>OGLUM01G00010.1 pep chromosome:ALNU02000000:1:5482:7426:-1 gene:OGLUM01G00010 transcript:OGLUM01G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGSGDLFFSRSDTVVSPHPAHADPPPVSTGGSRSGGVHRGVGSGDLFLSRSDPVVSPCPAHADPPLALTGGSRSGGSTVVVASLPPPPLHARPLRRPRPCCLLLPHAVFGGCDKGRRRRREALEGGI >OGLUM01G00020.1 pep chromosome:ALNU02000000:1:9160:17082:1 gene:OGLUM01G00020 transcript:OGLUM01G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQVLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEVKEEHRVNSYTRRKSKSRKPHHRNGEMRVACNLGMKPNTRNPLCGLSGATIWARHQQMPHISTNVLAKNGDDDLPIFCVAAILMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >OGLUM01G00020.2 pep chromosome:ALNU02000000:1:9160:17082:1 gene:OGLUM01G00020 transcript:OGLUM01G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQVLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVLYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >OGLUM01G00020.3 pep chromosome:ALNU02000000:1:9160:17082:1 gene:OGLUM01G00020 transcript:OGLUM01G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGQDNGDTAGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGSKMLKPEKWHTCFDNDGKVIGFRKALKFIVLGGVDPTIRAEVWEFLLGCYALSSTSEYRRKLRAVRREKYQVLVRQCQSMHPSIGTGELAYAVGSKLMDVRTMSKETHIAEEVSTSQQTSQNTAGSLVEDSDYGPGGAQQSQKRESCSKSAELVGFNVHNDTSLYDSSNFIVSSTEVNNCSKDSQDYNDMGEPRYDTETFDDYPSLPVTNFFSTDGVGSNGVDKNHCSFSVPEDRLRHRDERMHSFQINNNIDLIIESNSCSSDVFRASNSDSAIFHSDAYKQDRWLDDNGYNREVIDSLRISDAPEADFVDGTKSNSVVASKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQDAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKIMEITDVELFEHLSTIGAESLHFAFRMLLVLFRRELSFEESLSMWEMMWAADFNEDVILHLEENCLEPLLVDMRNDLSCEMFNDNMLKINVKRCVRMAIKLRKKYIYKGICTYAQYT >OGLUM01G00030.1 pep chromosome:ALNU02000000:1:17681:18336:-1 gene:OGLUM01G00030 transcript:OGLUM01G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTSKMLGEWSDIVALGHSCIDIEKGVVRNAAEIIAKASFSIAAADATVFHKAAGDAVPLHALLHIRADRATYEAWKLGRKIDALLLDIIESRRRCEGGGRKTTTTDLLWLLLAGNEASAAAERKLTTALALSWTLLMLATHPDWRAAVREEVEEVTGWSGPMDAAAMGKLTKMGCMLNEVLRLYPPSPNVQRPAACDAEVVRGKR >OGLUM01G00040.1 pep chromosome:ALNU02000000:1:18206:19449:1 gene:OGLUM01G00040 transcript:OGLUM01G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLALAMISAAFRTTPFSMSMQLCPNATMSLHSPSIFDVVSSITPIMSCIINNSGACTSVEQGGYWVACDVVAEAASQRPGPGNSSKGQGERGSLLLACSHSK >OGLUM01G00050.1 pep chromosome:ALNU02000000:1:20670:28572:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAGGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMLIRAS >OGLUM01G00050.2 pep chromosome:ALNU02000000:1:20670:28612:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAGGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMLIRAS >OGLUM01G00050.3 pep chromosome:ALNU02000000:1:24347:28572:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESSSSRQA >OGLUM01G00050.4 pep chromosome:ALNU02000000:1:24347:28572:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESTASLERHTVAWLYGRSNTTVLPERFSCMYVATNGEKCTEF >OGLUM01G00050.5 pep chromosome:ALNU02000000:1:24347:28612:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESTASLERHTVAWLYGRSNTTVLPERFSCMYVATNGEKCTEF >OGLUM01G00050.6 pep chromosome:ALNU02000000:1:24347:28612:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESSSSRQA >OGLUM01G00050.7 pep chromosome:ALNU02000000:1:24372:28612:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESTASLERHTVAWLYGRSNTTVLPERFSCMYVATNGEKCTEF >OGLUM01G00050.8 pep chromosome:ALNU02000000:1:24372:28612:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MACTAARMFASNATLCACEPGFYLSAAINGTCLGLPDGGWQVGSVGASRNQSFYFLTPVLSLDVVRRLTQSQALLLEATIAALLSWLAFCAFARFTGHDPTGNKRLFRARFWVSRLDCIYDTTHWADDQQVLRKRKTELGGTCSVASLILFVGLVTVLLYQAIQRRNIEVHRVKPANAPDLLSFVNDIEFHITTISSMSCSQLVAPSTIAMGTPGSMDFRLLPLSTLLTYNCQNTSQGPSVSLKCNGCRIPPRDHYVSWQFIDLPRQPAAAVGFQFNLTAKQHGDDKHVSFVSGTINSDNFTDDKLKTFRGRDSNVLKIQLFPQTYINHHNLKLLQPLVQDFTQGSTFSDVRNLNASLQNPMDGIINTTLYISYLSNYIVEISNENVLGPVSILASIGGLYAFSVAIFLCLMAQVRKFVMYTWGPSNLDPSDRSGKWPESSVMDSLHGSFHKKRKPIRRATSNGNKPKRNPADAGVIDIERVGEMQESSSSRQA >OGLUM01G00050.9 pep chromosome:ALNU02000000:1:20670:24259:1 gene:OGLUM01G00050 transcript:OGLUM01G00050.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 3 [Source:Projected from Arabidopsis thaliana (AT5G48450) TAIR;Acc:AT5G48450] MDSIRRRSAGGILGILFLVLLRWAGAGDPYAYYEWEVSYVWGAPLGGVKKQEAIGINGQLPGPALNVTTNWNLVVNVRNGLDEPLLLTWHGVQQRKSPWQDGVGGTNCGIPPGWNWTYQFQVKDQVGSFFYAPSTALHRAGGGYGAITINNRDVIPLPFPLPDGGDITLFLADWYARDHRALRRALDAGDPLGPPDGVLINALGPYRYNDTLVPPGVTYERINVDPGRTYRLRVHNVGVATSLNFRIQGHNLLLVEAEGSYTSQQNYTNMDIHVGQSYSFLLTMDQNASTDYYVVASARFVPDADKLTGVAILHYSNSQGPPSGPLPDAPDDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSMPPELIDGQMRATLNGISYIAPSTPLMLAQLFNVPGVYKLDFPNRPMNRLPKLDTSIINGTYKGFMEIIFQNNATSVQSYHLDGYAFFVVGMDYGLWTDNSRGTYNKWDGVARSTIQVFPGAWTAVLVFLDNAGIWNLRVENLDAWYLGQEVYISVVNPEDSSNKTVLPLPDNAIFCGALSSLQKEQSHRFQYSEASQITQLWKMVFFMAWLALW >OGLUM01G00060.1 pep chromosome:ALNU02000000:1:30949:37021:1 gene:OGLUM01G00060 transcript:OGLUM01G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFAVQSRCAPTNSLCSCVALCERNFKLEQVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNIIELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTSVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVAKPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSNSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >OGLUM01G00060.2 pep chromosome:ALNU02000000:1:30949:37021:1 gene:OGLUM01G00060 transcript:OGLUM01G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCANQFAMVEELASLIKDNLYSKHLVLSTEETLVGILQNQYHNSDDDEDEDDIVAAYRGTNRNIIELQPASSYQRLLLHRLADIYGFVHESVGEGEDRHLVLQRCPETAIPPVLVSDVLWEYDNKDTSTSVVVKRKDTDLEEAWKEDAQENISAEISHLKNDADLKALQKSVAPPAPSLKEREAAYRAARERIFSAHDAKGNGTAVAKPRHVPAVAQRMIAHALGKKVESPTETAAVKNGKGKEPAESSRNKLNPRTAGGKEDSRYVENGRMRLHTGNPCKQSWRTSNSRAASSVSPDELKREQVGAAKRMFVHALRLPGVEGSDGPVRKGK >OGLUM01G00060.3 pep chromosome:ALNU02000000:1:30993:37021:1 gene:OGLUM01G00060 transcript:OGLUM01G00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEQPQQQEVKLFNCWSFEDVQVNDISLADYLAVSSTKHATYLPHTAGRYSAKRFRKAQCPLVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OGLUM01G00070.1 pep chromosome:ALNU02000000:1:42471:47387:1 gene:OGLUM01G00070 transcript:OGLUM01G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGIVGTLLTSGEAKIALPDFRDVLSGAFKVNHLREELQLLSRSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKGWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDRVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQHEEFMTYASSLKGWIRAPKLILVRQVLSSTPLPAIESPERITRAASLPPSSEPEFSGPRSPVTEASKVVHSPTTMSASGLSMLVETSMPPKRGVLSRASSMKEGSQEPSNGSSSSGEPTIGRNVPNSRLFGGFGFLKSSAS >OGLUM01G00070.2 pep chromosome:ALNU02000000:1:42471:47387:1 gene:OGLUM01G00070 transcript:OGLUM01G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKIVIVIGSGIVGTLLTSGEAKIALPDFRDVLSGAFKVNHLREELQLLSRSNQVAIVTVDGRPGPGAYGITAVVAGAIGYLYIRWKGWKLSDLMFVTKRGLSDACDVVGKQLEHVSENVNAAKRHLAGRIDRVDCTLDECQEITESTRKEVTVIHEDISAFQEEMQSVHLVVRTLETKLGRLAYTQVLSSTPLPAIESPERITRAASLPPSSEPEFSGPRSPVTEASKVVHSPTTMSASGLSMLVETSMPPKRGVLSRASSMKEGSQEPSNGSSSSGEPTIGRNVPNSRLFGGFGFLKSSAS >OGLUM01G00080.1 pep chromosome:ALNU02000000:1:47749:53634:1 gene:OGLUM01G00080 transcript:OGLUM01G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydrosphingosine phosphate lyase [Source:Projected from Arabidopsis thaliana (AT1G27980) TAIR;Acc:AT1G27980] MELAMDFALRLRDAANHRLSRYEPLVLLAAPLLALLAARTLHAAAAAVADRGLRTVLLALAMTAIKLLPGVSAYINAEKRKVVDQLQSGGTSTKSTLRTELPTVGLSNQVINDLETLKARDVNWQGKCSGTVYIAGSESEGHFALINKAYSMFSHTNPLHQDVFKSVAQLEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRTKKGITKPEMIIAESAHSAYDKAAQYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFALTEWTGGLYVSPTIAGSRPGGLIAGAWAAMTSLGLNGYMENTGHIMEVSKKIQRGIEDIPGLFVIGKPDMTVVAFGSDSVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTVIYEEFLKDLKDSVDTVKANPGPISGGRAPIYGAAGKMPDRGMVRELLVEFMDASC >OGLUM01G00090.1 pep chromosome:ALNU02000000:1:57922:58176:1 gene:OGLUM01G00090 transcript:OGLUM01G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLFFLFPLLFFLTFGEIPATLFGECPRLRCVSLTHNTLTGCVPTGIGNCVLLAGFDSSYNSWTASCPINCACRRKPWRIAAT >OGLUM01G00100.1 pep chromosome:ALNU02000000:1:58544:59083:1 gene:OGLUM01G00100 transcript:OGLUM01G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRKPVSSRHGRQGTVVVVVVLRDYVREMVENSSLRLLRPEHEESRRGKSFQVSAGGVGPAGYAVEGWRRVAVVEGGGGGLLRVDPAKEARGAADGHSSPKGLRPTGGAQAGGRYGTTMPVPATPSTSPPWKEYAPAAPGVVPVKQPSSQAAPAGVVPVQPSSSATAATKKRKMIEE >OGLUM01G00110.1 pep chromosome:ALNU02000000:1:59850:67724:1 gene:OGLUM01G00110 transcript:OGLUM01G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02010) TAIR;Acc:AT3G02010] MHPSKTTATVVANVAAAALPLPLPRAATPLDARMVKTGFDVLTYRLNLGFRSLLSSGHLHRARAMFDQMPHKNIFSLNLILSAYSSSGDLPAAQHLFLSSPHRNATTWTIMMRAHAAAGRTSDALSLFRAMLGEGVIPDRVTVTTVLNLPGCTVPSLHPFAIKFGLDTHVFVCNTLLDAYCKHGLLAAARRVFLEMHDKDAVTYNAMMMGCSKEGLHTQALQLFAAMRRAGIPATHFTFSSILTVAAGMAHLLLGHQVHALVLRSTSVLNVFVNNSLLDFYSKCDCLDDMRRLFDEMPERDNVSYNVIIAAYAWNQCAATVLRLFREMQKLGFDRQVLPYATMLSVAGSLPDVHIGKQIHAQLVLLGLASEDLLGNALIDMYSKCGMLDAAKSNFSNRSEKSANSWTALITGYVQNGQHEEALQLFSDMRRAGLRPDRATFSSIIKASSSLAMIGLGRQLHSYLIRSGYKSSVFSGSVLVDMYAKCGCLDEALRTFDEMPERNSISWNAVISAYAHYGEAKNAIKMFEGMLHCGFNPDSVTFLSVLAACSHNGLADECMKYFHLMKHQYSISPWKEHYACVIDTLGRVGCFSQVQKMLVEMPFKADPIIWTSILHSCRIHGNQELARVAADKLFGMEPTDATPYVILSNIYARAGQWEDAACVKKIMRDRGVRKESGYSWVEIKQKIYSFSSNDLTSPMIDEIKDELDRLYKEMDKQGYKPDITCALHMVDHELKLESLKYHSERLAIAFALMNTPAGKPIRIMKNLTACLDCHAVIKMISKIVNRDIIDHGIGAGFHSRMDAGTKPPWVRVQNPVFIVEVRIFQAWDKMNSHENDEAEALCFDSLCCILKASMRCEIGGLQGGERSMQVQMQMQQATTVLSSSSNRPWTLWCHPVPVVSSPSHAKNKKHGLRLRAGMAMASSELPDLSAIQRVVLDIEGTTTPISFVADVLFPYARDNVRRHLAATYGSSEETRADVALLRAQVEEDLAQGVDGAVAVPPDAEGEGEGAVVEALAANVESMIRADRKVTALKQLQGRIWRRGFDSGELRSEVYDDAADALRRWRAKAYIYSSGSREAQRLIFANTAAHGDLRDHLCGFFDTTIGAKREVSSYYEIWQTLGTDRPSQILFLTDVYQEAAAAKTAGLEVIISVRPGNAPLPDNHGFHTITSFAEISI >OGLUM01G00120.1 pep chromosome:ALNU02000000:1:64522:67150:-1 gene:OGLUM01G00120 transcript:OGLUM01G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPHRPYKRPAISDQQRRRDLALQAQSARRADAQARARSLANSLLSPSSAAADTAVEGDSERDHEPTVAEAASKLRGSDARRWFARQIMLPEWMADAPPHLATDWHVFARPAGKRCLVVSCNGITISRLRNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDETYYIIDMICWRGYSLYDCTAEFRFFWVNSKLMETTAGDPPSTYHRYRFSAVPIYECTLQGLQAAYSGSTPYVKDGLLFYNKHAHYLAGITPLALVWKDEACSQYVIDTDSKGQVPSEQHIVLDLQEDGKLTTSDDPPVVFGSLDNEFIQKSNLRPGNLLRFAVKDERVKLVDGKMEISELQFVGKPNRARAFADSHSKALFQYAARHAPLRIEDLVASIQSNNMELESTDVEMQDGDFSE >OGLUM01G00130.1 pep chromosome:ALNU02000000:1:73930:81210:1 gene:OGLUM01G00130 transcript:OGLUM01G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGCRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLNSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >OGLUM01G00130.2 pep chromosome:ALNU02000000:1:73930:81210:1 gene:OGLUM01G00130 transcript:OGLUM01G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGCRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLNSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >OGLUM01G00130.3 pep chromosome:ALNU02000000:1:73930:80397:1 gene:OGLUM01G00130 transcript:OGLUM01G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGCRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLNSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >OGLUM01G00130.4 pep chromosome:ALNU02000000:1:73930:80397:1 gene:OGLUM01G00130 transcript:OGLUM01G00130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G23900) TAIR;Acc:AT3G23900] MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALSASAADDPDQDDDLHRPSSPAQASRDAYSDADDDDDDRPHAPPGPVDPSKSSAAGPGIAGGSAGAPATFTVVSKDRDSRRVPTGGARVRVRVSPAAGVGGDDLEGAVKDNGDGSYAVTYVVPKRGNYMVHVDLDGSPVMGSPFPVFFSASNTAATVVTSTFPPTLPAVSSAYPNMVNQTMPNMPNYAGALSAAFPSLLGLLPAASTGASGGVVLPGVGASLGEICREHINGKCTKATDCSKLNHPPQQLLMSVLAATTSVGALSQAPMAPSAAAMAAAQAIMAAQALQAHAAQMQADSKAAGGEASGSTDKTDKGDALKKMVQISNLSPLLTVDHIKQLFGYCGKVVDCTITDSKHIAYVEYSKQEEATAALALNNMDVGGRPLNVEMAKSLPPKTNLANSNLPMMMQQAVQLQQMQFQQALIMQQTIAAQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGETVEEKDARGKSRSPSPSARRSKSRSRSPIKYRRSRRSRSYSPPVRHTRGCRSRSPSRSYHSKYGSDRSYRDDRDKYGRSGRRESDRSRDHYSSSSRRNRSRSISPRHKKSSRSDSRSPKRHREESLSPSKSRRSARAGSRSPGQHKGSKLSPTRDHHSSRRSRRSRSRSQEKNRNSDKKDSKKSEMEDKKRRSDRGNRGDKDEKYLKDPMEDKKLDVSSVAHKRSSSASEDEMLNSNSKRSKHDAALECHERKDEDHIEEDRRDLNSVGSKSEKRSLGNGDHEKQNHDTNRKTDKSHDRDDSSRKDRKYREDESRHSRDRRSRHSSSRSHRSSRHSREKYHGDTTDQHKSKKSEEGSKSRKDDCLLDDTLSSDRRKVQSEDSPRRKHNQLAASSDVHGINHDTGVKVPNDFSEADQGIQEAKQVVHETDMSSAPRLEDPFLAQDKQDKPIVAGLNGRHEPGVDGAFVGTEESAI >OGLUM01G00140.1 pep chromosome:ALNU02000000:1:83060:85793:1 gene:OGLUM01G00140 transcript:OGLUM01G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ-like 20 [Source:Projected from Arabidopsis thaliana (AT4G13830) TAIR;Acc:AT4G13830] MPHLAASPTSAAAAAPASARVAFLRPGRVPRPPLQTARGLRPDLGTLRTAEQPTLYDLLGISSEGTLDEVRAAYRRMARKYHPDVSPPDAAAENTRRFIEVQEAYETLSDPSRRATYDRALARGVCRLAFSSSRRVAPYYYQDQEDKSGWRRTWGDQIEELKRRSMTKDSEENLSWGARMRRRTETSSSE >OGLUM01G00150.1 pep chromosome:ALNU02000000:1:86582:92942:1 gene:OGLUM01G00150 transcript:OGLUM01G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQHLTSRRHAELLRHLLLDGGAAVKDLRLRRVVPLTSAPLDDSSPDPDGAAAKSGSAETTPPEAQDGRERKPVVQRSKLVHAPASFGYRRLLPFLNQLTNTNQESECPSGKDNSKIDAYAESESEAQPDPVHCSISTTKEEINISSSHLSSTKMCLSRCQRSRFVHHPSSFSYKRMLPFVTENEITSQEGHRTKIPRLVQEKQSSTDENLILTTGQHHFVMSGDSAEECKTAQVERLVEENESKSDRIHPLGGRLLQPAVSEAAHLELQVSTVEGQNLTQERVLASDAHLLSSDKGECTLKWNDVLPAGQHQPAASEDFSEESNKAGVEAVLEERKSVPDGNSVLDGRQLQTFVSKASPPEGTAEMQKATQKQAVTSDGDDDPLASCKGGSLAKEQPLLHATEELSVKDNAEGDEVHQCQSPELGTSDVCFGGPTKVVIPSVNSHNALEQCDSMASLDEPLLDVEMTCIPLDPCATGVPYSVKETPAGVLCTSDHCSTGTPLTVEETSSSVSVVHIEPVSSKVSPVRQRGSPCLEKRGLSPKKLSPKKGILKRHTRGCKGICMCLDCSTFRLRADRAFEFSRKQMQEADDIIDNLLKEVSSLRNLMEKSAGQQETKQTACQRASQVEVVARERRRQMLMELNSHCRIPGPRVKFAQYVEERMASSPSPDSPSRRR >OGLUM01G00160.1 pep chromosome:ALNU02000000:1:90232:90450:-1 gene:OGLUM01G00160 transcript:OGLUM01G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDWGPVLIALVLFVLLTPGLLCQIPGSNGRVAEFHSMRTSVASIFVHALLFFAFCAIFMVAVGLHLYAG >OGLUM01G00170.1 pep chromosome:ALNU02000000:1:91071:92657:-1 gene:OGLUM01G00170 transcript:OGLUM01G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C6 [Source:Projected from Arabidopsis thaliana (AT3G48610) UniProtKB/Swiss-Prot;Acc:Q8H965] MGRRLLLLFLMLAQAPNSNGDSKIKNVVVLALENRSFDHMLGWMQRLLGLPIDGLTGAECNPAPGPGPADSLLHCVSPDADLVVPDDPAHAFEDVLEQLLGFRPNDSAGAAASPSDMSGFVRSAVSVSALLTDAVMRGFTPSRLPAFSALASSFAVFDRWFSSIPGPTQPNRLFLYSATSHGAVAHDKWNLLRGYPQRTIFDSLAADALDYRVYFKTIPTTLFYRRLRTVANAARGTFRRYDAAFRDHARRGLLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRAGPQWNHTLLIITYDEHGGFYDHVPPPNVGVPSPDAIRGPLPFFFRFDRLGVRVPTIMVSPWIRKGTVVGRPPGGPTPTSEYEHSSIPATIKKIFNLSSDFLTRRDAWAGTFEHLFTDLDEPRTDCPEILPEIPPPSSSSSSTKKEDGGWLSDFQRELVQLAAFLNGDYMLSSFAQEYESRMTMTVKQADAYVRRAVKSFLEASKRAKRLGANDSAIVTMRPSLTTATTCCP >OGLUM01G00180.1 pep chromosome:ALNU02000000:1:118642:120282:1 gene:OGLUM01G00180 transcript:OGLUM01G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal 17.9 kDa protein, chloroplast [Source:Projected from Arabidopsis thaliana (AT4G24930) TAIR;Acc:AT4G24930] MTSSLSSSTASAAACCKSRSRNPPPAPAPHTSTARVVRSSRRRLLLVFFSAEAAAAATSGLIQTPCGQAYPFAGTNVKKPQPPSTPYSQSQSQQQFGLDAKGRIRACPSTNPGCVSTNPTVGASCSLASPLIVPANTPTDKAAASLREAILKTQRNAVIKADEETAYGHYIRAEVDGGAGRDVMEFLLKESQSQSQEVVAAYRCVATKVIFVYPFTTAVGDSRGQSQRIAAVAQELGWYAPDLLNAATADDHSILDY >OGLUM01G00190.1 pep chromosome:ALNU02000000:1:156106:166083:1 gene:OGLUM01G00190 transcript:OGLUM01G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSAAVAVAEAPSPAPAPPLLTWPTPDPDPPTSWTAVAALEDQQRRRLHWIWERGVAWKPPATLPLPPLVFRLDHAGEVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQNVTPDKAAVVL >OGLUM01G00190.2 pep chromosome:ALNU02000000:1:156106:166083:1 gene:OGLUM01G00190 transcript:OGLUM01G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLCDSSSAAVAVAEAPSPAPAPPLLTWPTPDPDPPTSWTAVAALEDQQRRRLHWIWERGVAWKPPATLPLPPLVFRLDHAGEVDADGNCLFTAARKAASAKPDARDLRHRIVRRFSHLYAAAQAPDRDAIDAAVRHLYAPDLKAGWGVHVVQELKLLAPKTLRHHLDAAINDLVDLGIQREMAAETIYRERCIAVNNGDSWAKYMSVSGSAEDEHNIITLQYTEEGLLTIDENRDGHAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQNVTPDKAAVVL >OGLUM01G00200.1 pep chromosome:ALNU02000000:1:166494:171386:1 gene:OGLUM01G00200 transcript:OGLUM01G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 8 [Source:Projected from Arabidopsis thaliana (AT2G18760) TAIR;Acc:AT2G18760] MEDDDDDQRLLHSLGVTSADIHDIERRIISQATTDPADSSGPTINGGHQPDDALAKLHHKLRSVQIEIDAVASTIKGAKLKQPSGNKPHEHKGKDQPDHHGGGHLQQALAADRLTSLRKAKAQIQKEILQSHPSPSASNRKDKMLAMLVQDEPRRKKPPVGPKNIVKRPMKTVTYDDDNDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPEPSHRQDDSAGQTEEAMEASRIARVAQSLKQIAQNRPATKLLDSESLPKLDAPAAPFQRLGKPLKRPVSPSSDEQEKKRPRNKTKRPLPGKKWRKANSIKESSLDDNDVGEAAVSVSDDDEDQVTEGSDELTDVTLEGGLRIPGTLYTQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGSLHNSGLYKPSIVVCPVTLLQQWRREASRWYPKFKVEILHDSANSSSKKSKRSSDSDSEASWDSDQEEAVTRSKPAKKWDDLISRVVSSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFSVPITVGGYANATPLQVSTAYRCAVVLRDLVMPYLLRRMKADVNAQLPKKTEHVLFCSLTTEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLKVWKEQGHRVLLFTQTQQMLDIMENFLTACEYQYRRMDGLTPAKQRMALIDEFNNTDEIFIFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQPSETSNGRGQSLQVGALNGKALSSAELLARIRGTREGAASDALEHQLNLGSASNHTSSSSGNGRASSSSTRSMIVQPEVLIRQLCTFIQQHGGSASSTSITEHFKNRILSKDMLLFKNLLKEIATLQRGANGATWVLKPDYQ >OGLUM01G00210.1 pep chromosome:ALNU02000000:1:192148:192799:-1 gene:OGLUM01G00210 transcript:OGLUM01G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR-like [Source:Projected from Arabidopsis thaliana (AT3G26740) TAIR;Acc:AT3G26740] MQTAASSVVGLSAVLPAAVKGRSLQIQAPRRVALRVRAAVAVEAAEVDYSSNISVFPMEACDLIGGEACNVQMYPEAKLSSSAAVAVSRAAAEEVDRDYLSYDEPTTVFPEEACDDLGGEFCKAT >OGLUM01G00220.1 pep chromosome:ALNU02000000:1:193580:195622:-1 gene:OGLUM01G00220 transcript:OGLUM01G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKSKDPPPSIQDATERINKRGESVDDKIKKLDEELGRYKEQIRKTRPGPSQDAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQESLGRSYNIPDDVDEEELMGELDALEADMEFESSAVPSYLQPDKESDFDAELNLPAAPTAPAAVPVSRQQVDELGLPAVPRASIRS >OGLUM01G00230.1 pep chromosome:ALNU02000000:1:202575:204407:1 gene:OGLUM01G00230 transcript:OGLUM01G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13400) TAIR;Acc:AT5G13400] MDHHPIPADENEMTSTSPQMQMQMQMRRNKLSSHFQGDIDDDGGVGVVDIRGSPMGSAELARTGGWVAAVFIFGNEMAERMAYYGLSLNMVIFMFNVMHRPFAASANAVNNFLGISQASSLLGGFLADAYLGRYWTIAAFTTLYLLGLVALTLCATMPALQAPGQDECDGFAKLLGKCQQPHPWQMAYLYAALYTTALGAAGIRPCVSSFGADQFEERSPVLDRFFNLFYLAVTVGAIAAFTLLVYVQRNHGWAAAFGALALAMAASNALFFMGTPLYRHRVPGGSPLTRVAQVLVAAYRKRHIKHTTELLYEVGGAKSAVRGSGKIEHTEELRWLDKAAVRVEGQEEINNPWRLCTVTQVEEVKILVRLAPVSACTVMLSVVLTEFLTLSVQQAYTLNTRGLPVACMPVFPCLAILLLLALYYRAFAPLARRLTGHPHGASQLQRLGLGLLLSTLSVAWAGLFERYRRAYAIRHGFLPLFLTPMPGLSAYWLLIQYCLIGLAEVFCLVALLEFLYQEAPDAMRSLASAYAALAGGLGCFLATAINTAVDSITGDIDAGRPSWLAQNINVGKFDYFYWLLAVLSTINLIVFIYFAKAYKYRIKPPTTPHN >OGLUM01G00240.1 pep chromosome:ALNU02000000:1:210121:220461:1 gene:OGLUM01G00240 transcript:OGLUM01G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFGEWLVGVGAHHLHSITRSNRGPTVSRKKHKKKKKGYRRAAAAMAMQWSIDLDRALRSRHPTPLLRPPPWASEPRLFAHTILLRLLATHFTTAHNARILRALLLLLPLPHAAACVQEPRPPTTLPAPRPAHSRCGCSPTKALHIRSLILSTFSSSNARRHWSISFFTIYHYTSAKSTRHCLRLAVFRLSVDFSYITLEALPRLIIASPTTQAQIIMAAIKIFPKLDCTVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCCLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTHVENDEDKFVHKIVTSMVNHNISLVNQIISTGNKEATRRHTYMSSEFKIGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIIFSTLKFANACHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIRDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCRGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRKS >OGLUM01G00240.2 pep chromosome:ALNU02000000:1:210121:220461:1 gene:OGLUM01G00240 transcript:OGLUM01G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFGEWLVGVGAHHLHSITRSNRGPTVSRKKHKKKKKGYRRAAAAMAMQWSIDLDRALRSRHPTPVGKQIVLGTLEDNFKADMLLSLSRLASKSVLLFGDQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLRTVFGTLLQLIDDDDFPLDCKRDAFTVLQKIVCGKAPIVHFVDTSELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCCLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTHVENDEDKFVHKIVTSMVNHNISLVNQIISTGNKEATRRHTYMSSEFKIGLIKELARLDDSDCSKVSVANVESFQTYSAREVLGTSNDIKPETASVMASHMGADFDKLKFDPTEFSSKKEVFIVRNIIFSTLKFANACHNMLSKTPGASCNLYDRIKELIECVQQNASQYCSTYEFFHLIMCACISWYACKTRDGNQESGDPTEQPDIFFSPSIWLTQELCAIRITKMLFRKQRYWDAYMSAMYCCREGLWFVVSFVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVSELKTDCDLHESFYCVETNVDSSLAGSSELHGSQAKITDICSKTFLATDHLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTAHLTDQRDESHHDAIRDHSSVPREHNNSQLLALVHSSVESCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHSIQIVTRMNCSGILEKDSYRLCKFAVAYFLRLRGDTKGIATGEDSVSPLHGGMQFLSSILQRVMELPFVLPKYFFRVRPCFGAELHIYDSNPENRDGISVPSGLQLSLTLCLQWKCRGVTLISRSYSKLYCVLAASSASCCLDATGTRSKEFEIHKKTAGMVGLNTKLMQYIEDDLRKKREKKRKKVRVEEKEMVTAFARFEASDSGMGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRKS >OGLUM01G00250.1 pep chromosome:ALNU02000000:1:210543:215381:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGASIRSCNGMKVVGPHPHKPLSKCGHSREPGARRRRRRRTKRRWGDSAERRDALAGAPPPPLPPERRGCSEQQGGGGGIVAFHRGGAAGRSSAGALSSTPNGGGVAQAVARIDLELPSPVLAQSSSEVAASSSSSSLSPLPIRRAPLTKRHLGRRRHVGGGRTTWSGRRRRAATASGGCAAAVGALLPWSSASPPLPSLLSPLAVIGRKPGMGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >OGLUM01G00250.2 pep chromosome:ALNU02000000:1:210543:214287:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVGVSLPLPPSPLPYLMRGSRQKCRDREEEVEDGGVASSHSVAPRGKLLSCSASERGCVWYLSLAATHLHRRYGLDPAIPELAVACPRAQCGVLESDEERGAAWMERRPRFGHGRDEEPTSEAEEDAAAGMTRGFGVEAVVWRSAQRRGGVVLRYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >OGLUM01G00250.3 pep chromosome:ALNU02000000:1:211632:214287:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAVGVSLPLPPSPLPYLMRGSRQKCRDREEEVEDGGVASSHSVAPRGKLLSCSASERGCVWYLSLAATHLHRRYGLDPAIPELAVACPRAQCGVLESDEERGAAWMERRPRFGHGRDEEPTSEAVGDRRKMRQLG >OGLUM01G00250.4 pep chromosome:ALNU02000000:1:211957:214287:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPHPHPYAPAELHLPGFVPLQLSQAQILVPYLATSLFLLLAVWLISGRCSRRLSDTDRWLMCWWAFTGLTHIIIEGTFVFAPNFFSNQNPSYFDEVWKEYSKGDSRYVARDPATVTVEGITAVLEGPASLLAGCLPAASTFSTPIPDAWEQAKVQG >OGLUM01G00250.5 pep chromosome:ALNU02000000:1:214554:215381:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRGASIRSCNGMKVVGPHPHKPLSKCGHSREPGARRRRRRRTKRRWGDSAERRDALAGAPPPPLPPERRGCSEQQGGGGGIVAFHRGGAAGRSSAGALSSTPNGGGVAQAVARIDLELPSPVLAQSSSEVAASSSSSSLSPLPIRRAPLTKRHLGRRRHVGGGRTTWSGRRRRAATASGGCAAAVGALLPWSSASPPLPSLLSPLAVVWVPLS >OGLUM01G00250.6 pep chromosome:ALNU02000000:1:210543:211560:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEGFTHWIDLSASVNINIYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >OGLUM01G00250.7 pep chromosome:ALNU02000000:1:210543:211819:-1 gene:OGLUM01G00250 transcript:OGLUM01G00250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIQRFLSLRWLAPELSAGCLNLMRNAVRPGWSGGLDLATAGMRSRLRRRWGIGGRCGSWDDEGVWSGGGGVAQRTKERRGRASVDGEGGGVSTWPDKRFAACSYAIASGKSYSHILQFTVCLGQLYGCLVYFITAYLDGFNFWTSPFYFWAYFIGANSSWVVIPTMIAIRSWKKICAAFQVEKVKTK >OGLUM01G00260.1 pep chromosome:ALNU02000000:1:215549:215866:-1 gene:OGLUM01G00260 transcript:OGLUM01G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKEIDQWRRALEEEKVERMRERICRALVGEHPQRECAGLGAGSVVGGLGSCTHAAAWGRGRRRRRARRMRALWAVVKWVARRRRRMVWAKRRGSEAQGGGRRS >OGLUM01G00270.1 pep chromosome:ALNU02000000:1:221776:225233:1 gene:OGLUM01G00270 transcript:OGLUM01G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQNAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >OGLUM01G00270.2 pep chromosome:ALNU02000000:1:221776:225233:1 gene:OGLUM01G00270 transcript:OGLUM01G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPGSSRPANVAAAAATEARFHSHPPQQDRRSGWAGCLSGLSCFGSQKGGKRIVPAARVPDGNASTSRGNAHQSGANSNQNAALNLSLLAPPSSPVSFSNSAIPSTAQSPNCFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLLSSMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVRTAHWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSKDKQDVEEVEAYRASFGFSADEIVTTQTYVEIPDALDEGFSISPFGNNAPATEVDKSLFNVKVITGPKKSTEQKLSNGSPQNVVHLDIFKGTKGGDVCEDEGMVKDCHPFRKGRDEISLKPIEVRKKVGGGQSCSDAEIEYRRARSLREANGVVSWRSTLARQLQ >OGLUM01G00280.1 pep chromosome:ALNU02000000:1:226380:234023:1 gene:OGLUM01G00280 transcript:OGLUM01G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCFLLDMRNIPPPLLHLLKQCLLHLANLYAAIPSADLPDRLALCYVHPAASSSSSSPPQLKVVYRPGEKFNLRDFHHAVNNLPLDAFRPNQHGSLHTTGDVSLTNLFSNRAIYSWATDDTSKKVIALCMSAQNTQALRRSLMDAAEQCITVEFVLLETGAAFVCDGVSENSNGFIDTICDLENCVVRRYSPETQVLHGLVKKWLEELKDDKEEALQAVFVFRVPIISTVNQISCSMYASANHIIDGFPSCQICRCHGRPIDLANTNKAKWMCPITSRQLTASDVTDTAVKIGEQTVLFLPDSEGVSSLRRASSSISFDVIERTNLASLNEGLIIGTPHIVIPSSNDVEVALDDECSDQNTQLFYGLCETLFKLDQGLVCSSKCNTETMKIGSLECYYLLQPSEKGPMLLRRLAGSEEILPLPVVSRPCNSTGTKEVQNLIETSLSKIVLKDYNPLQHERGFHSRLNCLVKDSLQFGSIAPACGAKDPHHLDSLSEPQILTFRGPEENKVLRLCREEGGDIQSFSFSEPQAASKEKASPRPSITEEWEQLIIIDDDFTSAVTCSTSRANPKLPSPVKPLGLDDKTSRILERLEAPRAKKQRATTSTRTGNSNTTPPPASSIGAGTQIKKPLLPFEPSASQPLRPTFNKLRRKPTAAT >OGLUM01G00290.1 pep chromosome:ALNU02000000:1:230877:233938:-1 gene:OGLUM01G00290 transcript:OGLUM01G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / C-type lectin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G52310) TAIR;Acc:AT1G52310] MAAAILRVFFFFFFILPASLTATASTSTSSCPDGWQITPALDKCFIYIPTPLSWDRSEALCRNNFTAHLAALSSLQDLNLAKSLCGPSPSGCWVGGHRDNTASAFAWKWSDDSSSWNDTAFPADPLRANCSTTGCALANDACTLVTNTHAALTAKRCSDSHGLICMINHEDRCYHDHCHKEYFIVLVVVSGFILLTTLAVVVWLLVYRRSKRRRRSREGSSTSATALVPPLWKVFTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSRVAIKRLKRSSLQRKKDFYSEIGRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHVPRGGRCLDWPMRMRVATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGSHLMGVGLSKFVPWEVMHERTVKAATYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPTQSVESVGWQTIFEWATPLVQSHRYLELLDPLIQELPDVGVIQKVVDLVYACTQHVPSVRPRMSHVVHQLQQLELKSAASEQLRSGTSTSATSPMLPLEVRTPR >OGLUM01G00300.1 pep chromosome:ALNU02000000:1:234167:235584:1 gene:OGLUM01G00300 transcript:OGLUM01G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCPFAKLASAGATCPVKSSSDNKTTINHTDDDDDNEKTGNANTDPRVVPPKCPFGYDSNTFKLGPLSCMVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDNKTKVIYEDVSMERGAFLVQQAMRAFRAKNIESAKSRLSMCAQDIREELKSKQDNQELCSQLGAVLGMLGDCWYDNFLLGTGGYM >OGLUM01G00310.1 pep chromosome:ALNU02000000:1:234902:235152:-1 gene:OGLUM01G00310 transcript:OGLUM01G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINEAVDKALKLIIGLDPLDVSTTQWTVLKAQYACPDKEIQCSEELVGARGAFAALM >OGLUM01G00320.1 pep chromosome:ALNU02000000:1:235692:238067:-1 gene:OGLUM01G00320 transcript:OGLUM01G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQYTRISSSYPLLKTGLSRFQLQILKAFNCFLKTLNCHAFVPSHYLGGQRWMTGFWVLSELNGARVECGLV >OGLUM01G00330.1 pep chromosome:ALNU02000000:1:240773:241898:1 gene:OGLUM01G00330 transcript:OGLUM01G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDLQLPAAACGDHHTAAGAGLPPGFRFHPTDEELLLHYLGKRAAAAPCPAPVIAEVDIYKYNPWELPAMAVFGESDGEWYFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPISISETQQTVLLGVKKALVFYRGRPPKGTKTSWIMHEYRLANAASSYTSNMKQLASSSSSSSSSASMRLDEWVLCRIYKKKEANQQLQHYINMMMDDDNDDEHNLQVQQQQQQAQSHRMPRPPSISDYLLDYSDDLPPSTDQTPSLHLGFTAVNEGSSNNNKRHKTMEEYYSISISTAEMLHASSSTSNNKSTQINFSSIFEPQTPAAAGHQLMSSHNDDTSI >OGLUM01G00340.1 pep chromosome:ALNU02000000:1:249195:254494:1 gene:OGLUM01G00340 transcript:OGLUM01G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPHRHHHHAHRGDDDDDDRRRHPAPAPAYGHDSAPPPGPYGQAPPPADPYARHPPSHDYAHPPPAYGGGGYGNVVHVSHEVSDHQRPTPHYGGSEYISPVQETRPHHGGGGAPPVTHRIYCKAGEDNYSLAVRDGKVCLVRSDRDDHTQHWVKDMKYSTRVKDGEGYPAMALVNKATGDALKHSIGQSHPVRLVRYNPEYMDESVLWTESRDVGSGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTLVLWEWCEGDNQRWKIVPWYALQEKADHETAIR >OGLUM01G00350.1 pep chromosome:ALNU02000000:1:266318:268118:1 gene:OGLUM01G00350 transcript:OGLUM01G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMSGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVAPHQVPAAANYQQQQQMAMASAGIIQVPMQMQMPSMSDQLRMLDDFSTTASLSLMAPPPPSYSTLPAGFPLQINSGAHPQQFVGNPSMYYQQQQMDMAGGGFVVSEPSSLVVSPQDAADLNNNAADISSMACNMDAAIWKY >OGLUM01G00360.1 pep chromosome:ALNU02000000:1:293146:300682:-1 gene:OGLUM01G00360 transcript:OGLUM01G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGNYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQKERGQPLAYLSFGWLDLPMWQRRLEERGGGSCGDVGGGDAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISVIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >OGLUM01G00360.2 pep chromosome:ALNU02000000:1:293146:300682:-1 gene:OGLUM01G00360 transcript:OGLUM01G00360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTICDIDCPDYSFRKFTDDGNYLVAFSRNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEGAIHGVPSIEKITFYLVRLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARGVSFLPGIKQRLLSFIFRKTWNEESDQTLRVQHLKKKFYFHFQDYVDLIIWKFLDRHHLFIKFGSVDGGVSRSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKANSTSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISVIDRHRHCTEHPIKFISVKQPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRR >OGLUM01G00370.1 pep chromosome:ALNU02000000:1:306009:312438:1 gene:OGLUM01G00370 transcript:OGLUM01G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEKFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPKDAHEELMAIGPVTNEVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKLMCPIIPQMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >OGLUM01G00370.2 pep chromosome:ALNU02000000:1:306009:312438:1 gene:OGLUM01G00370 transcript:OGLUM01G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEKFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPKDAHEELMAIGPVTNEVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >OGLUM01G00370.3 pep chromosome:ALNU02000000:1:306009:312438:1 gene:OGLUM01G00370 transcript:OGLUM01G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEKFAVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPKDAHEELMAIGPVTNEVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDENPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >OGLUM01G00370.4 pep chromosome:ALNU02000000:1:306009:312438:1 gene:OGLUM01G00370 transcript:OGLUM01G00370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKGKGKWKRPPTVKPPVMAASASDDDEIDAFHKHRDMIPLHDHDMESEDDLEHPVFDLEGISENETDDSEGDEDGNMDKAAYDEWDDKFIAKLKRAERAVKQIAGGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKASNHQVKVPDGEHSFETYVKMKEKFAVLSRDEKMGVLDSSAPELVTAGQSKDKGKMQPLEVKRACLAAYCQAITFYLLMKAEGLSVQDHPVIARLVEIKSVVEKMKHANVNFPRQKEDSDDYCMPDSNIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKGKDEHIGSQSLEMLKVRATLEERLKEKGLYNLTRLKRKKVSNTRTTNRSDLQTLDDFDDEVLKNTQAIKPSKVLVAAAKSNKNKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENGSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSPNPVIESLEEETEGDGKRKISYQMEKNRGLTRSRNKKLKNPRKKYRVKHQTKLVKRGGQVRGVKKPSGPYGGEMSGINPNVSRSVRFKG >OGLUM01G00380.1 pep chromosome:ALNU02000000:1:314108:318238:-1 gene:OGLUM01G00380 transcript:OGLUM01G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECEYRGEAVLVRPSSATPRRSLYLSNLDDQRFLRFSIKYLYVFPPSAAVAADALRAALARALVHYYPLAGRLRHHADDKLVLDCNAEGALFAEAFLPTLTAADFLRAGATAKPHKSWRKLLYRVHAATFVAVPPLVVQVTQLGCGGMVVCTAISHCVCDGIATANFLHAWAAFAAADLDLAADRDDDLSVVLHDRRALRPRCPPRVAFTHPEYHTSSSSSSLLLIQPQLMPLAPVSLTFTAAHLRRLKARSLRSCTSFEALAAHVWRAWVLSLAPPPPPDMRVKLLFSVNVRRRLKPELPWTYCGNAFVLACAEATPTELAAGAGVRLVQEAKDCIDDDYVRSTVDLLDQRRGAKPDLAATLVISAWTRLGLEDVDFGKGRAAHMGPLTSEIYCVFLPVLADPNAVTVLLSLPQPAADTFEHHCCSCFLLDLDDDQPAVPVLVDKQDHDNQLVRAHHQHDILA >OGLUM01G00390.1 pep chromosome:ALNU02000000:1:318986:321661:-1 gene:OGLUM01G00390 transcript:OGLUM01G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKRLMTTARARRFSTSTSTSPPATVVLYDHHGPPDKVLMVADLPLAEIGDCDMCVRMLAAPSTPPTSTASRVSTPFALPFPSSLQATRGSARSTPSAPPSLPRSSPSAAGSSLPRLLVHLADLHHQPSHHLAHGPLRCASLICRQRRHGGHAGVRDGADAEEVQPAGGGHGGAAKLISEALEMGINTRGDKKATTPSWPEAPAPFPRAPKEFCRSLHPEFLGLQVEFAELGLGAPEMVLWAPERASQSLRLPS >OGLUM01G00400.1 pep chromosome:ALNU02000000:1:328865:332885:1 gene:OGLUM01G00400 transcript:OGLUM01G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSSSSLCDTNHLLQDDLPWPSMPFALAPNTFGLNHQWSQPPMLSSSTDQLSSYELESLQSVQSQLAAAPPTLSPHLQAHQLSTVLMMQELGFQWSSCAAPADQHSIASSMNNNNNNSNVMVNEEELRPRPDQSLISNPRSCSATTLLPPPHLHLDGAVLPSINVSRLQKPAAGDEPPQICCKRQAAAAVVGHSSIRDEHVPCPYAGPPAHLIQGPSNTLQMKRNTNAAAQGRGGRHGSSTEHRSSTALPPSSKKPRLESHSSSMLPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLRSSKNSKKLACRAAQQQRKGTSNGGDAAAKLDLRSRGLCLVPLSCTSYVTNENGVWPPPNFRGN >OGLUM01G00410.1 pep chromosome:ALNU02000000:1:333261:334965:-1 gene:OGLUM01G00410 transcript:OGLUM01G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAALALALRRATAAAHKQKQALVLTDAAASRIRQLLSLRHRPYLRLGVKARGCNGLSYTLNYADEKGKFDEVVEDKGVKVLIDPKALMHVIGTKMDYVDDPLRSEFVFINPNSKGECGCGESFMTTSNKGSTS >OGLUM01G00420.1 pep chromosome:ALNU02000000:1:335763:339390:-1 gene:OGLUM01G00420 transcript:OGLUM01G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G19600) TAIR;Acc:AT1G19600] MGAEAEHRMSPSPAPAPPPPTLVGTDADAPPMVLGLQLSALIDHVARVDWSLLDRIPGDRGGSQQVCIEELNHILAEVNAQILPCRDDLSPIRTIAGGSVANTIRGLSAGFGISTGIIGACGDDSQGVLFVSNMSFSGVDLTRLRTKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFKKEDFKGSKWLVVRYARQNMEQILEAIRIAKQEGLSVSLDLASFEMVRDYRTQLIDLLETGNIDLCFANEDEARELLGGELTFDPEEALAFLAKYCKWAVVTLASKGCIAKHGKQVVQVAATGESNAVDATGAGDLFASGFLYGLVKGLPLEECCKVGACSGGSVVRALGGEVRPENWQWMYKQMNASGLLLPDLKN >OGLUM01G00430.1 pep chromosome:ALNU02000000:1:345322:345794:1 gene:OGLUM01G00430 transcript:OGLUM01G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACRTKKAHENPTPHCKLSLLLGLAFVSCSVAAAASGGTVVIGLAADSGCGKTTFVLRLTSVLGARTAATAAAPPWGGNPDSTLVADVEREMVGVEAGEAGHAVGDVGGDDAAAE >OGLUM01G00440.1 pep chromosome:ALNU02000000:1:346476:349245:1 gene:OGLUM01G00440 transcript:OGLUM01G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFLIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSVGKQKYGGKKSAGSSSSKD >OGLUM01G00450.1 pep chromosome:ALNU02000000:1:349614:350648:-1 gene:OGLUM01G00450 transcript:OGLUM01G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTTKSRILVVGGTGYIGRHVVAASARLGHPTTALVRDLAPSDPAKAQLLHTFRDAGVTLLHGDLHDHASLLRAVRDADVVISAVRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGMDPGRGASAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAAVDPRAENKTLHIRPPANTMSHDELVSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATQLYPEIQYTTVDEYLNTLL >OGLUM01G00460.1 pep chromosome:ALNU02000000:1:352188:352418:1 gene:OGLUM01G00460 transcript:OGLUM01G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNRIFRGKESTPSTLASKIIDELHLWEIAGAKGVKCRKNSSGNVSPAFCSVQFLSLLL >OGLUM01G00470.1 pep chromosome:ALNU02000000:1:354722:355826:-1 gene:OGLUM01G00470 transcript:OGLUM01G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEEKKSRILVVGGTGYIGRHVVLASARLGHPTTALVRDLSPSDPAKSQLLQSFRDAGVTLLHGDLYDHASLLSAVRDADVVISTLGALQIADQTKLIAAIKEGGGGNVRRFLPSEFGLDPDHTGAVEPARSIFTGKAAVRRAVEAAGVPYTYVVSNYFAGYALPTIGQNLPPARPVDSVVILGDGATKVVFVEEGDIGTYTVLAAVDPRAENKTVNIRPAKNAVSHEELVALWEKKTGKKLERVYVPEDAVLKQIQESEIPLNIVLSIAHAGYIRGETTTPLDPATAVEATQLFPDVQYTTVDDYLNRLL >OGLUM01G00480.1 pep chromosome:ALNU02000000:1:359179:359835:-1 gene:OGLUM01G00480 transcript:OGLUM01G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAITGAVLSSKPCSLAKAARILDLFADSAASNLPSSDAATYLHTAADATKNHHRFRLDLLNYYHRGDSAASASASDKKKRKRSEDHHHQAATHVKQEQEAQQVAYAADLVAEDETDKETSKKNRKKKKHESQQENARDVKQNGGAPEQRFASPEKKRNKKNHPEEEEVKTVVKGIIVSAGDSVATEKKRKKKRERADDTDNDKEQVEHTRKKPRNRS >OGLUM01G00490.1 pep chromosome:ALNU02000000:1:360377:361249:-1 gene:OGLUM01G00490 transcript:OGLUM01G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEEQEQPPQRHWFPYWTSPPPPPPPPPPSSSRYRPPSPPSSRHPHPTIPAARAAPPLGPTNRRLHQQPPPPASRDGRHEPPPKPKDVVVIPTDTVLHHKQPPPTHHHQHQQHKVKDQEEKKGDLRKDLKAGLAGMLSAASHGQQGTSIITLAGDNKGASMKISSPAPGSKGAGDDKRSSADGKGGVKAMINSNVQSINNSLLLHSSCSGGDLGVHLKLKLSSNSKSKSKSKTKSKEKQQHNVVADTSNKEKKPDSSQEKKEAGTSAAKPNKPSAAAKGNKPAGAANK >OGLUM01G00500.1 pep chromosome:ALNU02000000:1:363249:399184:1 gene:OGLUM01G00500 transcript:OGLUM01G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ataxia-telangiectasia mutated [Source:Projected from Arabidopsis thaliana (AT3G48190) TAIR;Acc:AT3G48190] MATSRDADEIIEKLSSDRARTRDEGVKLLGTWLQGDRAFSFCRLLARNTANLKPAHLPASATWPFLIMALTKCVIADISGKKRSPKSAAARMLRLAVQCAQDFRLSGRSLLLISVAKKLFSHIWEVVKDAPSFLIEYSIILRQLLTVKEYRYQMKPRTYSSFVVLYMKKVATGFDEKISNQASSKEESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLELARAIPEILEKLLDVIIKELDQNFNTGAGLVWCEAPRDEKAGSLRCFQEELMDISATALYLAYKCTPRTSHNKKKLKTEHVMTVIMDSLLRGSLVWSGSICCLIHKYGYRVDKSLLINWFKATCKGLKSVLSNANALRFQDSLLWLIRVLKEFSALLMSSARDAPPFWLTNDEMSILEGYWQDTWNSLIHALPLFSTTALVVDSVLRLLGEMIMRGDVSNSIFIRKNLLRSTFELVHSKDKCWSHEEELGYSVETLSEINLESPTKAMSEKCSRAHLPRHIQQPLLLELLEFTKGFMSSNKQFEKVDLPNLVYACSLVCNLIHCSLLSRVFEEKSSFLQVMLDYVTNAIKHIVSVVMKEHAELSHGLTNLGSVFDTTGSALSSFKSFMHSPLFSLWRVNNKTSSVLGVTELLDELLEAISELFAQFSSLMNNFDGDNPNKMLPVPFVSLSEDLHPDNRSSFVDMDLDMTGSGEVDPVTAGGSGSMGISPRPLECKLELVCIISTFFSVVPLHTWEVLYNLADKEVNIKVGQAILLELCTYISASSSSLSSVNQDVFLDLLNKATEISFTDWFFRIRLINCISNFIYLFPDVAQDMIGHLLSMLHDTDYRVRLYLARKIVVLFQIWEGHSELFHDVCSSIGVKMVQFSNENPVRAREVLAIGPQPVPIIETALITLAHLSLQSEDIEVECVFMISAVAAIEPSQRELAYALFDSVSKRLNYASRSKYLDQLIGPILFRWVACEVSLVSLVEVKEMFGFKSDEPKNFIEHCCSWLLSFLILRGETADLNWLSKILSQPLSAVIKGYFVPIFGLCIAARCGSGPEKDLAETVLCESLLQLGEISEPERDDLIKKHMVSIVGFLLSVSSSSRQPEIPHFSKEAVAHSVKTVVDGFMDAMDGNLAETVVIDKINIFRSDRVFKFLLAIHQQIADASHPRHIRHRLCAIEVLIDVLGHRVALYSTWFYIICIVGSYIRRQPLQDQCCNILSKLLAAFNSNCSTETDAALGKQLQFVSRAPHLPPELFLLSASSVANEASSVLADFISRAGISDVHQVIFHVPNLTEKYPIQPHISKDKLPSDYGISDDILVGLLKLLKACLSDESAEIIDVTSRTLRGVLSTARGLNALHCLDSLDRSLLMVHSRGINAQVVEQTLLGMEKVSGVSLEDSDLWRTDGQTYKQWLCTLVGSLICHCDDIILRLCRSIAFLKVEAAELLLASALVNIAGNLDSNAGICRLISSMVEEKIFCDSNHLMKSVHLFLDALNVVRSYYVAEKARGCPSNTLKDGRSVRSKSRSPTTTPSSSWKKVYWLSVDYLVAARAANRCSCDFATLMYVELWCEEKFNMLALGPPDFSHEESLYRSFATCPFMFLAFLQLPPHIDLLVASFTRINELDSIYGITLANEITSQIIRYEHEGDWSSALEYHDLLVRSTRKENHGSLAGTALTGPSDVPSKAEEKMLNWQMHKGLMRSLQKTGCSHVLDVYCQGLSNQKSCLQQDSEFIDMQYEAAWRAGNWDFSFFIPYSSQPSTHSQSYCLFNGNLHSCLRALQNGDSEEFHVKLSNSKMDLVLALSNASKESTKCIHSTVIKLQMLDHLTMAWDLRWKFCLNQTSKSYVGNKDFSPVPTVPTRMQLELLNKEWTFILCQTERNLDLLEPFLAFRSAVLKILGSEEHLREHLFQSASALRKGARFSLAAAALYELKELRYHMDHQAMPNSYFLFKLEEAKLLRAQGQHDMAINLGKYILKNHPDKKNVSDVYRLVGKWLAETRSSNSRTIIDDYLRHSVDFTELHKSPDKKYKSRQCRTYFHLAHYTDGLFKSYEERLSSNEWQAALRLRKYKSKELDKLMKRLKSSSKSEKTDYSVKIQELQKQLALDEEEAGKIQDDRDKFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSREQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSKDAQGSTNFQNALASLLKKMAIDHPYHTIFQLLALANGDRVKDKQRSRSSFIVDMDKKLAAENLLKDLSSSHGALIRQMKQMVEIYIKLAELETKKEVPVVTASIPVDPNCQYEEVSFPHFNGLADSIMVMNGINAPKVIECIGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNMFLQNHRDTSERRLRIRTYKVVPFTPSAGVVEWVNGTVPLAEYLVGRPVMHHFFLERFLQPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSQNILIDQETAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDMIDGMGVTGVEGVFRRCCEKTLSVMRANKEALVTIIEVFVHDPLYKWALSPLKALQRQKESEDIDSCLDDSQEACEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDADRLCQMFPGWGAWL >OGLUM01G00510.1 pep chromosome:ALNU02000000:1:399537:405247:1 gene:OGLUM01G00510 transcript:OGLUM01G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAGAGEGSSSAAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OGLUM01G00510.2 pep chromosome:ALNU02000000:1:399537:400283:1 gene:OGLUM01G00510 transcript:OGLUM01G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMETDINAPPPPAGAGEGSSSAAGPSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGTLPSTFTNQSHLVSIAAC >OGLUM01G00520.1 pep chromosome:ALNU02000000:1:402823:408507:-1 gene:OGLUM01G00520 transcript:OGLUM01G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase [Source:Projected from Arabidopsis thaliana (AT5G62790) TAIR;Acc:AT5G62790] MALKVVSFPGDLAAVSFLDSNRGGAFNQLKVDLPFQRRDRRAVSLRRTCCSMQQAPPPAWPGRAVVEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEALADCDWKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAQKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVDKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMIETQDSSVLAQLGWPDMRIPILYTMSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKIGYLDIFKVVELTCDAHRNELVTRPSLEEIIHYDLWAREYAASLQPSTGLSPVPV >OGLUM01G00530.1 pep chromosome:ALNU02000000:1:411488:414570:-1 gene:OGLUM01G00530 transcript:OGLUM01G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSSTPSPQDGSGGGEFSDNLVLQTPQPMREDYIQNAVNFLGHPKVKGSPVFYRRSFLEKKGLTKEEIDEAFRRVPDPQPNSTDVAAVASQQAGIANQSAGVQPYETVQAPQAINTGPIVPHAQPQLSWSRTLIGVGVFLGVGASAAVILKKLFVPRLKSWIQGAHVEGDEISGNELKSKFYEEIKAAIQDSASAFSDIAKTNQELLASKDEDKKILTKLAQAFDSQAEAFRSLSDSLNRMSENRFYQYNLMEDHFQSAPWNGPTTNSWRASQQTNAYNTSPRSDFDSGRHPFMPVPGEPSPGAFPARSYVEQQRMQRPGYGFQPQMSNDRWNPGSPLTNYHGAPPYQQYHHGSTNAIDEAPAPAPPPPPSAESPFQRRWVPPQPPGVVMPEAVAAIRQPRQQVAAASRPSESAAATEQPQSGDVAGGAAMANAGNGEAEQEREAAA >OGLUM01G00540.1 pep chromosome:ALNU02000000:1:417904:418485:1 gene:OGLUM01G00540 transcript:OGLUM01G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFRDHLAVIAEALCAIAADMNAAARALDPSTVISLACAAFTVAIVLVCYADICGRLATLHSQTQTPAGEDEDNNATPPEAPAASSLKDRQRSSHGTDTSSSSESSSSSSSSSSSSSESSSSSSSSSSESSSSSSDDDLARRSQQRNPSPPPQYKKEARRSPSPSPPSSSSTSSSSSSSGSSWWTRSARSFY >OGLUM01G00550.1 pep chromosome:ALNU02000000:1:420261:423899:-1 gene:OGLUM01G00550 transcript:OGLUM01G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFKQQQQHGGGNGGGQGKRLERRLSLGEYKKAVSWSKYLVAPPGAKIRGGGEELWSADLSKLEIRTKFATGRHSRVYSGRYAARDVAIKMVSQPEEDAALAAELERQFASEVALLLRLRHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLEIARGMSYLHSQGILHRDLKSENILLDGDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVLWEILTALVPFSEMTPEQAAVAVALKNARPPLPPSCPVAISHLITQCWATNPDRRPQFDDIVAILESYIEALEEDPSFLQSYIPPPHPLHHHHHQHHNHHHQQSLLRCFPRYRTTRRSASLRV >OGLUM01G00560.1 pep chromosome:ALNU02000000:1:420275:420550:1 gene:OGLUM01G00560 transcript:OGLUM01G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVGWFCSEGSTGEGSVDDDDYDAGDGDGAADAEAVYMTARRMDPLQELLCSSQVWRRCRRTEAFCLGWSPSTELSDGLLPQGMKEAVVA >OGLUM01G00570.1 pep chromosome:ALNU02000000:1:480024:481461:1 gene:OGLUM01G00570 transcript:OGLUM01G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDSQRRKRCAACYREFNKKEHLVEHMRTSLHSAHDPRCGVCGKHCRSLDALRDHLTGALPKPECAAAFASRGCPLCLHVVLPPTAAAHSCPAAAPPLGGVLALGCKMVGAGSDGSLDVCARVCVVDEQERVVLDTFVKPHIPVTHYRYDTTGIRPEHLRDAMMPKQAARRVQELLLNGEAAWKARSSRGRARILVGHGLDHDLESLGMDYPEYLKRDTARYPALMKTSNSRLSNSLKYLTLAYLGYHIQLAGRHHHPYDDCVAALRLYRRMRGARPHTCRDAGVGPHAPPPTPAEAFPAWRQRELERMSPEELLQLSTSDYYCWCLDASATD >OGLUM01G00580.1 pep chromosome:ALNU02000000:1:482180:485655:1 gene:OGLUM01G00580 transcript:OGLUM01G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGNWRVGPEGKGVNSTPHRCLLDLLCLSVCLYWSGLRRGGRPSPSEMPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQPDSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEPISSGSPIRRSDINNKGHDMKDHAMLLPRPEVPVPLWSTAADEELIYAKKEITIASLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKMHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEDVPKLKQILLEIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRY >OGLUM01G00580.2 pep chromosome:ALNU02000000:1:482180:485782:1 gene:OGLUM01G00580 transcript:OGLUM01G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGNWRVGPEGKGVNSTPHRCLLDLLCLSVCLYWSGLRRGGRPSPSEMPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQPDSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEPISSGSPIRRSDINNKGHDMKDHAMLLPRPEVPVPLWSTAADEELIYAKKEITIASLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKMHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDAFNWSAFSVVIPEKDVPKLKQILLEIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQLE >OGLUM01G00580.3 pep chromosome:ALNU02000000:1:482180:485782:1 gene:OGLUM01G00580 transcript:OGLUM01G00580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGNWRVGPEGKGVNSTPHRCLLDLLCLSVCLYWSGLRRGGRPSPSEMPSPLPCNLLTRRRALTACAAVAALTAAAALFLLATPPTEDPTHPYLLASLLHNTSNQPDSAAASSLSPAQPPLPSTSILQLQTNLPSGFTTVPSMFLVPSPSPAENLDDGSMEETDPPDLKENPPAESAHFLQEGHDMKDHAMLLPRPEVPVPLWSTAADEELIYAKKEITIASLVSNDPDLHAPLFRNVSVFRRSYELMERLLKVFVYHDGAKPIFHSPELKGIYASEGWFMKLMEGNQHFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKKYIDFISAKFPYWNRTKGADHFFVACHDWGPYTTKMHDELRKNTIKALCNADLSEGVFIHGRDVSLPETFLRSPRRPLRGIGGKPAAERSILAFFAGQMHGRVRPVLLQYWGGKDADMRIYDRLPHRITRRMNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDAFNWSAFSVVIPEKDVPKLKQILLEIPDDQYMAMQSNVQRVQKHFIWHPNPIKYDIFHMILHSIWYSRVNQIQLE >OGLUM01G00590.1 pep chromosome:ALNU02000000:1:486513:490247:-1 gene:OGLUM01G00590 transcript:OGLUM01G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT1G27510) TAIR;Acc:AT1G27510] MSAATACASPAAARPPLHIPLRSPPSAAHLPSAAPSRRASSAACRCTASASASASPSTWDWTRWTRHFADVDQAESYASLLKFQLEEAVDNEDFAEASKLKKAILEATGNDAVAQVMSELKTAIEEQRYQDASRLTKLARTNLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSFSPRQLVTASSGTPLFEIFLVRDDDETYTMKVVHMRPTKGTSSASSVSSATAESPAKEENESSLGSSAISEGITDEANTDTTLKGDEDVEDKEQDVGNAKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEEAELASDSSEELVQDDVKSTSENSLEDSTTEELQQDDVPDGDSDSAEDSKSPEMKLFISGVVHNKEDAGAKSYVRVPAEINNLEKDSFELYIPGKGSDRDLADTKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLKLTLSKARVKLTENTIFNRIITDSNGSDPFSGLYVGAFSPYGPEVVQLRRKFGHWNSTDEVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASYKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLKLPE >OGLUM01G00600.1 pep chromosome:ALNU02000000:1:492324:501597:1 gene:OGLUM01G00600 transcript:OGLUM01G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAGRLAAASVSDHPSSSSAAATDGANADHLLHVMRAVEGAEATIRNQLEENNRLKEELMQKTRQLQRIREDAASQASSSGVAQDRRSFVATKMDASRSPASAASSDNSRTPSALHHNGAFESGEPSMQQTIRQNNALSNGASKRSSGEQPALDSAAVSQFSTPSSHSLSPTRHRKEGEHDPRLNLAGQGLLPASEMASNMSWKQDLTAKIKENEEEIAQLRKHLADYSLKQARILDDKYMLERRIAQMRMAFDQQQQDLIDAASKALSYRQDIIEENIRLAYAVQAAQQERTTFISSLLPLLSEYENLQPSVLDAQSIVSNLKVLFKHLQEQLIITEEKLKESRYQITPWQAELANDTTLPVHSPTDPLGKALNKSNLDIVTQTPYPHIQSPMSSPVQVRGDWGVAGNKNHQVIPTVVPPRNVDHGDMGRNSLSSRRDVSAQVSQHDPHSVPLDFEPENQNPPFKRLSRSDVSDASEGAEVQHAREHSAHWGHGDSANLVSGIEDTNPSYPYLPTVLEEPGSSFSEAAEDDPLPGIEGLRITGEAFPGRELQASGYSTNGTTSCNFEWVRHLEDGSVNFIEGARQPNYLVTADDVDTLLAIEVQPLDDRKRKGEIVRFYANDQRKITCDPETKELIKRTLETGHVSYEVQLPVKFLDMWEPAILAIKREGYSIKCTGQRGVVLTEKFQQATSINIPYGRPTEFLITSADGVEYNLKPAENALPRDTIVLVLRLFRIMAVEKRRGRKKSLFFK >OGLUM01G00610.1 pep chromosome:ALNU02000000:1:505316:517343:1 gene:OGLUM01G00610 transcript:OGLUM01G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLKSISFGFPISCRFPNPGVYLPYPSRRCRLSILSWAMGSIAGDAARRDDSINDTYHGVPVADPYCWLEHPESEDNKEFVASQAELAESVLTGCADRELCGCCNPRCNRAATAASVLSASPTLTLIAAPRRRSFSPAHVASDVCLAGLSATAGDLARIPAWSSLPSPSRRPPPLAALPYPLSLASPASPHRCLRQICPARAASDARLLRGLGAVPTAGSGVSAGLIEAWPVLTNGVAAAAIITGTDLTESPLRREQPSRRQILLQRCPSANPYAPTAAISERVYLPHPSCCRRLSILSRAMGSVAGDAARLSYPPTRRDDSVIDMYHGVPVTDPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLRSPVDGKTWTCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >OGLUM01G00610.2 pep chromosome:ALNU02000000:1:505250:517343:1 gene:OGLUM01G00610 transcript:OGLUM01G00610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAGDAARLSYPPTRRDDSVIDMYHGVPVTDPYRWLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLRSPVDGKTWTCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >OGLUM01G00610.3 pep chromosome:ALNU02000000:1:505316:517343:1 gene:OGLUM01G00610 transcript:OGLUM01G00610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLKSISFGFPISCRFPNPGVYLPYPSRRCRLSILSWAMGSIAGDAARRDDSINDTYHGVPVADPYCWLEHPESEDNKEFVASQAELAESVLTGCADRELCGCCNPRCNRAATAASVLSASPTLTLIAAPRRRSFSPAHVASDVCLAGLSATAGDLARIPAWSLEDPESEDTKEFVASQVELAESVLAGCFDRENLRHEVTRLFDHPRHGAPFRRGDKYFYFHNSGLQAQSVLYVQDSLDGEAEVLLDPNALSKDGTVALSTYSVSKDGKYIAYGLSESGSDWVTIRVMNIADKQTLSDKLSWVKFSSISWTHDGKGFFYGRYPAPREVELDAGTETNINLNHEIYYHVVGSDQSEDILCWKDPEHPKYSFGASVTEDGKYIILGTYEGCDPVNKLYYCEICTLPQGIEGFKETKGMLPFVKLIDNFDAQYHVVANDGDEFTFLTNRNAPKNKLVRVDIKKPELWTDILPEHERDVLESADAVNGNQLLRSPVDGKTWTCNLTSAIPEMKIFREISVPGFDRTNFEVKQIFVNSKDGTKIPMFIMSKRDIELDGSHPTLLYGYGGFNISLTPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGARAMKQNCFDDFIACAELLISAGYTSYRQLCIEGGSNGGLLIAACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDNEEEFHWLIKYSPLHNVRRPWEQSFVNCCQYPAIMLLTADHDDRVVPLHSLKLLATLQYVLCTSIEDTPQVNPIIGRIDVKSGHGAGRPTKKMIDEVADRYSFMANMLDASWTE >OGLUM01G00620.1 pep chromosome:ALNU02000000:1:523976:529745:1 gene:OGLUM01G00620 transcript:OGLUM01G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKADGGGRRRRSSGSGASSTAAERKEMERRRRQDMKGLCVKLASLIPNEHCSKSKGATRTQLGSLDEAAAYIKKLKERVDELHHKRSMMSITSSRCRSGGGVPAAAAGQSTSGGGGEEEEDMTRTTAAAAVVEVRQHVQEGSLISLDVVLICSAARPVKFHDVITVLEEEGADIISANFSLAAHNFYYTIYSRAFSSRIGIEASRISERLRALYTALATWSKDTYIAPTSKFGVGTLPKISPHRPLFPFDSGGLPPARVGGELVFCRRAPWVPVWSDAAAGGGGGDARSEDWWMNRRKRLVPCGGGGYIIVGDREKPAPCGGASCFVVGVRDVTVLMNRQKKLAPCGSVSGFVIGVREVAAPDGGRSYLRPASPGQAVARSLLLVVLDCPLARWQRFCRISLPHSRMSIQEDNGLFATIRVLQWVWAPSSSVFPTSSFHAEFVVRVELTLLRFNDELRGLLLLSPSSWRQPDGDYRFVKRAARQRRPKVAFVAALPQLPVDFFRSFETDGFMLPFDLFGNVLGFIPVNSVALY >OGLUM01G00630.1 pep chromosome:ALNU02000000:1:546995:551751:1 gene:OGLUM01G00630 transcript:OGLUM01G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKNSTTSTKAAGSCHTSSSGGGGNCYSSSSSKMERKDVEKNRRLHMKGLCLKLSSLIPAAAPRRHHHHYSTSSSSSPPSSTKEAVTQLDHLEQAAAYIKQLKGRIDELKKRKQQAAALTTSTSNGGGGGGMPVVEVRCQDGTLDVVVVSEAIGEERERAVRLHEVIGVLEEEGAEVVNASFSVVGDKIFYTLHSQALCSRIGLDASRVSHRLRNLLQY >OGLUM01G00640.1 pep chromosome:ALNU02000000:1:552982:554331:1 gene:OGLUM01G00640 transcript:OGLUM01G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDKTPVKERPPAPSPARSTASSLRKSSSVLTRSKSFVADRAPDHPKIPKSPFPAEKISMSCYTASRAMSRRAPPKEEEPSSPSSDDELCSSATSSKKRPSTSTRVPVPGKLNLLGKASPSTQFPS >OGLUM01G00640.2 pep chromosome:ALNU02000000:1:553108:555712:1 gene:OGLUM01G00640 transcript:OGLUM01G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSAGVLLKLLDGMKAGAAKPVGEHRTALLQVTDIVPADLDDKDLLPRHGKFYVKVSDSSHSIYATLPLPQADLVLSNKLQLGQFVHLDRLDPGSPVPVIVGARPLPGRHPLVVGTPDPATRAKPAAPRRGSWGPENHAGILASPRVIKPTTLNFEDKTPVKERPPAPSPARSTASSLRKSSSVLTRSKSFVADRAPDHPKIPKSPFPAVRNPPLYTPSSIRLDSI >OGLUM01G00650.1 pep chromosome:ALNU02000000:1:565897:566410:1 gene:OGLUM01G00650 transcript:OGLUM01G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRHSDKYKSVPMLTKPPAAVVRTSSSWSGAGMEIGTGAGSRCRTLHRAVSSVSVAFCLAFSVFSPRKPLVWSLLSAALSLAAYAVPEVLSFAACAVTPPPTSSGEPT >OGLUM01G00660.1 pep chromosome:ALNU02000000:1:567482:582194:1 gene:OGLUM01G00660 transcript:OGLUM01G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSRIKLASPNKSHGLPYLLPVSAGFLPFSHRLACLLAFPIPIPISHQPQTAANPTSARPHRNLARFSEEEMGEYCGAAPEEDPAMALVTPLPTTTTTTTAAAAANKQPHYYGCFDRCSTKQVFDNLQGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRVVPGSTWTHENMSALLLDSIVDKHQIDIEADHLKIVKEMIVASSKFTATESTKEKRFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPADFWKLDDTIIKSIETAPNDELNKAKGIIQRIRRRELYKFCNEYSVPKDKLEHFKNITTQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >OGLUM01G00660.2 pep chromosome:ALNU02000000:1:567482:582194:1 gene:OGLUM01G00660 transcript:OGLUM01G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSRIKLASPNKSHGLPYLLPVSAGFLPFSHRLACLLAFPIPIPISHQPQTAANPTSARPHRNLARFSEEEMGEYCGAAPEEDPAMALVTPLPTTTTTTTAAAAANKQPHYYGCFDRCSTKQVFDNLQGNISLDPLAREFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYWLAGEAMNNLRLYQGEELGIDRVDMQTAFCMTLDMAPSVICLNMSFFLVLTHENMSALLLDSIVDKHQIDIEADHLKIVKEMIVASSKFTATESTKEKRFLYDIVANGRNGIDVDKFDYIGRDCRACGLGCNFQYWRLLQGMRVMGDEICYPAKDYLSIHKLFTTRADLHRTVYTHAKVKAVELMLVDALVEANEYLGIALHAQDPADFWKLDDTIIKSIETAPNDELNKAKGIIQRIRRRELYKFCNEYSVPKDKLEHFKNITTQDIVCSQKSSKVLLKEEDVAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFPITDERVSHLLPAYNQDRIVRVYAKKPELVEAVSEAFENLQLRMYGEKTQVHDTPRKKRIRFH >OGLUM01G00670.1 pep chromosome:ALNU02000000:1:582676:586528:1 gene:OGLUM01G00670 transcript:OGLUM01G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHVDEHKQSTRRAEREKTQDTRRDERAESRWAMAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDAAAGAGDAAARMRGIKTTLELVKLIKAEDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHLLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGLAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPVIDIDSD >OGLUM01G00670.2 pep chromosome:ALNU02000000:1:583684:586528:1 gene:OGLUM01G00670 transcript:OGLUM01G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDAAAGAGDAAARMRGIKTTLELVKLIKAEDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHLLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGLAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPREREIRAGRGGNGVEEQ >OGLUM01G00670.3 pep chromosome:ALNU02000000:1:583684:586078:1 gene:OGLUM01G00670 transcript:OGLUM01G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVKRMVDNSYHDVMESIQAEESAAESARNRLLAVSTLLEQQRGALEVVSGRMASWAIREVEMSAITAELLLLDDAAAGAGDAAARMRGIKTTLELVKLIKAEDVTLTLATDDLEHYALKQPGDATFAPAPSPEELDEAMKHAEAAQEHLLRCCARVRAVVRCFQGVERVGVAGAEQGGVIAEGELGLAHESLDAAVGELLKAEAAAAASTANARNVSARKQNATVPDPPIPEREAN >OGLUM01G00670.4 pep chromosome:ALNU02000000:1:585176:586528:1 gene:OGLUM01G00670 transcript:OGLUM01G00670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSRMSKSMSVANNILAVHVPRLHALRAALDGIQDEPSRADLASSSAATDACRLIRDTAAGLTHATIHLHNSAYYLSSVLRIALRHADADADASTDFSSKLPSLAANPFPRLATGLLASIPTPPPPPAVCTLDDALLVVSVVHNTLALLLDYNLERCILYLRLLGRRNDPNLHRHNRLPLARDRLRAACVMYSWIEEREAN >OGLUM01G00680.1 pep chromosome:ALNU02000000:1:587150:587812:1 gene:OGLUM01G00680 transcript:OGLUM01G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDPAAAAGNGGEDEAVAVKKHLATTALGALRLVTISASDAYSHTLDALHALRSAYANIVDAAPPDLAAAEALLANDCNHSIALASRLVTHMELMAMEATLHVHRWLASTDAAVRLQGIPAVVWRYKMDAVVAWLGNARKKLLDASADCHAVIPLLAVAAAVDEYAPDVRGQWTAAANTGLFGALGHLRGACNIIANAPAVAALARDATTTLFDLLLLL >OGLUM01G00690.1 pep chromosome:ALNU02000000:1:588915:597878:-1 gene:OGLUM01G00690 transcript:OGLUM01G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRDVVRDGRWAAWWHRWVYMGCDALSSLRCVKEKRRPRFSSSSSPRLVPHPSLPSRVSPRRLAASHLLSSPRVAFASALRPLRAALGGSRRSQWRADPAAVYVFRGLRGSAAAAAATGRLFCRRRRGGGGGGGGGGRESVYGEMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPTGGGTAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGMLGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTQLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRTLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTACRVEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRIFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGASKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >OGLUM01G00690.2 pep chromosome:ALNU02000000:1:588917:597878:-1 gene:OGLUM01G00690 transcript:OGLUM01G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRDVVRDGRWAAWWHRWVYMGCDALSSLRCVKEKRRPRFSSSSSPRLVPHPSLPSRVSPRRLAASHLLSSPRVAFASALRPLRAALGGSRRSQWRADPAAVYVFRGLRGSAAAAAATGRLFCRRRRGGGGGGGGGGRESVYGEMKRARDDALMGSQLKRPNVGRSDPTAQPQHMPLGPASAAAPPPQAAAAPPAQPPTGGGTAGQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNTFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEHVYKAFLDILNMYRKDNKSIQDVYHEVAVLFADHKDLLEEFQHFLPDTSVPPQAVAPSRPGIRRDDRTSLVPPASRNEKRDKAHPHADRESVDRPDLDHVIQRRRPKDRHDYDRGDKDGELDSKDLDIGLKRKPFPRKMEDPTSADAHHGGPLENHGMLGASASLYDNKDALKSVYTQEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQQHPDLMDGFNEFLEHCENIGQTGRIVKTEERKEGGKGTEKEPDRIEKVPAYKEAPSQKPVFSSKEKYIYKPVSELDLSNCQRCTPSYRLLPKHYPMPPAGNKTQLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSLKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRTLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNMSFDYVDSNIHEDMYKIIKYSCGEVCSSSDQLDKVVRIWTTFLEPILGVQPRTHGAEDADAVKPKSRTTKSGLATVGEINTTAAGAVAKHGHDENIPQEQTPSSLARMVNGVATDTQNGFHDVDRTACRVEEPSNTAVNGRVQGASPGTNEIPAVSTQNMPTERSAENIPVARTEQHGNAKANLEPTSGVNASRSSHAGNDTAAEARAGNETLPSVEGGETGRSGSTLNGGGASEGNKGRIFNEASASHNTPKVEREEGELSPNGDFEEDNFAPFEDGAVDGASKAKEGSTSRPFQGRPGEAQPSCAEAAGENDADADDEGEESAQRSTEDSENASEGGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGISLPLSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSSSAEKKWRTSKDTNPPDLYAKFISALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSPGRFFDLVYHENARVLLHEESIYRFECCSNPTKLSIQLMEYGHEKPEVTAVSIDPNFSSYLFNEYLSSMSDRKLSEGVFLERNKRKHSNNDEPSDSLKAMDGVKVANGLECKISCKTSKVSYVLDTEDFLFRLRKRRRLSPVGNVPEKLQASKTYAAKVQRFHRFLSKP >OGLUM01G00700.1 pep chromosome:ALNU02000000:1:599800:601461:-1 gene:OGLUM01G00700 transcript:OGLUM01G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANEMVRADSRMMVVFSALASKSGPLTFEDSLRFVKKVKARNYMLYLSLFDILGRMELSRHEAYRELQLLFQNYPDLHEELEKYRPPVPIKHPANNIWPWLFACAVPLVAVSLIPALGNPVLWFVQQTLGEKMKA >OGLUM01G00710.1 pep chromosome:ALNU02000000:1:603971:604438:-1 gene:OGLUM01G00710 transcript:OGLUM01G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICRVHKAIVMGSAPLYDAKTMGAILPPPSCPLTTDLAELLALLPPPEQTISYLDCDDVGLEGFAMRVQHAVTALRFAEQALESSFYTFNDAISLIFTKRKARSSIALALRCAAYAHAQATIALTRIAPPLTTSPTPANNIAHAIAANQQDKSA >OGLUM01G00720.1 pep chromosome:ALNU02000000:1:627439:634762:1 gene:OGLUM01G00720 transcript:OGLUM01G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLVPEWKHAFVDYSLLKKDLKRMQHDHSPQGTIITTSTPHDHHQQQPISAPSSYNLSHCRLLLHKLPAFFGSNNADHAGTIQVHRRVGRGEVYETEVTPEMETAAAAAAAAREFFARLDAQLNKVNHFYKAKEEEFLHRGHSLRKQMDILLDLKSRSSSSLSGHHRAAAGDDPSISCSSATSGAEDESTRYVTSATDTDESQHETAVMRDPEELSAEQGLEGSGSFSRQSLGRTVSSCQRKNLKINIPLTTPCRTISALTDLLRDDLVSQPKNKCDSDAGITFTTINKTKLRHAEKMIKGAFVELYKGLGYLTTYRNLNMMAFVKILKKFEKVSGKQVLSVYLRAVESSYFNSSGEALKLMDEVEDVFVRQFAAGNRRKAMKYLKPTQRKESHTVTFFIGLLTGCFVALFLGYCIMAHIAGMYTQRRDSIYMETVYPVFSMFSLMFLHLFMYGCNVVAWRKARINYSFIFEFAPGRELKYRDVFLVCTASMAVIVGVMFAHLSLAVRGFHAQAIPGFLLLGFLLLLFCPFNMVYRSTRFQFLRILRNIVFSPLYKVVMVDFFMADQLCSQVPMLRSLEYVACYYISGSYRTQEYGYCINTKHIRDLAYAVSFLPYYWRAMQCARRWFDESDTGHLVNLGKYVSAMLAAGAKVAYEKDRSFGSLSLLVIVSSSATMYQLYWDFVKDWGLLQPNSKNPWLRNDLILKSKSIYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEADEED >OGLUM01G00720.2 pep chromosome:ALNU02000000:1:633794:634755:1 gene:OGLUM01G00720 transcript:OGLUM01G00720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNADGAGPDTSAWLSAGDSAAGAGGLNGSGAGAEAFLPRLFFFLCLFLLLVGASAGGSSAGASATGGGVVAGGNAAGASAGAATGGGVDGGRAGGGVAGGGDTGGGVTGGGVTGGGVTGGGGAFFGVGGGGVTGATAGATGGALAGATGGVGVAVGAGVAGAG >OGLUM01G00730.1 pep chromosome:ALNU02000000:1:628037:628954:-1 gene:OGLUM01G00730 transcript:OGLUM01G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSPAAARWWPERDDDDRDLRSRSMSICFRREWPLWRNSSSLAFCASSLAKNSLAAAAAAAAVSISGVTSVSMISIIGAKEGRELVQQESAAS >OGLUM01G00740.1 pep chromosome:ALNU02000000:1:633966:634694:-1 gene:OGLUM01G00740 transcript:OGLUM01G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLSLACPDQTDPSIHPCVCLAINLIISALDLVVYEH >OGLUM01G00750.1 pep chromosome:ALNU02000000:1:651854:655537:1 gene:OGLUM01G00750 transcript:OGLUM01G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHSDSDLLKPRDVCIVGVARTPIGALLGSLSSLPATKLGSVAIQAALRRANVEPALVQEVFMGNVLSANLGQAPARQAALGAGLPDTVPCTTVNKVCSSGMKAVMLAAQTIQLGMHDVVVAGGMESMSNAPKYVAAARRGSRFGHDVLIDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDLYAIQSNERAIAARNSGAFSWEIAPVEISSGRGKPPLIVDKDESLAKFNSAKLRKLWPTFKKNGSVTAGNSSSISDGAAAIVLVSGQKAKSLGLQVIARIRGYADAAQAPELFTTTPALAIPKAVSNAGLQTSQIDYYEINEAFSVVALANQKLLGIPSGKLNLSGGGVSLGHPIGCSGARIIVTLLGILRHKNGKIGVAGVCNGGGGASALVVELMQPSLFTRSSL >OGLUM01G00760.1 pep chromosome:ALNU02000000:1:657147:659630:-1 gene:OGLUM01G00760 transcript:OGLUM01G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPVVTPSAPVSGPPSPGTAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGDDLSKYNSFFAQGKTNYSAGSPDYKETMSEFSMGNCRFFTFEELHQITNGFAAKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >OGLUM01G00760.2 pep chromosome:ALNU02000000:1:657147:659630:-1 gene:OGLUM01G00760 transcript:OGLUM01G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSGSPTKPSPASPSPIAGDPIIPTPNNPSSPLATPSAPGSGTPVVTPSAPVSGPPSPGTAPATAADRSNKSLSPNTQDGSVSSSDGGMSSSAKAGIGVVVAILVLSLVGAAFWYKKKRRRATGYHAGFVMPSPASSPQVLGKTNYSAGSPDYKETMSEFSMGNCRFFTFEELHQITNGFAAKNLLGEGGFGSVYKGCLADGREVAVKKLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISEDQRLLVYDFVPNDTLHHHLHGRGMPVLEWSARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVADFGLARLAMDAVTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTEAIETGNVGELIDSRLDKNFNEAEMFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLSNGIQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFTQSSWDSRSRDVDASGSRPL >OGLUM01G00770.1 pep chromosome:ALNU02000000:1:659732:660748:-1 gene:OGLUM01G00770 transcript:OGLUM01G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPSPGTATPATAAAAPSTATPTPATQPNATPADPSITPPAASPPLPSAATPPPQPDSPPPSPPPAPPVAVPPPATAPPPPVPVASPPPTPSATLPPPSPPASVPVPPTPATTPPKPSPVQQPPVAASPPPSSPAD >OGLUM01G00780.1 pep chromosome:ALNU02000000:1:665117:674785:-1 gene:OGLUM01G00780 transcript:OGLUM01G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxylase 4 [Source:Projected from Arabidopsis thaliana (AT1G68750) UniProtKB/Swiss-Prot;Acc:Q8GVE8] MTDTTDDIAEGISFQAFEDDCRLLGSLLHDVLLRELGPRFIHLLERITILAQSAVNMRSAGVEDTAVVVEKQLGAELAAMSLEDSLCLARAFSHHLNLMTIADTYHRVRKARNFADLSKSCDDTFAKLIQSGVSPEELYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRIAHLLEFNERADLSLEDKEILIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAIPHYLRRVSNALKKNTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSILSQWMAIDLYIRELDTLSFELSINRCSEKLANLANDILLKESASEDQKTNTWNQTGPQNNLKLQHNLALPAQLPSGADLPSCTECNDGESQIRMSKLPGNPKHKLALNITEKREDSPLPSPSHRPMGRTPSGGQLRKMFTESQIGRSSFRKLLEPSISERPGSTPYRVVLGDVKEKLMNTRRRLELLLEDLPCDRDTSEYYDTSDKLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQESGRHTETLDAITSYLDLGVYSEWDEQKKLDFLTRELKGKRPLVPPYIQVTADVQEVLDTFRVAAELGSDALGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVNDLREAGPAIRKLLSIDWYRDHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNAFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTSVRQLEIYTTAVLLATLRPPQPPRDDKWRGVMEEISRVSCAQYRSTVYENPEFIKYFQEATPQAELGYLNIGSRPAKRRTTPGISNLRAIPWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTHELRAMYEEWPFFQSTVDLIEMVVAKADAPMAKHYDDVLVHDAGRRALGAELRQELARTENCVLAVSGHKKLSANNRSLRKLIDSRLTYLNPMNMLQVEVLRRLRQDDDNRKLRDALLITINGIAAGMRNTG >OGLUM01G00790.1 pep chromosome:ALNU02000000:1:679492:681960:-1 gene:OGLUM01G00790 transcript:OGLUM01G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQSRKLLQLQALSLLLFIIALHSRLHGCSGQGEEADGSASTAAAPMDDKEKRALYAAIEGFVGKGWNGSALYPDPCGWSPIQGVSCDLFNGLWYPTVMSIGPVLDNSLQCSADAKFSPQLFDLKRLKTLSFYSCFPATNPTPIPATSWDKLAGSLETLEFRTNPGLTGAIPASLGRLSSLQSLVLVENNLTGAVPAELGSLVRLRRLVLSGNGFSGQIPASLGNGHFAEQLLIMDVSNNSLTGSLPSSLGGLKGLLKMDLSNNLLQGSLPPELAGLGSLTLLDLRNNSLTGGLPSFLQGMASLQDLLLSNNPLGGSLGQLGWEKLRGLATLDLSNLGLVGAIPESMAALTRLRFLALDHNRLTGDVPARLAELPNIGALYLNGNNLTGTLQFSPAFYQRMGRRFASWDNPGLCYSNAAVDAAHAPPGVTVCKVAGGVGDGRKPEASSSLMATSSASNLINGFCFFLWMVATSLL >OGLUM01G00800.1 pep chromosome:ALNU02000000:1:687139:687672:1 gene:OGLUM01G00800 transcript:OGLUM01G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVVLSISTIVPAVAMADAGFIATTCSKTHNAKCVAVLTANPDSADVSTVSDLAGAALDLAVAAASDAGALINDRSSRYGGGTPEGDALRACSGAYFDAANDLDIDAHDSLGSGDYTAASRQMSGAGGAADTCDAAFAAAKVSSVMADVDQKMKDRCSVARDLINLLIEFPPSDN >OGLUM01G00810.1 pep chromosome:ALNU02000000:1:687938:688919:-1 gene:OGLUM01G00810 transcript:OGLUM01G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G74070) TAIR;Acc:AT1G74070] MSHQILHTSNPTLPTPPQPHTHHPPVPPLPKLGRRAALAIAAAPAILSATPAPSRAQEAAAAAAAAPCIADLPVTAKAFLDVSIGGEPAGRITIGLFGDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAIPAVTDRLAAEMGAVRAQCGGDRSPHAAAGAVSIVVRDPSLPPPKPKLVARGGKLEVDQEQVGVVPNGTEFVITTGDAPELDASALVVGRVVDGMDVLGKIAAVPTVKDNTASPYFRVAKLIGDKRAVVAERGFNRPYTKILITNCGVIEQQQQ >OGLUM01G00820.1 pep chromosome:ALNU02000000:1:689724:698766:1 gene:OGLUM01G00820 transcript:OGLUM01G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSTSSSAGPPAAAGSSGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPASASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQPPLLQPGLSVSQGLQYAGLNPTLSSGPQKLSELQPPLLQPPGTTQGPSSGILPTTTAPSANLLAPETSKPLLPNMGPLFTPPVSSVGATLPLASLPTSIAESSAMATHNFSSLVSNKTADIPGSTLAYQSVSQAISSIVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKYNGAGSHSNHHYRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTEVQGPPAFLMLYHHCFADGCFLQTFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGNMGGRGGQGNSYPHRGSY >OGLUM01G00820.2 pep chromosome:ALNU02000000:1:689724:694797:1 gene:OGLUM01G00820 transcript:OGLUM01G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAPPSSSTSSSAGPPAAAGSSGGGAAASPDSYIGSLISLTSKSEIRYEGILYNINTEESSIGLRNVRSFGTEGRKKDGVQIPASTKVYEYILFRGSDIKDLQVKSSPPPPPPPQPAAPHNDPAIIQSHYSQPASASSSLPSAGSAVLPDLSSQAAQYGLQRPSFQSNIPLYQPGSAPWGSSAPPSAGNASGLSVPPMYWQGYYPPGGLPPHLQQPPLLQPGLSVSQGLQYAGLNPTLSSGPQKLSELQPPLLQPPGTTQGPSSGILPTTTAPSANLLAPETSKPLLPNMGPLFTPPVSSVGATLPLASLPTSIAESSAMATHNFSSLVSNKTADIPGSTLAYQSVSQAISSIVASSSSAHMDMPVPLLASSGQLLQNAPSMLSSSQSMQTPLQMSSKDFKAVESKTRVVEPLLPDPPSRALPENNEPILPLPKQTPQKYNGAGSHSNHHYRGRGRGRGSAFSQSVTNFTEEFDFMAMNEKFNKDEVWGHLGKKSHSRDKDGELGDDVFDEDLEDEETENPELAAKPVYVKDDFFDSLTSGTFGRGGQNGRSRFSEQRKLDTETFGDFPRHRQPYRGGGRGYRGGGRARGSYYGGRGYGNMGGRGGQGNSYPHRGSY >OGLUM01G00830.1 pep chromosome:ALNU02000000:1:705304:706705:-1 gene:OGLUM01G00830 transcript:OGLUM01G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAQASSKRGMLLPREAVLYDDEPSMPLEILGYHGNGVGGGGCVDADYYYSWSGSSSSSSSSVLSFDQAAVGGGGGGCARQLAFHPGGDDDDCAMWMDAAAGAMVENTSVVAGGGNNYCHRLQFHGGAAGFGLASPGSSVVDNGLEIHESNVSKPPPPAAKKRACPSGEARAAGKKQCRKGSKPNKAASASSPSPSPSPNKEQPQSAAAKVRRERISERLKVLQDLVPNGTKVDLVTMLEKAINYVKFLQLQVKVLATDEFWPAQGGKAPELSQVKDALDAILSSQHPNK >OGLUM01G00840.1 pep chromosome:ALNU02000000:1:713524:716709:1 gene:OGLUM01G00840 transcript:OGLUM01G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVDPLVVGRVIGEVVDLFVPSISMTAAYGDRDISNGCLVRPSAADYPPLVRISGRRNDLYTLIMTDPDAPSPSDPSMREFLHWIVVNIPGGTDASKGEEMVEYMGPRPTVGIHRYVLVLYEQKARFVDGALMPPADRPNFNTRAFAAYHQLGLPTAVVYFNSQREPANRRR >OGLUM01G00850.1 pep chromosome:ALNU02000000:1:730653:731183:-1 gene:OGLUM01G00850 transcript:OGLUM01G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAILLHLHSDEPVPLLVVIVAAVAAVALVVVSLCTSSKHGKLWKQQQQRSGSSLPQLKAEESAGGGGGASRKQLLATLSGIGGKAAAMAKMVSWNRRSSRQGCSSDDDDEAGGGGLAVEEEEEALWRKTIMMGDKCRPLQFSGHIAYDSDGNQLPATTISKEAADADAVNNIYV >OGLUM01G00860.1 pep chromosome:ALNU02000000:1:733793:734212:-1 gene:OGLUM01G00860 transcript:OGLUM01G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTIHCKNTKKAENTNTTGIHASAAVLAWHAADARNPGSCGNMVVVVVGDGSAQGNGCVEIPAGVVGQNGNGEVVAGGDGIPDDGSMSATTRAAGHRDTTATAAAAASKIGRRDGIFALLLERICAKRRSELTNPPS >OGLUM01G00870.1 pep chromosome:ALNU02000000:1:733860:735627:1 gene:OGLUM01G00870 transcript:OGLUM01G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRPILLAAAAAVAVVSLWPAARVVADIDPSSGMPSPPATTSPFPFCPTTPAGISTQPFPWAEPSPTTTTTMFPQDPGFLASAACHARTAALAWIPVLFEKTNH >OGLUM01G00880.1 pep chromosome:ALNU02000000:1:735923:736444:1 gene:OGLUM01G00880 transcript:OGLUM01G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWLQLPCSLLLVIMLVAATAAAADVVVRDDEKCAACGLPSPCGTTCTYASPPPPDVLPTPVYYPPPPPVYYPPPSPPPVAYPPPTTPSTNCPPPPYGGGGYNPTPSYNPTPGYNPTPSGWFTPPNMPSYLTPPGTLYPQDPGFRPNAAAGGAASWRAVVLAAAAVAGALAL >OGLUM01G00890.1 pep chromosome:ALNU02000000:1:741931:742413:1 gene:OGLUM01G00890 transcript:OGLUM01G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYRLLVILVFSALVALAAGDTYPADCPYPCLLPPPTPVTTDCPPPPSTPSSGYSYPPPSSSSSNTPPLSSSYWNYPPPQGGGGGYIPYYQPPAGGGGGGGGGFNYPAPPPPNPIVPWYPWYYRSPPSSPATAVTTRGRSLLASVAVVTAAAAALITVF >OGLUM01G00900.1 pep chromosome:ALNU02000000:1:744118:748701:-1 gene:OGLUM01G00900 transcript:OGLUM01G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAASLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASDAAYDAMMSVKNLWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAVSELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRRLSLTVLPLYRYLIKRPPPAAAAAAVA >OGLUM01G00900.2 pep chromosome:ALNU02000000:1:744072:748701:-1 gene:OGLUM01G00900 transcript:OGLUM01G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLAAITNPSAAAAAAAVAANPIVLTPGAAPPPPTSSALPTQIPPSDWSLSPADPALATAASFLSTSLSSTSVSLPRFRSLLASFLTTLSNSLSLPAPSPNLPQAIRSVSPYFPAALASPVASRAASLAEYDVLLALAECGLLRHPPPSLLSSLSEADRPELVCAVVRQAADLRSSELLATLRCFLSPASDAAYDAMMSVKNLWKEAAVLAVNRCKEKGAGKKVDAMARQAALLLMMGYDGFTSPEVCLHYLFASENVDSVVFGAAVSELDGGEVVRLMKYLTKWIGKYQRFPEAQACPEAVGMPGLELCDIVPSFRVVAGALGLVFDQHFSHLALNAELKEDLKAAEMMVKQLATEAESAGPILDLLRHLLLLLQQQQWRETSRQRHCTATESDH >OGLUM01G00910.1 pep chromosome:ALNU02000000:1:750716:753872:-1 gene:OGLUM01G00910 transcript:OGLUM01G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNVAILVGSGILGSVLVGGDAKLPSAGEVFSGAAKFVKKHGNEGKDTSSNTDAHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITVVAVAGAVGYAYIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLAGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPAIGSSERVVRRVSSLPQSTALPVLPTTTPAAEPSPRAEAPQEEQWGFVSRTSSCREGSGRFQEQRSVVTRTSSIREGSPESSNGASSSTGASTGTNTSTSRFGGMRLPGLGFLASSTR >OGLUM01G00920.1 pep chromosome:ALNU02000000:1:755479:756438:-1 gene:OGLUM01G00920 transcript:OGLUM01G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDHAGKKVDVVVVGNVDGEHVGVEQARHDLHEEAAAAADHHATRGLAIGFLIREVMVEGLASFLVVFWSCVAALMQEMYGTLTFPMVCLVVAMTVAFVLSWLGPAHFNPAVTITFAAYRRFPVWPKLPLYVAAQLAGSLLACLSVNAVMRPRHDHFYGTAPVVVHGTRLPFLMEFLASAVLMIVIATVATDGTAGKTVGGIAIGAAVGGLGLVIGPVSGGSMNPARTLGPAIVLGRYDGVWIYVVAPVAGMLVGALCNRAVRLSHRIVAFLCGTSVGIAGSP >OGLUM01G00930.1 pep chromosome:ALNU02000000:1:758197:761507:-1 gene:OGLUM01G00930 transcript:OGLUM01G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03440) TAIR;Acc:AT3G03440] MESPPTAAAAAAAAAERPSEAAALRALVDRVRAGEVEAAREVRRLTRSSSRHRRKLAAAVEPLVAMLRSPAPDAGEAALLALLNLAVRDERNKTKIVDAGALEPLLGYLQSSDLNLQEYATAALLTLSASSTTKPIISASGAIPLLVKVLKEGNSQAKNDSVMALYNLSTVTDNLQTILSVQPIPSLIELLKGGKRSSKTADKCCALLESLLSFDQGRAALISEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRNKYRDIILNEGAIPGLLELTVHGTPKSRVKAHVLLDLLRNSPYSRSKLPADTLENIVSNIASQIDGEDRGGKAKKMLAEMVKVIGTFCNGSRHSGNNEFAGDAGPGLDRTE >OGLUM01G00940.1 pep chromosome:ALNU02000000:1:776948:777184:1 gene:OGLUM01G00940 transcript:OGLUM01G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKKKPTPAAAAAALILILCAVMQAQVEARSMKRAPPPPAAHRAVNEDGTLPPAASPSPPPPLAAADAPPAGVYILS >OGLUM01G00950.1 pep chromosome:ALNU02000000:1:782936:787219:1 gene:OGLUM01G00950 transcript:OGLUM01G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGAANWVVGMVLNKLSDELMAGYMASRELGLNMDQIKRDLNYMLALLQAAEGRDIADNLGLQRLLADLCNKADEAEDVLDELHYFVIRDELDGTREATPDLGDGLGAQLQHARHAARNTAAGNYWLSCFSSCCRSQSQQDDTVTVVTGNNRSSSLAISKTEEHDQSGANGHIQESLFDRVATSKKIKSLIQDIHSLCPPISDLLQKCSPCVPPSMERPNTSSVITQKKLYGRDAIFDQTMEQLLKGGAMHHTQNYIMSVLPIVGPGGVGKTTFAQHLYNDHRTKQHFTVMIWVCVSTTFDVTELTTKILNSLNATESQGTNIRESSLDQLHKSIQDKLKSKRFLIVFDDIWEHDFSKAASTKRFSKTEWEKLLAPFGTGETNGNMVLVTTRFPKVAETVKKGANQVDLHGLEPDEFWDFFQLCAFSETQDDNDKEKLFDIGKQIAKKLKCSPLAAKTVGPLLRKKPTRKHWMEILEKEEWLKQKDGDDSIITALKISYDYLPFYLKKCFSYLALFPEDYEFDSLEISCHWDSIGGIINSSGKNDTIEGIGSQYLNELYDNGFLMKGDDNHYVMHDLLHELSQIVSSRECANINYSSFRADDILPSIRHLSITIQDKYTESFKEEMEKIKKRVDIRNLRSVMIFGSYRSRRIANVVRDTLNEIRALRVLFIFMNSPHSLPDNFSKLVHLRYLKIGSPRGFKVCIPSTVSKLYHLKFLDLKSWGGSNNNLPNDFNRLINLRHFLAKKEFHSNVPEVGKMKCLQELKEFHAKKDKIGFELAQLGQLEQLRGELCIFGLKNATREEAIEAKLKHKSNLSKLRLDRGGNREKNTSSSSSRTQVVSNENQDDDIILDSLQPHSNLTELSIVNLGGGMAPSWLGSNIIHLDTLHLDGVPWATLAPFGKIQYLRELKLRNIVGMYQFGPDFPGGTTDSSFRHLKKIVFEAMPDFVKWVGGDDNSHSFFSGLERLECISCPKLNELPLSSCSSSSCTMWFPKLRRLNITRCLELSVPLVPHTSTLTYVRVNDSVRGFNTSKKLTLDGYNGALAFQNLGNLEEIYIGDVHNMSLIDFQQLRSLRRLTVTLCRDTFLRGLDEHIVVVFNSVRVLNLSGFLLTRKMLSNLFRCFPALYVLSMSPSKESHEEVTLQIPSSCSLKTIRLFKCKNLILPPLDDGQGLVNLTSLRNLHINDCGKIFSQWYMGKSAQTTSNPFPSSLLELSICRESSIHSMALLSNLTALTSLQLIDCCNVTMDGFNPLITSNLKELFIHSCGGSVTADLLAEMARTKTTMPQVALQLKDLVVDSISAVLTAPICSFLAPTLRELGIKDDVDRVSSFSDEQEGALELLVSLKKLSFDGLWVLQSLPEGLHKFPSLTELSISYCPQIQSLPKNGLPTSLETFSVFICSSALEEESKRFREEKERFYSESDD >OGLUM01G00960.1 pep chromosome:ALNU02000000:1:791257:791604:1 gene:OGLUM01G00960 transcript:OGLUM01G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSKKGTEETMGNNQMIIHQSRWNPKNHPSMKKVVNMLTGSLQSLAMPPKPFVSSLGHPRPQI >OGLUM01G00970.1 pep chromosome:ALNU02000000:1:792447:798777:1 gene:OGLUM01G00970 transcript:OGLUM01G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQTLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMSSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPGNLQVPPRPFFGVDDHISEMDECCHSSSKSSAISEDD >OGLUM01G00970.2 pep chromosome:ALNU02000000:1:792141:798777:1 gene:OGLUM01G00970 transcript:OGLUM01G00970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRENDEHFMEDAAALRRTKSIEGPAASPDRSPAAGSVAGGGAARRKEGDGPDVTEIPFRPNKSYSWARISAAGRLSQAVAASFSTPAPPEAEHRGVAAAAAAAALQIKGGRDRRIISEMERTVVAGVDRLNAGHGALSRLLVVPDPLALADLGGACSSGGADGPWQRRRQSDYHYNQTKPMLLLLLLLRQAAPSRSRSSRLPLTMAISGALFLPGIFQTLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQNFKEQMSSRNIQNWTSAIIGTELQFLGCVINYYSSATQVWVTLVLVFAVEIVKFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPGNLQVPPRPFFGVDDHISEMDECCHSSSKSSAISEDD >OGLUM01G00970.3 pep chromosome:ALNU02000000:1:792447:798777:1 gene:OGLUM01G00970 transcript:OGLUM01G00970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQTLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNGFPVSFPRVESPSHSPVIKACARDTFQFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPGNLQVPPRPFFGVDDHISEMDECCHSSSKSSAISEDD >OGLUM01G00970.4 pep chromosome:ALNU02000000:1:792141:796042:1 gene:OGLUM01G00970 transcript:OGLUM01G00970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRENDEHFMEDAAALRRTKSIEGPAASPDRSPAAGSVAGGGAARRKEGDGPDVTEIPFRPNKSYSWARISAAGRLSQAVAASFSTPAPPEAEHRGVAAAAAAAALQIKGGRDRRIISEMERTVVAGVDRLNAGHGALSRLLVVPDPLALADLGGACSSGGADGPWQRRRQSDYHYNQTKPMLLLLLLLRQAAPSRSRSSRLPLPSIGQEHGAVGEYLAQNMITAFLEHHKMKSWIQAGRET >OGLUM01G00980.1 pep chromosome:ALNU02000000:1:793732:796175:-1 gene:OGLUM01G00980 transcript:OGLUM01G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFLVTALLFSLLNYGAVMATESDEADFFRNCPPSRCSSDGPDIKFPFRLESSSSSCGAPGMQLSCSGQDTLLLHHVLGLSKVTGIDYIYGVINIVPLAESWSQCALQKIITANYSTSVYKQYGFQYASLVSCSEEFMWDSTDSIFGPISCLSNASQSLYLVAPYAFVSILPLYCKVVSTEIMIPYTSNQGRKDYNASASTEFNESAKRITTFSEITFTWSAPNITDVCIDCERQQRLCGFSSQRRTAFCKPHGSKSPAKVIIVAVSVPTIVVLTLVVASALYLSLKTKNDDEIQLKVEMFLKTYGTSKPTRYTFSEVKRITRRFKHKLGTGGFGSVYKGELSKGVPVAVKMLENSKGEGEEFINEVATIGRIHHVNVVRLLGFCSEGTRHALIYEFMPNSSLEKYIFSRDYISSQEVLVPDKMLKIALGIAQGIEYLHQGCSQRILHFDIKPHNILLDHSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAVSYKSDVFSFGMLVLEMLSGKRNSDPSINSQNEVFVPEWIYETIVSAQESEFAKDMTQEEKEKLRKLAIVALWCVQWNPANRPSMRKVVNMLTGSLQNLKNPPRPFVSSLS >OGLUM01G00990.1 pep chromosome:ALNU02000000:1:796636:798777:1 gene:OGLUM01G00990 transcript:OGLUM01G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGALFLPGIFQTLSILAVLGVLVTKSGAADFQEQPACVPFSCGHLEGIRYPFRLQGDPLGCGDEAYELVCRDGRAIIHINTGKYFVTDISYNESRFWVVDANLDNSSCPLPLWNNLPYFNDMGTKLYTSAVRWATFLNCSRAINNGMYMPVACLSGNTSFVYVLTTSSSYYVQNIEPSCGYLAVIPVDDRTKNVPDYASYADVVKFMRNVLCRFILAPLTVLTFLGYKYRKTRISIDAVEKFLRMQQAHGPKRYAYTEITAITGHFREKLGQGGYGSVYKGFLPGDGHVAIKMLSNSMCNGEEFISEVSTISRIHHVNVVRLVGFCSEELRRALVYEYMPCGSLDKYIFSPEKSLSWDKLNEIALGIARGIDYLHHGCDMQIMHFDIKPHNILLDSNFTPKVADFGLAKLYPRDDSLVPVSAARGTIGYIAPEMISRSFGTISCKADVYSFGMLLLDIAGGRRNREQHTSNSAHLYYPALVYDCLTQQEVSEISEDVGIHWVERKLCIVGFWCIQMKPAERPSMSEVVEMLESDDPGNLQVPPRPFFGVDDHISEMDECCHSSSKSSAISEDD >OGLUM01G01000.1 pep chromosome:ALNU02000000:1:799736:800122:1 gene:OGLUM01G01000 transcript:OGLUM01G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAPTTNAETNGRRRPATGDAAAVTAATLEKGGGRRGDEGEEKVRRLARGRLLARRCRSAPPTARLPAAVGVSLRQPLTRCACAPAAAPLHSAPDTHRRPDPSVLGELVYSVHGAKNVLEMPLLAA >OGLUM01G01010.1 pep chromosome:ALNU02000000:1:800569:820018:1 gene:OGLUM01G01010 transcript:OGLUM01G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAINHCMFDGVGVGVMQFVNSWGETARGVPLSVPPALDRALLRARDPPQVAFLHHEFAQIDDGDDGDSPAQDGAEPLLHRSFRFTPESIAHVKALVAVDSGGGGRAPTTFEALGMRGGASSSSPWTGGRGSRRLLRQGDAGPSPARAGAILRPACPEEKNARRANGQDLFDISLVDGFNVPMDFLPAPPPNQSSQGAPPCSKGPRCPANVTAQCPGELRAHGGCNNACRVFKQDKYCCTGNGTNTCEPTTYSLPFVRMCPDAYSYSRNDASSPGLTCPSGTNYQIIFCPPIDLTSSSPASIAVAANNRQGKKVIAGIIVASVIGSTSVLTIVIAYTIIKRRTRRRREIHEEEQEFEEITLQGMPRRFTFQQLQEATDQFRDKLGEGGFGSVFLGQIGDEIVAVKRLDRSGQGMREFLAEVQTIGSIHHINLLWAQLHLLPQIYPDPGD >OGLUM01G01020.1 pep chromosome:ALNU02000000:1:820572:842495:-1 gene:OGLUM01G01020 transcript:OGLUM01G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQQWCQPFSCGHLSNISHPFWRRGDPHRCGVSSYELECSSGKATIQINTGTYYVTSINYNDSSFWVVDANLQDANSNCPLPRSNHRPFIWGIPGPSYTRELTLQGIWWATFVNCSQAVKNNNKYIHVDCLNTSSSFVYVLNVAPYYALMENLEPSCGYLAMIPVSWTPASGKKYQYFAELMRKGFSVRFPFVLDHLTAFGLIKQCLNRSIRGFREEPFSTTAHVKNRIADIVFMDLIFWACIIGKTSQTSDYFETPLYMVEMLHGKRIFYSGFVLQFVMWTVKWKYVICRFVLAPLAVLTFLAQRYWKTRITIDAVEKFLRMQLMLGPTRYTYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVYVAIKMLDNYNCSGEEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEYMPHGSLDKFIFAPEKSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLCPRDNNYVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQNMSSSTQVYYPSLVYNQLIQQEMGEITNTLNMHELERKLCVVGLHCIQVKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSYRFSSELTEISEEDG >OGLUM01G01030.1 pep chromosome:ALNU02000000:1:823396:824184:1 gene:OGLUM01G01030 transcript:OGLUM01G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAWEEEDFARNCPPSRCSDSGPEIRFPHQLESSNSSSSSSCSASCARLACSGPDTILHHPFLGPCKVTAIDYKKAVFQIIPLVDSLSSCPLQKLIIDNLPEPDYRSSRCSLYNAQPGKIVCCSKVLTPSMSPTNDYDGNIADHAVVGPISCLSDPSHFSYLVDAYEDIYSLPLDCKAVSKGIVPISGAVTADGPTFKQLAERILNFAETTVSWSEGGIPDNCVQCEQQGQRCAFSSQRNQTFCMGHKPHGTILIRYQNII >OGLUM01G01040.1 pep chromosome:ALNU02000000:1:841466:841858:1 gene:OGLUM01G01040 transcript:OGLUM01G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIAPELYSRNFGEISYKSDVYSFGMVVLEMVSGRRSWDPSIENQNEVYFPEWIYEKVITEQDFILSREMTEEEKQMVRQLALVALWCIQWNPRNRPSMTKAVNMITGRLQNIQVPPKPFVSYESHPMP >OGLUM01G01050.1 pep chromosome:ALNU02000000:1:845968:859532:1 gene:OGLUM01G01050 transcript:OGLUM01G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVSPPLYKIFFGGSIQTIFPPPPPPAAGSTAEVAMVDDGVESEEEEEDAPVRVSAYTHGTYYIAMASSSWDDQDFFRHCPPSRCSKHGPEIRFPHRLQSSNTPSSCGSSHAKLICSGQDTILHHPFLGPCKVTVIDYKKAVMKIIPFGGSSSPCLLHKFNSTNLSDDVNDQNQLYFTEPGRIVRCSKEFTTSRASMIDGYNTVIADKVVRLIPCLRDTRSHFSYLVSTWLYLYALPLDCMVVSKGSIPIPNPYVAGLTFKQMVERIINSAEITVDLGLGSIADDCVQCEQQGQRCAFSSQRNQTFCMHHGSRVKVIAATSVATFVAVSLVAATVLYLSLKQRYNEEVHLKVEMFLRTYGTSKPTRYTFSQVKKITRCFKEKVGQGGFGTVYKGKLPNGVPVAVKMLENPTGDGEEFINEVATIGRIHHANIIHLLGFCSEGTRRVLIYEFMPNDSLEKYIFLPDHNNPQELLSPNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFSPKISDFGLAKLCSRDQSIVTMTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRSWDPSIKNQNEVYFPEWIYEKVITGQEFVHSREMTEEDKQMVRQLALVALWCIQWNPRNRPSMTKVVNMITGRLQNIQYLEGVEIRRRFHHRTAWSNKSEEVEALVDTDPLRRSVGSRRGELDRNSQCNLIELVPRETLLRREDVLLQRSMGHLHV >OGLUM01G01050.2 pep chromosome:ALNU02000000:1:859144:864136:1 gene:OGLUM01G01050 transcript:OGLUM01G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKLLFTVLILSLLNYESSSATAWDDEDFFKTCSSHRCSKHGPEIRFPFRLSTQPPSCGAPGMHLSCSGQDTILNHPVLGPCKVTEISYSYATMNVIPPVDSSPHCPLQKLMSKNQSTSVYKPQGPQLQGATLVVCSRDPIPANQYDIVGPISCLGHNNQFWYLADPYTYMSVLPLDCTIISKGIPMPYSYDKKGRINWDTSNFKETANRVINDGETVFTWYSSNITSICQQCEDEGRPCGFSSQSGQAFCRRHGLRVTLVAATSSVATFVILSLVVATAFYISLKSRYNKEIHLKIEMFLKTYGTSKPMRYTFSDVKKITRRFKNKLGHGGFGSVHKGELPNGVPVAVKMLENSLGEGEEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFSNGSNISREFLVPEKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFSPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRSFGAISYKSDVYSFGMLVLEMVSGRRNTDPTIENQNEFYFPEWIYERVINGQDLVLNMETTQGEKETVRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLQNLQVPPKPFISSENHLAT >OGLUM01G01050.3 pep chromosome:ALNU02000000:1:843697:846343:1 gene:OGLUM01G01050 transcript:OGLUM01G01050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSTISTSRRTHHLVTLLLLVAASTNYAAGATLNITNRCSFTVWPAAVPVGGGMRLDPGESWALDVPANSGAGRVWARTGCSFDANGNGSCQTGDCGGVLKCKNSGKPPQTLAEFTVDQTSVQDFFDISLTDGFNVPMDFLPVPAPEQRHGAPPCSKGPRCPANITSQCPSELKAPGGCNSACNVFKQDKYCCTGTTGTKTCEPTTFSLPFVRMCPDAYSYSLDDSSSTTFTCPSGTNYQIIFCPPTDLTSSSPVPPPAPIAIGPSGLDSSSKRGGRLVATIVVSVISSTSVLTIIIASIIIIKRRIRRHQEMQEEEQEFEELPLQGMPRRFTFQQLQEATDQFRDKLGQGGFGSVFLGQIGGERVAVKRLDQSGQGMREFMAEVQTIGSIHHINLVRLIGFCAEKSQRLLVYEHMPKGSLDRWLYHQQGSPAPALDWWTRYKIITQVAKGLSYLHEECMMRIAHLDVKPQNILLDDNFNAKLSDFGLCKLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADVYSFGIVVMEMISGRKNLDTSRSEQSIHLITLLQEKVKGDQLADLIDKHSNDMQVHRQEIIEMMKLAMWCLQIDCKRRPQMSEVVKVLEGTTSIETDIDHDFVATNPVSFGVAGIVDSDPPVASNLSGPSGQNTLRFEQANSTAADLE >OGLUM01G01060.1 pep chromosome:ALNU02000000:1:847628:849916:-1 gene:OGLUM01G01060 transcript:OGLUM01G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFVFIFAVLALLAGDVEGRQGCYPFSCGHLQNISHPFRRRGDPQRCGVPSYELDCRDSKATIRINTGTYYVTSINYTTSVFWVVDASLKDTNSSCPLPRSDQLPYVWRGIQGSHGGWDLTLDPVATCVSFVNCSQAVRSNSVYVPVDCLSTSSSFVYMFVSWMMPPFEGFPIIENLETSCRYLAMIPLGGRDSPLPHNASFSDIVRSMRNGFAVQFPLIHRWSRVGHIKDCLMGSIRGFHEEPLSNQTIKDQIVDMLFIDFSFWSCIIRGVGMKDYFDMPQYMMGMLRGKIEFYGGFIVQFALFVFKWVAVLCRFVIAPLTLLTFLAFKYWKTRIKIDAVEKFLRMQLMLGPTRYAYTDIIAMTSHFRDKLGQGGYGSVFKGVILPGDVHVAIKMLANYNCNGEEFISEVSTIGSIHHVNVVRLVGYCAEEIRRALVYEYMPHGSLDRFIFSPDKSLSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDSNFVPKVADFGLAKLYPQDNSFVPVSAARGTVGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNSKQDMSSSSQSYYPSWVYNQLVQQKMGEIANAFNMHELEKKLCVVGLHCIQMKPPDRPTMSEVIEMLEGDVDGLQLPSRPFFCDDEPLPLLVDSCRFSSELTEISEEDE >OGLUM01G01070.1 pep chromosome:ALNU02000000:1:855919:858820:-1 gene:OGLUM01G01070 transcript:OGLUM01G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIIPGSFHRSTALRVISVLFVLAVVVPDAGGRHQHHRHDCLPFTCGRLSNVSSPFRRRGDPSECGFASYELTCTDDKATIQIYEGTYSVTGINYSDSTFWVVDANISDSPNTLPQRIVPPYHYWSNYNPRHSFHYFELEPASSWWSAFVNCSQEINNKMYSPVACLNNASRSFLYVLIYSINSFFYIDDLEPSCGHLAITPLRDNDTTVLEENPSCEDVAKIIRGGFAVRFPYTIDAVESFKRCRADAFRHFRHEPTSTAGIKSTMADTATFDIRYMECVIFVARLSAVVQWFVQLTTIGMWLLKWIAVIFRFVGAPLVIMTFLAPKYWKKRITIDAVDKFLRMQLMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGNIHVAVKILANYSCDGEEFINEVSTIGSIHHVNVVSLVGFCSEETRRALVYEYMPHGSLEKYIFSPEKSFSWDKLNEIALGIARGINYLHRGCEMQILHFDIKPHNILLDSNFIPKVADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMVGGRRNSKQDMSSSSQAYYPSWVYNQLVQQELGEMVTAFNMHELEKKLCIVGLHCIQMKSHDRPTMSEVIEMLDGGADGLRLPSRPFFCDDEPMPHVVGSYHLSSGLTEISEEDEY >OGLUM01G01080.1 pep chromosome:ALNU02000000:1:865792:866400:-1 gene:OGLUM01G01080 transcript:OGLUM01G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRNKSFVSDRALRGTVGYIAPEMVLRSFGVISSKCDVYSFGMLLLEMAGGRRNADPNTNPNASQSYYPSWVNGQLTGEQVGETSGAADMHELQKKLCLVRLWCIQMKSYDRPTMSETIEMLEGDVNALQVPPRPFFCDGDFMPNVMDSYLHSSKLTAISEDDGAIEFASN >OGLUM01G01090.1 pep chromosome:ALNU02000000:1:866410:885058:-1 gene:OGLUM01G01090 transcript:OGLUM01G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGMPPPQVMDSYFHSSELTAISEEDDGILCELVVAAAAMVIPGSFCCPIALQVFISLLCALAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPECGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYDQMLYKRHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNLIGSLEPSCGYLAMTPLDAGDRPLGLENASYIDVVKLMRGGFAIRFPYTSYFYIYSSKIKQCIAQSFQNIVQEEQPTYFRIFYTVIFDYRFWGCLLPHPVVQLIVGVIPLAMWTLKFILAPLVILTFLARKFWKIRIKTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLAVNSHQFSSELTVCPQILNGGAADGSDLSNLYQARRHIYHLLSVHHNTSAFRILDRGEPPAWSPLVDMGWVRKCSTNITVFSEDYYWTGRHHKCPSFSCGHLKGVSAPFRRAADPPRCGSKSYELVYSDTNATILIDNATYHVNEINYDYRRFWVVDANIAGSTCPLPRWNHLLDQYKRKVSGHRIEFELVPAAYNHANFVRCSREVEDNGIYRPVTCASSNYSFIYVLLSSDSDNPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRIYGAGSINECLMDSISKLRNGIEPRSSTGTAISDRLIVISIIDLHFWSCLIGDPSGFLIAFGMWIVKCIAVLCRFVLVPLAILTFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYAYTDLTTVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGASTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLH >OGLUM01G01090.2 pep chromosome:ALNU02000000:1:866410:885058:-1 gene:OGLUM01G01090 transcript:OGLUM01G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGMPPPQVMDSYFHSSELTAISEEDDGILCELVVAAAAMVIPGSFCCPIALQVFISLLCALAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPECGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYDQMLYKRHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNLIGSLEPSCGYLAMTPLDAGDRPLGLENASYIDVVKLMRGGFAIRNIVQEEQPTYFRIFYTVIFDYRFWGCLLPHPVVQLIVGVIPLAMWTLKWIAVFCRFILAPLVILTFLARKFWKIRIKTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLALCYHASPSPSSSSVVAAVCPQILNGGAADGSDLSNLYQARRHIYHLLSVHHNTSAFRILDRGEPPAWSPLVDMGWVRKCSTNITVFSEDYYWTAYAHAVQALATGILFVVVSLVLDHVEGRHHKCPSFSCGHLKGVSAPFRRAADPPRCGSKSYELVYSDTNATILIDNATYHVNEINYDYRRFWVVDANIAGSTCPLPRWNHLLDQYKRKVSGHRIEFELVPAAYNHANFVRCSREVEDNGIYRPVTCASSNYSFIYVLLSSDSDNPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRIYGAGSINECLMDSISKLRNGIEPRSSTGTAISDRLIVISIIDLHFWSCLIGDPSGFLIAFGMWIVKCIAVLCRFVLVPLAILTFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYAYTDLTTVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGASTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLH >OGLUM01G01090.3 pep chromosome:ALNU02000000:1:866410:885058:-1 gene:OGLUM01G01090 transcript:OGLUM01G01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGMPPPQVMDSYFHSSELTAISEEDDGILCELVVAAAAMVIPGSFCCPIALQVFISLLCALAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPECGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYDQMLYKRHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNLIGSLEPSCGYLAMTPLDAGDRPLGLENASYIDVVKLMRGGFAIRFPYTSYFYIYSSKIKQCIAQSFQNIVQEEQPTYFRIFYTVIFDYRFWGCLLPHPVVQLIVGVIPLAMWTLKWIAVFCRFILAPLVILTFLARKFWKIRIKTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLALCYHASPSPSSSSVVAAVCPQILNGGAADGSDLSNLYQARRHIYHLLSVHHNTSAFRILDRGEPPAWSPLVDMGWVRKCSTNITVFSEDYYWTGRHHKCPSFSCGHLKGVSAPFRRAADPPRCGSKSYELVYSDTNATILIDNATYHVNEINYDYRRFWVVDANIAGSTCPLPRWNHLLDQYKRKVSGHRIEFELVPAAYNHANFVRCSREVEDNGIYRPVTCASSNYSFIYVLLSSDSDNPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRIYGAGSINECLMDSISKLRNGIEPRSSTGTAISDRLIVISIIDLHFWSCLIGDPSGFLIAFGMWIVKCIAVLCRFVLVPLAILTFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYAYTDLTTVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGASTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLH >OGLUM01G01090.4 pep chromosome:ALNU02000000:1:866410:885058:-1 gene:OGLUM01G01090 transcript:OGLUM01G01090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGMPPPQVMDSYFHSSELTAISEEDDGILCELVVAAAAMVIPGSFCCPIALQVFISLLCALAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPECGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYDQMLYKRHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNLIGSLEPSCGYLAMTPLDAGDRPLGLENASYIDVVKLMRGGFAIRFPYTSYFYIYSSKIKQCIAQSFQNIVQEEQPTYFRIFYTVIFDYRFWGCLLPHPVVQLIVGVIPLAMWTLKFILAPLVILTFLARKFWKIRIKTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLAVNSHQFSSELTVCPQILNGGAADGSDLSNLYQARRHIYHLLSVHHNTSAFRILDRGEPPAWSPLVDMGWVRKCSTNITVFSEDYYWTGRHHKCPSFSCGHLKGVSAPFRRAADPPRCGSKSYELVYSDTNATILIDNATYHVNEINYDYRRFWVVDANIAGSTCPLPRWNHLLDQYKRKVSGHRIEFELVPAAYNHANFVRCSREVEDNGIYRPVTCASSNYSFIYVLLSSDSDNPGYIESLEPSCGYLAMTPLGDWSTTVPRNASYEDVKKFMREGFAIRFPRIYGAGSINECLMDSISKLRNGIEPRSSTGTAISDRLIVISIIDLHFWSCLIGDPSGFLIAFGMWIVKCIAVLCRFVLVPLAILTFLAHKYWKTRLAIDAVEKFLQMQQVLGPTRYAYTDLTTVTSHFRDKLGQGGYGSVYKGVLLSGDVHVAVKMLNGASTYDGEEFISEVSTIGRIHHVNVVRLVGFCSEELRRALVYEYMPQGSLDKYIFSSERSFSWDKLNEIAIGIARGINYLH >OGLUM01G01090.5 pep chromosome:ALNU02000000:1:876078:885058:-1 gene:OGLUM01G01090 transcript:OGLUM01G01090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFYDGDGMPPPQVMDSYFHSSELTAISEEDDGILCELVVAAAAMVIPGSFCCPIALQVFISLLCALAVLVPDADGRRHHRPACPPFTCGHLSEVSPPFRRLGDPPECGVASYELSCTNDKAATIQIDNGTYLVTDINYKDSTLWVVDANISDSRNNCPLPRWNRDFGYYDQMLYKRHGEDSRRRSIQAELMPPYRSTRATFVTCSQEMRNNGKYRPVACMSTNSSFVYVLTGMNSNLIGSLEPSCGYLAMTPLDAGDRPLGLENASYIDVVKLMRGGFAIRFPYTSYFYIYSSKIKQCIAQSFQNIVQEEQPTYFRIFYTVIFDYRFWGCLLPHPVVQLIVGVIPLAMWTLKFILAPLVILTFLARKFWKIRIKTDAVEKFLRMQLVIGPTRYAYTDLIAITGHFGEKLGQGGYGSVYKGVVLPGNAHVAVKVLGNSNCNGEEFISEVSTIGRIHHINVVHLVGFCSEEIRRALVYEYMPRGSLDKYIFSSERSFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLCPRDQSFVPLSALRGTIGYIAPEMISRSFGIISSKSDVYSFGMLLLEMAGGRRNSDMYAENSNQTYFPSWVYDQLTEQQVGVGEIPAGTVANMHELERKLCIIGLHCIQMKSHDRPTMSEVIEMLEGGVVGLQMPPRPFFCDDEPVSLAVNSHQFSSELSEILEEDE >OGLUM01G01100.1 pep chromosome:ALNU02000000:1:879322:880215:1 gene:OGLUM01G01100 transcript:OGLUM01G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPNPHGTLSPKLKKIARRFKDKLDYGGFGSVYKGELPNRVLVAVKMLENSIGEGEEFINEVATIGRIHHANIVRLLGFCSDGTRWALIYEFMPNESLEKYIFQIDSNVSRQFLIPKKMLDISLGVARRMEYLHQGCNQRILHFDIKSRNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVENQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQCNPKKRPSMTKVVNMLTGRL >OGLUM01G01110.1 pep chromosome:ALNU02000000:1:885104:886556:-1 gene:OGLUM01G01110 transcript:OGLUM01G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPCLSTSRSFVYVLTGQQSALIQSLEPSCGYLAMTPLGGRDSVAPVNESLSLSYADVVKSMRKGFRVQFPFRDRTVGGSFKQCLMDSIPVSTSTGKTDWFGTILSADTNFLLCIPVHQIPSRYRMPLGIMLYAIAYALQIRKMIAALCRFLLMPLAVLVFLAHKYWKTRVTIDAVEKFLRMQQMLGPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLLPGDLHVAVKMLNGNSNCNGEEFISEVATIGMIHHVNVVRLVGFCSEEMRRALVYEHMPRGSLDKYIFLSERSFSWDKINEIALGIARGINYLHQGCDMQILHFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVSDRALRGTVGYMAPEMVSWSFGVISGKSDVYSFGMLLLEMAGGRRNVDPNADSSKSKAYYPSWVYD >OGLUM01G01120.1 pep chromosome:ALNU02000000:1:886925:891070:1 gene:OGLUM01G01120 transcript:OGLUM01G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQVMMKTSSRLVHHIGAASKDQRSDSSPHCPLRKLVSVNQSTAVYKPHTSEVASLVGCSRDSIDTNQYGIVGPTSCPSLANNASQFWYLACPYTYMSILPFGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGETVFTWYSSNVTSICQQCEHEGRRCGFSSQRDQAFCQHHSPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVESQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSQNQLVI >OGLUM01G01120.2 pep chromosome:ALNU02000000:1:886925:891070:1 gene:OGLUM01G01120 transcript:OGLUM01G01120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMPPTCIWDKNCKQTQDRNYPQDSTFSFTSISSPLHCMDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSHRCSKQGPEIRHIIMNAIPLVDSSPHCPLRKLVSVNQSTAVYKPHTSEVASLVGCSRDSIDTNQYGIVGPTSCPSLANNASQFWYLACPYTYMSILPFGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVESQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSQNQLVI >OGLUM01G01120.3 pep chromosome:ALNU02000000:1:888175:890753:1 gene:OGLUM01G01120 transcript:OGLUM01G01120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPFGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTVFANNVNMKVGVVALALKGIKHSASIIVRVSQSLQSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVESQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSQNQLVI >OGLUM01G01120.4 pep chromosome:ALNU02000000:1:887653:891070:1 gene:OGLUM01G01120 transcript:OGLUM01G01120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTNLLITVLLLLSPLKYKSDVATASDDEDFFKTCSSHRCSKQGPEIRYPFRLSTQPPSCGAPGMQLSCSGQDTILDHPVLGSCKVTMIYYRHIIMNAIPLVDSSPHCPLRKLVSVNQSTAVYKPHTSEVASLVGCSRDSIDTNQYGIVGPTSCPSLANNASQFWYLACPYTYMSILPFGCTIVSKDIPMPYSYDKNGPNFDISIFTETAKRVISTGPRVTIIAATSSVGTFIVLSLIVATALYISLKSRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKIARRFKDKLGHGAFGTVYKGELPNGVPVAVKMLENSVGEGQEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYELMPNESLEKYIFPHGSNISRELLVPDKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYSFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGMLVLEMVSGRRNTDPTVESQNEFYFPEWIYERVINGQDLVLTMETTQGEKEMVRQLAIVALWCIQWNPKDRPSMTKVVNMLTGRLQNLQVPPKPFISSQNQLVI >OGLUM01G01130.1 pep chromosome:ALNU02000000:1:892940:895290:-1 gene:OGLUM01G01130 transcript:OGLUM01G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPGPFFRRSTALQVFSVLCVLRFVVPDPDIEWPLIHGIPACPPFTCGHLSKVASPFHRRGYECGVASYELTCTDDKATIQIDNGTYFVTGIDYSDSTLWVVDANISDSRNNCPLPRWKRIPYYYDDVSSDDEESSPYNIQVELDPASRWWSFFVNCSKEINNNVMYSPVACMGTSSSFVYVLTGRLSCYIENLEPSCGYLAMTPLDGLGRVAPAVDSLSYEDVVKFMRKGFRVQFPFRHHRNRSFKDCLMESIPKSTGIGKLSDWFSLSVIENFVDCATAKIPSPYNILLGIILYALMFWTMLARTYLLAPLVVLIFLAQKYLKRMITIDAVEKFLRMQQMLGPTRYAYTDIIAITGHFREKLGQGGYGSVYKGVLLPGDLHVAIKILNGYSNCNGEEFINEVATIGRIHHVNVVRLVGFCSEEMRRALVYEYMPRGSLDKHIFSSERRLSWDKLNEIALGIARGINYLHQGCDMQILYFDIKPHNILLDDNFVPKVADFGLAKLYPRDKSFVSDTALRGTVGYMAPEMVSRSFGIISGKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPTWVYDQLIADQQVDEISNFANMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDALQVPPRPFFCDGDGIGNGMPSPQVMDSYFHSSDLTAISEEDDGIAELASS >OGLUM01G01140.1 pep chromosome:ALNU02000000:1:898590:902467:1 gene:OGLUM01G01140 transcript:OGLUM01G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFMKKFGIILLLFSFFRGQMVQSVPYDHTASIEASVYGLFLQICLSNPMIPLYSGGVIKNSEFNVGLTDWTVPLGVQATVNRSSSGNKFAEARTDGQPSRTVYQTVQMQPNTHYSLSAWLQVSAGTANVMAVVRTPDGQFVAAGATVAKSGCWSMIKGGMTSYSSGQGQLYFEADAAVAIRVDSVSLQPFTFDEWDAHRQQQSAGRARRSTLGVVVARGTDGAPVPNATVTADLLRPGFPFGNGMTREILDNPAYEQWFTSRFTVATFENEMKWYATEGRQGHEDYRVPDAMLALAERHGVRVRGHNVFWDDQSTQMAWVRSLGPDELRAAMDKRLRSVVSRYGGGKVISWDVVNENLHWSFYEGKLGPDASPAIYHQVGKIDGETPLFMNEFNTVEQPVDMSAMASKYVAKMNQIRSFPGNGGLKLAVGLESHFGATPNIPFMRATLDTLAQLKLPIWLTEIDVANGTNQAQHLEEVLREGYGHPNVDGMVMWAAWHATGCYVMCLTDDEFKNLSVGDVVDKLIAEWRTHPVAAATTDADGVVELDLAHGEYNVTVTHPSLVSSAVRTLTVDASSSSSENAIDIRV >OGLUM01G01150.1 pep chromosome:ALNU02000000:1:912340:914995:-1 gene:OGLUM01G01150 transcript:OGLUM01G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWERNLKKMRQSNSISLCVPAYKIVKMEPQEKNSQSTSLGAKVVLSLAGVVCKNIFKMNGTLPHWGCNGIKDSDDQWLNSDS >OGLUM01G01150.2 pep chromosome:ALNU02000000:1:912340:914995:-1 gene:OGLUM01G01150 transcript:OGLUM01G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWERNLKKMRQSNSISLCVPAYKIVKMEPQEKNSQSTSLGANSDDQWLNSDS >OGLUM01G01150.3 pep chromosome:ALNU02000000:1:912340:914995:-1 gene:OGLUM01G01150 transcript:OGLUM01G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLWERNLKKMRQSNSISLCVPAYKIVKMEPQEKNSQSTSLGANSDDQWLNSDS >OGLUM01G01160.1 pep chromosome:ALNU02000000:1:914883:919196:1 gene:OGLUM01G01160 transcript:OGLUM01G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDLGCSGDESWDVGFLLELRRSTLSDFHQSSSLVPEKEKHIKLILPRVCSKILCFHRSFSTADPGARHGRRRRRCGGASALSARPQGKITRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVHILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPSEWPNFQPRRSFASTRSCSMDMIYLQDMIALGGDEVSVSNMQIHVIIHQNCPLAISILYPISKVACKLCPLDHGSNTSLQAHYLVVKVGNTSKGLF >OGLUM01G01160.2 pep chromosome:ALNU02000000:1:914883:917643:1 gene:OGLUM01G01160 transcript:OGLUM01G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDLGCSGDESWDVGFLLELRRSTLSDFHQSSSLVPEKEKHIKLILPRVCSKILCFHRSFSTADPGARHGRRRRRCGGASALSARPQGKITRLNALLHAVIEIEVNSDALQQPARADTEHASGHSYCGPLHGVHILKDNIVKRDRLNTTAGSFALLGSVVCRDAGVTAGLRAAAAAAIILSKANPSEWPNFQPRRSFASTRSCSMDMIYLQDMVSTLFNNPKHHISAGSDCHTICSCHNCLECQIALGGDEVSVSNMQIHVIIHQNCPLAISILYRMY >OGLUM01G01170.1 pep chromosome:ALNU02000000:1:926637:929673:1 gene:OGLUM01G01170 transcript:OGLUM01G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01140) TAIR;Acc:AT2G01140] MPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAIAQDNGLVPIVEPEILLDGDHAIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKQRATPEAIAKHTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQEPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLVRAKANSLAQLGRYTGEGESDEAKKGMFQKGYTY >OGLUM01G01180.1 pep chromosome:ALNU02000000:1:931642:934325:-1 gene:OGLUM01G01180 transcript:OGLUM01G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSATDLRLLALLIIIGGVQVHGRRHHERCHPFSCGHLHNISHPFRRRGDPSDCGVPSYELDCSADPRKAAIRINTGKYYVSSINYTASVFWVVDANLQDDANSSCPLPRSDQLPYVGPGIPGSHDSWELGLDEGMLWVSFVNCSQELTNNSLYLPVDCLINDTSWFVYTRLLSDFLLDSPVIGSIQPSCGYLAMTPISGGMHYDLPRYTSYADVVKSIRGGFAVKFPTDGEHLTTWYGLIKDCLKELAGNDDKPLPRVGIKDWIIDILFIDLCFWGCMMGKSRRYYLDMRRSISDMIRGVDYTLYHKLFIVYTLCLVKWIAVLCRYVFAPLAVLIFLTHKYWKTRIAIDAVERFLRMQLMLGPKRYAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVYVAIKMLDGNSNFNGEDFINEVATIGRIHHINVVRLVGFCSEEMRRALLYEYMPKGSLDKYIFSSEISFSWDNLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVSDRALRGTVGYMAPEMVSRSFGVISSKSDVYSFGMLLLEMAGGRRNADPYADSRASKAYYPSWVYDQLITDQQVDEISNIANMHELERKLCLVGLWCIQMKSHDRPTMSEVIEMLEGGVDALQVPPRPFFCDGDGIGNGMPPPQVMDSYLHSSELTAISEEDDGISELASS >OGLUM01G01190.1 pep chromosome:ALNU02000000:1:934895:938814:1 gene:OGLUM01G01190 transcript:OGLUM01G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISFRPLLALSLLLALLSHGTCISNSSLGWEDQDFFRHCPVSRCSDGGPEIRFPHRLQPSKTSSACGASCAKLACSGQDTILHHPYLGPCNVTSIDYKEAVMNIIPLVYSSSPCPLQELIFDNLQPYDNRRCHLYSWDPAKIVRCSKEFTPSGTSPVAGYAFMNNADFIAGPISCPGEASHFSYLVYARLYMYVLPLDCRIVSRGSIPIPGPDYSDIGGLTFKERAERIINFAETTVSWYSYDGVTFNCTKCERQKQRCAFSSQRNQTFCMSREHHGSSVKVISATSSAAAFVVLSLIVATVLYISLKSRYDEEVHLKVEMFLRTYGTSKPTRYNFSDVKKIARRFKEQLGQGGFGSVYKGELPNGVPVAVKMIEHTTRDGEEFINEVATIGQIHHINIVRLLGFCSEGTRHILIYEFMPNESLEKYIFLHDPNTSQELLAANKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSIQNQNELYFPEWIYEKVITGQDFVLSREMTEEDRLKVRQMALVALWCIQWNPRNRPSMTKVVNMLTGRLQNIEVPPKPFVSYESHDVP >OGLUM01G01200.1 pep chromosome:ALNU02000000:1:958954:961343:-1 gene:OGLUM01G01200 transcript:OGLUM01G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALSTVLYVLALVVADADHHVVHVQGRRHRQCQPFSCGHLQNVSYPFRRRGDPRRCGVPSYELDCRSDDGKATIRINTGTYHVSSINYTASIFWVVDATLQDDANSSCPLPRSDQLPYVGLGIPGSHDSWDLGLDDMITWVGFVNCSQELITNSSSKYLPVYHPVDCLTTASSFVYYMLPFFGSLVPSVEDIEPSCGYLAMTPIGGGRNFVNLSEYTSYADVVKSMRGGFAVKFPTDGGPWLNWFGLIKKCLNKSVSLAGSGGNPLPRVGIKDRIIDILSIDLRFWGCMIGISRRYYLDMHLSISDMIRGVDHSLYHKLFIIYTLCLVKWIAVLCRFVFAPLAVMTFLTRKYWKTRIAIDAVEKFLRMQDMLGPKRYAYTDIVAITSHFRDKLGQGGYGSVYKGVFLPGDIHVAIKMLDGNSNCNGEDFISEVATIGRIHHINVVRLVGFCSEEMRRALVYEYMPRGSLNKYIFSSERIFSWDKLDEIALGIARGINYLHKGCEMQILHFDIKPDNILLDDNFVPKVADFGLAKLYPREKSFVSDRALRGTVGYMAPEMVSRSFGVISDKSDVYSFGMLLLEMAGGRRNADPNANSNASRAYYPAWVYDQLIADQQVDEISNVVDMHELERKLCLVGLWCIQMKSHDRPTMSEAIEMLEGGVDVLQVPPRPFFCDGDGIGNGMPPPQVMDSYFHSSELTAISEEDDGVAE >OGLUM01G01210.1 pep chromosome:ALNU02000000:1:963140:966344:1 gene:OGLUM01G01210 transcript:OGLUM01G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFLAIYLLLAILSHGTCSYMATTASGWDDHDFFRNCPPSRCSKDGPEIRFPHRLESSNTSSACGASCARLACSGQDTILHHPFLGPCKVTSIDYKEAVMNIIHLLPFPCPLQKLMVDSLPPDDYHGCNLYRRIPAKIVSCSKEFTPSGTSPVPYELDPLQNAAENIFGPISCLSGTGQYFSYLVHAQLYMYLLPLDCRIVSRGSIPIPGSDSSSGPTFKEKAEKFINFAETTVSWGSFQDGVLDNCMVCERQKQLCAFSSRRNQTFCTSHVNHHGSSVKVISATSSVAAFVVFLFIMATALYLSLKSRYDEEVHLKVEMFLRTYGTSKPTRYNFSDVKKITRRFKEQLGQGGFGSVYKGRLPNGVPVAVKMIEHSTGNGEEFINEVATIGQIHHINIVRLLGFCSEGTRHILIYEFMPNESLEKCIFLHDPNTSQELLATNKMLDIALGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTKARGTMGYIAPELYSRNFGEISYKSDVYSFGVLVLEMVSGRRNSDPSIENQNEVYFPEWIYEKVITEQDFVLTREMTEEDRLKVRQMALVALWCIQWNPRNRPTMTKVVNMLTGRLQNIEVPPKPFAM >OGLUM01G01220.1 pep chromosome:ALNU02000000:1:967463:969777:-1 gene:OGLUM01G01220 transcript:OGLUM01G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFRRSAALQAMAAFSVLALVVPDQVQGRGKCPSFSCGRLNYVHFPFRRQGDPAECGVPSYELTCADSNATIQIDKATYLVTEINYSDQYFWVVDASLDSANNCPLPRWSQSPYNENYRLGEDSHRRVQVQLSPDVNWFATFVKCSQEMNSSNVMYGPVACRSGNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLALGGLENWRTATAAATLEDVNYEDVVRSMSEGFAVRFPFRSGGFIDCLRGLISDSSGEPTVYRIFVIVTNIDSYFSICGRHATQLPHPFVVLLMLVPQTFWILRFRHALFVHTLGLYSRDEHVYGVACRFVLVMLLMWTSVAYMYWKIKMRVDEVEKFLQLQQMLTPTRYSYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGDVRVAIKMLKGDANCKGEEFISEVSTIGRIHHVNVVRLVGFCSEEMRRALVYEYMPQGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHHGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDKSFVPVSAARGTVGYIAPEMISRGFGAISSKSDVYSFGMLLLEMAGGRRNADPNAENSSQAYYPSRVYRQLTRQETGEITAAADMHELEKKLCIVGLWCIQMRSCDRPMMSEVIEMLEGGVDCLQIPPRPFFCDDDYIPAMESLYLSSEVELAAISEEEDEESITELN >OGLUM01G01230.1 pep chromosome:ALNU02000000:1:970620:971344:1 gene:OGLUM01G01230 transcript:OGLUM01G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVVVLLLSLLIYAATAWDAESFFNFKSCPPNQCSKHGPKVRFPLRLASQSPSCGTPLMELSCSGHDTILYHPVLGSCKVTVISYKHAAMSIILLVDSTPHCPLQKLISTNLSTDVYKPQKLEAASLPDCKVVSKGIQAPSTFKETENGVIGVDELVFTWYSSDITRDCQKCENEGKHCGFSSQRGRAFCYYGIFSF >OGLUM01G01240.1 pep chromosome:ALNU02000000:1:977643:978920:-1 gene:OGLUM01G01240 transcript:OGLUM01G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHDVTSEVRMEHHLPLDGLKRPRGGVRPPTPPAAVYEKKERRAWQAPETTDENAAEAIDQLEIFDRYKGSRAPILTGIA >OGLUM01G01250.1 pep chromosome:ALNU02000000:1:979539:983828:-1 gene:OGLUM01G01250 transcript:OGLUM01G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYGDDYDEYDDYGETGHSDDIQHPTKEEKESSKKSSSMVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDSTKMPVNTRTTDFGGDPEIKNASISHEKGTAGANVGSTQYASVGSSSGAGKKVKHIALPEDVPVERTAQLISDHFQLKEDQSSRATSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRACNFKDSSVTWIPLSAVENQNLIKIPSDVHLTSWYQGFCLLDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQLAAFGKLETGAIRIGSKVLISPCGEVATVKSIERDSNSCDIARAGDNVAVSLQGIDGSKLIAGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVEEFSKCRALGRAFLRSCGSTIAVGVVTRVLGQDEN >OGLUM01G01260.1 pep chromosome:ALNU02000000:1:984066:985459:1 gene:OGLUM01G01260 transcript:OGLUM01G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGGRSIEETGVEADKKKKGRSSWGVRRGGVEYAAAAAPNFVADAGTACEPDETRSQAVPASAMKFSAAAAYSPLPLLTPQLELPVFLLASTPVSSLLHPPARFSIHSASSGWVSSSRSRCFPFRLRSGSWFSLYK >OGLUM01G01270.1 pep chromosome:ALNU02000000:1:988200:993822:-1 gene:OGLUM01G01270 transcript:OGLUM01G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRSRVETARPAAPLSWASMKLATVAMAGVFRRSAAQQAMAAFSVLALVVPDYPLNAQCYNSDRQGKILEYLVTDINYSDQYLWVVDASLDSANNCPLPRWSQSPYNENYRLGEDSHRRVQVQLSHDVDWFATFVKCSQEINSNNVMYRPVACRSSNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLAVGGLENWRAATAAVTLEDVNYDDVIRYMREGFAVRCPFRSEGFIDSLRGLIR >OGLUM01G01270.2 pep chromosome:ALNU02000000:1:988200:988885:-1 gene:OGLUM01G01270 transcript:OGLUM01G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVFRRSAAQQAMAAFSVLALVVPDYPLNAQCYNSDRQGKILEYLVTDINYSDQYLWVVDASLDSANNCPLPRWSQSPYNENYRLGEDSHRRVQVQLSHDVDWFATFVKCSQEINSNNVMYRPVACRSSNSSFVYVLTGLGSYLAENLEPSCGYLAMTPLAVGGLENWRAATAAVTLEDVNYDDVIRYMREGFAVRCPFRSEGFIDSLRGLIR >OGLUM01G01280.1 pep chromosome:ALNU02000000:1:993243:1005428:1 gene:OGLUM01G01280 transcript:OGLUM01G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLASIDGANWSSCDIYGWGSAALVSCSEELTQTDIPSTHIAGPISCLSTNSTRFSYLVAYDVPTFLIPIQCEVVSNGPIPIPYFYSGHASPTFRQSAERILNFADTTAYWRSSANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRPEMNTSMIHWHGCTCKKLLKKQNLPAITDVIFQLSVAAVQGSHVKMQRNICPAYFLLGSIDRHSAVHTENRMRGFVAAALLVLSLLLNLHTAASASASAWEDKDFFKSCPPSRCSEHGPEIRESAERILDFSETMVGWNFLRCTEFCEFQGGRCAFSSQRNQTFCMRPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLALGREMTEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVQQDLHNMLA >OGLUM01G01280.2 pep chromosome:ALNU02000000:1:993243:1005428:1 gene:OGLUM01G01280 transcript:OGLUM01G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLASIDGANWSSCDIYGWGSAALVSCSEELTQTDIPSTHIAGPISCLSTNSTRFSYLVAYDVPTFLIPIQCEVVSNGPIPIPYFYSGHASPTFRQSAERILNFADTTAYWRSSANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRPEMNTSMIHWHGCTCKKLLKKQNLPAITDVIFQLSVAAVQGSHVKMQRNICPAYFLLGSIDRHSAVHTENRMRGFVAAALLVLSLLLNLHTAASASASAWEDKDFFKSCPPSRCSEHGPEIRFPFQLESNNNTTPSSCGLPCMKLSCSGQDTILEHKYSYLGRPYKVTAIDYKQALLTVVPLADEDNSSSPCPLPKSIRPSVITDSPYYYIWYLNPCQPYFTQYAALVSCSTELALASAPGPATDYDYIAGPISCLSNQTHFSYLVAVYVPMFLLPLDCEVVSDDFIPIPAFHYPGYAWTTFRESAERILDFSETMVGWNFLRCTEFCEFQGGRCAFSSQRNQTFCMRPGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLALGREMTEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVQQDLHNMLA >OGLUM01G01280.3 pep chromosome:ALNU02000000:1:993243:1005428:1 gene:OGLUM01G01280 transcript:OGLUM01G01280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLASIDGANWSSCDIYGWGSAALVSCSEELTQTDIPSTHIAGPISCLSTNSTRFSYLVAYDVPTFLIPIQCEVVSNGPIPIPYFYSGHASPTFRQSAERILNFADTTAYWRSSANPAAYNCSQCEQQGRRCAYSSQRNQTFCMRPEMNTSMIHWHGCTCKKLLKKQNLPAITDVIFQLSVAAVQGSRVKVIAATSSVAAFVVLLLMVATALYLSLKTRYNEEIHLKVEMFLKTYGTSKPTRYTFSEVKKISRRFKVKVGQGGFGSVYRGELPNGVPVAVKMLENSEGEGDEFINEVATIGRIHHANIVRLLGFCSEGTRRALIYEYMPNDSLEKYIFSQDSDTSQELLVPSKMLDIALGIARGMEYLHQGCNQRILHFDIKPNNILLDYNFSPKISDFGLAKLCARDQSIITLTAARGTMGYIAPELYSRNFGEISYKSDVYSFGMLVLEMVSGRRNSDPSVESQNVVYFPEWIYEQVTIGQDLALGREMTEEEKATMRQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQVPPKPFFSADSHPVQQDLHNMLA >OGLUM01G01280.4 pep chromosome:ALNU02000000:1:989761:993635:1 gene:OGLUM01G01280 transcript:OGLUM01G01280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVVVLLLSLLIYVATAWDAEGFFNFKSCPPHQCSKHGPKVRFPLRLASQSPSCGTPLMELSCSGQDTILYHPVLGSCKVTAISYKHAAMTIIPLVDSTPHCPLQKLISTNLSTDVYKPQTLEAASLVSCSRDLPRDQHGVVGPITCLSNNASQWYLIHPYASMSVLQSDCKVVSKGIQAPSTFKETENGVIGVDELVFTWYSSDITRDCQKCENEGKHCGFSSQRGRAFCYYGSYVIIIIASSAAIIIVLLLIVPIALCLFLKSQYNEEVHLKVEMFLKTYGTSKPKRYTFSEVRKISRRFKHKLGQGGFGSIYKGELPNGVPVAVKMLENSIGDGHEFINEVATIGTIHHANVLRLLGFCSEGTRRALIYEFMPNDSLEKYIFSQGSNVSRDYLVPEKMVDVTLGIARGMEYLHQGCNQRILHFDIKPHNILLDYRFNPKIADFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGAISYKSDVYSFGILVLEMVSGRRNMDPSIETQHEVYFPEWIYEKVFTGQQLTVGMEMTQVEKDRVRQLAIVALWCIQWNPRNRPSTTQVVNMLTGRLQDLQMPPKPFFSSASESIP >OGLUM01G01290.1 pep chromosome:ALNU02000000:1:998788:1001139:-1 gene:OGLUM01G01290 transcript:OGLUM01G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASRSRPSAAPCRKLYYFLQKALLVSSLLAIVATDAAGAGQNPQYCPPSSCGHLRNISYPFRLQGDSRDCVATPRPWYNLSCSSGKATIHINTGTYYVTSINYTGEVFSVVDATLQDDDTNGSSCPLPRSDHLPYTKNYWRLYSGETSTDSYGAIDLYTVSHSWACFVNCFRAITDIMPRYRPVTCLLPNNSFVFVSFDDCAVGELQPSCRYLAMIPFDGWHISDSQLQNASYTDIIGFIRKGVSVSFPIGPYQSNHISVTECLKGSKRYFKQHTSRASIQDLTRALFWSETYSEVDCTNLGASKKDMIFLGIMVSAIDITKFHFGTYELLAFNHHTGDIMLLLGSLVIFIFLAHKYWKTRITIDAVEKFLRMQQMIGPMRFAYTDIIAITSHFRDKLGQGGYGSVYKGVLLPGNLHIAVKMLTGSSSCNGDEFISEVSTIGRIHHVNVVHLVGFCSEEMRRALVYEFMPRGSLDKYIFSSEKSFSWDKLNEIALGIARGINYLHQGCEMQILHFDIKPHNILLDENFVPKVADFGLAKLYPRDKSFVPVSAARGTIGYIAPEMISRSFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSRVYRELTRRETSEISDIADMHELEKKLCIVGLRCIQMRSCDRPTMSEVIEMLQGGTNELQVPPRPFFCDDEQFPGVESYNMPSDLTAISEEHEDDDDDESICLFESYQ >OGLUM01G01300.1 pep chromosome:ALNU02000000:1:1006271:1010874:-1 gene:OGLUM01G01300 transcript:OGLUM01G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFRVSRRGRRFYPPPPPPPPAAAATAADRAAAPPEGSPLPPPLPWDVSALGYLFLPIVVCLKKRAIAIVWIGFVYASLGAAARSDVYDRDGVGSDDLDLEPSFALNLFPDGYSISDPGKRLLVSAKGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVILGDMPCKFRNGTIVCEVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDQLIAESTILRALQPRLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVVCIENETPEGLPRGILNGLSMDCPLALQIKKAQSAAGSDPDTAIQYSSTLMNSCVSCNIKQSASCTPAPDLLLQSQQAQIAILQVDHENEQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSLLSSESTKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSAFTADCPHPSSETNNSCVEKIPKEVNYSTVRLKDRNLPSTVGPDNYCVEELKDRTTPSVISCSASSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLYQEIDSKEKRQKKSDTQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGSERSCPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTMNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQVAVETIYGDEHHHANKLVDQFILLMRRDGYTLCNDIREQYEDAPQLGYLTGGYPQYPIARTMVINGSNNIGCTFHNGPPHVHANTQQQWMQAQQCPTLPSVQTNFWNPYHPGQQHYTGGILNHGGFYANRAFSMDMDQHQHVQQRQGVGWFPNGVFSMDLDQYQPVRQRQGVGQCLHCRHDIPGFFSERSYATHASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCTPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQVPLGYQYMSHGMW >OGLUM01G01300.2 pep chromosome:ALNU02000000:1:1006271:1010874:-1 gene:OGLUM01G01300 transcript:OGLUM01G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSFRVSRRGRRFYPPPPPPPPAAAATAADRAAAPPEGSPLPPPLPWDVSALGYLFLPIVVCLKKRAIAIVWIGFVYASLGAAARSDVYDRDGVGSDDLDLEPSFALNLFPDGYSISDPGKGMLLFLIGDDPQKRPYSKASQALFSVRDYRPFLSNAGDSSGDDFPIVNRVSLRLGTERVVKDLASVVNASWTYHDQLIAESTILRALQPRLNLDPTPCLERLQNSVKKIDLGLNKGRQKIKATSIDNTSADPPENCKPKEFITCEGAVVCIENETPEGLPRGILNGLSMDCPLALQIKKAQSAAGSDPDTAIQYSSTLMNSCVSCNIKQSASCTPAPDLLLQSQQAQIAILQVDHENEQPQKETVQLQNRKEHSNLPREIHDCQSCRPSNKHSLLSSESTKCHFQKSIRSSNNKGLNLVSPNQQPVKVNLDQTTGSKDMRVQQQKSLSAFTADCPHPSSETNNSCVEKIPKEVNYSTVRLKDRNLPSTVGPDNYCVEELKDRTTPSVISCSASSRKAPSKPPKVVTEPQPSSSKRKVLGVYTYLYQEIDSKEKRQKKSDTQSNTPCENVSPGEPDVTDGISSELGISPDIESCIGDPSYTIEPDIEKILSEVILTSKRHGLNERAAKLDGSERSCPLPPSKFFLSENTADIAYTQNEIMSNYPTGRTMNTRKIRRLSFHPVQYLCRGVVDECHYTLRLLESEAPDDHQVAVETIYGDEHHHANKLVDQFILLMRRDGYTLCNDIREQYEDAPQLGYLTGGYPQYPIARTMVINGSNNIGCTFHNGPPHVHANTQQQWMQAQQCPTLPSVQTNFWNPYHPGQQHYTGGILNHGGFYANRAFSMDMDQHQHVQQRQGVGWFPNGVFSMDLDQYQPVRQRQGVGQCLHCRHDIPGFFSERSYATHASTGSYNQWRQISTPLGGKVYQWDLPAFDRRVCGCTPVNYAGSSTPLSTLHPVGSPPMSSQSFGSNDGSLTSTPVQLQVPLGYQYMSHGMW >OGLUM01G01310.1 pep chromosome:ALNU02000000:1:1011311:1016488:1 gene:OGLUM01G01310 transcript:OGLUM01G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2I0] MGRDQAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >OGLUM01G01310.2 pep chromosome:ALNU02000000:1:1011311:1016488:1 gene:OGLUM01G01310 transcript:OGLUM01G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2I0] MGRDQAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >OGLUM01G01310.3 pep chromosome:ALNU02000000:1:1011242:1016488:1 gene:OGLUM01G01310 transcript:OGLUM01G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2I0] MGRDQAAAAVVHEKVKLFIGVLALQFLLAGFHIVSRAALNMGISKIVFIVYRNLISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLGKRHGVAKVVGTVVSIGGATVITLYKGLPLFNHNLNIKSLSSSSLILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVNSGSELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAIILGDQLYSGGIIGAVLIVIGLYFVLWGKSEEKKSKNNNLQDQPVQGGGDDIRRHLLGQEDASRKDEEAAVTDELA >OGLUM01G01310.4 pep chromosome:ALNU02000000:1:1011242:1014283:1 gene:OGLUM01G01310 transcript:OGLUM01G01310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2I0] MEKERTRRLAAAAADCCRLRLPQRRRRRRILPLGAPANCCLLLRVSDSINAGAGDSTLSLRAPANCRRYLHPPPRIAELWLKE >OGLUM01G01320.1 pep chromosome:ALNU02000000:1:1024165:1034049:1 gene:OGLUM01G01320 transcript:OGLUM01G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSVSSRGGSTLDNIDEWKWKLHMLLRNEDEQEVISRERKDRRDFEQLSQLAERMGLYGRQYSRIVVFSKVPLPNYRSDLDDKRPQREVSIPSGLQREVDALLSDYLARKRTSSGSFPNAAFSRSSSTDSFATDESFLEQQDNQTSTSAVIERIQRRKSLQLRNQQESWQESHDGQSMMEFRRSLPAYKERQTLLEAIAQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMKGRDTRLLFCTTGVLLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLVLMSATLNAELFSSYFGGAPMIHIPGFTYPVRSRFLEDILEITGHRLTPYNQIDDYGQEKSWKMQKQALRKRKSQIASVVEDTVQAADLRDYSARTRDSLSCWNPDSIGFNLIENVLCHICQKERAGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDRPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPQCVYEAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVENAIEYLKVIGAFDRNEELTILGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRNGYDYCWKNFLSVQTLKAIDSLRRQFLFLLRDTGLVDENMTACNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKETKIPFPWLVFNEKVKVNSVFLRDSTAISDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMSRDLASTYLSLKSELDNLIHCKLQNPRMDIQTSEELLSAIRLLVTEDPCNGRFVYGRQEQRSKKAKTMFSAAPMSHGGGGNGGDNAKNQLQTLLTRAGHDNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAGEALNWLTGGAPSDSRDPQDMDHMSMLQKPPRRKRHHHRRG >OGLUM01G01330.1 pep chromosome:ALNU02000000:1:1049687:1056560:1 gene:OGLUM01G01330 transcript:OGLUM01G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--serine O-phosphatidyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G15110) UniProtKB/Swiss-Prot;Acc:F4HXY7] MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLICIKFGHGLFPKSMPSWLFVAWTTVASLLMMFLLVWTWKIYRTMIRKRL >OGLUM01G01330.2 pep chromosome:ALNU02000000:1:1049984:1056560:1 gene:OGLUM01G01330 transcript:OGLUM01G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-diacylglycerol--serine O-phosphatidyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G15110) UniProtKB/Swiss-Prot;Acc:F4HXY7] MEVNGHHKPRREYNGRECNGVQSVNNFGDIDPWTAWAYKPRTVSLLLMGTCFLIWASGALDPERSFSVDRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVGIWAGMKTVRYFDGRTYEWVGLSRQPNIISKVKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIVFMIVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPVKKVGSFCWLSLAICILELLICIKFGHGLFPKSMPSWLFVAWTTVASLLMMFLLVWTWKIYRTMIRKRL >OGLUM01G01340.1 pep chromosome:ALNU02000000:1:1060804:1063095:-1 gene:OGLUM01G01340 transcript:OGLUM01G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPLVEEKKKQQLPVKLQDAGEPARTPEQTVTSHSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAVASHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPHAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >OGLUM01G01340.2 pep chromosome:ALNU02000000:1:1060804:1063095:-1 gene:OGLUM01G01340 transcript:OGLUM01G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPLVEEKKKQQLPVKLQDAGEPARTPEQTVTSLQLNFEIWAPTNVADNVRAMNADSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAVASHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPHAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >OGLUM01G01340.3 pep chromosome:ALNU02000000:1:1060804:1063095:-1 gene:OGLUM01G01340 transcript:OGLUM01G01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPCTHHTLARSQIIAEFQLPLKFNFLKRKNRVSSSFPVVEEKKKQQLPVKLQDAGEPARTPEQTVTSHSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAVASHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPHAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >OGLUM01G01340.4 pep chromosome:ALNU02000000:1:1060804:1063095:-1 gene:OGLUM01G01340 transcript:OGLUM01G01340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPAVVADEDNAVKTTATVATTARPDQPCTHHTLARSQIIAEFQLPLKFNFLKRKNRVSSSFPVVEEKKKQQLPVKLQDAGEPARTPEQTVTSLQLNFEIWAPTNVADNVRAMNADSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAVASHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPHAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >OGLUM01G01340.5 pep chromosome:ALNU02000000:1:1060804:1063095:-1 gene:OGLUM01G01340 transcript:OGLUM01G01340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGSSGRLHALRFESQRFRLLTIVVGCFLISLTFLLSSRPDATVFSTLNPRESLEDARMPAMKMLHRSSSAGLTRDFHVDILPQQGDRDTHAHLQQSVDQTAEKTEWVKDTVIIQEVAGERSEEAEEAAERVAADSGDEAAPADSVDRRPLCDFSDFRTDICDFSGDVRMAANTSEFVVVVDPAAVASHKVRPYPRKGDATCMGRVTEITVRATGDAAGAPRCTRAHGVPAVAFSIGGYTGNIFHDFSDVIVPLYNTVQRYRGGVQLVMANVASWWLVKYDKLLRELSRHAPIDLAKAGAAGEVHCFPHAVVSLRAHRELIIERERSLDGLATPDFTRFLRRALSLPRDAPTRLGDGTGRRPRLLVISRGRTRLLLNLDAVVRAAEEVGFEVVVNESDVGNSIEQVGKLINSCDALLGVHGAGLTNMMFLPPGATMVQVVPWGGLQWIARMDYGEPAAAMGLNYIQYEIAVAESSLKDKYPAGDEIFTNPTGLHKRGFAFMKQTLMDGQDITIDVTRFRPVLQQALHNLAAK >OGLUM01G01350.1 pep chromosome:ALNU02000000:1:1068195:1071257:-1 gene:OGLUM01G01350 transcript:OGLUM01G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVAQQHGGGRAVAMKAAARERKPRHSNGRVAAAAAAAKNLSKVEPGRHLAVVRLFPACLLALLICLCVVKFFSSLSSQSQRIGTRSRMVSSWEGSASTNVPRIPVAPLIMGRVDEDISTRSPELDHCMFVSGISVLDHANTSPVASGSGAMQAKFLVENLQRPWVLSLETVVFPNANLKGKPGSVFKNENFKNGTDSENKSRSERQVAISTENDPPPGKEESLTKSPQTAVSESEAPKPRSKISCDDKSKDEGFPYARPIVCHMSGDVRVSPATSSVILTMPSQQAEAAPQRIRPYARRDDFLLPLVREVAITSAASEGDAPSCNVSHGVPAVIFSIGGYTGNFFHDMADVLVPLYLTTFHFKGKVQLFVANYKQWWIQKYKPVLRRLSHRAVVDFDSDGDVHCFDHVIVGLVRDRDLILGQHPTRNPKGYTMVDFTRFLRHAYGLRRDKPMVLGETSGEKPRMLIISRRRTRKLLNLRQVAAMARELGFEVVVSEAGVGGGSGGVKRFASAVNSCDVLVGVHGAGLTNQAFLPRGGVVVQIVPWGRMEWMATNFYGAPAAAMELRYVEYHVAAEESSLARRYPREHAVFRDPMAIHGQGWKALADIVMTQDVKLNLRRFRPTLLRVLDLLQD >OGLUM01G01360.1 pep chromosome:ALNU02000000:1:1086176:1102642:-1 gene:OGLUM01G01360 transcript:OGLUM01G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREEMGSEVKPAKHGLRRHLNAGFVAGFLLVLLTYVIVSQQFAMETPTAVTSRAPRIDENESVTKARVETEKTEQEWQRPKDTSGAVSAEGFSKRDSTNAKPIENGKVVCSSNGFYSDTCDVDGDVRINGTALSVTLVPASRRSERRREWKIQPYPRRTVSGIAEVTVTRQQDQAAAPACTVTHGVPGVVFALGGLTGNYWHDFSDVLVPLFVASRRYGGEVQFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDTEVRCFRRVTVGLRMHKEFSVKPELAPGVQRLTMADFAAFLRDTYALPRAAAAGAKRPRLVVIRRGHYRKIVNMDEVVRAAEAAGFEASVMSPRFDEAVEEVARRVNAFDAMVGVHGAGLTNAVFLPAGAVVIQVVPYGRLERMARADFGEPVADMGLRYMEYSVAADESTLLEMLGPEHQVVKDPEAVHRSGWDKVAEYYLGKQDVRINVARFAATLAAAFDHLRPSHSYISIFIGGAERGEGEMGGDHGKLMKSLKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAIAAPNAIRKTSPGHASPTIPPPVEEKRPQLPPIIEQRQAPKAEHEHAAVVQEKTPSAEEIEIQKETEEDHTKEKPTDDVTTTVEESAPAKKPACDIQGPWASDVCSIDGDVRIHGAAHDVVIPPPIEGGGSNPNPREWRVVPYSRKHMGGLKEVAVREVASAADAPACDVRSPVPALVFAMGGLTGNYWHDFSDVLIPLYLQARRFDGEVQLVVENIQMWYVGKYKRVLDRLSRHDIVDMDRDDKVRCFPGAVVGIRMHKEFSIDPARDPTGHSMPEFTKFLRDTFALPRDAPVSLVDSAVAVRPRLMIISRRHPRKLMNVEEVVRVAERIGFEVVIGDPPFNVDVGEFAREVNRADVLMGVHGAGLTNSVFLPTGAVLIQVVPYGKMEHIGKVDFGDPAEDMRLKYMAYSAGVEESTLVETLGRDHPAVRDPESVHRSGWGKVAEYYLGKQDIRLDLARFEPLLRDAMDYLKHHTVRIPNNYYKLYKYIRLVEFGCPTETDESSVQLRN >OGLUM01G01370.1 pep chromosome:ALNU02000000:1:1103067:1106133:-1 gene:OGLUM01G01370 transcript:OGLUM01G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKAGYSYGGHHHHQDAKLLKNLSRVEPRRFGLGLVAGFLIVTCAYFSTAKFDAIHIAMSTPAHLLLLLLLTHLHAYLSSISLLSPWILAVSSPAKNAAGFMNSSSDGSNQQQLDLDRDAMSREGSKAQVLDTDGDDKISSLGPDLGHNASVVEGKKRDETFAKDSGDASVSASTDEALAKDDDAIVGAVLPPLSSEEPTNSTQDSVLEDEELKVQETAPVATNPSPEKSSNNGSSPSVVPSDPATLPVQQIPPTQEAKDPPAQQIPAVPEAKVPPVQQIPTFPVVKTDSEAAPRRKEWKPLCDLWSNRRIDWCELDGDVRVAGANGTVSLVAPPGPADERTFRAESWHIKPYPRKADPNAMRHVRVLTVQSLPAPAASAAAPACTERHDVPGLVFSDRGYTGNYFHAYTDVILPLFLTARQYSGEVKLLVSDFQMWWLGKFLPVFKAISNYDLINLDDDRRVHCFRHVQVGLTCHADFSIDPSRAPNGYTMVDFTRFMRATYGLPRDAPFPASGEHQPRRPWRPRLLVIARARTRRFVNADEIVRGAERAGFEVVVSEGEHEVAPFAELANTCDAMVGVHGAGLTNMVFLPTGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHPIFTDPDGVKSKGWNSLKEAYLDKQDVRLDMKRFRPILKKAIAHLRKNSGNNNTTHN >OGLUM01G01380.1 pep chromosome:ALNU02000000:1:1110075:1111199:-1 gene:OGLUM01G01380 transcript:OGLUM01G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMEWRMAPAMKPVPFLADPPQVSSLQMLLPPEYSHLAGIGEISSIHNGIVVIYAHRYYLLYDASNNHLTAIPPLPDSLCSPTFLPLGRTAVVVTDGDDDDDYILADIVTSSTTGLPAAKLFVWSPSSSEWAETPPVRLPLPPHLCGPTYFFHIDTAFSFGGSTICWVDLLKGILICDDISSPEGPELVFVPLPHCHDVHGKPRHCFSPNEHRSIGCVSGAIKFVALIGYGEEASCPENEVKLKTWALSPDFKHWKEETTLTVGDIWASESFNEIGLPHVMPISPILSVNEDGIMYAVLNDILQEPIPDHVNEFGQVLGDRLVAKANYMIRLDILQNKVLSFTKISQHGELRWLTPYLIATDFSAYLQDHTV >OGLUM01G01390.1 pep chromosome:ALNU02000000:1:1114542:1118624:1 gene:OGLUM01G01390 transcript:OGLUM01G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHPRPPPLVLLERVVRFVEAAGLTSGGASRDPDVAAIIEVGGWSWSTVQMMGSVEEMERLMAPSVKPVAFLGDPPQVSSLHMLLPPPARLDLLGIGEISSTHKGIVVIYANKCYLLYDASNNHLTAIPPIPDSVTSAPIFLPLGRGAVLVSAAGADDDDYIFADIVTSPSTRGINPALPKATIFARVKNGGEWIQSSIPRLPLPPHLCGPTYFFHIDTAFSFAGTIFWVDLLKGILICDDILSSPQGPRLAFVPLPHCIDAHDKPRHCFSPNEHRSIGRVSGAIKFLALIGYCEASCPENEVKLKTWSLSPDFKHWKEETTLTVGDIWASESFSQMGLPHVLPFSPVLSVNEDGIMYAVLNDVKKEPIPQLNEFGDSLGMQLVPKANYMIRFDMLQNKVLSSTKISKKATSRWLTNTFLATDFSAYLQDRQNAEAAGKVGASAKGKRKRMSSRQAGRSMCQGQACDCKTNRRKKYIMSGFGNGDDPTSAARRKSATLSRAEEEVAGAASIDVGSVAGVRHRFGSGRRVRCTATRWQGVGCVTVGVMELEPRGR >OGLUM01G01400.1 pep chromosome:ALNU02000000:1:1127443:1131469:1 gene:OGLUM01G01400 transcript:OGLUM01G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEGRTGSSWVCETPTESMIHSGGRRRRHRRGSKGPYERGGDGEVDGSAREAKGCMDAAATDAQAGSTLGSGCCAAMAHAWMEEFSGDSMLCRNKLSINPLKETDMILLERGFCHCEKGEELPKKNQQEPPPHQLLQQKNHQQCQQQAAHTPKSMVQKPEWNTHEEVKEVHLKQNVPAPSSYLHKSSNTQEEVKEVYVKENVPAPSSYLLKSSGLKSLFVKASGVSVSIQVDVSNTKVDYLINSACEKLGVKAQDTYAVLCGKVLDYDKLLSEYLLYQNSTVEIRYRGRAGQLNWDQKFNVNDTVLYYDVNLDPALQNAGIQAFQYARFFSDFSSYNIQKVLMHVTEQHRAGWSYDGGFNSQNIIFHDGAVSIENVPVVAFDKDPCARDYTSLYNIFSTRFGPVYPVHFDHLLEFLSTCPCGVNSRNDAIVAFVTNHPSIETYVDRMKQLMILDNVVHRHPAHLQANIQAMAAYASHASLRASMGPYAYAWKGSAQLVPELNNVLIYQPPGKPLQWKNPLYTDNAKGCLHFANNFLKHARNRFPEKQIEAAFALHMENFLPKILQGLAELADRTPNRQYINDMKQQSGDILIGKL >OGLUM01G01410.1 pep chromosome:ALNU02000000:1:1143078:1144328:1 gene:OGLUM01G01410 transcript:OGLUM01G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAQDRRQKKRNFNLFHLLKSAPTPADSIIVTGGKIPETLILRHSQSFKIRRIRCKSSEIEESTDVGPVVGASEISAPGGDGLYDYIEPEGCKTCTLP >OGLUM01G01420.1 pep chromosome:ALNU02000000:1:1143122:1143505:-1 gene:OGLUM01G01420 transcript:OGLUM01G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVPANSGEGSGYGEHQWSKRSAVVAAAQPGEAGSGGAPCGWRRPNRAATPGGGNGGTLASDWMGKQKGMGVLGTSNPFLPSISEDLQRMRRILKLCEWRKIRVSGIFPPVTMIESAGVGADLRR >OGLUM01G01430.1 pep chromosome:ALNU02000000:1:1149556:1150498:-1 gene:OGLUM01G01430 transcript:OGLUM01G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTNVGENWKKGLAKVLDHLDSIRGKLSDLDNQQEAHHIAIQRLERAGREHTTSSVGQSSAQVASRTVCRLTPPEMDERRRKGLCFNCDDPYTRVDEKSALGRWCRNCVGAD >OGLUM01G01440.1 pep chromosome:ALNU02000000:1:1152106:1160814:-1 gene:OGLUM01G01440 transcript:OGLUM01G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT4G04350) TAIR;Acc:AT4G04350] MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAKARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRISDVHRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVIPR >OGLUM01G01440.2 pep chromosome:ALNU02000000:1:1152106:1160814:-1 gene:OGLUM01G01440 transcript:OGLUM01G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT4G04350) TAIR;Acc:AT4G04350] MAVAMMQTPLQLRLQPRPPAVVAARHRRRLQSGFPPPNPRRGGGWCRCCANADAGKAQAKARRAYPYDEIEPRWQRHWEEHRTFRTPDIGHGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMQGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNINRFRSQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITSYADRLLEDLDELDWPESIKEMQRNWIGRSEGAELEFSAVDKEGHDLGANLLVYTTRPDTIFGATYVVLAPEHSLLSSLISEEQRVHVEEYIELTARKSELERTDLQKEKTGVFSGSYAKNPATGEIVPIWVADYVLGSYGTGAIMAVPAHDSRDHEFALKYKLPIIKVVSPLNGNCDSEEAYADDGIMINSSSSSSGLNIDGMLSKDAALKVTEWVEANGFGKKKVNYKLRDWLFARQRYWGEPFPVIYRDDTNEMLPLQENQLPLTLPELDDFTPTGTGEPPLTKATDWVKTVEPLSGKPARRETSTMPQWAGSCWYYLRFMDPQNASMLVDKAKESYWGPVDIYVGGAEHSVLHLLYARFWHKVLYDIGVVSTKEPFKCLINQGLILGEVTKVGDHYVLKDDANIRLNARAYKMSKSRGNVINPDDVVSEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGTPLPDGSYGVGTTVTDEEPTLDQLRVLHKCIARVTEEIQETRFNTAISAMMEFVNAAYKWDTQPKSVIESFILLLSPFAPHMAEELWFRLGNSRSLAHEQFPEGKSEYLKESKLVLPVQINGKTRGTILVDKECSEDDAFQIAASDEKLSKYLTGKGIRKRIYVPGRILNVIPR >OGLUM01G01450.1 pep chromosome:ALNU02000000:1:1161356:1164088:-1 gene:OGLUM01G01450 transcript:OGLUM01G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine-tRNA ligases;nucleic acid binding;ligases, forming aminoacyl-tRNA and related compounds;nucleotide binding;ATP binding [Source:Projected from Arabidopsis thaliana (AT3G16565) TAIR;Acc:AT3G16565] MGTEAAAPARAMGPTKLAYFDDMWALSSTATVVSLLQEEGGRRAVLVDSTVFYPQGGGQPADTGVISAGGGARFIVDDVRMKDGVVFHYGRFEDAGDGCNSGFSEGQSVSLEVDAERRNLNSRLHSAGHLIDICMSNIGLSHFDPGKGHHFPDGPFVEYKGVIPPDQLQDKKNELEKEANELITKGAKVLAYILPYEEAAQLCGGALPSYISKDSTPRIVKFGDYPGGPCGGTHVADISIINNIKVTNIRVKKGLTKVSYSVSL >OGLUM01G01460.1 pep chromosome:ALNU02000000:1:1169266:1170743:-1 gene:OGLUM01G01460 transcript:OGLUM01G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTKWQVVATDRGTELTLSTSARRHGLSFLSTAATHTCDRELPRLSPMAALSSASLLRPPSTFAPVLSPFRKPPPPHLAIRGSPHRRRGRRRLSLAASSAASPDLEKEPSPSPSPQEKSPDDLSAVAESVKVLKEAAKTRKVPSPELLSALAKIKKAKLDTSNFLETLGGTESPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGVYLGPVGSLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGGGDDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCKRVP >OGLUM01G01470.1 pep chromosome:ALNU02000000:1:1171669:1177020:1 gene:OGLUM01G01470 transcript:OGLUM01G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSAPRRLHHLRPHHLSTAAALAAAPPTPTEWTTAPVASVGAATADSSLFHVSLDLSSRADLLASHVAAGQFLPFRLPAAPYPIFLAISSSPPSPGLATSFDFLVKRLPGTPSACLCDLRPGDLVHVGGSVVGRGFEVGRIADARDVLVFATGSGISPIRSLIESGFGENENIDVKLFYGVRNLQRMAYQERFTNWESSGIKIIPVLSRPDDQWTGERGYVQQNAFSRMKKVVNPSSMGAILCGHKQMSEEITRALVADGVPKDRILTNF >OGLUM01G01480.1 pep chromosome:ALNU02000000:1:1180713:1187342:-1 gene:OGLUM01G01480 transcript:OGLUM01G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3A [Source:Projected from Arabidopsis thaliana (AT4G11420) TAIR;Acc:AT4G11420] MATFAKPENALKRAEELIHVGQKQAALQALHDLITSKRYRSWQKPLERIMMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMQLSNEKAEQARNQAQALEDALDVEDLEADKRPEDLMLSYVSGEKGKDRSDREHVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHKAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYMDTRVEQLKIATELSLWQEAFRSVEDIHGLMSMVKKTPKPSVLVVYYAKLTEIFWISDCHLYHAYAWLKLFYLQKSYNKNLSQKDLQLIASSVLLAALAVSPYDHKYGASHLELENEKDRNLRIANLVNFSLDSKRENREVPSRASLFSELAAKGVIACASQDVKDLYNLLEHDFLPLDLVSKAQPLLSKISKIGGKLSSAPSVPEVFLSQYLPALEKLTTLRVLQQASQIFQSVKIDMLSRMIPFFDFSVVEKISVDAVKHNFVAMKVNHLSGAVHFGKMDIESDCLSNHLSVLADSLNKARSLIHPPVKKPSKLGENLTSLAAVVENEHKRLLARKSIIEKRKEDLERQILEKEKEEEKKRLSVLKKSAEDERIRLLNDVKLREQERIRRQLVEKEKIEAEELLQKQIKEIAKRGGKKPVLQGEVTKEAVMELAMNEQFKERQEMEKKLQKTGKQMDYLERAKRQEEAPLIEQAFQKRLEVEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNIFQERIVQRREAEFSRLKKERDERTSQLISSRKRERDTVRKLMYYLNLEEQRLERLREEEEARKQEERRKREETERKAKLDAMAAKQLQRERELEEKKEKQRMEALMGRGAGAAEPARTPDAAPVAQPAQPVAAPAAAAAAAAPAAGNLSEVVMVAVVLSKTVGVLAMIAHVLICVRSAKRHPLLAKMLPQPAKMVLQAHGDLQDTPQVHHLPQPGAAGATEAVCFQMWTARLRFFAVQGHC >OGLUM01G01490.1 pep chromosome:ALNU02000000:1:1187372:1187928:-1 gene:OGLUM01G01490 transcript:OGLUM01G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGQACPRVQAKKKGNPKDSSHEIGRNNPRKRAASYFTWTLATLINPSPPRRRRLHSPPLPPPIPIPSTQIAAAAFASDGRTAPSTAHVSGDLQV >OGLUM01G01500.1 pep chromosome:ALNU02000000:1:1190102:1190707:1 gene:OGLUM01G01500 transcript:OGLUM01G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITPSCIPLAPVAGGSSSTACKIIHVDGTVTRLARPVRASELMVDYPGQFVCDSGRLAVGCRVPGVAADELLEPRRAYFLLPMDMLYSVLTDEEMAALSSFHAATAASSSWKRIATGGGRRRDGSHGGRSSEPTDHEGDDGSDDGARFFPVLSLQLHAAPDAAAAAAAGVKPSGGGGGVRRHRSWHPRLEAIDEVPCTGG >OGLUM01G01510.1 pep chromosome:ALNU02000000:1:1192112:1196073:1 gene:OGLUM01G01510 transcript:OGLUM01G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2K7] MAGIRWPPEDPEIFPSRMVTGGGGGGAGGGPPGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADAAEVLLASSSSSAAASGPAAATTASVAANPSGDFSFDKDVPDSADMEPPLLGLPNYHQDGSYADYLANFQERSHADDWFGTENMDVLVSWTKNLCSNKDLRSCSVLDIGTGSGRLSQQLAKQGFSDLTGIDHSEGAIEVARNLAIRDGFEHINFLVDDVLESKLERRFELVMDEGTLDTIGLHPDGPVKRMMYWQSVAGLVSPGGILVITSCSRTKDELVQEVESFNQRKLSAMGSEGAQASDTAVFKYIDHVQTYPIVDSSCITTVAFSHS >OGLUM01G01520.1 pep chromosome:ALNU02000000:1:1196394:1198614:-1 gene:OGLUM01G01520 transcript:OGLUM01G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLALLVDRLLTESTLDAAIRKQVADLQAETVAIDYCCDGDGDGGSARKMVECRICQEEDWDTSMEAPCACCGSLKYAHRKCIQRWCNEKGDTVCEICLQQFKPGYTAPQQLFHYGSIPMNFRGNWEIARQDLHDSQIITMVPSERDFMDGYEDYLPIRTRSSTLCCRTVAIIFMSLLVLRHTLPLMIGGDGEYSLALFSLLVLRTAGILFPILVMVRALATFHRRRRQQERREMYMTSSDSEEEEDYSDTDPAQPIHSQTRLVPIY >OGLUM01G01530.1 pep chromosome:ALNU02000000:1:1208552:1212938:-1 gene:OGLUM01G01530 transcript:OGLUM01G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAGAGAAVVVVAAFVAAAVASGDTLADLGGAAKGIDSVPEVNNLGPWAKGLLKGMPDSAAGPAEGPIAKYPLVLAEERTRRPDVLDHLRMYGGGWNITNKHYWASVSFTGIAGFVLAAGWFISFGIAVAARCFWKSRIDKENDFHADILRLVLLVVFIFTLTAGSVILFCGQSKFGQEATSTVDFVVNQSDFTIQTLRNVTDYLSLAKTISVAALYLPSDVQGQIDNLKVDLNKAADTISQKTSENYRRIRKVLHNLSVALICIAALMPVLAFLGYVLELYGPRSTVYVFVTLCWTVVATLFILLGIFLILNSAAKDTCEAMDEWAQHPQAETALSNILPCVDESTTNQTLYQSKHVVVILVGIVNRAISALSNRRPHHKHPGQFMPYLCSPYDANLTDRQCKSREVTFDNATTAWLNYTCTVPDSDLCSGPRTITPEIYSQLVLAANVSYALYHYAPLMLNLQDCKFVRNTFSSIASQYCPPIWRDISLVSAGLALIASGLTLGLLLMLFADRPQREEVSELPSGSRITPVDCSP >OGLUM01G01540.1 pep chromosome:ALNU02000000:1:1226869:1227345:-1 gene:OGLUM01G01540 transcript:OGLUM01G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAISQFPAAAVRLAGPALPSRRPPQKTTTSVTLRKPAAVRSRRRDDADQFFSGGGGGGVVDEGMIELRRRIHEMRAAESGWEPPAEWAAWEKEWYGSYDADVCALVGAVQAFLMSSRPGVGVGIVAAVAVSVPATAFVLVSGLLHASQSLLANLQH >OGLUM01G01550.1 pep chromosome:ALNU02000000:1:1232731:1233168:-1 gene:OGLUM01G01550 transcript:OGLUM01G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSLLTSPAELGRRGPALKARRSSPAACCAFRRDQYSGGALVDSSMAVLRRRMREARMAENNYEAPAGWSAWEKRYYPAYVSDVSAAVGALQLLLMGTRPSVAIAAAALLFAGVPVSAVAAVHHLAQLAAESALLLQHHVVP >OGLUM01G01560.1 pep chromosome:ALNU02000000:1:1233632:1239761:-1 gene:OGLUM01G01560 transcript:OGLUM01G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G01320) TAIR;Acc:AT2G01320] MEVRGLGQLLAALAAALFVRAVAGPGPALLPPADDEDSDADPEAGGEGGGVPPVTIRWARITCALKNKRGDVARFLLSNVSGEAKSGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYINGRPISEGGYKIAYVRQEDLFFSQLTVRETLSLAAELQLRRTLTPERKESYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSIIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEVIYMGPAKEEPYHCPDHVNPAEFLADLISVDYSSAESVQSSRKRIENLIEEFSNKVAITESNSSLTNPEGSEFSPKLIQKSTTKHRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSVASAIIFGSVFWRMGKTQTSIQDRMGLLQVTAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMSKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPKVSLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWLTYLLLKKNRPKYQQLLPPSEEDQNKQQVKEREAAPSSQHLGMRMRGSLNHAAIK >OGLUM01G01570.1 pep chromosome:ALNU02000000:1:1241878:1246505:1 gene:OGLUM01G01570 transcript:OGLUM01G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKWLLPLVSVSFVSLLLFLSALSGFSASSSLFARLPPPSYVRRGAAAPPSFAYVLSGGRGEGRKLLRLLLAVYHPRNRYLLHLSADAPESERVELAAAVSRAAPAVRAFGNVDVVGRPTAGTPMGSSGLAATLRAAAALLRLDSEWDWFVTLNAADYPLVTQDDLIHVFSSVPRHLNFIDHTSDIGWKETQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGSPWVILNRRFIEYCIFGWENLPRTLLMYFTNVMLPQEGYFHSVVCNSDFRNSTVNSDMRYMEWDDPPQMEPHFLNTTHYDEIVESGVPFARKFRENEPLLDKIDERVLHRWRHRPVPGAWCTGRKRWFNDPCSQWSNVNIVRPGPQAEKFRKHMNQIIEESASGNNSCKQ >OGLUM01G01580.1 pep chromosome:ALNU02000000:1:1248001:1252471:1 gene:OGLUM01G01580 transcript:OGLUM01G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2L4] MAVLQMAREKRSCPRASETQQNNSEKKGKVDGESAARDKRAITVTVDPEVLECDVCFGPLTPPLYQCMRRGHITCSTCVAEMGQECQWCRAPEATTTRCRAMEHFLAALAVPCSFNHKGCAAMVPYGEREAHEAACAHSPCYCPIRGCSSSPYSGVSLVEHLERKHPEIGRTRVDRATLSPLSMCHGEPARLVYLAGDDRDRAVFLLAVDRSEAPRGWSLWMVRLKAEEEEEEDKGELRYKIMVAANGGVLSLVGETESVGRLTAPYRASSFLFVPGAMLDAPPEAEGLLVFVELK >OGLUM01G01590.1 pep chromosome:ALNU02000000:1:1248946:1249326:-1 gene:OGLUM01G01590 transcript:OGLUM01G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTNRKELALYGAVSRPTLSVSPTSDSTPPLAATMIFDQPRGASLRSTARRNTALSLSSPARYTSRAGSPWHMLSGERVARSTRVRPISGCLRSRCSTRDTPE >OGLUM01G01600.1 pep chromosome:ALNU02000000:1:1262840:1263751:1 gene:OGLUM01G01600 transcript:OGLUM01G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPEPEAIAVRIDMAMLHCPICFLPLKPQIFQVPCLLLQCLMLHPFLVSWLHSYESFLSLSIDLCSAMLGTWLAATAAARSPAAGATRAKASASASSTPALAPWRPSSRRPRSSAPTRRTAAGATSPTTPSTTTSARARTRRAHARSPAAASPALLEHLAAAHSCHVDKVEYGKALCLRVPASERRRRLLVGEEDKRVFLLAMAAVGAASAVTLVRVAASAETAARYRCKMWANAPAAAAVAGAASGKADMVMVDMEVASSGAAPGGVAVEEATFLAVPPKMLHGEHKEIILGICIDKKTS >OGLUM01G01610.1 pep chromosome:ALNU02000000:1:1292181:1293785:1 gene:OGLUM01G01610 transcript:OGLUM01G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2L7] MAEQINKRSSSAAENGHAGGKKARANGEVKQEEEEAEEAKVSQEEESAKTGPLVVATTMSMDDPQIDVRIAVGLLHCHACLLPLKPPVLKCEAAHVVCSGCRGNHGQLCRRTAAYAHCAELDAIVGAAKVACAHAPYGCDSYVVYGAAAEHQRACPCAPCSCPDPGCGFRGSTAALLGHFATDHPWSVTQISYAKPCRLAVPLPRRCHVLVGEDDRAMFLVVSPSPCGVGVGAAVCVACVRANGDDAAAAQYKCKLWVEVPTNSDNMVMMTSKVRSSDLSGGFPAAEQGMFLVVPPELLHEVSGETPILSIRIDRAAPATPRARSLRRLQ >OGLUM01G01620.1 pep chromosome:ALNU02000000:1:1309272:1310751:-1 gene:OGLUM01G01620 transcript:OGLUM01G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAPPFLTLPQPPQNPTPKPHRRPPRDIASWTSAIARPVMQVDLPAVAAALSARLSSPAAPAPQRRHPFHHPLRLRRLPVLRARLPPCAPRPRPRAQALPLPPPPALRVSTLRPACLTSPSSSLTLRPSAVTYNTVISGLMRNDLVAAAFEVFDGMPAPDKVSWFIDWRHDEVINCFHAMLLDSVDPDYVMLIAVISTCAEVGALGLEMWVHWLVVRQGLERNFRIASSLIDMYAWCGQVELARPFTSATTWNSRGGARMFFLGGGERRGMPPARLAVDDENRAAICVLSTILPRRLEGQQPVAAIAVEVEAAIAVERGRADGGFGADGWGGRSGSRSCARDEHDCGGGGATTKVGRRWQRPLLRYECAAQSKKGEDFFLLRTDCARPSTSSSSSSSSASSPPHTFVVFAVSPPRRRDLAFWVSLLPEHEQDAEKRRKREGRRRRRKKMDGKCEKWHGSNFIKF >OGLUM01G01630.1 pep chromosome:ALNU02000000:1:1316050:1317749:1 gene:OGLUM01G01630 transcript:OGLUM01G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2L7] MAEQINKRSSSAAENGHAGGKKARANGEVKQEEEEAEEAKVSQEEESAKTGPLVVATTMSMDDPQIDVRIAVGLLHCHACLLPLKPPVLKCEAAHVVCSGCRGNHGQLCRRTAAYAHCAELDAIVGAAKVACAHAPYGCDSYVVYGAAAEHQRACPCAPCSCPDPGCGFRGSTAALLGHFATDHPWSVTQISYAKPCRLAVPLPRRCHVLVGEDDRAMFLVVSPSPCGVGVGAAVCVACVRANGDDAAAAQYKCKLWVEVPTNSDNMVMMTSKVRSSDLSGGFPAAEQGMFLVVPPELLHEVSGETPILSIRIDRAAPATPRARSLRRLQ >OGLUM01G01640.1 pep chromosome:ALNU02000000:1:1319109:1320866:1 gene:OGLUM01G01640 transcript:OGLUM01G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGEQSGAKKAAAWVVSPNGQVKREMPVEAARGEGAAAAAAGAGEEEEVEAGGMIAAAVGDGFEGVEISVRIDLAVLHCPLCLLPLKPPTYQCAAGHLACSSCHGDVPGKKCHTCGGGGGGGVYARCPGLDTFLRAAKILCPNDLFGCRSYVAYHDAAAHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADHSWPVSKVPYGEVLTIHVPETERRHLVVAGGAGGDDERVFVLSVGALGVARAVSVACVRANAAVGPRYRCKLWAHAPGGGAADFVHMDSAVASSAAAPGGEVAVDEEARFLMVPPCFLHLLDAGTSKEMLIRLSISIDTDIDMS >OGLUM01G01650.1 pep chromosome:ALNU02000000:1:1322559:1323752:1 gene:OGLUM01G01650 transcript:OGLUM01G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2M1] MESDSPIPPTPNVRVKEEAADQETHLAAATPTPPAADESPAAAAAARVEVAVRIDAAILHCPLCLLPLKPPIFQCVAGHLACGVCHAKLTDVHCQACGDGGGGGGAYAHNPALDAIARSTKIRCPNDRYGCDRYVTYCDVADHQRACPHAPCTCPQPGCGFLAAPPALLDHLTADHSWPSQEITYRAVHPLVRRVFLLAVGAHGAAATVSVSCVRANAAAGPRYVCKVWTQAPPDAETGVKDTIMMEANVRSFSVPGEVAMDDGTVLCVPPRMLHGASMEMPLRVRIDKLGAGATNRSAIAAQTKK >OGLUM01G01660.1 pep chromosome:ALNU02000000:1:1329833:1342751:1 gene:OGLUM01G01660 transcript:OGLUM01G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2M2] MGDRTNFCIVHVQCKHGHPSCKRCLAGLNNKCHICRQPIGDMRCRPLENVLAGMTVPCAFARFGCQEGVRYTERAQRHGHEASCQHAPCHCPFPGCSYAGAAAQLFAHIRGAHAAGSPSAVSSIRCTPVALPRGMPFHVLLREEDSRVFLLLNGGDVPKGRSLSVVCVAAAGEAELYTMAVSGGAPGALSLSASGSVPRVRRWVRYPTGGFLFVLDTYWRASGGSVSVTVHTRSVKKVAKKTEAGLCTDQQQDVEEETTKMTYSIDSDSLELQQQECNKARRAFCKNGHAACGSCCLVMGRECPSCNEPIGDIRCRPLEKVLAAMSAPCKFRASGCTETVGYTERRSHEASCPHAPCPCPFDGCTYLGLLLYNHILDEHATDAVVAMGSLRLRGIVVTVHKSKPFHVVLHRGGTRVFLLLNGGDVLSGRSLSLVSVGPPPPANCELRYKIELAAVGPGQGELALSASGTVPCVRQLDVFEAKAFLFVPEAYWGSSGTVSVTVHI >OGLUM01G01660.2 pep chromosome:ALNU02000000:1:1329313:1330578:1 gene:OGLUM01G01660 transcript:OGLUM01G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2M2] MGDRTNFCIVHVQCKHGHPSCKRCLAGLNNKCHICRQPIGDMRCRPLENVLAGMTVPCAFARFGCQEGVRYTERAQRHGHEASCQHAPCHCPFPGCSYAGAAAQLFAHIRGAHAAGSPSAVSSIRCTPVALPRGMPFHVLLREEDSRVFLLLNGGDVPKGRSLSVVCVAAAGEAELYTMAVSGGAPGALSLSASGSVPRVRRWVRYPTGGFLFVLDTYWRASGGSVSVTVHVKKLPPPELEEDTTAA >OGLUM01G01670.1 pep chromosome:ALNU02000000:1:1343287:1344193:-1 gene:OGLUM01G01670 transcript:OGLUM01G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEITNSSSKAMMSMKLLVDSKAQRVLYAEEAGEDVVDFLSSLLTLPVATVVKLLSQGSTVASVVKLARRRPRRRRRSDDDVSSAAAAAAADAMNIHLEAPATKLYRCSSSRFSDCHDYVSTVSDLPCQLPECDGKMTLPVKHVLLSSSSLSSSTGNGSSGGEAAAVATYTIMDDLKVAAMSATVLLKSVSMTSTYLQEKTVQIGYIEGLAMLKASLESKTVLTDVFLGKKRKKWPFVLVILLLCLLLAGICGL >OGLUM01G01680.1 pep chromosome:ALNU02000000:1:1355221:1356856:1 gene:OGLUM01G01680 transcript:OGLUM01G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRVGDRIAARRRRRDPTTGPESPPLVAVAGLPTEQPSSSRRGRVYVLSPSRPPREPVQQPSPTSSSAKKLPEPEPHTQLRVRGQPVLPHEAVAALRRQGRRRRRAGGVVVPPPADNEFTVRIDGVGADDGIFQCDGCFAMLSSPIYECANGDVICERCSYDDGGAREMRDDVARPQPRHRPPAPVHPVRVQEPQVRVPVVPPAAGHGRARALVRPRALLLPDPPLRLAGAADSLARHLTARHGWGRLRVAYGEAAVVPVQSPTILRADDGRISHLSCTRERGGGTAMSMVCIRPDHVAGAEEEFTYEVRTACQRLQMQAAVEGTSLRYGMKDAVQARVTVPDDMLLRQGDVVTGGETIFRRSAEFHNSPGCNKNRG >OGLUM01G01690.1 pep chromosome:ALNU02000000:1:1359055:1359633:-1 gene:OGLUM01G01690 transcript:OGLUM01G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTMAAASIMFFLLAGLAAAAHGSTEDDTTTTTNTIRLPSDGGTLAATRRTRPWKCCDNIEQLPVRTNPPQWRCNDELEPGQCFRQCEACRDPPGRPFPGRPLICDDVFWGDDPGTSCAPSSEWPWGPCCDFAICTKSIPPICHCSDEVESCAAACGQCEMVDSWSWRPLFVCRDSFTGEPGPRCTPEMHN >OGLUM01G01700.1 pep chromosome:ALNU02000000:1:1361595:1361951:-1 gene:OGLUM01G01700 transcript:OGLUM01G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKIYPPRWRCNDDVKQCAAACENCLRLVPGGEEDVFVCDDWYPTTDPGPVCTPRPWGDCCDKAFCTRSLPPICQCADEVASCAAACKECDMVESSAPPRFIFRDHFTGEPGPKCA >OGLUM01G01710.1 pep chromosome:ALNU02000000:1:1364970:1365734:-1 gene:OGLUM01G01710 transcript:OGLUM01G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSVATSTILLFLLAAAHGDTIRLPSEGDAPPQPAKPWDCCDDIEMSPLKIFPPLYRCNDEVKQCSAACKECVAAPAAGDSPCGGGAALVCRDWYSTEDPGKPCTPEREWPERTTKKRPWKCCDNIRRLPPRIHPPFWRCDDELKPGQCFAACKACREAPGPFPGPLICDDVYWGADPGPFCTPRPWGDCCDNTTCTKSIPPICSCGDKVAACDGACKDCQPVASSSEPPRFVCKDQFTGQPGPKCTPCTQN >OGLUM01G01720.1 pep chromosome:ALNU02000000:1:1367934:1376271:-1 gene:OGLUM01G01720 transcript:OGLUM01G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTILLLLLAVGGLAAAHGDTIRLPSDGAKASRPRPAKPWDCCDNIEISPLMIYPPLYRCNDEVKQCAAACNECVEAPGGDFNGGAFVCMHGGAGWAVDGEAVEVLRQNQAAADEARPAAVALQRRAGAQPPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMDPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDHFTGQPGPVNTTMAISTILLFLLAGLVAAHGDGDTMIRLPSDGAEAPPRPPKPWDCCDNIEMSPLEIFPPLYRCNDEVKQCSAACKECVEAPGDFPRGAFVCRDWYSTVDPGHMCTAPDQPTTKRPWKCCDSIVQLPQRIFPPFWRCDDELEPGKCTAACKSCREAPGPFPGPLICEDVYWGADPGPLCTPRPWGKCCDKAFCNKMNPPTCRCMDEVNKCAAACKDCQRVESSEPPRYVCKDRFTGQPGPMCKPRAQN >OGLUM01G01730.1 pep chromosome:ALNU02000000:1:1387227:1390556:-1 gene:OGLUM01G01730 transcript:OGLUM01G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRQREVKREEKRGSSGLGFIGEEERDVKATNILLNTRLEAKIADFGLSKAFNNDNITHVSTNALVGTLGYVDPEYQMTMQATTKSDVYSFGVVLLELVTGKPAILHDPNPISVIHWTRQRVARDNIEDVVDTCMPSDYDVNGVWKAMDIAFTCTAQASTQRLTMTDVVMQLQECLEPEDARCAIGDAHNRFYPSTRSDPNSNYNIYDSDRSNNVSQNSGVAMMEHNFGRVPTMASGPAVR >OGLUM01G01740.1 pep chromosome:ALNU02000000:1:1407711:1410487:-1 gene:OGLUM01G01740 transcript:OGLUM01G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLPWLLLLLCFVLQSRAQPDNTGFISIDCGIPPKTSYVDNETKISYAADDAFTDGGSNHNVSLEYVVGPRGSQRYDNVRAFPNGARNCYTLRSLVAGIKYLIRATFFYGNYDGLNKLPVFHLYIGVNFWAMVNITSLGVVYRYEAIVVVPDDFVQVCLINIGAGTPFISGLDLRPLENRLYPQVNATQGLLLLMRRNFGPTDNSLEIRYPDDPHDRFWGTWDSSSSNDWKEISTASRVDYSVGDIFDPPTAVMQTAVTPRNASDNIRFSWEPVPQPNDPTPAYTAIFHFSELELLTNNASREFYINLNGEPLGVYTPKHLTAGGTYDSEPFERTSSYNISINATANSTLPPLINAVEIFSIISTAVISTDSQDASSMMAIKDKYQVKKNWMGDPCIPKTFAWDKLTCSYPNSSRARIISLNLSSSGLSADISSSFGNLKALQYLDLSNNSLTGSIPDVLSQLPSLRVLDLTGNQLSGSIPSGILKRIQDGSLNVRYGNNPNQCINGNSCKAAKKKSKLAIYIVIPAVLVVLIASVTTLFCLLRRKKQGPMNNSLKQQNERSTSTSHVLRNSGYGDNVSLRLENRRFTYKELEKITNKFKRVLGRGGFVSHPNPAPPYNGT >OGLUM01G01750.1 pep chromosome:ALNU02000000:1:1412353:1412928:-1 gene:OGLUM01G01750 transcript:OGLUM01G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNMATSSILLFFLLGGLTVAAAAAHGTANDDTDTIRLPSDGAKSPKMPTEKRPWKCCDDIEEQPARIFPPFWRCNDELEPSQCSAQCEVCQDQEASPGRLICGDVYWGADPGPFCTPRPWGDCCDMAICSRSLPPICRCADEVESCAAACKDCQPVESSSSLSEPPRYVCHDWFRGEPGPSCTPDEHK >OGLUM01G01760.1 pep chromosome:ALNU02000000:1:1414785:1415327:-1 gene:OGLUM01G01760 transcript:OGLUM01G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMATSTLLFLLLAGAAHGAPEDTTANTIRLPTDGSAQQAPTTKRPWKCCDNIERLPTKTNPPQWRCNDELEPSKCVAQCEVCQEAPGPFPGPLICSDVYWGADPGPFCTPRPWGDCCTNTTCTRSIPPICRCNDKVKKCATACKDCKRVKSSKPPRYVCQDQFTGQPGPKCKHSCEN >OGLUM01G01770.1 pep chromosome:ALNU02000000:1:1418049:1424646:1 gene:OGLUM01G01770 transcript:OGLUM01G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2N4] MVSVSMGHNADKKQVVTIGMDVLDCPVCFEPFKPPIFQCSVGHFICSSCCNKLNKCPGCSRTSFERCLGMEHIVESAVVPCTYAEHGCTNKITYFNKKSHEQACSYEPCFCPDSGCSFSGSVATLWEHFTTQHKWPSTEFKYYAPFDVRVKPGAHFLRAGDGQLFVMNMVPVEPVGHGVSLVCVQPNTSESSFGCNVAFSSFTGHHQISTLESVRCSSLSDGLPEDYFCIMPKALDVGAAVFLRITIDTELSMGSSADKKQGVTMGMDVLDCPVCYEPFKPPILQCSVGHFICLSCRMKLKKCPVCSRTNFERCFGMERVVESIVVPCSYAENGCTNKIHYFNKKNHEQTCSHGPCFCPDSTCGFSGPVGTIWKHFITQHKWPSTEFKYYTPFDLRVKPGAHFLRAGDGQLFVMNMVPVEPVGHGVSLICIQPNTSESSFRCNVVFSSFTGHHQISTLESVRCSSLSDGLPKNYFCIVPKSPGGGAAVLLRITIDTELVLEVDDKQEEEEEDDDYDEDEDEDDESDDEDEN >OGLUM01G01780.1 pep chromosome:ALNU02000000:1:1425698:1427825:1 gene:OGLUM01G01780 transcript:OGLUM01G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2N5] MEISSSLNKRKLGEAQHDGDRVVIKKRQSVSMDMEVLHCPVCFQILRPPVFQCDLGHLVCSPCRDNLPAGGKCPSPSCSGTPSVRCVAMERVVNSVEVACAYAEHGCPDKIAYANITEHEKTCPHAPCFCPEPGCGFAAASAAALADHFTAPRHNWPSHKLSYSQPFELRVHPGKNVLVGEEDGALFLLNVSPAAEHTVVSLFSVQPHHGASGFGRSASHFGCSVEFSCFLGHLQCSTLVTVTSSSLSDGMPEEWFFSVPELQDSVDGDAGVGVDIRITIDEAVPLFSCVDGMEDDDDEDCDDDVDANNGDDDENDGDTSDDEDEDDEEDGDTSDDEDEDDEDDAQWNSFCFEGHCEASTLEAVKISSLSDGLPKNRFFSVPKQQDGDAGVVLGITIDDVEDVEDEDSDEECE >OGLUM01G01790.1 pep chromosome:ALNU02000000:1:1430719:1431136:1 gene:OGLUM01G01790 transcript:OGLUM01G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTSTGSSRQRSSVATIDLDALDCTICYNPLQPPVFQCGVGHVICSSCHGKLLDTSRCHMCSRDGGYRRCVAVDHILYAITVPCPNAAHGCAARTPYHDSHGHAAGCPHA >OGLUM01G01800.1 pep chromosome:ALNU02000000:1:1442888:1443358:1 gene:OGLUM01G01800 transcript:OGLUM01G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRAAVGVPLQEGKRVLSLLDDDGRGSHLFLLNVAQAGEAGLVGTVLAVEAAAHGHGDAPRFECKVSFDRRGTGWRQSSTFGVRSTNLSGGLPADGFAFVAPNPPPAAASVTITLFDISSGEPGSALRPVLPRSRRSRTRLSATTTAAAAVLLR >OGLUM01G01810.1 pep chromosome:ALNU02000000:1:1452717:1453940:-1 gene:OGLUM01G01810 transcript:OGLUM01G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQRHQHTLGPHHCVCSELCMHTQIHYTEETHRQRKKERAGCSWGYVVWKAVDGVAAIASENQEFRIATGSTSQLLIVRSAVEQLCTCTALLPPTGKKGAGNRWAPRWQCLQ >OGLUM01G01820.1 pep chromosome:ALNU02000000:1:1454530:1459390:1 gene:OGLUM01G01820 transcript:OGLUM01G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLVKIQTHVLRVNIHCDGCKHKVKKLLQKIEGVYSVALDVDNHKVTVTGNVDSDTLIRKLTRGGKHAELWSQQKGGSNQGHKGSNNQQKQQQQQGQQQHGQQHQKQGANPSKDGNKNNNILKDQGKQGGVGGLIQGLKAFKNQHSKNQLPELDSEDDDLYDDEDDEFDDDYEDDLRFLGDKMSQLGFLRQQAAAAAVANVKNKNANTATVNNHHNNVNGKKGGGGGGGAGGGNHHQNNHHQNQKNPNVINMAAANAKMANGAQKNTGAINGMMGLNHGLGTAGATPGFQGYTGFNHPSYASAGYGGLQQQHLQQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNNNMMMMHQPQQQPQMMYHRSPQISPYTAYYNPYSYYYHPGAAGYHPASNGDVETMFSDENTKGCVVM >OGLUM01G01830.1 pep chromosome:ALNU02000000:1:1461469:1463272:-1 gene:OGLUM01G01830 transcript:OGLUM01G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLARHFLPHLRLGLAARCLGAPSSSPSALAIARCRNVAGPSTPPIWPLGGTRFFADDRSRYDLFGKRRPGDEEFRKAWQENVDEEDCLWTGSEDEDEEENDTKMEREIKKVKKQAKENANLIDADDSDELRSICSESDEDDMALWSGSEDDDDDDIPTDAHPNERSDSYIDKVFEFDEAPKYRTISELLKAEKEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVPRKKWQKAQARKRKNEKR >OGLUM01G01840.1 pep chromosome:ALNU02000000:1:1464505:1470431:1 gene:OGLUM01G01840 transcript:OGLUM01G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLQETYACSPATERGRGILLAGDPKTETIAYCTGRSVIIRRLDAPLDCWAYPDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANYYEGPPFKFKHSIRDHSNFVNCIRYAPDGSKFISVSSDKKGLIYDGKTGDKIGELSSEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDILEDASGKLNRTLACPGTGGVDDMLVGCLWQNDYLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTVSSLAFFPQSNPKTILSTSYDGVIMRWIKGVGYGGRLMRKNNTQIKCFTAAEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALVTTDSGIVLLHKSTVISTTKVNYTITSSAVSPDGTEAIVGAQDGKLRIYSINGDTVTEEALIEKHRGAITCIHYSPDVSMFASADANREAVVWDRATREIKLKNMLFHSARINCLAWSPDNRLVATGSLDTCAIVYEIDKPASSRITVKGAHLGGVHGLTFVDNDSLVTAGEDACIRVWKLVPQ >OGLUM01G01850.1 pep chromosome:ALNU02000000:1:1474820:1479029:-1 gene:OGLUM01G01850 transcript:OGLUM01G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDIRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVNLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQSPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELAQKTDMDENPGRMDGDSSADGSNMVYEDNTSLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPAVDAEEQFPQKESSLEFTSLSWAGNIVSFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPRDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLTLAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >OGLUM01G01850.2 pep chromosome:ALNU02000000:1:1474820:1479029:-1 gene:OGLUM01G01850 transcript:OGLUM01G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDIRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVNLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQSPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELAQKTDMDENPGRMDGDSSADGSNMVYEDNTSLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPAVDAEEQFPQKESSLEFTSLSWAGNIVSFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPRDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLTLAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >OGLUM01G01850.3 pep chromosome:ALNU02000000:1:1478994:1480743:-1 gene:OGLUM01G01850 transcript:OGLUM01G01850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQI >OGLUM01G01860.1 pep chromosome:ALNU02000000:1:1482452:1488321:1 gene:OGLUM01G01860 transcript:OGLUM01G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDIRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVNLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQSPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELAQKTDMDENPGRMDGDSSADGSNMVYEDNTSLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPAVDAEEQFPQKESSLEFTSLSWAGNIVSFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPRDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLTLAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >OGLUM01G01860.2 pep chromosome:ALNU02000000:1:1482452:1488321:1 gene:OGLUM01G01860 transcript:OGLUM01G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPNGSANIAEKNQDNEMSTDAGEPEEVADIFIYREDVVSLKSKEDIRGLVLEVAGEYDSEGSITDDDTDTEEHEHKSSHRTENGGADGDNVSNGVDVDSQSSLPDNKVRVLWIDGVEKTEDIDSVVVMDRSFLHGDIVASATDPTGQMGLVADVNLVVDLQGPHGEIIKGVSSKDLRRIREFNVGDYVVSGPWLGRVDEVLDNVNVLFDDGSVCKVARADPMRLRPALGPLNPNASCPFYPGQRVKAVNSSVYKTSRWLNGLWKASRLEGTVTKVETVAVIVYWIASAHFATNQESVPPEEQSPKDLTLLSCFSYANWQLTDWCLPNQYTSSCTDDSLIESSEIKDSDDIPESSDVKTELAQKTDMDENPGRMDGDSSADGSNMVYEDNTSLAKESESGTIASTVPKEGSQDNATYRKKLRKVFVKKDKRTRRRDESFERALLIANTYTKVDVIWQDGTKECGASSTSLIPIHSPNDHEFFPEQYVVDKVGNDVDDSSETKRVGLVRSVNAKDRTASVSWFKPSLHPEEPREIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSLPVESTNRENTMELDNVNSTEVSATPAVDAEEQFPQKESSLEFTSLSWAGNIVSFEDGDIIVIWGDGSVSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNETDLPDDSAQDDSQNVADSNIERENGSFNSQDGSSVATGPLSVAFGFVTRLASELFARGKKHLDGSNSDAMDEVESHQSNEISESGDDIDKAEGENNVATSESTVVTTNDASGGKSVDVDMADKPRDSDGFKHFDVQQCPPDHHYLENMAQGTGGRKWVKKVQQEWNILEKNLPDYIYVRVFEDRMDLIRAVIIGASGTPYQDGLFFFDFHLPPEFPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNALPYNENTYLLSLKSMLYILRRPPMAYLQGNGVGTLTDDACTTERSKEQPCSVGFKLTLAKIMPRLITALKDAGANCDQYEHLGKTETAQEEH >OGLUM01G01860.3 pep chromosome:ALNU02000000:1:1482398:1484147:1 gene:OGLUM01G01860 transcript:OGLUM01G01860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQI >OGLUM01G01870.1 pep chromosome:ALNU02000000:1:1493658:1497453:1 gene:OGLUM01G01870 transcript:OGLUM01G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGTRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTTSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMAKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >OGLUM01G01870.2 pep chromosome:ALNU02000000:1:1494622:1497453:1 gene:OGLUM01G01870 transcript:OGLUM01G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKVELAVLLLVLVGVAAGTRPPSAPPPVTEDTLQKVAGSLEMYVDELPQMPKIYGFSMRHGHPSPIRLTIGMYQKKWKFHRDLPASTVFVFGTSAATATFPGPTIEAAQGVPLSVTWQNYLPARHILPWDPTVPTAIPRRGGVPTVVHLHGGAHPPQSDGSAFAWFTAGFGETGPAWSTPTYTYPNAQSPGVLWYHDHALGLTRANLLAGLLGAYVIRNPAVEAPLGLPCGDEFDRVLMLADRSFYADGSIYMNYTGIIPNIHPQWQPEYFGEAITVNGKAWPFLAVARRRYRFRIINTSNARYFNLSLTNGLPFTVVGSDTNYLSKPVTAASLLVSVAETFDVVVDFSQSTTSEAELVNTAPYPYPDGQAPNDLNGKVMKFVISPAKAKDTSRVPAKLLDYVAVAEEEAVQRRYIVMYEYEDAATGNPTHLYINGKRLEDPATETPRPGTTEVWEVINLTPDNHPLHLHLATFQATRVRGLVDEDAFKGCMAKLNDAVRCNVSRHAVGEEVAVPEHEKGWKNVVKIAPGYMTTIVVKFFMVDSGKPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLIK >OGLUM01G01880.1 pep chromosome:ALNU02000000:1:1497673:1503843:1 gene:OGLUM01G01880 transcript:OGLUM01G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRFLGVCLLVAVLVLRAAVLGRGDDGGGGGRLLDPGKLEMFVDELPDMPRMRGYGVAEGGKLVAGNLTIGMYETMWKFHRDLPATRVFAYGTSKETATVPGPTIEAMQGVPTYVTWTNHLPPRHFLPWDPTLTAAAPGSGVPAVVHLHGGVQHSGSDGHSLAWFTAGFAATGPRFSSPAAYEYPNQQPPGNLWYHDHAMGLTRVNILAGLLGAYRVASPAEEAALNLPSGEAFDRNLVLFDRDFLADGSLFMNRTGNNPSVHPQWQPEYFGAVVVANGKAWPYLRVRRRRYRLRILNASNARFFRLSLSGGLRFVHVASDSVYLARPVPTRAFLLAPSEIADVVVDFAESGNATAIVLRSDAPAPYPGDPGDKAETVPVMKFVIDDDDDALSTEPDTSSVPARLTSPSQYAKPDAREAVLTRRIAMYEYTKEGTDEPTHLYLNARSYMDPVTETPREGTSELWDVINLTDDNHPLHVHLALFVALEQRSLRDVDDLKECMMARGSGGGGADACGLERHLAGGRKHVVPKQERGWKNVFKVRPGTVTRLLVRFRPLSPPDSRRFPFDVAAGPGYVYHCHILDHEDNEMMRPMKIVR >OGLUM01G01890.1 pep chromosome:ALNU02000000:1:1510712:1513665:1 gene:OGLUM01G01890 transcript:OGLUM01G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGENRARVVALVVAVVVVVVGVAGNVAAAQAAVTAADLQRVAGSLQMYVDALPQMAKIRGYGFQRGQAVPINLTIGMYQKTWKFHRDLPATPVFVYGQCPDSATFPGPTIMARHDVPLFVRWENHLPASHILPWDPTVPTAIPKNGGVPTVVHLHGSAHPPQSDGSAFAWFTAGFAEKGPAWTQATYRYPNVQPPGNLWYHDHALGLTRANLLAGLLGAYVIEKPEVDTPMDLPCDDDDLHLVIADRSFNVDGSLYMNSTGVAPNIHPQWAPEYFGEAITVNGKAWPFLVVHRRRYRLRILNASNARYFNVSLSNGLPIHVVGSDASYLSAPVTVSNLLLSPAEIFDVVVDFSQSPTAEVELLNSAPYPFPTGAAPGPLNGKVMKFVVQPNGPLDPPDNSTVPDHEVPYASVTALPPTTMTRYIVMYEYLTPTGQSTHLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHIHLGMFQAVKMQQLVNLQAFTDCMTAVNDAVKCNVDQHAVGPVVPVPDHEKTWKNVIKVPPGFVTSVVIAFKLSMLPPYAQYEMDYKKI >OGLUM01G01900.1 pep chromosome:ALNU02000000:1:1515329:1515817:-1 gene:OGLUM01G01900 transcript:OGLUM01G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLVDPDTFTSCMKQHNDTVICNLDQHAVGALLPVPEEEKTWKNVVKLPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLKMLP >OGLUM01G01910.1 pep chromosome:ALNU02000000:1:1517736:1532463:-1 gene:OGLUM01G01910 transcript:OGLUM01G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGKRRARGVFHLPCATAPLLRRVPLHRPSSSPTAGAAAAPVVLPCWPCSSRGLEERVILRPLGHCLRPRHPTPVRLLCLHPLPRCSRSTPPARPDSAPSLPSPFLPWKPSSVESRDWTQRFFQGLGVGAPFPAPAELHGTYSALVRGVLSSSTVSASASPCISCMLRRSPSPSPPSIASWGRSCSGRRKRRAPGVAPPEMLPDIVDCTTRVYGAMTTYGAQVGAGLKGYYSSTDATRYGKMMAIAGARTLLAKMGPRIQQLAAMLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPDDYTPAADPSPTAARPLRSTADLPKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVMPFNLPSGEFDLHLVIADRKFNVDGTIFMDTVGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPANATLDGKVMAFNVSAKWQVGDDMPMQEPENSTVVPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTALTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDMFKSCMLQHNDTFACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLP >OGLUM01G01920.1 pep chromosome:ALNU02000000:1:1534359:1543048:-1 gene:OGLUM01G01920 transcript:OGLUM01G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIQQLAAVLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPNDDEYTPPDHPLPAPAAGRRRWPVMTSLNLTKYVDALPRIAKIRGYGIRHGRPVPIKLTIGMYSKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARQGVPLAVEWQNHLPDAHILPWDPKVPTAIPKKGGVPTVVHLHGGAHPPEFDGHAFAWFTRDFAENGSTWTRKTYTYPNVQAPGNLWYHDHALGLTRVSLLAGLLAAYVIEKPELEAPMNLPCGDHDLHLVIADREFYTNGSISIDREWKPEYFGLVITVNGKAWPYLSVHRRRYRLRILNASNARYFNVTLSNGALPFTVIGSDSSYLSRPVTVSNLVLSPAEIFDVIVDFSRLPAAVAEIEMLNTAPYPFPNGPNVTDPNLDGKVMLFKVAGKGKVDDMPDKSKVPEHGVPYASVAALPPPTTTRYIVLYENQTAPGNLYINGLRLEDPVTETPKSGTTELWQVINLTGDNHPLHLHIATFQAVKMTKIEGFQEFKTCMIANNNTATCNLTQHAVGPVVPVPEEEKTWKNAVKIPPEFMTSVVVAFRLVEANQPYPFDATTDPGFVYHCHMGPRIQQLAAMLLAAVVVVAAARDEPAAAKNYQTQWDTVMSILNCKSDSLIPSYICSVISKSRWGWASDDPDDYTPAADPSPTAARPLRSTADLPKYVDPLPQMARIQGYGINQFGFPVPTNLTIGMYNKTWQFHRDMPPTPVFVYGQSLQTATFPGPTIVARYNVPLYVTWENHLPDAHILPWDPTVPTAIPKNGGVPTVVHLHGAAQAPDSDGHALAWFTRDFAENGSTWTQRTYTYPNVQPAAGNIWYHDHALGLTRASLLAGLLAAYIVEWPELEMPFNLPSGEFDLHLVIADRKFNVDGTIFMDTVGAVPSVHPQWQPEYFGEVITVNGKAWPFQAVQRRRYRLRILNASNARYLNIRFSNGLPFTVIASDATYLSRPVTVSNLLLSPAEIFDVIVDFSLVVNPNATDIELLNSAPYPFPTGTPANATLDGKVMAFNVSAKWQVGDDMPMQEPENSTVVPEIGVPFAKVTALPPTMKTRYIVLYENMTSNDPNTALTMNLYINGLRLEDPPTETPISGTTELWHVINLTPDNHPLHLHLAEFQAVQMLQLVDPDMFKSCMLQHNDTFACNLSQHAVGALQPVPEEEKTWKNVVKIPPAYVTSVVVAFRLVHNNMPYPFDATAAPGYVYHCHILDHEDNAMIRPLTLLP >OGLUM01G01930.1 pep chromosome:ALNU02000000:1:1535252:1541651:1 gene:OGLUM01G01930 transcript:OGLUM01G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALGFTTREKSTMTSKISAGERRRLETVTGRERYVASEAMTAVGEPDVEVPRIAGVEDAEAVAAALDGLERPRLAVDGDDLAEVLRLPLRVDGWHGADGVHEDGAVDVELAVGDDEVEVVVAAGEVHGGLELGLLDDVGGEEAGEEADAGEAEGVVVVPEVARRLHVGVFRVHVLPFSAKSRVNQAKAWPSNSGGWAPPWRWTTVGTPPFLGMAVGTLGSHGRMWASGRWFCHSTASGTPCRATMVGPGNVAVCSDCPYTNTGVGGMSRWNCCMRKHPILKLFFDFATCLLIFFRSC >OGLUM01G01940.1 pep chromosome:ALNU02000000:1:1546978:1550903:-1 gene:OGLUM01G01940 transcript:OGLUM01G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 6 [Source:Projected from Arabidopsis thaliana (AT1G32500) TAIR;Acc:AT1G32500] MSSPSPALCAAASCSTPLRVASPVVRFRRPGAPAPVVSAARASSSAAPAVSDDLVLRIAEQLEDSVAASSPLLDPLRSASALSLLSTRWPTRRSNEAYRFTDISYLRSLPISLPSRDAPAVAPPASPYASHVHFSDGVLTSSSGAHVSALADLPPGHARDRAAAALAASAGFADKDLFFDFNAVGAKDVVVVHVPEGVSMADDPVHIMFSYSGCGDGSMIMSNPRVLVVAEKGAEVAIIEEHFGQEDGGCYWANPVMEIIVEEDAKVVHSYVQQQSFAAAHTKWTVVQQNTSSNYEFVEVSIGARLNRHNLHIQQLGPETNTQLSTFHFSAQNKQIHDLHSKLILDYPRGRSQQIHRLIASGTGNGVFDGNIKVNRYAQQTDAGQETKCLLLSSKALVNVKPNLQIIADDVKCTHGAAISGEHDPNAIYFLQARGINAKTAADALNFAFGAHVINQIPFKPIEKKTLAHFKALLASSRQNDE >OGLUM01G01950.1 pep chromosome:ALNU02000000:1:1551055:1555646:1 gene:OGLUM01G01950 transcript:OGLUM01G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATKNKPLIITVQENETVLDDTPDKFNIEALNVQNYWEAIGVIAAHKAGINPNALRRQGIDHLKSSLRLYPAQNRASRKSASLQVCRCHRSLHHGSSPSTCRPVIRRRRLAGAERGGASPRKRTSSSSSCTLCSATVGPSSLGGYRGGQTKRS >OGLUM01G01950.2 pep chromosome:ALNU02000000:1:1551055:1555170:1 gene:OGLUM01G01950 transcript:OGLUM01G01950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLTRPFLRPSPPSPPPPRPTRRSSRAHCLAPSASARGPSFRRPYTSVLVVPTGVGAAVGGFAGDALPVARALGAVADCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEELRLRHLQVADAARASLGLPVVEYIVTDTPLEIKTWFDPKCGKSTGSVGNSDSLLRAVEALVNRSDVNAVAVVARFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKKFKIPAAHAPAVLPPVLSLSVSPRSAAEEIGHTFLPCVLAGLSNAPQYVMRRNGNMDNGCIVASDVDSVILPKDACGGDGTIAFARTATKNKPLIITVQENETVLDDTPDKFNIEAVSSQPPPRLISEHLPAGDPPSPLSRSRKRRSFSKEEDELLLKLHALLGNRWSLIAGRLPGRTDKEVMNHWNSKLMDTSTLAK >OGLUM01G01960.1 pep chromosome:ALNU02000000:1:1556028:1557331:1 gene:OGLUM01G01960 transcript:OGLUM01G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYCVTGGTGFIASHLIRALLAAGHTVRATVRDPEDEAKVGFLWELDGASERLQLVKADLMVEGSFDDAVRGVDGVFHAASPVVVVGNSSSNNGKPNDDDEEEVQQRLVEPIVRGASNVLRSCARASPRPRRVVFTSSCSCVRYGAGAAAALNESHWSDAAYCAAHGLWYAYAKTLAEREAWRLAKERGLDMVAVNPSFVVGPILSPAPTSTALIVLALLRGELPRYPNTTVGFVHVDDAVLAHVVAMEDARASGRLICSCHVAHWSEIVGSLRERYPGYPIPAECGSHKGDDRAHKMDTAKIRALGFPPFLSVQQMFDDCIKSFQDKGLLPPHA >OGLUM01G01970.1 pep chromosome:ALNU02000000:1:1558775:1559314:1 gene:OGLUM01G01970 transcript:OGLUM01G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGNLLTLTLGALLLAGIPISSAAITPNSNIRLPTDGGDEWPSPPWDCCDKLKQSPLRIWPPKYKCLDEVDHCAAACEDCKRADGGGYVCRDWYWGVNPGPKCTGGGGEEAVRSRPWKCCDDAVCTRSMPPTCSCQDKVRSCSGGCGKCVQVESQPPRFRCLDRYHGFPGPKCHNQPA >OGLUM01G01980.1 pep chromosome:ALNU02000000:1:1560495:1564760:1 gene:OGLUM01G01980 transcript:OGLUM01G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSCGWCGRWRRSSGGRGGGADREANGGGGGGGGAASGREEEQWSLFIELPVLEAATRGFSDDNLLGRGGFGPVYKGVLENGQEIAVKKLSLESRQGVREFLNEVRLLLKVQHRNLVSLLGCCASSGHKMLVYPYFPNGSLDHFLFDRKKSVQLDWPKRYQIIVGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLNPKISDFGMARLFLEDATHVNTFRISGTYGYMAPEYAMNGYLSTKTDVFSFGMLVLEIVSGRKNIDKHLDAERTDLLNYTWKLSEEGRQMEIVDPAMAEWDADEARLCVQLGLLCCQAVVSDRPDMYTVHLMLSSDSFTLPKPGKPAIHGRVGRWMSTTTTASSAASTANTATTLGTDTMTTRASASAAALGGIAEDDSRNSISISFTTEGR >OGLUM01G01990.1 pep chromosome:ALNU02000000:1:1593979:1599891:1 gene:OGLUM01G01990 transcript:OGLUM01G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y2R0] MAGPTPPPPEEEPSSPSLRLRCAVQHYEWGRRGEASLVARLSDANADDHGPDPARPYAELWMGTHPSAPSSLLADGLLRDWLARHPAALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKDLAEVLHALRPATYKDGNHKPEMAIAVTEFRVLCGFAGIQELKDVLRTVPEVEDLVGPEDAAKLLSVKEYHGVNEVKSCLRSAFTKLMTASKEAVSEAITKLIFRLNAESKVRTLTEKENLVLSLEKQYPEDVGVLSAFFFNYIKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQVFPEILRGVPVQPYVRRYTPPFDEFEVDCCSLPPGELVVISPVPGPSVYLVMAGEGEIQVDSMPNGEKSKQGDVFFVPAYTEVKFSASGPECMQLYRAGVNSRFFN >OGLUM01G02000.1 pep chromosome:ALNU02000000:1:1620295:1622539:1 gene:OGLUM01G02000 transcript:OGLUM01G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNEQLLLRRQIPALYVRLESDGGLTGAAGEEIPAAFAMAGNVVHEEVVFLEGPWPSPQLHHLLCHGLLLLLAHHSHGPCNSPLRHCCLLPLQRSWDI >OGLUM01G02010.1 pep chromosome:ALNU02000000:1:1620928:1622174:-1 gene:OGLUM01G02010 transcript:OGLUM01G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRRVAGPMGVMSKQQQEAMAEEMMELRRGPWTLEEDNLLMNYIACHGEGRWNLLARCSGLKRTGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDANSTVFRDAVRCYWMPRLLEKMAGAATDPTPPPPPPLHHHAAMATVSSSPFDFDTHLQQAEAATACVVPNNVYHHHIDPSPSTSTSAGSTAAAAAFQPLPCFSELRWDQQMQSSGELDDGAAGVFVDSASALGSLSLDGLDLGPAEYYSDSTLLDYLNSSCTGSAMMTMMTASGNAGSYNYCGGAMDGGDTWRSDEVCQAAARKLGEWGGGI >OGLUM01G02020.1 pep chromosome:ALNU02000000:1:1645429:1647073:-1 gene:OGLUM01G02020 transcript:OGLUM01G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease 2 [Source:Projected from Arabidopsis thaliana (AT1G68290) TAIR;Acc:AT1G68290] MQQSIMSTLPLLLLLLFSSLFPAPSHAWGVHGHLIGRLSDAAAAAVRGLLPSYAGGNLSSLCSWADGVKLRYPWSAPLHYIDTPDHLCSYTYDRDCKDEDSFRGRCVAGAINNYTSQLLTYDATSPSTQYNLTQALLFLAHFVGDIHQPLHVGFTSDKGGNTIDVHWYTRKTVLHHVWDDNIIETAENDYYGEGVAEFVDALMQNITGEWSQRVPGWEECSKNQTTCPDTYASESIAAACDWAYKDVTEDSVLEDAYFGSRLPVVNLRLAQGGVRLAATLNRIFS >OGLUM01G02030.1 pep chromosome:ALNU02000000:1:1648445:1655917:1 gene:OGLUM01G02030 transcript:OGLUM01G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAPLLRLRLLPLAAFVAVVSLTAAPRRAEAWGKQGHIIVCKIAEKYLSEKAAAAVEELLPESAGGELSTVCPWADEVRFHYYWSRPLHYANTPQVCNFKYSRDCHNSRHQQGMCVVGAINNYTDQLYSYGDSKSSYNLTESLMFLAHFVGDVHQPLHVGFEEDEGGNTIKVHWYRRKENLHHVWDNSIIETAMKDFYNRSLDTMVEALKMNLTDGWSEDISHWENCGNKKETCANDYAIESIHLSCNYAYKDVEQDITLGDDYFYSRYPIVEKRLAQAGIRLALILNRIFGEDKPDGNVIPLQVQ >OGLUM01G02040.1 pep chromosome:ALNU02000000:1:1651095:1653511:-1 gene:OGLUM01G02040 transcript:OGLUM01G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:abscisic acid (aba)-deficient 4 [Source:Projected from Arabidopsis thaliana (AT1G67080) TAIR;Acc:AT1G67080] MAALLLLSSAARVGVAAPLALRQQRPVVLPGGQLRTGSGAGAASAWAARPLRPELAAVSRPAVPARGRAPLFRPRAWMASSQIASSAFTWGTIAVLPFYTLMVVAPNADVTKRAVDSSAPYVALGILYAYLLYLSWTPDTLRAMFASKYWLPELTGIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGIKNNIETRHSVSLCLLFCPIGIVTHVLTKVHLESLHHGIQASVIEVLHGRLYDAVVPHL >OGLUM01G02050.1 pep chromosome:ALNU02000000:1:1654595:1659183:-1 gene:OGLUM01G02050 transcript:OGLUM01G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDLAGSSSSSSAMDAVVADPSHGWQKVTYPKRHRKQGAAALPSAAAPDLGFLPNGGGKVNVFEAVDRNAEKRHRALLAARDAADPDAARIAAATASAYSDDDDDSDEAQATRPEGEVKKPKVKKPKKPKVTVAEAAALIDAENLAAHLVQISESYENQQDIQLMRFADYFGRSFASVSPAQFPWAKMFKESLVSKMVDIPLCHIPEPVRNTASDWINQRSPDALGDFVMWCIDSIMSELSGQAVGAKGSKKAAQQTPRAQGPEDCMVVAIFVVLALTVRRKPEVLTNVLPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHFLFPTLCAKPSGNPQTRDLVLQLLERILSAPKARGILLNGAVRKGERLIPPVTFDLFMRAAFPVSSARVKATERFEAAYPTIKELALAGPPGSKTVKQAAQQLLPLCVKAMQENNADLTGESAGVFIWCLTQNAESYKLWERLHPENVEASVVVLSTIVTKWSELSHKLSAESLKVTLKNLRTKNEAALEAATDSGKQASIKAADKYSKEILGRLSRGGACLKGSLLVITLAVAAGFVLSPNLEIPSDWDKLQAMVASHLSF >OGLUM01G02060.1 pep chromosome:ALNU02000000:1:1670241:1670631:-1 gene:OGLUM01G02060 transcript:OGLUM01G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYTSGVGRSAISRRCRVPSVAPSIPELKCACGHAATVQTSNTPRNPRRRWLQCPGEMVAYCHAGEYDYLRETCDSLRQFIADQRHHISQLSA >OGLUM01G02070.1 pep chromosome:ALNU02000000:1:1670902:1679306:1 gene:OGLUM01G02070 transcript:OGLUM01G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQLTSECSLNLQKRLKHRKPKLIDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADYKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSHEVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDAEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >OGLUM01G02070.2 pep chromosome:ALNU02000000:1:1670902:1679306:1 gene:OGLUM01G02070 transcript:OGLUM01G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18370) TAIR;Acc:AT3G18370] MAKKKLKKLHAKDALEFFNQVMVEQPLLPFLVPLVLFAWFVERWVVPFSNWVPLLAAVWATIQLTSECSLNLQKRLKHRKPKLIDKIELQEFSLGCCPPTLGEHGMRWMTSGDQKVMRLGFDWDSNEMSVMFLAKLAKPLIGAARIVINSIHIKGDLLLLPILDGEAILYSFESTPEVRIGVAFGSGGSQAVPGMELPGVSTWLVKLLTETIVKTMVEPRRLCFSLPPVDLRKRAVGGVLSVTVVSASNVGRNTTNEIGIRQSSSGGSTSGIADYKVSQTFIEVEVGSLVRKTSTSKGPNPAWNSTFNLVLHGETGVVKFNLYELDSGGVKVTYLTSCEIKVKYVLDDSTIFWAIGHNSGAVAKRTELCGQEVGMVVPFEDIRGELTVTLVLKEWQFSDGSVTLSNSLSNGSHSSFDVSPKLQSRTGRKLRVAVVEGKALAVNGKSGKCDPYVKVQYGKALYKTKTLSHTTRPVWNDKFEFDEITGGEYLKIKCYSADTFGDESIGSARVNLEGLLDGDSHEVWVPLEKVDSGEIRLQIEPIKSDFNGILKTSSGRVEATWIELVIIEARDLIAADLRGTSDPYVRVHYGSKKKRTKVVYKTLSPDWNQTFEFPETGEPLILHVKDHNAVLPTASIGQCTVEYSMLPPNQPAVKWIPLQGVKSGEVHVKITRKVPHLEKKTSFQTDASSLGKGHKISSQMRDSLKKFTGLVDEGGDAEAMSLALTEIESIQDEQDMYIQQLEREKAALLRKIQELGSEIVRTSSGPARMPY >OGLUM01G02080.1 pep chromosome:ALNU02000000:1:1689680:1691095:1 gene:OGLUM01G02080 transcript:OGLUM01G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRRQEGSPLDLNNLPDEFGKQTVESSTTTAASSAEASRVTKKKSNGGKDEAGKVYECRFCSLKFCKSQALERETETLNRARQLVFGNDSLAAVGAQLKDVNMGGGGAAAPPPTMQMGGGGFRGGGVGGDPCIPLRPVQPRLSPPQPPPYHHYLYTTTAPPSALHPMSYPATYPAPPRHQQPAAVGDYVIGHAVSAGDALVAPPPPPHRASFSCFGAPLAAPPANVQPDNGNCNCSFGCGHSNRNVNAAS >OGLUM01G02090.1 pep chromosome:ALNU02000000:1:1703278:1705785:-1 gene:OGLUM01G02090 transcript:OGLUM01G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT1G73850) TAIR;Acc:AT1G73850] MRNASGSSCCSRVAAPLHAQVLGSPSPSHGYGGAGDGCLLQAPPPAVVCGEMLALKTPAPPSLLHALASLNVLLLVAYLLLFLLAKLAAGLHRRGHGSCDEHQVTETSGREEFAGADDIAGVQQQQAEMLFWFDEAVFEDTALLGGEGKDQLMYDAATTPPTPTPARCLQVESTFPMVESTRRISPHHRQCSFSHEEPKAAAAATTASSQKDIIPAAVPSPENVPVEAGEEDGHGEHGEEDDEEEKRFRGDDVKLFVNSRALADTKKLLLEGAMAGKGGVQRECEEESRLGASTLTSESTSKSSVEWRSSTVTKDSETEYPFSSSSRRSSSRWESYALFRKYDEDMVYFHRVGAQKLTETESYRSIKYQPRSVSERIVQKLTPKPRPAIGLRDPYPELERVYVAQICLTWEALNWNYASFRRHAGDIAARCCPARVAQEFQQFQVLLHRFIENEPYEYGRRPEVYARMKNSSPKLLLVPEFRDEEDEKDDLISAVQFLYILEESIRTFMAFLRADKRSHYQMFREMVKRRTSSMDQTLVITLKKANKKKKSRLKDLTRPRRCLRRTKLREEEEMSILLGLIDLKIVARVLRMPEITDQQLHWCEEKMNRVKVDPAQGKMQRDPSPLFFPAH >OGLUM01G02100.1 pep chromosome:ALNU02000000:1:1759433:1760850:1 gene:OGLUM01G02100 transcript:OGLUM01G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSDNCAIRPCLQWIRSPDAQANATVFLAKFYGRAGLINLITAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWQLCQSAVESVLRGMPIAQPPPSATAVPPLPTCDIRHVGARRGDVHGAAAGPVADLHRLDISSRAKFKRPGGGGAAAHRSDHAAFELVFSKPAAAMAVDVIRQAQPLNWAPGALSHESASHDAAPPESEGHSNDTADTVDGSHVSQSEPEPRATSAATEVHDAGLDLTLGLPPPPPPVQKTEPADSDGGSQQQHDHRKEKPVELGLAISTSVAAQ >OGLUM01G02110.1 pep chromosome:ALNU02000000:1:1772850:1773152:-1 gene:OGLUM01G02110 transcript:OGLUM01G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPATTPLPRRRLALILCLAWALWLHGGGGGISLADAFQAPTPARRSSGSSYAVGSRPVPAAAPRWSSSSSSSASEAAARFADDKRRIPSCPDALHNR >OGLUM01G02120.1 pep chromosome:ALNU02000000:1:1780529:1784093:-1 gene:OGLUM01G02120 transcript:OGLUM01G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRRGGGERETETEEDETWKLRVGDDFTVPERFHRKPPFFSRIFPACSHGKHRKIAKYYKKQENLLKDFSEMETMNEIGSLDQNAPTEEELRQMAKGERLAINLSNIINLILFIGKVLASVESLSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVEHQVFDHRKELWMIGSMSSVAVVKFFLMLYCRSFKNEIVRAYAQDHFFDVITNSVGLVSALLAVRYKWWMDPVGAILIAVYTITTWARTVVENVGTLIGRSAPAEYLTKLTYLIWNHHEEIRHIDTVRAYTFGTHYFVEVDIVLPGDMPLSHAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEKYYYIYISISGGHVTGAVVMNRTSLSLAPDMSIQVSLGPIQLGQKNSQKYKGQTMHEDSACDLFLLVRFIGRVAAGCYYVGALSDRTNYQ >OGLUM01G02130.1 pep chromosome:ALNU02000000:1:1792840:1793232:-1 gene:OGLUM01G02130 transcript:OGLUM01G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTTAKRKRPAASDIADDAPTTVDEVSDAEVEEFYAILRRMRDATRRLGARPPPPRAPAWRPSFSWEDFADAPPKQQAPPPQQQQHPADHERVAENATPPRRPAAGLDLNVEPPSDAPATPRSARAPA >OGLUM01G02140.1 pep chromosome:ALNU02000000:1:1805665:1810636:1 gene:OGLUM01G02140 transcript:OGLUM01G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMLASLSSSSRAAISCIPLCLLFLTLASSNGVFAAAPPKVGSGYKLVSLVEHPEGGALVGYLQVKQRTSTYGPDIPLLRLYVKHETKDRIRVQITDADKPRWEVPYNLLQREPAPPVTGGRITGVPFAAGEYPGEELVFTYGRDPFWFAVHRKSSREALFNTSCGALVFKDQYIEASTSLPRDAALYGLGENTQPGGIRLRPNDPYTIYTTDISAINLNTDLYGSHPVYVDLRSRGGHGVAHAVLLLNSNGMDVFYMGTSLTYKVIGGLLDFYLFSGPTPLAVVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLEFLDKIHAQGMKYIVLIDPGIAVNNTYGVYQRGMQGDVFIKLDGKPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCEIPTTHLCPLPNTTTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSATHYNGILEYNAHSLYGFSQAIATHQALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLRYSISTMLNFGIFGMPMVGADICGFYPQPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYRLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQYLLGASVMVSPVLEQGATSVSAMFPPGSWYNLFDTTKVVVSRGEGAVKLDAPLNEINVHVFQNTILPMQRGGTISKEARATPFTLVVAFPFGATEAEAEGAVYVDDDERPEMVLAEGQATYVRFYATVRGKAVTVRSEVELGSYSLQKGLLIEKLSVLGLEGTGRDLAVHVDGANATAIATSRPYFAGAEAELHGHHDVEGHKKSVMVEVGGLALPLGKSFTMTWNMQIEA >OGLUM01G02150.1 pep chromosome:ALNU02000000:1:1815161:1815561:1 gene:OGLUM01G02150 transcript:OGLUM01G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAQIGVSVSVFLSLACLPCRPPPPQPVTTTTTTAIPFRRRLCRPPWLDWTISVSVSLYERIPADTAIRYGVIRACAMVHVIIQCYKDQGTRRERCKGAQSPPESFLVAPNDWQQLE >OGLUM01G02160.1 pep chromosome:ALNU02000000:1:1819646:1820164:-1 gene:OGLUM01G02160 transcript:OGLUM01G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTRAQQPKLQEGQDGAGAAGNANPKPQRRAKQPRQPKAASAAAKKAAAAARESSSSSVGAGAAVTSAASSSCSSGADMAPTVPDVCGGGGGGEGYEAGAATTVEWDLDGGLSNGSSWWTFGVEEEKLLGWFPFVEEDFRCLGARGDAEMAFDDDIWRIHQIYEIPNYAAK >OGLUM01G02170.1 pep chromosome:ALNU02000000:1:1825356:1826487:1 gene:OGLUM01G02170 transcript:OGLUM01G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTRRRAVTARSRLLRPDLTGRAMAKAGARGAVKWWMKAAGMASAVGAKAAATDFATGSVSAAGGS >OGLUM01G02180.1 pep chromosome:ALNU02000000:1:1844136:1844675:-1 gene:OGLUM01G02180 transcript:OGLUM01G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSLAHKALEARARPKSPDHKQVGPTSWTNTSPHPPIDSTTKVWKQTGQQKGGASRKARRSGLPEEELKVEDRATETSESSMFPTRSKKSFVAEATSPPRTIGLCKRPSLATETTTSNFTTNTAKSWGEQSSRATRQLGGEKRKGGKGGGGRRRGREVAAEVGWGRGWTPGEAPESPR >OGLUM01G02190.1 pep chromosome:ALNU02000000:1:1851742:1852269:1 gene:OGLUM01G02190 transcript:OGLUM01G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNNNNYNKRARDAEDEADEAKRLRAEDLLDMLDDDTDAGGAAGDLASVMRSFEEEIVAGDVAGDVAPTTQPELGFLLEASDDELGLPPATASSSEEEAGAGEPEDAIGFGGQIWGFEDEIGGGGYAGFALTSPEAVAAAAAAAEWDDDGFDAGLFGFGDEVSALRHETMPAV >OGLUM01G02200.1 pep chromosome:ALNU02000000:1:1859271:1861788:1 gene:OGLUM01G02200 transcript:OGLUM01G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQQQMLLKKVMAKKPKTKRLSGFGLKPSAAFSRPHVPSAAASLQPSRRVRVVFEDPDATDSDSDDDEDAGAASKKRYFELFIGKPASSMKQASPASTVAAYANIGKVGSTCYRGVRLRKWGKWAAEIRNPFTGHREWLGTFVTADAASAAYQSASRNFAEEKRRRGVASSASPASSATPTPTASSSSSTSAAPFAHPSPSSVLEATKPAPKPESPPLPEQAATPLLVEATNETAELPDDPEFYKDILRGLQLPDIDPMDFRAGLDALDISDVPAYMNGEQDVLFTEDMLLGDFAEEDDLDLDDIGDDFCEDFPEIPSGYDFGRGDMFRQVDFCV >OGLUM01G02210.1 pep chromosome:ALNU02000000:1:1866839:1871504:1 gene:OGLUM01G02210 transcript:OGLUM01G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWVQRLLTTATTAALLLLAACCAASALDAFHVPSVQAQAHVTKINRFHKQLNGNDKVTLTFNVSANLESLFTWSTKQVFVFLTAEYENSKNSLNQVSLWDHIIPDKDKANLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHVMPKAGVMIRDRMALSEFNLPDSYTS >OGLUM01G02210.2 pep chromosome:ALNU02000000:1:1866839:1871504:1 gene:OGLUM01G02210 transcript:OGLUM01G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSWVQRLLTTATTAALLLLAACCAASALDAFHVPSVQAQAHVTKINRFHKQLNGNDKVTLTFNVSANLESLFTWSTKQVFVFLTAEYENSKNSLNQVSLWDHIIPDKDKANLQVEVKSKYPLIDQGSSLRGKKVQLVLHWHVMPKAGVMIRDRMALSEFNLPDSYTS >OGLUM01G02220.1 pep chromosome:ALNU02000000:1:1870490:1871410:-1 gene:OGLUM01G02220 transcript:OGLUM01G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLMVILVLQAVLVMGIFAAVAKENAVGESKGNTETNGGKLKCCSNCNFSFSGLYTCEDVVKKCDPVCKSCAVVKTHPVKKFKCTDTFLGMCGPPCKH >OGLUM01G02230.1 pep chromosome:ALNU02000000:1:1874235:1874474:-1 gene:OGLUM01G02230 transcript:OGLUM01G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEATTATATTTTATMTTATTTTVPSPPAPAEDLDDSQQGSRPPRATAAVEKPPPQAPQAAPPLRRSCHYRPPPPRRR >OGLUM01G02240.1 pep chromosome:ALNU02000000:1:1877215:1877719:-1 gene:OGLUM01G02240 transcript:OGLUM01G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTLLVILAVQAALIMGIFAAVAKENAVGESKAIDINPGQLKCCSNCNFSFSGLYTCDDIVKKCDPVCKKCAVVKTYPVKMFKCTDTFLGMCGPSCKH >OGLUM01G02250.1 pep chromosome:ALNU02000000:1:1880275:1884649:1 gene:OGLUM01G02250 transcript:OGLUM01G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGLGLLLLLFLLHLPTIATSRAHLDSNNTVWCHPDQARALLQLKESFYWGNSTIILPTWQDGTDCCTWEGVGCDASSHLVTVLDLSGRGMYSVSDGFEPALFSLTSLQRLDLSMNSLGTSSTTKDAEFDRLTSLTHLNLSNSGLDRQIPMGISKLINLVSLDLSDRYDWMNFISGSSNYLQESRLMSLVANLSNLKELYLDNMDMSTDVDEWCKTLAQSVPRLQVLSLEGCSLNTPIHHSLLRLHSLTVINLQSNPGIAVNIFPDFFMGFANLTVLRLSDNNLEGWFPDRFFQLKNLRILDLSFNMNLSGHLPKVPTSLETLRLEGTSFSYAKPISSSNFNMLKELGLEGKLISKDFLTSFGLIGSLCHLELHNSELLGDSGSNLLSWIGDHKNLTSLILSEFDFSSTMPSSIGNFKNLRRLTNSLSGEIPARLFTLPALLSLELDDNHFSGPIQEFDAVPSYMMRLRLTRNELTGEFPKSFFELTSLIALEIDSNNLAGSVDFSSFQRLKKLRALNLSHNNLSVIMDDEGDNSSSTYLSELNELGLACCNITKFPSILSRLSDMSYLDLSCNKISGNIPNWIWEKWSSSLVHLNLSNNMLTSMEVTSYLLPFNRNFETLDLSSNMLQGQIPIPNASAEFLDYSHNRFSSILPNFTLYLSKTWYLSMSKNNISGNVPHSICNSSLLVLNLAYNNFSGTFPSCVMEQKYFGNILNLRGNHFEGMLPTNVTRCAFQTIDLNGNKIEGRLPRALGNCTYLEVLDLGNNKIADTFPSWLGSLSYLRVLVLRPNQLYGSIGYTFEDKSGDHFSNLQIIDLASNNFTGNLHPQWLQKFISMKKYNNTGEIISHRQGISYGFYQDTVTISCKGSSMTFERILTTLTAIDLSDNALEGSIPESVGKLVSLHVLNLSHNAFSGRIPPQLGGITALESLDLSSNRISGEIPQELTNLTFLTVLNLSNNKLEGKIPESRQFATFESSSYEGNAGLCGDPLPKCASWSPPSAEPHAESSFEHVDIVMFLLVGVGFGVGFAAARPVKERLPNFIKCLLTFWTNIYTASQLEPMAMARAAELRAAPHGWLAQRLASVVAAHGGAAWPGQAVELDATSYRRIRRPAVVGAAKMLAAHGNLQGIKLRVAWFPSSELRHSDVQPAAGSPHRDSGGVI >OGLUM01G02260.1 pep chromosome:ALNU02000000:1:1886015:1886737:-1 gene:OGLUM01G02260 transcript:OGLUM01G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAPELIGPRISFSHDGVVATAGSAATPARSDTSLVVVMSSWRPRLPEPEFDFANAAAADVAPADRLFAGGKLLPVPPLPPVHPKPSPCKQQQAASGGGVKPTSYQRRPGSWTSPFTRSCSVNSATTATATAAPRSGSFSCPSFPLMRSRSAGSAAAAQGGVVSGGGHHRPPQHKKAGATAAAYYYGGSRNGSSGHGVRVSPVINVPSIGTSMVNMLSYLLCDCGNKTNKNRGFGLNC >OGLUM01G02270.1 pep chromosome:ALNU02000000:1:1890793:1892437:-1 gene:OGLUM01G02270 transcript:OGLUM01G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKHAVVDLSEEEERRGGGAPGEGSSDEVGDAVGLGRGADSTEPEGSSAVDYAGHGVAAEVAKNDDLEEPLLEPEGVTAVGSGGGDGTASDGGENELHEVPKNPMATRFAFRATDANATPVSTYRGMLSRSRKNAGPTRFVSAGAPASADPETPALSTSSSELVKSSPENASAVPDADHGASPGRGNEQDLEAAEKQSEARFVSGHTAANAMPPSTFRIRPSRSRKQSSPTRSILREADPPLPAPDAAAAAAAESSHREPSRSKKQPRPERFIPEEGEAAARAKARRSGIALDRFITSQLNNPSGPSTEWEREVTAADVVGGGQGEECTTSDQPSCSIAISDSGPPEEPLPDDRRRIYSVLAVLGVSLAVSMAVLALFYIFGSESPSPPSDPNQEVIFKQY >OGLUM01G02280.1 pep chromosome:ALNU02000000:1:1895951:1899020:1 gene:OGLUM01G02280 transcript:OGLUM01G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRDHRRVLPGARRSVS >OGLUM01G02290.1 pep chromosome:ALNU02000000:1:1905955:1907781:-1 gene:OGLUM01G02290 transcript:OGLUM01G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARIHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEVLSQQAEGTERMLERIGQEAAGSLKELEAHYYSSVVRTPPDE >OGLUM01G02300.1 pep chromosome:ALNU02000000:1:1917558:1918361:-1 gene:OGLUM01G02300 transcript:OGLUM01G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSPRLKLFGFDVSEEETAEHEEQYSAEPENAPVCGGNGGGGGGGSDSSSSSTTTTTTATAGGDGRRYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQAAAAAAGRVAGGAAALYPRANPMVSAFAPTPHLLGGGEAGPTSWVYFSPRAAAVAGGAQGQQFHVSHGCVFPARGAGPAAVAAASPAVFSYTPATSAAAVAAASAPYVTTDEHHGRRIHASSAASLARYPGPAMVVAEPVATVPEDTCLGLDLQLSLAPAGL >OGLUM01G02310.1 pep chromosome:ALNU02000000:1:1918380:1932802:-1 gene:OGLUM01G02310 transcript:OGLUM01G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEAMYDEMSLPNGVLRLQRKGGHGRHNGLQNVMECLDSSRELPRLSIGIGSPPGNMDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSGWDSKAILCRASTTSAKLLQCAAR >OGLUM01G02310.2 pep chromosome:ALNU02000000:1:1919596:1932802:-1 gene:OGLUM01G02310 transcript:OGLUM01G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVATPASARLSPLTTSSPEPCRRRRLLLSAAAPLRRTRLRRRIAVVASVPDPAARPAEYTPWLIAGLGNPGSKYHGTRHNVGFEMVDRIARDEGITMNTIQSKSLLGIGSIGEVPVLLVKPQSYINYSGEAMYDEMSLPNGVLRLQRKGGHGRHNGLQNVMECLDSSRELPRLSIGIGSPPGNMDTRAFLLQKFSSEERLQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHSRHNIDSRDGTQRRFFAEVIHHHDRN >OGLUM01G02320.1 pep chromosome:ALNU02000000:1:1938235:1941869:1 gene:OGLUM01G02320 transcript:OGLUM01G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAIVEKRPAPFVGGGGGCAGGVLLHLLDWHRRLARKRRLFSPRRLLPTSLRSSPRRLPSPPQASHPPPAPRLSSAATAAGVAAPGVGVVARLMGLESWPATGPVGAPPAARRPQKQRKVEVASPTPRADESDVVLVLPPSQRPPPLSPAARNHHGADLPARSPRRSRLVHAAATKLLEPSARASSRASARLALAYACSSPQHRMDGHSYALQSSSMPDDFLSRSDSLPLERSSRLQPVVAQPPVLPAETEWDNVITSSRHEKHSIDTISSSDAADVVSGDAIVVLRSGFDDANVSRSSSGADAMPKDHKARTDRLSNCSRMRSSGAGVRAGEERSLRKRGTHSLQDVEGNIGSRSLVSSTHPAAGSARELMSGSRRAAHHGSGQRRELMGTITPQRSSRREVMGSSNPQRNTRRSSIDRSGLTSTTTSRIAVSTVSGQKRGSRKNVGRDNAACNREVNNPVAFASSSSVSPVTRNSSQSKVSEKRGCRRTQVISTSCSTGLPVVESSPSVVGSSEKEEFSRLLKAKINELGLSDRIESSDALSGKLTASVLQELISALTNDTNTSDSQHSNYSNAYNSQHSNYSDAVDCLNNNMSACNSNDQSPDFQNCYQRDGEVESSATCMNNEPNQPSPTSVLEACFSNDTSSLGSPTEKNEGKEYFVSIENKMEDLFNLESDIVDLAISIDKTKTDAEEIFHGIDKMSSVHNLMARDFKLLEARLHSIGEAISNAELLLGNSPLSTKTSNLSLHCFIIEMLEVIMDLFGGSKSLGLTEEKKYQQTNFIFDCIIESVNSKFCDFGKCGYKAWLRLPLSLTEDLLKREISKEICNWRETRETTPNRVAEKELDQMTPRWDACQVEAFDISIAIEHDILEALVDEFAFDQW >OGLUM01G02330.1 pep chromosome:ALNU02000000:1:1942647:1943231:-1 gene:OGLUM01G02330 transcript:OGLUM01G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSSSSKPILGRAMAILALPLTPISKAKGPIARNLLLFKKRGAAGKARRMLSSYGYKPHRHYNYDYVGEYQFSPSSSPLIAYPPGVSSWRRAAKKRRSKARMILASLLCGGDGDLDVAVLDGLPRADEPRAAVEWEECRRDGGGAYGEGDQYEEEEEEEDDEGVDGRAERFIERFYEEMRLQRQRSLVQRLL >OGLUM01G02340.1 pep chromosome:ALNU02000000:1:1947765:1953583:1 gene:OGLUM01G02340 transcript:OGLUM01G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic GLC translocator [Source:Projected from Arabidopsis thaliana (AT5G16150) TAIR;Acc:AT5G16150] MIRCVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLASVEMAAGPQPQGGVSGLFRGPRSSPRYSRVRATATVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >OGLUM01G02340.2 pep chromosome:ALNU02000000:1:1948580:1953583:1 gene:OGLUM01G02340 transcript:OGLUM01G02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic GLC translocator [Source:Projected from Arabidopsis thaliana (AT5G16150) TAIR;Acc:AT5G16150] MAGTGASVKMIRCVVKCGGQQQHVVVAARGDRKSPAIAAPPPATVRMPGRVLCCGMRSRGADLASVEMAAGPQPQGGVSGLFRGPRSSPRYSRVRATATVDPEDIPLEKVQAKSSGSVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTTLAGATAGSFTGGALADKFGRTRTFILDAIPLAVGAFLSATAHDVRTMIIGRLLAGIGIGISSALVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPAWWRTMFGISIVPSILLALGMAVSPESPRWLFQQGKLSQAETAIKKLYGREKVAEVMYDLKAASQGSSEPDAGWLDLFSKRYWKVVSVGAAMFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMAASMLLLSLSFTWKALAPYSGPLAVAGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVVYIAGNVVETKGRSLEEIERALSSAS >OGLUM01G02350.1 pep chromosome:ALNU02000000:1:1954195:1960969:1 gene:OGLUM01G02350 transcript:OGLUM01G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTPFRTTNLVVVVATNTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTNGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSGNEP >OGLUM01G02350.2 pep chromosome:ALNU02000000:1:1954195:1960978:1 gene:OGLUM01G02350 transcript:OGLUM01G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25375) TAIR;Acc:AT1G25375] MGSPSYRLAAAITIPSTDEFLLVRQPPPPSPAPAPEEEEYLYRGYVDSELYDLPSAPLRPLAGELRSDVAIRGADSVAGRLDISRLDVSASLDQICDQFGLPSGICGEWRLLKYVEEAEFGPDAGLNTVLIIGSLESKLEALQDSWRWMSKECASGLLSGAKSGGTRIGPYAYIGLLKPELPSNWTPFRTTNLVVVVATNTPGGSTCSDCVVYGDALLIDPGCCSQVHGKLAELVNSLPKKLVVLVTHHHHDHVDGLSVVQRCNPDAVLLTHKNTMSRIGKVAGGENICIGDQQLQVVFAPGHTNGHMGVLHVNTNALIVGDHCVGQGSATLDNRAGGNMKDYFQTTYNFLDMSPHVLIPMHGRINLWPKHMLCGYLRHRRAREVSILQSIENGARTLFDIVSKTYADVDRKLWIPASFNVRLHVDHLNSQNKLPKSFSMDKFEVSCGTNFMLWWAVAYVQARSSPAILAATALAGGLAIAYALKRNSGNEP >OGLUM01G02360.1 pep chromosome:ALNU02000000:1:1961471:1969316:1 gene:OGLUM01G02360 transcript:OGLUM01G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTNKPMAASSHSGSRLLKAVLFLLIFSLGFIMGMISMANFPNFYGSPLLSPMLLSVSSLAPSSTPMPTPTAPSPSPETPCVWPPSPPSPTDPSASLPSPTERPAAPMGLTAFLAPTSVVHTMTDEELLWRASMAPKVSRTPYSRVPKVAFLFLVRNQLPLRPLWEKFFAGHNQSLYSIYVHSYPPFAASLPTDSVFYGRMIPSQKTTWGDSNLVEAERRLLANALLDMSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNNGAMARYRQDVFAPHNITQAQWRKGSQWFEMDRALAVEVVSDEAYFPAFRGCRHCVIDEHYIPTLVSLLRWRRNANRTLTYMEWRPRSPHPRSHGARDVTEELLRKMRSGAANCTYNGAPSDICFVFARKFTPDTLGPLLDLAPKPMAATHSGSSLLKAVPMLLLFSSGFILGMISIANFPKFYESPLLSPMLHSLVPSSSRPIMQPSPSPETPCVWPPPLPSSTPTPAPSPPSTPTGLMGFLAPSGVTHNMTDEELLWRASMAPRVSRAPYSRMPKVAFLFLVRAKLPLRLLWEKFFAGRGKELYSIYVHSDPPFAASLPTDSVFYGRMIPSQRTTWGDANLVEAERRLLANALLDLSNERFALLSESCIPIFDFPTVYAHLTGSNDSFVDCFDNAGARARYRPALFAPHNITAAQWRKGSQFFEMDRALAVEVVSDERYFPAFRDSCAGRRGCLIDEHYIPTLVSLLRWRRNANRTLTYTEWRPRRPHPRSHGARDVTEELFGKMRGGAGNCTYNGKASDVCFVFARKFSPDALAPLLELAPKVIGFG >OGLUM01G02370.1 pep chromosome:ALNU02000000:1:1970564:1974040:1 gene:OGLUM01G02370 transcript:OGLUM01G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G68400) TAIR;Acc:AT1G68400] MMPKHFRISAPLFFTLDMSPSTSMAPPVIHTFTCSPNPSMAPHVTTFSHPRLRESSSLSLSCGPLLCVSITVRAVVKLWRRGSVRQEPPSSRRCHDRRRTQAKREVKLEQKKRIGSSMPRNSGGGASAILLPLFLSALLLRCFVCYADGGGGGSLDADVAALSDFRLAADRSGALASWDLAANPAPCGTWRGVSCAGGRVTRLVLEGFGLSGDAALPALARLDGLRVLSLKGNGLTGAIPDLSPLAGLKLLFLAGNSLSGPIPPSIGALYRLYRLDLSFNNLSGVVPPELNRLDRLLTLRLDSNRLSGGIDGIALPVLQDFNVSNNLLTGRIPVAMAKFPVGAFGGNAGLCSAPLPPCKDEAQQPNASAAVNASATPPCPPAAAMVASSPSAKPAGAATSGKGKMSCAAVVAIVAGDFAVVGLVAGLLFCYFWPRLSGRRSARRLREGEKIVYSSSPYGATGVVTAAGGTFERGKMVFLEDLSSGGGKRFELDDLLRASAEMLGKGGCGTAYKAVLGDGSVVAVKRLRDATAAAASKKDFEHHMAVLGRLRHPNIVPLNAYYYARDEKLLVYEFMPNGSLFSLLHGNRGPGRTPLDWAARMRIASAAARGLAYIHHASRRGSGTPRLAHGNIKSTNILLDKAGVGRLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASQKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCASAAPDQRPKIGYVVKMIEEIRACGEASPSHESMDESSGVSVSDSPAVSEGGAISQ >OGLUM01G02380.1 pep chromosome:ALNU02000000:1:1980676:1982676:1 gene:OGLUM01G02380 transcript:OGLUM01G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVAAGNAIGATYINNLDHPKVAHAKCSMSGSGVKRGTEQVVLAFPLMASPVEFTTSLVEPSLPTGLKLGAAICVGDQVPMKCSMKCTESDNKPLMEHPKRNPWPLAWLGWKKWYVPWTAVNYSEMRFYFIPPWPPPLKVGWLALVFSKFGASHTDMMDIMLHWTDMKPWPPPNQNLRSIMVHLFAWKHWKVSVEVSLFAWNTKQYMNSVLLITVGTKWLIHSAVKDCFLQGKPFKLVDPLELMQVILVLLVRDPDAEMFQIGSYSLQPKNYQLTNCLVARLLKQGNLKKVLDGVDQSKNIKKSDVDVGEDNPGNISTAAKMFIDGLGIKEDSEMLCPSAQYIDNWPSKLLDEIRNGCNIYLLVSLIDDELNPWCFLIDKRWYDILVLLFFTGATWKVESYALPIKDVIHMVVYFVQPLQGILLQTRQGKIEHPVILGITSATQTVKFLLCYKSTIKIHPSCSSMVQISASKFRACGKENICYMLLLLVLNIGNCTSLRSTSYMLHASIACAEYWCLHFSEVVQHAICIGWIINWAILFWMEQAVCSPRIILQMPWDPGGGKLFIASGCRLGDKPDSKEGVLLGIGPAALRPNTVSNPILGPSKPNRELERKGCCTLRKRNRTEIKQASAASATAAAAATWFRLNLVDLHLFLYYLSCYYLYCSY >OGLUM01G02390.1 pep chromosome:ALNU02000000:1:1990646:1995307:1 gene:OGLUM01G02390 transcript:OGLUM01G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGEYLRQFVEETAWYNEIFLSHVVPGDWWRALPHPLQSWLRNGLGGYLIYFACGFLWCFVIYYWKRHAYIPKDSIPTIEAMKKQIIVASKAMPLYCALPTLSEYMVENGWTQCYVNISEVGWPMYLVYLALYLIFVEFGIYWMHRELHDIKPLYKYLHTYHHIYNKENTLSPFAGLAFHPLDGILQAIPHVFALYLIPTHFRTHIALLFIEAVWTTNIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTVWMDWMFGTLREPEDILKKD >OGLUM01G02400.1 pep chromosome:ALNU02000000:1:2005460:2008017:1 gene:OGLUM01G02400 transcript:OGLUM01G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERLSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >OGLUM01G02400.2 pep chromosome:ALNU02000000:1:2005460:2008388:1 gene:OGLUM01G02400 transcript:OGLUM01G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like [Source:Projected from Arabidopsis thaliana (AT1G73805) TAIR;Acc:AT1G73805] MAAKRLHDGYGQEDQPDDKRVRRMPSFSTVIREALMVKQMQTLFVALEPLLRRVVQEELQAGLVRSPRYIERLSPETPPAQPPMWKLAFRFKPQLPIFTGSKIEDVNGNPLEIILVDVDTGAPATISQPLRVEVVPVLGDFPPDDREHWTAEEFQQRGIVKERSGKRPLLTGDVSLTMRDGCVAVNELQFTDNSSWVRCRRFRIGVRVVPGSYDGPRIGEAMTEPFVVRDHRGELYRKHYPPVLGDDVWRLEKIGKEGAFHRKLTQHNVRNVQEFLRLLTVKPDELRAIMGDGMTDRMWEVTTSHAKKCVPGDKVYMYSTPHGTVYVNSIFELVKVELAGVEYQLHQLNRAQKVFVQQLLLAAYEQRNNLQEADAMALHCNDVPLLQNAAEITIPALGDTQLWIQNSLNSQEIDFQVDEIPQANFALQWTGQMYNISG >OGLUM01G02410.1 pep chromosome:ALNU02000000:1:2009937:2010143:1 gene:OGLUM01G02410 transcript:OGLUM01G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSASPSSPSATPPLPSPFSDDGGASAVQDHRLPPLAAVDDRVTLPDDLKSPTLARSDGMSSILE >OGLUM01G02420.1 pep chromosome:ALNU02000000:1:2010175:2012812:1 gene:OGLUM01G02420 transcript:OGLUM01G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCMNPHSMIPSTAGCANASRSTISRSSARTASSAADTSSSVIHTGRPVTASLSSASQITSPTGCVTWPCTPMPPTAAPRFCRSVTSSTYRSGVAFGSLALSTL >OGLUM01G02430.1 pep chromosome:ALNU02000000:1:2010310:2011001:-1 gene:OGLUM01G02430 transcript:OGLUM01G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFLAAARPDDDGIGSRARLLRRRPPRRPPCRRRQHLGPTTCRPLGPVMRHPLASAPEDFAPAADGAWLVARSGLHDLGAVGATPARVVDCLLHHRRALVGLAHAVRPICPPLPNGNTEDAGLGWGLT >OGLUM01G02440.1 pep chromosome:ALNU02000000:1:2011667:2020069:-1 gene:OGLUM01G02440 transcript:OGLUM01G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKGVVFDVNLLENSTLEDGLPGWAAVGECTALSVHNEEPEKVPTETINTVADDYKPSGRYILAAGRAGEEDGLRRAVSGALKPRVTYRVAGWISLGDGAEGSHPVRVNLRLDDDDECVVEGGAVCAQAGRWTEIKGAFRLKASPCGATVFVQGAPAGVDVKVMDLQIFATDRRARFRKLRKKTDKVRKRDVVLKFGGSGSISGASVRVMQMDSSFPFGACINGGVIQNPAFVDFFTKHFDWAVFENELKWYWTEAQQGQLNYADADALLDFCDRYGKPVRGHCIFWAVDNVVQQWIKGLDHDQLTAAVQGRLTGLLTRYAGRFPHYDVNNEMLHGSFYQDRLGDDINAFMFRETARLDPGATLFVNDYNVEGGNDPNATPEKYIEQITALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLATTDLPVWLTELDVCESDVDLRADDLEVVLREAYAHPAVEGVMFWGFMQGHMWRQDACLVNSDGTVNDAGERFIDLRREWTSHARGHIDGDGHFKFRGFHGTYVVQLATATGKMHKTFTVEKGDTPLVLDMDETTHLVMNHVEHCEDGGLAVAGWTPSGSCTLSVHDDPAPETPPPHPLSATEDDADEPRPRPSGRYVLAAHRAGERDGLCRELSRAPAAKVTYRVAGWVGLQGAGAADGCCHPVRVEVCTDDGRPVGGGVVVPEAGKWGEIMGSFRVDDDEPPRCAKVFVHGPPPGVDLKVMDLKVFAVNKIARLRHLRKKTDKLGRRTGGTAIRVVQVENSFPIGACINKTAIQNAAFVDFFTKHFDWAVLENELKWYYTEAVQGQVSYSDADELIAFCARHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEGRLRSLVTRYGGRFPHYEVNNEMLHGAFFQQRLGDDINARMFRETARMDPSPALFVNDYNVESANDPNATPERYVELVTDLQKRGAAVGGIGVQGHVTHPVGDVICDALDKLAVTGLPVWITELDVSAADEAVRADDLEIVLREAFAHPAVEGIMLWGFMQGNMWRSHAHLVDADGKLNEAGHRYVGLRQEWTSHARGQVDGSGHFKFRGFHGKYVVQLTTGAGEMKHQQFDVGKGDGPLVLDMDL >OGLUM01G02460.1 pep chromosome:ALNU02000000:1:2021843:2022428:1 gene:OGLUM01G02460 transcript:OGLUM01G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASSCDATEVEESFCLEEEERLEVVGCRVVHGCIQSPDGDVIDCVPLHLQPTFDHPKLDRVGPEPEERPKVGATAAAHGEAAEEEVVFPMAWTDDDESCPEGTVPVRRTTKRDVLRSSSSLCFGMKQPRIGVPLVSSA >OGLUM01G02470.1 pep chromosome:ALNU02000000:1:2024704:2025252:-1 gene:OGLUM01G02470 transcript:OGLUM01G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTTETKMPPQQQQVEKPTALAPADAEIERVFTCFDADGDGRISPSELAAVTRAIAPPPSESAGGREVAAMMDELDTDRDGFVDLGEFAAFHGRRRGDAEHEAELRAAFDVYDVDGDGRITAAELGKVLGRIGEGCSAEECERMIASVDVDGDGCVGFEEFKKMMSRDAAATGGADKAKTE >OGLUM01G02480.1 pep chromosome:ALNU02000000:1:2026492:2026941:1 gene:OGLUM01G02480 transcript:OGLUM01G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSGNVLDPMSVDFWADADPFGAVRSLAERCPVLTSVRVDWKETPTAHVFTADLPGVRRDQAKVEVEDGGVLVISGERGREEDVDGKNERWHHVERSSGKFQRRFRLPRGARVDQVSASMDNGVLTVTVPKEEAKKPQLKAIPISG >OGLUM01G02490.1 pep chromosome:ALNU02000000:1:2032405:2032905:1 gene:OGLUM01G02490 transcript:OGLUM01G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKLFDTLAFDAWNPFSIFGTTVAADAWLASDTSAFANTYIESRETAEAYVFRADLPAGVKKEEVRVEVDEGNVLVITGERSVRREEKGQRSHHIERSCATFFGRFHLPDDAVVDLVRASMDGGMLTVTVPKVVTDKQPAIAAAAPVPAVVAPAAEAKAIEASP >OGLUM01G02500.1 pep chromosome:ALNU02000000:1:2033701:2034282:-1 gene:OGLUM01G02500 transcript:OGLUM01G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACHDATTMAAFQICAQIWLATLLLADGLAVASQALLASVFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGGSVFTSDAAVISTNHKGVLFVAGTQTINTLAFVFDGEWRGMASIRIG >OGLUM01G02510.1 pep chromosome:ALNU02000000:1:2036655:2037104:-1 gene:OGLUM01G02510 transcript:OGLUM01G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFADFWDPFDGVLRSLVPATSDRDTAAFANARVDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGMGNGVLTVTVPKAEVKKPEVKAIEISG >OGLUM01G02520.1 pep chromosome:ALNU02000000:1:2045129:2045581:-1 gene:OGLUM01G02520 transcript:OGLUM01G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRFRLPENAKVDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >OGLUM01G02530.1 pep chromosome:ALNU02000000:1:2048185:2049725:1 gene:OGLUM01G02530 transcript:OGLUM01G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRRSNVFDPFSLDLWDPFDSVFRSVVPATSDNDTAAFANARIDWKETPESHVFKADLPGVKKEEVKVEVEEGNVLVISGQRSKEKEDKNDKWHRVERSSGQFMRRNNEDKTQAIGVM >OGLUM01G02540.1 pep chromosome:ALNU02000000:1:2051620:2052090:-1 gene:OGLUM01G02540 transcript:OGLUM01G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTLYRPRVKSFWVLVRRLLCCRRKSYRPDYAAAGEEDDGEKSSLLLTSRSSLEELLVSDDADDDGAIDDAAVTCRNASLCAKKDGQAPVVVLPPPGLHHPVMARPAHGMVTTSSGGGRDGAAVQCRRRFMFGGLRRRLMMRRPWRPVLVAIPE >OGLUM01G02550.1 pep chromosome:ALNU02000000:1:2053670:2057683:1 gene:OGLUM01G02550 transcript:OGLUM01G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEQALQSAAASSPRLGPRARADSPPGRPGGRRIPHPKTEAKFSTGCARSSVPGGPDSSAWTPRAARQVRFGSAPRRGIRRESVASATAFLQPGCIPSGQLQANSRSSLYVQFPPLT >OGLUM01G02560.1 pep chromosome:ALNU02000000:1:2054321:2080176:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHPFRAPATAMICLAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWFGFQVTCNNNTPYLGYPRKNRQFKFQIIDIFYRNSSLLVTDVQKTDDFDSSGDCHVPRSNTSSKLGWPFSISPVNKNLVFYNCTKAPTAAERRVLGLVGTKCRNNTYARLEERYNESVRFLEGCDAVIVPVRGRYGDANASNYEQLISDGFLLTWQPPQQPSGSKSKMRIIGIACGSGGGILLIVSIFIFAWHKRKKRKQTRDLKDLMHSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRDSRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >OGLUM01G02560.2 pep chromosome:ALNU02000000:1:2059992:2081213:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSPPLWLFLFLCNLIATTHAATEMIPNATTPSCPSYRCGHAVDIRYPFWIDDDDGNTSGGGAYYCGYPSLRLECRRDTPVLALPSGEYAVTHILYGDRTVSLFDLGVFSRSNTCPLVGRNLSLPAGSPLSLTDRDANLTFFIHCSFMGMPAHLVACLEGDGRHHSYVFRDGDDRTPYGYAALCQDVVGMPVLRRSLLGGNYSSPLDAAVVPALNMGFELGWRPREDGECGDCEKAGGWCGHRRRAAHEPWTFACFRTVTTTARADTKSPAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWFGFQVTCNNNTPYLGYPRKNRQFKFQIIDIFYRNSSLLVTDVQKTDDFDSSGDCHVPRSNTSSKLGWPFSISPVNKNLVFYNCTKAPTAAERRVLGLVGTKCRNNTYARLEERYNESVRFLEGCDAVIVPVRGRYGDANASNYEQLISDGFLLTWQPPQQPSVTSVSVDRLES >OGLUM01G02560.3 pep chromosome:ALNU02000000:1:2054321:2080176:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHPFRAPATAMICLAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAGSKSKMRIIGIACGSGGGILLIVSIFIFAWHKRKKRKQTRDLKDLMHSSSSMQSYSKDLELGGSPHIFTYEELEEATAGFSASRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYRRVEQFLNEVDILSRLLHQNLVILYGCTSRSSRDLLLVYEYIPNGTVADHLHGPRAGERGLTWPVRMTIAIETAEALAYLHAVEIIHRDVKTNNILLDNNFHVKVADFGLSRLFPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLIELISSKPAVDMSRSHSDINLANMALNRIQNHEVDQLVDPEIGYETDSETKRMVDLVAELAFQCLQMDRDSRPPIKEVVEVLNCIKNGECPAEKMNKNASPKEDSHLLKDNLQYSPDSVIHRFHSQSTNHSVASNSSG >OGLUM01G02560.4 pep chromosome:ALNU02000000:1:2059992:2080176:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHPFRAPATAMICLAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWFGFQVTCNNNTPYLGYPRKNRQFKFQIIDIFYRNSSLLVTDVQKTDDFDSSGDCHVPRSNTSSKLGWPFSISPVNKNLVFYNCTKAPTAAERRVLGLVGTKCRNNTYARLEERYNESVRFLEGCDAVIVPVRGRYGDANASNYEQLISDGFLLTWQPPQQPSVTSVSVDRLES >OGLUM01G02560.5 pep chromosome:ALNU02000000:1:2058849:2080176:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMHPFRAPATAMICLAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAAAAAADAQGGGEGCKAGRCGNMSILEPFGLVTELDEETSCRWFGFQVTCNNNTPYLGYPRKNRQFKFQIIDIFYRNSSLLVTDVQKTDDFDSSGDCHVPRSNTSSKLGWPFSISPVNKNLAPPAERHEQLGLVETRCRNNSFARLGKRYDDRSNYDAYYLEGCRATFLPVLEPPGSEANASRYVELVRGGFLITWDLPVTSSGKFTIPETIRIKFV >OGLUM01G02560.6 pep chromosome:ALNU02000000:1:2062040:2081213:-1 gene:OGLUM01G02560 transcript:OGLUM01G02560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSSPPLWLFLFLCNLIATTHAATEMIPNATTPSCPSYRCGHAVDIRYPFWIDDDDGNTSGGGAYYCGYPSLRLECRRDTPVLALPSGEYAVTHILYGDRTVSLFDLGVFSRSNTCPLVGRNLSLPAGSPLSLTDRDANLTFFIHCSFMGMPAHLVACLEGDGRHHSYVFRDGDDRTPYGYAALCQDVVGMPVLRRSLLGGNYSSPLDAAVVPALNMGFELGWRPREDGECGDCEKAGGWCGHRRRAAHEPWTFACFRTVTTTARADTKSPAMLLLITLPIASSTVDAINTTNTTSLFCEPAKCGNLTIGYPFWLAGKHLPECGYRAFQVICDHKNASLKNGFWTYQIQRIFYHNSSFKVTNVELSDGRCDIESFVNASSDLGLTPFKISPKNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWLTGKYKSDDDLRKLPGNCTVSMIPVLGYDGAAAKDYERLIKGGFLLEYTWGPDDCEDCPRSGGRCRVNVTYDWLECQCPEGLTPSGFTCVGTLSSINIISGIALKMFQNTSLVLVLLAVFATATHAQLVGESCAPAACGNLTIKYPFWLRGRQPAYCGHPTFAVTCDDDDDPTGATAAPPPSLNGSYLRVLAIHYGNSSVVAFHANLVESSACRATRFNMSSSLALSLLAVSRANAGLLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLDRMYDPGGPKRAVDTVGCQYSVVPVLPWSELRSARDYAGLGGFLLEWTSVPGDCAACNGSGGECRYDAGAMAFGCFCPGGRLQPATCGRENQPTQEDRIVTDLPLPFQHSAALQSGLHCVAASSTSGKMHPTLLCLPLLASLLLLCHRARAECEPATCGNLTVRYPFWLGGPNLNQLSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSINYTNSSFVAAHKRVADGGDGVCRTDFNISSSLALSPFTISSSNLAICFLYSCNGTEPPEIDGLVNATISSCSKPIYAYLGGSYDRDKPPAIQAGNCTYSYLPVLWPEPPVNLTAGKNYSPQFKKGFVLEWQKNGFGDCDACNASGGQCRYINDSAAAFACLCSDGKLRRSTCAVRCCRTQP >OGLUM01G02570.1 pep chromosome:ALNU02000000:1:2063332:2063757:1 gene:OGLUM01G02570 transcript:OGLUM01G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAKEKPKAARRLRLRSPRQRRGKGGGKAGRARVGTGGEDCRGHVTPREISVRDRSPRTKGPIQSRLSSVAAASKRRPSSPPRPSRPGPRAAKAEATVFAAMAAAAEATVFTAASELAAAAEAEAPPPATDDLDFRCCV >OGLUM01G02580.1 pep chromosome:ALNU02000000:1:2080008:2092787:1 gene:OGLUM01G02580 transcript:OGLUM01G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKLLLLPILASLQLLPGAANGATVDPSCPPATCGNLTITYPFWLGSQDQSSCGPPSFRLTCNDRASGPFLRNSYIKVLGFDYGRRSLVAVHALLAADAACTVMFNVSSAFAIADRFSISQSNRELYVLSRCRERLPPPDAVPVTNCRANSSGMYAYLGGSYGTGQTPVNNGGCELSVFLVLGSSNAADMTAANYRRLKAGGFLLEWEPVGDCNACTTSGGRCRYDASTSAFACLCSDGGMRPSICDGKNRGKLALIVSLSAAAGLVFAFLAWLMYRQRQKLRSAICGVYSGNTKNEEEMLKKCESLALKRYKYSELKKITKSFEDNLGEGGYGVVFKGRLQNGRMVAVKILTVSKGNGEDFLNEVMSISRTSHVNIVTLLGFCLEGPKRALVYEYMPNGSLKNYIHSESSNLATGWEMLLKIAIGIARGLEYLHQGCNTRIIHFDIKPRNILLDHEFSPKIADFGLAKLCHLTESILSMAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMLLLEIVKVRKNIKGIADNFSETFFPHWVYDHLVSEMQCCETAYGTEEIARKMTIVGLWCIQMTPETRPSMSRVIEMLERSISELEMPPKPFLCSPIHSTNVSSYKSVNFTMSASS >OGLUM01G02590.1 pep chromosome:ALNU02000000:1:2081923:2088236:-1 gene:OGLUM01G02590 transcript:OGLUM01G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPALLLLPLASSLLHVAAAVGNETSSSSNTSCTPARCGNLTITYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSISYETGTMVAVVETTFNGDNGCPIPDFNVTSSLSPFPLIISNTSKYLNFVYNCSLPHGVLLTRQTCANYTMGAYISDQFESAPPTGTPGNCSSVSLPVLASHGKTNASIQQYERLISDGFVLEWMKLVLGDQDCDTCKRRGGECRFVQLTFQCFCPDGLRCSNSTRTNTTSSHPSGKVNRGIKIAAGTAAAVVCLGILGVGSTVLYTRRKRKRSASFEGLIHGGMPLPSLTKEFSLAGLAYTHIFTYEELDEATDGFSDARELGVGGFGTVYKGILRNGDTVAVKRLYKNSYKSVEQFQNEVGILSRLRHPNLVTLFGCTSQTNSRDLLLVYEFVPNGTLADHLHGAAAARSSSLDWPTRLGIAVETANALEYLHTVEPQVVHRDVKTNNILLDEGFHVKVADFGLSRLFPADATHVSTAPQGTPGYLDPMYHQCYQLTDKSDVYSFGVVLVELISSKPAVDMNRRGGDVNLANMAVHMIQSYEMEQLVDPQLGYGSDGETRRTVDLVAEVAFRCLQPEQDVRPPIGEVLDALREAQRMDKVGYVKDDAGLVKKSRDGSPDCVMYQWISPSTTSNNSS >OGLUM01G02600.1 pep chromosome:ALNU02000000:1:2088455:2091700:-1 gene:OGLUM01G02600 transcript:OGLUM01G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGVAALVQILQSSSTRIPFMKESQSRKRSRRRDFSLERMEPCEWMDKDLMPWRRRSYGRSDGDCILRRKLTTED >OGLUM01G02600.2 pep chromosome:ALNU02000000:1:2089018:2093017:-1 gene:OGLUM01G02600 transcript:OGLUM01G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSECAAETGQVNSTGVAAMRSCPGKGTAVNAYTFHERKPEPEEITAKRFFAGADGAMRMDGQGLDAMAPS >OGLUM01G02600.3 pep chromosome:ALNU02000000:1:2088849:2093017:-1 gene:OGLUM01G02600 transcript:OGLUM01G02600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSECAAETGQVNSTGVAAMRSCPGKGTARSRRRDFSLERMEPCEWMDKDLMPWRRRSYGRSDGDCILRQRKIPVHHFSLLTPTIPNSPD >OGLUM01G02600.4 pep chromosome:ALNU02000000:1:2088849:2091828:-1 gene:OGLUM01G02600 transcript:OGLUM01G02600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLASAIERMLSRSRRRDFSLERMEPCEWMDKDLMPWRRRSYGRSDGDCILRQRKIPVHHFSLLTPTIPNSPD >OGLUM01G02610.1 pep chromosome:ALNU02000000:1:2094190:2094885:1 gene:OGLUM01G02610 transcript:OGLUM01G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASLLLLFSSLTLVAAAISADEQGGGSCSPRTCGNVTISHPFGFVPEQETDTKCGRLGFEVHCTNNTPYLGYYRRKYRFQVLDIFYGNSSLLVADVHKLDDFRNSSSKGCHVMTANTSSKVGQPFSVSSVNLNLIFYNCTAETAAAAAVRRDGGLVETKCSGGGTLVRVGGHYSDSGSYEEYSVEGCGATLVPVLGRSSGEANASSYEELISDGFLLTWQPPSGKLAHS >OGLUM01G02620.1 pep chromosome:ALNU02000000:1:2113271:2122524:1 gene:OGLUM01G02620 transcript:OGLUM01G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTFLLLFITYLAWESQAVRTSADAYPEEACPVSTVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVSSGASKEYSCHFPRTNTSSKVALPFSISTTNLNLFLYSCNKTLVPRDGDGDLVETICGNKTFARLGGNYSVSGDYAAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITFLGGTMRNSRRSRLKLILIVSLSATTSLILTCLVWITCRHKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGVLQDGRMVAVKLLTGRKGNGEEFLNEGSKRALVYEYMANGSLDKYIYSEESKIVVGCRKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGTYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINELEVPPKPFLLIMHQTSPQLVLASLLLLLCHHAHADCEPATCGNLTVNPPFWLDEPGRPPCGPPSFQLQCRGGEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPPPPQGFRRMPCSPDESFVFLGDGRPRLLLPECSMSVVPVLGLQDGDYVASMRRGLLLEWMLAPGDCQKCSASGGQCEYSSDGMGFSCKCPNGVHNPMSCVAGDSKRNGRKKTLIVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNERNIEKLIVSYGSLAPKRYKYSEVAKITSLLSNKLGEGGYGVVFKGKLQDGRLVVVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYRMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYHGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMYRPTITQVLDMFEKALDELDMPPKQSFCESLEHPVHKLNAESTSSATDKAHAVSEILNVEEISLVNSEFLQRLPTL >OGLUM01G02620.2 pep chromosome:ALNU02000000:1:2113271:2122524:1 gene:OGLUM01G02620 transcript:OGLUM01G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTFLLLFITYLAWESQAVRTSADAYPEEACPVSTVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVSSGASKEYSCHFPRTNTSSKVALPFSISTTNLNLFLYSCNKTLVPRDGDGDLVETICGNKTFARLGGNYSVSGDYAAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITFLGGTMRNSRRSRLKLILIVSLSATTSLILTCLVWITCRHKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGVLQDGRMVAVKLLTGRKGNGEEFLNEGSKRALVYEYMANGSLDKYIYSEESKIVVGCRKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGTYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINELELVLASLLLLLCHHAHADCEPATCGNLTVNPPFWLDEPGRPPCGPPSFQLQCRGGEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPPPPQGFRRMPCSPDESFVFLGDGRPRLLLPECSMSVVPVLGLQDGDYVASMRRGLLLEWMLAPGDCQKCSASGGQCEYSSDGMGFSCKCPNGVHNPMSCVAGDSKRNGRKKTLIVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNERNIEKLIVSYGSLAPKRYKYSEVAKITSLLSNKLGEGGYGVVFKGKLQDGRLVVVKFLHDSKGNGEEFVNEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYRMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYHGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMYRPTITQVLDMFEKALDELDMPPKQSFCESLEHPVHKLNAESTSSATDKAHAVSEILNVEEISLVNSEFLQRLPTL >OGLUM01G02620.3 pep chromosome:ALNU02000000:1:2113271:2122524:1 gene:OGLUM01G02620 transcript:OGLUM01G02620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTFLLLFITYLAWESQAVRTSADAYPEEACPVSTVCGKVTISSPFAVVPEQATESKCGWLGFQVICRNDTPYLGYYKLGYRIQVLDIFYGNNSLLVSDVHKLGGFDVSSGASKEYSCHFPRTNTSSKVALPFSISTTNLNLFLYSCNKTLVPRDGDGDLVETICGNKTFARLGGNYSVSGDYAAFYMEGCNATVVPVLGTDARSYEQLIRDGFLLTWQGPPSSGKFTIYLITFLGGTMRNSRRSRLKLILIVSLSATTSLILTCLVWITCRHKEKGSLLTLQKYVANESKIEEALKEYDSLAPKRYNYSELKKITRSFKDKLGQGGYGMVFKGVLQDGRMVAVKLLTGRKGNGEEFLNEGSKRALVYEYMANGSLDKYIYSEESKIVVGCRKLQQIAIGIARGLEYLHCRCNTRIIHFDIKPQNILLDEDFCPKVADFGLAKLCRLKDSALSMAEARGTVGFIAPEVFSRGFGVVSTKSDVYSYGMLLLELVGGRRHANELTTSHSTGTYFPNRIYDCLVKDLQTHAIITEEEEIAKLMTLVGLWCIQTNPGNRPSISRVIEMLEKNINELEVPPKPFLLIMHQTSPQLVLASLLLLLCHHAHADCEPATCGNLTVNPPFWLDEPGRPPCGPPSFQLQCRGGEAFVAHSFFQTYQVVRIFTGNSSVVVVDRSLPLESGCPVPWFNISIGFVMGPFLISRANKELVFVHNCTTTKRRPPPPPQGFRRMPCSPDESFVFLGDGRPRLLLPECSMSVVPVLGLQDGDYVASMRRGLLLEWMLAPGDCQKCSASGGQCEYSSDGMGFSCKCPNGVHNPMSCVAGDSKRNGRKKTLIVAVSLLFPCAYVLIWHRKGQILCYLLCNKTRSRNERNIEKLIVSYGSLAPKRYKYSEVAKITSLLSNKLGEGGYGVVFKGKLQDGRLVVVKFLHDSKGNGEEFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYDYMPNSSLDNYIYSENPKETLGWEKLYDIAIGIARGLEYLHHGCNTRIVHFDIKPQNILLDQDFCPKIADFGLAKLCCTKESKLSMTGARGTIGFIAPEVLYRSFGVVSIKSDVYSYRMMLLEMIGGRKNVKSMVQNSSEKYFPDWIYDHFYHGDGLQACEVTSEVEEIAKKMTLIGLWCVQVLPMYRPTITQVLDMFEKALDELDMPPKQSFCESLEHPVHKLNAESTSSATDKAHAVSEILNVEEISLVNSEFLQRLPTL >OGLUM01G02630.1 pep chromosome:ALNU02000000:1:2114091:2117629:-1 gene:OGLUM01G02630 transcript:OGLUM01G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQPMPCHVSLEGLCTVCSLLSGIPSPSRRRPAAVTPRRWQITPTGGRRGMETVRAQCASIRARGARFPDGPAGCRSTSSSAQHEDPIGQWQTWTSVD >OGLUM01G02640.1 pep chromosome:ALNU02000000:1:2123358:2126311:1 gene:OGLUM01G02640 transcript:OGLUM01G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLLPAMIVAASLLHVAAAVGNETSSNKYNTSCTPARCGNLTITYPFSLSGVQPVSCGYPVLDLTCDNRTGRAFLSRTFRDHLFRVDSIFYENSSLVAAVQTTFAGDADCPIPDFNVTSSLSPFPLIISNANKYLLVAVYNCTLPDDTRLQRPCANQTTMGAYVSDRWNSTPPSGIPGNCISVSLPVRGGDGMKLLDQHYEQLIADTIGGRTIIHGDGGGSIGGNGNGSIQQYERLISDGFVLEWQRAVTRDPDCDACRRNGGECRFQQLMFQCVSCHGLICSNSTSPYRSHRKLTVRAILIAGLVFMCLVWIMHRRKQRLGFIIHHKYTGNESNTEEELKRYQSLSPKSYRYSDLKKITKCFKEKLGEGGFGTVFKGNLKDGRMVAVKLLKGAKGNGEEFLNEVTSIGRTSHVNIVNLLGFCLEGSKRALVYEYMANGSLGKYIYSESLRLAIGLESLQKIAIGVARGLEYLHQGCSTRIIHFDIKPHNVLLDEDFCPKIADFGLAKLCHLKDSAISIAEARGTIGFIAPEVFSRGFGVVSTKSDVYSYGMMLLEMVEGRKNVKTNTDNSSAYFPNWIYDHLAKDLQSHEVTCENEEIARKITLVGLWCIQTAPGNRPSMSKVIEMLEKNINELEMPPKPILSCPAVPSYFSSYS >OGLUM01G02650.1 pep chromosome:ALNU02000000:1:2124276:2126992:-1 gene:OGLUM01G02650 transcript:OGLUM01G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSCLAAVAAGGGLSRRRTPSRFWWLSSRLLLGLHALEGRGCEGSRTTAVSSRDKKGRMKVIGLAADDPRCSTATDEFKENMYYEQVGEY >OGLUM01G02650.2 pep chromosome:ALNU02000000:1:2124276:2126992:-1 gene:OGLUM01G02650 transcript:OGLUM01G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSCLAAVAAGGGLSRRRTPSRFWWLSSRLLLGLHALEGVLLHQDGGRRPVGFILSIRRLRLFSATLLPSSRESGHRISAALAQIDLADETNTDSRGCEGSRTTAVSSRDKKGRMKVIGLAADDPRCSTATDEFKENMYYEQVGEY >OGLUM01G02650.3 pep chromosome:ALNU02000000:1:2124322:2126992:-1 gene:OGLUM01G02650 transcript:OGLUM01G02650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSCLAAVAAGGGLSRRRTPSRFWWLSSRLLLGLHALEGVLLHQDGGRRPVGRGCEGSRTTAVSSRDKKGRMKVMFDCY >OGLUM01G02660.1 pep chromosome:ALNU02000000:1:2129588:2130313:1 gene:OGLUM01G02660 transcript:OGLUM01G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCFFALYWLPLMLAAAARGAEEEGGGCLGSQKCGDLNISSPFWIIQGQADKPCGPLDYQVSCNNSTGVATLRSSTDSGFDIINISYGDRTLLVFDVHRFARLNSSTDCSLPVFNTFAKLPLTFTISPSNLNLVFYNCTKAPPAEQQQQLGLVETRCGNNTFARLGGRFDGPGDYDKNYLEGCSSNSTVFSPMLVPPDGKANASRYVELVRGGFLITWGELPPPVTSSGKFTLPASLKG >OGLUM01G02670.1 pep chromosome:ALNU02000000:1:2138636:2138965:-1 gene:OGLUM01G02670 transcript:OGLUM01G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIAAARRAAHLRLHRSGSLHEHGTALELEKGEEALPLRRSVPGSGREDVLEGNGCGGKPAACRCGAREGKDEWFQLWPNDAVASDPDQAPCLAEQMSLVYDPVADD >OGLUM01G02680.1 pep chromosome:ALNU02000000:1:2140697:2141452:1 gene:OGLUM01G02680 transcript:OGLUM01G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVVALFTLLATATRAQPVVGESCAPAACGGLTIKYPFWLRGRQPAYCGHPTFAVTCDDDPTGATATPPSLNGSYLRVLAIHYGNSSVVAFHANLVDSSACATTRFNMSSILALSLLAVSRANSELLFSANCSRTPPTGSLPVNCTGFSGGGEWFLSLNRMYDPGGPKRALDTMGCLYSVVPVLPWSELRSAGDYAGLVRRGFLLEWTAVPGDCAACNASGGECRYDAGAMAFGCFCPGGRLQPATCGE >OGLUM01G02690.1 pep chromosome:ALNU02000000:1:2143861:2144670:1 gene:OGLUM01G02690 transcript:OGLUM01G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKLLFFPFLATLLLLCHPAHAECEPATCSNLTVRYPFWLGGPNLNQSSPSSASCGHPAFEVWCSPDGVASLRGSQILVLSIDYTNSSFVVAHKRVADGGDGVCRTDFNISSSLALSPFTVSSSNLAICFLYSCNGTEPPEIDGLVNATISNCSKPIYAYLGGSYDRDKPPAIKAGNCTYSYLPVLWPESPVNLTAGTNYCPQFKKGFVLEWQKNGFGDCDACNASGGQCRYNNDSAAAFACLCSDGELRGTICAGELLFQFPLLSKT >OGLUM01G02700.1 pep chromosome:ALNU02000000:1:2149743:2152947:1 gene:OGLUM01G02700 transcript:OGLUM01G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLKKYLSQNYRPHSHVFSLFPYHYIHLTIPISNSPHCCNLPNLFSWFPTHPPAMFMFLAPSIWVACSLPFVLSAAAADAQGGGEGCKVGRCGNMSILQPFGLVKEQDDANGCLWFGFQVTCNDSIPYLGYPQKNQPFKFQIIDIFYSNSSLLVTDVRKTDDFDNSSGCSIPRSNTSSKLGWPFSISNVNQNLVFYNCTKAPAAAERRVLGLVDTKCRNNTYAHLEERYNESVHFLEGCDAVIVPVRGRDGEANASNYEQLISDGFFLTWQPPPQQSAPSWSPTSSCRTADAISRALSTPPLTTQFKISPMNRELVFLYNCNQSRLQLLPPSWAPVSCAKNESSYSYAWHAGRYKPDDDLRQQPGSCTVSMIPVLGYDGAAAKDYERLIKGGFLLDYTAGPDDCEDCSRSGGLCRVNVTYDWLECQCPEGMTSFGVMCKFVYKLPFPGRC >OGLUM01G02710.1 pep chromosome:ALNU02000000:1:2166845:2170562:1 gene:OGLUM01G02710 transcript:OGLUM01G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPLLLLLPFLAACLLAPRHAAAAVVTSCAPRRCGNVTIAYPFWLPDSPSSSSAPCGPAAFQVNCNNGSRASLARSFRSGYKILGVSYANRTVVVSNDNVQTDASGCPVPKIDVSASLSLAPFTASPANSQLVFLFNCRLPPAGFVNVTCPGAQAVVRLDTSYNNTAARVVAGGCDYAAVPVVGVPGASPTDYPQLLRGGYMLEWRAPAGDCMACNASGGQCGYDADMEAFACICSDGSSRPGICDAKKSGNKVILIVSLSICATGLVLLACIAIVYKCRRRMQNRFSFLNAMDGASRTDTAKVEKLLQSYGSLAPRRFRYSELKKITKSFSQRLGEGGYGTVFSGTLADGRAVAVKFLHHSKPNGEEFLNEVVSIGRTSHVNIVSLLGFCLEGSKRALVYEYMPNGSLDKYIYSTSAAEAEAEATASPADRDVLEWKVLQEIAVGVARGLEYLHDGCNTRIIHFDIKPHNVLLDEGFRPKIADFGMAKLCNPKESILSMADTRGTIGFIAPEVFSRGFGDISTKSDVYSYGMLLLEMVGGGSNVKAYAEKGASGTFFPLWVYDHLLEDGGVLQSVAAATAGGGAGTPGGEEIARKMALIGLWCIQTVPANRPSMGKVLEMLERSVHELAIPPRPYHSNSSSPSRPSSYPSSASDFTQRYVSISC >OGLUM01G02720.1 pep chromosome:ALNU02000000:1:2172247:2175564:1 gene:OGLUM01G02720 transcript:OGLUM01G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCGRRFAAGGAPVTARRCNLERFLEATTPVVPTTACSSKKSMNGWKRSDEENALPFFSLGDLWDEFRESSAYGIAVPIVLNGCSDGVVQYYVPYLSAIQLYGRLRRHFYHSRPSGEDSDGDYCQDTGSEEMSDLEHDSCPSSTDAFSVQDTTCESSTSEASSDESEPIRSSHEQLIFDLARGFPELNTLRSCDLSPTSWMSVAWYPIYRIPTGPTLRDLDACFLTYHPLSTQLTGGICPEPKGNSGVPVTTAMCLPTFAMASYRLKVAAWAPGGRDRQLAASLSHAADAWLGLLRVHHPDHRFFAARRVVSRR >OGLUM01G02730.1 pep chromosome:ALNU02000000:1:2177101:2180183:-1 gene:OGLUM01G02730 transcript:OGLUM01G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTRSENFPKLLVPLLPVTVSAARRRAAAAAADPSPPTSPAPMEQLNAKLYDKYTALKRRKLLDEGLDQKRAADIKELQQAMKDWVAELQSENERLIAKLTQKEQQLVEVQTLFLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPHTTAEMIIENQTPISPAKKTPKSNSRERNIHSIEKAIIPRNGFQEEGRGLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVNKTEGFSLSVSHETSGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSTAMCPVFFQQISRILRQG >OGLUM01G02730.2 pep chromosome:ALNU02000000:1:2177101:2180154:-1 gene:OGLUM01G02730 transcript:OGLUM01G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTRSENFPKLLVPLLPVTVSAARRRAAAAAADPSPPTSPAPMEQLNAKLYDKYTALKRRKLLDEGLDQKRAADIKELQQAMKDWVAELQSENERLIAKLTQKEQQLVEVQTLFLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPHTTAEMIIENQTPISPAKKTPKSNSRERNIHSIEKAIIPRNGFQEEGRGLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVNKTEGFSLSVSHETSGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSTAMCPVFFQQISRILRQG >OGLUM01G02730.3 pep chromosome:ALNU02000000:1:2177101:2180154:-1 gene:OGLUM01G02730 transcript:OGLUM01G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTRSENFPKLLVPLLPVTVSAARRRAAAAAADPSPPTSPAPMEQLNAKLYDKYTALKRRKLLDEGLDQKRAADIKELQQAMKDWVAELQSENERLIAKLTQKEQQLVEVQTLFLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPHTTAEMIIENQTPISPAKKTPKSNSRERNIHSIEKAIIPRNGFQEEGRGKAYEHLRECDRRKLQYLFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSTAMCPVFFQQISRILRQG >OGLUM01G02730.4 pep chromosome:ALNU02000000:1:2177101:2179967:-1 gene:OGLUM01G02730 transcript:OGLUM01G02730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYDKRKLLDEGLDQKRAADIKELQQAMKDWVAELQSENERLIAKLTQKEQQLVEVQTLFLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPHTTAEMIIENQTPISPAKKTPKSNSRERNIHSIEKAIIPRNGFQEEGRGLDSCRRHMSISGSATEESSSTCMFHMLAESVVGMKFSVNKTEGFSLSVSHETSGYSFTLTWVDQPGGGEWSYQYSSLGTLDRIAMGWMKEDIKFSTAMCPVFFQQISRILRQG >OGLUM01G02740.1 pep chromosome:ALNU02000000:1:2186518:2192762:1 gene:OGLUM01G02740 transcript:OGLUM01G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSPDLARILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDVDPSLYGDGGAGSGGLSAEQLDAVRSLHPSDAAIDHEKDSIELRLARRCLERNIPFLGICRGSQVLNVACGGTLYQDVDHELPAAAAAVRHINYDNYDGHRHPVRVLPGTPLHEWFAESLDGEDSRLTVNSYHHQGVRRLAERFVPMAFAPDGLVEGFYDPDAYNPGEGKFIMGLQFHPERMRKAGSDEFDYPGCPMAYQAFVRAVVAYQEKLAAAAAMPASPKLNQEMEKQRKVLVRSFSLAKNLYVSGAEAGTPRPAEQRDLDAGAEFLEQSNTAALSVQQEKRLKQMGATVRNASGYINRLKLNEEREAAARALMAKMSIEQLSDLASFYHIMGTICSEVLDRKLHSAAPAP >OGLUM01G02750.1 pep chromosome:ALNU02000000:1:2189165:2192602:-1 gene:OGLUM01G02750 transcript:OGLUM01G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily A, polypeptide 5 pseudogene [Source:Projected from Arabidopsis thaliana (AT3G18270) TAIR;Acc:AT3G18270] MSNLRTNSYSIKEKLVVVFLLEPLHKIRQNPVLQSVAVAVAMDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPVGRQQQQPPAGGATRLRAVSPSPSPTPPAPQPAETFGFDALKEAFSVDVVAAEARPLNVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAATRACGALAGAPAAPLGAVLQDVASALPGHDFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWDGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLLLPPPSSLATVALLPLVAVRPPAAVKKTWEERMVKKEETEENDTWASR >OGLUM01G02750.2 pep chromosome:ALNU02000000:1:2189735:2192602:-1 gene:OGLUM01G02750 transcript:OGLUM01G02750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily A, polypeptide 5 pseudogene [Source:Projected from Arabidopsis thaliana (AT3G18270) TAIR;Acc:AT3G18270] MSNLRTNSYSIKEKLVVVFLLEPLHKIRQNPVLQSVAVAVAMDSAISTSSSFSPLRLSQNHGSIMSGSTRAQVLPVGRQQQQPPAGGATRLRAVSPSPSPTPPAPQPAETFGFDALKEAFSVDVVAAEARPLNVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPGALAAATRACGALAGAPAAPLGAVLQDVASALPGHDFASARAGVEMALIDAIANSIRIPLWRLFGGASDSVTTDITIPIVTPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTANQAIEALDRLNEMGVTPVLFEQPVHRDDWDGLRDVSIVAKEKYRVAVAADESCRSLLDAQKIIDGNLAHVINIKLAKLGILGALEVIDAARKARIALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVFGGYEVSGPVYKFTNARGHGGFLHLDNNGLK >OGLUM01G02760.1 pep chromosome:ALNU02000000:1:2194762:2196252:1 gene:OGLUM01G02760 transcript:OGLUM01G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y308] MAITVRTHRTTKLFGRERPLHDALGGHKAADIILWRERRVSASIVAGATVAWYLFEVAEYHFLSLACYLAMLGMLVVFIWANASAFFNLPAPRIPEIFVSERTTRQVILALHSRLTWFVHRLYDIACGKDIKMFILTVFSLFIASVIASCFSSLTLLYLVVLGTMTLPVMYENYESEVDHLVSTAVHDLRSHVGDIDSGVFKKIPRGRGATAN >OGLUM01G02770.1 pep chromosome:ALNU02000000:1:2199582:2201702:-1 gene:OGLUM01G02770 transcript:OGLUM01G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPAAGVGVGGGGAGAGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVERNASLADVHARLSRSLLGGQPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAATSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSESWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSTHSRGGPPNSAPAEEQRANQQKLAAAAAVAAARHPHDVQSVPDSPMIDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDSRLAPQVPVEDHFAQMGISDQPVQPVVGGYMQPPPQVPIPNMAVPSISPSDASSRVFSDDDKSDHGGGGGRKPQPPKPEVPPVADPTNRAMYYNDRSPPAELKRDMPVGTDAASYRVPVPAQDAAAAAAAAAAAAQQPPAGYVYAQMHAPPPQQQPPPPQQQQPVPQQYVTAQNQHFIHNPATGTFIPIQSYYHHPVPQQAPQPPMPQPVPQPQQSHAFDPNTGMYYIPMRTNAPQAYSMPPGAAAVPPPTLVDTTPKPTVPIPQMAVKQPELQQPGLYRTTAAPTPVPASNAPAYAGMGYHHVIQTHHHPAPQPAATVAGNFGYDYGDPTRAQVFYSQAAAPPTLPPQYQPMGSPDAGQADLKQARAS >OGLUM01G02780.1 pep chromosome:ALNU02000000:1:2214339:2217644:1 gene:OGLUM01G02780 transcript:OGLUM01G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTYVSYPVKQSTVPAWGVLYSVLITAVVTTVVKNAVGRPRPDFFWRCFPDGKQLYDQVTGDVICHGEKSFLKDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKVFDRQGHVAKLCIMILPLLIASLVGISRIDDYRHHWEDVFAGGLLGFIMAMLCYLHFFPPPYHHQGWGPYAYFHMLEELQVANSHNAESQQSVCGHHVELSRQHNSRTSRNDLEAGRV >OGLUM01G02790.1 pep chromosome:ALNU02000000:1:2218303:2218611:-1 gene:OGLUM01G02790 transcript:OGLUM01G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFKLGTCFGVGVGGGDDYYRGYTTVATDETGRKANNDVARKPVTAAAAAAATRDVYGRAADQPSPKPPAAARNSKVADDTGVKQPADAAAPVISRYPGHVY >OGLUM01G02800.1 pep chromosome:ALNU02000000:1:2225435:2225692:-1 gene:OGLUM01G02800 transcript:OGLUM01G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFSSCFGGKNELDFGETSRDPDYHPLSTTPSGNSYQGGDATETRYPYQQQRKPAAAPSTDGSAKAPPLAAAGWSNNKVAHHA >OGLUM01G02810.1 pep chromosome:ALNU02000000:1:2227122:2227747:-1 gene:OGLUM01G02810 transcript:OGLUM01G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKHISRGAVPEDRGTLSSPARGPMCPQHSSRGSCWGIGGRDVLRAGKRIDEREGSGRLICRRAIHFSRRATARLQPALAIKNAVCGARTPQPIPQCSLRFPLDTYAARDLCLLGARSISASLQRAISGCSQATGVGGQVQRRAPRPGSGGHGDVVLVEQQVHACQAALPGALELGATAWHPASLT >OGLUM01G02820.1 pep chromosome:ALNU02000000:1:2235086:2236597:1 gene:OGLUM01G02820 transcript:OGLUM01G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPILLPLLLLLLLAAASPRPVLSRRHASPPLATETLDVAASLSRARAAVSAEAVPLHQSAAAAVSTEVVGEEHEEGRLALRLHSRDFLPEEQGQQRHASYRSLVLARLRRDSARAAAVSARAAMAADGVSRFDLVPANVTAFEASAAEIQGPVVSGVGLGSGEYFSRVGVGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSTSYASVACDNPRCHDLDAAACRNSTGACLYEVAYGDGSYTVGDFATETLTLGDSAPVSSVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPSSSTLQFGDAADAEVTAPLIRSPRTSTFYYVGLSGLSVGGQILSIPPSAFAMDSTGAGGVIVDSGTAVTRLQSSAYAALRDAFVRGTQSLPRTSGVSLFDTCYDLSDRTSVEVPAVSLRFAGGGELRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKSTVGFTSNKC >OGLUM01G02830.1 pep chromosome:ALNU02000000:1:2245355:2253366:1 gene:OGLUM01G02830 transcript:OGLUM01G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Leucine-rich repeat domain [Source:Projected from Arabidopsis thaliana (AT1G25570) TAIR;Acc:AT1G25570] MQLLLLPLLVAAAVGLARAAATDDPFLSGAPGNHSYNIDCGGAADFVSVFGRRWLADQFFSAGGQAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRLFSVYDNYDSKLRTPSFDVSAAATLVLSFRSPWPEPASRYGAYSDLIFPSATSPTSDICFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLILVNYGRLTCGNNLFGPGFTNDSDAFSRVWQSDIDFRNNDLNYDAITAGGRKIFGSNQPPNYFPTKLYTSAITTGGDASNEIEYLMPVDTRMSYMVWLHFAEIDAGIGSAGQRVFDVMLAGKNVTRIDIFKQVGGFTAFKWTYIVENLTSSTMSVKLVPVVGRPILCGLENYAMVPLETRTVPNQVAAMKALKDSLKIPARMGWNGDPCAPRTWDAWEGVTCLRKDKGLVITQLDLASQGLKGYITDEISHLTDLGSVIKPVYWRNSWHHSLLNNNQLDGQVPERLYSIGVHGGVIDLSGNKGLCGVPTLPACALFWEKGGLNRTGKIALGASLGFVLLVTLIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHGPDGYYTNGGAH >OGLUM01G02840.1 pep chromosome:ALNU02000000:1:2255102:2256305:-1 gene:OGLUM01G02840 transcript:OGLUM01G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLGSLVSNCNSNCPGNKSTLIPSNMCDLYQSRGENYTEISQISSYRFRYASTVSVDIGRSWRRTKVDVDENTSRPTLPEWKLIRSGIDNLPAPPTSSCIDKEDSTTLVKNRCKR >OGLUM01G02850.1 pep chromosome:ALNU02000000:1:2268316:2269287:1 gene:OGLUM01G02850 transcript:OGLUM01G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAMVVFSCNSGSGGSSSTTDSKQEEEEEEEELAAMEEDELIHVVQAAELRLPSSTTATRPSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPDEEAAARAYDVAALRFRGRDAVTNRAPAAEGASAGELAFLAAHSKAEVVDMLRKHTYDDELQQGLRRGSRAQPTPRWAREPLFEKAVTPSDVGKLNRLVVPKQQAERHFPFPLRRHSSDAAGKGVLLNFEDGDGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRPGDTVAFSRSAAAWGTEKHLLIDCKKMERNNLATVDAIAVDDDARVVVKLFGVDIAGDKTR >OGLUM01G02860.1 pep chromosome:ALNU02000000:1:2299744:2301249:1 gene:OGLUM01G02860 transcript:OGLUM01G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVSFSSTSSGASTATTESGGAVRMSPEPVVAVAAAAQQLPVVKGVDSADEVVTSKPAAAAAAAVAQQSSRYKGVVPQPNGRWGAQIYERHARIVDMLRKHTYADELRQGLRRGRGMGARAQPTPSWAREPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLRRAASSDSASAAAAAATGKGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDAIVFSRSAYGPDKLLFIDCKKNNAAVATTTTCAGDERPTTTTSGAEPRVVRLFGVDIAGGDCRKRERAVEMGQEAFLLKRQCVVHQRTPVLGALLL >OGLUM01G02870.1 pep chromosome:ALNU02000000:1:2313435:2327181:-1 gene:OGLUM01G02870 transcript:OGLUM01G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDGHIGGGGGGDSEQAKLRGMFRSAIVAAKPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGFDNSNDKVLVLAATNMPHVLDEAMRRRFDKCIYIPLPDLKARKDTFKIHIGDTPHSLTEGDFESLAYQTEGFSGSDIAVCIDFDEVLVRQRPTVSKKDLVVYEKFTQEKGPTPPARARPAAPPPSPPMYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIKEAITAKFTEYLRRAEEIRAVLDEGGGGGGANGGDAAVATRPKTKGKDGEGGGDDSEQSKLRAGLNSAIITEKPNIKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >OGLUM01G02870.2 pep chromosome:ALNU02000000:1:2313435:2327181:-1 gene:OGLUM01G02870 transcript:OGLUM01G02870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVQEDNGGNYVKAFPLYMNALEYFKTHLKYEKDPKIKDAIAAKFTEYLRRAEEIRAVLDGHIGGGGGGDSEQAKLRGMFRSAIVAAKPSVKWSDVAGLESAKEALQEAAILPIKFPHFFTGKRSPWKAFLLYGPPGTGNISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGECNENEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNESDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQSGAVQTTMQELASKGLAAKILPPPISRTDFEKVLARQRPTVSKKDLEVHERFTKEFGEEG >OGLUM01G02880.1 pep chromosome:ALNU02000000:1:2322362:2322736:1 gene:OGLUM01G02880 transcript:OGLUM01G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGDDFDRAGGRRCCSRTPSTPASAATAGQPTSTQPTPAEGARLHQPRPALPGDPRFARPSWRSSATSRRLLTMLDRKGGEGSGMIETSTKVKNDDEEEKLAANAATTPGSEASAATPRRSR >OGLUM01G02890.1 pep chromosome:ALNU02000000:1:2339861:2346061:1 gene:OGLUM01G02890 transcript:OGLUM01G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPDEEDRGYAPASRPRRHPVEEEETAASPSGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKDTSGGCNEHVSPQEPMASALA >OGLUM01G02900.1 pep chromosome:ALNU02000000:1:2345218:2349113:-1 gene:OGLUM01G02900 transcript:OGLUM01G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRARFVHNRRRRGPYAAPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDDGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDTLHCHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >OGLUM01G02910.1 pep chromosome:ALNU02000000:1:2356426:2362626:1 gene:OGLUM01G02910 transcript:OGLUM01G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPDEEDRGYAPASRPRRHPVEEEETAASPSGRFGTLFDDDPKKWRMYADFIGSAGSIFELITPLYPGYFLPLASLGNLAKAVGRGFRDPSFRVIQNHFAESGNLGEVAAKDTSGGCNEHVSPQEPMASALA >OGLUM01G02920.1 pep chromosome:ALNU02000000:1:2361783:2365678:-1 gene:OGLUM01G02920 transcript:OGLUM01G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRARFVHNRRRRGPYAAPDDDDEEEDQQEASSSSSSSDEGEEDAEEEGSGEVDDDDGEAAEPSGKEEEVSPVAAAARSGRKASITISLKKVCKVCKSTGHEAGFKGAVYIDCPRKPCFLCKMPGSDTLHCHTTLTCPHRVAMEHGVIPASRRNTNTSLDYVFQSQVKGKIPMVKPQFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGVWDYVKLHEKITYDSVHSCILNSMKFDTTNDGLLYTASSDGTISSTDLDTGIGSSLLNLNPNGWNGPSTWRMIYGMDFNSDKGLLLVADSFGFLHLLDRRLKARIGDPILIHKKGSKVTSLHCNPAQPEVLLSSGNDHYARIWDTRKLEPNSAFASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGNLESPSREIVHSHDFNRHLTPFKAEWDPKDHTETVAVIGRYISENYNGIALHPIDFIDTSTGKLLAEVMDPDITTISPVNKLHPRDDILASGSSRSIFIWKPKTESDATEERNREKAKEFVYGSGSRKKSNGKHENSSDDDSDGSCDGKKKKKAKKTRFTHTIKGKGKSKV >OGLUM01G02930.1 pep chromosome:ALNU02000000:1:2366846:2368695:-1 gene:OGLUM01G02930 transcript:OGLUM01G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNVGNPDAIHGEIKWPLPHPQPPAEMAIAAPEPQQTLTQENMKQRRRRIIFLQQGLNRWGEQTRIEKHKFL >OGLUM01G02940.1 pep chromosome:ALNU02000000:1:2372003:2377277:1 gene:OGLUM01G02940 transcript:OGLUM01G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAGDGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGILLVDQPPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPASQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGPPAPRSSTPTSRSTLTSARSTTPSRTSGPAARTSIPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRQPSATSTQHGSLAAPVRSSSISKPTPTMSKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNSGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNGLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIEIDDELCSDRAGQYRR >OGLUM01G02940.2 pep chromosome:ALNU02000000:1:2371861:2377277:1 gene:OGLUM01G02940 transcript:OGLUM01G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVAMAAAAGDGDGRGRTLGAVIKEKDEELALFLEMRRREKERGAAAAAAAAEQLLLSGDRVDVARDGILLVDQPPPPRPQPPAEHKAAAYRMTGGFRRAPGGADDFLNSDGGDKNDYDWLLTPPGTPLFPSLEESKKSPASQTGTPKTRPTALKSRLANHPDPPSRTTLPLRATSSNNLNSAATTRRPSSSGGHTSNSSRPSTPTGRPALTNTSKGSRPSTPTSRATVPSKSGPPAPRSSTPTSRSTLTSARSTTPSRTSGPAARTSIPSGRASAPASRSSTPTSRSSIPATRSSTPSSRPSIPAQSKPVSRSSTPTRQPSATSTQHGSLAAPVRSSSISKPTPTMSKSSSPAKTIASTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVEPGPAARPRRQSCSPSRGRTLNGSVPSGSSVPAVRRSHLNSGDSVNPVQMGNKMVERVVNMRRLVPPKHDDQRSSLNGLSGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTSIPASSVHSARSGSTRSRPISVSDSPLATSSNASSEPSVNNNLMCLDSIEIDDELCSDRAGQYRR >OGLUM01G02950.1 pep chromosome:ALNU02000000:1:2374781:2376151:-1 gene:OGLUM01G02950 transcript:OGLUM01G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKHIRLLLTLGSELALLLVARGESETLIGLLLVDPDRALCTEDAGMLVIRGRKLFGMLRRLTLSPLLRCDLLTAGTELPEGTLPFKVLPLEGEQDCRLGRAAGPGSTEEDLELGAPGLPRVAEVGLSGSDVLRFGGASSEKPGISDGFHGLDLTVGELPLEGVDAIVFAGEELLDIVGVGLEIEEDRTGAAKLPCWVEVAEGCLVGVDDLDTGLLCAGMEGLDDGVDDLVAGIDDLEVGVDDLLAGADALPEGIDVLAAGPDVLEGVVDLAEVSVDLEVGVDDLGAGGPDLEGTVARDVGVEGLEPLEVLVSAGRPVGVEGLDELDVCPPEDDGLRVVAAEFKLFDDVALNGRVVLDGGSG >OGLUM01G02960.1 pep chromosome:ALNU02000000:1:2377128:2381458:-1 gene:OGLUM01G02960 transcript:OGLUM01G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MSCSPSQSSAAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEVEKEELGGDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQNKFGDQYKLFLLINPEDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >OGLUM01G02960.2 pep chromosome:ALNU02000000:1:2377128:2381458:-1 gene:OGLUM01G02960 transcript:OGLUM01G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MSCSPSQSSAAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEVEKEELGGDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQIGSFGAITRIVNIVRNREDLLKVAAAGPLAGFSLGFVLLLLGFILPPSDGLGLVIDPAVFHESFLVGGLAKLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >OGLUM01G02960.3 pep chromosome:ALNU02000000:1:2377128:2381458:-1 gene:OGLUM01G02960 transcript:OGLUM01G02960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 2 [Source:Projected from Arabidopsis thaliana (AT5G05740) TAIR;Acc:AT5G05740] MSCSPSQSSAAAAAAAYGCCQRILLASTSLPATGRPARLGLKLRSTHSLQIRNRRFVCQAMTETEPDGDGNGDEVEKEELGGDASSPSVDSVTQENGSAESETNADNTKDETVNTEPLSSSDTVQNIDGDATPASDAQENVEVVDVAVGSPLPGMKQQLDESVRIPKATIDILKDQVFGFDTFFVTSQEPYEGGILFKGNLRGQPAKSYEKITNRLQDDKPVAVVVPRQTLQPETTAVPEWFAAASFGVVTIFTLLLRNVPLLQDNLLSTFDNLELLKDGVYGALVTAAIIGVHEIAHILAARDTGIKLAVPYFVPSWQLILGDALKEGTKLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRISSLAIGLLGISALFNDVAFYWVVLIFFLQRGPISPLSEEITEPENNYISIGVAILLFGLLVCLPYPFPFDPSQLTDFDL >OGLUM01G02970.1 pep chromosome:ALNU02000000:1:2381629:2384480:1 gene:OGLUM01G02970 transcript:OGLUM01G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLSLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAIVCRIAARDAL >OGLUM01G02970.2 pep chromosome:ALNU02000000:1:2381629:2384480:1 gene:OGLUM01G02970 transcript:OGLUM01G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLSLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAIWHHGSRLKSGRPGGFLHMMMWYRYVCYCMMMVASTTGALGSRAAGTALQLSFLSKRKTWTEKLVTCPALQLLLQIPVQCFFCMIHQSIMHVI >OGLUM01G02970.3 pep chromosome:ALNU02000000:1:2381629:2383756:1 gene:OGLUM01G02970 transcript:OGLUM01G02970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinase binding protein CGI-121 (InterPro:IPR013926); Has 275 Blast hits to 275 proteins in 139 species: Archae - 0; Bacteria - 5; Metazoa - 98; Fungi - 109; Plants - 42; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G34412) TAIR;Acc:AT4G34412] MKSFPVAGGRSVSLSLFSDVSNSRELLELMQSGKLEPEVAFLNASLVPDVFPVLAAAHKAVVSQGRESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTSYILAARFDASNEEIKAVEKLICGTEIDLAELETRANQPQILKHYKITPQELSISTLPDAIVCRIAARDAL >OGLUM01G02980.1 pep chromosome:ALNU02000000:1:2385371:2389390:1 gene:OGLUM01G02980 transcript:OGLUM01G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGAEIKDEMEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPQEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLGVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSVAISKDFETAHVISANRIRLWNKGVDSASFHPKFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKTVMDRLPGVRIAFIGDGPYRSELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGVPDIIPEDQEGKTSFLFTPGDLEDCLGKIQLLLTDKEFRDNMGMTARAEMEKCDWRAASKKIRNEFYNAAIWYWRKKRAELIKPLQWLAQMFLPAPEANRITQH >OGLUM01G02990.1 pep chromosome:ALNU02000000:1:2392972:2395236:-1 gene:OGLUM01G02990 transcript:OGLUM01G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPITSCHSQEPDFGSLSLLLLISAQGKKAIREAKRERGEGREEILAEFEQEPREIDRLLSSFRGRDRPEAELSCGKKEEEEEEEEEEKEIGDDDEGCVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDGAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >OGLUM01G02990.2 pep chromosome:ALNU02000000:1:2392972:2394895:-1 gene:OGLUM01G02990 transcript:OGLUM01G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFAELSCGKKEEEEEEEEEEKEIGDDDEGCVLPPMDHYASRGNWFMARKWSPEENKQFERALAGLDLRCPDWDRVARAIPGRSALEVMNHFRDLELDVQQIENGMVPFPVYGAAAAGGAFTLQWDGAHGVGDFRNAYRFGGGGGGKRHFGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDRPPSPSQSSLISNQSNTSTLTAAVAPFSSTADVKPQNAANASFNSPSRTLGMAGYGMGLQDQGLQCGGPLHDQLAASRSILF >OGLUM01G03000.1 pep chromosome:ALNU02000000:1:2404379:2408683:1 gene:OGLUM01G03000 transcript:OGLUM01G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAEDIYTQDGTVDVKGNPATKKNTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQESAIAANNVTNWSGTCYITPLLGAFLADAYMGRFWTIASFMIIYILGLALLTMASSVKGLVPACDGGACHPTEAQTGVVFLALYLIALGTGGIKPCVSSFGADQFDENDEGEKRSKSSFFNWFYFSINIGALVASSVLVYVQTHVGWGWGFGIPAVVMAVAVASFFVGTPLYRHQRPGGSPLTRIAQVLVASARKWGVEVPADGSRLHETLDRESGIEGSRKLEHTGQFACLDRAAVETPEDRSAANASAWRLCTVTQVEELKSVVRLLPIWASGIVFATVYGQMSTMFVLQGNTLDASMGPHFSIPAASLSIFDTLSVIVWVPVYDRLIVPAVRAVTGRPRGFTQLQRMGIGLVISVFSMLAAGVLDVVRLRAIARHGLYGDKDVVPISIFWQVPQYFIIGAAEVFTFVGQLEFFYDQAPDAMRSMCSALSLTTVALGNYLSTLLVTIVTHVTTRNGAVGWIPDNLNRGHLDYFFWLLAVLSLINFGVYLVIASWYTYKKTADSPDDKAEHAGAN >OGLUM01G03010.1 pep chromosome:ALNU02000000:1:2422407:2422753:-1 gene:OGLUM01G03010 transcript:OGLUM01G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCQHLSVENVKELARGSPFPCRRMEGNTSRNGGDSATRESLSTKIAQQRKFTKPFEMKC >OGLUM01G03020.1 pep chromosome:ALNU02000000:1:2466454:2469507:-1 gene:OGLUM01G03020 transcript:OGLUM01G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHSDVAALKKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >OGLUM01G03020.2 pep chromosome:ALNU02000000:1:2466454:2469507:-1 gene:OGLUM01G03020 transcript:OGLUM01G03020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGVVVDGGRAAMGRRHIGTVAHLAAGGFAGAVSKTCTAPLARLTILFQKYSIWHEASRIVREEGFGAFWKGNLVTIVHRLPYSAISFYSYERYKKFLQRVPGLDEDSNYVGVARLLSGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFTVYESLRSHWQMERPQDSPAVVSLFSGSLSGIASSTATFPLDLVKRRMQLQGAAGTSSVCKSSITGTIRQIFQKEGLRGFYRGIVPEYLKVVPSVGIAFMTYETLKSLLSSIDEDDES >OGLUM01G03030.1 pep chromosome:ALNU02000000:1:2472304:2472963:1 gene:OGLUM01G03030 transcript:OGLUM01G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRSMAVREKMMKRKRLSPLQGHARGGQGARRRETCALERLDNMKWVENERYLAIVNHLVAAGNPDACFIVGVTLVFAQQDMAQGLFLLDKAATAGHKTVAYVLGLLLYKSDEARATGKKYISQVEGDGDEAATTDAGNKRTNRECRRC >OGLUM01G03040.1 pep chromosome:ALNU02000000:1:2473711:2483438:-1 gene:OGLUM01G03040 transcript:OGLUM01G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAAARRAATSTLPLLRPLAAAAARPQPRSMPFSSSAPLTKPAFDSELVRVIDNAIKDAEEESDDDQDRIQDKEITFYPKINDGKGSNAITLTRTYHGEDIELELSMDSLVTDNKPETVKVKFKNEERWSSDESEYEEVQLPPKSSIPLTVTISKGDRPILVFSCSAYPEEIVIDTLALMRPLEDKLDQTITYEVPDFDDLDERLQKALYKYLELRRITPTAVNLIQEYMISKGRGGYPLWLRKLKDFVSRNATQAGKQFASSSSGQLARIEVLVTVGCLLVGVLVLSNSRRRHDGGALLRLLVWGAFMFNYPVISYTIGLMQSSSIQNELFVVWACFLLLLLGTADTMTAFSFNDSSQQTRSMMNQALHVVYLLFLILYYKGQLRGTFLVSLFLLWSLSVVRLGLRVKAYLSTNRSRGLTKENQVVLDYIEHEPPSSTGVRSCKGNYDPETMKEYIYLVDGKEVEKVEFGQQVKQVAYGVPETVDVEKVWQCKGKLLKLSDGGGDRGVARRRDLCLSLALFKMLRLRFAVDHVGRFALPFQEGKSWDFVVKGLLADDQDLDRAYRVVEAELGFLFDFFYARYPSIKNSLAPDLAVYVAILTTSLFTLFSPDLLRYRPLRPGPGDGGDASNIIIHGFNLDLLVTRLVIVWYIFLESYQFFTFIFSDWHKVKMMCRYVRNESWHRALMEVPLKVLCHFSTITRYWKGTIGQYFLLDNIHPHWIKTFLSWFSIEAEALDSWLMTRSIRLTPEVSHAVLRELKNCDGNITDGRMWLYQKGIIDMDLDRDVLLGNPYANYILKWHIATSICDYGLSMENGATTTDDEFARNHEVAMNLSGYCAYLLAFQPELVQDNTYRSTSTVQGTLQNARDFLGGCKSHGEKYKKLIELGRSKIVMDHEMAQKSKDIIYSYDSDEEKVKKMIELDNSTSNDTVNVVRFLSQGASVAVYLVDRIEDTRERWKVLAAFWANLMLYISPSDRAVAHATRMATGGEFITILWALLTHAHVVDPLQSRGGNSGLHTQLEEEERRRPLIEEQEMELIKFAEESDDHDRVEEIPDNFPFKISDEKGFNSITLTRTYQGENIEVLVSMPSLVTGDEPDRENEADEDRNEDDQEEETQKAPKSSIPLTVTISKGEEGPSLEFICTAYPDEILIDALSVMPSESEEDEMITYEGPDFNDLDENLQRAFHKYLEMRGITPMATNFLHEYMINKDSREYLIWLRRLKDFVRN >OGLUM01G03050.1 pep chromosome:ALNU02000000:1:2484078:2486039:-1 gene:OGLUM01G03050 transcript:OGLUM01G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVSAAAAAAAATTAAAFLPGVSSVARAGTPLLSLQRQPLAGSLRAAAQGSSASSGQVVMESKVKAKKKKGSGAGNLPGAIDAEIREAQDYLAIDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEEITIDDMLIVENAAAEGDEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLPTTNYMHDYMVTKQTKEYVGWMTKLKDFVRQ >OGLUM01G03060.1 pep chromosome:ALNU02000000:1:2486948:2487169:-1 gene:OGLUM01G03060 transcript:OGLUM01G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFLYRAIVHYANGGQTPIGNPFTNESPSASPRAPYYVRLAGGAADSGRLRFAEVPVFPSPASRDAQLL >OGLUM01G03070.1 pep chromosome:ALNU02000000:1:2488505:2494407:-1 gene:OGLUM01G03070 transcript:OGLUM01G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSSSSLHLHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGKYFQPSGLGRNPPGQADGQRSKEKKRKTKRPATATDIPKFPHLPVSEFGLCSTKSLANGVLIPPPPLHCTRPYIIPCHRIIHSSRPYRVGSPPTSPPLLASLASDPRRPPANPLSSPNPSRSRGFPLLLRRPPRDRSSGGGGRGWAAWIGEGSEWMSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >OGLUM01G03070.2 pep chromosome:ALNU02000000:1:2488505:2494407:-1 gene:OGLUM01G03070 transcript:OGLUM01G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSSSSLHLHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGKYFQPSGLGRNPPGQADGQRSKEKKRKTKRPATATDIPKFPHLPVSEFGLCSTKSLANGVLIPPPPLHCTRPYIIPCHRIIHSSRPYRVGSPPTSPPLLASLASDPRRPPANPLSSPNPSRSRGFPLLLRRPPRDRSSGGGGRGWAAWIGEGSEWMSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >OGLUM01G03070.3 pep chromosome:ALNU02000000:1:2488505:2491477:-1 gene:OGLUM01G03070 transcript:OGLUM01G03070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVIILIAYFNSGSGVTVTSREAVSRSEGSCTPEVMQALPYLKKAYGNELHKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMADIKFPLPYRQDSFNLVIVSDALDYLTPRYLNKTLPDLARISTDGLVIFAGNPGQQKAKVSELPKFGRPAKLRSSSWWSRYFIQTGLRENEGPLKKFEQAASKNKYKPDCQIFHLSS >OGLUM01G03070.4 pep chromosome:ALNU02000000:1:2492592:2494407:-1 gene:OGLUM01G03070 transcript:OGLUM01G03070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTILGSLKLTPSPPSATPVRSSSSSLHLHLANAGAAALVAASLLVADPALAFKGGGPYGQQVTRGQDLTGKDFSGQTLIRQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVSKVNLTNANLEGALATGNTTFKDFTDVPLRDDQREYLCKIADGVNTTTGNATKETLFCK >OGLUM01G03080.1 pep chromosome:ALNU02000000:1:2495295:2499436:1 gene:OGLUM01G03080 transcript:OGLUM01G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDFSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQTTLAHLVKLTFIHTRMGGQWNRRCIHFLALLFTLPRTGFFWLPFGVRGDPIGLTGGARGEGQMEPNPRALGGADQARNGLYPKVGNPEGTNF >OGLUM01G03080.2 pep chromosome:ALNU02000000:1:2495295:2497631:1 gene:OGLUM01G03080 transcript:OGLUM01G03080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDFSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQ >OGLUM01G03080.3 pep chromosome:ALNU02000000:1:2497320:2499436:1 gene:OGLUM01G03080 transcript:OGLUM01G03080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRTTLAHLVKLTFIHTRMGGQWNRRCIHFLALLFTLPRTGFFWLPFGVRGDPIGLTGGARGEGQMEPNPRALGGADQARNGLYPKVGNPEGTNF >OGLUM01G03090.1 pep chromosome:ALNU02000000:1:2497868:2499330:-1 gene:OGLUM01G03090 transcript:OGLUM01G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDHPVQNGQNPVDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSCRIPHSRAQLHTRSNHTSRFESEMKEANEMLRESKQLRVNTTKPKTVTFAPILEQGPEPVKNDWHSKELSETATEIFSHAYAIVCEAVLRMVSIIQGAMSSYNIDRRQMLEKIVSFKRYMMLKLAPGEGDKVLSEVITEAVLDMFDAWCENVERPLVQRAKEVYSWFLPERREELPPVPLSTHPCVYEDAEEFYSLEN >OGLUM01G03090.2 pep chromosome:ALNU02000000:1:2497868:2499330:-1 gene:OGLUM01G03090 transcript:OGLUM01G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRKLKRGISTKRGDHPVQNGQNPVDEWEEQQRYWPSPRAPPVSPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMMWTPRAGPSADDSKEHNDADYGSPSTARHPHSCMSARLQNIACIGQSPVKFSQDINYTCESACAPEVFLEASSRQNSPLPSPTAHKEQPYFKVSSRFESEMKEANEMLRESKQLRVNTTKPKTVTFAPILEQGPEPVKNDWHSKELSETATEIFSHAYAIVCEAVLRMVSIIQGAMSSYNIDRRQMLEKIVSFKRYMMLKLAPGEGDKVLSEVITEAVLDMFDAWCENVERPLVQRAKEVYSWFLPERREELPPVPLSTHPCVYEDAEEFYSLEN >OGLUM01G03100.1 pep chromosome:ALNU02000000:1:2500395:2506076:-1 gene:OGLUM01G03100 transcript:OGLUM01G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYRPDRETDFAGFPSTTPIVIDNGASTFRIGWAGEAEPRVAFRNIVQRPRHRSSGETVTVVGDTDPALMKYFDCTRSAIRSPFDDDVVYQFEYMEYILDFGFDRLGATSEVGHPILMTECECNPSFSRARMSELLFETYGVPSIAFGIDDVFSYKYNQKLGNCGEDGLAISCEHGTCHVVPAGIDEMVSISLRRLMEDEAVKERLCQSILVTGGCSLIPGMIPRLESGIRQFRPYLSPLKLVRAADPLIDAWRGAAAFAASSKFGRHTFSLADYREHGENLFHRYNIVYSL >OGLUM01G03110.1 pep chromosome:ALNU02000000:1:2509823:2511759:-1 gene:OGLUM01G03110 transcript:OGLUM01G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEEYLNLQSATFAQLYRCLPISLLKKENADDGNRVFMPVSALDRLGYLHIEYPMQFQIQNATTLQTSYCGVLEFTADEGFIHIPTMMMEHLGLRENDLVLLRSTSIPKATFIKLQPHTSDFHKLSEPRYLLEYNFRNYFCLTTGETIAVAAGDRFYYLDVVETRPADAVCVIETDCEVEFDQALDQAEPAAAAAMQVDGVGAGEPEPARFTGFRMRMDGKPVEEEKKTMPPPATAAAPPKRGLRFGSSAPAAGGGVKEAKSGEKDDGNRFTGKKYSLQF >OGLUM01G03120.1 pep chromosome:ALNU02000000:1:2512070:2514936:1 gene:OGLUM01G03120 transcript:OGLUM01G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARVGGSFLPSPYDMWARTPLAHRAVFMQRAAVAQKVEEKPKVEAAAAEEEEVVTVPSGGVGSSVKVARKCVVIMEGNPHPGAAKGRMSFLNFNPSIDKLTQEATGGRQSQLASPSNNHQDGSNSSRTDEVSRTRFSDFNIDSSESISLNELKRKQPELEMETPPSHRQPKTTGKSIDGDSSSQSNGRGSHKSNKREKLDWNLLRPRKSK >OGLUM01G03130.1 pep chromosome:ALNU02000000:1:2515113:2517038:1 gene:OGLUM01G03130 transcript:OGLUM01G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASSVASAVEKLQAAAQDAANSSSRSAAAFSEQAHQVLVPRAAGRVVSLSTCMKISAVSFAVGVVVGFTLKRRLRRWAARLLKRIKDDD >OGLUM01G03140.1 pep chromosome:ALNU02000000:1:2520478:2522430:1 gene:OGLUM01G03140 transcript:OGLUM01G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGALMGADRREPEAGGGAAALGAVGIATVSVATTLAAVFQPPPGGLLADTFYRLALSGTFLGGMTLVGASVWVADNPAARRAAGKKLLYTAIPPLLAAMGLSVAALLWSM >OGLUM01G03150.1 pep chromosome:ALNU02000000:1:2526606:2526935:1 gene:OGLUM01G03150 transcript:OGLUM01G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIARREAEADGAAFSKIAAALGLFGVATASLIINLAAACDPPPAYGGNTYYHLALVGSFFAGMGQVGAAIWVADDQRGRHAVGKKIMIASIAPLVVAVGLTGAALLW >OGLUM01G03160.1 pep chromosome:ALNU02000000:1:2530722:2531039:1 gene:OGLUM01G03160 transcript:OGLUM01G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQYEVSDALGALGVAAISTATTLATAAFQPPPAPGGLRTTTTYNHLAVAGLAGTFLGGVVLVGASVWVSDNPAARRGTGKKLLYAAVPTLLAAVVLSVAALLW >OGLUM01G03170.1 pep chromosome:ALNU02000000:1:2534032:2534437:1 gene:OGLUM01G03170 transcript:OGLUM01G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGYLLTSRGSVGAFGVVAATAAPEKGEKGGKGKGGDGRDSERIEAAAVLPFSRVTVFPSCSCRRRAPAAVVPLATVAAPPLSSLPPRLPASRRFASPRKRDEEKEEGDDMDYADMWGPRGSHADSVAT >OGLUM01G03180.1 pep chromosome:ALNU02000000:1:2539641:2539934:1 gene:OGLUM01G03180 transcript:OGLUM01G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPARQQAEADGAVPAALAAVGLATVSTAITLAAACTYYYRLALTGIFLAGVTLVGASVWVSDNPAARRAAGKKLLHAAVPPLLATVGLMVAALLW >OGLUM01G03190.1 pep chromosome:ALNU02000000:1:2541315:2547689:1 gene:OGLUM01G03190 transcript:OGLUM01G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHGHIYRLTWALKLLQKRCTYYRLALVGSFLAGVAQVAAAVWVVDDPRSRRAVAKKIIYASIAPLVVAVGLTGAVLLLW >OGLUM01G03200.1 pep chromosome:ALNU02000000:1:2550109:2550417:1 gene:OGLUM01G03200 transcript:OGLUM01G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVARLEADGAASKIAAFGLATASLTINLAVAREPPSCFGDGAYYHLALVGSFLSGVAQVGAAVWVADDPRGRHAIGKKIVYASIAPLAIAVSLTVAALLW >OGLUM01G03210.1 pep chromosome:ALNU02000000:1:2564083:2564412:1 gene:OGLUM01G03210 transcript:OGLUM01G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVARLDQADGAAFKIATARALGAFGLATASLAINLAATRGPPSCFGDSAYYHLALVGSFLSGVTQVGAAVWVADDPRGRHAVGKKIMHTSIAPLLIAVGLTGAALLW >OGLUM01G03220.1 pep chromosome:ALNU02000000:1:2567948:2570473:-1 gene:OGLUM01G03220 transcript:OGLUM01G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPASVHGEGGGWEAGKGDEEAARGGWEADELLQVQVQKRRRPTAARREQRLLDLPAAVELGRRSHHIAPAYRQSRCSLSIAGCRPSPCQPRLPLHRFCGGDANVDAALLVLGLATISAAITLATTTTPLQADLSNNGAACYSYLALAVAAVTFLAGVAQVVAFVWVSDDPRHAGAAGNWFFVYASVASLVVAVGALAVLW >OGLUM01G03230.1 pep chromosome:ALNU02000000:1:2616444:2618999:1 gene:OGLUM01G03230 transcript:OGLUM01G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTLVYKPPHGLLFQRHVLAYYLTLVVIFLAGVAEVWTAFWLSEAAGDGRRRRRRALGRAVLWASVVPLAAIAGIGGFTILFHSWNRRNISLASLERTTQKFKVKHDGCL >OGLUM01G03240.1 pep chromosome:ALNU02000000:1:2626978:2629443:1 gene:OGLUM01G03240 transcript:OGLUM01G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRNAGGDVVSDLHMNHRRLAVHVEDLWNEWEIQVLVLASFSLQVFLLLFSGIRKRTAYNFLSLLLWLAYVSADLLAVFVLGRLTYHMYGGLRHGLVLFWAPFMLLHLGGQETMTAFSMEDNMLWMRHLLNFVVQVVMAAYVVGKQWKGESKQLLAPMVLMFISGTIKYAGRISALMLAAEQTTCRSRVRRSRDSLMAPGYRVWLLPDWLRIQELTTYKRLILEANKSISAYMGFLMDIALPPSNRASNNYNLLQQRLSTEQRVYACYKLTELQLSNLYDYFYTKIGAHFEKEERLNGWFLQLVTLGSTFAALFLFAWADVRGNLFYYKRADIIVSYILLGGAAILDTLSVSIVISSFQAIEDYGRSHDVMFSIIRCVHPEGKPLWSHKLAQYNLISGCIQEKRAAAGGRGFLECIMFNVLRMNPSHTTYVDVSHELKKELLDKLIQVGGHEDDVWDISKFTGQWAKLELQSKLQIESSSRAHLQVLLSDSIDHAASFMSSVLTWHILTDICFFHEDDTGCSSSSGGPSREFSNYMMYLCSEYGMYSGSDAGNVMLQNAQGFIYDCLGDCQEDLDQISAVVTHITAKLRLLTPTDFVDPREKLINAEPALILAFQLSEELLKIKEANDRWDIILNVWMEMLCYMAFHCESGFHVEHLSKGGEFITHVKLLILNLGRPNYIYV >OGLUM01G03250.1 pep chromosome:ALNU02000000:1:2641594:2646329:1 gene:OGLUM01G03250 transcript:OGLUM01G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPYIDEDGEPLMDPYDTRDPSPEPQQQPYDDLEDDLGDDWNRGRSPTPVHGDDGAGSSSKPRKRLLKKGGGGGGGGGGGHGMPGDGLDDWGEEAAGLADDDVDPEADAAKKRKGSSALRDLARGGGKEKKEKKRRKEDGREREGGRGMGMAREKRGGSGGKGFSGGGGGGNGDQDEGEREIQELWDTIAGGDSEDDQEGVRTLDDDNFIDDTGVDPADRYGSDNDGHSPRHYPQAEEGEEDDEIERLFKGGKKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLMKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSLPNMNIRTAVLKLLTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQGGARQHASRPEASPLDFVIRPQSKIDPEQIRARAKQVVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMIKYL >OGLUM01G03260.1 pep chromosome:ALNU02000000:1:2647540:2651121:1 gene:OGLUM01G03260 transcript:OGLUM01G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y372] MPRRGETTVVPIEMGGGGGGGGGGQERPKAPRRHGSHGPGHYGNHHHHHHHHHRSRPPPPPPPPSEFRPFRRWFPFLVPFFVVVNVALFVVTMYINDCPAHMQATGDAIGGDVGEGAASQGCWLEPELGRFAFQSYKENPLIGPSSATLLKMGALETSKVTNDHEGWRLITCIWLHAGVVHILANMLSLLLIGIRLEKEFGFMRIGTLYVISGVGGSLLSALFMVSNISVGASGALFGLLGSMLSELITNWTIYENKFAALLTLVIIILINLAVGILPHVDNFAHLGGFTSGFFLGFVLLVRPQFGYINQKNSPLGLPMGTTKSKYKTYQIILWVIATLILISGFTIGFILVLKGFNASEHCSWCHYLSCVPTSKWSCNTPNNYCMSSQLGNQLNLTCESNGKTEAYTLNNPNSTEAIKHLCVHLCS >OGLUM01G03270.1 pep chromosome:ALNU02000000:1:2651160:2653107:-1 gene:OGLUM01G03270 transcript:OGLUM01G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVTMADAEAETHNAGGGELLVWPWTGILATTTDDDDATADAASTLAFHAHQHFAGVPTTALQEATAGDGHHHHFLVLHFGKSWAGLRDAMSLPGRFPGAGRREWRRRRRGEGATACAVYGWLAGEDATSGAVYGWPAGEDDLHDGDGVVGRFLRDAGGAARSTEDVERDEGRVAAKLAAIAGEHERRAVFLERKCEEMAGAAQNAEAGNTSLHDELKDMEAIYAKLNQLEKQLEQRQSLESIIRQMNMNLQAGGSLRKEDHEHIYSIMICLRTIVDEEKEMLVDSCAEIMKRLRTNSDELEEYRQELIKGVENMTITASTIIGIKRMGELDERPFHLACKRKHREDDPRGKAAMLISYWQEELKNPSWHPFKIIQVDGEDKGVVDEDDPKLRQLCKDYGDSVCNAVKAAMAELNEYNPRGRHTMNELWNFREGRKATTKEVVKYISDQLKTNSSQSDN >OGLUM01G03280.1 pep chromosome:ALNU02000000:1:2653561:2655394:-1 gene:OGLUM01G03280 transcript:OGLUM01G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAGGGGRGDLSAAVSDEANAWSVRFKNAAVDDGGDDDDDVDDDGEEEGGEEGKGPGGGETEKDSCVEKERPRRSRGEEVSEDDYVQAGGGLERRKRKATVTPQKVQTSKVGIKNKKVQAQYLSDLAKEAERLSQENENLRWELKFKTKDLEHAVQTVEWKNKEIEVLKKENNELKTENENYKKNAKPLRALRLCRYCKERTTYDYRNCPKRKSDEDSDEEEESSN >OGLUM01G03290.1 pep chromosome:ALNU02000000:1:2656781:2659412:-1 gene:OGLUM01G03290 transcript:OGLUM01G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREDAAAAAAAGVTDEAKLWWLRFNNGAVDDDDDDDEEVEEEESDEEVVVEEEEEEGGEGEGGAAGETEGKGSCGEKERQSSPRSCGSSPSPGEEVSEDEYVQVGGVLERRKRKATVTPGKLQISKIETPHKLQISEVQTPHKLQISEVETPHQLQISEVEIKSKEVQALVLAQDSQRISQENENLRLQLALKTKELEHEENQKLRLQLELKNKDIESLKKQNDELKAEIEYYKKTTKPPRVARRCRWCEEYTTHDYRNCPQRMSY >OGLUM01G03300.1 pep chromosome:ALNU02000000:1:2661122:2664681:-1 gene:OGLUM01G03300 transcript:OGLUM01G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDMDDYIDPYEEAEAEAAADAAGLTSAAAAEDSSDEGDSEDDSEAESDYEERSYGLLRSGNHRVRNPDGTFRCPFCPGKKKQDYKLKDLLQHADGIGASSKRRRHGRERAFHRAFARFVRTDPSFAQDLAAINGIATTADAISNSSAVGAKEKADANGDTDGSASVAAAAAGNRREEERFAWPWSGVLAAGAGFNAEDFADRVAMFGLDDVVPLVVDDAEGADSFAIVRFANGWGGFGDALTLENHFNKNKLGKNEWDARGSAGDAVKGEDGGTDVKVYGWVAREGDYNTGNVVGRYLRKHTNLTTIDEVSKSESEKSGKMVAILATQIEAKNRFLQDLETKKNATELSIARLEEDNRKLHDAYNEEMRNLHRRARDYALRVFQDNENLKLELDSRRRELNSRAKQLEKITAENASDRKKLDDQKQKAKDDNSELELASIEQQRADEDVMKLVEDQKREKEDVLARMLQLEKELHEKQQLELEVTRLNGTLQVMKHLEGDDDGDIHDKMEKLSERLEHEKKRLEELSGELVKKERESNDELQEARKELIMGLEDMLTGRTAIGIKRMGELDEKPFQNACKRKYGNDDYETKAAELVSSWQEEIKKPSWHPYKIITVDGEDKEVVDDDDTKLRHLWIEYGDDVCNAVKTALSEVNEYNASGRYVVPELWNFRKGRKATMKEVLKYIFGQIETTSKRRRP >OGLUM01G03310.1 pep chromosome:ALNU02000000:1:2670023:2671293:1 gene:OGLUM01G03310 transcript:OGLUM01G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEETHHQRFIASEWQQVVEFGAVRLHLHRLRHRRLATGAGGAEELPDGALELPRRRRPAGELAVDPLEQRRDVVGEVPHVPVQQLGDDHLLLARRAHRRLQERLCFDLPMKRFKIVRTAGEERLPLHRANTTLGSGKNSLPDSAIQEAYLEMEVSHAEDMPKTIVKYSFLH >OGLUM01G03320.1 pep chromosome:ALNU02000000:1:2670367:2673884:-1 gene:OGLUM01G03320 transcript:OGLUM01G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTPSRAAAGSNSAAAAAAAAASSSYRRRGRGTIRRARVRRCRMKLMYFLMDKEEKHRKRAELELEVSELEAALDKETRLGRILHCSLQGRVVCHCCLSTLVPNKIRGLLAELAIVEDEIFYLEKKVDDLRLRLHRERKWTDQCIFQQQQQNWPQNRHQRHSICSLGGRRELQGAELLPRLPCPGSDEALECESKASVGSVSSKGEEVEQIRRSSHSFENLKLPERKICLSGPNKLSEELIRLTVNIFHKLNKTTDAAEMEMSSTSKLNISCIGPRSLVPKSSAITGAAISTLKNRRMSQGGDGAEKEIGCHKRFVEFTKSSFDVSRISSCLVDIKNLRILMQRLCNVDPSFLTNKQKLAFWINIYNFCIMHHGLPPSPDKLLGLLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDERERDLQLSYGLGYPEPNVVFALCRGSRSSPALRVYTAEDISNELERAKVEYLESSVRAASKKKVVVPKLLHWHMRDFADDVASLLEWIYSQLPGRSSSTGQLKRTIRELLGAAGAGGKAAVAKAVEVEPYSAEFHYLLPL >OGLUM01G03330.1 pep chromosome:ALNU02000000:1:2675151:2678356:-1 gene:OGLUM01G03330 transcript:OGLUM01G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triosephosphate isomerase [Source:Projected from Arabidopsis thaliana (AT3G55440) TAIR;Acc:AT3G55440] MGRKFFVGGNWKCNGTTDQVDKIVKILNEGQIASTDVVEVVVSPPYVFLPVVKSQLRPEIQVAAQNCWVKKGGAFTGEVSAEMLVNLSIPWVILGHSERRSLLGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMDVVAAQTKAIAERIKDWTNVVVAYEPVWAIGTGKVATPDQAQEVHDGLRKWLAANVSAEVAESTRIIYGGSVTGANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >OGLUM01G03340.1 pep chromosome:ALNU02000000:1:2684192:2697816:1 gene:OGLUM01G03340 transcript:OGLUM01G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 6 [Source:Projected from Arabidopsis thaliana (AT5G02310) TAIR;Acc:AT5G02310] MAGMDGGGGPSDAPPELSTQELIEQKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLEARKALNKDGDSSNSEACDEILSWLQWLMFNNEPHTMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGPPHAEGDGGNSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRIRECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTATKSIESSENQRLAKVGRVSQNSSVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKINEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKATGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNDQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTNVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECFSAIRQMAPHIVLSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAESMKSSGSEGQDVPMSEPDVFCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWMTTSNLQFPHALWLLETAGKIVGQSRFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGLQLLASSICSGTSGDKDLLNATKRKGIMPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >OGLUM01G03340.2 pep chromosome:ALNU02000000:1:2684054:2697816:1 gene:OGLUM01G03340 transcript:OGLUM01G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteolysis 6 [Source:Projected from Arabidopsis thaliana (AT5G02310) TAIR;Acc:AT5G02310] MAGMDGGGGPSDAPPELSTQELIEQKLILFGVPQEQLQEHQEGLLIYLEEHKELIPEIAKLVLSVGADLLEARKALNKDGDSSNSEACDEILSWLQWLMFNNEPHTMLDDLERSTAGERAVCGSVWGQNDLAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDTTAWKREGFCSRHKGAEQIKPLPEELASSVGPVLDVLLQFWKERICLVEGPPHAEGDGGNSCKRVAEELTTCVANMLLEFCSCSESLLSFVAQRIRECPDLLDALTKAERLLDKKAVKKLHELLLKLISEPAFKYEFAKVFIHYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTPRLVREVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWTNLYDATVRLLEDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHGEDENENLSAPFVLGHYLGVCHGSLVKGAFAPPEQHESTDVTICSTATKSIESSENQRLAKVGRVSQNSSVCNLGSKDSSSVGGLPPPAAWLILQCLKAIEGWLAPGIALRGKLSFLDASSSDPHNFMALLEEPLTSNKGKPNINIAEVNAKINEEPRPGDIADIPESFSSSVQDPDNLMLIDQIGLPHPSNMAGKRKMHESSNAADTQLHPENAISYTMTDGSLLYAHPDSRIEELGIINTVGWPHVVFDVSSQETSFHIPLHRMLSFLLRKATGKCFGEDVKPGHCSVVQTNEFFSQVLRGCEPYGFASIVMEHPLRLRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPELFVKTIQERFGLSNYTSLDLAEQNDQIVKSLPRALSSSDQLQNVLDSLAVYSNPSGMKQGKYVLRKTFWKELDLYHPRWNSRELQIAEERYYRFCKVSALNAQLPQWTNVFRPLHNISKIATSKAVLQIVRAVLFYAVYSDPLSVSRAPDNVLVTGLHLLWLALDICESESKRYANQYGMDIVQHDDESWVVLSSYAEEAFPILTYSTELVPPVSGKVKNESMLTLLVSLMHKYKEENDISFSGSKYCNIPSLIESLLKKFANLSKECFSAIRQMAPHIVLSMPQDTSCKQNPGSSDSMDKKAKARQRQAAIMAKMKAEQSKFAESMKSSGSEGQDVPMSEPDVFCSTGVVSEESLPVCSLCRDSDSKSPLCYLILLQRSRLATFVEMGHPSWENPTKSNKISGSIRREESADPSGASSFSSEEFIADTAVEPSFDSDAMEVDAFLDFSNEQHPLIRYISSLPGVYSNSNAGDTTSLETIEDDVCKTILDHMFGPNNVETKDDEQTVNTSNLSIGSKKNRSPRSSVLGTYVNCLSTKHLHPSKSSGSVTRNRFGPVDCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFETGHIVDPDLGELLCPVCRRFANSILPASPDFSSKSLRKTMPSVQIPSEAPPASSWMTTSNLQFPHALWLLETAGKIVGQSRFLKALSGKVNDTTEPALDPSLRRLCMLYYRRSHNSFSASERLNPSLFLWDTLRYSVVSTEIASRGRIASYSESKSCIESLRHELNSSNGFILSLLFRVAHSARNLNRLEVLLRFEGLQLLASSICSGTSGDKDLLNATKRKGIMPPMGDPTSEGGVFPDIQFWKQCADPVLAQDPFSSLLSTLFCLPVQFLMSTEFFIPFVHLFYVVCVVQALITCYGEETFDRSSFSNCLLNDVCQTMSTIDIAREYFVSKHIDPSCHPKDMVRRLTYPYLRRCALLWELLRSSSSAPLYDSSNIWEGSSHLYLNNSATDSLAVELNGIRELEDLFQIQSLDPILQDESVHMLALKWSQHFCEDYKTRKYRGVHFSTPAVPFRLMELPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSIRLAFWPSPYLDAFGEEDHDMHRGKPLYLSQERYAALTYLVASHSLDRTSEVLRQTTISIYGSD >OGLUM01G03350.1 pep chromosome:ALNU02000000:1:2693659:2693901:-1 gene:OGLUM01G03350 transcript:OGLUM01G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDTKDCHYAAAPSPSAGRHGAAVCRSASAIHRPSWCRYMPQCLRHQPAIVVPPSETGSLLAAGCHSSATRHSRTACAA >OGLUM01G03360.1 pep chromosome:ALNU02000000:1:2698345:2708016:-1 gene:OGLUM01G03360 transcript:OGLUM01G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVSCLSHVPVFFAQSKYQVFNRPTS >OGLUM01G03360.2 pep chromosome:ALNU02000000:1:2699237:2708016:-1 gene:OGLUM01G03360 transcript:OGLUM01G03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVSCLSHVPVFFAQSKYQMIQSIVRLRHSLQRENQR >OGLUM01G03360.3 pep chromosome:ALNU02000000:1:2698347:2704119:-1 gene:OGLUM01G03360 transcript:OGLUM01G03360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVSCLSHVPVFFAQSKYQVFNRPTS >OGLUM01G03360.4 pep chromosome:ALNU02000000:1:2699239:2704119:-1 gene:OGLUM01G03360 transcript:OGLUM01G03360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDGVRRGKEEALGAGGGQLCPECGYEYPNANPNPRLRRSHSKNCRRKMKMPVVAEEAGVAVHKRRRGLAVENASRGGGGDKVVHEGSSEQGCSAKAKEISAEPKLMADDPMSSHEKHMICHRHYKLYPTKVAPQCNDLSFGPFLGLRRKAMIVTKGIKVMSGETLDYKPEGGRIMFLFEAGVVDELHASAIRDPIGIYLKDKNETMCIGSITKAQPRARLWLMLSEEMKLFHNSERRSVYFNGYEFYPMYKRLHPLETYRKLWVVEVKPFEDASFNPVEDERKYYLLKVFSTAIPKEEIDVHVRSNKEVKRLGVISSYDTSFSCKLDFEDQFTLSHTSQEEDVSCLSHVPVFFAQSKYQMIQSIVRLRHSLQRENQR >OGLUM01G03370.1 pep chromosome:ALNU02000000:1:2711015:2712214:-1 gene:OGLUM01G03370 transcript:OGLUM01G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMAERQHKKRKPEKMAGARTSGHDKEEEKKVKAPSVYLVVGHEVSWATYSVFKVDPYAAAKRGGGGGDEEDPAPVPIPRRLARISAKFCMSFAPVPVRSWIVGVGGDSADEDYAPETIVFDTESRAVIRGPNLLSTKLHPVVLTIGHKIYALARYPSVTGQLDFVPWFEVLDLSQARVVDGHLESCEWKPLPRPPFFPWDLTPLQYLSPPMVTVESYVALASCILVSLSQQEGTHMFDVDKEQWSKLDDNSLPFVRGAVPHGPIFLGLSRAKKTITAYNITVVCQPRSGGTAPSLSIVEFPVVSDMEEEILSTPIFLSLGSPGFCSLKSWSDHPTTDDPCTRARIKLMAYHTEEPISQECIESSHGLLIPNQWKQVYEICDSSRELIWQCLITAMSL >OGLUM01G03380.1 pep chromosome:ALNU02000000:1:2723803:2724105:1 gene:OGLUM01G03380 transcript:OGLUM01G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLDVYEVEWITRELERVLVSESGCRNGGGGGRAGDGHRRQKGTTRKAATSSYMRPTTDKGGFIGRHAISVCGDTATAVVSVSDRAWRGRGSFREVEKV >OGLUM01G03390.1 pep chromosome:ALNU02000000:1:2724838:2727889:1 gene:OGLUM01G03390 transcript:OGLUM01G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSQKKRRSKGKDGAVAPVGLRLNRLGRASPREAAGKPVYLAVEHDDDQEVPAHSIVELGGGEAHLVLHNVRGMSFTTVESRYGPRIVGVGGKLFTTVLRPVLIPRGSKLYALSRTPSVVPGLDFLPWFVYLDLNYVLVAPHDARTMGWHHLPPPPIFPVRLNPLEYRDPPEVRVASYAVVGSHILLSVQQDKGTCAFDMDTNQWDMVDANNLPFIGKAVPLGGHLFIARSIANGGAAAVYDIRVFPLQPTSSGSHKTELSILNIPVVSKGIVPGQLFCSLGKGIFSSIDVRSAATPGPDAKLHKARIVHRTYSQVGGDDTEDNNYTVITKQHRQIYKLIDRTRHLAHPSPVVAALTMDCAPSPVLTQPLLIWYTPLKYTCPQKEAE >OGLUM01G03400.1 pep chromosome:ALNU02000000:1:2733152:2733610:1 gene:OGLUM01G03400 transcript:OGLUM01G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRTTPHRCAIPVLTTSTPLVLKDADLLPVDSGGYPKSSLSRTAPHRCAVPMLAISTPLVLDDADLLPTTAVVTPNPLARCCRRRLASSPRTLGRSSASARGDDAPPFHLPRRPPLPPLLVCEAVPSVVDSGAVPASMGDHGRAGGGRER >OGLUM01G03410.1 pep chromosome:ALNU02000000:1:2734159:2734464:1 gene:OGLUM01G03410 transcript:OGLUM01G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKARQRPLGEGSPRGGWATEGCGSRPPALSLLQSGFIKLLVRSTLSNALQGKEASKEGETLILAVLDIWRKRVKMRRG >OGLUM01G03420.1 pep chromosome:ALNU02000000:1:2735877:2736410:-1 gene:OGLUM01G03420 transcript:OGLUM01G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLATEKLYRMLGMYEVLTDIKSDLESLFTGYARNFFSFEVTSIVAQLGNTIRHLSMQFWIRIREGEGRRQRRKEGFVGTLLRCSRVTAVVASPRHQRLLLVLLTPPPEPSCSPAGRRPWPAAPPPPPVEREGERWRGEGKKRLMCRTRVGPTLSQLSHWVKPESKPPRTQSDSVL >OGLUM01G03430.1 pep chromosome:ALNU02000000:1:2736770:2737159:-1 gene:OGLUM01G03430 transcript:OGLUM01G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVGAGGGRQGSSWAWVAGAGCGGASGRRRRGEKLRAGEQAPLPHRRHAAHALRRRLPPRRAPGNRAAPSRPCQLRRMKDDGVEPDLVTYRHHLTITASLLASCPLRTPSPSSSPIATIFLLPPSAPL >OGLUM01G03440.1 pep chromosome:ALNU02000000:1:2742158:2745300:-1 gene:OGLUM01G03440 transcript:OGLUM01G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDALAWKFLEKLGQLIEDEVIMTLSVKWGIESLKKNLEFFNAVREDAEALAMEDPGIDSWWKNMRNVMFDVDDVVDLFMVHSQKFLLPPRPVCCNQPLFSSFAKFSFDHRIAKRIDNINEKFEEIKMNKEMFGFERTNRQQVQITIVDRSQTSPVDELEVVGEDIRRAVDDMVKMIVSNYNDNRSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCISQNYTETSLLKQAIRMAGGICDQLETKTELLPLLVDTIRGKSVFLVLDDVWKSDVWIDLLRSPSERGLNSRILVTSRNLDVLVEMHATYTHRVNKMNDYDGLELLMKMSLGPYEQRREFSGVGYQIVKKCDGLPLAIKVVAGVLSSKKTKAEWESIRDSKWSIHGLPKELGGPLYLSYSNLPPELKQCFLWCALLPSNFGIHRDAVAYWWVAEGFVTKMHGYSIHEAAEEYYHELIRMNLLQPKPEFVDKWMSTMHDLLRSLGQFLTMDHSLFMNMENSKALLNLRHLVISNDVKEIPAIEELKCLRSLLIFNNKNFKTINKDIFRELKHIRVLVLSGTSIQVIPESVGNLLLLRLLDLSYTKIKKLPESIGNLTSLEYLSLHCSRHLDSLPASLMRLSNISFLELEQTGIDHVPKGIAKFQKLYNLKGVFESASGFRLDELQCLPNIQRLRIVKLEKATPGGAFVLRNSLRLRELWFRCTMGANTHDITHYQMNEIERIQQVYEMLSPSSSLIYLFFEGFPGVRFPDWLCSEPEYKMPNLGHMYLNECISCSELPPAGQMPELLILQIRCADAVVNIGSELLGKGVSSATHITIFPKLKLLHIIDMSNLESWSLNTGNLRGRSEQLVLMPCLKRLFLNGCPKLRALPEDLHRIANLRRIHIEGAHTLHEIDNLPSVLWLKVKNNRCLRRISNLCILQDLFAQDCPALDQAENLISLKRLHMVDCQNAKQFRMCLLEDQQLAVDLVQMAGISFQMKLSIIRQW >OGLUM01G03450.1 pep chromosome:ALNU02000000:1:2745548:2746861:-1 gene:OGLUM01G03450 transcript:OGLUM01G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSSSRGEERRGKGSGGIREIAAWGKETGGDGFVEEGTCALIGGRHAARIDDVEGYVLQ >OGLUM01G03460.1 pep chromosome:ALNU02000000:1:2746864:2747325:1 gene:OGLUM01G03460 transcript:OGLUM01G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y396] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKGSGEGRKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >OGLUM01G03470.1 pep chromosome:ALNU02000000:1:2748641:2751988:-1 gene:OGLUM01G03470 transcript:OGLUM01G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRMRLALSLLYVLMTIGTGTASGGGGGGGSSDEPALLALKAGLSGSSSSALASWNTSASFCGWEGVTCSRRWPTRVAALDLPSSNLTGTLPPAIGNLTFLRRLNLSSNQLHGEIPPAVGRLRRLLVLDMDHNSLSGAIPANLSSCISLTILRIQSNPQLGGRIPPELGNTLPRLEKLQLRKNSLTGEIPASLANLSSLQHLSLSYNKLEGLIPPGLGDIAGLRYLFLNANNLSGELPISLYNLSSLVMLQVGNNMLHGSIPSDIGRMLPGIQVFGLDVNRFTGVIPPSLSNLSTLTDLYLSDNKFTGFVPPNLGRLQSLQYLYLVGNQLEADNTKGWEFLTSLSNCSQLREFVLANNSFSGQLPRPIGNLSTTLQMLNLENNNISGSIPEDIGNLVGLSFLDLGFNSILSGVIPESIGKLTNLVEISLYNTSLSGLIPASIGNLTNLNRIYAFYCNLEGPIPPSIGDLKKLFVLDLSYNHLNGSIPKEIFELQSLSWFLDLSYNSLSGPLPSEVGSLVNLNGMDLSGNQLSGQIPDSIGNCEVMEALYLEENSFEGGIPQSLSNLKGLTILNLTMNKLSGRIPNTIARIPNLQQLFLAHNNFSGPIPATLQNLTTLWQLDVSFNKLQGEVPVKGVFRNLTFASVVGNNLCGGIPQLHLAPCPILNVSKNRNQHLKSLAIALPTTGAILVLVSAIVVILLHQRKFKQRQNRQATSLVIEEQYQRVSYYALSRGSNEFSEANLLGKGRYGSVFRCTLDDESALVAVKVFDLQQSGSSKSFEAECEALRRVRHRCLIKIITCCSSIGPQGQEFKALVFEFMPNGTLDGWIHPKSSNLTPSNTLSLSQRLNIAVDIFDALDYLHNHCQPPIIHCDLKPSNILLSEDKSAKVGDFGISRILPKSTTKTLQSSKSSIGIRGSIGYIAPEYGEGSTVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLHQPLDIADPTIWLHEEENDADVKNESIKTRIIQQCLVSVLRLGISCSKQQPRERMMLAEAVSEMHATRDEYLRSWMVGHEEHSTVS >OGLUM01G03480.1 pep chromosome:ALNU02000000:1:2753761:2755470:-1 gene:OGLUM01G03480 transcript:OGLUM01G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSGCQCGSGCGGCKMYPEMAEEVTTTQTVIMGVAPSKGHAEGLEAGAAAGAGAENGCKCGDNCTCNPCNCGK >OGLUM01G03490.1 pep chromosome:ALNU02000000:1:2760110:2763889:-1 gene:OGLUM01G03490 transcript:OGLUM01G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHHQDSAFYGKELHGRRWSILQFFGFRRRLRSTKMISDKKQSQGKGSGGSRRRGSYVPLKDEDASVMDDDKHTETTKKNKSSKKSSGKGSLGSLILKKLYGKEGQKEKMLPVAPKLLRTLSIHYLESNEYVLDGDGSSQSTMPNSTDTNIQNATFNTQDGCDNDTSSSLLKRGDIHVKQRSHRSISMDGVLHKVPYGHKVSEDVIKEGLSRSASATYDRDGLKSYTVTAAKRPVNQGFRRSRSLTESLEKYSHLLDSISSNQSKKTLTSSKSTRDHSLDGSAVMTGLKNLVMTAENLVIPEHALAPDVPERTIADGDVDAAMDEISGHKDADGSENTALLEEYIVDKKCGVSVSTEANICTPPLLSEVIDISQGHVATCDDDQVHSSTEDNMCTAHSKSEEIDVPEEHGTTCDDDHTNLSTEAGMHTTKVSEDGRISEEHTTTSDDKQIHSSDVLKSREGTFCVPRPSQEIEAEINLSCEQETDSPMSVLDVTFPDDPASPVKYTILDDSSLKPRVLHLSDTDDSADMDLNTSTSMELSTAGLGHKNVQESDSDELSSLQADPKNEDGLIYVKNIFMKSSFRNEVLFDEWCSHNITVLQEEDCQHYEAAAAAFDFTEMSADQLLLSDLTNEVLLDIYKKYSVSKSLLSRFSSFDRPKPVGNHALKELWSKVSCHLDEQPQSSIEIDTILSNDLSKNDHWVNFQRDADHLGNMLADFVFDRLLTEFTLQLAKF >OGLUM01G03500.1 pep chromosome:ALNU02000000:1:2769372:2772703:-1 gene:OGLUM01G03500 transcript:OGLUM01G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G55360) TAIR;Acc:AT3G55360] MKVSVVSRSGREVVRGGVELKDSAKVADLQDAIYAKTKKYYPARQRLTLPIQPGKSGKPVVLSAKASLSEYCEKGSGSLTVVFKDLGPQVFYSTLFFFEYLGPLLIYPMFYYLPVYKYFGYEGERVMHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPVSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGILCQIANFYCHILLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLVVAASIMTNWALGKHRRLKKASTVSFFSCAEVLNNVIIAQIY >OGLUM01G03510.1 pep chromosome:ALNU02000000:1:2774447:2786552:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGRGAAVALNPSDGIRGAASGVDGGSSGSGTRRRRGSGLSWSKPLAMTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITESITSWKIYYNSLQVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >OGLUM01G03510.2 pep chromosome:ALNU02000000:1:2774447:2786552:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGRGAAVALNPSDGIRGAASGVDGGSSGSGTRRRRGSGLSWSKPLAMTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITEVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >OGLUM01G03510.3 pep chromosome:ALNU02000000:1:2774447:2786552:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MVMLKSFLEFVTEWRGWGQFGIGDATPTRIWPLAMTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITESITSWKIYYNSLQVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >OGLUM01G03510.4 pep chromosome:ALNU02000000:1:2774447:2786552:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MVMLKSFLEFVTEWRGWGQFGIGDATPTRIWIILVKAISHDVKAKPISSTSSSSISQLCNGSGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITESITSWKIYYNSLQVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >OGLUM01G03510.5 pep chromosome:ALNU02000000:1:2774447:2786552:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MAGVGSAVRRLYLSVYNWAVFFGWAQVLYYAVTTLLESGHEAVYAAVERPLQFGEVSSLCNSSTNWIKVVSYLGHPVELSRDTFTYPCYFLGHKLIIRYSFFGMKETFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKATEKYCLRMPNKWNFSFDFSYASILSLAVYVPGRGAAVALNPSDGIRGAASGVDGGSSGSGTRRRRGSGLSWSKPLAMTLKPNPSPRRLPPPSRSSAMAADGSVLRRLYFSVYNWVVFIGWAQVSLSMILALLDDGHEAVYAAIERHLLFAQTAAIMEILHSILGLVRSPVSSTLPQITGRLFITWGILWSFPETHSHILVTPLIISWSITESITSWKIYYNSLQVIRYSFFGIKESFGFTPSWLLWLRYSTFIVCYPVGMVSEVGLIYIAFPFMKASEKYCIGMPNKWNFSFDYFYFSTFLMALYVPVFPYLLRHMVAQRKKALSKAKTT >OGLUM01G03510.6 pep chromosome:ALNU02000000:1:2774447:2782415:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MAEPEVPGFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDLVNKDQIAKWILSFQVHPNTDNELDNGQFYGFCGSRTTQFPSTNMTDPCHNGSHLASTYSALAILKIVGYDLANIDSKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHVSQVEGHSVLLQLCA >OGLUM01G03510.7 pep chromosome:ALNU02000000:1:2774447:2782813:1 gene:OGLUM01G03510 transcript:OGLUM01G03510.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A5] MAEPEVPGFARERHVLFLEAMASELPADYASQEVNHLTLAYFAVAGLSLLRELDLVNKDQIAKWILSFQVHPNTDNELDNGQFYGFCGSRTTQFPSTNMTDPCHNGSHLASTYSALAILKIVGYDLANIDSKVLLSSMRNLQQPDGSFMPTHIGAETDLRFVYCAAAICSMLKDWTGMDKEKAKQYILSCQSYDGGFGLVPGSESHVSQVEGHSVLLQLCA >OGLUM01G03520.1 pep chromosome:ALNU02000000:1:2789151:2792176:1 gene:OGLUM01G03520 transcript:OGLUM01G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3A8] MAADGSMVRRLYLSIYNWVAFIGWAQVLCYMILALLDKGHEAVYAAIERPLLFTQTAAILEVLVFPSSLPLFIRENWRLRGLLRSPVSSTLPQITGRLFITWGILWSFPETQSYIFVTSLLICWCIIEVTRYSFYGMKESFGFTPSWLLWLRYSTFIACFPVGVVSEICLAYTVLPFMKASEKYCLRMPNKWNFSFNYFYANVFFMALYVPVVPYLFHHMIAQRKKALSKAKTT >OGLUM01G03530.1 pep chromosome:ALNU02000000:1:2798551:2799364:1 gene:OGLUM01G03530 transcript:OGLUM01G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEPKLRWTANAARQLGVWLPPGKIRSPPPDLGREHRASVDVLVPRRFGRRVHCGGGVNSTVRRVAWRRRNGLVVRGDMDNGFVAEPVVTLSGGAAVLSTCTFPDICPLATATLSAKVGHVGWASVGERASILLSHPLPIQPNCVDGAGVCRGSSFPMAIDWRHGAGAVAVRRRFSLVVAAERVKTLSWPSGTGDSGTFGVVPFLKVSSRRPSVSLVQWVLL >OGLUM01G03540.1 pep chromosome:ALNU02000000:1:2800850:2803051:1 gene:OGLUM01G03540 transcript:OGLUM01G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLKLPREMRSGPGQIAFLQWLCLAQSGPVHQSMMIWARDSPTPYWTKRLRPLNQPSMKWSFLPLGQRSRMIIREPLRRGFDTVVTLVAWTIWKECNGRVFNQQQRTWVDIVKGMAAETAVWCQANSAIPALILRRDFGSQNRPREINL >OGLUM01G03550.1 pep chromosome:ALNU02000000:1:2810341:2810556:1 gene:OGLUM01G03550 transcript:OGLUM01G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSGVVVALLKNGYEAVYAAVEQHLLFAQTAAIMELYNHFVSLLMEIERC >OGLUM01G03560.1 pep chromosome:ALNU02000000:1:2839598:2842123:1 gene:OGLUM01G03560 transcript:OGLUM01G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPLLTTLSMENTNSHPCTRLSMDPAGSHAASGDSSGGGGGGGSTGAGGGGGGGGGDRELFIIPRRESAHPGPPDINLPLSADPSPPPPPHPPSWGIDQFDMLDVGLGTQTYESEVALTLPKLTGNGNTAVGVGARKCAKRGDSIWGAWFFFNHYFKPALVEKPKGKVTRDSSGSVSGFEKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVSSPNLSAVPEAEMKRWAELTGKELNFSIPPEASDFESWRNLPSTDFELDRPLPPSSKITHGSHSHKKALNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFAHGADEDCVMANNSCSDREQEIEVHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIMVSMLFSDPHSVKVSWRNTLTHGIVKISCVSTARMPFVKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKLEAYYDETGTGLEIMVPKHRVGPEEHEVQESNK >OGLUM01G03570.1 pep chromosome:ALNU02000000:1:2843955:2848462:1 gene:OGLUM01G03570 transcript:OGLUM01G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:63 kDa inner membrane family protein [Source:Projected from Arabidopsis thaliana (AT2G28800) TAIR;Acc:AT2G28800] MAKALLSSSLLPSLQPRAAAARLPMLTLPSLRRHGGRRASACRVRASLHGLDSIAGLDLHAALERAEAALYTLADAAVVAADAAAGGGGGGGGGGGEAAASVAQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTVIVKAATLPLTKQQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLLPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQTDDPSQKNTLLVLKFLPFMIGWFSLSVPSGLSIYWFTNNILSTAQQVWLRKLGGAKPVVNQGGSGIITAGRAKRTSAQPAQPGERFKQLKEEESKRKGNKALAAGDSDLSASTSEDEESDDETTEEVNSVGQYGCVVDLGHNFDDQLGRLIFRCRTDTKHSSGTGVLLAHSKMKIVQYTTIGLRG >OGLUM01G03580.1 pep chromosome:ALNU02000000:1:2849700:2854097:1 gene:OGLUM01G03580 transcript:OGLUM01G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGPVSSQGSRRRRPWTALAIAVALLAVACVVLLLLSSGGAEQGGDRSRVVGVGGGAFIVTRDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGVPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPPVAGALKEAERDVLADPGLRTVFAPQGRILAAGEVCRNPALADTLEAVASGGVEAFYGGAIGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGTVGMALVLNILDGYKSLEFLKGFLGVHRFIEALKHMLAIRMALGDPDYVNVAGNVSQMLSPAFADKIRQRIVDNTTFLPSYYFPKWSQLNDHGTSHLCVVDGDRNAVAMTTTVLSVQNGQLAGVVGGSGGTNIIATAAQLVPNVVVYENETAVDGEVIELSGEAREFLRRRGHRLRSTGSGAVCQFIVQDLLAPVGSATDRRQHGGGNVFHGMLTAVSDPRKGGRPAGM >OGLUM01G03590.1 pep chromosome:ALNU02000000:1:2855413:2861335:1 gene:OGLUM01G03590 transcript:OGLUM01G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGAVSSPDSRRRRPCTALAIAAAALLALAACVVLLLASSGGWEHGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDAAVAATLCLGVVHPMSSGVGGGAFIVARDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGGVGVALILNILGGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNVTGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVVNNQMDDFSVPAEGTTPPDNLPPAPANFIAPGKRPLSSMTPTIILKALTNHVADDAVTLSSPSPVLTTTITVCGFVQDGQLAGVVGGSGGPFIIATVVQVFVNHFIVGMHPLDAVLNPRLVPNEVVYENVTVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGM >OGLUM01G03590.2 pep chromosome:ALNU02000000:1:2855413:2861335:1 gene:OGLUM01G03590 transcript:OGLUM01G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGLENPLLGGGAVSSPDSRRRRPCTALAIAAAALLALAACVVLLLASSGGWEHGDDRSRVVSGGGGGVRLSPHEVEAGVGAVATDDGRCSEVGAAALRAGGHAVDAAVAATLCLGVVHPMSSGVGGGAFIVARDAASGDAVAFDARETAPAAATPDMYAGNPTSKYKGALAMGIPGELAGLHAAWSRYGRLPWKDLFAPAIKLARDGFTVVPYLEIALKKTERDVLADPGLRAVLAPEGRILAAGEVCRNPALADTLEAIASGGVEAFYGGAVGERFVADVRRAGGIATVDDLRAYKVEVSDAMRADAMGYTFLGMPPPSSGGVGVALILNILGGYKSLEFLKGFLGLHRFIEAFKHMLAIRMDLGDPDYVNVTGNVSEMLSPAFADKLRQRIVDNTTFPPSYYFPKWSQLDDHGTSHLCVVDGDRNAVAMTTTENHLFGAHLLSPSTGIVDGQLAGVVGGSGGPFIIATVVQVFVNHFIVGMHPLDAVLNPRLVPNEVVYENVTVVDGEVFELSGEAREFLRRRGHRLTSTDSGAVCQFIVQDLLTPVAAAGDENVFHGMLTAVSDPRKDGRPAGM >OGLUM01G03600.1 pep chromosome:ALNU02000000:1:2861773:2866620:1 gene:OGLUM01G03600 transcript:OGLUM01G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAADHLEALSLEIERKLQKALNSNSQRIQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILDLIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQDHLLASFLEHFPTFPNSFLVGGPADYYVIELTDQLQKLKVEPVLLHYLSRLTILQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >OGLUM01G03600.2 pep chromosome:ALNU02000000:1:2861773:2866620:1 gene:OGLUM01G03600 transcript:OGLUM01G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRARAADHLEALSLEIERKLQKALNSNSQRIQLLQQLFADIALKVDDRARDVILSTNDDGIAPVDEREDTRLCFYEILANHFVKVPESGRRILDLIVQLWSQSFAANIFALLFHRWLFEVPLDGKEVSLRYSSALVQGATNYLLEEVALVPDQLSKISVQAGRGLFLLLSRFMLFYDQGLELRMSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPVGRYPRHVISLFFRLLYPWYWPSSCWNFIMTCVKTVYYYILNLIVSSWENMRRPNHQRMHRD >OGLUM01G03610.1 pep chromosome:ALNU02000000:1:2870778:2874555:1 gene:OGLUM01G03610 transcript:OGLUM01G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTAIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFSDQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >OGLUM01G03610.2 pep chromosome:ALNU02000000:1:2871154:2874555:1 gene:OGLUM01G03610 transcript:OGLUM01G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSLITGKAGASGFGSGSTAEQVTAGVDATGLTAIVTGGASGIGLETSRVFAMRGAHVIIAARNTEAASVVRKKIIEENPKAHIDVLKLDLSSLKSVRAFSDQFNSMNLPLNILINNAGVMFCPFGLSEDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHLHTYPKGIEFDKLNDEKTYDDKMAYGQSKLANILHAKELSRRLKEEGANITINCVHPGLIMTNLMRHSFFLMRVLQFATYILWKSVPQGAATTCYVGLNPQLKGVTGQYFADCNVEKTSRFARNDALAKQLWEFSEKLIKSSSK >OGLUM01G03620.1 pep chromosome:ALNU02000000:1:2874437:2875245:-1 gene:OGLUM01G03620 transcript:OGLUM01G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSLSVSGILARHPVLFYAATWTAVATAAVSVAAFAPELAFVWAVTPSTGAGAAPLAAGCPGGIVLPLDGPPWDAACVPAALFGRVTLDVLVPPVFAAAVVSAALWFTKAVGVWEDDDDEDDSNEATMLDKCSVKVYTDSKIDSLSWPSLLLIQMIRSCIQAKQIHGQ >OGLUM01G03630.1 pep chromosome:ALNU02000000:1:2875935:2878852:1 gene:OGLUM01G03630 transcript:OGLUM01G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15200) TAIR;Acc:AT3G15200] MPPPASAILSAACRSSRTLFVLRRLGFLGSCTPTVRQNDSEELPGAGLHPRFHQSVLPIHCVLGSLRLCHSTALDGSKDVHVSEIVKILKSRDGDSELADVLNQFADEMDEDVVLKVLQKQRSNWKVALSFFKWAAGLPQYKHGSRAYTEMLDILGRMKKVRLMRQLFDDIPVESRQSVVTNRMFAVLLNRYAGAHKVQEAIDMFYKRKDYGFELDLVGFQILLMSLCRYKHVEEAEALFLQKKDEFPPVIKSWNIILNGWCVKGSLADAKRVWNEIIASKLKPDLFTYGTFINSLTKSGKLSTAVKLFTSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRGCQADVATYNTLIKHFCKINRMEKVYELLDDMEVKGVSSNNMTYSYILKTTEKPKDVVSLMQRMEKSDCRLDSHTYNLILNLYVSWDCEKGVQLVWDEMERNGSGPDQRSFTIMVHGLHSHGKLDEALQYYRTMESRGMTPEPRTKLLVKAIRMKKDEPATEEQPLTRKNLKLDPISRLFHVRK >OGLUM01G03640.1 pep chromosome:ALNU02000000:1:2882355:2885048:1 gene:OGLUM01G03640 transcript:OGLUM01G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALASFLLLLFLHFMAVQADGEDAAALLAFKAAAVGNGGGNGVLASWNGSAGPCSWGGVTCGRHRRVVALSLPGHDLSGTLSPAVGNLTWLRKLDLSYNWLHGGIPASLGQLHRLRELDLSFNTFSGEVPSNLTSCTSLEYLALGSNKLAGHIPSELGNTLTRLQVLGLDNNSFVGHWPASLANLTSLQYLSLRMNSLEGTIPPEFGSNMPSFHILDVCSNNLSGALPSSLYNLSSLTDFDAGNNKLNGNIPTDIDEKFPHLRSFAVFNNQFSGEIPSSFTNLTNLTSLQLSENRFSGFVPRDLGRLNALQNLQLGVNMLEAGDIKGWEFVESLTNCSKLETLVLSDNNFTGQFPISIVNLSTTLQTLYLGGSRISGSIPSDFGNLVGLRSLYLFSTDISGVIPESIGKLENLTTLYLNNNSLSGHVPSSVGNLTNLMKLFMQGNNLEGPIPANLGKLESLNVLDLSRNHFNGSIPKEILELPSISQYLNLSYNSLSGPLPSEVGSLTSLNELVLSGNQLSGQIPSSIKNCIVLTVLLLDSNSFQGTIPVFLGDIKGLRVLNLTMNKFSGVIPDALGSIHNLQELYLAYNNLSGPIPAVLQNLTSLSMLDLSFNDLQGEVPKEGIFKNLSYLSLAGNSELCGGISHLNLPPCSTHAVRKRSKGWLRSLKIALASIAVVLFLALVMVIIMLIRRRKPVHRKKGQSLTPVVEEQFERVSYQELSNGTKGFSQNSLIGKGGYGVVYKCTLFDEEIIVAVKVFNLEQSGSTRSFVAECEALRSVRHRCLLKIITCCSSINNQGQDFKALVFEFMPNGSLNGWLHPKSDMPIVDNTLSLTQRLDIAVDIVDALEYLHIHCQPPIVHCDLKPSNILLAEDMSARVGDFGISRILTESASKT >OGLUM01G03650.1 pep chromosome:ALNU02000000:1:2890792:2897445:-1 gene:OGLUM01G03650 transcript:OGLUM01G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKAEKSSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAICNRGRSSNAFARTYVRTPMADDGGIIAALPEDVLLQVLSRVADVKSLFMLAATCRRWLRRFTDRAFLLDLWGGQRAGDLLGFFFHLQRIKVSTFGFLPVPSSPLRPLASSGSSNSVQPLAARRGVLLMRLPITRLLFLSNPVTGERHVVPRLEESDLGPYRVTSYAIIVSDDLAGKPQQPASSGRFTFSQLLHANSITMYVNSYSVVGGWAGPAEFLDLLRFSLAGRSTPSAVVHRGAAHWLCTDDVASATRGDRLYKLSVEVGVPAAATPRVSMTNLPVRAGGATATLLCVGGDGELTIACVFPMHVRIWKQQRRGDGDDDDAAAWRRDVMWMTLPAPYPYCVPLMHGLDMGSVAMMYRSSGAVFVVDLDKKVIDKAMDCFLPLRIGREMDLPPVPYEMDLVEFFLLQLVWRHYTS >OGLUM01G03660.1 pep chromosome:ALNU02000000:1:2898345:2899077:1 gene:OGLUM01G03660 transcript:OGLUM01G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRMTFSGIQWICTRLGLISIPPRKADPTIWLQEEENDSDVKHTSTKTIIIQQCLARHIVLKATTRERIVLSEAVSEMNISGLGCLAMKNIAL >OGLUM01G03670.1 pep chromosome:ALNU02000000:1:2900115:2904058:1 gene:OGLUM01G03670 transcript:OGLUM01G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSELLQQGGRGEKWNQKAAIYLQPETKLCSKDAYQIKIHMAIRLMRRLGLPLLPVLLTIAAASSSDEASLLAFKAGLTGSNSSALASWNSSGASFCNWEGVTCSRRRPTRVASLSLPSSNLAGTLSPAIGNLTFLRRLNLSSNGLYGEIPTSIGRLRRLQWLNLSYNSFSGAFPVNLTSCISLKILDLDYNQLGGIIPVELGNTLTQLQMLLLTNNSIIGPIPPSLANLSSLQYLYLDYNNLEGLIPPCLGNFPVLHELSLEANMLTGEFPHSLWNLSALRVIGVGLNMLQGSIPANIGDKFPAMRFFGLHENRFHGVIPSSLSNLSRLTDLYLANNNFTGFVPPTLGMLHSLMYLHIGTNQLEADNRKGWEFVTSLANCSQLQELMLSHNFFGGQLPRSIVNLSMTLQMLDLENNSFSGTIPHDISNLIGLRLLDLGFNPISGVIPESIGKLTNLVDLALYNTGLSGLIPSTIGNLTKLNRLLAFQTNLEGPIPATIGRLKNLFNLDLSFNRLNGSIPREILELPSLAWILDLSYNSLSGHLPSEVGTLANLNQLILSGNQLSGQIPNSIGNCEVLEFLLLDNNSFGGDMPQSLTNLKGLNVLNLTVNKLSGRIPNAISNIGNLQYLCLAHNNFSGPIPAALQNLTLLKQLDVSFNNLQGEVPVKGVFRNLTFSSVVGNDNLCGGIPQLHLPPCPILDVSKNKNQHLKSLAIALPTTGAMLVLVSVIVLIMLHNRKLKRRQNRQATSLVIEEQYQRVSYYALSRGSNDFSEANLLGKGRYGSVYRCTLDNEDALVAVKVFDLQQLGSSKSFEAECEALRRVRHRCLIKIITCCSSIDPQGQEFKALVLEFMPNGSLDGWIHPKSSKCSPSNTLSFSQRLNIVINIFEAMDYLHNHCQPSIIHCDMKPSNILLAEDMSAKVGDFGISKILPKSTTKIHLNSKSSIGIRGSIGYIAPEYGEGSAASKLGDIYSLGIMLLEMFTGTSPTDDMFKDSLNLHEFATAAFPDRALEIADQTIWLHETNYTDATDASMTRGIIQQSLVSLFGLGISCSKQQPRERMVLADAVSKIHAIRDEYFKSRMVGQRAIEH >OGLUM01G03680.1 pep chromosome:ALNU02000000:1:2904576:2906568:1 gene:OGLUM01G03680 transcript:OGLUM01G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLPSSDLAGTLSPAIRNLTFLWRLNLSSNDLHGEIPQSVSRLRRLRVLDMDHNAFFGEFPANLTTCVRLTTVYLQYNQLGDRIPGIAINSNHLEGMIPPGIGSIAGLRNLTYASIAGNDKLCSGMPQLHLAPCPILDSLTCLAKEDYGSVYRCALEDEGASVTTAVKMFNLQMSGSSRSFEAECEALRRVRHRCLIKIITCCSSIDQQGQEFKALVFEFMPNEDKSAKVGDLGISKILPNSTTKTLQNSKRSIGIGGSIGYIAPEANETDVTNASTKRRIIQQCLVAVLRLGISCSKQQPRDRVLLADAVSEIHAIRDEYLRSWMVGIELNVG >OGLUM01G03690.1 pep chromosome:ALNU02000000:1:2907424:2908519:-1 gene:OGLUM01G03690 transcript:OGLUM01G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTAVRLVLPGELAKHALLSGTVSKKYSDKEMHVFSFRSEFLSAASL >OGLUM01G03700.1 pep chromosome:ALNU02000000:1:2911595:2912841:-1 gene:OGLUM01G03700 transcript:OGLUM01G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDIMAALPEDILLQVLSRVGNVKSLFRLAASCRRWLRRFTDRAFLRDLWGGHRAGDLLGFFFHRQRNSASTFGFLPAPPLRPLIPSSYDGEPLTARRGILLMRLSPSNDWSEATSHLLGLFNPITGEHHVLPHLKGPSNLGSFAVTSCAIIFSDDLAGKQPQPPSSGRFMFSQLFVTTKHKSIKTVYLHSYSATRSSWAAPAVFLDLRRFSLSSAVVHRGAAHWLCTDHVARATRDDYLYKLSVEVGGTATATATPRVSMTKLPVLDGGTPTPLLCVGGDGELTIVCVFIMHVRVWKQQRRGDVDGDGDDAAAWRRDVIWMPTEGIGGHDVQYPKSYMLEKAMDCLLPLRMDHSLDRPPVPYEMDLVEFFLLQLGGLCRGDLQDNQSIN >OGLUM01G03710.1 pep chromosome:ALNU02000000:1:2913276:2913737:-1 gene:OGLUM01G03710 transcript:OGLUM01G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3D0] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >OGLUM01G03720.1 pep chromosome:ALNU02000000:1:2914458:2914676:-1 gene:OGLUM01G03720 transcript:OGLUM01G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLDYLGKLHVRQDVLRTYYVATHRCSAVNASRSPMTPPRLCHHGDVQVPSCHHGDGTPFSSRKWRYGGS >OGLUM01G03730.1 pep chromosome:ALNU02000000:1:2916301:2919863:1 gene:OGLUM01G03730 transcript:OGLUM01G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVSLRLELSLLFILTPIVAAVAGGGGGSRSSDEATLLAFKAAFRGSSSSALASWNSGTSFCSWEGVTCDRRTPTRVAALTLPSGNLAGGLPPAIGNLSFLQSLNLSSNELYGEIPPSLGRLRRLQILDLGGNSFSGELPANLSSCISLKNLGLAFNQLGGRIPVELGNTLTQLQKLQLQNNSFTGPIPASLANLSSLQHLHMDNNNLEGLIPPELGKAAALREFSFEQNSLSGIFPSSLWNLSSLTMLAANGNMLQGSIPAHIGDKFPGMQHFGLADNQFSGVIPSSLFNLSSLTEVLLDGNIFSGFVPTTVGRLRSLQRLYLYGNRLEANNRKGWEFITSLTNCSQLQQLVIGNNNFSGQLPNSIVNLSTTLHKLYLNNNSICGSIPEDIGNLIGLDTMDLGFTSLSGVIPASIGKLSNLVEVGLYNTSLSGLIPSSIGNLTNLNRLYAFYTNLEGPIPASLGKLKRLFVLDLSTNRLNGSIPKEILELPSLSWYLDLSYNYLSGPLPIEVGTLANLNQLILSGNQLSGQIPDSIGNCQVLESLLLDKNSFEGGIPQSLTNLKGLNILNLTTNKLSGRIPDTIGRIGNLQQLFLAQNNFSGPIPATLQNLTMLWKLDVSFNNLQGEVPDEGVFKNLTYASVAGNDNLCGGIPQLHLAPCPIIDASKNNKRWHKSLKIALPTTGSILLLVSATVLILLCRKLKQRQNSQATFSGTDEHYHRVSYYALARGSNEFSKANLLGKGSYGSVYRCTLQDEGAIVAVKVFNLQQSGSAKSFEVECEALRRVRHRCLIKIITCCSSINPQGHEFKALVFDYMPNGSLDGWLHPVSGNPTSSNTLSLSQRLGIAVDILDALDYLHNHCQPPIIHCDLKPSNILLAEDMSTKVGDFGISRILPESIAKTLQHSNSTVGIRGSIGYIPPEYGEGSAVSRLGDIYSLGILLLEIFTGRSPTDDMFKDSVDLHKFASVAFPDRVLEIVDRTIWLHEEAKSKDITDASITRSIVQDCLVSVLRLGISCSKQQAKERMLLADAVSKMHAIRDEYILSQVVKK >OGLUM01G03740.1 pep chromosome:ALNU02000000:1:2916722:2934537:-1 gene:OGLUM01G03740 transcript:OGLUM01G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3D3] MAPKAEKKPAAKKPAEEEPAAEKADKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQELDMEPSEAEELRLSGNAPETELLPRSRIRRCWRRPRLGGISPWSLLELRLSHRRKVRFPMAGGSVPARLLDGRLSPTTLVGLWRLHLTPSQLQKLESQMEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTKLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDGTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGTHRSKAKLPLAEKKRAASMAPKAEKKPAAKKPAEEEPAAEKADKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKALHRDAAAKLVKGEAQVLQADAGAQIGRELTGKRVASKVQDL >OGLUM01G03740.2 pep chromosome:ALNU02000000:1:2924943:2934537:-1 gene:OGLUM01G03740 transcript:OGLUM01G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3D3] MAPKAEKKPAAKKPAEEEPAAEKADKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQELDMEPSEAEELRLSGNAPETELLPRSRIRRCWRRPRLGGISPWSLLELRLSHRRKVRFPMAGGSVPARLLDGRLSPTTLVGLWRLHLTPSQLQKLVLMEKGEH >OGLUM01G03740.3 pep chromosome:ALNU02000000:1:2916722:2924871:-1 gene:OGLUM01G03740 transcript:OGLUM01G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3D3] MEAMDDMAALTEDILLQIFSRVGSIKDLFKFAVTCRRWLRRFTDPAFLRGLCLDSGEGHRARLLGFFFQQTRFYRCEKMIKMRVTQQSSVCPPTFLPAPWSPLGLTDRTLTSFLATDDDTFNYAEPLAARCGIVLMRLVPRTALMIACSHLLGVCNPITGECHVLPPLNLSGLHRYLTSYAIITSTDSDLDGKQPPSSSSSGRSTFSQLYLVVQHKKDCNEYFYSYSAATRSWSAPTMCVDGRRFSLVGERSAVVHKGAAHWLFIDRVSSATQDDILYKLTAAVDTSEISLTKLPFGAGGSPLLCVSGDGKLSVACVFPIHMRVWTQQDGTPATWLRTVIRIPLAVPYPDYSHICQPREKWFNFNRGSMLVLYRSNGVFILDLEKKVMEKVMDCLLPLFSDKLNRTAVAYEMDLVEFFVLQLSGLCRGTHRSKAKLPLAEKKRAASMAPKAEKKPAAKKPAEEEPAAEKADKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKALHRDAAAKLVKGEAQVLQADAGAQIGRELTGKRVASKVQDL >OGLUM01G03740.4 pep chromosome:ALNU02000000:1:2916722:2934537:-1 gene:OGLUM01G03740 transcript:OGLUM01G03740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3D3] MAPKAEKKPAAKKPAEEEPAAEKADKAPAGKKPKAEKRLPAGKGEKGSGEGKKAGRKKGKKSVETYKIYIFKVLKQAMSIMNSFINDIFEKLAGESAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKALHRDAAAKLVKGEAQVLQADAGAQIGRELTGKRVASKVQDL >OGLUM01G03750.1 pep chromosome:ALNU02000000:1:2927377:2928052:1 gene:OGLUM01G03750 transcript:OGLUM01G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKYLSAGIGDCELPYTSTSPTSAPRHFAIAIGTEEEDRFKQEKALKGVLKETRTLVRASEDRNGGGLTMRATGGSDDDGKAAVAGVSSGAPRPCQQLPYVKNYVTHFTDHRVWRTAHTVLCT >OGLUM01G03760.1 pep chromosome:ALNU02000000:1:2928267:2931795:1 gene:OGLUM01G03760 transcript:OGLUM01G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLLSLISVLTIAGGSTDEATLLAFKAGLSSRTLTSWNSSTSFCNWEGVKCSRHRPTRVVGLSLPSSNLAGTLPPAIGNLTFLRWLNLSSNRLHGEIPPSLGRLQHLRILDLGSNSVSGAFPDNLSSCISLINLTLGYNQLSGHIPVKLGNTLTRLQKLHLGNNSFTGPIPASLANLSSLEFLKLDFNHLKGPIPSSLGNIPNLQKIGLDGNSLSGEFPPSIWNLSKLTVLQVYENKLKGSIPANIGDKLPNMQHFVLSVNQFSGVIPSSLFNLSSLTDVYLDGNKFSGFVPPTVGRLKSLVRLSLSSNRLEANNMKGWEFITSLANCSQLQQLDIAENSFIGQLPISIVNLSTTLQKFFLRGNSVSGSIPTDIGNLIGLDTLDLGSTSLSGVIPESIGKLADLAIITLYSTRLSGLIPSVIGNLTNLNILAAYDAHLEGPIPATLGKLKKLFALDLSINHLNGSVPKEIFELPSLSWFLILSDNTLSGPIPSEVGTLVNLNSIELSGNQLSGQIPDSIGNCEVLEYLLLDSNSFEGGIPQSLTKLKGLAILNLTMNKFSGSIPDAIGSMGNLQQLCLAHNNLSGSIPETLQNLTQLWHLDVSFNNLQGKVPDEGAFRNLTYASVAENDKLCGGIPRLHLAPCPIPAVRKDRKERMKYLKVAFITTGAILVLASAIVLIMLQHRKLKGRQNSQEISPVIEEQYQRISYYALSRGSNEFSEANLLGKGRYGSVYKCTLQDEGEPVAVKVFDLKQLGSSRSFQAECEALRRVRHRCLTKIITCCSSIDPQGQEFKALVFEYMPNGSLDGWLHPTSSNPTPSNTLSLSQRLSIVVDILDALDYLHNSCQPPIIHCDLKPSNILLAEDMSAKVGDFGISKILPKSTTRTLQYSKSSIGIRGSIGYIAPEYGEGSAVTRAGDTYSLGILLLEMFTGRSPTDDIFRDSMDLHKFVAASFLESTMNIADRTIWLHEEANDTDGTNASTKRRIIQQCLVSVLRLGLSCSKQQPRDRMLLPDAASEIHAIRDEYLRSWMVENEQSTLN >OGLUM01G03770.1 pep chromosome:ALNU02000000:1:2936447:2940968:-1 gene:OGLUM01G03770 transcript:OGLUM01G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59900) TAIR;Acc:AT5G59900] MVKLLADILHHTPPSTWPSALAAPLLRGRLAAAHVSSLLLLPPSLSRPDLSRRFLLLLPPHLVSPVSLSLLALSFLSSPSSSSSSFSSRHAASLLLSLASSAPSASSSFSSLSHASSLSAFTPGAASTAAALLASSYLRLRRARDAAAVLGLSLSSGITVNQYTASQILFSLVKIRQFALARDLFDKMLQSGVRLDEYVYTAGIRAYCESRNLDGARGLVVRMESEGVKASAVPYNVLMYGLCKNMHVQEAVEVKNGMVDRGVTADEVTYRTLVYGFCRMEELEMALRITHDMISLGFVPSEANCSFMIDELRKKELVEEAFSLACKLGDLGMVPNVFAYNALIDKLCKNERFDDADRLFKGMAGRGLEPNEVTYAISIHALCKRGMIEDALCLFDKMRDKGIKVTVYPYNSLINGYCKQGSLDRARGLLSGMVKEGLTPTAASYSPLIAGLCRNGDLSSAMELHKEMAERGIAWNNYTFTTLINGFCKDKKMDEAARLFDKMIDSNVIPNEVSFNVMIEGYCLVGNIRKAFQLYDQMVEMGLKPDNYTYRSLISGLCLTSGVSKANEFVADLENSYAVLNNFSLTALLYGFFREGRFTETYHLWDEMAVRGVKLDLVSFTIIVYAALKQHDKEKSCVLFREMKEQGVKPDDIFYTCMIDALSKEENMMQALNCWDQMVVDGYSPNTVTYTVLINNLCKSGYLGSAELLCKEMLAGNVLPNKFTYNCFLDYFATEGDMEKAKDLHSAMLQGHLASIVSFNILIKGLCKAGKIQEAIDLTSKITESGFFPDCISYSTIIHELCKMGDINKAFELWNEMLYKGLKPDVVAYNIFIRWCNVHGESDRALRIYTNMIRSGVQPNWDTYRALLCFRGTKQYIQISVYELMDSTHNKSHRRIWFVDDHKSGEIILEFNDRLNKWGVISLRSDVKVKKLSPGPQVSFPTHQCGYMPTSPSLLLPVPWIMIHERGKEKAYGRKCSGLLLTERFILDDTSITVSGFVYTQ >OGLUM01G03780.1 pep chromosome:ALNU02000000:1:2950028:2950495:1 gene:OGLUM01G03780 transcript:OGLUM01G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3E0] MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKGEKGSGEGKKDRAGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSA >OGLUM01G03790.1 pep chromosome:ALNU02000000:1:2951415:2960571:1 gene:OGLUM01G03790 transcript:OGLUM01G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTAASTSSASCSPSPLRTLAATDAATPPPEEEAAAPAAEAKRERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEIETPVLSKSTPEGARDYLVPSRVQPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRS >OGLUM01G03790.2 pep chromosome:ALNU02000000:1:2951415:2960571:1 gene:OGLUM01G03790 transcript:OGLUM01G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class II (D, K and N) family protein [Source:Projected from Arabidopsis thaliana (AT4G33760) TAIR;Acc:AT4G33760] MASSLLRASPLSLLSRLKPLNPSPTASHLRRLLLLSTAASTSSASCSPSPLRTLAATDAATPPPEEEAAAPAAEAKRERLQPLQWPARDALCGELGAGDAGRRVRLCGWVALHRAHAGLTFLTLRDRSGTVQVTTLPEYPEVYAVVNKLRVESVVAVEGVVRSRPTEAINTDMKTGAIEVAADNIYVLNSVTRSLPFPITTADTVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKHIRRYLEDRHDFVEPGMFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEELMRHIFQEVGDIKLPNPFPRLTYTEAMNRYGTDRPDLRFDWQLKDVSDAFLGSSFKIFADTLENGGVIKALCVPGGAKEFSNTDLKKGTVYTEASKAGAKGLPFLKVMDNGELEGIGPLVSSLKPEKKEQLLKHLDAKSGDLILFALGEQSAANRILGRLRLFIAHKLEVIDTSAHSILWVTDFPMFEWNSDEQRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLECFDMGAPPHGGIAYGLDRLVMLLAGENSIRDVIAFPKTTTAQCSLTKAPSPVDPQQLKDLGFRS >OGLUM01G03800.1 pep chromosome:ALNU02000000:1:2963842:2965100:1 gene:OGLUM01G03800 transcript:OGLUM01G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTALSMKLLVDTNAQRVLFAEARKDVVDFLFSLLALPVGTAVKLLGKDSMVGCVGNLYASVERLDDTYVQADAAKDALLSPVVLSPAASSNTSVLRLPAPSSSQPKSFFRCGSYSCVYYVTDVSGVKCPSCGSQMATACTYAAPTAAQKLQAAAAEGAAKGFVQGIVTYTVMDDLTVSPMSSISSITLLNTFAVKDLGALKEKTVQLGYTEGLAILRASLQSKTVLSDVFLARRPAKQA >OGLUM01G03810.1 pep chromosome:ALNU02000000:1:2965111:2980633:-1 gene:OGLUM01G03810 transcript:OGLUM01G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETTSSKALSMKLLVDSKAQRVLYAEAGKDVVDFLFSLLTLPVGTVVKVLSKDSMVGSIGELYASVEDLDATYVPSADARNVLLAPAGGFDTGKLLQLPETAAPLATKLYRCSSCDYNGCYDYVSTVSGLRCQIARCPGKMTVAMKLVVSSTSTTATGSASGGEAAQPAYAVAGTGFVQGVVTYTIMDDLRVAPMSTISGITLLTTFGVTDITSLQEKTVQIGYTEGLAMLKASLQSKTVLTDINAAAPPPASTELYRGSASRFGDCYNYVSTVSGLPCQLPQCSGKMSLPVKHVMVSSTTIAGSTGGEVPATASAPSAIAGTGFVQGLVTYAVMDDLKVAPMSTIALVKSGVTHIKSLQEKTVQIGYTEGLAMLKASLQSKTVLTDVFLGKKRKKWPFVLVLLLFILLAGKPQAQKASSDLMSMTLLIDTKAQRVLYAEARKDVVDFLLSLLALPIASGIKLLGKGSMVGCVGNLYASVERLDDTYVQADAAKDALLSPVVLSPAASSNTSVLRLPAPSSSQPKSFFRCGTYNCVHYVTDVSGVKCPSCGSQMATACTYAAPTAAQKRQAAAAEGAAKGFVQGIVTYTVMDDLTVAPMSSISSITLLNRFAVKDLGALKEKTVQLGYTEGLAILKASLQSKTVLTDGFTNDMGNAAAAPSSCASADAAPSTTPTIKLLIAKEAQVVLFAEAGKDVVDFLVGLLAMPVGAVVKLLAGENALGGVANVYASVRRMDAAYMQSAEARDALLNPAPAHPCLAATAGGFPSLVQPPRVQAPYVAPPPPPPPPPGHPAYQCSTIRPTTPSLPSLKAAFPPFGAGMSSDTGCRCSTCLAAAQTGKGFVRDVVTYTVMDDLTFMPMSSISSIALLSKLGVEDLSALEEKTVKIGYQEGLEILKASLQSKTVLTDVFLNRKKKARAGDKHHRSGDKNVDARATSEKKDAAAAVQMEKSAPPMPHDFDERRKTTARGEFIDCSSKRDASLDRSSPKSKGKPDNTGSN >OGLUM01G03820.1 pep chromosome:ALNU02000000:1:2968697:2969728:1 gene:OGLUM01G03820 transcript:OGLUM01G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGDAAPLPPHVVEDFFGVIQLLSDGSVVRADDAALLPMPELQDVPGVQWKDAVYDATNGLRVRVYKPAAAAAAAGDDGGELPVLVYFHGGGYCIGALDQSPFHTFCLRAADELPAVVLSVQYRLAPEHRLPTAIDDGAAFFSWLRGAGSADPWLAESADLARTFISGVSAGANLAHHVAVRVASGGQPVVADVDPVVRVAGYVLLDAFFGGVERTAAEANPPADVSLLTVEMADQFWRLALPAGATRDHPVANPFGPESPSLEAVALPPALVVASGGDVLYDRVVGYAARLKEMGKAVELVEFQGAQHGFSVIQPWSPETSEVIQVLKRFVHKAIRPAEG >OGLUM01G03830.1 pep chromosome:ALNU02000000:1:2982897:2993131:1 gene:OGLUM01G03830 transcript:OGLUM01G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKPVVCSSSSTLHRHSPPSSSQAGGCGSGGGGGGDPSGKIMAMKTLSIKLLIDTKAQKVCFAEAGNDVVEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSYNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKKKESCKRENWFRSYYYYYPCSSIIVLDSSPSPPSSLPSQDGGSGSGGHQRRSIVGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISNQSKERFLSPTVAPTVLCPLQQLLQDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHKAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVNLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >OGLUM01G03830.2 pep chromosome:ALNU02000000:1:2982867:2993131:1 gene:OGLUM01G03830 transcript:OGLUM01G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKPVVCSSSSTLHRHSPPSSSQAGGCGSGGGGGGDPSGKIMAMKTLSIKLLIDTKAQKVCFAEAGNDVVEFLASLLCLPMSTIINLLTKERMVGSMGNVLDSMEKLEDKHVTTNQSKQRYLSPTAAPNALCPLQQLLDTELNANTSFFTCEGKLNSTSYNATRFACGYFSVVKGSICPLCYTPMHKAIPHVNTSRVMAGTGTYTIKDDLSMTPASSVSSISLLAQCGVKDLTTLQERTMKIGKEEALEILLASLKSKTVLTDVFLPKKKESCKRENWFRSYYYYYPCSSIIVLDSSPSPPSSLPSQDGGSGSGGHQRRSIVGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISNQSKERFLSPTVAPTVLCPLQQLLQDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHKAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVNLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >OGLUM01G03830.3 pep chromosome:ALNU02000000:1:2982897:2993131:1 gene:OGLUM01G03830 transcript:OGLUM01G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKPVVCSSSSTLHRHSPPSSSQAGGCGSGGGGGGDPSGKIMAAIRLSMKLLIDTKAQKVCFAEAGSDVIEFLSCLLCLPMSTIINMLTKERMVGSMGNVLDSVEKLDSKYVISNQSKERFLSPTVAPTVLCPLQQLLQDAKLNVNASFFTCEGKSTVVSYSTTKVPCGYFSVSKGAVCPVCSTQMHKAIPHVKTVGFVVGTATYTVRDDLSMTPASSVSSVNLLAQCGVKDLSALQERTVKIGKEEALEILLASLKSKTVLTDVFLPKRKVSCKREASDRLTNAN >OGLUM01G03830.4 pep chromosome:ALNU02000000:1:2982733:2985293:1 gene:OGLUM01G03830 transcript:OGLUM01G03830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVSCKREAAT >OGLUM01G03830.5 pep chromosome:ALNU02000000:1:2982733:2985293:1 gene:OGLUM01G03830 transcript:OGLUM01G03830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAELSIKLVIDTKAHKVCFAEAGSDFVEFLSSLLCLPVSNIINLLTKEHMVGSIANVFDSVEKLDADHVISNESKEPYLKPMVAPGALCPLQQLIDAQLNTDTSFFTCEGKLNHYHGIRVACGYFTVMKGSICPKCGYAMEKAMAHVKATGFVVGTARYTVKDDLSIVPASSVSTISLLAQCGVKDLSTLQERTVKIGKEEALEILLASLRTKTVLTDVFLLKKKVSCKREAAT >OGLUM01G03840.1 pep chromosome:ALNU02000000:1:2994391:2998333:-1 gene:OGLUM01G03840 transcript:OGLUM01G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHAAPAPHVVEDYRGVIQLLSDGTVVRSDAGAGAGALLPPEDFPDVPGVQWKDLVYDATHGLKLRVYRPPTAGDAERLPVLVCFHGGGYCLGTFEKPSFHCCCQRLASELRAVVLSADYRLGPEHRLPAAIDDGAAVLSWLRDQAMSGPGADSWLAESADFARVFVAGESAGGNMSHHVAVLIGSGQLTVDPLRVAGYMLLTPFFGGVERAPSEAEPPAGAFFTPDMSDKLWRLSLPEGATRDHPVANPFGTDSPSLAAVAFPPVLVVVAGRDILHDRTVHYAARLKEMEKPVELVTFEEEKHLFLSLQPWSEPANELIRVMKRFIHKDEVLLNDAMDRGPSIIAGWNEPTVHTEYVPRSRRHRLLCPFIRRGSESTYSLMSTMSGSGDDAAPHVVEDFYGVVKLLSDGSVVRGDESVLIPSWKDVVYDATHGLRVRVYTPRTAAAAAAGDDGGKLPVLVYFHGGGYCMGALDQSICHGFCLRAAYELPAVVLSVQYRLAPEHRLPAAIDDGAAFISWLRGQAALGAGADPWLAESADFARTFISGLSAGANLAHHVTARVASGQLAAVDPARFAGYVLVDPFLAGVERTAAEANPPADVSTLTVEMADQMWRMSLPVGATRDHPVANPFGPESPSLEAVALPAALVVASGGDVLYDRVVDYAARLKEMGKAVELAEFEGEQHGFSAAKPSSPATKEFIRVLKRFVHQGI >OGLUM01G03850.1 pep chromosome:ALNU02000000:1:3001159:3001344:1 gene:OGLUM01G03850 transcript:OGLUM01G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3F2] MTVVDDELTEARGLGSPAVGRAQGFYIASSEEGVSQTVAVTAMSEFADSISFFGVHGAPHG >OGLUM01G03860.1 pep chromosome:ALNU02000000:1:3003851:3004126:1 gene:OGLUM01G03860 transcript:OGLUM01G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARGRKERAPRGYVPILIGGQGEERERILVRTEQLKQPHFLALLDLAVQEFGYEQRGILCIPCTTKAFRSIVGAATPTAAAVAGGEIKS >OGLUM01G03870.1 pep chromosome:ALNU02000000:1:3004948:3007440:-1 gene:OGLUM01G03870 transcript:OGLUM01G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3F4] MPPLLYNLFVLIVVFLGGGAPACSAATDTVTPGHVVGGEDKVVSNNGKFALGFFKAPAPNQDKWFLGIWFNTVPNRTTVWVANGGEPIMDADAAGSPELTISGDDGDLVALHPTTKSIAWSTSVSAKNTTSNSNTAAVLLNSGNLVLQDTSNMSQPRTLWQSVDHPTDTLLPGAKLGRDKLTGLNRRLVSKKSMAGPSPGAYCFEVDEDTPQLVLKLCNSSITYWSSGPWNGQYFTGIPELIGNSPGFHLGFFDNSREEYLQFNVSNEAVVTRNFIDVDGRNKQQVWLDSSQSWLTLYSNPKVQCDVYGVCGAFSVCSFSLLPLCSCMKGFTVGSVKDWEQGDQTGGCVRKNQLDCVGSNTSSSDSTDKFYSMSGIILPDKAESMQDIDSSDECMKVCLNNCSCTAYSYGSKGCLVWHTELLNAKQQQQNSNGEIMYLRLSERDMQRSKKRRVIIGVVVGACAASLAVLMFILMFIIRRNKDMNRSENYGSLVAFRYKDLRSATKNFSEKIGEGGFGSVFRGQLSDSTSIAVKRLDGRSQGEKQFRAEVRSIGTIQHINLVNLIGFCSDGDSRFLVYEHMPNRSLDTHLFQSNGKFLDWNTRYQIALGVARGLCYLHESCHDRIIHCDIKPQNILLDASFLPKVADFGMAKFVGRDFSRALTTMRGTIGYLAPEWISGTAITPKVDVYSYGMVLLELVSGRRNSARSEEECTTTTTTSTSTDTDGNYSVYFPVQASRKLLDGDVMSLLDQKLCGEADLKEVERVCKIGCWCIQEDEVDRPTMGQVVQILEGVLDCDMPPLPRLLQRIFERPSSVSTSTPVFLFVGSPERQ >OGLUM01G03880.1 pep chromosome:ALNU02000000:1:3021327:3022027:-1 gene:OGLUM01G03880 transcript:OGLUM01G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVFAPHQVAILALLAVEAEAAAAARRCTGRMAANVMRSISKGGTVMRSKIIELAGMGQKLWLANWHIAQEHNLRRDRVRSWLSDDFVNHVK >OGLUM01G03890.1 pep chromosome:ALNU02000000:1:3026919:3036080:1 gene:OGLUM01G03890 transcript:OGLUM01G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRVQVLRRWLRALQEVESELGGLDGAAGQIAPSSEPNTSKLPFARVMFYDTDTGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAAELWKKLNEKETSRVQPTQEDHAKTTERTSAVTVESFKEALSEVRFCSRMEELLLKKKTSTAGDSLDIRSQKACFHVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEANNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKIINDELERCTDNFLKLTKYHLSTFKEILSPSIERIRTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMNKLFYSEQGVNSRRNDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPAKERAESSPSPIQVPSMAKAETVDSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSGIKK >OGLUM01G03890.2 pep chromosome:ALNU02000000:1:3026919:3036080:1 gene:OGLUM01G03890 transcript:OGLUM01G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSKAVEAGGRSGVARAVLGYADAVAHHAGQAVAEGAKILNDRMSTQNYKSVKKMVKRLEEAAVSSRGEDRVQVLRRWLRALQEVESELGGLDGAAGQIAPSSEPNTSKLPFARVMFYDTDTGGAPMNFRDVFLYSQALEGITLSMILEAPNEEEVPLLLEIFGLCLTGGKEINNAIMSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNSDIMRIDAEAAELWKKLNEKETSRVQPTQEDHAKTTERTSAVTVEVDKLKVLATSLSNSSSKAEKRILDHRRQKEEALNFRAKKENEVSAVEKELTAEISELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFDEANNQMIFSLKAKENELSKSITSCNVEAGVVKTWINFLEDTWQLQSSYNEQKEKIINDELERCTDNFLKLTKYHLSTFKEILSPSIERIRTYVDNLAVLQSRDVSTEHDNEELSEKTSPQKSLEEEYLETEKKIVIAFSITDHMNKLFYSEQGVNSRRNDEDVRNLFSEIEKLRERFESVERPTLDIEVRRAKVPAKERAESSPSPIQVPSMAKAETVDSPKSPAKPDQPLDLDSELAKLELEFGQVNKYSPEEISGWEFDELEEELRADISKSGIKK >OGLUM01G03900.1 pep chromosome:ALNU02000000:1:3036262:3037281:-1 gene:OGLUM01G03900 transcript:OGLUM01G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3F8] MAFKKLTIGLSSMLLLLLVSRSAAARPTRQLVADDGTVAAPAAADVAAGVADAPVAAANADVPAAAAAMGPVAGSAAAGGAAAGAPIGASTVPVAAGAGAVGMNAGGGGGDHPMVFFMHDILGGTNPSARIVAGIVDNTAVTGQLPFARPNGAVLPLNSGVNVKSGAAGAIDNNNIPFLTGLGGATNAAFTSNNNNNNGVPVFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPGLGSPAVGRAQGFYIASSEEGVSQTVAVTTMFKEGEFADSISFFGVHRTADSESHLAIVGGTGKFVGAKGFTKVAVVRPGGVAATGAEHETDGVETVLQFTVFLLY >OGLUM01G03910.1 pep chromosome:ALNU02000000:1:3040376:3049063:1 gene:OGLUM01G03910 transcript:OGLUM01G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRGVNSINAVMAKSDVVINLVGREYETRNYGFDEVNHHMAEQLAMISNEHGSIMRFIQVSCLGASASSPSRMLRAKAAREESVLKEFPEATIMRPATMIGTDRILNRWAQFAKN >OGLUM01G03920.1 pep chromosome:ALNU02000000:1:3063327:3065492:-1 gene:OGLUM01G03920 transcript:OGLUM01G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLGAVPFAVRRGPRRALRGRGGSRPGPGGGGLGQVVPDPMVEVRGSPSSSPHPTVMAPSPSSPAPASSPPPQSAAGFAFAAAAEQSTQNYRSVKKMVKCLEEAAVSVSSRGEDRVQVLFYDADTGGAPMNFRDVFVYSQALMKVLHYPWVGAFSHRCIAWVACILQYYFFAKALIEVKHSNPYHYQILEAPNEEEVPLLLEIFE >OGLUM01G03930.1 pep chromosome:ALNU02000000:1:3066457:3076715:1 gene:OGLUM01G03930 transcript:OGLUM01G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRGGRHQDTAAVDWPRQRRAENSDGVDIGNGDDNDVKHVSKFGSAVFTLVVPDTCVVWNLQRDGSKQNVMLFFGQGKTEAFPELPAKVLIINCAIQTEVQISTAKMIKLRSALGVLEILSVLCISLAAAAAAAYNPVDNYLISCGSSVDTPVGQRLFVADDSGTVVLTSPASYAVKASPSAVSGLRDDAAMYQSARVFKAPSSYSFRIRDPGRHFVRLHFFPFVYLGYDLATASFKVSTQDAVLLDGFAPPAARGNASTTTPAVCEEFLLDVARDTLVVTFVPLAGRNAFVNAIEVVSVPDDLIGAADSSLSTSESTGQQPNPAVMPLQTVYRVNVGGPAVAPDSDTLWREWTIDQPFLVSTVTAAVTKKVSYNRTLNYLPGQATADDAPAIVYATGRELIIMNASVFDGMKQMAWQFDVDGSASYLIRFHFCDIVSSVPGRLHMNAYVDSSNAIIQDLDLSAIGNGTLAFPYYRDFVLAASTPSGKLAVYVGSTSQKTTTPAAILNGLEIMRILTTAGNVVVVEPTAPPGTKKKNNLAVVLGSVCGAFGFVSVAAALVIVLRRKEEKEELRTPTTSQPSTAWMPLLGRISFRSAPPSAVGSRSPSFTIDTNANTPGGGATPGMAAAASSSPSYRFPFAALQDATGNFDEGLVIGEGGFGKVYAAVLQDGTKVAVKRANPESRQGAREFRTEIEMLSGLRHRHLVSLIGYCDEQEEMILLYEYMEHGSLRSRLYGGAAATATASETLSWAQRLEACAGAARGLLYLHTATTKPVIHRDVKSSNILLDDGLTAKVADFGLSKAGPDMDETHVSTAVKGSFGYVDPEYVRTRKLTAKSDVYSFGVVLLEALCARPVVDPRLPKPMVNLVEWGLHWQRRDELEKIVDRRIAGTVRPAALRKYGETAARCLADRGADRPAMEDVVWSLQFVTRLQEVDGLDASDVSSLNMVRQLMPPTSLHARQRSAGESETGRTEADEDSSVVDDDYADASMRGIFWQMVNVRGR >OGLUM01G03940.1 pep chromosome:ALNU02000000:1:3073910:3076690:-1 gene:OGLUM01G03940 transcript:OGLUM01G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYSRSQSPRRDSRNERRSRSPRDSRSPRGSPRDSRSPRGSPRDSRSPRGSPRDNQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDISPAANGRSPSPRDYEDNGNHRASPRGSASP >OGLUM01G03940.2 pep chromosome:ALNU02000000:1:3073910:3076690:-1 gene:OGLUM01G03940 transcript:OGLUM01G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRDRYDDRYGGNTRLYVGRLSSRTRTRDLEDLFGRYGRVRYVDMKHEFAFVEFSDARDADEARYNLDGRDFDGSRMIVEFAKGVPRGPGGSREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLKRGRSYSRSPSPRRGRSRGRSYSRSRSRSYRGSPRDNQSPRGSPRDSRSPRRSASPPNGRNRSPTPNASRSPAPRDSRSPMRADSRSPADHERRDISPAANGRSPSPRDYEDNGNHRASPRGSASP >OGLUM01G03950.1 pep chromosome:ALNU02000000:1:3079227:3080798:1 gene:OGLUM01G03950 transcript:OGLUM01G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTEEAGTGMSKATAGTVTLPTPAPPTARTLLSTARILHSTAPTPGTATCRAPTLRTPRHMEGTWDRTTPAMAAAAAGVTTAASTRAACSAAESGSEAASSTYTIRAHQLSALLHLRICTSMSINHVAYAYIYIPN >OGLUM01G03960.1 pep chromosome:ALNU02000000:1:3089845:3098148:1 gene:OGLUM01G03960 transcript:OGLUM01G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSIDRWQCASNKPADGMNSYQSRSRSNGKRKKEIDRTTRYQAYEWEELAWRVPETLMLVSSEMEAVVRLIDVAHSKLQVLGTLFRRIHLGMPAAIAMDLFGDPATVGVSPTEILEEARREISLSGARHGKTMHVFARYVVAHLHVQQDDPGTHYQDAIRFIDKGAGEDRSITEPTTQPNSLLFFSFPMDFITVEREAKLRWPRDPSDHSTSCGGDDDSPISHLSGDVLVHVLGFLPTATDLMRACAVSRWWCRLGARVPLLRFLCIDRAFDRQETLDRFVAFINNVLTRRAAGQSDAGVEELTISLKSGMSSVDVAEVDAWIRYGMQHVSNTFTLELNIPLRSGNNSNHRYLDDDEDDDDDNNGMILAELPSSPRLKSVMLSLSNARLRLPTAAAFDSLVDLSLENVRLEDNSIHLLNRLLSPACCPRLQRLRFNKLTVGRQVAELHLESDELLELSLNCISRCITLSLQIKTPRLRVFHMRDTSLIGKLTISAPRLEEFILPYTGRVSVINVEDMPCVRILEIDLWLLGGPQYGGLINKDRIRLLQCCRFLQFLTIRLKDNGNAEVELMKDVPELPHVTSLSLRVIEMNEMYDIASVLCVIGRCKFLKHLELDIKMAHCEGPTEVSIQNQKDYHIISLEHLQEIKITCSYMRNHEAGLIKFLHTSAPALKKMRIAFISGFMRPQSLDIFFMRSRSLEILGKKCEEFLRSIALSKKGKWVFCNHRTCRVANTNKTIDRATRDQAYEVSTESVGAGYILPGADGQLGAQLRVPYRGLEVTIGGGELLLDQAVDARETRMVQQEEDRIQVTAAAVYHGERFLHVLHGLDRSAAMDEWEELARRLPVTLMRVSSEMEDVKLIEVALAKFQKRAAMMGRILDGTPAAIAEQEFDDPAPVGECPKVSLEKAHREISHSAASHAMARGVFFLCAVHHRTQDEPPFLHWDARHQVAIGHFERAMRSITDAMGHYAAASHYPSYLIVLVLGARHTRAIPTKTGRLQVPIRGDRQIH >OGLUM01G03970.1 pep chromosome:ALNU02000000:1:3096645:3097196:-1 gene:OGLUM01G03970 transcript:OGLUM01G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAWRARFQERVVEAASRMERVQGHPAAAQGHLALAAPLLADNAAAAAARDRIQRVLGALGEASSDLAFAMSVMNGAKLLVFSDVIGIEQLGDQYFPEGNAGVVLHDAVEDVEEAFAMVDSCCSHLDAVLLLLDHPRLPGVDGLIQEELAAADGDLQAAIGNAELGTELAVGARQDVSGAN >OGLUM01G03980.1 pep chromosome:ALNU02000000:1:3101448:3103035:-1 gene:OGLUM01G03980 transcript:OGLUM01G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTNNSSNSSSSSEMPGKKARKPYTITKPRERWSEEEHERFLDALIMYGRDWKKIEEHVGTKTTIQIRSHAQKYFLKVQKMGLAAGLPPQYPRRRLVMRQQQQSSPAVSSSVAATAILHGQPQCLPPHHNVAVQSSIGWDCPGNLEWASTSGTAAWGNHHGLIEPSAAFDSFPGESSFMGAASFSNMSMDWSGTTSEMATASIVQDETIELPLSPDDMQFAQVYRFIGDIFDPDSPCPVETHLQKLKNMDDITVKTILLVLRNLEDNLLSPQFEPIRRLLSTYDPNRGLSGHL >OGLUM01G03990.1 pep chromosome:ALNU02000000:1:3114895:3115143:1 gene:OGLUM01G03990 transcript:OGLUM01G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYAAAKDVVIVNETFLPQEDVWRRWASAAKLLVDRAASLTTLALDEARQAHHVVALELSEASSILRQWMARLVQIVSDSM >OGLUM01G04000.1 pep chromosome:ALNU02000000:1:3126029:3126598:-1 gene:OGLUM01G04000 transcript:OGLUM01G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAWRERFRQRVAEVDDLFVEAFELLADNARIHLEAQMLVGDAAAAARARIQLAQGALGDASGKLASAMSLMVGAKLLALRGGSHDPLMPYHDIGHLGDEYVAEKNACAKLRGAEREAEEACARIGMCSGHLETISLLLDHENLPGVNDLIEKERLDAAVDDLLAAIGEVESGKKMANDARLDVVAN >OGLUM01G04010.1 pep chromosome:ALNU02000000:1:3127025:3128317:1 gene:OGLUM01G04010 transcript:OGLUM01G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWEELALTVPATLMQVGSGMEAVRKIQVAHRKIQGRAGLMRNIRFGMPAAIAMSLFDDPPPVGVCPTVTLEEARCEISRGAARHAMADHVFVRYVELLGIQHEPPCTSRDTHHRDAIRFTAMALEKVREAASLAEAAKDAVDIAETLLPQPELKTEWALVAQDLAERTGYEATQALEFVKRARDFVALEFFDTWTILRRGRARSAEMKEWRELALKVPGTIMLIGDAELETLELIQAAVSKFQKHVELLQEVRHGTATATAVDNFTDPDPEGALPTELLENARRGMSKSAVRHAKAHHIFARYAAFLGIQGDEEYRSWDNKHQEAAGSMVAALKNVIDAVSDAEAAKDAVAMVGILPYQCPLWELWALRAQNQTSLSSFNATLAILDVRQAREAFFVEVLRAWLILRQYGSLQLLDDSASLWSSSTPA >OGLUM01G04020.1 pep chromosome:ALNU02000000:1:3129825:3130382:-1 gene:OGLUM01G04020 transcript:OGLUM01G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENPWKPLFWRRVDAINQRVGVVREDLAAAEQQLASRVDKLSVASRAGDKLLMEASRALGLAVCYMEAARLLARHRGGLIAQGRIPSRHGRVHDDDLAVRRALFSLRLAKARAEEACDALDRCRGHLGAVKMLLRHREAPAGVADHVDGERASAVGDLEAARQLMVDTDAFISAALGDRSDVD >OGLUM01G04030.1 pep chromosome:ALNU02000000:1:3130639:3131118:1 gene:OGLUM01G04030 transcript:OGLUM01G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARACAYNPRKRERERSLGEEERWMKLARTVPAILMRIGTSRKAIKSTMKGMKGMKAAKRGDGGVFSDQMRHASEHLDGAHGRIARLIATHAVAGHVFVHCAAHLGGLKGGGGGAPAWRAWEGHRADAVLHARDARWWLCRAGGAVEAALDVSPKRYTL >OGLUM01G04040.1 pep chromosome:ALNU02000000:1:3132225:3132818:-1 gene:OGLUM01G04040 transcript:OGLUM01G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVELTWMALFQRRVVMADAHCHKLHGLLRGMFGVLDGQAWREMVAVAEETRRMLESASTELGLAIANMGAATLLAPGGEAPRAWAPAAPLRSVDDDGIDVPRVWLVHFRLQVAAETARRLHDRLEATRVHVSAAEHLAALEEDDGGGDDDGDMAPWMHGLSASEQIDGLMELGETLNLAVDLVAMTAMAREEVF >OGLUM01G04050.1 pep chromosome:ALNU02000000:1:3133230:3134126:1 gene:OGLUM01G04050 transcript:OGLUM01G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPQREQMALMVPELLLVVATSEGVLTSIELACNLLDSIEFDVLVDTAHGVAFNTTGGGERCSGSPAPLYMGGGCGGGGGCASFSFGVPMDSTGGGEGSFSVDAPAYERFTFGVPMDTSGGGGVEHTGGDGAATNVAADHKGHKAGIDYWANTLASAFAADGPLNAAHREITRLITLHGVAAHLLIRCLELHDFPHGDEAAWQRWWEHHDAFIPRAHDALLRLSSATSAAAAAEDFLRLRSALSPGRNDWPSEAKQHVRNARRDIGEARDAVILMRDAAVREFFETWMILKRSQASR >OGLUM01G04060.1 pep chromosome:ALNU02000000:1:3134849:3136681:1 gene:OGLUM01G04060 transcript:OGLUM01G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3H5] MDSEEKSKKRMRLWSRAVVHFSLCFAIGVFAALLPLAATGATSVDSIRASFRPTVAATPPVPELDLLLIVTVTRPDDNDGGGDGMSQEASLTRLGHTLRLVEPPLLWIVVGAENTTATARAVNALRGTRVMFRHLTYAAENFTAPAGDEVDYQMNVALSHIQLHRLPGVVHFAGASSVYDLRFFQQLRQTRGIAAWPIATVSSADQTVKLEGPTCNSSQITGWYSKDSSSNITETTWDSSSNTTQTTCDSSSNRTQTTTLAALDTNASKQNSSSGPPEINMYGVGFKSSMLWDSERFTRRDNSSTGINQDLIQVVRQMMINDEDKKRGIPSDCSDSQIMLWHLDMPRHTPKIEHATPEKESLTKGDEEESHDMTHNVVAKTEEHETLEKENLMKGDEKGSHDMTLDNVVAKIEEQETPEKENLTKGEEKESHDMMLDNVVAKLEEQVTPEKENLTKGKEKESHDIMLDNVVAKINEQETTEKEILMKGDEKESHDMMLDNVVAKIEEQELQRRKA >OGLUM01G04070.1 pep chromosome:ALNU02000000:1:3137052:3139892:-1 gene:OGLUM01G04070 transcript:OGLUM01G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRDQERRDRERWKRMKACDSQRACSALESGSSLPPSTASGRLSGDRRLLRAAPLIAGLAVAAAALASRYSIQAWHAYKARPIVPRMRKFYEGGFQPAMTRREAGLILGVRENVHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDVLLGKTKGGGSVF >OGLUM01G04080.1 pep chromosome:ALNU02000000:1:3140019:3142117:1 gene:OGLUM01G04080 transcript:OGLUM01G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G37110) TAIR;Acc:AT2G37110] MGAADDANHRHEEASPLLPPGGGGGGAGGKKLHQSPPPSPPEAAKCCADGVPVVMGEPLGAPAPPRESWNSGVLSCLGRNDEFCSSDVEVCLLGSIAPCVLYGSNVERFAARPGTFANSCLPYTGLYMLGNALFGWNCIAPWFSHHTRTAIRRRYNLEASFGSFEAFTNQCGCCHGLVEDEGNREHLEVACDLATHYFCHPCALCQEGRELRRRVPHPGFNGRSVLVMTPPKEQTMGRGM >OGLUM01G04090.1 pep chromosome:ALNU02000000:1:3142671:3147980:1 gene:OGLUM01G04090 transcript:OGLUM01G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 9 [Source:Projected from Arabidopsis thaliana (AT5G03070) TAIR;Acc:AT5G03070] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRASISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGNNIIGLIKCLKSDNRVLRKVCFPLLVQLYLESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSVQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >OGLUM01G04090.2 pep chromosome:ALNU02000000:1:3142671:3147980:1 gene:OGLUM01G04090 transcript:OGLUM01G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 9 [Source:Projected from Arabidopsis thaliana (AT5G03070) TAIR;Acc:AT5G03070] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRASISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVRSSVPQLLIGRLFSSENLQLLIPVLRGLGNLIAADDYMVDSVLTVGNNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSVQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >OGLUM01G04090.3 pep chromosome:ALNU02000000:1:3142671:3147980:1 gene:OGLUM01G04090 transcript:OGLUM01G04090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 9 [Source:Projected from Arabidopsis thaliana (AT5G03070) TAIR;Acc:AT5G03070] MADDSASPSPSSASPLQHHREALKSSVRNTAASRRREQAIAIGKERREALIRAKRVCRASISGSDEAEMEEGDMVVDEEKACLEAKTAHAVEELKSALSIQGKGVQKKKIEALRDLRRLLSQPEVPLVDTAIKAGAVPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGAELRSTLLAQGALRPLTRLMFSSKGSTARTAAWAMSNLIKGPDPKAANELITIDGVLNAIIASLEKEDEELATEVAWVVVYLSALSDRGISLIVLRGLGNLIAADDYMVDSVLTVGNNIIGLIKCLKSDNRVLRKESSWALSNIAAGSFEHKKLIFASEATPVLIRLVTSVQFDIRREAAYTLGNLCVVPTGNCELPKIIVEHLVAIVDGGALPGFIHLVRSADVDTAGLGLQFLELVMRGYPNKQGPKLVEMEDGIEAMERFQFHENEQMRNMANGLVDEYFGEDYGLDE >OGLUM01G04100.1 pep chromosome:ALNU02000000:1:3147621:3148394:-1 gene:OGLUM01G04100 transcript:OGLUM01G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSLPRLTPTPRANPGRRSARPTPPRITCGPRDNRGPLQRGRSLSTEAILAVQSLKRLTSSDRSPAAAAAAASTSLGRLLKGDLLAAMAELQRQGHWSLALAALHVARAEPWYRPDPALYATFVSSSPATEDGAAAAAVDALVEAFLEEKERGGGFVDGEEDVYKLTRLVRALVAKGRARAAWRVYEAAVRMGGCEVDEYMYRVMARGMKRLGFEAEAAEVEADLREWEARISPPARDVLDEMRAREKSSTTAA >OGLUM01G04110.1 pep chromosome:ALNU02000000:1:3149804:3153597:1 gene:OGLUM01G04110 transcript:OGLUM01G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3I3] MAAAAVLLAAILLALPPLPMSLSAAAGGGDGGGGDSGTAEAAADRITALPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAADVADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLLTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFLVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRMLIRGNSPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPLHSIQSF >OGLUM01G04110.2 pep chromosome:ALNU02000000:1:3149804:3153270:1 gene:OGLUM01G04110 transcript:OGLUM01G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3I3] MAAAAVLLAAILLALPPLPMSLSAAAGGGDGGGGDSGTAEAAADRITALPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAADVADPASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLYLNPYPWNRVANMLFLDSPAGVGYSYSNTTSDLLTAGDNKTAHDSYAFLVNWLERFPQYKYRDFYIAGESYGGHYVPQLSQLVYRNNKDVEKPILNFKGFLVGNAVIDDYHDYVGTFEYWWTHGLISDDTYQKLQVACDFESSAHASEACNKIYEVAEAEQGNIDAYSIYTPTCKKTSFLKRMLIRGNSPWLPRGYDPCTEKYSTKYYNLPEVQKAFHANVTGIPYAWTTCSDDLFYYWKDSPRSMLPIYRELIAAGLRIWVFSGDADSVVPLTATRYSIDALYLPTVTNWYPWYDDEEVAGWCQVYQGLTLVTIRGAGHEVPLHRPRQALKLFEHFLQDKPMPQPLHSIQSF >OGLUM01G04120.1 pep chromosome:ALNU02000000:1:3172528:3173149:-1 gene:OGLUM01G04120 transcript:OGLUM01G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYWVDERTRNNCFMLFPRGLSITWSEDPRFWTWEPLKEGSSDADTDTQIEVPSLQNVCWLEIHGKLELSYLTPGVTYEVLFEVMLIDPAYGWSVPVNLQLKFPDGTIQQRKENLEEKIRMKWLELKAGEVKTQQGQKGEIEISMFEYDGGLWKRGLLIKGIKILPKQ >OGLUM01G04130.1 pep chromosome:ALNU02000000:1:3183473:3190425:1 gene:OGLUM01G04130 transcript:OGLUM01G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAVVRLQGGEDKYRAAWKKICQINRMEFDLVYKRLNVKLEEKGESFYNPYIPPVLEELTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQRHFHMLFTAAKMAGWLPEQNEKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYGAVKYSDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSETFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >OGLUM01G04130.2 pep chromosome:ALNU02000000:1:3185277:3190425:1 gene:OGLUM01G04130 transcript:OGLUM01G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAEHSVQSVEQQLCTLITSSLRATVPDLDVEPMLEVSKPGFGDYQCNNAMSVFSRIRRSATNFRNPMAVGQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWGTQFGMLIEFLFEQFPDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGGEDKYRAAWKKICQINRMEFDLVYKRLNVKLEEKGESFYNPYIPPVLEELTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDAAKMAGWLPEQNEKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSETFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >OGLUM01G04130.3 pep chromosome:ALNU02000000:1:3185277:3190425:1 gene:OGLUM01G04130 transcript:OGLUM01G04130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAAEHSVQSVEQQLCTLITSSLRATVPDLDVEPMLEVSKPGFGDYQCNNAMSVFSRIRRSATNFRNPMAVGQRIQDMLVCGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHIRSTIIGDTLAHMFEFTNVEVLRRNHVGDWDWEDVGNQAVGDLQSFYKASKKRFDDDPDFKERARQAGGEDKYRAAWKKICQINRMEFDLVYKRLNVKLEEKGESFYNPYIPPVLEELTNKGLIVESKGARVIFVEDHPLIVIKQDGGFNYASTDLAALWYRLNVEKAEWIIYVTDAAKMAGWLPEQNEKKYPKASHVGFGLVLGSDGKRFRTRCSEVVRLVDLLDEAKARSKAQLIKRFTGNGQIADWTDDELDRTSEAIGYDLKNNRLTDYTFSFDQMLSDKGNTAVYLQYAHARICSIIRKASKDVEKLKMTGAITLGHPYERFLGLHLIQFTEVVEQACADLQPHRLCDYLYSLSETFSKFYTNCQVVGSPEETSRLLLCEATGIIMRQCFHLLGITPVHKL >OGLUM01G04140.1 pep chromosome:ALNU02000000:1:3192334:3193964:1 gene:OGLUM01G04140 transcript:OGLUM01G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTKRAPTVLSFSSPRSASAGIALYSAPTVPGAAELPPAAISTAPCSTPRLQPRFCAIAAETPWPPSAWLPPSQPRSGWQGRRRLLIFTAHWSNGTGYRSTRQPDAGSWTSSGQSYANRFNTVRKSKDANCRGISPESSFDEISNDSS >OGLUM01G04140.2 pep chromosome:ALNU02000000:1:3193468:3197357:1 gene:OGLUM01G04140 transcript:OGLUM01G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVMEKERFSRSSAHSWSGRHGTTAAACGSGTAPVRPLSHRIAAALSYSGSVGPDASPSPGLLLLRVRRPRCPREWKRAWERKRERQWHGGETSQAATRERNKSGGSAMDDDGDGRGLRDMRERQSSF >OGLUM01G04150.1 pep chromosome:ALNU02000000:1:3193589:3196681:-1 gene:OGLUM01G04150 transcript:OGLUM01G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHDPTLRMLLLLLLVQMSQLVAVGSLLPPAAAAPTHTEHLTGAVPLPQAAAVVPCRPDQEWALLRLKRSFSITDEFMAAFRSWRAGTDCCRWDGVSCGYADGRVTSLDLGGRHLVSGGLDPSLFRLTSLEYLSLASNDFNVSELPSTGFERLTNLTHLNLRSTNIAGNVPAGIGSLKNLVSLDLSADFKIYTLTDDNYVLYLNSSRNPHQLIESNFENLVANLRNLRELNLGLLLDLSDNGERWCKALVNSCPKLQVLGLSYCLLSGPICGELSHLHSLSVIDLSFNHLSGPIPDFSNIPNLTVLQLRCNWLEGWVSPLIFQHKNLVTIDLYHNLEIHGNLPNFSTGSHLETVSVSETKFNGTIPDSIGNLKSLKELGLGASGFSGNLPPSIGNLRSLNSLEISGLGLSGSMPSWVANLSSLTTLQFADCGLSGSIPSFLGDMRNLTKLVLSNCNFSGTIPSHIFNLTQLQILLLHSNNFIGTVELTSMWILPDLFILDLSDNKLVVVDGTDNSSIVSIPKLGVLRLSRCNISKFPNFLRHQDEIFSLDLSHNQIDGAIPQWAWETWNGMERLFLGNNKFTSVGHDPFLPMSHIDGLDLSFNMFEGPIPIPQGYANMLDYSNNRFSSIPFNFTTHLKDVSFFKAARNNFFGKIPQSFCSATSLQLLDLSYNSFDGTIPSCLMDNLQYLEVLNLKENELQGEFPNNINENCSFESLIFSGNRIEGQLPRSLAFCKYLEVLDVGNNQINDSFPCWMSTLNALQVLVLKSNKFFGQVAQHFAEEKSTCEFQSARIVDLASNRFSGTLPQEWFKKLKAMMIEDSNVTLVMEFDITRLGKYDYTVALTYKGSEIIFTKILRTLVFIDLSDNAFHGSIPEAIGELVLLNVLNISHNSLTGPIPSQLGRLAQLESLDISSNELSGEIPRQLASLDFLTVLNLSNNKLEGEIPESPHFLTFSNSSFLGNDGLCGPPLSKGCSNITSLNVIPSKKNSHDVLLFLFAGLGFGFGFAISIVVIWGIPIRKRSRVRQRAL >OGLUM01G04160.1 pep chromosome:ALNU02000000:1:3218144:3218783:-1 gene:OGLUM01G04160 transcript:OGLUM01G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKNRFTTTPILVVGTLVYSENPRSWEEEVNRCWSWHPKPPPPYVNSVRYDCSSGGEIIAYVVVGGSHILHILLRHGELRVEQGRRLGAAVLRRRRDEYVPEHGLWFGFSASDHGVLGAWDLSSTVVKQQQQPPVAPRVLREGFSVPEVFDSRVMDLGAGNLCVAKLFEFEKTRRETCDQGY >OGLUM01G04170.1 pep chromosome:ALNU02000000:1:3221854:3222533:-1 gene:OGLUM01G04170 transcript:OGLUM01G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEFGLAAAAASAAAADAASGRSSRVTALRSVEDIFSDFRARRSAIVRALTEDLEKFTALCNPDLDCLCLYGNSDGAWEVAPPPEMVPPELPEPALGINFSRDKMYRSDWVALLSVFSDSWLLAVAFFHGARLDRDDRYTAPDSSLLSRSHTMLFPLLI >OGLUM01G04180.1 pep chromosome:ALNU02000000:1:3223831:3228798:-1 gene:OGLUM01G04180 transcript:OGLUM01G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;zinc ion binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT5G05660) TAIR;Acc:AT5G05660] MPFSYAAAASGSASSSRKPVPAAARRPVPSPAAAAAPAPSPSNPSAVSDSDPSSYSSSGEETDLTASDPAAASVISSYLSVAGDGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSASCFALLHLHCIQSWAHQSSSAAPSPTWGCPKCRFPYPKSQTPTSYLCFCSKTVDPAPDPWILPHSCGDVCGRRLNADRDSGCEHNCLLLCHPGPCPPCPAIVPNAMCFCGSHRETRRCSHQRYSCSGKCNKRLGCGIHRCPVDCHDGPCPPCAVRGKHKCECGETMEERLCSERVFQCKRECGGMLQCGKHSCERGCHAGKCGGCPLQGRRTCPCGKKDYPSSDCDAEAATCGSTCEKVLGCGRHKCPERCHRGSCVETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACRRRCCEGDCAPCPEVCDKRLRCGNHKCLSPCHRGACAPCPLMKTISCNCGQTFFEVPCGTEKNQKPPKCSKKCNIARLCRHKLECRPHKCHYGACPPCKLICGEELSCGHRCKLRCHGPIAPPNPEFTLKPIKRKKEKHIDSTPGTPCPPCQEVVLVPCFGQHLGQERAILCSKRRQFPCQNLCGNPLNCGNHYCTKACHVLQIPLSQPEGDQSAILSLASASAFAEPCEECNLPCQRVREPPCSHPCPLPCHLNDCPPCKALVKRPCHCGAMVHAFECMYYNNLNATKQQKVRSCGGPCHRKLPNCPHLCSEICHPGQCPSVDQCMKKVNVRCACNTLKKEWICQDVLKEYRQSGRDPKQIPKNQYAVGLLACGEDCVKKVKAADSELHLRKIQEIKTPAVEVENVPKRRKRRNRGQESVESSKFQEIKAVALKFLLVIFLCIIAVAGLYLLWKGVYRLSDWMNEMEEQRARQRHLKPGRL >OGLUM01G04190.1 pep chromosome:ALNU02000000:1:3229634:3230520:-1 gene:OGLUM01G04190 transcript:OGLUM01G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPHPPHRNGNHVPAPSGESFAKFFECWISEQSRDLAALRSAASAATNPAAPPDDAELRRLVDRVLGHYEHYYRTKSAAASTDVLRMFSPSWTSTTENLYLWCGGWRPTAALHLLYSKSGAQLETQLPVFLAGGGLRAGDLGDLSAEQLQAADQLQRITVSKEREIENAAASAQESLATVKMVELAGGGGMDAEGMEMEMRSKADGMRRVLEMADGLRLETMREVVALLRPSQAVHFLIAAAELHLAVHEFGRRKDGDGAASPPPA >OGLUM01G04200.1 pep chromosome:ALNU02000000:1:3235554:3236363:-1 gene:OGLUM01G04200 transcript:OGLUM01G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMQRLVLCLVVSMAIAASAQGPTAAPAAPAPATPAAPATPAAPAPASTKTTNITGVLAKAGQFNTLIRLMRSTGAAEQIDNQLNSSRNGLTVFAPTDNAFTSLPSGTLNSLSDQQKNSLVQYHVLSTLIPMSQFDTVSNPLRTQAGSNSPGQYPLNVTAEGQQVNISTGVVNATVGNALYTGDNLVVYQVDKVLLPMAIYGTPAPAPAPLSPATKKKGKTPATSVADAPEAADATPDATTPSLAAARVTAGAGVGVVLALASVWLGL >OGLUM01G04210.1 pep chromosome:ALNU02000000:1:3238654:3240747:-1 gene:OGLUM01G04210 transcript:OGLUM01G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMMQPLKLALHTMGMWQLDRMIFHLQVEWHNIQGEFARGSSQIDNRTAAVKRKNPVIYPEYSINGDGYCAGSSSSTQFSNYPQPAPFSESLHRQMPPSVGPINWNDQSLVNQEGSHRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMPSGEMPYTMGSSNSTVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYPPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLVDEKISGCVREVIYCSPDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKAAAA >OGLUM01G04210.2 pep chromosome:ALNU02000000:1:3238652:3240745:-1 gene:OGLUM01G04210 transcript:OGLUM01G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVGPINWNDQSLTSPFNFECFPKYINEKEWAILFYANENYAFRLHMYLNDYVGASGMPSGEMPYTMGSSNSTVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYPPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLVDEKISGCVREVIYCSPDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKAAAA >OGLUM01G04210.3 pep chromosome:ALNU02000000:1:3238652:3240745:-1 gene:OGLUM01G04210 transcript:OGLUM01G04210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVGPINWNDQSLVNQEGSHRNVRARHNFNNISLEPRPVHSTSNVSQSTSMKRNGPSFSTRMRTMPSGASGMPSGEMPYTMGSSNSTVPVPTLQGSSSSAIFASGVFAPRHVHGDTVPSYIHLPSVASSSSTAIPHEVIIPSYPPATSATTSTPMRASQPLPVRAVASSRHARNVLIGHANSGRNRRARSSYYGIQPLMIDAQQLIMMQQFALRESREAQDPHRAMRLDIDNMSYEDLLALGESIGNVSTGLVDEKISGCVREVIYCSPDEQQNDQDDGKCAICLEEYKDNSLLGILKCNHDFHTDCVKKWLKEKNSCPICKAAAA >OGLUM01G04220.1 pep chromosome:ALNU02000000:1:3246811:3251963:-1 gene:OGLUM01G04220 transcript:OGLUM01G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQGDGREEDGAKVGLPALDISLAFPQATPASIFPPSASDYYQIDDLLTTEEQSIRKKVRAIMEKEIAPIMATYWEKAEFPFHAIPKLSSLGVAGGTIKGYGCPGLSITASAITMAEIARVDASCSTFILVHSSLAMVTIALCGSEVQKQKYLPSLAQLTAVGCWALTEPNHGSDASSLITTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNANTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRVMVAWQPIGISMGVFDVCHRYLKERKQFGVPLVAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKGWTSRMAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGIASFKPAALAKARL >OGLUM01G04230.1 pep chromosome:ALNU02000000:1:3253986:3254234:1 gene:OGLUM01G04230 transcript:OGLUM01G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASMRVALVSVVLVGLILVSTAHAARPEKLPAVVSPSIAPAVAEVVDAAINAVDLLGVSKMQEANPYTRGCSRINRCRG >OGLUM01G04240.1 pep chromosome:ALNU02000000:1:3255269:3255662:-1 gene:OGLUM01G04240 transcript:OGLUM01G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAEKAAELQDPEIRAELDRRARDDGKTVIKSGTGGKSLDAQERLAEGPWRKKGGLSRTTESGKERADDDTGAVLIEPDDKMLKEAKKNLGRK >OGLUM01G04250.1 pep chromosome:ALNU02000000:1:3259372:3261431:1 gene:OGLUM01G04250 transcript:OGLUM01G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLQELQPYDGCNPSVYRGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPLPPETNEVSIECFTGDAAAAIAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >OGLUM01G04250.2 pep chromosome:ALNU02000000:1:3259585:3261431:1 gene:OGLUM01G04250 transcript:OGLUM01G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAECQPLQLQEGKKLQELQPYDGCNPSVYRGPILLPRQANSAPPAVPPEMSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMIPDTKQMDKATLLARVVDQVKDLKRKASEITQRTPLPPETNEVSIECFTGDAAAAIAGNHKTLYIKASISCDDRPDLIAGITHAFHGLRLRTVRAEMTSLGGRVQHVFILCREEGIAGGVSLKSLKEAVRQALAKVASPELVYGSSHFQSKRQRILESHCSIMSI >OGLUM01G04260.1 pep chromosome:ALNU02000000:1:3267116:3270230:-1 gene:OGLUM01G04260 transcript:OGLUM01G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIGSVPTASDAAAPPPAPAAATAPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAEAGHGGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAVAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDAAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVETAAAFLNKAVKPVLVGGPKMRVSKACKSFVELADACGYPVAVMPSAKGLVPEHHPRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGHGPAFGCVLMKDFLHALSARLKKNTAAYENYRRIYVPPGEPPLSEPGEPLRVNVLFQHIQKMLSANSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQEVSTMLRWGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGVVEAFHNGEGKCYTAKVRTEEELKEAIEEAQGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OGLUM01G04270.1 pep chromosome:ALNU02000000:1:3291518:3294466:-1 gene:OGLUM01G04270 transcript:OGLUM01G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPCLPDQAAALLRLKRSFTITNDSECTLASWRAGTDCCRWEGVRCGGANGDGRVRSLDLARCFLESAAIDPALFDLTSLRYLNLAHNDFNGSELPSTGFERLKHLAHLNLSDASIQGKIPVGIRHLTNLVSLDLSTTVYLLDQDDLFLSFGTWSNPSWWVVEPNIGSLVANLSSLRELYLGRVDLSDNGEDWCTALTNSSTPQLQVLSLRHCRLFGPICTSLSSTHSLTEINLQYNGLYGPVPDSFADLHFLRVLDLADNDLEGLFPKRILQNRNLTTVHISYNTNIYGSLPNFSPDSSLTTLIVSSTNFSGPIPSSIGNLKSLNELGVASNDFRQELPSSIGQLTSLKLLEATGAAIVGTIPSWIANLTSLVLLRFSNCGLSGPIPSSIGNLKNLTRLELYRCNFYGTISPHIFNLTHLKVMYLHSNNLTGTVELSSFWKLPHLFSLNLSGNRLTIVDGDVSSSHVNNMNILRLASCNMSKFPDALRHMSFIQYLDLSDNKIPGAIPQWAWETWSQLVLLNISHNKFSSVLGNALPVDIESVDLSFNQFEGPIPTPAPDLASLDCSNNQFSSMPFNFSYQLSGICYFMASRNNLSGEIPSSICDARSLVLLDLSYNNLSGSIPSCLIENTNSLSALNLEGNQLTGKLPHNIKKGCAFEELDFSKNSIEGQLPRSLVECRDLQVFDIGDNQISDTFPCWMSVLPKLQVLVLKSNKFFGMVGPSVLGEQNNCDFMKLRILSLASNSFSGTLPIKWFKTFKSMMVKSSDESLSMENQYSRFSRTYQFTAAITYKGHDVTFSKILRTLVTIDVSDNALNGTIPKSIGELALLRGLNMSHNTLTGPIPSQLGALQELESLDLSSNDLSGEIPQELAQLHFLSVLNLSYNALVGRIPDSPQLSNNLSYLGNIGLCGFPLSKECSNMTMLSSHPSEEKHVDVILFLFVGLGVGIGFAVIIVVTWGIRIKKRSQDNRFSFWKKVLCM >OGLUM01G04280.1 pep chromosome:ALNU02000000:1:3299634:3302676:1 gene:OGLUM01G04280 transcript:OGLUM01G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIILTQTPSVPRRLLLLLQLSFLLLLSSASNAVTPAGVPCRPDQAAALLRLKRSFAVTSNSVTAFRSWRAGTDCCGWEGVGCAAGAGANNGRAVTSLHLGDRGLESAGIDPALFELTSLEYLNLAYNNFGGSKIPSDGFERLIRLTHLNLSSSGFTGQVPASIGNLTSLVSLDLSTYFMIVEIPDDAYETLISQTANSIWLIEPNFETFISKLTNLRDLHLGYVDMSNSGAQWCDALANSSPNLQVISLPFCSISGPICRSLSLLQSLAALNLQHNNLSGPIPDFLSNLSNLSVLQLNHNELEGWVSPAIFGQKNLVTIDLHHNLGISGILPNFSADSRLEELLVGQTNFSGLIPSSIGNLKFLKQLDLGASGFFGELPSSIGKLESLNALGISGVGLEGPLPSWVANLTSLTALVFSDCGLSGSIPSFIGDLKELRTLALCNCKFSGEIPPHIFNLTQMELLLLHFNNFTGTIELTSLSNLPQLYAFDLSYNNLAVVDGEYNSSVSLPQIVLLYLPGCSMSKFPIFLRHQYEINGLDLSDNEINGTIPHWAWETWNYISLLGLSGNRFTSVGYDPLLPLQVDLLDLSNNMLEGSIPIPRGSSTSLKYSNNGFSSMPSNFSAHLRDVTFFMADGNEISGNIPLEFCSAKSLQLLDLSYNNFNGSISSCLMDSVSTLQVLNLKGNELHGVLPDDIKEGCSFQALDISGNLIEGKRCLQKLGAARIIDLASNNFSGPLPQDQWFKKLKSMMIGYSNTSLVMDHEVPRVGRYKFSTTITYKGSAVTLTKILRTFVFIDVSENKFHGSIPGTIGELILLHALNMSHNFLTGPIPSQLGHLNQLEALDMSSNELSGVIPQELASLDFLAILNLSYNKLEGRIPQSPHFSTFSSISFLGNKGLCGLPLSTGCSNTTSLNVIPSEKNPVDIVLFLSAGLGFGLGFAIAIVVAWGIPIRKRSTVRQRAL >OGLUM01G04290.1 pep chromosome:ALNU02000000:1:3305508:3308576:1 gene:OGLUM01G04290 transcript:OGLUM01G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYKLLFMPLLLLLLHTQLVVPSSSATSATHTSHTGAPPPAVPCMPDQASALLRLKRSFSITNKSVIAFRSWNAGEDCCRWEGVRCGGGGGAAAGGRVTWLDLGDRGLKSGHLDQVIFKLNSLEYLNLAGNDFNLSEIPFTGFERLSKLTHLNLSSSNFAGQVPVHSIGQLTNLISLDLSFRFKVTELFDMGYLYTGAFAHEWQLVLPNLTALVANLSNLEELRLGFLDLSHQEADWCNALGMYTQNLRVLSLPFCWLSSPICGSLSNLRSLSVIDMQFSGLTGRFPDFCANLSSLSVLQLSFNHLEGWVPPLIFQNKKLVAIDLHRNVGLSGTLPDFPVDSSLEILLVGHTNFSGTIPSSISNLKSLKKLGLDASGFSGELPSTIGTLRHLNSLQISGLEVVESFPKWITNLTSLEVLEFSNCGLHGTIPSFIADLKKLTKLALYACNLFGEIPQHIFNLTQLDTIFLHSNSFTGTVELASFLTLPNLFDLNLSHNKLTVINGESNSSLTSFPNIGYLGLSSCNMTRFPNILRHLNKNEVNGIDLSHNHIQGAIPHWEWENWKDAQFFFLNLSHNEFTNVGYTIFPFGVEMLDLSFNKFEGPIPLPQNSGTVLDYSNNRFSSIPPNISTQLRDTAYFKASRNNISGDIPTSFCTNKLQFLDLSFNFFSGSIPPCLIEVAGALQVLNLKQNQLHGELPHYFNESCTLEALDFSDNRIEGNLPRSLASCRKLEVLDIQNNHIADSFPCWMSALPRLQVLVLKSNKFFGQVAPSVGEDSSCEFPSLRILDLASNKFSGTLSEEWFTRLKSMMIDSVNGTSVMEYKGDKKRVYQVTTVLTYKGSTMRIDKILRTFVFIDVSNNAFHGSVPKAIGELVLLNTLNMSHNSLTGLVPTQLSHLNQMEALDLSSNELSGVIPQELASLHFLTTLNLSYNRLVGRIPESTQFSTFLNNSFLGNDGLCGPPLSKGCDNMTLNVTLSDRKSIDIVLFLFSGLGFGLGFAIAIVIAWGVPIRKWSLLGQGVP >OGLUM01G04300.1 pep chromosome:ALNU02000000:1:3309410:3309709:-1 gene:OGLUM01G04300 transcript:OGLUM01G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAPSRGPRPAPATWWMRRRTKGLKPAILVASAGHGQHLPARQGQGGRDAPPSYARAHRARPFRCPDAARRPSSPARKCDPSKLEGGDMELDQGKSQ >OGLUM01G04310.1 pep chromosome:ALNU02000000:1:3312207:3318287:1 gene:OGLUM01G04310 transcript:OGLUM01G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQTKTLLMLSLHLLIPCSSAAATYTNHTRAISPAVPCLPDQAAALLQLKSSFSITNESMAAFDSWKAGEDCCRWEGVSCGDADGRVTWLDLGDCGLESGQLDPVLFKLTSLEYLNLSGNDFNMSEIPSTGFERLSKLTHLNLSSSNIAGQVPVHSIGQLNSLVSLDMSSRFGLSELFDDRYTVYGGDSTAHAWQLIVPNVTTLVANLINLKELRLGLLDLSNIGAEWCSALSKYTPNLRVLSLPFCSLNGNICRTLSSLRSLSVIDLQYNHLTGSVPEFFANYSSLSVLQLSYNYLEGWVSPLIFQNKKLVTIDLHRNHDLSGTLPNFPVGSSLESLLVSLTNFSGGIPSTISNLKSLKKLGLGASGFSGELPSSIGTTYFKASRNNLSGDIPPSFCSSNLQFLDLSYNLLSGSIPSCLIEDANALQVLNLKQNQLHGELAYNMNESCTLEDLDFSDNRIEGNLPRSLVSCRKLEVLDIQNNQINDSFPCWMSVIPRLQVLILKSNKFFGQVTPTVAEESTCEFPSLRILDLASNKFSGTLSDAWFMRLKSMMIESTNETLVMEFEGEQHRVYQVNTVLTYKGSAIAISKILRTFVFIDVSNNAFHGSIPKSMGELVLLHALNMSHNSLTGPIPSQLGHLNQMEALDLSSNELLGVIPQELASLDFLGTLNLSYNKLEGKIPESLHFSSFSNSSFLGNDALCGPPLSEGCSNMTLLNLIPSEKKYVDVMLFLFSGIGFGLGFAIAIVVSWGIPIRKRSLVRQRAL >OGLUM01G04320.1 pep chromosome:ALNU02000000:1:3321952:3328289:1 gene:OGLUM01G04320 transcript:OGLUM01G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPKQRINILILFLCSYSIHSDTAAQHDTAVHCRPDQASSLLRLKASFIGTNLLPSWRAGSDCCHWEGVTCDMASGRVISLDLSELNLISNRLDPALFNLTSLRNLSLAYNDFLGAALPASGFAQLTNMIHLNFSYSSFSGQIPIGIGSLKKLVTLDFSRNYGLYFVKPSFQTVMANLSNLRELHLDDVNILSSRSSWSVILADNTPQLEILSLSQCGISGSIHSSFSRLRSLKIIDLSVNSELNGKVPEFFAELSSLSILDISGNSFEGQFPTKIFQLKSLRTLDLSMNTDLSINLPEFLDGNNLETLSLTWTNLPYHTPSSFANLKSLKSLAISTTGTSKELLPSLIGELPSLKELEMWGSEWSLEKPVLSWVGNLKQLTDLTLGSYDFSQSTPSWIGNLTSLATLEMWGCNLSTSIPHQIGNLANLTSLMFEDCDLFGQKIPSWIGNFTKLRDLWIENCCLSGPIPSTIGNLTQLEYLIIRSNDQLNGKIPQLLFTLPGLECVDVRANQLSGSLEDIPSPLTSSLSSIDLSYNQLSGLIPKSFFQLTNLNYLNLGSNKFIGSVELSSVWKLKNLDFLSLSNNLISLIDDEGETVSPSLPNIRYLHLASCKLTKIPGTLRYLDAISDLDLSSNQITGAIPRWIWENRTYQLNSLDLSHNMFTTVEQSPSLVNIAYLTYLDLSFNRLQGIIPIPVTTSSEIALDYSNNHFSSIVPNFGIYLENASYINFSNNKLSGNVPSSICNASKAIIMDISGNNYSGSVPACLTGSVNLSVLKLRDNQFHGVLPNNSREGCNLQSIDVNGNQIEGKLPRSLSYCQDLELLDAGNNQIVDSFPFWLGKLPNLRVLVLRSNKINGTIRGLKSGYQNSDYFTRMQIIDLASNHLSGNIHSEWFEHLQSMMNLTDDKQILEYSTKARIKALYQDNTTITYKGNTLTFTKILTTFKAIDLSDNSFGGPIPKSIGKLASLHGLNLSHNAFTGQIPSQLNTLTQMESLDLSWNKLSGEIPLELTSLTSLASLNLSYNNLTGRIPQGNQFGSFSSSSFEGNANLCGKPLSKQCDTPGSTSRNASATSETSSFWQDRLGVILLFFFSGLGFTVGFILAVWFQSFFHIERWTHKH >OGLUM01G04330.1 pep chromosome:ALNU02000000:1:3356748:3361207:1 gene:OGLUM01G04330 transcript:OGLUM01G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWCKFMSAPGAFICVPSKHIYICSALGASTQDSSKMMISTKQRLPTPILILLICCYSIHTAANNDTTVPCLPEQASSLLQLKNSFINNANLSSWRAGSDCCHWEGITCGMASGRIISLDLSELNLMSNRLDAALFNLTSLRNLNLASNYFWRAELPVSGFERLTDMIHLNFSHSGFYGQIPIGLACLMKLVTLDFSSNDGLYFDEPSFQTVMANMSNLRELHLDEIEIFGSTWSVVLADNIPQLEILSLFACRISGPIHSSFSRLRSLKVINLGYNFGLPSKVPEFFAELSSLSILEIAGNSFEGQFPTKIFHLKSLRTLDLSHNPNLSINLPEFPDGNNLETLGLAATNLSYHIPSSFANLKSLKRLGMSTARTSKELPSLLGKLPSLTELELQGSESGLEKAVLSWVGNLKQLTALELRWYDFSESAPSWIGNLTNLKFLWIWDCNFSGSIIPYQIGNLAKLETLDFRSCEFFGQQIPPWIEMDSCGFSGSIPSTIGNLTQLESLRITSNPQLNGEIPQSLFALPRLENVYLQENQLSGSLEDIPSPLTSSLSCIDLANNQLSGPIPKSLFHLTNLNYLILESNKFTGTVELSSVWKQKNLFHLSLSNNLISLIDDEGETVPPSLPNIKYLYLSSCNLTKIPGTLKYLDAVSLLDLSSNQITGSIPNWIWENWKGNLKSLNLSCNMLTTLEQSPSLVNMSNLAYLDLSFNRLQGSIPIPVTISREIALEYSNNHFSSVVSNFGIYLKNASYINFSSNKLSGHVPSSICNASKAIIIDLSGNNYSGSVPACLTGSVNLSVLKLRDNQFHGVLPNNSREGCNLQSIDVNGNRIEGKLPRSLSYCLDLELLDAGNNQIVDSFPFWLGKLPNLRVLVLRSNKLNGTLRGLKSGYQNSDYFTRMQIIDLASNHLSGNIHSEWFEHLQSMMNLTDDKQILEYSTKARIKALYQDNTTITYKGNTLTFTKILTTFKAIDLSNNSIGGPIPKSIGKLASLHGLNLSHNAFTGQIPSQLNTLTQMESLDLSWNKLSGEIPLELTSLTSLASLNLSYNNLNGRIPQGNQFGSFSNSSFEGNVNLCGRPLSKQCDTPGSTSPSASSPSDTNSFWQDRLGMILLFIFSGLGFTVGFILAVWFQSLCHIERWIHKHR >OGLUM01G04340.1 pep chromosome:ALNU02000000:1:3360307:3360996:-1 gene:OGLUM01G04340 transcript:OGLUM01G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTVNPRPEKMNKRIMPSLSCQKLLVSEGDDALGDVEPGVSHCLESGLPQRLTLPSKELLLNDPNWLPCGILPFKLLYERFNEAREVREVNSRGISPESLFQERSNDSIWVRVLSCDGICPVKALCDRLSPCSEASFPIDFGIGPPIELFERSIALKVVRILVNLDSSLIANVQTILNVCCQINDWRPNQLFASL >OGLUM01G04350.1 pep chromosome:ALNU02000000:1:3363865:3364269:1 gene:OGLUM01G04350 transcript:OGLUM01G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSGELHYPSGGGYHGLGCAGLREVGTELRVRRVLRGGGEGRRGAEASPQPVAAASQALQGGMRYSLQAQGWPGETPPALVQTLPPPDSAAAHLRFGGVAGRQSRWPRVPARSNWWRWLGIPVYGQARCLVLR >OGLUM01G04360.1 pep chromosome:ALNU02000000:1:3367069:3371401:-1 gene:OGLUM01G04360 transcript:OGLUM01G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVARAGAGHCFQAARQARRQELGAGKLIYFSRLQLCPAIRNSLQRVVANGMVERRGSEDGGGSGPKVDGDVQGIAAADPGILAWHRDNDERQRKWAANLRGTPPGHFILRAFNQLYEANGHQVPDFLWTMAKKITSVFTG >OGLUM01G04360.2 pep chromosome:ALNU02000000:1:3367227:3371401:-1 gene:OGLUM01G04360 transcript:OGLUM01G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVARAGAGHCFQAARQARRQELGAGKLIYFSRLQLCPAIRNSLQRVVANGMVERRGSEDGGGSGPKVDGDVQGIAAADPGILAWHRDNDERQRKWAANLRGTPPGHFILRAFNQLYGIFC >OGLUM01G04360.3 pep chromosome:ALNU02000000:1:3365050:3367069:-1 gene:OGLUM01G04360 transcript:OGLUM01G04360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISPHRAGVNVRAAGQDGRRLRGVLPVYHYQNITVEDYARSVVSNKLEGIFFGATKINSSMVTILL >OGLUM01G04370.1 pep chromosome:ALNU02000000:1:3371522:3371773:-1 gene:OGLUM01G04370 transcript:OGLUM01G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCICGGARQQAAPRLSVACFFRPDYAYTKVYAPTLHSYSDAPPPPPVYRSTTMPKFLSHYRAKGLDGRSALDHFRIPPSSPPH >OGLUM01G04380.1 pep chromosome:ALNU02000000:1:3371889:3372209:-1 gene:OGLUM01G04380 transcript:OGLUM01G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTLTLAAAAPSSLSSLPHPYPLPSRRRLRLRLPPLPPPPPRAAASTAATASTSTAVASPLARRAAISASATAVTSRRRCQLLHPAAGVRLFVDELKAQILAAR >OGLUM01G04390.1 pep chromosome:ALNU02000000:1:3372595:3373691:-1 gene:OGLUM01G04390 transcript:OGLUM01G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDRSRETKLVRLPIPAGIWPAKLGGEMLRWVSSVSLSNPVAGSCDALKSLPPRLRYLSDVRLKTAASRPPLCRRRPPRSREVTRPPPPPSPSQRTPAQRQQSVPARHDRNAVADAVVAENDRFSWSSAAAWSGKHGSEFAIKICMRRRTSTSKNGSFSRWATRGDILAGGGNEN >OGLUM01G04400.1 pep chromosome:ALNU02000000:1:3372623:3385824:1 gene:OGLUM01G04400 transcript:OGLUM01G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVAQRENEPFLLVLVLLLIQILIANSLPCLPDQAAALLQLKRSFSATTASATAFRSWRAGTDCCRWAGVRCDGDGGGGGRVTSLDLGGRRLQSGGLDAAVFSLTSLRYLNLGGNDFNASQLPATGFERLTELTCWLVQATNVGEDSVLVKLRPIALIPNITHLNISPPSFAGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDSATVMSNLLPSWGFSRVNFEKLIANLGNLRELYLGLVYMSNGGEVIDNDRTKTEASCHNRKIHMQGSTVSLKQAWMTRDVKFSHEEE >OGLUM01G04400.2 pep chromosome:ALNU02000000:1:3385060:3398675:1 gene:OGLUM01G04400 transcript:OGLUM01G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLILLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRNNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQLPPAKMSPRVAQREYEPLLLVLLLLQTLIASSIPCLPDQAAALLQLKRSFSATTASATAFRSWPAGTDCCRWEGIRCDDGGGRVTSLDLGGRHLQSGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDGATVMSNLLPSWGFSRVNFENLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCQISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKLDGLFPPRIFHNRKLTVIDIGYNYEIYGNLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSSIGMLKSLSLFEVSGLGLVGSMPAWITNLTSLTELQFSHCDLSGSLPSSIGNLKNLRRLSLFKSNFSGNIPLQIFNLTQLHSLHLPLNNFVGTVELTSFWRLPYLSHLDLSNNKLSVVDGLVNDSAVSSPKVTFLRLASCNILKFPNALRHQDKIDFLDLSNNQIHGAIPPWAWETWKNLFFLDLSNNKFTSLGHDTLLPLDTRYINLSYNMFEGPIPIPEESTASQLDYSNNRFSAMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLRILDLSQNILNGSIPSCLMENSSTLKILNLRGNQLRGELPHNIKEDCAFEALDFSYNRFEGQLPTSLVACKNLVVLDVGNNQIGGSFPCWMHLLPKLQVLVLKSNKFYGQLGPTLAKDEDSCELQDLRILDLASNNFSGILPGGWFIKLKSMMSVSSNETLVMKDGDTYGAFYHKPYIFTTRVTYKGLDLTFTKILKTLVLIDVSNNRFHGSIPETIATLSMLSSLNMSHNAITGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGRIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >OGLUM01G04400.3 pep chromosome:ALNU02000000:1:3385060:3399760:1 gene:OGLUM01G04400 transcript:OGLUM01G04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLILLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRNNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQLPPAKMSPRVAQREYEPLLLVLLLLQTLIASSIPCLPDQAAALLQLKRSFSATTASATAFRSWPAGTDCCRWEGIRCDDGGGRVTSLDLGGRHLQSGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDGATVMSNLLPSWGFSRVNFENLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCEISGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGRIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >OGLUM01G04400.4 pep chromosome:ALNU02000000:1:3385060:3399760:1 gene:OGLUM01G04400 transcript:OGLUM01G04400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTHRCLHSHKLPLLILLIAIVVILIQPYSIHAAANSSNTTIRCLTSQSSALLQLKSSFHDASSLSSWQPDTDCCRWEGVTCRMASGHVVVLDLSDGYLQSNGLHPALFNLTLLTNLALSGNDFMGAQLPDSGFERLSKLVSLDLSATNFAGQIPIGIGNLSSMLALDLSNNPNLYLSEPSFQTFIANLSNLRELYLDEMDLSSSGSTWSSDLAASAPQIQILSFMSCGLSGFIDPSFSRLRSLTMINLRLNVISGMVPEFFANLSFLTILELSGNAFEGQFPTKIFQLKRLQFIDLYWNDKLCVQLPEFLPGSRLEVLDLILTNRSNAIPASVVNLKYLKHLGLTTVEASMNSDILLIRELHWLEVLRLYGGSGQGKLVSFSWIGSLKHLTYLELGNYNFSGLMPSSIINLTNLTSLTLYNCSMSGPIPSWIGNLIQLNNLNFRNNNLNGTIPKSIFALPALQSLYLDSNQLSGHLEDIPIPSSSSVYDIDLSNNWLHGPIPKSFFCLPNLEYLNLESNHLTGIVELRPFWRLRSLYFLGFSNNKLSVIDGEDSPSQYLPKIQHLGLACCNLTKLPRILRHLYDILELDLSSNKIGGVIPGWIWEIWKDTLGSLDLSNNAFTSLENSPSLVTFTHLSHLNLSFNRLQGEIPIPAISLPYGVVVLDYSNNGFSSILRTFEGRSLRVLNLRGNKFNGMLPKGIKEGCKLETIDLNSNQIEGRLPRTLSNCKSLELLDVSNNHILDLFPLWLGNLPKLRVLVLRSNQLYGTIKGLHNSDLTRDHFSSLQILDLANNTLSGQLPPKWFEKLKSMMANVDDGQVLEHQTNFSQGFIYRDIITITYKGFDMTFNRMLTTFKAIDFSNNSFVGVIPGTIGSLVSLHGLNMSHNNFTGAIPQQLGNLAQLESLDLSWNQLSGVIPHELTFLTSLAWLNLSNNNLTGRIPQSNQFLSFSNSSFEGNLGLCGRPLSKDCDSSGSITPNTEASSEDSSLWQDKVGVILLFVFAGLGFVVGFVLTIIFQLVCQLPPAKMSPRVAQREYEPLLLVLLLLQTLIASSIPCLPDQAAALLQLKRSFSATTASATAFRSWPAGTDCCRWEGIRCDDGGGRVTSLDLGGRHLQSGGLDTAVFSLTSLRYLNLGGNDFNGSQLPATGFEMLTELTHLNISPPSFTGQIPAGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGRIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >OGLUM01G04400.5 pep chromosome:ALNU02000000:1:3372623:3398675:1 gene:OGLUM01G04400 transcript:OGLUM01G04400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVAQRENEPFLLVLVLLLIQILIANSLPCLPDQAAALLQLKRSFSATTASATAFRSWRAGTDCCRWAGVRCDGDGGGGGRVTSLDLGGRRLQSGGLDAAVFSLTSLRYLNLGGNDFNASQLPATGFERLTELTCWLVQATNVGEDSVLVKLRPIALIPNITHLNISPPSFAGQIPAGIGSLTNLVSLDLSSRIYVVNQGDDSATVMSNLLPSWGFSRVNFEKLIANLGNLRELYLGLVYMSNGGEGWCNALANSTPKIQVLSLPLCQISGPICQSLFSLRSLSVVDLQGNDLSGAIPEFFADLSSLSVLQLSRNKLDGLFPPRIFHNRKLTVIDIGYNYEIYGNLPNFPPNSSLIKLHVSGTKFSGYIPSSISNLTGLKELGLSANDFPTELPSSIGMLKSLSLFEVSGLGLVGSMPAWITNLTSLTELQFSHCDLSGSLPSSIGNLKNLRRLSLFKSNFSGNIPLQIFNLTQLHSLHLPLNNFVGTVELTSFWRLPYLSHLDLSNNKLSVVDGLVNDSAVSSPKVTFLRLASCNILKFPNALRHQDKIDFLDLSNNQIHGAIPPWAWETWKNLFFLDLSNNKFTSLGHDTLLPLDTRYINLSYNMFEGPIPIPEESTASQLDYSNNRFSAMPFDLIPYLAGALSLKVSMNNVSGEVPSTFCTVKSLRILDLSQNILNGSIPSCLMENSSTLKILNLRGNQLRGELPHNIKEDCAFEALDFSYNRFEGQLPTSLVACKNLVVLDVGNNQIGGSFPCWMHLLPKLQVLVLKSNKFYGQLGPTLAKDEDSCELQDLRILDLASNNFSGILPGGWFIKLKSMMSVSSNETLVMKDGDTYGAFYHKPYIFTTRVTYKGLDLTFTKILKTLVLIDVSNNRFHGSIPETIATLSMLSSLNMSHNAITGPIPNQLASLHQLESLDLSSNKLSGEIPKKLASLDFLSTLNLSDNMLEGRIPESPHFLTLPNSSFIRNAGLCGPPLSNECSNKSTSNVMPHLSEEKSADVMLFLFVGLGFGVGFAIAIVVRKPCIGKYT >OGLUM01G04410.1 pep chromosome:ALNU02000000:1:3399302:3399547:-1 gene:OGLUM01G04410 transcript:OGLUM01G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKCGVGAAVSSSGCPRAVVEGLRRRRRRRLRAPPHVVLSPASSHSECSANSLSHPHRRLYTEIPNHRHRNGGTRTGLRR >OGLUM01G04420.1 pep chromosome:ALNU02000000:1:3400203:3400775:-1 gene:OGLUM01G04420 transcript:OGLUM01G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLPLAILVFVSLVAASATANYGYTTPSPSPPPPPPQQYTPPAQSDKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRAIVRCRRAVADDNGYFLAELDETNVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNIMYATGPLAFRPAICPPKH >OGLUM01G04430.1 pep chromosome:ALNU02000000:1:3402688:3403092:-1 gene:OGLUM01G04430 transcript:OGLUM01G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHCQSCAQRNTHSLKGAKPLPKAEVSVICHDANNRVMVRCRRAVANDNGYFLTELDETKVSDFYMGDPRKACYVRLRASPDFECNNSTNINYSSIEGAPLHDEGKRWADHGYYNVMYATGPLAFRPAICPPKH >OGLUM01G04440.1 pep chromosome:ALNU02000000:1:3405662:3406231:-1 gene:OGLUM01G04440 transcript:OGLUM01G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAILVFVSLLAVGATANYGYTTPPPPPPPSQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDANNHVMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVQLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKHH >OGLUM01G04450.1 pep chromosome:ALNU02000000:1:3421025:3421597:-1 gene:OGLUM01G04450 transcript:OGLUM01G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAVIVFVSLLAAGATANYGYTTPSPPPPPPPQQQYTPPAHSNKLLVKVEGMVYCQSCAQRNTHSLEGVKPLPKAEVSVICHDAKNRVMVRCHRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKH >OGLUM01G04460.1 pep chromosome:ALNU02000000:1:3422368:3423462:1 gene:OGLUM01G04460 transcript:OGLUM01G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAARNTAMSLSSMWRYENEPPAEGISVASRGLGAPDLAPSLSSDQVERGQATTAQ >OGLUM01G04470.1 pep chromosome:ALNU02000000:1:3426604:3427005:-1 gene:OGLUM01G04470 transcript:OGLUM01G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCQSCAYRNTHSLNDAMPLPKAEVSVTCHDAKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPEFKCNNPTNINYSSIEGASLRDEGKRWTGEGYDNVMYAAGPLAFRPAICPPKH >OGLUM01G04480.1 pep chromosome:ALNU02000000:1:3427684:3432709:-1 gene:OGLUM01G04480 transcript:OGLUM01G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMADFLPLAILVFVSLMSTGATANYGYTTPSPSPPPPPPQQYTPPAHSDKLLVKVEGMVYCQSCMQRNTQSLEGAKPLPKAEVSVICHDAKNRAMVRCRRAVANDNGYFLAELDETKVSDFYMGDPRKACYVRLRASPDIECNNPTNINYSSIEGAPLRDEGKRWADHGYYNGMVYCQSCAYRNTHSLNGAMPLPKAEVSVTCHDTKNRVMECKRAIADESGYFQTELGVTKVSDFFMGDPSKACHVRLQASPDFKCNNPTNINYSDIKGAPLRDEGKRWTGQGYDNTRRSQ >OGLUM01G04490.1 pep chromosome:ALNU02000000:1:3432767:3433342:-1 gene:OGLUM01G04490 transcript:OGLUM01G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAILVFVSLLAAGATANYGYTTPSPSPPPPPPEQQYTPPAHSDKLLVRVEGMVYCQSCAQRNTHSLEGAKPLPKAEVSVICHDAKNRVMVRCRRAVTDDNGYFRAELDETKVSDFYMGDPRKACYVRLRASPDFECNNPTNINYSSIEGAPLRDEGKRWADHDYYNVMYATGPLAFRPAICPPKH >OGLUM01G04500.1 pep chromosome:ALNU02000000:1:3444032:3448564:-1 gene:OGLUM01G04500 transcript:OGLUM01G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLHLAILISLLAAGATANNGYTTPSPPPPPQQQHTPSPPPPPAPAAHQSSDKVLVRVEGKVYCQSCEHRNSWSLDGARPLRGAEVSVTCRDAKNRAAWWRLAVTDESGYFLAEFGVTGASDFLGADPRGACYARLLSSPDRKCNGLTNINAGMVGAPLRDEGKRWPGQGYDNVQQYTPPAHSDKLLVRVEGMVYCQSCAYRNTHSLDGAVPLPKAEVSVTCHDAKNRVMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHVRLQASPDFKCNNPTNIIDKLLVRVEGMVYCQSCAYRNTHSLNSAVPLPKAEVSITCHDTKNRIMECKRAIADESGYFLTELGVTKVSDFFMGDPRKACHMQLQASPDFKCNNPTNINYSDIKGAPLRDEDKRWTGQGYDNVVYAAGPLAFRPAICPPKH >OGLUM01G04510.1 pep chromosome:ALNU02000000:1:3450124:3455220:1 gene:OGLUM01G04510 transcript:OGLUM01G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15B [Source:Projected from Arabidopsis thaliana (AT5G58470) TAIR;Acc:AT5G58470] MSGSYGSDDYRGGGGGGGYGGRGGGGGGRGRGGGGGGGGYGGGGGGGGYGGGGGGGGYGGGGRGGGGGGYGGGGGGGRGGSAGGGGRGGGGRGAGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGAGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGLGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPTYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGGGYTGSAAPEPAGKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >OGLUM01G04510.2 pep chromosome:ALNU02000000:1:3450124:3455220:1 gene:OGLUM01G04510 transcript:OGLUM01G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 15B [Source:Projected from Arabidopsis thaliana (AT5G58470) TAIR;Acc:AT5G58470] MSGSYGSDDYRGGGGGGGYGGRGGGGGGRGRGGGGGGGGYGGGGGGGGYGGGGGGGGYGGGGRGGGGGGYGGGGGGGRGGSAGGGGRGGGGRGAGRDGDWVCPDPSCGNVNFARRTECNKCGAPSPAGGGGGGGGYNKSGGGGGGYNRGGGDFSSGGGGGYNRGGGDYNSGGRGGGAGGGGRGGGYNRGGGDDRGFDDHRGGRGGYGGRDQGNNQRGDESGYDAGSYGQVPPQGPPSYGGLGGDYAAPPSSYGGNNAYNSDSAVPPPSSYGGGPGSYPPSYGAPPPNPPYSGGAPGGQGSLPPTYDGGYGGRPMPGGGGPGAPPPYHGGGGGGGGGGGGGGGGYTGSAAPEPAGKVKQCDANCDETCDNARIYISNLPPDVTVEELQELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDDSGKNKGDACLAYEDPSAAHSAGGFYNNYEMRGYKISVAMAEKSAPRAPAYGHGGGRGGYGGGRRDNFRDGGGHGPNRHQGGGSRSRPY >OGLUM01G04520.1 pep chromosome:ALNU02000000:1:3456086:3459356:-1 gene:OGLUM01G04520 transcript:OGLUM01G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3N7] MNPPESLNQQQEEVSPARAAREQQTRRRDAMSSSSSSLAAAAAAARKRALTEQRFSELSPALSPEVVKALKGGGFRRCTPVQAAAIPLLLSHKDVAVDAATGSGKTLAFVVPVVEILRRRPSPPKPHEVLGIIISPTRELSSQIYNVAQPFFATLKGVSSMLLVGGFDIKAELKKLEEEGANILVGTPGKLFDVMERLDTLNYKNLEILILDEADRLLDLGFQKQITSIISKLPKLRRTGLFSATQTEAVKELAKAGLRNPVRVEVKTEVKPTSKDGAQQELGPSKTPLGLRLEYMICEASNKSSQLVDFLVQNNGKKIMVYFATCACVDYWAIVLPLLDSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPHVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKRRGVPLTERECSTNAVDIVPQIRSAALEDRNVMEKGLTAFVSFVRAYKEHHCSYIFSWKDLEIGRLGMEYGLLQIPSMPEVKHHSLSLEGFTPVKDVDVTKIKYKDKAREKQRQKTLKRKAEELAQRPEIERRKAPEKPEKPKRKKTGKQRQAVQTKEDMDELANEYRLLKKLKRGVIDEDEYEKLTGFGESDGEDSSDGGDSDPDERKERGNKVRKKPKQKGKAKGSRRFEGRSKQKSRRR >OGLUM01G04530.1 pep chromosome:ALNU02000000:1:3460150:3462767:-1 gene:OGLUM01G04530 transcript:OGLUM01G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G30170) TAIR;Acc:AT2G30170] MAASSTATRLSPPRLHVPTTPSPHPPFRRSRFSPLRAAKLEAVLSIGTHLIPHPRKAETGGEDAFFVNGDDGGVFAVADGVSGWAEKDVNPALFSRELMAHTSTFLKDEEVNHDPQLLLMKAHAATTSVGSATVIIAMLEKTGILKIASVGDCGLKVIRKGQVMFSTCPQEHYFDCPYQLSSEAIGQTYLDALVCTVNLMEGDMIVSGSDGFFDNIFDQEIVSVISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPSWKKFIGGKLIGGKMDDITVIVAQVKAVMIPDDEGVDEEKGQGDEQGSAVAVASSEQKED >OGLUM01G04540.1 pep chromosome:ALNU02000000:1:3463057:3470307:1 gene:OGLUM01G04540 transcript:OGLUM01G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRWSAEVGASRSGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >OGLUM01G04540.2 pep chromosome:ALNU02000000:1:3463057:3470307:1 gene:OGLUM01G04540 transcript:OGLUM01G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLSMRSSMSMRSRRDLPPPQQTIEKLENMVAEGNYYEAQQMYKSTGARYIAAQKYLEALDILQSGALVQLKHGQVTCGGELAIMFVDTLVKAALPYNEETFDRIRKMYDAFPRISVPHFLGDDYDDDGQKLSEAISAAKVRSESCSSFLRAAIRAEILCLFNCYSLMPLWFAKVRVDCRWMQDMTKVSSHFVRGNDPKKFASMLANFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDELKDQLKSADLEIPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYKTSTDRDPVFEELLDEIAAKFYGIRSQSALEGLFGDMFRV >OGLUM01G04550.1 pep chromosome:ALNU02000000:1:3470732:3481443:-1 gene:OGLUM01G04550 transcript:OGLUM01G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAPPPPPAAGSEAPLPRPDPQPVATALGEARSGDAILEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSSERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVCVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDCGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNIREENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGSENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLERLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDTDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRRNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGRREGLITIWNPTEKQKSNLVEGQIYSVTGLLASSYFTEVSYLSGRGSSTAWTPLATAQTTNFEPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGHDWHVEG >OGLUM01G04550.2 pep chromosome:ALNU02000000:1:3470732:3481443:-1 gene:OGLUM01G04550 transcript:OGLUM01G04550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRWQVWGKPDGSLVWVPASDGPPSAPPPPPAAGSEAPLPRPDPQPVATALGEARSGDAILEGPGAADGCSVPSMADLFNQALDKLVAADRMAEAIEDSGKGAVFCTGLGGSVAVSERAVERAKALVGEVAEETSSERRQPFGDGSNLECGLGESNVSFKGGVHKDSLSPMFQTGSGKMVSLSKGSIQKARAVLEGNAENSSVIAVQSMFHTGLVRPDPVSRSSTDNAMTVLEGQTNPKQGDVADVYDKENFPLFQTGSGKAVSVCVASIQKAKAVLEQNNTENTEDFGRPDQSLIFQTGSRRPVLISERSSSVVKDGGAENIVFQTGLGRPVVVSQTSIQKARTVLDQECAKRSGHGDTNVSTTTFQTETPTSVLMSGGLTMNDRSVTPEGGVSMQGNFLGADGHLPLFQTGLGRSISVSKGSIKRASALLEPRNITKELEDEAHSDDGCATPMFKTGSGRSITASENSRKKAHVVLEGEEPVKNVNNDTGEAIAPMLHAGMQKFAPQNRNSSHKAITLMEQGSSMKEEYIDCGNEPPMFRTGSGKSVLISHSSVQKARAVLEEEGNIREENHKQLSNVDKYIPIFTSPLKTSCARTVHISSVGVSRAATLLGLEENTLSTQLLGHVGDKLGTKITVERENSEHQFGVASVSGISGGCPISSGPAENQVLMDPHQHFAFSKTTFSDSSEQAIRFSTAGGRTMAISSDALQRAKNLLGESDLEVSPNNLLGHSSASACKENIQNSTGLRKEGEPDLLKSRGNSKTEPAQFSIPAKPDRKHTDSLEYAVPDATLANGNSVRLHAARDFHPINEIPKIPKPSSRCSFGSENASDTKDKARRLQMPSGPLIDITNYIGTHSVNTDYLAGEKRRFGGRNSISPFKRPRSSRCTIFFTLLTYNSCDQTEDVTDEVKLMDAKKAEKYKFKTDTGAEEFQKMLLACGASLTYTTKEWVSNHYKWIVWKLASLERCYPTRAAGKFLKVGNVLEELKYRYDREVNNGHRSAIKKILEGNASPSLMMVLCISAIYSCPDLNNSKPEDDRAHTDDDNSENKSLRAAKRNMSTKIELTDGWYSLDASLDLALLERLEKRKLFIGQKLRIWGASLCGWAGPVSFHEASGTVKLMIHINGTYRARWDETLGLCKHAGVPLAFKCIKASGGRVPRTLVGVTRIYPVMYRERFSDGRFVVRSERMERKALQLYHQRVSKIAEDIQSEHGEHCDNTDDTDEGAKICKMLERAAEPEILMSSMNSEQLLSFSYYQEKQKIVRRNEVAKKVENALKVAGLSSRDVTPFLKVRVMGLISKHSATKSGRREGLITIWNPTEKQVRPFFTPRKAVELSHFGEVPLTSEFDIAGVILYVGNVYLLNNQNRQWLFLTDGSKFISGEKYEEQDDCLLAVSFSSKTTGEDSAFFNYALSGHIVGFSNLVKRDKDQMRHVWVAEATESSTYSLSHEIPKKSHLKEAATSAEKWASNSHPMIQHLKERVLQIVGDSGHDWHVEG >OGLUM01G04560.1 pep chromosome:ALNU02000000:1:3482420:3487502:1 gene:OGLUM01G04560 transcript:OGLUM01G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMISNGPATIPSDEKDELESPPFIVANGPAVLYRPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEECQEGDDGFSLFSY >OGLUM01G04560.2 pep chromosome:ALNU02000000:1:3482420:3487502:1 gene:OGLUM01G04560 transcript:OGLUM01G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMISNGPATIPSDEKDELESPPFIVANGPAVLYRPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEECQEGDDGFSLFSY >OGLUM01G04560.3 pep chromosome:ALNU02000000:1:3482420:3487287:1 gene:OGLUM01G04560 transcript:OGLUM01G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGEGRRGDCSVQVRKKRTRRKSDGPDSIAETIKWWKEQNQKLQEENSSRKAPAKGSKKGCMAGKGGPENSNCAYRGVRQRTWGKWVAEIREPNRGRRLWLGSFPTALEAAHAYDEAARAMYGPTARVNFADNSTDANSGCTSAPSLMISNGPATIPSDEKDELESPPFIVANGPAVLYRPDKKDVLERVVPEVQDVKTEGSNGLKRVCQERKTMEVCESEGIVLHKEVNISYDYFNVHEVVEMIIVELSADQKTEVHEECQEGDDGFSLFSY >OGLUM01G04570.1 pep chromosome:ALNU02000000:1:3484771:3486724:-1 gene:OGLUM01G04570 transcript:OGLUM01G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPLDVWGWITNLPPFSQWRSNAMSLCICPTPSASESSQPSVNLSVVKTPPTQPSFVTFSIFANYRVPISLWTSKPVHLKSYTQQSLDEQEMLELFVDIVDWVLRSGPNKKPSFQFPRAQIHGNLKDMFNIVFLSLAFLVCIYEAPHALRCRCLESLRTQLTGPKCKDAAKTFVRMLGANLEDQWMQTMNLAVTNWIVEQRSSHNSFGVPSPLFSYALSASGLWKVQLYCPLIAMSMEDPAVPTQDERLLFSLTYQQLEGVIQLAYKTIRRDNWIDVEIKVDNIRCDVDSLVSEILMTERGHGSEEKHFPSRVMLQITPMQQSDVLSVSVSKSSDNPTHEFGLEKGIEGSFDPPNTFGLKASVSESLTLTMKPWKFEQSVHGNTTTLNWFLHDGVNGREVYSSKPSKFSLLQPRAWFRDRYSTVYRPFTKKGGVIFARDEYGDSVWWKICGAALGKTMDWEIRGWIWLTYWPNKQKTFHSETRRLEFRECLQLPLMKSP >OGLUM01G04580.1 pep chromosome:ALNU02000000:1:3488589:3493864:-1 gene:OGLUM01G04580 transcript:OGLUM01G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPENKYKSVIINNYRKDIPSHFRFELDHRQTRDLISLFLSAPVRANKNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIAPHTLPDVEVDLPSTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMALPVKLQELSSLQQKGANFLEDAPISTSAQSIRQDTRFAATLPKDSSNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMLMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAVGKWIYNLSMQQPRCAPAAAELNGVLYVIGGYDGNMSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTVDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >OGLUM01G04580.2 pep chromosome:ALNU02000000:1:3488587:3493974:-1 gene:OGLUM01G04580 transcript:OGLUM01G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPENKYKSVIINNYRKDIPSHFRFELDHRQTRDLISLFLSAPVRANKNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIAPHTLPDVEVDLPSTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMALPVKLQELSSLQQKGANFLEDAPISTSAQSIRQDTRFAATLPKDSSNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMLMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGKIFAIGGGDGSQSFSEVEMFDPAVGKWIYNLSMQQPRCAPAAAELNGVLYVIGGYDGNMSAERYDPREGFWTQLPRMRTRRGSHSVVVLGDSLHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTVDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >OGLUM01G04580.3 pep chromosome:ALNU02000000:1:3488589:3493864:-1 gene:OGLUM01G04580 transcript:OGLUM01G04580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGKKTQTENEFRELPEKELGGVVFCCNNNTFDECFTKQLFGLPQRNILYVKNVKPGLPLFLFNYSNRQLHGIFKATSTGQLNIDRFAWMSEQSNDAKTNAKTTPFPAQVRFSTRTECPPLPENKYKSVIINNYRKDIPSHFRFELDHRQTRDLISLFLSAPVRANKNKLSIPKPPATAHTVPNPWNRPLPFLTAKAPVVSDKVKSESNVKDVDQFNVSSHSHDIAPHTLPDVEVDLPSTSTTSRSNLNKDASGCDDLVAGLIKEDKESVDDDQHAKMALPVKLQELSSLQQKGANFLEDAPISTSAQSIRQDTRFAATLPKDSSNATSQCDTSLKDTSFVQCHEYAELYQIINDLSKKTEEMEKMKVMERKVEHLEQQLEKSHSSSAPLFGVTNDDVEGPSILLTGGHNGINWLSSLDSYCPATDILETLMLMSSARAYAAVATLKDHVFIFGGWNGIRSLWYNTVECYNRGANKWIGLPCLNHEKGHLAGATLNGQQKGMIQGKVSGPNFHVCGQEEDPIQHALGGLNRNTTFSSVEIFDTRANSWRRGSPLSVPRAHGCAVTVDGNAYLIGGIQSSEEYVETVEVYKEGQGWSISGSKAFGKRAFACAVAI >OGLUM01G04590.1 pep chromosome:ALNU02000000:1:3501481:3501987:1 gene:OGLUM01G04590 transcript:OGLUM01G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLRCCLACVLPCGALDLVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSQQGAAGRILIVSPESELKRGEIYFLIPAASVPDAKRRTSAAGAGRGHHVRSKSEGSAVADADRLGSASPETTRMMRAQKQQHHHHQHRRRMSTGSHASPWQPHLSCITEDP >OGLUM01G04600.1 pep chromosome:ALNU02000000:1:3518062:3519179:1 gene:OGLUM01G04600 transcript:OGLUM01G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRISGGTATWLIDCFDCPRGLVLVDRPCEGYSVCNPTTGEILRLPSLHRPHCATAMGFNAPGREFKMVYLSVDEVGKLDTIVLTVGDAQGWRAPAAGNHDASIVVGFTDDAAAASIDSDVQPVFADGFLHWIDLVLVDWNGDYRLLRAKVIRSESGEEVAVPVGKTLTELDDRLCMVRDVRHRSDVGGLLLKIWKLQDYDTGS >OGLUM01G04610.1 pep chromosome:ALNU02000000:1:3529098:3531074:-1 gene:OGLUM01G04610 transcript:OGLUM01G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQQVWDKWEIQLMVLLSFMLQLFLLLTGRLRRRSINSLLRVLIWLAYVGADLVAVYALGLFSQYEEKYMLGRHSFEDTLPLLWVPFLLVHLGGQDSITAFSIEDNNLWLRHLLNLGVQVTLCFYIFWKSIDRINSRVLITAAFVFASGVIKYGERTWALKSGSRDGLGKSSMISSKKEQSPRRSNRNDDIPSRMGRASYALQTVLLARGLFVGRTVLQLGYGVQEKLGNYFKTNSQELEAEEKLKLLAMELSMMFDLLYTKTMVLQSRVGWVFRCASQVFMVVAFILFLQLQTEKHASYNHNGVVNVAISYTLFVGAIFIEACSVAMVLASPWTKAHLEEGTFVSKNACSHFNYCMAPNLSISIGQFNHTDFSISHASTSRLTCKIISVFGLKKRWISLWYLKHVEAQGIFRHMVEWFEKSPEERFGRLQQLGRKLNYTLCLPFEHAIYRLQLYTDLHISRHYDPDLFCSDTTKQLKEECEKLSNYMKYLVSAYPSMLPISSVVDDGVLEPGTDLGKRNRDKVNILEENARQLFNQSEPDSACPFELALSESDLMRSLEEIKEMWTRMLVYAAGKCSGELCARQLGDGPELLTFVWLLMIHHGLGDAATEVKLLTSDDPSLPELGAVVAASGSNWGPRPEQPRYAFNFCRRQGAI >OGLUM01G04620.1 pep chromosome:ALNU02000000:1:3533671:3534384:-1 gene:OGLUM01G04620 transcript:OGLUM01G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFRLDRRRELPPSPNLPSNNDGAEQHDAPAFLGRIGAAPSPSTVRGVSGGELGASMAAALSSSSSKQRVGEGARAAAAGSRAGAGAAGGGRSGGGGWEGGRRFGRKGKRER >OGLUM01G04620.2 pep chromosome:ALNU02000000:1:3533671:3534384:-1 gene:OGLUM01G04620 transcript:OGLUM01G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAFRLDRRRELPPSPNLPSNNDGAEQHDRVGEGARAAAAGSRAGAGAAGGGRSGGGGWEGGRRFGRKGKRER >OGLUM01G04630.1 pep chromosome:ALNU02000000:1:3547196:3550543:1 gene:OGLUM01G04630 transcript:OGLUM01G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIYRFLCGLCSPSPEYQPHGAHPAVAALGRDIQQFEATSQVPDGLSRHVVSSKKAQANWYKKLIVTWKKARPAPRTPEEAARLVVTTLKNHQKADVEGFLVFYGLPIPNAAATASTPAPHTAHVPKPQGCKFELHTLPVDAKAVADGDTITVYIDTADPRESGNVPREIQKAAAERTRARAARDYQKADGLQKMIADAGYRQVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKMVQGKSLKVYVYDEDRYGRCVGDIYCDGVFVQEQMLKKGCAWHYTAYDQRPELAKWEKQAQSGRKGLWAASRPQKPWEWRRDKRNGTA >OGLUM01G04640.1 pep chromosome:ALNU02000000:1:3551070:3555444:1 gene:OGLUM01G04640 transcript:OGLUM01G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGAEFIRPKAQQNLQKVGPRKDTAHEPMIHIRKKPTSNAPRPTQLGSHATDARPPPRRRRLGLATASARLRRRRAGRACRLGAGWLAGLLLLQGQICGPERRGAPRARVLGGGMYAAHRHRRERGAHAHGGGGGGGGGGGGGAKERRGTSPVLFCFVAAAVLVGNACHALFPQKKVSSHGFNWIMSLTPKPQGVKYELHTLPVDSKAVTDGDTITVHVVTADHPGSLNVPQEVQRTAADRAEALMTKNYQRADELQKIILDAGFRLFCLEFSTTFSFLHIVSACHTSETFQCRQVTDSRGGQVLMKKYRIRLRGIDAPETSMPYGREAKEELTMLVQGKRLKISVYGNDRYSRLVGDVDCNGVFVQEHMLKKGLAWHYIAYDQRPELARVVRLPVQPSSSSSAAAVAGGGSAFAPPNPSRVSFAGLKASEMNTMVGTWSVFDVLKA >OGLUM01G04640.2 pep chromosome:ALNU02000000:1:3554981:3558224:1 gene:OGLUM01G04640 transcript:OGLUM01G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAVEMSSGVYTYKHRCEGGFDIHEVYIKRSKFRVLFSYVGEIFLFANVLHALLLKESLCINSFWSISFAFVVAKCLKYKPVKKESLLIMPTFGVQLEQHFWSGRVHRQFVPVGKLLKPVLNEHVTPITCYWSLVLLLHSEDKLVRVFKKVYPPVKMMVPIWKALDAFTNYGGMGNSVALQPNPLLINISVDLKI >OGLUM01G04650.1 pep chromosome:ALNU02000000:1:3563357:3563545:-1 gene:OGLUM01G04650 transcript:OGLUM01G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGSGAVASMRLDARRRWRQQPRCATAAAAAASLFLLSAVNFVDGGGSGGLSFLPFCCEFC >OGLUM01G04660.1 pep chromosome:ALNU02000000:1:3566184:3566906:-1 gene:OGLUM01G04660 transcript:OGLUM01G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKKEKEEEEEASKLQLKPAGSKVFSKLLSRESAAAAPSFRVYYGVASAGTVPFLWESQPGTPKNAMSDAVLPPLTPPPSYYTAGKDGASNKVSAGGGGGRKYGKHGILRLFVLPKIRLRRGGRPASGSPTSSCASSTSSSSSSASFYSSYSLSFRSTQSPTCSSMRSLQGHGGGRAFGDDDDEDDDDDMAAATACFRVRHESFRAIKNCRVAMTVRSAISSAAGGGGASAAVAPKAA >OGLUM01G04670.1 pep chromosome:ALNU02000000:1:3570770:3575739:1 gene:OGLUM01G04670 transcript:OGLUM01G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWGESGLPVGVAVSAVTGFNRVVLSVVGDVPIDSEAPVVTSSISPEFAGPVFEDAHRGRTPPCSSDFPTPFQEQSRQRAKEQQGKMCSIRSFAFVLVLAFSVAVAESRDSFNALAHKSFPLENKKTGLTSANGKLCQLCEQYSTEALFYLQQNETQTEILSILHHACANVGPLKQQCITLVDYYIPLFFLEVSVVTPEKFCESVHLCRKGTMLRLPSRGDTCGICHHVLVEVLIMLKDPDMQLEIVEIFLKACSKADNYVQQCKKMVLEYTPLILVRSQKFLETTDVCSAIHACKTGTQASAETMLLSAAS >OGLUM01G04680.1 pep chromosome:ALNU02000000:1:3576039:3579632:-1 gene:OGLUM01G04680 transcript:OGLUM01G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F target gene 1 [Source:Projected from Arabidopsis thaliana (AT2G40550) TAIR;Acc:AT2G40550] MVGPQYDLVGNPLGAVRSTFERAAAESGGHEPVAAFRGKDWGATEVFRSFLFELGGLDKVPVLDESNLGLIKPNTLVRFRGMVQDMLGNEFYVGAFKDGSTWRTNKFSDSSPFSMPHPCDSHLWERHLFHCVPVPGQNSWTRESSPGPDLRRMASCLTSEQREKRKRGADNDAMDVSESGSGETSSSSKKTKDDGVQISNSSVEMSMNEEHVLEMNGGDHHIPGSPFSCLVKVYDMPESQVKLNDVSEFIGVYTFDPELAAPSDNSDDIMFDLMEDVTAQLPPSKVPRLHCLVWRNLSAHDFLPRPPAVEPSAILLRGIRQSLLSHLTLVLGKDELAAQCLLLHLLSRLRNKVDVVTVGRLSLNFTGFNRESVSIFGNQLNNLFQRLLPYSQVIPLSIEYLNTATLQPRKDNQSGRLVTGVLQLPQGTHLTFDETLLQSGSLTSKGVENTMLLKNLMESQKVEYDFEFYKLEMATDVQLLTLSEGKSNILPSDLIVPFRPSSVPAVNASSEELESCRWYLATVRSLPQSTETDTYQMIQDEMVNAMRDDRSLGCSELSRWLTMAQIMAASFGEKSLSMEHWQMVKELERLRKERLQ >OGLUM01G04690.1 pep chromosome:ALNU02000000:1:3580069:3580964:1 gene:OGLUM01G04690 transcript:OGLUM01G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPSKGGSAPAPASGGRSRSRGRRGVCDRRPGTRVIALLNRSRNNRSNRWNNSITSQTVEPGKNPE >OGLUM01G04700.1 pep chromosome:ALNU02000000:1:3582101:3585175:-1 gene:OGLUM01G04700 transcript:OGLUM01G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYKPLSLLLPLLLLLHTHQLIIVPCSSATAATYANLTGALPPAVPCLPDQASALLRLKRSFSITRNSTYVFRSGDGRVTSLDLGGRRLKSGGLDPALFHLTSLKHLNLACNSFNGSQLPHTGIEQLTKLTHLNLSSCDFMGQLPTASISRLTDLVSLDLSTRFEATELIDGHTLLNSDSTYDPIALKEPNFKALIANHKKMRELRLGAVDLSDNGLTWCAALASSTPNLQVLSLPLSQLSGPICISLSSMHSLAVIDLRFNGLSGPIPNFATFSSLRVLQLGHNFLQGHVSPLIFQHKKLVTIDLCYNAELSGSLPNFSVASNLENILVTETSFYGEIPSSMGNLKSLKNLGLGASQFSGKLPSSIGWLKALNSLEISGTTIEGAIPSWITNLTLLTALQFSECGLTGSIPFFLGKLTKLRKLALYKCKFSGKLPQRISNFTELSILFLNSNNFVGTVKLASLWGLQHLRYLDISDNNLVVVDGKVNSSLAHIPKLQMLSLSGCNITKFPNFLRSQDELLWLDLSKNQIQGAIPSWAWESWNDAGTATLNLADNKFTSVGSSPFIPLKMDWLCLKNNMFEGTIPIPQGSASILDYSNNKFSSIPFNFTAHLSHVTLFNAHGNNLSGEIPPSFCSATEFQVLDLSRNNFSGSIPSCLIENVNGIQILNLNANQLHGEFPDTIKEGCSFHALYFSGNKIEGRLPRSLVACQNLEILDAGNNQIYDIFPCWMSTLRRLQVLVLKSNKFFGHVVQSLTDEESTCAFPNAIIIDLSSNNFFGPLPKDKSFKRLELMLHRDTNTSLVMDHVVSNLGVLYAYTASLTYKGHYTTFAKILRTLVFIDFSNNAFSGSIPKVVGELDLLHGLNMSHNFLTGPIPSQLGGLKQLEALDLSSNQLSGAIPQELASLDFLGMLNLSNNKLEGKIPESPHFASFTNSSFLGNNGLCGPPLSNGCSNMTVLNVIPSKEKSVDIVLFLFSGLGFGLGFAIAVAVSWRIPIRKWSTVRQRAL >OGLUM01G04710.1 pep chromosome:ALNU02000000:1:3598014:3598826:1 gene:OGLUM01G04710 transcript:OGLUM01G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y3R4] MAMNPLFTVTFDVSSGDNYGDFIAGIRSRVANPRHFSRNRPVLPPVEPPPPPRRWFHVVLRASPTTALTLATRADNLYLEGFRSSDGRWWELTLGILGAAPGGAAATYVGFGGSYRDLLGDTDRLTGVTLGPQQMAQAVNALAARRPADLANGAAQQRARDAVAALLLMVHEATRFQTVSRLVAGLMHPKAASKSGAITAAMKKQVNGWQVLSAAMLGADARPPARFAPLRDMGVDTVEEAAATVGVLLFVEVPGGMTAARALQLFHHGN >OGLUM01G04720.1 pep chromosome:ALNU02000000:1:3599838:3602335:-1 gene:OGLUM01G04720 transcript:OGLUM01G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLHDPFSWRNILGILIAVIGMVSYSYFCTKEAPPKPTEASPQLNQVKESESDPLISDSLSTAENGGNAGDDEALKVPMWSSKYSKA >OGLUM01G04730.1 pep chromosome:ALNU02000000:1:3608965:3617437:-1 gene:OGLUM01G04730 transcript:OGLUM01G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSATHDLFSDQSMMDNGNDTVLFDWERHPPIKKKSNLNSTFGPSAWSFDMVDDSEKRRSPRSEESCSSAAVMKDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGGQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKTARFSKAN >OGLUM01G04730.2 pep chromosome:ALNU02000000:1:3605554:3617437:-1 gene:OGLUM01G04730 transcript:OGLUM01G04730.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERHPPIKKKSNLNSTFGPSAWSFDMVDDSEKRRSPRSEESCSSAAVMKDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGGQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKERLLDHLRGGGGARRRRRLLAAPDGGEARQLAPEEEENPAGARVLLRRCAAAPGGDGEAEAAAEADEEGEALAAAAPPGEDDVLRGGAEIQPAAVPQAHGLMASTPPFVSFCC >OGLUM01G04730.3 pep chromosome:ALNU02000000:1:3605554:3617437:-1 gene:OGLUM01G04730 transcript:OGLUM01G04730.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPPQSPRSTKRTLPLPPKVMRYTQNKARRYIPFDATKQLDSIINDINVLKERRFSEKRTCPLDESGYERSKQSNCYFPHSFENHNNKFFPEDEDMFCEPKAEECWRSKHGRLDDNLTDENSERLWKMEPFNSEDRFPTPIVEQFDTRDYGFKDRYSPEQRTSTRTNTRFETSGITATHDLFSDQSMMDNGNDTVLFDWERHPPIKKKSNLNSTFGPSAWSFDMVDDSEKRRSPRSEESCSSAAVMKDGSCKKPSLSVECEENKMNEKDDFHISFDNLDIPKMDAHLDGVSLFDNLEEHHKRTDDQNNLEAGYWSDKATEKQRTREPSCRLSLKEKFSNWGSTSPTTHRKGRTGLSNPSSCTVLHEDKPFNSASEMSTYQTAGSSSPERRPASKVSPVFHRPDNAIFDDDIHLQSSVSDIFGDRIEFSKPNCSMGLQSDIDMSTFLAEKVDKRKEDNFDTSKNQNADMFLPNKSVSSVRQNVGGQHRSCAQQPGKDSLLQGFSPGIDFQDSRLNSFWEDGLADNGTFQGDIELTDLLTRKNSDKNEDRIEKLSKPETKMLTETPQAYPDHRNEMRETETCSDGSEVTNPPGVQKQTSLATQVPANLGCLQETSREMLKVHAHTECVKREKIEYPCVDFSTPLHLRNKIPDVDHSKSNFMFHSPFVGEEVGIEKKIIASVSPNNSDVQYKVMLEHRVLRRLCVQKIVVDTPIKNKLDKDNHFRMMEDGYHVLPKSLMASTPPFVSFCC >OGLUM01G04730.4 pep chromosome:ALNU02000000:1:3605550:3608083:-1 gene:OGLUM01G04730 transcript:OGLUM01G04730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRASLLLRKRKILQARGFFSGGARPHREVTARRRPRRRRTRRERRSPPRPHPGRTTFSAAARRSSQPRCLRRTASWQAHLPSSHSVAR >OGLUM01G04740.1 pep chromosome:ALNU02000000:1:3607741:3609142:1 gene:OGLUM01G04740 transcript:OGLUM01G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGRPAWSITVRLRHRGWLDLRAAAENVVLPGWGRGGERLSLLVRLRRGLRLAVTSRCGRAPPEKNPRACRIFLFLRSKLARFPSIWRRKKPPPPARATAASQLWRNRATMAWPAHGGRRWPARTTATAALYLVAALAVVMASTAAVRAMNGYGRGYYEPVGHSKSWRFLVAKKIARLLELEPRLYAWLMKISLNRLLNW >OGLUM01G04750.1 pep chromosome:ALNU02000000:1:3618226:3620112:-1 gene:OGLUM01G04750 transcript:OGLUM01G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66631) TAIR;Acc:AT5G66631] MSRPPPSAARVALYLRRARLIDSLRLRLRSPSSPPPPPPPDDPVVALHAIRAAPTPASAISVFRALPPPQPLPLFQALASRLAAFAALPDLRSHLASFPLPPPPLARLRLLAAAGDHASALDAFASVPAKPHRPAEAHNLLMGLHVRAADHAASVGAFRAMVREGALPNARTYTVVIEHLASAGFVDQAVEVFRLLPSLRARRTTRQYNVLAEALASAGKFDQLRWLVREMVAVDGVMPGPQMRAAIAAMREAGHAEGTEDFVEELSPNERIGYAVDDVDGEGDSDDEEEEGDDDDGVDGRDKGRGRATLKPWLDPRELARALEGWEPREVAELEAAGIVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGYAHDRHTVARMVAILARAGHVELVERLLGKVRADGIVLPFATVRLVVDFYGLSKKADAAIRVFREADSICGAVSRPNLALLCSSLLRTLAKCRRAADAMELLEEMMSRGVLPDLQTFSGLMEHLAGAGELKGVHRLLGLVRQCELQPDGYMYSVLIKAYCKKERAALALKLFDEMRGAGVAPDAPTKALLVKSLWREGKLREAAQVEERCEEAAGDGLPEASPGHVWTASAADLKKVSDIYYGCFTQPAAQTVSTP >OGLUM01G04760.1 pep chromosome:ALNU02000000:1:3620867:3624715:-1 gene:OGLUM01G04760 transcript:OGLUM01G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRAQGRLNVGGGAHRHFIMVPIDDDMSWSSYVKAVFNGTEWNCLEIFVQAEICSLTEGISSERALMAIKPLYAQRQNGQPQNPEQDMSFVIPSTVNVSPLNGHPQNTRQRKPRKSTRTFSDDGCPDQNGASEAVDTTSYDLIGQYDADHRARALASGQTDKLTVLAKEAASQKGCSRGKCRAFVDQVTRTCVEVIGELGGSSLCDIVDLVPCSSTAATTAAEPEAEQQRDKEEDIHHSMAPDQETESGLGSEKRSRSRTRRTQADRTVQTRSTGKRKRGRSAPRFMYHKKLWDEGTAWCSNQLIIS >OGLUM01G04770.1 pep chromosome:ALNU02000000:1:3625799:3627651:-1 gene:OGLUM01G04770 transcript:OGLUM01G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQRRPMLITHVENFYRMYRALAERYDNITGELRKNIPTRLQYQGSLAGSDSELQRSPSPSPEPQKSWTREQSPRAAGFDVFLSNKSNGSPSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNTVNQKLHDANEKLEVLEEKSLRCHCDSKENGNGADQSAINEKLQSSQEEINNLKNSLEVLSEEHSRLLGQNKKLEAEIVNLKEEIASDRQQYEEKLSRSDAEIDKCRQELADASEKLLQEKSSNSSVTAELQETIESIRIKLEEVSEEKLLVENKFKQLEEANSEAEKYNQELSHATEKLSEEKFRHEAEILALNQAIENLKSKLESIAKEKSLLKSWFADLEQVVERGRRIFPE >OGLUM01G04780.1 pep chromosome:ALNU02000000:1:3647579:3647899:1 gene:OGLUM01G04780 transcript:OGLUM01G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIEKAWADEKKAITDADYEGKPSKVNTSSKKMACVDEGKSISDAETDDEGVGVPEKKACIAFGKSISDAEIDDEGVGVPRNLQMKDLHDIMFPTYTQVCKRRRI >OGLUM01G04790.1 pep chromosome:ALNU02000000:1:3651977:3656820:-1 gene:OGLUM01G04790 transcript:OGLUM01G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPDSEEKTPKQKRKPRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDELREDNGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHEMYSHDKSTLETEIIKLQDIVKNFEGDLAKMSQEKLQLEAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERAIEFEQVRKELEGSRTEVAELQATINNLKADLGRALEEKSQLESRINDLEHAIACNLEEFSQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAIADLETKLELLSSEKTTVDNKVASLLTDVTARDEKIREMDSHLHQLHLEHVKLIAEADAATKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >OGLUM01G04790.2 pep chromosome:ALNU02000000:1:3651977:3656545:-1 gene:OGLUM01G04790 transcript:OGLUM01G04790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEECDFVMKSMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKDMLKLIEDEGDSFAKKAEMYFERRPLLVTHVENFYRMYRALAERYDNVTGELRKNIPSSLQSQGSLSISESDSETQSAPPTPKPDSEEKTPKQKRKPRAAGFDVFLGSGGSSDISKKGSDGSSSSSSESDSEVDELREDNGDGSPFALNERIAELEDELQEAREKLEALEEKNTRCQCEKLEEKLKDSHSEISSLQKELEGQLAHHDHEIEKCKKELEHVHEMYSHDKSTLETEIIKLQDIVKNFEGDLAKMSQEKLQLEAQVKELEQASRSLDDSSAQIMKLQEIIKDLQRRLDNDSNEKKMLEERAIEFEQVRKELEGSRTEVAELQATINNLKADLGRALEEKSQLESRINDLEHAIACNLEEFSQEKSSLGAEIQKLKEANASLEGKLTSTESQLQQLHAEKSEASISSEKQISDLNQAIADLETKLELLSSEKTTVDNKVASLLTDVTARDEKIREMDSHLHQLHLEHVKLIAEADAATKAVSELRARVSELEEEVEEQKLMVSDGAEGKREAIRQLCFSLEHYRHGYQQLRQLLQGHHKRPLVMAN >OGLUM01G04800.1 pep chromosome:ALNU02000000:1:3662310:3664530:-1 gene:OGLUM01G04800 transcript:OGLUM01G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTYPQFMFLTIDVDELMDFSSSWDIRATPTFFFLKNGEQVDKLVGANKPELEKKVAALADSA >OGLUM01G04810.1 pep chromosome:ALNU02000000:1:3666013:3668982:-1 gene:OGLUM01G04810 transcript:OGLUM01G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSSSRRALHALHRRLLLHPLPSRHAPPIPRHHFPPPPSYARLLQLHARRLVVGGARSFAAGVGGGGSSKLAPLGQGVKGLGLGRPLDAAKNAAARYREAVGLQVEAFWRRNYMLLVGAGAVVVCIALWRVMFGIASTFVGLSEGMAKYGFLALATAMVAFAGMYTRARFTINPDKVYRIAMTKLNTSAAILEVMGAPLAGTDVRAYVMSGGGPKLKDFKFRLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLVGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDAEDEAREREEAERRQQEEEAEALRREEERLREEYEERKRREAENLEKTT >OGLUM01G04820.1 pep chromosome:ALNU02000000:1:3673320:3677619:1 gene:OGLUM01G04820 transcript:OGLUM01G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQVQQQQQAAPQQQPPPAAAAAAGRRYGVHFSASSFIQAPLSALLEYSGILRADPGGGPHQVGGGGGEVSIRIVGSGEAAGAASERGEEGVVEDEAGAAPQANPSTSAAAAATAGGGEAGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKMSVLVGITILFVVHVFGVYWCYKNGDLVRPLVALAPKEIPPFWHAIFIILVNDTMVRQTAMIIKCMLLMYYKNSKGRSYRRQGQMLTVVEYFLLLYRALLPAPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVSATGDMCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >OGLUM01G04830.1 pep chromosome:ALNU02000000:1:3678045:3682513:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04830.2 pep chromosome:ALNU02000000:1:3678045:3682513:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04830.3 pep chromosome:ALNU02000000:1:3678138:3682946:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04830.4 pep chromosome:ALNU02000000:1:3678166:3682511:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04830.5 pep chromosome:ALNU02000000:1:3678045:3682513:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04830.6 pep chromosome:ALNU02000000:1:3679093:3682511:1 gene:OGLUM01G04830 transcript:OGLUM01G04830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVSTSRGLPSPSKLGTFESPHTWQMSGPTGQAEAGSSDDQDIRLLSVSWNQDFGCFAAGTSNGFRIFNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNAHYPPNKVMIWDDHRSHCIGEFAFRSDVRAVKLGKDYIVIVLERKIYVYNFTDLKLLHQIETQSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKVTRMISAHDSHISCMALTMDGLLLATASMKGTLIRIFNTMDGTRLQEVRRGLDKADIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDASNEQRSLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMKGILPKYFSSEWSFSQFHLPEVTRYIVAFGAQNTVMMVGLDGRCSFDQVNGGQMLQKEYFRFIKADLTPLRTLAP >OGLUM01G04840.1 pep chromosome:ALNU02000000:1:3684232:3687782:1 gene:OGLUM01G04840 transcript:OGLUM01G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAGHGGRRRRAWRWAMRAAASAVVWTAVVQLASIAGLWRPRVLADCGGGGGAATGLAALAGEDRVAARLSPPALVPRRIYKSNGYLLVTCNGGLNQMRAGICDMVTIARYLNLTLVVPELDKRSFWADSSEFGDIFDVSHFINSLRDELMIVKELPMKLKLKTKRRLYSMPPVSWSNETYYLKRVLRLARKHKVIHFNKTDARLANNGLPVKLQRLRCRVNFEALRFTPQIEALGRKLISTLQKSGQFVVLHLRYEMDMLSFSGCTHGCSDEETEELTRMRYAYPWWKEKEIDSEVKRFQGLCPLTPEEITLVLKALGFKKDTLIYIASGEIYGGERRLAALKAAYPRLVRKEKLLSPEELQPFQNHSTQMAALDYMVSIASDVFIPSYDGNMARVVEGHRSFQGGAMSWNEFSAAVKKAHQHRMGQPTERKVIPGRPKEEDYFYANPQECLGSREGLRDIL >OGLUM01G04850.1 pep chromosome:ALNU02000000:1:3689441:3691545:-1 gene:OGLUM01G04850 transcript:OGLUM01G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVSLISAMFRRMFASAGLRPGSAVVDDDGTTLHFWAHSSLLPSKNGAAAAAAAARRRPVVVLVHGFGPDPTWQWGAQVGPLSRHFDLVVPTLLFFGASTTRAAPRSDAFQAAAIAALLTSGGAHLPGLGGGGGGERQRQLHVVGTSYGGLVARHLARALSSSAGAGGEGEAVEVEVGKVVVCDADLAKGAEDDAALAAKGGVAEVTELMAPADGKAFRRLMALCVHRPPKYIPDFLIRDLLRNYFADKREEKIRLIKEIVTEEQDSQLNSPLPQEILIIWGEFDQIFPVEKAHKVKEMLGEKATVKIIPNTGHLAHQEDPKMFNDILLKFLLPSPAVANGAK >OGLUM01G04860.1 pep chromosome:ALNU02000000:1:3693580:3694323:-1 gene:OGLUM01G04860 transcript:OGLUM01G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRPDLKKEPISDREAETIISLQKLLGNRWSVIAAKMPGRTDNEIKNYWNSRIRKRQSAAAGSGGCPTTGTVMEKEPTANAATVAAAPEAASPPPPPIPARLPMFSCQLLDGGGGAAATTQSPPNAGSSDISEVSACGGNGRGEDSSRDYCFSGGDVDGDGDMVHLLALDDLDLLVDVPGLLDVDAWDCELYRADYSTSSSMSN >OGLUM01G04870.1 pep chromosome:ALNU02000000:1:3694371:3694652:-1 gene:OGLUM01G04870 transcript:OGLUM01G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKPTSSRHGEAAGGGGGAAEEKERKGLWSPEEDERLYTHITHRGVSTWSSVAQLAGKNSFCLACNLIDFYATNSYTCDLVSRSDKVGIAFA >OGLUM01G04890.1 pep chromosome:ALNU02000000:1:3708045:3708429:1 gene:OGLUM01G04890 transcript:OGLUM01G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSFAPRSSSLLMLLITLLLVVVSRPQYSSDASALSDHLAVGDAPLPPPQHGAGRRLLSTQNQVTPPPPAPVSSPPIGPAPTLLPPPPPAK >OGLUM01G04900.1 pep chromosome:ALNU02000000:1:3723572:3724537:-1 gene:OGLUM01G04900 transcript:OGLUM01G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSNSAISSVVASAVATTTPGAGSPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDTAHAELLRYACGEVGIPTALPVTAAPRLAAAMPAPGQLAAAVSAGMYGGGRRLGVIDGGGIAPPSPHTAGCYYARNNNNAGSIGADVAPVLPYASVANWTVNAISTTTTNSGSESIGMDHKEGGDSSICGDLRFGLDERGSERLQEDGSPFIPG >OGLUM01G04910.1 pep chromosome:ALNU02000000:1:3734466:3748730:-1 gene:OGLUM01G04910 transcript:OGLUM01G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27670) TAIR;Acc:AT3G27670] MASSSSAAAAGAGAGAGAVALGRLVDRTRVPDPTLQRHAVAALFRHLLTSVPPPLPSAAHDALSSLLASPHPAVAAHAAASVARLAASRADLLSPELALPLLIAPLSASPSPRLASCFVKAVAALVSCALRSGSAASRFPPHDHPFVQALASGADGARAELQRQAARLVAEGVHGVVGFLRPFVMFAAVRKGDTAFVKDLIGALAAAAVAAARPDSAVPVLKLLAECLLHFGRGDGEEVRLWLSSVECLVDAYVVLLRKFAHAQMATYDAQANSVELIEMLLSQCSLHHQFMGNTSVVLGLSKHLFLAQKDLGLCYLPEISVVLSSLAFILSGLEFEHEQLSGLKLLAFLIGWRHENALRTNEAVHHFSEEIVCVLPVINLVISPSKSVKSVASHVLSRFHMLVLDLLASCSSEQQDSSMVHHISKPTSILPKLVHHLWSQSSSSGFIFTKYITSRGLAESAGNSTEPNYWTHQINEYLSALRKEKLSLDSLSSKKIQSVAISSLLSSAVSVLVMHPKIGTSAAQSLALLGATDPKLGMPLLVLILFYTKVLYSNNNCDANILLSLLESLPSLAVHGFVLPLSLQLISSMLKKDVKSVLYPIAVRLLCKMWTVTDWAFQNLQGTLDPENFSNSVDDREVFTSIAASVRDVCKQNPDRGVDLILSVSACIESRDSVVQALGLESLSYLCEEDVVDFYTAWKVISKQLLDFSIDPTVSHGLCILLRWGAMDSEAYPGTSKHLIQILWSIGTYREKNVDPLWVKARGTAFQSLSHYKLLDAFPQAVFKGKSAHHRFPGAALLTLNFTPKDILHEGKSKDLPRVHAAYEQALVEMAESMYISRNMVVALLALHSWKSFVSNWMQAVIACLDTKESSKLNKASKAADDIFKILCKCVPVSTPSVVVSIALAIGALCLVVPPTAHLVISSASDFLLRWLFQYEHEHQQWSTALSLGLISNCFHPTDKRSKLQVINGLLEVISKTESYLVKGACGLGLGYCCQALLARADNAADSELEATTQLTERASVEEILHTLTTSLVQLCPFSCYSLKKLSICGIKSLEGMEEKYVSLEDDPWAVAGLVLGLGNSVVSLYRLGAYEAIIEVKNILISWIPDVDSSSLLFDEEDSASLCMGSCLALPSVLAFCQKVELLNDDLDALFNRYTSLATNLLSLKKSGTIFQNLLMAICIGAGSFLSSILNDGVHAMKFTDVKDLLDTLKHIYTHPYPPLVHLGGMLGTVNAFGAGAGDLTGICRQPTNSQIKHEKESSLVRGPVLTSSVGETLSTSMIQEIFLLAKDAEDDHIKDYAAWAISFLRSRWLSKNQIIFDDDCSQRNSSDSNQSTSFSDESLVWNLSQWLRDLNFEKPDSMVSTSTVATVLRCLSKAPRLPSIDWGVIVRRCMNVEAHIPDMLTNHHDPKLLREECLYISLAHASHISPLLHFIDDLTDLSRFRRLEINLQSILLQYLSTLMKLFSLSRLDKLSEDLTEYLYSPTSSYLDYSSEQRSMLRTSFWKGIRECLVEDVSEESSGFSCIKKCIQSLSPLLSLHKDGQPEFIEEWSAAIKCLTVAQKGLLGDMLQVEISSSFNELEHIDVAKKIIIRARMCSSGCGSVDELGNIKTTILSTRLDGVWWNVLVEVAGALYYADSRMKKQWLLDALDIGCVTAHPSTVLHFVGLLCGSCCIYMPLLIVNPTNVLSDLPVTLPSFLSSSIWDDLRNSVADKLWLLTARIYTWAEQLTHSVGLARHDHIHGSEAEMAIFLANILRCTCIAVEDHLAVEKKLKLANLEAL >OGLUM01G04920.1 pep chromosome:ALNU02000000:1:3752618:3756456:-1 gene:OGLUM01G04920 transcript:OGLUM01G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEFWRETGDAAEVVIPGWQTMSYFSDVTNVCWFLEPELDRQVRRLHRVVGNAAVDGYHVLVGTGSTQLFMAALYALAPDAAAAAAGEPISVVSTAPYYSSYPAVTDFLRSGLFRWAGDADAFKGDSYIELVCSPNNPDGAIREAVLDPKTGNGRTVHDLAYYWPQYTPITKRASHDIMLFTVSKSTGHAGTRIGWALVKDRAIARKMTKFVELNTIGVSKDSQMRAAKVLAAVSDGYERRPEQTKETTTTPLRLFDFGRRKMVERWSMLRAAAAASGIFSLPEETSGFCNFTKETAATNPAFAWLRCDREDVEDCAGFLRGHKILTRSGAQFGADARYVRVSMLDRDDAFDIFINRLSSLK >OGLUM01G04930.1 pep chromosome:ALNU02000000:1:3767215:3771151:-1 gene:OGLUM01G04930 transcript:OGLUM01G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSQFMDKQILGLAASASTSSGVGVGGGGPELLDLMSPNPQEEGEDRLRRRHSSSNGSADDVLPSYDFQPIRTTAPSAAASASAAPASWGSLDSGSKAASASYNLKSAGVLEPHVLKKVSHEEDRSNFGTVTMADIDRTMKKYSDNLLHALEGVSSRLSQLEGRTHHLENSVDDLKITIGNYNGSTDGKLRQLENMLREVQAGVQILCDKQEIVETQLHLAKLQPPKSDALASDNVGSSQTDSHQHAVAPQQAAIQPQHQALTSSQPLALPALPAPNAPPPPPTLQSQPPSQYPGHLPHSQVPPVPPSAPVPSVPALPRDPYYAPPAQPTETMHQQYQAPPVPQPQAPPAPPQQYQTPPQFPQYSQPPQSGMVNPSTPLPPAAPQQPEEAMSYAPPQSYPPNVRPPSPYMPPPSGPAPPFYGQNQSMYEPPVGRPNSGPPPSYGAGGYGPQGGSGFSESYGYSGSPSHRGNAGMKSSPSPFAPSGPSSGGSGNYGRLPTAQILPQAVPINSSPSGSSGNRVPVDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNDSDVQQPQKGWFGR >OGLUM01G04940.1 pep chromosome:ALNU02000000:1:3773806:3776558:-1 gene:OGLUM01G04940 transcript:OGLUM01G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G40390) TAIR;Acc:AT5G40390] MSCCGSHTKTSINWAQISLPSLQQSPRLSKTQANQSFHLFFQFKDLQASRKLKASLAMAPNLSKAKDDLIGDVVAVDGLIKPPRFTLKGKDLAVDGHPFLLDVPANIRLTPASTLVPNSDVPAAAAGSFLGFDAPAAKDRHVVPIGKLRDTRFMSIFRFKVWWTTHWVGTNGRDVENETQMMILDRSGTKSPPTGPRPYVLLLPIVEGPFRACLESGKAEDYVDMVLESGSSTVRGSVFRSAVYLHAGDDPFDLVKDAMRVVRAHLGTFRLMEEKTPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGLVLIDDGWQSICHDDDDPGSGAEGMNRTSAGEQMPCRLIKFQENYKFREYKGGMGGFVREMKAAFPTVEQVYVWHALCGYWGGLRPGAPGLPPAKVVAPRLSPGLQRTMEDLAVDKIVNNGVGLVDPRRARELYEGLHSHLQASGIDGVKVDVIHLLEMVCEEYGGRVELAKAYFAGLTESVRRHFNGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPDGTFWLQGCHMVHCAYNSLWMGAFIHPDWDMFQSTHPCAAFHAASRAVSGGPVYVSDAVGCHDFDLLRRLALPDGTILRCERYALPTRDCLFADPLHDGKTMLKIWNVNKFSGVLGAFNCQGGGWSREARRNMWAAGFSVPVTARASPADVEWSHGGGGGDRFAVYFVEGRKLQLLRRDESVELTLEPFTYELLVVAPVRAIVSPELGIGFAPIGLANMLNAGGAVQGFEAARKDGDVAAEVAVKGAGEMVAYSSARPRLCKVNGQDAEFKYEDGIVTVDVPWTGSSKKLSRVEYFY >OGLUM01G04950.1 pep chromosome:ALNU02000000:1:3786148:3796486:1 gene:OGLUM01G04950 transcript:OGLUM01G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G34140) TAIR;Acc:AT4G34140] MDGGGGDAVDGEASGARFEWDEDSQLYYHASTGFYHDPVAGWYYSGRDGQYYIYDNGNYVPWTPDAGNEPKTHNPCDESNQDFLEPSSGLEQDIPDNQNETQRPPSEWSSDVLCLRFAYRMEETLINMFLSGYSNAEVNADISLGDSQINDGDSSETAENKPGNFASENAPSSPCDASLHQTEDELQNENSTAVHESLSEEEEKWLAQYGQVEQEIDDQPLFPSIDLWDWDMVKESVSKGQPMARLVGRLVKGSSKPHPSLPARGGLLRTALVHEVHLDLVRVSSGKIYRLRNPSRKYLASLSSYDSSNPTKDWGFPNIYANSDNDLDKQSSAQCQSEVMDVFSMKGVSAASAKEHKINAYRDRAAERRILHRGIGIGPGQKQSSSTNFYEHEETSEGMDLMGGASVDMNFRSSGLNSAKKMMENMGWKEGEALGKSTKGMVEPIQPTVNKHGAGLGWKQTR >OGLUM01G04960.1 pep chromosome:ALNU02000000:1:3797257:3801351:1 gene:OGLUM01G04960 transcript:OGLUM01G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGLSRRRRPDAAALLCCVVAVVAACMVGGALAADAQGAALLAWKRTLRGGDTALPDWNPADASPCRWTGVRCNANGRVTELSLQQVDLLGGVPDNLSAAMGTTLERLVLAGANLSGPIPAQLGDLPALTHLDLSNNALTGSIPASLCRPGSKLESLYVNSNHLEGAIPDAIGNLTALRELIIFDNQLDGAIPASIGQMASLEVLRGGGNKNLQGALPPEIGNCSKLTMLGLAETSISGPLPATLGQLKNLNTLAIYTALLSGPIPTELGRCTSLENIYLYENALSGSIPAQLGGLANLKNLLLWQNNLVGVIPPELGACTGLAVVDLSMNGLTGHIPPSLGNLSSLQELQLSVNKVSGPIPAELSRCTNLTDLELDNNQISGAIPAELGKLTALRMLYLWANQLTGTIPPEIGGCAGLESLDLSQNALTGPIPRSLFRLPRLSKLLLIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGDIPPEVGKLGSLSFLDLSTNRLSGAIPPEIAGCRNLTFVDLHGNAIAGVLPPGLFQGTPSLQYLDLSYNAIGGAIPANIGMLGSLTKLVLGGNRLSGQIPPEIGSCSRLQLLDLSGNSLTGAIPASIGKIPGLEIALNLSCNGLSGAIPKGFAGLARLGVLDVSHNQLTGDLHPLSALQNLVALNISYNNFTGRAPETAFFARLPASDVEGNPGLCLSRCPGDASDRERAARRAARVAIAVLLSALVALLAAAAFVLFGRRRQPLFGGGSTGPADGDGKDADMLPPWDVTLYQKLEISVGDVARSLTPANVIGQGWSGAVYRASIPSTGVAIAVKKFRSSDEASVDAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAAIGAAVVEWEVRLSIAVGVAEGLAYLHHDSVPAILHRDVKSDNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEIITGRRPIEAAFGEGQTVVQWVREHLHRKRDPAEVIDSRLQGRPDTQVQEMLQALGIALLCASTRPEDRPTMKDVAALLRGLRHDDSAEARKAGSGSAIKWADPRQPGSPTKPMAQAQAHSHTSSLAYSTTGSV >OGLUM01G04970.1 pep chromosome:ALNU02000000:1:3806042:3812401:-1 gene:OGLUM01G04970 transcript:OGLUM01G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHLSLKSRSSREEEDNPLQLQPASIQTELISSSSSNSKPTKFREIQERYERDRSTSLTMASSGCSSNRLRQTIVVALLLLASSSCLASAKHRGNGTTTTVPFHGKDELRRYRKIMAQVARLKKASSPDGDVIDCVPAHLQPAFDNPKLRGQKPEAEPEERPKVGGAAAAEAEAEEEAVFPQAWTDGGESCPEKTVPVRRTRRRDVLRSSSAVRFGMKQPRAAGVVRRDSTSDGHEHAVGYVTGDQFYGAKASLNVWSARVATAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNNPRFFTYWTTDAYQATGCYNLHCSGFVQTNNRIAIGAAISPTSVYNGRQFDISLLIWKDPRRGHWWLQLGSGPLVGYWPSSLFTHLGGHANMVQFGGEVVNTRPSGSHTPTQMGSGHFPREGFNRAAYFRNLQVVDWDNNLLPAAALRLVADHPSCYDIQGGYNRAWGNYFYYGGPGRNVRCP >OGLUM01G04980.1 pep chromosome:ALNU02000000:1:3817311:3822596:1 gene:OGLUM01G04980 transcript:OGLUM01G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGLARDAAAAYLRRGGAPARVFSAVSRAPGPVVANPGGELRAFGLFRSPMARRADAFEVPSAAGRHGAQGVWSRSSVPALVRAGAPNSRALPFLVGRVVRGFYPQLSGHKLVKGLGMGSTLAATFCSQKVVYAEEVAEQPSEGLIGPSTKHQISKLWTIIRKYQLPVGLIALIALGWQNPLGLFINVLLILYSSRPSPYSIYLFLQEVRHGEMHQNRAFWKEEAVLTRKVDTKDYKLFSIGTVESADREVLHVIGILGNWWIYRASYGKSFSRGLYWKEFPHLTLSKNLFVMGGRKIGVAVDFSSCSKAALRWASTNLTRSGDQLVLIHVNSSYHNEQGAVQLWEQSGSPLIPLAEFSDPHVAKTYAVSPDKETLEILNQMSNQRGVEVLAKILYGDPAKKLYEAVDLVPLNCLVVGNRGLSTLKRALMGSVSSYIVNNATCPVTVVKENI >OGLUM01G04990.1 pep chromosome:ALNU02000000:1:3823393:3827653:1 gene:OGLUM01G04990 transcript:OGLUM01G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRREEIPPAPFRILLQEEYVGWAEVAACANGNKIVDKKSWRASVRNMLDAVYRMICQVLASAVRSVWAIGTYMLPLSAAEFFRRRLPASAVPEKFSWRGVISTFRFQIFPDTCAIVACSVCIEAQHRLEFERLHGQCTFILELPDSTRKLRRFCLERKAWFKGKGAHIDSLLSVIQETGGVPAISTTNTRSSLLLPLHSYDYFSLRGCWTNLTPQQAAQLIFTGGPCIGSLWVDGSYTSKHHYSDDNDDDEEDMLVYRGCDPKKKIHRDKETGLHAVVCYAYRFIGKELHIRVQDNMPICSPHNWILFQAFDMFYTLRVMPLDASRLYDPLWKMTVPPKISQKIGKDRAWLHANLRRLHEAMMIQKGKEKVC >OGLUM01G05000.1 pep chromosome:ALNU02000000:1:3832092:3837226:1 gene:OGLUM01G05000 transcript:OGLUM01G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDLQSPARSHHQWRFRSESLTRWALRWRFFSMALIAAKSGGRVLAQRGGGGGGGNLFGLAAASALSASTSTAAATTPQRISHYLAHHPRATWEALSAAFPAADHVDAVLLSLAKHLHSSSSSSSPELVARNALTFFYWAASSSSSSTPHSLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAACEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCVPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYCHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDLLISSNCEKGNTKKALWFYDRMMTGNDKLVPSADTFMMLVRRVIKPKSTLLMPPGSPSKRAKEGTPMLERCLPHFAVAIGSVSSDVVQAASTYCCTEQATKNGSTGSCELCHRRQRQRGAGQDRRRRRRQPEPINNTKRAAPRHATTRTLPLRRARSREVEKATRRRHAAEKRTGARVIRVVVVVDSSSRQRNGLWLWKKKRKRFVVRDVPRETVNVLGIKHGISVSPTTRPLSLYLCALASDNNSGRFDARGGQAARRKGAMSDRFAGHPVAAVRRGEAI >OGLUM01G05000.2 pep chromosome:ALNU02000000:1:3832092:3835321:1 gene:OGLUM01G05000 transcript:OGLUM01G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDLQSPARSHHQWRFRSESLTRWALRWRFFSMALIAAKSGGRVLAQRGGGGGGGNLFGLAAASALSASTSTAAATTPQRISHYLAHHPRATWEALSAAFPAADHVDAVLLSLAKHLHSSSSSSSPELVARNALTFFYWAASSSSSSTPHSLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAACEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCVPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYCHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDLLISSNCEKGNTKKALWFYDRMMTGNDKLVPSADTFMMLVRRVIKPKSTLLMPPGSPSKRAKEGTPMLERCLPHFAVAIGSVSSDVVQAASTYCCTEQATKNGSTGSCELCHRRQRQRGAGQDRRRRRRQPEPINNTSTQYYYLTNFTSSTSFARSCFTAVYTLKTDMHASLHLVIPVRV >OGLUM01G05000.3 pep chromosome:ALNU02000000:1:3832092:3837054:1 gene:OGLUM01G05000 transcript:OGLUM01G05000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTDLQSPARSHHQWRFRSESLTRWALRWRFFSMALIAAKSGGRVLAQRGGGGGGGNLFGLAAASALSASTSTAAATTPQRISHYLAHHPRATWEALSAAFPAADHVDAVLLSLAKHLHSSSSSSSPELVARNALTFFYWAASSSSSSTPHSLRAYCLLVHLLSRAALIRDASVLLESAIAKHSSSSPASAFLDAFFAACEDSGTAATTRGLHLLVHAYARARLPEEALEACRYLAQRGVVPSLPAFNAVLHAAQRTGRFGVAWEVFELMTLKRVYANQSTVELVIGVLSREGALARMAALVERIHGKKCVPGVVAHVALTLKIFEEGRTEQGILLLRRMLQRNMVFDNIAYSLIVHAHCQAGDLKSACEQRDDMVRRGCRLNSFVYTCLIRVHCRAGDVDEAMQLFEEMISIGLKPYDATYCHLTAGCFRQGRMKEGSEYMDKMLHQGSVPDIGTCNDMLEALCDSGHVSKANELLTALMDKGFVPDQNTYLRMTNGYGKVGDAQGIIKIYHEMEHRGLNIGVDVFSSLIRALCKCGDLKEAEKFLAILERKLLAPTSEIYDLLISSNCEKGNTKKALWFYDRMMTGNDKLVPSADTFMMLVRRVIKPKSTLLMPPGSPSKRAKEGTPMLERCLPHFAVAIGSVSSDVVQAASTYCCTLCSRRSKQPRMGVPDHASYVIVDRGSVAPGKIAGAAVASRSR >OGLUM01G05010.1 pep chromosome:ALNU02000000:1:3836423:3836773:-1 gene:OGLUM01G05010 transcript:OGLUM01G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARRLKMLVLVSLVPLALRATSLLAGHVAPPCSPESLRPEHQPAGAGDGVTMGGGGASASSYRRNRRRMEGGLAAAAVAFDARRFRPHGGGGGGGGFEADKRLAPTGSNPLHNLR >OGLUM01G05020.1 pep chromosome:ALNU02000000:1:3837348:3851756:-1 gene:OGLUM01G05020 transcript:OGLUM01G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFKNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRDVLETACPRMEESGLAFVHQKKRDWSPAAAGKYYSTRRGVLRRGGSIDRGRSGCPGRGGRICGMRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPRQEWV >OGLUM01G05020.2 pep chromosome:ALNU02000000:1:3837348:3843797:-1 gene:OGLUM01G05020 transcript:OGLUM01G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRELVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPRQEWV >OGLUM01G05020.3 pep chromosome:ALNU02000000:1:3837348:3843797:-1 gene:OGLUM01G05020 transcript:OGLUM01G05020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRWWAAPLAAVLAVILLPSSTATLSPAGINYEVVALMAIKTELQDPYNVLDNWDINSVDPCSWRMVTCSADGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGTIPASIGRLGMLQTLDMSDNQITGSIPSSIGDLKNLNYLKLNNNSLSGVLPDSLAAINGLALVDLSFNNLSGPLPKISSRTFNIVGNPMICGVKSGDNCSSVSMDPLSYPPDDLKTQPQQGIARSHRIAIICGVTVGSVAFATIIVSMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGFLRDGAIVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTENERLLVYPYMPNGSVASQLRVLLVELITGQKALDFGRLANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKFMDFAADESSLGLEAMELSGPRQEWV >OGLUM01G05020.4 pep chromosome:ALNU02000000:1:3844580:3851756:-1 gene:OGLUM01G05020 transcript:OGLUM01G05020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFKNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRDVLETACPRMEESGLAFVHQKKRDWSPAAAGNSPRRDEHAPCH >OGLUM01G05020.5 pep chromosome:ALNU02000000:1:3844580:3851756:-1 gene:OGLUM01G05020 transcript:OGLUM01G05020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVDAAAPGGGEGAGGVRSRCGRGALHLAAANGRTHVCRFLLEGLGLPVDALSASGAPPSRPAAAARSSHVSSMLAERLISCFVFDAAAHAGETPLLLAATFGHTSTAAYLLDRGADPSTPDPNGGDTPLHWAAYNGDRELAKLLLLRGADVGAANPRGTALHVAAARGHAAVVSVLLNHGADPNKIANIVFTPLVSSLLGGSLECMKLLIQAGANVNGAGFNGATPLLLACSRTGSIGFIKCLVESGADPNIPDELDRLPIEIAAIHAEREVIEVLLPLTHQVPTLLDWSVGGIIRYVKYPAYKEWARNASCKRKDELKLQGNSAFKNEDYDAAILLYSMAMKFDNTDAKLYSNRSACWLNLGIGDEALSDAQICSKMQPDWAKGYYRQGMAFSLLQDYASASYVLRRALKLDPQNATVAKALRDVLETACPRMEESGLAFVHQKKRDWSPAAAGNSPRRDEHAPCH >OGLUM01G05030.1 pep chromosome:ALNU02000000:1:3853222:3853443:1 gene:OGLUM01G05030 transcript:OGLUM01G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDMACLLGWWTPRQLWLTGVDALSVSVDVADSPWGQDCSRGTKGPALSTLAYGAPWLTAWSARQEAAAAL >OGLUM01G05040.1 pep chromosome:ALNU02000000:1:3854264:3858489:-1 gene:OGLUM01G05040 transcript:OGLUM01G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAAALHADAAPASAAPGSSFPDAYGDRARAAQLPPRKQQPEVAYALRRASATSSAHLHGATSRSFTGVATEGCEQIRVFLGIGCCDEVKARYSRYETIVKLTSSIGTVVPVALSIVPYFYQVYTGNFERTIEVYGAPAMLAGSINSIIWLTYSIMASKKDLNLALILMHALLCMSTFTYLMCICACKRATKEGYILGAFFIGCLSAISIVVHWDLNPSKVVKIIFRCFGGISLLSCHYILLNDILGSVPKRSQKVATGFDLILCCITNLVTFIITFHDHPEHTTTLVSIVGYFFPLSEHPDADVEASRHISATRGMIPLEDALGTPETDDFIAVLTHEPQVPQFEVSYLILQVRNGFEIYSLRSMVRCQGTET >OGLUM01G05050.1 pep chromosome:ALNU02000000:1:3861050:3861307:-1 gene:OGLUM01G05050 transcript:OGLUM01G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLMLVSMHMHLFLPREPYAVLKDLLDFGVKRIGIATVLVFLSCLGLSFGVTWVAIALDCVYLVIIAHVLAIWVRLVRTYAVRD >OGLUM01G05060.1 pep chromosome:ALNU02000000:1:3861506:3866395:-1 gene:OGLUM01G05060 transcript:OGLUM01G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLLPWTAAPAPTPTVGEMTAKAGIHRAVLWFVAFYYPSIPFIGFGGIAYMFCFCAMPDDTFSGCVRRRDLWRLTPLLLCAAYMSLLALVSMHTRLFLPRAPNAVLTDLLDVGTVRVGIPLAWLACVGTGAGFTFAIALDCVFVVLIARVLAIWSRLVRTYLHSVLPWTAAPAPTPTVGETTARTGIHRAVLWFLAVYFPSIPIFTTSGAAYALCTALQYPTFSGCVRRDLWRLTTLTLWAAYMSLLALVSMHMRLFLPRAPDAVLTDLLDVGAARIGIPLAFLACLAASLGVTRVAIALDCVFVVLIAHFETAPMNGGACTDADGR >OGLUM01G05070.1 pep chromosome:ALNU02000000:1:3866967:3870773:-1 gene:OGLUM01G05070 transcript:OGLUM01G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEGIHSRGFRREQESTRRGRERERNRRREGGGGGGGRKVGEGEAVERSRTRTQSPKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPRRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANANYMELLLTHIRMRMQEGQKEEEKSMWEHRRTKD >OGLUM01G05070.2 pep chromosome:ALNU02000000:1:3867329:3870773:-1 gene:OGLUM01G05070 transcript:OGLUM01G05070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEGIHSRGFRREQESTRRGRERERNRRREGGGGGGGRKVGEGEAVERSRTRTQSPKRLITAAMPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPRRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >OGLUM01G05070.3 pep chromosome:ALNU02000000:1:3867329:3869962:-1 gene:OGLUM01G05070 transcript:OGLUM01G05070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPRRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQTNAGAVMITDLVFWFILYPFLAYNQYDMNFLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >OGLUM01G05070.4 pep chromosome:ALNU02000000:1:3867329:3869962:-1 gene:OGLUM01G05070 transcript:OGLUM01G05070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTMAVDTTASEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGEDSEDARPRRAASGVVYLEDCWKPCLEQIHPGWLLAFRVVSFFILASLLAVDVVVDGWSVFLYYTQWTFLLVTLYFGLGSVLSIYGCYQYSYKNGDNRSGADHGTYIIAPAGESVYDQSIKNPCYSKMHGGKEIAGFWGYLFQIMFQLLIGTHSINVVFMIGDTAMNSLRFPWFRIAYFLLWTGAFVNVQWLIHASISIWWPYPFLDLAFPKAPVFGGSSDAFPLLCFIRPGYEAKTISAGKMVSSQLHLRVANKNIYSVTISLLLHPANANYMELLLTVNS >OGLUM01G05070.5 pep chromosome:ALNU02000000:1:3870014:3870773:-1 gene:OGLUM01G05070 transcript:OGLUM01G05070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDWMVGFFSVEEREEEEEEGIHSRGFRREQESTCGLTLEISLCRLASPKSEANDGGVEVVRGRGIDGEREAAAAAAGRLEKERQWRGQEQEPNHRRRTGMVE >OGLUM01G05080.1 pep chromosome:ALNU02000000:1:3873501:3875776:1 gene:OGLUM01G05080 transcript:OGLUM01G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLRRSPRIKELNEKVQVNNDQVPATKPIVLQDSNTRKRKVKLPIGQARDKNAQRTPPHQLNLQSSRQMNIGGSAYMSHLLGINPTQVHDQFGFSASFGQSSSLPQNQATYSGILPREGQTAAYLYYPFLSSFTPDASTPSGSTSKQGTPVAHDNTTEQLRKLTEANTIGGSNPRTSSHLLNHHISSFFPVTPLPNTNHGSLAPLQPPRNEQPPLPPYVSSEITTTGNRPAESFFQPPPPPPPRPLDTGAGDNDDMAAVERGPRCDLQTVDLLSLVESVGTPGFLANSARVLGSMHEPRRGVAAAAARGGAAPPPPEPSLVLGLGDGNGDGREKAWSYWNNSSAMARTMERKRRSETATAMATATQASQALPVKTTLGLGEDAMKRRP >OGLUM01G05090.1 pep chromosome:ALNU02000000:1:3876832:3880007:-1 gene:OGLUM01G05090 transcript:OGLUM01G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparagine-linked glycosylation 3 [Source:Projected from Arabidopsis thaliana (AT2G47760) TAIR;Acc:AT2G47760] MARVGKHGESSPPSDRRPLHFAAFLLLADAALVALIVAFVPYTKIDWDAYMAQVDAFVEGERDYTKIEGDTGPLVYPAGFLYVYSAIKLLTAGQVFPAQILFGVLYIVNLSLVLLMYVKTEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTFLHAAMVLIMYHKWYLGLVIFSAAVSIKMNVLLFAPSLLLLMLKAMSIKGVFFALLGAAALQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKFFVSKELAVALLVLHLTTLLVFAHYKWLKHEGGLFHFLHSRFKDATSIGQLIFAKPKLSTLNKEHIVTVMFVGNFVGIVCARSLHYQFYSWYFYSLPFLLWKTRFPTFVRVILFLAVELCWNIYPSTAYSSLLLLFIHISILFGLWSSPAEYPYANGKK >OGLUM01G05100.1 pep chromosome:ALNU02000000:1:3882178:3884842:1 gene:OGLUM01G05100 transcript:OGLUM01G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSLVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTELSFNWIGFWSAMASNLLYQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >OGLUM01G05100.2 pep chromosome:ALNU02000000:1:3882269:3884842:1 gene:OGLUM01G05100 transcript:OGLUM01G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAAAASRATAWSTARHGAARVTASASFSGGGGIVAGAALPLRVRGGQLMSLPLLSGGRAVTARVAAAEAPLPADDADAAAGRERGALAETAQLGAMIVAWYLLNIYFNIYNKQVLQPLPFPYTITAFQLAFGSLVIFLMWALKLHPAPRISISQLAKIAPLAAGHMLGTVFTNMSLGKVAVSFTHTIKASEPFFTVLLSAFFLGETPSLLVLGSLVPIVGGVALASLTELSFNWIGFWSAMASNLLYQSRNVLSKKLLGGEEEALDDINLFSILTILSFLLSLPLMLFSEGVKFSPGYLRSTGLNLQELCVRAALAGFCFHGYQKLSYLILARVSPVTHSVANCVKRVVVIVASVLFFRTPISPVNALGTGVALGGVFLYSRLKRTKPKNA >OGLUM01G05110.1 pep chromosome:ALNU02000000:1:3884150:3889342:-1 gene:OGLUM01G05110 transcript:OGLUM01G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPSSPPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHLNDHFERRMEAAGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRISPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSGNASITATVAISLAILLASKPAFITAAATIKPVTIQAFMPATTINLVMVSAFMPGSIALLGAAIRAEQTMLAFVIEVGVLQAIATMKILGPRLLVSPTVPSALTGEIGVLKKRTDATMTTTRLTQLATEWVTGDTLARIK >OGLUM01G05110.2 pep chromosome:ALNU02000000:1:3884152:3889328:-1 gene:OGLUM01G05110 transcript:OGLUM01G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAATARGPRYAPPDPTLPKPWRGLIDGNTGYLYFWNPETKAVQYDRPTAPPPSSPPAQQPPERPRNSDPAESQAQAGASRTQNAAPADDRARNDHLNDHFERRMEAAGSHAQNVPFTEQNTRSNPSSQPCSAAGVYPAQNVFSEAASGDRISPEAYRAKHEITIVGNEAPAPFMTFQSTGFPPEILREVQQAGFSAPTPIQAQSWPIALRNRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSRDGPTVLVLSPTRELATQIQDEAKKFGRSSRISSVCLYGGAPKGPQLRDLERGADIVVATPGRLNDILEMRRVSLHQVSYLVLDEADRMLDMGFEPQIRKIVKQVQPKRQTLMFTATWPKEVRKIASDLLSNPVQVNIGNTDQLVANKSITQYVDVITPPEKSRRLDQILRSQEPGSKIIIFCSTKRMCDQLARNLARQYGASAIHGDKSQAERDSVLSEFRSGRCPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYASDLVKILEGANQSVSQQLRDMVSRGGYGGRSRRWASSDDSYGGRGYDSGYTSRSTDNYNSGYGSQSGNASITATVAISLAILLASKPAFITAAATIKPVTIQAFMPATTINLVMVSAFMPGSIALLGAAIRAEQTMLAFVIEVGVLQAIATMKILGPRLLVSPTVPSALTGEIGVLKKRTDATMTTTRLTQLATEWVTGDTLARIK >OGLUM01G05120.1 pep chromosome:ALNU02000000:1:3891451:3893990:-1 gene:OGLUM01G05120 transcript:OGLUM01G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGGGGGGGGGGGGEGGSASRVGDWGVDLGEGWDWRSIPKLLSSACLFICSGGCFGCCDKAVRHVGQLSKSLITPDQNYTIGDELWSTTTIEVEQSWALDQQGVGSSQGPTEFVNHGLVLWKEIRKDWTAKTRQIPETKQIREPILSWNAAYESLLGSNKPFHQPIPLHEMVDFLVDIWEQEGLYD >OGLUM01G05130.1 pep chromosome:ALNU02000000:1:3895948:3900225:-1 gene:OGLUM01G05130 transcript:OGLUM01G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEVASLISQFRGAAHFVEHLESTPPATSAQRARPPPTHALDSTHTRGRRGRWLRDATSRSWSNRDGQILLPVFNLSSSSSRLEIRGHDAWWETGNDVHARTQGQVSVEKSPASRSGQSLFSRNFIISLNPICSTSPSTLPISALAIAILLLSLPGEDASLRRSPPAMAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >OGLUM01G05130.2 pep chromosome:ALNU02000000:1:3895948:3899402:-1 gene:OGLUM01G05130 transcript:OGLUM01G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLLHGTLHATIFEAASLSNPHRASGSAPKFIRKFVEGIEDTVGVGKGATKVYSTIDLEKARVGRTRMITNEPINPRWYESFHIYCAHMASNVIFTVKIDNPIGATNIGRAYLPVQELLNGEEIDRWLDICDNNREPVGESKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGKNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDSNRPKPGGDVTLGELLKKKASEGVRVLMLVWDDRTSVGLLKRDGLMATHDEETENYFHGSDVNCVLCPRNPDDSGSIVQDLSISTMFTHHQKIVVVDHELPNQGSQQRRIVSFVGGLDLCDGRYDTQYHSLFRTLDSTHHDDFHQPNFATASIKKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWRKQGGKDLLLQLRDLSDTIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPDTPEEAAKAGLVSGKDQIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSYAWKPEGIKPEDIGALHLIPKELALKVVSKIEAGERFTVYVVVPMWPEGVPESGSVQAILDWQRRTMEMMYTDITEALQAKGIEANPKDYLTFFCLGNREVKQAGEYQPEEQPEADTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQRPESLECVQKVNRIAEKYWDMYSSDDLQQDLPGHLLSYPIGVASDGVVTELPGMEYFPDTRARVLGAKSDYMPPILTS >OGLUM01G05150.1 pep chromosome:ALNU02000000:1:3905428:3914574:-1 gene:OGLUM01G05150 transcript:OGLUM01G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVCLDVPRSTRCTRLHHSIEEKSRAEAKATRMATTSACCYRPLSAPPLHRRRGPPQQQQLLRTAVGGRRRRPSLQVAAAAAATGGGPSVKAATDAEFFQNSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESWMIKIGQPSLLQVSSHAARNAFSAEKMHEKHG >OGLUM01G05150.2 pep chromosome:ALNU02000000:1:3910398:3914574:-1 gene:OGLUM01G05150 transcript:OGLUM01G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVCLDVPRSTRCTRLHHSIEEKSRAEAKATRMATTSACCYRPLSAPPLHRRRGPPQQQQLLRTAVGGRRRRPSLQVAAAAAATGGGPSVKAATDAEFFQNSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESWMIKIGQPSLLQVSSHAARNAFSAEKMHEKVTNPRSTVI >OGLUM01G05150.3 pep chromosome:ALNU02000000:1:3910171:3914574:-1 gene:OGLUM01G05150 transcript:OGLUM01G05150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVCLDVPRSTRCTRLHHSIEEKSRAEAKATRMATTSACCYRPLSAPPLHRRRGPPQQQQLLRTAVGGRRRRPSLQVAAAAAATGGGPSVKAATDAEFFQNSDTRPIMLFDGVCNLCNGGVRFVREHDPNRSIRYIPLQSESGRKLLQRSGRAPDDISSVVLVEKDRSYIKSEAVLRIMGYLNLPFPQLATFLNFVPLFLRDFAYDNVADNRYAVFGRSESESLALKSKTLYNGRFCASDERVNTSNNTKVYFASTQILCNSKVLSGKKT >OGLUM01G05160.1 pep chromosome:ALNU02000000:1:3914590:3916005:-1 gene:OGLUM01G05160 transcript:OGLUM01G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEITEGVRNLAVEGEPAAAAGGGGEGAQRRAAGSSSNRIQVSNTKKPLFFYVNLAKVRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAVEKKIRTSTVEINDESRVRPLQKAKIEIVLEKSEKFDELMAAAAEEREAAEAEEQA >OGLUM01G05170.1 pep chromosome:ALNU02000000:1:3925421:3925958:1 gene:OGLUM01G05170 transcript:OGLUM01G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTTAGVKCGGGGAALPLSTLNHVSLVCRSLSTSLTFYRDFLGFVSVRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKKEINPKDNHISFTVTPVDYTTYIQNQPQQ >OGLUM01G05180.1 pep chromosome:ALNU02000000:1:3941806:3942153:1 gene:OGLUM01G05180 transcript:OGLUM01G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQRRLKEMGVRYVQRRVEEGGVYVDQIFFHDPDGFMIEICTCDKLPVVPLDAAAAHSIFAGRSPPPVACKRPVVKQPSATKLGSIAAAGCVGEVIVVDATNGAISAAAGAMS >OGLUM01G05190.1 pep chromosome:ALNU02000000:1:3958927:3967589:1 gene:OGLUM01G05190 transcript:OGLUM01G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAAPMPLAAMAASGRDDGDDVPLFFLHAVGAAAHLVVAVAVAARMVFGWWCRRGKDGEVRGGGGGGGGGFRWRWVAASATWVLGGFGVILAAYEGYLGGGGGGGWSRGAVVEEADAAARAVAWLLLAAYLQFRFGRRREERFPAPLRLWWAFFLLLSLLAVAVHAVTGLDGRPVPAHSWALDAVSVLTAVALLFAGFLGRREPGGSAIEEPLLNGGASATAAGENNSNNCAADASMFTGAGFLSVLTFSWMGPLLAVGHRKTLDLDDVPGLDPGDRVAGLLPPFKTNLEALAGDGSGRKVTAFTLSKALVRTVWWHVAVTAFYALVYNVSTYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECLSQRHWFFRLQQAGIRARSALVAVVYQKGLVLSSQSRQSRTSGEMINIISVDADRVGLFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALAATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIDLRKTETNWLKKYLYTSTIVTFVFWGAPTFVAVVTFIACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMLIQTKVSLDRIASFLCLEELPTDAVLKLPSGSSDVAIEVRNGCFSWDASPEVPTLKDLNFQARQGMRIAVCGTVGSGKSSLLSCILGEIPKLSGEVKTCGTMAYVSQSAWIQSGKIQDNILFGKQMDNEKYDRVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGELASKTVVYVTHQIEFLPAADLILVMKGGRMAQAGKYDEILGSGEEFMELVGAHKDALTALDAIDVTNGGNEASSSSKTASLTRSVSVEKKDKQNGKEDDANAQSGQLVQEEEREKGRVGFWVYWKYLTLAYRGALVPFILLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLIYVYVALAFGSSLCILVRALILVTAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIAYQMGSVAFSIIQLVGIIAVMSQVAWQVFVVFIPVLAACFWYQRYYINTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSTNSHLMDAFSRPKFYNAAAMEWLCFRLDMLSSLTFAFSLIFLVNLPTGLIDPGISGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYMSIPAEPPLSVQDDKLTQDWPSEGEIMLNNVHVRYAPHLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQALFRIVDPTVGQILVDSIDICTIGLHDLRSRLSIIPQEPTMFEGTVRTNLDPIGEYTDSQIWEALDRCQLGDEVRRKELRLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSDATVITIAHRITSVLDSDMVLLLDNGVAVERDTPTRLLEDKSSLFSKLVAEYTMRSTHT >OGLUM01G05200.1 pep chromosome:ALNU02000000:1:3965745:3966996:-1 gene:OGLUM01G05200 transcript:OGLUM01G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSHATLPASPPSDGSGVDGPDDGHVALQNLPPTPPTSPTHFPHLSLSSHPATEHTDSFSLSSALSISLSSHPICICFSFPHLCFVFVVVSCFFLLLLLDLILFFFPSLFSAARGEVECGVVRWLVGEILIWSSLEMAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLKEGGGATRRYGGCRRWAGAAGRRRRGXXXXXAGADERQGNEEGKQQGGGGGGGGAAAGGGQEQAPPARKRGRSAGDKEQNRLKRLLRNRVSAQQARERKKAYMTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHAGKRGGGGGGGGGKGGDGGGGGKKHHFTKS >OGLUM01G05210.1 pep chromosome:ALNU02000000:1:3970229:3973359:-1 gene:OGLUM01G05210 transcript:OGLUM01G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSQTFRRSGSSGLVWDERLMLDGHSERDQEDGALELRHSRSVGSIGLQRRHGDGAGHTRCNNSQAFHTRRMPPAQDPPSPKVPGCIFCGIFRKPVLSEPSKPRRSIMYRYYFPCWYVLKLIADQIVFSF >OGLUM01G05220.1 pep chromosome:ALNU02000000:1:3973893:3978997:-1 gene:OGLUM01G05220 transcript:OGLUM01G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLAGTHRGRVPLAAAAAVAGGAALFCASSPPIIALMEEKGEDAATKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKFVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDMALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTADMPRFVAASISSSSPRRSGETEREGRVSGWAAMRGRFSPRYACDANPMPATRIRAHPWCSAAAARGVLGLRPAIDCACGCAAVVPRGTRDRFRHRRLSGCRRRRGGRVWDWNHEVASRRGFGFLSPLLGWDSCGKRGEKTATRFGLLRARVWGIGGQRSWNPRLNLPDNPV >OGLUM01G05220.2 pep chromosome:ALNU02000000:1:3973895:3978932:-1 gene:OGLUM01G05220 transcript:OGLUM01G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLRRLAGTHRGRVPLAAAAAVAGGAALFCASSPPIIALMEEKGEDAATKVALNPDKWLEFKLQEKATVSHNSQLFRFSFDPSTKLGLDVASCLITRAPIGEEVEGRRKFVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGYISKDMALKGLPRPGEDSLILVCGPPGMMNHISGDKAKDRSQGELTGILKELGYTADMPRFVAASISSSSPRRSGETEREGRVSGWAAMRGRFSPRYACDANPMPATRIRAHPWCSAAAARGVLGLRPAIDCACGCAAVVPRGTRDRFRHRRLSGCRRRRGGRVWDWNHEVASRRGFGFLSPLLGWDSCGKRGEKTATRFGLLRARVWGIGGQRSWNPRLNLPDNPV >OGLUM01G05230.1 pep chromosome:ALNU02000000:1:3980241:3982565:1 gene:OGLUM01G05230 transcript:OGLUM01G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMSIHRSERHGSQLAVEKQRRSLGGHLTYPRDGSTTGVQPRVINEVPTAKYDGVFTWNGNLTSRNVSSQYTACNVSVLSSE >OGLUM01G05240.1 pep chromosome:ALNU02000000:1:3981546:3985908:-1 gene:OGLUM01G05240 transcript:OGLUM01G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGMEWEVGRRRDSEDVIVLSPGPPARRRPPPVKAVEPDSGGFAYEPPEKLFYKTRVCETFVTSGRCMFEDGCTFAHGDEELRPSLTACAGGWRKPSPSLAAPPVAVAVAPTPPPAQVVHELLARGSGSGGGHRAITKVCFEFRDKGTCYFGETCAFPHVSAAEIRQGSRLSSMSSSSWEMPARRSVAVTVPRTFVSVPPVAPPPPHYRVNNNSSSSSGYNAASMAAAAPPAASDANLVAQQQPPEQGGRKMTRLEMLSLKKMTGIYGDWLEGAIEHYLNAGVVSIKLWMNRFDYRGLMQPFDGICEIPIIKLRPYQLNYYNQEAVGKGQHRWGKWFDLSIAQEKLVNSTGGSTASSSHLVYPSKSKRLSWHPRIFLYEGFLSDMECDHLVSTGRGNMESSLAFTDGDRNSSYNNIEDIVVSKIEDRISLWSFLPKENGENIQVLKYGVNRRGSIKEEPKSSTGGHWLATILIYLSDVKQGGETVFPRSEMKDAQAKEGAPSQCSGYAVRPAKGNAILLFNLRPDGEIDKDSQYEECPVLEGEKWLAIKHIHLRKLDSPKSSLASEDECTDEDDRCVSWAASGLSNLILSAYNHEKIIT >OGLUM01G05250.1 pep chromosome:ALNU02000000:1:3990338:4004701:-1 gene:OGLUM01G05250 transcript:OGLUM01G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRGRHRGLLKLLRICLGLRRIGGEAASFPGAGVGEAARRRPAGAVRSRATGHFPSTCGLRLLQEFRLMDLVRPFLSPALLQAMGNGIWLSTVLLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSARSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGVAAGNNGDGDEEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >OGLUM01G05250.2 pep chromosome:ALNU02000000:1:4001164:4004701:-1 gene:OGLUM01G05250 transcript:OGLUM01G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGGTSSVASGGGKRLEYGRTHVVRPKGAHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVAVFGGFPSTAWFDVADLSEDAPDDVEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCYAHGKYGNGNPYPVNLTVSVGLSGWLPCARSLKNKIESSQEAAQKASSIPLLLCHGKADDVVLYKHGEKSADALKTTGFSNVVFKSYNRLGHYTVPEEMDEVCKWLTANLGVSSSSSA >OGLUM01G05250.3 pep chromosome:ALNU02000000:1:3990338:3998716:-1 gene:OGLUM01G05250 transcript:OGLUM01G05250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGLLKLLRICLGLRRIGGEAASFPGAGVGEAARRRPAGAVRSRATGHFPSTCGLRLLQEFRLMDLVRPFLSPALLQAMGNGIWLSTVLLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSARSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGVAAGNNGDGDEEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >OGLUM01G05250.4 pep chromosome:ALNU02000000:1:3990338:3998716:-1 gene:OGLUM01G05250 transcript:OGLUM01G05250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGLLKLLRICLGLRRIGGEAASFPGAGVGEAARRRPAGAVRSRATGHFPSTCGLRLLQEFSCLWTLSQLVSMAAIKEESDYDSSRSSLTAPDSRRSWISDIGSSSSVSARSFGGGGDTPASSCRYKPHKANQAEWEAIRRLRAGAGRVGLEHFRLVRRLGSGDLGNVYLCRLREPWSSSSMTTTAGGCLYAMKVVDKDALAFRKKLRRAEVERDILRTLDHPFLPTLYADFEASHYACLVMEFCPGGDLHVARQRQPGRRFTISSTRFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPKLLRPAKSAGAGGKPPLPPPSSCVPPTIQPVLSCIFRGVHKCHHAKECAGGGVAAGNNGDGDEEEAETETAEPEVVVVEPVAARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMLHGRTPFKGESNEKTLINIIKQPVTFPRLAAAAAGEWEEMKTAQDLMLQLLAKNPKKRMGSTMGSAEVKRHPFFKGVNWALVRSVRPPEVPAPPAPAPKKVMMMSKKERQEPYNYRPEDHFDYF >OGLUM01G05260.1 pep chromosome:ALNU02000000:1:3997444:4000431:1 gene:OGLUM01G05260 transcript:OGLUM01G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARPDRAGRPPPRRLTDTGAGEGSSFAADPTKPETNPESSCRDPSTSTSGGEAAACSPYPPPSIAGGASDHRRAPLRSAAAQGVMARLVSTALMRGLVRSSRAPRVAAVSQPAIQQFRNYSSGLGGDSSAKGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGFPESPMCGFSALAIKVLKLYDVPISARDILGDLKLKECVKAHTRVSLRMCLAILHKSMSKKNHHEDNNKYAVFVT >OGLUM01G05260.2 pep chromosome:ALNU02000000:1:3992863:4000431:1 gene:OGLUM01G05260 transcript:OGLUM01G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEEEPRAISLEVILEQAGKSFDMTYMHLRETRQSDENKRVYVPGKSSNVKGVMARLVSTALMRGLVRSSRAPRVAAVSQPAIQQFRNYSSGLGGDSSAKGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGFPESPMCGFSALAIKVLKLYDVPISARDILGDLKLKECVKAHTRVSLRMCLAILHKSMSKKNHHEDNNKYAVFVT >OGLUM01G05260.3 pep chromosome:ALNU02000000:1:3992863:4000431:1 gene:OGLUM01G05260 transcript:OGLUM01G05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEEEPRAISLEVILEQAGKSFDMTYMHLRETRQSDENKRVYVPGKSSNVKGVMARLVSTALMRGLVRSSRAPRVAFRNYSSGLGGDSSAKGDSSSTRVAADPDTHQDFQPTSKSSNMSFDDIVARDIKENPVLIYMKGFPESPMCGFSALAIKVLKLYDVPISARDILGDLKLKECVKAHTRVSLRMCLAILHKSMSKKNHHEDNNKYAVFVT >OGLUM01G05260.4 pep chromosome:ALNU02000000:1:3992863:3997406:1 gene:OGLUM01G05260 transcript:OGLUM01G05260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESHEEEPRAISLEVILEQAGKSFDMTYMHLRETRQSDENKRVYVPGKSSNVKSDRGTPMATHGSDRHGRPPQSPGKADGNPSPPRLIQHQIDTNIAGITRDAEQRRRRPQGHRNTTRAGQSRART >OGLUM01G05270.1 pep chromosome:ALNU02000000:1:4005580:4005924:-1 gene:OGLUM01G05270 transcript:OGLUM01G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRAAGLAVEVELLGSCLFCKLGNDDPQRTSRQRDEGFEVEQFERALGENRVPFGTGVDNILDVVSLLKASLRRFLLH >OGLUM01G05280.1 pep chromosome:ALNU02000000:1:4011544:4011948:-1 gene:OGLUM01G05280 transcript:OGLUM01G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASGAAFSVRPSPAAARPCVAASASAAGAARFRGDGSGGGGGGKWWAPLLGWSGQPDYIDAQPAAREEARPNPMAAAEQRGGGGKRFGVLTEEKARQLRARMMETESFHDCMYHSAIASRLASAAPADDGKH >OGLUM01G05290.1 pep chromosome:ALNU02000000:1:4015081:4020348:-1 gene:OGLUM01G05290 transcript:OGLUM01G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYCRPIDDGTSESALLRAAFDGNLGRLKGILKSLGIEKGKTQDAVLALNKHGIGVLHAAACQGHLNVCKFLVEELGGDYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDLSWLPIEHAALRDCREEVEMLFPLTSPIPNVPNWSVNGIIAHAKVKNTKPMDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDSGNVEIERELRKARELMVKPPDEQ >OGLUM01G05290.2 pep chromosome:ALNU02000000:1:4015081:4020348:-1 gene:OGLUM01G05290 transcript:OGLUM01G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFVYCRPIDDGTSESALLRAAFDGNLGRLKGILKSLGIEKGKTQDAVLALNKHGIGVLHAAACQGHLNVCKFLVEELGGDYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKEYKHACDALMDAQKLDSGNVEIERELRKARELMVKPPDEQ >OGLUM01G05290.3 pep chromosome:ALNU02000000:1:4015115:4020348:-1 gene:OGLUM01G05290 transcript:OGLUM01G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFYFLDHGGDVTKADVRGCTVLHHAAGTGCCKVTEFLLSKGIPVDIDCGLGTPLFHAANNGKDKTLKILLDHKADPNVIINNGAGSPLMSSLIYRSLKCMKLLIKAGADVNGKGTVVTPLMLAASQGGYTNFIQFLLKAGANPNIPDDLSWLPIEHAALRDCREEVEMLFPLTSPIPNVPNWSVNGIIAHAKVKNTKPMDQHQKESRKVVLKAQADLVFKQKNYAAAAKIYDLAIAHGPTAVLYANRSICRLLMGDGEGALSDAYRCRMMRPNWAKACYRQGAAHMLLKES >OGLUM01G05300.1 pep chromosome:ALNU02000000:1:4021819:4026130:1 gene:OGLUM01G05300 transcript:OGLUM01G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTETETETGTGARIPSHRRRKGSSDGSRAVHRREPTPTKETEIPGGHGRPKRSSSALSLDGAGDSNPTWVILNRVGARRDSFRGDRTTSAASRTSGGKEISVSFELAPPPETSLLTLDWPQGPRPSEGTTSYPYVIAAHGNVVLLEIISTAKCPRPIDIDYFVYKAKPNGEPSLTRLPVCYWKGASGRDNPRPRIMSRVAMGVLSCSKDSFVVAEMERRSYQPSAANIYMFFSGSDGWRVFRDVRIDHINGAGWWSTDAVLSYRRRYLIWVDYLRGMIVAKLAHPGGGDPPEPALWYVPLPVDPVMGNPYDSERGRGCPEASRNVCATHHGIKFVNIINQCGGSFSITLWSWCEDGTWREDATLDAAQLWDLDCENRLPNVQPEFPIVDMENPYTVCFLLNEGNYIVPEATTWMIKVHMKKKILLGCTSYSKNGSLSHQNTTYMTARRMSERLSFISSEMPYCLSGQTMKRKLIN >OGLUM01G05310.1 pep chromosome:ALNU02000000:1:4024394:4030872:-1 gene:OGLUM01G05310 transcript:OGLUM01G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKIPFKGVVADIEGRAAWYKHDWLEGFHSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKIQGVFIEMDCEKNVGWLRGFIADYGVPLMVIVWTALSYALPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHLQAHTNAVQPRCGDTENWSEC >OGLUM01G05310.2 pep chromosome:ALNU02000000:1:4024394:4030872:-1 gene:OGLUM01G05310 transcript:OGLUM01G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKIPFKGVVADIEGRAAWYKHDWLEGFHSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHLQAHTNAVQPRCGDTENWSEC >OGLUM01G05310.3 pep chromosome:ALNU02000000:1:4024394:4030872:-1 gene:OGLUM01G05310 transcript:OGLUM01G05310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKIPFKGVVADIEGRAAWYKHDWLEGFHSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTALSYALPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHLQAHTNAVQPRCGDTENWSEC >OGLUM01G05310.4 pep chromosome:ALNU02000000:1:4024394:4030872:-1 gene:OGLUM01G05310 transcript:OGLUM01G05310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKIPFKGVVADIEGRAAWYKHDWLEGFHSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKMQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHLQAHTNAVQPRCGDTENWSEC >OGLUM01G05310.5 pep chromosome:ALNU02000000:1:4024394:4030872:-1 gene:OGLUM01G05310 transcript:OGLUM01G05310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKIPFKGVVADIEGRAAWYKHDWLEGFHSGFRILAPTMYIFFASALPVIAFGAQLSRETNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKNQQALGERLVCIWTALMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQQAIKGIIEEFKVPRDADHSSPIYQFQWLYVNGLLGVIFSIGLLYTALRSRRARSWVYGQGWLRGFIADYGVPLMVIVWTAFSYTLPKDVPSGVPRRLFSPLPWESSSLHHWTIAKDLFSVPPAYIFAAILPALMIAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILVLGFMVLLCGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTATEGLMNRASSLEIYGKIQGVFIEMDCEKNTDSVDKELKSLKDAMLQEGDKEGTLAEEFDPIKHIEAHLPVRVNEQRLSNLLQSLLVGACVGAMPVIKMIPTSVLWGYFAYMAIDSLPGNQFWERIRLIFIPSSRRYKVLEGPHASFMESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGASDSESCGSRDDAEILDELTTNRGELKHRTFNHREERHLQAHTNAVQPRCGDTENWSEC >OGLUM01G05320.1 pep chromosome:ALNU02000000:1:4042782:4043639:-1 gene:OGLUM01G05320 transcript:OGLUM01G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPSKTITVFTIFQLVYLLICFGITWIPIAGILFPLPFFLMILIRQHVLPKFFEPNDLRELDAAEYEELEGVHHDHTLEDGESDSGSCGSRDDAEIFDELTTNRGELKHRTSSHREERHLQVHSNAIQPRCGDTENWSEC >OGLUM01G05330.1 pep chromosome:ALNU02000000:1:4046982:4048736:-1 gene:OGLUM01G05330 transcript:OGLUM01G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLAAAASPPLFFSAASIRFALDYKYPLPRRGGAETRDIGTYHVYLLCLCPPSHRLRSATEQRNK >OGLUM01G05340.1 pep chromosome:ALNU02000000:1:4049935:4050387:1 gene:OGLUM01G05340 transcript:OGLUM01G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKQPDPEEAASPPAAAVGTGVAHGTRPAQERRQWRCRRCEGGEVGLPLRSSAAEPFWPKLRVIAAGFDFGIRRHRRSLSKIEVSLIFSMPNSPLPLSSPSRPAIGAHRQGTRVPRCRLPVWPPLCQHGAPPLGIPAQAENTSGSKSTP >OGLUM01G05350.1 pep chromosome:ALNU02000000:1:4061866:4064532:-1 gene:OGLUM01G05350 transcript:OGLUM01G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y413] MAAELHFLVVPLIAQGHIIPMLEVARLLAARGARATVVTTPVNAARNGAAVEAARRDGLAVDLAEVAFPGPEFGVPEGLENMDQLADADPGMYLSLQRAIWAMAAPLERLVRALPRRPDCLVADYCNPWTAPVCDRLGIARVVMHCPSAYFLLATHNLSKHGVYGRLALAAGDGELEPFEVPDFPVRAVVDTATFRRFFQWPGLEEEERDAVEAERTADGFVINTFRDIEGAFVDGYAAALGRRAWAIGPTCAAAAAGTDADARASRGNRADVDAGRILSWLDAWPPASVLYISFGSISHLAAKQVIELARGVEASGRPFVWAIKEAKAAAAAVREWLDGEGYEERVKDRGVLVRGWAPQVSILSHPATGGFLTHCGWNAALEAIAHGVPALTWPTILDQFSSERLLVDVLGVGVRSGVTAPPMYLHAEAEGVQVTGAGVEKAVAELMDGGADGAARRARARELAATARAAVEEGGSSHADLTDMIRHVGAQCRLDFQTTTTSIIRSSPNSLD >OGLUM01G05360.1 pep chromosome:ALNU02000000:1:4066493:4069155:1 gene:OGLUM01G05360 transcript:OGLUM01G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y414] MDDDTAGHASGGYTTTAAAHFVLVPMMAQGHAIPMTDMARLLAEHGAARVSLVVTPVNAARMAGFAAGVEEAGLPVQLVELPFPAAEFGLPDGCENVDMLPSKDLFSNFLLACGALREPFAARLRQQRPPASCIISDMIHSWAGDIARELGVPWLTDIIYRKNLLENLTDDEIVKVSGFPTPLELPKARCPGTLCVPGLKQISDKIYEAETRSDGRIMNSFQEMESLYIESFERTIGKKIWTIGPMCLCHRDSNAMAARGNKASMDDAKCLQWLDSKKPGSVIFVSFGSLSSTDPQQLVELGLGLEASKKPFIWVIKAGKKFPEVEEWLADGFEERVKDRGMIIRGWAPQMMILWHQAIGGFMTHCGWNSTLEGISAGVPMITWPHCSEQFVNEKLVVDHLKIGVEVGVKGVTQWGTEQKEVEVTRTAVETAVSMLMDEGEVAQEIRTRAKDFGMKARRALEEGGSSYNNIKLLIQEMGNKQNASG >OGLUM01G05370.1 pep chromosome:ALNU02000000:1:4070480:4074998:1 gene:OGLUM01G05370 transcript:OGLUM01G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y415] MSSAGHAVDQQRKSTTMKAHFVLVPMMAQGHMIPMTGMARLLAEHGAQVSFVTTPVNAARMAGFVTAVEAAGLAVQLVKLPFPATEFGLPDGCENLDMIQSRDLSRNFMEACGALREPLTARLRQLCPPPSCIISDMVQWWTGEIARELGIPRLTFDGFCTFASLARYIIFRDKLLDNVADEEIVTFSGFPMLLELPKARCPGSLCVPGMEQIRDKMYEEELQSDGNVMNSFQELERLYIESFEQITGKKVWTIGPMCLCDRDSNMMAARGNKASVDEAKCLQWLDSKKPGSVIFVSFGSLASTAPQQLVELGLGLEASKEPFIWVIKAGNKFPEVEEWLADGFEERVKDRGMIIRGWAPQVMILWHQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKFVVNLLKIGLEIGVKGVAQWGSEHKEVRVTRNAVETAVSTLMNDGEAAQEMRMRAKDLGVKARRALEEGGSSYDNIRLLIQEMGNKQNASG >OGLUM01G05370.2 pep chromosome:ALNU02000000:1:4069667:4071998:1 gene:OGLUM01G05370 transcript:OGLUM01G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y415] MSFASHAGAGAGDQQHRCCSRTVHFVLVPMMAQGHTIPMTDMARLLAEHGAQISLVTTPVNAGRMAGFVAAVEEAGLPVQLLELPFPAADFGLPDGCENIDMLQCKDDMRKFLEACGALREPLMARLRQHDLPPSCIVSDMMHWWTSDIARELGIPRLTFSGFCTFASLSRDIVYRNNLLRDLTDEEEVVKLSGFPTPLELPKARLPGSLCVPGLEEIREKIYDEEMRSDGKVMNSFDELETLYMESYKQVTDKVWTIGPMCLCHRDRNTMAARGNKASLDEVKCLQWLDSKKPGSVIFVSFGTLVSTAPQQLVELGLGLEASNKPFIWVIKAGNKFPVVEKWLADGFEERVIDRGMIIRGWAPQMMILWRQAIGGFMTHCGWNSTIEGICAGVPMITWPHFAEQFLNEKLVVDHLKIGMEVGVKGVTQWGSEQKEAQVTRNSVETAVSTLMNEGEAAQGMRMRAKDFGIKARRALEEGG >OGLUM01G05380.1 pep chromosome:ALNU02000000:1:4075808:4077805:-1 gene:OGLUM01G05380 transcript:OGLUM01G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15930) TAIR;Acc:AT3G15930] MVRALSRARSLLDGIPHRRGRAASSSVSGHGAEEAVAGYVRMLAGGARPDAYTFPSLLKAAAAARGAAAAAASVGGAIHAHVVKFGMESNAHAASSLIVMYAARGDGAAARAVLEAACLATGGGAPVMWNALISGHNRSGRFELSCCSFVDMVRAGAMATAVTYVSVLSACGKGKDLLLGMQVHKRVLESGVLPDQRVENALVDMYAECGDMDAAWVLFEGMQMRSMASWTSVISGLVRSGQVDRARDLFDHMPERDTIAWTAMIDGYVQVGRFRDALETFRYMQICKVRADEFTMVSVVTACAQLGALETGEWARIYMGRLGIKMDVFVGNALIDMYSKCGSIERALDVFKDMHNRDKFTWTAIILGLAVNGRGEEAIDMFYRMLRALQTPDEVTFVGVLTACTHAGLVDKGQEFFLSMTEAYNISPTVVHYGCLIDVLGRAGKLKEALDTIDKMPMKPNSTIWGTLLASCRVYGNSETGELAAERLLELDPDNSTAYILLSNMYAKSNRWKDVRRIRQIIMEKGIKKEPGCSMIEMNGIIHEFVAADRSHPMNKEIYSKLENVLIDLRNAGYVPDVTEVLVEVTEEEKQKVLYWHSEKLAVTFALLTSESNVIIRIVKNLRMCLDCHNAIKLISKLYGREVIVRDRTRFHHFRHGSCSCKDYW >OGLUM01G05390.1 pep chromosome:ALNU02000000:1:4080559:4088869:1 gene:OGLUM01G05390 transcript:OGLUM01G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSSSQGQSPRTPSPRATSATAEHTRSSSEPWLVAAAVASTCDDSCVNDVENFARTVAAAKSRQLVGSSAASRPDMLASVLSHYAAKWLPDVVAASSSSSSPATSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPGGGGGAADDGITCDFLLKLLRAGSMVGADAALLQELESRAARRLDQATLGAVMIPAFGHGYACGTLLDVPLVLRLVRGFLKDAGAGGGGAAKAGGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKSLCRLIDARKLTAEAAAHAVQNDRLPVRSVLQVLFSEHGKLNRLAELSGGASSFGAPSPAFDLPGARCPSKREVLAQHHELRRLREDFARLQVQCSALQAQVDRLSTERRRRGGGGSGGGGGFFKWSTFWFGGMSADVARVEDSESGIERRTPASGKKGRGGAAGAAAATPTPKWRKSMS >OGLUM01G05400.1 pep chromosome:ALNU02000000:1:4087707:4090672:-1 gene:OGLUM01G05400 transcript:OGLUM01G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAFTEEEKAVDDSLGYPKAYARLCRGGGGGGGGLPYGHGPPHGFLPYVLHPHEAMRAKDLNEMFPVADAEAAPTANPRGFANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGRTVPSNLRIVQAQVCRKKHNKLEFLVPWWDLQLGISVNQFLSIFASKNADFRNRAFTFLFVDGASEELTSMQAVEAHAFPHHFSDLTKKVGLAPAAIVSSRGSDSSVLKSLDANRPLRPNYPLIAAKKFTGEKDENFNVPMSNHGPNSTKENNNPDADGYISNPYLSIATARDSLRQREEAKKKQAELTELENEATELKQKNEEERVAIQDMEALLIKRRRRVEKSRRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRRKYQQKDELEKQIKPFTDQARKRYRVDDDGLLEERHSLEERHSERVKYFPGIRLRSRSPLKQELRVFLEEDQRASDAYISLEEEEIAGETSTMGNFRNSPFKVINFPRRSMEDNTVDTERGRASVREKLEHLAFKERQRGRRRERTMSSRGSRATSTPVRSRDGNGRGKAAMVQCESETERSQTVSVPRTSSVPPSPPYRVTGMYGTPRYPAEKSVLLKKNNVIHRQGVGRSEGDANMNHTGKGTVDKWLQMLMEDQQQQEDPAAAYHSSEDHNTADEIASDEHQMQSRIDDESCRNEITECSDEIVEVGGEGATEQQDRCRNSFEIKERGEEKKIWFPRSDSSRGFRSLPSSPSKILGMRRGVECMSRKPKVVGDDNGRYGYEDSVSTSSSKFLTRCKQAIKKAVNK >OGLUM01G05410.1 pep chromosome:ALNU02000000:1:4101748:4104353:1 gene:OGLUM01G05410 transcript:OGLUM01G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03500) TAIR;Acc:AT2G03500] MASSSSDLTLDDHHHLTAVAAASGQATQKLQEFLSRLEEERLKIDAFKRELPLCMQLLNHAMEAYRQQLEAYQMGSQHGAAAAAAARAPLVLEEFIPVKNIGIDVVAADKAAAAAAGGNSVSSEKASWMVSAQLWNAPASASAADTAAKGPQTPKEHSEHHPLDTSPKLITALDGGGGGGAFLPFSKDNAMGDGSAAAAAAALPELALAPAEKAADAITIAAGEVDKKPYAHDNGVVARSREAQNGGKPPSTPPDGQAVPPPPQPHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPSPAPPTAATPQLVVLGGIWVQPEYATQAAGPAIYGAHPATQPHYTAAVAAQEYYHHHHHHLQHHPAAAALVHHRAVAPPPPLPPQQQLAPPYSAKSSASARLGSPDSDGRGSGGGAAAAASGAGRDMSESIEEEGEGEEREDDDDYDEMAATNNAHAVDGDDDDDEINTTTTTSAGAINY >OGLUM01G05420.1 pep chromosome:ALNU02000000:1:4107080:4111000:1 gene:OGLUM01G05420 transcript:OGLUM01G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSLQLVGALLLPLLAVVSSFDPFHRDANPMGGGAGQAPFIPHEYVRFADVKRQCKSVLSSAAELTFDANRANGLMPELSFVKGDWKHDGDGDGGAPLLPFDGTDVAEDAAAGAARDPLPLASFSLTHVDAARRGRTALNVSGVLGVAISRNGTGPEMGPYVSPEFKVWPGNTELKVLLEGVYTENDDGESVLCMVGDAVLPARGGDAANPWGWAKHSDRDRFQPPITKDGNILLVLRYPKTLTLTTRAVHGELTSTNGKTHAAYFDAVHLLSQLGAYSNYQFGSEELVGTACKPHPYRDDVLAGGGGDRGLYKGTSFCGILDRFTSEDVLAVVPNWRCNTTDDALCRRLGPFETDKAVDATDGGFAGVRIVMQEVRCEPRTDGGEISARVSAVFRAVPPWEHAYTAAKRSGLGGATLSAEGVWRASSGQLCMVACLSVGAKACHSRVCLYLQTTFSATRRSITVGQITSIGGGAAHFPPLTFQRTVHPMELWSRFGVTGGEPLSLAYSYTKTKQAGEFLRRSEPFDFGTVIAKSLLSYPRKSGDAADETTSLSNLAEELTLHVAAVPDPFPRGRFERPFLQLEVLSLGSLVGRASPATFPGTPAAVGQSMASSSSSTTTKLDATAILNVSAELTISGDAYVNVSTLSLEGVYNPVDGRMYLIGCRRIQAPWRAFSAMGGVEEGMDCSIEVRVEYPPTTARWLINPTAKVHIASTRGGGDDLLRFNATALQTLPILYREQRQDILSRRSVEGILRVVTLAAAIAAEFSQLMYIKSHTDVMPYVSVVMLGVQAVGYSVPLITGAEALFARIAASSGDGGATPPPSYEVDKSQLYWTIDCVVKILILAAFLLTLRLVQKVWRSRIRLLTRSPLEPGRVPSDKKVLVYTSGAHLVGFAVVLAAHYVSVLARPVRSEASYMDARGEAHALREWAVTLEEYIGLAQDMFLLPQVIGNVVWRINCRPLKTGYYAGLTAVRLLPHVYDYVRAPAINPYFAEEYEFVNTSLDFYSRSGDVAIPLAAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVAGVNEGVGHGLRRDASLS >OGLUM01G05430.1 pep chromosome:ALNU02000000:1:4111341:4112443:-1 gene:OGLUM01G05430 transcript:OGLUM01G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGTKAVAAAAGRRGCIRSTRGPWTVRRRGRGGGGTTSLRHPTARERENNRQRERRRRQVATRIYAGLRAGAGYALPKHADQNDVLRALCAEAGYLVDDDGNVSRRHDERLAGAGAGGGGSSDQLQVSSYSGATEAAVAIQHQEQEKEISLELTLSFTYM >OGLUM01G05440.1 pep chromosome:ALNU02000000:1:4121680:4133052:1 gene:OGLUM01G05440 transcript:OGLUM01G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional corepressor LEUNIG [Source:Projected from Arabidopsis thaliana (AT4G32551) UniProtKB/Swiss-Prot;Acc:Q9FUY2] MAQQQQQSAWEAEKMLDVYIHDYLLKRNLQSTAKAFQAEGSVSSDPVAIDAPGGFLLEWWSVFWDIFIARTNEKHSDVAASYIETQSIKAREQQPSQLQQQEAHSQQSSQQIQMQQLLLQRHAQQQQQQQSQQQPQQQRRQQKQQQRSESSHLPTSAHNGLVSADPPTRQSTSAASSLSAKMYEERVKNSVQRDTLDEAPAKQRFTENIGQLLESNSSSMLKSVAITAQASGQIFHGSTGGVSGTLQQVQARNQQLQASTQEIKVDTNAAVHMRAAGADGSLIGVPGANPAGNNLTLKGWPLTGLDQLRSGFLQQKSFMQSPQPLHHLQFLTPQQQQLLLQAQQNMTSSLGEMDSRRLRMLLSSRNIVPGRDGQSNAYTEVIPSVGPSLQNMCSPVQRMETDMLMKKIAAIQQHQQSSNQQQLLQHSLLSQQPPISNHLPGQQEKMGAGSVTIDGSLSNSFRGSEQVSKNQNGRKRKQPISSSGPANSSGTGNTAVPSSEPSTPSSQSPGDTISMPSLHHNASLSKALVVYGTSTAGTMGSPSNQLADMDRFVEDGCLEDHVDSFLSHDDADRRDGSRMESTKGFIFREVSSVQASTNKVVCCHFSSDGKLLATGGHDKKVVLWHAETLKQKSVLEEHSLLITDVRFSPSIPRLATSSFDKTVRVWDADNQGYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWSINNGNIVRIFKGGSSQLRFQPRHGGYLAVASENAVSILDVETQACLRRFEGHTKHVDSVCWDPSGEYVVSVSEDTVKSLELWDMSENRTMTLAAHDSLITALASSSSGLVASTSHDKFVKLWK >OGLUM01G05450.1 pep chromosome:ALNU02000000:1:4134765:4149715:1 gene:OGLUM01G05450 transcript:OGLUM01G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) TAIR;Acc:AT3G20630] MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEASSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >OGLUM01G05450.2 pep chromosome:ALNU02000000:1:4134765:4143736:1 gene:OGLUM01G05450 transcript:OGLUM01G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 14 [Source:Projected from Arabidopsis thaliana (AT3G20630) TAIR;Acc:AT3G20630] MDLLRSHLHKVRIPEPGSRIHKDECCVSFDTPRSEGGLYVDMTSFLGFGREHVAWNYEKSGNPVYLHIVQRRKPEPDDEADRPLKKPTLLAIGVEGGFTDQEPEYDEAFEIVILPEFTSLPFPSIDLPEKVRIAVDKVILAESADRKQQLASWVADKKKVSAHAMDLKQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRRLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDHNTNYDWNRIQESGKDAELLYGPGYTGLVNLGNSYFEKQSLKAAFAIAPADPTLDLNMQMTKLAHGMLSGKYSVPNQEGQEGIHPRMFKTVIAAKHPEFSSMRQQDALDFFLHLIDQVDQANTGNHELNPFTGFKFIIEERLQCPSGKVSYNKRSDYILSLNIPLHEATNKEQLEAFHEKKAAMDLDGKEVSNEEIVRPRVPLEACLASFSGAEEVPEFYSTALNSKTTAIKTAGFKTFPDYLVLQMRKFVMEAGWVPKKLDVPDIIDISHMRSKGIQPGEELLPEGASGDNKAEPVHPVASEDIVSQLASMGFNYLHCQKAAISTSNTGVEEAMNWLLSHMDDPDINDPISKDSQAAEQTVDETSVQTLVSFGFQEDVARKALAASGGNIERATDWIFSHPEASSSVPTDSSTSNMEDDDAHIPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWVIFNDSKVAASVDLPKDMGYLYFFQRI >OGLUM01G05460.1 pep chromosome:ALNU02000000:1:4151681:4152909:-1 gene:OGLUM01G05460 transcript:OGLUM01G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPSHLKNPLCFDFRAARRVPETHAWPGLDDHPVVDGGGGGGEDAVPVVDVGAGDAAARVARAAEQWGAFLLVGHGVPAALLSRVEERVARVFSLPASEKMRAVRGPGEPCGYGSPPISSFFSKLMWSEGYTFSPSSLRSELRRLWPKSGDDYLLFCDVMEEFHKEMRRLADELLRLFLRALGLTGEEVAGVEAERRIGERMTATVHLNWYPRCPEPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRRGPDRWVAVPAVAGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDRDRVSLGYFLGPPPDAEVAPLPEAVPAGRSPAYRAVTWPEYMAVRKKAFATGGSALKMVSTDAAAAADEHDDVAAAADVHA >OGLUM01G05470.1 pep chromosome:ALNU02000000:1:4172721:4173017:-1 gene:OGLUM01G05470 transcript:OGLUM01G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKSNDENDNNLRSGGGLGSARWIHRHWPRLGQIHSVHGSDVDDDRAGLGRGISNDGSPGMASTKSVAAIMGMVAAMMTNCNGGDFDWGLGLWFL >OGLUM01G05480.1 pep chromosome:ALNU02000000:1:4182647:4192004:-1 gene:OGLUM01G05480 transcript:OGLUM01G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEERVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISINEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >OGLUM01G05480.2 pep chromosome:ALNU02000000:1:4182647:4192004:-1 gene:OGLUM01G05480 transcript:OGLUM01G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 4 [Source:Projected from Arabidopsis thaliana (AT2G26910) TAIR;Acc:AT2G26910] MWAAEAAFARSGSWREEEDEQEALRWAALQRLPTVARARRGLLRSPAPGEERVQGDDALCEVDVAGLSPGDRTALVDRLLADSGDVEDFFRRIRSRFDAVQIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHLRIYRGGRVKLPILDDVSGIIRPSRMTLLLGPPSSGKTTLLLALAGRLGPGLKVSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYGRSFHHNVLGVVPYLLGSLRFDVNQYVDMLVELLRREKNEGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILISEGQIVYQGPREYAVDFFAGMGFRCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQYVSVSKFAEAFKTFVIGKRLHDELAVPYNRHRNHPAALSTSNYGVRRLELLKSNFQWQHLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSLLVTKLPILYKHRDLHFYPPWAYTLPSWLLSIPTSLIESGMWVLVTYYVVGYDPQFTRCLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPAWWIWGYWISPMMYAQNAISINEFLGHSWSQQFANQNITLGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNFLFTLFLTLLNPIGNIQAVVSKDDIQHRAPRRKNGKLALELRSYLHSASLNGHNLKDQKGMVLPFQPLSMCFKNINYYVDVPAELKSQGIVEDRLQLLIDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVIESLLYSACLRLPSHVDVNTRRVFVEEVMELVELNALSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGSKSRNLVEFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRQSKLFQQTQEMVDILSRPRRESKELTFATKYSQPFFAQYAACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAVKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPAWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGITTTTAVDFLRDHFGFRHDFLGVVAGMVAGFCVLFAVVFALAIKYLNFQRR >OGLUM01G05490.1 pep chromosome:ALNU02000000:1:4196486:4197329:1 gene:OGLUM01G05490 transcript:OGLUM01G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEYELSTYDRHELNYNQIALSRLPISIMGDYTIQTIRNSTQTVAQLLIYVGAINVLIIFAVIYVMVWNSLDYKIKPNSRTGSHK >OGLUM01G05500.1 pep chromosome:ALNU02000000:1:4203705:4210021:-1 gene:OGLUM01G05500 transcript:OGLUM01G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80360) TAIR;Acc:AT1G80360] MIAVGLKTKSFRPLTIIKFLFLFVGQALFDLFFLSHAYPPTSRRTLRKRRGGGGGGEMGSFGRLARRAVETEAPVMVKMQELLRGNKDVMSLAQGVVYWQPPEAAMNKIKEIVWEPSISKYGSDDGLPELREALLEKLRRENKLTKSSIMVTSGANQAFVNVVLTLCDAGDAVVMFAPYYFNSYMSFQMTGVTDILVGASNPETLHPDVDWLEKVLQENNPIPKLVSVVNPGNPSGAFIPKPMLERISELCRNAGAWLVVDNTYEYFMYDGMEHYCLEGNHIVNLFSFSKAYGMMGWRVGYIAHPNEADGLHAQLLKVQDNIPICASIIGQRLALYALEAGPEWIRERVRDLVKNRELLMEAMSPLGKDSVKGGEGAIYLWAKLPEKCSDDFEVVRWLANKHGVAVIPGSASGGPGYIRVSFGGLKESDTRLAAERLRRGLQELVSEGMVQ >OGLUM01G05510.1 pep chromosome:ALNU02000000:1:4210734:4214437:1 gene:OGLUM01G05510 transcript:OGLUM01G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1767) [Source:Projected from Arabidopsis thaliana (AT5G19950) TAIR;Acc:AT5G19950] MAAAAETPGSSASPHQERLLQSLSARGWCFREPSNEIIQELLHASPSPSPEAVETELVDVDLRLFGGKSLPDRAAAAATGRRLSYLHGPIVLQVVSVRDIYRSIIDASFKNPQQHRLLRFVLTDGISEAVAIEFFPIPFIIEDIAPGTKIRLENKIPIHNGILCLSAKNISIMGGVVQSLYEEWQMNQKFSGLSRPSLRLSQNDDGVGPPPFEKLDVEARPSRTSRSQTYSVSEAIPVQNQAAAQKLLQKMTQAAPEDRYGRGHRFKGKGRQEDTPVFTLDEWEKRKSAGLKSTAQSYIDDTSRDEELARQLQEQLDLEDSYVGSTSLIRTPLCFKTSITAIPRMLIPMTLCYFAGSAREFRRRSFADEHVQLQRS >OGLUM01G05520.1 pep chromosome:ALNU02000000:1:4214924:4218688:1 gene:OGLUM01G05520 transcript:OGLUM01G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGVWIRAAVAVAAGGAIAARAVRRKSVDSTAVFVGVPAMVAHTVAGYRFAGMLLVFFFTASRMTRVGEKRKRALDPDFKEGGQRNWFTFRVEASDFGSDCSRVGECGKSLERQNSYLVHTTLIGRKQVLSNSGIASVLVVLIALVTGGSDRCLDTRESSLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVRKGTNGGVTIDGLLAAAAAGFSIGLTFVLLGFLTTQCSSDVFWRQLLVIPLATAAGLCGSLIDSLLGATLQYSGYCSLRKKVVGVDGPTVTRISGRNILDNNGVNVVSVFLTTLITTLACVYIF >OGLUM01G05530.1 pep chromosome:ALNU02000000:1:4225551:4227555:-1 gene:OGLUM01G05530 transcript:OGLUM01G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y434] MSVETERSSTESSAASGLDFEDTALTLRLPGSLAAAAAPDPDRKRSSPSSSDAADAADNSSPLAAAADAPPAPKARVVGWPPVRSFRKNALAAKFVKVAVDGAPYLRKVDLEAYSGYDQLLRALQDKFFSHFTIPIDGRKVAGKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWKMFVETCQRLRLMKSSEAVNLAPRAAQ >OGLUM01G05540.1 pep chromosome:ALNU02000000:1:4232563:4233927:1 gene:OGLUM01G05540 transcript:OGLUM01G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAPSSVLVLLGVVLLVAGGRLCECAASGGGFSVEFIHRDSPRSPFHDPAFTAHGRALAAARRSVARAAAIAGSASSSASGGGAADDVVSKVVSRSFEYLMTVNLGSPPRSMLAIADTGSDLVWVKCKKGNNDTSSAAAPTTQFDPSRSSTYGRVSCQTDACEALGRATCDDGSNCAYLYAYGDGSNTTGVLSTETFTFDDGGAGRSPRQVSVGGVKFGCSTATAGSFPADGLVGLGGGAVSLVTQLGGATSLGRRFSYCLVPHSVNASSALNFGALADVTEPGAASTPLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTTLTFLDPSLLGPIVDELSRRITLPPVQSPDGLLQLCYDVAGREVEAGESIPDLTLEFGGGAAVALKPENAFVAVQEGTLCLAIVATTEQQPVSILGNLAQQNIHVGYDLDAGTVTFAGADCAGSS >OGLUM01G05550.1 pep chromosome:ALNU02000000:1:4246620:4250729:1 gene:OGLUM01G05550 transcript:OGLUM01G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGNASHRDHTIDILRNDATFPSTSHQDNHNNLDELHQTRGPLNDVPHVPESSASATPASISRNASFARRDQGHRQPNPLNSGFWISIELIVSLSQIIAAITVLSVSRNEHPHAPLAQWLIGYTIGCVATLPHLYWRFLHRNRQNTEQESTNQVSSERDVYEPNSYVVVSSAHGSEVVDSGNNGGVARIASPRVYALVACFKLALDCFFAVWFVVGNVWIFGGRTSLHDAPNLYRLCIVFLAFGFIGYALPFILCTMICCCLPCIISMMGIHEDLDFNRGATAEAIDALVAYKFQSKKFQDGEAGEDNGGVLAAGTDKERTISAEDAVCCICLSKFSNNEDLRELPCNHVFHLECVDKWLKINALCPLCKADLGGSTNAPDSSSRSSHDSNNSRVRNDVESQQ >OGLUM01G05560.1 pep chromosome:ALNU02000000:1:4254539:4254778:1 gene:OGLUM01G05560 transcript:OGLUM01G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSASSVCSAYRHLSSSSAMGPTPRRRRRGKVVGGGCAGVGLAGRCNAVLKEHKTRLYILGRCVSMLLCWHNHDSD >OGLUM01G05570.1 pep chromosome:ALNU02000000:1:4257540:4264067:1 gene:OGLUM01G05570 transcript:OGLUM01G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRGYLTELVVPVATAADPDSYKKASRTVRWALTWKADAASPEWAFPRPRGQWRLRRRPATDRYAPRSQVLPAGHRRVGAARRGGVAVEAAVAPPLRAGPDRSAAAVHMRYCLKDLSRLIFRRSFCRIRLVMSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYKSFLVLPIVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFCHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPAWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEESEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >OGLUM01G05570.2 pep chromosome:ALNU02000000:1:4257540:4264067:1 gene:OGLUM01G05570 transcript:OGLUM01G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRGYLTELVVPVATAADPDSYKKASRTVRWALTWKADAASPEWAFPRPRGQWRLRRRPATDRYAPRSQVLPAGHRRVGAARRGGVAVEAAVAPPLRGEDSWSRSICGGGSHALLPQRPFEANFQAEFLQDSTRDEYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYKSFLVLPIVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFCHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPAWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEESEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >OGLUM01G05570.3 pep chromosome:ALNU02000000:1:4257540:4264067:1 gene:OGLUM01G05570 transcript:OGLUM01G05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRGYLTELVVPVATAADPDSYKKASRTVRWALTWKADAASPEWAFPRPRGQWRLRRRPATDRTAGPDRSAAAVHMRYCLKDLSRLIFRRSFCRIRLVMSTIGIFMQVIFDKYLSSKLEQWADRANLGGEFQNLCRQLDMAKAILMTLKGSPVMEEGIWQLVWDLKSSAYDAEDVLDELDYFRLMEIVDNRSENKLAASIGLSIPKALRNTFDQPGSSLFPPFKKARPTFDYVSCDWDSVSCKMKSISDRLQRATAHIERVAQFKKLVADDMQQPKFPNSRQTSSLLTEPEVYGRDEEKNTIVKILLETKFSNIQNRYKSFLVLPIVGIGGVGKTTLVQYVYNDLATITCFEVRAWACVSGFLDVKQVTIDILQSIDEEGHNQFISSLSLNNIQTMLVKKLKKRKFLIVLDDVWSCSNWELLCAPLSSGTPGSKIIITTRHHNIANTVGTIPSVILGGLQDSPFWSFFKQNAFGDANMVDNLNLIGRKIASKLNGIPLAAKTIGKLLHKQLTTEHWMSILDSNLWELRQGPEDIMPVLLLSYQHLPANIQRCFVFCSAFPKDYSFCEEELIFSWMAHGFIQCMRRDKTLEDTAREYLYELASASFFQVSSNDNLYRMHDLLHDLASSLSKDECFTTSDNLPEGIPDVVRHLYFLSPDHAKFFCHKFSLIEYGSLSNESLPERRPPGRPLELNNLRTIWFMDSPTISLSDASDDGFWNMSINYRRIINLRMLCLHHINCEALPVTIGDLIHLRYLDLRFSDIAELPESVRKLCHLQVLDVRSCKNLVKLPTGVNNLISIRHLLVDASSKLLAGYAGISYIGKLTSLQELDCFNVGKGNGFSIEQLKELREMGQSLAIGDLENVRNKEEASNSGVREKYRLVELNLLWNSNLKSRSSDVEISVLEGLQPHPNLRHLRIINYRGSTSPAWLATDLHTKYLESLYLHDCSGWEMLPPLGQLPYLRRLHFTGMGSILSIGPELYGSGSLMGFPCLEELHFENMLEWRSWCGVEKECFFPKLLTLTIMDCPSLQMLPVEQWSDQVNYKWFPCLEMLDIQNCPSLDQLPPLPHSSTLSRISLKNAGIISLMELNDEEIVISGISDLVLERQLFLPFHNLRSLKSFSIPGCDNFMVLPLKGQGKHDISEVSTTMDDSGSSLSNISELKICGSGISEDVLHEILSNVGILDCLSIKDCPQVTSLELNPMVRLDYLIIEDCLELTTLKCMKTLIHLTELTVLRSPKFMEGWKNLVEESEGSHLRITASLKRLHIDDLSFLTMPICRTLGYLQYLMIDTDQQTICLTPEQEQAFGTLTSLKTLVFSECSYLRSLPATLHQISSLKSLHLSSCESIDSLPHLGLPGSLERLFIAGCDLLRDKCVEGGIDQHKIAHVRETILA >OGLUM01G05570.4 pep chromosome:ALNU02000000:1:4257540:4264800:1 gene:OGLUM01G05570 transcript:OGLUM01G05570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRGYLTELVVPVATAADPDSYKKASRTVRWALTWKADAASPEWAFPRPRGQWRLRRRPATDRYAPRSQVLPAGHRRVGAARRGGVAVEAAVAPPLRAGPDRSAAAVHMRYCLKDLSRLIFRRSFCRIRLAARRSQENLVPFWNLEQN >OGLUM01G05580.1 pep chromosome:ALNU02000000:1:4265982:4267303:-1 gene:OGLUM01G05580 transcript:OGLUM01G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSVERLGQRRVVPAEPTLVGPLRLSWLDRYPTQMALIESLHVFKPALDRAIRGDDVAGLGALLPLAGRLAFSDSGEVCVDCGDAGVWFTEAEASCSLEDVDYLEYPMMVPKDELLPPTPAGEEERELVLLVQVTAFACGGFVVGFRFSHAVADGPGAAQFMAAVGELARGAGGVSVEPVWGRDAIPDPAAAVIGSLPDPAGAKRLEYLAVDISADYINHFKNQYNAEAHAAAAGVAGVARCSAFEVLIAKAWRSRTRAAGFEPDTTVNLCFAMNARPLLHASLPRGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPSEFSRWAAGDMAGGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVRPWAHKPGARLITQCVTPDRVAAFHEGLLDLN >OGLUM01G05590.1 pep chromosome:ALNU02000000:1:4273271:4278112:1 gene:OGLUM01G05590 transcript:OGLUM01G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) TAIR;Acc:AT3G24350] MNPGRPAPASFRDRTNEFRAAVESAARYASSSSSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >OGLUM01G05590.2 pep chromosome:ALNU02000000:1:4273271:4278279:1 gene:OGLUM01G05590 transcript:OGLUM01G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 32 [Source:Projected from Arabidopsis thaliana (AT3G24350) TAIR;Acc:AT3G24350] MNPGRPAPASFRDRTNEFRAAVESAARYASSSSSSAAAAPSSSSGGGVGGPLDVSRGAASAHSEFNRRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQVLCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAANNASNPFVRQRPLVTRDGPESSVPPAPWASDSATTPLFQRKKTNGDHGASSSSSQPFMQQQLVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDDTLANVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >OGLUM01G05600.1 pep chromosome:ALNU02000000:1:4278957:4296567:1 gene:OGLUM01G05600 transcript:OGLUM01G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) TAIR;Acc:AT2G25660] MFQQISLSTLITALLIYMALEHAFWMVEKFEELVMFGFAQRSLQGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYLLHKEMYQRKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNHGAIQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMLNATGRPDENFSIEVNVPLFFGTHEAIQDGRLLSIFLQKGQLRSNICYHPENLTSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPMESQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLTNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQVKGTVDHPVVDGSASFHRATVASPFLRTPLTNFAGNVHVISNRLCISSMESRVGRKGRLSMKGTLPLHNSEPSANDKIELKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDGQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >OGLUM01G05600.2 pep chromosome:ALNU02000000:1:4278957:4296567:1 gene:OGLUM01G05600 transcript:OGLUM01G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2410 [Source:Projected from Arabidopsis thaliana (AT2G25660) TAIR;Acc:AT2G25660] MSHCLRASPFLSPPPPLLHPSRRRRHRQGGCIHTSPGTRPLVARARFDPPPLLRLKVSDSSDCPAPHHPHSQHQPLLPTRRQQQQPPPPYQALVASLAPLWREGLFLVRCSVFAAALSVAAALSWYAQLRARSFVESRLLPAACAALGEYLQREVHLGRVRSVSPLGITLHTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQRKDFSWLGLPAPSEGSPKRHSGEEGIDYRTKTRRLAREKAAEQWNEERDKAAREAAEMGYIVPSAQSISPSIDEMMEDDGPVDTGKSSPHLCPDEMHRKDHHIDAGIDSGSKHADLEKSFGVKARIPGISFWSRMIPNPSRRRYRRKAHSKLISDTDNSSQQRILRRSAYAAVAYFQNECSGNPDDSLPGPGERSSDGGHTNGGGEEGSPNDGPTEYSETTSMDYGELPPEKSNFASTMLIGNTDVLNGSSHNQQPSQISSHSWENNEQVSEAPVLKKRKNISEDDYRQEFDFGAFGSCTYAHNWLSFWSFQLKGFPVGFNAPSASLNVQIQKLRSLFAIGPGDNSAELSQGVGQIHPGAVQQTLPITLDSVYFNGGNLMLLGYGDQEPREMKHANGHIKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEQTWHANLNVVNAFAPLFERILEIPVVWNKGRATGEVHLCMSKGDSFPSIHGQLDVKGLAFQILDAPSSFSDIVATLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMKTMKMRPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSVSGMLPSAASEAVMQNKESGAVAAFDHIPFTHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNVWICPEGEGDDSAMDINLSGSILLDKVLHRYIPGGIQLIPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVNSSSVSFDLNTRIQTSYIDDYLLHKEMYQRKKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRFKFQGKVVKYSQLVDEKNHGAIQGTIDQSKLENDVSRLVGEISLSGIKLNQLMLAPQSTGFLSISPDSVMVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGESLDIAARWSGDVITMEKSVLEQANSKYELQGEYVFPGTRDRFPMESQSNGFIEKAMGGHLGSMMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPAIRSRSKELFMQTLHSVGFNAESLRDQLKALEMYPDWLDDDTIEDITLPGLAELRGYWRGSLDASGGGNGDTMADFDFNGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLRGTLAKPECDVQIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQSGHVNIQGSVPVTYVDSNSIEEDLEGGDGKQGIIRIPVWAKDRGLTNDISETRIMRDKPDEGWEFQLAESLKGLSWNMLEPGEVRINADIKDGGMTLITALSPYSNWLQGYAEVLLQTMYQFHGKQSWEEREIVNERDSTSPQYGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAVATRLSSNKSISVPAGFDQRTVSRDVSHFLGSLSTSPDGQQSETERTPEHGSFKPNIDARLNDLKLTFGPELRIVYPLILNFAVSGDLELNGMVHPKYIRPKGVLTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPILDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWSLIYQLTSRLRVLFQSTPSNRLLFEYSATSQG >OGLUM01G05610.1 pep chromosome:ALNU02000000:1:4298215:4306445:1 gene:OGLUM01G05610 transcript:OGLUM01G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPSSQNGGGKEEPSSSSSAGSKRKRTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHRRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKRRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESKYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSLDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWRIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTANFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLLGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >OGLUM01G05610.2 pep chromosome:ALNU02000000:1:4298829:4306445:1 gene:OGLUM01G05610 transcript:OGLUM01G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSDRPSATDATESVQGLDGEETLSERASVGAGQGPSSQNGGGKEEPSSSSSAGSKRKRTSLSSDRVELNGLDAAGTSSGDSTWSEDSFNGRHRRSLPRNKDGHLEHSVNSGEVAVIRQPRGVLRLRKLAQNVSIKSGTGGRKVLRSNGITKATQVQRRKRRKSQTLKENRVGSNDPINCLKVENGTCDQDNSTNFCSENDVSVEKQPNLSGEPSKHVHPTKESSVHVQEEDNVNLEENAARMLCSLSDNMCASSLRKSAKSLNRSSKAYFVQHSEHFKDSCNKDKGMAGPARLLRNRDGKASSKKRRPRRHFYEVSPHDVDPFCIVKERIRVFWPLDEIWYFGLVKEYDPMTRLHHVRYDDKDEEWINLQNERIKLLLLPSEARHKSNRRNPRSIFKPKYEVDEREDIDRNSTGSSESGPIISLLSRSNHARSATSSNTNKQNHTHSDISPVMFDQKQSMLCSDDRPGGSPANAGEEIPEDRTTLKDSRFRFVYSRKRSCRRKNGFLNTSEQDSDLKYRKVTGALFASVAGWGSVTENVTSRRHGILVLSQPLKSIYKIMSEACHVWLLNALVLLHRGAMVSLWPAVHLEILLVDDTLGLKHLLLETSLRSAVSLFCLLVGCFNSYSKASTRNESKMLCTSVRVRISGLHGRSQVVFLMFSFVGVKYPKWKHLQGQLQHHHIKRGLSKVNCACADIKQLTNGTDQRVCTSTEHFSKGLSLDAQDSLFFTESKYSNVDPIIFCLDERSKSVQNHLDVAAAPSLLFFHHLKLRSESNLTSKSLPEFMPITLEEDQQSLPQHTSDLVHLAASASEVCSVYVSPSNTGSLDMGTAGCINHSGSASSKLNTAKRTVSLDCNSRGIGGANITSRSFPDQIMDGSLSAVCPPQKYQKRCSSISIPGDNISDPPDDKLLNKEEKAMQPTSDLVQELNEYPIGRVTPTAPRTPYHRNRFTSLSRTFGDGSKLWQEDIMVTGFAGGSKKPRTQVSYSVSPRSDEPGSKHKGHFRKIQSHSSAKRLPDNSRSEQSSPESLACVANVLVTVGDRGWREYDTQITIDSDGQSERRICVKLAEGTKYAHKVLQVLQPGATNRYTHAMIWKGGTEWCLEFPDRSQWLIFKQMHDECYSHNIRAASVKNIPIPGVCFAEAHDDHDAVSFVRSQDYIGHIGTDVEMALDESRVIYDMDSDDEVWVSRWRKLGKDSTSVTDDLFERIMDKFEKLAYSHNCNELTIDQMKELDSDNIPLDTIKVIHDYWQDKRKKKGMPLIRHFQSAMWRIHEQQLQEWESTALRIQGSSNGYQVKLPPKPALFAFCLRPRGLQPQIPYKGPKQRSHKKLMSSGSFSREQDGFYRPGRKYSEYVGDGRACESYDGGSIYSPTGYSPRFSVKTESPRAFDGLERSSTPRFLRTNSVKRTANFAFSEDHQPSPSFRHQRVKRGAPDHWNAVIHEWQSSKHLLLGASQSPRVDIEELKQRDASSAAQHAVAMAKLKREKAHLLMHKADLALHKATVALMMADAIKASSRDSSRDGRRDFRDD >OGLUM01G05620.1 pep chromosome:ALNU02000000:1:4307452:4308906:-1 gene:OGLUM01G05620 transcript:OGLUM01G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y449] MEEEAVANEAHHFLVVTYPAQGHINPARHLARRLARAAPGARVTISTAVSACRKMFGDAAAAGAGGELVDEGGVRYAPYSDGYDDGFDRAVHDSASYMTQVRVVGARTLAAVIEGFRAAGRPVTRVVYTLLLTWVADVARDHGVPVALYWIQPAAVLAAYFHYFRGTGGVDRDIAAAAAARDRMAPVRVPGLPPLRLRDLPSFLAIADDDDPYAFVLDAFRDIVAVLGRGDSPTVLANTFDAMEPEAVASLRQHGVDVVPVGPVLSFLDAAKSGGGGGAITTTTSNDLFKQNDTGYLEWLDARPAGSVVYISFGSLSTMSRRQIAEVSRGMAASGRPFLWVLRKDNRGEADDVAIAGGVVVEWCDQVRVLGHPAVGCFVTHCGWNSTLEAVASGVPAVCVPQWTDQGTNAWLVAERLGAGVRAAVSEVDGVLEAGELRRCIDAATSEAVRASAAAWREKARAAVADRGSSEKNLQAYVGKIRAN >OGLUM01G05630.1 pep chromosome:ALNU02000000:1:4315219:4319445:-1 gene:OGLUM01G05630 transcript:OGLUM01G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMWSSRWLLLLAQVNTSLLVKVIPSVRQRGPKRAFASTAAGHCHQPPRCHPPPPCRAEANAARFRVPSRRGGRSVGRSSGGARSTAVTPSAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >OGLUM01G05630.2 pep chromosome:ALNU02000000:1:4315219:4318472:-1 gene:OGLUM01G05630 transcript:OGLUM01G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSETAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAASNARPPTVQIRGQPVNQKTSCCSS >OGLUM01G05640.1 pep chromosome:ALNU02000000:1:4319277:4319476:1 gene:OGLUM01G05640 transcript:OGLUM01G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVWPAAVEAKARFGPRCRTLGITLTSKEVFTWANSNNQRLLHIGDIDRTSKEMTL >OGLUM01G05650.1 pep chromosome:ALNU02000000:1:4322766:4325762:-1 gene:OGLUM01G05650 transcript:OGLUM01G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAGICSGLAYKARHGQTKTNALIWEFMSLVQRKHTHELSLSGVRSWGSMTLGSGGMAAEEGGGNGEAAARGERCVVVAVEETCCACAQLVVGPPNPMMARYVYAFVFLATNLLAWTLRDFGHPVLAELRRLRGSCQGAGYCLGAEGVLRGVSGDPARQCHPVHHLAERLLPVRDQPEEMVQVVSIAAYVGSILGVVLMYVWYAPRPSCKLNILFITVTLVLVQLMTGVSLSSKVKAGYLAPGLMGVYIVFLCWTAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVTATFATGIDSKCLQFKKAESEQPEDDDIPYGFGFFHFVFAMGAMYFAMLFVGWNANQTMEK >OGLUM01G05660.1 pep chromosome:ALNU02000000:1:4329461:4331411:-1 gene:OGLUM01G05660 transcript:OGLUM01G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWSVWMNHKLLSSPRRSDEPQPRCRNPRRGDSASSCSSRHGQPPPPYVRRRVHLVFHMHLDAAFFTDAAPIGAVHGVRSGWVNLVCKPHRLYPTSINEKTVQLTPLVSVLCCFYSRIAG >OGLUM01G05670.1 pep chromosome:ALNU02000000:1:4333670:4335507:-1 gene:OGLUM01G05670 transcript:OGLUM01G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGFLLLVVFAVAVAGGAVAAAADLDGGDGGGGVAAEWRFPSSRMRDAYVALQTWRREAIFSDPGNLTADWVGPDVCNYTGVFCAPLPWDRREVAVAGVDLNHGDIAGYLPPELGLLADLALLHLNSNRFCGVLPATLRRLRLLHELDLSNNRFVGRFPEVVLDMPALRFLDLRFNDFEGGVPRQLFDRPLDAIFLNHNRFRFDIPDNFGNSPVSVIVLAHNSFGGCLPASLGNMSGTLNEILLINTGLSSCLPPEVGMLREVTVFDVSFNRLAGPLPSAVAGMRKVEQLDVAHNLLTGAIPQAVCELPRLKNFTFAYNFFTGEPPSCARTVPRYGDRRNCLPNRPAQRTLRHLCCCGVERDWEVVGVWGFGGFGNI >OGLUM01G05680.1 pep chromosome:ALNU02000000:1:4351052:4355385:-1 gene:OGLUM01G05680 transcript:OGLUM01G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLLAPSPAPRPLAFRCRRGGRARRGAAIVASSSASSSSSSGDAGPSHSAAAAGAYVLARRGVLLGVSALPLLRAREAAAAAAAAAPNSGDLATVYGMSFPQGFIRRKRVDFPRIDHQAQTCYKTFKIGRNTVICRPNEAIVDETKDIQKPDEPQPGETQAESPLPEALQPESSLPVTQEQTPGNPLSGLLNAIAVAASGVLAGLYGTSQQEKKALESVVSSMESKLAENEAAISLMRENYEKRLLDQQTAQKKQAMKFQEQEASLLDQLSSTKKTVTSLSEEFRREKTLAEELREEIRRLESSLAQAGDDKDVLEAKLKEKLGDVNILQEKVSLLSQEIDNKGIRIRELSSLLSSKEADYRNLCSFSDQTKESLELAEAKIQQLEEEVHRTRNDLSSKISSIDLLNEELQALNSAKNEAEEKLSELTKDYTDLKASSEARESRNSELLLEKDNMIKQLDGKLSDALSDSSKDREIIAALNKELDATKAMLENEVAAVKSLRESLQSTEEALTDSRSEVSKLSVELDEANRINQDLVLQISKLQDEFNEMQEGLTNKLGEVESVSKALSDELVSVKEMVHKGQEELEATSNELASIVEARDNLKKELLDVFKKLESTSQELVDERKTVTTLNRELEALVKQLQMDSEARKALEADLDEATRSLDEMNRSALSLSKELEETNSRKDTLEAEKEMLSKALAEQQKITTEAHENTEDAQNLISRLQTEKESFEMRARHLEEELALAKGEILRLRRQISTSRSQKAKTLPNTNASPEVSQAPNEQPVNDNQNTSKVAAGSQYTAKRTTRRRKGGAST >OGLUM01G05690.1 pep chromosome:ALNU02000000:1:4357753:4359596:-1 gene:OGLUM01G05690 transcript:OGLUM01G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPMSSQAGGGGGGGGKVGFGFGFGLAAMLRRMVVSDTDAGGGGGEAGRVRGGGGGGAALFAVPRLFVGLAAKRGAGDGGEPASRSPTSPLDPKALLLRSPRSPRTWWDAEPVGLALAAADDDDAAKNCLLLSPRVGPLKSFASLPKDCGGGHSPRPGELAKAMSCAAAAIATASAAGGMSVPCGVFFYGDLKSGPEATRSGGAHPNAKRRSFDLGGGKIPGPGSLPASIGGVRRFIGSVSASEIEQSEDYTCIIARGPNPKTTHIFGDCILEPQTMDASASAAAMDVTEAPTESYWVVKCDAGAAPASGGGGGGGDFLSSCFTCKKKLEGNDIYIYRGEKAFCSANCRDQQILIEEEAENNTTIVSSPRSSCSSLQEDIFMAGMFVAT >OGLUM01G05700.1 pep chromosome:ALNU02000000:1:4376687:4386492:-1 gene:OGLUM01G05700 transcript:OGLUM01G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 7 [Source:Projected from Arabidopsis thaliana (AT3G24495) TAIR;Acc:AT3G24495] MQPRRRQQQQQSILSFLQKKPAAAAGEEGATPERPPRPPAASVAGIMERLVRPPRQQQQGRDQDASQARHVEQRALPVKNQTTSNECSSALFLESCNAGDNKAAMLIAEEGSNMTPLQEPLKSLWPSKDDFVRASTLSPELGLDQNLPPHCPKKLPFESSNNNCIGANSSFEEFDVQTPQDTSKTVFWRSSRGADTPLTESDSDQTPLQHPSKFSFVSPNGEYVRGATLFALDSNYTPRRESSEKLSSGPSDLPYIKATKLFTEFDSNGTPSQNHLKKLSSVPKNDKRIGAGAELFSEFDPSPLKPETPVMRAVIPRLKRVQEDQRVTTNGSCSPFWGPNKKVKPAQCSPVENKVHDEMAESARSKFEWLNPSNIRDANRRRLADPLYDKTTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYEVDAEIGQKELDWKMTISGVGKCRQVGISESGIDVAVEKLLARGYKVGRIEQMESADQAKSRGSNSVILRKLVHVSTPSTVGDSNIGADAVHLLSLKEITLASNGSRVYGFAFLDYAALKIWVGSVHDDDTFAALGALLVQVSPKEIIYETSGLSKETHRLIKKYASAGSVKMQLTPLYGLYFSDVSEIQTLIDSRGYFKASTSSWLSALNSSVNKDAVICALGGLVSHLTRLMLEDALKNGEVLAYHVYRTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNHCITPCGKRLLRRWICHPLKDIDAINERLDIVEGFIQNCGLGSVTLEHLRKVPDLERLLGRVKSTVGLSSAVLLPFVGEKILKRRIKTFGMLVKGLRVGIDLLDILQRQDHGISALSKAVDIPTLSSLGELIHHFEEAIDDDFPRYQDHSIKDDDANTLAMLVDLLVGKASEWSLVINALSTIDVLRSFAAMALSSFGTTCRPNILLKGKAPVLQMKGLWHPYAFAESVNGLVPNDLSLGQDLSGQNRFALLLTGPNMGGKSTIMRATCLAIVLAQLGCYVPCQSCELTLADAIFTRIGAMDRIMSGESTFLVECTETASILENATEDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEAVRCRLLFATHYHPLTKEFASHPHVTLQHMACMLKPRNGGDGGEKELTFLYRLTSGACPESYGLQVATMAGLPRSIVERASAAGEMMRSKIAGNFRSSEERAEFSTLHEEWVRTIVAIGGVKDAHLDEDTMDTLFCVFHELKAHFRKRSMSYTQSEL >OGLUM01G05710.1 pep chromosome:ALNU02000000:1:4387807:4391836:-1 gene:OGLUM01G05710 transcript:OGLUM01G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKRARPPASSPVDPPASPPAPEDPRVLLRRSWELASVLHFLRVFEPVIEGNLGLSAEEIETALIANNHDLARIHIALIKGIPPTIKNLKVDDGWITATAKKLSDWWTWVAEGANPFKINPGKEIDTYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKRGVDISNFRKEKLGSSSNGTIYWYDGDSTIGHRLYSEYVTLDFKRNLKGKNGRLTKPVINIQWETVATNLDEFIEISEKLCSKGQPESAIGEHLKTEIIPDVEKLQKKKERDLKRQQKKDELLAYATSFQTRSLRERRPVSYNYSDYDRSIKEAIKAAAKSKESDPQEAGKKEKRASHQGNKGANGGSDIGPEQKEDGQEDAGGSDVNPEQDKDGGQEDVESLDDLSSDEDEDRDYNGKDDDSSGSDGENDVGSDGENDAYDPNKGDTEEEEVFVPRKRTRLASRRFDKPPQGLRRSRRNMKNDEDVMRPGQLTPRSMTKKTMRQRPTSISKQFSLSGSEDDREMIVADSEEESGSP >OGLUM01G05720.1 pep chromosome:ALNU02000000:1:4396003:4402060:1 gene:OGLUM01G05720 transcript:OGLUM01G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSVSQIKRLLGRKYSDPELQRDIAAFPFRVSEGPDGFPLVHARYLGEERVFTPTQLMAMVLSNLKGIAESNLNTAVVDCCIGIPVYFTDLQRRAVLDAATIAGLCPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGYKKGQLKILSHAYDRSLGGRDFDEVLFKHFAAKFKDEYKIDVYQNARACIRLRVACEKLKKVLSANPESPMHIECLMDEKDVRGFIKREEFEKISAPILERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKILTDFFGKEPRRTMNASECVARGCALECAILSPTFKVREFQVNDGFPFSIAMSWKPDSQNGDNQQTVVFPKGNPLPSVKALTFYRSNTFQVDVMYVDTGDLQISPKISTYTVGPFNPGKGDKAKLKVKVRLNIHGVVSVESATMLEEEEVEVPVAATTEPPKDSAKMETDDAPNEAASGTDVNMQEAKAPADAAADGAENGAPNSEEKSVPMETDAKVEPSKKKVKKTNVPVAELVYGALGTTELQKAVEKEYEMALQDRVMEETKDKKNAVESYVYDMRNKLYDKYNDFVTAEDKEAFIAKLQEVEDWLYEDGEDETKGVYVAKLEELKKVGDPIEARYKEWMDRGPSIDQLAYCINSFRDAALSKDPKFDHIEMEDKQKVINQCSEAEVWLREKVQQQDALPKHANPVLLSSDLKKKAETVDRFCKPIMMKPKPAPKPQTPPQTPPTEAPAGGAQTPEQQPQGAEAAGEASEGGASESTGEQMETDKPEGTEAA >OGLUM01G05730.1 pep chromosome:ALNU02000000:1:4407936:4410817:1 gene:OGLUM01G05730 transcript:OGLUM01G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRRAATVRTRRGQIHRVTAMPPPQQAPEPAGLQLLLQPEVVAPNHPPPAPPAPAAVPAPPQPQGEARYRRPLVRLQAVPNEDHVPDNYGDGPDELGITPAVYQALERHLPPDLAGAPAEVKRYFMRSVLRNYVPSPSQRIRTQNQREYRERILSAYQPLHPELYTNDPSTFILPAFLQAINGNTEESITSIMMEPAPGVFAFPMLKPSFCQMLMSEIAGFHVDDSEVTLNICLGKHFTGADMYFRGIRCGNHVNSGTHDEVLIDV >OGLUM01G05740.1 pep chromosome:ALNU02000000:1:4412578:4413579:1 gene:OGLUM01G05740 transcript:OGLUM01G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLAKNEYFVHPNVPGQVLLHHGSHRHGVFSVTSGRRVNMVMWCKSSVFREMKKFMTDFSGFCRECQFQRTARQVQHLQELTVCYLTQLQYIEK >OGLUM01G05750.1 pep chromosome:ALNU02000000:1:4417008:4420377:-1 gene:OGLUM01G05750 transcript:OGLUM01G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y463] MAATGDSAATAGEVRRLLAHLDSHQKLLASCHDAWSRALAHFASLDEGLAARSASLDDALAAAGASTSESLAALEAREAALAAVRKEVGPAVAASVDPPRLVLDALGDFLAAEDGAGEDQFWVLGILLRSLFDSDGRKPPEIGDTLVERAAGVAKNWSEKFGIKMELLAPDNHEVEMTEAASMENATATEKKEEHADEEEEEEEEEEDPEEMVPASEEEADAEEVEKEEEDPEEVEKEGGEAEGKVADAAKTGEVEKRKVEEDKKASGRDVKEGEKGGQAEVQIFLQMVAAFGLKDRYDVDFLRRLLVDNGRRRELARIACVLGFEDSLRDVIEEFIKSGNEIEAIHIAHEAGLLEQFPPVPLLKSYIKRITNKTQVALRGGRHSNSVVEEANNSECNAYKSIIRCVETCQLTSAFNLDGIRKKVTRMEKEKADRRKPSGMNRFPNKRARGASGPQSFPPSKYSRGSNSNYGSSFRNPASHSFPYTDRAGFVGPAPGARPHFAPGSSMGTRRAGVLYGGPGATFGAGHGYGAGAGQQSYHR >OGLUM01G05760.1 pep chromosome:ALNU02000000:1:4422541:4422759:-1 gene:OGLUM01G05760 transcript:OGLUM01G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSSPAWGKDELAGIGKDELAGGGRDELAGVGEDELTGDQIRAGKRREMDELTTDVFGWTKCYGTKKIRT >OGLUM01G05770.1 pep chromosome:ALNU02000000:1:4422972:4425721:1 gene:OGLUM01G05770 transcript:OGLUM01G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEPHPFLADPPQVSSLQMMSPTPAHAQQLGSIRDGDIASTHKGIVVIYRPGCSDELGGCYLLYDAPTNALTAIPPLPDSPRFRTLLYLGRTAVLVDDSRSADDYILADIVTNSGLGLPEATIFAWSSLTMKKSGGEWVKSSIPRLPLPAHLCGPKHLFQIDLAFSLDSGRICWVDLLQGILFCDRILAPDGPKLGFLPLPTGYCIDVHHRLRHQMMPLARRSMACVSGAVKFVALVPAQVDEFGIVVVDDDLVPVANYMIRFDIRQNKVLSSTKISQHGELQWLIPNLIATDFTAYLQDHQRAEEAGKVGASAKGKRKQMEYY >OGLUM01G05780.1 pep chromosome:ALNU02000000:1:4430621:4431108:1 gene:OGLUM01G05780 transcript:OGLUM01G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEWSADNGFHGGDGVAGGAVHETPSPSSPTHLTTRIWLGSIGEISSTHNGIVVIYAHQYNLLYDASINHLTAIPPLPHSITSPRIFLPLGRSAVIAAAADDYIFADIVTSSRTRLLEATIFAWVKNGGEWIQSLIAQLPLPTHLCGPT >OGLUM01G05790.1 pep chromosome:ALNU02000000:1:4435331:4437070:-1 gene:OGLUM01G05790 transcript:OGLUM01G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVRAAAADAAVTFLWVLCVSTLGASTAAVTSYLRIHEGIHYALLVTVSLLSLLLFAFNLLCDALGGASFNPTALAAFHAAGLSSPRHSSLFPLALRFPAQAAGAVGGAMAISELMPEQYKHMLGGPSLKVDLHTGAAAELVLTFVITLAVLWIIVKGPRNPIVKTWMLSISTVCLVLTGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWICPFVGAVLAAWVFRAVFPPPAPKPKAKKA >OGLUM01G05800.1 pep chromosome:ALNU02000000:1:4439768:4440286:-1 gene:OGLUM01G05800 transcript:OGLUM01G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGGGGGYSPRFQRQASCSCAPSISMSRRYVRGGFDLAGDYDDDDDDEYGYLGAGVFDGVHHAAAGYDGKPGAKAPASASASSGRGCGTRLKGLWRRILRENKKRILLCATGCVPASSSSAAAAARVPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >OGLUM01G05810.1 pep chromosome:ALNU02000000:1:4445150:4447201:-1 gene:OGLUM01G05810 transcript:OGLUM01G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLQQRCKPTDTGTTAKLGCSTRQSLKPGKYINKSSGNASTKYRRAGTSSPQLYIEKQIAPKDGSFMEPPFHDSKNVSARPPRHSGKIALQLFPIDEEFQKSLQQKNHNPYLELTVAPRKKISSVLQHLNTKWGNSQCARGELMLFPDGTRLDNINGSERWTRSDSCTAADVHVAVGSPSTFRLREQKQMATLSEFPSNFAAPSAEANTVKTMKQDNQSKETPLSWIDCISNISFGALLAEAAPSQDSKQLLPQNNSSLQQIPLTADSFDAAIASLIARQQASSQPKVSTPSLWDAEETCHAFPSQNRISRRMPGTTPSSCGASTLSVLGTILESGTDGNKQCSTEDRREEPNPRASLLANDDNNVKPDIPVSESTGEPEVGASCSRLLSGTDSLSVSDLLANSLDALQKFSVF >OGLUM01G05820.1 pep chromosome:ALNU02000000:1:4448554:4450976:1 gene:OGLUM01G05820 transcript:OGLUM01G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRHATPRARRGSASARLVLVSVRRRRGGLEASTLGPGGMAAQAAAAAAKKRRPEEEEEEAGAEEEMHLAFRGAANALSQVYGQAVAAQEKSFRAGERRAMESVYRWICSKHQEGSEVSVADLVAFLQTEIEHRAGEVPGSLQHTSAQPACQFPSANVQSNSFSFGNVTDALNSHTAQTGQTQTAGVLNAPPNPLRQNLHSNHHPIHCSAYGTINSLPDGIGAQSNHPPQHQNFMHCNSYEPSMDES >OGLUM01G05830.1 pep chromosome:ALNU02000000:1:4451834:4460635:-1 gene:OGLUM01G05830 transcript:OGLUM01G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MHPRKYQGHVSNRQEAIRQAKQSLPTPPPHSRISISPRSYPTRLSPTRGPHITRPHVSANRNRRVGPHPTRARGWEIARPLPPPPPPSPEKIFFSPLLPPSRFSLSLSSSFPCASSTARVAAARVYPLAAAAAAAYAALLSYQVCQLQMRSGLMGSNTCLNGKLGCVSSLKAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSEQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >OGLUM01G05830.2 pep chromosome:ALNU02000000:1:4451834:4458485:-1 gene:OGLUM01G05830 transcript:OGLUM01G05830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MDELTGGFNVALELPSMSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSEQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLHIDLYFHTCSFNCTKLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >OGLUM01G05830.3 pep chromosome:ALNU02000000:1:4451834:4458485:-1 gene:OGLUM01G05830 transcript:OGLUM01G05830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MDELTGGFNVALELPSMSASNEKWIDGLQFSSLFWPPPQDSQQKQAQILAYVEYFGQFTADSEQFPEDIAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLSYDRNGFPFMSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVDHQHSEAECSSTSEQASSCESMEKRANGSPRNEPDRKPLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTAYSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTTPLDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYDSGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTSAALLFRILSQPTLLFPPLRHAEGVELHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASMLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETSEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTNDSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGNHSNLKDSIKIVIPGKTNGISNELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSALKQCKWDAEISTRASSLYHLIDLHGKTVTSIVNKAEPLEAHLTLTPVKKDEPPIEEKNINSSDGGALEKKDASRSHRKNGFARPLLKCAEDVILNGDVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQAEKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLECRLSTTIRCLSHPSAHVRALSMSVLRDILNSGQINSSKLIQGEHRNGIQSPTYQCLAASIINWQADVERCIEWEAHSRRATGLTLAFLTAAAKELGCPLTC >OGLUM01G05830.4 pep chromosome:ALNU02000000:1:4458486:4460635:-1 gene:OGLUM01G05830 transcript:OGLUM01G05830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:gigantea protein (GI) [Source:Projected from Arabidopsis thaliana (AT1G22770) TAIR;Acc:AT1G22770] MHPRKYQGHVSNRQEAIRQAKQSLPTPPPHSRISISPRSYPTRLSPTRGPHITRPHVSANRNRRVGPHPTRARGWEIARPLPPPPPPSPEKIFFSPLLPPSRFSLSLSSSFPCASSTARVAAARVYPLAAAAAAAYAAQVAYKKIWTLVA >OGLUM01G05840.1 pep chromosome:ALNU02000000:1:4462725:4477502:-1 gene:OGLUM01G05840 transcript:OGLUM01G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKRLAEDPRMVITTYEGRHVHSPSRDDDDAARASAEMTFIWPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKER >OGLUM01G05840.2 pep chromosome:ALNU02000000:1:4462725:4477502:-1 gene:OGLUM01G05840 transcript:OGLUM01G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSHHHHHHGVLGYSSLVLDHHHPTTAAASSHAPSPPTLHHHHGGGLHAAAPPPRSSPPHPWSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKER >OGLUM01G05840.3 pep chromosome:ALNU02000000:1:4462725:4476407:-1 gene:OGLUM01G05840 transcript:OGLUM01G05840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRDCLKLDPYNQHKRLAEDPRMVITTYEGRHVHSPSRDDDDAARASAEMTFIWPSPSTHASHLPPRGQLVASVDACGPSDADRIFPLRSTARETRSRENINSSDLMDLSAIAIAHCISTKQPNHKVGASRERKKER >OGLUM01G05840.4 pep chromosome:ALNU02000000:1:4476731:4477502:-1 gene:OGLUM01G05840 transcript:OGLUM01G05840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSPGRAVMALGHHGAARQPPTTMAAAASSSTTSAAAAPATATTTVAFSFQHPTPTPSHHHHHHGVLGYSSLVLDHHHPTTAAASSHAPSPPTLHHHHGGGLHAAAPPPRSSPPHPWSCEEGDHRGRPQMGNKGEAAAAMGAMGINDAGNNTAAAAAAQHHLGVGAVRMKKVGGGGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPR >OGLUM01G05850.1 pep chromosome:ALNU02000000:1:4509321:4532464:1 gene:OGLUM01G05850 transcript:OGLUM01G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSKIRVRSFILHHLFYLCYVRLTLYFSDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPTNEFGKSPISEYLRWRYNIFSSAGGDTSLLQMLPCWFPKSIRRLVQLFEQGPFGMQLLSNAPSSEELFTHSVTDYIYNTAGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSLFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFKKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLM >OGLUM01G05850.2 pep chromosome:ALNU02000000:1:4509068:4532464:1 gene:OGLUM01G05850 transcript:OGLUM01G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPHLLFSSPLSSVSLLSDFSTAFFYLSRRPYSSPCSDPAAAASPRLASPRLGALPPERRAPAGTPSSSPERRGILVVACAVGEEVGGDAAGLRVLLALSVSGSDRIGGDLILSMTNVDQSQVESPSLSDSCSPVVFDCFSSIPRVKSLAWGQCYDASSQLEDSIFNEVLVVSDDDSITLHGFCHSYKSTLTDNSKLDTGDLHGEWKEWRPTQCSVPEDDEAGTKNSFRSFLTTISGSVSDGKYQAKFPLKSSLPHSAEVVSFSIYDITLSFIKFWSSNYPSKTWMQNDTKSQQGFLGHVPTTETSCNCQWECLKVLPSSSGYLIGLVLIRNEPVSCEVHQRNMKDILVVVIELNQWGIQWNFVADLQNVYDGAVPNQQWVDFQLSDDFLACLNSGGFVVIWNVRTCNIAASFSVLERCRTDIDIPMGSITPTVKTSDGENIYIGNHARRMFKRLVLASYSLVLAVVDEVGVIYLFYAKDILDFKTSMHGNFHPPSMFYCGDSFAAWEAAGHDIGSVTFCCHRSVQQLVYDFSERDNPGVVRPRKRRKHCSSNENQVHSWPSGFSTTTPMKDGLAYPDTMAVSNPLRRVILPPCRLQENIISLSPFGLTRIFKSHNADGNKHFRIVHTNFLMASLHLDERDIDASFLKRSSFQKDSTFTGECVLCSFQGYVYLITQDSLSVVLPSISVSSFSSHNNGIKFWQPTSAGGSACDALNLSSVNRPETRWKPWQIEVLDRALLYEGPAFADRLCWENGWDLKFSRLRWLQLALHYTKISDLEQLMVLAVRFATRMIKCYGLLKQNKDAPDNSVKLHEMAFLLGVIRSIQSRISAKNQNSIRMGDDKNSSKIGAEVSLNTSLPIVVVDGVSAGQSGGIESRDRQESTPAMFELVSGSNGQLALTPVESSISATMHHAIDTDQVVIPSTQGNIKDMMNRWETNNLDLRTVVKEALQSGRLPLAVLQLQLLRQRESFSNDPEDVFSEVHEIGRSIVYDLLMKGETGLAVATLERLGDDIESDLRQLMQGTVRRLLRRQIAEEMKKRGYMSSNEWKMLEIISLIEQFYPSSSFWDTYLGRENVIHDAAKIVTLPGEDKPVLVLDIHNHCPIECGDVDGAVIGSWANVNDYTNLKEFSESNLSDGYWACAAIWSDAWDQRTVDRIILDQPCHAHAYAHFSWESQFEYFVAHNDVLEVCKLLDSIPDSVLLEGILRVNVDNSQATYNTLSDVATHDYKMYLFDSEEIEPVCMEIPHVKVFRSLCNHESTSWMRMIMLQELAKKHIFMKEYWQSTTEIIPILARAGMLVNTSELCSDVLHGAYHQDCDGALHKLVLRFCVQYNTPNLLDLYLDYCNLALEKDSIPLLKEATGDCKWAQWLLFSRVKGYEYEASFCNALWNLSQEMVRHNNLAAIEIDEILYTVDDMAERIGEMSALATLMYASTPIQKSICTGSVNRNRGVSSQCTLENLSPHLQQFPTLWKTLLSSCFGQDGYGCLNCSPTNGYSEANALSLEASIQKSVEEELYSSLEEKDLRVEHHLHRGRALAAFRHLLGKRASQLKSANASQVISVQSSVQADVQLILAPLSQTERPILLSVAPLAITNFEDSTLVASCTFLLELCGLCANMLRLDIAALQRISSYYKSFQQNKQCDLSSPRSPGLHVLSHGADLAPTLARTLAEDYIQSDHLHVLEQKQPSKAPKREHSSQPLKAILHHLEKASLPVLEEGRTCGFWLLNGIGDASLYRTQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQVSGFPIEVVIEVAAKEIRDSRLRTHILTILKNMMSARRKSSSNVSSGSDSSLFAVDGDNSMELFSVLAVCEKQKNPGEALLNKAKQMQWSLLAMIASCFSDVTPLSCLSVWLDITASREMSLIKVDDISSKIAKNVGSAVEATNKLPSMSRNVEYRYNRKNPKRRRFLEASQESFTSGFSSCGPSPTATPNFPNFDVQQKILKPISEETKIPVDIDERFASLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLPFIRSLQAFCQMRLSEASAHLASFSARLKDETSHAQLNSSKEESAMAGWVAVTVVKAADAVLSTCPSIYEKRCLLQLLAVVDFSDGGSSAAYFRRGYWKIILAEPSVCKDGDTYKWNDSMDDASLLASLEKDGRWEEARTWARQLESSDVAWESTFDHVTESQAEAMVAEWKEFLWDIPQERAALWSHCQSLFMKYSLPPLQAGSFFLKHAEAVGEEIPARELHEILLLSLQWLSGTMTNSSPVYPLHLLRDIETRVWLLAVESESQCKADGEYAPSSVTQNLATGNNTNIIEQTADVITKIDNSMSSPRMRITERNGIRDNNTPSFHQHLQLFESNGEGVHNTRARRRSKTNTLLRRVAKDSVESSINDSGDNSNSFNSSKIAGQARSLLSEEEFAKMEVSLSGWEQNVRPVDMEKAVLSLLEFGQITAATQLQQKLSPSYVPEELVLVDIALRVANNSSNGEISLSCFDPEALSILQSLGSNTTDPSEVMEKLAMKCGEGRGRALVRRIAAVVQTAKVLGLPFSEAFKKQPIELLQLLSLKAQDSFDEAKFLVETHTMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVVELLILSYHFYMSSSCLDGVDVLVTFAANRVESYVSEGDFSCLARLITGVSNFHSLSFILSILIENGQLELLLQKYSATDSATGAPASVRGFRMAVITSLKHFIPSDDDALSLVYKHFDMKHEAASLLESRAEQYMNSWLSRYDKERRNDELLEAMHHLVEMAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVLVLPLQPPMLLELARFYRAEVAARGDQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLATLATGFSDVLDACNSVLDKVPENAGPLILRKGHGGTYLPLM >OGLUM01G05860.1 pep chromosome:ALNU02000000:1:4532737:4537607:1 gene:OGLUM01G05860 transcript:OGLUM01G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10530) TAIR;Acc:AT3G10530] MPQYLAIAHVTMASAKPAAEQKAMEGGEQEALDEMEKKVHKYSRGKAAYLGTLRDKKLKGQLAAREKLYGHSAKAAVQTEKWLLPTEGGYLEPDDDGLEKTYRYQQTSLREDVDLLCSRKPFDMILPLLGPYTLGYTGNGRYMVVGGRKGHVAMMDMLNLELVKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKSLKLQFLEKHFLLSSINSFGQLHYQDISTGEMVANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHSGPVTAIAFDRGGHLMATAGVDRKVKIWDLRKYEVINSYAARAQSLDFSQKGLLACSNGSQVEIFRDTGGQDYKIYMKHRMVKGYQIGKVLFRPYEDVLGIGHSMGLSAILVPGSGEPNFDTFVDNPIETSKQRREKEVQSLLNKLQPETIMLNPNMIATVRPSRKKEKKTKKEIEEEMEDAVEAAKSIEFKKKTKGRSKASKRAKKREEEVLKAKRPFLEQHKENDGRPDKKQRVSEEIELPKALQRFAKSRQ >OGLUM01G05870.1 pep chromosome:ALNU02000000:1:4538250:4542443:-1 gene:OGLUM01G05870 transcript:OGLUM01G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQKNQRKPGEASWPKVVLKKWLNLKSKDSEFNADEEDDDDGSDVDEQENCGCDGGEERRRADGDLADENVEGGAPYRLRRRNSETLRAQYINTKELKLCVGTWNAAGKVPPGDLDIADWLGAGAGEPADVYVLGFQEVVPLNAGNVFGAEDARPAQAWEELIRSALRRARPPASSRPRYKCYSHPPSPSRGDATAAAAATDDDELFPGTDTDTDTNTDDDSLFSSPAESEQQNVAATPRRLTRLNHFTAAADAAAAMDDSGDEHQQRTLLKTLSRSDRVGLAWPEQPLDLLAKHATATASTTMPSSRSFRTYNSFRPSRAAAAADQSNDDLAMIADLDMDLAAARKRRSPYVRIVSKQMVGVFLTVWVRRGLRRCVHNVGVSTVGVGAMGYIGNKGAVSVSMSVYQTMFCFVCTHLAAGEKPADLHKRNADVQEIHRRTHFAGVGMPRNIYDHERIFWLGDLNYRIDLKRELRKGRAFDGWTEGVLEFAPTYKYELNSAKYIGDDQRGGRRTPAWCDRILSFGKGVKLMSYGRAELTMSDHRPVVATYAAEVEVFSSRKLQRALTLTDAEVEAGTVVAVPDHLAGF >OGLUM01G05880.1 pep chromosome:ALNU02000000:1:4550546:4551262:1 gene:OGLUM01G05880 transcript:OGLUM01G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADEVTEAMEQVEGEREEADAVADEAAEVMEQAEGEREEATDAVAGEAGEVMKEVVEGEREEANAMADEADEAMEPEAEREEEADAVADEATEPMEQVEGEGEDGDAAAQRPALPLGRVKRIIRVDRDIKKVTNEAALLIAAATELFVGSLAAGAHRAASRRGRRAVRAVHVRAAAREHRPTADFLLDCLPAAEEAAPARAAAAGPSRGGGRGEAKPLPHGTRRIDAFFQKTT >OGLUM01G05890.1 pep chromosome:ALNU02000000:1:4552354:4558030:1 gene:OGLUM01G05890 transcript:OGLUM01G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFWTFILLYPEIFLAIICFFWFSLFRLIRQRQKSNLPVNWPVFGMLPFLVQNLHYIHDKVADVLREAGCTFMVSGPWFLNMNFLITCDPATVNHCFNANFKNYPKGSEFAEMFDILGDGLLVADSESWEYQRRMAMYIFAARTFRSFAMSTIARKTGSVLLPYLDHMAKFGSEVELEGVFMRFSLDVTYSTVFAADLDCLSVSSPIPVFGQATKEAEEAVLFRHVIPPSVWKLLRLLNVGTEKKLTNAKVVIDQFIYEEIAKRKAQASDGLQGDILSMYMKWSIHESAHKQKDERFLRDTAVGFIFAGKDLIAVTLTWFFYMMCKHPHVEARILQDIKGLQSSTWPGDLHVFEWDTLRSAIYLQAALLETLRLFPATPFEEKEALVDDVLPNGTKVSRNTRIIFSLYAMGRIEGIWGKDCMEFKPERWVSKSGRLRHEPSYKFLSFNTGPRSCLGKELSLSNMKIIVASIIHNFKVELVEGHEVMPQSSVILHTQNGMMLLPWLLGFVVKYPEIMASTACFLLLFCRFRRRSKRIPTNWPVVGALPAIVANAGRVHDWVTEFLRAAAMSHVVEGPWGSPGDVLITADPANVAHMFTANFGNYPKGEEFAAMFDVLGGGIFNADGESWSFQRRKAHALLSDARFRAAVAASTSRKLGGGLVPLLDGVAASGAAVDLQDVFMRLTFDLTAMFVFGVDPGCLAADFPTVPFAAAMDDAEEVLFYRHVAPVPWLRLQSYLKIGHYKKMAKAREVLDASIAELIALRRERKAADANATGDADLLTAYLACQDEIGMDGAAFDAFLRDTTLNLMVAGRDTTSSALTWFFWLLSNHPGVEARILAELRAHPPSPTGAELKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGAAVGPTRRVIVSLYSMGRMEAVWGKGCEEFRPERWLTPAGRFRHERSCKFAAFNVGPRTCLGRDLAFAQMKAVVAAVVPRFRVAAAAAPPRPKLSIILHMRDGLKVKVHRRQED >OGLUM01G05900.1 pep chromosome:ALNU02000000:1:4558526:4561712:-1 gene:OGLUM01G05900 transcript:OGLUM01G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAPQARINFAIVRAVLASIHCSEMKKTHGWQCLKDDFLALVVQLFTAVSTTTKIPESALVLLHRRLRQ >OGLUM01G05910.1 pep chromosome:ALNU02000000:1:4562124:4562765:-1 gene:OGLUM01G05910 transcript:OGLUM01G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPFTRSLSLAIYPSPSVSLPAADPLSFRAALPLFPPPTGGPTLLAGAPTSLTAWTAGDGEIGGDPSPPPLLLQPAVFLLWLDTDKLLSLSVKLLRGFERGRRRARRQLNGDENEVGSADTCVAWNLRRG >OGLUM01G05920.1 pep chromosome:ALNU02000000:1:4566747:4568682:-1 gene:OGLUM01G05920 transcript:OGLUM01G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVGSGSVRDLPPSKRFKYVGGSSLGMAPCLPAKKRLCPPMLEAAAAAAVPVCLPAKKRAYAAAAAVEGGGFALCLPAKKRAYAPPVDDAVAPACLPAKKRIHAPPPPPPDSGASPSVPTTKRVDTLPPAGADKAAISPSIPVPVRKRVHAPQPPPAPAPEKAAVSPSIPVPAKKRVSAPAAAAATDASPRVPFKDLVNTLPPPRDAAVSPSIPAKKSARAPPSPKDTAAPVSVCLPSNKRVMPPFLPPSPPPSKESDGARVAAVKEAKPQGSNKRGATTNSSVANGVEDDYARAEASKIQEKPKIPEKPINHEEIKEQVSKKQRSNTCRESKDQECNQSCSAISAKQSEVEALEKACKAIDLNEAAREEDSWDGERVAREPTQEAMAAAAAEEEEVEEEDDGVHCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLASSIPDGDWFCSVCTAAAAKKSKGNKPPPPPPRCCLCPARGGAMKRTTDARWAHIACALLVPEVFFRDPDGRDGVDCSRVPAHRFATACYVCESGGGCALECSQPRCGLGFHVSCGLDAGLCIEYQEAKAGGGGVVAGFCLEHTKLWEKQQLTGKYKIVSRGQK >OGLUM01G05930.1 pep chromosome:ALNU02000000:1:4570155:4572881:1 gene:OGLUM01G05930 transcript:OGLUM01G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKVPRRLEANSVGMADWAGLQTDILGVIVKKLAVPDYLRFRAVCTSWNHLCRDVFNCPRIDPWLMLPTNALNDSKFLCVPERKNQTIRLPSTVTIFGSTWIPVGSSHGWLIFYSPSHGTMQLVNPISGMQFNLPPIGRRAFSKAMLLDMNDTNFTVAVILRDQKGYKVTRKGSNSWSSVESKHDLVDIFKHRRQLYTVDIYGTVQLWAEPPRSWPDEDAPQVNDPYHNLIHYPHQHGKLNCLVESPAGDLMRVKRQSNDKFVVWILDKGTFSWEKVDNIGDFALFVSYYSSVCYRAKDHLNLKSNCVYFIDSYSNLCAFNLENRTKELVEALEPAHAHGHPEPHAVRRRPEGQRYMWLIPSLR >OGLUM01G05940.1 pep chromosome:ALNU02000000:1:4573407:4573907:1 gene:OGLUM01G05940 transcript:OGLUM01G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTAQGTSVAPVPWQRLGQEPRQRDGRWRARCALQLAAFAGATAAFAAVAYRVRHRPRDIAFLAVTYWLVALLLCLVEKLEALRLDASPPARETELRRVRLGVWAVAVTLGNTVAWRVCDAMPFLALKLGVWGVTLVVLGFAYYFVFRSKAGECHDEEHGHPSS >OGLUM01G05950.1 pep chromosome:ALNU02000000:1:4576118:4576585:1 gene:OGLUM01G05950 transcript:OGLUM01G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLSKKPQREQDGDNALLPCVIAGFLVAGHVAACTYRAAAEPRDLAFVAAAYTMLALLLYCVGRFEALAADGSSPAAAVARERLRLPVWALSTALTVLFSSRVAPMMPPPLNALVVAMSVVVTVGGFCLLFLGNAGEDDDDEDEAASDQDEEV >OGLUM01G05960.1 pep chromosome:ALNU02000000:1:4579145:4583140:1 gene:OGLUM01G05960 transcript:OGLUM01G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGLRAVGSNPTDQIESRKRDTRVCTGEVAVSLAATVVCFARERGAMAGASPSARAEVVVPPDWAAATATASSEPAPPVVVVCGPKNAGKSTFSRLLLNSLLPRYGRVGYLDTDVGQPEFSPPGCLSFHVVDEALTDLLNPTLRVCERCCFFGDISSKRDPETYLNCLFHLYDYFVEKYRSGASEPLPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRISAQSKNLPDGMFWLDCGQTGPNMINIDAPFHDALNRSLLIQKDSYGMRERRLIECFKQCFPSDISLTTNKELAYALTSLPPYEVSISDVMVIHLHCQVPPSEVWHSLNATIVGLAISCGTIEAGRSIPWCAGLGIIRGIDVQRGILYVITPVPLEHLQRVDLLLQGLIEIPKSLLQVRGCVSPYMPTNVLHRISERDINT >OGLUM01G05970.1 pep chromosome:ALNU02000000:1:4584097:4584597:-1 gene:OGLUM01G05970 transcript:OGLUM01G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMFGLETPLMTALQHLLDIPDGEGGAAGKQGATGGPTRAYVRDARAMASTPADVKDLPGAYAFVVDMPGLKSSDIKVQVEEERLLVISGERRRGGGEEEKEESCKYLRMERRMGKFMRKFVLPDNADVDKISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKVA >OGLUM01G05980.1 pep chromosome:ALNU02000000:1:4585871:4589918:-1 gene:OGLUM01G05980 transcript:OGLUM01G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RH39 [Source:Projected from Arabidopsis thaliana (AT4G09730) TAIR;Acc:AT4G09730] MAMAGAAGRCLMLTRPSPLLRLRLLRAALTTTTTAAGASASPAAVTAPTEPDTATQAPSRHELLLERLRQRHLKGVPAATPRPAQREKGRGGGGGGGAQELQQKRRVEVVDSFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLAGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVFRVAKSISHHARFRSTMVSGGSRIRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRAAKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTTTFQKRVATARHDFIKLSGSENKLEALLQVLEPSLAKGNKVMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKDVTLATRIEEAMKKNESLEALTTNNVRRAAVNPQYPSMKGRPSALKVVNQKGRRGVALQTKSSRVVKDTTSSRRRSPIKSQPRSKSTSSGKAKPVRSAKPSKSSSPSSKVAKSRPRPEGRKGDALNKLGSKLSVVGFRGRSSGKSAQAS >OGLUM01G05990.1 pep chromosome:ALNU02000000:1:4595911:4596339:-1 gene:OGLUM01G05990 transcript:OGLUM01G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALHIDGDDVVLDVGNLALAGQASGGGGGGDDGDAADNALMRLPRALGAAGVLTGAMAAAAAMYGSPPVGTVLARGGGMGYYVGLGGAFAAGVAEVWAAMWMAGDCPGRRAVGKRLLCAAVVPFLIVVALGGFAVHVKN >OGLUM01G06000.1 pep chromosome:ALNU02000000:1:4597507:4599028:-1 gene:OGLUM01G06000 transcript:OGLUM01G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALARIHGGKMALTTLDDRSGSGTPFTATATITAGTSTPATITAAGFSFDGMEMKIEGGILTF >OGLUM01G06010.1 pep chromosome:ALNU02000000:1:4598053:4609293:1 gene:OGLUM01G06010 transcript:OGLUM01G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y498] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >OGLUM01G06010.2 pep chromosome:ALNU02000000:1:4598253:4609293:1 gene:OGLUM01G06010 transcript:OGLUM01G06010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y498] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >OGLUM01G06010.3 pep chromosome:ALNU02000000:1:4598627:4609293:1 gene:OGLUM01G06010 transcript:OGLUM01G06010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y498] MTDGHLFNNILLGGRAGSNPGQFKVYSGGLAWKRQGGGKTIEIEKSDLTSVTWMKVPRAYQLGVRTKDGLFYKFIGFREQDVSSLTNFMQKNMGLSPDEKQLSVSGQNWGGIDINGNMLTFMVGSKQAFEVSLADVSQTQMQGKTDVLLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFLGDENRTAAQVLWETIMGVADVDSSEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVVTLDPPIRKGQTLYPHIVIQFETEAVVERNLALTKEVLAEKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRSEYHNLFNFINGKHLKIMNLGDGQGATGGVTAVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGGEDSDASESGGEKEKLSKKEASSSKPPVKRKPKGRDEEGSEKRKPKKKKDPNAPKRAMTPFMYFSMAERGNMKNNNPDLPTTEIAKKLGEMWQKMTGEEKQPYIQQSQVDKKRYEKESAVYRGAAAMDVDSGSGGNESD >OGLUM01G06020.1 pep chromosome:ALNU02000000:1:4599476:4602148:-1 gene:OGLUM01G06020 transcript:OGLUM01G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14240) TAIR;Acc:AT5G14240] MADYHFVYKDVEGASTEWDDIQRRLGNLPPKPEPFKPPAYAPKVDADEQPKSKEWLDAREPDELEDLEDDLDDDRFLEQYRRMRLAELREAAKAAKFGSVVPITGSDFVREVSQAPSDVWVVVFLYKDGIPECGLLQTCLDELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSEPVLNDGQSGGDSSRDNVIEGVRRKFIEKVVAQHEEREEDDDSD >OGLUM01G06030.1 pep chromosome:ALNU02000000:1:4611576:4615688:1 gene:OGLUM01G06030 transcript:OGLUM01G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQVGMGLGRVVLLVGAGMAGSVVIRDGRFADFVAGLQEALRDNDGGGSGSGGGVIDQIEEAVKKATMEVNQMISQPVTVITVDPAGNNGVVTTLIAPAAAAGALTYGYMRWKGISIASLMYVTKQNMANAVASMTKHLEQVQSSLAAAKRHLTQRIQHLDDKLDQQKQISGQIKEEVTGARLKLQDIGSEMQKIKQVAHGLGGKLDSIEAKQNYSLAGVMYLVEFIEQNGGRLPRSVEHLQRTARLSGITGDQKQLQGLGQLLAIESATPVGSGLHCTSARLFKAVA >OGLUM01G06040.1 pep chromosome:ALNU02000000:1:4616924:4623062:-1 gene:OGLUM01G06040 transcript:OGLUM01G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKMHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >OGLUM01G06040.2 pep chromosome:ALNU02000000:1:4616924:4623062:-1 gene:OGLUM01G06040 transcript:OGLUM01G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAELALAYSAQAVACYVLLSTNGLANEQAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKMHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >OGLUM01G06040.3 pep chromosome:ALNU02000000:1:4616924:4623062:-1 gene:OGLUM01G06040 transcript:OGLUM01G06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGEGDKSAALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGISGCDKTVGNLLYTVATKYPTNALVHRPVLIDYIVSTKIKNPAQLDAALSFLTNTGPDSLDTGKFEEACGVGVVVSIEEIKSTVNEVLKHNMEAILEQRYHINVGNLCGQVRKMHPWGDAKATKDEIDKKLAEILGPKTDADNVKPVKKKKEKAAKVEVHTEIFYSDGNIWRAHNSKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRKGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKVSNATELCFACLFPDKYTTHTLMTIQFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALGLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTASRAMVVLNPLKVVITNLEDEKVIDLDGKMWPDAPADDASSYYKVPFSRIVYIEKTDFRLKDSKDYYGLAPGKSALLRYAFPIKCTEVVYGDNPDEIIEIRAEYDPSKTTKPKGVLHWVAQPAPGVEPLKVEVRLFDKLFLSENPAELEDWLGDLNPNSKEVIKGAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPENIVFNRTVTLRDSYGKAGPK >OGLUM01G06050.1 pep chromosome:ALNU02000000:1:4630470:4635729:1 gene:OGLUM01G06050 transcript:OGLUM01G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSFKVTRISEGAVKPAAATPEKTLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIRDALARALVFFYPLAGRIVEPEAGSPAIRCTADGVYFAEAAADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAVGDMARGLPEPRVKPVWDREKFPNPSIKPGPLPELPVLALDYIVLDFPTGYIDGLKAQYKAHSGKFCSGFDVLTAKLWQCRTRALNLEPGATVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPSETVLSSSVMEVVDMIRQAKERMAVEFFQFAKEETEQDPFQMTFNYESIYVSDWSKLGFAEVDYGFGPPKFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFVRGMKEDLP >OGLUM01G06060.1 pep chromosome:ALNU02000000:1:4636560:4641898:1 gene:OGLUM01G06060 transcript:OGLUM01G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPRGALGLRELYIHRHTEVHQMKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWALLWDIRKSQELPNIVAELQSESDEPVTLLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCEVSRFWGPQEYSDDEDEDEDDSDDEDDSDEEDHDHAWLVD >OGLUM01G06060.2 pep chromosome:ALNU02000000:1:4636560:4642757:1 gene:OGLUM01G06060 transcript:OGLUM01G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPPPARSLADLDGDVLAHCARSLVARDVASLAVACRPLRASAYCDAVWYRLFRNQWPSQQVPRGALGLRELYIHRHTEVHQMKFVDPISAFYYPNPTEVTPSHLMLDRNYIWLSQGLMVRRLRVDCPEIELVETYKSHGARITCMRLFPLIDIPLFRGDSQTNEKALVTSSADRTVRLCWKGHSRCFRGHSGPVTALSDKLLGDGEFKLLASGGEDCTVRLWSMSTRGKNHPLISTFHGHEKALSLLSVARHKPSLLVSCSKDSKVKLWDTMAPPSSGSSSCVGSTHLSTNSAPIAVKCHESLCYIAAGSEVTTIDLRTMKKASVLALRDHRILSCEMLPSEWLICTGIKNKALLWDIRKSQELPNIVAELQSESDEPVTLLHLDPYKVITGAPSDGQVHVWETQTGHFANTLSCGEPAKSADRSTLSVMAVDGCRIAMAGSSAEVDMVHYRDFLMSSVPVSLPGNSKEVSRFWGPQEYSDDEDEDEDDSDDEDDSDEEDHDHAWLVD >OGLUM01G06070.1 pep chromosome:ALNU02000000:1:4644779:4649306:1 gene:OGLUM01G06070 transcript:OGLUM01G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEAGGLPELGSGARDPAGARRQRRRRQQRRPAMPSAGAAAQPAPPPAVQGLFEACREVFGASAGAVPSPAGVERIKSVLDSISAADVSLTRNMSYFRRVNSNGIPKITYLHLYECEAFSIGIFCLPPRGVIPLHNHPNMTVFSKLLFGELRVKSYDWADASQDSTGVSLFLFVLSIDAQLQGARLAKVKVDGTLNAPCATSVLYPEDGGNLHCFTAHTACAVLDVLGPPYDDGSGRHCQHYNVSSSAPSAGDSRPLPGDDGYAWLEECEPPDNFHLVGSTYMGPRIVDN >OGLUM01G06080.1 pep chromosome:ALNU02000000:1:4657854:4660137:1 gene:OGLUM01G06080 transcript:OGLUM01G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVESPPVRDEKKVDVAAIGGAPPIVFESFAPSTQRDSTIIKKEENMEAAKAEMGEVREENERLKTMLTRIVSDYKSLHTHFLDVVKVKEQTAAELAGDDDDDEPDDLVSLSLCTRPNAAAARRKGHERTPSSGGGGDDGRLSLGLSCARGGVASDDDDDKQASRRALPPMPVLNLSSDSSGDAAGAGAGEPTQTNKASRSSSGGGDGADDEVLQQQQAKKARVSVRVKCDTPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPNCPVRKQVQRCADDMSILITTYEGTHSHPLPPPAAAMASTTSAAAAMLTSGSTTSTMHGGGGVHHHLPFASAVGGGGGVGLLGPTTISTATSCPTVTLDLTAPHSLLHPSSASPYAAAAAGYESSRALPAAWSSGYLAYGGAAAAQPYYAKGVAPSPFGHHFSMMGMAAAAARPAPEQLFGGQTTSPYLQRAIGGGGVAPAAVTDTIAKAITSDPSFQSVLAAAITSYMGRGGGAAAPNK >OGLUM01G06090.1 pep chromosome:ALNU02000000:1:4664207:4664868:-1 gene:OGLUM01G06090 transcript:OGLUM01G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLGLCHETSYAYSYPASNTSSSLCFPPLMADHIVDGGGGGGCSFGEFLELGHSVYSLPLPPPPWQPVVVAGGNNDQYGVSSSSSAAATTSRIGFRTRSEVEVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAAGCGVKKRVERDGDDPRYVVTTYDGVHNHATPGCVGGGGHLSYPTSAAPAWSVPAAAASPPPAHAQAWGAPLHAAAAAHSSESSF >OGLUM01G06100.1 pep chromosome:ALNU02000000:1:4672910:4675871:-1 gene:OGLUM01G06100 transcript:OGLUM01G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARPPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEELRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGRIKQSFVLTDPHLPDMPIIYASDAFLSLTGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >OGLUM01G06100.2 pep chromosome:ALNU02000000:1:4672910:4675871:-1 gene:OGLUM01G06100 transcript:OGLUM01G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAS/LOV protein B [Source:Projected from Arabidopsis thaliana (AT2G02710) TAIR;Acc:AT2G02710] MEGAEAEERRLAASLTARYSDWVVEALDELPGSFILTDPAMPGHPIVYASRGLASLTGYPPREVLGRNARLFQGADTDRAAVAGVREAVRGQRPHQVSILNYRRDGEAHWVLLHLAPVFHASDGRVLHFLAVQVPIAPPASRRRTPPCRAARPPVVFAACREEARVEEECPCASHAGEVFVDVDKRGQDAEELRVASEHEKEKALSTANSIFSALNRYSKLTGLVVCGKRCDSVGIPALSSSLNLSLGYSREEILGCNCRFLNGPGTSVEVLEQINQHICSEQACTVHLLNYRKGGSSFRDLLHISPIRNASGKVAFHVWVHLDEGAKYDFNGLTPEVWQLGAVGAVRVAVRSLSASGSLLRPSQ >OGLUM01G06110.1 pep chromosome:ALNU02000000:1:4682609:4686412:1 gene:OGLUM01G06110 transcript:OGLUM01G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGPSRDRAKGREVIELERAAAAAVLLPSKKAMEEVGTSSDRRKEREVRELERTAAAAAWVIIPAIPRVIDDERHRLMPGTDLSLQLAEPPRATMLSVARHLHTDFGRHSCVPYVIDVLPSGSFLLFTSHGYGASTIYQICDAHSRVATPLTPSSIAPIYPLARRSVGFIDDPDHRGHHLVAQLHPTSTTLHKTLVYYSTFTGQWGIKRLHSSPPHQLWGTHGGVLAYDGKLWWADLRYGLLMLDPFADKLDLRHVALPEGCVVMFNSLSFEELYRYRLIKTSEGKLRYVQIHGLPAEPMVSMWTLVDPEESLWEREYEVHLHDIWSDHTFNEAGLAPGKVPAIALIDPKNHGVLYFLQGNLIFSVDMCASSDRVLHCDKFLLGNGEAWEKYRTSQFVHAWELPPTLHRADPLSGVLSMRMINTTTDVLGTAEIQLTPLYNEWRGDDGQYLLWFCTSCEEFIRFPEDHDHQDKMLKLMRLYGRWTRWVSRSDKWAHLFEGIDDFLPPVEPFGRLFALFPPPGLRCSVCNDKSGNGTFCTLRCRVMSPDAEEAPHWAKALLLVDFSKACIADRVCHCFPSKAFCTECCGFHHQQLHRVNGADSTVTVKGEGALKSRNGRVLVRHELRGTRCVGLCAVCSKEVPEKEYNRHKCFMDYQITTVKKMTREDFAHEPKVDSKPDMFCVDCVSSFSSVHCVHHTGHRILEFITHKKAAPYKFNNIVLISALRLI >OGLUM01G06120.1 pep chromosome:ALNU02000000:1:4691130:4691462:1 gene:OGLUM01G06120 transcript:OGLUM01G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYWDRRRPMSPDPPELRDRLRRLREAERAMGVDDRAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPNFADLLRDHGPLFARAAATMNDMERWD >OGLUM01G06130.1 pep chromosome:ALNU02000000:1:4699976:4706622:1 gene:OGLUM01G06130 transcript:OGLUM01G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRMMVRTRVAPEAEFEEGETTAGSCFAPAHAPVARDEVRLAHRFAVEGMDPPAALSEGLQTLRVEYQQQRVAKYLSSILVFIPDAHAICYKNTIAIDARGHRVATARWACTTIGDDPDQGGVGVSVGAKDLATAPAIRLDAVPRLANPDVEADPLAADDCDDEASPDPYADASSRHVHHLRASEDNFLQPSYRRRSHWLAQRPALGDERQPVRASHGGGLDPRSPSLDAHGRIHRLLGTRRRRRDVASFAAAPLSAVLAAAAAAPPPIRWSLVSGARSGRLQRRWGRRSEVDRAPHEKLSLIAALRASPSLVSALPASSVPLAISRQSGSSTGTARSGRTRAAAETRDLAPWTTDPTARTDTVALVKATASRRRNSATAIPAPWFPRNGESVGAAGLLVGRRRPHPPRAAGRGICPMLVSVLHCSPGGFVEEGGGEGIVVVVDWWMVRAKQCLAFISSGSLALRREEERNRGRAPEREAFLPGSKQMALLLRLGVHTDAILDI >OGLUM01G06130.2 pep chromosome:ALNU02000000:1:4699976:4706622:1 gene:OGLUM01G06130 transcript:OGLUM01G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRMMVRTRVAPEAEFEEGETTAGSCFAPAHAPVARDEVRLAHRFAVEGMDPPAALSEGLQTLRVEYQQQKRQLVQRVAKYLSSILVFIPDAHAICYKNTIAIDARGHRVATARWACTTIGDDPDQGGVGVSVGAKDLATAPAIRLDAVPRLANPDVEADPLAADDCDDEASPDPYADASSRHVHHLRASEDNFLQPSYRRRSHWLAQRPALGDERQPVRASHGGGLDPRSPSLDAHGRIHRLLGTRRRRRDVASFAAAPLSAVLAAAAAAPPPIRWSLVSGARSGRLQRRWGRRSEVDRAPHEKLSLIAALRASPSLVSALPASSVPLAISRQSGSSTGTARSGRTRAAAETRDLAPWTTDPTARTDTVALVKATASRRRNSATAIPAPWFPRNGESVGAAGLLVGRRRPHPPRAAGRGICPMLVSVLHCSPGGFVEEGGGEGIVVVVDWWMVRAKQCLAFISSGSLALRREEERNRGRAPEREAFLPGSKQMALLLRLGVHTDAILDI >OGLUM01G06130.3 pep chromosome:ALNU02000000:1:4699291:4706622:1 gene:OGLUM01G06130 transcript:OGLUM01G06130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRLLDPGSSVPSTKSCPPVPPRRPLPSSPAAPREISRPSAAGETIMPMRMMVRTRVAPEAEFEEGETTAGSCFAPAHAPVARDEGMDPPAALSEGLQTLRVEYQQQRVAKYLSSILVFIPDAHAICYKNTIAIDARGHRVATARWACTTIGDDPDQGGVGVSVGAKDLATAPAIRLDAVPRLANPDVEADPLAADDCDDEASPDPYADASSRHVHHLRASEDNFLQPSYRRRSHWLAQRPALGDERQPVRASHGGGLDPRSPSLDAHGRIHRLLGTRRRRRDVASFAAAPLSAVLAAAAAAPPPIRWSLVSGARSGRLQRRWGRRSEVDRAPHEKLSLIAALRASPSLVSALPASSVPLAISRQSGSSTGTARSGRTRAAAETRDLAPWTTDPTARTDTVALVKATASRRRNSATAIPAPWFPRNGESVGAAGLLVGRRRPHPPRAAGRGICPMLVSVLHCSPGGFVEEGGGEGIVVVVDWWMVRAKQCLAFISSGSLALRREEERNRGRAPEREAFLPGSKQMALLLRLGVHTDAILDI >OGLUM01G06140.1 pep chromosome:ALNU02000000:1:4703028:4703891:-1 gene:OGLUM01G06140 transcript:OGLUM01G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTHWLPFVSEGGALGEPVAAAAVGRLEEVILGGPKVVDVTGGRIGIGVGGGFVVAIIGGERVRLHIRVGEAGHGIEADGGRGGEVLGADADADAALVGVVADGGARPAGGGDAVAAGVDGDRILVADGVRVGDEDQNAAQADVDREAIEDELRLLAGVYSAMESIWLKVEAAVEERDERRAEARRSGDWKDAIRFSRIIEDKVRVFIKNYDSLSMKAAATRLNLVTLGGTPLSPPARLRRHPNHLLRP >OGLUM01G06150.1 pep chromosome:ALNU02000000:1:4706651:4714495:-1 gene:OGLUM01G06150 transcript:OGLUM01G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MT >OGLUM01G06160.1 pep chromosome:ALNU02000000:1:4719090:4719548:1 gene:OGLUM01G06160 transcript:OGLUM01G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAADLSGVAQAKSVVSATQTKAESPPPPPQQRQQKPDVAAEMEEEYWERRRPMSPDPPELRDGLRRLRETERAMGIDERAAAAVFARPGQKRAVSEIPEGWSAEWDDSLEMVKRYKCNYWENPNFADLLRDHGPLFARAAAAMNDMERWD >OGLUM01G06170.1 pep chromosome:ALNU02000000:1:4724242:4724976:1 gene:OGLUM01G06170 transcript:OGLUM01G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTISGMFRPVFDRIRPSIASAAELSFSRVVSFTGSFNSRTGLLAAGDGEEETTPAQTAAFDIEAPATATTPDQAVRDDAAAAQEGEAAGATAADDDADEPKRVSKIVQTVCLFVASASLAMSVNLPASGAGGALYGATLAFVCLGLFTSLGLSMYTIVSRPGDAAVAMVQKWAMVLAMASVPASFTLRLCATLPAETLESAWLIFFLLAGAAALYLTLAWKLAGGVRAQHRSVNEERVAGDHV >OGLUM01G06180.1 pep chromosome:ALNU02000000:1:4729987:4730607:1 gene:OGLUM01G06180 transcript:OGLUM01G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGMLRPAFDRITPSIASAAVFSFSRVVSFTGSFNSRTGLLTAADGEEETPLSPQAIETTASTGGVGAFDIEAPAAMTTTPDQAVLRDDVRGDDELIRRLSKSVQPVCLFCAAASLTMSVNLPASGGAGGALYGATLAFVCLGLFASLGLSMYTIVSRPGDAAVARVQKWAMVLALAFVLVSFTLRMWPVLVSSSSSPAPPPRST >OGLUM01G06190.1 pep chromosome:ALNU02000000:1:4737581:4746534:-1 gene:OGLUM01G06190 transcript:OGLUM01G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEIHYIQIESLGVAEVRDAERSESTTMGGPGRAFVHQSRGGLGRAARLNAARRAGWGGWRGRAPQRGRSGEGSEVEFRAVMGDNDGGRRCVRQWRDVRARSRRGTNVRAWCARRKWMRADVMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGPADMDPSREPNGRDKHMHTVSSGDPMIQ >OGLUM01G06190.2 pep chromosome:ALNU02000000:1:4737581:4746534:-1 gene:OGLUM01G06190 transcript:OGLUM01G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMADAAPAPAVAAAATAEPLAAVAEEGEEGGEAAVGSTLTMERVAAAKKFIENHYRSQMKNIQERKERRFRLERQLESSQVPREQQINLLKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSSNIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDSEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDIDSSFSETTNGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDSKVSPEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWEKLYEMEAAFKPQVNDELDTQNFMKFEEMDNAPTRTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKGLKHSGPADMDPSREPNGRDKHMHTVSSGDPMIQ >OGLUM01G06200.1 pep chromosome:ALNU02000000:1:4755301:4756659:1 gene:OGLUM01G06200 transcript:OGLUM01G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTAAQFRSLFEMAGGEDISFLFGDDEAAAVAAPVELQGKRGWEEVDQGEGSGAVAAKRQRSPTSSRENSSGSNEGGQEEVSEAAAAMAAAVGRGGGRRLWVKERDSEWWDMVSSPDYPDSEFRKAFRMSKATFEVVCDELAAAVAKEDTMLRAAIPVRKRVAVCVWRLATGEPLRLVSKRFGLGISTCHKLVLEVCAALKAMVMPKVVLWPEAGDAAAIAAHFEAISGISGVVGAIYTTHIPIIAPKSNVASYYNRRHTERNQKTSYSMTVQCVVDSTGAFTDVCIGWPGSNSDEEVLEKSALYLHRGVPGLIQGQWVVGGGSFPLMDWMLVPYTHQNLTWAQHMLNEKVAAVRGVARDAFERLKRRWGCLQKRTEVKLLDLPTVLGACCVLHNICERSGDAVDDADCAFDLFDDDMVAENAVRSTAAAQARDAIAHNLLHSGAGASFF >OGLUM01G06210.1 pep chromosome:ALNU02000000:1:4758837:4761018:1 gene:OGLUM01G06210 transcript:OGLUM01G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGDGDCVNLEPFFYDEAATVAEAAAAAERREREEQEKAREAAANARRWAAHNAALAGIREYDPAEETYIYTRYHYADLSEFDLDEESRLPPMRHTAATYAPPARALHFLCDMINVLAVRIILPSSDRSDDGGGGVGFPISVYGSVIARDQLDYKCVHLFRRCRDDPQLITSEDELSLILTGPHRGLVLYDALYIEVDLKMKVKGDQQQGCKDKRLSKGLIVLDGVLLSTNLSDHLRTAVKSATLDRRSIMPCAVQVTCAYVTRAVEATVSVDLLHDQGGGAHFCGEITACTSTIQDSIVLHDSNKLGVADDGIVADGSTVRLLRRVIGVCLDEVLMVTIVAQDGDGANNYSRQTVDFTPSVNGGDEARVVCGVGSFLVKVNWSLMDPVIDK >OGLUM01G06220.1 pep chromosome:ALNU02000000:1:4797979:4809723:1 gene:OGLUM01G06220 transcript:OGLUM01G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHLHLRLLLVVGVALGSAGLGWGGGGGEGEATDREAPDPYSILTWHDYSPPSPPPPPPPPVAPAATCEGDLHGQGNFSTRCEVSEEVELGGDVYITGEGSLVLLAGAALTCQRPGCVISANLSGEVRLGRGVRVIAGRVSLAAANVTIADTVVVNTTALAGDPPERTSGVPTGTHGDGGGHGGRGASCYVKDGQTQEDSWGGDAYAWSDLEHPFSYGSKGGSTSVEKDYGGSGGGIVWLYADDLIMNGTVLADGGDSSEKGGGGSGGSIYIKSKTMHGAGKISASGGNGLAGGGGGRVSINVFSRHDDTQVFAHGGKSSGCPDNAGAAGTLYEAVPKSLVVSNNNLSTQTDTLLLEFPNQPLWTNVFVKNHAKVAVPLLWSRVQVQGQLSLLSGAILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSKMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGEGDIIEAQRLILSLFYSIKVGPGSILRGPLVNGSSGDVAPKLNCEDDICPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDIWGLVQGTVIHFNRARSVSVHTSGTISATGLGCRSGVGQGKILNSGVSGGGGHGGRGGDGFYNESHAEGGSMYGSADLPCELGSGSGNDTTKLSTAGGGIIVMGSWEYSLPSLSLYGSVESNGQSSTDVVTNASIGGPGGGSGGTILLFVRALSLAESSILSSVGGLGNFGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSIRTSGGISKGKGFPGENGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCVQCPPDELPHRAIYTSVRGGAYETPCPYKCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLVLLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNRFVDEINTLAAYQWWEGSIHSILCVLAYPLAWSWQQFRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMCLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSSIWHRLVAGLNAQLRLVRRGNLRGTFLPVLDWLETHANPSLGVNGVRVDLAWFQATALGYCQLGLVVYAVEEPVSAELDGSPRIKIEQHSLTQNMHADTQLGHSRTKEALMRKRITGGILDSNSLRTLKDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMADVLLVLFVLPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFACGLVHYKSSTKRHPSTQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPSIFWQS >OGLUM01G06230.1 pep chromosome:ALNU02000000:1:4812998:4817568:1 gene:OGLUM01G06230 transcript:OGLUM01G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREHPLPPPSPHADDRHRLPNSLSPPPPPPPPRDPIAMTISSSLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGATAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPKPKRNPKPAERGEEPPVRAGGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKVAGLSALGTPFRLFTHDSIYQGQFASKAMRLCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIEFAAGGLLFTGDAAGELKVWRWAPEEEPATPAVVKSSM >OGLUM01G06230.2 pep chromosome:ALNU02000000:1:4812998:4817568:1 gene:OGLUM01G06230 transcript:OGLUM01G06230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREREHPLPPPSPHADDRHRLPNSLSPPPPPPPPRDPIAMTISSSLASRLGPGGPTRWSPYARAPDPGHGAGRSSVPPGGGGNSRPPLRVAPATARLLGHPARKGATAPPGSRCRAEAEAEATAASDAACPNPPRRGCEETNPNPKPKRNPKPAERGEEPPVRAGGCGGFAFLCALAGHTEAISGISLPVGSDKLYSGSADGSVRVWDCNSGKCVDAIKMGGKIGCMITHGPWIFVGITKSVEAWNTQTGMKSSLHGPSGLVCSMTIKDEMLFAGTGDGRIMAWKIPDKKGDSGPVAILSGHERQVISLGVSATRLYSGSLDKTIKVWDLKTLQCVQTLSEHKAAVTSVLCWDEKLLSCSLDKTVKIWAASKSGDLQVIYTHSEEHGVRTLFGMHRVGKTPVLFCSLHNSNCIRLFDLPSFDEMGKLFSKKEVRTIEFAAGGLLFTGDAAGELKVWRWAPEEEPATPAVVKSSM >OGLUM01G06240.1 pep chromosome:ALNU02000000:1:4821674:4824151:-1 gene:OGLUM01G06240 transcript:OGLUM01G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYLLIAALIASSHALAAHGAGGGVPLAAAAPLPFPGDLAASGKLRTDPNATVPASMDFGNITAALPAAVLFPGSPGDVAELLRAAYAAPGRPFTVSFRGRGHSTMGQALAAGGVVVHMQSMGGGGAPRINVSADGAYVDAGGEQLWIDVLRAALARGVAPRSWTDYLHLTVGGTLSNAGVSGQTYRHGPQISNVLELDVITGHGETVTCSKAVNSDLFDAVLGGLGQFGVITRARVAVEPAPARARWVRLVYADFAAFSADQERLVAARPDGSHGPWSYVEGAVYLAGRGLAVALKSSGGFFSDADAARVVALAAARNATAVYSIEATLNYAANATPSSVDAAVAAALGDLHFEAGFSFSRDVTYEEFLDRVYGEEEALEKAGLWRVPHPWLNLFVPGSRIADFDRGVFKGILQTATDIAGPLIIYPVNKSKWDAAMSAVTPEGEEEVFYVVSLLFSAVANDVAALEAQNRRILRFCDLAGIGYKAYLAHYDSRGDWVRHFGAKWDRFVQRKDKYDPKKLLSPGQDIFN >OGLUM01G06250.1 pep chromosome:ALNU02000000:1:4839452:4843145:-1 gene:OGLUM01G06250 transcript:OGLUM01G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16350) TAIR;Acc:AT3G16350] MTRRCSHCSNNGHNARTCPARGGGGGGGGVRLFGVRLTSPPEVAMKKSASMSCIASSLGSGGGSGGSSPAGTGRGGGGGGEGAAGYASDDPTHASCSTNGRGERKKGTPWTEEEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQTNSSRRKRRSSLFDMVPEMPMDESPVVVEQLMLHSTQDEATSSNQLPISHLVKQKEPEFARHLSDLQLRKHEESEFTEPSLAALDLEMNHAAPFKTKFVLTMPTFYPALIPVPLTLWPPNVANVGESGTNHEILKPTPVNGKEVINKADEVVGMSKLTIGDGNSNSIEPSALSLQLTGPTNTRQSAFHVNPPMAGPDLNKRNNSPIHAV >OGLUM01G06260.1 pep chromosome:ALNU02000000:1:4851351:4859597:1 gene:OGLUM01G06260 transcript:OGLUM01G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >OGLUM01G06260.2 pep chromosome:ALNU02000000:1:4851267:4859597:1 gene:OGLUM01G06260 transcript:OGLUM01G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECSISRTNLNCISLGDPDTKKSVALLKQACLDSGFFYVVDHGISQELMDDVFAQSKKFFDLPINEKMELLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGIEVPEDDPQANRPFYGPNQWPSDEVLPRWRKVMEQYHSEALRVAKSIARIIALALNLEEDFFDKPEMLGEPIATLRLLHYEGGQKTGKVSNPAKGVFGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGSTLHRVVLDGRERHSIAFFVEPSHDCVVECLPTCKSESNPPKFPPITCSAYLSQRYKDTHADLTAYSSNKA >OGLUM01G06270.1 pep chromosome:ALNU02000000:1:4856703:4868222:-1 gene:OGLUM01G06270 transcript:OGLUM01G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNAPQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVFMDIRVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHPIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >OGLUM01G06270.2 pep chromosome:ALNU02000000:1:4856703:4868222:-1 gene:OGLUM01G06270 transcript:OGLUM01G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNAPQVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHPIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >OGLUM01G06270.3 pep chromosome:ALNU02000000:1:4856703:4868222:-1 gene:OGLUM01G06270 transcript:OGLUM01G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNAPQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHPIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >OGLUM01G06270.4 pep chromosome:ALNU02000000:1:4856703:4868222:-1 gene:OGLUM01G06270 transcript:OGLUM01G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPATRLRAVDAVAPRLRELGASPPAAVPPAVASALGVLPSEPRLFAHTILLRLATHFATADNAVRARILRALLLLGLDGSLPMTMACVAAEPQHQVAVLARVKAAYDAGCPRARALALRMFGCLAHLAHHSLHLRSLILSTFSSSNAPQVKAALFAAACFSRLSVDFSYITLEALRRLISSPTSQPQIIMAAIKIFPKLDCTLAVIHRVHEVFMDIRVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHPIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >OGLUM01G06270.5 pep chromosome:ALNU02000000:1:4856703:4868222:-1 gene:OGLUM01G06270 transcript:OGLUM01G06270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPAASSAMQWSIDLDRALRSRHPGQSGFVGKQIVLGTLEDNFKADMLLSLSRLASKSVVLFCNQVELLLLFLEHESSHCMKTVSLKCLCFMFHGSICHFPVLKTVFGTLLQLIDDDDFPLDCKRDAFMVLQKIVCGKAPIVHLVNTCELSKLVLAAESSLHSSSWEMQGTALKVIAGTLCFLQQTRSHQNMITQEGSSLTYNGCQGITSNFLSTVENAEDKFVHKIVTSMVNHNISLVNQIISTGNKETIRKHTYMSSEFKMYRSMLSSMLKLLVCYPSAAAVALDKLRCLIKELARLDDSDCSEVSVANVESFQTNSAREVLDTSNDIVKPVSASMKASHMGTDFDKLKFDPAEFSSKKEVSIVHNIILWTLKFANSCHNMLSKTPGASCNLYDSIKELIECVQQNTSLYCSTYESFHLELCAIRITKMLFRNQRYWDAYRSAMYCCREGLWFAASSVFRKLADDFGSGSFSFWFKSLLLISAGEIEMKLLLFPSAIIKLVNELKTDCDLHENFYWVETNVDSSLADSSELHGSQAKITDICSRTFLATDPLLSNTSSSHELFFQRWFISLRASFLEILADFLGILTADLTDQRDESHHDAIGDHSSVPREHNNSQLLALVHSSVEPCRLPERFSHASVVQDLHERVDRTDSQIVSQLQQLMPICCDEVHPIQIVTRMNCSGILEKDSYRLCKFAVAYLLRLRGDTRGIATGEDSVSPLHEGMQFLSNILQRVMELPFVLPKYFFRVRPCFGAELHLYDSNPENRDGISVPSGFQLSLTLCLQWKCVLERSDIDISKLYCVLAASSASSCLDVTGTRSKQFEIRKKTAGMVGLNTKLMQFVQDDLGKKREKKRRKKVHVEQKDMVTAFARFEASDSGVGFSSCLLDVSEFPQGSYKMKWHACCIDKDGAYYSLLPLNDGAAFSVRSSPMQ >OGLUM01G06280.1 pep chromosome:ALNU02000000:1:4870200:4875061:-1 gene:OGLUM01G06280 transcript:OGLUM01G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4D7] MLSARAAATATAAAASPLWKRGEGGSSGSGSGCTSCREVRRRAAAVRVRAAAPRRVEAVAMESAAETEKEEEVAAAGGGVEDMATEEVPVTPWAFSVASGYTLLRDPHHNKGLAFSEKERDAHYLRGLLPPAVVSQDLQVKKIMHNLRQYSVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRQPQGLYVSLKDKGKVLDVLRNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNEQLLNDEFYIGLRQRRATGKEYHELMEEFMSAVKQIYGEKVLIQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLSSLKVVGGTLAEHTYLFLGAGEAGTGIAELIALEISKQTKAPIEECRKKVWLLDSKGLIVNSRKESLQAFKKPWAHEHEPVTTLLDAVQSIKPTVLIGTSGVGKTFTKEVIEAMASFNERPVIFSLSNPTSHSECTAEEAYNWSQGRAVFASGSPFDPVEYNGKIHVPGQSNNAYIFPGFGLGVVISGAVRVHEDMLLAASETLADQATQENFEKGSIFPPFTNIRKISARIAASVAAKAYELGLATRLPQPRDLEKYAESCMYTPVYRSYR >OGLUM01G06290.1 pep chromosome:ALNU02000000:1:4882895:4883874:-1 gene:OGLUM01G06290 transcript:OGLUM01G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSIKIDHKKHGKLGSENKTPNPHIFLINIDHERDVRDSPRVFPKKTEMGPAQLVYAML >OGLUM01G06300.1 pep chromosome:ALNU02000000:1:4884326:4885873:-1 gene:OGLUM01G06300 transcript:OGLUM01G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTTETETETGTGTRSHRRRKGSSDGSRAVHRADASRRGPTPTKETEIPGRPPKRSSSTLSLDDAGASNPASTWAILNRYGARRDSFRGDDRDRTTSAVSYTSGGDQISVSFELVKPPETSLLTLDWPQGPRPSLGTTSYPYVIAAHGNVVLFQIVSPDKHARPSAIDYFVYNASRSSSSNHHPSLTRLPVSYWRRGDTIRPRIMSREGTCILSCSSKNSSSFVVAELERRSCQSSETNVYLFASGSDDWRVFRNVPIRHGDDLAHLCCWTTDAVLSCHDRYMIWVDYLVAGMIVANVEHPGRVDPPEPVLWYVPLPVDPVADIDRGRGCPQASRSLCATHHGIKFVNVNQHGGSSSRSFSITLWSWHEDQTWREDATLDAAQLWELDSENRLPNVRPEFPVVDMENPYAVCFLLNERYHTADPNATTWMIKVHMKKKVLLDCTGYSNKGSSSTARRMSEGLSFISREMPSYLSGKTIKRFLEGPLWPATHDAIEIEENGKMGFPKKQKKYTG >OGLUM01G06310.1 pep chromosome:ALNU02000000:1:4887366:4889916:1 gene:OGLUM01G06310 transcript:OGLUM01G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21360) TAIR;Acc:AT3G21360] MVAPAGSFFQEAALPEQRLVEGVAFPAVLVPSDDATAAGGGLDAFLDAVRSERASTVEPLLRGAGAVLLRGFPARAAADFDRAVDAFGYPELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPTFPAKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKYPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWHSTFLTKDRSIAEERAAKLGMKLEWTEDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVRAVTFGDGSPLPADVIAGCGEILEEECVAVPWRQGDILLIDNWAVLHSRRSFEPPRRILASLCK >OGLUM01G06320.1 pep chromosome:ALNU02000000:1:4902422:4904700:1 gene:OGLUM01G06320 transcript:OGLUM01G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4E1] MAWRRGFGEEDGAAAAGESGLELCLGLPAYFSSSKPSEGSTAAPAFALRSNGTNVSKPRAAAAAPVVGWPPVRSFRRNLASSSSSSSKQAPPPPSSSPQNGDKASKDGGAEKGMFVKINMDGVPIGRKVDLTAYGGYAQLSAAVDKLFRGLLAGELSFTLRRPPRAQSAAADGEADAAAAGEMVGGGEYTLVYEDDEGDRMLVGDVPWQMFIATAKRLRVLKSSDLPPPSLMRAAGSRKRAAADS >OGLUM01G06330.1 pep chromosome:ALNU02000000:1:4905962:4909155:-1 gene:OGLUM01G06330 transcript:OGLUM01G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4E2] MCSYRKAKEQNKKSTTLIPFRCRRVYRFSIRLSGTGIMAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >OGLUM01G06330.2 pep chromosome:ALNU02000000:1:4905962:4909155:-1 gene:OGLUM01G06330 transcript:OGLUM01G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4E2] MCSYRKAKEQNKKSTTLIPFRCRRVYRFSIRLSGTGIMAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >OGLUM01G06330.3 pep chromosome:ALNU02000000:1:4905964:4908459:-1 gene:OGLUM01G06330 transcript:OGLUM01G06330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4E2] MAAVEVEVEAEKVVAELRERCATPASLLRDVAAAMAGEMGAGLEKEGGSRVKMLLSYVDKLPTGREEGLFYGLDLGGTNFRVLKVHLGGSKKHVVNSESREVSIPPHLMSGTSSELFGFIAGELGKFVAEEEEGTDMPNGKKKELGFTFSFPVRQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMVKQGLDMHVAALINDAVGTLAGARYYDEDVVAGVIFGTGTNAAYVEKANAIPKWEGELPNSGDMVINMEWGNFYSSHLPIYEKLTSGMYLGEIVRRVLLKLSLQSGIFGSIDNSKLKTRFHLRTPHISAMHHDETPDLKIVAEKLHQILEITHTSLEIRKMVVEICDIVARRAARLAAAGVAGILMKLGRNGGINNQRSVIAIDGGLFEHYTKFRECLESTLGELLGEEASKSVAVKHANDGSGIGAALIAASQSR >OGLUM01G06330.4 pep chromosome:ALNU02000000:1:4908767:4909155:-1 gene:OGLUM01G06330 transcript:OGLUM01G06330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4E2] MCSYRKAKEQNKKSTTLIPFRCRRVYR >OGLUM01G06340.1 pep chromosome:ALNU02000000:1:4912195:4914393:1 gene:OGLUM01G06340 transcript:OGLUM01G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRSLWGGGGGKKEQGREHGRTAAAPPPPDRKRWSFAKSSRDSTEGEAAAAVGGNAAIAKAAEAAWLKSMYSDTEREQSKHAIAVAAATAAAADAAVAAAQAAVEVVRLTSQGPPTSSVFVCGGVLDPRGRAAAVKIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMQALVRAQAAVRAARSSRGAALPPLHLHHHPPVRPRYSLQERYMDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEMDTGRPKSRSSSVRTSPPVVDAGAAEEWYANSVSSPLLPFHQLPGAPPRISAPSARHFPEYDWCPLEKPRPATAQSTPRLAHMPVTPTKSVCGGGGYGASPNCRGYMSSTQSSEAKVRSQSAPKQRPEPGVAGGTGGGARKRVPLSEVTLEARASLSGVGMQRSCNRVQEAFNFKTAVLSRFDRSSEPAAERDRDLFLQRRW >OGLUM01G06350.1 pep chromosome:ALNU02000000:1:4922601:4923797:-1 gene:OGLUM01G06350 transcript:OGLUM01G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTRDNLHDKINQQTNRPKIHNYSAMDTVGWDENTSGDTGPLDLSAEGDNGNEPLFNDTDGNRGRDGICPSHDKWYLQFILTHTVPVHFLSITL >OGLUM01G06360.1 pep chromosome:ALNU02000000:1:4927760:4929720:-1 gene:OGLUM01G06360 transcript:OGLUM01G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETILVGDDLMREPPSPVIPKEIASHVLQGVEPCDGILRNLFLCLQINDIEPFCQDEIVFYRQCAAKRGKEIREKMVDSEYKLGISMPLEEGKERTTQLQLEVTLLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRMEALVRGMEKGGSQNSQAQGS >OGLUM01G06370.1 pep chromosome:ALNU02000000:1:4931072:4933319:1 gene:OGLUM01G06370 transcript:OGLUM01G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >OGLUM01G06370.2 pep chromosome:ALNU02000000:1:4931985:4933319:1 gene:OGLUM01G06370 transcript:OGLUM01G06370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLMAYLAGNSSPTAEDLTTILESVGCEIDNAKMELLLSQVSGKDITELIACGREKFASVPSGGGGVAVAAAAPAAGGAGGAPAAEAKKEDKVEEKEESDDDMGFSLFD >OGLUM01G06380.1 pep chromosome:ALNU02000000:1:4938176:4939394:-1 gene:OGLUM01G06380 transcript:OGLUM01G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRLVCFLAVAAALATTCHGWGGGAGDVVSSSAAALSFVDRLRQMMIPAAVGDGDYCDSWRVGVEANNVRGWTAAPRKCDNYVENYMRGHHYRRDSKVVVDEAAAYAEAAVLSGDPAADANATWVFDVDETALSHVKFYKKHGFGYHRTDEPAFMEWLIAGRASALPNTVTLYKKLLLLGVKILEEEKGMVIIGNIGDQWSDLLGSPEGRRTFKLPNPAYYIDNYKRAGAAVRAAVAITASSSSSSS >OGLUM01G06390.1 pep chromosome:ALNU02000000:1:4945950:4951710:-1 gene:OGLUM01G06390 transcript:OGLUM01G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPTLLALSFALLLLILLLYYSSSLLYSQLATRRRLTHACARSLPDAYESGMNRGHISSSELIDAKLEERRISTAKHCPSCGNKLDCKPDWVGLPAGVKFDPTDQELIEHLEAKVREEGSRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVQKGETRWHKTGKTRPVMVSGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSDRGGGAAATASAVTTAAVQQDQQRRRDSGSGSCSSTRDHEVSATSYSTAGYAVAAAVEMQHLKHAADHFSFAPFRKSFEEVGISGDQVHSNQLGRSEQQHAGQEQQPHRPLLATTTAVPATAFLISRPTNPVSNIVPPAMQHASVVLDHDQFHVPAILLHHDKFQQQQQQKLDRRSAGLEELIMGCTSSSTKGVVYTCTFRKLQFLTPKRQNGLTSHTGLLTTRIIMDSSNKAGK >OGLUM01G06400.1 pep chromosome:ALNU02000000:1:4957165:4963972:1 gene:OGLUM01G06400 transcript:OGLUM01G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMLEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >OGLUM01G06400.2 pep chromosome:ALNU02000000:1:4957165:4962829:1 gene:OGLUM01G06400 transcript:OGLUM01G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAASGLGAIKRHGLDGQMLNVAIRCASTSVAQRSSGGFWTWLTGARSNALPPPDFTLPGVTIPPPLPDLVEPGKTKITTLANGVKIASETTPGPSCSVGVYVNCGSVHEAPETLGATQLLKKMAFTTTTNRSHLRVVREIEAVGGNVKASANREMMSYSYAALKTYMLEMVEVLIDCVRNPAFLDWEVKEQIMKLKAELAEASSNPETFLLEALHSTGYSGALATPLIASESSVSRLNTNVLEYFLAENYTAPRIVLAATGVDHDELVSIAEPLLSDMPGVTGPAKPKSTYVGGEYRRTADSSNTDVALAFEVPGGWLKEKEFVTVSVLQTLLGGGGTYSWGRHGKGLHSSLNHLANEFDQIRSIAAFKDVHSNTGIFGIHTSTDAAFVPKAIDLATRELTSLATPGKVDQTQLDRAKATAKSAILMNLESKASATEDMGRQILAFGERKPVEHLLKAVDGVTLKDITALAEKIISSPLTMASHGNVLNVPTYDSVSGKFRSK >OGLUM01G06410.1 pep chromosome:ALNU02000000:1:4971005:4975480:1 gene:OGLUM01G06410 transcript:OGLUM01G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4F5] MEAVGVAPAPAGVPEKKLLEVKESRKAAAAAPSTSMAAKWAMKKKLVGGDAGYVLEDVPHLTDYLPELPTYPNPLQDNPAYSVVKQYFVNTDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVIRELVCGLYDMYGVTSVVGIEGGYKGFYSRNTVALTPKSVNDIHKRGGTVLGTSRGGHDTGKIVDSIKDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIEKRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKRNFPITLKYIDPTYMIRAVRSNASDNVYCTLLAHSALHGTMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVEHLKHDDDEHHLHNTQLLEGESSPVKDSSKCNGTAAPV >OGLUM01G06420.1 pep chromosome:ALNU02000000:1:4976042:4979355:-1 gene:OGLUM01G06420 transcript:OGLUM01G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATESRCEEEKASPHLQEVKRWVLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYALKGELYKELQRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGSQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKLLEHPWIVQNADPSGVYRG >OGLUM01G06430.1 pep chromosome:ALNU02000000:1:4983776:4984930:-1 gene:OGLUM01G06430 transcript:OGLUM01G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTTRRGYSKRTGHGAASTSCLRLLVPPLSSRGDRGGVVLVCVEDGEDAVLRQGGGEEGPVVAGGGRRAARLHQPPRHRRQLDLPPQQGRVEEVRQELQAAVAQLPPPRHPPWRLHRRGGRHHHLPLLQARQQVVNHRGAAGEEDGQRRQEPLEHQAQAPPRRRRRLHALTAAPGAAAPRRHAHVAVVVAAAPPAARRTDRQDRGVHLRRLPAAAAADRHRRHGAPGSLRRRRRHGRRLDVRLRRVVGVQLVGGHRRRRRRWRRRRAVPGILHELRRPRRRRHGGGRPLHRRRLLLPSRSELVIITSVVNSCVSKIKH >OGLUM01G06440.1 pep chromosome:ALNU02000000:1:5002478:5003658:-1 gene:OGLUM01G06440 transcript:OGLUM01G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMGEGVSSVPPWSHLPVSGVDVLGGGGGGGGGGDEMTPYLIAALRDYLPANDVGVGADDEEEAAAMAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRKYHYSGTACPDFRKGGCKRGDACEYAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPAQQSSPRSVASSPLAESYDGSPLRRQAFESYLTKTIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAIRRGSWPGVGSPVNDVLASFRQLRLNKVKSSPSGGWSYPSSSAVYGSPKAATGLYSLPTTPLASTATVTTASSFMPNLEPLDLGLIGDEEPVQRVESGRALREKVFERLSRDGAISGAATAFAAAATAGVGLDVDWVSDLIN >OGLUM01G06450.1 pep chromosome:ALNU02000000:1:5010389:5011099:-1 gene:OGLUM01G06450 transcript:OGLUM01G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMRKLHMYHRKRLDSLEYHRLNGLCYNTTSNQIVYAEIPSWTDLPSGFFFLHPTPRLRRHWWPWWRRGELTERARRPTAARKTKGARRSAAARRTEGASSETGGGSVWAAAVVARDCGSTWATAGVQVTRAPSVAEVVTRCGRSAWAVEVDARGGSRRRSSRAVDESTSASAVLTNPTALRLLCPKHFARLPKDASATTAPVGRTRRPPPAGELAPSALLAATIATSDEEVEELE >OGLUM01G06460.1 pep chromosome:ALNU02000000:1:5024947:5026991:-1 gene:OGLUM01G06460 transcript:OGLUM01G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNSLFQSVDLARIKRQVQWQKCTSKATRCCYCYISTCTILSQLLRIPSPLLLVLLASLQLLLLVVLAVLVVLGLPSAASMARKCSSCGNNGHNSRTCTGQRSLQESGGGYGGGGAGGVRLFGVQLHVGGAPLKKCFSMECLSSPSPSPAYYAAVAAAASNSSPTVSSSSSLVSVEEAGEKMANGYLSDGLMARAQERKKGVPWTEEEHRKFLVGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTQKKRRSSLFDVIEDAEKAPSHGTARSHAAASSILNPDAKLFITSSEQQQQRTTKNNPSFSDGGKASGATATPAT >OGLUM01G06470.1 pep chromosome:ALNU02000000:1:5034256:5035294:-1 gene:OGLUM01G06470 transcript:OGLUM01G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSKQASREEDGGQDGKLELTDSNPSLSPPSSHQRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVQKLSKVGKIAEVMAPPPPSPAAPSEEGKKSNSNGGEKPTSPADEKSARKDEGKDGKGNKSPATAAACKQECSKCTAGKEAATRADEAGRAGGKTASSKDATTKSSGDGDKSEPAAVAVEYQYHHHYNWAEPAVVVPVHLPYFAANATPYYAGGYYPMPPPMSVLRHPSQLRPQPSRFDEDNTAAGSCPSLAPVRRWPSSHGCRSPEG >OGLUM01G06480.1 pep chromosome:ALNU02000000:1:5037686:5040215:-1 gene:OGLUM01G06480 transcript:OGLUM01G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSIDVERISFGGKEHRVRTRYGSVSVSVFGDEDKPALITYPDVALNYMSCFQGLFFCPEAASLLLHNFCIYHITPQGHELGAAPISSDVPVPSVDELVDQVADVLDFFGLGSVMCLGVTAGAYILTLFATKYRDRVIGLMLVSPLCKAPSWSEWLYNKVLLNLLYYYGSRGLVKECLLQRYFSTEVRGNGQDPESEIVQACRSLLHERQGSNVWRFLQAINERHDLTEALKKLQCRTLIFVGENSQFHDDAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPSQLDSSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLKV >OGLUM01G06490.1 pep chromosome:ALNU02000000:1:5045692:5048049:1 gene:OGLUM01G06490 transcript:OGLUM01G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNDGDDVQSLYRPVHACESLKAKEPIEQTIFFVGEKIRSSQDWLLISKGRTKRKGICGPQRAGTLNSGPKIIGPKGPWASLRQKPSPIFLAGNEPKLEQKPTECPRIQEMKSPKTGFIIVSSDETDITA >OGLUM01G06500.1 pep chromosome:ALNU02000000:1:5056689:5058404:-1 gene:OGLUM01G06500 transcript:OGLUM01G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLLPAAAFAGSAPPLSQVATSAAHGEDSPYFAGWKAYDEDPYHAVDNPDGVIQMGLAENQVSFDLLEAYLRDHPEAAGWSTGGAGAGSFRDNALFQDYHGLKSFRKAMASFMGKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVRCDSANGFQVTVAALQAAYDEAAAAGMRARAVLITNPSNPLGTTVRRKVLDDILDFVSRNDIHLISDEIYSGSVFAAPDLVSVAELVEARGGDGIAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKTLAAMLSDEAFAGEYIRANRRRLRERHEHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGGGGVGGELRLWEKLLREAKLNISPGSSCHCSEAGWFRVCFANMSLDTLDLALHRISRFMDTWNGTKQQASCQQQEQQ >OGLUM01G06510.1 pep chromosome:ALNU02000000:1:5064186:5066529:1 gene:OGLUM01G06510 transcript:OGLUM01G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFNLTLSRDTARPQIDRIGGILGGKFALCLANLDGLLHRCAIGAAHNSLCRVLLLHEVWEWEGEAAAAAAAAEAAAEDRALRGIWAVGLLCRWQPQPQECSRKLTISCTPLH >OGLUM01G06520.1 pep chromosome:ALNU02000000:1:5068236:5069785:1 gene:OGLUM01G06520 transcript:OGLUM01G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMEVAEGRTVAAAATAAGGGGLGGGARTEAEGLACPRCESTNTKFCYYNNYNLAQPRHFCKACRRYWTRGGALRNVPVGGGTRNKVAPAPCTGRRKRAGHATHAAAPTTTTQAPAVSSAPLPLMPPAVAYELPFLPPPPPLPLTAVDPDRRLLDLGGSFTSLLAPAQLHNGHFTTGFLLGTMSSPPPPPPPATSAPSPAPAAHPPVSDSIWAMGWPHLSI >OGLUM01G06530.1 pep chromosome:ALNU02000000:1:5070814:5073040:1 gene:OGLUM01G06530 transcript:OGLUM01G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPGSPETAELPAPMVLVPPPPPSDEASAEEEAGVKPDRQSSSSSSSSSEEEEEKEASKDVAVETSVVVPSVVAASPDDEAATVASGDGGEVIKHDDEAVPAGDAAVVRPDDWASWPQQPAQTTTTTTQAAPEIQTMSQQPAAVAGFDPERIPKSIFQAKPPGSSSQAEWSMASNESLFSIHHGARPSGDLCGFYAGESRSHFDYFYDEAMAGAAGDHTSDWKLATVAEGSPGGSARSDATDGGGGAAKQKAAIDFRRHESGSAGSSSNFSFAFPILAETTTSPRKRDYGGMYHPLKKEAEQQQAASPASAFEEMTTEEERRRRRSWWCCCCGECCGCCWFACSWSSCCCCCRWRWCSRCSCSCPTFCRRN >OGLUM01G06540.1 pep chromosome:ALNU02000000:1:5072607:5074474:-1 gene:OGLUM01G06540 transcript:OGLUM01G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29420) TAIR;Acc:AT4G29420] MSADGGDPFDSLPAAIAADVLGRVADGADIAACRLASRAFLAASYACSRVRLRFAALARRRSVALAGGGGGGPPGGSAIRAAAGNAASLLGPHLRSLELDASEGWGHPDDATWVEEGEFDEGGDLHLTAREAVVAWADTAAGNALREVDVADYWPQSCWRKAEALPVISHFCHSLLKLRLKNAWLSVDGLKIMPNLTHLTLEFIRLDDEDLNKLNECFPCLQILNLIGVGGLKDPKIHLHQLKTCHWEVSNVPRSLTIRAPNLVRLELKCVRPDMLILDTPSMFTLKLTVDKLGPNVQADGLVSLKNLWIESLDLKSLLQVFAENHDITTLELELPTSTNKYELFEAVKPEYLLQLFASISEVKLAPRFSCEMTHCLMLCTSNQFRSCLRRLLFHLPPLKDVPHLAPLFNNCAPSCEVTILFHADSSDDIRQAATSVWTLRYPGIRWQWGTWN >OGLUM01G06550.1 pep chromosome:ALNU02000000:1:5076547:5080054:-1 gene:OGLUM01G06550 transcript:OGLUM01G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G21300) TAIR;Acc:AT3G21300] MATAAAAAAAFSPLRRRLPLHHRGRRLLAVAAALSPEPPAPTPTTSPLPPPRKGYFPKRNEVLELTCEGLAFKGKGVCRVDGSTFVLLCDGALPGERLLARVRRIRRGAFAEAAKLRTLEPHRDAVDAPCPLAADCGGCKAQSLAYAAQIRHKHLQVRELLVNFGKFDPRKMESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQREWKEEKDDEVVKEEKVEGDGYSLGLHAPGFFDKVLHVEKCLLQSEPADKVLAIVQETWLDPALGLTPYDVHKHVGFLKHLMIRTGRNITTGAPEVMVNFVTSCYKPELLEPLVNNITKIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKPTITEMLRGLTFQISANSFFQTNTKQADVLYKLIGESAGLKGDGSEIILDLFCGTGTIGLTLARRAKHVYGYEVVPEAIADAKKNAKLNGISNATFVQGDLNKINETFGKEFPKPDIIISDPNRPGMHMKLIKWLLEVKAPRIVYVSCNPATCARDLDYLCHGVEEKDLKGCYELKTVIPVDMFPHTPHIECICVLELC >OGLUM01G06560.1 pep chromosome:ALNU02000000:1:5082673:5092954:1 gene:OGLUM01G06560 transcript:OGLUM01G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIVQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEEHQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMQITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >OGLUM01G06560.2 pep chromosome:ALNU02000000:1:5082673:5096350:1 gene:OGLUM01G06560 transcript:OGLUM01G06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIVQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEEHQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMQITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >OGLUM01G06560.3 pep chromosome:ALNU02000000:1:5084422:5096350:1 gene:OGLUM01G06560 transcript:OGLUM01G06560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAKGSRTITKITIKGYDDRQHDEDPNSSSKVKLKKRKMSDLGPQWSKDELMRFYEAYRRHGKNWKKVSASVGGKSADTVEALYSVHRTFLSLPEREGTAMGFVALVTGHHNVSDESKSHKGSDQTVRASGKVRKREATGQKEKEAPHAHRSYHERRTSGLSSFKKRYYGELVKNIPRHPSGKRTPRVPVIFPADMNVAHAGIPETENAINCTKKASGATNNELECSSHGSPGISESAKFVQGQTFLEAKGTGSLKKRRIVQSMEQGQTIKDEHETAMVAKEGNDLTEYQRLTNLFSPDEMLVLDVLESLVTVPSKISEPKINIPSGTLGRDDSALSHRREEGPSVKRSKQGKQVGECSASKTRNKRRKKLIAEEVPTEGVTSNHLDLPEEHQVDATECALNSDLERGTVDLPESTANISTKIPDLPSQLKPEINMSRRSKRKSKSPCGSKYVVCNGADNLQARRLQHCLSSESLRRWCTYEWFYSAVDYPWFMDNEFVNYLNFANLSHLSRLTRSEWSTIRSSLGKPRRFSDHFLAAEKDKLENYRKKVRQYYALLSEDSWDSLPPDLARPFSIGQQDRYNVQFDRPDLGVDEVKDTDCMPVNWLDNLPDDLKKRSFLSNNSHNRVEVEQIPKLTSKENWDHISGEAEPSKTMQITSDEQVEVLLSKSIFKKHYDHSLSIHGQVEIAVDIERLSNKSTSGNCGPLQPLQSVDDNVRSRGLSEHRNGHNDELDSYITSFVQMSLAQAKQMVDEAMKEISENGKSSLEETGISNEATDCKGPEPESAANSELPRNLIFNCIATLLAIKHFSEGRHPPPNIAGVLERACLMLRPSCAENLPIYNEIENFIAVIKNQILALVPSASSNARLPMYM >OGLUM01G06570.1 pep chromosome:ALNU02000000:1:5092055:5095251:-1 gene:OGLUM01G06570 transcript:OGLUM01G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERRASPAAAAAGGRRRCGVRVGPTRLEGMPAAWPAVAAVKAKWPVSGGALSQMILGRCWGRGVTAVEPVGGDGVVRWDGAGDANRFRFDLDQPSAAAARAARPERGVSFSILYGFQEQGRGKDVVKLEEIGTAMISLEECCWEMQLQQQQKRGATQQQLVVVPIRVRKDGWASDAMLYVNVELVDANTPSENIGRTVSFRESRARTTTPAPTMRDNRKSLEAGTYHEVLDLKQLLDLADREHKVAVYSRSKRNSDSSSVSSMSSVSSSSTISISSASSSGGASPEPGLSSKRRLLPWRRKSRDFDKRSSESLSQELPMKYMDDDLAGSWETREFTSRDSETKLRTPVFFASIDQRDDSAGGESACTALVAVLAAALHANHPTMPTRPELDALIRDGSSEWRRLCDDEAHMAAFPNRHFDLETVLAARARPIAVQHDRAFVGFFQPESFASLSGAMSFDDIWREIAASAAAAGGEPGRADVYIVSWNDHFFVLKVEGDCYHVVDTLGERLFEGCDKAYMLRFDATSEMRSVSPPPSSPSPEEEVIVTGKECCREFIKRFLAAIPLREELEMERKGCADAPHRRLQIEFHFTVLKEEEQDQARR >OGLUM01G06580.1 pep chromosome:ALNU02000000:1:5111892:5114150:-1 gene:OGLUM01G06580 transcript:OGLUM01G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 6 [Source:Projected from Arabidopsis thaliana (AT2G26180) TAIR;Acc:AT2G26180] MGGSGKWVKSLIGLKKPDREDCKEKLQVPSVNGGGGGKGRKWKLWRSSSGDHGSLWRGSRGGGGHHRSASSDASDDASSAAADPFTAAVATVARAPAKDFMAVRQEWAAIRVQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTKLDILREAEEGWCDSQGTLEDVRVKLQKRQEGAIKRERAIAYAYSQQIEGATKCNFWTECVIFLVFAQQQPKPTSYGRLNQSGMLLKHQHFDKSNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLLSSKNCEDSFGILGDFSEPNSVKVRKNNVSKRVCAKPPVVSHHQRIKAQSISSLSTELHNDESSASSSSCFASTPISFSTFVTTEKTEDSIRARPNYMNMTESIKAKRKACNAQRTTAGKLMEDRKASGVELKVAQV >OGLUM01G06590.1 pep chromosome:ALNU02000000:1:5119137:5123333:-1 gene:OGLUM01G06590 transcript:OGLUM01G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPTSHVTNAFSDSDSASVEEGGADADADVEALRRLSDNLAAAFRSPEDFAFLADARIAVPGGGGDLRVHRCVLSARSPFLRGVFARRAAAAAGGGGEDGGERLELRELLGGGGEEVEVGYEALRLVLDYLYSGRVGDLPKAACLCVDEDCAHVGCHPAVAFMAQVLFAASTFQVAELTNLFQRRLLDVLDKVEVDNLLLILSVANLCNKSCMKLLERCLDMVVWSNLDMITLEKSLPPDVIKQIIDARLSLGLISPENKGFPNKHVRRIHRALDSDDVELVRMLLTEGQTNLDDAFALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAARRREPKIIVSLLTKGARPADVTFDGRKAVQISKRLTKQGDYFGVTEEGKPSPKDRLCIEILEQAERRDPQLGEASVSLAMAGESLRGRLLYLENRVALARIMFPMEARVAMDIAQVDGTLEFNLGSGANPPPERQRTTVDLNESPFIMKEEHLARMTALSKTVELGKRFFPRCSNVLDKIMDDETDPVSLGRDTSAEKRKRFHDLQDVLQKAFHEDKEENDRSGLSSLSSSTSIGAIRPRR >OGLUM01G06600.1 pep chromosome:ALNU02000000:1:5133139:5133453:-1 gene:OGLUM01G06600 transcript:OGLUM01G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIDQAEIVKLLIEAIDMFLICTALLTFGMGMCIMFYGSRSIQKPGMQVDNLHLGSFNLKVTDPCRYIFVYTVTKITISHVFSC >OGLUM01G06610.1 pep chromosome:ALNU02000000:1:5144546:5144923:1 gene:OGLUM01G06610 transcript:OGLUM01G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAAAGMMRRGSLTIDPAGEEEAPAERVGRLVRESPVVVFARRGCYMAHVMRRLLAAVGAHATVIELEGGAAEEEEAALGGGAALPALFVGGDPVGGLEGLMGLHLSGRLVPRLREVGALCT >OGLUM01G06620.1 pep chromosome:ALNU02000000:1:5173288:5176010:1 gene:OGLUM01G06620 transcript:OGLUM01G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKDLAEIQQQQLLAAAEENMSNLTSASGDQASVSSHPAPPPAKKKRSLPGNPDPEAEVIALSPRTLMATNRYVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDKCSKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAVAGQQQHGGGMLFSQVADVLDHQAAMAMGGHGLMQELCLKREQQQQQQQFAPSWLTAQQQQQQLEAMAGAGNPAAMYGSARLDQEFIGSSTPESGGAQQAGLSFGFSSTSSAPPHPAASSAHMSATALLQKAAQMGATLSRPSSHAHMAPAAAASTHNSSSSAATTNAPPPPPTSNVSSTCVGAGGYGLAFEASHFIAADESSRGARSDRDTGNGVAGAGNDGLTRDFLGLRAFSHGDIMSMAGFDPCMSTTSASSAAPYDHHHHSNKPWHS >OGLUM01G06630.1 pep chromosome:ALNU02000000:1:5178077:5182957:-1 gene:OGLUM01G06630 transcript:OGLUM01G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHLGHARSIRAPAASGAAGGEDWRCVLPSLNSSAPFLDFSVAIQAQAQQQKQQQQYPIIMHPW >OGLUM01G06640.1 pep chromosome:ALNU02000000:1:5183176:5188993:1 gene:OGLUM01G06640 transcript:OGLUM01G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFPPPDSVATPVAPTRSLVYLKWKEEWQMEQEPEQKATKQVSQEALVQDFQLQLAGELLAPHEWEQEA >OGLUM01G06650.1 pep chromosome:ALNU02000000:1:5183305:5190240:-1 gene:OGLUM01G06650 transcript:OGLUM01G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSRPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAGIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSATGVATESGGGKVTMVARLSAVPSPAARRRSAAPPG >OGLUM01G06650.2 pep chromosome:ALNU02000000:1:5183305:5190240:-1 gene:OGLUM01G06650 transcript:OGLUM01G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLACCAVLLLASVLGSTGTDLGPSPVVANSPDAQDQTSSPPEPTIALGPVTLPTGCSEFLMWCGIMALKIITSIDNCPYNCFVSAPSAPSASPPVAKGAVSPAVPTRPQNAPTPVTPPKEYNAPPPVELTPPAPTHVAPVAPPQAAVENPAPVLPGTPALLPSVQAPAPSVARNPNLPIVQPPSVNNPPSRPIGSGNGVPPYPPPQRSLPAIPPSTSGVPRESVKPPVAPPIIAQAPRQQALAPSSDHSNGNSVPPANTSPPHKNSHIPRALPPKESSSQTGTAHKPPIRGSAPAETPLPQNTNMPAVPKNGSSVSHDRPPSTVAAPKPATSSRYHGRGGAPKKGEHLPFAPSYPPSHAQGPENSRAPRQSGAKRQKHHAPPPMFRGPHISPTMPPIPPQPGPKAPSAHPIWALPPPPPNLDCNSLACPEPLTDPPAGAPCVCVLPIKVGVRLSVDLYSFFPLVSDFAEEVSSGVNMAQRQVRVMGANVAGDQPDKTVVLVDLVPMQVKFDNATAFSTFENLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPESVGDGAFGNNRNARAMKPLGVDVGRPKKRVNGSLIAIAVLSTVIALIICTLAAWLLIIRFRGSDGLAQRFPHSALPKFSRSSGTGQTLLAGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIEKATNSFDDSTVLGEGGFGCVYQGTLEDGTRVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLVYELIPNGSVESHLHGVDLETAPLDWNARMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPAGQENLVSWARPLLTNVVSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSDGDEGLGSGSFSQELAAQAAGIYDVTGMEAERVLLSEMFGSTPVFTPAADSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGASPDFETRSQCSATGVATESGGGKVTMVARLSAVPSPAARRRSAAPPG >OGLUM01G06660.1 pep chromosome:ALNU02000000:1:5205441:5205899:-1 gene:OGLUM01G06660 transcript:OGLUM01G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEAAGGGERKRKRDGDAVRDDEEEEEEGVYEGIVEESVAELMRWLEMEISDAAPETETKTETESGDDPAGAAAPGFVTINGNEESCGPSFSTAASTVMASVDTRAGAPPAPPVPWPLPPAADVVPAEVVVDGVGEEWLVELLTSGPAVA >OGLUM01G06670.1 pep chromosome:ALNU02000000:1:5209326:5210192:-1 gene:OGLUM01G06670 transcript:OGLUM01G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGKPNPPPAAAAAAAAGNGAGGPPKMYQRPIYRPQAPAAKRRRGGRSSCRFSCCCCFFYAVLVVLLLAFVAAVAGGAFYLLYRPHRPAFTLSVARVDKLSLSSSATAPALTDSIDVTLTAKNPNKKLVYLYDDFAVTAATAANAVPLGEGSVPGFVHDAGNITVIKATVSASALGVDPTTAATDIKKSGEFTITLDLETKAGVKVGGLKTKKIGVLVHCEGIKVAAPAPPPPPAKKKKGGVKLSVADAPSPAASVDDTTPSPPPATTVARVCQVRIRVKIWKWTF >OGLUM01G06680.1 pep chromosome:ALNU02000000:1:5216191:5218130:-1 gene:OGLUM01G06680 transcript:OGLUM01G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT5G11900) TAIR;Acc:AT5G11900] MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRANAPGVYPDELLASSSSAAADVDKVGERLQGVGISAADGSTSAGDASASKQEEVKRLPGGKVKKKDKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >OGLUM01G06690.1 pep chromosome:ALNU02000000:1:5225909:5227544:1 gene:OGLUM01G06690 transcript:OGLUM01G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGWIVHGYTNGATATGAGNHGFTCGYAASSVGLINYDVQISMHLSMDDDDDQSAVYGAAMVDDLLMPSPSTHHAVAAAAGSFPSSSSSSASFRSASVSYSPDTSSSAAAAAAATGFYPELSSQVAPLLPPPPLVRNEPQHGRYTAGLPPPPPVTGGAFRRYARHLGPRRAPKPGACGQRMFKTAMSALAKMHMETTYMRRQYYYQQAAAAAAAEAAPPPLSGNNQLQHTMSERKRREKLNDSFVALKAVLPPGSKKDKTSILNRAREYVKSLESKLSELEEKNRELEARLSTRPDDTKNDEEEAAAPLEADGEVKREDPVEIEALDNFFIR >OGLUM01G06700.1 pep chromosome:ALNU02000000:1:5238402:5238767:-1 gene:OGLUM01G06700 transcript:OGLUM01G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDSDDGRQDKHRWLTRHAREAAQEDREREGRTVPSPPSEPDPRESPRGVKSSPNAIVCPFFARSGVDLAVPSYLTQIRGHGARWDGMEELDSNKEGAVVNRFWLEGGRGRVQWRWGGS >OGLUM01G06710.1 pep chromosome:ALNU02000000:1:5242856:5244830:1 gene:OGLUM01G06710 transcript:OGLUM01G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSWIHGYTNANATGANSGFMCGYAACSPVEFPQQQQLISMQMGMDDESAVYDGASMVDDLLMPSSSAHHHAAAGSFQYSSLTSSSASFRSASVSCSPESSAAATELPAATGGAFSRYARHLRPRRPPKPGACGQRMFKTAMSVLANMHVAATYRRQYYYQQAAAAAAPALPSDNQLQHTMSERKRREKLNDSFLALKAVLPTGSKKDKASILIRAREHIKSLESKLSELEARLVSRPAAKNDKGETAAPEASDETKREDLVEIEVTTSGSGGGGGGMRTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPSANLTLQLKV >OGLUM01G06720.1 pep chromosome:ALNU02000000:1:5266437:5266712:-1 gene:OGLUM01G06720 transcript:OGLUM01G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSTLPSLLGYRSLDDSKVASLSSPAVTVVVEKECYPSRLLLETAVRKDESKAMLFVDVTILFLSVLCAK >OGLUM01G06730.1 pep chromosome:ALNU02000000:1:5307824:5309541:1 gene:OGLUM01G06730 transcript:OGLUM01G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSSWIHGYANANATAGNNGFMCGYAASCSPVEFQQQQQLVGSQIEHHLNQASLICNLFDQETMHKIKEILGFNLVGVAFYYGMQISMQMGMDDESAVYDGASMVDDLLMASSSAHHHAGAGSFQYSSPTSSSASFRSASVSCSPESSAAATTHFLGPPAPSAAAAGFHYPEVSSQAPLPLPLPPYEPQHGQYTTVLSPPPPAPELPATTTPATGGAFRRYARHLRPRRLPKPGGCGQRMFKTAMSVLTKMHVAATYNRQYYYQQAAAAAASASAAEAPPSGNQLQHMISERKRREKLNDSFLALKAVLPPGSKKDKTSILIRAREYVKSLESKLSELEEKNRELEARLASRPAAAAKNDKGETAAAPAPEAGDETKRKDLVEIEVTTSGGGAGAADAAAAAGGDQETCTLNVDLRGGGGGGGGGGGMSTTDVVLRTLQCLREQIGDGASLVAMSTSAGSGGRPPRANLTLQLKV >OGLUM01G06740.1 pep chromosome:ALNU02000000:1:5318282:5321158:-1 gene:OGLUM01G06740 transcript:OGLUM01G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGGEQSTPEFWPEGSGILPMDERPPPPALRLPRGRRDGGLSGWLRSRCSSYAVYDDINIVLHMTAVHDEEESLVNLVHPFSCVFSTDEKGISHSSRAKNPTSRLRFMVAGHSQCRGDMDQD >OGLUM01G06750.1 pep chromosome:ALNU02000000:1:5323835:5324434:-1 gene:OGLUM01G06750 transcript:OGLUM01G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4J2] MSKYGTIPTSSSSSGAAPPPLPLGGGGGASPLDFFSRAKARGATALATRRPWRELADPHALGLPPSLADAYLRVRANLAHYAMNYAIVVLAVVFLSLLWHPASLIVFLVCMVAWLVLYFLRDEPIVLFGRVVGDGAVLAALAAVTLVLLLLTGATANIVSSLLIGVLLVVLHAALHKAEENVDDEVGRWYTPVPPQPAH >OGLUM01G06760.1 pep chromosome:ALNU02000000:1:5326444:5327883:1 gene:OGLUM01G06760 transcript:OGLUM01G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT4G29680) TAIR;Acc:AT4G29680] MAAAAAAAPPFAAGDSPPPTALLLPRTTTTTAGAAPAPRRSSASSRLHLLLTAALAVATSYLLLILPRTPLSAAPAPAAAARAQVKLEKPVVILISSDGFRFGYQHKAATPHIHRLIGNGTSAATGLIPVFPTLTFPNHYSIATGLYPSSHGIINNYFPDPISGDYFTMSSHEPKWWLGEPLWVTAADQGIQAATYFWPGSEVKKGSWDCPDKYCRHYNGSVPFEERVDAILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITEAVVRIDEMIGRLIAGLEERGVFEDVNVILVGDHGMVGTCDKKLVFLDELAPWIKLEEDWVLSMTPLLAIRPPDDMSLPDVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYADSYRIPPIIGLPEEGYKVEMKRSDKNECGGAHGYDNAFFSMRTIFIAHGPRFEGGRVVPSFENVEIYNVIASILNLEPAPNNGSSSFPDTILLPSE >OGLUM01G06770.1 pep chromosome:ALNU02000000:1:5340105:5345094:-1 gene:OGLUM01G06770 transcript:OGLUM01G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVSWDLRWEDLKKAPTRPWTRRWSAPLMRRMEDLPISSVSDRGYHGTNARASLCSTNRLTSASAVTTVADPNSDERQGTRNPPYPALLHGVEANIGHRPANFRKFAL >OGLUM01G06780.1 pep chromosome:ALNU02000000:1:5343627:5353090:1 gene:OGLUM01G06780 transcript:OGLUM01G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAMVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFRWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >OGLUM01G06780.2 pep chromosome:ALNU02000000:1:5343627:5349537:1 gene:OGLUM01G06780 transcript:OGLUM01G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAGWAAPAFAVAAMVIWVVLCGELLRRRRRGAGSGKGDAAAAARLPPGSFGWPVVGETLEFVSCAYSPRPEAFVDKRRKLHGSAVFRSHLFGSATVVTADAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGALQRRVHGLVGAFFKSSHLKSQLTADMRRRLSPALSSFPDSSLLHVQHLAKSVVFEILVRGLIGLEAGEEMQQLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKKMARLIQRIIREKRARRAAASPPRDAIDVLIGDGSDELTDELISDNMIDLMIPAEDSVPVLITLAVKFLSECPLALHQLEEENMQLKRRKTDMGETLQWTDYMSLSFTQHVITETLRLGNIIGGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDTLYDEPYKFNPWRWKEKDMSNGSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSFSKLFLLARRITLRRNTGSFFM >OGLUM01G06780.3 pep chromosome:ALNU02000000:1:5349751:5353090:1 gene:OGLUM01G06780 transcript:OGLUM01G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTPLYACVATAPAATATAPARVSYHATPCMPTAAAPPLETWSLGGGGSHMWVAEEDHIVNFPTVRLKRGMPIRVTAKEDDD >OGLUM01G06790.1 pep chromosome:ALNU02000000:1:5354251:5359331:-1 gene:OGLUM01G06790 transcript:OGLUM01G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G55150) TAIR;Acc:AT1G55150] MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAICQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGPS >OGLUM01G06790.2 pep chromosome:ALNU02000000:1:5354251:5359331:-1 gene:OGLUM01G06790 transcript:OGLUM01G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G55150) TAIR;Acc:AT1G55150] MSRFDGRAADPGSYRDRRSEGAFGGGTRAFAPTSKADSAAAAAAADLDGLPRFEKNFYVESPSVAGMTEEEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVVIIGSEELKANHAICQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKDLINILEEAGQKVTVIDIEGMEVAGPS >OGLUM01G06800.1 pep chromosome:ALNU02000000:1:5364712:5369523:1 gene:OGLUM01G06800 transcript:OGLUM01G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLLVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGLAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQTFKIESDLGFDIMDLMMLVGFASDLLPEPIAMRTSLVRFFLTILANTFLHSPYQHSSPPESSQCSTPLGSESGGKRRRGRRGKQKGLGEVVSVHEKKEAKTSPFPLLLLCRATRRRITEVYDEMYQIVRAKRNDTGKVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSQSYKLLIELSALVEKAEREDALAAEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >OGLUM01G06800.2 pep chromosome:ALNU02000000:1:5364712:5369523:1 gene:OGLUM01G06800 transcript:OGLUM01G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPHQLALIVLLLVALAALFLALLRGRCRCREGEAHQLPEQAEAGAGLAEGEEGGRERRKRRKARRRQRKGAGDDDAAGGEGDEALQLQLLRRRPRFPLASVAGALQRRITARYDDLARASQAHSLTIHQVHEFINCLVDARNELLHKSEMVQRSCRIKKALLSNPCSRRANSYDRLCEQVHKLEAEHKRLKKDADIYNYIQEQLQMSQSYKLLIELSALVEKAEREDALAAEAAEMTFEELLAQEKSDAAFWQRHRKLTSILPK >OGLUM01G06810.1 pep chromosome:ALNU02000000:1:5369662:5374531:-1 gene:OGLUM01G06810 transcript:OGLUM01G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRLPMMISASSMLSILVIERVDERAGLSCLLSLLSLILVSSACERVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVSGTHSQMRCLLRARGSTSRPSHRPRGARHLSTAAGDQPIHHHHPPTTSAVPWNRLLRAHLGRSRGDLALALYRRMRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLIHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACADLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEADGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKISPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHMLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >OGLUM01G06810.2 pep chromosome:ALNU02000000:1:5369662:5374531:-1 gene:OGLUM01G06810 transcript:OGLUM01G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLGVPNTLNVLTAYPLLLAGVPGLVLCLCGSGCFGISLRWEALGWFLFYAGNVAAAFGSAYYHLKPDDDRLIWDRVLDDMRLWVVLNSAPCIAIPAMLFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNVKIVSGTHSQMRCLLRARGSTSRPSHRPRGARHLSTAAGDQPIHHHHPPTTSAVPWNRLLRAHLGRSRGDLALALYRRMRALSPALPNSYTLPLALRAAASPRVASAVHAHALHLGLHAQHDVAGQILAAYSRLGRAADARRVFDAMPPGRTTFHWNALISAYSSGCDPDAARDAFARMAAAGARPDAVTWTALLSAHARSGKHADVLQLFGEMQRSGCEGNAESMAVALSACPYAGDLALAKGKAIHGCGVVKGLIHGYLFVTNSLICMYGKLGEMDDAKKAFRDATAKNTVTWNTLITSYAAAGLCDEALDVLAQMEQIGGTVAPNVVSWSAVIGGFASSGDTDRALELFRRMQQQWLSPNVVTMATVLSACADLLALRLGRELHGHAMKAELDRHSLVENGLINMYAKCGKVSGARKVFDGMKTRDLISWNSMLAGYGMHGLCDEALALFTDMAGATVEADGVTFVAVLSACGHAGRVTEGRRLFDRMVRAHKISPSMEHYTCMVYLLGRAGLLRDASELVETMPVRPDLCVWGALLNSCRIHGDAAMAEATIANVLQSEDQSTGNHMLITNLYAMCGMWDESKKVRVMTKEAGLRKNPGQSWIEVDNKVVAFAAGSAPPNLTGAEDVFGMLDDLYAEMEDEQR >OGLUM01G06820.1 pep chromosome:ALNU02000000:1:5379486:5383578:-1 gene:OGLUM01G06820 transcript:OGLUM01G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQVRMAVLLMLNCFVKATAPPPWPPSASSASFLDDLGDLGIAPLIRADEAATARASADFGNLSVAGVGAPRLAAAAAVLYPSRPADIAALLRASCARPAPFAVSARGCGHSVRGQASAPDGVVVDMASLGRLQGGGARRLAVSVEGRYVDAGGEQLWVDVLRASMAHGLTPVSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVLELDVITGVGEMVTCSKEKAPDLFDAVLGGLGQFGVITRARIPLAPAPARARWVRFVYTTAAAMTADQERLIAVDRAGGAGAVGGLMDYVEGSVHLNQGLVETWRTQPQPPSPSSSSSSSFFSDADEARVAALAKEAGGVLYFLEGAIYFGGAAGPSAADVDKRMDVLRRELRHERGFVFAQDVAYAGFLDRVHNGELKLRAAGLWDVPHPWLNLFLPRSGVLAFADGVFHGILSRTPAMGPVLIYPMNRNKWDSNMSAVITDDGDEVFYTVGILRSAAAAGDVGRLEEQNDEILGFCEVAGIAYKQYLPYYGSQAEWQKRHFGAKLWPRFVQRKSKYDPKAILSRGQGIFTSPLA >OGLUM01G06830.1 pep chromosome:ALNU02000000:1:5393820:5407608:-1 gene:OGLUM01G06830 transcript:OGLUM01G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4K5] MYNPIGSAEAREPAELPAAVAAELERLEGRLLQLAGAEARRHLAVLGEAGAARVLRAVAESRRVRTLPGFITYLAKREAAITRRDARGVPTALSAPAFISGPSREVYTQLFDNEVQMYDQSPSNEMATSLSNHGMVEVGSPNQQMPFHLHGNGGSVRQIARLVPQLAQLTVESPCGHTSSVSQNQGCIEVGSPCRCMPSGLQNYIEIDSPIQPMISTPRRVSTPSSVQDISRLIENMAGPSVSPPSPITAMPQNPTTTCHTTDNALREAASPQMLALEELGFRKIFMVFAYLASEKIENVLSVDYIRSLKFLSMAQFESQIWRTFGHKYIAASDRAKNLDSDPGMTKVYHCNVAIRGDTVVKIFKGPYIENTRTHLQKVVGDDNVLVVKFMGKLSDTKTDFSTYCEHYHKVAEDVYKDGGKEEKLKQEKIEDKNKCTSPVRCYFVRTESGWNMDEPYILSGRTVGQARELFMHISSAPTLAKYMARFALILSKTITLDADLSAVYVRRIKDEPCMDRHGNVVHKDQEPLIHTDGTGLVSVDLALNCPTSIFKGKFLKPQGIATCDESETVMRPNSLKRHRSLTAEHPLLMQFRLFYNGSAVKGTVLVDRRLPPATILIRPSMVKIETHPELSGVRSVNSSEIVSARNAKKSLSGVQSVNSFEIVSTSNRPRRTLTSRFLITLLCYGGVPEEYFLELLQGAIEGAENACYDYEDALRIAFSYADMEDSMSARMILSGIPLEESYLQHRLDFMAQQERKGIKQGKIPIDECYYLMGTTDPTGTLRPNEVCVILENGQFSGDVLVYKHPGLHFGDIHVLKATYIRDLEKEYVGYAKYAILFPISGPRSLADEMANVILTLLEHFKPSEPWVQAIKPKKTKQKKPQDCNESKLERLLFHEFLKTRFTPSFALGTAADSWLAYMDGLLTDSLDEIEKKLIEEKMLKLVDLYYLALDAPKFQSWATHCSFKCFYTVNIPSDLMVKQYPHFMGRSFSYHSSSILGQIYDKAEDVESLRSCNVQPIGVSLLPCFMEREAPPGARHLWQHRYEEYLTDSTMLYRAMVDKEERNMKFQELYEKYKHMLYDASEFEQTQRDPDDVFSEACVIYQIVYEKARWSRCGFAWKVAGRALCHFYALKNEGDTALCSLPLLRKIIKKDHRR >OGLUM01G06840.1 pep chromosome:ALNU02000000:1:5410314:5429989:-1 gene:OGLUM01G06840 transcript:OGLUM01G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4K7] MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTSSPVRDLSRRVENMAGPSGSPPCPIWVMPQIPPAICPDTANVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVNSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYRCNIEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVNFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNDSELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRKIYRIQS >OGLUM01G06840.2 pep chromosome:ALNU02000000:1:5410314:5429989:-1 gene:OGLUM01G06840 transcript:OGLUM01G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4K7] MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTSSPVRDLSRRVENMAGPSGSPPCPIWVMPQIPPAICPDTANVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVNSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYRCNIEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVNFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNDSELERVLFHEFLKTRFAPSYARATAATNWLVYMDRLLTVSLDESEKKLIEKKMLKLVDLYYLALDAPKMGNKVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRKIYRIQS >OGLUM01G06840.3 pep chromosome:ALNU02000000:1:5410314:5429989:-1 gene:OGLUM01G06840 transcript:OGLUM01G06840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4K7] MNPHGGGNQMREPALPAAVGAELERLEARLGQLACAEARRQLAELGEPAAARVLRAIGEARQVRTLSGFIRHMANQERMKRNARGIPTAHSAACISGPCREEESISTPLYYNEVQMDAQTPNDMVEVGSPNQQMPLRLHDNGGSVGHIARVVPDLANPAVGSPYGRISSVLLQNQNCVEGYTPSRGMVSPASNQVAVQINFPTQPMAHALPNDVMMQVGSPGHRMPSGLQRHMEIDSPIQPIVSTPERVSTSSPVRDLSRRVENMAGPSGSPPCPIWVMPQIPPAICPDTANVLREVVSPQMLALGELEFRKIFMIFAYLSWNKKGVKPPLSTPKSSKIEDVLSVNSIRSLKSMSMAQFESRIWSTFGHDNISSSDRAKNLDSGPGMSKVYRCNIEIRGGTVVKIFKGPYIENRRTHLQKVLGDDNVLVVNFMEISSDTETDLSTYLEHYHKVAEEVYKDGGKENKMKEENREEENKKCTSSVRCYFVRTESGWNMDEPYILSGRTIGQARDLFMHIRTVLTLAKYMLRFALIVSKTITLDVDLSEVLVKLIDDEPCLDIVDCAASKLMGSNNTTEYPLLIQLRLFYNGSAVKGTVLVDKRLPPRTIHIRPSMLKIKTYPELSGVQSVNSLDIVSARNAKKSLSGVQSVNSFEIVSTSNRSGRTFTSNNLIALLHYGGVPEEFFMELLQTAIEEADNARFDYAGALNIAFNYADMEDSMPARMILSGIPLEESYLQSRLDFLSLLERKGIKNGKIPIDDCYYLMGTADPTGKLGPNEVCVILDYGQVSGDVLVYKYPGLHPGDIHVLKATYSSDIEKVVGNSKHAILFPTTGQRSLADEMANSDFDGDIYWVSLNPKLLEHFKPSKPWVPAITPNGTKQKGPEDFNDSELERVNIPRDLMVKQYPHFMDRSPSYHSSSILGKIYDKAGDPKPLRSDNVQPTSISSLPCFAERDVPPAIKQLWQHRYNEYLADSSLLYAEEADEEEKKIKFQELYEKYKHLLYGASEFEETPRDLDDVFSEACAIYQIAYEKARSANNVARCGFAWKVAGRALCHFYTVKNEGNAVVCSLQLLRNFRFTKKYRKIYRIQS >OGLUM01G06850.1 pep chromosome:ALNU02000000:1:5434482:5440486:-1 gene:OGLUM01G06850 transcript:OGLUM01G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARRGRGKRKQQQPPPPPTGPADARQPEGKQREEERKGMEGSDKGGAGDDGSPAPLPETVQIGNSPTYKLERKLGKGGFGQVYVGRRISSPTHGNRNSGANALEVALKFEHRTSKGCSYGAPYEWQVYNTLSGNHGVPRVHYKGKQGGFYIMVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHAKGYVHGDVKPENFLLGPPDTPEGKKLFLVDLGLATKWKDAGTGKHVEYDQRPDIFRGTVRYASVHAHLGRTGCRRDDLESLAYTLIFLLRGRLPWQGFQGENKGFLVCKKKMATSPESLCGIGPPPFRQFVEYVVNLKFDEEPNYAKCIALFDGIVGPNPDGRPLNTDGAQKVGQKRGRLTAAEDEEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADDRLAPHIQKGNEDGLFISSVSSCSNLWALIMDAGTGFTAQVHELSHYFLHKQSYKVSDSFPFKWINKKWKEGFYVTALATAGSRWAVVMSRNAGFTHQVVELDFLYPSEGIHQRWDSGYRITATAATCDQVALILSIPRRKPNDETQETLRTSAFPGQHVKEKWAKNLYLGSICYGRSVS >OGLUM01G06860.1 pep chromosome:ALNU02000000:1:5450365:5451078:-1 gene:OGLUM01G06860 transcript:OGLUM01G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGFGKRLMHVLRAVYHMLRRGLCRKRLMMDLHLLLGRGKLAGRALRDVLLAHQPHGGAAAVAVMGGGAGVARGGDSSSSPLSASFFHHNPRDVEFSCTTTPSYAPGVFPFRFRGRGGSRHAGGGASNYGGLDASAVARVFEMLNADAAAAAGAGGETPLSSMPGATPSPLLALSLGRSPAGTRQLRVTDSPFPVEPPEGAVDGRVDDKATDFIEWFRRQLLQQQASAAPTPDYRG >OGLUM01G06870.1 pep chromosome:ALNU02000000:1:5470122:5475836:-1 gene:OGLUM01G06870 transcript:OGLUM01G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] MVSPIRFSSSQENVVWFASGSGSEAEHGNPREHTGGKSNSERKSAVSSAPLISLLNRGGEGRGADLHLIWRGEGSEMDEPSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLGCQRAELKRAGLSRLEFGTDSSRASLAFEFRVELGLGSF >OGLUM01G06870.2 pep chromosome:ALNU02000000:1:5470122:5474933:-1 gene:OGLUM01G06870 transcript:OGLUM01G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Serine-threonine protein kinase 19 (InterPro:IPR018865); Has 155 Blast hits to 154 proteins in 53 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 5; Plants - 34; Viruses - 0; Other Eukaryotes - 21 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G20495) TAIR;Acc:AT2G20495] MDEPSSSSSSYPSSSGGKKKRPRSPGHSDERPVHTTQIYTSSLEDTLTFSDTMIALQLMRTQFPKLEKVVTEPFILQSQLYSSVKDRTQVDRDLESLKKDKVLRVFKLNTGQDDHAIMFMDDYLKQMALAVKRSRGKDQDGTEVFGWFERYVIHLKLEVSIDQRDLFSLLSLGGDVTDKHITLLMNAGLLTRQLIDPNMYWFSIPSIGPVLKGLTQGRKEILSLLNRKKYKEMLLSSLEKTRLRFSPLDVRFHIRDLIGSGHIKTVQTPTGLLGCQRAELKRAGLSRLEFGTDSSRASLAFEFRVELGLGSF >OGLUM01G06880.1 pep chromosome:ALNU02000000:1:5479626:5490961:-1 gene:OGLUM01G06880 transcript:OGLUM01G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAADAAVVLFSLAVAAAAPLIDAQAVLPRRLFPAPLVGLHRWYATEFGDYLAAEPPGFFRGLVWLELLLHWPLSVATLYGVLARRPWAGATALAAGVSVVTAMSAVLGEFLVSGRATHKLLQIHCSSARTGRVVFAGSLSLSLCSAPPGVAAMGVVSAAADAAVVLFSLTVAVAAPLIDAQSVLPRHLFPAPLVSLKRWYAREFGDYLVARPPGFLRGLVWLELAFLWPLALATLYGILARRRWAATTSLIAGVSTLTSMSAILGEIVGSKKATLKLLQMYVPFAVFAVIAILRGLCSSAPRGLLYCGRVTNVRLRDVMYSVHRCKLPGRSYYLGMKIWESGI >OGLUM01G06890.1 pep chromosome:ALNU02000000:1:5538375:5538587:-1 gene:OGLUM01G06890 transcript:OGLUM01G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSTAAVLFSLAVAVAPPLVRLHRWYAAEFRGFNWAGAPPPLAALRRHPLRGPAPPPSSLWSPSSPQW >OGLUM01G06900.1 pep chromosome:ALNU02000000:1:5597254:5600307:1 gene:OGLUM01G06900 transcript:OGLUM01G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVSAVTGALNSLLFKLNDLLGSEHKSLKGLRGEIGFLESEHRSMNSMLRRLADMEEIDAQTKEWRDRVREMAYDIEDCIDLFVHHLGSLTGKAGVIKKMAWIIKGLQLSHRISGHIQELKARVMDESDRYRRYDTMNISSMSSDASGSRTRSVDPRLSALYTEAERLVGIDGPKDKIIKWLMDTQGGISRRLRTMAIVGAFVTVSQNPNVKHVLAKILSDVSGAIGGALADEHHLINKLREYLQDRRYFLVIDDIWDAQTWRIIECALVKNSQGSRIVTTTRINDIAKSCCCSCGDQVYEMKVLCATDSKRLFFRRIFNSDERCPPQLREAANNILRKCGGLPLAIISISSLLATKPKSLDQWDKVKTRINYTQENSPDIETMAWVLSLSYFDLPHHLKTCLMYLSIFPEDYVIKKERLIGRWIAEGFIHEKQGESLYEIGEDYFNELINRSLLQPVDIEDDGQVHACRVHDTILDFIVSRSNEENFVTMVGASDLTSTPTGKIRRLSFHKNSEGSVTMPAHLLRCHVRSLTTFLHAGQLQRLTHLLVDCHVKLPDGIGNMQALEELTGFSAFMYPSTFLQEIGKISSLRVLRVVWNYVDFQGNAETYRENLDISLTKLGTCYLESLSLDIHGHDEEDDFSLHLWTLAPCRLQKLYIGRWHPISRIPNWTESLANLQYLHIYVKRINQEDLRMLGSIPSLLTLYLFSDEAPEEKLTITSQGFQSLKFFKIHCYHMGLVFEAGSMAKLEYLHILISAFQVKSWDGSFDFGIQHLSCLTKVYAYINCYGLTAEEAEAAVNAIMVSVDTIPNCPKLQIDRRYAPL >OGLUM01G06910.1 pep chromosome:ALNU02000000:1:5602012:5603532:-1 gene:OGLUM01G06910 transcript:OGLUM01G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT2G20725) TAIR;Acc:AT2G20725] MLLAAAAAAAAARAPSRRLPRYRPGGCGGGAPPRIWAAELGAPTTLPLLRRRFLGCSCSPSPSPSPSPGGDEDGARQLFDEFSMLSPNIPWEVEDIWRTFAAFGGLGVVAELLHCPSLDPLTTVSSTVVLQLTELTLALALLQYSTKKDHKLWAFFQGRLYPQQSWVKETVLGLIFLMTVVSLTTIVADRLIGLEDTYDPMLREILSDSPTSRLLCIFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINSSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNSAILYMTLMS >OGLUM01G06910.2 pep chromosome:ALNU02000000:1:5602010:5603532:-1 gene:OGLUM01G06910 transcript:OGLUM01G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT2G20725) TAIR;Acc:AT2G20725] MLLAAAAAAAAARAPSRRLPRYRPGGCGGGAPPRIWAAELGAPTTLPLLRRRFLGCSCSPSPSPSPSPGGDEDGARQLFDEFSMLSPNIPWEVEDIWRTFAAFGGLGVVAELLHCPSLDPLTTVSSTVVLQLTELTLALALLQYSTKKDHKLWAFFQGRLYPQQSWVKETVLGLIFLMTVVSLTTIVADRLIGLEDTYDPMLREILSDSPTSRLLCIFLYCVIAPLSEETIYRGFLLTALSSSMKWKDAVIVSSLMFSIAHFSINSSFQLFVIGCITGLAYSRTGTLAAPLTIHSLYNSAILYMTLMS >OGLUM01G06920.1 pep chromosome:ALNU02000000:1:5609818:5611125:-1 gene:OGLUM01G06920 transcript:OGLUM01G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDKTQGSSRDSEPMLPKIHGKRSDRPRGRNHTPWAPLQGLLNSVRNIRKYVNDRSVGSKMMKSTERDNMSSSASETAPELKDNDGEDTKYKLLAIKTEITERIDPKTRGKRSARHRVKEPALWTSQDELQKFETGKNRNGNEQAVYSRKRKKTASKGEAKTGTGNDVTEKTGVRVIDTSAEVKNSTSENTNQKDGVPTLNTPMDKKLSGADAFKQEDALIADDAGAGLKDSNGAAANALEQHATGATNPLENKADNGVSGAEAALASIYGEPSEWDMCITFAVKLLMDEMPLPEDAAEVEEFFRQNITNIAGPSVP >OGLUM01G06930.1 pep chromosome:ALNU02000000:1:5614611:5619366:-1 gene:OGLUM01G06930 transcript:OGLUM01G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKNVLAPNQLRTPISRAVPEERHARPAFPPPPASSRLLALPAGDGPAPAPLASSLASTAAGEQVWSGDARSRPPHRGPRIESERSNPQRRRDTVYVGCGAGFGGDRPMAALKLLEGVKELNYLVLECLAERTLADRYQIMMSGGKGYDPRVKEWLSVLLPLALDRGVCIITNMGAVDPLGAQEEVLELASNLGLEITVAVAYETSSGNSVFSNESTGVQQGGSTYLGAASIVHCLENGKPQVVITSRVADAALFLAPMVGIGMTLRSCHKGHWLAIFWNVDANSLEGISCTLLLDLSLPYAEVSYKGEVFVGKAEGSGGLLSYSTCAEQLLYEVGDPANYITPDLVVDFRDVKFQQISKDKVQCKGAKPSNPCWPEKLLQLLPTESGWKGWGEISYGGQECLKRAHAAEYLVRSWMNETYPGIEGKIISYIIGYDSLKAIGDNKDSSAKQVMDVRFRMDGLFELEEHTVKFVEEFIALYTNGPAGGGGISTGQKKEITLQKILVDREKIFWRVNMKKSSIPSPQNQATNADKGQMCDQQQHKCPRSCAMGTLPLNTNMDTLPSAVPSPSGTKIPLYHVAHSRAGDKGNDLNFSIIPHFPDDTGRLRAVITRDWVKNAVSPLLDSSSFPADRANQVRYDLLENISIEIYDVPGISSLNVVVSNILDGGVNSSRRIDRHGKTLSDLILCQNVVLPP >OGLUM01G06940.1 pep chromosome:ALNU02000000:1:5626816:5630446:1 gene:OGLUM01G06940 transcript:OGLUM01G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYLLRRRRSRRRIGDRVAQVVLQFAKDDDIGFGGSHSAQVLLSRDRKLTPITALLRKTMSMPVFLVACYLQDAS >OGLUM01G06950.1 pep chromosome:ALNU02000000:1:5630443:5632692:-1 gene:OGLUM01G06950 transcript:OGLUM01G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADGLPPGVRFDPADDELVSRYLLRRLRKQPIPLHGVIHEADPLGASPWMLLADHGRGGDEAFFFAEARAKNVRGKRQKRTVEGGGFWQGQRVCVDGERLSVPGGDGGGEVGGGLEIEWRKYMLSFFAEGERGSSGWVMHEYAITAPDDLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGQRAKPRRAVAETALFVQPSAVDCAESAGQSFSGAIEPVFHDLPDMMPEQADAGDTTETTAAVVNLTDTMSEQPVLPLAADGDDQSSYGVIDPAFRDLADLIVLPPEPDDGGMERATPCTPMSETALFEQQGPPLAPGNADCADHQSSYGVIDPAFCEQADAGEAETTVSAAVVNQNYSMALCDFNFPEVLSYVDFTAGMEPSWQQRWPPMSESAPFEQQEPPLAPVAMVDLLPGNADCADHQSCSGVIDQAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAPAWCDFDFPESTDEVLSYINFTAGAHDDNDGSVERAAPWRPASETAMFEQPSAVDLPPGDADCAESADQSFSGVIEPVFRDLRDMVREQADAGDTAETTAAVVNQNYSMALCDFDFPESTGEALSYIDFTAGAHTDNDGGVSETAMFEQPGSPPQHDPLPMDADGADQSSSSGLLIDTVFRDHAEPIVLPLEQADAGGGAAAAVNPMDKQKYSSSMDGEAAPAWCDSDFPESIDEVLSYIDFSTDDTSCIDFSMDDLFDLAD >OGLUM01G06960.1 pep chromosome:ALNU02000000:1:5637954:5639858:1 gene:OGLUM01G06960 transcript:OGLUM01G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYAITAPADLASSPIRLYRVRFSGHGKKRKREPERLGARVHDDDVDGGERAAPRRAVAETALFVQPSAVDCAESADQSFSGVIEPVFRDLPDMMPEQADAGDTAETTAAVVNLTDAMTKQPVLPLAADGDDQSSYGVIDPAFRDLADLMALPPVLAQQEPPLAPVAMVDLPPGNADCADHQSCSGVIDPAFRDLPDMTVLPPEQADTGGGAETTTAMVSLTDKLKYSSSMDGEAAPAWCDFDFPESTDEMLSYINFTAGAHDNNDGSVGRAAPWRPVSEIAMFEQPSAVDLPPGDADCIESADQSFSGVIEPVFHDLPDMIREQADAGDTAETTAAVVNQNYSMALCDFDSGIDFTAGAHDSGMERATPWTPMSEAALFEQQGPPLAPAAVVDLPPGNADCADHQSSYGDMIVLPSEQAGAGGGAETTEGLFDQPVPPLAADCANQGSYGVIDPVFRDLADLIVLPPEQADAMDGEAAPAWCDFDFPENIDEALSYVDFTAGAHADNDGGVSETAMFEQPGSPPQHDPLLMDADGADQSSSSGALIDTVFGDHAEPIVLPLEQADTGGGAAAAVNLMDKQKYSSSSMDGEEAPAWCDSDFPESIDEVLSYVDFSTDGASCDFSMDELFDLAD >OGLUM01G06970.1 pep chromosome:ALNU02000000:1:5649749:5654937:-1 gene:OGLUM01G06970 transcript:OGLUM01G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWGCLSSLCRPRRGGRAAAAPLPQPDPPRLESKEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISTSKDCWEKLEGSFELKTLPRCLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKTRFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCLKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >OGLUM01G06970.2 pep chromosome:ALNU02000000:1:5649749:5655599:-1 gene:OGLUM01G06970 transcript:OGLUM01G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSTPLHSTVQFTHLPHLGESRRFRFDRFAFPGVGQTAFTSSTRRRLSFSSPPRHSPPAAGLLREEVEEHGASDKVVMENILSNNDFSEGLHLWHPNGCHGFVAVEGSGYHHGIRPHSGSNYAVLTRRTHNWQGLEQDITEKVTVGTEYIVAAHVRVHGELNEPVGIQATLKLEGDGSSTNYQSVARISTSKDCWEKLEGSFELKTLPRCLVFYIEGPPPGVDLLIDSVTISYKKTERAASKLVSGTENIISNYDFSEGLHLWNPICCHAYVASQWSGFLDGIRGSSGENYAVVSKRTESWQGLEQDITDKVSAGTAYAVSAYVRVDGNIHTKVEVKATLRLHNTDDSTHYSPVGSLLASKEKWEKMEGSFCLTNMPKRVVFYLEGPPAGVDLIIDSVNITCSGYQQLKEVKVPSGVDTIVKNPHFDEGLNNWSGRGCNICRHELTAYGNVKPLNGSYFASATGRVHNWNGIQQDITGRVQRKVLYEISSAVRIFGSANDTEVRVTLWVQEYGRERYVSLAKNPASDKQWTHLKGKFLLHAPFSKAVIFVEGPPAGIDILVDGLVLSPARKLHAAPRPRIENVSYGANVIHNSAFSHGLSGWSPMGSCRLSIHTESPHMLSAILKDPSAKQHIRGSYILATNRTDVWMGPSQLITDKLRLHTTYRVSAWVRAGSGGHGRYHVNVCLAVDHQWVNGGQVEADGDQWYELKGAFKLEKKPSKVTAYVQGPPPGVDLRVMGFQIYAVDRKTRFEYLKEKTDKVRKRDVILKFQGSDAANLFGSSIKIQQTENSFPFGSCIGRSNIENEDLADFFVKNFNWAVFENELKWYWTEAEQGRLNYKDSDELLEFCLKHNIQVRGHCLFWEVEDSVQPWIRSLHGHHLMAAIQNRLQSLLSRYKGQFKHHDVNNEMLHGSFYQDRLGNDIRAHMFREAHKLDPSAVLFVNDYNVEDRCDSKSTPEKLIEQIVDLQERGAPVGGIGLQGHITHPVGDIICDSLDKLSILGLPIWITELDVTAENEHIRADDLEVYLREAFAHPSVEGIILWGFWELFMFREHAHLVDVDGTINEAGKRYIALKQEWLTSITGNVDHHGELKFRGYHGSYTVEVATPSGKVTRSFVVDKDNAVQVVTLNI >OGLUM01G06980.1 pep chromosome:ALNU02000000:1:5658158:5673106:1 gene:OGLUM01G06980 transcript:OGLUM01G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G47510) TAIR;Acc:AT5G47510] MSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNILKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLQALLQKQNELANHIEQLRKLLREAVNADNKANVLILK >OGLUM01G06980.2 pep chromosome:ALNU02000000:1:5658158:5663915:1 gene:OGLUM01G06980 transcript:OGLUM01G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G47510) TAIR;Acc:AT5G47510] MSFKSIDRLIRRNSKTKLSRNIVDGIYDQKEEQYVQSLRELLLANNQLPEKFDDYHVLLRFLKMRGFNILKAKEMFLNMLKWREECAVDAIAKDFKFEEYDAVKRCYPHGFHGVDRFGRPLYIERIGLVDLNKLMQVSSTDRYVKYHISEQEKTLSLRYPACSLVAKKHIGSTTAIFDVKGLGMNNFSKSGRDLFIEIQKIDSNYYPETLNQLYIINAGAGFRALWKVLKACMEARTLAKIQVLGTNYLSTILEAVDPSNLPDFLGGTCTCSATGGCLLQDKGPWTDQEISQASKGVFGKGQKSFDEISTTVACENFPGHQEPSVGKLHPISGWKRTLGMLLKDNQVGDTNENIQQNKVNEQISEKIQELENCSAQTQETLQALLQKQNELANHIEQLRKLLREAVNADNKANVLILK >OGLUM01G06990.1 pep chromosome:ALNU02000000:1:5674106:5680355:1 gene:OGLUM01G06990 transcript:OGLUM01G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >OGLUM01G06990.2 pep chromosome:ALNU02000000:1:5674106:5680355:1 gene:OGLUM01G06990 transcript:OGLUM01G06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >OGLUM01G06990.3 pep chromosome:ALNU02000000:1:5674106:5680355:1 gene:OGLUM01G06990 transcript:OGLUM01G06990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7 N_terminus domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G51410) TAIR;Acc:AT5G51410] MDAQRALLDELMGTARDLTEEERKAHKEVRWDDPDVCAAFMARFCPHDLFVNTKSNLGPCMKIHDLKLKESFESSPRRETHMRRFEAELAQQCEKLVIDLDRKIRRGRERLAQDVAVPPPVIGKTSEQLSIIEEKVKKLLEQIEELGEAGKVDEAEALMRKVELLNAEKTALTNQADNKVAMLPQEKKMELCEICGSFLVADDVLERTQSHVTGKQHIGYGLVRDFLAEHKAAKEKARDEERIAREKKAEERRKQREKEYDVGGRDGGSRREKSGDRDYDRDRYYDRNRGRERSHDHRDRGSEYRSSSYRNGRDSERDRHRYRSDDMRKDRSRVRSRSRSPSRHGPDQ >OGLUM01G07000.1 pep chromosome:ALNU02000000:1:5694495:5696792:-1 gene:OGLUM01G07000 transcript:OGLUM01G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELELGPPPNTTMDSMSVRYLLNQIGSDRTTHIQILATVGGALLGFQALLGYRRRRSSNKLFLVLLWAAYTVSSNVVSYTVGLVQSVAERDRYSVQQWWAVGLLLLLGSADTMSAFTRGDAEQSKGMMAQHAVQTVLVLWVLVTRANNAILLESSSRGVNWEWTITLSVCWLYSIVKMGQRIKAMRMASSSHGLVRAAKVVADYMHDTVDAWDRDCGHGGDGGTAARDLDSVDMGPYKYLVHGEEGRSTPPSEQTDYRTRVPEDGTVVTIDKIWRCDGELLVSSGDGVVGDKRRARARALKDTCLSFALFKLLKRRFCGLEVAEAGHQKARDFVVAGLLAGDDYERAFRVVELELSFAHDFFYTKYPALFPTSAVLHVARFVSLLAFLKLFYDFTYTASYTAKFFKDISAVGIFSSFNDFLFISMILGVEVMQQLSTGYSDWAVVHFVCDYVRRVDKNNKKRHGGGFGFRQAVIKRLAARRARTSRHWQNKLGQYSLLYHSSAGNCLSWLTGRLLEPKVVRLPREVKVAVLRSFKESGGRLAVGRSLDSRLRWACDGLLPPSTQLQSDTHWKTRAHTHTVLVWHIATTMCDHLDAAAAADDDENGADRLVATRLSGYCAYLLAFVPEMLPDHSYTATLVLDAAVQEARKHLVDATAMADKCKKLRVLGESSGGGRDGILMDGARLGSQLMAASYDTRRRWKLLAEVWAELVLFLAPSENADAHAESLARGGEFMTHIWALLTHAGILDRDPEAAAPPAGATAV >OGLUM01G07010.1 pep chromosome:ALNU02000000:1:5701217:5701750:-1 gene:OGLUM01G07010 transcript:OGLUM01G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGGSVVSNETGVGNGGKVEREVRRRQLVVTREHPAVRLISDIEAHDLDLLELVISMSRAKLTF >OGLUM01G07020.1 pep chromosome:ALNU02000000:1:5788451:5807441:1 gene:OGLUM01G07020 transcript:OGLUM01G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAPPGPAFLREVELRLLRCTLPSPATLPPPPSPPPRHPLAPVAASAVAAVEAGDYAAALASTAPHLLPPTAPAAPGSAARFYGDLAAAAEEFLRGDDGGAAAAGEGFECRCAVVLSATVAAILAFTQQNVTGPPGKYSPFPFWTSSLDEGCYSNLEDEWDAWASAQLASIGSHVHGKFSLMQFIVFAELMLTSIKSLDPTDCCSVSWWLCRLSMVRQNIVDELSSTLFDQVQEYKNKTLAHFGELENVFSYWGPLLCDGEGSYFVSAAFLEAGIAEYKYGRIDQSRLHLDSAQEACGLHLSLTGMLGFRTIHQVDAKSQMVLVANTSGPASGEGQVTELTGTQDDAAALKNARSSVPGESDEFCDILRMPRLVENDNDSGNDEKKDPSKKAVLTAMQQAAVLAECLHVSRRSRHDEMSGWEMAPFIESIDSQEDSYFVVRSLCDILRIRWESTRNRTKQRALLMMENMVEDVGNDFPVAAQRAKLVFGVQMPTIPALRKEYGELLISCGIVGEALDIFKDLELWDNLIYCYRLLGKVADATSLINARISVTPNDPRLWCSLGDVTNNDDHYKKALEVSNNKSARALRSLARSAYNRNDFHASKMLWESALALNSLFPDGWFAYGTVAWKTFSCKHFFKDLSSFTSFHSASTWMVIGYLDAVKIDKDLEKAVDAFTRSVQIDPENGEAWNNIACLRNSWEVWDNYSKVLLDTGSIQQTLEAVKMVLNLSSNKRFNIDLLEKVMAMLEEQPTHLSDTQEAESSRSTSDDANQETRKYNQLLDIIGDILQQIVRSGGSNSEIWGLYARWHKTKGNLIACSEAMLKQVRSLQGSGLWHDQTKFTKYAQASLQLCKVYMEISSSTGSQRELFSAEMHLKSSLKQASDFLHTPEYKALDDCLAEIKNLIGPA >OGLUM01G07030.1 pep chromosome:ALNU02000000:1:5819019:5819446:-1 gene:OGLUM01G07030 transcript:OGLUM01G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVDSIQDRGINQVYVIGGDGTQKGRRSDF >OGLUM01G07040.1 pep chromosome:ALNU02000000:1:5820467:5826102:-1 gene:OGLUM01G07040 transcript:OGLUM01G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSGEVRAPLAIFRRXAAVAVAVAVAVAVAIPLLVAVAVPAPPPPIYAWAPASAPLDHRRPESPGSSSPSRSPPQGAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >OGLUM01G07040.2 pep chromosome:ALNU02000000:1:5823041:5826102:-1 gene:OGLUM01G07040 transcript:OGLUM01G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYSGEVRAPLAIFRRXAAVAVAVAVAVAVAIPLLVAVAVPAPPPPIYAWAPASAPLDHRRPESPGSSSPSRSPPQGEIKFKCQ >OGLUM01G07040.3 pep chromosome:ALNU02000000:1:5820467:5822155:-1 gene:OGLUM01G07040 transcript:OGLUM01G07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTPGCEGIDLLQAHNFDLHCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >OGLUM01G07050.1 pep chromosome:ALNU02000000:1:5826421:5829573:1 gene:OGLUM01G07050 transcript:OGLUM01G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGNVTRSVSKPHGQNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLVDCLENGVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVGDSGSFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRMNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLIRSYMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESILMAARLRSSSTVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVDDMGEHLAKLLRPFHPEMDLTSDSD >OGLUM01G07060.1 pep chromosome:ALNU02000000:1:5830962:5837252:1 gene:OGLUM01G07060 transcript:OGLUM01G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCPSPAPTLGPRRGESTMAPLRMAAWAPQTEPGRASNDTREWRHFSYEPKNVSFTCDARSAPSRVDTHKINLPQFSSASVPQITHLDDGKAKTDSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNSSNQPKRRGRPRKTITNSDHLEPSVKRPRGRPRKYPLPIAKLEDSSQNDTSQEFALIDPLVSSAVVSCDVAIACPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCLLPGSIDCGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIDNSMQSGQSDVGSMLPTYILPESSNKSNSTGPRRRGRPRKKPFPSTTSCVFAPGTETPKKGSTLTNSNNLMVLAKSNCDILANDIGRSSCAIENSVHLSVGTSRVATPAQGICLAKCKEESSAKKGRGRPRKQPISTERGCSKACRGEEQKTQTIPKSSDNAALVENCKKESCPGKGSVQDKKKSVSNERSSVVPSVEVQNMDGSSASTAYTSCCTPACNFENAERNQAVSVPSENSAQVIDELKDTEVARFKESTKDYHMICSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDIKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQRLLYGSSLQTCHFKVFR >OGLUM01G07070.1 pep chromosome:ALNU02000000:1:5840621:5861765:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEEEPEEVVEEGKKEVEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHARRDYSSNHVHDKYHRHMHRMAIDVEERPVSSREHRSHYRRDSAVSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPRRVTIGALVVLPRCRILPKGFLAFVGVAIFSGPVVAHILPWDAMSCDVTL >OGLUM01G07070.2 pep chromosome:ALNU02000000:1:5840850:5850953:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLDSWILTYWIWMHHLSFDVLAIICKSIAFPSSLDGLVPKLKNIMYCVGECKPFKAPQNISPLFFVDVVLGYMQSKIQTSDSLPAKISKNIKTWQFSYLFTNSYRYMIRKIEWSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGDRGFGFSGHARRDYSSNHVHDKYHRHMHRMAIDVEERPVSSREHRSHYRRDSAVSGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPVILTTGITVDKEDRSK >OGLUM01G07070.3 pep chromosome:ALNU02000000:1:5840850:5850953:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLDSWILTYWIWMHHLSFDVLAIICKSIAFPSSLDGLVPKLKNIMYCVGECKPFKAPQNISPLFFVDVVLGYMQSKIQTSDSLPAKISKNIKTWQFSYLFTNSYRYMIRKIEWSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTDHEPTPSSSQVASHCEESFSQGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPVILTTGITVDKEDRSK >OGLUM01G07070.4 pep chromosome:ALNU02000000:1:5840850:5852606:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRARAIRAGTIIRVLDYLFIDSDINTRVIIVQQLELLQTECALIGTSNTHASPNAYNMLHSDMVAAVRMAHACCMFLDELSTTYLFPAHHNVNVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPVILTTGITVDKEDRSK >OGLUM01G07070.5 pep chromosome:ALNU02000000:1:5840850:5850953:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLDSWILTYWIWMHHLSFDVLAIICKSIAFPSSLDGLVPKLKNIMYCVGECKPFKAPQNISPLFFVDVVLGYMQSKIQTSDSLPAKISKNIKTWQFRERQSRSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPVILTTGITVDKEDRSK >OGLUM01G07070.6 pep chromosome:ALNU02000000:1:5840850:5850953:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLDSWILTYWIWMHHLSFDVLAIICKSIAFPSSLDGLVPKLKNIMYCVGECKPFKAPQNISPLFFVDVVLGYMQSKIQTSDSLPAKISKNIKTWQFSYLFTNSYRYMIRKIEWSTYWGYIHDKRTEQTPFLSKSLFTEVELFLRDLTNRVKGEACMICKNDANETLHLRNICFDWTKDDLAEELKTYKLENLEDINLVEDPERKGKNRGYAFLDFRTNVDGVDAFFKLQNRDIYLGTDVRAQVSFSKTLSQDDKIMEKVKSVFLDGLPPHWDEDKVREVFGKFGEIDSIHLARNMFKAKRKDFGFIGFTSRQSALDCISTVSKGGIVEGSGKVRIKASLQRPRPTLKKHSWQGITPMLGIRRGFIGKSYGDREHYGDRERYDDRERYHNRERYGHIHRYERARPREAYLDSRYTNEYPRHRHSRHEESIQRDAYRSKYGHSYLERSHRDSCPDCNPSDHSSSAFYKTGRKLMASSSPGMNKMQPPQAPKWCLFVTSWPNLFMSEVLSLMTTLLLPMKLRSTKNARAGIIHLPEMAPVILTTGITVDKEDRSK >OGLUM01G07070.7 pep chromosome:ALNU02000000:1:5851182:5852606:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRARAIRAGTIIRVLDYLFIDSDINTRVIIVQQLELLQTECALIGTSNTHASPNAYNMLHSDMVAAVRMAHACCMFLDELSTTYLFPAHHNVNGYERVNDPSV >OGLUM01G07070.8 pep chromosome:ALNU02000000:1:5851449:5852606:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRARAIRAGTIIRVLDYLFIDSDINTRVIIVQQLELLQTECALIGTSNTHASPNAYNMLHSDMVAAVRMAHACCMFLDELSTTYLFPAHHNVNVSTFRSPNFIKEIAS >OGLUM01G07070.9 pep chromosome:ALNU02000000:1:5853048:5861765:-1 gene:OGLUM01G07070 transcript:OGLUM01G07070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPLFDLNVAHEDWDWEKGEEAEAEEEEEPEEVVEEGKKEVEVDGEVRRKRKDCEVLVGGLPRDAAEEDVARALADAGDVEEVRLVRDPADPRSNKGFAFVRFAAAWQARWAADDVRTAMRRRRRRPSVLPSTSPFALPPPSPCAIPRRRRASSGAGPPSPFFALPSRRWRNRRRFPPPLVAASESAPVHPSLFHPLSTASGMAATPVVVAVSGAGRKELVTGGDKASRSALTRSARPNPAPD >OGLUM01G07080.1 pep chromosome:ALNU02000000:1:5868001:5869223:-1 gene:OGLUM01G07080 transcript:OGLUM01G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVRWPASSGRRLLRRRHCFGPRSRTPGNTLTSKEVFTWAKSNNWRLLHVGDIDRTRKSYICTSCSMWLAAEDRVESAGDGGMVTCDVVAFMQVNLFLLKWAFMRVKLLLLNEQMWEAGTKWKTPQSKFVTFETWEDTIKTENSERKTRR >OGLUM01G07090.1 pep chromosome:ALNU02000000:1:5886502:5887682:-1 gene:OGLUM01G07090 transcript:OGLUM01G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMTAELGRSIARAAEGEHCIGGLGSDQFDEGFRGKVCNGRHQYKSLNWLIKSFFEYDKCAQCESQGSSGVGQYKSTADAAGRDDNVDGASSSSIATGRSS >OGLUM01G07100.1 pep chromosome:ALNU02000000:1:5900473:5903112:-1 gene:OGLUM01G07100 transcript:OGLUM01G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAGRTEEKKKKRRKPPFPAAEEVSVSGGGVVPMADGPATDPRYHRTKEDTK >OGLUM01G07100.2 pep chromosome:ALNU02000000:1:5901010:5903112:-1 gene:OGLUM01G07100 transcript:OGLUM01G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAGRTEEKKKKRRKPPFPAAEEVSVSGGGVVPMADGPATDPRSNGMYIQLF >OGLUM01G07100.3 pep chromosome:ALNU02000000:1:5899986:5903112:-1 gene:OGLUM01G07100 transcript:OGLUM01G07100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAGRTEEKKKKRRKPPFPAAEEVSVSGGGVVPMADGPATDPRFAATTEQKRTRSDIGSAHLQRCMQFAVAEMSQVNTMPCLPVLCLPSSGCFFNFITCFIFYRAALNSLWLQLQGSCVVQLGLKTGVWGFRLKILTMMEWMTIPFYAIERFRWSVLLVAFFIRLFILLTSYLYQILNCSNFKCLSQKTPAGLLTVWFACIIGINQTLASIKKHSEL >OGLUM01G07110.1 pep chromosome:ALNU02000000:1:5911219:5912814:1 gene:OGLUM01G07110 transcript:OGLUM01G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSVGIAALSSTSIATPPRPRRQGPVVDVLSSAGITTSPLSRWSFLVPPLQGALTIREEIELMRNVYKLLGGLHAFNEFNAGNLDELIILKSIARNHIFIAIVEIIIAT >OGLUM01G07120.1 pep chromosome:ALNU02000000:1:5914670:5917913:1 gene:OGLUM01G07120 transcript:OGLUM01G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAAKEVDKKVQLMKEIRAHEVAIGELNNLPPSRIQTILYQCYIKFILYMHSKELIIFRFEGDKAESVTEEEEVVASGPWGLRVEGRD >OGLUM01G07130.1 pep chromosome:ALNU02000000:1:5919772:5922819:-1 gene:OGLUM01G07130 transcript:OGLUM01G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRATTAAASRPRRASTKPKAHSFLSPVAPPPLSARARARAGPSSPSSSSPSTPTAAGLSFLSSPGSSASPKPAPRSRSSRRSPLANPRTGTASPLSAAVAPGAPAPSPASAAVSSVGDLRSAAASQMEALKRRLDELHSRAHTDLDASLSRASKRFKIQDHACQQLTDEVDKEYKKMSDSINENTEMIKAKYKQIMAEAQSSTSRVCKVTIPEMTKSVEKAIDGLRSRYNISMPIGIFT >OGLUM01G07140.1 pep chromosome:ALNU02000000:1:5934674:5937937:1 gene:OGLUM01G07140 transcript:OGLUM01G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAGSYPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSACSPGIAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYNRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMLFLNTIAVLVLVVAKLPNMHKVRIFGINADI >OGLUM01G07150.1 pep chromosome:ALNU02000000:1:5939436:5943890:-1 gene:OGLUM01G07150 transcript:OGLUM01G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G24340) TAIR;Acc:AT5G24340] MDAAAPAPPASPPLAVHLVTGGGSSPEHALLLRSLAAARVVALDAEWKPRRRGPAVADDPSAAAAATPPPPQFPTVTLLQVACSGDGDGGGAAAAEVFVVDLLAVPLAELREPLRELFERPEVLKLGFRFKQDLVYLSATFAAALGSSAGFEKVEPFLDVTNVYYYLKGHDMQKRLPRETKSLATICEELLGVYLSKELQCSDWSYRPLSEGQIQYAASDAYYLLDIFDLFHQKIRTEGKCLPTNELTSDGHCPQRDAECSSSEDAVCFDDYFTSVVMKYAEKILLTESDTKPRSSRRKEKQKLATNAKCKEKFEGSTEWQGLPPWDPSAGGDGCPKFLCDVMIEGLAKHLRCVGIDAATPSSRKPEPRELLNQTYKERRVLLTRDVKLLKYQYLASNQVYRVKSLLKHDQLAEVIDTFQLKISEDKLMSRCTKCNGRFIQKPLTLDEAIEASKGFQIIPSCLFKRNLEFWKCTDCNQLYWEGTQYHNAVQKFLSVCNISD >OGLUM01G07160.1 pep chromosome:ALNU02000000:1:5955162:5959263:-1 gene:OGLUM01G07160 transcript:OGLUM01G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4Q8] MGSYALPRRSLVLFLLAAAAVASTAGGGDAARRSLLDNGLGRTPQMGWNSWNHFGCNINENTIRSTVDALISTGLAKAGYTYGYMAADPKKFPSGIKALADYVHSKGLKLGIYSSAGSRTCSKTMPGSLGYEDIDAKTFASWGVDYLKYDNCNSDGSSETVRFPNMSFALRKTGRPIFYSICEWGQRNVATWGGQYGNSWRTTGDINDSWASMLSNIDSNDASASYAKPGGWNDPDMLEVGNGGMTNDEYVVHISLWAIAKAPLIIGCDVRSISRETMEILSNPEVIAINQDPLGVQGKKVRKYDNEIEVWAGPLSQQRTAVLLLNRGATGSRQITAAWQDIGVGPGVAVEAKNVWLHATAPGRFTGSLTAEVAAHSCKLFVLTPVGRAGEERS >OGLUM01G07170.1 pep chromosome:ALNU02000000:1:5970514:5971947:-1 gene:OGLUM01G07170 transcript:OGLUM01G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLVSSSCLVVAASIALLCYVNNDADERLPPGPRRRRSVDRLVATLELEARAGAGATGVVAPTDAFRHGVFSFFAVLCFGEWVRDGEHDAVLRDLRRAHADILALTVELGAFHLVPAVLMVPYLHRWWKLSSLQRSHRDIVAALISVRRRLRREKVDGDDADSATLCYVDTLLELELGEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHSDIQRKLRHDIAKANSGGVSPSPYLKAVVQDLFASTRRRTTCWRTREPLSTAWMDPDEFLPEQFMEGGEDAMVDAVSCGGAEIRMMPFGAGRRACPGASFAVSALHLFVGRLVEQFEWWPVAEDEKAAAVDFSEKTGLVTVMKTPLRALLVPTTSSS >OGLUM01G07180.1 pep chromosome:ALNU02000000:1:5995180:5995868:-1 gene:OGLUM01G07180 transcript:OGLUM01G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSSYYTFISNSQVAGHKDVRQAADGLKLGDLSLLGPSTPNLGAVDPAAPSSEAAREMEMKEEVEKRQWRRRRRGRQALGPPPNLILLPVQPHPSGKDELARLEPCKCLQSLNPAEPTWMSQSQN >OGLUM01G07190.1 pep chromosome:ALNU02000000:1:6025907:6027451:-1 gene:OGLUM01G07190 transcript:OGLUM01G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLISTSLCLLATTIVAALAYATSTTTSRSNRGRLLPPGPRLRLPLVGNLVFHAPTISALSLALPRLRDAHGPIVTLWAGDQPAVFVIGRELAHRTLVRAGNALAHRPPSPFTASRALSFNQHGVNGAQYGERWRRLRRNICSFLAAAQSGEALLWSGDRLVARLMETAGSGGAGAGVVEPTDAFRHAVFSFFAVLCFGEGVDDGVLRGLRVAHAEILSLAVELGAFHLMPMVLMAAYVHRCWTLSDLQRRHNDIVAALISARRRRMEKTSTCYVDTLLQLGLSEDEMVSLCWEFMNAAAKTTSTALEWTMARLVHHRDIQHKLRHDIARTNNGGVSVSPSPYLKAVVQESLRRHPPAHYLLAHTVDRDVPVDGGYVIPRGSIVNYVVAEIGRDATAWTDPDEFVPERFLEGGEGAGVDVVPSGGAEIRMMPFGAGRRACPGSNVAVSALRYFVGRLVEQFEWWPVGGDEKAAVDLSEKAGLVTGMKTPLRALLVASRDCSCSLYFEEGS >OGLUM01G07200.1 pep chromosome:ALNU02000000:1:6063902:6064093:-1 gene:OGLUM01G07200 transcript:OGLUM01G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGSASSMGRNSATAEGSFASSTARRIGAGWLREHDGPEHRGGAGSRDGPPWGRRRCLLDL >OGLUM01G07210.1 pep chromosome:ALNU02000000:1:6064142:6067574:-1 gene:OGLUM01G07210 transcript:OGLUM01G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASGKKQRRPRMASPGSDEGERRELRARGGDERGTAAPSESTRQPREWGIDGTEEKRKAEQLPLVPEEGGCAEGKAATQAVGPIERS >OGLUM01G07220.1 pep chromosome:ALNU02000000:1:6064345:6068313:1 gene:OGLUM01G07220 transcript:OGLUM01G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLHYPRGAIYQFGKWVLICVQAAIALSPYLPVTQRRFVVVELAAPQPSPRAIVLHHLPADTVPAAAVVFSWIHRPTQPPTISSTAISSLLMAAARRLLHTSPVGTAMLCA >OGLUM01G07230.1 pep chromosome:ALNU02000000:1:6109904:6111242:1 gene:OGLUM01G07230 transcript:OGLUM01G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRVPAAVTGGCGCDVDGGGGGFCRGGGKLADWEEGKDEIKSVVVKGWTMAQVVPVHGNVSAEDDDEEDDDEEDDDEDDEEGAEAEAAPPPYVMAVDDSSVDRAVITALLRRSKYRVTAVDSGKRALEILGSEPNVSMIITDYWMPEMTGYDLLKKIKESSELKQIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPADISRITSRMLQ >OGLUM01G07240.1 pep chromosome:ALNU02000000:1:6112291:6115467:-1 gene:OGLUM01G07240 transcript:OGLUM01G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILSAFVSACASKLAGLIADRIAAGLGVEDDVRSLQRRMERVAGAVLADAERRRRRLTGEHEASAGAWIDELRDFLYDADDVLDLCRCRGERLLAERRPRSWPLMATVNLVSSLQKLQARLEIGAQISRLNRRFEEICKDRLFLSLAVDDSKAEDGGSRGGGGGGSRVRTRTSTSLLDTNVVGKEIKSATEALVEKIMRDDGRGEVVAVVGMGGIGKTTLAQRVFSSRRITGGFPVRAWLCISREYSETDTVKEAIRCCGGDYGRAETLAELQLILRSAVAGKRVFLVLDDVWGAGVWTLLLRIPFHGATGRVRVLITTRDQRVAARAGANHIHQVKRLGSDSGWELLHRTARLDGADEMQSLRDVGMAIVAKCGFLPLTIKVIGGLLMTKRRSRAEWERVLGSDAWSTAELRDEFKGAILLSYEDLPPHLKQCFLYFSLFPADFVYYRCHICRQWVAEGFIAASGQHMAEEIAEEYYYELITRSILQPHPDYLADQSRCTVHDVLRSFAQHLSRRESICGDLQAVGCSSFLVKLRRLSLMNLEEAMVPCNAISRDRKCFRTLFLMAIPKVDGRLVVRFSGLRTLFLSDCNIGKLPDSIGDLMHLRYLGFDTVDIPALPESIGHLTNLQFLNVKRCTLLTALPRALTRIQSLRRLGIEETPIRLVPRGIGGLHSLVDLQGFIVGSAISSSTMQQGWILTELESLSRLKWLRIDSLEKAAIDNVDILATKQHLKRLELSCSLKSNGDNDPWDEIEVKKTEAIFEKLLPASCLEDLLIRGFFGRKFPIWMESSSLCNVTWLKLIDCRFCLKFPPLGQLPHLTFLKIVRANSVIAVGSEFLGHGATAFPKLEFLWIGKMPNWEDWTFHIAQATRSSSLQIFPCLRQLELKDCPKLRALPEQLKHATKMQRLRIQGVHALKKIENLHELSGSLRINGSRSLASISDLYQIKELYVADCTALQFVNNLNALKWLYLEDETMDCIPMWLSRLTENGEQAGEDGLELELHCSTDMLDRCLMGRQDWTIMERFTSVAAYCKEDYMCYSRHPVSYHTSKSVSNSK >OGLUM01G07250.1 pep chromosome:ALNU02000000:1:6115811:6127806:-1 gene:OGLUM01G07250 transcript:OGLUM01G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNALSLAEPLFRGYHPLPRRRCFSPTPSSSSSHNLPTHGVVLLHVPLHAPLQQWFPVGDDTMDLVWAGHAVNRWMIPEAALEFLWYDVDRDFQAITMIMHDEAAQHSVSRTE >OGLUM01G07260.1 pep chromosome:ALNU02000000:1:6126923:6133746:1 gene:OGLUM01G07260 transcript:OGLUM01G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSWASHNYSHTSSSSSSLGLSPSLHLSFSLPATEREWRAAAPTGEEGAAHALRRNQPPGALPPIQPPLRRRPRRPLLPPPTSAPSISRVIVVGGGAAALLAPEVVDPEQAAGAQHPVDVVPEELQRRLRDHPPVHGVARPHQVHGVVPDREPLL >OGLUM01G07260.2 pep chromosome:ALNU02000000:1:6128723:6133746:1 gene:OGLUM01G07260 transcript:OGLUM01G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OGLUM01G07270.1 pep chromosome:ALNU02000000:1:6165646:6169210:1 gene:OGLUM01G07270 transcript:OGLUM01G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4S0] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDEKDAAASLEG >OGLUM01G07280.1 pep chromosome:ALNU02000000:1:6177855:6180739:-1 gene:OGLUM01G07280 transcript:OGLUM01G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDEVMESGGAAGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAICGVGLVKAFQSAYFNRYGGGANTLAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIFNNEKAWHNHWIFWVGPFVGAAIAAFYHQYILRAGAIKALGSFRSNA >OGLUM01G07290.1 pep chromosome:ALNU02000000:1:6241296:6241749:1 gene:OGLUM01G07290 transcript:OGLUM01G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGETVRMAQAEQANATRKSIFMDVRFFSRRQQQQQQEGIARYVNLNTFYCDSSSIRESLDT >OGLUM01G07300.1 pep chromosome:ALNU02000000:1:6252913:6268335:-1 gene:OGLUM01G07300 transcript:OGLUM01G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAEHWLLSAVEICSFSLSVKLAMLHQCKHSQARANAMGKEADVEAGGVRDYEDPPPAPLVDIDELGRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDASASGADAACGGVGVLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYIVAQCLGAVCGVALVKGFQSSFYDRYGGGANELAAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGDFHQFMTRIKKIRHHHPAGGATGLPSPDPGVVVVVAVAVVIVVTAGRGGVELRERRGRGGAAPTAPTPTPPFSCAAASPPLPSPDPVGVIVTVGVVVVVASRGGR >OGLUM01G07300.2 pep chromosome:ALNU02000000:1:6252913:6269485:-1 gene:OGLUM01G07300 transcript:OGLUM01G07300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGVRDYEDPPPVPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDAAANGADAACGGVGVLGIAWAFGGMIFVLVYCTAGVSGGHINPAVTLGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVVYNNSKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGDFHQFMTRIKKIRHHHPAGGATGLPSPDPGVVVVVAVAVVIVVTAGRGGVELRERRGRGGAAPTAPTPTPPFSCAAASPPLPSPDPVGVIVTVGVVVVVASRGGR >OGLUM01G07300.3 pep chromosome:ALNU02000000:1:6268422:6269485:-1 gene:OGLUM01G07300 transcript:OGLUM01G07300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLESGGVRDYEDPPPVPLVDADELCRWSLYRAVIAEFVATLLFLYVTVATVIGYKHQTDAAANGADAACGGVGVLGIAWAFGGMIFVLVYCTAGVSGGHINPAVTLGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGLYARHGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVMYNNSKAWSDQWIFWVGPFIGAAIAALYHQVILRASARGYGSFRSNA >OGLUM01G07310.1 pep chromosome:ALNU02000000:1:6291645:6291947:1 gene:OGLUM01G07310 transcript:OGLUM01G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNNMGFGVFFATFRVVPDAGGGDADAPGCELRWEFEGDPVRGTPKEALVARLQAGLDGMAARVQEHLMSARAADAAAIAAGGVEAADELNRDNYSIAV >OGLUM01G07320.1 pep chromosome:ALNU02000000:1:6315993:6316583:1 gene:OGLUM01G07320 transcript:OGLUM01G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQRPVQPAGGGGGCGAPPEEQWRGAVEAALPGTPASAAWPHVASFYAAHRYLPGIDVCERVGDGGEDGGLLLVPGCVRHVASSAAGLWAREELLEAPDHAARRLRYAVVDSNMGFGRYVATLRVLDGGGGCRIAWAFECDAVRGEGWSEAALVARLAASVDGMAERVQQAVAAEAEARAGEEEEEEEEDGVAG >OGLUM01G07330.1 pep chromosome:ALNU02000000:1:6319910:6324179:-1 gene:OGLUM01G07330 transcript:OGLUM01G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11650) TAIR;Acc:AT5G11650] MEGGGGGGDEMMMTSGATGRIVPVFRSVLSRRALLRLAVALHSLLLWVFLLVGGGGWRRRRGDAGEGAEAGRAVRTRRRAAEEEDVRRRRALAEDVAMVEDADGEGARLWETFVVPGARRNALFCRVWAPAAAAAEMRGILVIIHGLNEHSGRYLHFAELLTSCGFGVYAMDWIGHGGSDGLHGYVPSLDYVVEDIDVLLGKIVLENPGVPCFLLGHSTGGAVVLKASLFPHIRAKLEGIILTSPALRVKPAHPIVGAVAPIFSLLAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTVPFMVLHGTADRVTDPLASQDLYNEASSRHKDLRLYDGFLHDLLFEPERDEIATDIIDWMERMLALQTV >OGLUM01G07340.1 pep chromosome:ALNU02000000:1:6348039:6348653:-1 gene:OGLUM01G07340 transcript:OGLUM01G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEGAVAAAAAAPAPAAAVARGKRAEKPRRISMEGLQRAMSDLALELTKKQQVADAAAGGGGGGEKLPEITEQQQVVVEEARCECCGMQEECTPEYARRVRERYCGRWVCGLCAAAASAEADRRCRHGGAGRTTATAEEALAAHMAVCGRFNRVGRANPVLMQTEAMREILRKRSRSNSPRDHGHGGLTRSSSCIPAITKD >OGLUM01G07350.1 pep chromosome:ALNU02000000:1:6349218:6351204:-1 gene:OGLUM01G07350 transcript:OGLUM01G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPWSATVLCAVDGCNHLDCHGGNPFRVALVDIDAAGTTHAALYSSETEAWSGPASIDHHPDDFVQARRPSVLVENALYFLCDNNTSIVKFDMATMTLSVVYKFDNTLIRGLQKRFTIDDKIRGLQLMTTSNNTLISENRDQKQGTMRQEALTTPSTPTTLLCFVGWTD >OGLUM01G07360.1 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.10 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.10 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.2 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMWRPGEGVPTYEVKDVDETRRMSISWRPGEGVPTYKVKDVDEARRMSISTSIFNKEKVFLAGHEEEGPAQAQNLRDSLAEAKSDIVLHKNFADRGLATEAQAQMEQFE >OGLUM01G07360.3 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.4 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.5 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.6 pep chromosome:ALNU02000000:1:6352643:6369480:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.7 pep chromosome:ALNU02000000:1:6352643:6368922:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.8 pep chromosome:ALNU02000000:1:6352643:6368922:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVAVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07360.9 pep chromosome:ALNU02000000:1:6352643:6373296:1 gene:OGLUM01G07360 transcript:OGLUM01G07360.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHARLLSAPSHAFAASSSPLPFAAAHPCRASWTPRPTSPPPPLSLLRATASMHPSPPPEGHSDQPVHGVTNTVVGVLGGGQLGKMLCQAASQMGVRMAILDPLEDCPASSVCHEHVVGSFNDGATVSEFAKRCGVLTVEIEHVDAVTLEKLEKQGIDCEPKASTIMIIQDKYRQKTHFSKFGIPLPDFVEVDTLSSIEKAGEMFGYPLMVKSKRLAYDGRGNAVAHDKKELSSVVASLGGFEHGLYVERWTSFVKELSVIVARSRDGSTVCYPVVETIHKDNICHVVEAPAEVPDKIKKLATNVAEKAIKSLEGAGVFAVELFLTQDNQVLLNEVAPRPHNSGHHTIESCYTSQYEQHLRAILGLPLGDPSMKAPASIMYNILGEDEGEAGFTQAHQLIERALDISGASVHWYAKPEIRKQRKMGHITIVGPSKYSVKARLDKLLQRDAYDPKKVKPRAAIIMGSDSDLPVMKDAAVVLKKFNIPFELTIVSAHRTPERMYHYALSAKERGLEVIIAGAGGAAHLPGMVASLTSVPVIGVPIMTSSLHGTDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELGDKATEYQHDLRDMVLEKAKRLEELGWEEYTELYLKKH >OGLUM01G07370.1 pep chromosome:ALNU02000000:1:6361790:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQGXPLYASSSSPATSGSKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.10 pep chromosome:ALNU02000000:1:6361988:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OGLUM01G07370.11 pep chromosome:ALNU02000000:1:6361988:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OGLUM01G07370.2 pep chromosome:ALNU02000000:1:6361790:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQGXPLYASSSSPATSGSKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.3 pep chromosome:ALNU02000000:1:6361790:6365918:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MEAGDGGDRGRGESKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.4 pep chromosome:ALNU02000000:1:6361790:6365918:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MEAGDGGDRGRGERLVPSFRWRQTPPPPPPLYASSSSPATSGSKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.5 pep chromosome:ALNU02000000:1:6361790:6365918:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MEAGDGGDRGRGESKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.6 pep chromosome:ALNU02000000:1:6361790:6365918:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MEAGDGGDRGRGESKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSCSLLPSVAPPQLLGLGLGGVSSIPLCREAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.7 pep chromosome:ALNU02000000:1:6361790:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.8 pep chromosome:ALNU02000000:1:6361790:6365327:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAAQADDLLIEGKGKIL >OGLUM01G07370.9 pep chromosome:ALNU02000000:1:6361988:6365918:-1 gene:OGLUM01G07370 transcript:OGLUM01G07370.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4U1] MEAGDGGDRGRGESKPSPASSRMQVKQKVYELYKGTVERVTGPRTVSAFLDKGVLSVPEFILAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCLRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKQEEEEDIPSMDTLDIGKTEGIKSIPSYFSAGKKAEEEEEDIPDMDTYEDSGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OGLUM01G07380.1 pep chromosome:ALNU02000000:1:6375440:6380155:-1 gene:OGLUM01G07380 transcript:OGLUM01G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGRMRRVPAFPPQAAAPAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNETLNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGGCAAAAASSKAVMTIAFQFAFDGHLQDSVAAMARQYMRSIISSVQRIAVALSSSRLVPPAGAGGGAAPQLAPANPEAATLPRWICQSFRFHFGAELIKSVDANSSSESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGGGGAHCICFMFINWTFL >OGLUM01G07380.2 pep chromosome:ALNU02000000:1:6375440:6380155:-1 gene:OGLUM01G07380 transcript:OGLUM01G07380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGRMRRVPAFPPQAAAPAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNLYAPTTLAPARDFWLLRSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMALCKIQALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNETLNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGGCAAAAASSKAVMTIAFQFAFDGHLQDSVAAMARQYMRSIISSVQRIAVALSSSRLVPPAGAGGGAAPQLAPANPEAATLPRWICQSFRFHFGAELIKSVDANSSSESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGGGGAHCICFMFINWTFL >OGLUM01G07380.3 pep chromosome:ALNU02000000:1:6375440:6380155:-1 gene:OGLUM01G07380 transcript:OGLUM01G07380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRECPALANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYDHGRHGVAAAGRMRRVPAFPPQAAAPAGHQLATATDTSCESVVTSGHHHQQQQHNVVQPPPRDASPAGLMSIAEETLTEFLSKATGTAVEWLQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDRPLWLRDCRSMDVVNVLPAGANGTIELLYMQARTHKPENSEPEPEILKLKFVFDLQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSAMVAQKISMAALRYLRQVAHEDTRSVITGWGRQPAALRALSQKLTRGFNETLNGLADDGWSVIESDGVDDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQDVSPPSLLQFLREHRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNASNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEAATPRSRISGVNGGGGGGCAAAAASSKAVMTIAFQFAFDGHLQDSVAAMARQYMRSIISSVQRIAVALSSSRLVPPAGAGGGAAPQLAPANPEAATLPRWICQSFRFHFGAELIKSVDANSSSESILKAVWHHPSAILCCSLKAMPVFTFANQSGLDMLETTLVALQDMTLEKVFDDQGRKNLCTELPNIMEQGMACMEGGVCVSSVGRAASYEKAVAWKVVDGDGGGGGGAHCICFMFINWTFL >OGLUM01G07390.1 pep chromosome:ALNU02000000:1:6384482:6391330:-1 gene:OGLUM01G07390 transcript:OGLUM01G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11640) TAIR;Acc:AT5G11640] MEVATATEASAPAASGAASRRSHPFPWLDLAISEPYYFLHLVAFFSYFAARSTVPSADDGLLLRREIQAVLTFLVLFVVKIVKEENWETCIADSLLYAKGLLLAVTLVIDYWLTVSYLLGFVVIYAVAQQPPYDGLGHSNHLTPLQLEGLLTEEPTTRFWLVEFRTSFSGTCIEASNHLPTYILFDKATEVARFPEIMNESKKLLCQHFDLDRRLIEYLSRCKSARDYFHCLFLFTINRTPVN >OGLUM01G07400.1 pep chromosome:ALNU02000000:1:6391512:6395044:1 gene:OGLUM01G07400 transcript:OGLUM01G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y4V6] MSASRPDHRRHHPPFLRDLSSPISSAVRLPPASLRRETQGSTTPPPPLLFLDDLSHHSPSPRPATPPQAAAMSPSPPPPHRGGLFSSTPLRSNGSPSPAAWWSSSREEMAREGSPVDGVVQPQQQPSPTTASGQQSQQQKVTLITLPPPREVARPEMPKDSTPSAGRVDEEEWVTVFGFLPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALAKHGQQLNSVLIIGVKQVDPWQRQYLNENTNENFQVGATDPFPSQHVAPSSFTTRNALAPLPSNSMPNGIGNGSGRGASGAIASPTKSVLSKVMDLMFGL >OGLUM01G07410.1 pep chromosome:ALNU02000000:1:6410662:6413072:-1 gene:OGLUM01G07410 transcript:OGLUM01G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYGVDGTTACAGVKQEWGLMSATDFLVAGRLGGDILRLGSAVVFLLGRPTYVQPMKRVWPIIDAAR >OGLUM01G07420.1 pep chromosome:ALNU02000000:1:6416136:6422349:1 gene:OGLUM01G07420 transcript:OGLUM01G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53050) TAIR;Acc:AT1G53050] MAMAACRVRSPVPRAVEGEQVAAGWPPWLVSVAAEAVRGWVPRRAESFEKLDKIGQGTYSNVYRARDLEKEKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASFPGVKFTESQVKCYMQQLLCGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVAVDLWSSGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKDFPPPAVALVDVLLSVDPADRGTASSALQSEFFATKPYACNPSSLPRYPPSKEFDAKRREEEARRQGIAGGKQHKYDPERRTRESRAVPAPDANAELVSSLQKRQNQVNAKSRSEMFNPSKEDSASGFPIEPPRPTHPAESSQGSQRVYTRTFHSGPLVNQNKPSKAGRSENGDIHLSGVANASDFRVVVSTRSNIRSDNGNGTVVTQAEAFPHERRLSESINERFSSSGKYDQVFQQKDDRSSRVDGAIGYGSKGNKIHHSGPLICPSGNVDQMLKENDRQIQEVFRRTRVEKSRARRGHGHSGDGHHQFGLRPSDFGAAPVFPSSRSSYRAVQQ >OGLUM01G07430.1 pep chromosome:ALNU02000000:1:6433010:6433696:-1 gene:OGLUM01G07430 transcript:OGLUM01G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQSSESSSTASTSSCGKKQQVAGKRKREDVGGGAEQAAAVAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPASLAPRDVQAAAALAAVMHHHKHPSSSTSTSSPPAAPPPDEHHPRHEPQQPESSREDDQQQQPAAAAAAQMAVAELVFDELAPLWVEDVVEFGTSDHCWTAYDALDPIGFQPLLWEY >OGLUM01G07440.1 pep chromosome:ALNU02000000:1:6443929:6445471:1 gene:OGLUM01G07440 transcript:OGLUM01G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVEAEKSHFEEVAAGEENGGCKCGTSCSCTDCKCGK >OGLUM01G07450.1 pep chromosome:ALNU02000000:1:6451126:6453501:1 gene:OGLUM01G07450 transcript:OGLUM01G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSLRWPSPSAAASSLLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALADRPAMERSGAPFARKFPRDDPVCTNSSS >OGLUM01G07450.2 pep chromosome:ALNU02000000:1:6451126:6453790:1 gene:OGLUM01G07450 transcript:OGLUM01G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSLRWPSPSAAASSLLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALADRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAGGGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCK >OGLUM01G07450.3 pep chromosome:ALNU02000000:1:6451128:6453790:1 gene:OGLUM01G07450 transcript:OGLUM01G07450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTMGGGGGGGRGGGDHHSPTSGKTPRGDYSALATSTPTKQSLRWPSPSAAASSLLPSGAAALLESRWALPAAFGVFLFLAVTLAVATSSLSVAASLPAFFPAAKQPLPLPPPSPPPGAGVARLAYLVSGSKGDLDRLWRTLHALYHPRNLYVVHLDREAAVSERLELAARVANSSMFRRVGNVEVIRRSNMVTYRGPTMVANTLHACAVLLRRSRDWDWFINLSASDYPLMTQDDILHVLSSIPRNTNFIEHTGYLGWKEGQRARPVIVDPGLYMARKQDIFYVEQRRELPTAFKLFTGSAWVALSRDFAEYVVWGWDNLPRTLLMYYANFVSSPEGYFQTVLCNAPRFVPTAANHDLHHIQWDTPPRQHPHPLALADRPAMERSGAPFARKFPRDDPVLDAIDADLLGGRGRANGNGTAGAGGGDMFVRGGWCVGAGGGCDEVGDDWVLRPGPGAARLDKLMDRIVRSEAFVNSQCK >OGLUM01G07460.1 pep chromosome:ALNU02000000:1:6454814:6457017:-1 gene:OGLUM01G07460 transcript:OGLUM01G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERENSWLRRTKFSHTVYTRLDHQRAPIAPLGRDVEQKLQRFISMGKSVSMPVNRDEDAAAAFKHSVSLPTARSSLQIDKEKANKQKADFDIPSSPPVNSVNSNGLKARSLVKSPSSAMLLSYLNKAHPNRDSNLKKTDRPQHKLRSKSPLPNVAPSDVFREARASSRRFTSPPPKRRGSERSIYGKSFDRQLSDMSQSPDLCSTPVSSDKHKSLKDSSWTRRYFDNGGRRRVSAVDATEVRRNRGVSMAQAVQTTVDWTLDPSKLLVGHKFASGAYSRLYKGLYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEVNALSHLYHKNVIKLVAAYKCPPVFYIITEFLPGGSLRSYLNSTEHHPIPLEKIISIALDVARGLEYIHSQGVVHRDIKPENILFDENFCVKIADFGIACEESMCDVLVEDEGTYRWMAPEMIKRKAYNRKVDVYSFGLLLWEMISGRIPFDDLTPLQAAYAVATRHARPVIPPECPMALRPLIEQCCSLQPEKRPDFWQIVKILEEFHSVLSQGGCLDSLKSSNSQDQKKRLLHWIQKLKPSHST >OGLUM01G07470.1 pep chromosome:ALNU02000000:1:6493597:6494037:-1 gene:OGLUM01G07470 transcript:OGLUM01G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLRGVPVLIALVVMAVVALLPAAAADDMGGVVASASGRRKMAAAAATCDGAVGECDVDDEEEVEEMALMGAAGATAGETLMRRSLAARRPTNRYVSYAALDANKVPCNKRGQSYYQNCASQKAANPYRRGCSAITRCARNTN >OGLUM01G07480.1 pep chromosome:ALNU02000000:1:6496770:6497666:-1 gene:OGLUM01G07480 transcript:OGLUM01G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAGVAAAAAAVAAAVVVLAAASCCEARDFYVGGRDGWTTNPAEPYNRWAERNRFQVNDRLVFRYNKEDSVVVVSQGHYDGCNATDPLLRDAGGDSTFVFDSSGPFFFISGDPARCQAGERLIVVVLAVRGNATATPTTPSPPPPPTVPAAPTPRPSPPPPAAGTNGTARAPSPPVPAPAPAGSPPPPPPPPAGGNFTAPSPAGGMNFTAPAPGTNGTAAPPPRPSSAPSVRGGALLMLLVVATAGAMALV >OGLUM01G07490.1 pep chromosome:ALNU02000000:1:6500646:6504042:-1 gene:OGLUM01G07490 transcript:OGLUM01G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGGGEDGAMSEEPNHEPRQHESLDSDVQVIDEGGQNQQDLSLDSHGLQCQSVPESGLERARSSISPGTFLHGEFMEVSDTFFVGSSRKLTELAESHGDCSSGSVKDAMTKGKSPCALPNHTVQDSFQQHQRYYAFNLNLGGSQPSNEGEVPPQDCNASAGAASSSHAEMGVQQGCRPAPGQTVRLMGKDLAVSTTRGEYVSGTHSYTEDHPTKLFLELPRQGRPYLSLQAQCVPNVSANSASPSQSHIRYTAPQNLSHSFPTANALSGDRLQYDDRFSYLSGSQHHGNVLLGSPSLTSHGSAALRQNLPWIVGSPPQLSKLYIHSSKTDS >OGLUM01G07500.1 pep chromosome:ALNU02000000:1:6506514:6507501:-1 gene:OGLUM01G07500 transcript:OGLUM01G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMKNTTMGCAFLLAAFAMAAAFVPVEKTTTTQAEDGVKKPDCVPAFDPRSFPGHGGTTTPTPIPGHHGGGGSSGTTPSHGGGPSGGALPSPSHGGAAPSHGGGYGASPPVTPSPGGGYGGGSPAPSHGGGAYGGGGGGAPATPASHDGHGLIPTTPGTCDYWRSHPMEMWSALGRWPSSVGHFFGSGSGGAGTGMSIQDALANTRGDGAGELMREGAAALLNSMTRSGFPYTAEQVRDAFAAAAAGGSDGAAAAQAAAFKKANEGGRA >OGLUM01G07510.1 pep chromosome:ALNU02000000:1:6515911:6524476:-1 gene:OGLUM01G07510 transcript:OGLUM01G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVAENERGQQPLNMMGAASTSEYDHMELSSSEHHAAASALRPSAAANYPSTRAAAGLQLVLMKSEGVDTRGYVTA >OGLUM01G07510.2 pep chromosome:ALNU02000000:1:6516393:6524476:-1 gene:OGLUM01G07510 transcript:OGLUM01G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMMTDLSCGPSSMTELTAAAAPAGSGSSAAVAAGSSEKMGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNSVKSTVERYKKANSDTSNSGTVAEVNAQHYQQESSKLRQQISSLQNANRTIVGDSINTMSLRDLKQVENRLEKGIAKIRARKNELLYAEVEYMQKREVELQNDNMYLRSKVAENERGQQPLNMMGAASTSEYDHMVNNPYDSRNFLQVNIMQQPQHYAHQLQPTTLQLGQQPAFN >OGLUM01G07520.1 pep chromosome:ALNU02000000:1:6535941:6542329:-1 gene:OGLUM01G07520 transcript:OGLUM01G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAAFSIREYTASIRGAAGAEGRRLYGLGAGDLPPMEARRFRWWASPSPSPPPPPPMKPSRRTLGKARAPKKRSILDLFAAAPPLALPSSDSGGGGNDDDDDEALCAIMRRAREKRKRRLQEEEEEEEEEAGAASALVTAAAAAETRDSEGNFTRKIEFARIEIGMDAMIALDFASRHNAFYCLFEVLWICIRXDLFAAAPPLALPSSDSGGGGNDDDDDDEALCAIMRRAREKKRKRRLQEEEEEAAGAASAPAAAAAAAAAETRDFEGNFTRKIAAIAFSKFYGYASDXDLNEALPESTDLNYPYVSNPEEPNHEPRQHEPLDSDVQVIDEGRQNQQDLSLDSHGVQCQSVPESGLERARSSISSGTFFHGEFMEVSDTFFVGSSRKLTGELAESHGDYAFNLNLGGSQPSNEGEVPPQDCNASTGVASSSHSEMGVQQECRPAAGQTVRLMGKDLSVSTTRGEYVSGTHFYTEDHPTKLFLELPRQGRPYLSLQAQSVPNVSANSASPSQSHIRYTAPQNLSHSFPTTNALSGDRWRYDDRFSYLSGSQHHGNVLLGSPSLTSDANLPRSYGVVSAGSSVHPHNSPSFAFTHPRRMIVEEASGSRRDAACPSRNAENVVARAAIPEMQAQRTGLMKLTPGAKHILMPSDTTGDGTSMPVYSCVSFX >OGLUM01G07530.1 pep chromosome:ALNU02000000:1:6543125:6548162:-1 gene:OGLUM01G07530 transcript:OGLUM01G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRAVMSEMWRAQGGLRDLLVGSSCTTETTTTASCAGWLVRRERCLARSPLPVPGKAAPRGAAPTASGTHGVAWRRSGVRALAPPRPPTRTVSSFVPPPSRDSVVLLSAHPPLHAGSAASLLASIFSIPCRYPSHSIPLWLIPPPPLLSHTGNASSSLASLPHVLGIRYQPVLCCSGYRGATPAMRYHMHDTEEESNSSTKEKNQTTQQNSNKKMRLLHEQSQGRVVSAKQTRL >OGLUM01G07540.1 pep chromosome:ALNU02000000:1:6548538:6555985:-1 gene:OGLUM01G07540 transcript:OGLUM01G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGTRLYGLGAGDLPPMEATRFRWWADELAAAPPLPPRSPSSPPPPTTKPSRRTLGKARAPKKRVEMLQQLATWELGCTRREMAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVAFATCGCFPWKQVPSYVVAQVLGSTMASLTLRVVARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGDLQRR >OGLUM01G07540.2 pep chromosome:ALNU02000000:1:6548538:6555985:-1 gene:OGLUM01G07540 transcript:OGLUM01G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGFSIREYTASMRGAAGAEGTRLYGLGAGDLPPMEATRFRWWADELAAAPPLPPRSPSSPPPPTTKPSRRTLGKARAPKKRFAIESGREMAGREDGAAAGAMEEGQDSKEVKCENSEDGSNTSRRCQGNDMISVQFMQKILTEILGTYFMIFAGCGAVVVNLSTGGAVTFPGICAVWGLVVTVLVYSVGHISGTHLNPAVTVAFATCGCFPWKQVPSYVVAQVLGSTMASLTLRVVARGEHLFLGTTPAGSMAQAAALEFVISFFLMFVVSSVATDNRAIGELAGDLQRR >OGLUM01G07550.1 pep chromosome:ALNU02000000:1:6606713:6608671:1 gene:OGLUM01G07550 transcript:OGLUM01G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCSACEAAEARVLCCADDAALCARCDLHVHAANRLAGKHHRLPLLSSSSSSSSSPSPPTCDICQDAHAYFFCVEDRALLCRACDVAVHTANALVSAHRRFLLTGVHVGLDAAADDDDKHPPHPLSSSPPRNTAPPPQPPPKRSPSPIYSDDDVIDWATGGHDIGITGNLPDWSLVDEQFNTPALPPVVTKTPPKRASRGPVTAGTAAAVFGNLAGGSPDWPLNEFFGFAENGTSKADSGKIGSMDGSPNGGRSSSSSSSSSAAAAAGGGGQDFFGQVPEVHWAVPELPSPPTASGLHWQRDPRYGGGATDAGAVFVPDISSPENPFRCFAAAAAGDHTMKRRRRC >OGLUM01G07560.1 pep chromosome:ALNU02000000:1:6614646:6622909:1 gene:OGLUM01G07560 transcript:OGLUM01G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSANSLVLGRVIGDVVDLFSPEVTLRVMYNGVRVVNGEDLRPSAVSARLRVEVGGDLHQFYTLVMVDPDAPNPSNPTLREYLHWLVTDIPGTTDANYGREVVCYESPRPAAGIHRVAVVLFRQMARGGVDQPPLLRHNFSTRGFADDHALGAPVAAAFFTCKPEGGTGGRRFRPPSRHS >OGLUM01G07570.1 pep chromosome:ALNU02000000:1:6629717:6634366:-1 gene:OGLUM01G07570 transcript:OGLUM01G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVDGVSPPWSKEAVVHLLSAHQPCLLKNGLEETETTRASGLPNLRNDSINRVLIESLVESFAIESGREMAGREDGAAAGAMEEGQDRKEVKCESSEDGSSSSSSSRCHGNDVISVQFMQKILAEILGTYFMIFAGCGAVVVNQSTGGAVTFPGICAVWGLVVTVLVYSVGHISGAHFNPAVTVAFATCGRFRWKQVPSYVVAQVLGSTMASLTLRVVFVGGGGGARGEHLFFGTTPAGSMAQAAALEFVISFFLMFVVSGVATDNRAIGELAGLAVGATVAVNVLFAGPVTGASMNPARSLGPAMVAGRYGGVWVYVAAPVSGTVCGAWAYNLLRFTDKPLRDIANTASFLRRSSRRS >OGLUM01G07580.1 pep chromosome:ALNU02000000:1:6634750:6635394:-1 gene:OGLUM01G07580 transcript:OGLUM01G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVDGNPILPWLKTLSNSPSSKKHPQLPPLLIHGGSISAPVTPPLSSPTARTPRMKTDWDESNVQPTWTGSNSPCVVNSTPPSPGRTMLPDPAWLAGIQISLTSPSSPTFSLVSSNPFSVLKDAIPVGNNSSRMCTPGQSGTCSPAIPGMAPHPDIHMMDAVSDEFAFGSSTNGGHQAAGLVRAWEGERIHEDSGSDDLELTLGSSRTRAAA >OGLUM01G07590.1 pep chromosome:ALNU02000000:1:6643326:6645269:-1 gene:OGLUM01G07590 transcript:OGLUM01G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAARRLSSAAAGGDAARPGTLSSLFAPRSSPKPRAPRPEPGDGPPQERRKPRARPRPRSRQPWGEEAAALLRRFYDGGYLPGPDLSVAPHVLSPDVVKGAAERFGHDHQVVAKWLSGSDLKKVALFGCSSVERRTVFASKRLRAFFKIQEAKICGSCKMRNSCQFVNQEVSRHDKVILSDTMRILTLFVLDTCPQQLKVTPELKASICKLVKDTINLSQ >OGLUM01G07600.1 pep chromosome:ALNU02000000:1:6646009:6671513:-1 gene:OGLUM01G07600 transcript:OGLUM01G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKKRSDGEGMRGAAKMKGEGKGWSQNRPRPPPTRPPLPEEEERGGGSRRYRIHPRGAVTAVRAPPPPRGEVDRRLHCFTSLRGRALPSLGVANRRVEKTRRRRWGRRRCRRGRGPPPPARSEREGGRGGSAASATKSTNFELQTTLKSHTKAD >OGLUM01G07610.1 pep chromosome:ALNU02000000:1:6651462:6651788:1 gene:OGLUM01G07610 transcript:OGLUM01G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTAAAAVLLLVFLLLTSSAPFLQARMMPGDGGEIVQEAVTMMMGSSAGAAAAAVAGRRSTASSSPPEEEMLLPRRPPLPLSPPAATTGARSSRMLGSVPSPGVGH >OGLUM01G07620.1 pep chromosome:ALNU02000000:1:6673022:6673333:-1 gene:OGLUM01G07620 transcript:OGLUM01G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARVLATLAIAAASVLVLVFMALTVASTAATAGVRRSEQHYSASRTAAAAAVAAASSSSSPSAAAAAAVRVSSTGGQFVAVAKTGRPPLPPSGPSDGGHS >OGLUM01G07630.1 pep chromosome:ALNU02000000:1:6678053:6679600:-1 gene:OGLUM01G07630 transcript:OGLUM01G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAHHPLPHQQKAANLARTFTKLLRRKRADAVAAATAVGEPGVPDAAAASVVGDEYECSVEAAAAGVPSLSKLKLSGNLGAAYSLDAFFRNAAEKKAAGVAGVAVAQTSPQVAPDVAKDSLLANLFAGVSAVKAAYAQLQLAQFPYDAEAIQAADAALVAELTRLSDTKRRYLRDPAAAAKNAAAAGHTALYAHAEEQRHLLKTYQIAARKLEGELRAKEAEADRARSSLTAELRAERAMEARLHPGRTLASLDELHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAAAVHPGVQLRRAGDTKFVFESYVAMKMFANFHRRDFNLSFLDEREFYDRRRFFEEFTELKAAPASAFLDARNARWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFADFAEMARRVWLLHCLFYAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRSDEAAAAAEERVVGFTVVPGFRVGRTMIQCRVYLSRPGRRP >OGLUM01G07640.1 pep chromosome:ALNU02000000:1:6681590:6685996:-1 gene:OGLUM01G07640 transcript:OGLUM01G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRKAWKITEIFFLCCVCLSLSHHNRVVLSALSPLPPRRRLLLLFFPRWSGEYGGKPAPVETGKGDDDDVRCGGSDLQGCRRRVFLRSSYSRGVFLPRGVYASSPGLYDQIAGLLLLLLLLSC >OGLUM01G07650.1 pep chromosome:ALNU02000000:1:6697491:6701840:-1 gene:OGLUM01G07650 transcript:OGLUM01G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT1G78650) TAIR;Acc:AT1G78650] MAAAAADPALLDLIPQIHALFADPLRVISYKWLSRNFSVSSNDSKRLLQEFVNKHGADLKVIYSVSGWLKKNPTNYCVKLTSGHKLEEARQEFKDSCSVQVYSIQACIPKDTAVLWNPEFVQAEELFNRPFDEENCLRDNRFCGVLNSFVKRTANGKLVSSLPPKPINSVAAAAPLKTSSAPKEQSAKGQQQGLPGSSSPKKGTSNKAEKDISSVLDKATNAPVVKEPSIALQGNKNKAQNGKALPSNGGSLATMWGRASAKPKTPATTNPTVLPSVAVTADAQICAKEEANADSSDDEQAVHYKRGSSANNRKRRAVFDLSDDDEDDNVVAIASPEPPEQCITNPIDEVAQESNPKQENLENKQEVEKDVKCCIGRTESPECKTKSSNTVSHSGITLKEKNNGPPPNDNKQDHADETASNSPKRRKVLKTRIDERGREVTEVVWEGEASAGDKAEKNVSDTGAANRATLSSKPQPVAKTEKSNASSKTAGNKKPAKAGTKQGNIMSFFKKV >OGLUM01G07660.1 pep chromosome:ALNU02000000:1:6703736:6718860:-1 gene:OGLUM01G07660 transcript:OGLUM01G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLPPVPTPCRKVSSSRQFAIATHPISPPEPHLSRPSKAPPRIAYGDRCPRPVHQGELVRRLNEEEALTILRPSMGHPAVPRILGDARCLYGSQVANHTMFDYDNLKISYKWLSRNFSVSSNDSKRSIGLKATSLKRTEESNESQLSKLVFAIFVLLTPYLDNYQDNGEHDKERSNSYKHRNQRLAGSVGRPDKAAIRMLKNQVQMVDRFAECPGLSKQQVWRVRPAVVRKKMRRKLTGAMAMPALGSTDT >OGLUM01G07660.2 pep chromosome:ALNU02000000:1:6707099:6718860:-1 gene:OGLUM01G07660 transcript:OGLUM01G07660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLPPVPTPCRKVSSSRQFAIATHPISPPEPHLSRPSKAPPRIAYGDRCPRPVHQGELVRRLNEEEALTILRPSMGHPAVPRILGDARCLYGSQVANHTMFDYDNLKAYTRCNIVFSGRNSRFEKLAITTIPVTQIEWRMKRPSERHCRVPYDRSIGLKATSLKRTEESNESQGPNIQVFLSFWLSRDSGPYVSG >OGLUM01G07660.3 pep chromosome:ALNU02000000:1:6715198:6718860:-1 gene:OGLUM01G07660 transcript:OGLUM01G07660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLPPVPTPCRKVSSSRQFAIATHPISPPEPHLSRPSKAPPRIAYGDRCPRPVHQGELVRRLNEEEALTILRPSMGHPAVPRILGDARCLYGSQVANHTMFDYDNLKAYTRCNIVFSGRNSRFEKLAITTIPVTQIEWRMKRPSERRFS >OGLUM01G07660.4 pep chromosome:ALNU02000000:1:6705472:6714653:-1 gene:OGLUM01G07660 transcript:OGLUM01G07660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRVPYDRSIGLKATSLKRTEESNESQHQVAGLCEGHSCNRLAGSVGRPDKAAIRMLKNQVPLSREA >OGLUM01G07660.5 pep chromosome:ALNU02000000:1:6715238:6718860:-1 gene:OGLUM01G07660 transcript:OGLUM01G07660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTLPPVPTPCRKVSSSRQFAIATHPISPPEPHLSRPSKAPPRIAYGDRCPRPVHQGELVRRLNEEEALTILRPSMGHPAVPRILGDARCLYGSQVANHTMFDYDNLKHYHSSDPD >OGLUM01G07670.1 pep chromosome:ALNU02000000:1:6705109:6711617:1 gene:OGLUM01G07670 transcript:OGLUM01G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPVSFLLIFFLTTAGLTLQTCCFDSPGHSANLSTIWTCTSPTTDVLVANPLLSRPVPDNHNLHFAAGFYNYPLVNTYIFGVYTVTDAGEFADMTSWRPEPVAVVWSANRDQLIRQNSTLSFTAEGDLVLQHPDGSLVWSTNTSGQSVAGMTLTESGNLVLYNHNNLPVWQSFDHPTDSLLPGQRLVQGMRLKPNTLAVNLTASDLYYLTVHSDGLYAFAGSSNSQPYYEFTVSTGNKSQNPPASFTLANRSLDIFVPSSSSANLEHLSLQSPALSLQYIRFESDGQLRLYEWQADQNGRWLYVQDVFPFQYCDYSTVCGEYGICLNGLCSCPTATESHIRYFRPVDDRRPHLGCTLETPISCQFVQDHQLISLPNVSYLYYDSSRVSELTDEESCKQACLTTCSCKAALFRYVDNKSAGDCTLVSQVLSLKTSYPGYDSLAFLKVQITPSPHLEKHRLVPLVPVLVGVASFFVMLTIVLVVVQIRRQQDKDGEDEFAELPGMPTRFSFQMLKLATKDFSNKLGEEKIAVKCLDQASQGKREFFAEVETIGRIHHINLVRLIGFCLEKSHRLLVYEFMPKGSLDQWIYYKDSNDTLDWRTRRNIITDIARALAYLHEECTHKIAHLDIKPQNTLLDDNFNAKVCDFGLSSLIHRDQSHVTTRMRGTPGYLAPEWLTSHITEKVDVYSYGVVMIEIINGRPNLDHSNLGGGIQLLKLLQEKAQNSHLEDMIDRKCNDMSLHQQDVIKIMKLAMWCLQSRLLLLFLTAAHAALQTCGGNQTPGPVANVSRVWSSNTSRDVLLCSVPGNGNEKLSFAAGFYCSSPCDAILFAIYITSGSGDIPVVVWSANRDLAAHQNATLSFTASGDLVLANADGSVVWSTGTSGQFVIGMTITNSGNLVLFNDAYMPVWQSFENPTDSLLPGQMLADGMMLRPNSSATNWTTSRQLYFTVRSDGLYAFAGSDRPQPYYRFELYSSYLVKNESITQYQYKPTFVTLVNGSLSIPGSDPLETKLPPAHSLQYLRFESDGHLRLYEWEEFKQRWVIAKDIFELNYCQYSTVCGEYGICLSEGCSTEGMDCSTTECSCPNTTYFKPIDNMRPTLGCAVETEISCQAMQDHQLVAIPNVAYFHLWGDSRGAPMTDEESCKKDCLSNCFCKAALFILYLNQTQALLYPDLSLSMSYLNTCYLLPEVLSLQAYLDPGYYSKDPVNPRSTLYVKVQSTHLLPPSKKKNTFGYAIGATAAALVTLTIISMVIRKRCNRQRADESDFADLPGTITRFTFKMLKAATNDFSSKLGEGGFGSVFLGKLGNEMVAVKLLDRAGQGKKDFLAEVQTIGNIHHINLVKLIGFCVERSHRLLVYEYMPRGSLDKWIYYLHSNAPLDWGTQKRIITNVARGLSYLHDECRQRIVHLDIKPHNILLDDSFNAKVADFGLSKLIERR >OGLUM01G07680.1 pep chromosome:ALNU02000000:1:6711725:6712538:1 gene:OGLUM01G07680 transcript:OGLUM01G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIISGRKNIDYSQSEENVQLITLLQEKAKKGQLEDLVDKNSDEMHLHKEEVIEVMKLAMWCLQSDSSRRPSMSVVVKTMEGERAVDDNLGYNFFDLSPAISVPVEQLNSSLHPEASILSAPRV >OGLUM01G07690.1 pep chromosome:ALNU02000000:1:6723891:6728613:1 gene:OGLUM01G07690 transcript:OGLUM01G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45760) TAIR;Acc:AT5G45760] MPQRGSRLQRRPSDFDRAVCGSRVGLAGVRRCDAMSETDSSPAAAEGRKPRRLRGHRKGAVTCCVTSSSRPGVVASSGEDVLFTMEATNQPISSMCFKAGNEDLVYASAGNKILSFDVRMGPQAKPLDTYNYNRDEINQIAVSSKGFLAAADDSGDVKIINTIQKCLYKRLREAHTTVYNSFLGDLGQFHLTAITGGLDSKLAVWDFSKGRTLFSIDYGSPEMQNGSSGGQCFNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLTSKRTDIGDGCGSQRQGKRIHLDYTVGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFFSETNNSAELVLDIKVNWLCTTTTDSDNLIVCDTSKVVKVYNLP >OGLUM01G07690.2 pep chromosome:ALNU02000000:1:6723891:6728613:1 gene:OGLUM01G07690 transcript:OGLUM01G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45760) TAIR;Acc:AT5G45760] MPQRGSRLQRRPSDFDRAVCGSRVGLAGVRRCDAMSETDSSPAAAEGRKPRRLRGHRKGAVTCCVTSSSRPGVVASSGEDVLFTMEATNQPISSMCFKAGNEDLVYASAGNKILSFDVRMGPQAKPLDTYNYNRDEINQIAVSSKGFLAAADDSGDVKIINTIQKCLYKRLREAHTTITGGLDSKLAVWDFSKGRTLFSIDYGSPEMQNGSSGGQCFNPPFVHSIAVSEEGILGGSYKVCAVARGDGAVDVVDLEYELAPAKSKGLPRMADLSLTSKRTDIGDGCGSQRQGKRIHLDYTVGGHTSSVSCVTFSAFGEKGKFLVSGGNDSSIKLWDWSKGFFSETNNSAELVLDIKVNWLCTTTTDSDNLIVCDTSKVVKVYNLP >OGLUM01G07700.1 pep chromosome:ALNU02000000:1:6728633:6731878:-1 gene:OGLUM01G07700 transcript:OGLUM01G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLTSVSSHLRAPPPWPPPKNSSPRTRVRCGVLAASGHVLEAASAPRGAKNSPSRAPRTDVDVQIERLCRAGELAEALRLLGSDGVDARSYGAVIQLCSDLRSLEAGKRAHFLVRASGVGKDGIDSVLGRKLVLMYVKCGDLENARKVFDEMPQVSDVRVWTSLMSGYAKAGEFQDGVLLFRRMHCSGVRPDAHAISCVLKCIAGLGSIADGEVVHGYLEKLGLGVQCAVGNALIALYSRCGHVDGSLQVFDGMPHRDVISWNSVISGCFSNGWHDKSIELLAKMWSEGLEINPVTMLGVLPACAELGYNLVGRVIHGYSVKTGLLWEFESMENGIDENLGSKLVFMYVKCGELGYARKVFDAMSSKSNLHAWNLMMGGYAKLGKFQESLLLFEKMHECGITPDEHTISCLLKCITGLSGVMDGLVVHGYLVKYGFGAQCAVCNALISFYAKSNRIEDALMVFDEMPQRDIISWNSIIGGCASNGLYDKAVGLFVRMWLEGQELDSTTLLSVMPACVQSHYSFIGVVHGYSVRTGLIGETSLGNALLDMYSNCSDWRSTNKIFRNMEQKNVVSWTAMITSYTRAGHFDKVAGLFQEMGLEGIRPDVFAITSALDAFAGNESLKHGKSVHGYAIRNGIEEVLPVANALMEMYVKCGYMEEARFIFDHVTNKDTISWNTLIGGYSRSNLANEAFTLFNEMLLQLQPNVVTMACILPAAASLSSLERGREMHAYAVRRGFLEDNFVANALVDMYVKCGALLLARSLFDTLTNKNLISWTIMIAGYGMHGRGRDAIALFEQMKGSGIQPDAGSFSAILYACSHSGLRDEGWRFFNAMWNEHRIEPKLKHYACMVDLLCHTGNLKEAYEFIETMPIEPDSSIWVSLLRGCRIHRNVKLAEKVAEMVFELEPENTGYYVLLANIYAEAERWEAVRKLKNKVGGRGLRENTGCSWIEVRGKAHIFFAENRNHPQGMRIAEFLDDVARRMQEEGHDPKKKYALMGADDAVHDEALCGHSSKLAVAFGVLNLSQGRPIRVTKNSRVCSHCHEVTKFISKMCGREIILRDSNRFHHFEEGRCSCRGYW >OGLUM01G07710.1 pep chromosome:ALNU02000000:1:6732062:6734220:-1 gene:OGLUM01G07710 transcript:OGLUM01G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTMARSGRRRRRRGGGGSGGDGGFSVARAAAGKELCRSRGGNPKIVVLGVCMVTITILPRWNGTERADRKKASFWN >OGLUM01G07720.1 pep chromosome:ALNU02000000:1:6732099:6733618:1 gene:OGLUM01G07720 transcript:OGLUM01G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGGILLQHHQISPNYLAKAAFPGSFALHKDFANGILLCPPRNSFSCSSLGSIRSEANGSPLPRTVNRRSKEELIEFFKSIQTSIAEESPRTSRRTRKQSSDPFEEVERRKQSYGIADVSEEHADGEPKALDLNDMKVAELRELARARRMKGCSRLKKSELIDRLKGV >OGLUM01G07730.1 pep chromosome:ALNU02000000:1:6734022:6739687:1 gene:OGLUM01G07730 transcript:OGLUM01G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTASLCTVEKRGAVHVITLTGAGEHRLGPPLLSALRSAVAAVRAGGALVIAGEGKYFSNGFDLAWARAAPAELHASMGGAFRGLVADLLALPVPTVAAVTGHAAAAGCALALAHDAVVMRASRGFLYMSEVDAGLKIVDFFAELIRQKVPDAVNRRDLVLRGDKMTAADALRRGIVDAAVDGGVDDVVAAAVRAAEALAARGWDGEIVAETRKAIWPELWAKVKDHAADAPRPRPRL >OGLUM01G07740.1 pep chromosome:ALNU02000000:1:6738621:6742149:-1 gene:OGLUM01G07740 transcript:OGLUM01G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGPEPMELDAPPPPAAVSAAGGGGVQIWGKKEEGGGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQHGLNFAHAGS >OGLUM01G07740.2 pep chromosome:ALNU02000000:1:6738621:6742149:-1 gene:OGLUM01G07740 transcript:OGLUM01G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGPEPMELDAPPPPAAVSAAGGGGVQIWGVRLDLGWGSKKEEGGGDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMEHPNVICLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYIYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELAGASPELIHRLIPDHIRRQHGLNFAHAGS >OGLUM01G07750.1 pep chromosome:ALNU02000000:1:6746748:6754215:-1 gene:OGLUM01G07750 transcript:OGLUM01G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNNVYEKYYTKVEFKLCAASNEQTGRPAGADGGRQRFWITTTLSVSTLAAATAIHSGAVSPVPLRVCPSLVVCIGEHLLDREHGMRCRICNSVARCVLPWKASPANLIDHNAQRFQHIRGRGGVRTLDRTLNDACGMPDLLAQDGGRRLSARSPAGMTSTRIATSRSGSVTTRRPAQFILLSSAVGKDLQRLGEEEKGHPWSLEGEEMSIALEMMEAEREMDKRRSRRWMGSGA >OGLUM01G07770.1 pep chromosome:ALNU02000000:1:6760573:6763677:-1 gene:OGLUM01G07770 transcript:OGLUM01G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTDTTNSTDASFVRFTGVGGDETRANPKPSRRRHGRRAPRRRRRHAASSPVARLLPYSSPGPCAKGGGAASTLHAATRGAPAPSIQAPSVVRRYCSAGGGYAAVRPARGASPLQVPSLVRRYGSTTGATATATPAAGVPALHRASPLPLFVPRRAYVSPRRRRVYSSEEYSSDEYSSDGYSSGQEYKYVEDCQVAKVMDHQLRRIEKVEAGLKQLGWFQIITVSLLGLVTYQCHSEVSRLDKEVAAPKSESP >OGLUM01G07780.1 pep chromosome:ALNU02000000:1:6765061:6766596:1 gene:OGLUM01G07780 transcript:OGLUM01G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y503] MARMGISKGGSGKEAKKPPLLLGRFEVGKLLGQGNFAKVYHARNVATGEEVAIKVMEKEKIFKSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVARGRLPEADARRYFQQLVSAVAFCHARGVFHRDIKPENLLVDDAGDLKVSDFGLSAVADGMRRDGLFHTFCGTPAYVAPEVLSRRGYDAAGADLWSCGVVLFVLMAGYLPFQDRNLAGMYRKIHKGDFRCPKWFSPELIRLLRGVLVTNPQRRATAEGIMENEWFKIGFRRFSFRVEDDRTFTCFELDDDAAVDAPTSPPDTPRTVDGGDVGAAPTRPRKAGSLTSCDSAPSLLEGRFGLGGSSRRRSSLNAFDIISFSPGFDLSGLFDQDDGGGAGAGSIPEQQKHTARFVSAAPVEVIVATLEAAAAAAGMAVREREDGSISMEGTREGEHGALAVAAEIYELTPELVVVEVRRKAGGAAEYEEFFRARLKPSLRELVCDDRPCPEDSGELSRSL >OGLUM01G07790.1 pep chromosome:ALNU02000000:1:6770931:6774047:1 gene:OGLUM01G07790 transcript:OGLUM01G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDDFFTSTTKKDGVTSKISASIASCPFLPSLDPWSFTSPSCFFTLKLSVSAICSSFDTIADAGFCVMNRESAFCSLSFSNRPDRSNPLEREMMSNAFKLTGFKELAGSFALPSLSLCATRRVEQPVLLLTLLEGAQPKVRHFEVAILVDEEVLWLEVSVVDTAAVTEVHSPYQLLEVLPRSILLESPPGYPAEELTTPDILHCKVYLALAGHDLVQLDDVGVANEAHDGDLSLDLVNHADTQYLFLVNDLDGDALVGCKVPCMVHLGEGALPEHAAELIPVHEDGRLLLHPAGFFRDSSVQKKQMGGFVYKRLLCATSGLLPPSLIHVMEG >OGLUM01G07790.2 pep chromosome:ALNU02000000:1:6769852:6774334:1 gene:OGLUM01G07790 transcript:OGLUM01G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEVASKQNKEYDTARPYVCFCSIIAPLIDYLWCNICRCATRRVEQPVLLLTLLEGAQPKVRHFEVAILVDEEVLWLEVSVVDTAAVTEVHSPYQLLEVLPRSILLESPPGYPAEELTTPDILHCKVYLALAGHDLVQLDDVGVANEAHDGDLSLDLVNHADTQYLFLVNDLDGDALVGCKVPCMVHLGEGALPEHAAELIPVHEDGRLLLHPAGFFRDSSVQKKQMGGFVYKRLLCATSGLLPPSLIHVMEG >OGLUM01G07800.1 pep chromosome:ALNU02000000:1:6772160:6773545:-1 gene:OGLUM01G07800 transcript:OGLUM01G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y506] MEKKASILMNRYELGRMLGQGTFAKVYHARNLASNQSVAIKVIDKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFSRVARGRLKEDAARKYFQQLIGAVDFCHSRGVYHRDLKPENLLVDENGNLKVSDFGLSAFKECQKQDGLLHTTCGTPAYVAPEIINKRGYDGAKADIWSCGVILFVLLAGYLPFHDSNLMEMYRKISKGDVKFPQWFTTDVRRLLSRLLDPNPNTRITVEKLVEHPWFKKGYKPAVMLSQPNESNNLKDVQTAFSADHKDNEGKAKEPASSLKPVSLNAFDIISLSKGFDLSGLFENDKEQKADSRFMTQKPASAIVSKLEQIAETESFKVKKQDGLVKLQGSKEGRKGQLAIDAEIFEVTPSFFVVEVKKSAGDTLEYEKFCNKGLRPSLRDICWDGQSEHPSLAQSSTLTQSSKSISRHAI >OGLUM01G07810.1 pep chromosome:ALNU02000000:1:6777858:6781507:-1 gene:OGLUM01G07810 transcript:OGLUM01G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARWGPRRATVGPHLRDALRHLLFVFLVLLQAQAGRGGATLNGEGMALLELRERVEADPHGALRDWDPADATPCRWSGVHCFDGKVEILNLTGRELVGTLAPEIGRLQLLKSLILRNNNFRGKIPKEFGGLTALEVLDLSSNNLDGTIPEELMAMPLLKQLSLHDNQFQDDISSLHIQDISDEQAGCLSRKLGCWAGFKDWTSFSDLREKYSTNLASLGEPHIMQNLQSFASAVGRRLLGEVGNLPALSGNDAKSSGPVNSEEIQRAIDVLSLGSGSFSAFPNSEAEVLESAVNTDAAAMQSGAANQSTDEVSGSKHSKWAYFMIIPAAILLISLIVAPILVWRKRGRAAIGPWKTGLSGPLQKAFVTGVPKLNRPELEAACEDFSNIINTFPSCTVFKGTLSSGVEISVVSTAILSLKEWPKSSETCFRKQIDTLSRVNHKNFINLLGYCLENQPFMRMMVFEYAPNGTLSEHLHLKEFEHLDWAARMRIIMGVAYCLQYMHHDLNPPVAITDMRSDTIFMTDDYAAKIADVGIWKEVAIKAKTAKEDSSSRSECPPDIASNVYCFGTLLIEIISGKLPEADDQESMCNWAAEYLKGKSYSKLVDASLKEHNANELEAVCEVIQECIDPDSDQRPTMRDATRKLRQALNISPEAATPRLSPLWWAELEILSAEAT >OGLUM01G07820.1 pep chromosome:ALNU02000000:1:6783463:6788759:-1 gene:OGLUM01G07820 transcript:OGLUM01G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTTAAASPGRRRRLLLVVVVLLLLLPLVASAAAVPHRHRLPSHHLASLKLNASAPPTTYFEVDRPIRPPRGSVGPCSTLLLSNSFGATYGRPPVTAAYAPPSCLAGGGGGGGGASSIALAVLEWSADCRGRQFDRIFGVWLSGADLLRSCTAEPRATGIVWSVSRDVTRYAALLAEPGEIAVYLGNLVDSTYTGVYHANLTLHLYFHPAPPPPPPPQQADLIVPISRSLPLNDGQWFAIQNSTDVQGKRLTIPSNTYRVVLEVFVSFHSNDEFWYTNPPNEYIEANNLSNVPGNGAFREVVVKVNDDIVGAIWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHDFGFGVTNALDVWYIDANLHLWLDHKSEETTGSLISYEAQGLVLNVDSGFSGLDGHNVYSKNGSVQVVNQTIDAKSGVFAKDALAVLLSEELHQIFPLYVYTGTSDEEADEYTLISYVKLGVNEKETSGGKMGFSYNSLRNAQSAHGSMKVKKNLVVGGLGETHQAYKYVGADGCYFRDVRSKNYTVLSDHSASRHRFALQPPAMASRGTTSSCLLALLLLLLLPIAPLAAPRRSRFPSTLRLASFDASPPPPPTTFFEVDRPIRPPRGSVGPCSTLLLSHSFGYTYGRGPVTAAYAPPACLAAGAAAGGSLALAVLEWSADCRGRQFDRIFGVWLSGAELLRSCTAEPRATGIVWSVSRDVSRYTALLAAPGEVAVYLGNLIDDTYTGVYHANLTLHLYFHPAAAPPPPEQQHADLILPISRSLPLNDGQWFAIQNSTDVQSKKLVIPSNTYRAVLEVFVSFHSNDEDWYMHPPNEYIEANNISSLPGNGAFREITVQLDGDVVGAVWPFTMEQKSGVGINKISHVKGRS >OGLUM01G07830.1 pep chromosome:ALNU02000000:1:6791561:6792031:-1 gene:OGLUM01G07830 transcript:OGLUM01G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESVNEGHPDKLCDQVSDVVLDACLAQDPEGKVACETCTKTMAMVFGEITTKATVDYEKIVRDTCRNIGFVSDDIGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGASDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCA >OGLUM01G07840.1 pep chromosome:ALNU02000000:1:6795813:6801716:-1 gene:OGLUM01G07840 transcript:OGLUM01G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHLLLLLVFYIPAAIASRRNLRLSPADIAVPDAAAADTPTTYFEVDRPLRPPPGSSGPCSTLLLSSSFGFTYTKPPVTAAYSPPDCLAAAGGGAPAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPNGIVWSVSKDVTRYASLLAAGNSTLAVYLGNLVDDQYTGVYHANITLHLYFGPTPARQPAPATAPADIIVPVSRSLPLNDGLWFQIQNATDVESASIVLPSNTYRAVLEVYVSFHGDDEFWYTHTPDGNGPFREVTVLVDGDLVGAVWPFPVIFTGGINPLLWRPITGIGSFNLPTYDIELTPFLAKLLDGKAHELAFAVTNAVDVWYVDGNLHLWLDPMTTATTASLVSYDAPRLAAVNTSHTTASRFDGLSERYYYHTTASRRISAAGWVESPSHGRITTNATQTFAFENTYAFAGDGSAETVNQTTVADAAVSATDLAGAVLYSRQAHHDFPLYVDIEAKTSPHAADVTYTVAREYRETAIAAGRWLSSGTPPRRYSLRDTQSGAVDVEMRDGNAVSATWGTRQTYRLEATDGCYFRNVTSSGYSVASDESDEVCSDSQEYPAGGAVIGALPAAAAATASADELVRNYRMFVMRNGRQCHQHRCVAFLHTETMTTSSAPLLLLCLCFTLLVLHFRSCAASPRDLRFSAADIAAVEAVLPSLRRARNTFFEVERPLRPPRGSSGPCSTLLLSHSFAFTFTKPPATAAYSPPPCLADAAGGARAVSLAVLEWRADCRGTQYDRIFGVWLGGAELMRGSTAEPRPGGVTWSVHKDVTKYASLLAAGNSTLAVYLGNLIDETYNGVYNADLTLHLYFRRAARSPTAASAPADVVVPVSRSLPLNDGLWFVVDNTTDVESARLTVPPNAYRAVLEVYVSSHNFDEFWYMNTPDQNGPFREVTVHLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNFPSYDVELTPFLGKLLDGKEHELGFAVTNAQKSWYVDANLHLWLDPKSVATSGGLVAYDAPKLTGKIVSNSSDGIDGQYDATASRNITATGWVRSSRGNITTTFTQRLTFVHTNVVTSQGSSQAINQTTEARTEVVTGDGAHALQLHQSFPLYIFLGGDGSGTSSQRLMRRVAIGFDETRAAGAGGSSSAASTLHNEQTAAAEVVLRDDQVVGASWRMHQVYEYGGSDGGCYSRNVSSVGYDVLFDHNEESCAGTRRR >OGLUM01G07850.1 pep chromosome:ALNU02000000:1:6802811:6832432:1 gene:OGLUM01G07850 transcript:OGLUM01G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAASLLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAALLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHHRAFGGGAESGSSGAATRARWVTGTLLMLLSNVTWSLWIVLMSPLLNEYPCKMLATALQSLLSAAQSLVLAAAAAARDPAAWRLRLDAGLLAVAYSAVAVTGVSYYLQAWCIQKKGPVFLAIFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSRSAFSSRSNVQAMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERRNAPPMSLRLFIKFFLCALFGSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRVFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAAAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKEAAAAAPDADASNSGSELHHGRWVSLEQQVIYTGLYIISKAAFNQGMNTFIFSFYRQAAASVLLLPLAIILERRNAPPMSPRLFIKLFLCALLGNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLAGVLVIALYAGPAISPLNHHRAFAGGGGHEASSESGTRTRWIEGTLLMVVANAMWSLWIVLMAFLLNEHPNSKLLATTLQSVISTAQSLALAAAVERDPAAWRLRLDTGLLAVVYSGVAVTGVSCYLQAWCIEKKGPVFLAMGSPLSIVFTIFCSLFLLGEIEHLGSIVGGILMVAGLYSVLWGKNKEHKTLTLTTATATATATVAAVQQQEAAAAPAPDADSGNELQQRRLASPEQQV >OGLUM01G07850.2 pep chromosome:ALNU02000000:1:6814358:6832432:1 gene:OGLUM01G07850 transcript:OGLUM01G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYVIVIVIQLINTGLFVISKAAFNHGMNTFVFIFYRQAAASLLLLPLAIVLERRNAPPMSLRLFTKLFLYALLGNTLSLNMYNLGLKYTSPTVASATTNSIPVVTFFFALLLRRAQSIIHLWVEVIRLKSLSGAAKMAGVVLCVGGVLAIALYAGPAISPVNHHRAFGGGGGGGHESASATTRTRWVKGTLLMLLSNATWSLWTVLMASLLREYPSKLLATAAQCALSAAQSLALAAAAAGRDPAAWRLRPDAGLLAVAYSAVAVTGASLYMQAWCIEKKGPVFLAMSNPLSFVFTIFCALFVLGEVVHLGSVVGGVLMVVGLYSVLWGKSKEHDTLTLATAMPTPASVQQQEKKVAAVPAPADSSSRSAFSSRSNVQAMGAKTPYVVIVIVELIYTGMYIISKAAFNQGMNTFIFIFYRQAAASVLLLPLAIVLERRNAPPMSLRLFIKFFLCALFGSTGTLNLYNMGLKYTTSTVASAAGSSIPVMSFFLALLLRQEMIRLRSLPGSAKAAGVGLCLAGVLVIALYTGPTISPLIHHRVFAGGGHEASASGSGRTRWIVGTVLILLSNVTWLLWSMLMAPVLREYPNKLLATTWQCVISAAQSLAVAAAAAARDPAAWRLRLDTGLLAVAYSGVVVTAVAFYLMAWCIEKKGPVFLAMSTPLAFVFTVFCCIFFLGETVHAGSVVGGVLMVAGLYSVLWGKSKEQDKLTLATATPTVAAVEQKEAAAAAPDADASNSGSELHHGRWVSLEQQVIYTGLYIISKAAFNQGMNTFIFSFYRQAAASVLLLPLAIILERRNAPPMSPRLFIKLFLCALLGNTGSLNLYNMGLKYTSSTVASATTSSIPVVTFFLALLLRQEVIRLSSSGVAKAAGVGLSLAGVLVIALYAGPAISPLNHHRAFAGGGGHEASSESGTRTRWIEGTLLMVVANAMWSLWIVLMAFLLNEHPNSKLLATTLQSVISTAQSLALAAAVERDPAAWRLRLDTGLLAVVYSGVAVTGVSCYLQAWCIEKKGPVFLAMGSPLSIVFTIFCSLFLLGEIEHLGSIVGGILMVAGLYSVLWGKNKEHKTLTLTTATATATATVAAVQQQEAAAAPAPDADSGNELQQRRLASPEQQV >OGLUM01G07850.3 pep chromosome:ALNU02000000:1:6802811:6814354:1 gene:OGLUM01G07850 transcript:OGLUM01G07850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKPYFIAIIIQVIYTGLFVVTKAAFNHGTNTFIFIFYRQAAASLLLLPLAIILERKNAPPMSIRLFAKLFLYALLGNTISFNLYNTGLKYTSSTVASAAASSVPVLTFFFAALLRLEVIRLRRLSGVAKVAGVGLCLGGVLVIALYSGPALSPLNHHRAFGGGAESGSSGAATRARWVTGTLLMLLSNVTWSLWIVLMSPLLNEYPCKMLATALQSLLSAAQSLVLAAAAAARDPAAWRLRLDAGLLAVAYSAVAVTGVSYYLQAWCIQKKGPVFLAMSSPLSFVFTIFCSSFFLGEVVHLGSVVGGVLMVAGLYSVLCGKSKEHDTLTVAAGQQEEDAAAPPAAESSSSSDNESKHQQGRFASADQQLRRSKAY >OGLUM01G07860.1 pep chromosome:ALNU02000000:1:6833700:6833915:1 gene:OGLUM01G07860 transcript:OGLUM01G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHTPLRRCHRRHKRQQPRCTAVKTLVGAAGGCHRTDKGLSTTSVAAPDPCLRHTCPALTCVSGLPQQLR >OGLUM01G07870.1 pep chromosome:ALNU02000000:1:6839019:6840869:-1 gene:OGLUM01G07870 transcript:OGLUM01G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCYVRVLFLLLLVVTLLPISIASPLKLRLSASEVAALEAHAPPPPPPPPPDTPTTYFEVDRPHRPPPGSFGPCSTLLLSHSFGFTYTLPPVTAPYSPPPCLAAAGGRASAISLAVLEWRATCRGVQFDRIFGVWLGGVELLRSCTAEPRPKGVVWSVSKDVTKYASLLAARNSSTLAIYLGNLVNDQYTGVYHANVTLHLYFRHPPQPPQPGLGPADVIVPISQSLPLNGGQWFQINNNEDVESASLAVPANAYRAVLEVYLSYHGSDEFWYTYGNPFNDNGPFREVTVRIDGDVVGAVWPFPVIYTGGISPFLWRPISGIGSFNLPSYDIELTPFLGWLLDGEEHELGFAVTDAQDFWYVDGNLHLWLDPRSAATTAGIISYDAPPLEKVTVVASRGPGNEYYQTTAFRRISAAGWVQTSSYGKITATWTQRFSFVNTNQVREDTEQTVNQTTDAYSGVHVTDHAGVAYSQEAEQSFPLYIYQGQVNQTSNDSFTEETSVQLRFEEERVAAGRPGFWSRSLSNAQDSAVDVRVQEGDAVGTSWRAAQTYRYEATDGCYYRDVASQGYGVVSDHADEVCAKGSPAAGRAIAGGAATELGGDAAAAAGVARLSS >OGLUM01G07880.1 pep chromosome:ALNU02000000:1:6853275:6873131:1 gene:OGLUM01G07880 transcript:OGLUM01G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSRHFATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSKEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVYVPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISSFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSLSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEDMLESTSDLPPVHTPVQEEHLLPIAPPDQQNLLPIAPPDQQGHAKENDRKVDGVKEISNPLDIEIRASLLQQIRDKSGQQKLNGHEKSKAVGSDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >OGLUM01G07880.2 pep chromosome:ALNU02000000:1:6853275:6873131:1 gene:OGLUM01G07880 transcript:OGLUM01G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRADKTGNFQREKKSQKIKRKGSRLREPYHGQTTPRQRNGELQRALTAVQLTSRHFATPSTDGRSLSENRSTSDVRSNPDNISRSSSFSSKARLSFTEQVLDTKPTVVPHENGHDKLSNNNLHKLSNTPLHTRLNGTSADDLGDDLKQSSLLDDMTARSPSVKWDEKAEITMSTTSVYCDDVVMDKAEHVQSKCISPEQQEIDHREMETLEQQEALHQKAKQLLVSSGLNHHDEVPSETDNYVDALNTLESETETEPELQTKSRVKPVPSLNVDVPQVELIDNIVTESPDSSVAEFPDAYQNSSMPPAPESAADFPSLSSADAPDISEPVLSGYTANPHPEVSAIATNTPVSNTEDAPGPLEISESASRAYIITLPNQSFPDSKEIPDSKAEDAPIDSPEKLEPGPSSYTPTIPIKESSIVSQNTNAENVSGDCSEGTACAISYSQHIISDKPTNEVSATNSSPDDTSSDEDTVESGGIVEVSNSQPMPLNDSLENGCATQGLPANAPTNSTGVSSVKLWTNAGLFGLEPSKPPVFGAHDGPKEDTTPGHTQPQLCHSTGCPEVHFSKPTESAQVYVPNGNSPITSSFVGKLVGICPGSTSHSSETNQSTVRTPDTVIGQTEGSTGCSTSFEHSDHKNIIGKQTSISELLESEDSAENGAEMFSKTDMTGRNNMNQVSASSFSSIAQRFLANTLQRRTPKYTDLPMSSVIVNTDANGTDESTQISSLAPNETTFEASQFEKKTENDTNGLPKSSLFSSSHYSEKSSPPLEYMKISFHPMSAFEMSKLDLDFSDENLHENADDMMLPTFQLLPGSSVPQLGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSELWDQEDANGLEDHDMHNNPNQIGSFGAPISSFVEFEQMDLSGAKSTVSLTDLGDDNGLGTLDSHPAGELPNFDTLMAHQNEAFIPHNPVSLSPDEGQLPPPPPLPPMQWRTMRQVASVEEGRGSAAKEDMLESTSDLPPVHTPVQEEHLLPIAPPDQQNLLPIAPPDQQGHAKENDRKVDGVKEISNPLDIEIRASLLQQIRDKSGQQKLNGHEKSKAVGSDTKNLDEREELLQQIRSKTFNLRRTNASKTNTSSPTTANSSVVAILEKANAIRQAVASDEGGDDDSWSDI >OGLUM01G07890.1 pep chromosome:ALNU02000000:1:6873360:6878812:1 gene:OGLUM01G07890 transcript:OGLUM01G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alg9-like mannosyltransferase family [Source:Projected from Arabidopsis thaliana (AT1G16900) TAIR;Acc:AT1G16900] MSLSSARQRRATAASPPTDDGYSKEAKDRRRRPSGEEEEEGIRWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSNFALRSYLYLFIHALVAGPASMIFGEHKVRVFYSVRIFLGLISTITETVLVVALSRKYGKRLACYVLAMLCLTSGCFFASTSFLPSSFSMYAVTLSSALFLLENYAAAVSVAAAGVILGWPFSILVFLPVTVLSVIADYYCYGKWTASVFNLLKYNVFGGGESHLYGTEEPSFYFKNGFNNFNFAFILALLFLGFVPFARKKYVPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYPLICVAAAAVIDTFPDFFHDKYSSEQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPIQIYQHLEHHEDTGPGSVLCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTTAAPSYFNDKNKASDEQYVFSLAFKLYSTYFLLTILRVTTSLHFWLQLKDIGACSLLVELDLRRPYPSRGSDLSTWETLAALPFLDRELSPALYRSFFIPHRWQQNNVFGLYKLLRRLPTDQA >OGLUM01G07900.1 pep chromosome:ALNU02000000:1:6887457:6888506:1 gene:OGLUM01G07900 transcript:OGLUM01G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHASTDPSASDHDAPARRRRHRPRGLLRFRRHRSPTPPSADPPTPELTPSPSAPPSYFSYPDIAATASYVVVATLPVFRGDPGECPDAHLARFDRVVNSATSPDAAARTFPASLDGDAALWYDLAAPGADDSPPPWDAVRAAFLDFFRPPGAADRARGDLLSMRQRPGEPVNRYYLRMQGILRRFPGRGGGGADVPDSFLKSAFVDGLLPEFHDWVAPQRPEALDVAVALALSWERAESVREARRAAKAARMTRCEFCDTEGHEEAQCETRRRMRALCLRRSSSSRRGGASATMIVEEAAEEEGGGSMRFARLGSTVSTRSAQCQCRKHQCRKKAVVVSELAGDGEGR >OGLUM01G07910.1 pep chromosome:ALNU02000000:1:6890127:6890357:1 gene:OGLUM01G07910 transcript:OGLUM01G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEPRPQRHTEVAAPVPTPEPSHHTKVGPNSRRRCHRTVSLCNRRATPLLAAVVEDGVAGGRPRAGGMMNRKVWA >OGLUM01G07920.1 pep chromosome:ALNU02000000:1:6890835:6894596:1 gene:OGLUM01G07920 transcript:OGLUM01G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSDDLVYMAKLAEQAERYDEMVEAMNSVAKLDEGLTKEERNLLSVGYKNLIGAKRAAMRIIGSIELKEETKGKESHVRQTAEYRRKVEAEMDKICCDVINIIDKYLIPHSSGAESSVFYYKMKGDYYRYLAEFKTGTEKIETASKTAQTDLTPTDPIRLGLALNISVFYCEIMNSPDKACQLAKNAFDEAVAELPSLSEENYKDSTLIMQLLRDNLALWNSDMADDADDIRERTYTTGAKGDPAA >OGLUM01G07930.1 pep chromosome:ALNU02000000:1:6895257:6899579:-1 gene:OGLUM01G07930 transcript:OGLUM01G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGGSRAAPPADSAAVAAVAKEAEYQKGVQKLVDLLSKLNPAAKEFVPSSAAVSSPSRKALSADAPVFDYNSIGGWNGGGKESGADAYQQRRRRNGYLSQGRRRMNERARHADREDSIRRTVYVSDIDHTVTEERLADIFANCGQVVDCRICGDPHSVLRFAFIEFADEEGARTALNLGGTMLGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVIRTVYCTNIDKKVTQLDVKNFFEELCGEVSRLRLLGDNVHSTRIAFVEVSPSKTPVKPRLNRVASN >OGLUM01G07940.1 pep chromosome:ALNU02000000:1:6906378:6915071:-1 gene:OGLUM01G07940 transcript:OGLUM01G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDQKYGHVRPSRERPPGHCVVDPTVAPPYWALLFSVTWMGRFSTPKGRREGGREGGETKEARNPNPEAARLSDQLRLGATMTTQAKEKCPLCTEEMDLTDKQLKPYLSLVLASDNGNGSEGRMWREMPRVSLKELCADKSNFQKEQAKSQKQKPVKVQSGVTEESIDPYSVRVIQHRLVYIVGMPSEFASDKVLRQHNFLGQYGKIESIIIDNIGANQQIPDSGRVYVTFSREEEAFRCIEAVNGFILDGRPLKATFGVTRYCHVWLSNKVCRKPICSYVHQKAPPEDICTKDDVAVYCARLQHLLGMDTKGLRSGNTLPPPGDCVSRTTVCNGNSKDKTCSDDYGVLHNHGNKNLGTLPATTLQEEKKRNSTPNNQQGLSASVSQELPPLGPKVHHLNDQLASCGDKPQASVQSANGNLNSKQVTAAGNGTVGTLSAKQYVNVVSQGSSGSGRRFTVLTRQTASSDTRSKATGQVGNASSDSQKLTSANNEHSDRIKISRSDNVKLVSQRPEEPSQMLANHLTRAIDKTHVDTDEKNARSDINEKTVCGIQMQLKESTAAHRSTVLQSLRDNPMSNNLPTLDVKSQISVVPDKPSDSQSASKTQLQPSNHKKTAVCSSDTANASDACGIANNQVLFPGGKHQTSSQGEDHSLYKRDKSQSRDQLSSQHPGNVFSPRLLTSLSSIDITAKENKGIKRHVCPPGFEELHRPSDSDKITSVSSPTSIMCSGPDTLVQDSCSATDQPDFISWVSECLEDGGETTQSNRSIPSTLSSTDATWRSMQYPASCFSGASNHFLVSPYPRGLSQHTVGRIENTMNCCCSHPSVSGIANHMPEYWSGSDHSYMPTGGYDVFSQSATLGMIAGMVGTSPQQPSPPVHYNDWTTGSADSDLKSPQVDHTYPMYSLF >OGLUM01G07950.1 pep chromosome:ALNU02000000:1:6933085:6936470:-1 gene:OGLUM01G07950 transcript:OGLUM01G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAHPPPADVRAIDLSATGPARAAAARALVAACEEQGFFRVTGHGVPPGLVRAAEAAAARFFVLPQPDKEAAAGAPLGYASKRIGSAGDLGWIEYLLLCLAPAAAAAALPCAATSPTPPCPLRELLREYSAAVRRVACGVLELMAEGLGVGPADALARLVAREDSDSILRVNHYPPRPDQLGGGGGPNLTGFGEHTDPQIISVLRSNGAPGLEISLRDGAWASVPHDGDGDSFFVNVGDTLQVLTNGRFRSVKHRVVVNSEKSRVSMVFFGGPPPGERLAPLPALLGDGGRSRYREFTWKEYKGSGCKGRLADDRLCRFEN >OGLUM01G07960.1 pep chromosome:ALNU02000000:1:6954938:6956228:1 gene:OGLUM01G07960 transcript:OGLUM01G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGAERWISPSQLRTTTSPSPSPPSPSLEDGWVSKPRTTAAQEAPLPLNRLTLLLRINLELLSLDHLSVAAAWSHGRRWRRATDLGRLLSLSGIGPVIGRKSPSVVDCGGREFRLQTTTSDDLRVRGWHESRSTLDVKKSRSWNCGALDIGPSPC >OGLUM01G07970.1 pep chromosome:ALNU02000000:1:6964465:6966411:-1 gene:OGLUM01G07970 transcript:OGLUM01G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTPEKPYEGKPAAEARSYWRWQKEDFFPEPSFASWGAYRAALAATPARFRDRFAGRSTDADELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEAHDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIQTSLAEGYNELDPIAVVVIAVTATLAILSAKGTSRVNWVASAVHVLVIAFVIVAGFLHAKTSNLTPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITAIYCVMALVLSMMQPYTAIDRSAAYSVAFSNVGMRWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATVLIAAAGACIGLFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTSRTHARRLVALLSVVIGSSAGIAAYWGAAPERWVGYTVLVPAWAAGTLGIQLLVPAARAPKVWGVPLVPWLPSLSIATNLFLMGSLGAQAFIRFGVCTAIMLLYYVLVGLHATYDVAHGACSGDDVDEEVYADAAHVDDAKAAAAVAANADVERAGAKI >OGLUM01G07980.1 pep chromosome:ALNU02000000:1:6983437:6984976:-1 gene:OGLUM01G07980 transcript:OGLUM01G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESFHGLVVVDLRTAVPLLAAAVALYILIEQLSYHRKKGSMPGPPLVVVPFLGSVTHLFRDPVGFWDLQATRASKSGAGLTADFLFGRLMVFIRDSELSRRVFANVRADAFHLVGHPFGKKLFGDHNLIYMVGKEHKDLRRRIAPNFTPRALSTYAVIQQRVILSHLRRWIDRSVANGGKAEPIRVPCRDMNLETSQTVFVGPYLTVETRERFDRDYNLFNHGFITLPIDLPGASSACAAGGEPECLVDYLMQETVREIDEAAAAGLPPPPHTSDMETGNLLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVAALWSPESGEPITAEMMTEMKYTQAVAREVVRYWPPGPVVPHIAGEAFQLTEQYTIPKGTIVFPSVYESSFQGFPDAGTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALLASLIDFRRERTEGCDVPVYMPTMVPRDGCVVHLKQRCAKLPSF >OGLUM01G07990.1 pep chromosome:ALNU02000000:1:7019507:7022148:1 gene:OGLUM01G07990 transcript:OGLUM01G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQGTRIGSALPPLAALRSSHRRRLWSPKSFLPNGWPMRWNASGRTLAKTRWDSSESRMKTTNRPIRKLAASPAPDFLARAACASQNPVGSRIICATLPTNGTTSGGPGSGPFLRW >OGLUM01G08000.1 pep chromosome:ALNU02000000:1:7019543:7022240:-1 gene:OGLUM01G08000 transcript:OGLUM01G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDFLDLRAAAPFVVAALAFYFLVEQLSYHRKKGPLPGPPLVVPFVGSVAHMIRDPTGFWDAQAARARKSGAGLAANFLIGRFVVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAAIQQRVILSHLRRTPRTSRTGEMVDSLLYGLLDLRMAAPLLAAAVALYILVEQLSYHRKKGSLPGPPLVVPFIGSATHMIRDPTGFWEMQAARARKSGVGFTADFLVGKFTIFIRDSELSNRVFANVRPDAFFVIGHPFGKKLFGDHNLIYLFGDDHKDLRRRMATNFTPRALSTYAAIQQRVILSHLRRWLDRSAANGGKAEPIRVPCRDMNLETSQTVFAGPYLTEEARERFKSDYNLFNVGLLAFPVDLPGLAFRRARQAVARLVRMLRDCARESKARMHAGGEPECLVDYWMQETVREIDEAKAAGLPPPAHISDDEEIGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRAEVASLWSPDSGEPITADKIAEMKYTKAVAREVVRHRPPATLMPHIALQDFQLTESYTIPKGTLVLPSMYESSFQGFHDPDAFDPERFFSEERREDVVYKRNFLAFGAGPHQCVGQRYALNHLVIFMALFVSLVDFRRERTEGCDVPVYMPTIVPRDGCVVYLKQRCANLPSF >OGLUM01G08010.1 pep chromosome:ALNU02000000:1:7037695:7038660:-1 gene:OGLUM01G08010 transcript:OGLUM01G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLETSQTVFAGPYLTKEAREKFERDYNFFNVGLMALPVDLPGFAFRSARLGVARLVRTLGECARASKARMRAGGEPECLVDFWMQETVREIDEAKAAGKPPPAHTDDEELGGFLFDFLFAAQDASTSSLCWAVSALDSHPDVLAGVRAEVASLWSPESGEPITAEKIAEMKYTQAVAREVVRHRPPATLVPHIAGEEFQLTEWYTIPKGTIVFPSVYESSFQGFPEPDTFDPERFFSEARREDVVYKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRDRTEGCDEPVYMPTIVPRDSCTVYLKQRCAKFPSF >OGLUM01G08020.1 pep chromosome:ALNU02000000:1:7054296:7055610:-1 gene:OGLUM01G08020 transcript:OGLUM01G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPTMATAWPEFGGGHHHHAAHGHHHQRSPSMGAFLAAPLPPFPLPPPAPANGGAQQQQQQHQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLDPVSDDNAGVGAHDFDRLDDDQLMSMFSDDLQPPPPQQQPAAPAASASSPSDHNSMNDEKQDKGETDEAQSECDGATPGQPASPATVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKNAESQPADAAPVRGRDNADLIGSEGAAAAAPCPHS >OGLUM01G08030.1 pep chromosome:ALNU02000000:1:7068180:7070406:-1 gene:OGLUM01G08030 transcript:OGLUM01G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSRSCAWPCGLGMAGGGREGGGNRQGVGDGVPPDGGRDSEARRKTATALGGCGVPRQWQSEHCESDKVEADPIVSCMSCTHYRANTHYSCTHISFDLSIYRANTEGIFDLQSQYRGERSGGWGFYLLCYGHRQGGLSRDGGGLSRSYRQQSMAALATKVKRRRRRGGPGSGRRRRRGGAEGRRWRQKTTAVVDGVLATKHNGRGAPLAERTPAEGWRTGAAPAERCARWIWGKGKTRSVEERIGGSSTRAWGPRMARPFWLAKFGQCEQHFVQPMAWPGQSVGG >OGLUM01G08040.1 pep chromosome:ALNU02000000:1:7072964:7093086:1 gene:OGLUM01G08040 transcript:OGLUM01G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVIGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFANACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >OGLUM01G08040.2 pep chromosome:ALNU02000000:1:7072964:7085697:1 gene:OGLUM01G08040 transcript:OGLUM01G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVIGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFANACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >OGLUM01G08040.3 pep chromosome:ALNU02000000:1:7072964:7085780:1 gene:OGLUM01G08040 transcript:OGLUM01G08040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G18465) TAIR;Acc:AT4G18465] MSRFWRPGSEKPTAAIVEDEEGGVLFLPTSTSSSSSSGFGYASLERQRQRLPVYKYRKAILYLVERHATTIVIGETGSGKSTQIPQYLKEAGWAEGGRLIGCTQPRRLAVQGMTMIKFLTDGVLIREMMEDPLLTKYRRKNSMLESADHLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLIIHEKEPPGDILVFLTGQDDIDAAVKMLNEEIQHRGRHYLGCYSSDDLLILPLYSGLPRGDQDLIFTPTSKGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEFYLKEMQPEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLYSLGILDEDAKLTVPLGFQVAEIPLSVWVSMRGVKKEFDEAKLRFAAAEKKVIDIREQLVRIIKRFGIPLTSCDRDMEAVRKAIIAGAFANACHLEGRFYSHTSPTYSQNVISLKKPNIRVNPKWVIYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >OGLUM01G08050.1 pep chromosome:ALNU02000000:1:7089504:7089980:-1 gene:OGLUM01G08050 transcript:OGLUM01G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSFLSTSIISPSSFLCFFAMDPGEPSTSDSLVLATAASKRYPPSLVAPPPAAAMTHPFLIVPTGGRDTLAAVVKGINSRGASPPWRPASPGGQRLRGMSDRGAEGEAQRNERHGGRRSVVAATRVEDGDAAVAGWRPAQGRWPGTLPGERTMTL >OGLUM01G08060.1 pep chromosome:ALNU02000000:1:7094413:7102313:1 gene:OGLUM01G08060 transcript:OGLUM01G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRSFPLVPLLLFLLAAAAYGRLISDGSPASASATSLLSNPVSAVIRLTTSNSASASSPPAAAPEEKCEQSYGFLPCTTTVLGNLFLVLAYGFLMYKAATFLSAGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSRETAQSQVLIGMGLLAGSTVFLLTVLWGTCVVVGKCDIGPNGVAVDLQNNKGFSLTGTGISTDVQTSYAARIMGISVIPFIIAQFPKMLKTHHGQRLAVLLALIVSFSLVLAYCLYQVFQPWIQKRKLAYAKHKHVISGILRHAQMEALGRLLNEDGTPNEDVIKKLFHKIDMDESQTLSRAELHALIIGINFEEVDFDKNDAVDKIMDDFDTSGNDIVEEAEFVSGMKRWLNEAKRSVPTSGAYSNKFITDYHARTRQEHDLLVDRSDETVESVENPGWCITKAVGLLLLGSAIAAAFADPLVDAVHNFSNASHIPSFFISFIALPLATNSSEAVSAIIFASRKKLRTSSLTFSEVYGGVTMNNTLCLGVFLALIYIRNLTWDFSSEVLIILLVCVIMGLFTSFRTTFPLWTCLVAYMLYPLSLVVVYILDFVFGWS >OGLUM01G08070.1 pep chromosome:ALNU02000000:1:7100153:7101874:-1 gene:OGLUM01G08070 transcript:OGLUM01G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHGGSNHLQQQHQHQLAKYGGGVAGTGVARASRKNKPKKVPQRGLGVAQLEKLRIEEQKKMEGGAALSSHGALGGGDGVLGHLPPPPPPSLALSALQSRSAADGGFPAALWSPVDPIKHPYKRSLCPQPPSPMVSTGLSLTASARHPTEPPSNQMYSSSGSRSSAAAAEEERETGGVDRSWPFMFEGMAAFRTTTSRAPPLVAPFSARTTTTGELAGLADVGPDLSRYEFRATNYFSANANYSDWTSDFAHCKSSKENGRARDPAFLTLSSQPPHLIKQPHVGSNISSSSSQPFYSFLPVGPVRCERTLSECKTDVSEGVDLELKLGNC >OGLUM01G08080.1 pep chromosome:ALNU02000000:1:7102326:7109344:-1 gene:OGLUM01G08080 transcript:OGLUM01G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAQELLDLFATSSKTARCEVAADILGWADFSTTVSSSDKGGSAETGNVGEARRPEPGGETRERDKKGGERVAEPSGMPETRRWLHSELSHSLGVRSDEREPCP >OGLUM01G08090.1 pep chromosome:ALNU02000000:1:7140555:7141109:-1 gene:OGLUM01G08090 transcript:OGLUM01G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPNSWLFADNSKYSTRARLLFMGLSFSIGILSFLVYLAIWYTCTRRRRSRQLRGGGSASADQEAPEANSHGMSAAAIAALPTFGYEASAAAAALDCAVCLGQVDAGEKVRQLPKCGHLFHAECVDAWLRAHSTCPMCRAAVEGPATAAIAKKASSGGGATNTPPVVAPAPPAAAEALPLPPV >OGLUM01G08100.1 pep chromosome:ALNU02000000:1:7151503:7152003:-1 gene:OGLUM01G08100 transcript:OGLUM01G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGAVDGVEVVSALIGQYYDGLALELVVFSLAVVVLRYAAVLYANHLVDSLSELQAAVGAGIGGSSSGGGLDSAAIARLPCFVLPPRRGGSAAAAAAECAVCLGAVEEGETVRALPCCPHAFHARCVDAWLRLRPTCPLCRADVPVPARPTAPRRRHVPRGTVE >OGLUM01G08110.1 pep chromosome:ALNU02000000:1:7153997:7154680:-1 gene:OGLUM01G08110 transcript:OGLUM01G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANDGHLDATSNNRGGLHEQTTTGCLPVDQSCFALSRLSYRRSSSSNPRACCSTTSYLEVLAISLASLLVIVLVLCAIRCYRMRRAVNRVTVAAAAAAAAGNVTNKKRPAPGLGEDAIAALPKFEYRGTGDECDRWECSICLCAVADGEVARQLPRCMHLFHRGCVDMWLVAHTTCPVCRAEVVVNKPPDEDDGRCAETPEDEAAPPASALEPARLENGERDLEAQ >OGLUM01G08120.1 pep chromosome:ALNU02000000:1:7156708:7165108:-1 gene:OGLUM01G08120 transcript:OGLUM01G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREANPKAAGGGGGGNSGCCSTTTLFGALAASLSSRVSCPVCRGKAAPADELADAIVARIAVTPDLVGPRVSMSVVVPVEMLKGEMVGASSTSRAASAPPEQLDAQAPGPETDLETSLRKCMQLID >OGLUM01G08130.1 pep chromosome:ALNU02000000:1:7160192:7162006:1 gene:OGLUM01G08130 transcript:OGLUM01G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARRTGAAYVDDERERDITFFKRRNGLFKCASDLSILTGASVAVVIEDQNRSKFHAVGTPTVQAVVDAALSSDVEEAAAEARPVADEQLMERIAPLERELAWLKGEAAEKDETTKASKARFKMAQKKEENEEEGDTKKKKLFFSKPDKLSSDEMNELLAEMLEIKKELNVRLPPLRRRGGKRPIQGSSVPPPPPPPPPPPQPEQQLQLPQWPNLSGPHNQLLPVAPPPFVADQPPPPPPPAAGGSLWIPELPPPPVEGSPWAGLLPLRPPRFAGMEPSFLESQQAPPPAQVSTQLAPLPLIREEAPLLQEPFLFADQAPVLAPLPAPLQMPVAETHLPLQAPLLQEPFLFSDHAPKLAPLPSPLQMPVAETHLPLQVQAPFMQEPFLFSDQAPVLAPPPTPLQMPVEAHMPLEAPWIQEPFLMPDQAPVHAPPPTPLLMPVGADHFPLEAPLFQESLIMADQKSVHALPPPPLQMPLEAHLPPAAQVYNQDLAVQQQPQEYENYDYMFENVGLSQAQPVAAGAGDAGFAAIGNDDNPFGYQQLVASPLYDGQIYFGSGVDNMGVPPAGDFGGVPEAALPEVEHASSSGWGNNITGDAGAWF >OGLUM01G08140.1 pep chromosome:ALNU02000000:1:7166341:7167054:-1 gene:OGLUM01G08140 transcript:OGLUM01G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTALSGAPPAARSEDSWSQQQRYNFSGRVLLTAVVILFVIAVVFAVTRVLLYYLVVRPGGGGGGRRRGVLAGGILRSLNSLGVSGRRGLDASALAALPVTAYRKNGGGGGGGEGSNRGGPGATAADCAVCLSELADGEKVRELPNCRHVFHVECVDAWLRSRTTCPLCRAEAEVPKARASAAATATAQSSSSLGDGGITVVVTIHGGSDEAGGRSTALTGQPGSSNSPSCEAARN >OGLUM01G08150.1 pep chromosome:ALNU02000000:1:7178460:7183522:-1 gene:OGLUM01G08150 transcript:OGLUM01G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPIHHEQTPHHVPDYQLAPPLPSRLETDGACMPVQQISPALRQKREKLQTFQQEEEKNERKNKNACKRNLHELHADRRCRKSPPLAEAAHACRSPPPYTPSGRSVRKQQPDTAVARARNARRSQLRLTVDNNSLRRYLEYLPSTVKDLYSVQVSAVCR >OGLUM01G08160.1 pep chromosome:ALNU02000000:1:7183542:7185413:-1 gene:OGLUM01G08160 transcript:OGLUM01G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWDCVYYGIRRGGWTRIGAATVAAGKKAAGDLDPRHHHHRVTHGGDGGGVGGGGSGGQEEADEQQQQQHDHHRLLQLHHHQGVQQDQEPPPVPVFHLQPASVRQLSGSSAEYALLSPMGDAGGHSHHHQHGFQPQLLSFGGVGHHHHLHQFTAQPQPPAASHTRGRGGGGEIVPATTTPRSRGGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLDVLPAWQPTAGGAGAGNAAAPPSSSTHPDSAENSDDQAQAITVAHTAFDFAGGGSGGTSFLPPSLDSDAIADTIKSFFPMGGTAGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSQSQELRLSLQSLPDPMFHHQQHRHGGGGGGGNGTTQQALFSGAANYSFGGGAMWATEQQAQNQRMLPWNVPDPGGGGGAAYLFNVSQQAAHMQAAAAALGGHQSQFFFQRGPLQSSNQPSERGWPETVEADNQMSHHQGGLSPSVSAAIGFAAPGIGFSGFRLPARIQGDEEHNGGGGGNGDKPPPPSSVSSASHH >OGLUM01G08170.1 pep chromosome:ALNU02000000:1:7217558:7219631:-1 gene:OGLUM01G08170 transcript:OGLUM01G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLVLFGDSITELSFADGGWGAALADHFARKADVVLRGFSGYNTRWALRVLARAMEGAAAAADPAAVTVFFGANDASLPDRKQVHQHVPLDEYQTNLRAICAYFKEQWPSTKIILITPPPIYEPARIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALCDGLHFTPLGNKILFDCVLETLESIGFSQGSLQPDLPLFHDIDPKDPLKAFEI >OGLUM01G08180.1 pep chromosome:ALNU02000000:1:7221704:7234003:1 gene:OGLUM01G08180 transcript:OGLUM01G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPPPSPSSKAFSRKSHAHASGPNSSKAAAAAGGGGGGVAAAFDAHNGTHVRTVTFSLSSSPAARRELRRRLTAELAQVRATCKRLSSLPAPAPSSALSATDPSTPLPPHPPVSKHKSKKGNPSSNPGLSAEARRKLYAPVFKSCSALLARLMKHKHSWVFNTPVDASALGLHDYHTIITKPMDLGTVKSRLAAGHYKSPREFAGDVRLTFQNAMRYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSKVLERSDSTVHAAGMEATPKQNTGRPPVLKKPKAREPNKREMTFWEKQRLSNNLQELPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSISKNKRKAENPVAGQDEMNHDIELEKTEHARLDEVEQDQMPPVQETLHNPEPESIDIEPPKENTADDNERYVGSSSPVHLEDQKGENAGRSSSSGSSSSDSGSSSSAYADTDTDSSSADGSDAAQSPRT >OGLUM01G08190.1 pep chromosome:ALNU02000000:1:7236141:7236870:1 gene:OGLUM01G08190 transcript:OGLUM01G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSIFGSVAGSSSYRDRSGQTWCCLGSSLHLRRFVFLLSLAGQKLHSAVTRNWRWRVLLCLCVKFLFLCFYYDVHVKSLFFVP >OGLUM01G08200.1 pep chromosome:ALNU02000000:1:7237771:7247773:1 gene:OGLUM01G08200 transcript:OGLUM01G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILLRGVLLLWPEPLRSSFPSPLPLLSPRPLLSTLCDLGTEFRFQQAELGAEMMTSDEAVR >OGLUM01G08210.1 pep chromosome:ALNU02000000:1:7251387:7254723:1 gene:OGLUM01G08210 transcript:OGLUM01G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKAAVVVAAVLLLLLAVAARRASSQSYNAIYNFGDSITDTGNLCTGGCPSWLTTGQPPYGNTFFGRPTGRCTNGRVIIDFLGTHKHSIFTSIDSALNFHCKLKDDEFNLFARAADRFGLPLLPPSKASGGDFKKGANMAIIGATTMNFDFFQSLGLGNSIWNNGPLDTQIQWFQQLLPSICGNDCKSYLRKSLFIVGEFGGNDYNAPLFGGKSMDEVKGYVPQIIAKITSGVETLIGLGAVDIVVPGVMPIGCFPLYLTLYQSSNSDDYDGNGCLKSYNSLSVYHNGLLKQGLAGVQAKYPAVRLMYGNFYDQVTQMVQSPGSFGLQYGLKVCCGAGGQGSYNYNNKARCGMSGASACGDPENYLVWDGIHLTEAAYRSIADGWLSGPYCSPAILH >OGLUM01G08220.1 pep chromosome:ALNU02000000:1:7280929:7295960:1 gene:OGLUM01G08220 transcript:OGLUM01G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRVAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEVHTFVPDVKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILETPPYSTYHTTMKLLRIVLVLLLPAVSSCLPCRRRDDYDYDSIFSFGDSFADTGNGAVVFAEHSLFSPATKPPYGMTFFGQPTGRNSNGRLIIDFIAEKLGLPFVPPYLAHNGCFRQGANFAVAGATSLDASFFSDIPGVGKFVLNTSSSVQLGWFDSLKPSLCSPAQECKGFFHKSLFFMGEFGVNDYSFSVFGKTPLEFGENHLRIIKRDGAKAVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDVIKNVQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >OGLUM01G08220.2 pep chromosome:ALNU02000000:1:7280929:7295960:1 gene:OGLUM01G08220 transcript:OGLUM01G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSVSVAAVLVVLAALRPPRVAVAQKYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQEVGLPLLPPSKAKNATFHRGANFAITGATSLDTPYFQGRGLGHTVWNSGSLHTQIKWFQDMKASICKSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLEEKLIEEGAVELVVPGVLPIGCFPVYLSIFRKQPEMYGRRSGCIRDLNTLSWVHNAALQRKIAELRLKHPGVRIMYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGSYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILETPPYSTYHTTMKLLRIVLVLLLPAVSSCLPCRRRDDYDYDSIFSFGDSFADTGNGAVVFAEHSLFSPATKPPYGMTFFGQPTGRNSNGRLIIDFIAEKLGLPFVPPYLAHNGCFRQGANFAVAGATSLDASFFSDIPGVGKFVLNTSSSVQLGWFDSLKPSLCSPAQECKGFFHKSLFFMGEFGVNDYSFSVFGKTPLEFGENHLRIIKRDGAKAVVVPGIPPLGCMPPNLAMFPSTDPAGYEPGTGCLRQFNEIAVYHNTLLQDVIKNVQKNHPDVRVIYADFFTPVIRIVQSPGTFGFTSDILRCCCGGGGKYNFNMSAGCGMPGATVCEDPSTHLFWDGHMTEAAYHFIADGWLNSINES >OGLUM01G08230.1 pep chromosome:ALNU02000000:1:7299758:7301094:1 gene:OGLUM01G08230 transcript:OGLUM01G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y555] MAPPPAALLLVVLLLVGFEALPTKSGYLPIPTANASLFFAYYEATHPLTPPASTPIILWLQGGPGCSGLTGNFFELGPYFVNHDALSLSPNPFAWNRRFGLLFIDNPLGTGFSAAPSPAAIPNNQSVVAAHLFTALQSFFALQPGFRSRPFFLTGESYAGKYVPAAGSYILAVNPTLPKRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQRRELEALQARAVGLTNAARWSEAADARELVLSLLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARTDVEWEDCSDTVGAAMHGDVMKSVKPGGIRDLRDGVVSTEAWMRELKWDGLTAFLDADRAVWRIGEELTGYVQRSGPLSHVVVYGAGHLVPADNGRATQEMIEDWVLQAGLFGRHGGMKRAA >OGLUM01G08240.1 pep chromosome:ALNU02000000:1:7301770:7305163:-1 gene:OGLUM01G08240 transcript:OGLUM01G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSVAARRLPRRGCERRGLPRKTASTSPSSPSLGPMSSPTRELAVLDNDGFHSGGGGRTSATNKVVKRARGQPMPMKMAHKHPHCHAPPFVALFLEGKKGRHYTRMEGKKDHLAAVLMAMKMVSEQQEAFLHLIIDE >OGLUM01G08250.1 pep chromosome:ALNU02000000:1:7308596:7310834:1 gene:OGLUM01G08250 transcript:OGLUM01G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKYLWIQIFVLLSSFSFSVETDYASIFSFGDSFSDTGNIVLIYGPARTDLVMTKPPYGMTFFDHPSGRLSDGRLIIDFIAEALGLPLLPPSFAANRSFEHGANFATAGGTALDRAFFVANNFTVISPFNISLGDQLGWLDGMKPSLCGGKPGGCEGYFSESLFFVGELGWNDYSAVLLAGRGVDEARSLKPRVVGTIRAATQKLIDGGARTVFVSGITPMGCSSTNLVLFAGSSEADYEPDTGCLRSLNLLSMEHNRQLRHALAQLGGARIIYGDFYTPLVELAATPRRFGIDGEEGALRACCGSGGGRYNFEFNMSAQCGMAGVTVCGDPSAYVNWDGVHLTEAAYHHVADGWLRGPYANPPLLSSSCSARAR >OGLUM01G08260.1 pep chromosome:ALNU02000000:1:7337715:7341550:1 gene:OGLUM01G08260 transcript:OGLUM01G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMAASRRVSVFVAALVCCSLVRLSRCGGGGGGQRAQNYTSMFSFGDSLTDTGNLVVSSPLSFSIVGKYPYGMTYFHRPTGRCSDGRLVVDFLAQAFGLPLLQPYLSRGEDVTRGVNFAVGGATAMDPPFFEEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKDCKEFFSKSLFLVGEIGGNDYNYAFFKGKSLDDAKSYVPTVAGAVADATERLIKAGAVHLVVPGNLPIGCSSAYLTLHPSSNRSDYDSTGCLKTYNDFAQHHNAVLQDKLRLLRRSYPEARIMYADYYGAAMSFAQNPKQFGFRHGALRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAGYHAIANSILNGPYTSPRLL >OGLUM01G08270.1 pep chromosome:ALNU02000000:1:7349006:7429660:-1 gene:OGLUM01G08270 transcript:OGLUM01G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLMDAAGSGHALAPGKPHAALYGPPPPQPQHARSLDEALNTAASSAIDQIKEDVEENQERRLKPAGDSKPTPLRRELNTAAGVLRQVESFIDNVPPFGIFALDVFLIVTPDLPGPLPSVRRLHRPHPPKPRARRRAAPPPEPERSAGAAATPALHRSAEKPSSSPSTPVHLSHQGPAPTNHAAPGASFPLAGPFAFPRRTPHCPTPGFSYKPIKGRNESARISTTWTQLLTSSLRAHGREDGRLRVRAAQPAGGDAAVGRLRQVDAVPVDRRGGVATMPSAIVDDESAIGGTASGVAEEGLAVGEVVQRDDGEQRRGKLDEVAGVGVRVAEAEDGVDHVMARVGLSIVCASNEEEEEEKEGRPGVFLPEYTVASPTVRGRDRLSEPCRASPAVAVAVEVVPRGKSRQASAALGCERLQPQNVREIKEHVLSSYLDAGRDGLDDLWHVRHGLVDGLAGGIEEDADHLSGPCENQADYLSDACENRFSQANLMWSDCKNRWYTVVPLSSGLQL >OGLUM01G08280.1 pep chromosome:ALNU02000000:1:7387074:7413201:1 gene:OGLUM01G08280 transcript:OGLUM01G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFTHGANFAVTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPDAADYDAGTGCLWRFNALARYHNALLFAAVSLLRVKHPSVAIVFADYYRPVIKFVQNPVEFVGQKPKLTMEHSPSNRATFLLLLLLLIGCTHYAQANPGHHMIDSIFSFGNSYSDTGNFVKLAAPLLPVIPLNNLPYGETFFGHPTGRASNGRLIIDFIARHFGVPFLPPYLGQVQNFTHGANFAVVGATALDLAFFQKNNITSVSPFNSSLSVQLEWFHKLRPTLCSTTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEVMSCYVPKVIGAISSGVEHSKPVAFVLLLLLLACLHYAQANPSRRPLIQSIFSFGNSYADIGNFVRLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLVPYVPKVVGAISAGIEAVIKEGAVQVVVPGQLPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEEVSRLRHRYPWVKIVYADYYKPVIEFIKKPYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAHSPSNKMTLLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGTGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGFFAEQLLHYLNKNGEVATTPIPNQTKVKDSMQNEGRRPLGRSPRILAWGSEVSGGGKRRGRRGEMKRQGPRGSSAPDLDD >OGLUM01G08280.2 pep chromosome:ALNU02000000:1:7387074:7413201:1 gene:OGLUM01G08280 transcript:OGLUM01G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFTHGANFAVTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPDAADYDAGTGCLWRFNALARYHNALLFAAVSLLRVKHPSVAIVFADYYRPVIKFVQNPVEFVGQKPKLTMEHSPSNRATFLLLLLLLIGCTHYAQANPGHHMIDSIFSFGNSLAAPLLPVIPFNNLPYGETFFGHPTGRASNGRIIMDFIAEKFQVPFVPPSLGQGEDFTHGANFAVVGASALDLAFFLHNNITSVPPFNTSLSVQLEWFHKLKPTLCSTAQECRDYFRRSLFFMGEFGGNDYVFLQAAGKTVEQLVPYVPKVVGAISAGIEVVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAHSPSNKMTLLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGTGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGFFAEQLLHYLNKNGEVATTPIPNQTKVKDSMQNEGRRPLGRSPRILAWGSEVSGGGKRRGRRGEMKRQGPRGSSAPDLDD >OGLUM01G08280.3 pep chromosome:ALNU02000000:1:7387074:7413201:1 gene:OGLUM01G08280 transcript:OGLUM01G08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFTHGANFAVTVDEAMSYVPKVVQAISAGVEAVIKEGARYVVVPGQLPTGCLPIILTLYASPDAADYDAGTGCLWRFNALARYHNALLFAAVSLLRVKHPSVAIVFADYYRPVIKFVQNPVEFVGQKPKLTMEHSPSNRATFLLLLLLLIGCTHYAQANPGHHMIDSIFSFGNSYSDTGNFVKLAAPLLPVIPLNNLPYGETFFGHPTGRASNGRLIIDFIARHFGVPFLPPYLGQVQNFTHGANFAVVGATALDLAFFQKNNITSVSPFNSSLSVQLEWFHKLRPTLCSTTQGCKHYFERSLFFMGEFGGNDYVFLLAAGKTVDEVMSCYVPKVIGAISSGVEVVIEEGARYVVVPGQQPTGCLPVVLTPYASPNATDYDAGTGCLWRFNELARYHNAALLAAVSLLRRKYPSATIVFADYYDPVIEFMQKPDDFAFSDSSKLRACCGGGGGPYNYNATVACGLPGTSVCPTPNTSINWDGIHLTEAAYARIAACWLHGPHAHPPILAAHSPSNKMTLLLLLLLLLLGCTHHGQANMYSGHPKIDSIFSFGNSYSDTGNFVKLAAPVIPVIAFNNLPYGETFFGHPTGRASDGRLNVDFIAEDFGVPLLPPYLGESKNFSHGANFAVVGATALDLAFFQKNNITSVPPFNTSLSVQVEWFHKLKPTLCSTTQGCRDYFERSLFFMGEFGGNDYVFLLAAGKTVDEAMSYVPKVVGAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGTGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGFFAEQLLHYLNKNGEVATTPIPNQTKVKDSMQNEGRRPLGRSPRILAWGSEVSGGGKRRGRRGEMKRQGPRGSSAPDLDD >OGLUM01G08280.4 pep chromosome:ALNU02000000:1:7387074:7413201:1 gene:OGLUM01G08280 transcript:OGLUM01G08280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPSNKMTLLLLLFLLGCTHYGHAGSDRPKIDSIFSFGNSYADTGNFVKLAAPVFPGIPFNNLPYGETFFGHPTGRASNGRLNVDFIAEGLGVPLLPPYHGESQDFTHGANFAVTVDEAMSYVPKVVQAISAGVEAVIEEGARYVVVPGQLPTGCLPIILTLYASANATDYESGTGCLRRFNELARYHNAALFAAVSLLRGKHPSAAIVFADYYQPVIEFVRMPENFGFSRSSRLRACCGGGGRYNYNATAACGLAGATACPDPAASINWDGFFAEQLLHYLNKNGEVATTPIPNQTKVKDSMQNEGRRPLGRSPRILAWGSEVSGGGKRRGRRGEMKRQGPRGSSAPDLDD >OGLUM01G08290.1 pep chromosome:ALNU02000000:1:7417023:7427810:1 gene:OGLUM01G08290 transcript:OGLUM01G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLRSKRFNGSSTLRACCGAGGGPYNYDATAACGLPGAAACPDPAAFISWDGIHLTEAAYARIAAGWLHGPYAHPPILSALRH >OGLUM01G08300.1 pep chromosome:ALNU02000000:1:7428584:7429754:1 gene:OGLUM01G08300 transcript:OGLUM01G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMWQGCAMFSASSRLRACCGCGGGGPYNYNATAACGFPGASACPDPAASISWDGIHLTEAAYARIAAGWLRGPYAHPPILAAVRQ >OGLUM01G08310.1 pep chromosome:ALNU02000000:1:7429972:7435812:-1 gene:OGLUM01G08310 transcript:OGLUM01G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQPAGWGGGRWGKRESGGDDTAMGDEVAAAGDEATMLDKGDALHSSPRLRLLAPRVSSTPPPPSAESADRGLSELVVRLHRSGFLPKNLLSSCSLTVVSLDSCALPHHDHTAVLPDWGRKGGGEIGEEEKEKWVPAVLLGHVQPSRAAGRPVARDREKVRKEEERGREVERGGEEEADMWDPRGSHAESAAT >OGLUM01G08320.1 pep chromosome:ALNU02000000:1:7430253:7430624:1 gene:OGLUM01G08320 transcript:OGLUM01G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAMFGHTPLLPLHHTAAAAADDDDNDTSPPAIETSRGTCESEYYSEEEVGWDQEEGLGSEGEEETREWALKRLDSLGKRGVCYLSRVPPNMNPSHVRLRRLLSKHDEV >OGLUM01G08330.1 pep chromosome:ALNU02000000:1:7436324:7437477:1 gene:OGLUM01G08330 transcript:OGLUM01G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y568] MPVRRRQTRRRETGVAERYREMGISAALSWPWDYPTACGEIVVLLRIGYGCAAESPPNRSASPSSAMAHAPSPALSPSCSCSSSSSSLIFGYIVFPLAAAAAAAARTQGGGSARDLFIRREYGHRRRHPWRWRSGFGEEDAVTVGESGLELSLGLPAYFSSSKPSEGSTAAPAFALQYNGTTASKPREAAAAPVVGWPPVRSFRRTRVRSPPASLCLLRCSPRRPTPRGCFTAGSDTTPSTVFATGHRPRLLPRRCHRLLSRVAPAASSPTMPPPSSLSPRPGGLLPSNATALLSPASPVGLCTHRFTSPKERGGERVRVMTWITLTCRAHVGLTLTQCHVG >OGLUM01G08340.1 pep chromosome:ALNU02000000:1:7436982:7454017:-1 gene:OGLUM01G08340 transcript:OGLUM01G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLSQKPKQPKLSPVTATAANAAPLSPKPSSGSPFYGDNWHNPAKSNLSSSLLPTVAVDSPLGGEQPELSPDVVAAEAVSLPPKSSSGSPFYGHNWRDPIASNPSSTPRSAPWRRRPAGGEQLELSPTAATMADAIPAEVKLEKPPFIRNHGRVPTRPGHYTINTSKMDGNRMHRCWAPTRQKQPQNPCLMKAGVHDWAPTRQRTHSQDCKIVQNVRVYDLDARRDGLDDFWHVGDKFLEGFSGGQDEDRWVQRPTGEAGERRAVALLGRRPPGRGEREEGGGIVGEEAAGATRERRRWQRRGRRRGRWPVANTVDGVVSLPAVKQPRGVGRRGEQRSKQSEAGGDLTLVRRNDRTGGHPTTGAAAASLLMHSHTN >OGLUM01G08350.1 pep chromosome:ALNU02000000:1:7439830:7441109:1 gene:OGLUM01G08350 transcript:OGLUM01G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYSPSKPVAFLLLLLLFGCLHYAQANPGHRRPKIDSVFSFGNSFADTGNFVELAAPLLPIMPFNNLPYGETFFGHPTGRATNGRIIMDFIADEFHVPFVPPFLGQGRQNFTHGANFAVVGASALDLSFFLKNNITNVPPLNISLSVQLEWFQKLKPTLCQTAQECREYFKRSLFFMGEFGGNDYVFILAAGKTLEELVPYVPKVVQAISAGIEAAVKFSLTIYTELTLPLSRTNNIVIQTVIKEGARYVVVPGELPNGCVPIILTLYASKSRGDYDARGCLKKQNALARYHNSALFEAVSRLRHRYPWVKIVYADYYKPVIDFLKKPARFGESVGSMVN >OGLUM01G08360.1 pep chromosome:ALNU02000000:1:7454241:7457549:-1 gene:OGLUM01G08360 transcript:OGLUM01G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRRLIPSGFPAILRRRMRGRAAVFAAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >OGLUM01G08360.2 pep chromosome:ALNU02000000:1:7454241:7457315:-1 gene:OGLUM01G08360 transcript:OGLUM01G08360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPSYHKESVSSHPTYIVTFRLQPRHTSRDSALTRTGHFLAASSSSSSSRSLTSPLLSIVLYWRMRGRAAVFAAVLVVFLVACTAAAAAAAITISRKHQHRPASGAAAKAACDVFAAGSWVVDESYPLYDSATCPFIRAEFDCRRYGRPDKEYLKYRWQPSPPCSTPRFDGVALLRMWSGKKVMFVGDSLALNQYESLLCMLHAAAPNARTTVTPASGKVDPLTTARFEEFNVTIVYYLTHYLVDIVNEKAGRVLKLDAIDQARNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTEAFSKALNTWARWVDANLLQTNTRTRPVNGTAYPGGPIPQQAVLRSALAGMAKPVYLLDFTYLSQLRKDAHPTKYNGGIFGDDCTHWCVAGLPDTWNVLFYAALTGQH >OGLUM01G08370.1 pep chromosome:ALNU02000000:1:7460339:7461974:1 gene:OGLUM01G08370 transcript:OGLUM01G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAAEIQLQITGVRGQEDVVAESDKGTSRADATAAAAAAPPPVSSKRLRWWAVVLANIVFVLGGQSVGCFSAGYTTIRGGDSLWLATVVQSCGAPLTVLLLLFFQMGSPAPPLIEPSERWWRQSSAGAGLDGRLSPSAAGCVEKRGEEKK >OGLUM01G08380.1 pep chromosome:ALNU02000000:1:7462377:7463521:-1 gene:OGLUM01G08380 transcript:OGLUM01G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPVAIAVILLCTFLAFQAMAADAYGGGGDDGVTTQMFEEWMAKFGKKYPCHGEKEYRFGVFRDNVRFIRSYRPPAGYNSALRVNQFADLTNDEFVSTHTGAKPPCPKDAPRGVDPIWLPCCIDWRYKGAVTDVKDQGACGSCWAFAAVAAIEGLTQIRTGKLTPLSEQELVDCDTGSSGCAGGHTDRAFELVAAKGGITAESGYRYEGYRGKCRADDALFNHAARIGGHRAVPPGDERQLATAVARQPVTAYIDASGPAFQFYGSGVFPGPCGSGSGAAAAAPTTNHAVTLVGYCQDGASGKKYWVAKNSWGKTWGEKGYILLEKDVASPHGTCGVAVSPFYPTV >OGLUM01G08390.1 pep chromosome:ALNU02000000:1:7467148:7468323:1 gene:OGLUM01G08390 transcript:OGLUM01G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPSYYLSLLFSIACLLSLLPLSAANPSPSPPPPCEKSDKEMRFLFSRWMAQYGKAYSCPIEHEKRYQIWKDNSNFIGSFRSETEISSGVGAFAPQTVTDSFVGMNRFGDLTPGEFAEQFTGFNATGGLLHAAPPPCPIPPDSWLPCCVDWRSSGAVTGVKFQRSCASCWAFAAAAAIEGLNKIRTGELVSLSEQRLQRRPGRHGARPGGRPRRRRVGGGVPVHRRQGRLRVGKLLSGHSASLSGFRAVPPNDERQLALAVARQPVTAYIDAGAREFMFYKGGVYRGPCSAERVNHAVAIVGYCEGFGGDKYWIAKNSWGSDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTA >OGLUM01G08400.1 pep chromosome:ALNU02000000:1:7469250:7471666:-1 gene:OGLUM01G08400 transcript:OGLUM01G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDFDIGFSFDKFEENVKRFIELPINYLDTAVDTAVEFMEDIHERMFAPSPSDEALNKSNEILKDSFYDNVITGSSATSVRMEFVASNKELSSPSTSTVTAEDSFAGSVVAGAPETESILTKSPESDSSEGGNIEVNEQCMLPVDTSAAEISGGKSIDVDEEVLSGNSEKPSDSCTSEDPISIGKELILWRKPVEPQSPESSAFDDAIIPEGTIVNCEEPEHSTKDTEESGKHGDLSKFSGVTISHDLTTDMSNDDDSNVWLDIDLQDDQEQTEADVSPVRQPKKTSFKKKMMRSLANKFRWSKKERNLNQAEAGNVRYQAVSSSDDLEDDWELL >OGLUM01G08410.1 pep chromosome:ALNU02000000:1:7490967:7498202:1 gene:OGLUM01G08410 transcript:OGLUM01G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAAAGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIVADTTLDEAAADDQTSSFDLIILPGGTPGAKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGGECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >OGLUM01G08410.2 pep chromosome:ALNU02000000:1:7490967:7498201:1 gene:OGLUM01G08410 transcript:OGLUM01G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAAAGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIGGTPGAKTMSSNEKLVALLKKQAAASKPYGAIGAATAHVLEPHGLLEGKKAADQDGGGECESRVVVDGNVITSGGTGTAMEFAVAAVEKLLGRDVAQRVAEGLLFA >OGLUM01G08410.3 pep chromosome:ALNU02000000:1:7490967:7498202:1 gene:OGLUM01G08410 transcript:OGLUM01G08410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQASPPTKKVLVPIVAGTEPVEAAVPIDVLRRAGADVTVASADDGELVVEVMYGVRIVADALVAGGDCAAAHFDLIVLPGGVPGAANLGGCAALEAMVRRHAAAGGLYAAICAAPPLALASWGMLNGLKATAHPLFVDKFPPEVAAVDASVVVDASAVTSRGPATSTEFALALVEQLYSKNKAEQIAKEMLVRYDAGYTIDEVNSVQWKCNGTPKVLVPVANGTEEMELITIIDVLRRADADVVVASAENAGVEIVARHGMRIVADTTLDEAAADDQTSSFDLIILPASSKHELSLSKPILI >OGLUM01G08420.1 pep chromosome:ALNU02000000:1:7504032:7506111:1 gene:OGLUM01G08420 transcript:OGLUM01G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKMLNEEGQGDFVLLFAIDWPLVDISDFMYSTGTTKSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIAVTYRKQELLQLEEQNNDPAEPEVVIVEDDEVVIEPVPKKKRTGNKGFTIPEELGGGA >OGLUM01G08430.1 pep chromosome:ALNU02000000:1:7510322:7514307:1 gene:OGLUM01G08430 transcript:OGLUM01G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASASAMARRAASWPRLLLLSRAFAAAAAEPKRVLVPVADGTEPVEAAATADVLNRAGARVTVATADPAGDDRGLLVEAAFGVKLVADGRVADLEGEAFDLIALPGGMPGSANLRDCKVLEKMVKKQAEQGGLYAAICATPAVTLAHWGLLKGLKATCYPSFMEKFTAEIIPVNSRVVVDRNAVTSQGPATAIEYALALVEQLYGKEKSEEVAGPLYVRPQPGVDYVIDEFNSVEWKCSGTPQVLVPVANGSEEMEALNLIDILRRAGANVTVASVEDKLQVVTRRHKFNLIADIMVEEAAKREFDLIVMPGGLPGAQKLSSTKVLVDLLKKQAESNKPYGAICASPAYVLEPHGLLKGKKATSFPPMAHLLTDQSACDSRVVVDGNLITSKAPGSATEFALAIVEKLFGREKAVSIAKELIFM >OGLUM01G08440.1 pep chromosome:ALNU02000000:1:7521184:7534244:1 gene:OGLUM01G08440 transcript:OGLUM01G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVECISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHTKAAVMNGEMPHGYRLAQNPILPPKHIEGYQVMENLSELVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQILIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYILQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVFGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVNGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSTLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPFSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLNSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGLTTEEIQHCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >OGLUM01G08440.2 pep chromosome:ALNU02000000:1:7521184:7534244:1 gene:OGLUM01G08440 transcript:OGLUM01G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVECISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHTKAAVMNGEMPHGYRLAQNPILPPKHIEGYQVMENLSELVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQILIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYILQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVFGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVNGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSTLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPFSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLNSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPEEKSLVTSGTPIAAETFHQTYISSRPVVSQLEWNSNTCHHGMNNRQPIIEEPASPEPEHETEEMKECAIEDSFVDDPEEIPTIKLNFEEFTQNLKSYMQANNIEIEDADMSKALVAITPEVASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGFNQREPDDPCPYLLSIWTPGETAQSTDAPKSVCNSQENGELCASNTCFSCNSIREAQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDVPRSWIWNLPRRTVYFGTSIPTIFKGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKSAGSAPGRDDE >OGLUM01G08440.3 pep chromosome:ALNU02000000:1:7521184:7527608:1 gene:OGLUM01G08440 transcript:OGLUM01G08440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFGQWLPQSQTTADLYFSSIPIPSQFDTSIETQTRTSAVVSSEKESANSFVPHNGTGLVECISNDAGLTEVVGSSAGPTECIDLNKTPARKPKKKKHRPKVLKDDKPSKTPKSATPIPSTEKVEKPSGKRKYVRKKTSPGQPPAEQAASSHCRSELKSVKRSLDFGGEVLQESTQSGSQVPVAEICTGPKRQSIPSTIQRDSQSQLACHVVSSTSSIHTSASQMVNAHLFPPDNMPNGVLLDLNNSTSQLQNEHAKFVDSPARLFGSRIRQTSGTNSLLEIYAGMSDRNVPDLNSSISQTHSMSTDFAQYLLSSSQASVRETQMANQMLNGHRMPENPITPSHCIERAALKEHLNHVPHTKAAVMNGEMPHGYRLAQNPILPPKHIEGYQVMENLSELVTTNDYLTASPFSQTGAANRQHNIGDSMHIHALDPRRESNASSGSWISLGVNFNQQNNGWASAGAADAASSHAPYFSEPHKRMRTAYLNNYPNGVVGHFSTSSTDLSNNENENVASAINSNVFTLADAQILIAREKSRASQRMISFRSSKNDMVNRSEMVHQHGRPAPHGSACRESIEVPDKQFGLMTEELTQLPSMPNNPQREKYILQTGSCQLQSLEHDMVKGHNLAGELHKQVTSPQVVIQSNFCVTPPDVFGRSTSGEHLRTLIAPTHASTCKDTLKALSCQLESSRDIIRPPVNPIGPSSADVPRTDNHQVKVSEETVTAKLPEKRKVGRPRKELKPGEKPKPRGRPRKGKVVGGELASKDSHTNPLQNESTSCSYGPYAGEASVGRAVKANRVGENISGAMVSLLDSLDIVIQKIKVLDINKSEDPVTAEPHGALVPYNGEFGPIVPFEGKVKRKRSRAKVDLDPVTALMWKLLMGPDMSDCAEGMDKDKEKWLNEERKIFQGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALAAKFPVKPEASEKPANVMFHTISENGDCSGLFGNSVKLQGEILVQEASNTAASFITTEDKEGSNSVELLGSSFGDGVNGAAGVYSNIYENLPARLHATRRPVVQTGNAVEAEDGSLEGVVSSENSTISSQNSSDYLFHMSDHMFSSTLLNFTAEDIGSRNMPKATRTTYTELLRMQELKNKSNETIESSEYHGVPFSCSNNIQVLNGIQNIGSKHQPLHSSISYHQTGQVHLPDIVHASDLEQSVYTGLNRVLDSNVTQTSYYPSPHPGIACNNETQKADSLSNMLYGIDRSDKTTSLSEPTPRIDNCFQPLNSEKMSFAREQSSSENYLSRNEAEAAFVKQHGTSNVQGDNTVRTEQNGGENSQSGYSQQDDNVGFQTATTSNLYSSNLCQNQKANSEVLHGVSSNLIENSKDDKKTSPKVPVDGSKAKRPRVGAGKKKTYDWDMLRKEVLYSHGNKERSQNAKDSIDWETIRQAEVKEISDTIRERGMNNMLAERIKDFLNRLVRDHGSIDLEWLRYVDSDKAK >OGLUM01G08450.1 pep chromosome:ALNU02000000:1:7540668:7543662:1 gene:OGLUM01G08450 transcript:OGLUM01G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPFGDAVAGGGLYEYQGYHGGFAGGHGLGQPAGRAPALDDGETEGMDASAAAAVNAMEMAKRNCGGGREEKAAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMDKAALLAEVVGHVKKLKSAAARVGRRATVPSGADEVAVDEASATGGGGEGPLLLRATLSCDDRADLFVDVKRALQPLGLEVVGSEVTTLGGRVRLAFLVSCGSRGGTAAAAMASVRHALQSVLDKASSGFDFAPRAASLLGSKRRKVSTFESSSSSS >OGLUM01G08460.1 pep chromosome:ALNU02000000:1:7550251:7554963:1 gene:OGLUM01G08460 transcript:OGLUM01G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRALPPMPDTLSDAFAAAVLMSSTDKPDTLPPGRLSPVSPLTHSSSSKLPTPSSSSGSSGSLSVSRAPASALASRRSHSGEIPLPSDGPPRGSRPGHRRTGSGPLIFTSCSSSATSPLTNALPAGNICPSGRLAKPLSSCSAAATPPAPTPPRAARHDVLGSGTANYGHGSIVRSRSGGVVVAEEDAVVRRAMSSADPEELKKAGNEQYKKGYFEEALRLYDRALALCPDNAACRGNRAAALIGLRRIGEAVKECEEAVRIDPSYGRAHQRLASLHIRLGHIEDAQRHLSLATPQPDLLELHKLQTVEKHLGRCMDARKVGDWKSVLRESDASIAAGADCSAMLFASRAEALLRLNQLDEADLAISSASKLDYSSSCTSDNKFCGFLANAYLFYVHAQVDMALGRFDHAVSSVDKARIIDQGNVEVVTMHNNVKAVARARSLGNELFNSGKFSEACLAYGEGLKHHPVNPVLYCNRAACRFKLGQWEKSIEDCNEALKIQPNYPKALLRRAASYGKMERWAESVKDYEVLRKELPGDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVIHFMTPLNQQCCKISPFVNTLCTRYPSISFLKVDISESPAVARAENVRTVPTFKIYKNGTRVKEMICPSLQLLEYSVRHYGI >OGLUM01G08470.1 pep chromosome:ALNU02000000:1:7567675:7573334:1 gene:OGLUM01G08470 transcript:OGLUM01G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSCPADSSQLLYPRWGAPTTTTISRPPLHPRRAHAPYDVVLHRSVVLSISSARDQAELASFWCYIRLGGGASAKRAAGEDDRSRSSLTAARGGGGETMSGRGRGDPLVLGRVVGDVVDPFVRRVALRVAYGAREVANGCELRPSAVADQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >OGLUM01G08470.2 pep chromosome:ALNU02000000:1:7567287:7573334:1 gene:OGLUM01G08470 transcript:OGLUM01G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGRGDPLVLGRVVGDVVDPFVRRVALRVAYGAREVANGCELRPSAVADQPRVAVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGVSFGTEVVCYESPRPVLGIHRLVFLLFEQLGRQTVYAPGWRQNFSTRDFAELYNLGLPVAAVYFNCQRESGTGGRRM >OGLUM01G08480.1 pep chromosome:ALNU02000000:1:7574276:7587674:-1 gene:OGLUM01G08480 transcript:OGLUM01G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y589] MLASRIPLKRCTAAAVVPLPGELEMEEGPTPPAGGGEGSGAAVVPAKRRRERVVPSRFRDSVVSLPLPPAKKGMPAKKAAAREGGDGEVYDVEVRAVEQQGATAAAFGAVETAVWPGDERPAQTEEELYRACRNISRSSSSSGFSGSVVTSLSNAGGSVAPEGKPVVVVECKPKREGGDKKEDFYWPEDFVLGDVVWARSGKKCPAWPAVVIDPLLHAPAVVLNSCIPGALCVMFFGFSSAGHGRDYGWIKQGMIFPFVDYLDRFQGQALYKLKANRFRQAIEEAFLAERGFFELEMDEGCSLEKSVNDQSVPDGLQEGSGSNNDQECQSEAQVVGKSPGCCDSCGNRVPPKIAKKKKQAGEQLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDLTCINMEDLENADYFCPDCKSKRKTVPPVEQMNTPNSSECASTSKEKLPEMIPVFCFGMDGMYLPKKHMILCQCNSCKERLMSLSEWERHTGSRKKNWKMSVKLKSNGDPLVTLLDDIPCANVKSSTPSINKEELLKLLGTLALEFMLVSAVANSFRPVNARWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARDVQDFTNWVCRACELPKQKRECCLCPVKGGALKPTDIDQLWVHVTCAWFQPKVSFPVDETMEPAMGILSIPSEYFKKACVICKQMHGACTQCYKCSTYYHAMCASRAGYRMELQYSEKNGRNITRMVSYCAFHSTPDPDNVLIVKTPEGVFSTKFLPQNNEKQSGTRLVRKENLQEKKEPGEAIAHRIMGPRHHSQESIEGLNACMKIENKRVSCGRSGIHGWGLFAAKKIQEGQMVIEYRGDQVRRSVADLREARYHREKKDCYLFKISEDVVVDATEKGNIARLINHSCMPNCYARIMSVGDEKSQIILIAKRDVSAGEELTYDYLFDPDESEDCRRVETDLKTLARRFWKVAAPYWWSEDKVQARLRLAAVFALTLATTGISVGFNFLGRDFYNALADKDQEQFTKQLLYYLGGFAVGIPIVYTRIISHITRFSFFVLRDYARETLSLRWRSWMTSYYMKRYFKNRTFYKIQSQSLIDNPDQRINDDLSAFTGTALAFSLTFLNAAVDLISFSNILYGIYPPLFIVLIVYSLGGTGISIFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELQLLLDRFRRAFENLSELLIASRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNGSSLSKPDNIDGINIIFKSTGPTVLSSNGSLTQSNPSMVLEICNLTLLTPRSGNILITDLTMELKEKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMELQTSNSGPDEPSNIQSNGEELLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWTEDVCHSSNNDPQSTDPLTFEVSTSDGVGSKSEMPTTDELIRVLEAVKLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDDMNERHLYSQIEAAGITYISIGHRKTLHKFHNRVLYISNSDSTDSNPRNWYLKPTEQMSIEESSSFAS >OGLUM01G08490.1 pep chromosome:ALNU02000000:1:7591939:7594140:-1 gene:OGLUM01G08490 transcript:OGLUM01G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPPAGEGSGMMMPAKRRRERVLPSRFKDSVLVLPTAAAKKGKPAEAAAEGGVVDGELYDVELEEGDPGMLWTGDERPVQTEEELYWACRNIRRSSTSTSGSFSSSIFSGSSAVTSLSNAGGGGGNGRPEGKPTVVVECKPKSDGGERKEDFYWPEEFVLGDVVWARSGKKCPAWPALVIDPLLHAPRVVLNSCIPGALCVMFFGYSNSGLRDYGWVKQGLIFPFVEYLDRFKGQTLYKIKASRFREAIEEAFLAERGLFELETDEGCSLEKSVNDQSIPDGLLEGSGSNNEQECQSEAQVVGKSPGCDICGNRLPCKIASKKKQAGERLLCRHCDKLLQSKQYCGICKKIWHHTDGGNWVCCDECQIWVHVECDQTCIKMETCSM >OGLUM01G08500.1 pep chromosome:ALNU02000000:1:7594170:7597154:-1 gene:OGLUM01G08500 transcript:OGLUM01G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAAAAAAALGLPRNRTAATRPISRLISPAPDSSSSSSSFPHPASLPLPRQAHSPRFLSDIVPHPPIPRARSEYRRDPKNSLARRPARRRRVASSISSPGSRDPGAPRIGWPTEPGILGFVSPPGLVAMLASRIPLNR >OGLUM01G08510.1 pep chromosome:ALNU02000000:1:7597164:7597907:1 gene:OGLUM01G08510 transcript:OGLUM01G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVTDAPPPSRFSPDDLDNFAAPPPQPTPILVVSPANPSPAPRRLLILLISPTSLALLPHLPSPPPLHASLLLPELPLERSQPPIRVYLHAPSGALLAAAHGPAPVPAHRARAVARSLVSALQPEEVLVLDAVRSGAYRGRLAADEPVEGKLETRAARGRGGVGAARGVAALAPPGSVVDGLGAAVLAECEMRGKAASMVVTWPEGARPAEFGVMRRVAAELGVDPAKAAAGRVAGRAELDALYT >OGLUM01G08520.1 pep chromosome:ALNU02000000:1:7598837:7605549:1 gene:OGLUM01G08520 transcript:OGLUM01G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTRLHRALAGGDDDDEQPEDSILGDTEGICSLSPVQRVYGFAACLVAGLALMILSLVVFVRPIKFAVMFTFGNILAVGSTAFLIGPSQQLRMMLDPVRVYATAIYGGFVFLALIFALWVSIIYPPSFSLWTDSYPFQGSNIDCNHMRDLCSVLKRVFAAWASKLPEYRSPKYATTVEVFELQHLALLASHCLVGTRRPHRCMGKAISLLRPPREPQPRESEGEGKGERGRMMELRKRPRPRRVDPDFVSSPPASLLLPPPRKRARRQAAPAAAPAPAPAPARPSPAARRRPTCARVIIQSPVAGLQPSVCCPCEAPLRACRLPRASFLARRRPPFDWYEADMWTEVAKYLFGAELVRLSSTCRWFRRLLADEFIWRHAFLRDLSLLPAAADRYPPRPLHRSWRLLYAAAFRAYRIGGFLLESPYMLLTAKLAVPQWLPPQEDGPQIAIEMTGACVLPNARPGIWITDFHLVRCPNCTLNKCAGVLQVLDARHCELFLEQGFWNGTWEYEDLGDHYNDEETPTAACAIFNASTRAHESISCSSLDHLAFVNSSSLFIYILGVLHSKSWVRRCDDPQPKAHCRPYAVALNSNLLSNSNQGLVSRFQAMRDTTGNGQIVSIRITQQIY >OGLUM01G08530.1 pep chromosome:ALNU02000000:1:7608246:7608818:1 gene:OGLUM01G08530 transcript:OGLUM01G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMLPCLVQGRTTLPAAMNPKQRLYSLKLLVKALHKLKKKMMMKPNKGNKIGSSKPSSPAAPAEAASATAAAVAGGGVEAAIGSSKPKVSPRRAAQGGQRKGVVRVKVVLTKEEAARLLSLTVVGAGAGADGGRRKTTAQIIAEIKRMEIRRAMATSSAAAAWRPALASIPEEQHHHSPRRSLDVQQVA >OGLUM01G08540.1 pep chromosome:ALNU02000000:1:7611112:7611354:-1 gene:OGLUM01G08540 transcript:OGLUM01G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILVDIRRSSGPRRRRRLQPEEHKSPRSREIDRSETKLRVQIDYREPGRQAGPEIDRDAAPEPRTGHMGTPNRLGLI >OGLUM01G08550.1 pep chromosome:ALNU02000000:1:7612204:7612789:1 gene:OGLUM01G08550 transcript:OGLUM01G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSIFFLLSFLPLTRVAFFAAGGVVRGGAVREVLLTRWEGRDDQVLVYGLLPPGVDHGELMGRARFCLCPTGDDEGAAAVSRRVVEAITAGCCAMDITVSFLRRRRR >OGLUM01G08560.1 pep chromosome:ALNU02000000:1:7612824:7614496:-1 gene:OGLUM01G08560 transcript:OGLUM01G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASERVYVGGSDARGGGGEPVDGANFVFVCVVVGQGRAREREGPAGSRSSGGGGGGEAARGMSPGSARARAARTAQNTAWRAILLHGGKAPCERRRRRQSSHGSLAVLCHGCARRCSSVTAHGCRDERRRRQEEMEANPVARHGALGWRGGGAAATDGVGRNWGRER >OGLUM01G08570.1 pep chromosome:ALNU02000000:1:7613781:7616568:1 gene:OGLUM01G08570 transcript:OGLUM01G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDGPPRGVLGRSRRARPCRSGTHPTCRLATAAAAAAPAAGWTFTLTRSPLPNHHANKHEVRAVDRLPSSPARIGSPYINPLARHRHGSNSPPPLDHHAQIDGLSDGGAPPPHPHARGGGGGRGGGGAVRAGDAADGAVHAVPRRRPRDDALRHLLRQPRRAQPDGPGPRRPRRRLQLRQGRRRRLPRRRLLPRLRPPRRLRPLHQLHHRPQHGLQPGYRGTENLRA >OGLUM01G08580.1 pep chromosome:ALNU02000000:1:7615463:7617953:-1 gene:OGLUM01G08580 transcript:OGLUM01G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y599] MARRGGAAASSSMANLLGVALVLAATAQTSARGGGGGGRHDYGMALSKSILYFEAQRSGVLPGNQRIAWRANSGLADGKANGVDLVGGYYDAGDNVKFGFPMAFTVTMMAWSVLEYGKQMAAAGELGHAMDAVRWGADYFVKAHPAPNVLYGEVGDGDSDHVCWQRPEDMTTSRQAYRLDPQHPGSDLAGETAAALAAASLVFRSSNPGYANQLLQHSKQLFDFADKYRGKYDDSMPVAKKFYGSFSGYGDELLWASAWLYQATDNRRYLDYLANNGDALGGTGWATNEFGWDVKYPGVQVLAAKFLLQGKAGPHATVLRRYQRNADVFACSCLGKGGGGGNVGRTPGGLMYHQGWNNLQFVTGASFLLAVYADHLAAAGRGQAVVRCQAGPAARASELVALAKSQVDYILGSNPRGISYMVGYGARYPRRAHHRGASIVSIRANPSFVSCKDGYASWFGRAGSNPNLLDGAVVGGPDGRDGFADERNNYQQTEVATYNNAPLMGVLARLAGGGRGGLAEAAIKRPDNQTLLPPLAAAASPVEITQLNATASWKKDGRTYRRYAATVSNRSPAGGKTVEELHIGIGKPHGPVWGLEKAARYGYVLPSSLAAGESAAFAYVVRGRAAPPPADVWVIGYKLV >OGLUM01G08590.1 pep chromosome:ALNU02000000:1:7620256:7623466:-1 gene:OGLUM01G08590 transcript:OGLUM01G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGGSRSAASSSLRAPSMPPPLLCLPSSPLPCVVEVAMTSSTPDLASSSTGRRPRCGHRRRFHLLLLDAAWEEGRHRAPLGGAAVLGVGVGDGAGPELLLPRGGRVDDEELERRRREEERKRRRLEAVAGDDEEEEEQLCSGMNGIVYLKEYTMTGKQKIEEVTVGGPEAD >OGLUM01G08600.1 pep chromosome:ALNU02000000:1:7630805:7633852:-1 gene:OGLUM01G08600 transcript:OGLUM01G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5A1] MARGGRAAGVSMAHLLGIALVVLVVAAMAQVARGGGGGHDYGMALSKSILYFEAQRSGVLPGSQRITWRANSGLADGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMAWSVIEYGEEMAAAGELGHAVEAIKWGTDYFAKAHPEPNVLYAEVGDGDSDHNCWQRPEDMTTSRQAYRLDPQNPGSDLAGETAAAMAAASLVFRSSNPGYADQLLQHSKQLFDFADKYRGRYDNSITVARNYYGSFSGYGDELLWASAWLYQASDDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGEHAGVLQGYRRKADFFACSCLGKDAADNVGRTPGGMLYHQRWNNIQFVTSASFLLAVYSDHLAGGAVRCSGGGGAVAGAAELLAFAKSQVDYILGSNPRGTSYMVGYGAVYPRQAHHRGSSIASIRASPSFVSCREGYASWYGRRGGNPNLLDGAVVGGPDEHDDFADERNNYEQTEAATYNNAPLMGILARLAAGHGARARGRLGQSLQHGIAANHTSLPHGANHQHASPVEIEQKATASWEKDGRTYHRYAVTVSNRSPAGGKTVEELHIGIGKLYGPVWGLEKAARYGYVLPSWTPSLPAGESAAFVYVHAAPPADVWVTGYKLV >OGLUM01G08610.1 pep chromosome:ALNU02000000:1:7638216:7642835:-1 gene:OGLUM01G08610 transcript:OGLUM01G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >OGLUM01G08610.2 pep chromosome:ALNU02000000:1:7638218:7642497:-1 gene:OGLUM01G08610 transcript:OGLUM01G08610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKLVFLKDLGGFSSILCPTINIDLGIAVFMSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNVPLAPMQNGMHPMPVNNLPMGYPVLQQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >OGLUM01G08610.3 pep chromosome:ALNU02000000:1:7638218:7642497:-1 gene:OGLUM01G08610 transcript:OGLUM01G08610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKLVFLKDLGGFSSILCPTINIDLGIAVFMSYVAMKDSQDIQSPTELQSSAQGTNEVQSNQPNPMATDGPGGDSGSLSIASNDNRKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPNQPMMPAPGQPHIDSMACGLSSGHVVNGIPAAGDLEALENYAGTPFLASDSDILLDSPDQNDIVEYFADAINGPSQSDEEK >OGLUM01G08620.1 pep chromosome:ALNU02000000:1:7647351:7653616:1 gene:OGLUM01G08620 transcript:OGLUM01G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLVKPLVTREAMAELVGRVARRAYQRNGVVTDVKSFGTICLGYGIKKLDGRHFKEYQDYSGWVAQKNRCAAVNGLFALNNITLDSFEDPIYLNLVIGTLKTHFVTRHLNVSAIEGQLMQMTMMVPPSFTQELHYLNKEDRLLRWLVVKHRDAVYGVEFINEDDGRREMTDFRYRTKDEASDVDEYDDDDDDYEYEIDEE >OGLUM01G08630.1 pep chromosome:ALNU02000000:1:7675082:7676018:1 gene:OGLUM01G08630 transcript:OGLUM01G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLLLFAAIVLAAAASAVAQQPAGFLSIDCGLEANYSGYNSNLGVVYVSDEPCVDAGENHQVSAEFKAMNYFRPYVTLRSFPSGVRNCYALPTIAGAKYLVRLEFLYGNYDGKNISSLQFDLYLGVNRWTTVQYFTATYTVHEALFVAWASWAPVCLVNTGQGTPFVSTVELRPLGRELYPTVMANQSMAMHDRRSMGQTNVQYTRYVIPQLY >OGLUM01G08640.1 pep chromosome:ALNU02000000:1:7677844:7678062:-1 gene:OGLUM01G08640 transcript:OGLUM01G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAAAAALALAAAVCFLLVAPAPARRPADLPPQAVLLLPEPVDYREAAAEPLLPPKPVADADADARHSG >OGLUM01G08650.1 pep chromosome:ALNU02000000:1:7681679:7682283:-1 gene:OGLUM01G08650 transcript:OGLUM01G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDTYRKKSSLAIVDGFAVEITDAQASILRLAKEVRVVEKNQELA >OGLUM01G08660.1 pep chromosome:ALNU02000000:1:7682302:7688637:-1 gene:OGLUM01G08660 transcript:OGLUM01G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILEDWAGKSIQIWHIGSIHAGQPADLAEILSPLSPAGNMPSFAAAGSIRCFPGPPRSLADIACMHARSLCDAVPSLMIRASRPAGRHCRQQRAQRLSPALDRLSPPCARFPIRASIFKPQLAEEEDEEGRGGEEG >OGLUM01G08670.1 pep chromosome:ALNU02000000:1:7711821:7713063:-1 gene:OGLUM01G08670 transcript:OGLUM01G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYAAPILTFRRVIKKGSVEEFSCVPYILALFNCLLYTWYGLPVKFVLRMVLPVLAFFALTAIFSSFLFHTHGLRKVFVGSIGLVASISMYSSPMKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFIGCPMGILQLVLYCIYRKSHKEAEKLHDIDQENGLKVVTTHEKITGREPEAQRD >OGLUM01G08680.1 pep chromosome:ALNU02000000:1:7714688:7715053:1 gene:OGLUM01G08680 transcript:OGLUM01G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCWASVAAALRRAPRRRTREPSLQEQLLAASERASVERLRERAGALQRELDAVAGETEEAEAAARRAEARAAGSGAALRAAAGEREAHEAKVRAVDEEIAAMDQRIRVLQAIVATITPK >OGLUM01G08690.1 pep chromosome:ALNU02000000:1:7722057:7724103:-1 gene:OGLUM01G08690 transcript:OGLUM01G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGPGGGSGEGGRRKRQVPDIFSAGGWENTLHPRAHGCRPCYDQPQARKCATVLSPSPCMRHPIFSRRFHPPVHVHSLYPSRRPNPPDSRSPPSLIPVEEREIENPLPPNGA >OGLUM01G08700.1 pep chromosome:ALNU02000000:1:7724249:7730000:-1 gene:OGLUM01G08700 transcript:OGLUM01G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFAGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAVLGGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >OGLUM01G08700.2 pep chromosome:ALNU02000000:1:7726339:7730000:-1 gene:OGLUM01G08700 transcript:OGLUM01G08700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFADQ >OGLUM01G08700.3 pep chromosome:ALNU02000000:1:7726339:7730000:-1 gene:OGLUM01G08700 transcript:OGLUM01G08700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKATRSTRVDGVSGEAVIEEFERVTRDAANVQRETLRRILAENGGVEYLRGLGLAGATDPATFRARVPLATHADLEPYIDRIADGDASPVLTAKPATSISLRSVSPPAAACCSLTSIENVADHGGDHLTAGACSSGTTQGKRKYLLFNEELVKSTMQIYRISYAFRNREFPVENGKALQFIYSSRETRTKGGLTATTATTNVYRSEEFKATMRDIQSQCCSPDEVIFGPDFAQSLYCHLLAGLLAAGDVQIVSATFAHSVVLAFQTFERAWEDLCADIRRGEVSPSRVTSPAVRRAMAALLAAPNPGLADEVARKCAALSNWYGVIPALWPNARYVYGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPGTPPERATFTVLPDIAYFEFIPLKPVAGDGGYAEAEPVGLTEVAAGELYEVVMTTFADQ >OGLUM01G08700.4 pep chromosome:ALNU02000000:1:7724251:7725634:-1 gene:OGLUM01G08700 transcript:OGLUM01G08700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAPQTKEETTTKNSPVIMSGYPAQPKWAAGLYRYRLGDVVKVAGFYNATPKLKFVCRRNLMLSINIDKNSEQDLQLAVDAAARAVLGGEKLEVVDYTSHADVSSDPGHYVVFLELNAADPAAVDGDVMQACCDELDRAFADAGYVGSRKSGAIAPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVSRSNSGVLQILAGCTVNVFFSSAYD >OGLUM01G08710.1 pep chromosome:ALNU02000000:1:7742186:7744308:-1 gene:OGLUM01G08710 transcript:OGLUM01G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAAALEVELAAKSSRIASLEARVSFLEAENARLRRAMADRSTGKGGPNLRRSEEMAGGRVARDVIEVSDGEEEEGMAVDVWKGRGAEEGMDAVATPRKRAALRVVIGESGDEDEIDDAKGDGDGDGDGGDHGSVSCGDNAGLEDDDVTTALPDRKRAAALVVTSDSEDEVESQGGHGRRGKDGSRKRALRGVRDDGNEDEGVTRSRKRALRGISDNEDEDEDEDGVDGARVVATEIESSDDDMIPIREVVKKMRKERASKGGGGFGETKGSSTPATRRSARLAKGQPKRAQSARRVLNFVEPKDCEESASDSDEDDDLDDFIINDSDCSENSANSAEPEESDASAPSEGSSSELEESDNEIDYKDVMACIGRKRNAKEWKYEAEMLSAFAAHPELCLKAVCALYRKQTKDEQEVKATILHNKQGFNQIDAARGSSIAEFLLDGDTFGPLKKTVHDLEQYDRYALEFCHKLAARYSKQLFSIYQNKEDPYFHP >OGLUM01G08720.1 pep chromosome:ALNU02000000:1:7747657:7747896:1 gene:OGLUM01G08720 transcript:OGLUM01G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAASGGQQAAGCGGRYFQMPLHYPRYRKEDYERMPEWQLDRLLSEYGLPVDGNLQHKRAFAIGAFLWGAGCGANAA >OGLUM01G08730.1 pep chromosome:ALNU02000000:1:7751042:7755513:1 gene:OGLUM01G08730 transcript:OGLUM01G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLQLPDLAAARPPAARRRIAAAAVVVAEARGGVKQQQQVAVGRVIRVADPVREGRLLLLPPPLFSVPVTPSESPAAARRREEDEEERRRYYLNMGYAIRTLREELPDVFSKEPSFDIYRDDIVFKDPLNKFEGIDNYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWEAHGRFDGASEYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLGCPSTPKPTYFETSSQSLSVEPALYIYEDEVVDSISFPQCKPATPMQTVQRKTQNKIRKETFLHSDDMKEFVRDGNGVGDWWGGKAVAVVEENN >OGLUM01G08740.1 pep chromosome:ALNU02000000:1:7757186:7766076:1 gene:OGLUM01G08740 transcript:OGLUM01G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >OGLUM01G08740.2 pep chromosome:ALNU02000000:1:7757186:7763705:1 gene:OGLUM01G08740 transcript:OGLUM01G08740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKKGSIRIDICLKPKDVVPEDAKKPISEEGLSPRKWLHDVWDFARQDTNRVTFALKVGLACLLVSLLILFRAPYDIFGANIIWSILTVAIMFEYTVGATFNRGFNRAVGSVFAGVFAVVVIQVAMSSGHIAEPYIIGFSIFLIGAVTSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGALIAVLVNVFICPIWAGEQLHRELVNSFNSLADSLEECVKKYLSDDGSEHPEFSKTVMDNFPDEPAFRKCRATLNSSAKFDSLANSAKWEPPHGRFKHFFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLRCAFKSEILDATKQAAELLRSLAKDLNNMKWSLQTSLLKHVHVSTERLQHSIDLHSYLFTASQEDNYAKPQLKISRVVSFKNQSGEPESKTTETRTPMAMEVESYHEMMKRQQRKLHSWPSREVDDFEDDENVVSDLIPRMRALESTTALSLATFTSLLIEFVARLDHLVEAAERLATMARFKQQIAN >OGLUM01G08750.1 pep chromosome:ALNU02000000:1:7762462:7768688:-1 gene:OGLUM01G08750 transcript:OGLUM01G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVDDGGGGELDVFDAGRCGGGGYELGVAVGRRFGEAIRSRMSGDAVLRRRLLPFASTAPGRALVDALRDANRARYPRYWDEMVGTADGSGVPLLHELLPFITEEEDQHHHREDEAAAVAADADDDCSDVLIVGESAAIAAHNEDANVALLGHTYVVKATSPDGSSSFTAYTYAGELPTCAFGFNSNGVAFTLDSVPPASGEVVAGAIARNFVSRDLLEATSLEDAMNRVSSPAMSVGHSYNLMDVRRRRIVNVETASGNRFSVREAAAAPFFHANMYRHLQVNQEKALSLLGDTADDKYPIYMTGPTLYTLCTVLVDLDEATMTIYKGNPKNRDAVRDSRKAHDWGNNGSSSKKVINWEICATKTAYAKSKTM >OGLUM01G08760.1 pep chromosome:ALNU02000000:1:7773087:7805942:-1 gene:OGLUM01G08760 transcript:OGLUM01G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVAIRQKLGGKSWPSDQMLKRIPIAMLRVVSARLYHHRLERLRKKMNTNIALEYTSISTRSRKSNGLAEENKESPTAIVLATTQTAVRKGGRKRMPQSNRKELQRSLRLPQTTPTALERICIGDHSHKCYS >OGLUM01G08770.1 pep chromosome:ALNU02000000:1:7807398:7809714:-1 gene:OGLUM01G08770 transcript:OGLUM01G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEINCNKVDKPVGDETSEFSSMEDLEAFLDSVPQKIEQSLCSEDADLGNLAGRLVGLSVHALMIKHGKISVRYSNRGEVEDVSDGKIACEAAGILLVKPKELVAKYKDRNTACATSQEIPKYSTTYKIREHELQILLRMEIMKSELGPGIEEGSKQKMIKEICSLLQFIDINLQGDSFQSNSILEFAEKTIKIRYIESMEDVIKKIYTEMEFDLFDDEVECSESLPSSSNHDVDGSNSRRHRSNSAPHLLRRDHGGGSRHEERLARAEERRNRDRRLSSFTSWVPDLRRVWALKHPGKEPAAAAAAPPQSRQGASKRRKRRRAACTDMVCETPMTVAASGKRKPGDAAGSLATVSKALFHDDEAAGISSSSV >OGLUM01G08780.1 pep chromosome:ALNU02000000:1:7809786:7811364:-1 gene:OGLUM01G08780 transcript:OGLUM01G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGEPRRVVLLVDVDPLLPSPKASAPTAQPLASHYLAAVLPAATSLLSASPAASLSAARLFFSSLSPILSFSLLPGPLPAAPAPLSFHLHGETLASLAPLRRLALPACAHRRVPPSSSIAKSILQLEHDYPWDDDPESIRRRRVFQQTPNLVVLFTAAAEFEEFGGDADFGGRFRGVFRPVRDRLAARGVQVCWVAVGGCGEGVRRAVTELGWWFTAADAVALGSAIATPGLVWGCLGLGGEEGGSRGEVVLEIADVEGKPLVCKGCEVEVIGSTPWRLRGDSVFKMHVKAVCEVGNWEQLITGDGDAVMVRGCFQEAGKIDGEEAAEKEFFTHKIVELMLGDDKDKLGGGKPIWQLILLQKKKNIFPQKMRQKQKVQF >OGLUM01G08790.1 pep chromosome:ALNU02000000:1:7813054:7816181:-1 gene:OGLUM01G08790 transcript:OGLUM01G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDGDVARQLKQMTDFIRQEAVEKAAEIEAAAAEEFQIEKLQLVEAEKKRIRLEFERNEKQGDIKKKIEYSKQLNASRLEVLQAQDDLAMSMLEAAGKELLYITRDHHVYKNLLRIFIVQDKLTKKNPEQSLLRLKEPAVILRCRKEDRELVESVLESAKNEYADKANVYPPEIVVDRNVYLPPAPSHYEAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPE >OGLUM01G08800.1 pep chromosome:ALNU02000000:1:7817329:7817592:-1 gene:OGLUM01G08800 transcript:OGLUM01G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRPEITVVPAAGGGGAAAVDAVKAANKEPISPGSPSLASGAGKESLSRHEAAVVSLPAWKLDALCQESGSSPAVMRARFPYF >OGLUM01G08820.1 pep chromosome:ALNU02000000:1:7823903:7830680:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLVESASPYAHMPLVLARNLIQMKKGNKCKPLLLSSSTVILLSSTIFIHLVCQLAYATSATDTLLPGQSLRGNQTLVSKDGSFKLGFNWLSASFGIWFAKSICHELVWEPDKNYSIGDPQSLSLTFLENGTLQLLNNDSLLWSTHYVKKTSVSVILVLLDIGNLVIRDETNDSMVLWQSFDYPSDTILPGGGLGFNKIIGKNISLISPSSLYSLELDTRSRGFIIQDIPSGSMLSGNFPSWMKIREDGTDFVMFYDAQTYLHLDDGGQRKRLLVYEYMPNGSLSSHLFSDNSETLCWQLRYCVALGTARGLAYLHEECMDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGLMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLEGNADAEQLERTCRIACWCIQDYEDQRPMMGQVVLMLEGVMDVLVPPIPMSLQNFVGMEDHSTDLDTF >OGLUM01G08820.2 pep chromosome:ALNU02000000:1:7823903:7833007:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPYYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLVESASPYAHMPLVLARNLIQMKKGNKCKPLLLSSSTPILHDVAVSHLRHVNHVPEETSRRILASDRALIATESSPRPSTTNERRLERLEPAQLIAEAGVDRERAGARRRIAGVEDAGVEGGGGADGEVCAAAEAPVVSQEWRQERHPQAFGCKQTEKIKIHHDHNKIDDCRIDESTAGEDDRTDEVDDEAAVAVAAGGVAEEGGAVRRAGDGVEDGVPAEDDGVVARVGEGVAEAEDGVVAPDGEGGGAGGMQRRREEERDDGGEP >OGLUM01G08820.3 pep chromosome:ALNU02000000:1:7823903:7831696:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPYYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLVESASPYAHMPLVLARNLIQMKKGNKCKPLLLSSSTPILHDVAVSHLRHVNHVPEETSRRILASDRALIATESSPRPSTTNGDCTISMMGLKKSA >OGLUM01G08820.4 pep chromosome:ALNU02000000:1:7823903:7831696:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPYYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLTKPRCSTKWLNNEEGITSGMPDCVSQPILHDVAVSHLRHVNHVPEETSRRILASDRALIATESSPRPSTTNGDCTISMMGLKKSA >OGLUM01G08820.5 pep chromosome:ALNU02000000:1:7823903:7830680:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPYYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLVESASPYAHMPLVLARNLIQMKKGNKCKPLLLSSSTVILLSSTIFIHLVCQLAYATSATDTLLPGQSLRGNQTLVSKDGSFKLGFNWLSASFGIWFAKSICHELVWEPDKNYSIGDPQSLSLTFLENGTLQLLNNDSLLWSTHYVKKTSVSVILVLLDIGNLVIRDETNDSMVLWQSFDYPSDTILPGGGLGFNKIIGKNISLISPSSLYSLELDTRSRGFIIQDIPSGSMLSGNFPSWMKIREDGTDFVMFYDAQTYLHLDDGGQRKRLLVYEYMPNGSLSSHLFSDNSETLCWQLRYCVALGTARGLAYLHEECMDCIVHCDMKPDNVLLDTDFCPKIADFGMAKLLNRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGLMLLEIISGRRNSEKIKEGRHTYFPIYAACKVNEGDVMCLLDSRLEGNADAEQLERTCRIACWCIQDYEDQRPMMGQVVLMLEGVMDVLVPPIPMSLQNFVGMEDHSTDLDTF >OGLUM01G08820.6 pep chromosome:ALNU02000000:1:7823903:7831814:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRCLTKCLNKDGKGQKVFEEWIALKGRLTATVLPLTNWYTFSRKRTTDTLLPGKSLSGNQVLHVIQILPLVYEDGKLNQIIDGLLIWSSNGVETSVSAVAILLDNGNLVIRDQVNSTMVFWQSFDNPIGILLPGGWLGFNRMTGKNVSLSSKYSTDGYDAYDTGNFILDINANEGRGFTINALDFDSGNTYKIKYSGAFPRWMGVRADGGSFLLFNDADIYVQLYPDGNVTAAKLGDCGSVLWSAPENWCDFDSYCGSNSFCIIPSKESFFESPYYDFSDLGYLMNVSLNCRYNAPHKQNVSFHPMVGVYKFPQNEWSIEVRSIRECEAACYSDCSCTSFAFNKTCLLWYGELQNTIVFDSRSEGYLMYMRVVEQKQEKSEYKVAIIVVTVIGGLVLILISMILLWRGKRKLFTEKPVNSDSRLMIFSNSQLKNATKGFSEKLGEGGFGCVFKGTLPGFSVVAVKKLKDLRQGEKQFRSEVQTIGMIQHINLVRLLGFCAEGSKRLLVYEYLVNGSLNSHLFSNYSVKLTWNLRYCIAHGIAKGLAYLHEECRHCIIHCDMKPDNVLLDAEFCPKIADFGMAKLLGRDFSRALTTMRGTIGYLAPEWISGLPITHKADVYSYGMMLLEIISGRRNSEKIKEGRHTYFPIYAARKVNEGDVMCLLDRRLDGNADAEQLEKACRIACWCIQDAEDHRPMMGQVVHMLEGVMDVEVPPIPRSLQYFVGMEDNNTQSAECLQFSAHASLGDFSVHLLTKPRCSTKWLNNEEGITSGMPDCVSQAAGGRVVVIWASLISKHGEN >OGLUM01G08820.7 pep chromosome:ALNU02000000:1:7830952:7831696:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPILHDVAVSHLRHVNHVPEETSRRILASDRALIATESSPRPSTTNGDCTISMMGLKKSA >OGLUM01G08820.8 pep chromosome:ALNU02000000:1:7831801:7833007:1 gene:OGLUM01G08820 transcript:OGLUM01G08820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MARISGVQPDERRLERLEPAQLIAEAGVDRERAGARRRIAGVEDAGVEGGGGADGEVCAAAEAPVVSQEWRQERHPQAFGCKQTEKIKIHHDHNKIDDCRIDESTAGEDDRTDEVDDEAAVAVAAGGVAEEGGAVRRAGDGVEDGVPAEDDGVVARVGEGVAEAEDGVVAPDGEGGGAGGMQRRREEERDDGGEP >OGLUM01G08830.1 pep chromosome:ALNU02000000:1:7831302:7832805:-1 gene:OGLUM01G08830 transcript:OGLUM01G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLWLSAVVSLLLSSSLHAAGASSLSVRRYDAIFSFGDSFADTGNNPVVFGWYSVFDPVTRPPYGSTFFGHPTGRNCDGRLVVDFVAERLGVPLLPPFLAYNGSFRRGANFAVGAATALDSSIFHAGDPPPGASPFPVNTSLSDQLGWFESLFFVGEFGFNDYEFFFRKKSMEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAMFADQAGPDDYDPATGCLKNPDASIIYADFFSPIMEMVQSPGKFGFEDDVLTICCGGPGTALCGDQGAITCEDPSARLFWDMVHMTEVAYRYIVEDWLRIIESPGNKII >OGLUM01G08830.2 pep chromosome:ALNU02000000:1:7831302:7832805:-1 gene:OGLUM01G08830 transcript:OGLUM01G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLWLSAVVSLLLSSSLHAAGASSLSVRRYDAIFSFGDSFADTGNNPVVFGWYSVFDPVTRPPYGSTFFGHPTGRNCDGRLVVDFVAERLGVPLLPPFLAYNGSFRRGANFAVGAATALDSSIFHAGDPPPGASPFPVNTSLSDQLGWFESLKPSLCSTTQGKKKCKDFFGRSLFFVGEFGFNDYEFFFRKKSMEEIRSFVPYIIETISIAIERLIKHGAKSLVVPGMTPSGCTPLILAMFADQAGPDDYDPATGCLKNPDASIIYADFFSPIMEMVQSPGKFGFEDDVLTICCGGPGTALCGDQGAITCEDPSARLFWDMVHMTEVAYRYIVEDWLRIIESPGNKII >OGLUM01G08840.1 pep chromosome:ALNU02000000:1:7846036:7848749:-1 gene:OGLUM01G08840 transcript:OGLUM01G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWLPAAVSLVLSSSLHVAGDAGGGAASLSVRRYDAIFSFGDSLADTGNNPSSTAERLGLPLVPPFLAYNGSFRHGANFAVGAATALDSSFFHGAGDPPGASPFPLNTSLSVQLSWFDSLKPSLCSTTQGECKDFFGRSLFFVGEFGINDYHSSFGRRSMQEIRSFVPDIIRTISMAKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVTIVHTDLFRHVSEMVQNPDKFGFQKDVLSVCCGGPGKYHYNTRIICGDEGATTCVDPSKSLYWDGVHLTEAAYHYIADDWLHAITLSARATS >OGLUM01G08840.2 pep chromosome:ALNU02000000:1:7846036:7848749:-1 gene:OGLUM01G08840 transcript:OGLUM01G08840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLWLPAAVSLVLSSSLHVAGDAGGGAASLSVRRYDAIFSFGDSLADTGNNPVVFDWYSIFDPVTRPPYGSTFFGRPTGRNCDGRLVLDFVAERLGLPLVPPFLAYNGSFRHGANFAVGAATALDSSFFHGAGDPPGASPFPLNTSLSVQLSWFDSLKPSLCSTTQGECKDFFGRSLFFVGEFGINDYHSSFGRRSMQEIRSFVPDIIRTISMAKLIGDGATTVVVPGMIPSGCSPPVLVTFADAGAAEYDASTGCLREPNEVATLHNSLLLDAVEELREKHPDVTIVHTDLFRHVSEMVQNPDKFGFQKDVLSVCCGGPGKYHYNTRIICGDEGATTCVDPSKSLYWDGVHLTEAAYHYIADDWLHAITLSARATS >OGLUM01G08850.1 pep chromosome:ALNU02000000:1:7849686:7861376:-1 gene:OGLUM01G08850 transcript:OGLUM01G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSHASPHCRIGFREDVLTVCCGGGGPYNFNESVACGGAAATACEDPSALLYFDGAHLTEAGYRHDALHGLRSKHPDATATTTVVYADLFRPVMEMEDDGGCAEQQQTRERRALGRCSRLPPPALKKMRGPARGGMGGRRTEKVGSNGRRWPCRTTSASLAPAQDAAASLSPLDLGWEKQEGRCAHSVPRS >OGLUM01G08860.1 pep chromosome:ALNU02000000:1:7857237:7860661:1 gene:OGLUM01G08860 transcript:OGLUM01G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFLHVLVKDFTNHPCPYALHSINASGLFYPAAVRPNGSGEGTKLEEDYLPDRTVSFHHPSGSGGSMQFMSLGQSNNAIIGVDNECRTILYNTEWHSIRTMPSMHGCKWSPPVSLAVNNSLYVMELYPRQDGHVSFEVLAYGSQHAYGSQPVYGRLPSKPSRAYLEDWYWRPLPPPPYVHYQGYEKDEAPPGYDIFVEHPYKITATAVVGGGSGSSIWISTAGVGTFAFDTANDTWTKRGDWVLPFHGNAEYVAEHGLWFGLSSQGDDLFCTSDIAAASVSPPVVLDAWGLDHLGVTTSRKCYHSKSYLVYLGNGRFCVGRLFHVEEGDTETERFVVLMGVEVEERSDGGDSRVLRMIKHRSKRYRLSAYMTINLVA >OGLUM01G08870.1 pep chromosome:ALNU02000000:1:7861703:7863138:-1 gene:OGLUM01G08870 transcript:OGLUM01G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLPCAATSLLLLQLAVLASLSAAGHRRYHSIFNFGDSFADTGNKPVAYACFGGGANFAVAGATALDAGFFHDRDIPGAGSKFPLNTSLDVQLAWFESLTPSLCGTAQEADEARGEEHRGPRDDPVRLLAAGADVVLRPRRPGGLRRADGVPERDQRASVPPQVAAARRAARAPVQAPRRRHRLRRFLPPRHGDGGVSSQIR >OGLUM01G08880.1 pep chromosome:ALNU02000000:1:7863534:7866745:-1 gene:OGLUM01G08880 transcript:OGLUM01G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRLLCLAAFLALALLLVVCAAEPDADRAALLDFLAGLGGGRGRINWASSPRVCGNWTGVTCSGDGSRVVALRLPGLGLSGPVPRGTLGRLTALQVLSLRANNLSGEFPEELLSLASLTGLHLQLNAFSGALPPELARLRALQVLDLSFNGFNGTLPAALSNLTQLVALNLSNNSLSGRVPDLGLPALQFLNLSNNHLDGPVPTSLLRFNDTAFAGNNVTRPASASPAGTPPSGSPAAAGAPAKRRVRLSQAAILAIVVGGCVAVSAVIAVFLIAFCNRSGGGGDEEVSRVVSGKSGEKKGRESPESKAVIGKAGDGNRIVFFEGPALAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVSAGRRDFEQQMELVGRIRHANVAELRAYYYSKDEKLLVYDFYSRGSVSNMLHGKRGEDRTPLNWETRVRIALGAARGIAHIHTENNGKFVHGNIKASNVFLNNQQYGCVSDLGLASLMNPITARSRSLGYCAPEVTDSRKASQCSDVYSFGVFILELLTGRSPVQITGGGNEVVHLVRWVQSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMACVSRTPERRPKMSDVVRMLEDVRRTDTGTRTSTEASTPVVDVQNKAESSSAAH >OGLUM01G08890.1 pep chromosome:ALNU02000000:1:7867332:7890556:-1 gene:OGLUM01G08890 transcript:OGLUM01G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDGDGELVRRVRSPSSRGRMAPTLAFLLVLLLLLAGGGGRGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDAPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSDCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSSLLSWHVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDYEFCPKICDFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTETVKFGSHRYFPTYAAVQMNEGDVLCLLDSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGYPPAAEGGRPPAARVSGRDARHRGRPSIPASAPDHRTRLTCPTLSCRNSVEFVASGWLSTSEPPLSWVVTIQSGNAPRVSRPSVVSTNPFLLLASARRLPFPAALRGPPEDAPADGWGTRAGAAVGVRCRPPARSIALRGTGGGGCRRCGADEDDGMSGCSSSGPRNPKELNCKHSLSALSAAMILNLIILFLGSHGASASNTLFPGQPLSGSETLVSENGIFELGFFSPSGTKHYLGIRYKNITSSNPVNFWLGNRIPITNFLNATLYIDAGELYIEELGSVLWTSNSIKNASDTAVAVILNTGNFVVRDQLNSSMVVWQSFDHPADALLPGAWLGLDMVIRANILLTLYKPPYNCTLMIDQSRKRGFIMFIDGHDYLGTFPDWMVTYEENGSLVRLNDPEIPNEIEFMKLHLGQVSLLKWINNATSSGWQPVWSYPSSCKVSAFHCGAFGICTSTGTCKCIDGFSPTEPSEWELGHFVSGCSRITPSNCQGAVSTDSFVLLDNLQGFPDNPQNVTAATSEECQAACLSECFCAAYSYHSGCKIWHSMLLNLTLADNPPYTEIYMRIGSPNKSRLHILVFILIFGSIAVILVMLMLLLIYKKRSSCVASQAKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGAIGYLAPEWISGQPITYKADVYIFGVLLFEIISGRRSMEKIRYGNHWYFPLYAAAKVNEGDVLCLLDNRLEGNVSLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGVVGVELPPIPASFQNLMDAFGYQRRLQARSAHNPVPVSLPVAAAAPAPAAARGGI >OGLUM01G08890.2 pep chromosome:ALNU02000000:1:7881470:7890556:-1 gene:OGLUM01G08890 transcript:OGLUM01G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGDGDGELVRRVRSPSSRGRMAPTLAFLLVLLLLLAGGGGRGAFLAAAASTDTVVPGKGMAGNQTLVSKNGRFELGFFTPGSGIHYFLGVRLRNMAEYSPTFWIGDRVGVIDLPGVSLEVFGDKLYIKEDGVSLWWSSVAGNGSSSSSDGGAVAVLLDTGDLVVRDQGNPSRVLWRSFDYPGDSLLPGGRLGLDAATGTNVSLTFKGFSHNGSLQVDASRRNGFVLTTDGIDSRGAFPDWMVTSQDNGSSLVLNHPDAPNSTEFLQFNLGLISLMRWSDSTAGWVARWTFPSDCKSGAFFCGDFGACTAGGGGGCECVDGFTPSYPDEWRLGYFVTGCSRSLPLSCEANGQTEHDDSFAILDNLRGLPYNAQDEPVTTDEDCRAACLNKCYCVAYSNESGCKLWYHNLYNLSSADKPPYSKIYVRLGSKLKSNRGLATRWIVLLVVGSLAVTSVMLGLVLLCRYRRDLFASSKFEVEGSLIVYTYAQIRKATGNFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGVGQAEKQFRTEVQTVGMIRHTNLVRLLGFCVNGNRRLLVYEYMSNGSLDAHIFSEKSSLLSWHVRYQIALGIARGLAYLHEECEDCIIHCDIKPENILLDYEFCPKICDFGMAKLLGREFNSALTTVRGTMGYLAPEWIYGQPITKKADVYSFGIVLFEIISGRRSTETVKFGSHRYFPTYAAVQMNEGDVLCLLDSRLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVDMEMPPIPASFQNLMESEDSGYPPAAEGGRPPAARVSGRDARHRGRPSIPASAPDHRTRLTCPTLSCRNSVEFVASGWLSTSEPPLSWVVTIQSGNAPRVSRPSVVSTNPFLLLASARRLPFPAALRGPPEDAPADGWGTRAGAAVGVRCRPPARSIALRGTGGRRATARGGGGCRRCGRWRVLRQPRNPKG >OGLUM01G08890.3 pep chromosome:ALNU02000000:1:7867332:7881453:-1 gene:OGLUM01G08890 transcript:OGLUM01G08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHSFSALCAAMILNVIILFVDSYVAVASDTLFPGQSLSGSETLVSENGIFELGLFPSAPAGTKHYLGIRYKNMSSNNPITFWLGNRIPITNFINATLYIDAGKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENGSLVRLNDPGIPNDTEYMKLQLGQLSLLRWLDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDSFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPSKRRMHILVFVLIFGSIGVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHLFSETSRVLGWNLRHQIVVGIARGLAYLHEECRDSIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPLYAAAKVNEGDVLCLLDDRIEGNASLKELDVACRVACWCIQDDEIHRPSMRKVIHMLEGVVDVELPPIPASFQNLMDDYDTARGGGGGCRRCGADEDDGMSGCSSSGPRNPKELNCKHSLSALSAAMILNLIILFLGSHGASASNTLFPGQPLSGSETLVSENGIFELGFFSPSGTKHYLGIRYKNITSSNPVNFWLGNRIPITNFLNATLYIDAGELYIEELGSVLWTSNSIKNASDTAVAVILNTGNFVVRDQLNSSMVVWQSFDHPADALLPGAWLGLDMVIRANILLTLYKPPYNCTLMIDQSRKRGFIMFIDGHDYLGTFPDWMVTYEENGSLVRLNDPEIPNEIEFMKLHLGQVSLLKWINNATSSGWQPVWSYPSSCKVSAFHCGAFGICTSTGTCKCIDGFSPTEPSEWELGHFVSGCSRITPSNCQGAVSTDSFVLLDNLQGFPDNPQNVTAATSEECQAACLSECFCAAYSYHSGCKIWHSMLLNLTLADNPPYTEIYMRIGSPNKSRLHILVFILIFGSIAVILVMLMLLLIYKKRSSCVASQAKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGAIGYLAPEWISGQPITYKADVYIFGVLLFEIISGRRSMEKIRYGNHWYFPLYAAAKVNEGDVLCLLDNRLEGNVSLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGVVGVELPPIPASFQNLMDGYDSDFYSVEQRRLQARSAHNPVPVSLPVAAAAPAPAAARGGI >OGLUM01G08890.4 pep chromosome:ALNU02000000:1:7867332:7881453:-1 gene:OGLUM01G08890 transcript:OGLUM01G08890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKHSFSALCAAMILNVIILFVDSYVAVASDTLFPGQSLSGSETLVSENGIFELGLFPSAPAGTKHYLGIRYKNMSSNNPITFWLGNRIPITNFINATLYIDAGKLYIEELGSILWTSNSTRNESNTAVAVILNTGNFVIRDQLNSSVVTWQSFDHPADKLLPGAYLGLDMVMGTNILLTLFKPPYNCTLMIDQSRKRGFIMFIDGHDKYLGTFPEWMVTYEENGSLVRLNDPGIPNDTEYMKLQLGQLSLLRWLDNATISGWQSVWSHPSSCKVSAFHCGAFGICTSTGTCKCIDGFRPTEPNEWELGHFGSGCSRITPSNCLGVVSTDSFVLLDNLQGLPYNPQDVMAATSEECRAICLSECYCAAYSYHSACKIWYSMLFNLTSADNPPYTEIYMRIGSPSKRRMHILVFVLIFGSIGVILFLLMLLLMYKRSSCVARQTKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHNNLVRLLGFCTRGTRRLLVYEYMPNGSLDSHLFSETSRVLGWNLRHQIVVGIARGLAYLHEECRDSIIHCDIKPENILLDAEFCPKIADFGMAKLLGREFSAALTTIRGTIGYLAPEWISGQAITHKADVYSFGVVLFEIISGRRSTEKIRHGNHWYFPLYAAAKVNEGDVLCLLDDRIEGNASLKELDVACRVACWCIQDDEIHRPSMRKVIHMLEGVVDVELPPIPASFQNLMDDYDSDIYSVEGETADGWGNPRRRRVGVRCRPAGRPARSSALRAGDGRRRAAARGGGGGCRRCGADEDDGMSGCSSSGPRNPKELNCKHSLSALSAAMILNLIILFLGSHGASASNTLFPGQPLSGSETLVSENGIFELGFFSPSGTKHYLGIRYKNITSSNPVNFWLGNRIPITNFLNATLYIDAGELYIEELGSVLWTSNSIKNASDTAVAVILNTGNFVVRDQLNSSMVVWQSFDHPADALLPGAWLGLDMVIRANILLTLYKPPYNCTLMIDQSRKRGFIMFIDGHDYLGTFPDWMVTYEENGSLVRLNDPEIPNEIEFMKLHLGQVSLLKWINNATSSGWQPVWSYPSSCKVSAFHCGAFGICTSTGTCKCIDGFSPTEPSEWELGHFVSGCSRITPSNCQGAVSTDSFVLLDNLQGFPDNPQNVTAATSEECQAACLSECFCAAYSYHSGCKIWHSMLLNLTLADNPPYTEIYMRIGSPNKSRLHILVFILIFGSIAVILVMLMLLLIYKKRSSCVASQAKMEGFLAVYSYAQVKKATRNFSDKLGEGSFGSVFKGTIAGSTIVAVKKLKGLGHTEKQFRTEVQTVGMIQHTNLVRLLGFCTGGTRRLLVYEYMPNGSLDSHLFSETSRVLSWNLRHRIVIGIARGLAYLHEECRDSIIHCDIKPENILLDAELCPKIADFGMAKLLGREFSAVLTSIRGAIGYLAPEWISGQPITYKADVYIFGVLLFEIISGRRSMEKIRYGNHWYFPLYAAAKVNEGDVLCLLDNRLEGNVSLKELDVACRVACWCIQDDEIHRPSMRQVIHMLEGVVGVELPPIPASFQNLMDGYDSDFYSVEQRRLQARSAHNPVPVSLPVAAAAPAPAAARGGI >OGLUM01G08900.1 pep chromosome:ALNU02000000:1:7884372:7886813:1 gene:OGLUM01G08900 transcript:OGLUM01G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5E8] MACSFLCKPWLPLTESAKPARSPAPSSRARTVVQQLAVLLLLLGGVGGGCGALLAAAASTTDTILPGESITGNQTLVSKNGEFELGFFNPGVGIHYFLGVRLRKLAAYSPTFWIGDRVYVVDLPRAALELFGDSLYIKEDGASLWWSSPSSSSSSSGGGRGGGAAVAVLLDTGDLVVRDQRNSSLVLWRSFDYPGDALLPGGRLGLDVATGENVSLTFEGFTHNGSLRADASRRNGFVLTTDGRDTRGAFPDWMVTTQDNGGSLVLNHPDATNSTEFLQLKVGQVSLVRWSGADAGWVPRWTFPSGCKSGGGFFCGDFGVCTTATGGECRCVDGFAPSDTKEWGLGYFVTGCSRSLPLSCDANGQTEHGDSFAILDNLQGLPYNAQDEPATTDEDCREACLNKCYCVAYSTETGCKLWYYDLYNLSSADKPPYSKIYVRLGSKLKSKRGLATRWMVLLVVGSVAVASAMLAVLLLCRYRRDLFGSSKFVVEGSLVVYSYAQIKKATENFSDKLGEGGFGSVFRGTLPGSTTVVAVKNLKGLGYAEKQFRAEVQTVGMIRHTNLVRLLGFCVKGNRKLLVYEYMPNGSLDAHIFSQKSSPLSWQVRYQIAIGIARGLAYLHEECEHCIIHCDIKPENILLDEEFRPKIADFGMAKLLGREFNAALTTIRGTRGYLAPEWLYGQPITKKADVYSFGIVLFEMISGIRSTVTMKFGSHRYYPSYAAAQMHEGNVLCLLDSRLEGNANVEELDITCRVACWCIQDREGDRPSMGHVVRMLEGVVDTEMPPIPASFQNLVDGDDSDIYEENWRLRTQD >OGLUM01G08910.1 pep chromosome:ALNU02000000:1:7911373:7912500:1 gene:OGLUM01G08910 transcript:OGLUM01G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGFVALKRTEHVEVTSLAVAVEATPSAKGGKGKVVVGGGGAGGGVGPTRVRVFCDDFDATDSSSDEDEEEEVTARRRVKRYVQEIRLQRAAAVAVPPVKVKGEEVFPAAVSAKMAEAAKARVVLAVGRKRKAGGVDGAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDTAAIQLRGRDATTNFNQSGDSASLDVPPEVAERVPQPPGASKNASPATSYDSGEESHAAAASPTSVLRSFPPSAVVATADTANKKQPPPPPLVVRETDESVDVFGCSFSDDGGFAGELPPLYTDFDLLADFPEPPLDFLSNLPVEPFSLAPFSSNNGSPDLHLDDAPSPAAAQVDDFFQDITDLFQIPVV >OGLUM01G08920.1 pep chromosome:ALNU02000000:1:7927388:7929781:-1 gene:OGLUM01G08920 transcript:OGLUM01G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEAEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRHSKPAEGLVRVKGGDGVDDEAAALDAVAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDISLARLEQSRIMLAIRLNGHRGVNKKIIDEALDFVRNVCHGVWPSLSVNKPEKLGSHSGADSKNANFLGQMVASSVALARNSFSIKTLGGLLGHTGVLAIGMITLLQLHWLSSGQQSPSTCRYSYKMISQESSSQFETAMDTRISDLDVFLARG >OGLUM01G08930.1 pep chromosome:ALNU02000000:1:7929898:7931930:1 gene:OGLUM01G08930 transcript:OGLUM01G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTTTTPKPVCAEEALALLNCAAESSYDRDKCLAALDALRACIAQKKVKKFSLAEASSTGTSETPKGK >OGLUM01G08940.1 pep chromosome:ALNU02000000:1:7933301:7940099:1 gene:OGLUM01G08940 transcript:OGLUM01G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQAFKVSNVGGKPPGMWKTLLGVLALRQSINHPENIRSYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >OGLUM01G08940.2 pep chromosome:ALNU02000000:1:7933301:7940099:1 gene:OGLUM01G08940 transcript:OGLUM01G08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPIWSDFRCYPWCNPGMNGLGPSCSSECFQYRGTMDVFYKVTKQEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQFGHTTFYGLVLEHNLHVTSPSQLYAGQFLNQRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >OGLUM01G08940.3 pep chromosome:ALNU02000000:1:7933301:7940099:1 gene:OGLUM01G08940 transcript:OGLUM01G08940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEQAPEPPPPPPPPVAAAERGMGFAERAVAAAGAAVVSAVLVNPLDVAKTRLQAQAAGVVYNPEGVFRLWRGTAASLALAVPTVGIYLPSYDLLRNWIEEYSDHSFPKLRPYAPLIAGSVARSLACITCSPIELARTRMQFGHTTFYGLVLEHNLHVTSPSQLYAGQFLNQRHLIRIVGEQSNAAVILGANFSAGFIAGVISAGATCPLDKDPARVLHMNTRRILHEVWSKEGISGIFRGAGPRMARAGPSVGIVVSSYEVVKHIMHRKHAEL >OGLUM01G08950.1 pep chromosome:ALNU02000000:1:7938246:7940253:-1 gene:OGLUM01G08950 transcript:OGLUM01G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAHTPCLQPSPTPTCVQPTTRRATHPLLPPSPRRPPLPAEPSLRLRPNLLRARAAAAASTDGRVKQEEEGRRERMVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREEKKAEEERLLGIFRKRDSKNSKAQKIRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >OGLUM01G08950.2 pep chromosome:ALNU02000000:1:7938246:7940253:-1 gene:OGLUM01G08950 transcript:OGLUM01G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAHTPCLQPSPTPTCVQPTTRRATHPLLPPSPRRPPLPAEPSLRLRPNLLRARAAAAASTDGRVKDLVGGIWGWEQQEEEGRRERMVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKVVQLGGKAIKKHRTPLSVSKPAMKNQKKREEKKAEEERLLGIFRKRDSKNSKAQKIRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >OGLUM01G08950.3 pep chromosome:ALNU02000000:1:7938246:7940253:-1 gene:OGLUM01G08950 transcript:OGLUM01G08950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAHTPCLQPSPTPTCVQPTTRRATHPLLPPSPRRPPLPAEPSLRLRPNLLRARAAAAASTDGRVKQEEEGRRERMVKGRPKPTPVNAPAEVVFDPSAPGARRPRRPGAPSSSSSTGEWHNFMGSSLSDMYRKPAAEKSDDTSDDDEEPDIDIGKLLKDVELFGASTWKERKQLENRKERLLGIFRKRDSKNSKAQKIRPEDRVLRATQGHFKNGILDVKHLLAPPKPSGRDAPEQKMRMGKKNGKGKQKGGRRKRR >OGLUM01G08960.1 pep chromosome:ALNU02000000:1:7953261:7957181:1 gene:OGLUM01G08960 transcript:OGLUM01G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5F8] MDCFAETEGKRAHDPLYQRRAAAAATPATGVPVDDVDKVVDVPGAVIVGAGPAGVAVGALLGLRGVAYVVLERCGCIASLWRHRTYDRLCLHLPKRFCELPLRPFPASFPEYPTRDQFLGYLDAYAREFGVEPVFRRAVISAEYDGESWWVYTREVVAAAAGGEQAVLGCTMTVYRSRWLVVATGENAEPVVPEMDGAGRFKGQMMHSSEYRNGDGYAGKKALVVGCGNSGMEVSLDLCNHNARASMVVRDTVHVLPREILGFSTFGLSMWLLRWLSVQTVDWLVLLLSFLVFGDTARLGIPRPSLGPFELKSVSGKTPVLDVGTLAKIKSGDIKVTPAIQCFQEHGVEFVDGSTEEFDVVILATGYKSNVPYWLKEKEFFSEKDGFPRKGNAWKGQNGLYAVGFSRRGLSGVSMDANNIVQDIVQRLHDMGYERSENN >OGLUM01G08970.1 pep chromosome:ALNU02000000:1:7971523:7971702:-1 gene:OGLUM01G08970 transcript:OGLUM01G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCEVGKPVEGSWLRRPRNQLKGSEGLEAQSRDPETPESEPRMASRSGHGHCSPSNHH >OGLUM01G08980.1 pep chromosome:ALNU02000000:1:7975531:7977592:-1 gene:OGLUM01G08980 transcript:OGLUM01G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G79900) TAIR;Acc:AT1G79900] MEFWPEFLASSWGKEFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPASPGITAAPGRPASAASLLRGILRAEGPSALYRGMGAPLASVAFQNAMVFQVFAILSRSIDQPGSMSEPPSYTSVALAGVGTGALQTLILSPVELVKIRLQLEAAGQKHRRPGDHHGPVDMARDILRKEGVRGIYRGLAVTALRDAPAHGVYFWTYEYARERLHPGCRGHGGEQESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQGYPPRYRGIADCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASSSNDQRLVMEEN >OGLUM01G08990.1 pep chromosome:ALNU02000000:1:7981204:8005589:-1 gene:OGLUM01G08990 transcript:OGLUM01G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHLSMQSYQGCRVCAKCISCNVDQEHFISLIAQNLLYQIYPLIELFYKGNINRYTAARKKAKNKLRRKQTLHGRKWKWYLLARNWGSSKRVVTAMRPFPEGSSMVNTCPTNAGPPPFPKPPPPPALPPDAAAAIRAPRFDSGEAAAAEAEEEEVMGRMRGTARWGAGGEGEGEAEVGDGDGEGRKGRVEAKAWKGLDADEEVVVVVERPRGMAVDGAGRERRRYQPRKLMSPIKPMPPKNATHRRRRGKAAKEKGEKRRRGEARPRGRGGLGDGRGGANGRWAPESRVATVGGYTILDWVYPIRLAGGVEEIVAWGSSRGSWPTCQNRWNITILGGGEFDPLSPMEASSSAIEPPHLPTLTALP >OGLUM01G09000.1 pep chromosome:ALNU02000000:1:7984707:7992185:1 gene:OGLUM01G09000 transcript:OGLUM01G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:smr (Small MutS Related) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58720) TAIR;Acc:AT5G58720] MRPSKKKSKKKKPSPAAAAGAAAPTTPPAAADGGAGGESCSPRSETLTLAAAAAAAVSSETESSSSCEASTCSSSSSAFNPGSSGAASTSSLSAFSSSASTASSSAAGDERRDMAWLLDAFGTATIDQVDSAYREAGGDAFLAAGILGSSPETQPSPPPRPPDLSPRAGSGGRKASRRPKKVAVAATGMVADVIGKGYTRPATSPVNKTNAWKGNAWKDGSGGDRKYSVEEAEQFLCSMLGNNSELGMGVVRDVLGQYGCDVEKALDALLDISGMSSLQNMERCFPNAQINGMSSPNIFLGNGLSEEVSTAGIEKSSYQFTDEMRNMSYNRSDNEHGFFWGELQRSYLKVACEEPHSTTSSTRSTSSISKTPQQVLDSLFKIPEQHTYEPSSMDWKKVVKRLQSFNSPITSNNQEKPKNGNGYQEFRTVAGRHYDTMKTYYQKAAMAYSKGEKSYASYLAEEGKHYRELARMEDERASREIFEARNKHITNTVTIDLHGQHVKQAMRLLKVHMMICVCMPTTFLRVITGCGVEGTGKGKIKRAVAELVEKEGVEWHEENAGTIVLRLGGPREYRFLEHDSDSD >OGLUM01G09010.1 pep chromosome:ALNU02000000:1:7993147:8005889:1 gene:OGLUM01G09010 transcript:OGLUM01G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MPRGRSTTTTTSSSASNPFHAFASTLPFLPSPSPSPTSASPSPSPPAPHLAVPLILPITSSSSASAAAASPESNRGARMAAAASGGKAGGGGGLGKGGGPAFVGQVFTMLDPSGNGLMAVTTRFELPQFLASRTPMWFKRILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPQMFQFVPSEKQVKAANKLLKSLPQRRRRKKLDGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSMVQNRHTRRRRDIVDDSLTSEMMEESADSFLEPPEVQELMNEIGPVGIPLNVVTKAAEIQFLDVVDKMLLGNKWLRKATGIQPQFPYVVDSFEERTAAAIDRAATASSSFTASKDADCFQISKQPETLESDVDNSNRGKHENSNNGQSHFPFSNLLPNIWPGHDRSFKAQGRDKAFRRCDASINNDLQANPLLPKITMVGISMGEGGQMSKANLKKTMEDLTKELEQAGEKTIFSDEKDPLFVANVGDYSRITKISST >OGLUM01G09010.2 pep chromosome:ALNU02000000:1:7993143:8005889:1 gene:OGLUM01G09010 transcript:OGLUM01G09010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGRSSPLLSRFPGSAGFGYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTVCEPTTS >OGLUM01G09010.3 pep chromosome:ALNU02000000:1:7993143:7999813:1 gene:OGLUM01G09010 transcript:OGLUM01G09010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G39960) TAIR;Acc:AT5G39960] MAAAILRAALRRSRPAAAALLHHRPLPSSRSLPPLPSLGSAGFGYSTVADESTAPVKPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRVHCLPLSCSLEMFASDLEVLIIETRFIRRREALVYNTPGDHVTRDIREGVAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLARSQFAIFLIDVRDGLQPLDLEVGQWLRRHASGIHTIVAMNKSESLDEHGVLTAAAGEAHRLGFGDPVAISAETGLGMAELYETLRPLFEDYMFQLTNNGLNQDDPTSEAETEAHEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRTQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDGEKIAKSKSSMNHPEVLIARQAIEEGRGLVVIVNKMDLLRENRPLFDKVIDAVPKEIQTVIPQVTGIPVVFMSALEGRGRIAVMRQVIDTYEKWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKVQLSDTDIRFLTKSLKEDFDIGGIPIRVVQRSIPRKETVKSNSRNTGPRINTRMRTDKRTTKGKQSNRDVVILISPENREKVHPLLRLSKPEGNGCGSVFDKAQWMEYDIELICQDEIMFCIVQRIDKRCSEFRPIRSFT >OGLUM01G09020.1 pep chromosome:ALNU02000000:1:8005634:8007783:-1 gene:OGLUM01G09020 transcript:OGLUM01G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5G6] MMMMMRRAFRHLARQQRRPLSHVPESAVYGGPRPQDVGAAAGAGAGAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGIDVCLVGDSAAMVVHGHDTTLPISLDVMLEHCRAVARGATRPLLVGDLPFGCYESSTRAVDSAVRVLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGHVINKALSEYKQEVETRSFPGPSHTPYKIAAADVDGFANALQKMGLDEAANAAAAAAENAEKDGELPENK >OGLUM01G09030.1 pep chromosome:ALNU02000000:1:8008708:8010707:-1 gene:OGLUM01G09030 transcript:OGLUM01G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5G7] MSFSRLLTPRILLDTTAVFPPSSSVVAPSLSRQLRCTRTGGSPPAPPHRLVARRAMSNGAAEPAIYGGGGGAQQAASSAAARRVTLATLRGKHRRGEPISMVTAYDYPSGVHVDAAGFDICLVGDSAAMVAHGHDNTLPISLDLMIEHCRAVARGAARTFLVGDLPFGSYEASTAQAVGSAVRVMKEGGVNSIKLEGSAPSRISAARAIVDAGIAVMGHIGLTPQSVSALGGFRPQGKTVESAVKVVEAALALQEAGCFAVVLECVPAPVAAAATSALTIPTIGIGAGPFCSGQVLVYHDLLGTFQTSHAKVSPKFCKQYGNIGDVINRALSKYKQEVETQSFPGPSHTPYKLAATDVDAFLNALKMKGLNVAADAAADAVEYTDEKEINGTPQLKVYA >OGLUM01G09040.1 pep chromosome:ALNU02000000:1:8017818:8018273:-1 gene:OGLUM01G09040 transcript:OGLUM01G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVDKAKGFVADKVARVEKPEAELAELSFQSVGRGGATLAGRVDVRNPYSHSIPICEVSYSLKSAGREVASGTMPDPGSLTAGDTTRLDIPVKVPYDFLVSLARDAGRDWDIDYEMRVGLTVDLPILGNFTLPLTKSGELKLPTLSDVF >OGLUM01G09050.1 pep chromosome:ALNU02000000:1:8035392:8036712:-1 gene:OGLUM01G09050 transcript:OGLUM01G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFTFLKFLRGGAVAGNKGGAVAATTIAASACEDGGGGGGGGGGGGEVDDDASFFDLEFAVPGDESAASDAEEERVEFNFSVAGDVASGGEVVAVDDAVAVAPGGECGEAKVVELVSEAAAPPASFLRPATKFRVLLLKLRKPKVPVPAECNGGGGGGSPAPKTNRFLIKFRVDDAPFVSLFTRDNSSRTSDAGAGVGAARPAVQALQTPEAAAITAEERRFAKEMLLKYLNKIKPLYVKVSRRYGERLRFASASEGEETDLEPDPSPSPSPSPSPAPTQPPTAAAAAAVAPAPPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPSPPPPPSTAGQQPQRRDDSLLQLQDGIQSAIAHCKRSFNASKGSELPLLRSMSDTRDGGRADTKDGGGGDGGA >OGLUM01G09060.1 pep chromosome:ALNU02000000:1:8048845:8051524:1 gene:OGLUM01G09060 transcript:OGLUM01G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5H0] MAEEQPRAAAEGGGETLMEKIADKLHIGGDGSSSDSDADERKQPKPSAPPAPAEVATESFVDSAAAAAAEAKAKVFRLFGREEPIHKVLGGGKPADVFLWRNRNISAGVLGGATAIWILFELLGYHLLTFVCHGLIFSLGLLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFANLRQIALGRDIKKFLIVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPILYEKYEDQIDSYGEKGWIEIKKQYAVFDAKVLSKVPRGPLKDKKH >OGLUM01G09070.1 pep chromosome:ALNU02000000:1:8052334:8057743:-1 gene:OGLUM01G09070 transcript:OGLUM01G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHVVMSAVGIGIGVGVGLGLASAPWAGGGGQGARVGVTVERVEQELRRLVVDGADSRVTFDGFPYYLSEQTRVLLTSAAYVHLKQADISQYTRNLAPASRAILLSGPAELYQQMLAKALAHYFEAKLLLLDPTDFLIKIHSKYGGGSSTDSSFKRSISETTLEKVSGLLGSLSILPQKEKPKGTIRRQSSMTDMKLRSSESTSSFPKLKRNASTSSDMSSLASQGPPNNPASLRRASSWTFDEKILVQAVYKVLHSVSKKNPIVLYIRDVEKFLHKSKKMYVMFEKLLNKLEGPVLVLGSRIVDMDFDEELDERLTALFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHITEVLAENDLECDDLGSICLSDTMVLGRYIEEIVVSAVSYHLMNKKDPEYRNGKLLLSAKSLSHALEIFQENKMYDKDSMKLEAKRDASKVADRGIAPFAAKSETKPATLLPPVPPTAAAAPPVESKAEPEKFEKKDNPSPAAKAPEMPPDNEFEKRIRPEVIPANEIGVTFDDIGALSDIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQKILVLAATNRPFDLDEAIIRRFERRIMVGLPSLESRELILRSLLSKEKVDGGLDYKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKKKREQGGNASDASKMKEKDETIILRPLNMKDLKEAKNQVAASFAAEGTIMGELKQWNELYGEGGSRKKQQLTYFL >OGLUM01G09080.1 pep chromosome:ALNU02000000:1:8060441:8066981:1 gene:OGLUM01G09080 transcript:OGLUM01G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRDASETQKEKYLSPGGTRPRGTGLLLAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRKPSPPSRRAPPPSVAGVTVFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPCEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKWHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >OGLUM01G09080.2 pep chromosome:ALNU02000000:1:8060441:8066380:1 gene:OGLUM01G09080 transcript:OGLUM01G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRDASETQKEKYLSPGGTRPRGTGLLLAAHQGEEAKRTWATGPCVSFPGLHRASPRLPPPPPLSLRSGGAPRNARRKPSPPSRRAPPPSVAGVTVFVLPRSPPGKLPAMFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPCEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKWHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >OGLUM01G09080.3 pep chromosome:ALNU02000000:1:8060565:8066981:1 gene:OGLUM01G09080 transcript:OGLUM01G09080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPCEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKWHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >OGLUM01G09080.4 pep chromosome:ALNU02000000:1:8060565:8066419:1 gene:OGLUM01G09080 transcript:OGLUM01G09080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPPGAFGVAPPPPPPQQQQHQPWQWQPPFQPPAATSFWQRDNVREHVGRLQETIELATAVINELEEIAQARNSVDASTQGPDSSSAKLSSEPDGSSADRPRHFVELARAMKISQDTHESLATDAANYLCSQIQNLLAPIYPAVNQGGPCEERSAMIRLAQKLQKSKRNKRWRKRKRKHVAELFQKEHADYDRIDQEADEWRARQIAKDMAQRKVENMKQIAKKKANEERKRLESEVKLMLTLWCGNPACHFLPEEDDKYLERVKAAVEEEERQAASAARTDAAKDAILTAEESRKAVQCSNSREDDSDQAKSAPTLEQNQRDPGISGRNHHASQKTEHELHKDDSKWHGHYDSVSSLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRAGGSRIPGHWVQPPPPADEVWASYLVQPNCPSNST >OGLUM01G09090.1 pep chromosome:ALNU02000000:1:8071354:8075337:1 gene:OGLUM01G09090 transcript:OGLUM01G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKPHCKVCSRFPRLMEADESGVPPRPVAGDVHIPASRSAKEPIFMERHGGDLLIAMASSPDTTLAFHAARPVSISLPASPTGFDVARSGVELQRHAMTDAPCMLPLPGWPAMMAVAQPDRMIFRSQPIAVLGGAAALPQQSRSSQVHDGTSRDGAPRAAAAAAATTTGKARGRRDTSYDSFKTWSGKLEKQITTHLRGGRQQQQQQQQQQQPEQRRQDEEPEDDDAAAVAAATTASSSRSYSSMPRVQRFFAALEGPELDKLRVHHEQPSPCRLALVEQLACTLVRSRSQRAAVVVVLVSCRRQSSEALVLPSDKTWPFLLRFPVSAFGMCMGMSSQAILWKNIAISTSTRFLHITVKINLVLWCVSVALMCAVSALYACKVAFYFEAVRREYYHPVRVNFFFAPWIACLFLAIGVPPMVAASLPHWLWYLLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGATMGLREGPIFFFAVGLAHYIVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFRFSLAWWAYTFPMTSAAIASIRYSSEVKNAFTQSLCIALSVLATLTVTALFLTTLLHAAVHRDLFPNDISIAITERKLKPIAEIHEQQQQQRDKNATTAADIHPDSACIKDLEAGVPPPTSYAGDRSTTTTSTDPCPLGAGQF >OGLUM01G09100.1 pep chromosome:ALNU02000000:1:8090023:8091192:1 gene:OGLUM01G09100 transcript:OGLUM01G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRGGGGGGGRSSSRLRDRLARMFRPGALLRSTCNNHATASTSSSSSSAAAAAGGVVTASACSSSRALLAADAAAAVDYCGRESFLVSSRRDYSEAAATALVGRTESFSTALDRLHRRGGGTPLPPSRFSVDASPKKEDAAAAAKEKEMTTAAARDVQGFHYHHHRRHGSLGGGGGCGKSDKARRLLSNPYGFTTSDDADTDGDDVFSSDADDRGGRVVAGGGGGAKKGETEAFFSSSRSFSSDSSEFYTKKKKKNKPKKKSPSTASSKAAAAAAPPPPPPTRHQIRRKRRAARASSCVDTCGVRDGFRPVVSAAEEQVRRGFAVVKRSRDPYADFRSSMVEMVVGRQLFGAAELERLLRSYLSLNAPRHHPVILQAFSDIWVVLHGG >OGLUM01G09110.1 pep chromosome:ALNU02000000:1:8096422:8099990:1 gene:OGLUM01G09110 transcript:OGLUM01G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHRSRVRGDGGLRMHRSGSDPDSDKAHWYMSNSDDESFVDKDKDCRRGTVAPLLKKGPWTSWENSILEKYIKKHGERNWKLVQKNTGLLSFCITRRTDNEIKNYWNTRIKKCKNNRWLLYPANVCNDALNEDQYGSVDPSVREKLTNNQLEDTTSMYSAPQFSYASISNILDRRLASKDYDSIEDQRNRIEVAAEYEIPLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFIYSRAYATHLTNLALLNDQSEELLNHIDVLKYVVMKEELSGGTHTSMTPSNELVVPRYEGDVPPLQDDFTPYLYLNGANLSIFEDIK >OGLUM01G09120.1 pep chromosome:ALNU02000000:1:8105071:8112156:1 gene:OGLUM01G09120 transcript:OGLUM01G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MVQFNPMDLDLNVISKLPKGISPSRTHYLTDGEVVGTRPLLKPRNPTPQKSVGRRSAKSLSARGDAMAAAAVVHLSVHGRLRRSPELHARPYHRPSLLRCRAFKQEADNGGEEDSSSPPPPPTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >OGLUM01G09120.2 pep chromosome:ALNU02000000:1:8105071:8112156:1 gene:OGLUM01G09120 transcript:OGLUM01G09120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MVQFNPMDLDLNVISKLPKGISPSRTHYLTDGEVVGTRPLLKPRNPTPQKSVGRRSAKSLSARGDAMAAAAVVHLSVHGRLRRSPELHARPYHRPSLLRCRAFKQEADNGGEEDSSSPPPPPTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >OGLUM01G09120.3 pep chromosome:ALNU02000000:1:8105071:8111715:1 gene:OGLUM01G09120 transcript:OGLUM01G09120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13250) TAIR;Acc:AT4G13250] MVQFNPMDLDLNVISKLPKGISPSRTHYLTDGEVVGTRPLLKPRNPTPQKSVGRRSAKSLSARGDAMAAAAVVHLSVHGRLRRSPELHARPYHRPSLLRCRAFKQEADNGGEEDSSSPPPPPTAEARRRRKGPLYKLKAAIQGLAGSRSAAAEAYGGEYQRAVEKAEEIFFSVATQVGRYVITMMSSGVVLGVGFQLSGGDSQMNTLIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKALAREFLLSGDRVVIASRSPESVLQTINELEENIQEGLSVAKKKQREILLHAKVVGTSCDVCKPEDVKKLVNFAKDELGSIDIWINNAGTNKGFRPLVNFSDEDISQIVSTNLVGSLLCTREAMNVMQYQQKGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDEEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >OGLUM01G09130.1 pep chromosome:ALNU02000000:1:8119106:8124058:1 gene:OGLUM01G09130 transcript:OGLUM01G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPPPPANQTATPPPANQTAAPPPASNNSSSPPAPGSLSPPSLTPPAAPSPPSTTPSSPAAAAAASSSGLTTPVVVGIVVGGLVVLLLVTILLVCLFKKKKRHHHHPPPPPPPPHLLHYYGHPPPPPPPPPPFKGDHYGGVYQNWQQNGPPPPPDHVLKKVPSHPSPPPPPAPLNVHSGGSGSNYSGGDNSQPLVSPGAALGFSRCTFTYEDLSAATDGFSDANLLGQGGFGYVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVTLVGYCISGGKRLLVYEYVPNNTLELHLHGRGRPTMEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQSQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSLSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >OGLUM01G09130.2 pep chromosome:ALNU02000000:1:8118885:8124058:1 gene:OGLUM01G09130 transcript:OGLUM01G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPTRLRIALGAAKGLAYLHEDCHPKIIHRDIKSANILLDARFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGQLTEKSDVFSFGVMLLELITGRRPVRSNQSQMDDSLVDWARPLMMRASDDGNYDALVDPRLGQEYNGNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLDDLNEGVRPGHSRFLGSYNSNEYDTGHYNEDLKKFRKMAFGSGNLESSQQTQPTEFVPNRSLSMGDARQIPETEMEMGSLKKDGDSNGTRDSQAS >OGLUM01G09140.1 pep chromosome:ALNU02000000:1:8124502:8128608:1 gene:OGLUM01G09140 transcript:OGLUM01G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFERLNSWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFEYIFLIQLMLEVLEGNSARQAVNARRYGTSDIAS >OGLUM01G09150.1 pep chromosome:ALNU02000000:1:8129284:8129928:1 gene:OGLUM01G09150 transcript:OGLUM01G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSSLAAVLHSPFFLLAALLLLPVFTLLSFSSAKKPGDGGGRRLPLPPSPRGVPFLGHLPLLGSLPHRKLRSMAEAHGPVMLLWFGRVPTVVASSAAAAQDAMRARDAAFASRPRVRMAERLFYGRDMAFAPYGEFWRQARRATVLHLLSPRRVLSFRGVREQEVAALLDRVRRRCGGGGGETVNLSDLLMSYAHGVISRAAFGDGXIYIYI >OGLUM01G09160.1 pep chromosome:ALNU02000000:1:8133190:8140289:1 gene:OGLUM01G09160 transcript:OGLUM01G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRTRDLEFASLPRVAMAERLLYGGRDVAFAPYGEYWRQTRRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDADRGRVLRKLFDDFVELLGQEPMGELLPWLGWVDALNGMEAKVQRTFEALDGILEKVIDDHRRRRREVGTQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTTEIKAIILDMFAAGTDTTTTVIEWAMAELITHPDAMRNVQDEIKAVVGITSHITEDHLDRLPCLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQAIWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWVVDRRSEFGTLSLDMSEMNGLSVRLKYELPLIAISRFP >OGLUM01G09160.2 pep chromosome:ALNU02000000:1:8133190:8137827:1 gene:OGLUM01G09160 transcript:OGLUM01G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLPPSPPWGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRTRDLEFASLPRVAMAERLLYGGRDVAFAPYGEYWRQTRRICVVHLLSARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDADRGRVLRKLFDDFVELLGQEPMGELLPWLGWVDALNGMEAKVQRTFEALDGILEKVIDDHRRRRREVGTQMDDGGGGDHRDFVDVLLDVNETDMDAGVQLGTTEIKAIILDMFAAGTDTTTTVIEWAMAELITHPDAMRNVQDEIKAVVGITSHITEDHLDRLPCLKAVLKETLRLHPPLPLLVPHEPSSDTKILGYSIPARTRIVINAWTIGRDQAIWGEHAEEFIPERFLESGLDYIGQDFVLVPFGAGRRGCPGVGFAVQAMEMALASLLYNFDWVVDRRSEFGTLSLDMSEMNGLSVRLKYELPLIAISRFP >OGLUM01G09170.1 pep chromosome:ALNU02000000:1:8141941:8157511:1 gene:OGLUM01G09170 transcript:OGLUM01G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKTARRVLSFRRVREEEAAALVARVRAAAGGAVDLVEHLTAYSNTVVSRAVFGDESARGLYGDVDRGRALRKLFENFARLLGTEPMGELLPWLGWVDAVRGLDGKVQRTFEALDSIIEKVIDDHRRRRRSREVGRQMDSDDDGGGGGDHRDFVDVLLDVNDTDKDAGIHLGTIEIKAIILDMFAAGTDTTTTAMEWAMAELITHRNAMHKVQDEIRAVVGVTGCVTEDHIDRLPYLKAVLKETLRLHPPNPLLVPHVPLADTEILGYTIPTHTRVLINAWTIGRDPATWGEHAEKFIPERFLNNNVDYKGQDFGLVPFGAGRRGCPGMGFAVPTIEMALASLLYNFSWETRPVDRRCKAGTSSLDMSEVNGISVRLKYGLPLIAKSHFP >OGLUM01G09180.1 pep chromosome:ALNU02000000:1:8159749:8160339:1 gene:OGLUM01G09180 transcript:OGLUM01G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAMAELITHRDTMRKAQDEIRTVVGVTGRVIEDHMDRLPYLKAMLKESLRLHPPNPLLVPHVPLADVEILDYTVPAHTRVFINAWTIGRDPTTWGEHAEKFIPERFLNNNLDYKGQDFELIPFGAGRRGCPGIGFVVPTIEMALASLLYYFDWEARPVDRRSKSGTSSLDMSEVNGISVRLKYGMPLMAKSYFS >OGLUM01G09190.1 pep chromosome:ALNU02000000:1:8163334:8164314:1 gene:OGLUM01G09190 transcript:OGLUM01G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLLVVLLVVLAIVVPLLYLVLLPAGNTTRNGAARWEDDGGDGRLRRRLPPSPRGLPLLGHLHLLGALPHRALRSLAAAHGPVLLLRLGRVPVVVVSSAAAAEEVMRSRDVEFASRPRMAMAELLLYGGRDVAFAPYGEYWRQARRICVVHLLSARRVLSFRRVREEEAAALVGRVRAAAADVVDLSDLLIAYSNTVLTRIAFGDESARGGGGGDRGRELRKVFDDFARLLGTEPMGELLPWFWWVDALRGIDGKVQRTFEALDGILERVIDDHRRRREGGRRMDDDGGGDHRDFVDVLLDVNETDKDAGIQLGTVEIKAIIMV >OGLUM01G09200.1 pep chromosome:ALNU02000000:1:8164819:8165259:1 gene:OGLUM01G09200 transcript:OGLUM01G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELINHPRAMRKAQNEIRAVVGNTSHVTKDHVDKLPYLKAVFKETLRLHPPLPLLIPREPLADAQILGYTIPAHTRVVINAWAINRDPAAWGQQPDEFSPEKFLNGAIDYKGQDFELLPFGAGRRGCPGIVFGVSTMEIALASLL >OGLUM01G09210.1 pep chromosome:ALNU02000000:1:8168036:8168530:-1 gene:OGLUM01G09210 transcript:OGLUM01G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLGYWIVYDLDAKELRTWYEERLLRDRGMARWPEYGAGDREEVRSLIARVREEYWRRMPEHRRQKFVARLEEERRLKEESDQRQQLAAAADERRGAQMAAVDDGKLRASSRSSTQPPRRRRRRRWAPPPPPPRLLGILLWILAAEIVLLFVYKFMLPSFRFF >OGLUM01G09220.1 pep chromosome:ALNU02000000:1:8169607:8179282:1 gene:OGLUM01G09220 transcript:OGLUM01G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLHHEHYEIVQHCDQKPSNVLFDEETTVHVADFGIAKLLLGDDTSKITNHGKHAWNIWSSLESDLRIDRLFVGEVTIRQWVNQAFSAKLDHVLDDKLQLDESSIEDLNHLLLPIFEVGLLCSSDSPDQRMSMADVVLPCRVVGNHWLALMDRVAINVTPELLIISLTVTALAAGASSSPSPSINSSSGGGAAADLAALLAFKAQLADPLGVLAGSWTTNVSFCNWVGVSCSRRRRPERVTGLSLPDAPLGGELTAHLGNLSFLYTLDLTNTSLVGPVPADLGRLRRLRSLLLGDNLLSAAIPPAIANLTMLELLHLGNNNLSGEIPPDLLHGMRRLSRIALHMNQLTGDLPPLLFNGTPSLTFVNLGNNSLTGGVPHGVASSPSSLPMLEYLNLRGNRLAGAVPPAVYNMSRLRGLVLSHNNLTGWIPTTSNGSFHLPMLRTFSISSNGFAGRIPAGLAACRYLQTLSISSNSFVDVVPAWLDQLPYLTELFLGGNQLTGSIPPGLGNLTGVTSLDLSFCNLTGEIPSELGLMRSRSTLRLTYNQLTGPIPTSLGNLSQLSFLDLQMNQLTGAVPATLGNIPALNWLTLSLNNLEGNLGFLSSLSNCRQIWIITLDSNSFTGDLPDHIGNLSAQLSIFSASENKLTGGLPSSLSNLSSLEQLQLPGNQLTGPIPESITMMPNLVRLDVSSNDISGPIPTQIGMLSSLQRLDLQRNRLFGSIPDSIGNLSELEHIMLSHNQLNSTIPASFFNLGKLVRLNLSHNSFTGALPNDLSRLKQGDTIDLSSNSLLGSIPESFGQIRMLTYLNLSHNSFGDSIPYSFQELANLATLDLSSNNLSGTIPKFLANFTYLTALNLSFNRLEGQIPDGGVFSNITLQSLMGNAALCGAPRLGFSPCLQKSRSNSRHFLRFLLPVVTVAFGCMVICIFLMIRRKSKNKKEDSSHTPGDDMNHLIVTYHELARATDKFSDDNLLGSGSFGKVFKGQLSSGLVVAIKVLDMHLEEVAIRSFDAECRVLRMARHRNLIKVLNTCSNMEFRALVLQYMPNGSLDMLLHSQGTSSLGLLKRLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDEEMTAHVADFGIAKLLLEYGSLGKASRNSDVFSFGIMLLEVFTGKRPTDRLFVGEVTIRQWVNQAFPAKLVHVLDDKLQLDESSIQDLNHLLLPIFEVGLLCSSDSPDQRMSMADVVVTLKKIRKDYEEKN >OGLUM01G09230.1 pep chromosome:ALNU02000000:1:8176158:8179130:-1 gene:OGLUM01G09230 transcript:OGLUM01G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT3G24570) TAIR;Acc:AT3G24570] MRRLWRWYQQCLATHPVRTQVVSSGILWGLGDIGAQAVTHYSAPGRSHHHHAKNPPEDKDKEFKIDWKRVGITSSFGFAFVGPVGHYWYEYLDRFILRRYQPKTFKFVASKVAADGLLFGPVDLLLFFSYVGLASGRSVEQVKDDVKRDFIPALVLGGTIWPAVQIANFRFIPVRYQLLYVNLFCLLDSCFLSWIDQQGDAPWKQWFTSFQKIEGQKGKV >OGLUM01G09240.1 pep chromosome:ALNU02000000:1:8181723:8185229:1 gene:OGLUM01G09240 transcript:OGLUM01G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNGVQLTLTSTVKTNGKIRINGEVLNGSHLKEKHEAGSNGALHPSNGQAKQPPSPPPPPPQKQKQKQKQKLLCTTCGKGHTCQAVIARTRQMRAMIDARRPHQAHSAFRHLVDDGHRPSLVTYTTLLAALTSQRAFDTIPWLLAEVEDAGLRPDSIFFNALINALVEARRMGEATNTFLRMGHSGCRPTASTFNTLIKGYGIAGRPEESQRVFDMMASGGAGSTGGEVGVRPNLTTYNILVKAWCDAGRLEEAWRVVARMRASGADPDVVTYNTLASAYAKNDETWRAEELVVEMAQQAGLRTSERTWGIIVGGYCREGRLGEAFRCVRQMKDAGVLPNVIVFNTLLKGFLDANDMAAVDDVLRLMEQFGIKPDIVTYSHQLNALSTMGHMAKCMKVFDKMIEAGTEPDPQVYSILAKGYVRAQQPEKAEELLRQMGLLGVRPNVVTFTTVISGWCSVSDMGNAMRVYAAMRDAGVRPNLRTFETLIWGYSELKQPWKAEEVLQMMQDAGVRPKQTTYCLVADAWKAVGLVENANRALGSSSSSSGDLLDGDDDEEPYFPDNHGDDKLQSFERTNGHAKSDASRSMQVTRASMSLKTARSPSPPPPSLLRRSCRLPVRSTWLCRKQLQMQCGVYVQSISSLKRVFLS >OGLUM01G09250.1 pep chromosome:ALNU02000000:1:8185559:8187061:-1 gene:OGLUM01G09250 transcript:OGLUM01G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATSESDMTSLATSSPSRSPKAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSRVSSASRFSGNLRSASARSRPGGRRRLGAKGWRDVDAIIDEEEEGAYDEFDDDDGGGYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEKFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIGKLVRVTHTKRVKCPVVIDSGSSKPIRFTQSACSYT >OGLUM01G09250.2 pep chromosome:ALNU02000000:1:8185561:8187014:-1 gene:OGLUM01G09250 transcript:OGLUM01G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPATSESDMTSLATSSPSRSPKAAAAYYVQISPSRDSHDDGDKSPSTQATPVYNNSPLDSPSHHSSSFGRHSRVSSASRFSGNLRSASARSRPGGRRRLGAKGWRDVDAIIDEEEEGAYDEFDDDDGGGYEPSRCCVLAFRFSLLALAFTLVCLIVWGIARHYKPGVLVKSLTVGNFYAGEGIDRTGVPTKLVTMNCSLQINVHNPSTMFGIHVSSTSIQILFSQIAIANGQLEKFYQPRSSHHVASAIVHGEKIPLYGAGETFALSNAGGAVPLTLDLVVRARGYVIGKLVRVTHTKRVKCPVVIDSGSSKPIRFTQSACSYT >OGLUM01G09260.1 pep chromosome:ALNU02000000:1:8189751:8192501:-1 gene:OGLUM01G09260 transcript:OGLUM01G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGVLLVAPMNAYLEQELDRRCRLFRLWESPADRRDDYLRAHASSIRAVVPYAHQGVDAAMIDALPSLEIVSSFSVGIDRIDLDACRRRGVRVTNTPDVLTDDVADLAVGLAIAALRKIPQADRYVRAGKWKSKGDFTLTTRFSGKRVGILGLGRIGLAVAKRAEAFDCPISYHSRSEKPFPKYKFYPNVVDLAANCDVLVVACSLNPETRHIVNRKVIDALGPEGVLINIARGAHVDEPELISALLEKRLGGAGLDVFEDEPFAPEQLFELDNVVLVPHVGSDTEETCRAMADLVLQNLEAHALNQPLLTPFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDHPGYTYYPSVVELAASSDVLVVACPLNEHTRHIVNREVMEALGPRGVLINIGRGPHVDEAAMVAALLDGRLGGAGLDVFEDEPNVPEALLGMDNVVLVPHVGSATHETRTAMADLVLGNLEAHVAGKPLLTQVV >OGLUM01G09270.1 pep chromosome:ALNU02000000:1:8194474:8198674:-1 gene:OGLUM01G09270 transcript:OGLUM01G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIEKMHQDSFRSVVCRSLSKSLSSKTKESSYPEIAQCAVPCVVTLQPTVCRGCQGRDWSPSQSNREDMSMMLQKDYLMASSLSRRFAEDLLRGAMDLQDSLAMLEKFQTASRSMRVSNKKRRPEGCEKSPDTSGFHGALSEASNAKKMVGRSASSGLDGELTNSTDELKRVIKDSFYRKNILSVYPNDEQASMSQSLHYTPNKNSLSKPNEQKKVAPRSLPSCPPGQSEKSKSPSLVAKLMGLDGLPTHNSNTFKKDESMKTVSSPRALFDIEMPKVQQSDAHMSSPYSRKSIVSLYDSTVVNEIGSMKTIRREKGIEQPQTRAAKDIKVVSHTSRKQQIKETTEMGRRSSDKQRPHLTYRNREGRKDTKSKTGSASRSSANTVKRPDKKSMIASSSSSSTCRTRKPVARKAPSNSREKAVSSRSRKNSTIDDIVAYELHREFIQVDGPSTEHSATPSDESCQSVVDWDTEPSIDGIREDLSESYEASVTTSSAERTDSANGDPFHPSTHLVSKNEVEIKDEMSLLLLSDQPFLTRAAELIGIGELGHLMNRYKGLRKAQMGNHELFVDTATEQLERKHRQQNSLCYTGIWSQKCRTAPYFSLEALLTDIRDATRKLSIYTEDDDGCTTKDTLYMKLEKDLRCTDASINSVWDMGWEDWIFMEETQCFIRDVGESILSGLIEEAALDMWVH >OGLUM01G09280.1 pep chromosome:ALNU02000000:1:8200627:8200914:-1 gene:OGLUM01G09280 transcript:OGLUM01G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGASCLITLSPQLKDYEDTFSLTGKIQNFRELLYYSDGLNSEEKRGSRWLGTAANDVSLSDFSPAATTNSPLIMLWSLANLVRLGLVAPWISK >OGLUM01G09290.1 pep chromosome:ALNU02000000:1:8206883:8215226:1 gene:OGLUM01G09290 transcript:OGLUM01G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDNGKAPDKDGEPSGPPSAPQEGEISNEPKRRRPLNGRTTGPTRRSTKGNWTPEEDAILSRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDTTVPLGCDLQVNANFDKNEAHDSQSSMGPQACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQSDVYSSEADTHFLAPPYMPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSCINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMAEGRVLDFECTTPVRSSDKNSGSNLGRYLSSPIPSSHLLKSFR >OGLUM01G09290.2 pep chromosome:ALNU02000000:1:8206883:8214859:1 gene:OGLUM01G09290 transcript:OGLUM01G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLDLRRLLKKEKSAMNQKGDDLSMGGPPVRHGVPPKEIGPLKRAVQTYNGKNWKKIAECFPDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWYNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNSIKNHWNSSVKKKVNSYMSSGLLTQVSCLPLNEYSANCNSSPAMTQQNSEDSGCFAVREVENSSGCSQSSLAKVSCSQVHDTTVPLGCDLQVNANFDKNEAHDSQSSMGPQACYTSAEAVASALPAVHCHVSSSNLDPDQHLQEDFAQGLNLDMTIDEMPTVPSFADNQTVCSIENHERSLEPYDVAMEVPLSMLSSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQGLLSGPDAVEADSFSRSNHQSDVYSSEADTHFLAPPYMPQTSNSSSVMGLADDQSPQMSVPPSLICSNAMTDDAPFDNRPGRKEMPLSQAEVVTQSSSSSGDAEMFANPGCSNDRHVPSSTMESIPECGDQQVTNAEEPEASLEKEPSLTQSVTAPDEQDKGALFYEPPRFPSLDVPFVSCDLVTSGDLQEFSPLGIRQLMHSTMNVCTPMRLWGSPTHDESTGVLLKSAAKSFICTPSILKKRHRDLLSPIPDKRIEKKYGTEKDRGVSDTSSTGIQTSCINATKDDALITTVLRIERSASSKSLEKKLVFSDENKENLGYTTEQTKDGQSAGNDEHMDEQTTGERSSATNVATNDDLSGNLQPAGILIEHSGDDPISPDYGKNTMNQKLNTNVKSLSVCKEGVCAKSKPTELIVEKSSPCINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMHFQGSYFTSPADSYDALGLMKQINVQTAAALVEAREVLASGGQCDNISSDKENTGNPDAKKEPGTTKLQTKIMSPGFRVYHTCKIIRQKFWQQSGKISELTYSFVPSPEKF >OGLUM01G09300.1 pep chromosome:ALNU02000000:1:8216829:8219997:-1 gene:OGLUM01G09300 transcript:OGLUM01G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5K3] MAAEGGGGGGGAVYDPSYVPDSVKTFVAHMYRHVREKNVYEIHQMYEGGFQRLSDRLFRDAPWPAAEAVSPYCDGDHVFLLLYRELWYRHAYARASSSSSSSAPLTAGQRAESWANYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKSDDELHQLKQFDKAWNVYGVLNYLQALVEKSMIAQILEREKEGLEQFTATDGYDYQGGSNVLKMLGYYSMIGLLRIHCLLGDYRTGLKCLAPIDLNQQGVYTIVIGSHISAIYHYGFANLMMRRYAEAIHEFNKILLYILKYKQYHQKSLQYDQILKKNEQMYALLAVCLSLCPQHNLIDENVSTQLKEKYNDKMTKMQRFDEEAYAAYDELFSYACPKFITPSPPALDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIAKLAQYMEVDEATLRAMKNLSQYLYRSILMTYKHKMHAVDNNGKIVSSADFDFYIKEDVIHVMESKPIKRHGDYFLRQILKFEEMIGELEKVQFD >OGLUM01G09310.1 pep chromosome:ALNU02000000:1:8220213:8228581:1 gene:OGLUM01G09310 transcript:OGLUM01G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQILALTLLETAIKNCGDIFHMHVAERDVLHEMVKIVKKKSDQNVKEKVLTMIDTWQEAFGGPRARYPQYYAAYHDLVRAGAAFPKRSDRPAPLFNGQSPAGRNMRSPDQQDEAESSAGNDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRTYKQRVVLLVNATADEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQALVDTEDSMNQDSKKEQALVDIEDPTTQETNKEPRQSASEQSPFEQLALPAPPVSNGSATPAPKSDLGVDLLSWDDNPSTTENSLALVPVTDPVVDSTPSQNALAIVDIFSQNNTTNNIAKPADPFGVNSSSTLPGSQPYNAPTQHPLQAQQQPQQVGLYPNGGAVNPGTSYPTSSGWNGQIANNATPPAQQTVNYDEQSGALPPPPWEAQSASSGDMSNGGMQSHPVSNGQFEGMPSLPTPSNQMGGMQPLHPQMNQMGGPQAHQMYNNQQPGAMQPSQPAVTQMQSGFANQFGSMPPHSMPGMQFPGMQPSPMPGAQPVMMYAQPMMMPGMQFAAMPQPRMYGPQMSQYRLVQQQAAQYYSNSQGRPTYYAGMNDLSQKMYGLSMQDSSYMGMNSSPYSTTPSSSSSMGQPIKPSKPEDKLFGDLLSIAKTKQNKA >OGLUM01G09320.1 pep chromosome:ALNU02000000:1:8248296:8255051:1 gene:OGLUM01G09320 transcript:OGLUM01G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAVDQEGARVVGTNCMLARGGTGAVAPVLELTATPRQDAAAEAGVDEPAQHQCEHFSIRGYVALLQKDPKFCSLSRIFHDQKKCDEHKASSSPFSVAKFRRWDCSKCLDKLKTSDNGTAPRTLLAKQNGTSDGCSITFVRSTFVPASVGSQKVSPSTQSSQGKNADRSTLPKSVQEGNDSKCNAPSGKNGAAEANTDSPMKDLQGPAQNYDVAANVSEDNTSVDVGALPEVPQITWHIEVNGADQPPSTPKLSEVVLKRNEDENGKTEETLVAEQCNLTKDPNPMSGKERDQVAEQCNLTKDPKPVSGQKCEQICNEPCEEVVLKRSSKSKRKTDKKLMKKQQQHSKKRTAQADVSDAKLCWRKPKKVRLLSEIINANQVEDSRSDEVHRENAADPCEDDRSTIPVPMEVSMDIPVSNHTVGEDGLKSSKNKTKRKYSDVVDDGSSLMNWLNGKKKRTGSVHHTVAHPAGNLSNKKVTPTASTQHDDENDTENGLDTNMHKTDVCQHVSEISTQRCSSKGKTAGLSKGKTHSAASTKYGGESTRNGQNIPVLSAEDQCQMETENSVLSRSAKVSPAEHDIQIMSDLHEQSLPKKKKKQNLEVTREKQTMIDDIPMDIVELLAKNQHERQLMTETDCSDINHIQSKTTADDDCVIVAAKDGSDYASSVFDTNSQQKSLASQSTQKELQGHLALTTQESPHPQNFQSTQEQQTHLRMEEMVTIAASSPLFSHHDDQYIAEAPTEHWGRKDAKKLTWEQFKATTRNSPAATCGAQFRPGIQAVDLTSTHVMESSSNYASRQPVIVPLDRYAERAVNQVHARNFPSTIATMEASKLCDRRNAGQVVLYPKESMPATHLLRMMDPSTLASFPNYGTSSRNQMESQLHNSQYAHNQYKGSTSTSYGSNLNGKIPLTFEDLSRHQLHDLHRPLRPHPRVGVLGSLLQKEIANWSENCGTQSGYKLGVSTGITSHQMNRKEHFEALNSGMFSAKWNALQLGSVSSSADFLSARNSIAQSWTRGKGKMVHPLDRFVRQDICITNKNPADFTTISNDNEYMDYR >OGLUM01G09330.1 pep chromosome:ALNU02000000:1:8255484:8258010:-1 gene:OGLUM01G09330 transcript:OGLUM01G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAARFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITMEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRKFCP >OGLUM01G09330.2 pep chromosome:ALNU02000000:1:8255484:8258010:-1 gene:OGLUM01G09330 transcript:OGLUM01G09330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAARFIKCVTVGDGAVGKTCMLICYTCNKFPTVECPAANPFLSVLALSSLRLDLDYIPTVFDNFSANVSVDGSVVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLISRASYENVQKKWMPELRRFAPGVPVVLVGTKLDLREDRAYLADHPASSIITMEQGEELRKLIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRHKDVTRKKLQSSSNRPVRKFCP >OGLUM01G09340.1 pep chromosome:ALNU02000000:1:8267544:8270494:1 gene:OGLUM01G09340 transcript:OGLUM01G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESPAAAAAALRLAAVARRWLENPRDSLARSREEGCGDAFNTVVMPGFRVSLAEPGRLVCSFRVPAAVADADGRWHAGAMAAAVDNLCAAVVYTADGVHRFTISQAMSFFSPAAHGEEVEMDGRVAHRKGKLTAAVVEVRRKASGELVAIGRQWMTSTRARPEKNGESRSKL >OGLUM01G09340.2 pep chromosome:ALNU02000000:1:8264121:8267572:1 gene:OGLUM01G09340 transcript:OGLUM01G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAAAAAPPPAWMAAGARRWLEEAGVAFDGSDRRAFNALPLAGTRVSLAGGGRAVCSLRVTAELTDGEGNWHPGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFSPAKLHEEVELDGRVVEQKGKMTAVTVEIRKKDSGELVAIGRQWMSTTRPKKDQASSKL >OGLUM01G09350.1 pep chromosome:ALNU02000000:1:8268792:8274972:-1 gene:OGLUM01G09350 transcript:OGLUM01G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5L0] MRHFAARRASTAAAAAEAASQRGEDGSVETSAMSAMRVLSLMSGAQAQEMRDLEREYEKVLDTNCIAYALYLKKILEAGDAAKETYSPPPPPPELVFGVGDDDQDGDVDDETTAETDEAVSSQNDVRYNPIWADADEAADLYPRQGSGKGRRELMRPPSLYPQRVPPHLIVQQQQSSPSPTGRGSPVARLRAGHSPATPSSDVSMEDSPSSSELLAGREEKHTASPLSRPAGRARPRGEEDDDDDVAAMLSPEHASSVMGGDGGGDVARRPVVATPKDFVCPITSQVFDDPVTLETGQTYERRAIQEWLDRGNATCPITRHRLHGAHLPSTNYVLKRLIAAWRDQNPAASSSAPTPPPPATTTMDSPAAAAPFKISSPSPDATVSQASAPSPTSVIAQASLDSSVGELRAAVSCLCTSEELADSERSVLRIERLWREAAGAEHVVLAALAKPAVVNGFVEILFNSVSAQVLQVAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVSLIHLLSPSPEQLVEMDMADALVATIRRGGGDDDGGAVVKMCVKPKAASVILLSQILVEGGRDSSSPAVAKSALVSERFIRSVAASLEAEQVEERVAAVRILLRCVAEDGHCRSSIVENSALAAVLDAFHVVGDADKFDIVRLLSELLKLKRRSAADRLLRTIKEASSFSMMHTLLVYLQSTTPEQSPVVAGLLLQLDLLVEPRKISMYREEAVDSLIQCLKNSDYPRSQLLAAETIMNLSGKFSSSGRPLSRSSLLKLARVKERHRPSHDLSISRGAGEDEMEEEKAAAEWERKAAYALVSHEFGLVFEALSGCLAAAKNGELFTASLVSAAWLVRMLPLLPDTGVLGAARVCLLRQLVLVLRSGKHGSDRALAMVALRSFMNDREGMHEITTYIKDVLRTLRELKKSSGLAFEMLKLLSDGQESSIDMWNHKELNHADCSSNGEVTSIAYFKGYIFSGHSDGTLKVWEGSENILRLVHESQEHTKAITSLAVLHSEEKLFSGSLDRTIRVWQLRDALRCVEVHDAKDPVQSLAVAAAMACFAPQGSGVKVLSWNNGGGAKVLNASKVVKSMALVHGKLFCGCNDGGVQEIDLASGTIGVIQQGSKRIIGKASPIYSLHLHGDLLYTGSTSLDGASVKIWSSSNYSLVGTIPSSMEVRSLVVSSDLVYLGSRNGVVEIWSREKLTRIGALQAAAGGGGRVQCMAVDADGDVIVVGTSDGRIQAWGLT >OGLUM01G09360.1 pep chromosome:ALNU02000000:1:8276882:8279144:-1 gene:OGLUM01G09360 transcript:OGLUM01G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWWPVLVAAAMMATAAEGFISAKTWSAVRRANDRGGPFVGLVVPNANEMDPVLNSPSFTPTPTLPSYLDIQGRRFRFGAIGGQNVVMVMTGLSMLNAGLTTQLLLSLFRVKGIVHWGIAGNADEGLQIGDVTIPEHWAHLSLWNWQRYGDGPENELPRSRPPATTPATSASSTSPTKPSRSHCGMELPACVNATTCLPRAPRVTRVRRGCSANVFLDNAAYRQFLRAKFGCTPVEMESAAVALVAHQHAVPFLTIRSLSDLAGGGSSLGNEAGEFLAIAAQNAVDVMLNFVPLLADGGAAHDAVAADM >OGLUM01G09370.1 pep chromosome:ALNU02000000:1:8288196:8292867:1 gene:OGLUM01G09370 transcript:OGLUM01G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSWAGSAQGAFCVKRVLRMVLNKVLELFFVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNGTVLSVQKHEPECSSVISSMTRTEYGFESDGCNSFSHFDVQDFSDHYYAKNSPGKTSIDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVHFPSEYPQSHRYETTSHMLSTIIFMLLPPLV >OGLUM01G09380.1 pep chromosome:ALNU02000000:1:8312829:8313846:1 gene:OGLUM01G09380 transcript:OGLUM01G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLVKILAPALRPPLSRAVGHHNRGLLPAWTRRSTATPRVAAAYGATSVGSAFSLPRAGYRRLGHRCPLPHPPPQTSIAPTAGVLRSAHRLPKKRRRRRLAATCRGVVLPTTSATTRGQAQARHRRPRPHRLRCWRTTRSGGKPQTDSPVCRRSRRSLAAALLAVARHVGGKAAARRQEVEENVTQLFWGASLCLASMTLQHLCRLQLSADGWNTIVE >OGLUM01G09390.1 pep chromosome:ALNU02000000:1:8317241:8322338:1 gene:OGLUM01G09390 transcript:OGLUM01G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGRDDATQGAAASCGEPGRVGDEHSRRAQQPMEPPLAGAEEETTRLHADVGASNGSGLASANAHNAMEDAAAAGDDDEADSGSGGQLFGASRKYPEQSSLLGPLTEGAHWRPGTRRNPISTAAPSAPRVEAELQIGAALAPEDPEVAVQSDAPETSVARVGPVLLREARTPGGPGGSARSFVSPSRGNRSGQPPWPRNRGCSTRLGVLCRGQGQRAGRLAELSGAIRPAPRPRLAGGSGLPGRFEQARLILDELNVRAISTTQALVRAFGSIGVQGPAPPPDDSSVTEKLRWVEKAGKFAVKASAGYRIWCSWATTRMLSLLLRGKGRAHIGPSARAAPSEVTALLASGSGVNSSRKDADDFTWTVPASSSALALASEAGRRELLPTIAAVMAVTPNAAGPSLPAPGIAQPGIRSGVSSSSSFYWETFDEDSDFDAEGWSPLPLGEYDLDLANTAAGLQYTVRCGGARAWLPSVSRDPTPPTTYQVLRRSRPGREFAGASSGGSSRIRRRRRGRSQRDGLLPRGALLARSSQATSLGRPCFFLLYSQNVLCKC >OGLUM01G09400.1 pep chromosome:ALNU02000000:1:8322521:8322829:1 gene:OGLUM01G09400 transcript:OGLUM01G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGDSLLSAGAGALRRFNSLGRSQSGLDASLATEIYFARRGPERSRRWRATEIHFARPEPERKAAEIYFARPEPEWS >OGLUM01G09410.1 pep chromosome:ALNU02000000:1:8330162:8338193:-1 gene:OGLUM01G09410 transcript:OGLUM01G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGGELKSSSLVQQMVWVGTGNSSSSSIMGSLRQLPCSEEQDAASSPASMLFLPQQLLLHASSNSSPCLNIPEVNLSTGLHPLGSFHGDVQQQEIISGMPDQSWRQLLLGGLVGDHEKYSVATALLSKGLDDEASMPHEASAAAYDFYGHGGGAGDEILQASPEASSCKSQLSQMLLQAAASSPRSCVTTSGLGSSMMEFSNTAAVAPAAEPELTRKHHAGQSDNSSECNSTETGSALKKARVQASSSAQSTLKVRKERLGDRITALHQITDTASVLQETIGYIRFLLGQIEALSYPYLGQCCSANPMQQQTGIMAGERSTDGLFPEFPAGQDAEKDGKKQQAKKDDDLRSRGLCLVPVSCMPHLAADNDVVVGSDFWAAGGGGGGGGAPPLAGMNLR >OGLUM01G09420.1 pep chromosome:ALNU02000000:1:8330175:8333704:1 gene:OGLUM01G09420 transcript:OGLUM01G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGGAPPPPPPPPAAQKSEPTTTSLSAARWGMHETGTRHSPLLLRSSSFFACVAVRLCAGEARASARRRVGGARRQVAAGARAAASDDEQHRWEARNCTASAHATA >OGLUM01G09430.1 pep chromosome:ALNU02000000:1:8352849:8354087:1 gene:OGLUM01G09430 transcript:OGLUM01G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEKKHRQQSRSRSRRKDVTGKGSRCEVASKASEDGRVQKRHKQGYTRTTLPSFPLLYVLDRPTLQPDASLAAAFHPCLPACTRSSARSSELIARCCCACGFGGVGQVGEYERCHSVPGGGLELGDTNPPTRTLAMVGTSSGNGLSNGDDNYGSSIFSLNSPLAWTQPLPPLRTGSSTMRCCDGIDLPPGDPTTDGSTATIGVWRGSARGGGGARRGNDSLDDDELMGLGDGATADERR >OGLUM01G09440.1 pep chromosome:ALNU02000000:1:8375471:8380889:1 gene:OGLUM01G09440 transcript:OGLUM01G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5L9] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGSSWSNVIPGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >OGLUM01G09440.2 pep chromosome:ALNU02000000:1:8375471:8380889:1 gene:OGLUM01G09440 transcript:OGLUM01G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5L9] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKSKNRN >OGLUM01G09440.3 pep chromosome:ALNU02000000:1:8375471:8380889:1 gene:OGLUM01G09440 transcript:OGLUM01G09440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5L9] MSPPLELDYIGLSPPPPPPSSSSAAAARADDVDLKGTELRLGLPGSESPDRRPAAIAAAAATATTLELLPAKGAKRVFPDEAALTPPTAAAGKGKAAREGEEVGAEEEDKKVAAPPQPAAKAQVVGWPPIRSYRKNTMATNQIKSNKEDVDAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDMSLGLEKMFIGFSTGKEGAENQKDGEYVLTYEDKDGDWMLVGDVPWEMFTDSCRRLRIMKGSDAIGLAPRAGEKDGGDYPS >OGLUM01G09450.1 pep chromosome:ALNU02000000:1:8385422:8385997:1 gene:OGLUM01G09450 transcript:OGLUM01G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSHAGGEMCMEGGGGGRARRAGGKKAAAAEQQQHKVAKQPQRGLGVAQLEKIRLHNQMVAALRSAAGGDAPYSPPPPQPQPFASPPFHLPPLQQDCYEAADHRRIAAAGGVLPYYEGMLPYGSGRLAAASPAFVAYEVKGDHHHGQYGSSEQQRQPQYYSWMSSSYDGYSGGRSSAGSSSEELDLELRL >OGLUM01G09460.1 pep chromosome:ALNU02000000:1:8390836:8399099:1 gene:OGLUM01G09460 transcript:OGLUM01G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISFSISIRTSYTTRPCIISNRRGNKIGTISFSSSSEFPTRRLPRRSPSTRNKGRRRKQKHACARAASTCSLLDRPAPLRRPRQATTARRLRFPLPLLVVFLLSPIPKPEFLGGSVHAARAGSGRGMDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSRATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRGEMLRRCSIYFKWFRVMYLKGMMLQMLYLGLSPVNLLNSQKGKKALAPGDEE >OGLUM01G09460.2 pep chromosome:ALNU02000000:1:8390836:8399099:1 gene:OGLUM01G09460 transcript:OGLUM01G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISFSISIRTSYTTRPCIISNRRGNKIGTISFSSSSEFPTRRLPRRSPSTRNKGRRRKQKHACARAASTCSLLDRPAPLRRPRQATTARRLRFPLPLLVVFLLSPIPKPEFLGGSVHAARAGSGRGMDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSRATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRGEMLRRCSIYFKWFRVMYLKGMMLQMLYLGLSPVNLLNSQKGKKALAPGDEE >OGLUM01G09460.3 pep chromosome:ALNU02000000:1:8390836:8399099:1 gene:OGLUM01G09460 transcript:OGLUM01G09460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISFSISIRTSYTTRPCIISNRRGNKIGTISFSSSSEFPTRRLPRRSPSTRNKGRRRKQKHACARAASTCSLLDRPAPLRRPRQATTARRLRFPLPLLVVFLLSPIPKPEFLGGSVHAARAGSGRGMDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSRATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRGEMLRRCSIYFKWFRVMYLKGMMLQMLYLGLSPVNLLNSQKGKKALAPGDEE >OGLUM01G09460.4 pep chromosome:ALNU02000000:1:8390836:8399099:1 gene:OGLUM01G09460 transcript:OGLUM01G09460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIISFSISIRTSYTTRPCIISNRRGNKIGTISFSSSSEFPTRRLPRRSPSTRNKGRRRKQKHACARAASTCSLLDRPAPLRRPRQATTARRLRFPLPLLVVFLLSPIPKPEFLGGSVHAARAGSGRGMDRIVGGKFKLGRKIGCGSFGEIYLATHVDTYEIAAVKIESSKTKHPQLFYEAKLYNALQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGVEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTRQGYEFDYVFDWTVLRYKQGQKVQHGSRATITRATPGDLDKTAGVNGAFPHNEAREQTGPSHLAGSAAQLQVKRSTERGPHPNIQHTENITQDMTARKHLAASVLPGAEWRKDGNSRQLGQLDALHQKQSFVSNTGSSSGRGEMLRRCSIYFKWFRVMYLKGMMLQMLYLGLSPVNLLNSQKGKKALAPGDEE >OGLUM01G09470.1 pep chromosome:ALNU02000000:1:8401726:8413163:1 gene:OGLUM01G09470 transcript:OGLUM01G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALKVLSGKAVSDDVHDAAAGDRKERRSPVTMDGRRHEQASKENETASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGATAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGAGAGDTSGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRLGQLSRQAKPEAAAAVSPMFACASLASRLARPLCAAGSTPRFLQESVNQDSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKAGLNEMSGPVSKQVEENSASDGTTNVVIEVSTYDASLPENKVATEDTMEDASFNKDIDRTNLSEKCSSSVQVDAPLMRFVKGKGGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVIEEAVKSPMLDYSHFISLPLAIHPSLVEKLNHFQFSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDAQNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPTAEMQTE >OGLUM01G09470.2 pep chromosome:ALNU02000000:1:8401726:8413163:1 gene:OGLUM01G09470 transcript:OGLUM01G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHHQQQSNGQQLQRLEGIEEEGGAAEKWPPPTTVRPPETPTETMEFLARSWSLSAAEISKALKVLSGKAVSDDVHDAAAGDRKERRSPVTMDGRRHEQASKENETASMRADASSMAAAAQGGAMSPPISPRANLDVKLLRATATAAAAAGGRGGGGKTTMGTWIKEQKERKRAEARSRNAQAYAATSVAGVAAAVAALVAGATAAAIASAAALVASHCVEMAQAIGASHDQILGAIQSAVNAQTSGDIMALTAGAATALRGAAMLRARLHKEIQAAALPGAGAGDTSGREPERDTSPFAFVSRGGELLKRTRQGTITVIIKMRSAHMAGTFIKTKKFVVLDICSEIPAWAGREVEEGSHRRGYFGIKTVERVIEFECRSKYEQHKWVQGITEMLNRLGQLSRQAKPEAAAAVSPMFACASLASRLARPLCAAGSTPRFLQESVNQDSIHSLVMEGAQGASNNSAKHKKRKSAVQRWRPISTEAAAPKAGLNEMSGPVSKQVEENSASDGTTNVVIEVSTYDASLPENKVATEDTMEDASFNKDIDRTNLSEKCSSSVQVDAPLMRFVKGKGYWPLAPVSDMHHPQLRIVLVCLSLTENQSYSLACSGTMQKQIEDETGVKIIFPSSKEETCVVLEAKTTEDIRKASEKIAKVIEEAVKSPMLDYSHFISLPLAIHPSLVEKLNHFQFSILGTSSNVDSDKGEDLSEGSMDEIDHEQKQERSPSVSIKMQAHEESVRVKMDIKGSQPDFGIDKSIFIKPKTFHLTVLMLKLWNKDRIAKASDVLQSVSSQVMEALENRPISIQLRGLTCMKGSPARARVVYAPVLEVGEEGRLQQVITDAFVKSGLVLERDARQELKLHATIMNVRHRKSKRWNQRNDSFDAQNIFRKYGEHDWGEYLIPEIHLSQRFKFDERGYYYCCSSIPLPTAEMQTE >OGLUM01G09480.1 pep chromosome:ALNU02000000:1:8404971:8406103:-1 gene:OGLUM01G09480 transcript:OGLUM01G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGEEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFAMVSPSSAVFQVIIGAVGGGAAIGGAAAGGAAAGGAAAEAPKSEEKKEEEKEESEDDLGFSLFD >OGLUM01G09490.1 pep chromosome:ALNU02000000:1:8408015:8415798:-1 gene:OGLUM01G09490 transcript:OGLUM01G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDHLHLRRPDQASCKSCSSLSSSARGRQELSAGGSGGHELATARSTCTEMSKHELGLSSSSGLPDPSSHNTLPAAGPAAPTAANMPSWVARAARYRRRQDGEDEGRSGGAARAETRAAGWRESEEQRGDAVGGAAARALTRSGGDGRAASVRGAAGRRGGAVGGAAGRRLSAARSTASPPLLRCSPPLDPPPLRLYSGALHLQIHHLSASTLACKFGIRSQGQAKCYTQLIKLNMDRHSIPQQMNTIQRHKPLKA >OGLUM01G09500.1 pep chromosome:ALNU02000000:1:8418054:8419013:1 gene:OGLUM01G09500 transcript:OGLUM01G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPLDLLGWAAYRWAFGWAGL >OGLUM01G09510.1 pep chromosome:ALNU02000000:1:8423765:8425144:1 gene:OGLUM01G09510 transcript:OGLUM01G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPMTKLELGHRGEAWEPGCLRAVAGELLFTFLFVFIGVASTITAGKAAGGAGEAAAVTAAAMAQALVVAVLATAGFHVSGGHLNPAVTLSLAVGGHITLFRSALYVAAQLAGSSLACLLLRCLTGGAATPVHALADGVGPVQGVAAEAVFTFTLLLVICATILDPRRAAPPGTGPLLTGLLVGANTVAGGALTGASMNPARSFGPALATGEWAHHWVYWVGPLAGGPLAVVAYELLFMDVEDAGGAHQPLSQE >OGLUM01G09530.1 pep chromosome:ALNU02000000:1:8429219:8431244:-1 gene:OGLUM01G09530 transcript:OGLUM01G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVAIFTSGEYTHVHAIYIEIFTLSLPISSHLVPERIREVELVMEFGRRKSFSFFEEDWKARPTAAARTPVHHHYARSPAREAAPHTPPRLSLSSVHGVELPGLVGVGGGGGGGGGVGGMCSPWVQSPLHGRVRFPPSPAAIYHCLSALHRLDGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPAIAACGGTLVTSHSRDHHVRVWTVCASAVCDHIRAKKAATLPAKGGILSFTKRRPPHHRDTVSCLVLHAVAGLLYTASHDHTVKAWKLSDGSCADSFVAHDGAINAMLINEADGCIFTGSADGTVKMWRRVYGGTTHALIIALRSELSPVNALTLCHAAAATGATRRCFLYAGSSDGYVNVWEKEASAGRPAHAGFLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRRDGKGGSTSHTCLAVIEGHRGPVRCLAVGGGEAGDVEGSMVVYSAGLDKSVKVWRIRVVGKEEDEDDDEDDLDDDDVDVVVGEEDAAETMSTAAGKVDTEARDEAAAAAAVVAEEEAEVVVGLGATPVLSPVWVEKRRTSRG >OGLUM01G09540.1 pep chromosome:ALNU02000000:1:8457332:8464751:1 gene:OGLUM01G09540 transcript:OGLUM01G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLPSPLRRLLPLSQTLAAATPAPLLHLSRRLFSSSSSSPSPSPRAACLRALAYRGGQAGGGGRRGHHNHLLRRGNSTLGKRSKEKMGGGGGGGGGGGEAEVAFNKTRAEGKDGRKGRSMELKSRKLNPINTICYVQHKGVYSGFPLPVEDQKYYVVRILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGEEGMSVNIWGPSDVDFLASAMRSFIPNRAMLHTHSFGVEQNVSSSQSKDATVIVDDEVVRISAMFVKPRYNKEASCLNDSNLKPGDTAIIYACELPELKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDAFDKMVHPSDVLGPSIPGPTVLLVDCPTKYHMHELFSLQSLIRFYEDSSEQAGSPKKVNCVIHLGPSSVTEALDYQNWMRKFGATQHIMAGHEIKNMEIPILKGSARISSRLHFVCPHLFPSSGFWPVEPINDVDSEKNKVSSLQACESVSAANLLKFHLRPYAQLGLDRSSIPSLTTYGDIVDELLSEIPEIKEVPEQISKFWQSNSVGKHMLMVEEPWITENSSVCNFVDENSNSGKLQDGTPLRASGWRKHPKDTPDIPCCVENATREDMEITFLGTGSSQPSKYRNVSSIYINLFTQGGILLDCGEGTLGQLKRRFGVSGADDAVKSLKCIWISHIHADHHTGVARVLALRSKLLKGVPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRQTLKPSIEVFLSDNATESATSQLGSTIFAPGSKMENYSRKPASPRDTTALTNLKDVLHESGLEVLYSVPVLHCPQAFGVVLRAKEKVSSAGKAIPGWKVVYSGDTRPCPALVDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIAVGTSAGAYRIILTHFSQRYPKIPVFDEVDMQKTCIAFDLMSVNLADLPVLPKVLPHLKLLFKDEMVVDESDEIQEAVM >OGLUM01G09550.1 pep chromosome:ALNU02000000:1:8465869:8471604:1 gene:OGLUM01G09550 transcript:OGLUM01G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) TAIR;Acc:AT3G16270] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASVVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDLLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >OGLUM01G09550.2 pep chromosome:ALNU02000000:1:8465869:8471604:1 gene:OGLUM01G09550 transcript:OGLUM01G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS family protein [Source:Projected from Arabidopsis thaliana (AT3G16270) TAIR;Acc:AT3G16270] MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASVVKEVADFVLKRLDNKSPVVKQKALRLIKYAVGKSGTDFKREMQRHSAAMRQLVHYKGHPDPLKGDSLNKAVRETANDAIAAIFSTEEPKPAVATEGLGKRIQGFGNTNFEPSRDDKKSFLSELSEVVGIGSASIKQGLSNFAASHSSMITNDNGGPYKSPNLRRSLTTETDKYGRYDPSEIQGESRSSSGASKNVSSGSWGPSPSSSAPTDDTGSSQTGVKTREERLLDTIATSSGVRLQPTRDALQIFLTEAAKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIITSYFCENSASVVRCCELPQVSLREKASKVLNLLVGEQPTGSNNFSETKTTVPAAQMPDLIDTGDQDDPGAQNSAQEGSERIMGNSTFTSSVDDLLGGEPIADISTTTSNGNGGDPFADVSFHETADTKDTNDLFSGMTVEEKATAALHDSSSINKNELPDIFGSSPEPFFQERVEDKGTVNDLMAGLNLNGTAQAQPGIKTESNNTVNVSQLFDMNSQTTNVANSAAMTGILGQSFYQQQQVPLQYNLPSQMLLNPAFAGQQLNYGAMSVLLAQQQQLLQNLGNFNAGLGHSSLNAMNSGNASVLPDIFNSSNQPQHVAVMSNSKKDETKAFDFVSDHLAAARGSKK >OGLUM01G09560.1 pep chromosome:ALNU02000000:1:8473062:8478427:1 gene:OGLUM01G09560 transcript:OGLUM01G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSSQQQQKRQRCQVATRGEEGDAGKEEESGVGAVALRWGMACWLAGAGTYGALQADALAKRLASASHGELGIVCDGGSVDWGKGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEEEPEYGDAGASTSSASASAAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >OGLUM01G09560.2 pep chromosome:ALNU02000000:1:8473062:8478427:1 gene:OGLUM01G09560 transcript:OGLUM01G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGYVGVGQGSSSSSSSASCRAADVAAWGSSSQQQQKRQRCQVGSSDDQVGLSTSNSLQMSEPEPRDFDNGENEEEDYYLDEDDCIYDDGDGYDYEFDGGDYFNQRLADKFDDLDLPPGVEATVPWLQKIITNEEQSSSKLTVEDESANKSANKSQLFKQFDTVKNFSDHHYAATSGDVTKRDWVKRIQHDWKLLEKDLPASIYVRVAEDRMDLLRAAIIGPKGTPYHDGLFFFDIQFSNSYPANPPSVYYHSGGLRINPNLYNNGKVCLSLLGTWAGSGCETWNPSQSTMLQVLVSIQALILNEKPYFNEPGYASYANSVSGERIAMEYNDNTFLHSCRTMLYSLRRPPEHFADLVTSHFRERGHTILAACRYYMEGHKVGSVVPEEEEPEYGDAGASTSSASASAAAAAALKPRPDKVDSVSRRPTFNDNLKTLFEELLMEFNVKGADTAKFLAEKVKKSSGATTTAPVGGARYAAEVVDEWMD >OGLUM01G09570.1 pep chromosome:ALNU02000000:1:8478213:8479286:-1 gene:OGLUM01G09570 transcript:OGLUM01G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIHLQSPFLLWSPAPPTPPLPPSPPSKTRRPPPPPPPPPFCPHLSVPCFGLPLPPPCPPSPGAIRFPLWHGAATIPASSVCRSVRGHFVEHLPHVEGRVPGDGEGAFAGVPPEILPPKKRLLRYHPYAAAWTIQEMANHARGQGGFGGKRPAVPTPPGVEEDDGLRAELRRLRISRPALVLTKRLTPSDRSREKARLVLPEGLVRTSPLLGMLTAGERHLVLTGDGGGLPVPAFDRLGRAYAMALKRDRSPTCRSYRLTGQWSLFASRHAMHDGDAVEVRAFRPPAWQARLESRGEGGLGMALLLRRPRGQPTPPAAVNDASFWSYRERGAVDGLLLLARTAPRRGDGGVQITVP >OGLUM01G09580.1 pep chromosome:ALNU02000000:1:8480384:8485923:1 gene:OGLUM01G09580 transcript:OGLUM01G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidinol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G63890) TAIR;Acc:AT5G63890] MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTSTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVRMRGDAAVKDYTVKFDKVALDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHVLKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >OGLUM01G09580.2 pep chromosome:ALNU02000000:1:8480384:8485726:1 gene:OGLUM01G09580 transcript:OGLUM01G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidinol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT5G63890) TAIR;Acc:AT5G63890] MSLRPGRAHPLAASPLHTPLPARPRPQLRLSTSTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVRMRGDAAVKDYTVKFDKVALDDVVVRVSDLPDVELDPAVKEAFDVAYDNIYAFHVSQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHVLKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVVAGDGVDLGAIEAEVSKQCSALPRGEFASKALGHSFTVFAKDMVEAISFSNMYAPEHLIINVKDAEQWEDLVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLSEEGLRSLGPHVAKMAEVEGLEAHRRAVTLRLQDIEATVTV >OGLUM01G09590.1 pep chromosome:ALNU02000000:1:8484759:8489832:-1 gene:OGLUM01G09590 transcript:OGLUM01G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGGGSASGAGGGGGGGASSASAFATRVLLLLTLLPMALAAFAFALQWRGGMRDPAGAAWPAETQRFPGMENSPLGSSSSSSSGGGGGGGSYFAVTSSQSSSAAADCAEILGRSAASSSHGGISLYRGWGFDSDAGLTPKICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKEKQDRSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWFREHVLWNDKDTNLKLLRKGDIIRGLKESGVFTTAVTSAKAHAKFKSSNTDLKNKESIHPNITQGDHLQATVRKILEMVDAQEEAMPPMSPPGFLHQTVETALS >OGLUM01G09600.1 pep chromosome:ALNU02000000:1:8490538:8490780:-1 gene:OGLUM01G09600 transcript:OGLUM01G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWRRSEERPVGGEARSQEQREDTSPLPDSPPLRLYSGSLHLQIHHLSASTPSRWWREFDCEVSS >OGLUM01G09610.1 pep chromosome:ALNU02000000:1:8496395:8498459:-1 gene:OGLUM01G09610 transcript:OGLUM01G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAGVDMREMTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >OGLUM01G09610.2 pep chromosome:ALNU02000000:1:8496395:8498062:-1 gene:OGLUM01G09610 transcript:OGLUM01G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVWKTKVLTGLNKLFDKDGKKAAAAEFLKSFNKEEIGKEIDDKKTELEPKVVEVVESSPPEIKALLKDKKTASKIKKNGPAVTKFLEELAKIDFPGAKPVSDAVAKSGTTPLSPAIAFILEKVAPFVPKEEPKPEPEAEAAAETTSREVAVEEEKKEEEAAPAEPAAAAAEAAAPSTEVVEEKKEEEKPAEAAAPAAEPEKQ >OGLUM01G09620.1 pep chromosome:ALNU02000000:1:8502092:8513284:-1 gene:OGLUM01G09620 transcript:OGLUM01G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRPAAGEGGGKAAAGAAAAKKRVALVNITNVAAAANNAKFNSATWAAPVKKGSLTSGRNVCTNRVSAVKSASAKPAPAISRHESAPQKESVIPPKVLSIVPTAAPAPVTVPCSSFVSPMHSGDSVSVDETMSMCDSMKSPDFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWNKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYTHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDECWNESNSNNSLIAYNRRFVRVAQVSDELFIVQDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPTKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKILHAADVIDLNMVIQREA >OGLUM01G09630.1 pep chromosome:ALNU02000000:1:8516519:8521173:1 gene:OGLUM01G09630 transcript:OGLUM01G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Histone H4 acetyltransferase, NuA4 complex, Ea /.../terPro:IPR015418); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G14385) TAIR;Acc:AT4G14385] MDSGGGGGASHKAASGSAPSGAVAANPTAMLSALMSKRAKLQEELRSIERQVYEMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHMAGRDGTIETLGSIPAASWQMEENMDQVVRKAQPLLQTGRKPKKGGRPGGRDGKRIRPSNDPDLDDEEDF >OGLUM01G09640.1 pep chromosome:ALNU02000000:1:8522032:8525816:-1 gene:OGLUM01G09640 transcript:OGLUM01G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLAASRRSSSSSSVAAAAKRPAVGEGGGGGGGKAAAGAAAAKKRVALSNISNVAAGGGAPGKAGNAKLNLAASAAPVKKGSLASGRNVGTNRASAVKSISTKPAPAISRHESATQKESVLPPKVPSIVPTAALAPVTVPCSSFVSPMHSGDSVSIDETMSTCDSMKSPEFEYIDNGDSSSVLGSLQRRANENLRISEDRDVEETKWKKDAPSPMEIDQICDVDNNYEDPQLCATLASDIYMHLREAETRKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFVRVAQVSHEDPALHLEFLANYVAELSLLEYNLLSYPPSLVAASAIFLAKFILQPAKHPWNSTLAHYTQYKSSELSDCVKALHRLFSVGPGSNLPAIREKYTQHKYKFVAKKSCPPSIPTEFFRDATC >OGLUM01G09650.1 pep chromosome:ALNU02000000:1:8534455:8536711:1 gene:OGLUM01G09650 transcript:OGLUM01G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKLSITNDRMDFNTRGNAVPNGKLDRNQSGVSANRPSASKPTARSKKKPDQADKTTPNPAQNSASSAARSEAATVTTTTKSPAISVDRSSIARSNSLDSSSYGQAKRHTGGDSRWDAVRSASSVDCPLGLVHFRLLKRLGYGDIGSVYLVELRDTDAFFAMKVMDKESLISRNKLVRAQTEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQRQLNKHFNEQAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCSVCPMLVKSSSVHAGANGVVKGLAAGGGGDGEGVGVGCMQPSAFLPRILPKRSRKTSKSDLGLLHGSPLEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGMTPFKGSSNRATLCNVVEQPLRFPDGGAFPAAAAASGVARDLIRGLLVKDPGKRIASRRGATEIKQHPFFEGVNWALVRSAHPPSVPDPVDFSQFGVREKPAAAPTKVAKPAASDSSSGDFEYF >OGLUM01G09660.1 pep chromosome:ALNU02000000:1:8537852:8541320:-1 gene:OGLUM01G09660 transcript:OGLUM01G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSSGNGTVLLVQKHEPECSSVVSSMTRTEYGSESDGYNLFNQFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWKLLQKDLPESIYVRAYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPSEYPQSPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGTGCEKWGKSKSTILQVLVSIQGLVLNDKPYFNEPGNKNSANTAPGEKYSLAYNQTAFLLSCRTMMYSLRKPPKHFESLVARHFHERECVILDACDAYISGAVVGSSSAKGTKHPRDNNRSFADFKKSLEKYSELLRKDLAANRTHFLKLTRDSPAAADEIVECTSS >OGLUM01G09670.1 pep chromosome:ALNU02000000:1:8548743:8552967:1 gene:OGLUM01G09670 transcript:OGLUM01G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILGRGVYQLASATSISQSMTLTLCSKMRMGTTITQITWVVHIIRDKNISPTDRAPGLKSFYTCKKRKISKATDNATKPKEDPETTRVSSKVAHDDTQNLVHEAIDGIRFSDSEMSFDDVMSYSNFNIVVDGLVIDCKFPDHQRRTYYELCCAQKSFLHRHLLRQLSLTLVVGVIMETINIAEGIRACAAGTSSQEDFLIWKKTLQSFDLLGMNVAFLLKRVDDLLGLPEQLRDPSECGKYNELKLERSRAGEKVKALESMMLTVKDVLKKIDAEMEDMESSVRNQDIALQKIATAPW >OGLUM01G09680.1 pep chromosome:ALNU02000000:1:8555619:8559321:-1 gene:OGLUM01G09680 transcript:OGLUM01G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNRYFLLRSCLNGHSAAGVRHESPAAAARCGGGGGDTTLRERILLRPDGYIGSPEKRTQTFWINDGYYMVPREVTYRPGLHRIFDEVLVHAASSKRRDPAMDALSVEVDVVERSVSVFFNGRGGAAPVELVDEEERGVYAPEVFFGHLHDDDVEVDRNKTTNGGGGGYGFVVEIADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTMITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVRVEWDGVRLLINSFDDYVRLHIDSPTLKGGTHVDYVTELITNHLMNLLNEHYEECNFNVDDVKRYLWVFLNVIIDNPTFDSQTKETLTTPPGRFGSKLELPDTFGNGLIRRLFGYRGPLDAKAGVSSRD >OGLUM01G09680.2 pep chromosome:ALNU02000000:1:8555621:8559321:-1 gene:OGLUM01G09680 transcript:OGLUM01G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNRYFLLRSCLNGHSAAGVRHESPAAAARCGGGGGDTTLRERILLRPDGYIGSPEKRTQTFWINDGYYMVPREVTYRPGLHRIFDEVLVHAASSKRRDPAMDALSVEVDVVERSVSVFFNGRGGAAPVELVDEEERGVYAPEVFFGHLHDDDVEVDRNKTTNGGGGGYGFVVEIADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTMITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVRVEWDGVRLLINSFDDYVRLHIDSPTLKGGTHVDYVTELITNHLMNLLNEHYEECNFNVDDVKRYLWVFLNVIIDNPTFDSQTKETLTTPPGRFGSKLELPDTFGNGLIRRLFGYRGPLDAKAGVSSRD >OGLUM01G09680.3 pep chromosome:ALNU02000000:1:8555613:8559321:-1 gene:OGLUM01G09680 transcript:OGLUM01G09680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNRYFLLRSCLNGHSAAGVRHESPAAAARCGGGGGDTTLRERILLRPDGYIGSPEKRTQTFWINDGYYMVPREVTYRPGLHRIFDEVLVHAASSKRRDPAMDALSVEVDVVERSVSVFFNGRGGAAPVELVDEEERGVYAPEVFFGHLHDDDVEVDRNKTTNGGGGGYGFVVEIADGCRMKKYKQVFSENMGKKSVPHITDCNQGENWTMITFKPDLARFNMTYLEEDHVTLMWKRVVDMAGILGDSVRVEWDGVRLLINSFDDYVRLHIDSPTLKGGTHVDYVTELITNHLMNLLNEHYEECNFNVDDVKRYLWVFLNVIIDNPTFDSQTKETLTTPPGSFWQWSYPASVWLPWSARCKGWSFIQRLAT >OGLUM01G09690.1 pep chromosome:ALNU02000000:1:8560918:8563833:1 gene:OGLUM01G09690 transcript:OGLUM01G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5Q5] MHTKSSRYTQNLAIRASAKLQKKKRVNSEAKNGQCEANSANTLLSPHSYKFCASLVSLLLHLHQQRFASRFCMAHATLGSPEPAAKPRLRCADDRHRRRLIVVLCIVGVALAVGIAVAVAIAVLGRSRMTSSSGGGREPRGRAPTEAIARTCGVTLYPELCVSELMGFPGAAGAGDAELVPMSLNATHRRVVDALYNATALGGAAALLAGARSGTAYGDCVEMLDAAAELLARSVGAIAAPPPPPDSVDADTAGRDDDDIMTWLSAALTSHDTCLDSLQEVGAGAGDDGRIKPQMLGYLGNLGEHLSNSLAIFAARGRPGGELSDVPVHNQLHRRLLTIDDDDDVSFPRWVRHNDRRLLQAAAAEIEADMVVAKDGTGTHRKIRDAVKAAPEHSRRRVVIYVKAGVYTENVKIGSKKTNLMLVGDGAGKTVVVGYRSVHDNYTTFHTATLAVAGAGFIMRDMTVENRAGAARHQAVALLVSGDHAVVYRSAVLGYQDTLYAHAQRQFYRDCDVAGTVDFVFGNAAVVLQNCTLWARRPLPGQENTVTAQGRRDPNQSTGISVHGCRLLPSPELELAPAARRGRAATYLGRPWKPYSRAVYMMSYMAGHVHAAGWLAWDASGRAPDTLYYGEYRNSGPGAAVGGRVPWPGHRVIKLPEEAMEFTVGRFIGGYSWLPPTGVAFVAGLTV >OGLUM01G09700.1 pep chromosome:ALNU02000000:1:8564630:8564794:-1 gene:OGLUM01G09700 transcript:OGLUM01G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANQLSYKAGEAAGHAQARREEAVEKANGAASAAQEGAADAVKNATGLGGN >OGLUM01G09710.1 pep chromosome:ALNU02000000:1:8569715:8570494:1 gene:OGLUM01G09710 transcript:OGLUM01G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPPRTRDPAIAIAGDGAARRGPPPMQPPGPPPVRHGPPPVRQQHGYYYEEEQRPLHLHVRPARSSSSALASCLVAAAFLALAVGGAGAALFVLFRPRPPDIAVAAVRLPAFASGPNGTVAFTFEQTAAVRNPNRAPLAHFDSSLRVAYAGGELGSVYIPAGLIDGGRTKDMSASFAVPAFAAATPPPLPQEQMAAAAAASAQQQQPAAAAAVMEVDSLLVVKGRVTVLRVLTHHVEAAKVCRVGVSPVDGKVLGFRC >OGLUM01G09720.1 pep chromosome:ALNU02000000:1:8570836:8573827:-1 gene:OGLUM01G09720 transcript:OGLUM01G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDDLPLVEGLDDGRDLKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVYISQLLLSESFLFAEVSEMSNRLTSDTRIYVEKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNKIWLMDGQGTYHPKLHGMSCDSDVLAAIEYVRLRCWRKVILIARLSASVVRDFGMQCNNLR >OGLUM01G09730.1 pep chromosome:ALNU02000000:1:8573852:8575061:-1 gene:OGLUM01G09730 transcript:OGLUM01G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAHPHQFIYLSPSLYSLSLSAPAGGNGGGRAPLLPRSPVSGFAGGGDAAGSSHRRPHLAARFEILKVALSDCLC >OGLUM01G09740.1 pep chromosome:ALNU02000000:1:8575704:8577918:-1 gene:OGLUM01G09740 transcript:OGLUM01G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGIAVSPSNNDALDLAVAQLDGDQSSYHHTPEVFLLYLAVPGVPLAKMQVLESDSVAAVKLRIQNSKGFVARNQRLVFEGRELSRNDSRIRDYGVRYGSVLHLVIRLSDPRRTAVRTVYGRKFKFQVDQHQNARYMKQEISRNVESPNGIGESVTLVNGEKLDESTLISTICETNTSDTDFLANKSENFNGNEIEQSFEQLSISSDIGNNLQFDDAKEKYPLIEPVLVNPSVTLTPNITGMIEATLAGLEMEHTPVMSSEGTGGVYFMLDSSGQEYVAVFKPINEEPMAKDNPNGYPLSSDGEGLKRGTRVGEGAFREVAAYILDHPISGYRVSDELGFAGVPPTVLVRCLNGYVDQTKYDCTEKEPKIGSLQMFVKNSGSCEEFGPRAFPVQEVHKIAVLDMRLANADRHGGNILIRKDENGQIELIPIDHGYCLPESFEDCTFDWLYWPQARQPFNVETLDYIKSLDEEEDIKLLKLNGCEPSSKCVRVFHLSTMMLKKGAVRGLTPYEIGNMLCRENITTKSKIEEIVEEAEDVVLPGIGEKAFMEAISGIMDRHLNELFK >OGLUM01G09750.1 pep chromosome:ALNU02000000:1:8582891:8583776:-1 gene:OGLUM01G09750 transcript:OGLUM01G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5R1] MFRPYNCPYAGSECAVVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >OGLUM01G09760.1 pep chromosome:ALNU02000000:1:8587399:8587833:-1 gene:OGLUM01G09760 transcript:OGLUM01G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSNRSSTLNLLQFKMIEMSFVPLLIFHLQARCNNWGGRRSHGADRVNEMMVDPLSQYHVCSSVIRHALSLPPTTLELHKGQLVHEHDEKGRPTLEFRETRNLRRLWLHRSLTRTMMHGLEEITTRELDEDDNVEAKGGWRDL >OGLUM01G09770.1 pep chromosome:ALNU02000000:1:8589408:8591708:1 gene:OGLUM01G09770 transcript:OGLUM01G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWLQLPAPPAAVHNPVFLSGKLSSSLLCKNKKHPQAARGRFLCSSSSSSNSSASVVTKEQEAVAAASSSQEEGVAVSETEFVSYRDDPNFRGCRGCGREEVERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVPSNNKKNTKVRAER >OGLUM01G09790.1 pep chromosome:ALNU02000000:1:8602725:8606360:1 gene:OGLUM01G09790 transcript:OGLUM01G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAHLVLALLLPLALLPPAARGTEETPQYTTVHAESDFEVRRYRDTVWMSAPSDDISFHVATKLGFHRLFQYLMGANLNSSRIRMTTPILTSIVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDNNVVEEAEKLALSLSRSPWANSTNYPSKSAYSIAQYNNPFRIIGRLNEVWFDVDCKSTGVEAY >OGLUM01G09800.1 pep chromosome:ALNU02000000:1:8605508:8608856:-1 gene:OGLUM01G09800 transcript:OGLUM01G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRVVRVLDALGANDEFAPPSNIEDQKESKNHMDTESIQDGDDILLSGGDDDRNGGSIAEIQTTVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAESISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDPVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAKEQRNTLQLLNQSIASELDLGKKLHDSEFVMEELKLKLHHVEHESYFLEESVEAISERMFAAENASELFLGASKELIGKVNTIQFHLSASIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDAVFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALERERLHLQIVTLTRKNRMLAQKCKENITEVTLNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRVADTLGEEEDEITAPPEDNSGACSTPNTVRSIEPKILNWKWIFAAFLVLLVAVLVCLPYQ >OGLUM01G09800.2 pep chromosome:ALNU02000000:1:8605508:8608660:-1 gene:OGLUM01G09800 transcript:OGLUM01G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESIQDGDDILLSGGDDDRNGGSIAEIQTTVDLGVAFGSEKLLNLEMLLMEIAHRATEIEPLVLDAESISAESVQRVSEFDLLHCILDSEVKELEKLVDSIEVDIGNGGKMMASDEDPVSEVNSKLRDAAVSLNQMQDLISAIRRQSANFVNVIDPSQDNSGTSEDGGYENGHGSSRSAMPAKEQRNTLQLLNQSIASELDLGKKLHDSEFVMEELKLKLHHVEHESYFLEESVEAISERMFAAENASELFLGASKELIGKVNTIQFHLSASIRREGDLKSKLEQSLTESNGSNSTLEKMKQDSEKEVMPTQAQPDSEFLTLQDKIQQLEEWLRESKSGLPLTIASTGENEVGEDEMSTFENIVNDIKDAVFRAESRTQNAEAKCKQLSHANIQLDEELKSLKSQGSDRAGLLEEKLKESETQLLHAKASIEAIVIDDLKEKYLKAETRAENAESKCTLLTDTNLELSEELSFLRGRVDTLENSLCKANQLKMSAAKDIGIKTKTITDLVAKLALERERLHLQIVTLTRKNRMLAQKCKENITEVTLNKIAASEGELKTTKVMEEMVSDSSPTQTKVKRVADTLGEEEDEITAPPEDNSGACSTPNTVRSIEPKILNWKWIFAAFLVLLVAVLVCLPYQ >OGLUM01G09810.1 pep chromosome:ALNU02000000:1:8610519:8615005:-1 gene:OGLUM01G09810 transcript:OGLUM01G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-2 [Source:Projected from Arabidopsis thaliana (AT2G46520) UniProtKB/Swiss-Prot;Acc:Q9ZPY7] MEVAPETLDTLAGWFAQSLSPDAAARRAAEQSIESAKCSPGFGLALLGLASSPRHDPQSRLAASVQFKNLLRRRWPKPSPDGGGDDDADHLPPSDCAIIKANLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTALSAGDIPATNSLLAAAASLFSRFRNAFDSNTIRLDLKYCLENFAAALLEVFLSTSRRLQATAPTVTPPESRPVFECLRLCCEIFYSLNSIDLPEFFEDHMREWMTEFRAFLTTSYPPAIEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAPSVSPSRGQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDDDEELFEGNWVEYVRRDAEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRTNNWKEKDAAIYLVISLMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWESEPMLKATVLRFLKEFKDQIPKATALALLPSVIRFLIHESNVVHSYAATFIENLLIIKDMVPVPSANVITRAPRYVAADINPYAQPIVQNLSKALSFPESYENPYLMKCLMRVLGIANIAGQIVHEITARLVGILMEVCNNPKNPDFNHYLFEALAAVIGQAGEQDPALLPVFEASLFPVLQRILVEDISEFWPYAFQIFAQLVNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILVIFRSLLSRSSTEDSAFYMLNTLVENVSFDIMNPHINEIWSALFTRLQTRQAVKFVNSLVVFMSLVVVKYGPGVLVSSVDAIQPNIFMTILQRFWIPNLKFIKGTVEVKLTSVASTKLICESALLLDGAAAQTWGKLLDSIVALLSRTDQDGAQQDQNDGADADSQRTSGYSVSFVRLQYAGKSEDDLLKEVNDPKQLLVTSLATLSAQSPGRFGPIIEQNVDPANKGALIQLCAAYNTNIEPMALL >OGLUM01G09820.1 pep chromosome:ALNU02000000:1:8617408:8617881:1 gene:OGLUM01G09820 transcript:OGLUM01G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVITLILVVITVSTMLASPVECTKIPGAFPIGMSPYNFTTMIDMFKVAMLVPTEDCTANVDTCISNTCSYIRKALDGVVDAAPPAKQAETKEATAKMAGIAATMLDTAMASGEKRQVAAVSIAFMLAADAIDASAPVDKFRVMDETFKAAASPIA >OGLUM01G09830.1 pep chromosome:ALNU02000000:1:8627246:8630304:-1 gene:OGLUM01G09830 transcript:OGLUM01G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPARKAEKSPWVGDRAGSPAPSEPRTGSARLGSGSNPTGVEKAKAPSFSFHTSPPLFFSLSTSQVASLLRPRNPLTNQRNLRHHHHHHHRLLRARRIRGTLALAWGVGGGRSGRRRRRGGGCSDSASGGWGGPPLSSWGGAEETPPVLDSVAAAPIRAREPQHGIMVMKMEADEDGANGGTGGTWTDEDRALTASVLGTDAFAYLTKGGGAISEGLVAASLPVDLQNRLQELVESDRPGAGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEMGPAASAGSDEAKQRMRKRVLQRLHSAFGGVDEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQVFAAGVPLWIPNTERNVFPANYCYRGYLANAAGFRTIVLVPFETGVLELGSMQQVAESSDTLQTIRSVFAGAIGNKAGVQRHEGSGPTDKSPGLAKIFGKDLNLGRPSAGPGTGMSKADERSWEQRTGGGSSLLPNVQRGLQNFTWSQARGLNSHQQKFGNGILIVSNEATPRNNGVVDSSTATQFQLQKAPPLQKLPQLQKSHQLVKPQQLVSQQQLQPQAPRQIDFSAGTSSKPGVLTKKPAGIDGDGAEVDGLCKDEGPPPALEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMEVERERLIESGMIDPRDRTPRPEVDIQVVQDEVLVRVMSPMESHPVRAIFQAFEEAEVHAGESKITSNNGTAVHSFIIKCPGAEQQTREKVIAAMSRVMNSG >OGLUM01G09840.1 pep chromosome:ALNU02000000:1:8638121:8644203:1 gene:OGLUM01G09840 transcript:OGLUM01G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRKSPVSSPPLLRHLAAEQGRGADLHLASRGGEGILAGEDMASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVITAIDKDREVSHVQTKENDGGVACSVDGNHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELEKGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVPGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGPVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMTSTVPNVQPPFGLVDPQFGSYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQIVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREFSGAKITVADPMGNAMDTAILISGTPDQMHAARSLIQAFVLSEPLAP >OGLUM01G09840.2 pep chromosome:ALNU02000000:1:8638121:8644260:1 gene:OGLUM01G09840 transcript:OGLUM01G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRKSPVSSPPLLRHLAAEQGRGADLHLASRGGEGILAGEDMASRSTPSKRPFQKNSSEQNGRGKWQKTKHNSSQQPQLIVQPGVPIFRILCPTSKSGNVIGKGGGIIAKIRQETGVKIRVDEVVPGCDERVIVITAIDKDREVSHVQTKENDGGVACSVDGNHGMEKDHTKEEKDESNKEMDDSEKGLGKEEKDDLEKDHDKEDRDESGKDNDKKADDSSVAKDTNSEPEAQLELEKGMPLAVKAILLVFDRIFVNEMENGTGDASGERNHVSLRLLVLDSQVGWLLGKNGSVIKQMSTDSCCEIRVSKDKLPLCALPRDELCQITGELDSVRKGLNTVAQLLFTHPPKESDVPGAHNSGSSRSFFNQPDVLPPGMQPNLHLPFQGPNVAHLPNFPEALMHGHGPVPPEPLTFRLLCSSDKVGGIIGKGGNNIKSIQNDTGCEIKVLDTVPKSEDRIVFISGPAHPGDGISPAQNAILHVQRKIVPTSNTKEGPAICRLIVSPNQVGCLLGKGGSIIAEMRKLSGAHIIVLSKDKIPKGVPENDEVVQISGASEAIQEALMQITARLRNHLFRDRMTSTVPNVQPPFGLVDPQFGSYAGNHDSISPRIFPNVPQFHKDFIGRPLDEMSAPWTMKGMQIVGDPISLPDIPGMAHRGMGGFPGPGQPSIVSTITADVMVPKLVLPSLCGEDGGCLNRIREFSGAKITVADPMGNAMDTAILISGTPDQMHAARSLIQAFVLSEPLAP >OGLUM01G09850.1 pep chromosome:ALNU02000000:1:8652359:8652643:1 gene:OGLUM01G09850 transcript:OGLUM01G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLALRLPFPDEEALAREAGEVAAAEAEAEEAERREGELARRTPKRERRARSGGAGWTWRRKLWLIVLADLVLLFVLFAAWLAVCKGFSCIGR >OGLUM01G09860.1 pep chromosome:ALNU02000000:1:8660862:8662436:1 gene:OGLUM01G09860 transcript:OGLUM01G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCDASPRPGCETEMRRLALPLPTPVRRRGRRRVLFVAWLARVQGIQLHWPVRPAGYLPVPSPSPIFGCGNRHKKGSSAEVGVTERTPGDEPF >OGLUM01G09870.1 pep chromosome:ALNU02000000:1:8674045:8677364:1 gene:OGLUM01G09870 transcript:OGLUM01G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRFTEKDFIALSSRQIFDCSISKSVFSLRIPGASTWLRLPLFQIYEGKVPREDKMNFTRKLAHTCRNIHYSGVEDYTTFNNNFDNMKSSKVKPLEAFMKSSIGKGLTIVKSFTVILHRMFSRRRAITRLPLFL >OGLUM01G09880.1 pep chromosome:ALNU02000000:1:8679749:8684883:1 gene:OGLUM01G09880 transcript:OGLUM01G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5S6] MSSQGAGGGVGDPELFAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPVSVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRLKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETIDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAVTSNEARIATWPARSAYQAEEPTSKLSSNTAACGYRTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGEASAKGTGSHEDSGQLSAFSKVTKEHIAADESPREIQSHQNYTARTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHPKLLSSANPEQDQKTGF >OGLUM01G09880.2 pep chromosome:ALNU02000000:1:8679749:8684883:1 gene:OGLUM01G09880 transcript:OGLUM01G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5S6] MSSQGAGGGVGDPELFAELWRACAGPLVEVPQRDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPYKILCKVVNVELKAETETDEVFAQITLQPDPDQENLPTLPDPPLPEQPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMATPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLISGDAFVYLRSETGEQRVGVRRLVQKQSTMPVSVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSVNKYLAASKVGFNVGMRLKMSFEGEDVPVKKFSGTIVGEGDLSLQWSGSEWKSLKVQWDEVTNVNGPERVSPWEIETCDGTAPAINVPLQSATKNKRPREPSETIDLQSLEPAQEFWLSGMPQQHEKTGIGSSEPNCISGHQVVWPGEHPGYGAVSSSVCQNPLVLESWLKDFNSSNKGVSPTLSEISQKIFQVTSNEARIATWPARSAYQAEEPTSKLSSNTAACGYRTEEVAPNASKVVEGKKEPAMFRLFGVDLMKCTSISTTTDDKSSVGAGEASAKGTGSHEDSGQLSAFSKVTKEHIAADESPREIQSHQNYTARTRIKVQMHGNAVGRAVDLANLDGYEQLMNELEEMFNIKDLKQKWKVAFTDDEGDTMEVGDDPWLEFCQMVRKIVLYPIEDEKKIEPHPKLLSSANPEQDQKTGF >OGLUM01G09890.1 pep chromosome:ALNU02000000:1:8690072:8693978:1 gene:OGLUM01G09890 transcript:OGLUM01G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITMSPSSVSSHHLDVDAASTSEDMSSLQEGLLFSDSLKDLRNLRSQLYSAAEYFEVFYRNNSQKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVKERSDEVNETEFRVSSVEQRVRICQQTIDQEGRSQQSLLIRAPKYHRRTDIVESAIHPVSEPPRLYASWQAIYNEERAFTISFSSWHTSSITKQEQVHQYRIPIPLHDLPRLQEGHLFIQSILDKLQCSYTLTGAITKSRRKAQARAGGSSNHCSQGVGGGTMSHCTATWTNIDFLNVTVNQLSLVHLSDI >OGLUM01G09900.1 pep chromosome:ALNU02000000:1:8703484:8711764:-1 gene:OGLUM01G09900 transcript:OGLUM01G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLNLNELRYMWATCIYAKDLKTMMDFPGMRPLRANLYTAKKYAKKKARKSNIKLGNFMDHAVEVIVPTTGITARFQLPLCFNFGAARKIPKERTNPQPRAKDENKIPVKQQEKKRTTNTKQGGSPERVRWTSSTTAAASAGGAVAGAAGAPMSSGSSWRSSNSHSGEGGVSHDDDEAGSGCGEADTDENSSDVVSESNGHKSPPPPPIPPPPPSPAPGEDDDGPRCGGGGGGGGGGDAAAEEEEEEERREQKWGAGGELGHYGGGRRGGGENGEGDQMWLVVVWRVVDRNNNKIMAIAWTSGGRSDPLMSLPLRSL >OGLUM01G09910.1 pep chromosome:ALNU02000000:1:8704804:8711045:1 gene:OGLUM01G09910 transcript:OGLUM01G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPSSSSPGAGEGGGGGIGGGGGDLWPFDSLTTSLLFSSVSASPQPLPASSSSWLTPPSPLWLFDERQLLPLDMGAPAAPATAPPAEAAAVVEEVHRTRSGNSDTTSKRVDQINSKWQFHLSIDDNTDSSCLFKERLTQALRYFKESTDQHLLVQVWAPVKSGDRYVLTTSGQPFVLDQQSIGLLQYRAVSMMYMFSVDGENAGDLGLPGRVYKQKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSVQNCIAVVELIMTSKKINYAGEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQSALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGVKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQKGQGVSGKAFIYRRPCFSKDISQFCKLEYPLVHYARMFGLAGCFAICLQSMYTGDDDYILEFFLPPNCRNEDDQNALLESILARMKKCLRTLKVVGNGDTNEVCLQISNVLIIETEDLKTNVHFENSEGCFRESPESNGSQRAHEVDNDGNKVSIMSERHLLADDNSQNNGASVGRPNGSGASDSLHKSNKPPERRRGKAEKTISLDVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSQNLEKASPNKVAELSNLAVEGDRDSSLQKPIENDGNLAILMSQQGFIDANNNLQLEADKASHSRSSSGEGSINSRTSEASCQGSPANQTFVCKPIASTFAEPQLIPEAFTKEPFQEPALPLSRMLIEDSGSSKDLKNLFTSAVDQPFLARSSNLALMQNSGTVTIKASFKEDIVRFRFPCSGSVTALKDEVAKRLRMDVGMFDIKYLDDDHEWVKLACNADLEECMEISRHSGSHVIRLLVSDVAAHLGSSCGSSG >OGLUM01G09920.1 pep chromosome:ALNU02000000:1:8712235:8716021:-1 gene:OGLUM01G09920 transcript:OGLUM01G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5T0] MARRYGLRVRAILAFHQCGAGPHDPPWIPLPQWVLDEMDKLPDLSYTDRYQRRNKEYISLGCDILPILKGRSPMQAYSDFMRSFRDAFKEYLGAIVTEVQIGMGPGGELRYPSCPTEKLSEPGISSELGEFQCYDKFMQASLSARAQLIGMQDWGNGGPAGTDGSRQNPEETSFFRADGGCWNTPYGRFFLEWYSGMLLLHGERLCMVADAVFSGTGVTIAGKVSGIHWHYYTCSHPSELTAGYYNTLLRNGYLPITQMFARYKAALCCSCFDLRDEERDNSKSSPEGTLRQLMVAAKMCNLPLNGENSVTRLDDTSLNQVIRSSRLYSGGTSGTSFSFNYVRMNKSLFEFHNWNRFTKFVRQMSDARTFLARLEFRRGQHYLSSMSVVWVVSRACA >OGLUM01G09930.1 pep chromosome:ALNU02000000:1:8721891:8723303:1 gene:OGLUM01G09930 transcript:OGLUM01G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y5T1] MFSAKARWIVAVVLRVAAAGAAAVAAVLMAMSHDEVIVYGMEVQAKFRYTPSLVFFVAANAAVSACSLVVLLVPSSTSKLAARLLLMADVVLGMVLAGAFAAAGAMAELGKNGNSHAGWIAICVQVPLFCDRVRSALVAGSATIVLYYLMLMYSIYTLPMFP >OGLUM01G09940.1 pep chromosome:ALNU02000000:1:8724358:8726573:-1 gene:OGLUM01G09940 transcript:OGLUM01G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >OGLUM01G09940.2 pep chromosome:ALNU02000000:1:8724358:8726413:-1 gene:OGLUM01G09940 transcript:OGLUM01G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >OGLUM01G09940.3 pep chromosome:ALNU02000000:1:8724358:8726413:-1 gene:OGLUM01G09940 transcript:OGLUM01G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASLATQPSPAACLPSLPAGGRLRLRLAPPPRAPPRLCKRAGVVLIAVGKLRVVTIRSAHSGVSNVSVETPPDNEASVTGAAYGFRGATTSLTNEMLTSSKKITLVRHGLSTWNAESRVQGSSNLSVLTETGAKQAEKCRDALANMKFDSTAEIIWKGKEEPLIFLDSLKEAHLFFLEGMTNADAKKEYPELYTRWREDPSNFKVNGIYPVRKFRSIDVNNGGMCVFTVNKRGEAMLQALNMTAHMYSDHTYQY >OGLUM01G09950.1 pep chromosome:ALNU02000000:1:8727490:8771897:-1 gene:OGLUM01G09950 transcript:OGLUM01G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVMNAALRTTVNAGRRRRALPYGPSPPSRSPSSTSSSTEDIRVKEIEQYIIWMLPTWQPGQSSEERKNMASSRILVIGGTGRLGRHLVTASLDAGHPTAVLVRRPATAGARADSPVKAKLIEELCDNGARLVYGDVNDHDILVAAIKNADVVICAVGHTTPHKLVENQLKIMEAIRDAGNVKLAEQMLEPARSILGAKLRVREALRASGIPHTIVCGYLVHGFLLPKAGNPEADSPPVTTATIFGDGKQKAMFVDKDMSAVTIKAVEDPRTVDKILYVQPPANLCSLNQLVSVLEKKIGRDLEKCYVPEEELAIKIEGYIKKFEDHRILAKEIEQYRIQMLQTRQVNGLFRDHNSKFCSGP >OGLUM01G09950.2 pep chromosome:ALNU02000000:1:8727438:8771897:-1 gene:OGLUM01G09950 transcript:OGLUM01G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVMNAALRTTVNAGRRRRALPYGPSPPSRSPSSTSSSTEDIRVKEIEQYIIWMLPTWQPGQSSEERKNMASSRILVIGGTGRLGRHLVTASLDAGHPTAVLVRRPATAGARADSPVKAKLIEELCDNGARLVYGDVNDHDILVAAIKNADVVICAVGHTTPHKLVENQLKIMEAIRDAGNVKLAEQMLEPARSILGAKLRVREALRASGIPHTIVCGYLVHGFLLPKAGNPEADSPPVTTATIFGDGKQKAMFVDKDMSAVTIKAVEDPRTVDKILYVQPPANLCSLNQLVSVLEKKIGRDLEKCYVPEEELAIKIEGYIKKFEAGPQDISEGNRTIQNSDASNTAVWYFSRLMDYFVITTPNFVLDHEETISQNVGGQVHGYFH >OGLUM01G09950.3 pep chromosome:ALNU02000000:1:8727438:8771897:-1 gene:OGLUM01G09950 transcript:OGLUM01G09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVMNAALRTTVNAGRRRRALPYGPSPPSRSPSSTSSSTEAGPQDISEGNRTIQNSDASNTAVWYFSRLMDYFVITTPNFVLDHEETISQNVGGQVHGYFH >OGLUM01G09950.4 pep chromosome:ALNU02000000:1:8727438:8771897:-1 gene:OGLUM01G09950 transcript:OGLUM01G09950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVMNAALRTTVNAGRRRRALPYGPSPPSRSPSSTSSSTEVLMDYFVITTPNFVLDHEETISQNVGGQVHGYFH >OGLUM01G09960.1 pep chromosome:ALNU02000000:1:8743201:8744831:1 gene:OGLUM01G09960 transcript:OGLUM01G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQIRPSVNQMETSDDAARQVIPNTRNEGQMGPTGNTGLKRRKGRGLTINGTLAKLKARGVPLDIQFAAQFGKVCGRHASVFKSEVTVCMRQEVPLKVKKWKVIEKAFPGTMSSIWNLLKAKFLEISMADYQCVMTQVERQYNCPSHVAPEDWQWLIDNLWSDEQFQIKEEMKSHVGTKSIVQIAHELRNPMTAEWPSAIDVWKATYLKNGTWSVPNGEEVLNNLQTTAETNHERIAAAQIPMVEHFALVLGSKPNHSRGVGISAINEGAQERYRVHAQAEAAQQQANEAHQQAAALLEEVQKLTVENLQLKGELQSQREEFNSQKRTVEEQSGHMERLLDQKLEERMKAMWAHMGGTGGALSSSAPNN >OGLUM01G09970.1 pep chromosome:ALNU02000000:1:8774258:8777688:-1 gene:OGLUM01G09970 transcript:OGLUM01G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRTSNNDSTILVIGGTGIIGRHIVAASLDAGHPTLVLVRPTAASAAVDVDSDKAKLLASLVASGATIVYGDMNDRESLVAAIRQADVVISAVGHRGTVELDGQLKVVEAIKEAGNVKRFVPSEYGCDVEQAEEGTLEPARSIIAAKVRVREAVRAAGIPYTFVCSYWAHGFMLPRLGDPLVDRPPATVATVYGDDTQRAIFVDEKDMSAVAIKAVEDERAANKILYVRPPANKLSLGQLVRLWEKKSGNTLQKRYVSDLQLANQLAMVHSTLVAGVCEQTINPDVGAEATELYPEMDFLTVDSYLDALLLHA >OGLUM01G09980.1 pep chromosome:ALNU02000000:1:8801829:8803426:1 gene:OGLUM01G09980 transcript:OGLUM01G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGSGGGEELGLGQQWRVESGRRRGERGVTAPISVEGVGEESGDVERHGPTGERRWTRRKCGGCLRFVVATGFRRVSWPKNGVQQRSRRWERRGNGTRSELTGESRDGERHGEHGRGRGELKQLGKMREGCAGMDFIGSGGGEELGDPSWSQPPATSGWRRQMRWLP >OGLUM01G09980.2 pep chromosome:ALNU02000000:1:8803431:8807641:1 gene:OGLUM01G09980 transcript:OGLUM01G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGFRCRRSGGRGAARNSEAGSGGGAVTAHDRSSPARGRDGERRGEHGRGRRELGQFGKTREGAARNGEASGGDSATEAARDRSSPARGRDGDRRGKHRRGRGELKQLGKRREGGAGIDFIGSGGGEELRSGYVGEESGDVGLCGPTGECESTGRNRWTGRGG >OGLUM01G09990.1 pep chromosome:ALNU02000000:1:8807651:8807899:1 gene:OGLUM01G09990 transcript:OGLUM01G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIAGYKRLETTNAVSASGSWWRQGFGAEEVVAEERHATAKPAVGAARRRHATGAHRREAEMGSGVGSTIEDAGSSGNLGK >OGLUM01G10000.1 pep chromosome:ALNU02000000:1:8808134:8808370:1 gene:OGLUM01G10000 transcript:OGLUM01G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPQVRGGDRVSASFGAEKVVAEEWGATAKPAVGAARQRRLGRRWHATGAHRREAEMGSGARSTGEDAGSSGNLGK >OGLUM01G10010.1 pep chromosome:ALNU02000000:1:8808472:8813927:1 gene:OGLUM01G10010 transcript:OGLUM01G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGYAIPQAGARALAEIGGRDGAGRVNRCRGPNRLELGSGRQQPVEWGWRHRERGVAALISAERVGEESGDVGDAVPQVGARAWGGVCFIIYACIAFQALKYAGIH >OGLUM01G10020.1 pep chromosome:ALNU02000000:1:8815737:8816105:-1 gene:OGLUM01G10020 transcript:OGLUM01G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGRPGWLRSLGFAFLSFNCGMAIYRSSSDPSAVAFVVVAYLALIALFRCLHLLERAPAGGQARASMKAAVWGLSTLLTLMFSYKVAAIMPLWGAAGVWVMGLGTIVAGFYAFFVHSEAP >OGLUM01G10030.1 pep chromosome:ALNU02000000:1:8817052:8817350:1 gene:OGLUM01G10030 transcript:OGLUM01G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFVAPASMGRASSASASTCFVCCSDELRFDAPARAMAVHDALQLGQLYFVLPVSALHRPLSDQDMAALAVKAIAALGASATAASMDSSIYKCGSI >OGLUM01G10040.1 pep chromosome:ALNU02000000:1:8817416:8817760:-1 gene:OGLUM01G10040 transcript:OGLUM01G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALQLGQLYFVLPVSALHRPLSDQDMAALAVKAIAALGASATAAGGGGNSSSISVSSRGKNASPASKQRQQTTARVAPIRRGSTEVALLANAQDCRGRAPSRKAMSDPTY >OGLUM01G10050.1 pep chromosome:ALNU02000000:1:8823790:8824443:1 gene:OGLUM01G10050 transcript:OGLUM01G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGSRDGEELGSGRQRPVEWGGVVGLGRRRGAWVGAAISADGVGEENGDVGLRGPTGGCKSTGRNRWTRLVNRRRGPNRRLLAAGDGKCSVGLMFVVVTRFRWVSAPNKWWPRSSVQRRSRRLGGAAAVNDQSSPARDRDEERHEEHGRGCGELRQLGKMR >OGLUM01G10060.1 pep chromosome:ALNU02000000:1:8824456:8825156:1 gene:OGLUM01G10060 transcript:OGLUM01G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIGLGGGEELESGRQRPVEWGRRCGVRGGAACNGEAGGGGSTAAALDRSSPARGRDRERCGEHGRGCRELEELWKMRKGGAGMDFTGSGVGEELELGWQRPVEWGRRRGERGVAAPISTDGVAEESGNVGLRGPTGGCESMGRNRWTGQGG >OGLUM01G10070.1 pep chromosome:ALNU02000000:1:8838615:8838976:-1 gene:OGLUM01G10070 transcript:OGLUM01G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRLNPTSHTSCCDVDTTVGGLCLPMAQLCDDRMTFVFWDAYHTSDATNQVIADCLYADMVSAGAGNGNVTTASTRAPRVVVDRAASKALKYRQQINRPLCAGTTPSPIRYDGDE >OGLUM01G10080.1 pep chromosome:ALNU02000000:1:8842739:8846011:1 gene:OGLUM01G10080 transcript:OGLUM01G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGAVPGDVLERARRHHPGPGDDVRPARRPHGPPRPRRVRHRHDPRRPPLRARPAPRPLPPLRRQGPHRHPVPARHAPQHPRPDDGGVQLPEGVHPLLAQRRRRRLPPVLRRLRRAGVLRRRHPDRLLPVPPRRARGDHVGAHEAAAVRHHEERQLPPQRAVHHGRRGPRRVRVGVGGRRGQRRRGAHGERGVRHGRRRAGAPGVRQDPRRVHRQAAARAGAEAGGVRPPQGRHHPPHRRRRGQALLRRDGVRRQRPPRPAHRRVGRPAHRRREGGEDDDGAVGSALGGHEIGAGQDRSPVQVMDYWSWVRLLGRTSERGVLPTCGFMTVSFTC >OGLUM01G10090.1 pep chromosome:ALNU02000000:1:8847540:8850434:1 gene:OGLUM01G10090 transcript:OGLUM01G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAAGEKPALRKPVFTKVDQLKPVTSGHTLTVKVVSATPVPGRARPGVAAPSRPPRIAECLVGDETGAIVFTARNEQVDLLKPGATVIMRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVTE >OGLUM01G10100.1 pep chromosome:ALNU02000000:1:8850694:8854412:1 gene:OGLUM01G10100 transcript:OGLUM01G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAGAGGEQEAPSVEAAFAGQPPPPWWQQVTVRAVAVSVVLGTLFSFMAMRTGLTAGFVPSFNMSASLLSFFIIKSWTRLMARCGVASQPFTRQENVVVQTCVISCATLSIYGGFTSYLLAMNETVAKAAGGGTDGRNVYTLHTGKIVAFLFLVTFSSLFCTLPLRKTMIVDYKLIYPSGSAVAGIVNSFHTPKGATKAKLQVNAMFKSVAGSFAWAFFQWFYTGGDGCGFHAFPLFGLEAYKEKFYFDFSASLVGVGMICPHLINFSMLLGSISSSGFIWPALQAKQGEWYTDPSPTSFKGINGYKVPMGVSMVLGDCLFQLGAITVKAVQHYRKGRQEQKLAVDGAADDGGGGCVPDDDDENKWHATYDDRRRNQVFLSDGIPDQFAVAGYVALAALSTALVPRIFPQIRYHHVAVCYAVAPLLAFCNSYTSGLMDWSLATVYGKLAIFVVGASVGAASGGVIAGLAACGVMMVVIGDAAELMHDFKTAYLTLTSPVSMFASQAIGTALGCVVNPAVCLAFRWLAGAEHPPGDPGSAYAAPMAVAYRGIAVLGVEGVGTLPRHAIALCAACFAAAVFLDTAGAAARAARWRVGGWVPNPMAMAIPFFVGPTFAIDMCVGSLLLMAWRRADRQGAATLAVVVASGLICGEGLWTLPSAVLAMLKVQPPICMKFLSRSQIQEVRQHFVLGAADIQPAVTLTHHHHQ >OGLUM01G10110.1 pep chromosome:ALNU02000000:1:8857012:8864281:1 gene:OGLUM01G10110 transcript:OGLUM01G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRVKVISRSTDEFTRERSQDLQKVFRNYDPALRSQEKAVEYTRALNAAKLEKIFARPFIGAMDGHVDAVSCMAKNPNYLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLATSTDGDLLVSCGVDCTVRLWKVPMLKMVDTNDAIGDASQPSAVYTWKHAFWGVDHQWDGNLFATVGAQVDIWDQNRSEPINSFEWGKDTVLSVRFNPGEPDVLITSASDRSITLYDLRMSSPARKLIMKTRCNSICWNPREPMNFTAANEDTNCYSFDARKLDEAKVVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFQYNGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERRKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANLRRTMIEAENRKEERRRAHSAPGSMPVQPFRKRRIIKEVE >OGLUM01G10110.2 pep chromosome:ALNU02000000:1:8855379:8857108:1 gene:OGLUM01G10110 transcript:OGLUM01G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide binding;protein binding [Source:Projected from Arabidopsis thaliana (AT4G28450) TAIR;Acc:AT4G28450] MRGGAASARRRDGRAAQEDADADLAGAARRREADERSSDLAAAAAAAAIVEDSEMGFPSVFPPAVADTPRPPFRFPLPSDSPTAAAAAAAAAGCGGEGFK >OGLUM01G10120.1 pep chromosome:ALNU02000000:1:8856062:8856851:-1 gene:OGLUM01G10120 transcript:OGLUM01G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAARSLLRSSASLLRAAPARSASASSCAARPSLRRALAAPPRILRSPVELSVCVESLLPLHSATAAARMTSMLAVPGQGLGWLTEGQDETR >OGLUM01G10130.1 pep chromosome:ALNU02000000:1:8865309:8871450:-1 gene:OGLUM01G10130 transcript:OGLUM01G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator GLK1 [Source:Projected from Arabidopsis thaliana (AT2G20570) UniProtKB/Swiss-Prot;Acc:Q9SIV3] MLEVSTLRSPKADQRAGVGGHHVVGFVPAPPSPADVADEVDAFIVDDSCLLEYIDFSCCDVPFFHADDGDILPDLEVDPTELLAEFASSPDDKPPPTTSAPGPGEPAAAAGAKEDVKEDGAAAAAAAADYDGSPPPPRGKKKKDDEERSSSLPEEKDAKNGGGDEVLSAVTTEDSSAGAAKSCSPSAEGHSKRKPSSSSSSAAAGKNSHGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILELMGIECLTRHNIASHLQKYRSHRKHLMAREAEAASWTQKRQMYTAAAAAATVAAGGGPRKDAAAATAAVAPWVMPTIGFPPPHAAAMVPPPPHPPPFCRPPLHVWGHPTAGVEPTTAAAPPPPSPHAQPPLLPVWPRHLAPPPPPLPAAWAHGHQPAPVDPAAYWQQQYNAARKWGPQAVTPGTPCMPPPLPPAAMLQRFPVPPVPGMVPHPMYRPIPPPSPPQGNKLAALQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKVPPAASGAAG >OGLUM01G10140.1 pep chromosome:ALNU02000000:1:8889083:8897968:1 gene:OGLUM01G10140 transcript:OGLUM01G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDRGAGDDDLKKAYHKLAMRWHPDKNPTNNKKEAEAKFKQISEAYEVLSDPQKRTIYDQVGEEGLKGQPPPGAGGPGASPFYPGGAHSNSFHFNPRSADDIFAEFFGFRGPFSSMGGMPSVSGGMRGDPRFPGFGNEYFSSRFGGEGSTSMHQPSHQLAKPPPIENRLPVSLADLYKGVTKKMKISREIIDFNGRVSQQEEILQIEVKPGWKRGTKITFEEKGNQAPNMKPADIVFIIEEKPHDIFTREGNDLVITEKISLVEALTGYTARIITLDARSLSVPINSVIHPDYVEVVPGEGMPNPKGPNKKGDLKIKFNIRFPSRLTSDQKAGFKRLLGS >OGLUM01G10150.1 pep chromosome:ALNU02000000:1:8895304:8898903:-1 gene:OGLUM01G10150 transcript:OGLUM01G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGMLSGGAAGVAGLLRLRRATPSPAVATPFPAAAAARCAAAAAAVVPDGGQLVWGRQLRPALLLPAAGGLLQPPTSPSSSQAGRRQALRPPAAATSGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAFLLIQDNASNVEITNKLTKKNLPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >OGLUM01G10150.2 pep chromosome:ALNU02000000:1:8895304:8898903:-1 gene:OGLUM01G10150 transcript:OGLUM01G10150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGMLSGGAAGVAGLLRLRRATPSPAVATPFPAAAAARCAAAAAAVVPDGGQLVWGRQLRPALLLPAAGGLLQPPTSPSSSQAGRRQALRPPAAATSGEAKPAGFLEKYPALITGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLLVGVVYCLVSWTVGLPKRAPINSTLLKLLFPVALCHALGHVTSNVSFATVAVSFAHTIKALEPFFNAAATQFVLGQQVPLPLWLSLAPVVLGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIVCIPPAVIIEGPQLLQHGFNDAIAKVGLTKFVSDLFFVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTCIAIAGVAIYSYIKAKIEEEKRAKSA >OGLUM01G10160.1 pep chromosome:ALNU02000000:1:8901039:8902041:1 gene:OGLUM01G10160 transcript:OGLUM01G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPTGGEEIDGARRERRSGGRRHGGGSSGDHVTASKIAHTCSGRRIRVSLHVDDPPAVSRLYIHDPPPRRRQPTMAGHLRPPTRRGRRRPPRLHPLPDPRPLCRPGLRRARTLSRRLLRLHRRRHRRLSPSLTQLPRCFIDGFSDPRQQIMLDENIGFLSYSGDGGHEFMVADIRNYHGDSLELCIFNHHASSPSPSPEQWRIQRLEMHHASACKIAQRWGNDVVLTLHSRYLCCVDLYNDIRLIDTNNLRSFSYIPLPEEAMTHDRHIDEDKPDPARRVSITSAGSINLVCIDNVIVRSEIRRVRERRLTWTG >OGLUM01G10170.1 pep chromosome:ALNU02000000:1:8914560:8919051:1 gene:OGLUM01G10170 transcript:OGLUM01G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSLLLPLLLVLVVVNVGVAVNQDGLSLLDARRALAAPDGALADWNARDATPCSWTGVSCDAGVGGGAVTGISLAGLNLTGSFPAALCRLPRVASIDLSDNYIGPNLSSDAVAPCKALRRLDLSMNALVGPLPDALAALSELVYLKLDSNNFSGPIPESFGRFKKLESLSLVYNLLGGEVPPFLGGVSTLRELNLSYNPFVAGPVPAELGNLSALRVLWLAGCNLIGAIPASLGRLGNLTDLDLSTNALTGSIPPEITRLTSVVQIELYNNSLTGPIPVGFGKLAELQGVDLAMNRLNGAIPDDFFEAPKLESVHLYANSLTGPVPESVAKAASLVELRLFANRLNGTLPADLGKNSPLVCVDMSDNSISGEIPPAICDRGELEELLMLDNKLSGRIPDGLGRCRRLRRVRLSNNRLDGDVPAAVWGLPHMSLLELNDNQLTGVISPVIGGAANLSKLVLSNNRLTGSIPPEIGSASKLYELSADGNMLSGPLPGSLGGLEELGRLVLRNNSLSGQLLRGINSWKKLSELNLADNGFTGAIPAELGDLPVLNYLDLSGNRLTGEVPMQLENLKLNQFNVSNNQLSGALPPQYATAAYRSSFLGNPGLCGDNAGLCANSQGGPRSRAGFAWMMRSIFIFAAVVLVAGVAWFYWRYRSFNNSKLSADRSKWSLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKAVLSNGEVVAVKKLWGLKKGTDVENGGEGSAADNSFEAEVKTLGKIRHKNIVKLWCSCTHNDTKLLVYEYMPNGSLGDVLHSSKAGLLDWSTRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFGARVADFGVAKVVEATVRGPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTIDQKGVEHVLDSKLDMTFKDEINRVLNIALLCSSSLPINRPAMRRVVKMLQEVRAEATRPKLEKDGKLSPYYYEDTSDQGSSV >OGLUM01G10180.1 pep chromosome:ALNU02000000:1:8920345:8939392:1 gene:OGLUM01G10180 transcript:OGLUM01G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLAVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHKYHVTTTPTQRYFVCDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEPHMEYVPLPRVELPPEHDEDCHGCDYCAERAFVSRRCVRLSDGKFREAGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAGLSKEGATSACDNQLDVGILDLSNVRILELLPWCTTIFSDVSLVERKANVYGLFDEEEEEEEEEAESLFYCVAPECRSGVEEDHQTHAAGHDTLLIRFLGGGGGGYLRVIGDEPWSWFVGGIESIPVEKGKGKLVPLRPPSGRRCKTCADVVAAESALFCTFQCKARSGEVAGHRWAQDLLLDDFAVPCERFDRVCWVYPPKSFCGLCCGSHHSCAPGTFGSKNSRFTTGRVIDSDGRTVIRHVSDPDGGRCRGICTICKGDVASADHACLRSLQRCVAIIMGTLGSVCAYMVATMVASSWILTGLDAVQYCTDPAVAPGHRIITIRCPSTARCRQCQYRVSADMVLDCSLSSRGCQNDVFKKRSDGKTVAAVRQAESQDWAFARQPPLRGETARQRPQEGELTIVVGPXPPSPDDSGDLRSWTDRNGNERTFRVSLIPRPLYFVCDWEEKDVANPLPSWIWTFYDIICHDGKLWWVDTAAGLLFCDPFADEPDMKYVPLEDKEDDLQSEDEDDDDGCGYCAERVLATGRIVQLIDGKFRCVEVSSPSHGAAPEVSMRTLVNPETAEWAPEYTVSFADIWASESYKATELPEKAPQLRNAFVHPMNPDVLYFFLKKHILGVDVRARKVVEYEARDSSESVLPWKLPPALSAGLSQEGAANGANDGVPSASPTSLPSDPKGA >OGLUM01G10180.2 pep chromosome:ALNU02000000:1:8920345:8939392:1 gene:OGLUM01G10180 transcript:OGLUM01G10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPLLPSTSWVILSREVYACGDGDGGGGGIPLPEGADLALELAAPPRVSKLAVSRRITPAKVSPFAKWKSFVIAIDPSAGLVLLLAPPPPGPGPGELRSFTDADGKVHKYHVTTTPTQRYFVCDIAARTAYYLPDPEGCVFNNDLSIIAAPGGGGKYLVVEFKFIVGGDKATLLCFSSETGLWEKKPVNNPLPRWIWRCFDVGSYAGKLYWVDTAAGLLFCDPFVDEPHMEYVPLPRVELPPEHDEDCHGCDYCAERAFVSRRCVRLSDGKFREAGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDVVYFFVEDQLVGVDLRAKEVLEYETHKMTVPENARVFPYGLLPMVLPPALSAGLSQEGAANGANDGVPSASPTSLPSDPKGA >OGLUM01G10190.1 pep chromosome:ALNU02000000:1:8941453:8944261:1 gene:OGLUM01G10190 transcript:OGLUM01G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQILDLLRLTMSSTRRCSPRSGVTPCSGGWWGTLCKLSSAPDTQLEKLKCLVERSARHLKIFFLVVDHYISLTRGEFVRRFLVGAEQSTILSSLRGAEVANFRSLRVLQFLMFELKAVDLDNIYEFLRTYPPQSDGALCLPTTSDHGPFEYELIDEQRQNPLEDGLANLEDRRIENHMRCFILTLCWLNLNVPMFAVVHPMSCLAKIHLNDVRITDEALRRMVILSPSLRVLTLFYCNGPFAMIIASSRKLSSLIIVECNNVRGVVVMPDSPLHNFHCMGSPLSPFNLSGGARLLTDLLFCFNPPILGHQVLREWFRNNLPFLSNITSFSMCSNTLQVVSFLRHPGANLDMAILDNFQSLTMLELTMLEFKAVGLDNIFVFLKSCHRPNLKKFLVTVDTSKPTLNCILIFQRSD >OGLUM01G10200.1 pep chromosome:ALNU02000000:1:8953040:8953780:1 gene:OGLUM01G10200 transcript:OGLUM01G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMPLSWVQDCKMYFAKQRLPLEDLTQTCRRDRYCVSCARAFCSHCCASHHLWPGHHHIVVPVTVDAATGRPTFQNRDAEGHRMFPRVIADAIVSHDYATRLPRDAYCASCQVAFCAASCHHHYDHHRNGDDDPVPDSVLRIEEGGGRRSVRSTGSDWWLPFLESVLGDPVHEGEDERGEYYELLPILTRPPGSCAHCRRHIGIQHSSHCSMACYNSHQGEVAERRRRREARNAGRGIAKLQVE >OGLUM01G10210.1 pep chromosome:ALNU02000000:1:8958264:8969153:1 gene:OGLUM01G10210 transcript:OGLUM01G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILCCEPRVCGDDTVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCASFY >OGLUM01G10220.1 pep chromosome:ALNU02000000:1:8969197:8970351:1 gene:OGLUM01G10220 transcript:OGLUM01G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSWVILCCEPRVCGDDTVLPQGADVALSLAAPPRVAVLSVSRRVSPAEVDPCARCKSPFVLALDPSAGLVLLVAPPPPSPDDSGDLRSWTDRDGNERTFRVSLIPRPLYFVCDVAAATASHVPDPERLIFNNDLGVIAAPGGGRGSYMVVEFQFIVGGDEATLLRFSSETGLWEKKRVNNPLPRWIWRFFDVVSHAGKLCWVDTAAGLLFCDPFVDEPHMEYVPLPRVDLPPEHDGDCRGCGYCAERALASRRYVQLSDGKFRCVDMGSASDGATTKVTMHTLVDPGTKVWTLEYAVSFADIWASESYKAAGLPEKAPVLALVHPKNPDMVYFFVKDQLVGVDLRAKEVLEYETQDDRAGERPCVFLRPSSNGAPARALRR >OGLUM01G10230.1 pep chromosome:ALNU02000000:1:8972798:8973109:1 gene:OGLUM01G10230 transcript:OGLUM01G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASSPRQGPGGGGNYMVVEFKPVLGDDGEDDDTMFRLSNAKMAALVFGWVEAGRVAIATAWAHSVRGKKNHLLT >OGLUM01G10240.1 pep chromosome:ALNU02000000:1:8973936:8974313:-1 gene:OGLUM01G10240 transcript:OGLUM01G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPLVEVKLEGIFVKLDGRPPGLLPEAQFAAFVRRHWREYSGPEEYAWRLRVFAANLTRAAAHQVLDPTLRHSVTPFSDLIREEFEAWFTGLAANGDDEAADAGHGGGGRPPCYLRFGATGAP >OGLUM01G10250.1 pep chromosome:ALNU02000000:1:8981939:8984285:1 gene:OGLUM01G10250 transcript:OGLUM01G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHCHLAYACGWPTAASGPHPVASPRNKTGATRASENGQESGVLASLSISSASRRATPRHATPPPTPALRPIRSRRAATQQAGMSLTGHGGGGGGEGTAPALELLDEYWFFSNTLGKNGRHGGGGGGEGTAPALELLDEYWFFSNTLGKNGRHGGGGGGGGRPPMLPRSPSTVSGGGGRPGKGVEAVGTSRLFASAGRRLLRTPSLPSPRVGMEIAKEDKEVVEEAPAAAGGGGDQEADAEDDDLNWSSIYEGVLRTRIAEEGVRSALRRAPSMPVTSSATGRDDDARREDTAAAATGSTTPGMSRLRHAHSTLERHCRSHTPTTKADRTPRTSGGGDGGHRRQPPRRELRSFSANQQPLVRHQSLFHDKKWKSSSDLESIEVQGFRDLGFVFDQEELRESLADVLPGLRGKPTPTGSGSASDNDDANTATTATGSDAVAAVRRPYLSEAWYHVRRPAPRSPAAAAMRLQQADARSAAEMKDQLRMWAQAVACNVRQEC >OGLUM01G10260.1 pep chromosome:ALNU02000000:1:8985280:8989026:-1 gene:OGLUM01G10260 transcript:OGLUM01G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEPLDFEKEDPLLPKARPAKRKKVIELDDLLEDFFASGKDDLKAYGIESKHGSKGYNSDDEDKKVKEKEIKFRKFVEEYEEQSKELDAGDDVPQWGQRVFGCQKSPSVLSVTGVENCQLLKSFCANEHLGFDLNIEQGEGFLEGLLVDGWLLKLVLLHGSVEDSIASWALTKLLYSCNKKFRVAASDFWDSVLSLNEADKLSVDIGHFPSYSVLKSAILNYGYIFDNCTEVSTSESVIADDGPPQNIIEWLKITSACCKIRNVHSIFSSSEAEELLVIVISLFLDRQLEGLLLILGDCLSSLILYFNSSEWESSCLIVAQSISQRVTMDLNCLRIVDCITGTDYHSKFLRSQLALQFLKVSFGLKVANVEKILKSVMSINVKDKDCNFFSLYVYLVLMDNLLFSSDAFRDKHAIINSWRNYLRNCSTQIGCTDWRLYASKVRNKASYLLQGAVLRRSAGGASLPVQ >OGLUM01G10270.1 pep chromosome:ALNU02000000:1:8995956:8996339:1 gene:OGLUM01G10270 transcript:OGLUM01G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADEEREVRRAVEEKPVVVVGRRGCCMAHVARRLLLGQGANPAVLEVGDDADPAALVDAALQARRRKDGGDKAAAGDGGGGAAVAFPAVFIGGRLVGGLDRLMAMHMAGELVPVLKQAGALWL >OGLUM01G10280.1 pep chromosome:ALNU02000000:1:9010564:9012177:1 gene:OGLUM01G10280 transcript:OGLUM01G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADPEAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPRKRVYVCPEKSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNAASGAAAAAAAAAAATATSLCGQSYLFAGSGGPGMAGMRPNMMMPPAAGGQLRAGQMLGPAAGGVGGDLCDGGVARHGGLSLWGGEALPSMGHIGVLASGGAATVPPQLYADLFAPSSGAPPPQFDAAQLSWLYGNGKLSSSNASELTSATAAAKEADSVPSVFSNQQHAKPAAPTDMSATALLQKAAQIGAVTSTAAMPLVSPFEPTKPGGATASPADECGKFDGAALFAAASHHNANLGGAMSELTAAAGNVPYDVLSAVRHHAGLKDAGGVGREETRDFLGVGVQALCSSSIHGWI >OGLUM01G10290.1 pep chromosome:ALNU02000000:1:9015093:9018334:1 gene:OGLUM01G10290 transcript:OGLUM01G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIICIAQINHRVTTRIQRRIYGHATDVEITPLNEEKAVQAATDLIGEGFIFSVAVVALIFEVQRSARSEARKEEARKQELEVELKQREESLSKDLEDLKLRLAEIEHLAKGRGLSGILNFKGVHGAESSKAATPA >OGLUM01G10300.1 pep chromosome:ALNU02000000:1:9018986:9019832:1 gene:OGLUM01G10300 transcript:OGLUM01G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGSGGAGACAVCKHQRRKCEPNCELAAYFPANRMNDFRALHLVFGVANLTKLIKANATEAARRRAAETLTWEARWRERDPSEGCYREVSCLRRDNAALRAENAALRRQADQCACCAGAAGVRHASSPTTLQHQLLLVSAYNGATAARPGNVVPHNATVVPGGFVAAAGGVRGANGNGAMSSVRPPPHHVQAPATQTVTGFVAHAQDDRYRAVSVCPPAANAAAVPRSGAAVRGQGDYRDKISDAAR >OGLUM01G10310.1 pep chromosome:ALNU02000000:1:9020603:9022560:-1 gene:OGLUM01G10310 transcript:OGLUM01G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-Tyr-tRNA(Tyr) deacylase family protein [Source:Projected from Arabidopsis thaliana (AT4G18460) TAIR;Acc:AT4G18460] MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDADADYICRKVLNMRLFPNEKTEKAWDQSGNKPDFHVAMPPAKAKPFYASLVEKFQKSYSADAVKDGIFGAMMKVSLVNDGPVTMQVDSSSLQNPAQSSNGDVGSVGDNEAQVPKETS >OGLUM01G10320.1 pep chromosome:ALNU02000000:1:9024803:9030304:-1 gene:OGLUM01G10320 transcript:OGLUM01G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRLLSDAESVRWLNYAIKKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFRDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEGKPIDGHPTTENGNGDGASGEAAETHTSAQISQ >OGLUM01G10320.2 pep chromosome:ALNU02000000:1:9024805:9028950:-1 gene:OGLUM01G10320 transcript:OGLUM01G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKAGVQELYMGRNSPLFTSMRVLPETSDDDHLVLEIGMNFLSAEDMSAVLSMQLHKSVGLGMTANMHLTSMHILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLIGHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMLVIDVEKFVSTPSDNDWFSIEERPPVAYVKLEILEGTDMKPSDMNGLSDPYVKGRLGPFKFQTQIQKKTLSPKWFEEFKIPITSWESLNELAMEVCDKDHMFDDSLGTCTIDIHELRGGQRHDKWISLKNVKKGRIHLAITVEDISEEKGLEESSRKVDAELPISTSVNKFNADELPDEKQVLVDEVEHINIDGQEQPGGLYVHRPGTGVPKTWESRKGRARNPDTEIYKEVDKSKDAPTPKSSGQGGFFGSFFRKSLKKGSFRDIDPGIPTTPGPQSATELDPKIPQTPRPNLKEAGEKRTSIKIVVDEDAKPTRSAGDAENLTEDVAKVMEKNAGEPGRSLTSILSRKISRKKPEDKLSDIPEQTEAQASELVKEGPVPVEGKPIDGHPTTENGNGDGASGEAAETHTSAQISQ >OGLUM01G10320.3 pep chromosome:ALNU02000000:1:9028966:9030304:-1 gene:OGLUM01G10320 transcript:OGLUM01G10320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGELPIVYHIGIVLAALWAAGSLGFRHSVLFLLAFLYLYMVNARCAMKLRKRIQHEEMKSAYQRRNQYAG >OGLUM01G10330.1 pep chromosome:ALNU02000000:1:9033025:9033513:1 gene:OGLUM01G10330 transcript:OGLUM01G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTFPHLILFLLIPNLSFNFFSASPCCIHGCGVISRRRCRHRRRRRRGCRRGWPDSGAAGDVRQRRRVADAVAVFRVALAMEAWGGVERRRPRAAVLQLFPDDGWKRKRGVVLVAGEDYHALERWPVQQFRRWDAVSAGNHIGGNGAARRKCLRRHHLRGF >OGLUM01G10340.1 pep chromosome:ALNU02000000:1:9048800:9051792:1 gene:OGLUM01G10340 transcript:OGLUM01G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGDEREKPKGGGGGGGGGGGGGEYGTFQGPPSYPPPRPPVVGYPQPAPPPGLYGQGDPYYRPRGGYQGIPARDYEAGAREHGHDRLPCCGIGIGWFLFIVGFFLGAIPWYVGAFLLWCSRVDYREKPGYVACAIAAVLATIAIIIGATAGAA >OGLUM01G10350.1 pep chromosome:ALNU02000000:1:9052999:9055250:-1 gene:OGLUM01G10350 transcript:OGLUM01G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGGGGGGGKQVLWEFHATGPRNISNPSWRDLIRSSWTDPNYRRIAVSCFVQAAYLLELDRQEKRNGESALAPNWWKPFKYKLVRPLIDSRDGSIYGVLLEWDQLAALSDLIVLRPNGAPKVVLAIRGTVLKQSTVVRDLEDDFRFFTMESLRGSVRFTGALEALKSAIDKHGSNNVCIAGHSLGAGFALQVGKTLAQDGIFVECHLFNPPSVSLGMGLRKLQEKAGKVLKRYVSGSSSNATELSHPTEDGGAASEIGEEKLIKEVKRWVPNLYINSCDYICCFYADRSGVATVTAEKHDGHSGTHSKLFVIAKGPKKFLEAHGLQQWWSDDYELQLAVHDSKLMYRHLKSLYVNES >OGLUM01G10360.1 pep chromosome:ALNU02000000:1:9055555:9081065:-1 gene:OGLUM01G10360 transcript:OGLUM01G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPRSQPPPSFATSRRRGALATPWGFWKLRLGGGGGEGSETGKGIGGWGPVSEAVRDLDTDRTDTLAPLGGWSVRVRCGAAGGELEAHAYTWGPRVVGPSCHRLLREAGPTASDDHHQQATAAA >OGLUM01G10370.1 pep chromosome:ALNU02000000:1:9081064:9091630:1 gene:OGLUM01G10370 transcript:OGLUM01G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop nucleoside triphosphate hydrolases superfamily protein with CH (Calponin Homology) domain [Source:Projected from Arabidopsis thaliana (AT3G10310) TAIR;Acc:AT3G10310] MAAEPRRVSFRDGRLASRKAEEAALRRHQAATWLESVIGPFGLSRCPSEQEFVAAVRNGIVLCKAINKIQPGAVPKVVANASCDSQPSTAFQYFENIRNFLVAVQELKLPCFEASDLEKDNIDAGSVGKIVDCVISLKSYHEWRQRGGSYGHLKHLKSPLATRAEVYVSRGDNVQGLSKAALGYNQAVKENKSLYNLLQELRGITWYSPFLAINVIIGIISGNSFSGNIRVFCRIRPLINSESISSIEHIGNDGSIMVCDPLKPQTTRKIFQFNKIFGPTTTQDEVYKETQSLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLSSQDLGISYMALNDLFKTSTSREDVKTSSNGLLNLPDAKKCPVQSPSDVINLMLLGEKHRASSPTAMNHRSSRSHSILTVHVNGKDMSGNVTRSSLHLVDLAGSERVDRSEATGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLMFAHISPEADSYVETLSTLKFAQRASCVELGTAHANKESNEIRELKEQVENLKRALAAKELEKSSFKLKENTVVRERAKQVPERTPPRPRRLSLENTGIGKGSIPDRKGPKSPLSVTKLNRDHATIHDSIDGFNHHIMHQGSVMQMSATSSEDPVREETEKIITTVDTVPFCALHPDAYISSKQSGLDTLLRTPCRSRNLNLEVGQTDEPSSSAKLEKMTSSNATKKGSHLRKSIQSSIGKLIHGSERRNVQHLGQATPAKIANSTNNDGPSSITPDLRLRRRQSLTGLPPPPSTMSRRSSLGGKSDIGSDKRGAKTPPPVNSAAKAKRWL >OGLUM01G10380.1 pep chromosome:ALNU02000000:1:9090340:9095514:-1 gene:OGLUM01G10380 transcript:OGLUM01G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54860) TAIR;Acc:AT5G54860] MAAAAASSSSSPWAGRMAAAFGAPFLWLVCLIYFIQVFCLDSCLVPNEGHDETVTINITNLGSAMADVVIDAMIAEAVRSAGPEFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHVIYTIFSALPLFQLISCIFVEESPKGFESRMDNAAPKHVEDQTIDASPGKSSSESYKYEDTRRRKGARRNNKRKSLSKRPEDHDKNKSTNLRPYLSLKSAFFSLCTAFKQPTILRPMAWFFFSNVAIPNISTVMFYYQTEVLHLEASFLGTARVIGWFSLMLGTYIYNRYFKHKKLRNILMFAHVGLAIIGVLDILLVLRLHVHYGITDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNFGSTLGSFLGAALASALSISSAQFDNLALGLGVQLIGTLLPVGFLFLIPKEVTGLTS >OGLUM01G10390.1 pep chromosome:ALNU02000000:1:9107488:9109532:1 gene:OGLUM01G10390 transcript:OGLUM01G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAHGVISRAAFGDGAHGFDGDEGGEKLRELFANFEALLGTATVGEFVPWLAWVDKLMGLDAKAARISAELDGLLERVIADHRERRSLSQPDGGDGDGDGDENVDHRDFVDVLLDVSEAEERAGAGEVLFDTVAIKAIILGEIRAAVGVPGASGGAEVTEDHLGELRFLRAVVKETLRLHAPVPLLVPRETVEDTELLGYRVPARTRVIINVWAIGRDAAAWGADRAEEFVPERWLDGGGSEAVEYAAQQGQDFRFVPFGAGRRGCPGAGFAAPSIELALANLLYHFDWELPPHADGAAAARLDMGELFGLSMRMKTTLNLVAKPWSSDV >OGLUM01G10400.1 pep chromosome:ALNU02000000:1:9111668:9112612:1 gene:OGLUM01G10400 transcript:OGLUM01G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSLAALLHSPLLLAVLVLVFSWLIVSSTKKRPPPPCGDGGRRLPLPPSPPGVPLLGHLPLLGTLPHRKLRSMAEAHGPVMLLRLGRVPAVVASSAAAAEEVMRTRDLAFASRPRVRMAERLFYGRDMAFAPYSEFWRQARRATVLHLLSPRRVLSFRGVREQEVAALLDRVRRRCGGGGGETVNLSDLLMSYAHGVISRAAVP >OGLUM01G10410.1 pep chromosome:ALNU02000000:1:9132463:9132855:1 gene:OGLUM01G10410 transcript:OGLUM01G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVADRNAVSWTAAIGVLMRAGRVCRMLQCHDFCVLQQRSCPRCFYALFEGMPRKNVISWIAMISGGQMWATGRMKPTPSTLACANVPDILLGLQMAKLPSLVIGSCLFGRSSCE >OGLUM01G10420.1 pep chromosome:ALNU02000000:1:9134895:9135584:-1 gene:OGLUM01G10420 transcript:OGLUM01G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPFSRHAGFFASLKQVEDRLAAEQQPPPPPRQPETLPFSDTMTASPLFLGPATDTAAADRPGGESSGPAVDFLTLSKDEERLQEEPRGAAGEDDDEDNDEIGEDIARLMALLGLSPPRRGLEGGGGDDDSGGCDCSGGEGFLAKVVGVVGPKCDKEKRRVDGWVEHYFSGGECREPARLAHLLLAKAAASSSSSSWEGEGHRGASPFAFPATVKEFLDRDAPPRCTEE >OGLUM01G10430.1 pep chromosome:ALNU02000000:1:9136544:9137710:-1 gene:OGLUM01G10430 transcript:OGLUM01G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding X8 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78520) TAIR;Acc:AT1G78520] MARGAQPSASLLLFSLGLVLLYFSSGSTIRLAEGQKTWCVAKPSADDKVLTANLNYACSQVNCGVIQQGGPCFNPNNLVSHAAVAMNLYYAAHGRNAWNCYFQNSALVVQSDPSI >OGLUM01G10440.1 pep chromosome:ALNU02000000:1:9202088:9209071:-1 gene:OGLUM01G10440 transcript:OGLUM01G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQRLLLLRLCTTSTSTSTSTPPHGITTSAAVAAAAAAAAYAYAEPLGLTRAPFSSSASSPAETMASASPPPSTWVILGSIPRVCGADEEGAGGGIPAGADLSLALKAPPRVSLLTIPSRIFPSATTSDNFPSVMAADPSGLLLLHADQARAKGPTVIDRPGRQEFMWRQFVPGYFVLDAATATAVALPDPELVMHMGHMGLLASPDGGGRYVVAELQPILHADHATLLCFSSDVGEWVEKEVAYPFPPWQLAPNGAVSHSGRLWFVDLSWCLITCDPFAPAPALRFVPLPPGKELRCREAWGVLDKYRCVRVSAGKLRFVDMYKATAPHQRGPHKISVWTLADPDSEEWTLEHEASFAEIWADDSYKATGLPDKIPVLALIHPENPDVVYFFLEEHLFGVDVRARKVVGCEVYELVAPPSEVLATRFVRAWELPPALSSARMSSPPPATLSTAAADVSHPAPDAPAGSTVLAAPPCANLGIPMSREVVDRPHRQGLRWRDAASRYFVLNATTASAFHLPDPEEPILHQALLGLIASPRGDGHYMVAELQPLIGCDKAPTLLCFSSEVGEWVEKSVRYPLPPRPLAPICVFSHHGRLWWVDLTWGVITSDPFADEPVLGFIPFPAGKVLQCREARGVADKYRYVGVSAGKLRFVDTYTAPRRGVPPKVSVWTLADPDSTEWTLEHEARFDDIWADESYKATGLSKKIPVLALIHPENPNVVYFFLEEHLFGVDVRDRKVVECEAYELVAPPSCFIANRFTRAWTLPRALSSGISNWSNAINLAEKAKSRPSRRAAKKSSRMMGSPGDYHLVISNELNYLSYGVGVGHWEMTSTLMLACGQMSMEHC >OGLUM01G10450.1 pep chromosome:ALNU02000000:1:9215778:9216471:-1 gene:OGLUM01G10450 transcript:OGLUM01G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFWVQLGACTAGRVHIKDPSSETISTSRADEARKSRDSSILQQRLQSMSQELDFNVVINISHASHAAPTQMSGK >OGLUM01G10460.1 pep chromosome:ALNU02000000:1:9227952:9233000:-1 gene:OGLUM01G10460 transcript:OGLUM01G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVDEQISAGKQAKHKHWWTRNRKWMVMACVPHVVRGDRPRGFDCGIKLKIPPRATRLAVHRSIAPRLKTIDDHPYFVASTDHHGRLLLCASQGLEPEPPVLDAFYRGPLGVHHGFPMAYFICDTRTRRCTRLPDRRLPILHPGNVCLVGTASGGFIVTDLHPTPGDQQAILFMYNSASGVWKDRVVNYPPRDRPWGDNGVVVHQMMIWWVDLSYGLLADLAFDQLRRVVPGIHHDLRFIPLPDGCELPPGTADLDKCRCVGLRRDDLRYVQIHKRDGDPAVSMWTLDQLAGTWSFDCEACFKAIWNDEGYRATKLPPEVPTVALIHPEHPGEVAYFFLHSRVFGVDLRACSVLECEFFAMLNPPMRYHSSRFVRAWRLPVSNSGGKKGAPPRWKGQWVTEDGSELWMVLACVPHVVQDGYFKPGFDNGFKFRLPPGATRLVVHRSIAPRRKTIDDHPYVAGGDCHGRLLLCATQGPEPEPPVLDGFYHRRPLGDGDHRHGLLPKAYFICDTRTHKSTRLPDPGLPILHPGNACLASISRDAYLVADLHPTVVGADHATLLLYSASGAWSNLELSYPPRERPWGGNGVVVWPKEIWWVDLSYGFLALDLSVAHRELRFVPLPVGRELPPGTGGRDLEKSRCVGLNFGELRYVEIDERDGVDPIVSMWTLLDEDAGTWSFDCEASFKAIWADEGYKATKLPPEIPTVALIHPEHPGDVAYFFLHSRLFGVHLGQCRVLEWQFFEMLHPPMAYHSSRFVRLWKNIPAFRC >OGLUM01G10470.1 pep chromosome:ALNU02000000:1:9238835:9239770:1 gene:OGLUM01G10470 transcript:OGLUM01G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAFGAAVAYPASAPAALARAFALGYGALLFLLPFSVYALEFLRPPYPIDRTPLSMITCATATPVALSVAVLTVLNQGRAGGDVAFAACVVWIADVAAVLSLAWCLTHGGTMGVALNRRGQYGEICKVQERMKKSNNHAAVDVRYAIRDAKIRFVVAVSAAVAVAGGVVIGGVSFKGLSYAAVFFALPTGLLYFRDTYAYPTDHMPKQLEWCYVSVPMAVMLLSRLMLAARQATTLDIRLVAVTAVMLAIDFTAIGFLEWHSRREMPKPRGTRASAAEIVTSFVMVCLRYWLYLHVFYVIGNGSQRWFN >OGLUM01G10480.1 pep chromosome:ALNU02000000:1:9246739:9252703:-1 gene:OGLUM01G10480 transcript:OGLUM01G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSTEASALSRRWRHLPHMLPDIRLHARDFTQPDIGSGGRHNNAAGYTVDQTMAAYTATARWLLEPTITTPQRVTKKSIDLAFFLRDPYLHSIGRAVGHAIDGGGGTEELDLTVEADIAVAQGLAGTTLLVLLPSVWLTRLTVDDCLSGPDDIPTLVNTCGRLRFLELRHCDVVDDAVLEIDAPRSQLVCLKLNHCNFRRVDLIRIWVKPEDPKLLSPIFGNLRDVHLRNIFNGCDLNWTLFLLDAAPSLNSMYISLCRQTCEACECEYGAEKTNVTRKTSDFKHHNLSLLEMKGFEVEKRVMQYLDLSQCTELAHWKRRTDRIQQDEKEKESKIITGFALHRRVGSHRLDGGSVAAGGGVEDGELVEADEAVAAGGCVAEALEPRDPAVAVGVELDEASRRRWSPPHSGPPPPSPSPPPSRPRPFTATSNRSPLQGLTRTTAEAARSAALAGEMPSSAANTATDKLRRRWTETDVGGGLGRRCSRSPRWRRRSEARVRRGGRRGGGGEVNGEEAARSRRRRGAWEGEEEGAVRLREREGSRERKGRLVIQCAVCLKRICLLGKDPCMECDNVNKHRSLPPIKQRFIDETQKSLIRARLKNGLSTSVEISIG >OGLUM01G10490.1 pep chromosome:ALNU02000000:1:9254071:9255171:-1 gene:OGLUM01G10490 transcript:OGLUM01G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVEEEVGDAQPRQEWIMLAAVPDVRRSSRMFPPGTDFVLALKKPPHVSHVTVAVRIAPGLPATPTRFPYVVAVDAGGAFLLCVTQRAREPPLATGADASVGRARRRREFARVPAYFLCDAHTGVASRVPDPPVGGPLSDFHRVGLISRPCGGGGGGGGGGGGEGIAYAIAELVSMLGTDHATLRLYWSATGLWLSKEVKYAGLGHPESWANDAVISHAQKLWWVDLSCGLLACDPFTEHQDLLFVPLPDGRVPPVAGTENDLIKHRCVTSSGGKLRYIQIHSRLGVPIISVWVLADPEHATWDCECHLPFSEIWSRRWVSRITRKKSLMVAAAHPVHTGMLFFVHGPRQEPIHSGCESKKDD >OGLUM01G10500.1 pep chromosome:ALNU02000000:1:9270264:9279509:-1 gene:OGLUM01G10500 transcript:OGLUM01G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAPPMEKVDTAVKDRRWQKKDGRKWVLLACVPHVVRGDYFEAGMDNVIKAAIAPRATRLVVHRSIAPRRKTIDDHPFVAGADCHGRLLLYASQGPEPEPPVLDGFYRGPLGEHHGFPKAYFICDTLKHKSTRLPDHGFPILHPGNAGLVAITKTIFHVADLHPIVGSDKAALLIYFSVPEIWATHVVDYPPRDRPWGGNGVVVHKTIVWWVDLSYGLLSCDISTRRQNLRFVPLPPDCELPPGTPDLDKRRCVGFRGDDLRYMGIHEREYDGKPVVSMWTLVDQDAGTWRLDCQPLVKDIWNDEGYKATKLPREIPTVAFIHPELPGNVAYFFMRSRLFGVNLYTRKVLEWQFFAMLNPPMRYHSSRFVRAWACPNSGSTFYMPHMEHDVNLERHGLVPLGASSGRDSHRYDGGSIRRNWGRIRLLPLRAAGHRRGGRHRQAPRCIKASAGNKMRYVQIHGPPDEQVVTIWTLDDRVHARWRREYEVPFVETWDGKRYKRSEMERKLALLHPNDPDVIYFFQGQLMLGGAEGGSGVRALRDDPLTKTPSVGTLPFFVRVWEEERAAEEMQMREAAKGRWVILVSVPHVAHDEFHFPPGTELRLNFGAPPFASRITVPRRIAPDRKAIDNYPYLAAADERHGRLLLYATQGPDPEPRPALDAFYLRPLGVHHGFAKAYFICDTTTREASRLPDPDHPFAILHPGNVGLLCYSISFYVAELQPAPASGTATLLLYRSDSDAWVDEELSYPPHDRPWGGNGMVSHQDRLWWVDLSYGLLTCDVVYGDDPPDLHYVPLPQDSELPAGTPDLEKRRCVGVSAGRLRYVQIDDEPDGDPIVRMWTLLDEDAGEWGFDCAASFVAIWDDEAYKATKLPRQVPAVALIHPTGPGDVVYFFLRSRIFAVDVRARRLLEWRFFEMLHPPMRYHSSQFVRAWNLPTLFQ >OGLUM01G10510.1 pep chromosome:ALNU02000000:1:9306938:9307825:1 gene:OGLUM01G10510 transcript:OGLUM01G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPVVPCPSAAAYGPGGCDGVGCSSSHNVWAHRVRHNGGVYRLCSSCVLLGHRDAFCSVCLDVFPGDAPFQDDFYNPIVSCSCCGVEPVAAAHLACLTDPSYFVCPACAAAAEGRTFTYAPSSGAPHALAERVLLVAARLAHESVARAAAAAREQAERLIREAAAARKRARDMVDVACRVLEAEARDAKEQTAAPPSPVLTKKTTPKNSAANRSSDKPLKINSIQKPALAFAAAAAAAAAAASSTPLSTPSPAGERKPMKQGRVLIAV >OGLUM01G10520.1 pep chromosome:ALNU02000000:1:9308396:9311138:-1 gene:OGLUM01G10520 transcript:OGLUM01G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPHLFDGAAPKFRRDERMAAPPREATWVILACVPSVSSSDSDFEAGDHLAFDWRDPPGVSLLTLRQSDSVPVSPAPRDFCPDRDDHPYVVAVDSAGGLLLRGARRSAHDFGPGVALGFDPAPSCTNDGGYILCHATLRMAYLYPPCSDEYRLLCAGNVGMIRRTADRDHPIRLLAELQIESGNGIHRATLLRYSHELGGWASTKVNYPPGHRSWCGDGVIVHAGMLWWVDLSFGLLTCDVFAAKPDMRFVPLPEGCKLPYSSDADHAKHRCVNVSDGELAFVQIHDDDAAAGRGAPSTIMISMWTLQQSDAGEESVWSLRHRVRVDEIWDHITYRKTMMPRRVPVLALLHPKELGVVFFFQITSRNSWMFAVDLVTRIVLECKKYKMPQLPTMYHSSRHVRAWELPHSICRGEDDETDVILMLGHGLHISIGRFMINPAHQRNLTDELDLNFSSDKADELLLTTGRLFINPRFQELRNATAFPKYLSFVIVKATDAYEALCLMRDFVSHVSMDGSNIVPRVSAESINVRTTV >OGLUM01G10530.1 pep chromosome:ALNU02000000:1:9312618:9313564:-1 gene:OGLUM01G10530 transcript:OGLUM01G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHETETPILQHACTHNIDVVRPSILTGGGRGGEEAGAAPHSCCGCGAAPREGSGEPKPGKRAQVRSHARSTRRSSAARNRLVPRCRRRSQGRTRKAKCLLVGKAKGAGKNEERTDRRLHSHQKRKRDGREREITVMVGDAEDGDGERVRLFVGQVPCSMAEEEILAVEAATASCTAKDSAVPSGGRWLGPAGTDAAVADGAQRKHAAIAAPPAAGLLTE >OGLUM01G10540.1 pep chromosome:ALNU02000000:1:9320630:9323270:-1 gene:OGLUM01G10540 transcript:OGLUM01G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGTIREDREFHQGTTSSNLEARDHDTFHCRERERDPLCVSLLAMRQDDFPAPQDFSTGRDGPTTTPTSSPWTPWADSFEAPLASSTPASRSGAAPKFQRDERVAAPPRAATWVILACVPSVSSSDDDFMVGYHLAFDWRDPPGVSLHTLRQSISVSPAPQDFCPDRDDHPYVVAVDSAGGLLLRGARRRAHDFGPGVALGFDPAPCCANDGGYILCHATLRMAFLYPPSSDEYRLLCAGNVRREPDGDHPIRLLAELQIEPGNGIHRATLLLNPQELRVSASKEANAPTSRRPGSSDGVVALAGKLPFTSRPSQACGSYSRRGHDDRDAHQERIPVLVKATDPYEASFQQAVR >OGLUM01G10550.1 pep chromosome:ALNU02000000:1:9323597:9326094:-1 gene:OGLUM01G10550 transcript:OGLUM01G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALCVAWIFQLTRDLGHKSDGETVQWLLQQAELAIVATTGTGTIPVGGADRQQEDALPAEQIDAVAGEPSPCGRRGRRSSPLRRVPASSGGPHTSHASGPSPGRALFGEREGGGERRVVEERKNRNATYILKMAQPSGIIVFLRSSPSPRRMQPAARHTFCSLFLQFSGNCGWVANPISNSAFPRAHRHTHPATAAAATTTTTRPPWTKEMRRESCCG >OGLUM01G10560.1 pep chromosome:ALNU02000000:1:9325691:9325906:1 gene:OGLUM01G10560 transcript:OGLUM01G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLAVRLLLPGLLIGLAVAMLILPVLLLLLMVLVIVVRVRQLTGGIGAADLVVELAERLCSEHADVAVL >OGLUM01G10570.1 pep chromosome:ALNU02000000:1:9331053:9339428:-1 gene:OGLUM01G10570 transcript:OGLUM01G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVILYFTITTRGTVSLVDQYWPCYWRLARRSEATVSSCFSDTVADVLVSDAPADEPEKNADRPAEPYSAPNSGPSTGQQGQPLDKWAGTARPRPKIIVPRALEYLRRPFRVKENPPVSSRLGLRPFRPRRSPISRAQQGLGLGRPSPSGEQRPGREGRRRPAASWRDRSMMAKTLQGTQQQYAASGFPTQQYPTSGWTQSAAEILQLDNMDQDTSVVRNIIHRKIVEYLNERKEFCNFDLSFLMEIGKCIDRHLFEKADSKIKYMDLETLRTRLNAIVNSASFRGSMFHWSASAASSKLNSQQLPVMEVPIYHDRVTPGPNNLPSCAYNVSSTQGYNQYENCMGAANFAHSLADKPKQMPERLANTIFTSCASTLPKCSPSIDVLHTGHIKEHFSGDAYQNDSSQPSTSGSSSSLSAVWDQTTCSSAMRTLPMDSFSTVNGQNLSTNNKSLYPTTGQGPLLQQYVECEMKQETWSQSLEQSDQSNITTGNHDLYHAQIHPYINGEHKRDRCIQMKEKLGHTSDHEGFSREKSSNLSNHFMHHQQGFMTNYGACSPVSKTVDRAEQTSNSTVSKPTSPASDGSSGKHYPAKRLKVDVPHLVHVNEMEASKEQQPAANETYASAETVQSEVTNSPTKSPCCTSLGDNIACTDNVHGMDMVRLSGSAVQTEEEFRHENSDIEMKDAKVDLLDQTLSGDSLRARKRRGASVLYALTSEELKDHLCTLNHDTSQSKVPTEELLSVEGLPDQNTCNLCGMERLLFEPPPRFCALCFKIINSTGSYYVEVENGNDKSSICGRCHHLSSAKAKYQKRFSYAETDAEAEWWVQCDKCKAWQHQICALFNPKIVDPEAEYTCAKCFLKEKDNEDVDSLEPSTILGARELPRTRLSDHIEQRLSERLVQERQQRAIASGKSVDEVPGVEGLTVRVVSSADRTLQVQPRFKDFFKKEQYPGEFPYKSKAILLFQKNEGVDVCLFAMYVQEYGSACPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDFCKKRGFVSCSIWTCPSTKRDDYVLYCHPTIQKMPKSDKLRSWYQNLVKKAVKEGVVVERNTLYDFFLQPTNECKTNISAAWLPYCDNDFWPGEAERLLEKKDDDTSQKKETQLGRLLRVAKRDDRKGNLEDILLVHKQFCKHCHHPIVSGSSWVCTSCKNFFLCERCYAEELNTPLKDRHPATTKQKHAFERIEEEPLPETDDVDPTMESKYFDSRIDFLKHCQDNQYQFDTLRRAKHSTMMILYHLHDSTCSSCHRAMDQCLAWRCLVCLGCNFCDSCYKQDGESLHIHKLRQKKDHHVLQKYTLQDYLEGLVHASRCFDRSCTSKLCLTLKKLFFHGVRCHTRARGGGGCHMCVFMWKLLFTHSLLCDNADCSAPRCRDIKAYIADRSMTDLSISG >OGLUM01G10580.1 pep chromosome:ALNU02000000:1:9342176:9343453:1 gene:OGLUM01G10580 transcript:OGLUM01G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQPRIDAIGLRFRTVVHITLVASMAYADFGAAVAYPASGAPAALALAFVLGYGALLFLLSFSVYALEFLRQEFPIARTPLSVQACVAVTPVALSVAVLAVLNQARAGGGGDVALAACVVWAADVAAVLSLAWCLTHGGALAMALTRRKQYEESGKALERMMKGYNPKDPAAVLFALDLGEIRDASVRLAAAVSAACAVAGGVAVGGGGGGMSYTGLSYAAAFFALPMLCLSYFQKTCAYPVDMPKHLAAYDRPHLKVIRYACVRFVAAVSAASAIAGGLVVGGVSWIGLSYAAVFFALPMCLLYFREKYGFSMSDMPSLLKWCNVSVPMAALALLFRLVTAARQAAAPDVRLVAIAGTVWAVDAAAIGFLGWRSTREMAKPILRANASEIFTSFVMVCLRYWLYLHVFYILGNGSQRWFNSL >OGLUM01G10590.1 pep chromosome:ALNU02000000:1:9361879:9365718:-1 gene:OGLUM01G10590 transcript:OGLUM01G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQPQQQPQQQQEQQPVAAAAAVPTPAPPASEAQPQKPTRVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKSGDDTKNAEREKAKGLLEISKVAKQKALSASGLSTVSPGAFQGVAKSQQGSSKAGQVSPPGKQNVLGGSAILSYGTQTKGIPTYMDDFKYGFPSSGLSLQTMKWWGTDSHTETTPAKDDNGEAPESANEASKGMTDDELDWGADEAEAEADADSAITTEPSAQLCSLRRKAVDDGRKLLTGKSCGGLELCRLNKRQKMALAQVFGASLPEQLRSKLG >OGLUM01G10600.1 pep chromosome:ALNU02000000:1:9372769:9373263:-1 gene:OGLUM01G10600 transcript:OGLUM01G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKTKERGGHRRSLHLLPRIQQLELHAHLEFDFIWSIGGGQMLAALPLAPNQVVGHAHEKAHGTQGRRLGLGCDLGGRGGCPHTRACLSVPCAREGEARDKEDIWVRIGRGEVVQSGGRQAMALRRRRLGKVRRSDRGIGRNHDHEAKGSRTWMMDETEYDE >OGLUM01G10610.1 pep chromosome:ALNU02000000:1:9373595:9374868:1 gene:OGLUM01G10610 transcript:OGLUM01G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAVAAAAAGGAPWRGVVSAGRAAPRRRVALVVRAQSEPEVEPTKEETATSSSSPSPATTPTPSPAAAAPKAKPAASTKLWDVLAFSGPAPERINGRLAMVGFVSALA >OGLUM01G10620.1 pep chromosome:ALNU02000000:1:9395882:9399877:1 gene:OGLUM01G10620 transcript:OGLUM01G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGHLGGGGGGGGGLLALDASPRPLGFLNLLSPPPFHRSTMEADDSGGGGGRARRSVEVDFFSDEKKNMKKSRVSGGAAAEADDAKGPAAAGLAIKKEDLTINLLPAGNNARSDRSMVVDDDAASRSDHEEKSRSSNELAAMQAELGRMNEENQRLRGMLTQVTTSYQALQMHLVALMQQRPQMMQPPTQPEPPPPHQDGKAEGAVVPRQFLDLGPSSGAGGEAAEEPSNSSTEAGSPRRSSSTGNKDQERGDSPDAPSTAAAWLPGRAMAPQMGAAGTAGKSHDQQAQDANMRKARVSVRARSEAPIIADGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAASMLLSGSMPSADGAAGLMSSNFLARTVLPCSSSMATISASAPFPTVTLDLTHAPPGAPNAVPLNAARPGAPAPQFQVPLPGGGMAPAFAVPPQVLYNQSKFSGLQMSSDSAEAATAAAAAAAAQFAQPRPPIGQLPGPLSDTVSAAAAAITADPNFTVALAAAITSIIGGQHAAAAGNSNANNTNTNTTSNTNNTSSNNTTSNNTNSETQ >OGLUM01G10630.1 pep chromosome:ALNU02000000:1:9417832:9424661:-1 gene:OGLUM01G10630 transcript:OGLUM01G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHNPEPKSRDRAINFGGADVKEGGVATGGEEYKENNEGKERESKRTKNDDMAIDDNKENSERKENEVQEGGDQGREDVILEMAENVLDVAVERVLGEEYERVEREEEKIDGAVMQQEKVEQLANIEEVLVTPKRASERLMGSSGRHSLEKAKSRKAWMNLDLLSETDASVGCMDDKPDELAINEDSLVRS >OGLUM01G10640.1 pep chromosome:ALNU02000000:1:9428953:9430751:-1 gene:OGLUM01G10640 transcript:OGLUM01G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78530) TAIR;Acc:AT1G78530] MVALYITICSILFIVSKMLISFLLYKKWARKKRIIENSLTGGKMVMFRSAAMQSLSPKSFLTMIMGLSNKDIIGSGGYGTVYRLRVGEKAAFAVKKLSRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYATPHFNLLIYELMPNGSLDTILHGKEETRRALGWEARHKIAAGVARGLAYLHHDCIPHVIHRDIKSSNILLDHNMEARVSDFGLATLMKPNHSHVTTVVAGTFGYLAPEYFETGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKETMEEKREEHAVDSALESSFPAEEVKLVFKVADKCLESEPCNRPTMAEVVKLLEQAKNTTA >OGLUM01G10650.1 pep chromosome:ALNU02000000:1:9433489:9433853:1 gene:OGLUM01G10650 transcript:OGLUM01G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTVARSSEEVPPARGLPPYFHPPFPRGPKRRGEGAGLGCGGRAAHDDSSAPDVVFGRGASSMDRHPPLAISPWRLRPCSLLAV >OGLUM01G10660.1 pep chromosome:ALNU02000000:1:9436099:9438411:-1 gene:OGLUM01G10660 transcript:OGLUM01G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSRSLKPFAASSIDGGVASTKPAAAPVVARFGMLTRFHAGYFRISLALSGQALLWRTLSDASTDPRALGPVVRSLPSAAFVLLWSLALLTLVALCALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISWLLLLQAAPPLLLRPDARPYRALWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASHITVIGNLVTARAAARMGWHEGAVAMFAVGAAHYLVLFVTLYQRFLGSDSLPAMLRPVFFLFFAAPSMASLAWDAISASFDTCCKMLFFLSLFLFASLVSRPTLFKRAMRRFSVAWWAYSFPLTVLALAAAEYAQEVREVAASVLMLALAILSVAVTLALMVFTVLRTNDLLPHDDPFSCPPLAR >OGLUM01G10670.1 pep chromosome:ALNU02000000:1:9453094:9454299:-1 gene:OGLUM01G10670 transcript:OGLUM01G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLKPVALLLLILNLCMYVILAIIGGWAVNISIDRGFILGPGLRLPAHFHPIFFPIGNWATGFFVVFSLLAGVVGIASGLVGFSHIRHWNYYSLQPAATTGLLAWALTVLAMGMVCELKPWEFGIQGTMEAFTIVLTATQLFYVLAIHSGSRGPVPVERTHAVAAGY >OGLUM01G10680.1 pep chromosome:ALNU02000000:1:9459740:9460147:-1 gene:OGLUM01G10680 transcript:OGLUM01G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAVLLMALALTLAAAGTGTGAELETAAIRRRQSRFLASAKNSPPLSYYDCKRKPPSVCLEPGSPGATCCKGACVDTGSSFAHCGSCNHVCKYGETCCGGHCVDLLSDRKNCGDCFVRCPSKKCSFGLCDYAG >OGLUM01G10690.1 pep chromosome:ALNU02000000:1:9465994:9468529:1 gene:OGLUM01G10690 transcript:OGLUM01G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEASGGGDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKSVHSQKFCSSTNGMRFLNRKDLMAIGSYNAFLQTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVDEEMKVEKAEFFYERGNFLASFLSAPAAAATEAASGSGCPVMGGN >OGLUM01G10700.1 pep chromosome:ALNU02000000:1:9471650:9477572:1 gene:OGLUM01G10700 transcript:OGLUM01G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y619] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPVLDTQFKFDFGRTIHELTDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGTKSVSKIPIVEFIGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >OGLUM01G10700.2 pep chromosome:ALNU02000000:1:9471650:9477572:1 gene:OGLUM01G10700 transcript:OGLUM01G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y619] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPVLDTQFKFDFGRTIHELTDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >OGLUM01G10700.3 pep chromosome:ALNU02000000:1:9471650:9477572:1 gene:OGLUM01G10700 transcript:OGLUM01G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y619] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGTKSVSKIPIVEFIGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >OGLUM01G10700.4 pep chromosome:ALNU02000000:1:9471650:9477572:1 gene:OGLUM01G10700 transcript:OGLUM01G10700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y619] MAFEKIVVANPVVEMDGDEMTRVIWKWIKDKLIFPFLDLDIKYYDLGLPNRDATGDKVTIESAEATLKYNVAIKCATITPDEGRVKEFNLSAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWIKPICIGRHAFGDQYRATDTVIKGPGKLKEEQIELDVFNFTGAGGVALSMYNTDESIWAFAEASMNMAYQKRWPLYLSTKNTILKKYDGRFKDIFQENYETKWRAKFDDAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDLIAQGFGSLGLMTSVLVCPDGRTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTTGLGHRAKLDDNKRLLDFVQKLEAACVGTVESGKMTKDLALLVHGPNVSRDKYLNTVEFIDAVAEDLRTRLSE >OGLUM01G10710.1 pep chromosome:ALNU02000000:1:9472419:9479249:-1 gene:OGLUM01G10710 transcript:OGLUM01G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEASGGGDKYRSFMYGEGEKDTVWRLGSPPNYDVVNKLFEEERTKEWPEGSLEEKVQRLLKTWEMELIHKTKLPPEHRIYDPDKETLESGMATFLTAFPRGFAIEVLDVYSGPPRIVFKFRHWGYMEGPFKEHPPHGKRVEFFGICIFHVDEEMKVEKTEYFYERGNFLASFLSTPAAAAAAAAEAASGSGCPKWRILLKEEDQELLGGWCEAIRIKLNQHTFYA >OGLUM01G10720.1 pep chromosome:ALNU02000000:1:9481396:9483167:-1 gene:OGLUM01G10720 transcript:OGLUM01G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSF2 [Source:Projected from Arabidopsis thaliana (AT3G12530) TAIR;Acc:AT3G12530] MAGQSDPHLSIFSPSEVEFVAEDEIVEIVPNIRMEALNMICGDFGPFFPQIASKVPLWLAVALKKRGKCTIRTPDWMTVDRLTQVLDAERESPKEFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVETGLETISGRTHAVKCM >OGLUM01G10730.1 pep chromosome:ALNU02000000:1:9501139:9502242:1 gene:OGLUM01G10730 transcript:OGLUM01G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLAQSTAVAKVAASGCLRRSPNPSVTFQRSPSLLSPAAECRRRRRSVDVRCAVGATVTPELNGVGVGGGSFNFQRYLTARAAAVQDALDRAMPRGFPERLCESMRYSVLAGGKRVRPVLALAACELVGGDAAAATPVACAVEMIHTMSLIHDDMPCMDDDALRRGRPSNHVAFGEFTALLAGDALHALAFEHVARGCGDHGVPADRTLRAVAELGSASGTGGVAAGQVADKESEGLPVSLAMLEYIHVHKTARLLEAAAVSGAIVGGGADAEVERVRRYARCVGLLFQVVDDVLDMTSTSEQLGKTAGKDVEADKATYPKLLGVDKAREYAADLLAMAEAELDGFDAERAAPLRHLARFIAYRQQ >OGLUM01G10740.1 pep chromosome:ALNU02000000:1:9503501:9504139:1 gene:OGLUM01G10740 transcript:OGLUM01G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGALRGKLHGCALRLRGDSLPVVVSVLAVAALCATALSRIVVFFLPLVASTSLCCAAAYLLVAASEPDQEGAAGAAAAKEVVLVRGDRAEVGVLQVFDGANATVYAAAADADAMRVGCFLLYRPCGAGGGGGWTKRGVDEDGEEVVFAGRLAAVCGGDGDDVEEELAALRVDRLAEGVWDSYFGGWSRWNYVTDGHYYDEDATIFLDS >OGLUM01G10750.1 pep chromosome:ALNU02000000:1:9507146:9511445:-1 gene:OGLUM01G10750 transcript:OGLUM01G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLLVVLFLSLCCSPRLRGEAAQQWTSATATFYGGSDASGTMGGSCGYGNMYSAGYGTNTTALSSALYGDGASCGACYLVTCDASATRWCKNGTSVTVTATNYCPPNYSESGDAGGWCNPPRRHFDMSQPAWEAIAVYSAGIVPVRYARTPCRRGGGIRFGIAGHDYYELVLVTNVAGSGAVAAAWVKGSGTEWLSMSRSWGENWQSNAYLTGQALSFRVQADDGGVVTAYDVAPANWQFGSTYQSDKQKKNCTELALQ >OGLUM01G10750.2 pep chromosome:ALNU02000000:1:9506262:9507138:-1 gene:OGLUM01G10750 transcript:OGLUM01G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARMLVLLASLCALLLTASAAKWTPAFATFYGGSDASGTMGGACGYGDLYGAGYGTRTAALSTALFNGGASCGACFTIACDTRKTQWCKPGTSITVTATNFCPPNYALSGDAGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCQRSGGIRFAVNGHSYFELVLVTNVGGSGAVAQMWIKGSGTGWMAMSRNWGANWQSNARLDGQALSFRVQADDGRVVTAADVAPAGWSFGATYTSSAQFY >OGLUM01G10760.1 pep chromosome:ALNU02000000:1:9512884:9515554:-1 gene:OGLUM01G10760 transcript:OGLUM01G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGSSWSSLLLGAVAVALAVAAAPSLAGDPDYLQDICVADLNSEVKVNGFPCKANATADDFFSGVLASPGAAANTTTGAVVTGANVEKVPGLNTLGVSLARIDYAPGGLNPPHTHPRATEVVFVLYGELDVGFVTTANKLLSRTISQGDVFVFPRGLVHFQRNTGGKPAAVVSAFNSQLPGTQSIAATLFAASPAVPDAVLAKAFQIDDEEVDKIKAKFAPKKT >OGLUM01G10770.1 pep chromosome:ALNU02000000:1:9521615:9523990:-1 gene:OGLUM01G10770 transcript:OGLUM01G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLRDPSPVSPSSLRQVSTPLLSSRVRAPPPPISISAAVLLLPPHHIPGEARAAISIWRAEQSSGGEGILDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >OGLUM01G10770.2 pep chromosome:ALNU02000000:1:9521615:9523995:-1 gene:OGLUM01G10770 transcript:OGLUM01G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >OGLUM01G10770.3 pep chromosome:ALNU02000000:1:9521615:9523990:-1 gene:OGLUM01G10770 transcript:OGLUM01G10770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLRDPSPVSPSSLRQVSTPLLSSRVRAPPPPISISAAVLLLPPHHIPVSILSVTLTRILSLSAIMSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >OGLUM01G10770.4 pep chromosome:ALNU02000000:1:9521615:9522553:-1 gene:OGLUM01G10770 transcript:OGLUM01G10770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMKDTNAAGPETVGNPDPMDQTEDNSMPSAQEQELAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKSGQQGAAKPKGPLEALRPKLQPTRQQQQRSRRLINTSSENEDGDGAGAEDMNIN >OGLUM01G10780.1 pep chromosome:ALNU02000000:1:9528559:9528898:-1 gene:OGLUM01G10780 transcript:OGLUM01G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPLGAVLLLEGVHQEPSVYIDSRWIPAMSPKPYKPRVFDEAFAAWLLFLALRRVFIILFGFCWVESELLYCRGATKLGNNDTLHLPYRIVDASFVQEVILW >OGLUM01G10790.1 pep chromosome:ALNU02000000:1:9538244:9539414:1 gene:OGLUM01G10790 transcript:OGLUM01G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIRADLIGRSCKKDILHAVSTLQGIKSMDIDEEKCTLTVLGPVDPVKIVHRLKKKCFAAEVVSVEDDKPKEPDPPAPAAPEKKKDDDDPCQCQCKEAECACVKVCVASCYHSPCSLPDCYFYKSYGEKVNRDTLKLEYPDSK >OGLUM01G10800.1 pep chromosome:ALNU02000000:1:9541748:9542928:1 gene:OGLUM01G10800 transcript:OGLUM01G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVIKADLIGRACKSEILAIVATIKGIKSMDIDAEKCTLTVVGIVDPVRIVRKLRKKCFSACIVSVEDDKPKEPEKDPCKEAKEKLEKAWKEYCEKCNVKLKPGCPCSCSTPCQPCFPPRSPCSFPPIGCHDRGICPPPCPLPCPLPCPPPCPPRGYGYGCYYEERYPGGECVIQ >OGLUM01G10810.1 pep chromosome:ALNU02000000:1:9546985:9548595:-1 gene:OGLUM01G10810 transcript:OGLUM01G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDKGPAPFAAGDLPPEGGTARAGKGSVPLTVSQMDSYSAMAGMGSGEVAKEHPVMDHVAEVSAEDSKHPPVDAKASVADSDLDLAAKASKVPPVVDCEPDIAAKGSSDLAAKASKVPPVVDCEPHIAAKGSSDLVAKGASDRSEGASDLAANGASEPSEHVADVASKGVHDLREGVADLVAKGAVDSPVHGDEDPYMNGELPGEGILIRHNRPGIDRLANDCIMKILQCLPIKEAVRTSVLAKRWHNLWMDMDSLVFCDMPPAGAGMTLEARHSRRFRDIVNGTLASLGGRYINRLLFYITNRANTNPDRLAEWLSIASWKVTGMFSLQLPALMEGDQMVLDLPCFRSAQVISLTGIRVEIRLPNVVFNDLRHLALGGVVFGELGAGLGHVVSVCCPKLQVLQVSNVRGLRDLLLDAPSLIVLELIQVVELEWLVVKASKLQILHVRNCNSLAENEKTPNPEVQAPMLKVVDWQGPSPMNALFSENEFLQSLCVREISSGVREHSTYSRIMRKFKYSDSLTIHLPISKVSTFA >OGLUM01G10820.1 pep chromosome:ALNU02000000:1:9566875:9567636:1 gene:OGLUM01G10820 transcript:OGLUM01G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAPPLAEEDMKLMRIGRTIFRSQDFSGTCRRDSYCIRCGVAFCSHCCRYHHRHAAVSRWYDPLLRVDLDGGGRPVLPTRTADGRHALPRGVAACMAAQDYTSRLPRDAFCLPCGSSFRADLCSHHDAHADAATGEPLADAVLRGIEEHGDGWHCVRCTGSEWWADLMGVVLGDPVLTGVDEEGAYYELLPVLKATESNCLRCGDDMEGKLRIGFYCSLDCFREDQRTIEERRQRRVARHAARHSRDN >OGLUM01G10830.1 pep chromosome:ALNU02000000:1:9573448:9576866:1 gene:OGLUM01G10830 transcript:OGLUM01G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRALGWSEGEVMRPESKPCSRLMRQTAGVFSVGGALSFWVLCRLHYGPRITVPRSLRWASCGAISVSSASALLVRLFSPECEPQNIAAYDKLAHKTG >OGLUM01G10840.1 pep chromosome:ALNU02000000:1:9577709:9578086:1 gene:OGLUM01G10840 transcript:OGLUM01G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLALCCARGRGAYCCPPPGDHPAPPLRVDAAADDDGGDWCCYEELPVSTPPHVPRGLARGGEDDDDGLELTMTRGAPGVRDDADDQQLVSPAAAAAGGVGFVAKSWIASVYERLSRTFSVLP >OGLUM01G10850.1 pep chromosome:ALNU02000000:1:9580007:9595692:1 gene:OGLUM01G10850 transcript:OGLUM01G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPSLRVPRPPLSLWSSLRCRALDSTRPVAVEGGLGDEEDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELARPLDYKGLYSAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRWGWLSRTGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLGHDVHSYSRRQQH >OGLUM01G10850.2 pep chromosome:ALNU02000000:1:9580007:9595692:1 gene:OGLUM01G10850 transcript:OGLUM01G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT5G48960) TAIR;Acc:AT5G48960] MADSIELARRLCLQPPARRTGTGRCRPRGRLGAPSLRVPRPPLSLWSSLRCRALDSTRPVAVEGGLGDEEDEEDEDEEGESYFALTSSRLSRVDYLGESTKGDLNVRREHLDAFGGNGKSTLHGPIEEIAWQEAREAESLLRELGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMANLKGMGFPVDDLEFDPDLVIRGLIMDKDKGNLVKADRFGYIKRAMHGTQMLSTSAVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELARPLDYKGLYSAVSRALFRAHVEGQLKSEIMAEPERFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTNKMMNHAFNRFLPNDMAWRDLFEMVIVSARKPEFFQISHPLYEVVTNDGLMRPCFKANSGGLYSGGSAQMVEKSLDIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALIRSHVQKEQLITLIQQKEVVGDLFNQLRLALQRRTNSRPAQTLAATCMDDQELTESMQKLLIVMQRLDEKIAPMLESDGELFNKRWGWLSRTGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLGHDVHSYSRRQQH >OGLUM01G10860.1 pep chromosome:ALNU02000000:1:9596049:9601023:1 gene:OGLUM01G10860 transcript:OGLUM01G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L3 plastid [Source:Projected from Arabidopsis thaliana (AT3G17465) TAIR;Acc:AT3G17465] MAAVSRGLLARLRHLSIAGPRLPPCRRPFSAEPLVSHPDDDDAAAGGGGGDEGSGGGRIIEARAGVMGPDSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNVVCQVKTAEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALIPLGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFSGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIYKKPDIAKLPFPTYFSQEGESEELLVADLGDIDPFMVAD >OGLUM01G10870.1 pep chromosome:ALNU02000000:1:9602442:9607478:1 gene:OGLUM01G10870 transcript:OGLUM01G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALDWTPPLPASLLTTTPRRLLEPSSTFPLPLLRPSPPPPPPISPPPPPPPAAAELPAADMDDACAVCAEPLEWVAYGACAHREVCSTCVARLRFVLRDLRCCLCITPCPAVFVTKAMGDRTKVIPDFSALRGAGGEGKAGEYWHHEATQTWFDDADQYRMISAMCRLSCSVCDSNKKEEEERTGKAAKAKRKSKIRSVDQLKGHLLDRHGLYMCDLCLEGRKVFICEQKLYTMSQLNQHIKSGDSEVDGSEVERRGFGGHPMQHSGQYDYFRNYDDLEMHFQRDHFLCEDKGCLEKKFVRHNGVEHGKHMPGAVDSSSSSMQNGIAAVGHGLGGQSDSSRVPLQSLSISSSSGQSSETRQSFARNRLLQQACVPPLSRQEVHDARVGSVLQEASFPSLPAQSRKAPAHSQSSRTAARIGDQQFRPLSVTSNRNVALAQQGTRTLPENTHVSGLAQYSKRTENMHQAVQPQFLKNNSLIPSGSTSRPVHVPSSAGNERQDTFSNSQVLSSVEDILAANKALVEKMRAALGMDQDMFNAFKEIAGEYRQGVINSSEYLSYVKQFGLLHLVPEMARLLPDAQKQKELADAYYANLRLTSLQENGGGGTDNSKQGNQNKKGKGGVPDAIGTSNAATDPLKDKLLNTAIKFQSNYMPQEGCCGVQRKEGRTTDGSSQGLPLKGAWQSRGGQRLFMSKAKK >OGLUM01G10880.1 pep chromosome:ALNU02000000:1:9611221:9611588:-1 gene:OGLUM01G10880 transcript:OGLUM01G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKMMAVAAVLVLAVVGAAEARNIKAAAAAAAESKDTVVQPTTFPPFDRFGSAVPAFGGMPGSSIPGFSLPGSSGSTPGGLGGFGSMPMFGGLGGGSPGLGGGMPGSPAAADKQAKKP >OGLUM01G10890.1 pep chromosome:ALNU02000000:1:9635163:9643390:1 gene:OGLUM01G10890 transcript:OGLUM01G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGSLFAQQQKLLEGEDQNSEELSRERGEAAEGGKKGGGGRGSEMPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >OGLUM01G10890.2 pep chromosome:ALNU02000000:1:9635192:9643390:1 gene:OGLUM01G10890 transcript:OGLUM01G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVQSLSISVVILSAIVQYQVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGSLFAQQQKLLEGEDQNSEELSRERGEAAEGGKKGGGGRGSEMPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >OGLUM01G10890.3 pep chromosome:ALNU02000000:1:9635192:9643390:1 gene:OGLUM01G10890 transcript:OGLUM01G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGSLFAQQQKLLEGEDQNSEELSRERGEAAEGGKKGGGGRGSEMPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >OGLUM01G10890.4 pep chromosome:ALNU02000000:1:9635427:9643390:1 gene:OGLUM01G10890 transcript:OGLUM01G10890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGVAPSGLKNSSSTSMGAEKLPDQMHDLKIRDDKEVEATIINGKGTETGHIIVTTTGGRNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHYNKMNQRMPLIYVKLYMYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRLPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNARLPNGRFLPPLFNFKPHELKGIPSDIMAKLIPEHVKKQCSYAGSLFAQQQKLLEGEDQNSEELSRERGEAAEGGKKGGGGRGSEMPLGKYYCDYCEKQFQDTPAARKRHLDGAQHHRARALWYDAVRRQELHGGGGGAPPLLHQPGAAAIGVCQHFVRTGTCKFGDSCRYFHPKPPPANPGPAPSGPVSGPMAQQSNIQGSQPNFVGYQAADGSSFSGNILGGHTSWGNLPPSLRPPPEGGYPPFPFVDWG >OGLUM01G10900.1 pep chromosome:ALNU02000000:1:9643759:9644001:-1 gene:OGLUM01G10900 transcript:OGLUM01G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLLRAIVIGVDVEERQLVSGAREAAEEAERSCSKHPAMPRRCGLFSDNDVEEERWLVSCLRWPGVDRQAAWMQTI >OGLUM01G10910.1 pep chromosome:ALNU02000000:1:9647945:9648300:1 gene:OGLUM01G10910 transcript:OGLUM01G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAATTAAATTAAVTTAAAATTAITTTTVPSPSAPADDLDDSRRSSRPPQATTAVEKPPHQAPQGAPPFRPSSPLPPSSSSAALAPPTVGERWTRSPGASAWHNMSDEELL >OGLUM01G10920.1 pep chromosome:ALNU02000000:1:9648871:9650666:1 gene:OGLUM01G10920 transcript:OGLUM01G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKLAVPVPSPAPPRPPSVTFRCLRPRTPPTRAPATPARALGNGGGGGGGGSPLGRAWPGVAAALFGAGFVLGPLLDGIHSRVGLQLYHNGAVDVGPLHTHILVPPLLGAFYSTVGTLQLFLDERVSPPAAAAAGGSKATGSPQKTAASLVFLAVFIEASAEMYRAGVPSNVEAYVLFAGAELAWLLLDGTWLGFAVACLVGTACPLAEIPLIKLFDCWSYPNADVQLLGEGIVSWTTTCYFVYTPFLANLARWVKAELAVDDAAR >OGLUM01G10930.1 pep chromosome:ALNU02000000:1:9652287:9655592:1 gene:OGLUM01G10930 transcript:OGLUM01G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVEAGGFLRGLLLLLVYPLLCLLLGDGDGGGGARARAMATVALVGLEEREVARVGRAVMPRFLMAAAAAEGAEAVRAARRSVAVSATLPRVMVEAFLREHVGVDAVVGPELRSVAGVVAGIMDDADAARVAARRLRALLGDEMDQGEADGAGAAVGLVGEGRSGGTVHYLFSRYYCKETFTATEADKRRWRPLPPGGECGGVKPLVFHDGRLAFPPTPSAALAMYAYLPFGVALAVSRIIALSLLPYGRATFLVGALTGVHYRLVGAGHDAAGGGGGGRLYVCNHRTLLDPIVVAAALGKPVTAVTYSLSRVSEMIAPIRTARLTRDREEDRRSMAALLARGDLVVCPEGTTCREGYLLRFSPLFAELGADVNPVALDARVDMFHGTSTTPAAKWMDPFYFMMNPKPSYRVEFLPRAAPAPAAEDGGDSIRVANRVQRQIGEALGFELTGMTRKDKYMMLAGNEGVVAAAAAAAATIKASR >OGLUM01G10940.1 pep chromosome:ALNU02000000:1:9658966:9659593:1 gene:OGLUM01G10940 transcript:OGLUM01G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWAEITLKPWGQHGELGTGKTRVEVDVRRHEQRGARVDVERGETRQRSRPGVARRHGTMGEFEVKTGHDDSGD >OGLUM01G10950.1 pep chromosome:ALNU02000000:1:9661111:9667135:-1 gene:OGLUM01G10950 transcript:OGLUM01G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G62330) TAIR;Acc:AT3G62330] MYSLRFTISMFHLSRPHVSMKPEDPNPLLLAHPNQTIVIPEPDGPEPQFTRLGRRLAASHSRTLASPLPPAAYGAGGHGEGPRRRRPPTTGLAGLTRRPLLLSRRPKRRASIISREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRLRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKESPQASANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELAIARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHPTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRF >OGLUM01G10950.2 pep chromosome:ALNU02000000:1:9661111:9667135:-1 gene:OGLUM01G10950 transcript:OGLUM01G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G62330) TAIR;Acc:AT3G62330] MYSLRFTISMFHLSRPHVSMKPEDPNPLLLAHPNQTIVIPEPDGPEPQFTRLGRRLAASHSRTLASPLPPAAYGAGGHGEGPRRRRPPTTGLAGLTRRPLLLSRRPKRRASIISREPSPEIDDELFNEVYGKAYSGPVATTTNNVTPRVNDEKRPLEREKSDEEDGPPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGESARDKQVRDLFTERTISQIEKDVGCKIKMDEKFLFVSGKDRLILAKGVDAVHKIIQEGKGKNTSSSPKRDRLRSPVRTTSDIRPRHTDSRWSHSPRSAPRSQSKGYYNERPLDGRSHDDMPKFSKESPQGRALKHTPSANYGAKGRPAQSKSPCQPSYIDDSLRSNDGNNQYAATYVPNTWSTETRGTDSRLSLKFDLPSYPQTLEELEMEFKREAMELAIARDKEEDEENYKHRESLREMRENHMKRVTAMRSMHSRKWDEFLEQSFKRHQQAHPTSYAQTSYPDYDQRATQFAATGPPNDSKSEYPYITDSYSAPRPHAAYSEFEHERHDDFGRTYGRF >OGLUM01G10960.1 pep chromosome:ALNU02000000:1:9668674:9679476:-1 gene:OGLUM01G10960 transcript:OGLUM01G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRRASSGRDMSDNLVQHNRRSEAEISTHVDAAPPDAASNTSAAPSGLVQPPVSPHNACCSHNMVQKRGSQDCHCVYPVRVELFLRNVSLTSNWSDEFLGELASQLSLRVTQFEIVNFYVVGASGLNITMYIAPHTGISFSADQVTAMNYSLSQHTVQINPVLVGDYNLLNLTWFRPLALAPVYFSVKVENTKRMFGDINMILPMNDISIISLYLQPSPTFTISPKPSPSQASTVPRHSADTSNEKHMSLITIICIFIGALIAVLVIAMFICFCKLRKGKRKVPPVETPKQRTPDAVSAVDSLPRPTSTRFLAYDELKEATNNFDPSSMLGEGGFGRVFKGLLTDGTAVAIKKLTSGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSNRTLGASRPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLEDDFHAKVSDFGLAKQAPEGRTNYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDKDTLEELADPKLGGQYPKDDFVRVCTIAAACVSPEASQRPTMGEVVQSLKMVQRSEFQESIPTPPARPNVRQSSTTYESDGTSSMFSSGPFSGLSPFETENISRTAFSEDLHEGRASESLTTHLKAGGASDLTSFIGRVRCSPAVALALVVAAAAAAAATAKLYSPADRILVNCGSTTDGLDAEGRRWVADATNDTWLTDSGKSSIMAAADELETMLPSSIPYMTARVFTMDTVYNFTVNPRDRHWIRLHFYPSSYNGLEPQDFRFSVFTTTGYTLLHNFSVYFTTKALTQAYLIREYSLPRVPEGHFGVTFSPSPMMNVTYAFVNGIEVISMPDMFNDPATMVGFADQTADVSAAAFQTMYRLNVGGAYIPPSNDSGLTRPWYDDTPFVQGPLRGLVYNAGPHFHIKYPSDAAEYAAPPEVYLGGRSMGRDQRLNQNSNLTWSLHVECNFTYVVRLHFCELQLIHGNQRVFDIYINNRTAQTDVDVLEMATERGVPVYKDYAVRLSNDTADEHLWVAVHPSVMLRPQFYDAILNGLEVFKVNNTGGSLASPDPVPYKLLAEKELGWGGPPEFSTDNPANMASVMGGTAGGAAAAGIVAAICVVVYSNKRSKKLGGGGADSHTSAWLPLYHSHTSGKSSGHITANIAGMCRHFSFAEIKAATKNFSNDLAIGVGGFGVVYRGVVDGDVKVAVKRSNPSSEQGITEFQTEVEMLSKLRHRHLVSLIGFCEEDGEMVLVYDYMEHGTLREHLYHNGGKPTLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDDNWVAKVSDFGLSKSGPTTLNQSHVSTVVKGSFGYLDPEYYRRQQLTDKSDVYSFGVVLFEVLMARPALDPALPRDQVSLADYALACKRGGALPDVVDPAIRDQIAPECLAKFADTAEKCLSENGTERPTMGDVLWNLESAMHFQDAFDAAAGRPVPALDAAAGSSSHLDDGSTASINTLATSSTSHPHEPCVDVVLEPDDVVAERATFSQLVQPTGR >OGLUM01G10970.1 pep chromosome:ALNU02000000:1:9684709:9685242:1 gene:OGLUM01G10970 transcript:OGLUM01G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAHATLLLVVAVVAAAACWTTAAGENEEVAEICKKTSYPEVCIATAGKQASKYGAAVDPLAVLNMQVDAFAMRTEAARKHLTEAVKTATPKAAKALDLCDSLYLDVEDNLGAARRAIGFKDAVTIRAMMGMAAQDMQGCDEQFRKVGEKNPMDHFNRSLLEMSEICRSLSNMI >OGLUM01G10980.1 pep chromosome:ALNU02000000:1:9686606:9692087:1 gene:OGLUM01G10980 transcript:OGLUM01G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y659] MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKSRREESLLKKRREGLQAQVPVPASAATGVDKKLESLPAMIGGVYSDDNNLQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSSSDDVREQAVWALGNVAGDSPKCRDLVLANGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTRPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDAQTQCIIDHQALPCLLSLLTQNLKKSIKKEACWTISNITAGNKDQIQAVINAGIIGPLVNLLQTAEFDIKKEAAWAISNATSGGSHDQIKYLVSEGCIKPLCDLLICPDIRIVTVCLEGLENILKVGETDKTLAAGDVNVFSQMIDEAEGLEKIENLQSHDNNEIYEKAVKILEAYWMDEEDDTMGATTVAAPQGATFDFGQGGGAAQFK >OGLUM01G10990.1 pep chromosome:ALNU02000000:1:9692521:9693493:-1 gene:OGLUM01G10990 transcript:OGLUM01G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAMPAAVTHDDLSLRKAQERRAARSSGRAAVALVSLSVLCGIVGFILCLAAEGSRSEASHYLMTVGGGGANAAANNGQVDVCFYNSSGRAPLAFAIGAFLLLAVAMFAEHAYMLLAVAAPDSSAAGLAVAEGHPRVPSDPARLTWQTCCLFFVTWICFGLAEVMLMVGIAVESGHVSDWRKPRAVCHRVRPGVFAAAGILGLITVVVGFVVYVTALQTNKLRAQHPVGGYYVGHGAPHPGMPPPPMPYGPHPHPHPPPLPAATAPSAPEITPAACQVQPSRAACVTKQCADAV >OGLUM01G11000.1 pep chromosome:ALNU02000000:1:9699223:9700167:1 gene:OGLUM01G11000 transcript:OGLUM01G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHAHAAAGSPASTAPSSPTRRRCAGKDDVDDADDDGGGSEQFFFFSAPASPVHYILRSPPSSTTTTTAAAAHYAPGVDGDHGCGGGGGDFEFAARQHGAGNGAAAMSSAEELFVAGRIRVGCLSPIHQEEAGFGEQEEGCVDEGESGGQRPPPRRARSASPPRSPHLAKIAEPSDSFASSSSSSTSTSSSSSSSSAKSTRRRISLRDLLLGSTANSDFATGAAERSSGFWPPSIWPSSRSKKTATLALPCPCPCPPPLQPARRSTSSERSSAPPPPRRTTSLPYRQGLVLGCLGFGARSYGLANSMHPLSSR >OGLUM01G11010.1 pep chromosome:ALNU02000000:1:9701542:9703315:-1 gene:OGLUM01G11010 transcript:OGLUM01G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSGPAAFVNGEILKMFVGRRVRTVVQAQREEGGLLIGQSTDGHQLTIKGASGAPMSHYVEIIGIAEPNQAIRAEVCTDFGENFDPAPFNGLCKLANGQMKDLFL >OGLUM01G11020.1 pep chromosome:ALNU02000000:1:9706095:9706872:-1 gene:OGLUM01G11020 transcript:OGLUM01G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSMTWCWHRSFLLMMACVCSWSITCCKSDEAAAGAFHGQKRSTEDIVARAIICFSDRYIFSGCQGQYRLGPEGALRVPAAAAEAFCGGPCLAETRLVLGCVDGIMDSFRFYNGASVRDVRLALDRGCGLRGDFDVLRRLGGDGYDYGGGPRGLVTTPAPLLLGAVVAVLLWG >OGLUM01G11030.1 pep chromosome:ALNU02000000:1:9708749:9713500:1 gene:OGLUM01G11030 transcript:OGLUM01G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRFVRGISPAASAAPQPPPPPVAQRHHQQQPSRGVLHAPLLRLWPLGGGGGGGGGGGGERVGAVGGAVRGEEARSQRAAEAEADERKQGNWVLQMLRVQPQWAEAADAEAAGVTGAAREGGQEALTAGRDGDECCASCGGGDDEGCCGVEEDDESNGKVFDRATFSRLLRKVSLGEAKEFSKMSYLCNIAYMIPKITPKLLRRYNLRFVTSSVQEKAKTGVDQKQELKTEEGESSDQESEVVENPEPGSNKKKESGLGVNPFGAYHVMSSAASYLHSRAMGVVPFGSRNDGKNDPTIMAIVNGESGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFICDDDQGSTRYFVVQGSESIASWQANLLFEPVKFEGLDVLVHRGIYEAAKGMYHQMLPYVKSHLRSSGKSATLRFTGHSLGGSLALLVNLMLLMRGAAPASSLLPVITFGAPCIMCGGDHLLRKLGLPRNHVQSVTMHRDIVPRVFSCNYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEMFILQPDKRLSPHHDLLPPDSGIYHLSDSSSSSGTSLRRLRSAMSTFFNSPHPLEILKDKSAYGPKGAVYRDHDVNSYLRSVRAVVRKEVRRHRETRRGRWRRLLLWWPLGAHGALAGAGVLLDAAVEGGRETARQARAHARLLVVLLVPAKLLVIGAFLVVRLM >OGLUM01G11040.1 pep chromosome:ALNU02000000:1:9718936:9723476:-1 gene:OGLUM01G11040 transcript:OGLUM01G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAADKERFAESKKELDALLADDSLATVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLADSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >OGLUM01G11050.1 pep chromosome:ALNU02000000:1:9726120:9734694:-1 gene:OGLUM01G11050 transcript:OGLUM01G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQAVPIMKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >OGLUM01G11050.2 pep chromosome:ALNU02000000:1:9726120:9734694:-1 gene:OGLUM01G11050 transcript:OGLUM01G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGARASPVSVPLAAVPKAGGTYPPLTAHTPFQPPPAGPSLAGWMANAAAATSSVPSAVVAASSLPVPPNQESEQLMKRLRPSGHGVDEATYPAPIPQPLWSVEDLPRTVACTLSQGSSVTSMDFHPTRHTLLLVGSTNGEITLWEVGMRERLFSKPFKIWDIQACSPQFQSVAKESSISINRVTWSPDGDLIGVAFAKHLIHLHAYQQPNETRQVLEIDAHSGAVNDIAFSRPNKQLCVVTCGDDRLIKVWDMHGQKLFSFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDHMGSRVDYDAPGKWCTTMLYSADGTRLFSCGTSKDGDSYLVEWNESEGSIKRTYSGFRKKSAGVGVVQFDTAQNHILAAGEDNQIKFWDVDNTTMLSSTEADGGLPGLPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGNRPFEAFRSQYEASSMKVSGAPVVAGISPNIGRMDHIDRNSPAKPSPIMNGGDPASRSIDIKPRISEERPDKAKPWELMEVLNAQQCRVATMPETPDQASKVVRLLYTNSGVGLLALGSNAIQRLWKWARNDQNPSGKATANVVPQHWQPNSGLVMQNDTADTNPEDAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQRRITGLAFSNNLQILVSSGADAQLCVWATDTWEKKKSVAIQMPAGKTPSGDTWVQFNSDWSRLLVVHETQLAIYDASKMERIYQWIPQDALSAPISHASYSRNSQLVFAAFTDGNIGIFDVENLRLRCRIAPPAYLSSAAINSNPSVYPLVVAAHPQESNQFAVGLSDGSVKVIEPLESEGKWGTTPPTENGVPNGRTSTSSATSNPAADQIQR >OGLUM01G11060.1 pep chromosome:ALNU02000000:1:9749964:9750152:-1 gene:OGLUM01G11060 transcript:OGLUM01G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKPKALLAQSKQKKSPTQIGATTIITYIVLGALVVSSVYYALKYWQNRGPAAAEGIVGN >OGLUM01G11070.1 pep chromosome:ALNU02000000:1:9770746:9774061:-1 gene:OGLUM01G11070 transcript:OGLUM01G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily [Source:Projected from Arabidopsis thaliana (AT3G05620) TAIR;Acc:AT3G05620] MASTGALLLLLLFVLACGGNGGAAAAVFSGCSFESQEEAEAFEAALLQQACFNVTAFGGGGGGGGGEGGCVSRLDTARGGEGSGPVPVLRAAVRDTLGEAVGAVAAVAGLASLSNHAREEMAVRDCVELVGYSVDELGWALDAMADPDGGVAAAEEEEDETEPETRRRRRRGARAEDDIHAWLSAAMGNQGTCLDGFHGTDGRLLRRVESAVTQLTQLVSNLLAMHKKLRDITPQHQHQHHHHPGNNNNKNGTADGAAAGGDDTGPSSDLPPWVTDVVDDVEEEVTATRGRGKSSSSGRKAMRVDVVVAQDGSGRWRTVSEAVARAPSHSRRRYVIYVKRGVYEENVEVRKKKTNIVIVGEGMGETPEHGRRLDHLPERHAVALRVDSDRSAFFRIAVEGHQDTLYAHSLRQFYRDCRVSGTVDFIFGNGIAVIQRTTISTLPPAAGQNAGSVTAQGRRDPNQNTGFALHACVVEAKYPTYLGRPWKPFSRVVVMESYLGAGVQPRGWLEWDGDGGELATLFYGEYRNYGPGANIGGRVRWPGYHVIMDAAVAARFTVRRFIDGLAWLPSTGVTFTADLNRK >OGLUM01G11080.1 pep chromosome:ALNU02000000:1:9777907:9791047:1 gene:OGLUM01G11080 transcript:OGLUM01G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGAVEDGRAGGELGDNSRQNGVDDAELGETSRQKNGVDDAELGDNRRRENGGGRRSHAPGFEANKNDTLLN >OGLUM01G11090.1 pep chromosome:ALNU02000000:1:9796782:9798401:-1 gene:OGLUM01G11090 transcript:OGLUM01G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTRTPVKLLGREDASRSSLLLRSGLSDAALFSRLIPAPAPSSGRVRVRDSKSRWSDFSTPELASHRLRSARQQDSLLCFMPSSG >OGLUM01G11100.1 pep chromosome:ALNU02000000:1:9800899:9807725:-1 gene:OGLUM01G11100 transcript:OGLUM01G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGNARGLPRRGGGGRAGAAAAGAGASQAVASGVFQINTAVSTFQRLVNTLGTPKDTPDLRERIHKTRQHITQLVKDTSEKLKQASEADHRVEVSASKKIADAKLAKDFQAVLKEFQKAQRYNSSEVNNGADKLAEQRTALLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGQMIDDIDTHIENAVIATTQAKGQLSKAAKTQKSNSSLLDIELGAGVVRWTPEQLRTAAPATWRRSAGSWATTTGGRTALSPPAAAGGGRSHAPGFGANKNDTLLVVATLITALTYQLGTNIPGGYWQDDGAGDGGHAAGDPIMRDKHRRRYWLFMAASWAGFGSSMLLTLGLLTGVPSRSRAVQWPFLVSYSSLVLTFVTSQSGTSLAMDVLIWAAVMAVLAVGIKYRRLDRLRFLFCPPAP >OGLUM01G11110.1 pep chromosome:ALNU02000000:1:9808029:9815185:-1 gene:OGLUM01G11110 transcript:OGLUM01G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSRSAAACLVALLNARENIGFQDNNMQLYPTSSLQPRTTKGSASASEADLTRTDGPCRVGPGSQRGTP >OGLUM01G11120.1 pep chromosome:ALNU02000000:1:9814673:9821038:1 gene:OGLUM01G11120 transcript:OGLUM01G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQKPGVAEAEFGRDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGKPSASAGKRRIPAEMPNLKPPAGRAGGNHDGALPLAEQAEDGLDFQTLAAARADRSIDRGGALYEMAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPRHPRMSVLQAVRVLCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >OGLUM01G11120.2 pep chromosome:ALNU02000000:1:9814673:9821038:1 gene:OGLUM01G11120 transcript:OGLUM01G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQKPGVAEAEFGRGDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGKPSASAGKRRIPAEMPNLKPPAGRAGGNHDGALPLAEQAEDGLDFQTLAAARADRSIDRGGALYEMAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPRHPRMSVLQAVRVLCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >OGLUM01G11120.3 pep chromosome:ALNU02000000:1:9814673:9819434:1 gene:OGLUM01G11120 transcript:OGLUM01G11120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVRHRTVEVASGVRLHVAEAGPEDGPAVLLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPPGRDSYTVLHLVGDLVALIADVGQPRVFVAAHDWGAAVAWQLCLLRPDLVTAFVALSVEYHPRNPTRSPVQTLRAVCGDGHYICFFQLGECLSVTLVRSGLPGMTTTSLSAAAFPQCFPSGAAAFHERLCSSLLKVQRECCRGFDTVVTLGAWSIWKEKNSRVFNNCTQSWLTLLQLWRKRTPSGSLLIPRRPCFLDTSSKPGVAEAEFGRGDIKCLLKKFYGMRKAAPLIIPPGKTLFDSIDSDGTCPAWLSEEDISYYAEKFEKTGFTGGLNYYRCIDLNWELTAPWTGVPIKVPTKFIVGDQDLTYNIPGVKDYIHKGGLKACVPNLEDVVVMEGVAHFINQEKPDEVSDHICGKPSASAGKRRIPAEMPNLKPPAGRAGGNHDGALPLAGFAPCLPQTG >OGLUM01G11120.4 pep chromosome:ALNU02000000:1:9819274:9821038:1 gene:OGLUM01G11120 transcript:OGLUM01G11120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRHREVEVASGVRLHVAESGPEGGPVALLVHGFPELWYSWRHQMRALAARGFRAVAPDLRGYGDSDAPQGRDSYTVLHLVGDLVALIADLGRPQVFVAGHDWGAVVAWQLCLLRPDLVTAHVSLSVEYQPRHPRMSVLQAVRVLCGDDHYVCRFQKPGVAEAEFARLDLNHLFKMVFGMRKPATIILPQDKTFFDAIDSDGTCPPWLSEEDISYYADKFGKTGFTGGFNYYRCIDLDWELTAPWTGALINVPTKFIVGDLDLTYNTPGVKDYIHKGGFKANVPNLEDVVVLEGVGHFINQEKPDEVSEHICEFFSKFLM >OGLUM01G11130.1 pep chromosome:ALNU02000000:1:9823894:9825435:1 gene:OGLUM01G11130 transcript:OGLUM01G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLLSAVASDLIGRLISFLISKYQQPAAAAADGARLQRALLRARVILEEAEGRQVTSLAVLRQLRQLRWEMCQSAYALDALMIRAAAAASCRRRRRRRRCQPLLLSLGGDGDVATVVESLEAALGGAKELVVLLAGCPRLTRHPYDAYLFMERCMFGRQVEKEQVVDFLLRPACSSAGDPNPGVLPVVGGREVGKRTLVEHVCIDERVRQHFAKIHRLSSDDLTAAGDEDEYRRFGIDPSSRCLVVVDLVGNVDEEPWRRLCASVRRDSKVIVICRTAEHVARLGTAPRPVAIDRLRRPELWYFFRALAFGAADPEYLPAEMVAIAAKLFERNRDFAVFTSLNTLAALLRADMALHSWRRLARVLGESANLRLLSDAAHGGRRERYGEKEDLYICRPSMDAPHCLFYDRRKMPTPTPAGGGGERLPTVTMQDLLTGRVVPGVDAVRFDVLVWQSPIPPYCSYVRTCEMDRDRHVVVVAAASGGKRLEKRRQRATLDQEWNKKKREARWMNES >OGLUM01G11140.1 pep chromosome:ALNU02000000:1:9825500:9827387:-1 gene:OGLUM01G11140 transcript:OGLUM01G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQWQPPPLHPGVLEDLSQTCRRDHHCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDQPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPACDAAHQLEVAQRRERRDAVLAARRLAKLDIHAMDYPNKGVVV >OGLUM01G11150.1 pep chromosome:ALNU02000000:1:9832571:9833311:-1 gene:OGLUM01G11150 transcript:OGLUM01G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTRQNTWVKEDLQAMDLSSLCATGATGGKSTHVDAGGGDLGAEETKKRWSTYADGGGGSGVKKGEGGGDRRRSSDGEGGGDRRQRSEHGASISGHPQPPGDRIWRQGFQGDHHRCSGTKKRPPELRDEEDPPEISHIRQGREGRRCGDKRIWSRGAVPADGGRGGGRGPTAAAVSTAHGSDAADASSRASASARASPSAGTVGPRGE >OGLUM01G11160.1 pep chromosome:ALNU02000000:1:9859843:9860446:1 gene:OGLUM01G11160 transcript:OGLUM01G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSASSSIHPTIHPVLFYILAGPYILLMAYPRPSSKKRNQVHRLQDDIEKCHVLIEDNAAKLKDNEQNRVELQEQIDRCQSSVRFWKYLFWLILLGLVASNYIAPKMI >OGLUM01G11170.1 pep chromosome:ALNU02000000:1:9875488:9875931:-1 gene:OGLUM01G11170 transcript:OGLUM01G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTTKRRQRDWRRGFDGSELKIATMLWLDGEKAALVIFGLDEEADDKGLGAADLTTMMGSSSSWQEQRPEGDNGDGSDVLGSSGSEEMTTMEMERWLSRVDGEEGAPLLAEFGEGVDAVPSLEQGHKGDGQGPSPNEGPNPGIYTV >OGLUM01G11180.1 pep chromosome:ALNU02000000:1:9886851:9887651:1 gene:OGLUM01G11180 transcript:OGLUM01G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKPLVQVQPKKIEMWQWQPPPLHPGVVTMAKHKLQLEDLSQTCRRDHYCVRCVHAFCSHCCDDHHFVPLGSHIVIPIAGVDAATGKPVIPAHYPRRPDQPITDFVIGLINANDFAEEHPRDAYCMYCFMAFSTALCHHHHTCAADCVLRIVRSHDGRHCVRCTGDEPWFPYMESVLGDPVAVEEEEGDDGEVVAVLLLLPVLRRSSPTACVHCGGEVPKHMRRSVLCSPDCDGAHQLEVAQRRERRDAVLAARRLAKLHIDTV >OGLUM01G11190.1 pep chromosome:ALNU02000000:1:9889586:9891103:-1 gene:OGLUM01G11190 transcript:OGLUM01G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAAVASDLVGRLISFLISKWQEHRAGDDDGASSLQRALLRARVVMEEAEGRQVTSPAMLLQLRRLSWEMCRAAYALDALRIRAAAAAAASRRRRRRCQPLLLSLGGDGDVATAVESLEAALGGAKELVVLLGGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRFGIDPSSRSLVVVDVIGDVDEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGVKHLAMIAAVNTQAAALRADMTARSWRRIARAAIDAHGGRGEHGPVRDEDDSCYMCWPSMDAPHCLFYDRRKLTTWTPAASTTPTVTMQDLLTGRVVPGGGVDTPRFDVLVWRSPIPPYCSYVATCDMGRTHQESVVDSGGRKRFEKRRPSANLEHDEWLDKKRPMYNGS >OGLUM01G11200.1 pep chromosome:ALNU02000000:1:9902591:9904102:1 gene:OGLUM01G11200 transcript:OGLUM01G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAAVASDLVGRLISFLISKWQEHRAGDDDGASRLQRALLRARVVMEEAEGRQVTSPAMLLQLRRLSWEMCRAAYALDALRIRAAAAAAASRRRRRRCQPLLLSLGGDGDVATAVESLEAALGGAKELVVLLGGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRLGIDPSSRSLVVVDVIGDVDEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGVKHLAMIAAVNTQAAALRADMTARSWRRIARAAIDAHGGRGEHGPVRDEDDSYYMYRPSMDAPHCLFYDRRKLTTRAPAASTTPTVTMQDLLTGRVVPGVDTPRFDVLVWRSPIPPYCSYVATCDMGRAQQFVVASGGRRPFERRKPSGNLDHGEYLNKKRRPMYNGS >OGLUM01G11210.1 pep chromosome:ALNU02000000:1:9913385:9914899:1 gene:OGLUM01G11210 transcript:OGLUM01G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLSAVASDLVGRLVSFLIGKCQESPAGTRLQRALLRTRVILEEAERRQVTSLAMLMQLRQLRWEMCRAAYVLDALTIRAAATATRRRRRLRKPPLLGLSDSWGWGGGASNGTVVENLEAALGGARELVVLLGGYPRLSRQPYSSYLFMERCMFGRQMEMEQIVDFLLRPSCSLAGDPNPGILPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRSFGIDPSSRSLVVVDVVGHVEEEPWGRLCSSVRRENGDSKVVIICRTTEHAARLGTAPRPVTLDNLRRPEVWYMFRVLAFGGADPEDRPELVAIAAELFEGFPGYSMFAAELFEGTPGCAMIASVNTLAAALRTDMTARSWRRIARVLGDAQVGREHGPVKDDLYYMCRPSMNAPHCLLYDRRKLPTTTRTPAASTTPAVTMQDLLTGRVVPGVDTPLFDVLVWRSSIPPYCSYVATCDTGRRPFQRRKPSGNLDHGECLNKKKRPMYNGS >OGLUM01G11220.1 pep chromosome:ALNU02000000:1:9928852:9930274:-1 gene:OGLUM01G11220 transcript:OGLUM01G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPVAQLGKSSGAGDAATGGGGEVADAMDAFLVAESSPRSSSSAAKETGLDGTEGAHDGGAAGGEAAAGGQGGGGEVGPDGDGADGVQEEALVARCVVCRRRQVADEDEGSVCSVCLRRRMLSSVVCVDDRSAVADATAGVGMLYYCPSCDAFGRGGVHDHEVLVLGMFRGDWCAWLTGAERMAPIFLGIESDRLPSPFLGRQYLLQPSPGTRCRVCSDIASLDGLYSRLATLCTISCWAHADHAAAAAAHPWVLSLLEVGCSAQPEQLLDLFCTTCREAFLYGDCHCDDHHDHLLPLVFHSRMGLCVQISRGHWLWSVWESIADAELAADILHSSATSTRLIPIRGRTAHRCRWCQKRLLDGGGTTCSLRCRLSLPTLLPRALYSLPA >OGLUM01G11230.1 pep chromosome:ALNU02000000:1:9931725:9934465:-1 gene:OGLUM01G11230 transcript:OGLUM01G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLAAVASDLVGRLISFLISKWQEHRAGDDDGASSLQRALLRARVVMEEAEGRQVTSPAMLLQLRRLSWEMCRAAYALDALRIRAAAAAAASRRRRRRCQPLLLSLGGDGDVATAVESLEAALGGAKELVVLLGGCPRLSRQPYSAYLFMERCMFGRQMEKEQIVDFLLRPACSSAGDPNPGVLPVVGGPEVGKRTLVEHVCIDERVRQYFAKIHRLSSDDLMAAGDDDEHRRLGIDPSSRSLVVVDVIGDVDEEPWRRLCSSVRRENGDGKVVIICRTAEHAARLGTAPRPVTLDNLRRPELWYMFRVLAFGGADPEDRPELVAIAAELFEGVKHLAMIAAVNTQAAALRADMTARSWRRIARAAIDAHGGRGEHGPVRDEDDSYYMYRPSMDAPHCLFYDRRKLTTRAPAASTTPTVTMQDLLTGRVVPGVDTPRFDVLSDWDSGAAKAHR >OGLUM01G11240.1 pep chromosome:ALNU02000000:1:9934513:9937261:-1 gene:OGLUM01G11240 transcript:OGLUM01G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPHNNHAHHRSAPLTGNLHHCHHPRPTGAIGACLADCHRARPLPPSTSPPLRRSLEPGETHRAAPSLGRGLRRKPCRQPSGPAPSGDLLQRESPPSAKTRPSRAQGSSWTGYSLDRVLTVEIVYSTTAAVAVVDMWDRVHLSATATFSYLMYYSIKLTLVDSFRRTKISSLQP >OGLUM01G11250.1 pep chromosome:ALNU02000000:1:9937273:9943380:-1 gene:OGLUM01G11250 transcript:OGLUM01G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIFCVGGHDGDGDKAERLLSKNGHIFAPPPDDETELPLRLDVEEEESSRQLREATRWTHKWRPRHIRCRISNSRRRSTRSAPSPSYSAAGGAGCGEGFDELHLSGLIPPASSPPSGHTNPTPFSDSQPAATFAPLSPTKSAADEGGDIGLAPSPSRTATHQVRDAVGDKGRNGGLAPVTTAH >OGLUM01G11260.1 pep chromosome:ALNU02000000:1:9938902:9942178:1 gene:OGLUM01G11260 transcript:OGLUM01G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEARRVAGGGAALRASSDVDRKPAAAGSPPPGAPAAAGHKIQLKSADMKEEMRQEAFDIARVAFEKHTMEKDIAEYIKKEFDKNHGPTWHCIVGRNFGSYVTHETNYFVYFYIDSKAVLLFKSG >OGLUM01G11270.1 pep chromosome:ALNU02000000:1:9944176:9944487:-1 gene:OGLUM01G11270 transcript:OGLUM01G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSTSAAAAARALAARVTTTTRGYAASAASSAMRRAAAAVEGKGAAGMTQAKDGSSSAAAREVSWVPDPVTGHYRPSNFAGGADAADLRAAHLARSYARA >OGLUM01G11280.1 pep chromosome:ALNU02000000:1:9951673:9952017:-1 gene:OGLUM01G11280 transcript:OGLUM01G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPERAARITRFLKPYLLRMHFSNKYVSAQVVHTPTATVACSASSQEKLLRPNMESTRDVAAAAKIGKLLGERLLQKGIPAVSIHMKREQKYHGKVRAVIDSVREAGVKLL >OGLUM01G11290.1 pep chromosome:ALNU02000000:1:9955270:9963819:-1 gene:OGLUM01G11290 transcript:OGLUM01G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH type) helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G47680) TAIR;Acc:AT2G47680] MAMAEEKRVGEARAPLAVEALRGKIVEKVKGNRVTLIVGDTGCGKSSMVPQFLLEENMEPILCTQPRRFAVVAIAQMIAESRNCQVGEEVGYHIGHSNVSNLNSKRSRIVFKTAGVVLEQMRDKGIAALNYKVIILDEIHERSVESDLVLACVKQFMMKKNDLRLILMSATADITRYKEYFRDLGRGERVEVIAIPSSPRSSIFQRKVLYLEQIVDILKMDSESLSTKYCSGPNAAADAGLKPDVYELIHRLLLHIHQNEPDIGKSILVFLPTYYALEQQWIRLLSASSMFKVHILHRSIDTDEALQTMKVSKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDSAELVWVSKSQAEQRKGRTGRTCDGQIYRLVTGPFYNSLTDHEYPAILRLSLREQVLMICCAESRSMNDPHVKILTIRHLFLTALLQKVLDPPDSDVVEDALESLVQIRALDKPTSPRGRHEPTFYGCLLNSLPLSFDASVLALKFGDIGSIREGILISIMLDIQPLPIVQPFGHQQLCKMYRNNYFEEEGIDLQTGKKEAALVGNLCAFQFWQRMFKDKYRLDCLINVVNTHEPKASNGFVAKPEDEWCAFHNLVPTALNYISEIYDDIMGTLHQFRPSFLVKINPPMYLQPSEFHHMCLRHEVLELENVNSLPLEAENSHLDSHRRCSATPYVSPADFGTTTVVKTLKTLIKEMKTQSAADRVTYRELVHGYVQPALENEMCVFFLNGSCNRGDTCHFSHSSRAPRPICKFFLTLQGCRNGSSCSFSHDSGSLVSSSITSGICSQENRATSVCCKRLLPAAGDGHILVMNDKSLQFACKLCNYYDPTKIIACTPGPHSFESDSVTKGLKILQNLADPSYLFIGGEHKLSVPWTKLSRVFWFADIDSNESISEQVVLQKFFQHIAIKTLSEKMSDLQVIVIMNNAKFVQLQVERLARECFLFLGESFMFDEATLGWFSDTPNYPRGMQVSAPVAYIFSMHPPTGIQFGDYASELRKVLYSN >OGLUM01G11300.1 pep chromosome:ALNU02000000:1:9965465:9968796:-1 gene:OGLUM01G11300 transcript:OGLUM01G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G27720) TAIR;Acc:AT5G27720] MLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRRPPGVGRGRGRGDIGAKPGGRGIGRGQDDGGSKGGGGRGRGGIGGKGGIKGGGRGRG >OGLUM01G11310.1 pep chromosome:ALNU02000000:1:9972100:9972495:-1 gene:OGLUM01G11310 transcript:OGLUM01G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVALLAFLLAAASAASSASAAAATLVEGGVVGRAAVVMRRGGRTCRGTVGECMEYLGVDGEGEDELAAAATGKRRVLQGGSGYIGYDALRRDSVPCSQRGASYYNCQPGAEANPYSRGCSAITQCRG >OGLUM01G11320.1 pep chromosome:ALNU02000000:1:9979478:9980032:-1 gene:OGLUM01G11320 transcript:OGLUM01G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVKKRMLPEKKTTSAALLPVGRHLGSLDKDGEERKREGEEDWFADV >OGLUM01G11330.1 pep chromosome:ALNU02000000:1:9981201:9981530:-1 gene:OGLUM01G11330 transcript:OGLUM01G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVEKQQATAGTAVAGGRRKALVHTPSGQVVSSYAALEARLTALGWERYYEDPSLFQFHKRGSLDLISLPADFSAFSSVHMYDIVVKNRDSFRVVDA >OGLUM01G11340.1 pep chromosome:ALNU02000000:1:9989088:9993816:-1 gene:OGLUM01G11340 transcript:OGLUM01G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAAAEGGGGGGGGGGGGGSTDTGLEESMWRMGLGGGGGGGGEAVAAGRLPERPGEADCVYYLRTGACGYGENCRYNHPRDRAAAAVLNGGGKTTHSAEYPERPGQPVCEYYMKNGTCKFGSNCKYDHPREGSVQAVMLNSSGYPLRSGEKDCTYYVKTGHCKFGSTCKFHHPEIGGVSETPNMYPPVQPQPISSSHPYQHLAGWQMGRPPVLPGSFLSGSYPPMMLPSTVVPMQGWNPYISPVNQVASAGGHQTVQAGPFYGLSHQGPSAAVTYGSQYAPLSSSTMPSSSSKQEPAFPARPGQPECQYYLKTGSCKFGSACKYHHPQYLNTPKSNCMLSPLGLPLRPGSQPCAYYTQHGFCKFGPTCKFDHPMGTLSYSPSASSITDLPIAPYPLNYAVAPVAPPSSSSDLRPEYLLTKEFSANQSASPGTTCGPAGAMLKAYAPHMLIRPQTSGAGGMVTTHGGEL >OGLUM01G11350.1 pep chromosome:ALNU02000000:1:10002748:10006513:1 gene:OGLUM01G11350 transcript:OGLUM01G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLAAASVQCPMPGTQQPATCGRYLCTLQAGMWIDHIVMIGSIPKDRLAELTNVWRAPVFGHGQDTIKTKELATCVDHVLEKMAF >OGLUM01G11360.1 pep chromosome:ALNU02000000:1:10010146:10010696:-1 gene:OGLUM01G11360 transcript:OGLUM01G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVEAAQHTVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRSRFMNCSSSPATVAASCNMAHPDCAPAVFAVVPGKMCRFRIASVTSLFVECAIDATAAVVPPRVRGCGRFGAYFSWRPARCTLDGADVGFTYDSDTRRTCSQWGPHWINLSQGQTGL >OGLUM01G11370.1 pep chromosome:ALNU02000000:1:10010739:10014251:-1 gene:OGLUM01G11370 transcript:OGLUM01G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGLGGRGPAAAAAEGRGEGMWRRRRRRRRGADLDRLTVGEVELVGVVRKVASDEVRRLIRSISAESSGGTATFNLALHVLDYVRKLAVTINGHTLGPTIHAVQGDTIVVNVKNSLLTENVAIHWHDIRQIGTPWADGTEGVTQCPILPGDTFIVDRPGTYM >OGLUM01G11380.1 pep chromosome:ALNU02000000:1:10014010:10015408:1 gene:OGLUM01G11380 transcript:OGLUM01G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGSVSTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAACAAGVGAGMLLEVWINKKIKEDSAIVWEMGK >OGLUM01G11390.1 pep chromosome:ALNU02000000:1:10017040:10021580:-1 gene:OGLUM01G11390 transcript:OGLUM01G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHAAAAAAGGGEGEGGASPDTGLEGPMWRMGLGGGGGGGGGGGGGDGDAAGRLPERPGEEDCVYYLRTGACGFGDRCRYNHPRDRGGTEFGGGARNAAALDYPERAGQPICEYYMKTGTCKFGTNCKYHHPKQDGAVLPVMLNNSGFPIRLGEKECSYYMKTGQCKFGTTCKFHHPEFGGVPMTPGIYPPLQSPSIASPHPYASLANWQMGRPPVVPGSYIPGSYTPMMLSSGMIPLQGWSPYPASVNPVVSGGAQQNVQAGPVYGMGHHGSSSTIAYGGPYVPYASSTGQSSNNQQEHGFPERPGQPDCQYYMRTGDCKFGATCKYHHPRELSAPKSGYMVNSLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSPSALPLSDMPIAPYPIGFSIATLAPSSPSPDLRPEYISTKDQSVNQVTSPVAASEPVGSILPKGVFPADTMMRAQTNTTSGGSSSPGGGR >OGLUM01G11400.1 pep chromosome:ALNU02000000:1:10043832:10048490:1 gene:OGLUM01G11400 transcript:OGLUM01G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLACLFPCPQEVRDEDEEPRSGQRVSSVEYSKSSESCPLKTEGSIDIVGIRRNKGHGEATIFTLRELADATNNFSTECLLGRGGFGSVYKAFLNDRQVVAVKQLDLNGLQGNREFLVEVLMLSLLHHPNLVKLFGYCVDGDQRLLIYEYMPLGSLEDRLHDLRPGQEPLDWTTRMKIAADAAAGLEYLHDEAIPAVIYRDIKPSNILLGEGYNAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYLSTGKLTIKSDIYSFGVVFLELITGRRALDSNRPPDEQDLVAWARPLFKDQRKFPKMADPSLHGHFPKRGLFQALAIAAMCLQEKAKNRPSIREVAVALSYLASQTHESQNTAARHTLPGPSVPRVLDNQINQDTSLPSQHGVHMPPLAGTDHMVQEVKENCRSSSHRPGRGRVTPNGADRERALAEANVWVEAWRRQEKTSKMR >OGLUM01G11410.1 pep chromosome:ALNU02000000:1:10053520:10060772:-1 gene:OGLUM01G11410 transcript:OGLUM01G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT5G18700) TAIR;Acc:AT5G18700] MNNFHVYEAIGRGKHSTVYKGRKKKSIEYFAVKSVDKSQRSKVLNEVRMLHSLDHPNVLKFYSWYETSAHFWLILEYCVGGDLKGLLEQDKKLPENSIHDLAYDLVKALQFLHSQGIIYCDLKPSNVLLDESGCMKLCDFGLARRLKDIEKTNPGDVPQPLKGTPCYMAPELFQEGGVHSYASDFWALGCVLYECYSGRPPFVANEFTQLVKSIISDPTPPLPDNPSRSFQNLINCLLMKDPAERLQWSELCEHHFWRSRMSIIPLPPQPAFDNMVDLSATPYLVERNGDKPSRQSTPPKPRDGLRKKDENSAKVFTPVKNVLSGKKNNAKPSCKADGLKGVNILRMSRVAKRNLQREKDKENYRRHPAEASENDTEVKIENNDMELDFGENPEGDAPDDNDGSDNPGSAEDEKLSTQGTDGNEENCMSNQMDMLTDEGPVKAETMIKTEQNCSENLDVVATPPSICMRKAQRAKTTSGAAAGSEPSDISAAFWHPTDLAVKPVMPGRKGDKAVETVPMLPFEALPAVDYIKLPREQMNAFNSQILQSLSGTFQVSEKQNIIKYLEMLSINSDAANIITNGPIMLLLIKMLRLSKTSVLRVQIASLMGLLIRYSTILDIELASSGIFNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINAQESPLKDNRATASWQVPSSVIALVSSILRKGEDDLAQLYALRTIDNICSQGTDWTSRFASQDARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRILPSLSVLYKGNKDGDARFLCLKILSDVMIVIFSDSSLTSNEQTVSDLEKISQKYFLPMYPSFAEDEDPIPIYAQKLLVMLMEHDYVKVSDILNEATVSRCFEFLLGDLSNANVSNVKLCFALASAPDMDTDILSQLQVVRRIGNLLEFVTAKDMDDFLEPTLELCRAFIIRGIGSDKFVALSKEPALLVDSAFSMSIAVDQQSCVMDICDFGGNMGIFLDLVGSSDPHISDLASDCLVLLLKAAPREATVGLLTNLPKLSVVLDLLKHGTCLRLTRLLYCLAFSCRQYLAQGMIVSISLSALMRVEALVSTFKGSHDGRLADAASYLGAELQRLPRCG >OGLUM01G11420.1 pep chromosome:ALNU02000000:1:10062070:10068785:-1 gene:OGLUM01G11420 transcript:OGLUM01G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoadenosine phosphosulfate (PAPS) reductase family protein [Source:Projected from Arabidopsis thaliana (AT5G03430) TAIR;Acc:AT5G03430] MEIDRAVRGSSDRRLRTKYDNAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLLRAGYYLHKSSSDGEVEMNTIQNCPVRTIYFESPCAFPEINSFTYETVSTYGLPLETIHSDFKSGLEGLLKERPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRYTSIGSIYDTVPNALLSDSTTGKSFRPAYMLSDGRLERAGRTKKNISSVSSNGTNSTEVEQTISRSASIIVVGDEILFGTVEDKLGAGLCKKLHAIGWRVSHVAVVSNEIDSVAEEVERCKSTDDMVFLVGGLGPLHSDISLAGVAKAFGVRLAPDEEFEEYLSQLIGDNYTGDRNEIKCKNVVILAATNVDELETEWGCLLDTQESGLVMAKSFVSKHLCTSLLDVKIAPVVAKLCIDFSDLYIGCYRISRSGPLVVSFLGKDNQRVEAAAEKLTNSFEGQFSQVDSCK >OGLUM01G11430.1 pep chromosome:ALNU02000000:1:10069361:10074862:-1 gene:OGLUM01G11430 transcript:OGLUM01G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSSPPTEDCAAHLSLLLVVAAAASEVPNSNQQDDNVLTEGVSQMERIKGLAEQRKLTEKRKIHGI >OGLUM01G11440.1 pep chromosome:ALNU02000000:1:10075016:10081090:1 gene:OGLUM01G11440 transcript:OGLUM01G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIEESLRGREHDQERTWRGKRGRMGTGESGQARTKSSTRRSVAGRASSPTPESKRATQCSAACSPNFRRAASSSPRCSAMGVTGNSTSTFLALAAAAASDLGEATSRARNSPISFCFAEQMRWRRQPRTVRRQSAAGTQTTARTTSSTTVKRKQQQTADGAFLLIRPRRWPIRLLPPRPRAGLSHAVAAGGAPLPATAVAGEATLADNADDEARVLLPRARSRRRRQG >OGLUM01G11450.1 pep chromosome:ALNU02000000:1:10082486:10091704:-1 gene:OGLUM01G11450 transcript:OGLUM01G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRKFNAREANGSRSYYCNLIIKDWTYTCSIAAHRSIDCSDKIDERDTNTTYVTRSIARGDGGHPSTGSGRGDGRAAAVEASAEGCPWWRQARRVAADCKAAHGRAAVARCKTALDRVAAGRKRPASKNCR >OGLUM01G11460.1 pep chromosome:ALNU02000000:1:10091813:10093330:1 gene:OGLUM01G11460 transcript:OGLUM01G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G56310) TAIR;Acc:AT5G56310] MPPPSPPPPPPSLPPDLHRVLSLLPRLASPRHLLQAHAYLLPRGGHRHARVASALLLASLRLPLRDHAAALVRRVHPSVSLRAAARLRGRGGGGLAAQLHSLLVRAGHAADPHASASLVQAYCSCGSVASARRVFDETAASADVVSWNVMIDGYVKSGDLARARELFDVMPGRNVVSWTMVIGAYAQMKQPEEAIEVFRRMQVEGIEPDGVALLSVLSACGDLGVVDLGEWVHRFVLRRGLCQEIPLMNAIIDMYVKCGSVKKALEVFEGMEQKSIVTWTTMIAGFALHGLGSEAVELFRRMEKENVSPNDITFLAVLSVCSHVGLTDLGRWYFKTMVSQYKIKPRVEHYGCMIDLLGRAGCLMEARGLVQDMPFKANAAIWGALLAAARTHGDTELGEQALLHLIELEPHNSGNYILLSNIYAEQERWDAVRELRISMRDRGLRNVPGASSIDVDGMVHEFTSRDGSHPSLHKIREVLCAINSNIKSVGHIALLPESLHDVEEG >OGLUM01G11470.1 pep chromosome:ALNU02000000:1:10095376:10099951:-1 gene:OGLUM01G11470 transcript:OGLUM01G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55550) TAIR;Acc:AT1G55550] MRNLPDTLSSLMGFNKHLTPSWIESVSHIIDGLSPTKPQMKVMVEKDENISDDNTESEAKVQKIQDELVSLNAQLKQITLQRREALNNYLDLKGNIRVFCRIRPFHHEESYSSRNLFTLDESNVFLKVAETKRKQYKFDKVFNQFSTQGDVFSEVEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTNLGVIPRGIQTLFNQASECNNRFLFTFSMLEIYMGNIRDLLAPRSKTNELKNVPSLSIKSDPDGGIEIEDLVAVTVNSFQEVKRLYEMGTRLRSTASTMANSTSSRSHCLIRISLTSLNATERRKATSKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKPHVPYRNSKLTQVLRDSLGCESKTLMLVSISPDEGDLCETICTLGFATRVRSIRLESEEPPEMKARKETLLIDLGQKVNDLEHECEDIRRKIKNLEESMEHLTGPQPTIYSNFDMSHLSSEELKTDVSSNVRNSKNRREASSRLPRFMKPTASSQHRIGLNNRTPIINRLKPPVPPRRRPSSVYAESVMVPVNAAPWQSECSSECSMSLTSDMNWTPSIRDGTECSQDASEYEIKQVIFSEHEKSSHDQVTCYTDYPLAESRDIQIKIEGKGIVDIDNWLHQQIVEKTSTFRSKMVLDIPGVTEAEIHVSSIPSPTTMACTKEDSQVKDEVMGLTLQSSTDYVEDIKQSKTDNQFTAKELCTPPFKEFCSNNEVKGHKNEHPVYHGRPRRSLQEELENCTLEKPNMDSKSHRSHDDKHKTGNVLSYTGE >OGLUM01G11480.1 pep chromosome:ALNU02000000:1:10100063:10102899:1 gene:OGLUM01G11480 transcript:OGLUM01G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTISIRIRRYLQLVPAQASLPYSVKCLRTARARRMLGVGAASGERIHCLNPSWGSKVSITSQEKGHRVKQGGSGDELMIDYIPWHMATTFNGWMRCLANENHSRSAVIRKGSPTMCHAELFSEATGGTVA >OGLUM01G11490.1 pep chromosome:ALNU02000000:1:10110078:10113986:1 gene:OGLUM01G11490 transcript:OGLUM01G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGALASTIVRQVLTKFGSSVWDELALLCTFRADLAAMEAQFATIRAVLADAEARGGAGGDAAVRDWLRRLRDVAHDIDDFLDACHTDLRRGEGGGDCSVCGGLTPRSFAMAHRLRSLRRELGAVAASKDRFSLSPDARPPASRQLPSVPPMRETISMVDEAKTVGRSADKERLMRLVLDAAGDDDDDDDDGVSVIPIVGIGGLGKTTLAQLAFNDRRANDEVFDPRIWVSMSAGFSLATLVQAVHPIVAAPSERCDLATTTTTNLEAIARFLSMAFTGNKYLLVLDDVWSESHEEWERLRLLLRGGKRGSKIIVTTRSRRIGMMVGTVPPLMLKSLSDEDCWELFKRKAFEEADEELYPKLVRIGKEIVPKCGGVPLAAKALGSMLRFKRNEESWIAVRDSEIWQLDKEETILPSLKLSYDQMPPVLKQCFAYCSVFPRNHEIDKGKLIQQWVALGFVEPSKYGCQPVSDKADDCFEHLLWMSFLQEVDQHDLSKKGLEVDGRVKYKIHDLVHDLAQSVAGDEVQIISAKRVNGRTEACRYASLHDDMGSTDVLWSMLRKVRAFHSWGRSLDINLFLHSRFLRVLDLRGSQIMELPQSVGKLKHLRYLDLSSSLISTLPNCISSLHNLQTLHLYNCINLNVLPMSVCALENLEILNLSACNFHSLPDSIGHLQNLQDLNLSLCSFLVTLPSSIVTLQSLHLLNLKGCGNLEILPDTICSLQNLHFLNLSRCGVLQALPKNIGNLSNLLHLNLSQCTDLESIPTSIGRIKSLHILDLSHCSSLSELPGSIGGLHELQILILSHHASSLALPVSTSHLPNLQTLDLSWNLGLEELPESIGNLHSLKTLILFQCWSLRKLPESITNLMMLESLNFVGCENLAKLPDGMTRITNLKHLRNDQCRSLKQLPNGFGRWTKLETLSLLMIGDKHSSITELKDLNNLTGELRIECWSHKMDLTTAAKRANWRNKKKLSKLTLLWTIPCSADDFENVETFLEVLVPPENLEVLEIDGYMGTRFPSWMMKSMESWLPNLVSLDLSNIPNCSCLPPLGHIPYLQSLHLRYMAGVHSMSSEILVKRQKCVLYQSLKELHFEDMPNLETWPTSAATDDRATQPEGSMFPVLKTVTATGCPKLRPKPCLPDAITDLSISDSSEILSVRKMFGLSSSTSASLLRRLWIRKSDVSSSEWKLLQHRPKLEELTIEYCEMLRVLAEPIRYLTTLRKLKISNCTELGALPEWIGDLVALESLQISCCPKLVSIPKGLQHLTALEELTVTACSSELNENCRKDTGKDWFKICHIPNIVIS >OGLUM01G11500.1 pep chromosome:ALNU02000000:1:10116966:10117820:-1 gene:OGLUM01G11500 transcript:OGLUM01G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGIRSFCHGVDSTSTTMQRRLVGADAASSSFLTVPTSTASSVGVAESEAAAAVTLEQMILQLDLEEAAARKAQQQQQPRRASCVNSSDGRVLRSARDALSQYPRFSLDGGRDAMYRASFSDHHHYYYHDAALASSSSGHRRSPPPCRGMPPTVAGESVVWCKPGVVAKLMGLDAVPVPVRGGGQRRGGPAATAGGRRKASGAPPLASVIAGGGGRKRRGRRTGREEEEEELEKERLFMALHGYDVAVARACHAGALHPSVAPNVSGGRGAEDGWGFRLPH >OGLUM01G11510.1 pep chromosome:ALNU02000000:1:10118841:10119574:1 gene:OGLUM01G11510 transcript:OGLUM01G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPFEVYKEDVMPVMKEEKIFRDEALRLFLEEWIDARCKMEDKLDRELEKYRSSKGEGFPRRQRMPPRQPPLTLRRHPPTPKTSLPMMPTKCSTASFNDGCARMAASSSHTNKVPIPTVTLELGYGEDKAHTPCIDTTDCSKETHAKCLMAALNVNGGSNQAVVAFLTMTDMFKIIPTYVEPMDIFSARSTIDHKENIPMPHRRRMHLMVNGLAECLLASSSPSSSLFPYSPSLVGRAAV >OGLUM01G11520.1 pep chromosome:ALNU02000000:1:10129284:10134086:1 gene:OGLUM01G11520 transcript:OGLUM01G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >OGLUM01G11520.2 pep chromosome:ALNU02000000:1:10128986:10134086:1 gene:OGLUM01G11520 transcript:OGLUM01G11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin-rich alpha 1 [Source:Projected from Arabidopsis thaliana (AT2G32080) TAIR;Acc:AT2G32080] MDGGGGGGGGGGVMAGPGVAGGGGGGGGGGVGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVAGVAWFLDLFDYYIRTDERDAFSKELRLDTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMTGANVRTVESSQR >OGLUM01G11530.1 pep chromosome:ALNU02000000:1:10138357:10144090:1 gene:OGLUM01G11530 transcript:OGLUM01G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGTYDPTPTMAKPHAKEIPN >OGLUM01G11530.2 pep chromosome:ALNU02000000:1:10140903:10144090:1 gene:OGLUM01G11530 transcript:OGLUM01G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKRSSFLLVVVVFALLLLTSMAAGGRKMLINKHQVQSMETSDDESMHQGQEDDEMLAMVHERILRQVKTNDYGTYDPTPTMAKPHAKEIPN >OGLUM01G11540.1 pep chromosome:ALNU02000000:1:10154475:10163309:1 gene:OGLUM01G11540 transcript:OGLUM01G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANCCIAAKERPQPCVTPIEVSAFRNVRHSPSWSFRWDNRTHIEDIMEMPALFSNHSSGSIRPETKSGSIAPTDGFSNGGSPSDMFNKLKCHKSDRKRESSKIARSDLRGRSTTSNSSPEAKLSRKSLDTVSVASDSKMSISVPSTPPAISRADPSSSSRGHSLPTDADSMRKARRSPGYQLYRQVSDSKIPSLRSLNEGASPEGRPSSSMLSVCSNDLSAVGSHGESSDGWSMRTFSEMVASSQRERWSVDSELLGSVSSKMTRSNASNNPTTHSPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSLTAEADKYDPPCPVCTHGEKCTVKLFGKLESKTKNKIPKNVIVDVNLDGSSKHQKESRREPRLGTSSSLKGSFSRPFLRRHFSIGSRPSQSISESESARKKGFWARHWRE >OGLUM01G11550.1 pep chromosome:ALNU02000000:1:10161213:10164370:-1 gene:OGLUM01G11550 transcript:OGLUM01G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRDMVLPPGFGFHPKDTELISHYLKKKIHGQKIEYEIIPEVDIYKHEPWDLPAKCDVPTQDNKWHFFAARDRKYPNGSRSNRATVAGYWKSTGKDRAIKMGKQTIGTKKTLVFHEGRPPTGRRTEWIMHEYYIDERECQACPDMKDAYVLCRITKRNDWIPGNGNELDNSDPHPEPYDAPPSVISTEQLNPAAEPVVGVEAAPVTVAEPDGVTTSAITANIPSPSDDINLDDWLNELFDPFFDPEQSLASADLSPDEQNVESSNLGALAPKVEQDYSSPNENVVDDTEYLLPEDVYNILHPGTDDFNMLQNPLDQYPIQYATDVWSGIQKEELWSPQANAEPSQSNEAADNGIIRRYRSMKTPETSVPQFKGKTQAKMRVGINKMATSSSESINQTIKFENSGRLVEHQKNQAHDVASTKRSDAGKPSTELSSNRGFLRGIRNAFAGCSDARWNMILVAGFAIGVAVVALHIGQRLGLSQRDQQHT >OGLUM01G11560.1 pep chromosome:ALNU02000000:1:10172134:10175788:1 gene:OGLUM01G11560 transcript:OGLUM01G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19690) TAIR;Acc:AT1G19690] MALPRGVRSPKPMAAAAASASPPQPRPGHMLVLGTGFVGRYVSQLLLAQGWRVSGTCTSPAKKTELEMLGMDASVFDATSSRCCFFFFFLLFLFFVPSSLTNLRSLQDATHLLISIPPIPGIGDPLLSSHSNLQTTLSNSNLQWLCYLSSTSVYGDCGGAWVDEDHTVNPKTESVKLRYAAEKGWLNVIDDLDLSAFIFRLGGIYGPGRSAVDTIAKSKSLSRRQKSRESKQYTARIHVADICQAILASMSIRSARRIYNVVDDDPAPRSEVFAFARSLVERKHPGLIMDSVVLPATQDRIVAAEKRVSNARLKEELGVKLLHPTYKSGLQSILDSWSVESSFSNRNVDV >OGLUM01G11570.1 pep chromosome:ALNU02000000:1:10179665:10181149:-1 gene:OGLUM01G11570 transcript:OGLUM01G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCINGGELQVRVVSRRLVQPSDESLRPHVLAVSNLDLLPQSIQVSMICIYPNPPTSAGGFHDVVAAFAAGLPSLLNHFFPLAGRIAVNPCSGLPEIHCYNQGAELVVGEADVALASLDYGRVGESIQKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSMLVGSWSELARSGTLAAGARPNHDRSVFRPRATPSYGASLDEAFTPLDGDGERQINVLTRDQSLVRRLYYVEAADIARLQEMASLPAADGERATRVQAISAYLWKALAGVVRERDARCRMGWWVDGRRRLASPELRAATRNYVGNVVAYTVAEATVEEIQRKPLAEVASMARRAIAAPAYDEHFQELVDWVEEHKAPAPEQEQQRYVEAATVGLGSPTVSVTAFAPSLAADTDFGYGHAAMALPASSSSGARLCSGFVRIIARPGGDGSCFASVLVWPRLAAALEAGDGERLGRIFKPVTAEYLGLRPASGSSAKRAGMITSKI >OGLUM01G11580.1 pep chromosome:ALNU02000000:1:10188575:10190014:1 gene:OGLUM01G11580 transcript:OGLUM01G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCINGGELHVRVVHRRLVKASDESIRPHVLAVSNLDLIPRTIQVSMFCIYPKPSTGGDFHDVVAAFAAGLPSLLNHFFPLAGRIVTNPCSGLPEIHCHNQGAELVVGEADVALASLDYGTVGTSVGKILLPYAGDVALSVQVVSFACGGFTVAWGTNHVVVDGSALSMLVSAWSELARSGTLAAGARPNHDRSVFRPRSRPSHGASLDEAFTPLDGARQVNVLTSDESFVGVRLYYIEAADIARLREQARATRVQAVSAYLWKALAAVVGARDARCRMVWWVDGRRRLTLSSSPELRAAMRSYVGNVTTFAVAEATVEEIQRKPLAEVASMARDAIAAPAYGEHFQELVDWVEEHKARKQRYIDTASVGLGSPAVSVTAFASFEVDTDFGHGHAAMALPTSSSSARLCTGFVQIAARPGGGDGSWIASALLWPRLAAALESDERLGRIFKPVTAEYLGLRPASGSSAKRAGMITSKI >OGLUM01G11590.1 pep chromosome:ALNU02000000:1:10200470:10201556:1 gene:OGLUM01G11590 transcript:OGLUM01G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVNAAGDLHVRVVSRRLVQASDESLVPRVLAVSNLDLLPQSMQVSMLCVYPKPSSIAGGFNFDDVASSPPSHFFPFAGPVVANPFSGLPEIHCNNQGAELVVGEVGVALASLDYGTMGASLRKIQLPHGKDVPLSVQVAAFLAGTVDEDPPLEPRRPKRAHATGLAIHTAGFAP >OGLUM01G11600.1 pep chromosome:ALNU02000000:1:10215176:10220701:1 gene:OGLUM01G11600 transcript:OGLUM01G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVVEGTTMVGWVVSGVAFWWTAFLLARGAFPKRSYDFCNRAVSAAHAAAAVSLACLSVADWSRPLSPLAAASSPPQMKALAVTLSYMVYDAACCYLNDDVRVDNTVHHLVSIVGIAAGLAYRRCGTEMVASLFVTEISSPLLHLREILKEFGIKDTDLNLLVDILFAVIFSVARMGFGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRHKLGKKRPAPKVAGD >OGLUM01G11610.1 pep chromosome:ALNU02000000:1:10217829:10223244:-1 gene:OGLUM01G11610 transcript:OGLUM01G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSAAAASGGGRGPAHHHRTRLLLLLLLAVAACASTAGFLLRGAMLDPCDIDARRGSGSSAAAVATTRTGAVAGNPLEFMRSKLVLLVSHELSLSGGPLLLMELAFLLRQVGSQVVWITNQRSEETNDVTYSLQHKMLSHGVQVLPARGHEAIDTALKADLVILNTAVAGKWLDAVLKDHVPQVLPKILWWIHEMRGHYFKLEYVKHLPLVAGAMIDSHTTAEYWKTRTHDRLKIQMPQTYVVHLGNSKELMEVAEDNVARRVLREHIREFLGVRSEDLVFAIINSVSRGKGQDLFLQAFYQGVQLIEQKKLKVPTMHAVVVGSDINAQTKFETQLRDFAVKNGIQDRVHFVNKTLAVAPYLAATDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIVVDGSTGLLHPAGKEGVAPLAKNMVRLASHEEDRVSMGRKGYGRVKEMFMEHHMAGRIAAVLQEVLKKSREHSHS >OGLUM01G11620.1 pep chromosome:ALNU02000000:1:10224325:10231960:1 gene:OGLUM01G11620 transcript:OGLUM01G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAMFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >OGLUM01G11620.2 pep chromosome:ALNU02000000:1:10224325:10231960:1 gene:OGLUM01G11620 transcript:OGLUM01G11620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAMFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >OGLUM01G11620.3 pep chromosome:ALNU02000000:1:10224325:10231960:1 gene:OGLUM01G11620 transcript:OGLUM01G11620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAWQTFQYGDLVHCETTFEKMGRTSQVYNGLTQLGFEQSHHEFSFQGEQSHVSLYLSAMFSLSGLVGKPSLKVNPVKGLDVTLTGSGTNGAMPTTLSPTILNVIWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGHIQEKESNPMRGWATFGIISCIFIVLSSLLCCGGFIYKTRVQHQSGLYALPGMTILSAFLDAVGGPSYMRADDHGGNHASQASWERMPGTSQAAEHGTKDRRYGSL >OGLUM01G11630.1 pep chromosome:ALNU02000000:1:10232766:10233769:1 gene:OGLUM01G11630 transcript:OGLUM01G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAVGAAANGVAAFFGNTLFAPFRSLLDVSCDGVCAGTWDAFCFIDHLCALSLGKLILFLVLSYLMLLVMWKLGGKCVLKSACKTAMAACSCCCHAMAAAPCYLWRALRSTRRVRRGRRDDDVEEGRGGGGGWGGSSSGFGWSSNEEEEEEEEGGSSSTGGGEYGGGRRRHGRSGGGGGGGGGARKQERMRRSLRLRPASFKEKAVATAARRSRSSHGHGESGGGGGGRRLRRVGSSSRRM >OGLUM01G11650.1 pep chromosome:ALNU02000000:1:10247791:10256755:-1 gene:OGLUM01G11650 transcript:OGLUM01G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPPAPSCWCPVAAAAAAGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIITYNSVINGLCKVGHTKKAVEISEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLEETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDSCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQNIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAVYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVGTNVKASTAGVLGGIACSEGEDVGAGDGARAGCFEGGLDLVDDLETPEGVHVRATLQVLIS >OGLUM01G11650.2 pep chromosome:ALNU02000000:1:10249533:10256755:-1 gene:OGLUM01G11650 transcript:OGLUM01G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPPAPSCWCPVAAAAAAGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIITYNSVINGLCKVGHTKKAVEISEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLEETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDSCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQNIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAVYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVGTNVKASTAGVLGGIACSEGEDVGAGDGARAGCFEGGLDLVDDLETPEGVHVRATLQVLCQTEHH >OGLUM01G11650.3 pep chromosome:ALNU02000000:1:10247791:10256755:-1 gene:OGLUM01G11650 transcript:OGLUM01G11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWATASAVFSTCCGALCGGASPATKSASSRRSAPSRMSAMSRPARPSRSIACATCFGGASPPAPSCWCPVAAAAAAGAATTADACACCIFLFSPSRFRLETPHLNKPISKQAQIQYKPISIQAQIQTSSYPNSAHAIGFQRFERLRFASHAAAAPPPPPSAMPLAGGEPRPPPPLPSLVKLGRAVTPRRVDILLAALLRRRRHRLVGALASQAVANSVRPTARTHLLVASALLDSSRPRDAAARLALAGPATSSARRLWDALLRRACAVRGDARRALEVLSAGVEECGLVFSPSTYRVALTMLCARGDMETALKVFDVMAAAGCQVDDRVCSVIISGFSKVGKAGDGLEFYRRVRREFNGFEPGLITLTAFVDVLGRVGRTTEAAQLVREMEGKGLVGDAVLYGSLVHGYMSSGLLMKGLREHRVMLDKGIAADVVSYTTVIDGLCRERSVEKVMGFLDEMNRRDAKPNLITYTSLIGGFCKRNRLDDAFSIVRKLEQTGVVVDEYVYSILIDNLCKKGYLDRAFSLLEEMDKKGIKIGIITYNSVINGLCKVGHTKKAVEISEGIAADNFTYSTLLHGHIKVEDATGVMAIKGRLESSGVTIDVVTCNVLIKALFMIKKVDDACSLFLRMPEMRLRPNIVTYHTVIDMMCKLEETDRALQLFDDYKEDILFSSTIVHNCLIIALCNGGKVNMAEQIFNDLIQRNLRPDSCTYKKLIHTHFKEGGEHGVLNFILKVDGSEIDLFSSLCNYASAFLSTRDCYQGALDVYKLLRMKSFTVTSKTCYRLLKCLLRNGHEQNIQPLLSQFIKIHGLDEPRMINMLSCHLSKNSVGEAIGFSNYMNNGRVPVSVLREAVYTLKREGRILDACNFLKEAEQNGYSVDLAMYSIVVEGLCKSGYLEKALDLCESMKEEGIQPNIVIHNSVLNGLCQQGCLTEAFRLFDYLESSEVLPTLVTYSILIAALCREGFLDDAHELFQKMSTKGIKPTTRVYNLLISGYCNYGLTEKALELISHFEEIFLFPDAFTIGAIINGHCLKGDIESALGFFSEYYHKEMVPDFVGFMSLVKGLYAKGRMEESRSILREMFQCKEVAEFINSVGDKIQAESFVGLLFSACEQGRIDEVVTILNEVALMSVSSSDSNNCSTLSHLKNVETPVAYDRNMDNPGQVLCSTTYGVSSNCLHGISEGTVQPTIDGAENLCTPSDDTDIYYDNLLRNSFHDDFDTYYPAIASLCLKGELIKANKAIEAMIQNSISSLANKPEQCNLDEHPECLRFQTSVDSGIACSEGEDVGAGDGARAGCFEGGLDLVDDLETPEGVHATLQVLIS >OGLUM01G11670.1 pep chromosome:ALNU02000000:1:10256461:10261164:1 gene:OGLUM01G11670 transcript:OGLUM01G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med27 (InterPro:IPR021627); Has 112 Blast hits to 112 proteins in 38 species: Archae - 0; Bacteria - 0; Metazoa - 79; Fungi - 0; Plants - 23; Viruses - 0; Other Eukaryotes - 10 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G09180) TAIR;Acc:AT3G09180] MQQAQASAVVAAPAAAAAATGHQHEGAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGDAPPHSAPQHVEKTALAVAQEEAAMHRHFDDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDDEGFNHLHDGEPGLAKKPRLPASNGELEERTLSEILKNLENEVPNMKIFTYRRLDWSKRAASLATLMNDDFVDPSKELNLQNMSKSGSGDTTPIDQVAIIELLAPSIFRAIVSLHPAGSTDPDAVAFFSPTEEHADKALQYFVSVEPTKSLSLLLRWIASYQTLFTKVCSKCGRLLLMDKSLALLLPPVQRPYHHQTSSVGSDPQDAYHIGCSSYDA >OGLUM01G11680.1 pep chromosome:ALNU02000000:1:10262430:10265501:1 gene:OGLUM01G11680 transcript:OGLUM01G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRAPDGTTRHVDLDPTTATLADLTASASLLRLYLSHRRLLPAEPSPLLASLRVSPSSSLLVHLPLLGGGGMASPTATTPSAPPPPPPPPAACAARYDFLNSKPPPNYVARLGRGAAGFTTRSDIGPAMGRGRGKPPGDDGDGDGGGDEEKGYDENQKFDEFEGNDAGLFSNAGHDDDDDREADAVWEGIDRRMDSRRKDRREARLKQEIESIPEIGDYSLRRNKKRRFESFVPVPDTLLEKARQEQGHVTALDPKSRAATAGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGVTIVDPRGYLTNLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTESVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRRRTWVADAEECKKRGSVETAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAARTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHVAKAKEANENSLKHCPSCIPLWLSVANLEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDP >OGLUM01G11680.2 pep chromosome:ALNU02000000:1:10262430:10265501:1 gene:OGLUM01G11680 transcript:OGLUM01G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRAPDGTTRHVDLDPTTATLADLTASASLLRLYLSHRRLLPAEPSPLLASLRVSPSSSLLVHLPLLGGGGMASPTATTPSAPPPPPPPPAACAARYDFLNSKPPPNYVARLGRGAAGFTTRSDIGPAMGRGRGKPPGDDGDGDGGGDEEKGYDENQKFDEFEGNDAGLFSNAGHDDDDDREADAVWEGIDRRMDSRRKDRREARLKQEIERYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRRNKKRRFESFVPVPDTLLEKARQEQGHVTALDPKSRAATAGAETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGVTIVDPRGYLTNLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWLKACRLASPDEAKAVIARGVKEIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKSVVELANEEDARLLLHRAVECCPFHLELWLALARLETYDQAKKVLNKAKEKLPKEPAIWIMAAKLEEANGNTESVNEVIERSIKTLQGKGLGIDREAWLKEAEAAEHAGSVMTCQAIVKNTIGVGVDDEDRRRTWVADAEECKKRGSVETAPAIYAHALSVFKFKKSIWLKAAQLEKRHGTEESLYILLSKATTYNRHAEVLWLMYAKEKWLAGDVPAARTILQEAYAYLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHVAKAKEANENSLKHCPSCIPLWLSVANLEEKINGLRKSRAVLTMARKKNPATPELWLAAVRAELRHRNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKFDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTHKDVVQRCVASEPKHGERWQAIAKAVENSHLSIEALLKKAVVALGQDENPNAVDP >OGLUM01G11690.1 pep chromosome:ALNU02000000:1:10282113:10284996:-1 gene:OGLUM01G11690 transcript:OGLUM01G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKDPGIKLFGRVIPLAPEAEAAAAADGSDQPEAAAAAEVEPAAQDEDHHKETEESKDDEMKVDVPQEEEDNEMKVDAPQEKKDNEVTADVPEEKGNDEMRVDASESIESIEPVSRSTLDNKKEDQGQMNNVEEKAASDSKDENEKTANDESGQDKVLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKSSSLHYRHLLMAPDCMMGSRVEISKSMNPEAFASAHSTPIQPIGRNETVLKFGPEVPLCESMASVLNIQEQNGTNAAAVPTGENQEDNSCISSITSHNVLPENAAQVDKNSTPVYCNGVGPVLQYYLGAPYMYPWNIGWNNVPMMVPGTSMPESASQSESCSTSSAPWMNMNSPMMPVASRLSTPPFPYPLVPPALWGCLSSWPATAWNIPWIRTNGGCMSPSSSSNSSCSGNGSPLGKHSRDDSLPLKEDKEEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGIFKPFQSKGESKGQAASETRPARALKANPAALSRSQSFQETS >OGLUM01G11700.1 pep chromosome:ALNU02000000:1:10291002:10292541:-1 gene:OGLUM01G11700 transcript:OGLUM01G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFDANDEASEICKQLLTNIKNAQRNYLSMDSFLATISDSVAATDGTVAPLAAVRSNPLSRSATRRHGAASGGSTTDGRIVGGDVPSNNGWAHWPPLPLPSLPGKL >OGLUM01G11710.1 pep chromosome:ALNU02000000:1:10309049:10322019:1 gene:OGLUM01G11710 transcript:OGLUM01G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVSRYLIREEKEMIDWNKVERPTPEMVVPYDSLVQAPRDIPEIRNLLNKLAVLKLNGGLGTTMECVAPKCTIEVRSGLTFLDLAIMQTEIVEKYTNIEIHTFNQNKYPRIITEKFLPLSSEGSTGSHCWYPPGHGDVFFSLCKSGILDTMLSQGKEYVFIASSENLGATVDIEIESLTSTEILNHLIHNKNEYCMEVTPKTSADVKGGSLICYEGRVKLLEIFQVPDENVDEFQSIENFNMLNTNNLWLNLKAIKRLVKAEALKMEIIPNLKEVDGVKVLQLEKEAGSAIQCFEKAIGVTVPRSRFLAVKNTSDLFLILSDLYIVMDGTVTRNPARDNSTNPLIDLGPEFRKGEVTIAAQLGLKLDILDGSVFDNKHSTHSSGAIKYTMKLDEGADCASIDSALNRLNPGSTLILKKDDFYRYIDPIQAQNRAMFHSESNCIITATLKDNWFAKLVQGKLWLIILVFHQFKLRSRRRLRWGERRRRTLRSIHQDIQSYLDNYSDSDMWHLIVHSVARVISNVLEDVNRNYMKNHYLGFLAIHSTVFAIEVIGSYAVELNYDIEHYAELPEQVLKVPFLQGEPKIKVKIMMPAQLNPVPDPDPTQGIKKPQEI >OGLUM01G11720.1 pep chromosome:ALNU02000000:1:10316182:10316451:-1 gene:OGLUM01G11720 transcript:OGLUM01G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGMGFSLSCGVLLVVMSLLPLPRVYQAVMWECALLLFFAAGAQAYKRPIIWYPLADLILKPNNKPKPPAA >OGLUM01G11730.1 pep chromosome:ALNU02000000:1:10322053:10322328:-1 gene:OGLUM01G11730 transcript:OGLUM01G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASRAAMRSSDFQTAAVGIGFALSCGVLLVVMSLVVPLPRVYQAVMSDWALILFFAAGVQAHKRPIIWYPLADLTLKPNNKPKPPAAY >OGLUM01G11740.1 pep chromosome:ALNU02000000:1:10350674:10352680:1 gene:OGLUM01G11740 transcript:OGLUM01G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGEAGQHHESGGAEWRVTVAEAPEAEVEHENAKGARRGCCCAPAAAAWVLWWLAAPWKWVARFGRTAWKVGADDPRRVVHGFKVALALTLCSAFYYVRPLYVFTGQTAMWAVLTVVVVFEYTVGGCMYKGLNRAMATVAGGALALGVHWVADKSGDDAEPFVLTASLFVLAAAASFSRFIPTLKARFDYGVTIFILTYSLVAVSGYRVDTLVTMAQQRLITIAIGAFICFAVCTLVFPVWAGQELHVLVARNMDKLAAAIEACVDDYFSSAEHAGGGGDAATALSEKARGYRAALNAKASEDSLANLARWEPGHGKFGFRHPYGQYQNVGAAMRCCAYCIDALAACVGAGGQAPAHVKRHLAGACVALSQHCAAVLREASGSVTSMTRSGRLALVVGDMNAAAQNLRNELRCLAEILDDDEEEEAASSEAEQHEHNTAPPPPPPLIEALPLFTAASLLLEISTRAEGVVAAVDALGTTAKFKKADHAEPPATTTLDAEAAMPVPISNAIAADEAHGNATAGEHDKKETAEQTTTTSASTVGQQQEARDQVGQLVKLLMRRRSTKKWARGEPKVGPCPRPPLDFPPVHAPSPRSRSTELAGHPPVVPSPRHRSMDLASHGLALPSSRHRSMDLASHGPVLPSPRNRSMDFTAHAPSPRNRSILGMA >OGLUM01G11750.1 pep chromosome:ALNU02000000:1:10353692:10366672:-1 gene:OGLUM01G11750 transcript:OGLUM01G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAGSASSTMWEAAGDDNDEGGGEEAARSGFGGSSLPTDGSDGKWVEGGGEVMAVLGRTEADPAGEWIQRLSGANPHRRCSAAASLQPVISLLG >OGLUM01G11760.1 pep chromosome:ALNU02000000:1:10355097:10355324:1 gene:OGLUM01G11760 transcript:OGLUM01G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGVEEGRGPRSDGSHRCRDLGADAKDLSGAVATAGEEGAPEDDQAALAAAVEPVVVVAVAVGGVTGGGAVRAQ >OGLUM01G11770.1 pep chromosome:ALNU02000000:1:10367745:10376252:1 gene:OGLUM01G11770 transcript:OGLUM01G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQEAPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSPYSNGLTSNTMAPYDQPSDINATGQGQDQSGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHTQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQSGGYYAPNAAYGYGSANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGL >OGLUM01G11770.2 pep chromosome:ALNU02000000:1:10367745:10376304:1 gene:OGLUM01G11770 transcript:OGLUM01G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSVLVDRATSESLIGPDWSLNLEICDILNHDPSQAKDVVKSIKKRIGHKNSKIQLLALTLLETLIKNCGDFVHMHVAERDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGSRARYPQYYVAYQELLRAGAVFPQRPDSSVPIYTPPQTQPLRNLPPALRNTERQQEAPESSSTPEVPTLSLTEIQNARGVMDVLSEMLNAIDPGNREGLRQEVIVDLVDQCRSYKQRVVQLVNSTTDEELLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKSVQARGDKSPSIKPEGAKQPDQRSSEAASTVTPFEQLALPAPASSSSSKPPVEPAVGPSIDLLSGDDYFKPEPVNSQALVSVGNPPAASANNTLDLVDMFAQSNVGNNPNPAVTSSMLNSNPSLSEPQLYPSQQTVPPQQPSPYSNGLTSNTMAPYDQPSDINATGQGQDQSGDLPPPPWETQPAESDQFQPGQPRGLAMPSGQIGGIQSQPVQVQPGQVAPSQPMLTGQPTGMQFQQGFGDQLGAQQTQPLHTQYGGMYPTMQGNQSAGMYPQQMAGDFYQQQMYGGQMAGYGYGQQSGGYYAPNAAYGYGSANELSQGMNGLAVQDNSLYGTSASSSFQQPMRPSRPEDSLFGDLVSIAKTKPSKTAANKAGGLFRRFLRQNRAYMLGPSPFVAASGR >OGLUM01G11780.1 pep chromosome:ALNU02000000:1:10377171:10380111:-1 gene:OGLUM01G11780 transcript:OGLUM01G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPMVIRSRVLARAVSASLRRTLAAPPSPLLAASSRRASSLHRLPSVCGGLLSVMPLHSAVASARLRSAISPESQSWGIVPQVGNQALYTSQW >OGLUM01G11790.1 pep chromosome:ALNU02000000:1:10381307:10386796:1 gene:OGLUM01G11790 transcript:OGLUM01G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKECTQSGGRRETIWASPSIFLATDEGEKGRRAQRERSAAVAPGRSYPHSLASLPVASSSRFTRALRLFSANASINYVLIATNCRCLCCNRAIHRCNDLPMPCSQILRAKVNSLSFSRKVPTKSTLHNLRCHATQTQSTQRKSATATIQRSDPKGKLKGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFTCVLLMDYLKEFEKNLLLQRHRIGDEATLGLAQ >OGLUM01G11800.1 pep chromosome:ALNU02000000:1:10393606:10400008:-1 gene:OGLUM01G11800 transcript:OGLUM01G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQMQQQPQQPESEPEPAAPAPAAAAAEAKATPPQPQPQQKPAAPVQPQMPTPRPWPVAFIPPKPVAEIKSTPSTKRKKHCNCKNSQCLKLYCECFAAGLYCDGCHCKQCGNYVGNESARQEAINSTKQRNPKAFQPKIENGSNALNLRKDDAGAPASLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCQDCKNFEGSEELRLITQGDNSSDRNNIQHAANVALNGAIGSSGYRYSPVRRKRPPEDHLYQKLNGEGSTMQTQFQEANHVDSSEITSSTGLEGCYSNYQSRSNVVYRSALANTISPTDATGLAKHLVIVCRKAADAFLTTAENKGEMEVEGEIHTDSDGATNMDQQNGGDFGPCCNSLEDSRPASPGTQALMCDEQGSTFGTDYRISFPVALHDQDTSELNAQQEKAVLTGFRDYLRTVITRGKINEANRSSEAAMQLDTRKHDESATILPPLNAVEKEKLKVPDGPESPKASPSASNCGGQAS >OGLUM01G11810.1 pep chromosome:ALNU02000000:1:10407759:10409636:-1 gene:OGLUM01G11810 transcript:OGLUM01G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47650) TAIR;Acc:AT3G47650] MAATSSLTATAASPPLLLKPAPSPLAASFLRPVSRFSRFQSVKTKATENDQTEKSPPKGSSLVCQDCEGNGAIVCNQCKGDGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFMSTSDSTAE >OGLUM01G11820.1 pep chromosome:ALNU02000000:1:10410703:10417250:-1 gene:OGLUM01G11820 transcript:OGLUM01G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDVDEEEEEEEEAVDYSPHRSELEPEPEPESETDADADAALQPPPPPPARAPLSSLVVKPSPREGDGASSSSPDAAAAAARSSPTPAAGAPGRHRGSSLPRRRRDLSPPERERRRSPPPPLLARRRPPGSPPPQRRRLSPPPTRRLTPPDFQPRHPRPYHELQAFSAVMSLVNGVYGRYGMHAGLSPQRQHRPENRSFDDTFGPRYAHGYQGGGRGVARFRDGSPPYGRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYVNPPRGPARNLGPSDRAPPREMARYGSPPRGWGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDRQLRDRVKHDWSSAEDYNPRERPHDDMYLERSRRRSVSPRDNWGHNMRYRSRSPAGGRLKGEDNFRGGNQAVPSTTE >OGLUM01G11820.2 pep chromosome:ALNU02000000:1:10410703:10417250:-1 gene:OGLUM01G11820 transcript:OGLUM01G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRGRGDDVDEEEEEEEEAVDYSPHRSELEPEPEPESETDADADAALQPPPPPPARAPLSSLVVKPSPREGDGASSSSPDAAAAAARSSPTPAAGAPGRHRGSSLPRRRRDLSPPERERRRSPPPPLLARRRPPGSPPPQRRRLSPPPTRRLTPPDFQPRHPRPYHELQGYGMHAGLSPQRQHRPENRSFDDTFGPRYAHGYQGGGRGVARFRDGSPPYGRGGRSYGRGSGAPGKEFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKYRYSREVCEPGHSPHRDYVNPPRGPARNLGPSDRAPPREMARYGSPPRGWGSDPKGYPARSPPDHAGRYADPVQRERMGFRGDRQLRDRVKHDWSSAEDYNPRERPHDDMYLERSRRRSVSPRDNWGHNMRYRSRSPAGGRLKGEDNFRGGNQAVPSTTE >OGLUM01G11830.1 pep chromosome:ALNU02000000:1:10458335:10460520:-1 gene:OGLUM01G11830 transcript:OGLUM01G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFHIEEMEEESEDQATEGDVELAEPKKGMVFDSLDDAFDFYKKYARKKGFAITKRSSRTGQDENIVQYFTLACSRQGKAQYSSKNTLKPNPSIRMDSSSEAVIKPKQTCTQPYTGSKNRASPFEEERSKGRPSRTENESNEENRIDEQNWSKEESGIDEKN >OGLUM01G11840.1 pep chromosome:ALNU02000000:1:10462594:10464041:1 gene:OGLUM01G11840 transcript:OGLUM01G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRRRRRGGSLAVLLLLAALLLSLSLLARVDAAAAAAATVSSANLDWNEGEVAVATPLGQEAVAAAEEGDRPPERVEMESINDYGQASANNRHNPHP >OGLUM01G11850.1 pep chromosome:ALNU02000000:1:10465382:10469324:1 gene:OGLUM01G11850 transcript:OGLUM01G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGAPLYLGLPTNPWAGKASDGRDPPIHRGVPIAAVAKSRTGHKPRRVLKPSWVFHLFQRPRQVQRGASSSPLLSSRDFAAARSPDPSVSRAAAPWRGRLRRPRHRRSPRGATTAPAPSPRTWKRAAGRCLHWFEIASP >OGLUM01G11850.2 pep chromosome:ALNU02000000:1:10465663:10469324:1 gene:OGLUM01G11850 transcript:OGLUM01G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEASPPAPPEIPTRCHHCAGPLSKDMVRVIPLPPPHHSSSSPPRDLSPWSCRLRCRSRGWLETSSWTVPPLVRDSFSMIGSAIGGTAGAFYGFNHSTSSYCLLFCMCWVSRWDNTSACTAGIIILSLSHSVVLLCPHNFS >OGLUM01G11860.1 pep chromosome:ALNU02000000:1:10471965:10473569:1 gene:OGLUM01G11860 transcript:OGLUM01G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYRVAPRPVYLSAAAAAGGDHGDGPRRLPRGVAGGGGAELDIFSAERYFNADDVVQKEEYRDEEQPRQEVAVDAASQSGRTVACSSEASWTSRSGLLSGHAQSAASAGAAAKLQMGGANGGGGAAAAAASAHRGKKPGSGQRWGLFSRDCPCAGRKAVTVDVASEPRSPATPRTHARFDNSHVVADSTIFKANAPPPPPPCKEEPVKMKISPGSSTFPPPLANGIFAAAPNRGGGGGGAAPFAAFPAPDIVGRRVVSSGGFTFPVAVGAAKVVSTVGDEPPRESLEVFRPIDEESVLADPPADHLATEGGRGGGGGGLAAGFARAPGVAAVATDEEAMSDASSDLFDLESFAASSSFPTTCRGRSSRRNSREDDDDDEDLPYGAAAAAAAAVEPALSECMYAPSEVSVVWSVATAEGGAFDAASVANFSSAASACCVEEFSFVPPPDSAATAGGGGHEGFTAAMSRSAARKKGGGFLGSCRCEKAVSVGPTPVRMVRPEVNVKTTGGGHAVGLAGGGAARYHPGRVRMPVRT >OGLUM01G11870.1 pep chromosome:ALNU02000000:1:10481410:10484249:-1 gene:OGLUM01G11870 transcript:OGLUM01G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDVAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGGSDASSEASFYRALKMVKLD >OGLUM01G11870.2 pep chromosome:ALNU02000000:1:10481907:10484249:-1 gene:OGLUM01G11870 transcript:OGLUM01G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFKSSNGATTEKNLTLEEQQEKINDLRKELGEHSSEEIQDFLSDASCLRFLRARNWNVQKASKMMKSAVKWRVSYMPQKINWDDVAHEAETGKIYRADYKDKHGRTVLVLRPGLENTTSGKGQIKYLVYCLEKAIMNLTEDQEKMVWLTDFQSWTLGSTPLKVTRETVNVLQDCYPERLGLAILYNPPRIFESFWKIVKPFLDHETYKKVKFVYSSDKESQKIMADVFDLDKLDSAFGGRNPATFEYNSYAERMRADDIKMGSSFKSNGITLPQDHSHVSADKEANGGGSDASSEASFYSGTDSPNHEEGGSILA >OGLUM01G11880.1 pep chromosome:ALNU02000000:1:10489722:10493848:1 gene:OGLUM01G11880 transcript:OGLUM01G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:modifier of snc1,4 [Source:Projected from Arabidopsis thaliana (AT3G18165) TAIR;Acc:AT3G18165] MASSSGTREVLMLEAPPAPSGAPWRAPPDAEAVDALPYIDGDYGDPAVKREVDRMVEEEMRRGSRKPADFLRDLPPVPAIGFENHPMLAKEYERVRAGKPPATIEMSRYGLEPPPANKRNDVAAWRQALRNAQSQLHHQIIRIENLELMLKYGVEVWKLQNRQMESVLSRMQKMAVEYNEKIETVNRERKFHQQNTGGQLHALTTEWQELCQKNIAIQAACVDLQNQIDQLKLGAKEFKPTFLVGVVP >OGLUM01G11890.1 pep chromosome:ALNU02000000:1:10495194:10496590:1 gene:OGLUM01G11890 transcript:OGLUM01G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAATSISSRHLPLLLPPPPDLGAADLWAFLLERNPSGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGATLVPGLVTSLSLPADPATDPYLVHYISSDSGGPSSSRCVLEVDAVIGADGANSRVAREVGAGDYSTAIAFQERIRLPDEAMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAAGKVAGGRVVRVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAARSGRLCGRAMADEWRLTGAVTEAGIRAGYLRRWDDEFRPTFRFLDLLQRVFYGGNAGREALVEMCADEHVQRRTFDSYLHKRMAPAEPWGDLRLLWRTAAAMVRCGVLGREVERLRRLEQQAADQVLLRH >OGLUM01G11900.1 pep chromosome:ALNU02000000:1:10497132:10499975:-1 gene:OGLUM01G11900 transcript:OGLUM01G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIANKA >OGLUM01G11910.1 pep chromosome:ALNU02000000:1:10502657:10505748:-1 gene:OGLUM01G11910 transcript:OGLUM01G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRVDAAACDSWRPPPTPPPPHARRALALRVGGGGGGPAFASLTVREGGGEEGEVGEGKGKQQQLPAAGVLVRHPLAMLALVPNAVALFAAGAAAGAVAKTITAPLDRVKLLMQTHSVRVVGESTKKGIGFLEAIAEIGKEEGLKGYWKGNLPQVIRIVPYSAVQLFSYEVYKKFFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVAMNMLRDEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKSRPETSLATALLSATFATLMCYPLDTVRRQMQMKGSPYNTVLDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLISTGQKELEKLMQENQEKMS >OGLUM01G11920.1 pep chromosome:ALNU02000000:1:10511892:10524916:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKEAGNNSASLAFCRLLLYVITTNGMRLGAEADSGVAAAPWFRIGGYKENKEEEDRIRVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.2 pep chromosome:ALNU02000000:1:10511892:10524916:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKEAGNNSASLAFCRLLLYVITTNGMRLGAEADSGVAAAPWFRIGGYKENKEEEDRIRVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.3 pep chromosome:ALNU02000000:1:10511892:10524916:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKEAGNNSASLAFCRLLLYVITTNGMRLGAEADSGVAAAPWRGKEKIEKTRKTRFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.4 pep chromosome:ALNU02000000:1:10511892:10524916:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKEAGNNSASLAFCRLLLYVITTNGMRLGAEADSGVAAAPWRGKEKIEKTRKTRFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.5 pep chromosome:ALNU02000000:1:10511892:10524916:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCNRQGVWLLDPFVSVDLHGGPLCAGSHDAALIPFPSSYAPVVKEAGNNSASLAFCRLLLYVRIGGYKENKEEEDRIRVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.6 pep chromosome:ALNU02000000:1:10511892:10515115:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAVSCGQRGKMVATIAVVSCGQRGRDGSDNGWQHELESPDRGYKENKEEEDRIRVPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11920.7 pep chromosome:ALNU02000000:1:10511892:10515115:-1 gene:OGLUM01G11920 transcript:OGLUM01G11920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAVSCGQRGKMVATIAVVSCGQRGRDGSDNGWQHELESPDSCLPSSGLCTQELLARFSLHFSLNSIGDDEQPTTMEINYYYYKRKGACAPICAPLRCIVATTVRRGQDKRGANSRGWSRCAHLQRIVRMHVLMDMLTDLREICLCGYMVCGALGLLDLSYRDVLVDMWFELIFRFSLHFSLSSIGDDEQPTTMEINYYYYKRKGACAPIASLWASLHYIVAMTVRRGHDRRGATRSGWSRCARLQKIMRRYVLVDIWFAVFWRCWSCSVDMWFEVLWSS >OGLUM01G11930.1 pep chromosome:ALNU02000000:1:10524428:10524844:1 gene:OGLUM01G11930 transcript:OGLUM01G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRPAWHCSAARAAVALPPVRPYLAASRSRRAAAPQLPLAGSPQPHGTKRRPVEPASRRLPAAAAPARQSTGGSCEVGGGGRPARRRGESRRPRDGGDCEVSGGGEIGEAEGRQRECDAAEAAPGGASWKEIGGGV >OGLUM01G11940.1 pep chromosome:ALNU02000000:1:10529120:10531824:-1 gene:OGLUM01G11940 transcript:OGLUM01G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASVSASASGDPMAECPPAAAAAEGSDAMDCGGGGRSNARVAGVLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAFQQLCASVTAEFNDCSKQVLEMVALLSTPEICRGDLANLLKDVQAHEKEKLHLTARIQVLKKAGRPSERLVNHADCRSSNMAQHVCVHVKEITEAAGTEDAEADAEYDGALKEAIQGVQEAVTSINEHMEEVRYEIDALGAEIIGNNLAEVEEAFPDTLLIK >OGLUM01G11950.1 pep chromosome:ALNU02000000:1:10533398:10537511:-1 gene:OGLUM01G11950 transcript:OGLUM01G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKLDSKSPAAARSAAARAAAAAAAAAAAAAAVAEPSSQPEALAEDPAPSSQPLGLSSEGAGERMMSREEGEGEGEGEEEEEAAERDADSIQALLNSFPKDQLVELLSAAALSHEDVLTAVHRAADADPALRKIFVHGLGWDATAETLTEAFSAYGEIEDLRVVTDRATGKCKGYGFILFSRRSGARAALREPQKKIGNRTTACQLASVGPVPPGGMATNPAPAVAPAPAQLALPPVSEYTQRKIFVSNVGADIDPQKLLQFFSKYGEIEEGPLGLDKVTGKPKGFALFVYKTLDSAKKALQEPHKQFEGVVLHCQKAIDGPKPNKGGGLGGLYGAGTSGGRKGAGGYGAHSHSLPGAAVGGHVMPSPVSSLTSLPGVAGGPGVNPALGQALTAILASQGGGLGLNNILGVGANGSGLPNPGASAGLGSSGLPGMPGAGGYLGGYGGGGGYGSTPPGGPGRNYMGH >OGLUM01G11960.1 pep chromosome:ALNU02000000:1:10538665:10542182:-1 gene:OGLUM01G11960 transcript:OGLUM01G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin family protein [Source:Projected from Arabidopsis thaliana (AT2G03780) TAIR;Acc:AT2G03780] MLPLRGCHRRLLSLRGVTAPSLLPPITTTPTTSMAAPQSHSHPAKTLRASPPPPSTAGSAPKRSRTMATDAAATAHSASARCSAMKAEFAKHAEYLNTLNDKRERLVKASRDLTMNSKKAIFQVHRISKNNKEEVLSKAENDLTVVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATFCRFCKTGTLLSLAEINDSLLELGDKSVEPLQINVLDYVLGVADLSGELMRLAIGRISDGEVEYAKNICAFVRDIYRELTLVVPLMDDNSEMKKKMETMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >OGLUM01G11970.1 pep chromosome:ALNU02000000:1:10545341:10554647:1 gene:OGLUM01G11970 transcript:OGLUM01G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LA RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT5G21160) TAIR;Acc:AT5G21160] MEEPNVGGGAAAVVAPEGADPAGGGGGGPGAPASPWRKTTPPPVAGEAVVMGAKSWPALEEARQKVAAAEAAARPGAGNAGGGGEPAKGAQAQQPSPPPPQSQAPNRTHKFDGHGNPNKNNQAYHRNGPKRRSPAANGTPSYPAAMPYHQHPGQPFYYPVIPSPVILHEYPYPPFAVPVPSHDPHAGKSGYENSMPAYVPVDQAGGNEGNRPVPPQPRGDLHAWRPPVGARPQPGLEGRGHFNHNWQNPQMFGTRENTSVPQGAGPRAFMRPMAHLPHTLGYINGPPYPGPMPPMYYYMPAVPMEPMRGPPRFVQNQPPPHPVLSPEAAELRAKILTQVEYYFSDSNLDHDNFLKSLMDEHGWVPISKVADFNRLKKMTTDIQLIVEALANSSLLEVQDGNMRRRSDWSKWVSLSGTSLPSPSSTSMDNTTGECNKDAYSEDEKKPHPRSVDCVGMGASDEPSQDTLSSSVTSLNKGLSNISIDNKPKSISACSLNSQKHEAAFRTGDVKVQKVNTKIKVPDSQRERGFCNDFPSDSPSFSGDQSTFLLDEELELEHAELSHDLYSHKRVDDEEDDFYVDDQDVNRLIIVTQDGPQAFSKEEISRINEGLYYYENHAYNQRSSQAGTIDIDSKPAGGSKGNPINIVNNGIEDSGQPIPRRRHNRGNRKAQSSHKQRFFPGNFANNTNNRSHYGGVSESPPSNSIGYFYGSTPENHRSSRLSSSPHGIPTGSSPVGSVPKSFPPFQHPSHQLLEKNKFQQQRYNKFKNRCIAERKKLGIGCSEEMNSLYRFWSYYLRDNFNDDMYKHFKKFALDDAAANYRYGLECLFRFYSYGLEKNFQRNVYEDFEQLTLEFHHKGDLYGLEKYWAFHHFRNQDSSPIIKHPELERLLKEEFRTIEDFKARKAAEKETGSSTAAAAGHNKADAK >OGLUM01G11980.1 pep chromosome:ALNU02000000:1:10558918:10559532:1 gene:OGLUM01G11980 transcript:OGLUM01G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGVLVSLLFAAFSLPCLLLLLVLAEAGLRLASLALRGGRYAWPTRSDFLGYRIARRRRAAVSGGAGGADGEEEAQPTAECCDRLAVAVYRRGRDAAPVDCVFCLSRVDDGEEVRELRCRHVFHRACLDAWLVLPRATCPLCRDCLLPPPCEPPRSFPLDYYYDDDDVHFGFNDFSITSSTTTTTSAAAAVAYPHGVALWTM >OGLUM01G11990.1 pep chromosome:ALNU02000000:1:10570332:10575586:-1 gene:OGLUM01G11990 transcript:OGLUM01G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGQGRSPLGFGTETCGPGWGGVGVGHPGKRPRFGFAETALLSPEYKLHSLHGFKLVGNCSVLSRRRQYNKYTKPMNSDTDAVGTRPKSGWWLVWMV >OGLUM01G12000.1 pep chromosome:ALNU02000000:1:10575900:10576151:1 gene:OGLUM01G12000 transcript:OGLUM01G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVLLLSELLGGESTSVMAADWYMSGHSLREFRPVAAAAAAAAAVAKCERPAAEAAGEKKKEESFEDLAAVSRIAVDVMWP >OGLUM01G12010.1 pep chromosome:ALNU02000000:1:10576633:10583292:-1 gene:OGLUM01G12010 transcript:OGLUM01G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLRRATGGGSAAAAAAAARWASRGLASVGSGSDIVSAAPGVSLQKARSWDEGVATNFSTTPLKDIFHGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGVDSVICVSVNDPYALNGWAEKLQAKDAIEFYGDFDGSFHKSLDLEVDLSAALLGRRSHRWSAFVDDGKIKAFNVEEAPSDFKVSGAEVDAFAAEPFKGNPAAVCLLEGEDAAAAADERWMQSVAAEFNLSETAFLIRDPSSSAAAADAAPRFRLRWFTPVAEVASPFPPTLGFLLLVSPVFIRTRRRRRLQVNLCGHATLASAHFLFTTVLAKQQHAAAAMVEFVTRSGILTAKKVPAPPPPANDGGVPGEEKLFIELDFPMIDLVEYDSAETLSIPETLNGARVVSVWKSSTAGDLIIKMEVMNHGNATATIISLRERIANLLRMLILNEELVELSSGKEVADIIPNINEIKKCDGRGVIVTGPAPAGSDYDFFSRFFCPKFGIDEDPVCGSAHCVLAPYWGGKLGKQKLTAFQASPRSGTLYLELDGENRRVRIQGEAVTVMAGTLLA >OGLUM01G12020.1 pep chromosome:ALNU02000000:1:10586437:10592920:-1 gene:OGLUM01G12020 transcript:OGLUM01G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSGAGAHRPSCPSAANCAKWAQTYLKYCLCSTRDGMALTLGLLSVISWGVAEVPQIITNYKHKSTEGLSLAFLMTWIVGDFFNLIGCFLEPETLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKARATSKPQRHQRADASLREKLLGPKVIGEIRNNSHLGATVPIPTSSPITVNTEIVRHRHGPSSLSEYYYTSARSLSSSPVPMSGTWSANYHQTNSPPEIDDQKESLVSEFAPAQYAASPLIKNSLSVVPWMSLLLGMSVLHFLVGTTHQEVPNGIVIPVGRRLLLLADDHADSSVSNGSGSGIGSFLGWAMAGLNPLMFTFALVGNVTYVGSILVKSMDWSKLKPNLPWLT >OGLUM01G12030.1 pep chromosome:ALNU02000000:1:10612888:10613627:1 gene:OGLUM01G12030 transcript:OGLUM01G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSIYWHNAAVVGLLVRRGREGVAAGQLDAFATASAASPSSTPSPAAPSLAAPLPAATVAAVRDLHRRPRHCWPRRHLAFLTFAVAWHEQRIGERGRKKKEEENDRRGPLIGSDL >OGLUM01G12040.1 pep chromosome:ALNU02000000:1:10615853:10620658:1 gene:OGLUM01G12040 transcript:OGLUM01G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKAVEIKDILHDGVAKSDYAQCQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >OGLUM01G12040.2 pep chromosome:ALNU02000000:1:10615853:10620664:1 gene:OGLUM01G12040 transcript:OGLUM01G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKAVEIKDILHDGVAKSDYAQCQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >OGLUM01G12040.3 pep chromosome:ALNU02000000:1:10615853:10620664:1 gene:OGLUM01G12040 transcript:OGLUM01G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQLADYHLRMDSRSPKIPRRSPDSKDKDSDRNKERDAKNDWDSSRAYGSETDCKEEMCDSNKRKGLTMGEIVVDNSRSVDSCHETELHVLRDDRQDKAVEIKDILHDGVAKSDYAQCQIDLDSERRNGTGDNSRVDVLRDDKLDSGRDRNWSDRTREPEGSKDYVRNRQWQDSKEANDSEWKNAHERLDGGSFHGRAGYRRDSRGRSESIRGSSTYGGRYDSSDSIEIRPNNNLDFGREGSVSGRRYDVGAHRDATPGTNGDKSANPEADQSGSTSTISQFPQHGPKGDRSSRGRGRPNSRDSQRVGGTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGPLLPGVFVPPFPGPLVWPGARGVDVNMLSVPPNLPIPPVAAEHRFAPSMGAGPGHNIHLNQIGSGIGAPTNVSGLSFHQLGTQSREMAHDKPPAGGGWTPHRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVANSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYIKNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIEGLRPKSPPQKN >OGLUM01G12050.1 pep chromosome:ALNU02000000:1:10616223:10621189:-1 gene:OGLUM01G12050 transcript:OGLUM01G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLGHLNLGHFPPTKPFFAQLPYLERIYVQQQLEGWEDWVEQKAYSHQHLVPQATQEAQGMAAQKHQVMRMHSFKFGCTNM >OGLUM01G12060.1 pep chromosome:ALNU02000000:1:10621249:10624972:1 gene:OGLUM01G12060 transcript:OGLUM01G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKSFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >OGLUM01G12070.1 pep chromosome:ALNU02000000:1:10626778:10628049:1 gene:OGLUM01G12070 transcript:OGLUM01G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAGEPFSGRATGGDGGVRSDVMAPPAMAEEAKVACLPLAREVGRRAAAAGGGQGRNFIVSPLSFHAALALVADGARGETQRELLGFLGSPSLAELHRSPTTRLVARLRHLPNMSIACGVWVDRGRALTPEFADAAASRYAAVAEPADFATQPEQARERVNAFVSDATEGLIRDVLPPNSVDSSTVVVLANAVHFKGTWSLPFHPSATFHAPFHLLDGGAVRAPFMTTEIPFERHVAAFPGFTALKLPYKNVGGGGGGDGVPRAAFYMLLLLPDGDGALKLADLYDMAVTTPEFIKKHTPAAEAPVRRLMVPKFKFSFKFEAKSDMRKLGVTRAFAGGDFSGMVTGGDGLFIAEVYHQATIEVDELGTVAAASTAVVMMQKGSSLPPVDFVADRPFLFAVVEELTGAVLFLGHVVNPLAE >OGLUM01G12080.1 pep chromosome:ALNU02000000:1:10628679:10631260:-1 gene:OGLUM01G12080 transcript:OGLUM01G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT2G40935) TAIR;Acc:AT2G40935] MARNEEFRVSGEMAGKGSYVPPQYIPLYGLDTEEDRVSAEENDAARHKLTRDPTQWSSGICACFDDPQSCFIGATCPCFLFGRNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRQALRAKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTDSGSSAPTVTPPAIQTMDEP >OGLUM01G12090.1 pep chromosome:ALNU02000000:1:10631653:10632656:1 gene:OGLUM01G12090 transcript:OGLUM01G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGELLTRQADGRRVFPHARTPASSSHSRRTAGELLSHERTPASSSPCARMAGNLAGLPVGSSPARGRPASSSPISDTSTTRGTKTGSTPSYGRHVNQNRFQNYPRI >OGLUM01G12100.1 pep chromosome:ALNU02000000:1:10641865:10643909:-1 gene:OGLUM01G12100 transcript:OGLUM01G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDARVADVEASLARAFRMLQVQLEAVDRKIDGEVGAVRGELAALLEEKRLELEGQLKRLDARADDLSDALGALKRMEFLRKDEFDKFWNEVKESLGSGPGTEVDLDQVRALAREITMGEIEKHAADGIGRVDYAVASAGGKVVRHSDAYDAGKRGGFFSSLLSGDTAASPKKILQPSFGEPGQCFPLQGSSGFVEIKLRKGIVPDAITLEHVSKDVAYDMSTAPKDCRVSGWYQEAHNEAYSGHAASAKMYVLTEFTYDLDKKNVQTFDITAPDVGIINMVRLDFTSNHGSSALTCLYRIRVHGHEPVSPGMSVSQS >OGLUM01G12110.1 pep chromosome:ALNU02000000:1:10654157:10655332:1 gene:OGLUM01G12110 transcript:OGLUM01G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G23170) TAIR;Acc:AT5G23170] MREFSFEEVEAATGGFAAKNLVGKGSHGNVYVARLVCGGGRVRKKVVVVAVKRASHALGEAKLANEIAVLAAAGEVAGVVNLVGVAAGRREGERMLVMEYMADGSLHDLLHRPTTARQPPPPWPRRVEIALDVAEAVRALHGGEPRVIHRDVKSANILLGRDGRARLADFSLAVKVPAAPGGGGATAAAAGPAPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVSASPSSIVAWAVPLVAAGMAREVLDGRLPAPRRAREERAVARVLAVAARCVSEAVERRPAMSDVVAELHAALESAGWPRRPRRRGDAHGLAGTLYRRVVSWGASRLHVRRRRVRTSKIECTEHSGSEGSGAQAQPDHPGSNPRLSNSNKNIFDIN >OGLUM01G12120.1 pep chromosome:ALNU02000000:1:10657676:10660705:-1 gene:OGLUM01G12120 transcript:OGLUM01G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OGLUM01G12130.1 pep chromosome:ALNU02000000:1:10662609:10669941:-1 gene:OGLUM01G12130 transcript:OGLUM01G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAGEPLRRAWGYHPECPGCRVDRRKEEREGIPYTELSLVWLVTVSSTLPIQSLFPFLYFMIRGLHIAKQEEDIGFYAGFVGASYMFGRALSSVIWGIVADKYGRKPIIIITLISIIIFNTLFGLSSSYWMALTSRGLLGLMCGILGPIKAYATEVCQKEHGHLGLSLVSSSRGIGLIVGPAIGGYLAQPADKYPSIFSEKSIFGRFPYFLPCLCISLLAIVALLASFWLPETLHKHTQDMVLEDSISVEEGLSGPTAEENSAGCLNLFTNWPLMSAIIAYCIFSLQDVAYAEVFSLWAVSDRKYGGLSFSSQDVGSVLAFSGLFLLVFQILVYPSVAKSVEPITLVRIVAVTTITVFNILMNDAVAQDVRASANGVAVTLMSIFKAIAPAIAGAIFAWAQRRQTASFLPGDHLVFFMLNVFTVIGLVSTFRPFYARRSTKHDPITT >OGLUM01G12140.1 pep chromosome:ALNU02000000:1:10681240:10693310:1 gene:OGLUM01G12140 transcript:OGLUM01G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6J6] MGPLLRPSPPPRHLRLLLRRLLSTAAGRPSRLLPLPASSSARLLVRPRVAVAAAAAGAPLRRNGVAVRAFMASTAASEAMQEKRVAGEYTAANVQVLEALDGVRTRPGMYIGSTGSRGLHHLVYEILDNAVDEAQAGYATKVDVILHGDNSVSVTDNGRGIPTDIHPQTKKSCVETVLTLMHAGGKFGGSKSGYTVSGGLHGVGLSVVNALSEALEVTVWRDGKEYRQNYSRGKAITTLTSRTLSDESSSRQGTRIRFWPDKHIFTTTMDFDFNTIAGRIRELAFLNPELTIALTKEEDDLQVQHNEYCYAGGLVEYVKWLNTDKKSLHDPIAFRKEMDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIDGLKTSLTRTINNFAKKWKTLKDKDISLSGEHVREGMTCIIAVKVPNPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASSDPEESEIFIVEGDSAGGSAKQGRDRKFQAILPLRGKILNIERRDEAALYKNEEIQNLIVALGLGVKGEDFNKEALRYHKIVILTDADVDGAHIRTLLLTFFFRYQKALFDEGCIYVGVPPLYKVERGKQAHYCYDDADLKELVNTFPTNASYHIQRFKGLGEMMPAQLWETTMDPERRMLKQLKVEDAAEANVVFSSLMGTRVDVRKQLIQNAASMVNLEHLDI >OGLUM01G12150.1 pep chromosome:ALNU02000000:1:10701779:10709293:1 gene:OGLUM01G12150 transcript:OGLUM01G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPTPSPAQPKTRKKDHVRLNNPFPRAVPSSSLRHRDAAPPLSFSPSSKLAHGAHDFPVGPHFLLRWDPSLGGAVSLAPRRGGGGATMWETVPGVAFVSAASVDTEADECRGSFALRDGRARLVPDHQRVDRIRAVYRRDADADADLLRVAGAAFQASEHEQARFPVVVITGVVSARKPTPSPSCLCGRRRAAAAAGRPVLSARYWILLEEKSDTQVAFRVNLGDYQWSCDHDRHATHPSPSPSPSPSTFPRTHRAGSILRLRLSTRVQRSSGGSKKKKKLAFAAAGVPADREELAPLVPAAAAKEELEFNRVWMTLASSREERFYGFGEQFSRVEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGSSVQGRILHGGSPTELITSYTESTGRPPALPRWITSGAVVGMQGGTDAVRRVWKQLQDHDVPVSAFWLQDWVGQRKTSIGSQLWWNWEVDDDHYAGWNDLKANARRHLFEEAKKLGLLVRDAAGEPYMMPNTAFDVAMLDFTNPAARAWFKGEVLAVMARGGAAGWMADFGEGLPLDARLHSSGSGDDGPVAAHNRYPELWARVNREFADEWRSGEHRRVADDADDGDGDGELVFFVRAGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLTGGMSGFPLNHGDAGGYCTVDLPLLRYRRSEELLLRWLELSAFTVVFRTHEGNKPGSNCQFYSNNRTLAHFARCAKIYKAWEFYRIQLVEEAAEKGLPVARHLFLHYPEDQRVQKMTYQQFLVGTEMLVVPVLDKGRSTVTAYFPMSDGGLWKHVWTGDEFGGRTSRGGVGEGMSHGSEAEVEARIGFPAVFVRVGSTVGERFVRNLRDLKVL >OGLUM01G12160.1 pep chromosome:ALNU02000000:1:10709921:10711559:-1 gene:OGLUM01G12160 transcript:OGLUM01G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLTPLGAVFFLGASFIQASKAFLMLFPCWRLRLIVPAPVAKHQKQQQQQTSKHWSRPARFVRSVRAAFRSFPILPAPSCRGLPSLPHLPGLHHGGAGGAVRNHFHGSTRTTGTLYGHRRARITIAFHDSPGSPPALLLDIAVPTAKFIQDVSAAGMVRVTLECDKQQHQPPPHAHPPGDPLPPRRLLDEPVWSAEVNGESVGYAARREATEADERVMRLLHAMSMGAGVLPAVAADAPTSAADGEVTYMRAHFDRVVGSKDAETYYMHNPEGCATGPELTIFFIRT >OGLUM01G12170.1 pep chromosome:ALNU02000000:1:10712211:10713095:-1 gene:OGLUM01G12170 transcript:OGLUM01G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAAVLFNNFPSLSAHVILKGDSGDDHPRQWPTTLPLPSPSPSPMPAAGLRAVTRKATGQWQHLQQRRRQPAWKEETESTTTELAVTKFGGRAVGGPMASSGTPWPDLERLAPSTVGEQFQGGQGCSGHRLRSGRWVTAVVCWSCYSCRGRVEAARAPRKGGVGVGAVEGLVWIGELLVHSRRATTSWWASAFLVASRTACGFPLG >OGLUM01G12180.1 pep chromosome:ALNU02000000:1:10714851:10720513:1 gene:OGLUM01G12180 transcript:OGLUM01G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVSGFQNAPVTRAVVLASGLLSVVFSAQRRARALVLSHQGIVKNFRLWKMFASGFAFQSTPELLFGVYLLYYFRVFERQIGSNKYSVFSLFTISVSLLLEILSLVLLKDTNYLSTLACGPYGLIFASFIPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSGKRSLIPGICGLIAGSLYRLNVLGIRRMKMPQVIASFFARIFAPSSGGSSRPSRSLVGNMSSRTSRAVQNNQPSGFAPVVEPPESSIAMLVSMGFDGNAARQALMRARNDINTATNILLEAQTR >OGLUM01G12190.1 pep chromosome:ALNU02000000:1:10720610:10726378:1 gene:OGLUM01G12190 transcript:OGLUM01G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATAAALPAGSQDHMNALRSTALRRSTLHWDAAAAFFAPPFRSRSCRRRRVPAAAAAAAETRPTRSPSRARARARAKLLADADTRDPWLASLSLLPVDDGEAGAAAAAASTGWAIGVDPDTGGAIAVLSPDGSSQVFDNPFVHIVVSEFIRKRLDTKSIIELLRGLDAPPGTTAYIEKSRPFPTDGKLGWWSTGFSYGLWIAALVASGFSVVPVASQTWKAYFGLTRSGSPKDDSRQAASILFPDKALSLNRKKHHGRAEALLLAAYGKGLVLPSGEFSKTL >OGLUM01G12200.1 pep chromosome:ALNU02000000:1:10724331:10728504:-1 gene:OGLUM01G12200 transcript:OGLUM01G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKLWSRLASPSSPASPRALSSSSAVAAAARYLLPSSGMPAQERSPGSRSPSGGDARPDAGFAETGCRAAGAPRLPCSSSSGNPPEENPCTRQIYQRQLMPHRSKLVLGEKRHFTYANGVSLNQQNYRYFSSSSGQQSIGIGNKIIHDLPRSVKIVEVGPRDGLQNEKNIVPTHVKIELIQRLATSGLSVVEATSFVSPKWVPQLADAKDVMDVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYKDVALAAKELKIPMRGYVSCVVGCPVEGYVPPSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGISTNVDLGKVMAAGEFICNHLGRQSGSKAAVALGSKVATTANASKL >OGLUM01G12200.2 pep chromosome:ALNU02000000:1:10724331:10728464:-1 gene:OGLUM01G12200 transcript:OGLUM01G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRNIEGVSLPVLTPNLKGFEAAVAAGAKEVAVFASASEAFSKSNINCTIKESLARYKDVALAAKELKIPMRGYVSCVVGCPVEGYVPPSNVAHVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEAVMSVVPKEKLAVHFHDTYGQSLSNILISLQMGVSVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGISTNVDLGKVMAAGEFICNHLGRQSGSKAAVALGSKVATTANASKL >OGLUM01G12210.1 pep chromosome:ALNU02000000:1:10729734:10734362:-1 gene:OGLUM01G12210 transcript:OGLUM01G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPATGGGFPAQDYPTIDPTSFDVVLCGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLFSSLPLPSLPSFLSPSPSDDPDLRRRSPYSEVETSGAVPEPSRRFTADLVGPRLLYCADEAVDLLLRSGGSHHVEFKSVEGGTLLYWGGDLYPVPDSRQAIFKDTTLQLREKNLLFRFFKLVQAHIAASAAVAAAGEGEASGRLSDEDLDLPFVEFLKRQNLSPKMRAVVLYAIAMADYDQDGVEPCERLLTTREGVKTIALYSSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPATALLVDEEKKRYVGIRLASGQDILCQQLILDPSYEIPSLDMPCDAPVSNLPRKVARGICIISSSVRQDSSNVLVVFPPKSLEEEQITAVRVLQLSSNLAVCPPGMFMAYLSTPCTDAFTGKKCINKAIDVLFSTKVSNDLEDHLEKNSEENKESVKPTLLWSCVYVQEIIQGTSGTALSCPIPDENMDYRSILESTKKPWCRTINLSCSLISVLMKSSCLEIQLPNMLLIMTPILQSKSKLAKGFVFYMTGLSLKISVPYYSVPQSHGKKYCSKGEPFTLVRFARFLFD >OGLUM01G12220.1 pep chromosome:ALNU02000000:1:10744294:10744536:1 gene:OGLUM01G12220 transcript:OGLUM01G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVSSTEGAVRILLGKLADVLAGRYALLLGARDEIQELKDELESMNACLRDLAAGGDDDDRNEQVNAAASRLVRAVV >OGLUM01G12230.1 pep chromosome:ALNU02000000:1:10748135:10753461:1 gene:OGLUM01G12230 transcript:OGLUM01G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVREVAFDAEDCIDTFWCYIGHHYGARGVRCYCVPKVVYTLKTLKVRNNLAIKIQSLRTRVQRVSERRLRYIMLNPTGSTSKSTNGSLSSSNYIDQERRLSALNIDESRLVGMADKTEEVTKLLDEGHVPNLKVVSVVGFGGLGKTTLAMTVYKSPAVKGIQSRAFVAVSQNYDPRALLESLLKQLIQRPFLREPRSVDEETSTEDPLKGIETWDICQLINRCRNYLENKRYFIVLDDLWRPEAWMTLKIAFPDNDKRSRILITTRNHLVAQICCYYPHDCIYSMEPLPSEESRHLFFKRVFKLDKCPSQYQDLVDISEAILRKCNGLPLAIVSIGGMLARMKNKTYAEWQKVCDRLDCGLEINNTVGGMRKILSLGYNDLPYHLKACFLYLSVFPEDFEIKRGPLIRRWAAEGFIGRVRGSNLEEIADKYFDEFISRNIITPIRIDSSGEVRSCRVHDIMLEVISTISVQENFISLLGNYSYSITGHDKIRRLSIHVGGGKEQDFSCRNLSHLRSLTILGCMEKPIPIAFADLTLLRVLDLEGCGWLSDSDLKDICKLYLLRYVSLRSTNISKVPRAVGNLKELLTLDVRSTYVRELPATITQLRCLKHLLAGRYKYYTRTHHVKHFASKKAVTIPAGLKNMSALQSIAPVNISSSFRAMHELGELSQLTKLCAINRKGVEKWRPFATSLSKLSNSLRHLSVIHIDKLEHGLEFFMDLSSPPLFLEKLYFWGRVSALPPWISSLSNLVRLSLRENYLESELVKILGKLHSLLSLKLYVDSYLGTELCFEHNLFPRLKQLMIDNLKNLDELSFKGGAPDLERLTLAFVKAPERGISGIENLPKLKEVEFFGIIVDSVVEGVIAAAKIHPNHPRVYRDETIDPRSLSAACRFAFFFPFNS >OGLUM01G12230.2 pep chromosome:ALNU02000000:1:10748098:10753461:1 gene:OGLUM01G12230 transcript:OGLUM01G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVREVAFDAEDCIDTFWCYIGHHYGARGVRCYCVPKVVYTLKTLKVRNNLAIKIQSLRTRVQRVSERRLRYIMLNPTGSTSKSTNGSLSSSNYIDQERRLSALNIDESRLVGMADKTEEVTKLLDEGHVPNLKVVSVVGFGGLGKTTLAMTVYKSPAVKGIQSRAFVAVSQNYDPRALLESLLKQLIQRPFLREPRSVDEETSTEDPLKGIETWDICQLINRCRNYLENKRYFIVLDDLWRPEAWMTLKIAFPDNDKRSRILITTRNHLVAQICCYYPHDCIYSMEPLPSEESRHLFFKRVFKLDKCPSQYQDLVDISEAILRKCNGLPLAIVSIGGMLARMKNKTYAEWQKVCDRLDCGLEINNTVGGMRKILSLGYNDLPYHLKACFLYLSVFPEDFEIKRGPLIRRWAAEGFIGRVRGSNLEEIADKYFDEFISRNIITPIRIDSSGEVRSCRVHDIMLEVISTISVQENFISLLGNYSYSITGHDKIRRLSIHVGGGKEQDFSCRNLSHLRSLTILGCMEKPIPIAFADLTLLRVLDLEGCGWLSDSDLKDICKLYLLRYVSLRSTNISKVPRAVGNLKELLTLDVRSTYVRELPATITQLRCLKHLLAGRYKYYTRTHHVKHFASKKAVTIPAGLKNMSALQSIAPVNISSSFRAMHELGELSQLTKLCAINRKGVEKWRPFATSLSKLSNSLRHLSVIHIDKLEHGLEFFMDLSSPPLFLEKLYFWGRVSALPPWISSLSNLVRLSLRENYLESELVKILGKLHSLLSLKLYVDSYLGTELCFEHNLFPRLKQLMIDNLKNLDELSFKGGAPDLERLTLAFVKAPERGISGIENLPKLKEVEFFGIIVDSVVEGVIAAAKIHPNHPRVYRDETIDPRSLSAACRFAFFFPFNS >OGLUM01G12240.1 pep chromosome:ALNU02000000:1:10757965:10758509:-1 gene:OGLUM01G12240 transcript:OGLUM01G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRGGELDGGRDEDVDEAGEGGVAYDAEDCIDGFWHHRGRHYRGDEGLVAGWLRRTVIQPLETLRAMHKLALDVQSLKARARRPYYCRLRGGGDGRRV >OGLUM01G12250.1 pep chromosome:ALNU02000000:1:10771532:10775283:-1 gene:OGLUM01G12250 transcript:OGLUM01G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVHILLGKLGEFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAASDYHQTQQTRTWMKQVREVAYDAEDCIDSFRYHVGGDRYHDEDLAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALKVSERRLRYKLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRLVGVRGKTRAVLKLLDMVGDDDGSARRKVVSIVGFGGLGKTTLAAMVYKSPAVRGIQHRAFVTVTRSCNLRALLESLVEQLFAPMRDSRCSTKKTTTDHDEILRGIETKDIPQLLAHCSTHLRDKRYFVVVDDVWSLEDWASLKPAFPDNDIHSRVVITTRNRQVAESCCSLPVDRVYSMDVLQDDQSRKLFFNTVFRSNKCPAGYRRLETISGNILAKCGGLPLAIVSVGGMLAQAENKTPAEWMKVCDRLGSGLSTSAMMERMRRIMSLSYHDLPYHLKACFLYLSVFREGGGPLVRRWAAEGFVGGRRECTPEEAAGKYLDKFVGRSIVTPTRVVSNGVVRCCKVHDIMLEMMTEKCMEENFISLLGSPSKHGHQQHAMMVAAGHDKIRRLSVHGAHTSQGKQAGGVHDKHLCRRRIKKDEEQDDVLSSGDLSCVRSLLMLRCIEKPIPVISFAKLKLIRVLDLEGCRWLSNHDLEDICKLSLLRYLSLRDTGVQRLPRLIGRLKELLTLDIRETDVRALPETITRLGRLRHLLAGRYRYYTRSHRVKLFEPFEDVTIPPGLAAMGSLQTIAHANVASSSIAMGELGDLPGLTKLCVMNCEEGPSKWEPFYDNAGLEALLDLTSPPIFLEKFFLWGKLSTLPSWVSHLSNLVDLCLRENFLNGEVIIEQLGKLPSLLSLKLYRASYLGRELRFREKLFPRLKQLIVDNLPNIEELSFQGGAPQLERLTLAVLKKPEDGIFGIDKLPMLKEVEFYGHIMIDSVVAEMVAVCRNHPNKPRVYREDRPMEMDSESSN >OGLUM01G12260.1 pep chromosome:ALNU02000000:1:10779569:10783468:1 gene:OGLUM01G12260 transcript:OGLUM01G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVVSSTEGVVRILLAKLGEFLSDKYVLLSGVRHEIQELKDDLESMNACLRDLAAVGDYDQSQQTRTWMKQVREVAYDAEDCIDSFRYHVGSDRNHDEGLLVVAGWLRRTVLRPLTTLRAMYKLAVEVQSLKARALKVSERRLRYKLEPPAAASSSGEYAPRCYDDLDRRLPALSVDESRLVGVRSKTRAILKLLEHKDDGDDDGLARRKVVAVVGFGGLGKTTLAAMVYKSPAVQGIQHRAFVTVTRSCNLRAMLESLLEQLFAPARDPRCSRKEIMAMEKDEILRGIETKDIPQLLAHCSTHLSDKRYFIVVDDLWSLEDWASLKPAFPDNDKHSRIIITTRNRQVAESCCSLPGDRVHFMDVLPNDQSRELFFKTVFQKEHCPSEYRSLEGISGDILAKCGGLPLAIVSVGGMLAQAENKTPVEWTKVCGRLGSGLSTSAAVRGMRRILSLSYHDLPYHLKACFLYLSVFREGYEIKRGPLVRRWAAEGFVGGGPEWTPEEAAGKYIDEFVGRSIVTPTRVATNGVVRCCKVHDIMLEVMTAKCVEENFISLLGSVTSYGRHQHTAATTTGHDKIRRLSVHGSHMPQGQQSRSGGGDEDIFRFRRRRKKGEGEGQDDVLSSVDLSCARSLLMLRCIEKPLPAISFKRLKLLRVLDLEGCRWLSSRELDDICKLSLLRYLSLRDTGVQRLPQSVGRLKQLMTLDVRETDVRELPETITRLGHLRHLLSGRYRYYTRSHRVKLFEPFEAVTIPPGLSAMESLQTIAHANVASSGVAMGELGDLPSLTKLCVMNCEKGPNKWKPFIVSLNKLSYSLRSLSILHWLNEDAGLEELLDLDSPPIFLEKFFLWGKLSMLPPWVSHLGNLVDLSLRENFLDGKEVIEQLGKLPSLLSLKLYYQSYMGRELRFREKLFPRLKQLIVDNMPNLDELSFQGGAPELERLTLAVLKEPADGISGIDKLPRLKEVEFFGHVIVDSVVESMVAVCKKHPNKPRVYRGDRPMEMDSELSS >OGLUM01G12270.1 pep chromosome:ALNU02000000:1:10784783:10786954:-1 gene:OGLUM01G12270 transcript:OGLUM01G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 6 [Source:Projected from Arabidopsis thaliana (AT3G33520) TAIR;Acc:AT3G33520] MTGGSGVVVLDNGGGLLKAGFGGDMNPTAVVPNCMAKPPGSKKWLVADQLQAQDVDVTGMTLRRPIDRGYLINQEVQREVWERVIRNLLQADPNNSSLLLVEPQFNPPALQHATDELVFEELGFKSLCVADAPSLVHLYEASRQPSLFRAQCSLVVDCGFSFTHASPVLQNFTLNYAVRRMDLGGKALTNYLKELISYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLCLARLSSNDNPFRCSYILPDGITYKKGFVKDLDEACRYSSLPANGESVRKDSSDSDRSKFEDKKKPELSQNEFVLTNERFLVPEMLFHPIDLGMNQAGLAECIVRAIQACHPHLQPVLFERIILTGGSTLFPRFTERLEKELRPLVPDDYQVKIIAQEDPILGAWRGGSLLAHRPDFESMCITKSEYEEMGSMRCRRRFFH >OGLUM01G12280.1 pep chromosome:ALNU02000000:1:10791368:10794425:-1 gene:OGLUM01G12280 transcript:OGLUM01G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6L2] MRVAATTRPASSSAAAPLPLFLLLAVAAAAAALFLVGSASLAMAGHVLGGAHDAPSAANSVETDALARFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEALEAGRKKVYEAKVWVKPWLDFKELQEFRHTGDATTFTNADLGAKKGGHEPGWRDVPVHDPVVKAAADHAVKSIQQRSNSLFPYELLEIVRAKAEVVEDFAKFDILMKLKRGNKEEKFKAEVHKNLEGAFVLNQMQQEHDESSSQ >OGLUM01G12290.1 pep chromosome:ALNU02000000:1:10802117:10804832:1 gene:OGLUM01G12290 transcript:OGLUM01G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39040) TAIR;Acc:AT2G39040] MDLAWWFAVAVVVCGLVGGGSAGLLETNPGLAYNFYQKSCPNVDSIVRSVTWAQVAANPALPGRLLRLHFHDCFVQGCDASILLDNAGSEKTAGPNLSVGGYEVIDAIKTQLEQACPGVVSCADIVALAARDAVSYQFKASLWQVETGRRDGPVSLASNTGALPSPFAGFSTLLQSFANRGLNLTDLVALSGAHTIGKASCSSVTPRLYQGNTTSLDPLLDSAYAKALMSSCPNPSPSSSTIDLDVATPLKFDSGYYTNLQKKQGALASDAALTQNAAAAQMVADLTNPIKFYAAFSMSMKKMGRIDVLTGSKGNIRKQCRSAS >OGLUM01G12300.1 pep chromosome:ALNU02000000:1:10807269:10809837:-1 gene:OGLUM01G12300 transcript:OGLUM01G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAGSDERQMRPVVYVPSLFLVRARQSLWSAAAATGNRQRGGSNVDDHCLEEEARGAERKEMGRPASRAGMPARRLASSAASAAGEEAAGARRCHADAGWRNTEIQPASMESNVDLRCQQTGAPAGECTSTSSLRGSTPSSSATAGYRLYSRSMDVCKLVVNDGFGPALPSGGALPERDVYDTDQYMLALIYHTRMRRYECLTGESMARKKTRDAWSKLSPPPPDLSDTHDTRRHRSRRAPSPSPASKLPPPPPLPPRPGGLVPSSAARLA >OGLUM01G12310.1 pep chromosome:ALNU02000000:1:10811722:10813203:1 gene:OGLUM01G12310 transcript:OGLUM01G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVGHCRELSPPGGGVRGRHCRLRSVTQLDCPVFDDHDLDQLDRRERNGHAGLSPRRSLSSPCFSTVVPPAADRAEPARDDGEKMMPRVEIVAGGHARGVHELIAEAAGAIATGTRLVPAQGGIGGALLLEDGRSGEHVAVIKPLLDDASSPSHGGGGGYASKAVLREVAAFLLDQDGFARVEPTALIKISRPAMPTTTASIQRFAAHECDAGELGPSRFSVASVHRIGILDVRLLNIDRHAGNILVKKSPESECASGGSTLTPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSGAELEYVASLDPFRDAAMLRAELPSLTEAAIRILTLCTIFLQRAAAAGLCLADIGDMMTREFSAMEEGLSALESLCKNAYDSSTTTTGSSPRKHHHSDDSDDESTQFGMDDVPAGLPPHLFLLGGGGIAKSVSFSAAEQGAAGRGAARKRMSFKALSGDEWAAFLDRFEQLLPAALDAKKRAGLKLTRLGTSF >OGLUM01G12320.1 pep chromosome:ALNU02000000:1:10820801:10829837:1 gene:OGLUM01G12320 transcript:OGLUM01G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMLVRLLHLVLSLNLVSMVAAADGADQFTYLGFIGSNLTVDGCATITPDGLLRLTDGSLVQKGHAFHPAPLCLRNSTGGTIRSFSVTFVFGIISLFLDLSSQGMAFLIAPTNNNLSAALPAKFLGLTNDQNNGNASNHLFAVELATIVNIESGDPDGNHVGIDINGLKSVQSYAAGYYDDKSGNFQSLSLSSRQAMRVWIDYHAEKKQIDVTLAPLTMARPVKPLLSTTCDLSMVLTDIVYLGFSSATGTIYTQHYVLGWSFAVDKPAPAINIDNLPKLKTAYAGPKPLRKVLYIVLPVVTTMVVLVTGGIIIMLVRRLRYAELREDWEVEFGPHRFSYKDLCLATDGFADKHLLGVGGFGKVFKGVLPTLKLEVAVKKVSHKSRQGMKEFVAEIVSIGRLRHRNIVQLLGYCRRKSELLLVYDYIPNGSLDKFLRKNKESKHALSWTQRFRIIKGIASGLQYLHEKWEKVVVHRDIKASNILLDKAMNGQIGDFGLARLYDHGMDLETTHVAGTIGYLAPELIRTGKASPLTDVFAFGIFLLEITCGQKPIMNNHACGSQIPLVHWVLENWRDGTLMETMDARLQGEYDAGEAALVLKLGLLCSHPFPSARPRMAQVMCCLDGVAPLPDLTPASLSLAMTWDSAFDASVAPDPVTSFGTISTFSGGR >OGLUM01G12330.1 pep chromosome:ALNU02000000:1:10827253:10830466:-1 gene:OGLUM01G12330 transcript:OGLUM01G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLAFPQDSPPLAILCAAKVAGVSLTLDPKLASGSAPTLHLGSGDFIHGVNTILRYIAHVASVTSFYGQDAIQAAYVDQWLDYAPVILSGSEFEAACSFLNGYLASRTFLVSYGLSIADIVVWSNLAGTGQRWESLRRSKKYQNLVRWFNSIADYSDTLDEVVSAYVGKRGIGKSPAPSLKEKLPDSKQNISTPEVDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYKGRLIVRFDDTNPSKESNEFVENVLKDVETLGIKYDIVTYTSDYFPQLMEMAENLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNSVEDNLSLWKEMINGTERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQEMGLRRVEIYEFSRLNMVYTVLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCGRHTAILKDQRVLFTLTNGPEEPFIRVLPRHKKYEGAGKKATTFTNKIWLESADASVISIGEEVTLMDWGNAIIKEIKTENGIITELLGELHLEGSVKMTKLKLTWLPDIEDLVSLSLVEFDYLIKKKKLEEDEDFLDNLNPCTRQEFSALGDANMRNLKQGEIIQLERKGYYRCDAPFIRSSKPIVLFAIPDGRQKSATN >OGLUM01G12340.1 pep chromosome:ALNU02000000:1:10832322:10836252:-1 gene:OGLUM01G12340 transcript:OGLUM01G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease R subunit 4 [Source:Projected from Arabidopsis thaliana (AT4G17040) TAIR;Acc:AT4G17040] MEAAAAFSPPRVSPDARAMFATLPASPSPHLRLAARPCALSASAPTTAAVAATKQRFLAPPRPDPAAPGGCGGGGARDVVAMVVPFLRGTAWQQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEAFAIYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKVSVVFASKKYEDNDLILLTFNVQPIGRFQGQATDVDIARKEIRNVKIEMIKLLSRHIGKSVEEIAQDIKRPKYFSPSEAVDYGIIDKVLYNEKSQEDGGVVSELKRSNLI >OGLUM01G12350.1 pep chromosome:ALNU02000000:1:10839146:10843706:1 gene:OGLUM01G12350 transcript:OGLUM01G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E1 C-terminal related 1 [Source:Projected from Arabidopsis thaliana (AT5G19180) TAIR;Acc:AT5G19180] MSSPDEDPPTEPERWRDLDMLLSRPGNLVHADFNPSPGLRDSLGSLVEVLVVGAGGLGCELLKDLALSGFKNIHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKEIEFYSQFSIIVLGLDSIEARSYINSVACGFLEYDSDDKPIPETLKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWNEALKRAELFGISGVTYSFTQGVVKNIIPAIASTNAIVSAACALEALKLISGCSKTVSNYLTLETLNMVLFEVHYFSLTICRYNGLDGTHINVSEFAREKDCLVCGPGTLIELGTSTTLSEFIKMLEEHPKLLMSRASVTHEGDNLYMQAPEVLEQMTRPNLGVPMFELLKGAARTTVHVTGMAENNGKKVSSLRKLRVTFKGVEESSKMDERVTFKGVEESSKMDESS >OGLUM01G12360.1 pep chromosome:ALNU02000000:1:10870408:10872506:-1 gene:OGLUM01G12360 transcript:OGLUM01G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKQSQFKGQGKKKTIPPNRHGKAPHVRKGKRAVKPTKFTKDMDADKELTKFINQCNEKKAASLASKEGGDLSILKADVDPSNSN >OGLUM01G12370.1 pep chromosome:ALNU02000000:1:10894757:10896424:-1 gene:OGLUM01G12370 transcript:OGLUM01G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRKGTEEEASSPTQRTCTLWRPTPAVARLKSGDDGEDLPDWQQASCLRLDLRRKGGKGRREKGKRSTQEIRIHLLVAGVRGGEAIVPEGQRHLLGAQSGGTQGVHATRALPEDTSAAQGGNAYFLRKGKGKGGRHVEAKFALYAAPDLSCKGTIHEEWWAVSGSIPQRGQISLLPHPRIWSLSAVRIFLCINVS >OGLUM01G12380.1 pep chromosome:ALNU02000000:1:10901461:10906158:1 gene:OGLUM01G12380 transcript:OGLUM01G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAARKAAAAARLPAAVLVVVAVGAFLISYNLLAIVLRGGGGAVAGAGRERDPVVAMPGWMRAAGSAGGGRRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPEGADMGGFTRVLHSGKPDALMGEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLEKAKIEEEYILMAEPDHIFVRPLPNLARDDPAAFPFFYITPSEHESVLRKYYPKERGPVTNIDPIGNSPVIIKKTQLEKIAPTWMNISIQMKEDQETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYTLKGVLTYGKIGEWRFDKRAYQDRPPPRNLTLPPPGVPESVVTLVKMVNEATANLPGWDDGR >OGLUM01G12390.1 pep chromosome:ALNU02000000:1:10906872:10907655:-1 gene:OGLUM01G12390 transcript:OGLUM01G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMMATAARPRDDQPRRRQALLLAASALLFLLCGGGAPGAGGIVVAVAATASATATPGLVFHVGGTRGWRVPDANTSYAWWAMNNRFHVGDSLYFRYGGGDSVLVVDREAFDGCNATEPVARFAGGATTVPLGRPGFFCFISGAPGHCDGGQRLIVRVMVHPAPGAPAPAPSAAAAATSHPGASASGPGASSGAAAVAAGAAGAAAAMGVLAGLVLLLQ >OGLUM01G12400.1 pep chromosome:ALNU02000000:1:10908768:10912795:-1 gene:OGLUM01G12400 transcript:OGLUM01G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAVWVPSPCSPSPVPPRHRRGRWRRGSASSSSSSAFRACAGGDASGDGGGGGRPARMVLHDSLEGAGVSTEHARAAREGFAKQVGRLTRLDAETSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVEAFVSRLDDLSTGFLADGYLPPAGAPPQVFLDHLDRYLYVHKGFRRKNGSSDGRAIYLHSVLTCRLGTALMLSLIYSEILKMLRLYGLLEFNVEIFFPHDLNSLPRGYDKHKSKLGDEPHIMTSKSLLVEILKTLKNTFWPFQSNQSGSFFLNAVSANQHGPGNVGDNQTMPHGNISTIEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLNHDPRELRDYAALLYHCGYYEDCLQYLASYQTAVHWNNPLEIMEDEAVNTLRARVSLILAEDGWSSRRSPATSYWTKNSEPW >OGLUM01G12410.1 pep chromosome:ALNU02000000:1:10913011:10917696:-1 gene:OGLUM01G12410 transcript:OGLUM01G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGATVITGNSKSSGSPVNVTLGVPLRTNTCRLASRARLSVLLAAGAGEHPVVHPVDELRGPPIDVTLSCAGEHPSVYLLMNSEAFRSMSRSAYHYGLTHAGQLAAAGYPSCSPPVSASIPRCTLSMNSEALRSMSRSAYHYLARPHFRRRPPPFLLLVAVVPLLLPLRHRRQLAAAGYPSCSPLASVSIPRCTLSMNSEARSMSRLAYHYSPIGRYLGYLQAL >OGLUM01G12420.1 pep chromosome:ALNU02000000:1:10915657:10916473:1 gene:OGLUM01G12420 transcript:OGLUM01G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFLWVQEQWQRMCLWNVPIIEREVGVCLLWVQEQRQRMWTNARAGKSVFASKNLWFKSNGSSNGVRKDEAAAEEREQQRSNDSGEVAVEWYAKRDIDRASEFIDRVHRGMLTDASGEQDG >OGLUM01G12430.1 pep chromosome:ALNU02000000:1:10918023:10920709:-1 gene:OGLUM01G12430 transcript:OGLUM01G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRNAIMIYIVKQRDLKNNNRGRKKFEILNDSYSATPTSRKSQQRQRVMAAAAPADAKAEAAKVDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >OGLUM01G12430.2 pep chromosome:ALNU02000000:1:10918023:10920204:-1 gene:OGLUM01G12430 transcript:OGLUM01G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPADAKAEAAKVDLLEDDDEFEEFEIDQEWDDKEDGDEAIQQWEDDWDDDDVNDDFSLQLRKELEECNTQKI >OGLUM01G12440.1 pep chromosome:ALNU02000000:1:10921948:10922523:1 gene:OGLUM01G12440 transcript:OGLUM01G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGENNTGAHGSGNVPPPATAASTAAGTAAASGKQQARGAGAEGQSVVRRLQSELMALMMGGDPGVSAFPEGDNIFSWVGTIAGSAATAYEGTSYRLSLAFPGEYPYKPPKVRFETPCFHPNVDAHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWPNQDEFRKMVEKLYKPTA >OGLUM01G12450.1 pep chromosome:ALNU02000000:1:10931282:10932895:1 gene:OGLUM01G12450 transcript:OGLUM01G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSVLSAAGVGGDEHEEERGEGEEAAAEQGEEGEAESGGGWSFGGLIKTLAEEIDVRPRDEQEAEEGGEEVAEGEGEVEEEADAGGGWIFGGLIKTLAEEIEEQRKVNSQLEAEEEAAAAAAAAAAAAAEEEGGETAGSGDGGEWSFGGLIQTFATRSESVLEGYRRDIQDLGSGLRLETATLRAAAARAAAAFPGALEAGASAASNRLESVGQAVDDLGAAAAVLLSHANEALRSVDADGEDGAGDGSSHPSDSASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDADGFAKWRDAFRIDERKEEIEGVLKESPGLESFVERLVPSVVDYDMFWCRYFFAVDKLRQAEDVRTKLVTRAMSKEDEEELSWDVDDDDEDDDNGDHKEGANTVVNKKEEQIEEPVSHKTEDDKQGADTPDVSEDKKTTLAAEKDGNGKSKDEVAAPKSSNDMGREEKIDSSKESEFSAASPPSAQEEDLSWEEIEDVGDEDEKKKPIPRSSPPSKAEDIRKRFSSVEDDEELSWDIDE >OGLUM01G12460.1 pep chromosome:ALNU02000000:1:10937537:10947992:1 gene:OGLUM01G12460 transcript:OGLUM01G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDLPVRHGVRRGAGAAGSRAPSGFVFGGGDTAAPGLVDVEGCSPTSASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDADVSGGNGSLPEMITDSVLSPNIVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTAENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVPTSELGDLGLVDEQSFTVRDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFTSVTNLENSSHSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESRPSSAIGLAHSEISRQQYTDLPAQWTKYNKADPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFTGKLEEAHQFLKKLGQEALAAGNEAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYFKAISRRAGLYELIRDYDQAGNDLRRLISLLERQLQENIYMPSEKSDGIRSSLNRSNLRLSALERDAKKGISLNVYLILGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTN >OGLUM01G12460.2 pep chromosome:ALNU02000000:1:10937537:10947992:1 gene:OGLUM01G12460 transcript:OGLUM01G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAADPLSASAPFPTIPAASSPRHPRAARPRRQPAPFRSSDHPGAASASASASSSSSSSSTRRLGVDLPVRHGVRRGAGAAGSRAPSGFVFGGGDTAAPGLVDVEGCSPTSASSWSSSSFVFGASDTRSSFSFGSSKAAPSSSFSATVGELTIDDPRNRQGDADVSGGNGSLPEMITDSVLSPNIVRQEIDHRDEGLGAPSQTMGRESTESSCSLVGQVDRPSLCTAENVATQFAKDEGKISADGADSRESKSVFVFGENSEERGFTTKITETEIKKGDSVEKKIVAFGSDQLDASVAEGNACTESSFQGERHGCGSSMRDHRGVSTRAKASNALPFGLVVQDSGAKVSSTKLSDERRSAGVPTSELGDLGLVDEQSFTVRDNDAASREYGGVKGVSMNKRAVQQEKFSAHQVPLPLFISDQKAAPEVNLHLKEVTNFRLEDSDISKGNSGTKEEDVNCFSPQATESNHDRTVFTSVTNLENSSHSDFIFAASTDHSKLHSQRRPSKKKIGGMSNHANSVESRPSSAIGLAHSEISRQQYTDLPAQWTKYNKADPKTVTVSVGPAIKENIGHQEDCETWRIRGNQAYAEGQLAKAEECYTHGINSVSLNEASWKSLMLCYSNRAATRMSLGRMREALSDCRKATDIDSSFLKAQIRAANCLLALGDVEEAQKGFEICLKSNHEASLDSKITEEASDGIKKAKKVSNFMLLSKEYIVKKEFDKIPSALQMISDALSTSTYSDNLMMMKAEALLLLQRYEEVIRFCEETLHLAEENSFSPCQHSKIIDLDNCSSSVKLWRYYIIAKSYFFTGKLEEAHQFLKKLGQEALAAGNEAFQAGKYSEAVEHYTAALLSNTESPRFSAICFANRAAAYQAMGQILDAIADCSLAIALDSNYFKAISRRAGLYELIRDYDQAGNDLRRGIEPSCTFLDIKKAYRKAALRHHPDKAGNFLVRSENINDAVWRDIANDIRKDADYLFKLIGKAYAILSDPTTN >OGLUM01G12480.1 pep chromosome:ALNU02000000:1:10966149:10971458:1 gene:OGLUM01G12480 transcript:OGLUM01G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6N3] METFPGKVVHAADYRSAEGFKGKSVLVVGGGNSGMEIAYDLAVGGAATSIVIRSELHLVSKEIWNLAMTLYRYLPVWVIDKVVLLMCAAVFGDTARYGLRRPAVGPFTMKATATMYPVVDVGTFAKIRSGEIRVLPAAIKGVRGRDVEFADGQRHAFDAVVFATGYRSTTKHWLKSDDGLIGDDGMAGRSYPDHWKGENGLYCAGMVRRGIYGSYEDAEHIADDISKQLRSSSKPTHNNGSA >OGLUM01G12490.1 pep chromosome:ALNU02000000:1:10975222:10977886:1 gene:OGLUM01G12490 transcript:OGLUM01G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAKGEEMTPEHEEEVIIVGAGQSGLAAAACLSVRGVASCLVLERDDCVASLWRHRAYDRLRLHLPKRHCALPRAPHAAAAPDYIPRDDFAAYLDAYASRFGVRTRLRREVRSARHDAARARWLVDAVDLATGKAERYAARHLVAAAGENDERVVPEVPGMDTFPGKVVHSADYRSAGAFKGRSVLVVGCGNSGFEIAYDLAAGGAAAVSIAVRGEVHLVSREVWSVGMALQRYLPTWAVDKVVLLMCAVVFGGDTARYGLRRPAVGPFAMKMTTPAYPVFDVGTFAKIRSGEIRVVPAGIKSVRGGDVEFADGRRHAFDAIVFATGYRSTTKQWLKSDDGLIGDDGMAGRSYPNHWKGENGLYCAGMVRRGIYGSGEDAELIADDISKQMKRRSSEPVHNGHISNGSP >OGLUM01G12500.1 pep chromosome:ALNU02000000:1:10988579:10989283:1 gene:OGLUM01G12500 transcript:OGLUM01G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-A14 [Source:Projected from Arabidopsis thaliana (AT5G56320) UniProtKB/Swiss-Prot;Acc:Q9FMA0] MAAEVAAGGDSGWSSGSATFYGGSDASGTMGGACGYGNLYSAGYGTSTAALSTALFNNGQSCGACFEVRCGGGGSCLAGTVAVTATNLCPPNYALAGDAGGWCNPPRPHFDMAEPAFTRIAQARAGVVPVQYRRVACAKQGGIRFTITGHSYFNLVLVTNVGGAGDVTAVSVKGSRSGWQAMSHNWGANWQNGANLDGQPLSFRVTASDGRTVTSDNVAPSGWSFGQTFSGGQF >OGLUM01G12510.1 pep chromosome:ALNU02000000:1:10995214:10995727:-1 gene:OGLUM01G12510 transcript:OGLUM01G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGEASLPLSLSLSGPAVPPLRQAPVTSPLPPPHCPTVMPPRRSYHSRRAPTAPPLPLSYCRQAHEALSISLAMRIKREEKVPRKRHVDAIWNDDQVNSPRKCHGGQNRRGGRVIPGVVVEGCDSTRHKS >OGLUM01G12520.1 pep chromosome:ALNU02000000:1:11017022:11018372:-1 gene:OGLUM01G12520 transcript:OGLUM01G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 56 [Source:Projected from Arabidopsis thaliana (AT5G17800) TAIR;Acc:AT5G17800] MAHEMMGGFFGHPPPPPATAAVGEEEEEVVEETEEGGHGGGVHGKLCARGHWRPAEDAKLKDLVAQYGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLMAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLMARRHREQSGAFRRRKPSSSSASPAPAPPPPPPQPVVALHHHHHRYSQQYSGYSGAAESDESASTCTTDLSLSSGSAAAAAAAAAANIPCCFYQSTPRASSSSTAACRAPRVAAAADTVAFFPGRKEGSSQDQAIKTKLRLELAKNKTRTRRRRRNKTCSKLINGMKNNKKKM >OGLUM01G12530.1 pep chromosome:ALNU02000000:1:11026897:11027082:1 gene:OGLUM01G12530 transcript:OGLUM01G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGDFDDDGGAPAWVQDACHCSLRRRPALLRRIEEVVAASAMALRSWLCTVAAASSPG >OGLUM01G12540.1 pep chromosome:ALNU02000000:1:11064137:11072833:-1 gene:OGLUM01G12540 transcript:OGLUM01G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASSSGRTTTRRSPGGDDGSPGGSDRKRMKRPMAVKKFMVEISFAAKVPMSAIAEVLRGQETENSMEALRVLDITLRQHSAKHTNGPDRAKVEEDKMKLEFEMAAKILACEATYKKKITKLKKEADHVKNVSTTMIVRPGPVIEFLLFNKNIKNPREIDWGKAKRALKNLRIKTTHTGSEFRIIGLSEDTCYSQTFQIKRKNGNGGSDTVEEVTVSEYYRKNWKIDLKGSAHFPCLNVGKPKRPTYIPLELCHLVPLQRYKKALSTLQRSTLVERSRQNPQERMFVLSGVLRDSDYNSVPMLRECGISIAQEFTQVAARVLPAPKLKSGDGEDIFARNGRWNFNNNRLIQPKRVQRWVVVNFSARCNAHHLAQRLIHCGNLKGLPVDPEDHVFQERSHMGRERAETRVNDMFQQLLSGDKPSFVLCVLPERKNCDIYGPWKRMCLVKYGIVTQCLAPTKINDQYLTNVLLKINAKLGGLNSLLQIERNQAIPLLSKTPTIILGMDVSHGSPGRDDVPLLVPWKWPLISKYKASVCTQSPRLDMIDSLFKLVGNEDHGIIRDGVSEGQFNQVLNIELAQIIKACEFLANEKNDSEWSPKFTVIVAQKNHHTKFFQTDRSNKVVNVPPGTVVDKGICHPRNCDFYMCAHAGMIGTTRPTHYHVLHDENNFTPDDLQELVHNVSYVYQRSTTAISVVAPICYAHLAAAQVSQFVRLDDAASEGSGDGGAPPRPVPELPRLHPDVRQSIPLSKRWKKW >OGLUM01G12550.1 pep chromosome:ALNU02000000:1:11071437:11071709:1 gene:OGLUM01G12550 transcript:OGLUM01G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKPKLLECQAGAAVAAAAEGPPSPPCLHRGRRRRRAGAAVTAVPSTRPPPPRRGCRHRRAFNGAFSVAAAAPAAHGPPPRRPDREKG >OGLUM01G12560.1 pep chromosome:ALNU02000000:1:11072846:11073271:-1 gene:OGLUM01G12560 transcript:OGLUM01G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPKKLPMSRKGFGTRGQSIQLLTNHFRVSIRRMDGHFYHYHVEVKYEDGGPVEAKGVCRRVVDKLQETYASELAGREFAYDGEKGLFTAGALPQTKH >OGLUM01G12570.1 pep chromosome:ALNU02000000:1:11073291:11084321:-1 gene:OGLUM01G12570 transcript:OGLUM01G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGGEHGGRGGRALGVKASFQLGRRARGGHLARPRVAAHPRVLAGDTCTFPIHPRATVARVASTRSCEVPVLPFVPCRCVFSSYKRERERERERESGEARRSSFERREGGQGGGAHHPLGEVASVLASIGQRFDSFGELRSVHMESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKPKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFMVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRPYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKCSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSIRRGFCTARTVLSLESGHTKLQVELSLDGVSW >OGLUM01G12570.2 pep chromosome:ALNU02000000:1:11074709:11084321:-1 gene:OGLUM01G12570 transcript:OGLUM01G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHGGEHGGRGGRALGVKASFQLGRRARGGHLARPRVAAHPRVLAGDTCTFPIHPRATVARVASTRSCEVPVLPFVPCRCVFSSYKRERERERERESGEARRSSFERREGGQGGGAHHPLGEVASVLASIGQRFDSFGELRSVHMESNSGEIEELPPPPPLPPNAEPIKTDDTKKLSKPKRALMARSGCGKKGQPIQLLTNHFKVSLKAADEFFHHYYVNLKYEDDRPVDGKGIGRKVLDKLQQTYASELANKDFAYDGEKSLFTIGALPQVNNEFMVVLEDFNTGKSSANGGSPGNDSPGNDRKRVRRPYQTKTFKVELNFAAKIPMSAIAQALRGQESENTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGGVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNKIDWAKAKRALKNLRIKTSPANTEYKIVGLSERNCYEQMFTLKQRNGDGEPEGVEVSVYEYFVKNRGIELRYSGDFPCINVGKPKRPTYFPIERSSLVEKSRQKPEERMSVLSDVLKCSNYDSEPMLNSCGISIARGFTQVAGRVLQAPKLKAGNGEDLFARNGRWNFNNKRLIKASSIEKWAVVNFSARCNIRDLVRDIIKCGGMKGIKVEDPFDVIEEDPSMRRAPAARRVDGMIDKMQKKLPGQPKFLLCVLAERKNSDIYGPWKRKCLAEFGIITQCVAPTRVNDQYITNVLLKINAKLGGLNSLLQIETSPSIPLVSKVPTIILGMDVSHGSPGQSDIPSIAAVVSSREWPLVSKYRASVRSQSPKLEMIDGLFKPQGAQEDDGLIRELLVDFYTSTGKRKPDQVIIFRDGVSESQFTQVLNIELDQIIEACKFLDENWSPKFTLIVAQKNHHTKFFVPGSQNNVPPGTVVDNAVCHPRNNDFYMCAHAGMIGTTRPTHYHILHDEIGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVSQFIKFDEMSETSSSHGGHTSAGSAPVPELPRLHNKVRSSIRRGFCTARTVLSLESGHTKSSFLARV >OGLUM01G12580.1 pep chromosome:ALNU02000000:1:11084718:11088879:-1 gene:OGLUM01G12580 transcript:OGLUM01G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGCAGESRKCVRRSASTSGRPQAPMVLQGLGTTRRDAATARTPSTPRVECAASTVHRGDGSDGRATWDPRVGGMVFGRLGSMGHGPWARVPSLARVRGSLFGWRKRREESREGVDARGV >OGLUM01G12590.1 pep chromosome:ALNU02000000:1:11089631:11098448:1 gene:OGLUM01G12590 transcript:OGLUM01G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHTKNFSDPASTAAAAAPPGGGLRGDGRPALAGVPMIRAHSHPPPHPSAPRRSSASCNHESTTKKKAPENEVWEGPPLVLAVLQLKTNRQNEEGVLAYAVTIAQQYRTTARCNPTPVSLN >OGLUM01G12600.1 pep chromosome:ALNU02000000:1:11089701:11097455:-1 gene:OGLUM01G12600 transcript:OGLUM01G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDPSCSCRFLLWFLCFVACVLLESAASVHSWCPLHPSSRQEAKFKQKTNKFWEYQEQSNTWVEISMPFNLMSCINDTCTKVGSIEQPERRHGRASISSQEEKDAEIDDNDQADRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGLAWVIAPHELPISVGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEVIFNSEQQFIVLGEKTQSQAMHIRNGIVSYDGRKLFLSITNGSLVEVTELQPLRWTYHGHPPGGDVSYISDAGNARPGTVFTVSSTGDLYEFDRESRPSWKKHIWSEETAENVSLSSSVGCALHGLLGSNSVSLFLITKDGLLVERRLHRRKWKWYKHGAPKSQRLSSITEVQQDESNDATSMYFTTTTGKVFEYQFPKYTGGAQSNKIRGLWVNHMSPENTKVARNVRGVQVQVGRVIFPLDDGRLGELHLPGMGGDDFGPSQHYSMRRKVPNKYEWSILDAPETEGWNAEYCTEEHGPTNCITGAKNVAADTKSNDLSNIPPSRWRKEDKQQYLYVNTHEKDEIESYNFLSRSIDVNFHMRVMHADRSLFLITDNGLTFEYLNNNGVWLWLRHEHTTSMKGTVGSYNGSLYLVDVHGNLHIRERNGDDLLWINCTAMRKGRQVASGSPWDGIPGLPRRVTTDDALFFVNKRGRLLQFTVALRKFKWKDCQSPPDTKIAFIVDQEVFRRNVIFAVGRNGRLYQYNRITELWHKHYQSPHLVLSRSPGTAMRPSHLSLAGSIFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVAIVGAPGPCFDGSQLFVIGSDGDVYRRHLENWTWRWTSHGHPSAPSAVAMNAAGGDKSCATPGSAADAHYADGFVGSCDEKVAAARPVPFSEDAVVFELRDGRLAELRRGADGCGGGWEWARIIGTPASACMTSYWTAVAT >OGLUM01G12610.1 pep chromosome:ALNU02000000:1:11103734:11106730:-1 gene:OGLUM01G12610 transcript:OGLUM01G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKAKLVIISNNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTAFTSQPKFRGNIVLGLENFVPGPNHVFN >OGLUM01G12620.1 pep chromosome:ALNU02000000:1:11110912:11115871:1 gene:OGLUM01G12620 transcript:OGLUM01G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6P8] MGEDAGEKVSPSTILSSSSSPFPSISLSLSSSSPPTAAAAASRLRRPEEGGRGGMALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >OGLUM01G12620.2 pep chromosome:ALNU02000000:1:11110959:11115871:1 gene:OGLUM01G12620 transcript:OGLUM01G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6P8] MALRRLLQGSVLPRMAGRAAAAPFSTASGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTNGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIVYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNNQADRIHNAILQTISEGKFRTADLGGKASTSDFTKAVCDHI >OGLUM01G12620.3 pep chromosome:ALNU02000000:1:11110782:11111322:1 gene:OGLUM01G12620 transcript:OGLUM01G12620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NAD] subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6P8] LKASILFIFLLRKKERNPGSIVAVNAPWARANPSRPIQIDGRINGRGRRRKSLPFHHPLLLLLPFPIHLSLSLLLLAADRRRRRESPSPSVRAPFVPRVLGV >OGLUM01G12630.1 pep chromosome:ALNU02000000:1:11116656:11121261:-1 gene:OGLUM01G12630 transcript:OGLUM01G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPTGYAPFVDPAAAAAPPPAEKDAAADEEKVDYMNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSVSMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTARVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >OGLUM01G12630.2 pep chromosome:ALNU02000000:1:11116656:11121261:-1 gene:OGLUM01G12630 transcript:OGLUM01G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVSHASAAAPPPPPTGYAPFVDPAAAAAPPPAEKDAAADEEKVDYMNLPCPVPYEEIQREAFMSLKPDVFEGLRFDFTKMLNPFFGLSHSGFGCIVTILVRLSVSMGSMELPSQGADVIKVPTSNYEFGANFMDPKMMLIGRVSHDGRVTARVKCDLLENLCLKINAQLTNEPHYSQGMFSFDYKGKDFRSQFQLGNNAFYGGNYIQSVTKNLSLGTEAFWLGQQRKSGVGFVARYDTKKMVATGQIATTGLVSLSYVQKVSEKVSLASDFMYNHMAKDVTASFGYDYMLRQCRLRGKIDTNGVVSALLEERLTPGVNFVLSAELDHWKKDYKFGFGMVLGE >OGLUM01G12640.1 pep chromosome:ALNU02000000:1:11125097:11126494:1 gene:OGLUM01G12640 transcript:OGLUM01G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSICNGNDPINPLPRIEEEANTLHAPPQHTYAWYVSAGVTRGVQRDARGASRRPPAPPPHPSPEDSSDPLAALLFIPHPPPPPSPPLHPPRSRERSKLESISNPEDLISFDADQDHLINGVHAEEPRGARGGGGAQGGRRAPRRQAGRAWRRRRRHAGHRAGERAVPPRRRPRQDPRARQRRRWRRRSRRGGEGRRGEGLRGGQGGGRAARARGIRGGEEGRDGRVRVAARGGRAAARRGEGRGGAAAERASAVGGGEGEVGDGEHLRVGGERGGGVQAEDDDAGGRGGAEARRGCRRREQGNSRRDGDGDEHRRGGGGGGGDDAGEGGGPDDGAGVQRRGQDGGGGHRHGGRRWWAPPLRSPEPTARRHATVFLLVAFCTNLSIICYVNM >OGLUM01G12650.1 pep chromosome:ALNU02000000:1:11128350:11129660:-1 gene:OGLUM01G12650 transcript:OGLUM01G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-1 [Source:Projected from Arabidopsis thaliana (AT1G08700) TAIR;Acc:AT1G08700] MALVVLLISLLAPPSAPGSAAAAAQPPPPVTAATLVYLESPTDTPGQKLVGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVLFSMGGAIAATVLRRLGAPLDAATALVLLFNASAVGVLSVFASAVPIVVRQGYMVALAVIVAAWLSRLPEWTTWIMLIALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPASGSSSYASAMGSVEMQPVADPGRSGGNQYDRVEQEDDSSRAVVEMRDVGGSRSSIRERNLEREAPMAVSVSGHSSNQGGSSQHAVIQIEQHEEGETVPLVSAASANNAAPNEEHRESSSSDSGMEFEMFESTRGIKLGLGDFIFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICKHALPALPISILLGVTFYFLTRLLMEPFVVGSSTNLVMF >OGLUM01G12660.1 pep chromosome:ALNU02000000:1:11130567:11135034:-1 gene:OGLUM01G12660 transcript:OGLUM01G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine biosynthesis bifunctional protein (HISIE) [Source:Projected from Arabidopsis thaliana (AT1G31860) TAIR;Acc:AT1G31860] MAAPPLPRAPVSSSAAAASPGGRALLLLRVGGGGSGRCAGVAAAAAPGWRRPFPAASVAARSAGTTPGEVAVDPKVEAILDSVKWDSKGLAVAIAQNVDTGAILMQGFANKEALATTISTRKATFYSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYDALQGSKPNQDRQVVSTLYSLEDTISRRKEEIVTEGSGKPSWTKKLILDNRLLCSKISEEAGELNQTLLENEDESRTISEMGDLLYHAMVLLRVKGVRMEQVLEVLRKRFSQSGIEEKASRNKS >OGLUM01G12670.1 pep chromosome:ALNU02000000:1:11135713:11137863:1 gene:OGLUM01G12670 transcript:OGLUM01G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAAGGLYYCHMCASTVSAVAAAEGEVEIKCPYCHSGFVEEIESARGAAWAPIIDGMVGGGGGDAVRRHRRSRRLADAAGADDGYYRDLALLDFSESRRRTAALLLLMQEFRERQLQRLESATATISAAAAEAGAVVGTSRDAEGVALADYFLGPGLDALMQRLGDGDAGRQGTLPAKKEAVESMPTVEVAAGGDCDSACAVCLEDYAAGERATEMPCRHRFHAKCIVPWLKMHSSCPVCRFQLPTDDDDDSSKSARGGAAHAGGGRRLSQPAPRVDGGGLGRLPAVMQELRSILSQPSPASTSGSSSHAQQHSDE >OGLUM01G12680.1 pep chromosome:ALNU02000000:1:11147620:11152742:-1 gene:OGLUM01G12680 transcript:OGLUM01G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6Q7] MAVMEEQQEGAAGVMRRRPKTKIVCTLGPASRSVEMIGRLLRAGMCVARFNFSHGSHEYHQETLDNLRAAMESTGILCAVMLDTKILDLLKIQGPEIRTGFLKDGKPVQLKKGQEITVSTDYSIKGDDNMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTAMLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKVLGKHAKSIMLMSKVENQEGVANFDDILAQSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVRTMAKICLQAESCVDHAAVFKSITASAPIPMSPLESLASSAVRTANSAKAALILVLTRGGTTARLVAKYRPSMPILSVVVPELKQTDSFDWTCSDEAPARHSLIVRGVIPMLSAATAKAFDNEATEEALGFAISNAKAMGLCSSGAPSVFAVHGLTIETVKFVIATAAYFAASAATSIKLDSRYVIC >OGLUM01G12690.1 pep chromosome:ALNU02000000:1:11156377:11162799:-1 gene:OGLUM01G12690 transcript:OGLUM01G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein [Source:Projected from Arabidopsis thaliana (AT5G56360) TAIR;Acc:AT5G56360] MGLHALLLLLLLLRISASAAASRPPLDTLGIPPQDEAYFRGGVIRCRDGSGRFARDKLNDDFCDCPDGTDEPGTSACPEGKFYCQNAGHSPITIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAARDKLKKKVATYKSGVVIQNQEIQKAKVAFAKDEAELAKLKGEEKILQGLVDKLTEQKKLIENAEEEERLRKEKEKKRMKEEAEKQAADEKKASDASQEVDSQENHETVQEDESKVAEHHDGHATSHDNHTPESESSVEQHDPESQDDISIKAAPADESPPEETSAAPTKEQESTPADSEGLSREELGRLVASRWTGEKVDEVSKDDKNEHEAEHDMPEHSEETHEDESDVPESAEDSYAGYHSEVEDDRHKYDDEDFSHESDDEYVDDHDDHVASYKSDDDQKGDDHSDFTASGQASWLDKIQQTVQNVLRTFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSRISTLTDKLKHDFGKEKEFYYFYDQCFESKEGKYVYKVCPFKKASQVEGHSTTSLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLNNELNGVDEPSRCEYVAVLSTPALCDEQKLKELEQKLEASSNQRDHDEL >OGLUM01G12700.1 pep chromosome:ALNU02000000:1:11165066:11165521:-1 gene:OGLUM01G12700 transcript:OGLUM01G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAAAKARCSVPFILAALAALSCVFLVHGLYGLIELFGSPELSPEAMLLIFALCFLLLAAAAAAAAVTTTTTSVVGYRRALLPREAAAVVMPTTSTAEDAAVVGMAGVEGELPVVADEAAAAAARRMDMQTTQDYPSSGANSRHDPRNPH >OGLUM01G12710.1 pep chromosome:ALNU02000000:1:11190624:11195033:1 gene:OGLUM01G12710 transcript:OGLUM01G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRQGDDPAIKLFGRTIPLLLDPAAAAAAAAADEVMPNLGNGVKTNNDLPLVSDKLLIVKGIPFCPNNSKKNDLQGISRPDGRIEIDSMTEDVKTEPDGSVPEKILKKPDKILPCPRCNSMETKFCYFNNYNVHQPRHFCRNCQRYWTAGGAMRNVPVGAGRRRNKHVSKYRQAMMTCNNTVAPGDVSDVVHHQVITHGSSLLPATLKENETPTEFISEVPPCKSSASILDIGEPNDTDLVPLASGDNKEEKSCASYVVVSSCSENLMPDNAIMKEPNNRSGCCNGVALPFPTGPALVLPWSLGWNSVALMPATQCSTQPVLGLKDGIPCPPSWPPQLMVPAPGICTPVVPIPLVPPLWSCFPGWPNGMWNAQCPGGNTTVLPSTAPNKISCSGSSSLVLGKHSREESLQEEEKTRNYLWVPKTLRIDDPAEAAKSSIWATLGIKPDDKGIFKSFQPNVAKNGTAPESPQALQANPAAFSRSQSFQETT >OGLUM01G12720.1 pep chromosome:ALNU02000000:1:11192055:11201534:-1 gene:OGLUM01G12720 transcript:OGLUM01G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6R2] MASERPLLDASPRPTQQPPASSLLPPPQPEPPLRADRLAFSLEVPDPFRREPDPSSAASQRGEEEGGGEEESRAVVVGEPSSSAAAAGFAGNGVRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGGIGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYCSGKDSCGYSVVVDDLLWTPKMAVKTDHWLLKLLRGGGTDEETKLALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVHATEAHLHKYSSFGLRTLVIGMRELSQPEFEEWQLAYENASTSVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISVGYSCKLLTNDMTQIVINNNSKESCKRSLEEAHATIKKLRIASTGTQSPELASESAGVTLALIVDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWFLPGYGAIFHIMGTAMVPHFVIKAFTEYFTPSDIQVAREIEKFENVNQVNRSEVPMTRQPGKQLHRGGTRGIGTTGVQIPGAGTISCGGHEGGQGIPSFNPRTGCVEHWVAGMRATLFHPRLQGSTKAGPCCWKERRSMCNNLMVNHIRNISRSYSIIARHHRLTRADQSPFSSVLKPNCKPIRFSENCLLE >OGLUM01G12720.2 pep chromosome:ALNU02000000:1:11192055:11201534:-1 gene:OGLUM01G12720 transcript:OGLUM01G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6R2] MASERPLLDASPRPTQQPPASSLLPPPQPEPPLRADRLAFSLEVPDPFRREPDPSSAASQRGEEEGGGEEESRAVVVGEPSSSAAAAGFAGNGVRTAKYSVLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDLRRHRSDRQENNRLARVLLAPPAAGEFAPKKWKHIRVGDVVRVASSETLPADMVLLATSDPSGVAHVQTVNLDGETNLKTRYAKQETQLRFSQDGGIGGVLHCERPNRNIYGFQANLEIDGKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKVMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWILNHRGDLEFTQFFREKDYTTGKNYNYYGMGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADRDLYDESSRSKFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIRGVDYCSGKDSCGYSVVVDDLLWTPKMAVKTDHWLLKLLRGGGTDEETKLVLEFFLALAACNTIVPLVLDTRDSKQKLIDYQGESPDEQALVYAAASYGIVLVERTSGYVVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDKTVKLYVKGADSSLFGITKNSLDLDIVHATEAHLHKYSSFGLRTLVIGMRELSQPEFEEWQLAYENASTSVLGRGNLLRSVAANIENNIRILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISVGYSCKLLTNDMTQIVINNNSKESCKRSLEEAHATIKKLRIASTGTQSPELASESAGVTLALIVDGNSLVYILETELQEELFKVARECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKETLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYMPYFAYRQSTIDMSSLGDLWALAPVIVVNMLLAMDIFRWNWIVHAFVWGTIAATTICLFVIDSIWFLPGYGAIFHIMGTAMVPHFVIKAFTEYFTPSDIQVAREIEKFENVNQVNRSEVPMTRQPGKQLHRGGTRGIGTTGVQIPGAGTISCGGHEGGQGIPSFNPRTGCVEHWVAGMRATLFHPRLQGSTKAGPCCWKERRSMCNNLMVNHIRNISRSYSIIARHHRLTRADQSPFSSVLKPNCKPIRFSENCLLE >OGLUM01G12730.1 pep chromosome:ALNU02000000:1:11201563:11207086:-1 gene:OGLUM01G12730 transcript:OGLUM01G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSQCVGMKECSSDTVAMPPIVDASSAVHWRPPTASPSPATSRPNPTPPRAAMPPVVAH >OGLUM01G12740.1 pep chromosome:ALNU02000000:1:11206731:11210644:1 gene:OGLUM01G12740 transcript:OGLUM01G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGGGGGGFGLGGLQWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIVLGGSYILLFALGKGGHGHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGSVLCLVGVLTYVFHHHDGDEHSLHEHVHRKLVGL >OGLUM01G12750.1 pep chromosome:ALNU02000000:1:11211299:11213158:1 gene:OGLUM01G12750 transcript:OGLUM01G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGDGDYYRTLGIERGASKAEVKAAFYRLAPLHHPDRHAASDAAARAAAGGRFRRVYDAYTVLHSDATRAAYDHLPRTATSPPTSRGSGGAAASGSSYGRCFSRPQPPSMKLPVILFFSLVTGREQRWHREIDGLIKALIDYVD >OGLUM01G12760.1 pep chromosome:ALNU02000000:1:11222784:11226856:1 gene:OGLUM01G12760 transcript:OGLUM01G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHYQTLGLRQDATKAEVKAAFRRRALRDHPDRHAHSPDAAARADAARRFRLASDAYRVLSDDRLRAEYDLRIRSSSFYGRASSSASASASASASSSSASYDYGYGYGHRRGGGTWRRPPPGGGGAASAGFDWDLLLKSVTRRGFLINLGFASVLLTGAAFLDGSILEIWKMNNSGKSFEDAMESIEKVKIQKGNR >OGLUM01G12770.1 pep chromosome:ALNU02000000:1:11228375:11229091:-1 gene:OGLUM01G12770 transcript:OGLUM01G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCVSLDATWAHLPAPPPPPPHHTWLSPAADDAITAALWASMAPSSASSYCGSAASPTPSTSTTTTSSSAASAEILAGGGARAAAAATRPSGRVSKRKPRPSRRAHTTYITADPADFRRMVQEITGFPVPGAHTAYPSASASSAPAAPHAAAALACVLPTLDTSAFLLDRASPPPPPPQPQPGRKNDKTPTTTMASTPPQPPAAADEAAASSLLLQELEELIGASAFPTLESWGMI >OGLUM01G12780.1 pep chromosome:ALNU02000000:1:11252589:11266966:-1 gene:OGLUM01G12780 transcript:OGLUM01G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDICNANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTNKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQFLLLDLDVMCNLCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >OGLUM01G12780.2 pep chromosome:ALNU02000000:1:11252589:11266966:-1 gene:OGLUM01G12780 transcript:OGLUM01G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESTLKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDICNANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKSEVPDDFRRDPLSLALRCLSFDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTNKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKSDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYTERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQRYRVLSKQRLGRAILIFVQNFRRSYVGDQAMHSSKQLYARLSELLGLNDHLVLLNAIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRDSFPFLEEYRCARSRTTFYYILGCLVFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLCADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYIEVLFSSHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFEKLMSDVARNLEPKNRDKFTKNLTTFRHDFRVKNIQA >OGLUM01G12790.1 pep chromosome:ALNU02000000:1:11267285:11268386:-1 gene:OGLUM01G12790 transcript:OGLUM01G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTHPDLPSARLRSSRLRPSRRRRRRKVSPPPVAHTHPLPIPVGARGGDNQDNSTQAELWLVLAI >OGLUM01G12800.1 pep chromosome:ALNU02000000:1:11274278:11280536:1 gene:OGLUM01G12800 transcript:OGLUM01G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQEEEEEEEEEGASSEPETRPRPPPATPAAAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIRWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHHQIFGRIIRPWIGFWFTSIQMVPLSHLEHIYRKFSDVDNGLYISNVAEGSPADIAGIRQGDNLMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEIVIKRENDGSTIEKTISAGLIEEFNYNRWPTPIPSYKVRRDTIGREKGMIPKFVEY >OGLUM01G12800.2 pep chromosome:ALNU02000000:1:11274278:11280493:1 gene:OGLUM01G12800 transcript:OGLUM01G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRTGEATPPPQAHAEEEPGRGKRRRVVARETRLQQEEEEEEEEEGASSEPETRPRPPPATPAAAEEPAATAAGAEARAQLAINIRRMLAVEAERRGRIAERIGVQAEGPILTLEDTFCDIDDGSAKSQVARKVALGVSQSIVSLSSFAGRKRIRVCSGFVIRWNDSTSIGTILTSAALVRPPCGDDVRVEVFLPSGDISICQISMVDFHHNIALVEVTSNFKLQEAVILKYIIDKGDVLALGRSYEGGLLMCSRGEISNRASIFECSELLVSSCEITMAGTGGPLVNYNGHVVGINFFEENQTPFLSMAIVFKCLEHHQIFGRIIRPWIGFWFTSIQMVPLSHLEHIYRKFSDVDNGLYISNVAEGSPADIAGIRQGDNLMKCGGKFLSTAPEFGAMLMDKCKETMEEYDQETNGDFSAKRITVEVAYTDP >OGLUM01G12810.1 pep chromosome:ALNU02000000:1:11280834:11283756:-1 gene:OGLUM01G12810 transcript:OGLUM01G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSPPEDGDGRPIAIEGQAVEDLAASALAARGLVTAPGGLGAPADALAVAADGHNANASAVAAARTLLPNAPLLAMEFNLPVLQVQSVGNLGRMLQKLWQQNLTLHGSRLEKKSYGIASQCSSHGQAAAGQPSQSQAAASQPDDRLAAWEHAN >OGLUM01G12820.1 pep chromosome:ALNU02000000:1:11285954:11289154:-1 gene:OGLUM01G12820 transcript:OGLUM01G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTCRAGFFEPPPCADRSTATQPGRKGNRRKERRKGKRRRKRKEEGKMERIGDFAQMSPCFLKIMHILLCIGRKYTINPQHWAICLSGLHNLQISPKIIFSHKRDHRTQDFDPQLCIPEALSKKKKKKHMKNASPRISMDTRIKKLAMRPIIGNVSFRQQNSLAVNHELNGINELEVEKNST >OGLUM01G12830.1 pep chromosome:ALNU02000000:1:11316751:11321707:1 gene:OGLUM01G12830 transcript:OGLUM01G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSFVNLSNLEKLNLSDCIKLKDVPQPFDNLRKLEYLNFSGCHRMNLNVDCLRKLVNLKCLTLSHHTDIKDFPYCYQDLADCLDLSRWLKNNWVHHQCNPKATLLQSYRCHHQSMINRLLSYGSASDEDDITSEKSLTSICIVGESGMGKTELLREIYNDEMIFQGFHLRIWINMCDKKGLLEKIIEFITCAYCYDAPSSILEETVREELNGKRFLLVLDDADIENRCFWSDLWKLANLGAVGSALIVTTRSKEVAKLFGAMQTYYLRSLPSEECFMVFQEHAIIGGFHINDYPQFTKFGWMIVEKCGGNALSMKALSGLLCHSETGLFEISSLLFEINSLGVGGGILPALRLCYDLLPSRLKQCIKFCSLFPRNYVFVKHHLVQLWISQGFINPEEDSQPEDTGLQYFNELFCRSFFQNGPSRDDDHKDKFVMHELFHDLACSVSKDECFSSGEAFCSLPENICHLSVVLPDSKSVVLTNVQRHLQSLMVVKRSASEYPGSFVPLLKILGLNDLLMKCRFLRALNLSCATIRELPSSIMKMKHLQFLALNNTKIQSLLAEIGQLQTLQTLELKYCCCLIELPESTMNLTKLRHLDVQKEPGNVHVSMPHGIGQLTDLQTLTVFNTGDDLSHCSIEDLKNLSGLRGHVHITGLQNITASDDAKEANLVGKQFLGALTLEWCWSSQDMEDDSDKEIANQVLHNLQPNTNLQELSIRNYSGNLFPNWIQDSSLSMLVSITIDDCQDCNEIPHLGDLPFLKSPHT >OGLUM01G12830.2 pep chromosome:ALNU02000000:1:11317088:11321707:1 gene:OGLUM01G12830 transcript:OGLUM01G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSFVNLSNLEKLNLSDCIKLKDVPQPFDNLRKLEYLNFSGCHRMNLNVDCLRKLVNLKCLTLSHHTDIKDFPYCYQDLADCLDLSRWLKNNWVHHQCNPKATLLQSYRCHHQSMINRLLSYGSASDEDDITSEKSLTSICIVGESGMGKTELLREIYNDEMIFQGFHLRIWINMCDKKGLLEKIIEFITCAYCYDAPSSILEETVREELNGKRFLLVLDDADIENRCFWSDLWKLANLGAVGSALIVTTRSKEVAKLFGAMQTYYLRSLPSEECFMVFQEHAIIGGFHINDYPQFTKFGWMIVEKCGGNALSMKALSGLLCHSETGLFEISSLLFEINSLGVGGGILPALRLCYDLLPSRLKQCIKFCSLFPRNYVFVKHHLVQLWISQGFINPEEDSQPEDTGLQYFNELFCRSFFQNGPSRDDDHKDKFVMHELFHDLACSVSKDECFSSGEAFCSLPENICHLSVVLPDSKSVVLTNVQRHLQSLMVVKRSASEYPGSFVPLLKILGLNDLLMKCRFLRALNLSCATIRELPSSIMKMKHLQFLALNNTKIQSLLAEIGQLQTLQTLELKYCCCLIELPESTMNLTKLRHLDVQKEPGNVHVSMPHGIGQLTDLQTLTVFNTGDDLSHCSIEDLKNLSGLRGHVHITGLQNITASDDAKEANLVGKQFLGALTLEWCWSSQDMEDDSDKEIANQVLHNLQPNTNLQELSIRNYSGNLFPNWIQDSSLSMLVSITIDDCQDCNEIPHLGDLPFLKSPHT >OGLUM01G12840.1 pep chromosome:ALNU02000000:1:11323064:11324455:1 gene:OGLUM01G12840 transcript:OGLUM01G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIVEPNNDDPNTWLVTYLYEHNHKQEARPCDKPSPSDLHVQLASGNT >OGLUM01G12850.1 pep chromosome:ALNU02000000:1:11331669:11333597:1 gene:OGLUM01G12850 transcript:OGLUM01G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 5 [Source:Projected from Arabidopsis thaliana (AT3G56910) TAIR;Acc:AT3G56910] MALLLSPTVSFLASSSASPPRARALPASANVASTIPAPRLQCKNLSSQSPLNASFTKKRLVSVHASAEAGAEEAGTDQPEEPKPTVSIETMPLETKQKMIMEQRAKMKLAKKLRQRRRRLVQKRRLRKKGRWPPSKMKKLKNV >OGLUM01G12860.1 pep chromosome:ALNU02000000:1:11334060:11339168:1 gene:OGLUM01G12860 transcript:OGLUM01G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRAHFVILVLVYRLLVPLSAEPDQTRESYVVYMGGGGGAGAGAGVEEEAARAMHMEMLTSVAPAGDDQGRAAAALTQSYHQAFQGFAAELTEAEAAALSGPATANHHPIHWHERVVSVFRDRALELHTTRSWDFLDVQSGLRSDRLGRRASGDVIIGIVDTGVWPESASFSDAGMGPVPARWRGVCMEGPDFKKSSCNKKLIGARYYGSQPGSASSSSAAVTATGGSPRDAVGHGTHTASTAAGAVVPGAGYYGLARGAAKGGAPASRVAVYKACSLGGCASSAVLKAIDDAVGDGVDVVSISIGMSSAFQSDFLADPIALGAFHAHQRGVLVVCSGGNDGPNPYTVVNSAPWILTVAASSIDRSFHSTIVLGNGTLVKGIAINFSNQSITGGQYPLVFGPQVAGRYTPVSEASNCYPGSLDAQKAAGKIVVCVGTDPMVSRRVKKLVAEGAGASGLVLIDDAEKAVPFVAGGFPFSQVATDAGAQILEYINSTKNPTAVILPTEDAKDDKPAPVVASFSARGPGGLTEAILKPDLMAPGVSILAATIPTADKEDVPAGKNPSPFAIKSGTSMACPHVAGAAAFVKSAHPGWSPSMIRSALMTTATTRNNRGQAVASSTGAAATGHDMGAGEISPLRALSPGLVFDTTTRDYLNFLCYYGYKEQLVRKLAGAGAAGAAFACPRGAPSPDLIASGVNYPSISVPRLLAGRTATVSRVAMNVGPPNATYAAAVEAPPGLAVKVSPERLVFSSRWTTAAYQVSFEIASGGAGAGAGASKGYVHGAVTWSDGAHSVRTPFAVNVI >OGLUM01G12870.1 pep chromosome:ALNU02000000:1:11340834:11344129:1 gene:OGLUM01G12870 transcript:OGLUM01G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6T0] MGEPNQRRLYQVWQGSNKFLCGGRLIFGPDAGSLFLSTVLIVAPLVGLCCQCITKMNSISSEKQVLGLPVLTATIVLGLADLAFLLMTSSRDPGIVPRNARPPESCGGGDEEGVAGDVTTPSAEWVTAASPHLRLPRSKDVAVNGCVVKVKYCDTCLLYRPPRASHCSICNNCVHKFDHHCPWVGQCIGLRNYRFFFLFISTSTLLCVYVFVVSWLNIVAHKDGNDGSLLKSMAGEPLSVVLIVYTFVSVWFVGGLTVFHLYLMSTNQTTYENFRYRYDKKENPYNRGALSNIAEVFCAGIPPSMNNFRSWVAPPPLEEPDDVGGQLPPRNGADLTGGVKEKVDLEMGRNGGIIPAILRGLDYDEMEKNDVSVHIKDRGAAPAAPDPFMAGRWHNEDCESTPTAVSHHVNSERL >OGLUM01G12880.1 pep chromosome:ALNU02000000:1:11348091:11350715:1 gene:OGLUM01G12880 transcript:OGLUM01G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G56940) UniProtKB/Swiss-Prot;Acc:Q9M591] MASSAMELSLLNPAAMRGLSAAKPRVVSSRRIVRFRVASSAAAPPAAKPGTPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQEEFDALLQEFKTDYNQTHFVRNPEFKAAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTTFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINKKIIAIGESDNIPLVKNLKRIPHVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >OGLUM01G12890.1 pep chromosome:ALNU02000000:1:11352068:11359371:1 gene:OGLUM01G12890 transcript:OGLUM01G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G26990) TAIR;Acc:AT2G26990] MGSDADMEDYGFEYSDDEPEEQDVDIENQYYNSKGYASCPWRASGWGQLAVSRDCVREGALTSVHGLWWSRYREKKVKRRNNRRREGMVETDPEGALAGFDQVVRMEPEKAEWGFKALKQTVKLYYKLGKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSIKSAIPHPRIMGIIRECGGKMHMAERQWADAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNFPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYQAIDKWNTQLKNIYQTVSNRVG >OGLUM01G12900.1 pep chromosome:ALNU02000000:1:11361219:11362835:1 gene:OGLUM01G12900 transcript:OGLUM01G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAKCNFVGIDMFQTEILDIQRHPML >OGLUM01G12910.1 pep chromosome:ALNU02000000:1:11363462:11375711:-1 gene:OGLUM01G12910 transcript:OGLUM01G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAAAAPLLVAAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSYLLVVGEYRIVLKDMRRVLHGDVSADVECQHVMAAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESVFGRFPYFLPCFVISVLAAGACVACIWLPETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >OGLUM01G12910.2 pep chromosome:ALNU02000000:1:11363462:11375711:-1 gene:OGLUM01G12910 transcript:OGLUM01G12910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAAAAAAPLLVAAAGRRRRCPGCLTEERCKADAGIPYLNFFYIWVVCLCSSLPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVVGPAIGGFLSQPAKKYPNLFSEESETLHMHHDDKEVIDALEAQDATSDLGETTKESGSGRMGHTKSLLKNWQLMSAITLYCVFSLHDTAYLEIFSLWAVSSRKYRGLSFTSQDVGIVLAISGFGVLVYQLAIYPLLAKYVGPIKPFRYAAVLSILLLSTYPFMANLYGLELKATITIACNILQNTAVTQEQRGVANGISVTLMSIFKAVAPAAAGILFSWAQKHITGLFLPGEQILFLMLNMVSVIGFILTFKPFFALPDMR >OGLUM01G12920.1 pep chromosome:ALNU02000000:1:11376734:11381674:-1 gene:OGLUM01G12920 transcript:OGLUM01G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKAGGDDAAAAAPLLVPAAAGRRRRCPGCRTKERCEAHPGIPYLNFFYIWIVCICAFLGEHKTMGCN >OGLUM01G12930.1 pep chromosome:ALNU02000000:1:11386176:11392753:1 gene:OGLUM01G12930 transcript:OGLUM01G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;1 [Source:Projected from Arabidopsis thaliana (AT2G29650) TAIR;Acc:AT2G29650] MLYLLPLSISCRVPGSPPAPRSRRFLDPGGGRGVGDGLGGVRVFRRRALRGTDVRSNTSSSSSRKGRHDDARHDGGYGDDGDAGALLASVRRLLLSGSAQDDAAEGEAEEDEQGQFPKRWAIVFLCFSAFLLCNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVIWWSIATALTPFAAKLGLPFLLVTRAFMGVGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLIHNFGWPSVFYSFGSLGVFWFSTWASKAYSSPLEDPGISAEEKKLITSQTTGGEPVKEIPWGLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVSANFGGWIADTLVSRGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVVLYLVGTLVWNLFSTGNACQKKEKRQRGIEAWLQILEMLKVSQFRNKMA >OGLUM01G12940.1 pep chromosome:ALNU02000000:1:11390655:11394554:-1 gene:OGLUM01G12940 transcript:OGLUM01G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAWSPALAAVLLAAAVASASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHRLGELYKNNIQGTIPAELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNSLNGPIPRDLAKISSLKVIDVSNNDLCGTIPTSGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >OGLUM01G12940.2 pep chromosome:ALNU02000000:1:11390655:11393037:-1 gene:OGLUM01G12940 transcript:OGLUM01G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMGACGPVHLHPSIGLDLGDLGNSNLSGHLVPELGHLEHLQYLELYKNNIQGTIPAELGSLKNLISLDLYNNNITGTIPKELGKLSSLVFLRLNDNSLNGPIPRDLAKISSLKVIDVSNNDLCGTIPTSGPFEHIPLNNFDKNPRLEGPELQGLATYDTNC >OGLUM01G12940.3 pep chromosome:ALNU02000000:1:11393684:11394554:-1 gene:OGLUM01G12940 transcript:OGLUM01G12940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAWSPALAAVLLAAAVASASNSEGDALYALRRALADPRGVLQSWDPTLVNPCTWFHQQ >OGLUM01G12950.1 pep chromosome:ALNU02000000:1:11401199:11410182:1 gene:OGLUM01G12950 transcript:OGLUM01G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALVAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKIHGKWKSFAKILWGITCKNRLDMGAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >OGLUM01G12950.2 pep chromosome:ALNU02000000:1:11401199:11410182:1 gene:OGLUM01G12950 transcript:OGLUM01G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMSPRTDTSTDDTDDNHMLEPGQLALVAASDSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKIHGKWKSFAKILWGITCKNRLDMGAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQTHSMSGNGALAFDMEYARWLEEHNRQINELRSAVNAHAGDNELRGVVDKIMSHYEEIFKQKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSELLKLEPLTEQQLSGIANLQQSSQQAEDALSQGMEALQQSLAETLAGSLGSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLQQMQRILTTRQSARALLVISDYSSRLRALSSLWLARPKE >OGLUM01G12960.1 pep chromosome:ALNU02000000:1:11452703:11455924:-1 gene:OGLUM01G12960 transcript:OGLUM01G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNKEAPLGCLKPISQYNPQEQRNNGFPENSEKKNDSIATESVLEASPLPNQGFFRPVQRTEYYAYPFIYADYQMPGQPQPYNLDNQFYQINRDHSFSIENRVQYLPFKMPPQGYPPDAQLQEFQYFVVIDFEATCDKENNPHPQEIIEFPSVLVNSATGQLEASFQTYVRPAYNQLLTDFCKELTGIQQIQVDRGVPLSEALLMHDKWLEEKGIKHKNFAVVTWSNWDCRVMLESECRLKRIRKPPYFNSMLEHYMEHALDSF >OGLUM01G12970.1 pep chromosome:ALNU02000000:1:11469176:11473840:1 gene:OGLUM01G12970 transcript:OGLUM01G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRGFSSQAGASSGDKQDELEEGFSDLEVPPEADKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLVSPRVDVAGATKKWLNDGNTLERSELFYVLLSLRKRKLYTKALQLLEYVEESKLFDLGERDYASRVDLVAKVHGIYKAEKYIENIPASHRGEVVYRTLLANCVAIANVKKTEQVFNKMKDLGFPVTVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLIQATIARHYIFGGYREKAEAILEQIEGDDINENRSACKFVLPLYAFLGKKADVERIWKVCEVNARLDECMSAIEAFGKLGDVEKAEEIFENMFKTWKTLSFKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPSTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPLYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >OGLUM01G12980.1 pep chromosome:ALNU02000000:1:11473088:11474560:-1 gene:OGLUM01G12980 transcript:OGLUM01G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 [Source:Projected from Arabidopsis thaliana (AT2G39820) TAIR;Acc:AT2G39820] MASRVRFENSSEIGVFARLTNAYCVLPDGGAENFFSVFESELADVVPVVRTSIGGTRIIGRMCVGNKNGLLLPHTTTDQELQHLKNSLPDQVVVQRIEERLSALGNCVACNDHGTEEIISDVLGVEVFRQTIAGNILVGSFCAFSNKGGLVHPQTSVEDQAELSTLLEVPLAAGSINRGSEVVAAGMAVNDWAVFCGADTTATELSVVESVFRLRDGRPGALGADMSRSLVVSSYL >OGLUM01G12990.1 pep chromosome:ALNU02000000:1:11485981:11498410:-1 gene:OGLUM01G12990 transcript:OGLUM01G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMCVSVYWRCPDPIPVYPVLQDYGPSSVWWIPVNGRGFRSGRGPIIGSHALCVRPIREGFLGVEEDEDRRPGPRKEDDADREDLTWLRPNIYTRATCRPYHKIGHAFLPPTGHLRQDRANQKPKASETGTCVERSRCPDTLKRLSDGQSNIGHEYPRQTEPLEYLRIAVVPAVRGQACCSVAGWMWDAPGLPEIQWGVKPVPILVYAPRDMHRNFT >OGLUM01G13000.1 pep chromosome:ALNU02000000:1:11495734:11503631:1 gene:OGLUM01G13000 transcript:OGLUM01G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVATFVLACLVFLSKPCAREMRLFLSTLCQELALALLGFLAGLRLLGGVGAGAAAETTMPLMPSFKRKRAAAVEEGGGGGGGGGGGGGGEEAGGEPSVLDLPELAIECILARLPPPELRNMAGVCRSMRERCRGDHLWERHMSEKWGGVLGHAAREEWRTYLASAADTGGAAASCSLAGGGRHRRWLAALSCVCPVVSWMRPRADGGSGGKSAGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHSDTFRARYPPHGRRTVVLEDGVHWDRVRAPPVDTHAHDLHASDCLHELRPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGSEHFCRCHLSDTVVLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDEISKWRQLWPTDILE >OGLUM01G13010.1 pep chromosome:ALNU02000000:1:11498678:11507275:-1 gene:OGLUM01G13010 transcript:OGLUM01G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQWGAGAGAGDVPAQERKGGALMAAAAAARWGGEAAKIARRPKTTTVVAQQPPRIRRALADVSNLVNGRAALPVVNRQKAAAAAADKCRKPIKQRNENNKAAKPEVIVISSDSEKHKKNPAQRAASRRAPIQTLTSILTKCSRASDGVISPKKELIYDIDASDAHNELAVVDYVEDIYRFYRNTENTYRPLCTYMVSQTEINERMRAILTDWLIEVHYRLMLMPETLYLTVYIIDQYLSLENVPRKELQLVGVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVPTMYMFILRYLKAALGDEELEHMTFFYAELALVQYSMLFFAPSVIAAAAVYAARCTLGLSPLWSDLLEYHTGLAEPQLLECARRLVSLHAAAPESRQKVVYKKYASPKLGAVSLHSPAKKLLPPPSPMSSMACHSLPESGSNLSAADELFQNQRSEQGIYWTLWDSRLSDDLNTTTVYSDNHGSNGGGTQSFDTSEHCSTVPSDSDEQPGYPSQFEPLHMEQTNDMSQFSDEEVRRMDAPFQALDMFPDSMHRLMSYEHILNGALVSDSKNQEVNMDQDDMDTCGFPLYFSHGLQDDGGLPSFAKGMAGASATERGDPGSSPPGFEEAVLEELEEVMVQMARTTRICLRDAFYRLAEGSRSPRSAAAAADGAAVAEATRYHAQAAVDLSRSLLEILQLVILMLSVSSSPLAAANPLQCVDDAAAPSPRRDRQDGGEPHLQAAAVLAGSRGGDDAAHACLRRPSSPSPDHRRTGGDSLVPGIKDILTTKMTPTKVLTSIT >OGLUM01G13020.1 pep chromosome:ALNU02000000:1:11511493:11512242:-1 gene:OGLUM01G13020 transcript:OGLUM01G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLAATLMSSFSHRHSAPLYLFYNVASLPKHHAGRGGGGGGGGGGGGGGFEFATAAGGKQQGGVDDGEDGGGAGVRACSSDLSAAAFADELFREGALLRPLKLPPRLQTPSASAAASAATSPTAASKAASSSRSPFASRRSKHEGFDPFAAALEKVRRDVAAAAPIRRARSLSPLRGPAVAAAAHRKENNPYAAAAPARAVTASRPPWRRRRRGMKHLLCGAAMATRPHRGDGGGAPYRRGLLVCFGF >OGLUM01G13030.1 pep chromosome:ALNU02000000:1:11513726:11518863:-1 gene:OGLUM01G13030 transcript:OGLUM01G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6V0] MEKPSTLLVHFDKGSAAMAGEIKADLEGSDVAAKVDAMKRAVMLLLNGETLPALFITVVRYVLPSEDHTIQKLLLLYLEIIDKRDAAGRGLPEMILICQNLRNNLHHPNEYIRGVTLRFLCRLSEPEVLEPLVPSILENLDHRHHFIRRHALSAISSIYRLPHGDQLVPDAPELVERALASEQDASARRNAFLMLCACAQERAVAYLLSNADRVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIIALLSSPSTAVVYECAGALVSLSSAPTAVRAAANTYCELLSSQSDNNVKLIVLDRLNELRTSHRDVMVDVVMDVLRALASPNLDVKRKVLDLVLDLLTARNVEEVVLYLKKEVVKTQAGQLEKSGEYRQMLVQAIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVENAISTIKQCLGDVPFYTVSEEGEATDSAKPAQPVVNSVTVSSRRPVVLADGTYATQSAATEAISTPSVAPGSLSSTLNLRSLILSGDFFLAAVISCTLTKLVLRLEEVQPSMVEVNKACTGALLVMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVQDDLKAATGGFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTIINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQNEKEFLDHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQFDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >OGLUM01G13040.1 pep chromosome:ALNU02000000:1:11520491:11521027:1 gene:OGLUM01G13040 transcript:OGLUM01G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEATENAGEGGGRGGRGPQMRERAGNCGRRVGWAQETATAVVAGDGGRCRRGRGGGTGALEDNGARARGGYGRGQRGVADAGKTTGWTLATTAAAEDEDNGRGVADASNDGGRMRARMAATAQRMCARTTTAAEDEDGGLWVADADEDGDRGAADAGDDDGMDAGDEGCRGRTRTG >OGLUM01G13050.1 pep chromosome:ALNU02000000:1:11536671:11538709:-1 gene:OGLUM01G13050 transcript:OGLUM01G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQIDWPNLAKAYHRKDELFRKIKGKEHASSSGSRPIGTRAAVLGHSPSAGSWQQVSSELIDIGH >OGLUM01G13060.1 pep chromosome:ALNU02000000:1:11540455:11544712:1 gene:OGLUM01G13060 transcript:OGLUM01G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAGSGMERWREMFRGADIYDVIRNAILIAGADSPRELLRRRQGIIEWLFAVAPVTVPVPAPLACGRVVDGAGNRLPPAAIPDGGGHHHDDNDGNFAAAEAQTSLIDQQILEALYDEIEEDTQVINEVLRIKDILINYKEQSVDTLFDGLRRLQLMRLSISVLKSTQIAEAVAPLNKHRSPVICKIARDLAKGWKGVAADWVGASSANADTSPDISNPSTVEDDFGLPTPPMDVGAFFLPQSTAEQYVSEFLHKADDDDDESLIPNAKNDCGFGGYKMEIAKPVANMDENILRKGQDLSRQHGPPMRQANLQMKLVDPNVNTIPKIHGLPIKQIPPLRQTNLQLGKTQGPRLHIKPASRFSVVTTKPNKPTHSQYTSRSKFSEETQNKHGLGTKPKQAQNHAIAITEQRPIAVVRKPLPDHVSKLERQATILEGCKVGIGKRNNTNIADLRLEATKRKLNDAYQEAENS >OGLUM01G13070.1 pep chromosome:ALNU02000000:1:11557262:11560786:-1 gene:OGLUM01G13070 transcript:OGLUM01G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6V5] MDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >OGLUM01G13070.2 pep chromosome:ALNU02000000:1:11557264:11559881:-1 gene:OGLUM01G13070 transcript:OGLUM01G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6V5] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEDEEEQVPEDE >OGLUM01G13080.1 pep chromosome:ALNU02000000:1:11562896:11564431:-1 gene:OGLUM01G13080 transcript:OGLUM01G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASSLPAHLAIETLARLGSERCGATRRERTARQRDEVLDAEVDDGGEVGREKMLLEELLRCQIQEWYPAFRRHTVPTVILPLPAAFLRYLAGRPAYPDTGGEHPEGRDDDAEPLPFFLPAITSGRSAFPPAHAHHPDPVSLLDRDNSDLFFDSADDDDRDANSPLRPAFPELEAAVDSAIAELGGAALPKLNWSAPKDAAFMSADGTIRCTCFAEVAMLLRSSDCVAHDLACARPSCKDFAPPAGVRRNAATGADEGARPNVYKNTKDTTEEQTDQHEALETSGTGAPPNGGESSNDAGSMSDSKESADKGGNQSAVDGETDDAPQEDVGEESNWTWVDDGFQYYLALRKWYPGLRPESEFRCFVRQRRLIAMSQRDPSAYYPSLPGWSAEVQPKIEAFFEQVIEPQFASENYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEQEEIEEVEVRVVMQHGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADDELNKQMASLGADS >OGLUM01G13090.1 pep chromosome:ALNU02000000:1:11564522:11566520:1 gene:OGLUM01G13090 transcript:OGLUM01G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFACLAPRGGGGRILLQTHQILLLRRMTSFRLSTPPMDVAALFLPQSIAEQHVTEVVAITMTMPTSLLPARCHP >OGLUM01G13100.1 pep chromosome:ALNU02000000:1:11566615:11569984:1 gene:OGLUM01G13100 transcript:OGLUM01G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKEKLEDTLFDDDFVLPTPPMNVGVFFLPQFTAKKYVFEAQHHAIAITEQHPIAIVRKPLPDHVSKLERQATILEGCKVGIGKRNNTDIADLHIADLRLEATKRKLNDAYQEAENS >OGLUM01G13110.1 pep chromosome:ALNU02000000:1:11581313:11583032:-1 gene:OGLUM01G13110 transcript:OGLUM01G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 18 [Source:Projected from Arabidopsis thaliana (AT1G08500) TAIR;Acc:AT1G08500] MHRQRRSISSPGGNLSPPLLVVAAAVFVVAGLSAAVPGAAAYKNYTVGDDKGWYDGLTHPGVDYQEWADGKNFSLGDFLIFNTDKNHSVVQTRNETLYKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLKEGSSYFFSGNYDGEQCESGQRFAIAVAHGQGLPPDLRPPAAEAPGPSSSAGAAADAPPTFDFSHPKNVSNSPADTSTTAPLDDADDAPTTGGAGRSIARLGSGLAAAATLLFFVVQV >OGLUM01G13120.1 pep chromosome:ALNU02000000:1:11594806:11599181:-1 gene:OGLUM01G13120 transcript:OGLUM01G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: glycosyltransferase family protein 2 (TAIR:AT5G60700.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryot /.../39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G12260) TAIR;Acc:AT5G12260] MASPRRRSLPLPLLLLVFPVSLFVVLILHHRSSVPAAELLSGPGPDPRRFSLLIKVLAYDRPGSLRRCLRSLAAADYAGDRVALHVLVDHPRPNASLDASREILAEADALRWPHGEKRVHYRAANAGLQAQWIEAWWPGSDDEFAFVVEDDLEVSPLYYRFLKRLVMAYYYDRENYSPYVFGASLQRPRFVAGKHGNKIQLDSETHLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKSKGIKPILEGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNFYTNFLKERALSVSHRDAGVNYGRSVGPDSTLLDGKNLDFNLWELQPLNKLKWYDFCFAEVLPGRVIRKFSELGSVLKSVQLENNVVLISLYSLEQRIARNLICHLEKSGMRNYIFLVDNTEFLDDLAHRGHPVIDAISLLQSIKMSSSIYSDDFVKEIVVKAYVIKNCLDLGYNLWVLNGNTISLGSKLNEPSDQSVDFFAAESVDLMFLRGSQSSKKTWNELDILRMADGMMSSKSGFSSSLEHKNFVRVLTGVLGNNGAVRLGKLDEEIMAVELGPNTSNRSLSEGHCKVLFWSHSMASDSVQSQLENRGLWLIDSDSSCSAVVCGQKQK >OGLUM01G13130.1 pep chromosome:ALNU02000000:1:11599355:11604051:1 gene:OGLUM01G13130 transcript:OGLUM01G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma carbonic anhydrase 1 [Source:Projected from Arabidopsis thaliana (AT1G19580) TAIR;Acc:AT1G19580] MGQWVAVQSGRGKTVNCSSGGGNPSERPCPHSTASVSSSSPIPSSSPLHSSRSPKVREAANSPAIPRAAAVAAWVGEEMAGLGKAFYAVGFWIRETGQALDRLGCRLQGNYYFHEQLSRHRTLMNIFDKTPHVHRDAFVAPSASLIGDIQVGQGASIWYGCVLRGDANNVQIGSGTNIQDNSLIHVAKSNLSGKVFPTIIGDNVTVGHSAVLQGCTVEDEAFVGMGATLLDGVVVEKHGMVAAGALVWGGNPAKFLRKLTDDEITFIKESASNYSTLAKAHAAENAKPVEKTEFEKLFRKKSAHQDEEHDSMIGATREVTPELTPSSSAQ >OGLUM01G13140.1 pep chromosome:ALNU02000000:1:11614422:11620194:-1 gene:OGLUM01G13140 transcript:OGLUM01G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 [Source:Projected from Arabidopsis thaliana (AT3G17611) TAIR;Acc:AT3G17611] MGAGMSSGRRPSFYGGGGGGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKAVRWPVGSFSGLAVLRVTPQVEAELAVVQQERMVEVYGDAQPALMTTRRRQISVKCAAVHVRTMLFLVDSTSKLGGMGSHRLRRFAVGGSRDFPDDNRSRRVVGIMRQWWRVWVWLRQRLRVVWVRLRQRQRRRVRVWLSRKIINQASPSKKKRQHQQRQQEKKASMATPRHGRLARA >OGLUM01G13140.2 pep chromosome:ALNU02000000:1:11617499:11620194:-1 gene:OGLUM01G13140 transcript:OGLUM01G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 14 [Source:Projected from Arabidopsis thaliana (AT3G17611) TAIR;Acc:AT3G17611] MGAGMSSGRRPSFYGGGGGGRPRGMLPLLALQVLLEYGRAGASRPPVTAALLAANALLYLRPGSLDALLPSLNRVAFNPHLIIHYCDLTRFFLSAFYHLSETHFFFNMSSLLWKGIQLETSMGSVEFASMVAALLGMSQGITLLLSKGLLLFGNDEAYYDQYAVGFSGVLFGMKVVLNAWSDDYVFLHGVVIPAKYAAWAELLLIQAFIPGTSLIGHLGGILAGLAYLWLKRSFSGPDPLSLLISGIGKAVRWPVGSFSGLAVLRVTPQVEAELAVVQQERMVEVYGDAQPALMTTRRRQISVKCAAVHVRTMLFLVDSTSKLGGMGSHRLRRFAVGGSRDFPDDNRSRRVVGIMVGSRHLRASIPEVHIDRNRA >OGLUM01G13150.1 pep chromosome:ALNU02000000:1:11614443:11615258:1 gene:OGLUM01G13150 transcript:OGLUM01G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRHACFLLLLPLLVLPLLLAGGSLVDDLPAATHQVPRLVGPQRKLQQLPNPRPQPQPLPQPNPNPQPQPLPQPQPQPQPQPLPQPQPQPQPLPLPGPQPLPQPGPQPNPNPQPLPQPNPNPQPLPQPDPNAPPLPLPQPDPNNPQPLPQPDPNAPPLPLPQPDPNAPPLPLPQPDPNTPPQPLPQPDPNNPQPLPQPDPNAPPQPLPQPDPNAPPQPLPQPDPNTPPGQQINAKISSQPDSIGGARTLYPYGVIYNLMPLFSLFFYMF >OGLUM01G13160.1 pep chromosome:ALNU02000000:1:11616742:11617074:1 gene:OGLUM01G13160 transcript:OGLUM01G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKYSSSERKKGPEKDTSIRSATVSFSSSSSSAGTRPSCWRSVVTTATAIRRCCGGDEHDTAARASILHGDHHLGGIGKKVDASAGAGVRTLVERNDFYCQECNTHGK >OGLUM01G13170.1 pep chromosome:ALNU02000000:1:11620430:11630907:-1 gene:OGLUM01G13170 transcript:OGLUM01G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFTGRSLVRRASLVPSRADTAPLRATTSIKAGSVSLRQLKVTPTALINNLSDELNIRVTCIVPCPLHASTRVVPFP >OGLUM01G13180.1 pep chromosome:ALNU02000000:1:11624349:11630061:1 gene:OGLUM01G13180 transcript:OGLUM01G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLQRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRAENRRPNRSTTMVTGRGEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPVDIKNAHLHVLDGAREGLSLCRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQV >OGLUM01G13180.2 pep chromosome:ALNU02000000:1:11624349:11630717:1 gene:OGLUM01G13180 transcript:OGLUM01G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLQRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRAENRRPNRSTTMVTGRGEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPVDIKNAHLHVLDGAREGLSLCRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQDIGST >OGLUM01G13180.3 pep chromosome:ALNU02000000:1:11624349:11635188:1 gene:OGLUM01G13180 transcript:OGLUM01G13180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLQRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRAENRRPNRSTTMVTGRGEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPVDIKNAHLHVLDGAREGLSLCRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQVLSLMAQWRFSIFCEKYRRGKYSACHPVVLYVLGKIQKREMLCLKGL >OGLUM01G13180.4 pep chromosome:ALNU02000000:1:11624349:11629208:1 gene:OGLUM01G13180 transcript:OGLUM01G13180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLQRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRAENRRPNRSTTMVTGRGEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPVDIKNAHLHVLDGAREGLSLCRADVLDRNSLRAAFALCDGVFHVASPVSNDPELLPAAIEGTKNVINAAADMGVKRVVFTSSYGAVHMNPNRRSDQIVDESCWSDLEFCKQTQVHSYNQWTVRNHHY >OGLUM01G13180.5 pep chromosome:ALNU02000000:1:11624349:11626879:1 gene:OGLUM01G13180 transcript:OGLUM01G13180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGEQMVCVTGAGGFIGSWLVKELLHRGYAVRAAVRDPEGRKNAHLHALERAKRRLSLQRADVLDCNSLRAAFNLCDGVFHVASPVSDDPELLPTAIEGTKNVINAAADMGIKRVVFTSSYGAAHMNPNRRSDQTLDETCWSDLEFCKQTQNWYCYAKTVAEKTATEEASKRGVQLLVVVPAVTVGEMLQPTLNASVYRVATYMRGTKSAYPNAVAAYVDVRDVARAHALVYEHPDARGRYLCIGSVLHRSEFVRLLRELFPQYPITTRAENRRPNRSTTMVTGRGEQMVCVTGAGGFIGSWLVKELLHRGYFVRGAMREPGEFVHVREPLLPKHLVLDIDTVFNVEAKSVV >OGLUM01G13190.1 pep chromosome:ALNU02000000:1:11647153:11647930:-1 gene:OGLUM01G13190 transcript:OGLUM01G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQLWVAAACAVVLALAAPSLAGDPDMLQDVCVADLASPVKLNGFPCKANVTADDFFFAGLKNPGNTNNPAGSNVTAANVQSFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGVLEVGFITTANKLFTKTVTAGEVFVFPRGLVHFQQNRGHGPAAVIAAFNSQLQGTQAIAATLFAAAPPVPSDVLAKAFRVDVPQVDAIKAKFK >OGLUM01G13200.1 pep chromosome:ALNU02000000:1:11652479:11655643:1 gene:OGLUM01G13200 transcript:OGLUM01G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPYAHRPPTIRCHPASIAVTIGCRPLPRRRLLSLLDQGRQPPSGVIHCHGRPPPDRAGQHPSPTPARHGQLHTLAVVEAECIIEAGNVVEGPM >OGLUM01G13210.1 pep chromosome:ALNU02000000:1:11657132:11658235:1 gene:OGLUM01G13210 transcript:OGLUM01G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIFFPHEFFRPRAPAAVARDDEAEGTKPILAPHELFHHEAPAAAAATVVRDEESDEEPIVGIVGLEMSDFQVIGELGGGLYNVVYKARLRRCPHGGVFALKTPYYDLGGREEDEAVAAVLRRVEGLEHVVRCHAMFRRNESLRVAVFEHMNGGSLDRALSRRGGRGLPEPALAEVAAACLAALRGLHSRGVVHLHLNPFHILADADGNIKICDFDDAKIIPPGPGSTVYSGIGFGSPEYMSPERCAPMAMAGARVARAADVWSLGITVLHLYQGHCPARPLPSKRTLERLSYEISHGEPPSVPDEDTRASIELRGFVSACLQKCVCTRATVAELLNHPFVAERDVAESRRVLKEVIVATMDKDDY >OGLUM01G13220.1 pep chromosome:ALNU02000000:1:11687841:11691008:1 gene:OGLUM01G13220 transcript:OGLUM01G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rotamase CYP 4 [Source:Projected from Arabidopsis thaliana (AT3G62030) TAIR;Acc:AT3G62030] MACRPAVCSPSALAPPRHRLLSLGCARTAAPSGGLPLRLRLRLRLRSSPAPRGHGADLVGAVELQAKVTSKCFFDVEVGGEPAGRIVIGLFGEVVPKTVDNFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFQNNNGTGGRSIYGECFDDENFTLKHTGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKNLESQETSRSDIPKQPCRIVNCGELPVDG >OGLUM01G13230.1 pep chromosome:ALNU02000000:1:11695165:11697462:-1 gene:OGLUM01G13230 transcript:OGLUM01G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAATARKLGSHLPRLRDIIDHDDEDDDFVEEEEEEEEEWEDMSKRMSRLSVEGSDGGDADDEDDGYLRGEEEEEEEDGDEVRSDVNGEYGDGGGGGGQWHPYGDDDPRNPQAPSSASLPGTPDRGAPAPSPWMYSKEYASETEAARWPGGGAGAGGDKRRMRHRRERMMREVWLDRAWQMRKQRRQMLMQGQGLGADAVTVVVSAAAAGGESPARGVAMDMEEMRACRDLGLDLPCDWTVEIPCYALSGVDTASSGGNSPASGSWRISSPGDDPKDVKARLKVWAQAVALASASRLGS >OGLUM01G13240.1 pep chromosome:ALNU02000000:1:11730383:11737740:-1 gene:OGLUM01G13240 transcript:OGLUM01G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPVMENQFSIFVSRDGGNKKYSSVLSPGHHEGLKKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPASVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPQMIRAMNEINVRKIAPDNRCFPSSAFRCEC >OGLUM01G13240.2 pep chromosome:ALNU02000000:1:11730383:11737740:-1 gene:OGLUM01G13240 transcript:OGLUM01G13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGNLFHCRRNSWPAEEYVGRTALQLLDFDGGSPPEQAWRRRLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKEKCKPSASQGVPLGGMGSGSISRGFRGEFKNWHIIPGLCETSPIFVSRDGGNKKYSSVLSPGHHEGLKKCNDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPASVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHHSGGHFNEPFITAKDNPPVTFAIAACETQNVNVTVLPVFGLSGEGHDSAKQMWDRMKQNGHFDRENFEAGTSMPSSSGETLCAAVSASTWVEPHGRCTVVFGLAWSSPKIKFQKGCTYNRRYTEFYGTSERSAVNLVHDALTKYRIWEEEIEKWQNPILKNEKLPEWYKFTLFNELYFLVAGGTVWTDGQPPVIDEKPSPGSNQQKSSKRGTRDTKQESVKDNHVKLTAEQVTNGGDLANGEEQSVSKYAAVHGPQMAKATNGLGSQEPIPYLLSKNGPENVGKFLYLEGVEYIMWCTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRRMKFLADGTSGIRKVKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVYRDFAATGDMSFGRDVWPAVCAAMDYMNQFDRDGDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRPFAEKYKLKFIQAKAVYEAKLWNGSYFNYDSGTSSNSRSIQADQLAGQWYAASSGLPPLFDENKIRSALQKIFEFNVMKVKGGRLGAVNGMTPNGKVDETCMQSREIWTGVTYGVAANMLLHGMEHQGFTTAEGIFIAGWSEEGYGYWFQTPEGWTIDGHYRSLIYMRPLAIWAMQWARSPPKAILDAPKVNLMDRIHLSPQMIRAMNEINVRKIAPDNRCFPSSAFRCEC >OGLUM01G13250.1 pep chromosome:ALNU02000000:1:11738076:11741877:-1 gene:OGLUM01G13250 transcript:OGLUM01G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGRGPGEIREVPAIPTTTTTTRVSCVNNADVVRPRSSFPTTPPPWRACAAGGGGASAPARDEAWRGSGHARPRARKGRVWMAAWLDTGRRSGSPNLFLPPLPTATAATATTFLFSTLFLPPLPRLRLASLRFASESSLLLR >OGLUM01G13260.1 pep chromosome:ALNU02000000:1:11745779:11761211:1 gene:OGLUM01G13260 transcript:OGLUM01G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAKDSDEIFVDSTSSDSESSENDDVISDSEDSKTSCDEISKEELLRSLKLKLNKKKVSVDCNLKRKRSETAEVDFKNQLDSALLEIFRDNVLIGMKRKLHCHKSKKKSFMTDATEETKLTRFSVKYFSEVLEKLSKRHRDIISKSCFKTLLLFEKCSVPHRLALWIAQKVDVNSCDIIVRNKVIPLSKESVHIVLGLPVGGLPISSNSEIGKQKILDTFGLSSLPTVKFFGDKLIRNESMSDDQVLIPFMMVSLNCFLCPNSSLQPSTKYISAFADLTSIDKLDWSNLVFEWLMKHLSKLEKSKSFGGCLYYLMVNYLDFLNFGMRKVLQDTPRIKIETGTAKATFLQRLDYAIGVDLPQEIKKDINELLLHHLGPDENCIDDRVKNLLIDIFVLLSNASKPSVPDNTDFNPSEDGKNKLNDGSIINEANICETPKIHSTCDDNAILNEQSPMLCKDTKTPERSCSEKDKNSDVDGIMRKLCKPGMISSPPKITKARFVGFNERKPIYFDHEKPQFQIWDSDDDNINQEDNLRSEVTPRHGLKSSKIVPDSYSPACPTELNKTKIIPLDLSQNLYDLSQNQENNSENEDQLIMVTLEDSKTQSQHNEKENLPVQQQYTKSTENKKDSPEVVFLGERQSTENCLDITSKTNVLYNKINTFIVNPDKKLKMCTASPERVLLCNVDRNVGQCSSSQKPQHDLRRILQPARYSIDPYSPERQSFCVTAYDRQVYNAVCKISKSSFQDKVAVDIDGVHCKFFTFGDSFKPGGELSNFVTSVFCRYMFRLSHPSKSKKHYFFSSIGDDLLKERSATNFSVVKKCFDGASLARPVHSCDLLFFPIVKNRHWFVFAIDLKAQRFVFLDSMYDEDSICHQQIRPKPISNFSLAWNLYVKDHPIDFNNYTVIYPPVPKQTNRFDCGIFTLKFMEIT >OGLUM01G13270.1 pep chromosome:ALNU02000000:1:11754308:11761123:-1 gene:OGLUM01G13270 transcript:OGLUM01G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKIDDREANLQYAQKGIISLSDLEKGKGEEQEKSTGTKEKKLKISNVLKMADEKQFEAGKTSSDSGKKSQGLKIMKQLAGKIEAGTSTDSVNSKSGTKEKILRISNDLKKADEKQLQEVKTSRGFETDTDKKAQGFKTMKQLPGIFEDGTCTALVQSNSGLAFLEKNYNTTQSYKMQENRDNIKSGNRGHLSDIGSKIEKKNTTNQTGLALLEENYNTTLSYRVQENMDITKTGKGKIMKQIAGNSKDFSETEAKLDKTKNSEADIGCSALNESEKSIIENNDKGTISCMNMQEINSENGANNAKVYYENNVLSLLDQDYIADSFISYTSLMEHIISSQPKRCNELKVEGTQNDTIETGIGQDYQKVDESLNSHVEYQSEQRCSMDILNLQESVQDKSLEQTSEIGYSNVDNQSQHAWSLDIFNLQESVQSGEEEKKEATSKKRKPNKKENTEIGKSHQDEVQQLSKRVKKKSEINTSK >OGLUM01G13280.1 pep chromosome:ALNU02000000:1:11765130:11771678:1 gene:OGLUM01G13280 transcript:OGLUM01G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSANIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKDGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSVITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIVSVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFFSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAAGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >OGLUM01G13280.2 pep chromosome:ALNU02000000:1:11765130:11771678:1 gene:OGLUM01G13280 transcript:OGLUM01G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSANIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKDGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSVITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIVSVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAAGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >OGLUM01G13280.3 pep chromosome:ALNU02000000:1:11765130:11771678:1 gene:OGLUM01G13280 transcript:OGLUM01G13280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSANIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKDGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSVITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIVSVAQLLFTFWMYRKLMNQNSKVGKEATSNSDCPQFFSPSFSLLTFALESFSVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAAGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >OGLUM01G13280.4 pep chromosome:ALNU02000000:1:11765130:11771678:1 gene:OGLUM01G13280 transcript:OGLUM01G13280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLGAFVPDTAAQWRSVVKGEVARQLGVEAEARKLGARLEKVGAAVRDAEARVARGSDAAARWLARVRAAAYEADVAVDRCRATARRLTRGREQQLQQHNQALPWLLSTCCDVAEPRRDIAADLKNVSQKLKSIIKEQRQLQLQASVADHTDDHPRKILRHRKSEPTDIDIVGTAMEDDARRLVRRLTQPDSGGVVAIYGPDGIGKTTLAKVVFDSERVKRRFETRSWVHVSRGCVEDGKREAALLSQVVEAVVDGGGATTGAETVAELERMLAALVANRRFLLVLDEVRNGGEWEELVRRLLERGGRGSKVLVTAVTAGVARDMGAGHVHRVNRLGEDDGWALLRVAACVADDGGAALRGVGRRIVGKCGGVPLAIRAVAGVLRTREAIAEEWAVVDASPAWKVKGLPDDAMKPLYLCYDDMPCHLKQCFLYCSLFLSDFAVDRRSLVQQWIAEGFVQIRGDAGVEEVAEEYYDELIGRNLLQPAEADRHGCVERCTMHDTLRSMAQVLSHGENLTGDAQAQRLPSDGDAPFAPRHVSFPRNHLAAIPEEVLKLEGVRTLLLQRNPLTIGSNIFTRLLYLKVLDLTETAMEVIPETLGNLLYLRFLNLSQTRIKALPETICNLWSLKFLLLRECKALHVLPKGIEHLKGLRDLDLTGTVIKDAAFRVGHLRNLTSFRCFTVTSKEARTVQDTAQDRSGWPLDELKNLCQLRTLHVKRLEKATSQSKAAEVALHAKTGLRELELSCSGTVKTLQIPTVVRNIEDIFQELKPPRGLESLKIANYFGTKFPTWLSSTCLPNLLRLNITGCNFCQSFPLLGRLPELRSLCIADSSALKDIDAQLMDTDNSHQVPFPKLEDLHLQGLHNLETWTSIEAGALPSLQALQLESCPKLRCLPDGLRHVTSMTELRIVDMESLEAVENIAALRELSVWNTPNLKKICNLPSLEDLDICHCPSLETVDNINRLQEVHIFDHELQEMPRWIQAHASKLCSLEFMSTTELLKRCLIDGPDWPVIKDIAQVHGYSNDSSYIYYSKSLKIFEGSANIRESRDAERSVADSDKVDDASIESRNVDRDAEIDGFFSSKLVETGTARSEDNVPDRNMERFMTRSTGRRLHKLEEVPEGDEDEEEEGADPVVLIPDDTTKSDTVLEKLHPVVTHDHNDKAGSKMKRDPPAKTAPGTSVIQSAATMCHKLVREGSQAISITETDQDLSLDSFRSKEHASSKQKVDTSGSVIEKVKDGRYKSANINDRKHIERVHDSANKMSTTSVEKSIPETVKPMKATKNNSKATDYHGTENKSPDSLACSRQTISQIEKDVSDADKAANHPSSVITENRLHKANEIDASATAIKTEVSKKSAGANICNTLNPADSQTVHATDTAQDLPSSLLHDDPHLPDVGSSTSTSISDNCIQTESSNTSLSANLNNEEPKAKGVTKTTCASGPCKLTATLDCKQQTTNPSDDTDASMKKMASNISEKVVKTLKRPHAETVNITRSSSLPIDPKSHVIDTTAATKKLEATLKNRSSRNAAAGLADDKTPVYNDSHQAPKVYTAIWADTDTDTLKARFLSTMQHYRRMASHRRRRHRKHGSRTKWSIWPVLVAILLIVSVAQLLFTFWIVVDEEVKPISPPPEKEEEEAISPPQPPKREIIRVTTKAIVKEKASAFSSVGSSSSGKSGAAGGALNAAALFRRFNSSAPVPRAEAAGDGDGGVRLEIEDIAAGDRRRETRKRKSPLGARLASRIPSPPFRLSPLIRDAMSISLAGGGGGGDDHHSGGSGKRVVVLGDDPKPRPAARTMTTTATTRRQRRGRRHAGPTGRGEEGGDQAAYNHYASGGGWWHGEMEGVDGEEVGWTDDMWEGMGSITLGGLEWH >OGLUM01G13290.1 pep chromosome:ALNU02000000:1:11774689:11775738:-1 gene:OGLUM01G13290 transcript:OGLUM01G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIGSASTTSRRRRVFASAPAAGGGGGEEVAETKNARCRLEASTAVDAAREEATMAYVEAHGGRKDKLLVSSERPRVRVRADALRCLAVPLSRDGPERQITGVLLDAALDLLRRRQAGRRRRNGRRVLLGSVEEQDWLEYVSSLPPRTAAAAAHDADMSATGARYLAHDTVLFPVNHQSHFFVAALDVAAGEYRILDSSNYGRIFGPRFYDAAMSKIRGGVARCMAAAGRAGAEADAGGWKLRMVAGLPAQTDESSCGLFAIKCMELWDGEKLERGFTMDDVHELRRKLAGELIFWELNEMQEVKDEIEFMARKMMMMMSSSSPLRNCGDRVKLATLGGAGGVCVCY >OGLUM01G13300.1 pep chromosome:ALNU02000000:1:11777667:11781845:-1 gene:OGLUM01G13300 transcript:OGLUM01G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAARGHPALRGPAARRERYTHGLGAAQMGALRAMCGALIPALPAEEEDGARGGGDMDVERFYLATAAESTVPDEVSASSSISVDDAYEKVAELTMTRCVWETGVLVRIILWILATRVGTLALCGRRCVSGEFPYVRRFADMPVERREEALKRWNATRWLVPLKIIFALIKVLCHFVFYTAVDENWENPSWKAIGYSVPAAEEEPRKEEPTEATPAPATATATAPPCRPLDNGVVETKQLDDNALLMSLAEKGLALKTGASSPSARHHTVQCDAVVVGSGCGGGVAAAVLASAGYKVVVVEKGDYFAAKDYSSLEGPTMERIYENGGVFSTANVTTTMFAGATVGGGSAVNWSACIRTPANVLQEWSSDHGLPLFGGEGYVQAMDAVCARLGVTGRCREEGFQNKVLRLGCDALGLRVEAVPRNSSEGHFCGSCNLGCPTGEKRGTDTSWLVDAVGRGAVILTGCKAERFILETNTGGGGGRRKKCVGVVAKCLGNGITKKLRIEAKVSISACGAHMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSTAELPGKSYEGGIITSMHRVTDRTIIETPALGPGAFSALMPWESGRDMKERMRRYARTAHAVVLVRDRAAGTVGGEGRVRFTPSRGDAGELRAGLRRALRILVAAGAAEVGTNRSDGLRLRCRGARNADVEAFLDEVSVEKGPMHPGSDKWALLASAHQMGSCRMARSGKHGGVDGGGESWEAEGLYVCDGSLLPTAVGVNPMITIESVAYCVAKGIAESLASRAARSVENRDVSTNPPLACES >OGLUM01G13310.1 pep chromosome:ALNU02000000:1:11782437:11788385:1 gene:OGLUM01G13310 transcript:OGLUM01G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVTSRETPHSYASRRCRRFKNEGARQPPGSSALLAWWIHTANGVVDRDEIVYDDRPVTARFLQDESSKVVGGFDRQEDALTEVGGGFWALGRVGELETALTVVINHISTPHQRYPHNFTGEVSQTPLVIEDQDPLQSLHRTFVTDMWVRCGVGSTCQ >OGLUM01G13320.1 pep chromosome:ALNU02000000:1:11791979:11796502:-1 gene:OGLUM01G13320 transcript:OGLUM01G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHGVTLERSGALKTNPTGSSAGPPPAPVASAPSPLPRQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSTTTTSSASSILSDELATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAGEEERFGKDLRDREVSSIAFEEKSFGHVINPGQQTYRISANVDVDLSTIVVSLALFDGDKMLFACSGIPLPDGTAREHLTRFVTSAALVRVFDEKRNRDDKLRVAVHLPNNRITHGFLGLYDHDIAIVTCLGLIEVRPISFKACPDGIQALAAGRAFESGNLMAMDGFVRCNNTWVPDSQDISEAVLGGPLLGKDKRFLGMNFSICHDDDGTLTYAFLPTKLLRKRLEHFGILNPKHLHFRGYSLPKGVSSTIPSGFMKTIYRLKSYGYPMPPPLVLELNGELLNHFEERFGELLAWKGYPYGDPAKSCRNRVWYQLPKEVVTDISRRVVSLASFNGFVRFFACTGLIIKWHGSKATRTVILTSASLVSRCNDDKIDSNLTIEVFLPPNQRCCGTLEFYNLNYNIAIVGLKKNFNAVRPEDIFSKTVQEPSEKVVAIGRDTRLGPLMSTIGNVKRGKKDCKLDCKDLKLSTCKIKKAGIGGPLINFDGSFVGMNFYDGSEATPFLPRHKIVEVLSRVNDLPSESGCNNPMPIDVGEGTKKNRWPVPEPYWYHGSLDVDRSYVPKCIGRRLQ >OGLUM01G13330.1 pep chromosome:ALNU02000000:1:11804319:11809257:-1 gene:OGLUM01G13330 transcript:OGLUM01G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTNRADEKPPSPRPSAGSRQQSPLEQQNTHREGDREAVGNGRRRRRRALTESEKWARRPRRTPECGERGGERGGDRQQLRPWLRRFRSGGGGGRKPATHYMGPGLSRDFGPSYTVGPALAAYSANLVQIATPRTPRPALVLTPVTADEVRAYVVCCRDHGLTVRARSGGHNYEGLSYRSLRSSGDGEGAARFAVVDVAALWVVRLDAARGVACTKARATRAVRSVVAAGLPPPPPISSPPGGCAAVREKKREEERWRGKKRLTRWTHVCQCISSTTLGSTTSTTPRSTTQLQYDADRN >OGLUM01G13340.1 pep chromosome:ALNU02000000:1:11812623:11814123:-1 gene:OGLUM01G13340 transcript:OGLUM01G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIVELHAVLGNRWSQIATRLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEVDRSKATPTISNDRTSESSDVDPSSGVALHNLSHLLSETAQSSELLPVKVTKPRTQAPGLARLKVPPKELFLDQLTSGHENLPSCRSSGPIPNFPFQQLLCYNNDFNSMDVGNRNSLWYNQNESSSSTISTVMPPVSPSTLSTSTGLNPSPDNANSRGTGIHNSQFYWDTNNPSSSSSTGSSGNNGLGFELQSTSSLLETNIFPWSDLAPEKDSQAQLEEELKWPDLLHGTFSEMPAPMQNLSQSLYEDVVKAESQFNMEGLCAAWSQNLQPQQHLPVVSDMYDKDLQRMSLSFENI >OGLUM01G13350.1 pep chromosome:ALNU02000000:1:11846863:11847132:-1 gene:OGLUM01G13350 transcript:OGLUM01G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIWGSGSRRRGMKVAAVDDVAREVAAGDAARQRRCPDPCGAGWIWGSGSRRRGRKVAAVDDAAAAAGGDCGWLVSASVTMAVDGSR >OGLUM01G13360.1 pep chromosome:ALNU02000000:1:11872623:11877580:1 gene:OGLUM01G13360 transcript:OGLUM01G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT3G62080) TAIR;Acc:AT3G62080] MGGGGDERGEWEAAVREEVGVGGWWDDPDGDELRARFKAFTGQRRDWPQPKLLFWKGLLLRVARRLRLCSAPARLVLGVWFARPGGLTPLCLPQVLEEMRADGEILLKSELIDPTTGSLYQLVRRMSQMAVISKQPIAQDDILMFKSLIEERAAEIVDQLRNSHWTSTCIVTISKFNAFFHGQEDSHVALCYLTQCGKARYIVDRRQDSVEGVKFSLMAAQVPAVSKLDHNTLHLILTEEKLQQQLDVLDRQWQISRRRALVSFKSGDKQSAYRYVRQSKLFSESRKRCTPLLERVEEVISLIASAETTKKVNEAIKVSIQAMNEHHVSVEEVNEHLKEVDDLVATQREIDAALGSVILQSMDSEENIEEEFMKLEAELQDEFPHVQEDPVSHANEELPNDEDVDSLSNNLSNIKLEAI >OGLUM01G13370.1 pep chromosome:ALNU02000000:1:11879508:11881990:-1 gene:OGLUM01G13370 transcript:OGLUM01G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFVFGSVFCVCRSDGNDFAELLWENGQAVVHGRKKHPQPAFPPFGFFGGTGGGGGGSSSRAQEKQPGGIDAFAKVGGGFGALGMAPAVHDFASGFGATTQDNGDDDTVPWIHYPIIDDEDAAAPAALAAADYGSDFFSELQAAAAAAAAAAPPTDLASLPASNHNGATNNRNAPVANTTTREPSKESHGGLSVPTTRAEPQPQPQLAAAKLPRSSGSGGGEGVMNFSLFSRPAVLARATLESAQRTQGTDNKASNVTASNRVESTVVQTASGPRSAPAFADQRAAAWPPQPKEMPFASTAAAPMAPAVNLHHEMGRDRAGRTMPVHRTETRKAPEATVATSSVCSGNGAGSDELWRQQKRKCQAQAECSASQDDDLDDEPGVLRKSGTRSTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGTGLCIPPMLLPTAMQHLQIPPMAHFPHLGMGLGYGMGVFDMSNTGALQMPPMPGAHFPCPMIPGASPQGLGIPGTSTMPMFGVPGQTILSSASSVPPFASLAGLPVRPSGVPQVSGAMANMVQDQQQGIANQQQQCLNKEAIQGANPGDSQMQIIMQGDNENFRIPSSAQTKSSQFSDGTGKGTNARERDGAET >OGLUM01G13380.1 pep chromosome:ALNU02000000:1:11891567:11891953:1 gene:OGLUM01G13380 transcript:OGLUM01G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAITTTTDTMMATAWCIHHRWASSMLDLPSPASRVQIRHQACGFAGAAGLGSGGSVAVGPHEHGSSAAGPREALPCRRDHPHRCGKLSAVLIVKAILAEALRCFNFFPKTLTKDTAEGTTPMLGCQ >OGLUM01G13390.1 pep chromosome:ALNU02000000:1:11911093:11914552:1 gene:OGLUM01G13390 transcript:OGLUM01G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT4G01690) TAIR;Acc:AT4G01690] MAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYKTPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >OGLUM01G13390.2 pep chromosome:ALNU02000000:1:11911093:11914552:1 gene:OGLUM01G13390 transcript:OGLUM01G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT4G01690) TAIR;Acc:AT4G01690] MAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPGDLPFFDLMSIPGKLRAGLGALGVRPPPPVCVLSPLCILDSLVKFDCAERFRRRFQGREESVEDFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEDTGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLTMLPDAITSRLGSKVKLSWKLTSITKSDNKGYALVYKTPEGVVSVQAKTVVMTIPSYVASDILRPLSSDAADALSIFYYPPVAAVTVSYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGSTNTGIVSKTESELVEAVDRDLRKMLINPRAVDPLVLGVRVWPQAIPQFLIGHLDHLEAAKSALGKGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDYLTKYAYK >OGLUM01G13400.1 pep chromosome:ALNU02000000:1:11916734:11917019:1 gene:OGLUM01G13400 transcript:OGLUM01G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPVVWLLFSSLPLPHFRVLGTRWLQRGMLTCCERSGVLDGDGVGVGTWEDGVRNLSTSWVDTEDLTNFLYGNCKQVLPGTSIVTMKVEAG >OGLUM01G13410.1 pep chromosome:ALNU02000000:1:11931426:11934016:1 gene:OGLUM01G13410 transcript:OGLUM01G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y6Z9] MEECKGGGMSPSSSMDSSTHPALSTTSSAATARRDLSTDLRLGLSLSTSSSSSLLQAAAAAAAADDSIPSTPRNSQVHADWPPIKPFLRSALQKASAAGGGGGARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLIKLCHMFKTPITYADVMECHQQVPGQKAAHVLTYEDQDGDWMMVGDVPWELFLSSVKKLRIARMDKC >OGLUM01G13420.1 pep chromosome:ALNU02000000:1:11932588:11937668:-1 gene:OGLUM01G13420 transcript:OGLUM01G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNGGWWQHLSICFSKADRMASEANAATVLDGASPNDDSGERLWGQERDREIQTWSDEAKASDGEAHLLPELRSSGGGCRPTTKTNADVVLEKLPSCIVATTITVHACAGKVVVRPGDVFVTRRPMWLACVYAKCGHMVAMTKAFDEMPHRGVPSWNALIVGLRKERKAQRVFHGVAAQGQEVPLIGQVIVPSALSAGDGRLEHVAELDEEAVIPIQQEQVELPADRDALHVHLHEQRSPAASAAAAASGRRLLQGAPEERLDRRPIGVHLAVPAYAAITGRPFMLKGLLINQLIDGDDGYKRPNWPIGWSTVAQLIFGCTVSPRNSNGNRNLEVVL >OGLUM01G13430.1 pep chromosome:ALNU02000000:1:11938628:11939060:1 gene:OGLUM01G13430 transcript:OGLUM01G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHHHHHHHHDDDHKVGANFCNILLSIILPPFAIGVVVGCKKEFWICLLLTCLGYLPGIIYAICIISGAHIHD >OGLUM01G13440.1 pep chromosome:ALNU02000000:1:11950984:11951945:1 gene:OGLUM01G13440 transcript:OGLUM01G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGTANCIDILIAIILPPLGVFLKFGCKVEFWLCLLLTFFGYLPGIIYAVYAITK >OGLUM01G13450.1 pep chromosome:ALNU02000000:1:11958092:11958751:1 gene:OGLUM01G13450 transcript:OGLUM01G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRRSDYLIVLFDLYFSMRLASWQSIVDAIELFELLGTKSGWYLYELDSYLDILGKIALTLSNMLCEDMKAGPDRVLVLYDQTS >OGLUM01G13460.1 pep chromosome:ALNU02000000:1:11960035:11961390:-1 gene:OGLUM01G13460 transcript:OGLUM01G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTPAPRATPFLLAAVLSIVVVAASGAEARWYGGGYSPSPSPVSSIVSEQLYASLFLHKDDAACPARGFYTYASFVRAAARFPRFAATGCADARKREVAAFLAQISHETTGGWATAPDGPYAWGLCYKEEINPQSSYCDATDKQWPCYPGKSYHGRGPIQISWNFNYGPAGQALGFDGLRNPEIVANCSDIAFQTALWFWMTPRDTKPSCHQVMVGEYRPGPADVAANRTAGFGLVTNIVNGGLECNRAGDARVNNRIGFYRRYCQVLGVDVGPNLDCEHQQPF >OGLUM01G13470.1 pep chromosome:ALNU02000000:1:11964568:11967721:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQMEFASSTLHVIGVQDMVPPLATLETRSFLFPIGHSWSRCPPHLPPPTELRSSPAAAATAKLHRCHSLCISQRGLIFLSLQEEEREKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >OGLUM01G13470.2 pep chromosome:ALNU02000000:1:11965224:11967721:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQMEFASSTLHVIGVQDMVPPLATLETRSFLFPIGHSWSRCPPHLPPPTELRSSPAAAATAKLHRCHSLCISQRGLIFLSLQEEEREKQGKRKGAKRQGKWTMSYNDSVCTFFSMNY >OGLUM01G13470.3 pep chromosome:ALNU02000000:1:11964531:11967721:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQMEFASSTLHVIGVQDMVPPLATLETRSFLFPIGHSWSRCPPHLPPPTELRSSPAAAATAKLHRCHRWPLIGAPWPIAVDNVVGSSTPNPFA >OGLUM01G13470.4 pep chromosome:ALNU02000000:1:11964568:11966676:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTGTFARYGSTAGHAGDEVVPLPHRPQLEPMSSPSAAADGAEVIPSCRRHRQTPPLPQEKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >OGLUM01G13470.5 pep chromosome:ALNU02000000:1:11964568:11966479:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSFLFPIGHSWSRCPPHLPPPTELRSSPAAAATAKLHRCHSLCISQRGLIFLSLQEEEREKQGKRKGAKRQGKWTMSYNDSVATDRCPLAYCSG >OGLUM01G13470.6 pep chromosome:ALNU02000000:1:11965224:11966479:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSATVQDMVPPLATLETRSFLFPIGHSWSRCPPHLPPPTELRSSPAAAATAKLHRCHSLCISQRGLIFLSLQEEEREKQGKRKGAKRQGKWTMSYNDSVCTFFSMNY >OGLUM01G13470.7 pep chromosome:ALNU02000000:1:11966749:11967721:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQMEFASSTLHVIGGLPTASDN >OGLUM01G13470.8 pep chromosome:ALNU02000000:1:11966749:11967721:-1 gene:OGLUM01G13470 transcript:OGLUM01G13470.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRILSTPTAQRSSAPFIAHCLPLPYLCSVQVVPGIPVSHPPPPSHRRSRLSPTSMGSLDLGAAQEDRRHSRRRTRSSSGFLLLPCRMGLLAGDILPSHPQVTPSIPRCCHTLSHSSPCLLPDRLVWIPEVGMEFASSTLHVIGGLPTASDN >OGLUM01G13480.1 pep chromosome:ALNU02000000:1:11970352:11971074:1 gene:OGLUM01G13480 transcript:OGLUM01G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIILKKVAKDSTRRLTFKKRRRGLIKKAGELASLCGIGVCVVVYGEGEVKPEVWPSAPEARAILSRFNSAPNIDRFKRVTNQEQYLRKRIAKARERTSKAGDGNRERDATIMLYEAATGKRPVADLNVQELTNLGLVINERINHLKERIERLGGAALMAPPPSTQPAEASSSLPPLVPYANGAGMEGNKRMKVSMHQKGWFINMSTMTGDAGTSADVEGNTGVGTSARGDMMHLSN >OGLUM01G13490.1 pep chromosome:ALNU02000000:1:11984730:11985650:1 gene:OGLUM01G13490 transcript:OGLUM01G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIVLDRIANDATRRATFKKRRRGLVKKASELSTLCDVDACLVVYGEGDAEPEVWPSTEVAMNVLRQFRALPEMEQCKKMMNQEDFLRLRIGKLKEQLRKMDRDNHERETLILLHDALQGRLGTYESLSVEQLTSVDCLASARLKVITDRLVEIRAPNEDGQVLVPPPPPPPPALPAPPPPPAPMLPLAPPPTHVTPAMPLSSMPPPAFHGMNHHHHQNHFINHGGNDQNAWLMNVARNGGDLGALVYSAFASSSSSNTGGAGTSAAGAAAPGPDMMDLANPDMPGFGWPWDDDSAGPSFPPM >OGLUM01G13500.1 pep chromosome:ALNU02000000:1:11988307:11988648:-1 gene:OGLUM01G13500 transcript:OGLUM01G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSWVRTITSPFRKVFGAQPHKDGGKRPQQPNSGMMVQHVVVDAERSKLHGEVMACAYEDVQVMWDMLDKARIREFNSS >OGLUM01G13510.1 pep chromosome:ALNU02000000:1:12007849:12008079:-1 gene:OGLUM01G13510 transcript:OGLUM01G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKINEEATAGREESPPPPESVTTAYEESGSTAGASAPSSPLPQAPSRCRVPVASPPARSGGGEGVARPLPPHGGD >OGLUM01G13520.1 pep chromosome:ALNU02000000:1:12009140:12009801:-1 gene:OGLUM01G13520 transcript:OGLUM01G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKKKSVLASLFGFKSGGERRRQQQEEMATAAAAGRKQQQRSYYCPERRRRVWPSDEDNDNYYAERDIDRRASEFIDRVHRGMLIAGGEQDG >OGLUM01G13530.1 pep chromosome:ALNU02000000:1:12020296:12020592:-1 gene:OGLUM01G13530 transcript:OGLUM01G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKKRSVFAFLFGFKSNGDGDGGRRDEAAAREQQGYYGHQQHPWGRTTTKTKVRPSDYDDDNYYGRQWYAERDIDRRASEFIDRVHRGMLAGAGGE >OGLUM01G13540.1 pep chromosome:ALNU02000000:1:12040856:12041781:-1 gene:OGLUM01G13540 transcript:OGLUM01G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAELPSGREPLCGCRGGWRRLQRAAAAARSPERRRRRCGAAAGERRGGDADVAAATCRSSRARPSSPYPAACTSHRHRCGLLRLRRGEAQLGRRRRPQAISYAVRSGRLDGHALLLLLAADIIPHGERHYGHAVWRRAPSRVPAGRGGDGVGAPGPRLAEKVLAIQLGSLGADQRSGDEADGRGQRDASQLACGRVVVGRLGTPTASIGGGAASPACATPPAFNAAAAAAGAEEATTRCRHLRGDVDASRGGETQAALTRSWARRENSGGGCIVAGREGVEHSGG >OGLUM01G13550.1 pep chromosome:ALNU02000000:1:12050220:12053405:1 gene:OGLUM01G13550 transcript:OGLUM01G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPPKHHHHRRRRGGGGEDGGEEEEEEAGRLSLRGGGFWRRHDGEEEEEKGGGRRGEIKEVDFFLGASGRDVVVASRRHDDGFRGTTHGGGGGGDVNIGLDLLTTTTAGAAAGGAAAGAGEEDTGKNHRKEATTAAVDVELRRVVEENRRLRGMLDELTRSYSALYHQYLQVTQQQNHRHPDHHLIMNNNNNRPSLAQTHRTAATTTATTQQFLEPRASSTAQAAADADMAASDDEAGRGGGDGDASSPSLSNAAAGGGGGGGNKMRRVGGQDETAAAAPARENGEQQAAAAAELPCRKPRVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAIGCPVRKQL >OGLUM01G13550.2 pep chromosome:ALNU02000000:1:12050220:12051438:1 gene:OGLUM01G13550 transcript:OGLUM01G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPPPPKHHHHRRRRGGGGEDGGEEEEEEAGRLSLRGGGFWRRHDGEEEEEKGGGRRGEIKEVDFFLGASGRDVVVASRRHDDGFRGTTHGGGGGGDVNIGLDLLTTTTAGAAAGGAAAGAGEEDTGKNHRKEATTAAVDVELRRVVEENRRLRGMLDELTRSYSALYHQYLQVTQQQNHRHPDHHLIMNNNNNRPSLAQIQF >OGLUM01G13560.1 pep chromosome:ALNU02000000:1:12073047:12073923:1 gene:OGLUM01G13560 transcript:OGLUM01G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTSAAAAMLLSGPAASRDGAAAALLGHHHHHHHPAAMFHQSFPYASTMATLSASAPFPTITLDLTQTPAGGAGAASLLHALHRPPVIHPGAAAQAMPFAVPPQLAMYLPQQRAAAAGLGGAGAARQPSVMETVTAALAADPNFTTALAAAISSVVAGGAHHQALSTTPRGSAAGAGDGNGNGSSAAAVATGAASPAATAEAPAASGSPPRLATQSCTTSN >OGLUM01G13570.1 pep chromosome:ALNU02000000:1:12084105:12091908:-1 gene:OGLUM01G13570 transcript:OGLUM01G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAEVRVVAAIRVPLLRRPPPHLEQIPLSLFDVWFLPQPPIQRLFLYDDDGGGGGADDYFPSLVESLRSSLADAVAVFFPLAGKLTYVPSTGDVVVDCSPSAVADGVAFLEAESDGDARALAEVRVVAATRVPLLRRPQPHLEQIPLSLFDAPFVAFPAINRVFFYDDDGGADYPSLVESLKSSLADTIAVYFPLAGKLTYLPSTGDVVVDCSPSAVADGVAFLEVEVDGGTPARTPTERLDVPAFLRLVPSLEVPELPAPVLAVQATRFVGGGGGGGVAVGVAVHHAVADGQSFWRFMDAWSVAARGRPSPPAPAFDRSAIVHPMAADMARRILRKKAPELPLIPTANLLRRTWERHVVTTLELDSHRIAHIKNRIAELDEATTASPGTERRPRRLPSTFVAVAALVWSSVVRARASRQPDDGARAHLVFPADCRRRLDPPVDAAYFGNCVRCCVAGAAAGDLADAHRGVLHAREAIREAIDGFLEHPMVEAFDAWIDAVAALVRQPGFVAVTASPRFQVYEVDLGWGAPSRVEFPSESLPNGMVVMTAGRKEASVQVMATLRPEHMEAFRSQLLYW >OGLUM01G13580.1 pep chromosome:ALNU02000000:1:12093353:12097391:-1 gene:OGLUM01G13580 transcript:OGLUM01G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCLAFPRFARTKRATLSPRVLHRLLSPPLRTREAANCLRKKGGSSTMGRNHLCLVTCLWILSCAVLLHASPDGLLRISLNKKRLDKKTLDGAKLAREESHRLRADGLGDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSVKCYFSIACYLHHRYKSKGSSSYKKNGESCSISYGSGSIAGFFSEDSVLVGDLAVKNQMFIETTREPSLTFIIGKFDGILGLGFPEISVGGAPPIWQGMKEQQLIEKDVFSFWLNRDPDAPTGGELIFGGVDPNHYKGSHTYVPVTRKGYWQFEMGDLLIDDYSTGFCSGGCAAIADSGTSLLGGPTTIVAQINHAIGAEGIVSMECKQVVRDYGDMILEMLIAQASPMKLCSQIGLCAFDGTRSVRNNIESVVDKEKVGSDLSCTACEMAVVWIQNQLRHNQTRELILQYADQLCERLPSPNGESAVDCDEISNMPNLSFTIANKTFTLTPEQYVVKLEQQGQTVCISGFMAFDVPPPRGPLWILGDVFMGAYHTVFDFGKNRIGFAESA >OGLUM01G13590.1 pep chromosome:ALNU02000000:1:12097969:12101520:-1 gene:OGLUM01G13590 transcript:OGLUM01G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAENGYGYGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKGAKSGNDGLMNIAANQHSVGRYVVADYLDVDRRDSQRCGVI >OGLUM01G13590.2 pep chromosome:ALNU02000000:1:12098067:12101520:-1 gene:OGLUM01G13590 transcript:OGLUM01G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPPDDDAAAAENGYGYGNGNGNGPAPRPPPAKRPRSVISAAQIRAEFEHHEAGVARVNNGSFGCCPSSLLDAQARWQRLFIAQPDDFYFHALQPGLRRSRAAVAGLVNAGDVAEVSLVDNATTAAAIVLQHAAWSFAEGRFSRGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRAALDVAKAGGRKVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVPESIDFVNRFEGGIEGIRSRNHEKVIEMGKMLAEAWGTFLGTPPELCGSMVMVGLPGCLGVESDDDAMRMRTMLRKDFMVEVPIYYNSRRVEAQEMAKDKNGDAVTGYVRISHQVYNVTEDYEKLRDAVNKLVADGFTSSKLRPSQKQETMA >OGLUM01G13600.1 pep chromosome:ALNU02000000:1:12105717:12110355:1 gene:OGLUM01G13600 transcript:OGLUM01G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQSGGDAAANGVDADVDGAASPPSAKRPRAGAGAAAITDAEVRAEFAHHDSAVARLNNGTFGCCPASVLAARARWQRLFLSQPDAFYFHHLQPGLARSRAAVAAAVGAGDASEVSLVDNVTTAAAIIMQHVAWSFAEGDFARGDVVLMFLYTYCSIKNSIHAYVARAGATVVEVPLPFPVSSPDAIIAEFRAALAVARDGGRRRVRLAVIDHITAMPTVLIPVKELVAICREEGVDKVFVDAAHAVGQVPVDVRDIGADFYASNLHKWFFCPSAVAFIHTRKDDPVSSKLHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDVVDFVNRFDGGVEGIRRRNHDKVVEMGTMLAAAWGTFLGTPPEMCGSMLMVGLPGSLGVGSEDDAVGLRTMLRKQFKVEVPLYYNSKAAAADAPPEMVKDGNGDPVTGYVRISHQVYNVREEYEALRDAVAKLVADGFTCRKLRPPEKEETLA >OGLUM01G13610.1 pep chromosome:ALNU02000000:1:12111887:12115686:1 gene:OGLUM01G13610 transcript:OGLUM01G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTKPDKFREASRQTVSNDHGCPVDHHSVQRVLNHPFGFSIQRTGCFIQQKDLGILHNRTRDRDTLLLASRQLSSPLSNCCAITLQIFR >OGLUM01G13620.1 pep chromosome:ALNU02000000:1:12112603:12118163:-1 gene:OGLUM01G13620 transcript:OGLUM01G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESWRDAEANASSSASVAAADSSPGNGKGGGGGGGAAVARGERAASASASARVPFHKLFAFADKTDAALMALGTLGAVANGAALPFMTVLFGNLIDAFGGAMGIHDVVNRVSMVSLEFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFLGGFIVAFAQGWLLTLVMMATIPPLVVAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKQAVEKYNKSLKRAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILLKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRKPEIDAYRTTGMKPDDIRGDIEFRDVYFSYPTRPDEQIFRGFSLSIPSGTTVALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANASKFIDKMPQGLDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHHELLKDPEGAYSQLIKLQEANRQDKSDRKGDSGARSGKQLSINQSASRSRRSSRDNSHHSFSVPFGMPLGIDIQDGSSDNLCDGMPQDVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFAILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSIAGCRLIKRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNTTTLIAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEENKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAVSSIFAIVDRKSRIDPSEDAGVTVETLHGNIEFQHVSFRYPTRPDVEIFRDLCLTIHSGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLKWLRQQMGLVSQEPALFNDTVRANIAYGKEGEATESEIIEAAKLANAHKFISSSHQGYGTTVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVKNGVIIEKGKHDTLMNIKDGAYASLVALHSAASS >OGLUM01G13630.1 pep chromosome:ALNU02000000:1:12118240:12120458:-1 gene:OGLUM01G13630 transcript:OGLUM01G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSSPRGADTRPRPLTRTHAPTRCVCAMSLTRNSGWLARRGGGCVIDADGVDLDGLHVVVGGDQEMRCCLGHELGGINRFLKKSSSALRLLLCPI >OGLUM01G13640.1 pep chromosome:ALNU02000000:1:12136212:12137933:-1 gene:OGLUM01G13640 transcript:OGLUM01G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSISSDDLPQDDAFNWEWGSYMRQEVAPAIGFISGDLIAVLLISFHVFGGEEREIASNVRNTHMSALPSLFSLCLLLPHRAKTDTAVVFTVEGIGVHAGHGGQQYRVHGGAKRQRRAGGAQDQQ >OGLUM01G13650.1 pep chromosome:ALNU02000000:1:12152146:12154176:-1 gene:OGLUM01G13650 transcript:OGLUM01G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTFPDARSLSTSPSHVNTAKALGRNSLELVDSVLGASVERKTRGEIRRGSSRGTWQQRRREGAAAASVLVHGRGSGGAGSPTSGARRGSSSGARAGEGVALGGSGAGSGRRGADPLSGSSAGEQDLGSSGSAAGRPHPSMGERRGGGGTRAGEGAALSSNSAGEWAAGSKSGSAAAARGAGPREQGGSTASWPRPSLGTRIRRWLSTHYFQSDSIVPPLSTSACPSIVRLPLIAGDKSRRNGFGAGESHDRASSSTAGGGRVHGGLAGRSGVGAFMEMTTEARIWIWI >OGLUM01G13660.1 pep chromosome:ALNU02000000:1:12159253:12160240:-1 gene:OGLUM01G13660 transcript:OGLUM01G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSSFLSHHHHPHHPFLSHHHHPHHPTAGIEMSSAAELPSGREPLCGCRGGWRRLQRAAAAARSPERRRRRCGAAAGERRGGDADVAAATCRSSRARPSSPYPAACTSHRHRCGLLRLRRGEAQLGRRRRPQAISYAVRSGRLDGHALLLLLAADIIPHGERHYGHAVWRRAPSRVPAGRGGDGVGAPGPRLAEKSATLAPRVLAQVKAAQNNVQGIH >OGLUM01G13670.1 pep chromosome:ALNU02000000:1:12160661:12164512:-1 gene:OGLUM01G13670 transcript:OGLUM01G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVRGGEDEPGVRAAERGDAAVVRRAAGAVHHRPRGGAPPPGAVAAHLLRRRPERRRRQAVAGAGDQGGAGEGAGGLLPVRGEVRGRRRRAGERPRGVHRRGRLVRGGRRRLQPRRRERPRPPAHDPRGRPPPRRRPRCPPPRPPPHDAGISDGVQLRRVRGGPDLGAHDGGRARGRAVHQRGGRLRPRAGQAEGEPGLGPRGHPEPAEAAPGPAAGAEDVPAPPRHRRPEPGQHQQGQVRLLRRHRPPLLHLRRRHRQDVAGAHPCAPPPGTHLPRQPLLLRQHPPPHGRRRRLARTRRRRQWRQWVLRQLLLPGVGGGGERGGGGGGRGRGGGDDTGGEGEAAGGLRAVGGGRLQGGSVRAELHVRFPVRLRLDAAGVPGGGLRVGAAVARHTLRVLPVHGRRHHRRAAGAQDRRPDHDAVRRGRPPAGVQGGDQGLRQVK >OGLUM01G13680.1 pep chromosome:ALNU02000000:1:12164525:12179654:-1 gene:OGLUM01G13680 transcript:OGLUM01G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGRSCINKGGDKSRWTTGGARGPASPMTSRTELGAGGGSALGRSRRWLRRQAAAPEGASMMVRGASDEDLIGDSGAIVQRRGHHLGALRFNGAEPGHLPEVQWPDFGSAPTDPRRRPIYTPPPPRTTTTSKVLSSISGDGGDDDDDGEEELVAT >OGLUM01G13690.1 pep chromosome:ALNU02000000:1:12173398:12174187:1 gene:OGLUM01G13690 transcript:OGLUM01G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASYFFPLFSPFLLSLSLSLLVVLSSYHHRSGHPALRLFVWAASTLFLLLVSYVVSAAVKWDAARVPLLFAWIVFLQMLQNTIDTTRLSSSTIGNGSGNSKFRPTDRHLEEDSPGEEERDTRGIPLGSGRDGVGDEREEERGGRLDEETEHRVAGAAAVGAEHDEEGK >OGLUM01G13700.1 pep chromosome:ALNU02000000:1:12212155:12216939:1 gene:OGLUM01G13700 transcript:OGLUM01G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y737] MVNGEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVTIRINPHKLFLDLLASFEKQTNYAMQKHHHRLTSRHLSAGGSPLAAAAVAAVKLRLIIMDSPRRVLRVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGKGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >OGLUM01G13700.2 pep chromosome:ALNU02000000:1:12212155:12216939:1 gene:OGLUM01G13700 transcript:OGLUM01G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y737] MVNGEAECTRASLLGRYEIGRTLGEGNFGKVKYARHLATGAHFAIKILDRNKILSLRFDDQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEYVNGGELFDKIAVKGKLSEHEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRRGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGYLPFDDRNLVVLYQKIFKGDTQIPKWLSPSARDLLRRILEPNPMKRINIAGIKEHEWFQKDYTPVVPYDDDDDNYLDSVLPIKEQIDEAKQEKPTHINAFQLIGMASALDLSGFFEEEDASQRKIRFTSTHSPKDLFDKIENVVTEMGFQVQRGNSKLKVMKNGKGSKNLRNPSSFLVCTEVVELGPSLYVVELKKSHGDPILYRQLCERLSDELGVCKTEQIQRTESLEDDLESFDSGSSLPGF >OGLUM01G13710.1 pep chromosome:ALNU02000000:1:12217586:12219721:-1 gene:OGLUM01G13710 transcript:OGLUM01G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEFTYQVKWEMSEDGSPATYFSFFMESSTALQMESEPIVGCDGDGCASGAGTWPLHHFRRLDGVHCRLCSSCLLLEYRSFYCCCCFLLLCPEPPAHFDDGDPILAPPVPVATCRLCNEAVAHRYCLQSDDDTFVCAACVAAAHGWLFSYTPTAPPPAALAATTTGGVVSDAPLDIRATRIMLLASRISLAVLRKAAAAARATAERLFVEAKAEKARAYRALAVALGVDAEVPSANHGADEPEPLPMLQAPPPPEDMAPESSSTATNMGALPPSENVAPPESDASSVAMALAMAPPSENLPSEGNLVAMAMGLDLNAPPPSPAADTIGVGDVAEMTMAAEASSSSPPPPPPPPPQPRRRPLQLFPDDDM >OGLUM01G13720.1 pep chromosome:ALNU02000000:1:12228723:12230811:1 gene:OGLUM01G13720 transcript:OGLUM01G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKFNSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMGERRDKPLKKLQSRLPLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >OGLUM01G13720.2 pep chromosome:ALNU02000000:1:12228723:12230816:1 gene:OGLUM01G13720 transcript:OGLUM01G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSERATTTPSSSYYSLELDPLLSDLAEKKLSLRRSLAWLDAELKDAKIKLASKEQLLAQESENRKKFAESRARSMEEEVKKLHKCLQDKDEQLRTSICSTEQQYLSSYKLDILRSQISVAQATAEASAESAMLARLQCLSLSGGHEKFNSLGECELRVKKVEEQLDLVQKFLEAKELSQLEKNQMTTVHELKKKVLKLECTLKVSRAQLRKLHKMGERRDKPLKKLQSRLPLKQQTACDKQKLWESSGFRIIASMSILALAMLSKR >OGLUM01G13730.1 pep chromosome:ALNU02000000:1:12235699:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCVFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13730.2 pep chromosome:ALNU02000000:1:12236106:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCVFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13730.3 pep chromosome:ALNU02000000:1:12235912:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAGVDVNSLHENHSLSNTLLLLLKQLSGLDSSGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCVFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13730.4 pep chromosome:ALNU02000000:1:12235699:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLKIMIKAAFHFPLLLLKKMSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13730.5 pep chromosome:ALNU02000000:1:12236146:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGLKKKGLEWDLNDWRWDSNLFLATPSNASPSKCSRRELGRAEGEIDFGVVDKRRRVSPEDDDGEECINAATTNGDDGQISGQRGRSSEDEMPRQGACSSSGPCCQVDGCTVNLSSARDYNKRHKVCEVHTKSGVVRIKNVEHRFCQQCSRFHFLQEFDEGKKSCRSRLAQHNRRRRKVQVQAASGPSEQINGPNYLTNLVKNLAALAGTQRNQDMLKNANSAAIASHTGNYVAKGNSLHDSRPHIPVGTESTAEEPTVERRVQNFDLNDAYVEGDENRTDKIVFKLFGKEPNDFPSDLRAQILSWLSNCPSDIESYIRPGCIILTIYMRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCVFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13730.6 pep chromosome:ALNU02000000:1:12235912:12242283:1 gene:OGLUM01G13730 transcript:OGLUM01G13730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNWMWDKLAADPAHWIQKLISLSTDTLWRTGWMYARVQDYLTLSCNGNLMLASPWQPAIGNKHQILFITPIAVACSSTANFSVKGLNIAQPTTKLLCVFGGKYLIQEATEKLLDDTKMQRGPQCLTFSCSFPSTSGRGFIEVQFLCRYVCSHFPLLTLQNSCFLFQVEDHDQSSLSFPFVVAEEDVCSEIRTLEHLLNLVSFDDTLVEKNDLLASRDRALNFLHEFGWFLQRSHIRATSETPKDCTEGFPAARFRWLLSFAVDREFCAVIKKLLDTLFQGGVDLDVQSTVEFVLKQDLVFVAVNKRSKPLIDFLLTYTTSSAPMDGTESAAPAQFLFTPDIAGPSDITPLHIAATYSDTAGVLDALTDDPQQLGIKAWKNARDATGLTPEDYARKRGHESYIEMVQNKIDSRLPKAHVSVTISSTTSTTDFTEKHASQSKTTDQTAFDVEKGQQISTKPPLSCRQCLPELAYRHHLNRFLSTRPAVLSLVAIAAVCVCVGLIMQGPPHIGGMRGPFRWNSLRSGPK >OGLUM01G13740.1 pep chromosome:ALNU02000000:1:12243285:12245677:-1 gene:OGLUM01G13740 transcript:OGLUM01G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y748] MAEVDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPESKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDAEHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGACAWLRPDGKTQVTVEYQNDNGAMVPLRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILRIVTENFDFRPGMIIINLDLMRGGNGRYLKTAAYGHFGREDTDFTWEVVKPLKWEEPSA >OGLUM01G13750.1 pep chromosome:ALNU02000000:1:12250544:12252755:-1 gene:OGLUM01G13750 transcript:OGLUM01G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSLSLSLVQASEKARQQPSARKMYHPQCELLMPLESLEMDVGQSHLAAAVAAAMPGELNFHLLHSLDAAAAAASSTAASASSQPTVDYFFGGADQQPPPPPAVQYDQLAAPHHHQTVAMLRDYYGGHYPPAAAAAAATEAYFRGGPRTAGSSSLVFGPADDESAFMVGPFESSPTPRSGGGGGGGRKRSRATAGFHGGGPANGVEKKEKQRRLRLTEKYNALMLLIPNRTKEDRATVISDAIEYIQELGRTVEELTLLVEKKRRRREMQGDVVDAAPSSVVAGMDQAAESSEGEVMAAAAAAAMGAVAPPPRQAPIRSTYIQRRSKETFVDVRIVEDDVNIKLTKRRRDGCLAAASRALDDLRLDLVHLSGGKIGDCHIYMFNTKIHPGSPVFASAVASRLIEVVDEY >OGLUM01G13760.1 pep chromosome:ALNU02000000:1:12262044:12267050:1 gene:OGLUM01G13760 transcript:OGLUM01G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G63090) TAIR;Acc:AT3G63090] MLLPAAAAAAGGVHRLKSLCPVRRISSLKVPWRRDAALDAAILRDRRYRLASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVLVPTFLRRYPTLLSVSPPPNPVASPSPHLLSFLEFASRHHALHSPLLASRLAKLLMISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLHPASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCDEFRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALMTWGAVAKLTRSGVGNGIAAFVLEPLNSLSRLEDILSSHGQEATVGLLAPSAVSGLGAGGGAQEAVVASGRPRRRGREQEASSCTQGLWHAGSRQASIFVSAAHRSYS >OGLUM01G13760.2 pep chromosome:ALNU02000000:1:12262044:12266653:1 gene:OGLUM01G13760 transcript:OGLUM01G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G63090) TAIR;Acc:AT3G63090] MLLPAAAAAAGGVHRLKSLCPVRRISSLKVPWRRDAALDAAILRDRRYRLASRLVREVLLSPGRRLLLRYLSKRRQRIRLPVLVPTFLRRYPTLLSVSPPPNPVASPSPHLLSFLEFASRHHALHSPLLASRLAKLLMISSTRALPVPKIAAAKRDFGLPDDFLTSLVPRYPHLFRLVGDPGPDASGNAFLELVSWDDQLAKSVIELRADKEADVVGIRPRPNFTVKLPRGFYLKKEMREWVRDWLELPYVSPYADTSGLHPASPEAEKRLIGVLHEVLSLSVERRMAVPIIGKFCDEFRLSNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDENGELVDKDPMIELKERFVAIMDEGHREYLEELRKKREELEKQRLQEAYRGAKVGTGIEDEMEEEGIDESDEDDDSEEGEALMTWGAVAKLTRSGVGNGIAAFVLEPLNSLSRLEDILYV >OGLUM01G13770.1 pep chromosome:ALNU02000000:1:12270673:12296321:-1 gene:OGLUM01G13770 transcript:OGLUM01G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSGAPPPGALRWTVDTLNTRRAFDVKYNFYGSSCPNAEQTISNVVYGLIDADPSMAPALLRLHFHDCFVML >OGLUM01G13780.1 pep chromosome:ALNU02000000:1:12296554:12298292:-1 gene:OGLUM01G13780 transcript:OGLUM01G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTVAVICSLVAVQLWVTLLAGELKVGYYDDKCSGVEDVVKSHVIKAIILNRGNGAALVRLIFHDCFVRDLATVARFMPAFVGKLRPVSALDNTYYRNNLDKVVNFNSDWQLLTQDEARGHVHEYADNAALWDHDFAASLLKLSKLPMPAGSKGEIRNKCSSINHR >OGLUM01G13790.1 pep chromosome:ALNU02000000:1:12298986:12300329:-1 gene:OGLUM01G13790 transcript:OGLUM01G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPDEEEAAARRRKMAAAPNFVVGAGGRGGQICRRAPARLPPPSSPPAHRPLPPGAVPDRLPPTRSPSSSSATEPSAVELLRRRALRRRILRRFRRPALTVFSRALGGGGRGGCGVAVPRGAAARSWQEQRRCPHKTSATNTLSPIYATRCGEGGGWSGGEVASDDGVRSWGKEEDKATEEKTVTLRIESGGKVFFFP >OGLUM01G13800.1 pep chromosome:ALNU02000000:1:12313622:12316746:-1 gene:OGLUM01G13800 transcript:OGLUM01G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSSIRAPVNPNPEKASPANGGLRGLDVVHEPRGGWRARRACPETVSCSPASSRSPRATRQRICPTVNDTLDAQYSDELRAVCPPPDAEETAWAHLATTIPSPFFDADELVQSFAAKGLTVDDLVALSEPAVPDGGRLPGRELRGGAEGGAAADDGVVNNSPVSPATLGNQYFKNALAGRVLFTSDAALLAGRNDTAEKVRENAGDLTAWMARFAASMVKMGGIEVITGVRGEVRRFCNATNS >OGLUM01G13820.1 pep chromosome:ALNU02000000:1:12321949:12322674:1 gene:OGLUM01G13820 transcript:OGLUM01G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAIGRFCKAEAPGALTVRFVTGMDVPSWEQELWFCSIDLLYYMYSITDYFHTRRLRTCFTSIHTKMDIHSYTNLHIIPFPSSSIGTSHHHHLHQSTKKTKNLQGQMEMGKIDVSLLSVAGACPERRELMKGLGAEGVVEFCYHHC >OGLUM01G13850.1 pep chromosome:ALNU02000000:1:12398993:12399591:-1 gene:OGLUM01G13850 transcript:OGLUM01G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVHDHLTSGALSGSRQQHIRVPVAMDKMNGARSEKINHMITHAAVGTYYLARGQNYTTYARTANDFEDAWTALVNEYGLQEENAYLHKAQMLIDDEMKPNDSQEIDTNRKDVKRALEATKLLISHPSEEK >OGLUM01G13860.1 pep chromosome:ALNU02000000:1:12403223:12405377:-1 gene:OGLUM01G13860 transcript:OGLUM01G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSYALCFAIVAGAIAASLWAMLSSRKRPPSDGSSPPHAVDDAAAASIGSAGASAMWSSRWSGVRPAWLLLASRAAAAVALAGVLLWDALTYDLTIMVYYTEYVSSVNDKSRSFLFPYLSISTTIFNLVLFTSKNELISSHQPSRRWTFMLEIVYFVIATLFSAYGCFMYSMHHRHVTMLPEIDESLVGLSGSLMEINHGADQKGGAGLNQLGRFMQIVYQVLGGAVVLTDVVFWALIVPFMYSSHFSLNAVMGCIHSFNLVFLLIETTLNNLEFPWFRMTYFVLWTCSYVIIQWVVHVCGLKWWPYPFLNPAAPWAPLWYFCIAVLHLACYTVYWAIVRGTNWWLRSCTIPTR >OGLUM01G13870.1 pep chromosome:ALNU02000000:1:12406754:12408806:-1 gene:OGLUM01G13870 transcript:OGLUM01G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVMHQVVPLLEASFHRRCSVKGVDEVSPPVEEMSPEAASEAAIEVPELMVKAPVESLQFSPNIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMCPAPNAFYGVCKKLVFDGHGGPDAAAYMKRHAIRLFFEDSGFPQALEEEESFYESVEKSIHNAFLSADLALADDLAISRSSGTTALAALIFGRQLLVANAGDCRAVLCRKGVAVEMSRDHRPTYDAEHERITECGGYIEDGYLNGVLSVTRALGDWDMKMPQGSRSPLIAEPEFQQTTLTEDDEFLIIGCDGIWDVMSSQHAVTIVRKGLRRHDDPERCARELAMEAKRLQTFDNLTVIVICFGSELGGGSPSSEQAPIRRVRCCKSLSSEALCNLKKWLEPNE >OGLUM01G13880.1 pep chromosome:ALNU02000000:1:12413556:12423399:-1 gene:OGLUM01G13880 transcript:OGLUM01G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFHLPIVGAHRMEAQALVSRSTDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARAR >OGLUM01G13880.2 pep chromosome:ALNU02000000:1:12413556:12423399:-1 gene:OGLUM01G13880 transcript:OGLUM01G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAHLLAIDRVVQKALDDANVSESDLSAVAVTVGPGLSLCLRDDIPISSATKDDRQIRANIAASFQVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDLQYDLRPRWPLGEEYSDGRCVARAR >OGLUM01G13890.1 pep chromosome:ALNU02000000:1:12424924:12427985:-1 gene:OGLUM01G13890 transcript:OGLUM01G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTTLLSLPSLRPIPIGKGIPIAVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTITHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLQLPPVRSSCSASHLSPNPRRSPLFPGGNHAAASRNSLLPRSLIIYFGVLSNVFGYWILSLEKMRTAIPHTPNDEEMESNTKASIPVISLCRICRRKKYKIHDAGDLQQENMFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYEYMKGGNLLTALKSYRSASKLDWKRRLCIAQDVAHALSYLHHDCSDPIVHRDVTTKNILLDLEFRACLSDFGIAKILDADGSGHTRLAGTKGYFAPELAYTTKVTEKCDVYSFGVVVLELLMGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSAIHLFSKFARAVDLDYLHADIMEFCLL >OGLUM01G13890.2 pep chromosome:ALNU02000000:1:12424924:12427985:-1 gene:OGLUM01G13890 transcript:OGLUM01G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTTLLSLPSLRPIPIGKGIPIAVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTITHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLQLPPVRSSCSASHLSPNPRRSPLFPGGNHAAASRICRRKKYKIHDAGDLQQENMFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYEYMKGGNLLTALKSYRSASKLDWKRRACLSDFGIAKILDADGSGHTRLAGTKGYFAPELAYTTKVTEKCDVYSFGVVVLELLMGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSAIHLFSKFARAVDLDYLHADIMEFCLL >OGLUM01G13890.3 pep chromosome:ALNU02000000:1:12424924:12427985:-1 gene:OGLUM01G13890 transcript:OGLUM01G13890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIAPLHLTNPSSTTLLSLPSLRPIPIGKGIPIAVAAAAHAQAGLGRTGPVLGFCIADGPETACDVAQQGGYTITHSLRRFSLDPFLSSSSVRRRQGKWSHRGCGGGGAAASGASSLLQLPPVRSSCSASHLSPNPRRSPLFPGGNHAAASRICRRKKYKIHDAGDLQQENMFSVLNFDGGNAYEQIIEATENFSEKYCIGAGGYVSVYVAKLSNGKNFAVKKINATENGRLINEQMFYREIEATMQIRHKNIVKVFGYCCTARDKFIVYEYMKGGNLLTALKSYRSASKLDWKRRLCIAQDVAHALSYLHHDCSDPIVHRDVTTKNILLDLEFRACLSDFGIAKILDADGSGHTRLAGTKGYFAPELAYTTKVTEKCDVYSFGVVVLELLMGSHPGDFVSSISCPSKKSTPMKDLLDTRLPPPEGEVASEIFGLITVAIQCLHPNPSTRPTMPSAIHLFSKFARAVDLDYLHADIMEFCLL >OGLUM01G13900.1 pep chromosome:ALNU02000000:1:12427535:12432518:1 gene:OGLUM01G13900 transcript:OGLUM01G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVGLVDPSSGFPETSTSGATDRLTDDISEMSIRDKEVEAVVVSGNSMDIGHTIVTTVGGRNGQPKQTISYIAERAVGRGSFGVVFQAKCLETGERVAVKKVLQDARYKNRELQTMQVLDHPNVACLKHYFCSTTAKEELYLNLVLEYVPETVHRVIRHYNKMSQRMPLIYVKLYMYQICRALAYIHNCVGVCHRDIKPQNILVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKFPPFRLAHAGDLLYFIQVLGTPTREEIKHMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPHLRCSALEVLIHPFFDELRDPNARLPNGRTLPPLFNFKPRELKGAPMEFLVKLVPQHAKKQCAFLGL >OGLUM01G13910.1 pep chromosome:ALNU02000000:1:12434915:12437599:1 gene:OGLUM01G13910 transcript:OGLUM01G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAAGRTAAIALLLALAFASSFISAADGARSARHHHAKHAKRNAAHPPSQAPGPAARHAPGPARHHGAPAPHPGRRSPPAPAPANPPSSDPMPGGAPSAAPAAGAATVYDIVKDFGAAGDGVTDDTDALKTAWDTACADDGAGVVLAAAGRSFLIHTTVFTGPCQGSVTLQVDGTIVAPSEPATWPANNKRNWLVFYRADGVSLVGAGLIDGKGQKWWDLPCKPHKGGNTHGPCDSPVAMRFAISNNVTVRGLKVQNSPEFHFRFDNCNGVRVDGLSISSPALSPNTDGIHVENTQDVLITNTVVSNGDDCVSIGAGTLNVHIENVTCGPGHGISIGSLGKAGTKACVANVTVRNAVIRHSDNGVRIKTWQGGSGSVSAVAFENVRMDAVRNPIIIDQYYCLSKSCENETTAVFVNGVSYSGIRGTYDVRGPPIHFGCSDAVPCTNITLSDVELLPASGDTVDEPFCWNVYGNAATPTVPPVSCLSSGFPNYTEKKDLQCY >OGLUM01G13920.1 pep chromosome:ALNU02000000:1:12462661:12465649:1 gene:OGLUM01G13920 transcript:OGLUM01G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPRRLGFLAALVFAVSVSASVSSVSGGSGPITTNGGNYTRVCDPARFAAAGLDMAGFPYCDASLPYADRVRDLVGRMTLEEKVANLGDRAGGAPRVGLPRYLWWGEALHGVSDVGPGGTWFGDAVPGATSFPLVINSAASFNETLWRAIGGVVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFVVGRYAVNFVRGMQDIDGAASAAAATDAFSRPIKVSSCCKHYAAYDVDAWNGTDRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRINGVPACADARLLTETVRRDWQLHGYIVSDCDSVRVMVRDAKWLGYTGVEATAAAMKAGLDLDCGMFWEGVHDFFTTYGVDAVRQGKLKESAVDNALTNLYLTLMRLGFFDGIPELESLGAADVCTEEHKELAADAARQGMVLLKNDAALLPLSPEKVNSVALFGQLQHINATDVMLGDYRGKPCRVVTPYDGVRKVVSSTSVHACDKGSCDTAAAAAKTVDATIVVAGLNMSVERESNDREDLLLPWSQASWINAVAEASPSPIVLVIMSAGGVDVSFAQDNPKIGAVVWAGYPGEEGGTAIADVLFGKYNPGGRLPLTWYKNEYVSKIPMTSMALRPDAEHGYPGRTYKFYGGADVLYPFGHGLSYTNFTYASATAAAPVTVKVGAWEYCKQLTYTARVASPPACPAVNVASHACQEEVSFTVTVANAGGRDGTHVVPVYTVPPAEVDGAPLKQLVAFRRVWVAAGAAVEVPFALNVCKAFAIVEETAYTVVPSGVSRVLVGDDALSLSFPVQIDLQAAA >OGLUM01G13930.1 pep chromosome:ALNU02000000:1:12467430:12472727:1 gene:OGLUM01G13930 transcript:OGLUM01G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y768] MEASIVSTYLPLLAMVLVQLGLAGLNVMSKLTMASGMSPYVLLAYRNFIAAAFLAPIAFLVERATLNQVLYFVGLKYSSPTVASALNNTLPAVTFLLAALLKMEPVAGRAGRAKVAGTALCVAGSMLMTFYRGPLVRTLASPVHWPYVQGTMAAEAAAHAGGHAVVLGAVLVIGSNVAWAIWFIIQKNLSKSFACPYTSTALMALIASVQCAAIAGAAERRLSAWELGLDIRLVGALYAGIVASGMMCTVMSWCIQERGPVFVSMFSPLMLIVVAVVGWGILGEKIHVGSVIGAVIIVVGLYTVLWGKGRDLDGAAVAIASLAGDEEMNGGVGADDTTG >OGLUM01G13940.1 pep chromosome:ALNU02000000:1:12478418:12480517:1 gene:OGLUM01G13940 transcript:OGLUM01G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAETGKVVQWRRWAFANLGSVLSNFGSLWLLLAPLLAAYAPRRLFKTYFSLFLRRHARRLLAVVDPYVTVDVSEPGGASAHYSRYGRVTDSGDSTYEEVKAYLSDACAGEARELRAEGASEGDGVVISMRDGQDVADEFRGAALWWTSVVREDSQGQQRAHTRQCQRLTFHHRDRRLVVDEYLPHVRRKGREILFSNRRRRLYTNNKSGDSFRYDYKAWSYIDFDHPTTFDTLAMDTARKREIIDDLDAFRSDRDFYRGAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTVVKDNNDLRRLLIETTSKSIIVIEDIDCSLDLTGDRAATQRRGRRNDRDDGSRRHDRDGSMVTLSGLLNFIDGLWSACGGERIVVFTTNHVDKLDAALIRRGRMDMRIEMSYCGIEAFKTLAKNYLDVDDHRLFGPVGEILGRESITPADVAECLMTAKRAGCDDESSRLEIVIDELKQTAEANAKAKAEAEAKAKAEAEEEAKAAEMDRDNTREEQNGRKSPKI >OGLUM01G13950.1 pep chromosome:ALNU02000000:1:12484162:12485424:-1 gene:OGLUM01G13950 transcript:OGLUM01G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLRRRWAAADDGVLYEVARRIPCEIDRRHMGRVCNSWRVALAKLKAPAPPPPLPWLALPESDDGLPATVSCVLSGCRTHAFSVLQGARGARYFGSYDGGWLFLAVGGQAQRQALLNLKINGFQTLDLPNLAPVNSVNPNRDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVVLPVLWALEEDNPLMRLEEVEDLLCHHGAFHFLTRAEDVLACEEPPVFYRDSVSLVPANMFFLPRVHDENETVLARYLVGSGKKLLMVVRLASGRGQRTTSAFRVFQKKKLNTGEEDEPSQNRSAHFEYYWSELDELDGRMLFVGRGCSRSYEAGDGRYPGMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSRAPTDPQGQFDRCFPERGPSIHSPPVWILP >OGLUM01G13960.1 pep chromosome:ALNU02000000:1:12496344:12499862:1 gene:OGLUM01G13960 transcript:OGLUM01G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y771] MGRESLPTLAMVMVQLGFAGMNVVSKLALDTGMSPYVLIAYRNIIAAVFLAPFAYYFERKSGMVITKKVLVQIFFSSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFAMAAAFRMESVRLSAAAGQAKVFGTVVCVGGSMIMPFYKGPLLRLWASPIHWRFAESAASGAAAPAAGGAAVLGDVLIILSCAAWAVWFIIQTKMSERFSAPYTSTTIMCLMAGVQCAGVSAAMDRSVAVWKLGFDIRLYSVLYIGVVGSGIAFALMSWCIQVRGPLFVSMFSPLMLVVVAIVGWAILDEKIHVGSAIGSVLIVAGLYMVLWGKAREMGSPSDLDGGGGVVELNGKGADAATTLPVFCTTTNKHETTRNGCSN >OGLUM01G13970.1 pep chromosome:ALNU02000000:1:12507100:12508268:1 gene:OGLUM01G13970 transcript:OGLUM01G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSLALNFAILKKKKNRHPKPPAPIPPVGVSNDAFLAGFLHVTTNARRRSTYALAAADLRPGACLRAAKTISFANHELQIDAANTLTPTAPPRLQIDAASAPHADNSGVDFRLQVTVTRRQSPPPGTSTNIAAGAPRSGPPTTNPPPAWRSRPVARSSVAHGASTSHHPSSTTTPVPIPCYIETCQQQSPVAHGASTSHHSSSMTTLLPILRCTRP >OGLUM01G13980.1 pep chromosome:ALNU02000000:1:12516010:12517458:1 gene:OGLUM01G13980 transcript:OGLUM01G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPKFMQIELRSGLVLLPLTYTPSRVARDGGKKKRGKAAGAGTSRPQSALAPVKAESVGSSIYPGVNAVEGVADAAQGGLGFPEVPPGFEKVKAAPAPATPAPVASPSTTSAKKVWISLESHLKFVWAGAGEGARVAVTRCRRPALELEDGLHVAEEPAASPKLGVAFLPVEPGVSVVALTAGGAEDEDGSGWVQNGGNGGGGYGNAGRGGNDAGWLSD >OGLUM01G13990.1 pep chromosome:ALNU02000000:1:12519386:12520267:1 gene:OGLUM01G13990 transcript:OGLUM01G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPCGWTTSAAAAVAEEEDVRRGPWTVEEDAVLAGYVAANGEGRWNELARAAGLRRTGKSCRLRWLNYLRPDVRRGDFTPQEQLLILELHFRWGNRWSRIAQHMPGRTDNEIKNYWRTRVQKHAKQLGCDVNSRQFKDLMRHLWMPRLVERIHAAAASSERAAPPPCAAAPASHSGMCHSPDPSTTTSSTAGSSVTHGEQFPSSTNHHLMTMASITTAAADWSSEQCGSGSATSTSVGISDDMFEGSWSELLARAYDDDGADSSLLPDFQMADTGDNCWWSNLEDIWSQQPY >OGLUM01G14000.1 pep chromosome:ALNU02000000:1:12528248:12529057:-1 gene:OGLUM01G14000 transcript:OGLUM01G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAEHMDGAAGGGQRRGRAPRQQRRGDISCGAAAAPFVGDDSEVPLSSSTSMVVGDESSSGRARWVPLVARTVGRVRRAVGGRRHRGHWTGTEAAVVLVPISASCFRGGSPPPSMSRSGGSFVVEELGVSSPLIGVAIWAPSDSSFGDVLLCFRRRPLSILCCALCFLSRSHDAGGDADEAEEDYEEELHACVDSPPPPASVSSFSSHRRKTRERERGGSMGEWDWGGIGVRKEMTCGPIYFFYVLFC >OGLUM01G14010.1 pep chromosome:ALNU02000000:1:12535768:12536054:-1 gene:OGLUM01G14010 transcript:OGLUM01G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDDRFCYNGNKYRKGKDAVAVMFEAIWFDDEVAFDFPMGICATKASQHASIWKPS >OGLUM01G14020.1 pep chromosome:ALNU02000000:1:12538853:12546208:1 gene:OGLUM01G14020 transcript:OGLUM01G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56570) TAIR;Acc:AT1G56570] MSQKHATTLISSLCSRGAVCHARALFDEMPDRDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSSVLTACRGPCAPATAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGKERTGLRLFKTMLKDGVELSTFACSIALHACTLVMDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPDRNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLNLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDMMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIELTVAALKFVWQMKYCISCLNTWMMLEDLYQEFLKHITGLHQQPLYFLPAPGLAIHDHPGWHLLHELDIVSRARIHEVVEAVQALASSGGVAVEHVGPVHQSHQVDLVDELQRQRAEPEEVGLAAGRPLGADHQVAPPQRLLHPPPVLVAIPGQPDGRDRRYPLAEAADAVGDGRDLLVEHRCNVDRVEQRPVGLKASLPSWKTKGLGYWSLGKGDHFRFSSGVTSRQNKD >OGLUM01G14020.2 pep chromosome:ALNU02000000:1:12538853:12543083:1 gene:OGLUM01G14020 transcript:OGLUM01G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56570) TAIR;Acc:AT1G56570] MSQKHATTLISSLCSRGAVCHARALFDEMPDRDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSSVLTACRGPCAPATAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGKERTGLRLFKTMLKDGVELSTFACSIALHACTLVMDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPDRNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLNLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDMMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIELTVAALKFVWQMKYCISCLNTWMMLENSIKF >OGLUM01G14020.3 pep chromosome:ALNU02000000:1:12538853:12544428:1 gene:OGLUM01G14020 transcript:OGLUM01G14020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56570) TAIR;Acc:AT1G56570] MSQKHATTLISSLCSRGAVCHARALFDEMPDRDVVAWTAMLSGYASNGLRREALDVFRRMVAAGAAPNEYTLSSVLTACRGPCAPATAMPLHAVAVRRGVDRMPYVVNALIDSYASLAEGVVDARRLFDALGSGRTAASWTSMIAGYARWGKERTGLRLFKTMLKDGVELSTFACSIALHACTLVMDLCLGQQLHLQCIKKALDVNLAVVNSLIDMYCTCARILDARSLFDGTPDRNLITWNTMIAGYSQCDPLMALQLLLEMNDEPNCFTLTSITSACADLAALRCGKQVHGAVLRRSYSDDLQMGNALVDMYSKCGSITNAKNVFDRMGCKDKFSWTSMIAGYGMNGYGNEAVQLFSSMIHAGVHPDHVVFLNLISSCSHAGLVDEGWNFFRSMINEYNLQPNKEVYGSVVNLLARAGRLREALDLIDMMPFAPDEYVWGALLGASKMHNNVEMGRLAARKITEINPDDVKNYIMLASIYAAGSKWGEYAFTRRSLRGIGSRKEAGISWIEVMDKMYSFTAADSSSPQVCLADEVLHILSQHMDDVGTGTVKSIDWEDLAIPQGFCGPVYLLATPSTTSTKNF >OGLUM01G14030.1 pep chromosome:ALNU02000000:1:12543183:12546996:-1 gene:OGLUM01G14030 transcript:OGLUM01G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPSSGHTNFYRFVRRWLANPLGHHQHHHLRRSTSTGISSSTYPRIIPRCPSSSSSAQDRDRLRDKTMILDVEGGILMSSSTFPYFMLVAMEAGGFLRGFIMLCVHLVLWCLAPLLPEEVKLKVMVMVCFFGLKEKKVARVARAALPKHFLEGVGMEGLEAVRGVKSVVGVSRVIPRVMVKPFLEDYLGVDVVVGREVKMVRGFYVGLLENMSDGRLELADLEGEEMIGFGSSSGYSGHDHHHLFSWCKEVYLVTPEEKRKWSPLPRDQYPKPLVFHDGRLAFRPTFQATLAMLTWLPFSLPLTIFRTLIFVTLPYPVSVAIGSVFGVRTRVINSPVGQAKADHPRNPKGHLYVCNHRTLLDPVYIAAMLNKKVSAVTYSVSRLSEWISPIPTIRLTRDRDEDRRRMEEALRRGDLVVCPEGTTCREPYLLRFSPLSLELVDEVYLVALVNWSDMFYGNSTGRSKCLDSFYYFMNPRPAYDVEFMEKVPTRMVVDGKTCESKHVANMVQGEIGRVLGFECTKFTRENKYLALAGNRGVVDANQ >OGLUM01G14040.1 pep chromosome:ALNU02000000:1:12550738:12554145:1 gene:OGLUM01G14040 transcript:OGLUM01G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGWANFGPYSRNEIRVLWQFCGPKRRAPTSLAGPLALASASASLSSFSLPHGSRVRIAPPPRRRRNSGEILPLPALPSQGRRGGVPQRGREGGGNARREGGGGGGRRPPEAPRDPHAAAQEARHPLQA >OGLUM01G14050.1 pep chromosome:ALNU02000000:1:12554816:12557351:-1 gene:OGLUM01G14050 transcript:OGLUM01G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGDNGTDVNMISSQAKTPWNDLIAMKDDHHIPCCSSRSQDFAAKSGEDRTSDGEMKVGLLDKSKGEKEKKKRSKKPPRPPRPPSASPLDAADQKLISELSELASLKRARIERMKALKKMKNTKPASSIGNLVALIITIIFCLVILWQGVFSKYGAGIIFHGSPISSGRSHGSLFSIQFYKKNETATSPRSSSSAPNNTGITTRLEIHGEARGVTE >OGLUM01G14060.1 pep chromosome:ALNU02000000:1:12563648:12564254:-1 gene:OGLUM01G14060 transcript:OGLUM01G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTTTRHTVRFGSATIDTTVTSDVAAADEWARGVRAAARGGRGLIVGLDCEWKPNHVSWKTSKVAVLQLCAGERFCLVLQLFYANRVPPAVADLLGDPSVRLVGIGVGEDAAKLEADYGVWTPATAGSASSGPGRRLGLKGYAREVLGMAMEKPRRVTMSNWEKRELDAAQVEYACIDAYVSYKLGERVLAN >OGLUM01G14070.1 pep chromosome:ALNU02000000:1:12570066:12572478:1 gene:OGLUM01G14070 transcript:OGLUM01G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y784] MKQVLAPIIALVIGIGTLAFMAISPQVCHAAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCDISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISEQLLIAQE >OGLUM01G14070.2 pep chromosome:ALNU02000000:1:12570066:12572478:1 gene:OGLUM01G14070 transcript:OGLUM01G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y784] MKQVLAPIIALVIGIGTLAFMAISPQVCHAAAGGSATVARSIFVSKKGSGADFTRIQDAINSVPFANRRWIRIHIAAGVYKEKVSIPANKSFILLEGEGRQQTSIEWADHAGGGGGDSGTADSPTFASYAADFMARDITFKNTYGRMAPAVAALVAGDRSAFYRCGFVGLQDTLSDLLGRHYYERCYVEGAVDFIFGEAQSIFHRCDISTAAAAAPGFITAQGRSSASDASGFVFTSCTVGGAAPAYLGRAWRAYARVVFYRTAMETLEMVESGCTGPGSNRTGRVPWEKTLSGEELAKLVDISEQLLIAQE >OGLUM01G14080.1 pep chromosome:ALNU02000000:1:12570424:12571074:-1 gene:OGLUM01G14080 transcript:OGLUM01G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAMKSAAYEANVGESAVPESPPPPPAWSAHSIDVCCLPSPSSKMKLLFADAGGDVNPDPPPVGEWDGVDGVLYPCEISTTTLLADEDASRHSSTSSCSSMANLRTNGHES >OGLUM01G14090.1 pep chromosome:ALNU02000000:1:12572575:12577006:-1 gene:OGLUM01G14090 transcript:OGLUM01G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQIFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTIEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLISYARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNQALKDAGAVVEDGKICPVTEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEMCIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRNLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLSGSGMFSKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >OGLUM01G14090.2 pep chromosome:ALNU02000000:1:12577010:12578806:-1 gene:OGLUM01G14090 transcript:OGLUM01G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASPTSHPTQPPTRHYKALLRFPPRSSSSPSPVSATPNLILISRRRIPPPPPGSSPPPSLPQAN >OGLUM01G14110.1 pep chromosome:ALNU02000000:1:12597904:12610930:-1 gene:OGLUM01G14110 transcript:OGLUM01G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate-binding-like fold [Source:Projected from Arabidopsis thaliana (AT3G62360) TAIR;Acc:AT3G62360] MDPRLLLALLCLAAFSAAAYASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTIDGLVKESTQCAPNGYYFIPVYDKGSFMVRVKGPKGWSWKPETVPVVIDQNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKHGGPSGVKVELSTNSDELVASALTSSTGEYSFANIIPGRYKLRASHPNYEIETRGSSEVDLRFGNAVADDVFFLSGYNIYGSVVAQGNPILGVHLYLYSNDVTEVPCPQSISDAPREGALCHAVSGADGKFTFSSLPCGSYELLPYYKGENTVFDVSPPSLLVSVEHSHMTIPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQLRAVTDNLGYYRLDQVTSKKYTIVAEKDHYKFNRLENFMILPNMASIDDITSVRYDVCGIVRTVTPNSKAMVTLTHGPENVKPQRKLVSENGRFCFEVLAGEYRLSALPVDTEGSSSLMFSPGFIDVNVNSPLLDIEFSQSQVNLHGKVLCKEQCNQNILLSLVRLAGGIEQEKKTVTLEQDNVNFALKKIFPGKYRLEVKHSSSEAAAQDDWCWDQNAMDINVGSDDVTDIVFVQKGYWVELVSTHETKAYIQHPDSSKLDLLIKKGSQRICIETPGQHELHLINSCISFGSSPVVFDTKNPMPVHISAKKYLVRGELHVEMGSLQEIDLSKNIGVDVFKSDGSFIEKISATPVLGKSYQNDISAFEYSIWAEFGEDFIFVPRDDSTGRKNILFYPSSQQFLVAVNGCQDTVPSITAKTGLYLEGSVSPAISDVDIKILAAGKSKYASLKERDIAMETKTNSEGSFFAGPLYDDIGYTVEASKAGYHLKQTGPYTFSCQKLGQILVLIYGEQDAELLPSVLLSLSGEEGYRNNSISGSGGTFSFGNLFPGSFYLRPLLKEYKFTPSAVAIDLNSGESRGVEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESRGYYEEATTDSFGRFRLRGLVPGSIYSVRVVAKDDHRFAAVERASPEYVSIDVGQDDISGIDFVVFERPESTILSGHVEGDDLDMLQPQLSVEIRSAADPSRIESVLPVPLSYYFEVQNLPKGKHLVQLRSGLPSHTHRFESEIVEVDLDKQPQIHVGPLKYKTEERHHKQELTPAPVFPLIVGVSVIALVISMPRLKDLYQSAVGMTTLGSAAAPIKKEPRKTIMRKRA >OGLUM01G14120.1 pep chromosome:ALNU02000000:1:12630958:12636296:1 gene:OGLUM01G14120 transcript:OGLUM01G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNLETMAWSSLATTGARPGTRDSHGAALVGHRMMVFGGTNGSKKVNDLHVLDLRTKEWTKPPCKGTPPSPRESHTVTACGGGDRLVVFGGSGEGEGNYLNDVHVLDVATMTWSSPEVKGDVVPAPRDSHGAVAVGSRLVVYGGDCGDRYHGEVDVLDMDAMAWSRVKGSRPCHFAVKGASPGVRAGHAAVGVGSKVYVIGGVGDKQYYSDAWILDVANRSWTQLEICGQQPQGRFSHSAVVLNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQERRKFLRTENQRDPNMSNGELGPRSREAEIEQRNPFLRGLENGHVKRRRTGDVRLKETESEQEEHSLSLSQHSSPSQSDQEQNGAQKLSASPNGSISALQPFVRLNTNGTLRAAGGVSPRTLKTDQFLRTIAPQQRHEVQFLAAEPKPHHRPPTPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPTLHHPILMSSAIPPQQQQQRPVLAHAIPVHARPVPQATGFVLPDCSHHARQAFPASAAAAAAKIIKSEPERGGSDLHDVVLTLGGPGAGK >OGLUM01G14130.1 pep chromosome:ALNU02000000:1:12637708:12640984:-1 gene:OGLUM01G14130 transcript:OGLUM01G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVPTAAARLVLRRLLSTAVAEAEAAAVAPAAEKAAAKGAKTAAAAAGEEKDARSLYRRLSALGGAGEGSVSRVMNKWVREGREARAADLAKYVKELRKYKRHAHALELMEWMVNTKGMNMSYTNHAIRLDLIYKVRGIEAAEQYFAGLPDPGKNHKTYGALLNCYCSAKMEDKATDIYRKMDELGISSSTLPINNLMSLYVKIGQHRKVTNLFEEMKVKNVKPDNLTCCLLMSSYAALNKIDTVGEVLKEMEEKKVALGWSAYSTLASLYVNANMVEEAESALKKLESLIDVQAGRQPFDFLMSLYASVGNLSEVNRVWNLIKANFQKVTNTSYLGMLQALYKLNDDDRMKQIYEDWESNYENYDARLTNMMTRAHLRNGLTKEAELLWEKVKEKGAEFDSKTCELFLEHYMGKGDMTSALNWVENMTKLPRKKSKLDQEKISCFLKYFEEHKDVEGAERFLNCLRTSGCIDGKAYESLLRTYLAAGKTSRSIRQMIKEDKIEICYGIGKLLKRIADKGR >OGLUM01G14140.1 pep chromosome:ALNU02000000:1:12643203:12644301:1 gene:OGLUM01G14140 transcript:OGLUM01G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRDGDGDASRGFTADSHLRLPAHPVPTSPPRRPRFLQRPARAAGAQTEVSCSCCGCDPASASRRRGGVCRRRSSSNTTTPAAAAREDAKQHHGAKTQCFCSIL >OGLUM01G14150.1 pep chromosome:ALNU02000000:1:12648799:12660298:-1 gene:OGLUM01G14150 transcript:OGLUM01G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENELKDDGVRLQNRWKTGHWDMKELDASMNMLQRATEAVAPHAARAKGKKDKRPLGRRLLELGDAAGEGSVSRVLDEWVREGREEAIAAADLAKCARDLHKVKRDAHALELMDWMVNTKGMSMTYARYALHLELLYSVYGIEAAEEYFSGIPSFTRDQNHRTYGALLNCYCSAKMEEKATNIYRRMDELGIPSSTKLMNNLMGLYLELGQHSKVANLFDEMKERNVQLDELTCCILMRSHAALNKIDAVKETFYNMSLLDVPERWTNFSYLLMLQCLHKLGDTDRMKEIYKEWEYRYENYDPRLTNILTRAHLRNGMTNEAELLWEKVKERGGDFDFETCKLFREHYLGKGGQDLRFEMG >OGLUM01G14150.2 pep chromosome:ALNU02000000:1:12644354:12648794:-1 gene:OGLUM01G14150 transcript:OGLUM01G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPKKQGKQDQETCKFLKWFEEDKVVEGAKRMRTARHVSPSWLLQTYSPTDKTSRSLLQRIKEDSIEADEALSPGIVDTERLRPLL >OGLUM01G14160.1 pep chromosome:ALNU02000000:1:12660311:12664780:-1 gene:OGLUM01G14160 transcript:OGLUM01G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFEQNKHALQLMDWMEAHGVNLELKHHALRLDLVSKLNDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQEVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAEFYLEKSKMNEALQVWRDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVERWFSGKPFQLEVLEMAGDEIDVKQPTQKHFKH >OGLUM01G14160.2 pep chromosome:ALNU02000000:1:12660311:12664780:-1 gene:OGLUM01G14160 transcript:OGLUM01G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAATAALRRLSQSQAPRRQARGLQYASPERRPLDGARWALYARLSAHLPSGGMVEELGRWLRERRPLSEEQVLFCVRRFRKFEQNKHALQLMDWMEAHGVNLELKHHALRLDLVSKLNGIHAAEEYFGSLPDIFRSKQTYSTLLNCYAEHRMAEKGLELYENMKAMNIVSDILVYNNLMCLYLKTDQPEKIPTTVVKMQESGIQPNKFSYFVLTESYIMMNDIESAEKVLKELQEVNSVPWSLYATLANGYNKLQQFDKAEFTLKKAEEVLDKHDVFSWHCLLSHYANSGNLSEVKRIWESLKSAFKKCTNRSYLVMLKALKKLDDFDTLQQIFQEWESSHEHYDMKIPNIIIQAYLDKGMVDKAEAMRQTTMAQDHSNYRTFCIFAEFYLEKSKMNEALQVWRDAKKMVKGQDWVPEKLVNRYLKHFEDSKDVDGMETFCECLKNLGRLDAEAYEALIRTYISVGRTNPSIPQRMEVDRVDIRPEMFESLKAISTEGVERWFSGKPFQLEVLEMAGDEIDVKQPTQKHFKH >OGLUM01G14170.1 pep chromosome:ALNU02000000:1:12665503:12666027:1 gene:OGLUM01G14170 transcript:OGLUM01G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHFLVVASAWELTLALAAAASRRPFASLHLTGGGAIGGCPLLSDFRWSLPEAEPYPANLFMADSSMSCGVQATAKKLDLEAPVAATAATSDNNGHSELQLCSQVRCGRRETRRHEFRRCSVCGAANYCSRVSQVLDWNAQGAVHAHGLVAPHRRRGLVTLAAPAPTTSPPPS >OGLUM01G14180.1 pep chromosome:ALNU02000000:1:12685734:12686423:-1 gene:OGLUM01G14180 transcript:OGLUM01G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEIHLLNGQTWPAQVASPLVGPTPKRLISPRTTCVTVESVKEDAAVGQHDEERHPRPPARRPLLRIEQAPPAEASPPHPALPPPLPATSAGVFFLSGEEQIRRCPRGQGEHAAADAQHGQDAPLDARHQQVCWQHAADRRRPAPVQLRRGSSRRRNNDRRAGPALRRVPSSTSTTTLAAPVAVASTSTDGDDDDECGEPHHPAGAAAPAANTKQLLLFAVAKDLIWD >OGLUM01G14190.1 pep chromosome:ALNU02000000:1:12692767:12695359:1 gene:OGLUM01G14190 transcript:OGLUM01G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKPWPTPLTEQEAMVSQNESPTPLPCRRRSSFPAGTPVPLSHGFDLHRHRRASTPGFSFLYVAPWSSTATAPYAVTHFLLFLCSRWRWSPPPPHVLPVQAEAEGSGLIQRADGRRCGSWPWIGSPSSTRRRGGTTVEEDGFAVAVVHVDLLLIPFLSSNMWIEGGGTSPPAANRGGLPQHFLMRGGSGSGGPIPVLLVEREEPTYSATCSTECNRETQLLTWIVLAIIGRCCRQSTARLQGSRRNNHDLQQMPKSQFRVLPLSCISICNVHFGRWMHILMAWWLETRKEHEKIKPVVVVPLECHPGHYPQHVLNQRSSPAANTKGQG >OGLUM01G14190.2 pep chromosome:ALNU02000000:1:12692767:12695294:1 gene:OGLUM01G14190 transcript:OGLUM01G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRPFPVAGVRPSPLVLPFRSATASTSTATAGPPPPASASSTSPHGAPPPLATCSTECNRETQLLTWIVLAIIGRCCRQSTARLQGSRRNNHDLQQMPKSQFRVLPLSCISICNVHFGRWMHILMAWWLVIALLKMDMKLEKNMRRLSQWWWCHWNAILVIILNMSLINARRRRPTPKGRGDAEMSTPSSTLVAGGQHKGQG >OGLUM01G14200.1 pep chromosome:ALNU02000000:1:12699934:12701432:-1 gene:OGLUM01G14200 transcript:OGLUM01G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTNLPSHAAQAAEAEALAAAADLEQGLTRHLMEYHQSEASSDESARQRPRVGRVPPHVRNLDGGAEAYTPKFVSIGPIHHADATLRRHSHDLKVAYLHSLIARRTPDPIDEVAVLAALIGYKAGVAAVEDRARRFYKEPVDEHLTAEAFVDLLVLDAAFLLEHMLNLATGYEDPLLHRTHWAPSQLHSDLIRFENQVPFFVVAELLALSPLHRDPELEACRAGAGRRRDFLRSIGVHCLLRKDDEELKTLPPSDDIHHLLHLYSLSLTEARLRRPHAHAGLGATAWRALWKLPIVTLMPFAYLLCSGGADDGKGEEEDEAAAVKLPNIPSATDLQRVGIKFKRAPRKPDGGFLDVRLEDGDTLVIPMVNIEQFTAPQLQNLIALEQATPELPDDCSCYAFFMDNLVANPADVALLESEGILKSNLGSHKAEFERFFFGKENKFKLSLSSKRHILGFKLKREDTLL >OGLUM01G14210.1 pep chromosome:ALNU02000000:1:12732111:12740540:1 gene:OGLUM01G14210 transcript:OGLUM01G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRVGGAASEASGVGVVVGGGGGSSSSDLTELMKAQIAGHPRYPTLLSAYIECRKVGAPPEVASLLEEIGRERRAGGGGGGAGQIGVDPELDEFMEAYCRVLVRYKEELSRPFDEAASFLSSIQTQLSNLCSGATSPPATTATHSDEMVGSSDEDQCSGETDMLDIGQEQSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALLEWWNTHYRWPYPTEEDKLRLAARTGLDPKQINNWFINQRKRHWKPSDGMRFALMEGVAGGSSGTTLYFDTGTIGP >OGLUM01G14220.1 pep chromosome:ALNU02000000:1:12752888:12753391:-1 gene:OGLUM01G14220 transcript:OGLUM01G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIERDADRVEVEHREVGEGRGDPPEKSGREVDPVKHEARRSSGQQRGRRCLTSRSTGYSSFSSWVSGVNSTSSECPTSCDRMASCHHRKSTDMRMALSSGRISRAFPPGSSRSVARRR >OGLUM01G14230.1 pep chromosome:ALNU02000000:1:12771322:12771696:-1 gene:OGLUM01G14230 transcript:OGLUM01G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTNVGLSTPAAAASSLVRPVAGAGRVVFPRVGRGGFAAVRASGPATPPDISDKMSESIDKAKEACAEDTASGECAAAWDEVEELSAAASHARDKLKETSDPLEAYCKDNPETDECRTYDN >OGLUM01G14240.1 pep chromosome:ALNU02000000:1:12776099:12777052:1 gene:OGLUM01G14240 transcript:OGLUM01G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRANGSSCSLASLMAAIFFLLVVSSRGAHGGRIAIYWGQNGNEGTLAETCATGNYAFVNLAFLCSFGSGQAPQLNLAGHCDAYSGACANLTADIARCQSMGVKVLLSIGGGAGGYSLASKQDASHLARYLWESFLGGRPSAPGGRRPLGDAVLDGVDFDIEGGGGDPRYYGDLAAYLKAYSGKGAAGKEVLLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQYAAGSGGGAANLLDAWRQWTSGVEARYIFLGLPASPGAAGSGFIPVGSLESQVLPALKASSKYGGVMLWSRYYDDQDGYSFAIKNAV >OGLUM01G14250.1 pep chromosome:ALNU02000000:1:12779249:12792520:1 gene:OGLUM01G14250 transcript:OGLUM01G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNTCFARRLYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSVTLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGSLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLCERICERVVESCILQKEDLAEHYDVMQTVRRRLRELCSEYQATGPVAKLFNKRGSSKDLPRVLINTISGRWNPVNDPSAPSEHERLKLAGRQRCRTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >OGLUM01G14250.2 pep chromosome:ALNU02000000:1:12779249:12792515:1 gene:OGLUM01G14250 transcript:OGLUM01G14250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVEEQMVVKAIREECPWESLPKRLQSTLHTKEEWHRRIVDYCIRKRLQWNTCFARRLYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKELLPTQPVDFPIDPWWGVCLVNFTIEEFKKLSEEETATIDKICKEEANSYVLFDPKVIDGLYKRGLVYFDVPVYPDDRFKVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSANATVAELAATLLADLYQLQAAASFACRLGWAVKLMDADSVLEDAPTFPSNILSDDEGSNASINSEKSGQQLLSVDAGPRKISGTAHVALVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRCFLECLQSGGVSTNVNIDKAGEAKLTTSSLQDNVTAHLTKINIEDTDEMPQQKHSDLNSSDGKMLTSSVTLLESGEGMEGNDVEGSGTTELDGSTDINVVKTKRKYRVDILRCESLASLPPATLERLFLRDYDIIVSMVPLPSSSVLPGSSGPIHFGPPSYSSMTPWMKLVLYTAGDCGPLSAVFMKGQRIRLLPKPLAGCEKALIWSWDSSVVGGLGGKFEGSLVKGNLLLHCLNSMLKQSAVMVQPLSVNDLNASGNLVTVDIPLPLKSDDQSIASVIAQTNLPQEQVLNLASVLKDLSSKFELSTLGYLRLLRLHRLTESDESHLENGSYQWVPLSLEFGIPLFSPKLCERICERVVESCILQKEDLAEHYDVMQTVRRRLRELCSEYQATGPVAKLFNKRGSSKDLPRVLINTISGRWNPVNDPSAPSEHERLKLAGRQRCRTEVVGFDGTFIRSYALPPEHDEAGTKSLSEEQSSAHDGKPDTEDADSKDVVLPGVNLIFDGAELHPFDISACLQARQPLWLIAEASAASSTMI >OGLUM01G14260.1 pep chromosome:ALNU02000000:1:12793267:12794903:-1 gene:OGLUM01G14260 transcript:OGLUM01G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALRLATRPSPRRKTPGGAAPSPAPRGELATTGDTSPRAPTHVALLPRPLYTNPRQPPPSRLHRSPPHPPPPPLRRTHVAARLVERVRRRMSSSRLDTRTLKEEVASMDKRWLVDLGHPLVNRVADSFIRAAGVGAARAGLEGTRPGWTTPSRGAISREVKSAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAVAGAIAGVAVALTGDTGNADHMVHFAITGAALSSAASLLSGIY >OGLUM01G14270.1 pep chromosome:ALNU02000000:1:12808754:12811356:-1 gene:OGLUM01G14270 transcript:OGLUM01G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEVCCSCAMRQCRICHEEEEEGCTAMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYAAPPKKAQPAHVAVTIRESLEVPRPSYEPEDTPLIGEQDYAECAGAAGRSATWCRSVAVTFTAVLLLRHLVAVVTVGAAHQYAFSLLTIYLLRASGILLPFYVVMRLISTVQKGQRQYQLQLLQEQRRNAARMHRLHGQEEQNQHAILVR >OGLUM01G14280.1 pep chromosome:ALNU02000000:1:12821723:12823241:1 gene:OGLUM01G14280 transcript:OGLUM01G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMTADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >OGLUM01G14280.2 pep chromosome:ALNU02000000:1:12821462:12823241:1 gene:OGLUM01G14280 transcript:OGLUM01G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVPEDGASAAAAAATADPAAAAAEAPGRRIVVAVDESEESTHALTWCLANVVSSSGGDTLVLLHARRPRPVYAAMDSSGYMMTSDVMASMDKYAAAVSAAAVGKAKHICAAFPHVTVETMVESGDPRDVICDATEKMTADLLVMGTHGYGLIQRAFLGSVSNHCAQNCKCPVLIVKRPK >OGLUM01G14290.1 pep chromosome:ALNU02000000:1:12823381:12823635:-1 gene:OGLUM01G14290 transcript:OGLUM01G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALELTGEVVQDPVRLFWDAVETGDPLHGGGGEVGGLAAAVWPWTWKDVFEKELSRRIQKRFCK >OGLUM01G14300.1 pep chromosome:ALNU02000000:1:12828559:12829661:-1 gene:OGLUM01G14300 transcript:OGLUM01G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7B3] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEE >OGLUM01G14310.1 pep chromosome:ALNU02000000:1:12832493:12833836:1 gene:OGLUM01G14310 transcript:OGLUM01G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMSGTKHNLLSQDDRKDPRDVLYKQIHVLHVAVCQVIQWWRWKAHEHHDCHPGVPKDSSVQLQHRVEELFNLLFINHDLLATLHQGPAFAFRGFLQLRNLLGLGIFFSYAEDLNLAALEAFFVGKIREFWMARDIFWKFTPRPTKYERNRVPILRMNLICCKKTIREICHIVLKFLQGLFNFHAKNCTSH >OGLUM01G14320.1 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGALLCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGREIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14320.2 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14320.3 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14320.4 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKETETKLDTMMGVFVPCLQNILGIIYYIRFTWIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASSLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14320.5 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRIVGMGGVWQSLVLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14320.6 pep chromosome:ALNU02000000:1:12832598:12844578:-1 gene:OGLUM01G14320 transcript:OGLUM01G14320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAPQQRYRTVESHDRAVVQMAPMEFGSSADASASAGPRYIKPGTNLRTDARMHMASSNGRSSNGSQGDSKLELFGFDSLVNILGLKRMVGEQAQASASTRDGENAGIAIGHPKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPSAEFFQESVTVVTNTFVNGTAAGNATTISTPNLHDLQVYGIIVTILLCFIVFGGVKIINKVAPAFLIPVLFSILCIYIGVFIAPRPNASKWITGLSITTLKDNWSSDYQRTNNAGVPDPNGALLGLYFPAVTGIMAGSNRSASLKDTQRSIPIGTLHATISTTMMYLLSVFLFGALSTREGLLTDRLLCAAVAWPSPAVVYAGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAYEGSEPHVATLFTSFICISCVIIGNLDVITPTITMFFLLCYAVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPEDVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHESAEDAKTACRQLSAYIDYRRCEGVAEIIVAPSTSIGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCITANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQADVIVVTVKSWEADPDRSGGSKKDDPEVYRSSQSRIRTYISELKEAAERERRPLMEGGKQVVVDEQKVEKFLYTMLKLNATILRHSRMAVVVLVSLPPPPLNHLAYCYMEYMDLLVENIPRILIVRGYRRDVVTLFT >OGLUM01G14330.1 pep chromosome:ALNU02000000:1:12850554:12856463:1 gene:OGLUM01G14330 transcript:OGLUM01G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASLGLRSSGSYGSLQQSNGQSPAPAPSPPLAARKAGKMSFGGAGAGGRGLLFARICKLTSRRRRMLLLLLVAAAVLFCFLFSSLVSKDEDAPPGIETMLGISDQVRSFVNPVWTSSGRPITQGDSLNGNGLNTASQTEKQSDSDSSHKKLQGLSWSFPPSIVLEHHPCENFSFSPPPIDRKRTGPRPCPVCYVPVEQALALMPGAPSASPVLRSLNYLSGDNLISKESNHGSLFGGYPSLEERDKSYDIKDSMTVHCGFARGKIPGVNTGFDIDRADLSEMWQCQGIVVASAIFGNYDIMQQPKNISVFSKDTVCFFMFLDEETEAAIKNTTTIDNSKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQLLERFLWRKNVSFAISRHYRRFDVFEEAEANKVGGKYDNASIDYQIEFYKREGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRKRVNWTADMFLDCERRDFVVQAYHRELWEQILRSPPPPQPRLVRQQPRKMLPDNTAKEPGKASGSKRVSAKRTRDKKSGSKRAHRSKVTGGKEFIQL >OGLUM01G14340.1 pep chromosome:ALNU02000000:1:12860519:12877490:-1 gene:OGLUM01G14340 transcript:OGLUM01G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFEEVGRAGEVVFRAGDGEETGMQVAWSARDAADKWPMVWVPVRVAAGWARRSSWERVTPAGEVLMAAAQASAGILAKPSDDDLLSFEAFGIFWGRRPCGEEEGGGKGGEHGPNRTRISARPTRPRRCPPLLSRILRSCPLPLPRRSECLGFITVGSSASASKMGDEATKLLTNGVLTNISPSPRATATSVS >OGLUM01G14350.1 pep chromosome:ALNU02000000:1:12861848:12862342:1 gene:OGLUM01G14350 transcript:OGLUM01G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLALRCVCGGGAARRPLVIGPDGRPVCVEEAATGAAELMIEAPGHVVARAADAAKERRVRAMAADEPLRAGEVYLLVPASRAGARLGDREVEAIGRLIVSGGGRKKGRSKQPGGKRIFPEVVVDMSAAEEDEMGTQVQAQAQAHCRRSTQWRPALDTIYEA >OGLUM01G14360.1 pep chromosome:ALNU02000000:1:12876994:12881478:1 gene:OGLUM01G14360 transcript:OGLUM01G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMDTYIYIWYKPTSLIRKQKNRRRRYRDPHDHGPTNLTPSEDRFHNMPSTYITQRYYYYHHARQSDKPNRPVHPTLSQEERRAHPAATRTGTHTIGHLSAASRADHATCMPVSSPSPARNTTSPARPTSSKPTSIHPSVQLSCPPARVRVRACPLR >OGLUM01G14370.1 pep chromosome:ALNU02000000:1:12882866:12891562:-1 gene:OGLUM01G14370 transcript:OGLUM01G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLTAPPARAHSPHHGHYSYDFDAGVMSEEEGPTAFPPTAVAALPSLLRWCCFGEDEAVAIARRQRAPLLPWQALRQRAPLPLLSPRQIWRWQGENELADVGQQQRRGKEAGAVRGWVGDERQHGLGDDDGLGGTGITMRLGVRSSATTIFPSSRGGGLAGDRCCLALAHALSQRVA >OGLUM01G14380.1 pep chromosome:ALNU02000000:1:12883776:12890287:1 gene:OGLUM01G14380 transcript:OGLUM01G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNGHRIKERQEEVTGGTRIHMRDNLPHKDFIMAVQEQLMRFINEEVLNPNGEFYYDGSTIHNVGPSSSDITPASKS >OGLUM01G14390.1 pep chromosome:ALNU02000000:1:12901350:12908236:1 gene:OGLUM01G14390 transcript:OGLUM01G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLRRGRWTKEEDEKLARYIRENGEGAWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISPQEEDIILNLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRKGYEFLRGGGGGGGAVVNVDLSKLPGGGKRRGGRTGRSSSGKEKTRTKEKDKAPAPEAAHNDDDGGGGGGGGIDNVSAASHSHREEQAQASASGLTSDGLEEGPLGLSEEMVSGPVGPVSPKPEVGQDGSSAESGCCGPSGPSGPTEAVGQDMGDKSMDWDLVGLDDGFANDDMWGSLSWDYGELVGPDGVHQGEVLSDLFFLGNL >OGLUM01G14400.1 pep chromosome:ALNU02000000:1:12916094:12916886:-1 gene:OGLUM01G14400 transcript:OGLUM01G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESGGAMAARRFSFSWADEVEREEAAEQQQEEDDDEENQPPPPPRRCGETGEQAKANPFGAARPREVVLAEKGVDWRARDRELDDASRRGSAIRSRSRVHGSKRHARDAPVAARRHEDSTPASRRRMISLPPVSYGSAWGGKRKCAGQDEPSRQDRPVAEHCRRVFGQLNIGEGGEFSRRSSTESRGSVCTDRTEASNAAAAETETSVGQRRMSRRRSRKNVRKMESTKSKKQQTLQL >OGLUM01G14410.1 pep chromosome:ALNU02000000:1:12918578:12918826:-1 gene:OGLUM01G14410 transcript:OGLUM01G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTTDPSTSTPPPPGAFRRCSGRVRGAGISCAGCFGDAESKRRRRVAGYKAYAVEGKVKASLRRGIRWFKRKCSAIFRA >OGLUM01G14420.1 pep chromosome:ALNU02000000:1:12921162:12921428:-1 gene:OGLUM01G14420 transcript:OGLUM01G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWSASTTTFAAIAIAVLLAAGHALPAMVAHCAAARGGAATTTATGAVRRLLVQVVVSPPTGDSYLGRVNTNPGPSPGPGHSGAARG >OGLUM01G14430.1 pep chromosome:ALNU02000000:1:12930525:12931187:1 gene:OGLUM01G14430 transcript:OGLUM01G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASYLQPRRGTNGRRIMEHGSGEEMVAFYEAWVGREERIVADLTDALLPARRRRDVLAPLVDAAVGHVSEYYERKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFADGAVAGGSSHQQQRRALERVRAATAEAERERTVRDVVGTLAPDQAGAFLAAMLRFHLGVHRAGRNWGSGNGGRRGL >OGLUM01G14440.1 pep chromosome:ALNU02000000:1:12962686:12963039:1 gene:OGLUM01G14440 transcript:OGLUM01G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLAVPLLLTLCLLAAHLLTVACARHHPPSPPETEGFDVVDTSPTNDGPSPAGGHGNHPSAAVVIPAGGASPGGVSSTESRARGGFISHDMSPCHCRGGGVPVTDAAQHGGRHP >OGLUM01G14450.1 pep chromosome:ALNU02000000:1:12964756:12973994:1 gene:OGLUM01G14450 transcript:OGLUM01G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAALSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNESASGDCFKGHAAKDKRKTERTVGGRGGEAEVGEMNVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >OGLUM01G14450.2 pep chromosome:ALNU02000000:1:12964756:12973994:1 gene:OGLUM01G14450 transcript:OGLUM01G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAALSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEE >OGLUM01G14450.3 pep chromosome:ALNU02000000:1:12964756:12974073:1 gene:OGLUM01G14450 transcript:OGLUM01G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGPPPLHALAATRQAPRCPPAAAAPPTHGYRRGAAALSGRRGRHTLSSIQLMHAVRGGDLQVEPNMLHSPKPLMSTRRGCVASPTIANVDDCTEGEGALGYTMTQICDKFIEFFMYKKPQTKDWRKVLVFREEWERYRPYFYKHCQARIDMENDSSMKQKLVVLARKVKKIDNEIEKHMELFTQLRENPTDINAIVARRRKDFTGGFFQHLNFLVNAYNGLDERDAIARLGAKCLSAIHAYDCTLEQLDLDSAQSKFDDILNSSSLDDACDKIKSLAKTKELDSSLILLINRAWAAAKDSTTMKNEVKDIMCHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGVEHEAKDEDALYTTPNELHKWTKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKKYIHPEEQESEDDEDSEDRQQQYPVRV >OGLUM01G14460.1 pep chromosome:ALNU02000000:1:12980169:12984203:-1 gene:OGLUM01G14460 transcript:OGLUM01G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHHHQASKAAAAAVERQPRSAAAAAKEEEEETNLERFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSSTSGRLGEDSDGESAQDMSSESDHEHLRCRCLVNSISADQDGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSH >OGLUM01G14460.2 pep chromosome:ALNU02000000:1:12980169:12984203:-1 gene:OGLUM01G14460 transcript:OGLUM01G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPGVASRAADRFYCPPPRRHLLHHHHHHQASKAAAAAVERQPRSAAAAAKEEEEETNLERFIASTAVRVPAHRLPRTSSSSRERGAAGAPPYYELADLWEAFAEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLFAARPPSSTSGRLGEDSDGESAQDMSSESDHEHLRCRCLVNSISADQDGFSSDDSESGNQELYPVFQYMEHDAPYGRQPLADMISLLANRFPDLRTYKSCDLLPSSWISVAWLSYPLDVGCRYPIYRIPTGPTLQDLDACFLTFHSLSTPAEGTLSGHPETNVFHNSKIYDVPGKVTLPLIGLASHKFNGSMWTSNQEHEQQLTKSLLKAADDWLCQRRVNHPDYRFFLSH >OGLUM01G14470.1 pep chromosome:ALNU02000000:1:12984341:12998832:-1 gene:OGLUM01G14470 transcript:OGLUM01G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKDAAMLPLDHRLPSRWIWQKGGPRHAAAVVDGGGGEEKKWRGREKGEEVERKREGRRREEEVDCGREFERERGGRRDKGRNELNMTLGGKRLYLRRRLLAASSLPPDTVGVVSPCHFHGQTKRLEPDLDSVGFTYVNVELRCQGNIEINTCIPAFMVRLLGIRPGQTRQRFNAMHASREYI >OGLUM01G14480.1 pep chromosome:ALNU02000000:1:13003842:13004885:-1 gene:OGLUM01G14480 transcript:OGLUM01G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFSNSQLLLITLLMLLGGEVFTSILGLYFTNAKYSSKMIATLPDDDDHGGSGKPPPPTTSPSSTLVELELAPPMDVVVVNPTTTATTHDEVELGLGRRNKRGCTCTTTHTSSSSSASKTTTTKLLMFVVMGYHAVVHVAGYTAIVVYLSAVSGAGAVVAGKGISAHTFAIFTVVSTFANCGFVPTNEGMVSFRSFPGLLLLVMPHVLLGNTLFPVFLRLAIAALERVTGWPELGELLIRRRRGGGEGYDHLLPSSRTRFLALTVAVLVVAQLALFCAMEWGSDGLRGLTAGQKLVGALFMAVNSRHSGEMVVDLSTVSSAVVVLYVVMMYVYLSSSIIIFLTGPP >OGLUM01G14490.1 pep chromosome:ALNU02000000:1:13013566:13014756:-1 gene:OGLUM01G14490 transcript:OGLUM01G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLSCLAIFIVVICITERRQIADDPINYSVLNIVVECVWQCGVQHGVQLREAGEARRQLQRPVGWLLREVEQTREAHSHGRHVLRQAQEVQPARWSGMEDRITPNCKHPCMHDNFVTN >OGLUM01G14500.1 pep chromosome:ALNU02000000:1:13051170:13056030:-1 gene:OGLUM01G14500 transcript:OGLUM01G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEVSIETFPTFSVSQHDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYMMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEGNLIFSNLGLAEKRVYHAALNGNSRAIHQKDD >OGLUM01G14500.2 pep chromosome:ALNU02000000:1:13051347:13056030:-1 gene:OGLUM01G14500 transcript:OGLUM01G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYMMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEVLFIPLPKI >OGLUM01G14500.3 pep chromosome:ALNU02000000:1:13051347:13056030:-1 gene:OGLUM01G14500 transcript:OGLUM01G14500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYMMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEVLFIPLPKI >OGLUM01G14500.4 pep chromosome:ALNU02000000:1:13051347:13056030:-1 gene:OGLUM01G14500 transcript:OGLUM01G14500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPLRAARRVELYLRAALLVPLRFVAGMLLLVAYYLVCRVCTLFVDEVAEEGRPRLRGWRRVAVVRAGQGLSRAMLFVFGFYWIRETHRSYPNAEVSIETFPTFSVSQHDVHQGQSEELELPGAIVSNHVSYVDILYHMSVSFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKASDSKGVSGAVTERVQEAYQDKNSSMMLLFPEGTTTNGDYLLPFRTGAFLARVPVQPVILRYPYMMFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYCPSEQEKEDPKLYANNVRKLIATEVLFIPLPKI >OGLUM01G14510.1 pep chromosome:ALNU02000000:1:13057402:13058064:-1 gene:OGLUM01G14510 transcript:OGLUM01G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPCRRPQRLQLSSLAASPLARLHCRSPWSLPYALPVVLASKSSGCSSFAASPPNHGVASAGIGRCCIYRLGACGHRIRPVRSLEKGGVKFLVEKMEEEGSCSCAATKMATSPATSKRANEEEGGEGSATPLALLHPRIPAPTPARTPPARAQPRWRAKLNSGVSRGPGCGGRFARVDPGPNDHAGNQTTSSCTRTCEPHSMQVTKHA >OGLUM01G14520.1 pep chromosome:ALNU02000000:1:13080749:13081776:-1 gene:OGLUM01G14520 transcript:OGLUM01G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41380) TAIR;Acc:AT2G41380] MANLFLKQAKQYAATRPAYPPELFDFIASKTARHDLAWDVGTGSGQAIPPLAKLYKDVMGTDTSAQQLSYAPRLPNVRYVHTPPDLPLDGIHAAVAPPGSVDLVTVAQAFHWLDLPRFYAQVRSVLRAPQPQQAAGVLAAWCYTEPCVDAAVDDAFWRLYNGSQPYWAPNRRLVDDRYSGVDFPFDPVDGEAHTGPFEFSTERRMDLDGYLAYITSWSAYQTAKEKDVELLDEATVRGFADAWGGDRVEVKTVRYPIFLRIGKVRPE >OGLUM01G14530.1 pep chromosome:ALNU02000000:1:13099168:13103849:1 gene:OGLUM01G14530 transcript:OGLUM01G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSTLAGFLAKVYTSVQVPSCSSCDPKKLPATASEFDEMKVILCRIRAVLADADRREIEDLHVNMWLYELRQVAYDLEDIIDELSYKTVQPEAETNTHEHADLKRKFEVFSIVAMGGMGKTTLAKLIYNDEQVKDHFQIRAWAWVSEVYDVTRTTKAIIESITREACGLTELEALQNKLQHIVSGKRFLIVLDDIWIINLLQWDELRQPLDHGGRGSCIVTTTRNQNVAQIMSRLPQVNLDGLNLAASWALFCHCIRQGCHSLKLSETLETIGRGIVEKCSGVPLTIRVIGGLLSSETNEETWNEILTSDIWNLTEGKNWVLDVLKQQHAGGLSCYFTIHDLIHDLAKSLVRDQNQEQELQDVPNIISPRVDIIRSKYDRHFSAFLWAKALETPLIVQSSRGRNQESLRSLLLCLDGRDDDSLQVNSTGFSVVLHFERDFFTKLHVRFLRVLELGSCRLSELPHTIGNLNQLRYLGLSCTDIVRLPQAVCSLHNLQTLDLRCCKFLVELPKDIGQLQNLRHLDYNVLARNNSAMPVCMFKSLPEGIGKLTKLQTLPVFVVHFTARTAGVAELKDLNNLHGPLKISFLEHITWEQPYEARVADLISKVQVTQLCLQWNSHIRYGSNSESPVKSLEEIDKEVLENLEPHNKIQWIEIEKYRGCSYPKWIGHPSFQRLETIIIRDFSSHSLPPLGQLPHLRFLELREMRHVRTVGSEFYGDKAVLQRFLALQTLLFDEMIVWNEWQRPEGQQDFPCLQELVISNCLSLNSLSLYNMVALKRLTVKGCQNLVAIKGLEECWVSTNHSQINCTDTSGHSEIVDGNGSECPNSTLPARLEVIQISDCMSLPNSSLQQAIGITRAFRQRLSCEIIALSPHSRIPGYLDLDVPTTTPRYSAMPCMRVTMILYLASCMEGPKEARVTIVNEVGSDSGSSDNRAYGRAPPPALTLSQIS >OGLUM01G14540.1 pep chromosome:ALNU02000000:1:13105291:13123200:-1 gene:OGLUM01G14540 transcript:OGLUM01G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSFLPSSCSVARWRDRLPARAATASPQINRRNAAGQCRLFDVLRVGQNTAAATLTIDLLPWFHRRRSSAADATRRESRTPSPNLSTSPHPQLATGETQCDNKMRP >OGLUM01G14550.1 pep chromosome:ALNU02000000:1:13136393:13136632:-1 gene:OGLUM01G14550 transcript:OGLUM01G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASSPAVGFGAGAPYCTAGQESFARPRQLKEYDEKVLVEIYRSILARGGVPVAVPAGGPAATATAAATTIRLSTAA >OGLUM01G14560.1 pep chromosome:ALNU02000000:1:13145038:13145859:1 gene:OGLUM01G14560 transcript:OGLUM01G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASCWLDWFEMASLGLGYTIYPLPRAAFFFFLLPPSTLPPTTTTWSLPSANSKKMRSTASLTSNLPLWHGSRKEGADNECHLLDVDEAKVQCFDSLLHNAYVVTSYSSSTVLSSSNLLTDIGRGALGKGFFIRHCGIGVEEAQLKFELAVACANLLEETLKLALATRRSSAMTSVEEEASGSPQGGAH >OGLUM01G14570.1 pep chromosome:ALNU02000000:1:13175046:13196722:-1 gene:OGLUM01G14570 transcript:OGLUM01G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLLCCSTNTGTGSERCHNNYSKQTAPTAPSLAKSLLGKRRWKTPLALGKASIGSLATGDGIIGREGGGGWRLWGGGVEEGREKDGDYGAKLSRKGGRRITERRGMGHEQREEDECRGRCDWAAV >OGLUM01G14580.1 pep chromosome:ALNU02000000:1:13202812:13206469:1 gene:OGLUM01G14580 transcript:OGLUM01G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESETPRITELHVRMDCNGCEHKIRKTLRAIDGVSEVYVDAASQKVTVVGIADPERIVKAIRKTKRVPTIFSHTDPAAPPPPPAEGEAATPPADTPPPEEAPGAEPAPSEAAPPAAAEAVQAAAPPATDATVIHMVHDYPYTHDHHHGHGHGHHLFGRDHWPASHHPAGGMVSYGGGAPYYAAHSYSHRASPYVSEYGYVGSPAHHEGGRFYSSHDYYYPAAAAGRGKGDGSQITSMFSDENPNACTIS >OGLUM01G14590.1 pep chromosome:ALNU02000000:1:13209030:13211584:1 gene:OGLUM01G14590 transcript:OGLUM01G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRAGEGRGVVVLRPHYRPRANRRGRRAAAEQAAASGEGPDGRRARRCPGGPVPARSRWRPPVSCKLASAERQAGGTVAASSEPAMGQTGGRPGGGGGPALQRLRRHAAGEVASRSLARCREGARRRGRQAAGQRHSGRQGSNESTTQPINLYYCDCT >OGLUM01G14600.1 pep chromosome:ALNU02000000:1:13224533:13228468:1 gene:OGLUM01G14600 transcript:OGLUM01G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGNLHVASVYAGNNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFLELAGFSPEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHHSLLDEGGVSKLVLGYAHCGMVAAARWIARSITPCLCQAVSQCPDYQIRVVGHSLGGGTAALLTYILREHQELSSTTCVAFAPASCMTWELAESGKHFVRTIVNGADLVPTVSTSSIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARDRVAGAGALLRPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVAASAKEEITVETHVTSTTNSESYVVEQRGTKTMEELQYTADSVSVHEETEEEALLSEHESSREHAEEEITEGEMWFEYEKDLDRQAEVEAQTRQEEAAAAKEIMEEESAVLKNVEDRQSFSSDSLERQQFYPPGRIMHMVAMPPADSCPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKTMEILIEKFANNDENFCTDSTVE >OGLUM01G14610.1 pep chromosome:ALNU02000000:1:13231579:13255830:-1 gene:OGLUM01G14610 transcript:OGLUM01G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCLEDLRPFFGESEYYTSPQVFH >OGLUM01G14610.2 pep chromosome:ALNU02000000:1:13231579:13255830:-1 gene:OGLUM01G14610 transcript:OGLUM01G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRSAAREGEEAEEEEVEEYGGGYVRMPQEPEGEAAAAGAGSFLRLPESAGAFDELPRARIVGVSRPDAGDITPMLLSYTVEVQYKQFRWLLYKKASQVLYLHFALKRRAFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSVKNRNVPSSAVLPVIRPALGRQQSVSDRAKVAMQEYLNHFLGNMEIVNSREVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPHMDRNGEGQSTLAREIKEHNPLHFAFEVSSGGRTIKLRTRSSAKVKDWVSAINTARRPPEGWSHPHRFGSFAPPRGLTEDGSVVQWFLDGQAAFNAIASSIEEAKSEIFITDWWLCPELYLRRPFHHHESSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKQRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVIVDNQVCYIGGLDLCFGRYDTPEHKVVDVPPSIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDIARHFVQRWNYAKRNKAPNEQAIPLLMPQHHMVIPHYMGKIKESNEEVSKQTHVEDIKGQKLSSLKAPASCQDIPLLLPHEPDHQASNNGELGLNGLDNNHGHSDHPNKTHWKQPIPNRKAKQDTSLQDLQMKGFVDNLGTPDVSSVIGHYDTSKQNVHHMDNEWWETQERGDQVDYVLDIGEVGPRATCCCQVVRSVGPWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKRCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGDGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSIMDGRHWEAGKFSLSLRLSLWAEHLGLHPGEVSQIMDPVDDLTYNNIWMGTAKANTKIYQNVFSCVPNDHIHSRYGTNNLFSRLLAFQLQYHVLWSQFRQGFAHRKEKIGHTTIDLGVAVEITETHKDGDLAGTDPMEKLQAVRGHLVSFPLEFMCLEDLRPFFGESEYYTSPQVFH >OGLUM01G14620.1 pep chromosome:ALNU02000000:1:13275054:13286452:1 gene:OGLUM01G14620 transcript:OGLUM01G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSDGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLLRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKVEGLFRKVPRTNPRPNPSRLRPASPRLLLPLVLRRPSPSHAAARLSSSLSSPRRLSLAPPPPRPRRLRLLPQTTFFLGGSAVLGRGEEMKEMVVGSVASAPVGRRAFTLWDNLSPEDLELLVRDIECRLPLPIVKLVSESSVLKVEERSVVEQGAEGHFGGEASRYPFSRRLGLAALILKGVSGAVLLRKKNVQRTTDLKKYIEAIKRFLHHSSSFYAVTINSTFIKQDRVYFTKEFSQNYIKPLMEGQPAWRLLLMSCEDHMGIHSYLMIMLLLPVNKLITIRIGRFPPLELLPCLLVLHLRRRANGTPQAVIYPPAKTHSANNKKPHTGATAGLPLQSMVLLLPSPSSHRRRPRRIGVIVVAAVVVVVVARLLPLPIGGTVVVVMACHREREEPSRERASEPVAKAEAVRRGTVAAGGGGGKELSRRWICWWW >OGLUM01G14620.2 pep chromosome:ALNU02000000:1:13275054:13286452:1 gene:OGLUM01G14620 transcript:OGLUM01G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQASGDNKPNQHVAPPLTKKFVKTPPTIVSIIPLNILEKITSNFSNDALIGEGPDARVFFGELSDGQKSAIKKLDPNEKIVVQVLTISRMLKHDNIVQILGYFIEGENRVLAYEYAPKEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLLRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKVEGLFRKVPRTNPRPNPSRLRPASPRLLLPLVLRRPSPSHAAARLSSSLSSPRRLSLAPPPPRPRRLRLLPQTTFFLGGSAVLGRGEEMKEMVVGSVASAPVGRRAFTLWDNLSPEDLELLVRDIEVSKPNALQVGRILRQMLPLPIVKLVSESSVLKVEERSVVEQGAEGHFGGEASRYPFSRRLGLAALILKGVSGAVLLRKKNVQRTTDLKKYIEAIKRFLHHSSSFYAVTINSTFIKQDRVYFTKEFSQNYIKPLMEGQPAWRLLLMSCEDHMGIHSYLMIMLLLPVNKLITIRIGRFPPLELLPCLLVLHLRRRANGTPQAVIYPPAKTHSANNKKPHTGATAGLPLQSMVLLLPSPSSHRRRPRRIGVIVVAAVVVVVVARLLPLPIGGTVVVVMACHREREEPSRERASEPVAKAEAVRRGTVAAGGGGGKELSRRWICWWW >OGLUM01G14620.3 pep chromosome:ALNU02000000:1:13273332:13286452:1 gene:OGLUM01G14620 transcript:OGLUM01G14620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKALEEEEGNLIQQNISAPSNSIPSKNVKSSAQQFGNLKIFKQEEIKLMTKNYSMKFREEFCERLYNGVIGTTHAVIVKQVRTSSESDRMMFLKTMSILSQKYHKNIANVAGFHLGDSISECVYESCCDLSQGNDGHVCFCNRNLYDIICTREKLPLHLRLSIAVQCAEGLVHIHSLLAENPDSHSTGLLGNFRSINIFLDKNFVPKVFNSNLSTFLGLSVMQKHTASVDRPNDQRSQIYYLDGRDISGQLFNPKSDVYSFGAVLLELITWKTVRYMSSGRVHMLTKDFLDTYRIDHSAAISFGKKVYDEQGKCFIHEAIAIGVECLQPDVQTWPEMSDVLSRLRTISAAQSIRSKLIMATQTKEGVRGAQPGTPLSWEQRVKIALSAAKGLEFLHEKAVPPVIHTNIRSNNIFIFGNDVAKIGDLGVSKQLYPESDNDYYNTRLYPLRSFGYDAIAPETVQCKERYLRFGAVLLELLTGRKVVDYSLLRFQQSLITWATPRLSEDKVKQCVDPKLGGAFPLKAVAKCRLPLPIVKLVSESSVLKVEERSVVEQGAEGHFGGEASRYPFSRRLGLAALILKGVSGAVLLRKKNVQRTTDLKKYIEAIKRFLHHSSSFYAVTINSTFIKQDRVYFTKEFSQNYIKPLMEGQPAWRLLLMSCEDHMGIHSYLMIMLLLPVNKLITIRIGRFPPLELLPCLLVLHLRRRANGTPQAVIYPPAKTHSANNKKPHTGATAGLPLQSMVLLLPSPSSHRRRPRRIGVIVVAAVVVVVVARLLPLPIGGTVVVVMACHREREEPSRERASEPVAKAEAVRRGTVAAGGGGGKELSRRWICWWW >OGLUM01G14620.4 pep chromosome:ALNU02000000:1:13273332:13275035:1 gene:OGLUM01G14620 transcript:OGLUM01G14620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVCACAWPTAPTVVALFATGYEEGVAEAPHDVDPMTNGHVVFQRVENNCSLRYFTENEIRQITRGYSILLGKGLFGKVYKGMLDGRCPVAVKRYIHGTRKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLNDILHCSNTNGRVPFSLGKRLDIAIEVAEVLWCMHSMYNPVLHGDIKPANILVDENLSPKLSDFGRARLLCANGAQHTNNIIGSIGYVDPAFCMNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINNTKNMNFLEDIGKLAVKCLRREVEVRPEMV >OGLUM01G14630.1 pep chromosome:ALNU02000000:1:13295122:13300616:1 gene:OGLUM01G14630 transcript:OGLUM01G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNHLLLSNSSAGLRMDCQENNLKSFLQTNGHVVLQRVDNNYSLRYFTKNEVWHITNGYSIMLGKGAFGEVYKGILDDGCPVAVKRYINGTLKEEFAKEVIVHSQINHKNVVRLLGCCTEENALMIVMEFICNGNLDNVLHCSNTKGCVPFPLYKRLDIAIEVAEALWCMHSMYSPVLHGDVKPANILLDENHSPKISDFGIARLLCANGAQHTKNIIGSIGYVDPAFCVNGILTPKSDVYSFGVVLLEIITRKKAVDGTITLAQRFTEAVEQGKKVMHLFDEDINDKQNMNFLEDIGKLAVKCLRREVEVRPEMVEVATSLRMIRKDLEGEQGNLTQQHTSTPNNSTPSKNEGSAGRQFGNLNIFKQEEIKHMTRNYSMTFREEFHERLYNGVLGMVHAVIVKQVSTSSKTDREVFLKTMGILCQKYHKNVANVAGFHLGEYISECVYESCCELSQVNNGHISFSNRNLYEIICSTEKLPLHARLSIAVQCLEGLVHIHSFLAENPESRGTSLFGNFRSANIFLDKNFMPKVFNANLSTFLGLCAVQQCTASVDCIHDQRSQKYYLDPKDVSDHLFNPKSDVYSFGVVLLELITWKTAKYKSGGQAHMLTTDFLDTYRIDHSATDFFVKKVYDEEGKCFLHEAIAIGVECLELDVQMRPEMSDVLSRLRIISAAQSIRSKLMGPQAKGIMILIVNLIVTDKFSDCGDNGPSQYIAPTPVNNDVKIPSPPTSVSTISLDILKKITRNFSNNSLIGEGSHAKVFFGVLKDGKNSAVKKLNPNEETIVQVSTISKMLRHDNVVQIHEYFIEGENRVLVYEYAPKGSLHDILHGREGVTGAQARPSLSWVQRVKIAITAAKGLEFLHEKAVPPVIHTNIKSSNILLFGNDVAKIGDLGVSKQLHVEDYDYSYTRVVPQIFRYEAPELRGQYSVKSDVYTFGVVLLELLTGRKATPRLSKDKVKQCVDPKLGRAFPLKAVARMVSFAHVTHG >OGLUM01G14640.1 pep chromosome:ALNU02000000:1:13304349:13305098:1 gene:OGLUM01G14640 transcript:OGLUM01G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEHKNPGAQEPPLPCGGEVPRHESFHKHLPIPAAGVGGGQVDNPSSYQIAGRVLLAVSGAFSGVLLALVVLHLCNRGRRGGGHSGDSRTTRRRERRLLRSLAIGAGGDDRDGGAAPSPRGLDPAVLRALPVVVVAAAGAAPGDCAVCLAEFEAGDKARALPRCGHRFHVECIDAWFRENSTCPLCRADVEAPYDADGAHPEVRIDIAGDAPPVAAKGGAPAMGRLPSGTDLEKTRRVFASTRSASF >OGLUM01G14650.1 pep chromosome:ALNU02000000:1:13306658:13308867:1 gene:OGLUM01G14650 transcript:OGLUM01G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAVLVTPTPIVAASTKLRLAMLAALKMLGPYSTTTSMPVRCWKNFAIGSLEPVAMSDRGTSSSVSGDTDADSVPTADAAAPLWAPHGRALTGCLVVVNVALVLLVYLYFWRVFSRKRAAAAASARSDDDDDDDDASSSASAPPPAAAAASARTRDDVLASLPVFVVRSSGGEKAEAECAVCIAELRDGDECRALPRCGHRFHAACVDGWLRLHTTCPLCRASVVALAAAPERKGGVADTTAAAEDVDARV >OGLUM01G14660.1 pep chromosome:ALNU02000000:1:13317910:13324413:1 gene:OGLUM01G14660 transcript:OGLUM01G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity protein phosphatase PHS1 [Source:Projected from Arabidopsis thaliana (AT5G23720) UniProtKB/Swiss-Prot;Acc:Q75QN6] MEQREARQEEEEEERDAPAVPPGENDEERDRNLSSRVVSLLFGGDISAQTFEKWVSLVRKRSGAFRPSGFPRRNSRIEVMPSGSFSLFSAADLSEQVVTAELIGKEDIPLTSSQPTEISLWERLGNASALDIESPDFSWNMLSSLHHTEHSSSSDHSEDEMSKPLEVTVNSGGVVFFALFNSSSNVLPKEAAAVIKFSSSKMSTQAERLGYEFARLLGVQTPQARVVHNSSLEWQDIRKAAENARSVAVSNNDEVGEMTCSELLEALELSRCLLLMSYIHGSPLLESSKAFSPREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDRPSAPSVDRLDDSKCTTESSIPTITQFVQSDKRTHTANATINSPELVSMSPKPDAFKSVRANADSLDGPIHIVAIDTGVPRRPPAGRRVKDHERYPKVVQLMLNNSDYSSNILYEISGSKLGIPGPDEAIAFTDSCCSISDEDNTAAIHEFRGAFRAALRDLEGFHLFLLQLYQKLDGVLRVFLSIVTKGSEESDNNDATVPDFPSPGANYSTPCAPSKQQNSEVHGDSEILKSTTKPSSAGSRGSSDSVSPLSRETWSNKYFKGSAEGPRSLRMTMKLRDFYKTPKVDPELVKEIEQWNEALKSDVIKFCEENNFHSGFFDGNENNMVADAYELKVRLEHIIERIALVSDAANTERPSLVINNLFIGGALAARSMYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDDDDANISDLFEEASDYIDHVDHVGGKVLVHCFEGKSRSATIVLAYLMLRKGLTLVKAWNLLKKVHRRAQPNDGFAKALLALDRKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTLEIQKSIQSLGISRGGSLSPSQKLTKAFADELSF >OGLUM01G14670.1 pep chromosome:ALNU02000000:1:13325007:13332370:-1 gene:OGLUM01G14670 transcript:OGLUM01G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSLLPASEPSAGEDEESHREVYEQLRQLVSTYPTVPSGLDTPYHRHPDGWYTFLPAMVSVMVAQRHFTARDTDIIITTFPKCGTTWLKALLFATVHRDGGGVEDDAALALLRARNPHQLVPFLEIQVYVRDRAPDLSSLPAPRLLATHIPRPSLPASIAASGCKVVYMCRDPKDCLVSLWQFHDAQRPEPRGDVGEDFRLFCDGVSLVGPYWDHVLAYWRWHVERPGQVLFMTYEELSADTLGQLRRLAGFVGRPFTGEERAARVDEAIVTACSVDSLAGAEVNRSGTVELMEAPMRNAMFFRRGVVGGWPNYLSPEMATRIDEITESKFRAIMASASPPSLLPASAPNAEEDEESHREVYEQLRQLVSTYPTVPSGFDTAPYHRHPDGWYTSLPAMVSVMVAQRHFTARDTDIIIATFPKCGTTWLKALLFATVHRDGGGVEDDAALAQLRARNPHELVPFLEIQVYVRDRVPDLSSLPAPRLLATHIPRPSLPASIAASGCKVVYICRDPKDCLVSLWHFHDAQRPEPRGDVGEEFRLFCDGVSPVGSYWDHVLAYWRWHVERPGQVLFMTYEELSADTLGQLRRLAEFVGRPFTGEERAARVDEAIVKACSFESLAGAEVNRSGTVELMEEPMRNAEFFRRGVVGGWPNYLSLEMATRIDEITESKFKGSGPVLPTMMTM >OGLUM01G14680.1 pep chromosome:ALNU02000000:1:13334499:13335622:1 gene:OGLUM01G14680 transcript:OGLUM01G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRVQREQHHRSRSLIGGKPKKEKKNDGMASSPYHAAVGVAVLAILLAAPAAEAGAPTAAPLATYSLEDACKKTGPHYGLCIATLSADRSAKSSDTVGLARVAVLAAQKNASETATYLSSIYDDDSIEKKTVQLQQCLEDCSERYEAAVEQLTDATVALDTGGYEEAMALVAAGQAEVKMCQRGFKAVPQHRNILTLRNREVDQLCSIAFTITKLIRVSPSAEE >OGLUM01G14690.1 pep chromosome:ALNU02000000:1:13337920:13340018:-1 gene:OGLUM01G14690 transcript:OGLUM01G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7G7] MASFHIATALSATLLLSLLVLGRSDATLPPATPVPPSTACNGTTDPTFCRSVLPSNGTSNLYTYGRFSVAKSLANANKFLSLVNRYLSGGRLAAGAVAALQDCQLLSGLNIDFLSAAGATLNRTSSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAASAWSVRNGLAVPMSNSTKLYSVSLSLFTRAWVRPSTKKPRTATPKPPRHGGRGRGLFDATDDEMVRRMALDGAAAAVSTFGAVTVDQSGAGNFTTVSDAVAAAPTNLDGTKGYFVIHVTAGVYAENVVVPKNKKYVMMVGDGIGQTVITGNRSVVDGWTTFNSATFAVLGQGFVAVNMTFRNTAGPAKHQAVALRCGADLSTFYQCSFEAYQDTLYTHSLRQFYRACDVYGTVDYVFGNAAVVFQDCTLYNRLPMQGQSNTVTAQGRTDPNQNTGTTIQGCAIVAAPDLAANTAFATTNYLGRPWKLYSRTVIMQSVVGGLIDPAGWMPWDGDYALSTLYYAEYNNSGAGADTSRRVTWPGYHVLNSTADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >OGLUM01G14700.1 pep chromosome:ALNU02000000:1:13372847:13382880:1 gene:OGLUM01G14700 transcript:OGLUM01G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7G8] MPPLQAGRPGPLLMLLAVTLLAAAAATVGASSDTAPFYPSAEEAAAAHCEGTLYPELCLSTLADIPDLHTKSLPDVICGTVNRTKDAVAATSYNCSHYINSKYLTPRDRLAISDCMELLDATMDELQATTSDLESPAVAGGNNGSASMAAKRVTMDHVMTELSAAMTNQYTCLDGFDYKDGERVRHYMESSIHHVSRMVSNSLAMAKKLPGGGGGGMTPSSSSSPDTATQSESSETTQRQPFMGYGQMANGFPKWVRPGDRRLLQAPASSITPDAVVAKDGSGGYTTVSAAVAAAPANSNKRYVIHIKAGAYMENVDVGKSKKNLMFIGDGIGKTVIKASRNVVDGSTTFRSATVAVVGNNFLARDLTIENSAGPSKHQAVALRVGADLSAFYRCSFVGYQDTLYVHSLRQFFRECDIYGTIDFIFGNSAVVFQSCNLYARRPLPNQSNIYTAQGREDPNQNTGISIQKCKVAAASDLLAVQSSFKTYLGRPWKQYSRTVFMQSELDSVVNPAGWLEWSGNFALDTLYYGEYQNTGPGASTSNRVKWKGYRVITSASEASTFTVGNFIDGDVWLAGTSVPFTVGL >OGLUM01G14710.1 pep chromosome:ALNU02000000:1:13382604:13384980:-1 gene:OGLUM01G14710 transcript:OGLUM01G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHCLVLMIGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPAKNAVSWNALVGAHRAAAALQTGRIGHHYHETPLPETEREKYT >OGLUM01G14720.1 pep chromosome:ALNU02000000:1:13385696:13387009:1 gene:OGLUM01G14720 transcript:OGLUM01G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVNVGAAFYYIEKQVQGGDEIINASQHLILTLQLLRGCNVTHEWPGPESNQLMNWIQRGPSIGSSMAPEVKGPNYEITGPKFLLFHCNFRLIFSSKKY >OGLUM01G14730.1 pep chromosome:ALNU02000000:1:13388977:13391498:1 gene:OGLUM01G14730 transcript:OGLUM01G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7H1] MALLRCLFLLAVLLPHRNAAVAAAASPHHGPAPHDYRDALTKSILFFEGQRSGKLPPSQRVSWRGDSGLSDGSSIKARNSPLLRVSPFRRHWRRLSLSLAMVSWLDAFVSQVDLVGGYYDAGDNMKFGFPLAFSMTMLAWSVVEFGGLMKGELQHARDAVRWGSDYLLKATAHPDTVYVQVGDANRDHACWERPEDMDTPRTVYKVDPSTPGTDVAAETAAALAAASLVFRKSDPAYASRLVARAKRVFEFADKHRGTYSTRLSPYVCPYYCSYSGYQDELLWGAAWLHRATKNPTYLSYIQMNGQVLGADEQDNTFGWDNKHAGARILIAKAFLVQKVAALHEYKGHADSFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSSFLLLTYAKYLAFSKTTVSCGGAAVTPARLRAIARRQVDYLLGSNPMGMSYMVGYGAKYPRRIHHRASSLPSVAAHPARIGCSQGFTALYSGVANPNVLVGAVVGGPNLQDQFPDQRSDHEHSEPATYINAPLVGALAYLAHSYGQL >OGLUM01G14740.1 pep chromosome:ALNU02000000:1:13405091:13405504:1 gene:OGLUM01G14740 transcript:OGLUM01G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAPGGGARDGDGGWWRMRLEVELGKGRRPVVEAVGGRAPNGGRRLEAGVGMGRRSVGVAEAGKVALGMGMVAGDGGSWRRGSAWSGGRQWMRLEAELGKGATISGGGGWRWGSTPFLFLSRAVRNADGSKRRGSG >OGLUM01G14750.1 pep chromosome:ALNU02000000:1:13409708:13412608:1 gene:OGLUM01G14750 transcript:OGLUM01G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSAVAAAVALDDCGLSVSARFSARRRQIRQRWTPAVALGVAAAWEYGRKRISSAAVRLVTDDFESPVTGEGPLESQIELIKITLDPISNPLRPEPSRRLHPNSIAFTSPSASLAPPSPPQLPLTRRRRLVLHLRTPDVITCDGPPFAPDRGASIGSKWWSGTGDNLPVMGLGPWPHLLRPPVKAVTCKAIRDETLYPVTDEKIWRSDFA >OGLUM01G14760.1 pep chromosome:ALNU02000000:1:13414413:13415699:1 gene:OGLUM01G14760 transcript:OGLUM01G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIAAAQTQHDSRRGPDRRTRSSSSSWDAPAFHSLPRSLAAGPHATHRAHNTHGIYTHPQVRLIPPIQIQRPPKEKHAHTSSASLLPLFPLHFPSKQENRGFSLARTDRSDVRRCNHLRLHPGAPPGVRLRLLARRRRLRPPHPRSRETAAREEGAEEPVPRDQAAAVGEVGGGDPRPGEGGARLARHLPDRRGRRAGLRPRRAPHQGRQGEGQLPQRLRRRPRAGRGGGEGRPSRRAHAGRAPAAQDGGGVRGRRRLLLRRGQGAVRGAARVRELHELPRHPLHGGRRRLRRRRRGSRGARRALDLRRLRAAVASALVKMSQ >OGLUM01G14770.1 pep chromosome:ALNU02000000:1:13423504:13423959:1 gene:OGLUM01G14770 transcript:OGLUM01G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQLQLGEVQASWKNLMTIPPRRGEVFPNGLDDGVRAPSNGAPAFSSNSLAMSVRKVAGPSKMIEKTSTVTEETATSVKASPASGVSVRRPHTIAITTAASLGLGVGAMMPAQWQALTGWHSVDSATGGLMGTASVWASPIRWTRWPPG >OGLUM01G14780.1 pep chromosome:ALNU02000000:1:13430755:13431796:-1 gene:OGLUM01G14780 transcript:OGLUM01G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFLATVERDAVSVVAGNSVLSSANIARLSAMLAPSPLPDDPPQPPLRERRPKIIRIINDRRNNIRRCYKGLLEMADAALRKYAHQTGEQYELHTIYGETFRKDYEEFHVYVRMNFMASSSSYKALQAPQPVCFFAEALRPPRPGYHEDDITLCSIVQPSPTDIDSCHGSLANNHRIDHPEAGMHFIGKHNKMDGSDYDWDWPHTADVSYRFFDPDRDVGLVEHLYKVITRFSALCGGSTDEDDNEDITR >OGLUM01G14790.1 pep chromosome:ALNU02000000:1:13431851:13439349:-1 gene:OGLUM01G14790 transcript:OGLUM01G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVLRLASRSSSRSGLRPTLAAPRPSPVPPPPPRVGPTPAAPSPAPLRGLLLPLSPLRLASPDAFSSSSPSPAWLLDGLNWRRAGVGRRWFATEASAASTAAELVDVPLAQTGEGIAECELLRWFVTEGDQVDEFQRLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMMVGDSQTVSHDSIASSTDHSHAVDAANPSGEGSVPSGTLSTPAVRHLAKQYGLNISDIQGTGKDGRVLKEDVLSYAASKGLCKEPTSALEENIDQVTVGADHRVVDGATVARRGQTKEQDNSAREFCPVPASASGPPRPLPEPGKNGGFFSFRIHRRSNGRRRRGRDKMDEEVVSAGSPLKASSSPPPLSSFGIWSDQRKSPRLPWWKQQFISESEEDDDEVMPPASHVVEDSDEGEEEEDDDDDDDDEKVVPPPTPAPPPPQAPEEEEEEARALRRSLLSLIHIDYIEAIRRLPAADLRTALARGVLVGGHCYGPLHHPADNILLNSIWYAAAFPLHADDRIDVAVITANSLSRAVQRSLDGLVASLRHHRPDLSRDDALRHLRADLRAAVASARGSPTPPLPAESDSEAEAAAYRAAAEAAQHPKPSALAHFLATVLPAVVTDAASVLAGKPSLSSSDIARLSAMLAPSPLPDEPPQPPLRERSPKIIRIINDRRNNLRGWYKILLQLANAALRKYAQQTGEEYELHTIYGETFLKDRNERAEYIHINFMASPSSCQCLQASPVCFFAEVLRPPRFKYHEAEITLCCIVRPWPNDADSCHGCLIENHRIDHPEAGMRFCGKKHSKMDPNGYGHGWDWPSIADVEYRFFDPDKDVGLVEYLDGVITDIKARIRDLSTRDEDDSDEDSSDDDISGYSMRFCNFCKSYVGKRDPRFGG >OGLUM01G14800.1 pep chromosome:ALNU02000000:1:13439379:13445967:-1 gene:OGLUM01G14800 transcript:OGLUM01G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDGRCFPMFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGSLDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKQRAIPYSPPSSSSAQLSVTYPLPFDPCFPRANITNTPKRDGGREESHSLAAPKYPALLVFLLAVVFHNHHRAAAYQSIQTFSSPADAHKSPVTSRR >OGLUM01G14800.2 pep chromosome:ALNU02000000:1:13443066:13446241:-1 gene:OGLUM01G14800 transcript:OGLUM01G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKFSFEDISAQNQVKASVQRKIRQSIADEYPGLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPDIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGSLDVEVEEETPVAIMAEGKQHALAIGYTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLE >OGLUM01G14800.3 pep chromosome:ALNU02000000:1:13439379:13442302:-1 gene:OGLUM01G14800 transcript:OGLUM01G14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCPLVASRAALPRRFFSRACSPLRIAVPRGTETADDCHQQRAIPYSPPSSSSAQLSVTYPLPFDPCFPRANITNTPKRDGGREESHSLAAPKYPALLVFLLAVVFHNHHRAAAYQSIQTFSSPADAHKSPVTSRR >OGLUM01G14810.1 pep chromosome:ALNU02000000:1:13442278:13442550:1 gene:OGLUM01G14810 transcript:OGLUM01G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGDRGASCPGHCKRDRPAREAMGCRREHASWDAMRWGSKEWMRDLEILDGAILRFLTVWAAGEGERMRCGRGCDAVQMVDRSIEIQRP >OGLUM01G14820.1 pep chromosome:ALNU02000000:1:13472077:13481120:1 gene:OGLUM01G14820 transcript:OGLUM01G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7I2] MRPREEQQPVTPPPQNEVAWTAAAEASRSSILFRSKARPCYMFYASPAMAAKQAMKITLLSLGTCGYHVQTKPICRTRARESYASLTKELKEDRNKKRGDSRKRRKDESIDHGSQDSTTKMATPDRTIKWAVGLDIGLSGAVLAVATFAISQLELRIRVIGIICACFNVLMCASPLTAVINVIQHENVDAMPFWLSFFLFLNGGVWLVYGIIDRDMLIGIPNGIGFLLGTIQLIVYAIYANFIHCRRLRLFLRGLVGRQALVAPLLPNAVEGQEA >OGLUM01G14830.1 pep chromosome:ALNU02000000:1:13482899:13488169:1 gene:OGLUM01G14830 transcript:OGLUM01G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTEYMMCQLPTEAIVVSTSVGVMNSLLVKLTSLLGEEYKLLKAINKRSGGTRFASSPATWRIVWTVSCTKSVSTMDWLVTSISDFMARVQDAIEHRDRYKLSESVPTATPTHVVAIDPQHLALNEEEDGLVGIEGPKQKIIRMLMDEEEGSRKLKVGFKTRRHLHREYDLIDELKQYLRGMRYLIVINNIWSESVWDTICLAFALRKCGSRVIITTCIEDLGSVCRPNFYGHIYKVEPLNDFDSRMLFFRRVFSNEDACPDHLRKVSEEILRMCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCNQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMIDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISLLGPIQCMFVISELKYLRLLQIEVYYSNIDSYDLTHMCEFCQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >OGLUM01G14830.2 pep chromosome:ALNU02000000:1:13484378:13488169:1 gene:OGLUM01G14830 transcript:OGLUM01G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNALLVTLTNMDGLSDQQKEWRNKDAIEHRDRYKLSESVPTATPTHVVAIDPQHLALNEEEDGLVGIEGPKQKIIRMLMDEEEGSRKLKVISIVGSAGIGKTTLAKQVYLEIKGRFDCSAFVSLSQNPSMNKIFTHILSQVGFKTRRHLHREYDLIDELKQYLRGMRYLIVINNIWSESVWDTICLAFALRKCGSRVIITTCIEDLGSVCRPNFYGHIYKVEPLNDFDSRMLFFRRVFSNEDACPDHLRKVSEEILRMCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCNQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMIDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISLLGPIQCMFVISELKYLRLLQIEVYYSNIDSYDLTHMCEFCQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >OGLUM01G14830.3 pep chromosome:ALNU02000000:1:13484378:13488169:1 gene:OGLUM01G14830 transcript:OGLUM01G14830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMNALLVTLTNMDGLSDQQKEWRNKKIIRMLMDEEEGSRKLKVISIVGSAGIGKTTLAKQVYLEIKGRFDCSAFVSLSQNPSMNKIFTHILSQVGFKTRRHLHREYDLIDELKQYLRGMRYLIVINNIWSESVWDTICLAFALRKCGSRVIITTCIEDLGSVCRPNFYGHIYKVEPLNDFDSRMLFFRRVFSNEDACPDHLRKVSEEILRMCGGVPLAITSVASILAGQGDMMIEKWGRILSSRGYELETDPTIGWMRHVLSFSYSNLCPELRTCLLYLSTFPEYCSIRKDDLIRQWIAEGLVTEKFGCNQYEIAEIYFNNLIKRSIINPVDIDDCGMVMSCRVHDLMIDLIISKAIEENFIAILDDQHTMRGSHEARRFTLHFNRDLENTEQNLVLTRSVHHQTRSISLLGPIQCMFVISELKYLRLLQIEVYYSNIDSYDLTHMCEFCQLRYFRIRGILCKLPEKIGGLKYLETFDIDDNVKNIPSDVCKLSSMAHLTLPKHAKIPSGIDKLVALRTLKVSTSYDTSIEFYEGLGSLRKLRELELGATNYMSRDKVVSLAASLMKLGSCSLRSLILRSGDLSLEAGDRLNFWSPPPLHLRRLHVFEVVFSYVPDWIAKLDKLTSLVIRIREISKDGFGVLARLPCLLFLRLSVHRVKEEDATIVVCSRTFQYLKEFWFRYKVPCLVFEPLAMPRLQILNIQFDEECLYGRDDGVPCEPAGIEHLTNLMQISAHIHLAHHWMWQTPALPRRKVKHFSERHDEDGHGQVEEEAPVYKKAAEAMLTRATSKHPGIHYGNIQFIQM >OGLUM01G14840.1 pep chromosome:ALNU02000000:1:13492736:13493148:-1 gene:OGLUM01G14840 transcript:OGLUM01G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVASSCLSLLAQRRGYSVAAAVAKGAGRRADEKKVAAAVAKRTMAKAAEEKTAWVPDPVTGYYRPAGGAKEVDAAELRAKLLSNSKRMAAN >OGLUM01G14850.1 pep chromosome:ALNU02000000:1:13503351:13504487:-1 gene:OGLUM01G14850 transcript:OGLUM01G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERLHAGDDDGGGGDDLIAFKWLHTGDDDCGGSDNLMAFERLHAGDETVLTAAKLGCSLLFVPLYVPPLLGTWPTSCTLSDASSMALIDRHIA >OGLUM01G14860.1 pep chromosome:ALNU02000000:1:13507629:13511129:-1 gene:OGLUM01G14860 transcript:OGLUM01G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGEGRAGREGVAELEICPIYANLPAELQAKIFEPAPAGARKVVLATNIVDAETPYNPRTAMESFLVAPVSRASAEQRACRSGIQVDRDSDTIGAYVCNPATRRWASLTQPATPWPRRHDGAFIAFDPAVSQTRRLACGVVGRTPTLDLLIPESSIFNPLNYCA >OGLUM01G14870.1 pep chromosome:ALNU02000000:1:13516294:13527729:1 gene:OGLUM01G14870 transcript:OGLUM01G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEYHEEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRECGMRLAAAGYGVFGMDYEGHGKSMGARCYIRSFRRLVDDCHRFFKSICDMEEYRSKSRFLYGESMGGAVALLLHMKDPTFWDGAILVAPMCKISEKVKPHPVVISLLTQVEDVIPRWKIVPTKDVIDAAFKDPAKREKIRKNKLIYQDKPRLKTALEMLRTSMYVEDSLSKVKLPFFVLHGDADTVTDPEVSRALYERAASADKAIKLYAGMWHGLTAGEPDHNVDAIFSDIVAWLNGRSRTWTVEDRLMKMMASPDRFIRGERGGAADVDGDAKRGPPRRRGGCFSGLAGRTHHHSEM >OGLUM01G14870.2 pep chromosome:ALNU02000000:1:13516654:13517789:1 gene:OGLUM01G14870 transcript:OGLUM01G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWGEQEYVRNSRGVQLFTCGWLPAKTSPKALVFLCHGYAMECSGYMRDHELREKGGLYSQ >OGLUM01G14880.1 pep chromosome:ALNU02000000:1:13525919:13529432:-1 gene:OGLUM01G14880 transcript:OGLUM01G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGAGAPGSASDGGEYSPKPSKPLSWLTRAARYAAAEHRPAFALAGMLLAAALFSLYAPSSDASSSAATTTTTTFSHLSSLPSSSAASLHGSAGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKDNVAHHLANPRFEVIRHDVVEPILLEVDQIYHLACPASPTNVVGTLNMLGLAKRIGAKFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMSLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKELLGWEPKVPLREGLPLMVTDFRKRIFGDQEA >OGLUM01G14890.1 pep chromosome:ALNU02000000:1:13539422:13544124:-1 gene:OGLUM01G14890 transcript:OGLUM01G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRAGYRSATKSRHLSPLMAWISSHVAYCGSHREHDDHDGVVHKYTIEITVRKRDTEIRYGKA >OGLUM01G14900.1 pep chromosome:ALNU02000000:1:13587492:13588736:-1 gene:OGLUM01G14900 transcript:OGLUM01G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAASDDAAAAGGRFDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHHLGSSHGASRTIRDAYAKAHYPPMVRLARRLWADAEAESGYRVLTPAPQLTVGPPGDASLLAAVGNSGARRVDEDDLAGRWGGAFRGVPDGWVAAVSELGGGVLNATKAVAMFQALAVKGGAVVRDNAEVVGVVKKDGEAGVFVRTSGGEEFHGAKCVVTVGAWTSKLVKSVAGVDLPIQPLHALVLYWKVKPGRERELAAEAGFPTFSSHGDPHVYGTPSLELPGLIKINYDGGPPCDPDGRDWAGGGGDAASRVARWIEEFMPDHVEAAGGPVVRQPCMYSMTPDKDFVIDFLGGEFGDDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEARTAAEAGVELRHFRISRFEGNAMGN >OGLUM01G14910.1 pep chromosome:ALNU02000000:1:13595978:13597828:-1 gene:OGLUM01G14910 transcript:OGLUM01G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTPRCAPFPNPNPNPDASPPPSSPMTPRAPSMRHHPPHLYLAEVVASWHPFHKKPCLSDRSTAPPSAHFADAPETQTQTPTPPLSASGGGGGGSFRWLGPRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHVTCGAGGGGATDSSGEMWASDVGEVRMRDVPMATEFGPAAPVGGAGSGSGGTGAAAEVAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFFWGEEIGDCIADMNKMGIVGDNNFGEQKSHHRCRRKKHDVRMLDP >OGLUM01G14920.1 pep chromosome:ALNU02000000:1:13599237:13607723:1 gene:OGLUM01G14920 transcript:OGLUM01G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASDVEQVEDTDNENDVLTVGSRQRERWDMKESKTATGFSISPMFHKDIDLAGSVGSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAICGRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSRSPKSVSRSPSPVDERSISRSRTPVSSPSRGRSVSKSPSRSLSRSPSPVKSD >OGLUM01G14920.2 pep chromosome:ALNU02000000:1:13599237:13607187:1 gene:OGLUM01G14920 transcript:OGLUM01G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASDVEQVEDTDNENDVLTVGSRQRERWDMKESKTATGFSISPMFHKDIDLAGSVGSWSKIHYEVAKCKSARTQNACTAGIYTYLAETMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRILDIDLKIPPRPPGYAFVEFEDPRDADDAICGRDGYNFDGYRLRVELAHGGRGQSYSYDRPRSYSSGRRGGVSRRSEYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATVGIVDYTTYEDMKYAIRKLDDSEFRNAFSRAYIRVREYDDRSRSRSRSYSRSRSYSRSRSPKSVSRSPSPVDERSISRSRTPVSSPSRGRSVSKSPSRSLSRSPSPVKSD >OGLUM01G14930.1 pep chromosome:ALNU02000000:1:13613064:13614571:1 gene:OGLUM01G14930 transcript:OGLUM01G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRPIMPRWCYNGPFAIVLVPWLASPVYYRISPFPDPKQSTSTPIGGRASSSAKPRVLDIQVTLFGPSDYASFSSDEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELESIPSKN >OGLUM01G14940.1 pep chromosome:ALNU02000000:1:13616407:13624589:1 gene:OGLUM01G14940 transcript:OGLUM01G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGRGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERFDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRTCQLTSLVQLSDLFGNADEVIPDEEQQEGEDTAPCGEQRLVLLPERSVHALVHGLAEPVQHGARRRVMSCTFPFRSSAAASCVAPPGSSSVFNMYKLSFRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAQSSKGRENSCMERRKRRRLEETMNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCVARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >OGLUM01G14940.2 pep chromosome:ALNU02000000:1:13616407:13623990:1 gene:OGLUM01G14940 transcript:OGLUM01G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGRGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERFDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAQSSKGRENSCMERRKRRRLEETMNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCVARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >OGLUM01G14940.3 pep chromosome:ALNU02000000:1:13616407:13624589:1 gene:OGLUM01G14940 transcript:OGLUM01G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAVDAEGECGRGKSHNTLKPDGVRVAAASTTLDSSDSHGPAADVQGGGGSGERFDSSDGEGRATDVQGRSSAGESLVVKSEHACAADDPVGDGALLAPANKPTGADPLAVASSSHDIDAASADPANDEEDGDTTECSSSFGNSCCETDDEADHGGSEVDSPFSENADGVQALIRPRKKKVTAEWRSAVRPMMWRCQWLELRMKDLLSQVSKYDRELALINKGKELQQAVNMTNGSRSESAQSSKGRENSCMERRKRRRLEETMNTSLYIKKHEILSYFFDKQNKGAETDGILIDDDSSGPVGNDVKGGIHTVGLLEPKEYDMVAEQLTLQKFLLTIDGIRSQVLRLQDRLSKVRSKQENMVSLVDHAHIKVSEKRLRTQKRSFSYKKDRYSKSKKKKNLNILSKEEDKPAHAVISTLSKRAPDCQTEVTMYSSEEKSGERCQSHKKAITVDLLLPNGHMGDLCKDNDDVLIDNQAANEGYQPFENAKQPMDKSLELTEKVCETANLRVGSNSSPVEVTSTSAPFRVENASVSLEARSTPGQVVKQEPVFEKPPALKHVYSGKRRRKLKMKEGSGPVSGFKTQSKEASKTPATKKKTESTSPAAKKLKIEETTAPDEGKKAVKTHSTGKKRKAGKSCSSTKKQEAENSSCVARKDISESTPSKPRIEKAVLVAVNSRRSQRVRKPKIY >OGLUM01G14950.1 pep chromosome:ALNU02000000:1:13660771:13662260:1 gene:OGLUM01G14950 transcript:OGLUM01G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVVNSSSTFVNPRGLRIFTQRWVPAGVDAPLLGAIAVVHGFTGESSWMVQLTAVHFAKAGFAVAAVDHQGHGLSEGLQDHIPDIVPVLEDCEAAFAPFRAEYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAFCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVSRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGESDEDVEKGRE >OGLUM01G14960.1 pep chromosome:ALNU02000000:1:13667916:13668516:-1 gene:OGLUM01G14960 transcript:OGLUM01G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAVTKAYTGGTSRSARQTVMLHLKPEPKPICQTRWPRRTRPLALASITFVRVVTSMMEAREMAGNGGGASQMQPSSSLHHSATARDSSSTSAGRHQVDGEKRPEQRGGAGAAPGRGGGSGGIRWSRRRRPGGPAWPRAGRRSQ >OGLUM01G14970.1 pep chromosome:ALNU02000000:1:13668122:13668742:1 gene:OGLUM01G14970 transcript:OGLUM01G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSRRRRCLPFLLLPSSSSSSHWYELAYAKAKGRVRRGHRVWQIGFGSGFRCNITVWRALRDVPPVYAFVTAAWPMALLGGSFAAGVARRYRRPPRCLPIHPMPPGRLQAATVASARVAVAGCWPRRRAPAASRSGAHARGEKGKREGER >OGLUM01G14980.1 pep chromosome:ALNU02000000:1:13684129:13688404:1 gene:OGLUM01G14980 transcript:OGLUM01G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAPPHAASGGGPPPPAVLALPHGMVVVGDEAVQRAVVLRLGVNFRATVCSEINAAVEMLRGRTKEFDFAVISEELIRSLRPEIMKFLREETGLRLLVLRNEGGSEYSFVPVVRRSDTAAGGITSRWQQWLDGDGGGGGGSLVDPVPTKILELMKLRIGPMNDPELTRNTVSSYLQKYKAYLLKQEQKDQQLPVDDNTNTSSIKSQQGYASLQQQIMNYGENDSMDNQSIILSQTRYNEHSNKQPKLLTANYHGPRNMHHHHKNTNNMQPSNVLRPPNLNNAKGFNMSFNKEEINEAIYQSQQTTHRVSATHAIAKAQASWRVTMTKDMHPISQLHQQDDETTPDDSSNNTNSRYEKCVDMHL >OGLUM01G14990.1 pep chromosome:ALNU02000000:1:13689228:13695994:-1 gene:OGLUM01G14990 transcript:OGLUM01G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGSMRERPSPSSSMQSAAHHCCRQDHAAPEAHDSEPSVDPGAPKANGGGSARERAPAAGSVKERVVKSGFAQDDGLASRSAQPTGQRALIHRGLPLWSCLLLISLFAPLSVGRRDRADACVLCRTSSARIEPSPVLLVHHGHHPDKTKTCKLARLCPSIGSPLMGFCHGIRHLPGWLSLAAPLRRRLRCVEAARQVPYLPPSIPSIGGHVHLPLLLSSSTPILSSIGGNNPFARIVCQMFPQLGDLPNSTHLPYQVKPMMKNQKEDKSETKGTKKKADKGANKLGAAQASS >OGLUM01G15000.1 pep chromosome:ALNU02000000:1:13697972:13698369:1 gene:OGLUM01G15000 transcript:OGLUM01G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLAPPHGLVVVGDEAVRRAVVARLGVNFRATVCSEINAAVEMLRERMKEFDFVVISEELIIRSSRPEIMNFLREETGLRLLGICLFST >OGLUM01G15010.1 pep chromosome:ALNU02000000:1:13699354:13701824:1 gene:OGLUM01G15010 transcript:OGLUM01G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAAAAIASSVKRATNNRRKQRRAKVQSGRQHRQRKRRLVWTDELRKMFREAFNQLSLTQNLNSYHSVLIIKIQEDYEKNTRCPQKYFKLMKLMIDPMNDPSLTRDNIASYLQKHKKRLQKEYQKRQNLLEQKDQQLPIVDNTNTSSIQQKNMHYGKYDSMNNQPLVLSESCYNEHSNKQPKLKEPTLLTANYHGLRNMHHHHKNIINMKPSNVLRPPNLNNAKGFNMPFNKEGVDEAIYQSQLMAHQVYANQVVATAQATWEVTMTQDMRPISQVLQHGCETTPVDSSNNTNGISENNNYHVGRKNSGANMVGILWPLLR >OGLUM01G15020.1 pep chromosome:ALNU02000000:1:13702676:13715175:-1 gene:OGLUM01G15020 transcript:OGLUM01G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) TAIR;Acc:AT4G01800] MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPGRRWSDGLHQAIEAKEGFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRSAFMKIVDEYKVYTEEEKKKVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTRKKVDKGANKLGAAQAAS >OGLUM01G15020.2 pep chromosome:ALNU02000000:1:13702676:13715175:-1 gene:OGLUM01G15020 transcript:OGLUM01G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Albino or Glassy Yellow 1 [Source:Projected from Arabidopsis thaliana (AT4G01800) TAIR;Acc:AT4G01800] MAMAPPAAATCATALRFAHPSSSSSSSSSSSSSSLPSPRPLGGACGGRPQHGRRSLRAPRRRGGGDRVAARAGLGGLLGGMFGGAGGDDGDAARKRYADTVARVNSMEPEVSALSDADLRARTAKLQERARAGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYLCDITYVTNSELGFDYLRDNLAMTVDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEVFERDIHYTVDEKQRNVLLTEEGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLRDVNYIVRSKEVLIVDEFTGRVMPMLPFGRAEDGVMVFTKQLKLKKFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDESDVVFRATNGKWRAAVVEISRMNKVGRPVLVGTTSVEQSETLSEQLHEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVDPLDGVIISKKQASPKKTWKTNESLFPCELSKDALSYVKESVEVAVKAWGEKSLTELEAEERLSYSCEKGPTRDEVIANLRSAFMKIVDEYKVYTEEEKKKVISAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILEANIGPDTPREDWDLSKLIAKLQQYCYLLDDLTPELLEGKSSSYEDLQEYLRTRGREAYYQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVMKNQEEEKSEKKGTRKKVDKGANKLGAAQAAS >OGLUM01G15030.1 pep chromosome:ALNU02000000:1:13715655:13716704:-1 gene:OGLUM01G15030 transcript:OGLUM01G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGWLHEEYAPRSSSLVAQSCIGSNAAPTKIDFSSMDPALLGTGRWVQVRDQVDLLITDNCALPTAHAGAPCQHVRQSTIASRSPSTATSAGLPNLDAYESFAVIDGLKPKNVRAFVDLVFARQLLVSNQSLTPHPQSPLIKSCSRFCQFSTTKRIVNLDSGRGGAADDPGRVCGGEAPRGTEQNEVNGNGMQTRDEEWVLVKPLLTSLIIMSPPWRGGDEGK >OGLUM01G15040.1 pep chromosome:ALNU02000000:1:13717719:13722112:-1 gene:OGLUM01G15040 transcript:OGLUM01G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT4G01810) TAIR;Acc:AT4G01810] MEETSTPPQPQPQPAHPSPQFPAVFTPPPPPTSGFSSRGSPSPGTGSAAAQAQFSTPPGPPVFSSPLRPAAVPFRATPASPQPVPFASRGGGYSSSSSSAAVSLPTSSGPHFLNGAATPTSHLPSSAAAPPPPPPEESPYVLFSAHKVLKQKKLLNAPSLGFGALVSPGREVAPGPETVERDPRRCLNCGAYVNLYCDVLIGSGQWQCVICKKMNGSEGEFVVSSKHDLLQWPELASSAIDYVQSGNRRPGFVPVPDTRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTARIGIISYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKAIIYGTGIYLSPVHASLPVAHTIFSSLRPYQLSLPEVSRDRCIGAAVEVALGIIQGPAAEVSRGIIKRSGGNYRILVCAGGPSTFGPGSVPHSVQHPNYAYLEKTAMKWMERLGHEAQRHSTVVDILCAGTCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDDMLVTQVIGPGEEASPDSHETFKHDTSFCIQMHSVEETQSFSVSMESKGDIKNDFVYFQFAVHYSNMYQTEITRVITMRLQTVDGLSAYLASVQDDVASVIIGKRTVLRARTASDAIDMRLTIDERVKDLALKFGTQVPKSKLYRFPKELASLPECLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSFRMLAPRCIMHREGGTFEELPAYDLAMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSELRFPAPRILSFKEGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRSRLKSSFIHFDDHSFCEWMRSLKLVPPEPS >OGLUM01G15050.1 pep chromosome:ALNU02000000:1:13723873:13728243:1 gene:OGLUM01G15050 transcript:OGLUM01G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETASGSKPPAAATTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASPMLLQHLKSSFYATFEQSRKEYEQQVANEEAEQLRSFQEAVAARSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >OGLUM01G15050.2 pep chromosome:ALNU02000000:1:13723873:13728243:1 gene:OGLUM01G15050 transcript:OGLUM01G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEETASGSKPPAAATTIRLVNFISEDQLDEAKRTRGERADDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDEMEFLDKLASSRKEYEQQVANEEAEQLRSFQEAVAARSNIIHEEAPTVSRPEESKPKAKRSQPALLKNVIISVKPQAKKAKLDGEDKPPAKELPSNGHSADHKPPDATKGVLGSLVQYDDDESSDGDV >OGLUM01G15060.1 pep chromosome:ALNU02000000:1:13729200:13729565:-1 gene:OGLUM01G15060 transcript:OGLUM01G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFDLLALSGNNETLAKFAAFVVVQALVYLILSRSSAVFFSGAGAGTASFRRPAVERSESARRRMAALLAAEMTPRRSGDAPPTPAGIQRRGRRSNDDDGGDVCVDVELELMLIRCSFSS >OGLUM01G15070.1 pep chromosome:ALNU02000000:1:13761676:13762056:-1 gene:OGLUM01G15070 transcript:OGLUM01G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYHFDFDLAGHETLAKFAAFVVVQALVYLILSNSSAVFVSGGVAGHGRSARFRRPDGVERSESARRMAALLAAEMTPRFGGGEPSSPAGGGQPQGGRSTSNDDDDARGDVELELLLILCCSFSC >OGLUM01G15100.1 pep chromosome:ALNU02000000:1:13771002:13772748:1 gene:OGLUM01G15100 transcript:OGLUM01G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRLLVVERILHGDNPRRLTLSPRPLQRAGTCIDAFQLKYVKDSVYIRGSSSSALKVTLKV >OGLUM01G15110.1 pep chromosome:ALNU02000000:1:13774525:13775916:-1 gene:OGLUM01G15110 transcript:OGLUM01G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVDIGSMKKKISHRFLPDDLVLDIIARSRSPATIIRCAAVSKPLRRRILHPSFLRRVVIASGTGDDDPLPSFIPSILLGVYHRPRDDPCCPLAFLPAAASSLPPVSPTADDAAPIDDDDDDDSHGGACVFGGPYRPMSSRRSLVVLRRRCDVVSHHHGGELTVWNPASGERWVLPPHDHEVLDQSIVLLDVNHRHHHHRNGGDQAAAAAAATIWSSSFKLLVAHLPAERSPRTLNVQVFSSDDGEWGPPLACAIPTDCELHNHAKPVVLRGAVHWLCLTHSRHRILVLKWPSRDAAAAAQAPMASLMKLPPPCESGAYDTCLALSPSPSDGTGAGAATSLSVVVLVGDHIAVWVRAGGGAAAAARWERRHVVREESIAAAWPMEGSSLGDGWLGRTRLGSFCEGSGALLLERDDDDGRRRPLVLDMGAMVVRKVDMDSREAEFVHYEVDLVSYMMFIMRAF >OGLUM01G15120.1 pep chromosome:ALNU02000000:1:13780098:13787034:-1 gene:OGLUM01G15120 transcript:OGLUM01G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G73720) TAIR;Acc:AT1G73720] MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQISQAIASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQTKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLSVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHAKGVTSVTFSRDGTQILSSSFDTTARVHGLKSGKMLKEFRGHNSYVNCAIFSTDGSRVITASSDCTVKVWDTKTTDCLQTFKPPPPLRGGDATVNSVHLSPKNSDHIIVCNKTSSIYIMTLQGQVVKSFSSGKREGGDFLAASVSPKGEWIYCVGEDMNMYCFSYQSGKLEHLMKVHDKDVIGITHHPHRNLIATYAEDCTMKTWKP >OGLUM01G15130.1 pep chromosome:ALNU02000000:1:13790505:13807075:-1 gene:OGLUM01G15130 transcript:OGLUM01G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGNMNIAIDTREISIPLSTLHTVTQKPDQVIEKLLNSNRSAIDQLATINYTISTLTKNIWEVRREEERKKGGGGEMWGAVAFVRKQRQAGRQTDGWMDAAHATTREKKVGIDRLAGGHRRARTAAGTMTRGGRGIQVFPISTDASYKFTSMAMPPLANPFIYIDAAVLNSILPFSSLISHLYTNLPVFSTSISFPLPGHYALHLLLLLMSSVHAVVSLLDFLSVVPLAILDDSVLTLLHMAVVSMLAASLLASPFLPPFTLCHTSPKPTSCIWVDTKLARLNSV >OGLUM01G15140.1 pep chromosome:ALNU02000000:1:13792158:13792644:1 gene:OGLUM01G15140 transcript:OGLUM01G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFGEVWQRVKGGRKGDARRDAASMDTTAMWRRDASVEIGKTWIPLPPRVIVPAAVLALRAQG >OGLUM01G15150.1 pep chromosome:ALNU02000000:1:13800658:13805916:1 gene:OGLUM01G15150 transcript:OGLUM01G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPSSPSLKDHLSSPTGPLHLKVWEVICIVLGAFMVVIFFVTVWLTIRSRKRVRRASANIPITQIPAISKEIKEVRVEQVPTSDFAAHDGVLMTIQDKSSEKESDKVMVHLGVSKSKRGDESHSGSFRYMDKDLGFQSADEGGSGTFRHNSAHAITAPSPLVGLPEFSYLGWGHWFTLRDLEVATSRFSKDNILGEGGYGVVYRGQLINGTPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSHRGSLTWEARVKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFDAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEISVPFQKFCYYSILLKLTRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHNRGGSTEMDSQRDNNSDTDKSDNPDSKPSRSRASSSK >OGLUM01G15160.1 pep chromosome:ALNU02000000:1:13807178:13811303:-1 gene:OGLUM01G15160 transcript:OGLUM01G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIARGERRFFPFGAEGKERKEGGKARPTGAKESQGVINRERNGQKRNQQEERNQASKKRRDEGEKEKRGPAAGVVVFLPPLSPLLSSRSPSFLPSIPPRTLRIALVVSIRSRISRKRGGSDMGCFSCCGADDVGKKKKRDDPYVPIPDPGGNYGRSKPGPPAPSRSPPTSRNLPIAVPAIPLDEIKGITKNFSSDALIGEGSYARVFFGVLRDGRRSAVKKLDSSKQPDQEFLVQVSAVSRLKHENIIQLIGYCAGGSIRVLAYEYAPRGSLHDILHGKKGVKGAQPGPALSWMQRVKIALSAAKGLEFLHEKAEPRVVHRDIKSSNIMLFDNDVAKVGDFDVSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDPRLEGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALNPLLNSRPNNRPASFTDAGERSGL >OGLUM01G15170.1 pep chromosome:ALNU02000000:1:13813630:13814197:-1 gene:OGLUM01G15170 transcript:OGLUM01G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRNERRGWWWMHASMPVAGRGAREEAMHEGNRQRSDELGQRRQPTLRAQVQIGDVAYIVVEAVVSVMEKFRWCQLVAGAADDDDCGGDYLGTPTMAILEAEDDEDMPLLPESLTCRGGELPPYHRDSLPWLVGASTAFAAREDAAVLEFLQSRQQLLFSSPLCLARERWEKTYS >OGLUM01G15180.1 pep chromosome:ALNU02000000:1:13818418:13825825:1 gene:OGLUM01G15180 transcript:OGLUM01G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23400) TAIR;Acc:AT1G23400] MPRPWTGKAPLLTKEEKARRRGVRLHTPLGEEAPRTVSAHGIMMEVRGRRKLDLARVSPGDGRSREEVLGEPLTAAEVRDLVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEICKVRCRGVPTVDMKNLCYHLEEKSGGKVIHRVGGVVFLYRGRNYNPRTRPRYPLMLWKPATPVYPKLIQEAPEGLTKEEADEMRRRGKDLLPICKLAKNGIYIYLVRDVRDAFEGSDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMFRGKEWKSRYPKPLTLIPKIRKNNVPMSSDESSSDEATDDDDRLAVREVLRPKMFELWTNAIESSVALMLDDAEVDALTPDSLLTRVEDFSVTSQAVEYSFPAVLVANDESNPDVLNAEYTEDEPETGTLEPQQHEFTESSDVAEDDHFEDDMLKRLESSVPLGALPIDAVVKQLNDE >OGLUM01G15190.1 pep chromosome:ALNU02000000:1:13826418:13835011:-1 gene:OGLUM01G15190 transcript:OGLUM01G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGAETTTGSATLHGDENEVRHCYPPFSSPNHHHLVELARSALGAATHLVVVASLGPKQVTQPNQPKRPHMTNYSRTPPSSPPTPSRKSFAPGPSRVVQIATRPSLSPAALSLSALLPPPVTASVAPTADSTPLPPPVAASLSLSLPPHGKWRTGWSRVTVTDGARRRVARRTTVAASSSAAQWRWCLPLPRHRAVAAKWRQATTTREEWRWGGQIQPRLAGSGLGQQRWRLVGEDSAWSPSSRSPLFLQPSPTELIVIVADEPPLHRLSPRSGTPPTPSAASTAGPSATALSRGQRQGEGWKRNSGRGSDGQGTLTLANASPGSVSQQKGGEERSPAKNHAPS >OGLUM01G15200.1 pep chromosome:ALNU02000000:1:13838511:13840525:-1 gene:OGLUM01G15200 transcript:OGLUM01G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7M6] MRAINRRRERIPEATPVQNHTRRTLPFSLSRSFVRSLYPPRIKARSRSGGRRRGQIEMAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFVSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYLNDAGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGFARRCIVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFIKTAAYGHFGREDPDFTWEVVKPLKYEKASS >OGLUM01G15210.1 pep chromosome:ALNU02000000:1:13867034:13870470:1 gene:OGLUM01G15210 transcript:OGLUM01G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGEPSRERDLGKKPMAMDKGASPWRGQAKEGDNPEAAPVRCCSCSPWVIGDEKKHLPLPFVCPPRPRATVLTAPRRLHPDSGKSSCVPYVIVDDALPSGRFLLRATDGWYGLNGAYYICCDCDARTRVATPPPPSGSLDHLNFPRRRSVEDSRHRGYCLVAQAQLHPTSMTTTQQHETVVDYPARDNKWDVKECPHHQRAMGGCHGGVLCCADLPYGFLTSCVPFADELRRLRYVELPQCCVMVGADTIPFTRDDEKHHRWLFATVRVKIHGIPDAPVVRSWTLSGLYVGGIGEVAERGPIAPTVWPRILQWLTRPFLNWNLWDRMMQYLRVVFVWHLPVEHSWLSVLGPPIRQIGNTCSVSAVTLCIEAKFHKYGFRCTVERPPYELLMHCLESPHMDPDDGISVINLIHVLSRMRGLTTTTGLILPITGLVPHRLENDDWSMKEVAKFIYEHGPVIAVLWVVRHEFRACIGDVVYYGLPDRSLRDLEDKDQCMHVVVCFGYRFTQSFDLHLGIMDSSTDDGPTRWLHYTSVDGLYSPEIAMPLLG >OGLUM01G15220.1 pep chromosome:ALNU02000000:1:13882955:13886372:-1 gene:OGLUM01G15220 transcript:OGLUM01G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVIGSLILAYDDARKFLMEMERKAEHEVNLLRPPALLHWDGGGGGEQPPPLDERRRIVQRWIEYEKRKGPRRFDYVSGEISDCIARPHVRIALNYYNFNHPGAEFDCVRPLSAYFASFGGQIWSHVNFLARRRGCIDAPVLRFFAELFYYGRLAETPVVVSCTILREEPLFVVRRREALQEPIVHLTKKSETDQEPLDQYRSSNNHSLEQLMTEEPLFVRRIETVQEPLVQYRSSCAFCTDRYEILHPSEDEFVCGKEGQGVESSWWFYLMMDEMVFNLILPTPRCFLDDVRKFCSFVFYVFFIWLAKLASAIKVGTLG >OGLUM01G15230.1 pep chromosome:ALNU02000000:1:13891004:13893006:1 gene:OGLUM01G15230 transcript:OGLUM01G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLALAYHDASEFRMEMKRKAEHEVNLLRPPALLHWDDGDGEQPPPLDERRRIVQRWIEYEKRKGPRRFEYLPGLVHLFLLNLIRYWQFFSYCTSLCIFLNLWIGDLYHPPLINSPAMRRADDQPLCASLSISFSMAAMAGRRSAASSTGS >OGLUM01G15240.1 pep chromosome:ALNU02000000:1:13895147:13895401:1 gene:OGLUM01G15240 transcript:OGLUM01G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGIFYLCSLLLPQSQCHGYQQATARGDADQQAMARGAEKRATAGRLGATGRRFGGGCAALAVDWIEVARRRPASRRGGGDW >OGLUM01G15250.1 pep chromosome:ALNU02000000:1:13910804:13911040:-1 gene:OGLUM01G15250 transcript:OGLUM01G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGFFCTCCGYVEGGRSSAGRGGGGTADGCSQRAEPANNDATTQELGGICVEHSLAGCRGNSKGRQMRAAASKR >OGLUM01G15280.1 pep chromosome:ALNU02000000:1:13949571:13950005:1 gene:OGLUM01G15280 transcript:OGLUM01G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQRVVAAVAVALGVCLLQLPAASRGQLQVGFYNTSCPNAETLVRQAVTNAFANDSGIAAGLIRLHFHDCFVRRKRKNSVVLFFISNL >OGLUM01G15310.1 pep chromosome:ALNU02000000:1:13979167:13983866:1 gene:OGLUM01G15310 transcript:OGLUM01G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7N3] MAMKCLLVFFLAFLVAFFPGAAVGAGLKVGFYNKTCPSAEALVQKAVAAAFKNNSGVAPGLIRLHFHDCFVRGCDASVLIDGNNTEKTAPPNNPSLRGFEVIDAAKAAVEAACPRVVSCADILAFAARDSIALTGNVTYKVPAGRRDGNVSIAQDALDNLPPPTFNASELVARFANKSLSAEDMVVLSGAHTIGVSHCDSFTPRLYNFTGVGDADPAISSAYAFLLRAVCPSNSSQFFPPNTTVDMDVITPAALDNKYYVGVANNLGLFTSDHALLTNATLRASVDEFVKSETRWKSKFVKAMVKMGGIEVKTGTTQGEVRLNCRVVNKRSANAELELAAMDDGDQVVAS >OGLUM01G15320.1 pep chromosome:ALNU02000000:1:13984902:13985258:-1 gene:OGLUM01G15320 transcript:OGLUM01G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSVGAVLRAGLAVGLLLLAAGAAACHGARAVLGEPEPEPATYRPQNVYGFGGFYPGPNINWVFPGPNGVTPQVGFGGMPGSSSSVFPGAGGASPLTPAGGGGGVIGIHGATKKP >OGLUM01G15330.1 pep chromosome:ALNU02000000:1:13986883:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSAPEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >OGLUM01G15330.2 pep chromosome:ALNU02000000:1:13985471:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMWVLNM >OGLUM01G15330.3 pep chromosome:ALNU02000000:1:13986883:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >OGLUM01G15330.4 pep chromosome:ALNU02000000:1:13985879:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNIAT >OGLUM01G15330.5 pep chromosome:ALNU02000000:1:13986883:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSAPEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >OGLUM01G15330.6 pep chromosome:ALNU02000000:1:13986883:13996709:-1 gene:OGLUM01G15330 transcript:OGLUM01G15330.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;protein tyrosine kinases;ATP binding;protein kinases [Source:Projected from Arabidopsis thaliana (AT5G18525) TAIR;Acc:AT5G18525] MVCSPEKAGAGAACPECLERRILSSLPGSCFSFVHGLHESPLPFASAAVVQIATDGAEECNGSENNSLENGSQIDLRGKESTDADDNHRKQSIINTITKLSPTRYLARAATSEIRELISSYLNLTTEESVMNSLNLLSENKIVGSGGLDFLSFTGFSEFNDIHPSGHVRHPNILPILGVVETYVCCYMLHPKSPYTLENIMHYSPEALCSDWHIRFLIYQIISALVYLHDFGVHHGNLKPSAIFMSDSLWPYLSISDICPVKQNCGFAESKHPALTPCCFEEDCSSRAIYSGFNLPSSLDWQSYSKRWWMGELSNYEYILVLNKLAGRRWGDPAFHTVMPWVIDFTVRPEENSDVGWRDLTKSKWRLAKGDEQLDFTYSSSEVPHHVSDECLSELAVCSYKARRLPKNILRSAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYSDPRIFVSLHSEMSNLALPSWVTSAEEFICLHRDALESDRVSLQLHHWIDITFGYKLSGESSVEAKNVMLPPSDPAKPKSTGRRQLFTKPHPKRLTSTPHSTCHNKMESCAKCQGGSSSMTTGLLLKDHIPPAMSTQIDYLEEFEQANMFMKLENHLCPIYDYANDSSCCCSSVKYNKSPCSNQDIVPPESVVSVAPDFDFCSFLECFESDDSSPMGYQELLRWKQKSCSVNEYHANDIFSVGCILAEIYLHRPLFDADLLSAYKETGILPGAIQELPIHVAVLVESCIQREWKRRPLAKHLLESQYFPPSVRSAYMFLAPLQLICTPRDRLKYVAKLASEGTLRAMGECAAEMCAPYCLPLVSPSLSDIDTEFALALLKEFVKCLSVQATKDLILHIIQKILQAEYSHLKVSLLQDSFVRELWKKLGKQTYIEKVHPLVIMNLYNSPNKITASAASVVLIGSILPIIQCFGKGLCPDGIDTLVRIGGLLGESFTVKQILPLLRNVILSCIESSKINKPEPQHSWNSFALMDGLSALEGLVSVLPVKTILRELLQVAASALVDLCQQIGPENTATYVLPHLKELFAELAFSHEFSGLSVPTKGLKFFDGNKTEPAKMESRIDLVFLLYPFLAALVGIEKLRECYSTWFLLEQALQRLYGWKWQPSTDHSGSSENMKGQRFRSGNYTSSEPAPTKLVAEIGKGRNMTVSNQGSRLEHGSSNDNLCASTSGNQPWFWFPSPDRNCWAPDFLGRSAGMKDELPWKIKASVLYSARAHPGALRSLAVHDDECTIFTGGVGPGFKGSIQKWELPNMNCSSGYYGHEEVVNSICILSITGKVASCDGTIHIWNAQTGKLIAVHTESSISFPQQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESKDKLVAGMGNGSIRFIDISQDQKLHLWKSDSDEISFSSLVSAICSCASDKLKKDSTAASSSWIAAGLSSGYCRLLDERSGNIVAVWRAHDGHITKLAAPEDHLIVSSSLDKTLRVWDLRGNLSTQSNIYRSHSDGIINFSVWGQDMVSVSRNKIALTSLSRPTSEIGHQQLTFQNLYSSDRGVKYKNMSVLSTISVLPLSRLFVVGTEDGFLKICH >OGLUM01G15340.1 pep chromosome:ALNU02000000:1:14023139:14041953:-1 gene:OGLUM01G15340 transcript:OGLUM01G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIQRADTMKPTTIGAVMNQRGERGDDGVWEAGGDNDSRGRQRGGARSGGIGGNEYRLRWRDGAGGRGSNAADAVEQEGIERRRRVCEREEGRVEMAMGACSPFPHGDPTLAAAEDHLIVSSSLDKTVRVRDLRGENDDETVCRGLRIVVRYRWIRHGVATTGHETGGLASSAREMAHD >OGLUM01G15350.1 pep chromosome:ALNU02000000:1:14061164:14064022:-1 gene:OGLUM01G15350 transcript:OGLUM01G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFSAEMCAPHCLPLVSPSLSDIDTGFALALLKEFVKCLSVQATKDLILHIIQKILQACTRIFTSEGFLASRIVEKKMANKHVDFLEKVLLSGKFFPCVGMLYSCIESSKINKPEPQQSWNSFALMDGLSALEGLCISPISQQFSQSFFRINLSSYKGSYAEYGYICASTTKRAFSAELEFSHESSGLSVPTKGFLLYPFLAALVGTEKLRECCSTWFL >OGLUM01G15360.1 pep chromosome:ALNU02000000:1:14064094:14067437:-1 gene:OGLUM01G15360 transcript:OGLUM01G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEETYAVKWKWCASRYRMVPSYSSSSSEEEENVIVVRKLFKRAGAKEEVPCLNCCLKSGGKKRQILWVHDIFFAKVDMVGHNGQLHKKLSFKCDTCDNRQIWRSTRPCSPRTIDFVAQSSAGWLFKSSGYFVLVRLHMVARHFSIFKNGTVFYTQKAPKARKAQMLIDNRRKQINHKHNYQKHLIVATCCIQSQHKSKYLGKHHALQSRGIIISALAYLHDFGVHHGNLKSSTIFIRSVQLLIYVVLGRLVLQGPFILSYFKQWWMGEFSNCKYIFVLNKLVGRMWGDPAFHTVTLGLIDFTVRPDENSGVGWKDLTKSKWRLAKSKWRLAKVCSYKSRRLPKNILRLYLWTPDECIPELDSDPRIFFSLHSEMRNFALLCWVTYAEEFICLHRDAIESDLVSPSRQLHHWIDITFGYKLSGEAYVEAKNQRLNQLGEANFSRNYILSNILAHFTLPIVIKWNLVLDARGDQVARLRVLLNDSIPPVIASQIDNLEEFEQTNLSNMIIALVQIRSLCLQSPESMLSLAPVLIFVLFLNALNPMIVLQQVIKSCCIGSKRLVQLLSIMQKSICTDHFLMLICYLHKKKLAYCQEQSKNCPSMLLFLFSYAYRLQREWKRRPLAKHPFGFTTLSSIRLNCIHVSSST >OGLUM01G15360.2 pep chromosome:ALNU02000000:1:14064094:14067437:-1 gene:OGLUM01G15360 transcript:OGLUM01G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEETYAVKWKWCASRYRMVPSYSSSSSEEEENVIVVRKLFKRAGAKEEVPCLNCCLKSGGKKRQILWVHDIFFAKVDMVGHNGQLHKKLSFKCDTCDNRQIWRSTRPCSPRTIDFVAQSSAGWLFKSSGYFVLVRLHMVARHFSIFKNGTVFYTQKAPKARKAQMLIDNRRKQINHKHNYQKHLIVATCCIQSQHKSKYLGKHHALQSRGIIISALAYLHDFGVHHGNLKSSTIFIRSVQLLIYVVLGRLVLQGPFILSYFKQWWMGEFSNCKYIFVLNKLVGRMWGDPAFHTVTLGLIDFTVRPDENSGVGWKDLTKSKWRLAKSKWRLAKVCSYKSRRLPKNILRLYLWTPDECIPELDSDPRIFFSLHSEMRNFALLCWVTYAEEFICLHRDAIESDLVSPSRQLHHWIDITFGYKLSGEAYVEAKNQRLNQLGEANFSRNYILSNILAHFTLPIVIKWNLVLDARGDQVARLRVLLNDSIPPVIASQIDNLEEFEQTNLVLELEHHLCPIYHYADSSSCCCCCFSSVKYDNSPCSNQEFVPPESREYVITGACFDFCSFLECFESNDSSPTGYQELLHWKQKACSVIEHHASDIFPKSICTDHFLMLICYLHKKKLAYCQEQSKNCPSMLLFLFSYAYRLQREWKRRPLAKHPFGFTTLSSIRLNCIHVSSST >OGLUM01G15360.3 pep chromosome:ALNU02000000:1:14064094:14067437:-1 gene:OGLUM01G15360 transcript:OGLUM01G15360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEETYAVKWKWCASRYRMVPSYSSSSSEEEENVIVVRKLFKRAGAKEEVPCLNCCLKSGGKKRQILWVHDIFFAKVDMVGHNGQLHKKLSFKCDTCDNRQIWRSTRPCSPRTIDFVAQTTHGGKALFDIQEWARKAQMLIDNRRKQINHKHNYQKHLIVATCCIQSQHKSKYLGKHHALQSRGIIISALAYLHDFGVHHGNLKSSTIFIRSVQLLIYVVLGRLVLQGPFILSYFKQWWMGEFSNCKYIFVLNKLVGRMWGDPAFHTVTLGLIDFTVRPDENSGVGWKDLTKSKWRLAKICSYKSRRLPKNILRLYLWTPDECIPELDSDPRIFFSLHSEMRNFALLCWVTYAEEFICLHRDAIESDLVSPSRQLHHWIDITFGYKLSGEAYVEAKNQRLNQLGEANFSRNYILSNILAHFTLPIVIKWNLVLDARGDQVARLRVLLNDSIPPVIASQIDNLEEFEQTNLVLELEHHLCPIYHYADSSSCCCCCFSSVKYDNSPCSNQEFVPPESREYVITGACFDFCSFLECFESNDSSPTGYQELLHWKQKACSVIEHHASDIFPKSICTDHFLMLICYLHKKKLAYCQEQSKNCPSMLLFLFSYAYRLQREWKRRPLAKHPFGFTTLSSIRLNCIHVSSST >OGLUM01G15370.1 pep chromosome:ALNU02000000:1:14067508:14068514:-1 gene:OGLUM01G15370 transcript:OGLUM01G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGGGSDAADAVEREGIERRWQVVNNPGLVFYINTGVRERGGERGCGVRFLAVGEVAIRRPIQFVSPAFRCWKASPSKDSPLPVGLASRLPLRQF >OGLUM01G15380.1 pep chromosome:ALNU02000000:1:14098092:14099659:-1 gene:OGLUM01G15380 transcript:OGLUM01G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSSSSVTGELREQEAPPSTPGLDWSQLPADLLIRILGTLEIPDIFSSGVVCRSWHASYLEARRLGICSNNPGPCLVFSSSDRDPSVATLHSLTTGKDYYVTMPDPPFRTRNIVGSSHGWLITADERSNLLLVNPATQAQIAMPPPETIANVKIRCNADGVPDGYDLFTMDMSSRDFEDETEPIDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLHNLLSFARVGATHWTWINVNELCWNYHDVLYNDGDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKNSLINCAKYIVLSESGDLLQVWRYYHYVNNNKERRTRELIVYKVDLVEHKLVELKDIEGHALFIGFNSSFFLRVEDFPMLTPNSVYCTDDTVHYIYHSRFGFREVSAFHLENSRFTDLVPIGSRLNWPPPAWFRPSYSKGPLCHNSAD >OGLUM01G15390.1 pep chromosome:ALNU02000000:1:14124595:14125057:1 gene:OGLUM01G15390 transcript:OGLUM01G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLTMPPSLAASWPPSPPRAKLRLVGRIDGRKEEAVHRSRRQASSQEEAAAKLASAVEAPAKKQKLAMERKEIDLERHYQSTESGIATAKFKPQT >OGLUM01G15400.1 pep chromosome:ALNU02000000:1:14140744:14143466:-1 gene:OGLUM01G15400 transcript:OGLUM01G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAVTGELLEQEAPSSSTSGVNWSQLPADLLVCIFGVLEVPDIFTSGVVCRAWHASFLEARRLGICSSNPGPCLVFSSGDRDPSVATLHSLTTGKNYYVTMPDPPFRTRYIVGSSHGWLITADERSNLLLVNPATQAQIPMPPPETIANVRIRCNGEGVPHGYDLFRMDMSSRDFDTETEPDDLSWEEGRFYFYMRVVLSADPSSGNCTVMILHLLRNLLFFARVGDTHWTWINVNELCWNYHDVLYNDDDRLFYAIRGNGDVHAINTNGPSPILRVVLDAKSSLVDCAKYIVLSELGDLLQVWRYHHYVNNNEERRTSELVVYKVDLVEHKLVELEDFEGHALFIGFNSSFFLREEDFPMLTPNSVYCTDDTVTYICYSRFGFREVSSSVTGELLEHEAPPSTPGLDWSQLPADLRIRIFGTLEIPDIFSSGVVCRSWHASYLEARRLGICSSNPGPCLVFSSCDRDPSVATLNSLTTGKDYYVTMPDPPFRARYIVGSSHGWLITAYERSNLLLVNPATQAQITMPPPETIANVRICCNGEGVPDGYDLFTMDMSSRDFDTETEPDDLSWEEGRVGDTHWTWIDVDELYCCYHDVLYKDDDRLFYAIRDTGDVHAIDTNGPSPMLRVVLDTKNSLVNNTKYIVLSESGDLLQVRRYYKHVNNDRRTRELIVYKVDLVEQKLAELKDFDGRALFIGFNSSFFLRAEDFPMLTPNSVYCTDDSMEYIYCVRFGF >OGLUM01G15410.1 pep chromosome:ALNU02000000:1:14143482:14144012:-1 gene:OGLUM01G15410 transcript:OGLUM01G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWRARRRGAAAARGRPHGFFFPCDGGFGDCETPWTRRLSNSLLRSAATTPVSILPKPRRLSTSSSCAPGAAATATNAAAGGGSIRRDSVTAVAAAPQLQARATRNLWGSIFLRDIGKGGD >OGLUM01G15420.1 pep chromosome:ALNU02000000:1:14154686:14158134:1 gene:OGLUM01G15420 transcript:OGLUM01G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Craniofacial development protein 1/Bucentaur (InterPro:IPR011421); Has 333 Blast hits to 324 proteins in 149 species: Archae - 0; Bacteria - 18; Metazoa - 117; Fungi - 96; Plants - 49; Viruses - 0; Other Eukaryotes - 53 ( /.../: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G30490) TAIR;Acc:AT5G30490] MASGSSSGDMGSSGAKDVILDEPLLTSGSAESSQQKSTDVDTKSRVEDIWKKMNSGLPAKMPKPVMNKLSTPAKEKKSTVGNNWMSVLGLSPSKASTNDQGSKNGRKQAHQETSEDAKKLAASALAAVRDAASAAAGRGKVEITEVRDFAGKDIEIKKLVDADSREAIEKAKAAGAAPSALDNILEQIKKKQKLSVLDKTKKDWGEFKEENKGMEEELDQYKKSSNKYLDKVSFLQRADYREFERERDARLSMMSKRKSDTRED >OGLUM01G15430.1 pep chromosome:ALNU02000000:1:14158376:14162373:1 gene:OGLUM01G15430 transcript:OGLUM01G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAACCYRPAAAGRASLDAFATSSPSLRPSSSGVFFISSSSSRWWMRRRRGEGKVRISTSSRARAKPMSRPALFSPVAMEWQECSTEIEVDVPCSVAYQCYSERETIPQWMPFISSVKILEDKPDQSRWTLKYEILGRDVEFSWLARNMTPTKNQKIHWRSLEGLQNRGAVRFFPKSSSTCRVQLTVAYEVPEILAPVASALKPFLEGLLMQGLERFATFAKERYSKIPQP >OGLUM01G15440.1 pep chromosome:ALNU02000000:1:14158534:14158752:-1 gene:OGLUM01G15440 transcript:OGLUM01G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGENKAGLDMGLALALLLVLILTFPSPLLLLIHHLLLLLLIKKTPLLLGLNDGDEVAKASRLALPAAAGR >OGLUM01G15450.1 pep chromosome:ALNU02000000:1:14162956:14166009:-1 gene:OGLUM01G15450 transcript:OGLUM01G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7Q4] MALAILARRRAADALLRRPLGAAGASALRASYAAVAGEESDVVVVGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYNEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVAGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLSDGGSTVVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPAAGGEQSVIEADIVLVSAGRVPYTAGIGLESVGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGISYRVGKFPLLANSRAKAIDDAEGLVKVVAEKETDKILGVHIMAPGAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTFTKAIHI >OGLUM01G15460.1 pep chromosome:ALNU02000000:1:14169800:14171856:-1 gene:OGLUM01G15460 transcript:OGLUM01G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGTAAASTPPPSPAASWPVWRQRHRASSRRLPDSNSGRPPAAATAKSPWKGISSSALPCKRIPPSLLKNAASDVEEMIMKAAKMGQMSSQIGVVLRHQHGIPLVKSIASSKILHILKAHGLAPKIPEDLYFLIKKAVAIRKHLERNRKDKDSSFRLILVESRIHRLARYYKRTKKLPPTLRSWIIFLEFSTVFSCSLYFYKV >OGLUM01G15470.1 pep chromosome:ALNU02000000:1:14173989:14177862:-1 gene:OGLUM01G15470 transcript:OGLUM01G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVEKGTDQPRNATTPQKKKKKKKKQKNKENPKQNSGTPPCKKKKKKKTSDRRDGDVDWSELPADLLVTVFTALEIPDLICCAAVCRSWRAHYSSTRRLGLCPNSHGPCLLTTPPTGVATLRRLSTGNLYRVPLPAMPPSADTILGNPYHHHHPLPPLRDRYVVGSSHGWLVTADELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLATFYGHSILDITPMRPEHNPRVPILIHDAAETRYHLYQRAVLSGDPSSSSRGRNCTVVVIHNPWNLLSFARLGDATWTWLALRPDCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFSGSAAPVVNCICVPLKHSFDRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLAMEDLVQIKDLRGHALFVSFGTSFFVSVNDFPVLTPNCVYLAHDSTKCRRFKHIAKEIRVYNLQDDTFADQYTQSSWKNCPPPALWFQPTCIRMEQVNMEILKFLRCMFVDYYTLVTLKTIAISMFGSLDLSGELQYWYVT >OGLUM01G15470.2 pep chromosome:ALNU02000000:1:14173853:14177862:-1 gene:OGLUM01G15470 transcript:OGLUM01G15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIPDLICCAAVCRSWRAHYSSTRRLGLCPNSHGPCLLTTPPTGVATLRRLSTGNLYRVPLPAMPPSADTILGNPYHHHHPLPPLRDRYVVGSSHGWLVTADELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLATFYGHSILDITPMRPEHNPRVPILIHDAAETRYHLYQRAVLSGDPSSSSRGRNCTVVVIHNPWNLLSFARLGDATWTWLALRPDCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFSGSAAPVVNCICVPLKHSFDRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLAMEDLVQIKDLRGHALFHKNGASQHGNIEIPQMYGDIQLMQFVDYYTLVTLKTIAISMFGIYLLYFVTIFLHPCALY >OGLUM01G15470.3 pep chromosome:ALNU02000000:1:14173853:14177862:-1 gene:OGLUM01G15470 transcript:OGLUM01G15470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVEKGTDQPRNATTPQKKKKKKKKQKNKENPKQNSGTPPCKKKKKKKTSDRRDGDVDWSELPADLLVTVFTALEIPDLICCAAVCRSWRAHYSSTRRLGLCPNSHGPCLLTTPPTGVATLRRLSTGNLYRVPLPAMPPSADTILGNPYHHHHPLPPLRDRYVVGSSHGWLVTADELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLATFYGHSILDITPMRPEHNPRVPILIHDAAETRYHLYQRAVLSGDPSSSSRGRNCTVVVIHNPWNLLSFARLGDATWTWLALRPDCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFSGSAAPVVNCICVPLKHSFDRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLAMEDLVQIKDLRGHALFVSFGTSFFVSVNDFPVLTPNCVYLAHDSTKCRRFKHIAKEIRVYNLQDDTFADQYTQSSWKNCPPPALWFQPTCIRMEQVNMEILKFLRCMFVDYYTLVTLKTIAISMFGIYLLYFVTIFLHPCALY >OGLUM01G15470.4 pep chromosome:ALNU02000000:1:14173853:14177862:-1 gene:OGLUM01G15470 transcript:OGLUM01G15470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVEKGTDQPRNATTPQKKKKKKKKQKNKENPKQNSGTPPCKKKKKKKTSDRRDGDVDWSELPADLLVTVFTALEIPDLICCAAVCRSWRAHYSSTRRLGLCPNSHGPCLLTTPPTGVATLRRLSTGNLYRVPLPAMPPSADTILGNPYHHHHPLPPLRDRYVVGSSHGWLVTADELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLATFYGHSILDITPMRPEHNPRVPILIHDAAETRYHLYQRAVLSGDPSSSSRGRNCTVVVIHNPWNLLSFARLGDATWTWLALRPDCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFSGSAAPVVNCICVPLKHSFDRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLAMEDLVQIKDLRGHALFHKNGASQHGNIEIPQMYGDIQLMQFVDYYTLVTLKTIAISMFGIYLLYFVTIFLHPCALY >OGLUM01G15470.5 pep chromosome:ALNU02000000:1:14173853:14177862:-1 gene:OGLUM01G15470 transcript:OGLUM01G15470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVEKGTDQPRNATTPQKKKKKKKKQKNKENPKQNSGTPPCKKKKKKKTSDRRDGDVDWSELPADLLVTVFTALEIPDLICCAAVCRSWRAHYSSTRRLGLCPNSHGPCLLTTPPTGVATLRRLSTGNLYRVPLPAMPPSADTILGNPYHHHHPLPPLRDRYVVGSSHGWLVTADELSELHLLNPVTGAQLPLPPLRSLAPVRLLFRRNDLATFYGHSILDITPMRPEHNPRVPILIHDAAETRYHLYQRAVLSGDPSSSSRGRNCTVVVIHNPWNLLSFARLGDATWTWLALRPDCLGYQDCFFDDDDDGLLYAVRSCGEIQTIDFSGSAAPVVNCICVPLKHSFDRANYIVRAPWGDILQVWRSFELDDGEEPRTYELAVFKLDLAMEDLVQIKDLRGHALFVSFGTSFFVSVNDFPHKNGASQHGNIEIPQMYGDIQLMQFVDYYTLVTLKTIAISMFGIYLLYFVTIFLHPCALY >OGLUM01G15480.1 pep chromosome:ALNU02000000:1:14181396:14186830:-1 gene:OGLUM01G15480 transcript:OGLUM01G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06440) TAIR;Acc:AT3G06440] MRKCTGVLLILTLAVLLLLLSPSPSTAPSPAATAAAGPAAHLLPTLPGLSRLYPPPANSTAHHSWRLLRPLLLRSDALPGTAAGVLEAADAWRNLTLAASASAAGGKDGRRQGDLDVSCRSSVEGDLGGVGARGVKIPCGLAEGSAVTVVGVPKAGAAWFRVEMVGGGGEVVVSVNASLGVAEMVVEQSSWTREEGWGLSERCPPVGDADRNGSSLLSLVDGLVRCNQQAGVSGLQGRNNTMANVTANEHENEKRPKGRANFGGSFSIIEGEPFTATLWAGAEGFHLTVNGRHETSFAYRERLEPWSVAEVKVSGDLELLSVLANGLPVSEEVDMASVELMKAPPLSKKRVFLLIGVFSTGNNFKRRMALRRTWMQYEAVRSGEVAVRFFTGLHKNEQVNMEILKEAQMYGDIQFMPFVDYYTLITLKTIAICMFGTKVVPAKYIMKTDDDAFVRIDEVISSLKKSDPHGLLYGLISFQSSPHRNKDSKWFISPKEWPVEAYPPWAHGPGYIVSRDIAKFIVHGHQERTLQLFKLEDVAMGIWIQQYKNSGQKVNYVNDDRFYSEGCDSDYVLAHYQSPRLMMCLWEKLQKEYQPVMANLSCKKCALDLFLSSQFWKDLGNSDGQDGGMVDWGMVGK >OGLUM01G15490.1 pep chromosome:ALNU02000000:1:14189093:14190599:-1 gene:OGLUM01G15490 transcript:OGLUM01G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVYVATKSARRRWRPLHPRRYAKPLIFHDGRIAFRPTAAATLAMFMWLPLGAPLAILRTAVFLLLPFSISVPLLAALGMHSRRISSSSLPPAAAAAHNLFVCNHRSLVDPLYVSAATGRADLAAATYSISRLSEILAPIRTFRLTRDRATDRAAMQAHLSLPGARGGGGGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSAVSMFHGTTAGGRKLLDPLYLLMNPTPAYVVQLLDPVAVEGEGGGGGPELANEVQRRIAEALGYTCTALTRRDKYLALTGNDGGVDRRAATTIKRIIKH >OGLUM01G15500.1 pep chromosome:ALNU02000000:1:14199677:14201480:-1 gene:OGLUM01G15500 transcript:OGLUM01G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFTKSLLMYFNKIVRSLRPLVHLPPPPPAAAPPANNIVRWPSDDELLPAPAPDTTTTSVTTVCKVEGGLLMSPSTFPYFMLVALEAGGGGGLLRGLLLLLLYPALRLLGHDRATRVMAMVSFAGVRKDGFRLGSSALPRLLLEDVSAEVFEAAAVRRRGRRRRCVCVSGMPREMVEPFLREYLGVDAVVAPEVRAFGGYYLGLMESDGEVLRRLDMEEVIGGGEEEMTCGDGDGRVVVGIGGRGRSFSRIFQKYCKCDTATMDRSQATWGSLPSLAHVTLGKIEGDGTRSPLSPDGPPLLASVANR >OGLUM01G15510.1 pep chromosome:ALNU02000000:1:14243278:14246034:1 gene:OGLUM01G15510 transcript:OGLUM01G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTASAAARRSTRPPSTTTTLRCRAAAVAVSMVLLLLSSCLPCCSEARLHYHRRQHRRAPHRGHHRAAAAATNGGSHISQPPAALPPDFDGGESPAETPGLPPAGVEDAPPRRSPREKPCPTTQPPVKPPEELSPVGAPRTRVRAMPPSPSPSPAKAPSHSHAKTPSMPPAERPVLPPTKAPAAISPARPPQLSPAKAHSTHHHAKPPSLPPAEPPVPSPSPEHPPRHSPSKPPAYAPAKPPTALRPAIPPAATMPKPPSVAPAQPPRRPPAPATKPPPSFPPQLAPTMPPPAHAPAETPAPPTTQPALPPATTAPSPKNSSSPPPPPCTGGGGGISNVFDVRAFGATGNGSSADGDTRAFRAAWKAACSAESATVLVPSDGVFTITSTIFAGPCKPGLTFQIDGVLMPPDGPASWPAADSRRQWIVFYRADGMTLSGKGTIEGNGEEWWNLPCKPHRGPNGSTLPGPCESPALIKFVASSDVAVQGLRMENSPQFHLKFDGCSRVLVDGLVVSSPASSPNTDGVHIENTSSVRILNSRISNGDDCVSIGGGCSGVRVENVTCVHGHGISIGGLGARGARACVSNVTVRGARVVDSDNGVRIKTWQGGAGSVSGVVFDAVQMVNVRGCIVIDQYYCDAHGGAGAGCANQTAAVRVDGVAYRGIRGTYNPRGGGGAPVRFACSDTVACTGITMTDVELLPAGGGDEGGGASAGAKLADPYCWNAYGVMETLTQPPVHCLQEGRPESLQDQLASC >OGLUM01G15520.1 pep chromosome:ALNU02000000:1:14246757:14250988:-1 gene:OGLUM01G15520 transcript:OGLUM01G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAETSEASTAGLALAEANINWQRLDKTRFHVIGAILFTAQQGVLHPTAVVKTRMQVAEGGLSHMSGFSVFRRILRSDGIPGAFRGFGTSAVGALPGRVFALTSLEVSKEMAFKYSEHFDMSEASRIAVANGIAGLVSSIFSSAYFVPLDVICQRLMAQGLPGMATYRGPFDVISKVVRTEGLRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGIDSQKKPSQSELVVVQATAGTIAGACSSIITTPIDTIKTRLQVMDNYGRGRPSVMKTTRVLLEEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKPE >OGLUM01G15530.1 pep chromosome:ALNU02000000:1:14257095:14262992:1 gene:OGLUM01G15530 transcript:OGLUM01G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMTPEQIYGQNVYVPATANPYPYGYTEVGSPTEWYNNQSSLGYDGQDIYFPGFQTEGTQCMYYAAPDNGSVHPSYSPYPINSSFIVDGSYLPLEYVGDAADQTCQIVPSPYYVPTILPYAHDNVLGNTTAPLHPPVYVPTLPSYTVTSTNHALPSVPPVATKNDIIANPPIQSTIVSSKQFLDHASDPKVQLRNPIPLKKELADGSMMPVKYPHTSQKFLISLSELTNLVLNDQAFSNFPERRSAAKHSPQEKISVNNGSGFVGSNVQRWAAAEKFEPNSNLSGRIGSASPKMKLSNVDGLGGADKPCGQKSSAIIAKSYTSRLSVGDPEGTIVIRCNQYNSDDLRVDYPFAKFFVIKSIGEDDVHKSIKYGVWSSSSSGNSKLDIAFKDANRIAKRNSTKCPVFLFFSVNGSGLFCGMAEMVGPVDFHKDMDFWCQDKWTGSFPNNENKPVTHSRDTQEIPYVPGISMLKILKAIKVKECLLDDFMKYEEDEARSKHYFRRSKLSHNAPDFVPVAQRRKDVTDVRQPKSGNVLIDRTPGIQNMSVKPQGSNAIKPQDQCLQVVEKQASDDGKENRHQENRNVRQANDKVVKTGTKQPQASTVKTSVDGKQQYWKKVEFPRQNPNSAVHGSLKAHEKHLNESKAPEKHSNGANCRSATVSLKTAREETIVARVSSLAISSQNSSVDVVKIGSMPVLVNKANV >OGLUM01G15540.1 pep chromosome:ALNU02000000:1:14266254:14269811:1 gene:OGLUM01G15540 transcript:OGLUM01G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLVTAGGGGGGKVMSLRLQYYVVLGGVAAVVLLACLRYTPTAAAVAAVGYGFWGNGDGASLAAAGAAATTVGGTGTSAAATTGGGGAGGGRSPSRVVIFNFGDSNSDTGGMAAAMGLNIALPEGRTYFRRPTGRISDGRLVIDFICESLNTPHLSPYLKSLGSDFSNGVNFAIGGSTATPGGSTFSLDALYGHGGRKFWVHGTGALGCLPQKLSIPRDDDSDLDGNGCLKTYNAAAREFNAQLGAACRRLRQRMADAAVVFTDVYAAKYDLVANHTLHGIERPLMACCGNGGPPYNYNHFKMCMSAEMELCDMGARFASWDGVHYTEAANAIVAARVLTGEYSTPPVRFASLVNSTAVPNDG >OGLUM01G15550.1 pep chromosome:ALNU02000000:1:14271662:14271964:-1 gene:OGLUM01G15550 transcript:OGLUM01G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVEGTDSTVTVLGTTRSAFTIVKKRVVEVKEVDPAAAATTTAAATMTTTEPQEGSSGSNPLPSSRSGRQWLGARVVDPEARDGGVATGGGARSQEAGG >OGLUM01G15560.1 pep chromosome:ALNU02000000:1:14274145:14277479:1 gene:OGLUM01G15560 transcript:OGLUM01G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMHPGESLNIGYLSPYLKALGSDYSNGANFAIAGSATLPRDTLFSLHIQVKQFLFFRDRSLELISQGLPGPVDAEGFRNALYMIDIGQNDVNALLSYLSYDQVVARFPPILDEIKDAIQTLYDNGSRNFWVHGTGALGCLPQKLSIPRKNDSDLDSNGCLKTYNRAAVTFNAALGSLCDQLSTQMKDATIVYTDLFPLKYDLIANRTKYGFDKPLMTCCGYGGPPYNYNITIGCQDKNASVCDDGSKFVSWDGVHLTEAANAIVAKGILSSDYSRPKIKFDQFCKV >OGLUM01G15570.1 pep chromosome:ALNU02000000:1:14277697:14278859:-1 gene:OGLUM01G15570 transcript:OGLUM01G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNIAVVVLLVCTFMALQAMAADAYYDNNGGGGGDDSVTMQMFEEWMAKFGKTYKCHGEKEHRFAVFRDNVRFIRSYRPEATYDSAVRINQFADLTNGEFVATYTGVKPPPPATHPHPHPEEAPRPVDPIWMPCCIDWRFKGAVTGVKDQGACGSSWAFAAVAAMEGLMKIRTGQLTPLSEQELVDCVDGGRDSDGCGGGHTDAAFQLVVDKGGITAESEYRYEGYKGRCRVDDMLFNHAARVGGYRAVPPGDERQLATAVARQPVTAYVDASGPAFQFYGSGVFPGPRGTAAPKPNHAVTLVGYCQDGASGKKYWIAKNSWGKTWGQQGYILLEKDVASPHGTCGLAVSPFYPTV >OGLUM01G15580.1 pep chromosome:ALNU02000000:1:14283854:14285112:1 gene:OGLUM01G15580 transcript:OGLUM01G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMFSQWMSKYSKRYSCPEEQEKRYQVWKANTDFIGAFRSQTEISSGVGAFAPQTVTDSFVGMNLFGDLASGEFVRQFTGFNATGFVAPPPSPSPIPPRSWLPCCVDWRSSGAVTGVKLQGSCASCWAFAAVAAIEGLHRIKTGELVSLSEQVIVDCDTGSNGCGGGRSDTALGLVASRGGVTSEERYPYAGARGGCDVGKLLSDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAPEFQFYKGGVYRGPCDPGRMNHAVTIVGYCENIGGDKYWIAKNSWSSDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTA >OGLUM01G15590.1 pep chromosome:ALNU02000000:1:14289031:14289555:1 gene:OGLUM01G15590 transcript:OGLUM01G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAFAWAFDAQQVFMSVFTDAEPPWHCTGVVDAVAAAAGDSGSSCSSPAASASPCVLPPGTWEWDRPAETSVALNCGGGGPALVSLPASSFFTGNLVVVLPYSLICAPMCDRGCESRMCPCVGPVSAEEHQCALVLVHTQHSGWCKPKSQWTADVRSVCTHMCVHKPRV >OGLUM01G15600.1 pep chromosome:ALNU02000000:1:14290472:14303815:1 gene:OGLUM01G15600 transcript:OGLUM01G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATTTPLLTSHEAEPSIDDVIEAYIGATGARQLLKAMLLAFAWAFDAQQQVFMSVFTDAEPPWHCTGVVDAAAAAAADSGSSCSPPAASASPCALPPGTWEWDRPAETSVVSDWALNCGPALVSLPASSFFAGNLAGGFLLATLADTHLGRRKMLLLSLVTMSVAAALTAFSPNVWVYSALRFVSGFGRSMVGTSAMVLSTELVGKRWRNTVSAAGFVFFSVGFVSLPALAYTFREASWRNMYVWTSLPSLCYAVLLYLLVQESPRWLLVRGRKQEAIEAVRQIASLNGGGGGITTSSFSMLDACAVELGDGGEGMFATLHSIWERRWALRRLAAITAASFGVGMVYYGMPLNVGSLSPSNLYLSVAYNAVAELPSSILAWLLMGRWFNRRGSVVALTTASGLCSLAACVPAVVLPDGARMAAEVASFFASCTAYDMMLIYTIELFPTSVRNSAVGLVRQAVALGGVVAPVLVALGRETTSYWSSSFGVFGLAVGCLGLLVTCLPETRRRRLSDTMEEEEAAVLSSSGASDMDNNGELV >OGLUM01G15610.1 pep chromosome:ALNU02000000:1:14313713:14316860:1 gene:OGLUM01G15610 transcript:OGLUM01G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVVAPMDRVRWGIRHRPPTTGLTASAVAMACRLAVCSASALVPLRHRLLPLVCAARRDPRHRDHIALSAPRSHRPATRAGAGAAVAGESAVWGRAPPNADAVGAIGEVGGDAKEDIVGEILPPPNSPCPCCRLRSLPCTRLHRSSPSPVITAPATKREKANSAYRMQFVGCIQDNRSDFFWKAKVENKCKFFCWLMIHRKILTADKLQLRGWDNSHICPLCGVEPETATHLLMECAFAK >OGLUM01G15620.1 pep chromosome:ALNU02000000:1:14316897:14317403:1 gene:OGLUM01G15620 transcript:OGLUM01G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASTFDGDMLGWWLACRREMAKEHRRNVDGLFIYTTWGIWLQRNARIFNGTYSTVMQVVDSIIAMCKAYIGAHVLDEKKMKQSVGSICSHV >OGLUM01G15630.1 pep chromosome:ALNU02000000:1:14317407:14317682:1 gene:OGLUM01G15630 transcript:OGLUM01G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYAAPSSSPAMQPPGEVVCIDHVGEVPHGLRHVNVRRPVRAAKLQRKRGSTWLRVWWRPSSGMGRGARWKMASLMSPLWNLAEQASSQG >OGLUM01G15640.1 pep chromosome:ALNU02000000:1:14330170:14336073:1 gene:OGLUM01G15640 transcript:OGLUM01G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGVVVAVVAVVAVMMAVAVAAGEVNDDGGDQPSPSPSPSPSASCARRPVVFAFGDSNTDTGGIAAGMGYYFPLPEGRAFFRRATGRLCDGRLVIDHLCESLNMSYLSPYLEPLGTDFANGANFAISGAATAPRNAAFSLHIQVQQFIHFKQRSLELASRGEAVPVDADGFRNALYLIDIGQNDLSAAFSAGGLPYDDVVRQSLYYNGAKNLWIHGTGPLGCLPQKLAVPRADDGDLDPSGCLKTLNAGAYEFNSQLSSICDQLSSQLRGATIVFTDILAIKYDLIANHSSYGFEEPLMACCGHGGPPYNYDFNVSCLGAGYRVCEDGSKFVSWDGVHYTDAANAVVAGKILSADYSRPKLPFSYFCSA >OGLUM01G15650.1 pep chromosome:ALNU02000000:1:14361485:14366286:1 gene:OGLUM01G15650 transcript:OGLUM01G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7S8] MDQHQMVGENYANPKTCFFHVLFKAGALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSVWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLHADYLLVVGVCLSLSIANIVGFTKCNKDAKKNVADWTRTTLLSSGVRSTIQSAFGV >OGLUM01G15660.1 pep chromosome:ALNU02000000:1:14371631:14372020:-1 gene:OGLUM01G15660 transcript:OGLUM01G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFKMLQRWQRTAGRRRRLLLAFGLSKGGGKRFKDHLRMLHVRGIVPKLTREQGIEGMSRRSDLRKKGLISSMAVPRIDSSGRPPSGMPAMLLAQWLGQQWLESTGMAKRRTAAPWTPRTPTSASSCA >OGLUM01G15670.1 pep chromosome:ALNU02000000:1:14376470:14380543:1 gene:OGLUM01G15670 transcript:OGLUM01G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQTPMGLAAAAAAAVRPCRRRLLSSATAAAAATVATATPLFPRCPHPHHHLHGRRLPFLASAASQQQQQSGQTAASPATPPIPSDPRAAVSGNLPFFDRVLFPDTFPVETPPPSSAAASAAAAADEEVASALKAREETEAEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRAVPLDDNNEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYCKITGDNALQERVDVQTGIKLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVMNDGSKNLLRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAITSSLTTPKQAEGILSLIDEKWDDLIANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARRAIAVAEEKLAADKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRTRCSRRAAKSHVVE >OGLUM01G15680.1 pep chromosome:ALNU02000000:1:14381742:14390906:-1 gene:OGLUM01G15680 transcript:OGLUM01G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAQQHKCRSSIRNSHSSNIKAMVVPAAAAPECGRREEAVAAAVVFCRRGRGVVVPTVDMSAPAGRGELSRQVARACAGSGFFRAVNHGVPPRVSAAMDAAAAAFFARAGAEKQLAGPPDPLGYGSRSIGANGDVGELEYLILHASPDAVARKASAIDREDPRRFSQVVNDYVEAVRQLACHVLDLLGEGLGLRDPTSLTRLITATDNDSLIRINHYPPSCAAAAGDHKSGGGPAPTAAIGFGEHTDPQILSVLRANDADGLQLLLPDAAAAGDSVWVPVPPDPSAFFVNPRLSTIYFAAPPLHARISALPETVAAGAPRRYRAFTWAEYKRTMYTLRLSHNRLDLFHAGDGDGDAGVGDDDDHE >OGLUM01G15690.1 pep chromosome:ALNU02000000:1:14407003:14409616:1 gene:OGLUM01G15690 transcript:OGLUM01G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7T2] MATEAAATARWLLLPLLSPLQSPHKQQQLIFAALLLLFFSSLQSLHCNAASGYNEQQEADRVAFLPGQPSSPKVSQFSGYITVNRQNGRALFYWFFEAQALPSQKPLLLWLNGGPGCSSVGYGAASELGPLRVSRNGAGLEFNKFAWNKEANLLFLESPVGVGFSYTNTSSDLTKLNDGFVAEDAYNFLVNWLDRFPQYKDHEFYISGESYAGHYVPQLADLVYERNKDKKANRYIKLKGFIVGNPLTDDQYDSKGLVEYAWSHAVVSDGIYERVNKVCNFKISNWTSDCNEAMSNIFRQYQEIDIYNIYAPKCNLAQTSRVAAFDHALEASDQEQFSRRIRMFSGYDACYSSYAEKYFNKPDVQKAFHANGNGMLPGKWKVCRIGLNFFH >OGLUM01G15700.1 pep chromosome:ALNU02000000:1:14421820:14423241:1 gene:OGLUM01G15700 transcript:OGLUM01G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGLAIKRDWQTWYLNRQVAGRFVEYDGMIMVTIRGAGHLVPLNKPEEGLALIDTFLLGKQLLTHR >OGLUM01G15710.1 pep chromosome:ALNU02000000:1:14423095:14426575:-1 gene:OGLUM01G15710 transcript:OGLUM01G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7T4] MASCASPRLKSLCHHPLFIILLALSLLQTITAEDEQEADRVAFLPGQPRSPQVSQFSGYITVNSQNGRALFYWFFEAQALPSKKPLLLWLNGGPGCSSVGYGAASELGPLMVNGNGTGLEFNKFAWNNEANLLFLESPVGVGFSYTNTSSDLDNIDDRFVAEDTYNFLVNWFKRFPQYKNHDFYISGESYAGHYVPQLADVVYERNKHVETNQHMHLKGFIVGNAETDDYYDYKGLVEFAWSHSVISDQLYKHVNNVCDFRLSPRSNECNHVMGSIYDQYDMIDIFNVYAPKCNTDDSSLFSTSYSTADMNAKKRLKGTRMYSGYDPCYSSHIEDYMNKMDVQKSLHANTSGLIKDRKWSICSYSIFDNYDITVFSVLPIYSKLIKAGLRIWVYSGDVDGRVPVIGSRYCVEALGLPVKSQWQPWYLNNQVAGRFVEYQGLTMATVRGAGHAVPQDKPEQALVVINSFLSGRRLPTKSNR >OGLUM01G15720.1 pep chromosome:ALNU02000000:1:14429632:14432498:-1 gene:OGLUM01G15720 transcript:OGLUM01G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAVEVEEGDERAAELARKKAAAAAAAAAKKAEEAAVEEEEVVNGEEEEEEVEEEAVDGEEGDGDEEGDGEEEGDEEEDAEEGEKGAAGHTVVEISDEEDEEDGEEGEGGDDDDDDDDDDDDDDDDEEEEEEVEGDEQEELGTEYLVKPLGDAEDEEHSSDFEPDENGEGAEDEEIDEDDDGDDDSAKAQSSSKRKRSGGDDEDDDDDDDDGDDDDDGRPSKR >OGLUM01G15730.1 pep chromosome:ALNU02000000:1:14454343:14454881:1 gene:OGLUM01G15730 transcript:OGLUM01G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPWQLMAVAGEQWPTAVEAKALLWTSLPDASTLTSKEVFTWANSNNQRLLHVGDIDRTSKVESTGDGDYDGCLLRNVELMSIPHRYILP >OGLUM01G15740.1 pep chromosome:ALNU02000000:1:14458094:14460279:1 gene:OGLUM01G15740 transcript:OGLUM01G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTDDFVHAGIITAAVFAVLLVALSTYGRRFRHPAIRFVMLGACTIFLPLTSSIISVLLRRSTESKCDGTAPAKGKSNPDIQNMWTLLLWIALIILIKGNADVASAGVAMSAAFPASGDVSIDGQRVRPPLELLAQYAWLAYLIYLCIPVAGWLGIVNKAIFIAFCVLGLAKMALKLAAFWSASFFFALGKNARLISGYMAQLDEDGGGNHGGVPRYIVAGEKKEHVEENPKGYRIKGDALTNKKSDLVTLDKVWQMAAEDRNGLLARRPELRDLCLSYSLFKSLRRRLSGYPLADAGSTNALEFVLGGMGLGGGGAERLFRVLIDELWFASDFYYSPISLSSFSGWCAVLNHLFSALIVVGAVTVGWIYRTKQVVIFDGSQAFYYIVTFVLLLSVVFIEIWEIVADVHDSPWRRFRFVHSALDAVLRRFRPARRWREKIGQNSVLEPRRFRKRNGLLAEKFYGRAGLMESVGVSLRVIEAMHRSFRNIYDLQTNEPAGDAANRPVGGNADRVRYSSLRRFQFEFDTITDKILAWHVATRLFEIAHARTSPDNKIVACHLCSYYCGYLVAAVPELLPDCPAWTQKRYKKVATDVRTALGSHGNTPERYRYDELLRKLSSGSRDEVLQRGVVIVGRLVKEFDKGEGDGVDADMAWQFLANFWSEMVLYVASSENVKGHVEAMGRGGEFVTLLWALLLHAGITTRPAAQSNIIP >OGLUM01G15750.1 pep chromosome:ALNU02000000:1:14461639:14463901:1 gene:OGLUM01G15750 transcript:OGLUM01G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTCHVYIVAGEKNEHVEENPQGYRIKRDVLADKYGDLVTLDRVADGDSNGRLPRVLIDELWFARLDN >OGLUM01G15760.1 pep chromosome:ALNU02000000:1:14473677:14476010:1 gene:OGLUM01G15760 transcript:OGLUM01G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSQPAGRTGNIEAIFDFINSEIVTAAVLAVLAALVVALSTYGRRCRHPALRFLVWGVSTVFLLLSTSIISELLESAKGKTCEKKPPMVVPGSENPVSQCMWMVLLWVVLILIIKGNADTAASAVHMVAASPASGDVSIDGHKVRPPVEHLFKYCWLFYLVAKCIPVAQKGNWWKPREIAIFVAFCVVAFAKVVIKLVADWRASSSFAVGKNARLVSGYMAQLVEHRDEVGGGEDVPRYIVMGEKREHVEENPHGYRIKRHVLDDRFSGLVTLDRVWRLAEHGDGDGLLSKRRELRDLCLSFSLFKNLRRRLSGYPLAEEGSGEPLDFVLRGMDGVGDVVGAVADRVFRVLVDELWFASDFYYSCLPLCSFSGLCAALNHLCSVLIVAGAATVGRIYIDRKVIVFKVLDNPQVPDVKQDGRPYYAITMVLLLVVVLGEIWEIVAGVCSNWTKMALLGHYIRHEPQWRRCRRAHAVLDAVLRFRPARRWRNKIGQNSVLEPRRFCRRSGLLSEKLYGRAGLMRSVEVSPAVRDTVVRSLMSSYGRSSRGSVSAAERRVGGKVDWLWYGSRKSWASDDGDGCVSTTDIILAWHVATRLYEMRCSLHASSPTPSASSSDMTAACHLSNYCAYLASAAPELLPDSAAWTEKRYREVTADVTAALGKDGAAGETTTAQRYERLVATLSAGARDKALRRGAEIARRLAEYTTVEEDDEASAWLFLADFWSEMMLYIAPSENVKGHVEAMARGGEFVTLLWALLLHAGITARPEAPSRIIP >OGLUM01G15770.1 pep chromosome:ALNU02000000:1:14476419:14481399:-1 gene:OGLUM01G15770 transcript:OGLUM01G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLAAGKPVSTKGVHVLEQAPLDDLANLSAKTLSSSKEGHGPWRSGDDPSCPLTFQEAGMLNENTSLHHWEHAREEQQKSGWS >OGLUM01G15770.2 pep chromosome:ALNU02000000:1:14476907:14481399:-1 gene:OGLUM01G15770 transcript:OGLUM01G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLAAGKPVSTKGVHVLEQAPLDDLANLSAKTLSSSKEGHGPWRSGASACTGGYLATVDKTEVTVELVTQDN >OGLUM01G15780.1 pep chromosome:ALNU02000000:1:14482826:14487598:1 gene:OGLUM01G15780 transcript:OGLUM01G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGALSGMVDALPAKLGDLLQQEYTLLSGARGDVGFLQSELGTMNAALLRCESLESPDVQTRAWVAQVRDLAYDIEDWIDLFAHRVDGGAATASPGAAAATTSSSSGGFLSRVRCCVNKVTTLPARHVIATELQELKNRVIELSKQRKRYRFDPPPGRAGGRSGAAAVDPRLVALYADTKSLVGLDAPVKKVSEMVIDGDKTELKVLSISGMPGAGKTTLAAAVHRRLKEENKFHCSAFVSIGQKPDIVGKTLKGILSQIGNVYGGGEDIGRLIGMLRDELKDKRYLIVIDDLWGRTEWSTLKCCFRDDNLGSRIMVTTRNDELAKECSSNSDESVYKTGLLSDVDSKDLFSNKAFGKGKDCPSHLKDLYDIIVERCGGLPLAISSLAGSLAHRFSKDEWERYESNLLPSSHSDELNLKQILNLSYNDLPSHLKSCMLYLSIFPNKYEIDVERLVRRWIAEGFIADARHASKEETARSYLTDLISRNLIQALHLRHNGTPSCCTLHPVIHDFIVVKSMEENFVTVLDAKKEALSTNNGTVRRLSLQNSVKQDLAGARNDLIKHARSVTVFGHANGVPRLNDMSVLRVLDLEGCNGPVCLDGLCKLILLRYLNLRGTDVSELPAQIGELRCLETLDVRSTKVKELPASIVRLEKLMHLLAGNAKLPGEISKMNGLLTLSCANVWKNTGSVLPELADLANLRELELFCDASEISGDNKTRVSFSSDGFKRLNQLSIQGSLPSVAFVNSSLRKVEVLELKFEKGISDGSNGVSGIEHLPSLKHVLIEFSQKDAGATATIASVRNAAEMVHPNHPYVSVKVDGKAI >OGLUM01G15790.1 pep chromosome:ALNU02000000:1:14518736:14519179:-1 gene:OGLUM01G15790 transcript:OGLUM01G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTAAAEATTMAALAVPPPPPRTCGSGPLGDDNTSVATVFGGGAARRAVEPLLSSGRGWEGREIEGEYEVVATCLSAAAPPCRHLQPPFTSPPHPASPATPAAVHASRPFHLHLLPSRHEHRVCADRLRARPSAAAYHPAPARCP >OGLUM01G15800.1 pep chromosome:ALNU02000000:1:14526297:14538270:-1 gene:OGLUM01G15800 transcript:OGLUM01G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSIAGSRGEAGSIVSRREKAGSMACHRWEAESVTDCCREARSVAGHRGEAGSVACHRGEARSVTDDREDDDGGPRARRRRRRRALGKAATTVVAATMTTGLGQGSGGGGGGSGGGGGGLSGEEKRLREEREGYDKNDEKGRESGISHKSDERLSARLSFIRSIGMVPRSSSLARSLDLHRKAESLLPQSIQKESVSPAASGACASRALSPMAKLSCVSLSFRFPSHPLTRFPAVHDWRPASGRARRLAGSSKAMGRLVAAPDEEAAQRRD >OGLUM01G15810.1 pep chromosome:ALNU02000000:1:14540324:14542641:1 gene:OGLUM01G15810 transcript:OGLUM01G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQTIKQLSDISGQMIGAAVLAVLATVVVALSTYGRRCRHPVIRSVVWVASTVFLLLSTSIISYLLNGGNCSNNGSGGDRRQGNVMTKPDKPDIKKMWIILLWSALILIIKGKSDMSASAVKLASSSPSSSSSDVSVNGGQKVRPPVECLLKYIWLIYLIALLLSLFASWRASSSFAAGLNARLVSGYMAQLVEDGDDEVAGLGHAHNQVPRYIVTGEKKEHVAEGARGYRIKRDALDDESSSLVTLDRVWRMAEHGDVNGLLAKRPELRDLCLSFSLFKSLRRRLSGYPLADAGSTMALEFVLRGMNNVGSAVAVDADRVFRVLVDELSFASDFYFASLPLCTYTGWCAALYYIFSVLIVVGAIAVGRIYQVKNVITFIEGEKGNFVVTIGLLVAVVFIEVWDTVAGVCSNWTKMALLGHYIRHEPLWRRCRCAHAVLDAVLRFRPARRWRDKIGQNSVLEPRRFCRRSGLLSTKLYGCSGLIRSVEVSPAVKDAVLRSLMSGYGRLNGGSAAERRVGGKVDLLWYGSRKRWANDGGDCISTTDIILSWHVATRLHEMRCSQQASAAASSSDMTVACHLSYYCAYLVAAAPELLPDSLAWTKKRYKEVSADVVSALGKDGAAGERTAQRYEFFIRNLSTGARDKVLRRGVEIARRLEEECIAEDEASAWLFLSDFWSEMMLYVAPSENIKGHVEAMARGGEFVTLVWALLLHAGVTTRPEAPSTIIPYSLRFKLLFILDMC >OGLUM01G15820.1 pep chromosome:ALNU02000000:1:14551703:14558295:1 gene:OGLUM01G15820 transcript:OGLUM01G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADHMEKTVPTVVPARPPNSEGERKSTNFHPSLWGDFFLNYEPPTAPQQACMKGRAEVLREEVRTLLKGLKEVQKILDITMAIQRLGLDMYYVNEINELLHFVYSSDYNDKDLNLVSLRFYLLRKNGYNMSSGIFLSFKDNEGNFIVDDTRSLLNLYNAASLRVYGEKVLDEAATFTISRLEGVLESSDSILSTEVSFALEAPIFRRARIVEMRNYIPIYEIEATRNETILEFAKLNFNLLQLLYCEELNKITLWWKELKVKSNLSFSRDRIVEMYFWMNGALYEPHYSHSRIILTRVTAFMTIIDDIFDTYGTTEESMLLAEAINRWDESTIGLLPEYIRGFYAYLLKTFDSFEEELGPEKRYRLKRLVQAYTKELKWRDEDYTPKTLEEHFEVSMRSSGGFTLAAASFVGMDDIATKDIFEWILSYPSLFKTFDIFVRLSNDIVSNKREQTGDHYASTIQCYMKEHGTTIHDTYQRLRELIEDSWKDMVEHCTNPIDDQPLIVPQTVVNFARTVTTMYTHGDAFTSSHTIKEMISSIYVVPIQV >OGLUM01G15830.1 pep chromosome:ALNU02000000:1:14601019:14610259:1 gene:OGLUM01G15830 transcript:OGLUM01G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAADAVIPACAAVGIAFAVWQWLLVSRVKVSPYSAAAAAARNGGAGRAVFRPEGEVDDDDGGCGDDEEADGDGGVAAMARCAEIQSAIRVGANSFLFTQYKYLAAFTAVFAVVIFLFLGSVHRFSTESQPCQYTRGKACKPALANAVFSTIAFLLGAATSVASGFLGMRIATAANARTTVEARRGIGPAFAAAFRSGAVMGFLLASLGLLVLYVAIKVFGLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHNFAAVSYPLLISSAGLIVCLITTLFATDLYRVKTVDGVAPALKLQLLISTVLMTVGVLVVTFTALPHEFTMFDFGEVKRVKNWHLFFCVTIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCRTGAATNVIFGLALGYKSVIVPVFAIAVSIYVSFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSHRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGMAVINVLSPKVFVGLVVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFAAIPGLMEGRATPDYASCVRISTDASLREMMPPGALVLLAPLVAGTFFGVQTLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGASDHAKALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >OGLUM01G15840.1 pep chromosome:ALNU02000000:1:14609829:14625855:-1 gene:OGLUM01G15840 transcript:OGLUM01G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDQGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNGFIADESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDCKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSVLA >OGLUM01G15840.2 pep chromosome:ALNU02000000:1:14609829:14625855:-1 gene:OGLUM01G15840 transcript:OGLUM01G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQERREAEGGGGGGEAAAANTSGMQRVKVYRLTDGGKWDDQGTGHVNIDFLEDSKELGLTVLDEEDNETLLMHNITSEDIYRKQEDTIISWRDPEVATELALSFQEAAGCSYIWDNICDIQRNIQFNNLGALEVGPRPTSVSLEASRVLHSNDESFRSVNGELRELPPVELSNLPLILKTILEGGITDQMRVAELITQDREFFPKLLDIFRICEDLENLDDLHMIFKLVRGIILLNSSSIFDKIFSDEFILDIIGALEYDPDVPKVQKHRAFLKDHVVFKEDVILPRVLDDGTLASLNTMIHSNNAAVISLLKDDSCFIQELFARMRLSNISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFDIISDVLQSQDRKIVSAGTDVLILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTDFGEAMHCQFLEILRILMDSFTMSGAHRDAVIEIFYEKHLDYLVDVIASSCPPRNISRASNSAGNTETEVNRTKPEILLNVPLSNQQCNRENPCLDSTEGEDDFLIRHVVKMNLFKPIIDAFVENGDRYNMLQSGVLELLEYIRKEGLKQLIIYANESFWDQLMKFEHFGSIQAFRLKYQQYLESAETKLSANVPDIRKKAEERGLEKEEEDYFNEDSDEEDSVRRTKHAQKQDGGAKLTNGSEADDVSSRPKSGGLVDYADDDDEDFNPPPKEPDRPEEDDEPLTIAKLKRKLMNSKVDCKQSDGEFHKRQKIETRITSVKIGASTNLVSKRMDDLEKQEPRSPASSSSGSEANGVFGEHSVHSEEHQRSADNTEASRQAGGDCVNAMGNLSTEKSVNTTNTNDSEPYSVLA >OGLUM01G15850.1 pep chromosome:ALNU02000000:1:14628433:14634527:-1 gene:OGLUM01G15850 transcript:OGLUM01G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHDSHEEETRGRGAEVASEGGGGRWSPYDLGAQSGRTSRPPPGPAMYRSELNGGKEIEKQIQSKYNSNLEDSRCVGGRTVQSYEPWRTAVGVPAHGGDAVDSECAAPCRARHAKRLLELPSPRRGRNRPEQPASILQVARRRRQVCLGRAAAQPSLVAAALRRRAVACADTPGRCLADVLASPPSGRAVTHAICAASPSPPDPSHHGAGPSADVRSPQRPRRLDRVGRRLVVPPLLPHPPMHHFSTAPIAMPPHDVDATPSRPAAPPRSAATQSPRAISALPLLRVRIREERERAGRDETAREREDRPPRTAAAGFTASGEGGGCGGDGGSDEMGSTTAMGDCSAAAMGGWRSRRDKRRVN >OGLUM01G15860.1 pep chromosome:ALNU02000000:1:14628689:14632204:1 gene:OGLUM01G15860 transcript:OGLUM01G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDGCPPWLIRLHRPASNTTAVLLESR >OGLUM01G15860.2 pep chromosome:ALNU02000000:1:14628689:14632204:1 gene:OGLUM01G15860 transcript:OGLUM01G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDGCPPWLIRLHRPASNTTAVLLESR >OGLUM01G15860.3 pep chromosome:ALNU02000000:1:14628689:14632206:1 gene:OGLUM01G15860 transcript:OGLUM01G15860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDGCPPWLIRLHRPASNTTAVLLESR >OGLUM01G15870.1 pep chromosome:ALNU02000000:1:14636215:14640449:1 gene:OGLUM01G15870 transcript:OGLUM01G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTAISLSAAATAAAAVGGARPGAIRPAELRFCGLRREALGLRSLRAPPRAAATPRKAAAATGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQDEHHMKSLGLQVSTAGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGFGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPNGIEIDG >OGLUM01G15880.1 pep chromosome:ALNU02000000:1:14660785:14672157:1 gene:OGLUM01G15880 transcript:OGLUM01G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVSLGESNVRPLEVFMCSVVRKMGYGDGFKWVSQYINFSFLNIPYGLLGTSILSMHPALVTFVEALDQLMPGFDPEIAKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENVNVVTQRGFVPVDERMQVMDADGNAVPNLYCIGDANGKLMLAHAASAQGISVVERISGKDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTHVQDIKFAVHAHPTLSEVLDELFKAAKVNAGVPHSVNEPVAA >OGLUM01G15890.1 pep chromosome:ALNU02000000:1:14681612:14685235:1 gene:OGLUM01G15890 transcript:OGLUM01G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) TAIR;Acc:AT1G02680] MQNPGGHHASPASAAKSKSSTAAAASGSGQGSSHHHHHHHSGGGGGADSSATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >OGLUM01G15890.2 pep chromosome:ALNU02000000:1:14681612:14685389:1 gene:OGLUM01G15890 transcript:OGLUM01G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 13 [Source:Projected from Arabidopsis thaliana (AT1G02680) TAIR;Acc:AT1G02680] MQNPGGHHASPASAAKSKSSTAAAASGSGQGSSHHHHHHHSGGGGGADSSATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDVRKLHRATELLSMNEELKQARKAFDVNEETLATNNE >OGLUM01G15900.1 pep chromosome:ALNU02000000:1:14686490:14695611:1 gene:OGLUM01G15900 transcript:OGLUM01G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRGAKLQRAKEEITGGEKFQNLPRVQTQNKPNRSIVSYSYPKSSNVIEFLHRHTPPRKVHFTSFLTPTPASAARFPAAAAAAELAGEAALAEEWPAMGPPQQARGGGIDIEACARPIAVDHRIKLPYYFRIAGNLLRQAKIYRDENNLVDLYVILLRYSSLVCETIPKHRDYHTFKLREVDFFRLAPHNQSKLIEVLSELESLKPVVQRQITEHNRARGGAIESNSINGTIAVNNITKQHMTNPYTYQPFVGSNNGSFQRPVPGGNHQMAPLMNAQPDRLTRKQLANLPFPKEETLARHSILGPNGLHGQWTGPVTAIKVQYPSNLDLIKSDVSSLFPSVLNQDGQNGPSTISTDSTQIENDDMKSVLSLDDGRWSKLAEECASVPSVSLEEELSQLSIKQPSPPPVLAELERRPIAPSEVADPTPGLAVSETGRYQNLHVPVKLMECFLRVAEANTKRSLETCGVLAGTLKKRTFYVTALIIPKQKSTSDSCEATNEDELFDVQDKGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTRRKHGIFHLSDPGGMGVIHDCPERGFHPHKAPLDGSPIYEHCSHVYMNPDVKFDVIDLR >OGLUM01G15910.1 pep chromosome:ALNU02000000:1:14700318:14701448:1 gene:OGLUM01G15910 transcript:OGLUM01G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVSLGRRSVLGASFWRFAYFIDGDLRSFVWLGDAAAPAQGGGRKSTTTTLIPVGVDKAPNIDPNLYFVAITGIKVGSGETVNDKAAAIMTTDTIHFTLLNLCGELDRCYTVPARIEAYVAEGIAP >OGLUM01G15920.1 pep chromosome:ALNU02000000:1:14710027:14714017:-1 gene:OGLUM01G15920 transcript:OGLUM01G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTAAAPPLGIALVGPTRARRGGGLSLSSSSSSCCYLSMCGRGVLRGGCARMREPLPPAPIGLDRFLCGYMRRDGHEDGERSQGIEDSLMFGPDDDNGSNIPTQVETLVRGTATVATPEYKSIPDLDYLQELLAIQQQGPRAIGFFGTRNMGFMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >OGLUM01G15930.1 pep chromosome:ALNU02000000:1:14746608:14746967:1 gene:OGLUM01G15930 transcript:OGLUM01G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARPVHPLRVHGESIRGLLLLLLLFVVQCSLFSCCLAHAAAAADAVDRDDPVVTATAGRGRRFLPSPALQLHSVQVNVAAHPWSKERRRSRRRRRSAATLMAVSKHQVPTGANPDSN >OGLUM01G15940.1 pep chromosome:ALNU02000000:1:14747547:14749776:-1 gene:OGLUM01G15940 transcript:OGLUM01G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPLGGGGGDGNNNGKWKGKEKVVPEYGKNRHGMPVGCYFVPKDLELFAILRCKLVRSQLPGALNNVFEHIRILEFHPPASPPPLYLSLSPFINHQTYIGNEEDGYIYFFSRRQFVTKARNKRRPTRVAKGGTWKASGGSKTLRSKKVGGIDVGQKLTMVFYERRFEGDQNPIKTNWSMHEFTKIIDGSKNQEGGRRTGERCRRGVEHGRALHVVGTATSYATAAIAGHGRTIISNAATATAIAGHGRTIVINAATATAIAGLGRIVVGDVATTATAIAGPGRIVVCDVAACQQMPGMAGSSSAMPLPLSLPGLAGGMMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVAPPSPSTISWEAPQSSPTGWWPSPNGEPVQHDGYLGMAANPTSYMLEHPLPTAAIPPEPMTPPTSSPAPPPAVDNHHRLSPPHDAAGSNYNHPELAGYNGGVQAQHEHQHQPQELQPALLVDGEDGYGAIADGDGDTQLGVAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDDDKVVAAPMMIDGGGDGDGADGGDGGDPFDN >OGLUM01G15950.1 pep chromosome:ALNU02000000:1:14749546:14754044:1 gene:OGLUM01G15950 transcript:OGLUM01G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQSNAQFHVPHKSLSLDIYIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >OGLUM01G15950.2 pep chromosome:ALNU02000000:1:14749546:14754044:1 gene:OGLUM01G15950 transcript:OGLUM01G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMNSVQSNAQFHVPHKSLSLDIYIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >OGLUM01G15950.3 pep chromosome:ALNU02000000:1:14750049:14754044:1 gene:OGLUM01G15950 transcript:OGLUM01G15950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDSQPETTTAAAKDGELAGNKTDIVISKYEGSFMVMVTQIGCMGTILAARKDESVFSDPTYNVLFGKRDEATMKYIVSTIIENRLW >OGLUM01G15950.4 pep chromosome:ALNU02000000:1:14749254:14749863:1 gene:OGLUM01G15950 transcript:OGLUM01G15950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFWPTSIPPTFLLLNVLLPPDAFHVPPFATRVGRLLFLAFVTNCLLLKNGRVEFEDPDMLEDVVEGAGELAADELAPEDGEELQVLGDEVAADRHAVPVLAVLGHHLLLPLPLPIVVAIAAASS >OGLUM01G15960.1 pep chromosome:ALNU02000000:1:14750176:14754868:-1 gene:OGLUM01G15960 transcript:OGLUM01G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAKPMQAAAEPTRVVLHSLISSAEGLDPAATLLLAAVASTRGGCKRATARLLPRSIGRHERREEWRGNERQREGGHGQGMVEMVGREGKKMVGSTDGQWLRRLLRGIPAEFPNQEKFSREAGGTLDQSPSCAAAAEEGKLSASSRRRNPSLEGGD >OGLUM01G15970.1 pep chromosome:ALNU02000000:1:14757971:14762041:1 gene:OGLUM01G15970 transcript:OGLUM01G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRISPRKTLPLFAALALALALAFAAPAFADGDDVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVFIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSAEALAEFVNTEGGTNVKLATIPSSVVVLGPDNFDSIVLDENKDILVEFYAPWCGHCKHLAPIYEKLASVYKLDDGVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDTKGQLTSEAGRIASLDALAKEFLGAANDKRKEILSNMEEEVVKLSGSAAKHGKVYIAIAKKILDKGHDYTKKETERLERMLEKSISPSKADEFIIKKNVLSTFSS >OGLUM01G15980.1 pep chromosome:ALNU02000000:1:14763896:14765116:1 gene:OGLUM01G15980 transcript:OGLUM01G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKETVIKMAKELSVLCDVPVALVCAVGGAVEVWESEEGVLDRYRALPPEVRATRAHTHRGYLERELRARRAKLAKVREEGAFKSWGRDALSGIITAEEAPALLESIDAAIAAATARQEALALLDGGGLHLQHVPASASDAVAPVVGGHGVQVQYIGGSGGGGGSQQEMTPAADGDGARNADQYDDILPWDGNTFEAHNAHVMLPACGFQCTGDYRVDMDGYVWGAPDDANAYHGWPDEAMWCTDESCSCNAATATAVPAMYHPPTLDTVHGSFLAAPAQPLAFSTGADFINAPNDFLTVGVGGSFINVGDYSAQSLADEFHHLSDATNQLDQTHYPPFGGTGGAEPGDTQSHSWGDYYLAQSSANECQLLGVDGGDIHLDQTHCLGGAGGAEPGDTKSHNWGG >OGLUM01G15990.1 pep chromosome:ALNU02000000:1:14768055:14769278:1 gene:OGLUM01G15990 transcript:OGLUM01G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKIPIGLIAHRQKRAATYAKRKESLRKKAEELSTLCGVRVALVCAGPVVPGGGGGAAGKEEVWESEEGVLAEYRALPPEARAQHAHRVYLEEEVGKERAKLARVRQDGAFPSWDAALDGITADEARALLESIDAARAAANARREALGLPDDGNGVDDDGGLVLQQQQEHVPPGGSDAVVVPVGHGVLQYTGSGGGNQMQTTPAADGINCADLYGAVPWDDTFQPQVMRIGDHFVPMDGYLWQAPGNGWPDLATGCTNESCSCNAAAAAAAMPAMYPPTLDTVHGSFLAAPAQPIPIAFSTGTDFIDAPNDFLTMGLCGGFTNVGDYSAAQPQSSADGGFQLGDTFAAEPGDTQSQNWGSFINVVSDDSAQCNCNAAIHLDQMNYLFGGTGGGEPSDTQSRHWGS >OGLUM01G16000.1 pep chromosome:ALNU02000000:1:14770790:14771950:1 gene:OGLUM01G16000 transcript:OGLUM01G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAKTPMDLIPFPKKRAATFARRKETVMKMAKELSVLCDAQVAVVVGDPGGGAAEKAAWESEEGVLDRYRALPPEVRAKRSLTHREYLRGELRKQRAKLAKVREEGAFKPWDDALDGIAEEETRKLHKYLSDKIEAARARMEAMGLQLGDVDDNGVNGDDGSGLDLQQHVPPSASDAKEFESVPVVHGGQYIGSSSGGGGGGGGGDNQIQTTPAADGNSFVEQYVPHPWEWDHGTFPSQPQAVQPEHGIQYNAPMEGYPLQVPGNGLPDLAATGCIDAAAAAAAAATTAPARYPPTLDTGRHGSFLAAPRAQPLAFSTAGADFINAPNNFLTTGVSDYSAQSSGYGIGNQINNAKQLLYQMQMQYPVGGTGGADPSDTQSKNRGS >OGLUM01G16010.1 pep chromosome:ALNU02000000:1:14773862:14781407:-1 gene:OGLUM01G16010 transcript:OGLUM01G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLADLAARKLLHGASAQGVAAGMSLGLDVMLQLVADLFASLVHLFVLPFQATGHAIQWFFAGVVAGLGGAARLLVLPLETLGRWLQAAVAGIASAAPLLVLPFEAFWRWLRDAAAAALPYVLAIVAVVCVVALLWLSCTFLCSAAALIGPPLAGAAITCWALRLLFPAAVRTGQALVYAVCYAAKGVGVVLAWALPRCGRCCVVLVAMKAPGAAGMVISRGAFESLPQLYFLILRSAGAVFCARPVAWVFAAPLAMVVLADSAARKLLHGAAGARALAGDAAVGMSFGLDGLWQLIAGLFGGLIHLLVLPFQAPAGAIAHVFEALGHAIQWLLAGVVAGLGSLVHLLVMPFEMLWHGVQAAVAGIGHGFESLGHAIQVFFAGIVAGIGSAAHLLVLPFEMLWRGLQAAVAGIGHGFEGMWQGIQGFFASIVAWLGSAAHLFVLPFEAFWRWIQAAAAGISHGFDGMWHGIQGFFADAVAALVSVAHGLVLPSEALWRWLQTAASGIGSGFQGLWQSIQGLFASVITSLAGAAHDLVHPFQAFWKWIQTAVADAGAGISFGFDGFWQYIRSFFASVVATLAGAAHELVQPLEAFWKWLKTAAADAATGISFGFDGFWQNTRSFFASVLATLAGAAHELVQPLEAFWKWLKTAAAAAAADISFRLDGLWPLVKRLYASLLATLAGAAHDLAPRLESLWRWLRAAAAAALPYVLVIAAVLCVAALVWLSWPFLLQAAVQIGQALVSAVSSGAHYLFVPFGQCCVPLTMMRAPGAAGLMISRAAFESLPELYFQILRSAGRVVAAAVFRAPSIARACAAPVAALFGASS >OGLUM01G16020.1 pep chromosome:ALNU02000000:1:14786925:14788128:1 gene:OGLUM01G16020 transcript:OGLUM01G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAPLAAAARGPTGAGRLPTAAGRRMGRREGRRRRKXWRHRGARGDVVGGNGARARGDGDSGNGAEFMATVVAAACLRRQHVGWSTALAAVGCCGIEALAAKAAARSSWALSCCLTPQGRLPGESPVLSPLSPDGRRLRRRFGTPSCRAISSVFLFWPSGGWSRLAEAGPVLASSWFLVTCVGAVGVWGVVYFFSWL >OGLUM01G16030.1 pep chromosome:ALNU02000000:1:14790476:14792725:-1 gene:OGLUM01G16030 transcript:OGLUM01G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLASQATRLVLLCFENKEDGQPQEYSSIQSPSPVATSNREHTIPNWVPQIEMTFSSVVEAQQFSNHYGGQIGFDNTSKLDGKATSAGFVCNKERFRTNDKRDHLIKTPRAVTNCMQSSYGSYPKSSARKNMRYMIWFLSIIKYFKPLKPVTCCHHNDG >OGLUM01G16040.1 pep chromosome:ALNU02000000:1:14813189:14819147:1 gene:OGLUM01G16040 transcript:OGLUM01G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSARRHHPPPFHPPPRRRLSGCRQFKTAAARTAAAGLLPPWRRWMAGDQAAPRRWSAAQIWTSMAGSGRGEAGERRWWRWRGGTLRERRGPDGGGGSGGGKAAGRARWQGRCGGCSCEVVSPCVGERGAPAQQGGGAPFSGEDGGAEPAGVTGRRQGEGGGGGVEVPTVARLSCTRAAGGATKLGNDDALQFLYKHHSEVEAAVSLGR >OGLUM01G16050.1 pep chromosome:ALNU02000000:1:14835116:14835970:1 gene:OGLUM01G16050 transcript:OGLUM01G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIKLPFLAILFALEENLSPRRPRALPYLVLPPPMEVEQVLSITSNSKVDNITNGDNVIIIPSVSTEEKEHINGAAETNHVAMIIAASMDRTDGDGKTTTEEETDGIAIARSASSNGSRQQDKKRGAFGLFRAMFMSFNGSASIKKRAAAAAATMGDQKKAEAAGGGAAAAVARSSSDVASWKNLVDGMRPLRLYGHLEYYPPPSPDRSEGMSMTSSYSSAQDLQELVNGHGKEDEEEEKNSPETEDGGCSPNPIEMQAEEFIAKFYEQFRLQKSDSFNNRAD >OGLUM01G16060.1 pep chromosome:ALNU02000000:1:14840101:14840376:1 gene:OGLUM01G16060 transcript:OGLUM01G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRKAAVTAGDGAEGGGRARRRLGSRARLAPIRRCHPPPPPLSGLVAANLCRLGSVATVAGGSAQMAWEPARAGSTGERKSISNVGPMNG >OGLUM01G16070.1 pep chromosome:ALNU02000000:1:14852963:14855419:-1 gene:OGLUM01G16070 transcript:OGLUM01G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGFCSGRNEVARFQIWSGLEASFVSAGPNWKRARFGLPPPRSWPGSNPHLRPSGSNPDARRRRAAGDARRRHGCRCEQTVRKRTDIDGDRDRFPSDVRTLRRLLAGFASALGFLLASRERRGEGEEEEEERALAPLATALDVALALLRHQMTLPRIGEDEPPPSWDFVLTVVYFGKTGEMVCS >OGLUM01G16070.2 pep chromosome:ALNU02000000:1:14852963:14855419:-1 gene:OGLUM01G16070 transcript:OGLUM01G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGFCSGRNEVARFQIWSGLEASFVSAGPNWKRARFGLPPPRSWPGSNPHLRPSGSNPDARRRRAAGDARRRHGCRCEQTVRKRTDIDGDRDRFPSDVRTLRRLLAVPRIGEDEPPPSWDFVLTVVYFGKTGEMVCS >OGLUM01G16080.1 pep chromosome:ALNU02000000:1:14883702:14889275:1 gene:OGLUM01G16080 transcript:OGLUM01G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLLLLLVLTTSWPPAASGQDASSATVSPLNTHCNATAGTNHTAGSAYLSNLRALGGALSRRALATGFASGSYGAAPDEVHGLVLCRGDFTGGNCTDGLASAFRDAAAQFCPGAADATVYYDQYMIRYTNDGRLLSDPGGNEPLWSGKNMNEVAGADAAARFMAKATELMNRTADLAAFGSSSSPSRYATGQTWFDEQGVSVVYGLVQCTPDLTGEQCRSCLAGIIAHMPKLFGDASSRPVGGRILGVRCNLRYEKDVFFKETSTTLKLNMPKKLLLQRDLVILEREIVSESDERFSLFKFSKIKDATDNFSRENKLGEGGFGHVYKGRLTTNQDIAVKRLAPNSAQGFKEFKNEIKLIACLQHRNLVRLLGCCIKSKERILVYEYMPNGSLDGLIFDFGIARICLSSVTESNTTTAIGTFGYIAPEYCSQNVYSTKSDVFSFGILVLEIISGKRAVGSYKLSGRSYELRRYAWQLWKEERCDELVDPSLGEDYQEMDIIRCIQVALLCVQDSAEDRPTMHDVTTMLSNGNRRLLMPAQPGSFNIDIGDPEEL >OGLUM01G16090.1 pep chromosome:ALNU02000000:1:14889467:14891313:1 gene:OGLUM01G16090 transcript:OGLUM01G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFYITFFLIILLPLFPKPFLLAAVVPARGDGGAAVAVRGDGGAAVAARGDDGAAVFVPDDDGATVAGCDDDGAFLLMATLLLIARLPTRHPPSLFVPKILQTRLANNHGIVAAVV >OGLUM01G16100.1 pep chromosome:ALNU02000000:1:14890668:14890949:-1 gene:OGLUM01G16100 transcript:OGLUM01G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAADHHGGHHHQTTKKIISQGSDGIGGGGGGGGKSRVDLYAGAVAQRALYGPTTTSRCRGAARQRQQAVAGGKDSKQPSRLSKMSGAEGT >OGLUM01G16110.1 pep chromosome:ALNU02000000:1:14922569:14933555:1 gene:OGLUM01G16110 transcript:OGLUM01G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHKIASLRRESSLWRRGDDGVYFSRSSTGASSSRFRDEEDDEEALRWAALERLPTRDRVRRGILLQAAEGNGEKVEVDVGRMGARESRALIARLIRAADDDHALFLLKLKERMDRVGIDYPTIEVRFEKLEVEAEVHVGNRGLPTLLNSIINTVQAIGNALHISPTRKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLEDNLKVSGKVTYNGHGMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYELSRREKAENIKPDQDIDVYMKASAIGGQESSVVTEYILKILGLDICADTVVGNDMLRGVSGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSIGQTIRILGGTAVISLLQPAPETYNLFDDIILLSDGHIVYQGAREHVLEFFELTGFRCPQRKGVADFLQEVTSKKDQEQYWYRNDMPYSFVPVKQFADAFRSFHVGQSIQNELSEPFDRSRSHPASLATSKFGVSWMALLKANIDRELLLMKRNSFVYIFKAANLTLTAFLVMTTFLRTKMRHDTTYGTIYMGALYFALDTIMFNGFAELGMTVMKLPVFFKQRDLLFFPAWTYTIPSWILQIPVTFFEVGVYVFTTYYVVGFDPSVSRFFKQYLLLVALNQMSSSLFRFIAGIGRDMVVSQTFGPLSLLAFTALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGRSWNKSFPGQNDTVGISILKSRGIFTEAKWYWIGFGALIGYTLLFNLLYTVALSFLKPLGDSYPSVPEDALKEKRANQTGEILDSCEEKKSRKKEQSRNVNQKHWNNTAESSQNRQGILPFAQLSLSFNDIKYSVDMPEAMTAQGVTEERLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWMRLPSEVDSETRKMFIEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDEVENSLLSIWIKLFLMKRGGEEIYVGPLGQNSSKLIEYFEGIEGISKIKDGYNPATWMLEVTSTAQEEMLGIDFSEIYKRSELYQFVSFHAVLV >OGLUM01G16120.1 pep chromosome:ALNU02000000:1:14924503:14927024:-1 gene:OGLUM01G16120 transcript:OGLUM01G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRSTARWLEQRRMHSPAHCIDDNGSPSCGDLACISLFHSLVSPFMFCYSIEIKPYSHEAKNCVEERGQAPVANVHLCLHL >OGLUM01G16130.1 pep chromosome:ALNU02000000:1:14930583:14930890:-1 gene:OGLUM01G16130 transcript:OGLUM01G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFGTKKRGHYEERALKMYTNEFLFMSRSSLSILALSNAIQLTPNLEVAREAGWLLLLSNGSESSF >OGLUM01G16140.1 pep chromosome:ALNU02000000:1:14936596:14936971:-1 gene:OGLUM01G16140 transcript:OGLUM01G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLRAYREVLRLVRRLPADARPYYAKYARENFVNYRDLSADDDLAALLRRAYAHSSWVLSKYSIDADAAAGRLKEVCGAEGGA >OGLUM01G16150.1 pep chromosome:ALNU02000000:1:14944749:14946744:1 gene:OGLUM01G16150 transcript:OGLUM01G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLELQTPVAAAAALLLSTASSTISMEGTVLCSANHAPLTPISFLERTALVYPDRLAIVASDGSAGVAVSRTWRDTRARCLRLAAALTGRLGVQRHDVVAVFAQNIPAVCELHFGVPMAGAVICTLNSRLDPAMAAVLLRHSEAKVVFVDRALLGVAQKALVLVAEAGARRPVLVLISELLDENERSPPDAKIKVTRVDYEYEHLLSAAAAGSSPDFAIRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLSSLAAVIVNAMAETPVYLWTVPMFHCNGWCQVWGVAAQGGTNVCVRRVTAAAIFDSVARHGVTHMGGAPTVLSMIVNATADERRRQPGGWRRRVTVLFRMEEQGFLVIHSYGLTETYGPATVCTWKPEWDALPAEERARIKSRQGLHHVGLEAADVKDPATMRSVPADGRTVGEVMLRGNTVMSGYYKDGGATAEALAGGWLRSGDLAVREEDGYIKILDRSKDIIISGGENISTVEVEAALFGHPAVEEAAVVGRPDEYWGETPCAFVKLRGGGGGGGGGAAVEEELMAFCRARLPRYMAPRTVVVVEEELPKTATGKVQKVALRERAKAMGSLPAAASSSSRRAPTGTAGSGRSKL >OGLUM01G16160.1 pep chromosome:ALNU02000000:1:14956410:14964268:1 gene:OGLUM01G16160 transcript:OGLUM01G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSIGAMRYEMEKYVPVLLSFAMQVVLFFAGADIIAIYALGGLSRQEGNPQSIAFFWVPFLLIHLGGQYTITAFKMEDKNAWLTRSGKLLFYAVLAIFVFFNSIGRHKELLLVGIFMFATGFIKYFTRSWSLKWGSFESIENSTIRHMYEIQLPEASNGSNMTYYDYVLTALNSMLQIHDIFAARSLRSTANSKSEDEEIVESEEAARSVREDEEAVELEEATRSMDIDQEIEDKISTENDEAIQSTGNNTPIEGDEEVQLEGDEISIENRKAELEKIIIEAIIKPELSLVQLQLGMMYDDLYTKALLLRKKGGIALRFIAISTSIVAFALFLSTEKRRYSKADIAVTMSLFIGELLLEVCAVLIFMMSPWTWAWLKVRKYNWLASFSWHLFSSRIGWPENRPRWSNSMGQYNCVNRLVGINPPTPCTPKIMTYLRNIANKVGAKEISWINKLIHTGYVKADRDTMERVVFGLYGLMNEVDGQDTEYLEWRYIGSFLEQIQNVLTADFGTALLMMHMVTEVFLRQYPGNHSLVDVCKKLSNYMIYLLVNHPSMLPLNTSAISSIKSAERMFRRRTNDISDVEHYKNIHDSLLVGDQPEGDGVLDELVEMWVRVLLYSAGKSRAELHVEQLASGGELITFAWLLMAKNDYGDSRMKRIQITNFSPRDESDDCYDLPMKEGHAFHIVHRSEVDIQRD >OGLUM01G16170.1 pep chromosome:ALNU02000000:1:14967773:14974011:1 gene:OGLUM01G16170 transcript:OGLUM01G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y7Y9] MSLRPSERMGIRQKGYKASMDAEEGRRRREDITVILRKADRDRALKEKRRRPTAAAAAEGLPQAAHSSAIEKKLESLPMMVQGLYSDDSSMQLESTTQFRKLLSVDHCPPIDEVIRSGVLPRFVEFLTREEYPQLQFEAAWALTNIASGTAANTMAVIEHGAVPIFVKLLSSPREDAVWALGNVAGDSTKCRNLVLMHGAMLPLLQQLNEHAKLSMLRNSAWTLSNFCRGKPQPDFKHVEPALPVLRELILSQDEEILTDSCWALSYLSDGSNDNIQAVIETGVCDRLVELLSYPSPVVLIPALRTVGNIVTGDDAQTQCIVGHPQALPCLFNLLTTTQKKSVKREACWTISNITAGTKEQIQAVIDSNIIAPLLHLLQHAEFDVKKEAVWAISNATSGGTSNQIEYLVSQGCIKPLCDLLVHQDSKTVLTCLEALDNILRVGEAKKNLGACNMNIFVPMVDEADGLDKIEDLQNHDNVEIYNKAVYVLESYWVQEDDQQPFLIPSVSESESDNEMFQFGSFGKGKFLFTNGRNCESESDNEMFQFGSFGEVPDLDGNAP >OGLUM01G16180.1 pep chromosome:ALNU02000000:1:14977763:14980439:1 gene:OGLUM01G16180 transcript:OGLUM01G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDRKVVGIGVAEEGRRSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQDLGLDLNQPQKQEHGEVIPEVKDSNSNSNSNSGSGNSSSNLQVVPKRRLLMGVEEAALLLMTLSSPSASTLLHG >OGLUM01G16190.1 pep chromosome:ALNU02000000:1:15008210:15009752:1 gene:OGLUM01G16190 transcript:OGLUM01G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDEQQVEEGPHGYRFRDRKGNESLVTIGKVQIMSSTDGVLSSWPPLKDLCLSFSLFKLLRRRFARCVVVEEGSEIGPNMVCILFDSDPEPQRIVSIVADELSFACDFYHSLPVSCSAFWLLVLNILFSLAGTAYCLFIAILMIQHVVSVAQVGPMSSDPFYHQITCVLMCGKNDQTKQFGSILFFMVLSFFFAIVLIFDEAWEIVSYMCSNWTKVTLISYYITKPTWQQSPRMRRLIHRVLQFRCNLLGYWSDRMGQTYIMETNMNKGTIVIIKQLLRLPVQSKQVKIPTKVKAAIVNTLKSQNWRPTDCISSLQHSHIGKSFSWACKGDGTSDVILVWHIATCIFEIRHSTEPSTADSISNKITATYLSQYCAYLLSSASELLPDDKAWSKKSYESAKKIVDPIFRCWNDKPLEYEYMLLLLVEKSRSNMILNKGLTLGKQLVEGIEDEEMGWRVLAGFWSEMILYIAPSNNIDAHRRAIARGGPVLAVVFI >OGLUM01G16200.1 pep chromosome:ALNU02000000:1:15010810:15013026:-1 gene:OGLUM01G16200 transcript:OGLUM01G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVASSVAEFDRAIELDQRQKQYLWQRGLSLYYMDRFEEGAEQFRLDVAANPNDTEETIWCFLCEAQLYGVGLDSRSVMREAYELFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >OGLUM01G16200.2 pep chromosome:ALNU02000000:1:15010810:15013026:-1 gene:OGLUM01G16200 transcript:OGLUM01G16200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVASSVAEFDRAIELDQRQKQYLWQRGLSLYYMDRFEEGAEQFRLDVAANPNDTEETIWCFLCEAQLYGVDEARNRFLEANSRSVMREAYELFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSGDYMASLALVHCQCRNWTLE >OGLUM01G16200.3 pep chromosome:ALNU02000000:1:15010887:15013026:-1 gene:OGLUM01G16200 transcript:OGLUM01G16200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFRQGDVASSVAEFDRAIELDQRQKQYLWQRGLSLYYMDRFEEGAEQFRLDVAANPNDTEETIWCFLCEAQLYGVGLDSRSVMREAYELFKDGGDPEKLASNFSSGSEGEIFYSSLYTGLYYESQKDAELAKSHIVAACRSPYGSRSSIPTWSKVQIYFTNCAVFSHTELTG >OGLUM01G16210.1 pep chromosome:ALNU02000000:1:15024756:15025446:1 gene:OGLUM01G16210 transcript:OGLUM01G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTARRPCSSFFRSTLLSATATITGHTMITTVSANARERFIRASWDSGTGNKYTAIVVVISYATLLVIDARFIVLAVFPFIALAFIAALCVALVRAEADNGGAASSSASSAAATDHKGKKTTTTAAEEAEQLTAMSVVPYWALCATGHSTRATDLAVTQFLLFLSATLGALVLMLTRLRRLHDAGVVPGVAPASELAAPQGLARGAAGR >OGLUM01G16220.1 pep chromosome:ALNU02000000:1:15148871:15169244:-1 gene:OGLUM01G16220 transcript:OGLUM01G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTWAGPPHPIGTDDHRRAVHGVKLGLTLALVSMFYHTRPLYDGASGAAMWVVMTVVIVFEYTGRRGSGLEEVEASGHGGSGGGQRHGRRKRVFIMAEVVERRSAVPSRSASQNPRGFGGGGGTNKAVVTRTGDGEARRRRDVGMEYQAAATVGRREREARAPRTVAGTTGGHKGEVRRGQRDAGEAHARPTIVGTARGTALLEASRCRGGGRVRYRHFDSDQVDHNILPLGASAEEEGVDRIRRRRFINLQNVSAYKKQNIHATPLCKEQRGGTLKASTPSRRHGSWGLVEIKRDHELKPPKKVSTVIIRK >OGLUM01G16230.1 pep chromosome:ALNU02000000:1:15165147:15167363:1 gene:OGLUM01G16230 transcript:OGLUM01G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTCGFRSNDLIERINKDVLTTNALFVANAFLVVILVGAGSYGRRYRHIALNRYLFLGASTLVLPILSYVVSYVGRDKYYNLFHGLDCVDNRAFCLLLWAALLPMVGMNTSSILTAVHNGNEGGNFESIAMLARQIWTFFLVLYYYTKKTEELPDIIISTLLGLGFIKIILKFVAYGRARRSFAMGQNPSLIAGYMEELYRLQVSEVAEVTPLVVMGEDKQQIEKGPHGYHFKRSTFSQNGTSAMTSNGNLVTIDKVWHLAEMNDALLGPRPALKHLCMSFSLFKLLRRRFARYPLVEAGSEKAFHFVRGTLLTDGADADPEAVFRVITDELSFAWDFYYSSHPISHLGKWLPIMSIMTSLFTMAFCIFIVIILAWKILPEYKDYRVMSCELTCGEQDNNSFDIGSILFVLVPTISLLLVVLLGEVWEIISYVCSNWTKVTLVCAYTAKPSWQKSRIMRRLIACFLCCRCKLMNYWGDKMGMTSLLDPCKRKGHIRRLFRLSKAMKPTKIPKPVKAALINSLKGSNGQLSNGIASLQKCHLRDDIRWACNGEGTSDIILVWHIATCIFEIRHMHDPPERHSSPDENDDMITAIHLSKYCAYLLASCPELLPDDTPWSKELYKSAKKITDSVLGSTDMRCFEFDRMMQLLSEKSKSNEVVCKGVQLGKQLVDGIQDKNKGWNMLAEFWSEMMLYVAPSDNTKAHAKAIAKGGELITILWALLTHAGIIRRPEHDNVV >OGLUM01G16240.1 pep chromosome:ALNU02000000:1:15188002:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRCGRGDVAGSVAEFDRAIELDQRQKQYFIVCQQCTTGCNFALFQISGKGGFHSTSWTEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVDEARKRFLEAKTSMPQVGLDSRSVMRKAYALFKDGGDAEKLASNFSSGSEGEIFYSSLYTLDFTMNLRILNYYYFDSKDAELAKSHIVAACRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.2 pep chromosome:ALNU02000000:1:15188002:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRCGRGDVAGSVAEFDRAIELDQRQKQYFIVCQQCTTGCNFALFQISGKGGFHSTSWTEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVDEARKRFLEAKTSMPQVGLDSRSVMRKAYALFKDGGDAEKLASNFSSGSEGEIFYSSLYTLDFTMNLRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.3 pep chromosome:ALNU02000000:1:15188002:15189921:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLCVSNVQLAAILLCFRSLAKGAFTLLAGQILETEPNNFKPWTEIKPCVTISFILGLIDQNSGIEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMRKAYALFKDGGDAEKKDAELAKSHIVAACRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.4 pep chromosome:ALNU02000000:1:15186816:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRCGRGDVAGSVAEFDRAIELDQRQKQCAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMRKAYALFKDGGDAEKKDAELAKSHIVAACRVLVVEEMPHYEPLLKVVYD >OGLUM01G16240.5 pep chromosome:ALNU02000000:1:15188002:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRCGRGDVAGSVAEFDRAIELDQRQKQCAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMRKAYALFKDGGDAEKKDAELAKSHIVAACRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.6 pep chromosome:ALNU02000000:1:15188002:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMRKAYALFKDGGDAEKLASNFSSGSEGEIFYSSLYTLDFTMNLRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.7 pep chromosome:ALNU02000000:1:15188002:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGVGLDSRSVMRKAYALFKDGGDAEKKDAELAKSHIVAACRSGDYMASLALVHCECRNWTLE >OGLUM01G16240.8 pep chromosome:ALNU02000000:1:15189965:15190451:-1 gene:OGLUM01G16240 transcript:OGLUM01G16240.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSMSVRSPASFPFPTAAGARGRVGSAQPVGPKLLSSPLPPAATPAVPRRLLFPVAAGIWTSFPVAEQAARLPRRSPSGEACSSSGREMSLAQ >OGLUM01G16250.1 pep chromosome:ALNU02000000:1:15201949:15203954:-1 gene:OGLUM01G16250 transcript:OGLUM01G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPIPGDYPEELDRGQGSNTQLIPSPVAFDSPAKQLHDYQQLLISVIGNCIILQAPPQLTKRSDETAARMILMVLANFSMLLIAISLYLRVLHSNMRKFLGLEIYIIAAALVMADYAILLLINARYIGIFIIPLILLGFIAALCGKLWEKSSHHFQQHDRSNKPVSLPNVANSDQLLKLATLPCWLQLLSFIILNSRKGQEDDALVVSEFLLFFSSALGAIALMVGTLPAEVGGSAQVLPVLQKACIVLLLITAHTMAAEWLGEDVIVTCMPGLIAVLLWFTVHFDSHATPVNIENALSYRSEAMVILSSAVGLLAYLTGSYAYERETVDSWFRWSLLMCSSSSVLSYLNVWMLQQWPESTFHSGELLKVFKFCSKICFSATLVLALMLIGGWVRRKPVGAFIAIVSALLGFVLYVTMYVKSDKPCNVGRTRRDLATSVLKDQNLSSKRISKAALSSTRERSNIGAAGSRGISNMGAAGSRVPTVNMNTTIGPTMPPLNSLTIHSRLEIGV >OGLUM01G16260.1 pep chromosome:ALNU02000000:1:15205246:15205536:-1 gene:OGLUM01G16260 transcript:OGLUM01G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVSLYCSLSDAEVRRLRADALRAEGVSRVTYSHPRTSRSCSGSRVVSLWRTSTAPPAPPRGSGRDVARHAVPARFSTASTLRRSWGTDSHGWM >OGLUM01G16270.1 pep chromosome:ALNU02000000:1:15207915:15209074:1 gene:OGLUM01G16270 transcript:OGLUM01G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRVQAFTEGVVLMVCPVLLAVALKRADLKGKGNGSLVVGSITPLAATTLEAGLLPFLCLCVSKNLPLPVGDGVAGLLYRASKLLVHLCAVLLMALAYGILLLIDMNTRNGAGDHESAVHAAGYGAALEDSVDFSAAVTTLLFLGLEGLALEGQSSGACHGLERLFTASLGFTYLTCALGVFVMLRGTVPPPMITGSSAEMRNVVELLNIVLAVAIALVVVLISAAPMREQAWLVFAPLILSFVTWMYIALIGGDGGQVEGLKQPESLELTKVTFTGFLAVAVPTFSNTPVDISTRGFVVLSAAAVMSGLGWRLLAHRMAPSAATAAAANVASFFAHLCVAAAVIPFAMLAVNAINSK >OGLUM01G16280.1 pep chromosome:ALNU02000000:1:15210245:15211907:-1 gene:OGLUM01G16280 transcript:OGLUM01G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRKKSIGPSTRSSYHDPSIDSSVKPLHDYQQLLISAIGVNCIISQAAPKLTKRSDETAASTTSMGLADFSMLLFVTGVYIRMMHSTMSKFLGLETYITAASLVMADYAVLLLINTRYIGVFIIPLILLVFIAALCTKLWDKSSPYILRDYRSSRVSQLHVPNTERLLKLATLPCWLQLLSSTILNPENEQQDDTIVFSQFLQFFSSALGALAVMVAKLPAGVSPGAAQVLPVLQKTCIVLLLITVHTMAAEWIGEDVIVACMPGLVAVLVWFTVHFDHDARNATAVSVDNVLSYRSQAVAILSSAVGLLAYLTGSYAAYERELVESRCRWSLCMVSSSSALSHVNLWMLQHWPERTFHLEELLKLFRFCRKICLSVTLVLALMSIGGWVRNLIAGSIAIVSALVGFALFVTMDHKPEPRNVGRTRRNPARSPVVAEDQNPSSQRFSKECRPSGGFFAPALESFGNLIDRNLGIAN >OGLUM01G16290.1 pep chromosome:ALNU02000000:1:15233426:15234082:1 gene:OGLUM01G16290 transcript:OGLUM01G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGSRSTSKGLTGCVVSPVPTATADEAWALLSDFLAFHRWHPRVTKCRLASPSAAAALAMFETRRQGRRGWRRPEVDGEEGYRTRERSEGGVGDDGQREQQATWMSNGDRLISTPATKPCCHIATPPRANPRSFSSATTTSARRRSAGSMLLMLAYHKHKLYCEGTPSGDGTPPDWAHETLL >OGLUM01G16300.1 pep chromosome:ALNU02000000:1:15235400:15236596:1 gene:OGLUM01G16300 transcript:OGLUM01G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMRKRVQAFTEGVVLMVCPVLLAVSLKKADLKSNGNGSLVGGGISLLAAITLEAGLLAVLFLGINDSLPASHGLLLRASKLLVHLCALLLMALAFVILLLIDMDRHMYCLAGLVLAPLVPFTLFRCHRSARDGGDDHAAGVGDATAALEDSVNFSVAVTTLLFLGLEGLALEGQSSAACSGMERLFTASLGVTYLTCALGVFVMLVGTVPDPDPAMASTDDQGDRSAKVCYVAELLNVTLSVAFAVVVVLITAAPLREQAWLVFVPLILSLVTWMYRAIVGDGVEEIKPAASLELTKVTFTGFLAVAVPTFGITPVGISTRGFVALSAAAVMSDLGWRLLMTGRMDRNVQRMTPSPAMVSVANVASLCAHLCVAAAVLPFATLAVNAVSSSEPGSG >OGLUM01G16310.1 pep chromosome:ALNU02000000:1:15257790:15260352:-1 gene:OGLUM01G16310 transcript:OGLUM01G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTTPICPEASVAILEVSRVESIGRRKPSSDFDDWGICAGIIIGQISVLSNFVVTTAYGQLLVINTSYIIVSPVFIIIIVFMIGLWLKLGEKEREESPRQLGTLLFVTLFPYVLLLCEMLMKDRGDDLALSHFLLFLSSALGAVAVMVSNLKHVDAGSAALHFLQKTCTVLLIATLRVMAANWLGMEGTVLVCMPELTAMLLWFSDVDHSWYKKAEDIKLLFTSRKDKLPLVSLAIGILASLMAFTVDNIHSHIQVFWYSKMAFGMVTAVALYLFDLWMIYLWPGSICNSK >OGLUM01G16320.1 pep chromosome:ALNU02000000:1:15266859:15282832:1 gene:OGLUM01G16320 transcript:OGLUM01G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGQAEPSGPRELQLTGFTQPQGGDSKEPENENSSEEALRKRVQSFTEGLVKMASPVLLALKMNKVDLRSKGNAFIRGSISSLAAAILELLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLTIGMDKKLCLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGLRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTATSSAAQSQSQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTRAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASISAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTNS >OGLUM01G16320.2 pep chromosome:ALNU02000000:1:15266859:15282832:1 gene:OGLUM01G16320 transcript:OGLUM01G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLLALKMNKVDLRSKGNAFIRGSISSLAAAILELLKDKKRKFMYTMSKMLVHFCGMLLMALASCILLLTIGMDKKLCLLILVPFLCITFLSIATSAMRSEDDDASLHEEVKCEDELERSVDFSASITALVFLGLGRFAFEVDDPQKADVSEHLAVAAIISFVICVLGVFFTLYGTIPLLPSINALRDMELMEGGKAQEHLQNRDSEPKKPQSAQDPARVINPAQPQGVVEGLRKPPPASSGETSAGQTTATSIPQPQPAGTGSSSGETRSTTLELHSTSSEVTSAGQTTSTAEIPPGTSSSSVDTRPASDEHPATSTSGEEARRTAHDETTTATSSAAQSQSQPDTDTTSSGETKAAPLELTKATFTAFLLVAIPSFGDSSIHGYTRAFIFLTAAALVSGLLLRLLTHRTVYPPSVVRAAKVASISAHLCLAAAVIPFTLMAIAAQKLPPSCTHTCAGAANTTNS >OGLUM01G16330.1 pep chromosome:ALNU02000000:1:15283930:15285193:-1 gene:OGLUM01G16330 transcript:OGLUM01G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQKVQFSGNSTKPSLLFGFRSLFPNPRCPPEPLRRRLQALAEFILAAAAAAPSEASPLAAQNRYGANAVAPLPQRATRPVSLIRPRRRRRRLRTEQQRGLAVARRHLDLLIESDEWMMGAS >OGLUM01G16340.1 pep chromosome:ALNU02000000:1:15284622:15285887:1 gene:OGLUM01G16340 transcript:OGLUM01G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPELSTFCTFCCSSIFGLLSRTSTAAAFGLQELAAPSSTIQTATENVTIGHCLEESQQGNLAQGLTAAIGKPQLQQNWIHQESNGLSDVFSGSALTNTLSSTLQRVPSSSLPPQELLECKQTKVSMPPSIRIPPSRSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARHFDYL >OGLUM01G16340.2 pep chromosome:ALNU02000000:1:15284250:15287416:1 gene:OGLUM01G16340 transcript:OGLUM01G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKQNYIQSSNIIWSSMPSTQQPTDTQNQRLDSGSFIHNIGAHLADQTNASASMLPQMKFDTRTSEEKLKQKNTYDLGSSKLQGGFNSSSCNFDDLLNSIIKVEKDDLPFMDNELGCDLFPLGACMNPSFRTLKILYNLKESAVLPDLHYFSQVLCILGSRDSNGL >OGLUM01G16350.1 pep chromosome:ALNU02000000:1:15287195:15290847:-1 gene:OGLUM01G16350 transcript:OGLUM01G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVFWRGGVTMVVLACAMVAAATVACVLMARALRRAVAREAALDADLVRHKDALGQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEAAAATNPNIMDNLNQMELCTNKLLDTTKVESGKMQLEEVEFNMADVLEESVDMANVVGINKGIEVIWDPCDFSVMKCDNAIGDCKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIVRGSIGAPSRFAYRSLENNFFSFFFGAKEDGVAQNSFNPLQNDPNLVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFTSGSQATEEDIEEGPSTVSESDIRATVFREANCFKGWHCILLVHGDETIRVLQAWMESIGMKVWMVPGVESISSTLEKARSSREDCDADRCFSSKEMVSQVLPTTLRSNNIMARNLGEHNPLGMILIVDVSNGQLENIQRQARDFAQMRSQVPCKFVCLTDLRTSYKDFRRSRLYSLLMTLRDVQSSPMHRSSLVGHENSVSRQQDSANIDASAEVGRLDQGLKTEKDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGATVELAGDGAKAVDMFKDATERTSVSEEHSVPLPYDVIFMDCQMPQMNGYEATRCIREEESRYRIRTPIIALTAHSMEEDLQKAISVGMDLRMTKPIERRRIVEAVHGVCKDKN >OGLUM01G16360.1 pep chromosome:ALNU02000000:1:15318764:15319426:-1 gene:OGLUM01G16360 transcript:OGLUM01G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLLAAGVAPGVAAASELLRKASLVVLLVTVHAMAPELLGEDVVLVLLCVPELVPALLWFSLNIDRGSQVITVDKIKSQRNVLGAAAVAVLAFAYLAVSMDESGVSRCMMTSVSCGVSGLQVYYVVFMLSQWPAQGTGTGASSSSVSYLEEAIKLLKFWADILLVAPAALLVPISVAAVRLGLHEYAENASDRSSLEKKNRTHDLCCMQPLLDALSVT >OGLUM01G16370.1 pep chromosome:ALNU02000000:1:15347908:15350009:1 gene:OGLUM01G16370 transcript:OGLUM01G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALMKRSRAFAEAVVIMVCPVLLAIALHAVDLKSAEHGAAIPIAMLVMAAITMISGTFPFLALCFSKRFVANGAWRLPTTATNCLAPFSCACLIVLACWIIRLIVSERWANVFPAIGGVLVLCILIRTVIYCRARIDPVDDAEFDARLENSLEFLAGVTALLFLGLEGLALEGHSNGGQGGQQQHLALPLGVSFLACVFGVSLMLIEMIPPLPGAADNGDGDGYAFLMSNYTVIFDFAMAFAVSAVMWSIMHAIMELRALLLLLPLFLILLVRAYDVAVGADTGTGGGGGGGKDEKPASMELTKVAFTGFMAVSIPAVKTGSLCGSTDWFLIFAASAIISGFAWRLLTHAKMGTTANFASFCTHFCIAIATVPFTVMAVKALH >OGLUM01G16380.1 pep chromosome:ALNU02000000:1:15350647:15361301:1 gene:OGLUM01G16380 transcript:OGLUM01G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEGRGANAEGGEVRPEVSGDHLQKHALQQLHLQVDGEEEEVEVPEQVVTDVAAAEALGPPASSASTDDHLGNTSLNNVCILCGDFSSSYWAFLRGSAWINRRGFEMDALMNRSRAFAEAVVIMVCPVLLAVALKKVDLKSQEHGRAVPIFMLVMAAFTLAFGTVPFLALSLSKRFSDHAWRLPAKATTWLAPSSCACLVGLACWIIHLILSARWGYAFPAMGAVFGLCIVIHSVRYCRAGGDPANLKAMEGKLDESLEFLAGVTALLFLGLEGLALEGQINGGQSRLAAPMGLCFFACLFGVCFVLVQTIPPSPPPSATDTSLRANIVRNLPAICGTFMACAIAVVMFSIMVVLVKLLALMLLSPLFLILLVHAFELVFPGGGGSGGGGGGEDDVVKPASLELSKVAFTGFLTVAVSARTTSRGPLSTSTEWFLIFAASAIVSGFAWRLLTHAKVGKIANVASFCTHLCIVLATVPFTVMAGQALH >OGLUM01G16380.2 pep chromosome:ALNU02000000:1:15350647:15361732:1 gene:OGLUM01G16380 transcript:OGLUM01G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEGRGANAEGGEVRPEVSGDHLQKHALQQLHLQVDGEEEEVEVPEQVVTDVAAAEALGPPASSASTDDHLGNTSLNNVCILCGDFSSSYWAFLRGSAWINRRGFEMDALMNRSRAFAEAVVIMVCPVLLAVALKKVDLKSQEHGRAVPIFMLVMAAFTLAFGTVPFLALSLSKRFSDHAWRLPAKATTWLAPSSCACLVGLACWIIHLILSARWGYAFPAMGAVFGLCIVIHSVRYCRAGGDPANLVHPGDVLPTTDDLSDRKRREALQKAMEGKLDESLEFLAGVTALLFLGLEGLALEGQINGGQSRLAAPMGLCFFACLFGVCFVLVQTIPPSPPPSATDTSLRANIVRNLPAICGTFMACAIAVVMFSIMVVLVKLLALMLLSPLFLILLVHAFELVFPGGGGSGGGGGGEDDVVKPASLELSKVAFTGFLTVAVSARTTSRGPLSTSTEWFLIFAASAIVSGFAWRLLTHAKVGKIANVASFCTHLCIVLATVPFTVMAGQALH >OGLUM01G16390.1 pep chromosome:ALNU02000000:1:15378149:15379375:1 gene:OGLUM01G16390 transcript:OGLUM01G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELVYLYPVTLVPAVVGRFSILASPLLKEFEEHNLQFTSIIPLFFFYSYISKFLSDLQGQPLPLVKLYARALHRFTSCSSSKSRASLRIYMDTAVVVVLSYLSLLKINLGYIWLAVFPTLTLAFIAALFNEELRGDRRAAVAEEDDGRRRKDHSLELKAMAVVPYWVLCAMGQFHGGDSFAVSQFLLFLGSTLGALALMAARLSQLAGAAPGLAPASELLRRATLVVMLVTAHAAAAELLGEATMALLCLPELAPALFWFTLHLDGESSPAATIDGIKSHRNVLSVLAAAAVAGVAYLAAAMGERGLSVSTITMVSCGVSGLLVRCAVVVLGQWPGQAETAGSTAASLMEVVLVLKFWGNTLLAVAAMLLLLALLTAFRLCLQEPMFATVAKCFSDYIDKVPQMIV >OGLUM01G16400.1 pep chromosome:ALNU02000000:1:15382007:15382537:-1 gene:OGLUM01G16400 transcript:OGLUM01G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISAAAPSSRPPPPPLSLLLLRPLASSSPVPPATATSSSTTIIRRRPSASATATVRRRARTRPRTRRSKPPSDAGGPFSGDDGDGFFGPGGGGGGGGGGGWWWNRGSGSGAGWEDSSGEPDVPAPRRSAAEAALGVVYELMCLIALSNCTQFAVRRLAGLLAARVAALRFVPTVC >OGLUM01G16410.1 pep chromosome:ALNU02000000:1:15391251:15392585:1 gene:OGLUM01G16410 transcript:OGLUM01G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTLDVHRSLRPPMPMAQQQMDEFWRDRQKEIEMTKDFSEHMIPMARLKKIVSSQKGNMMMTFDMPAFLSKLCELFVQELAVRAWACAQSHNRCIILDTDIAEAVASTESYDFLVDILHNHSVKQKSTPCSSTKRCRLVDQPSASHIPHQHLLPQFAPTYTLAIPITPSLMPLISQCTPSSFPSLPQEKFPFMAPTPIVNRSMLFIDNIARGLGLQGNNINAVANNNILDNIVGCSSPAVLASMMNPALLGPSGAPLNPPNSQSYNCTMDIINSNDACGSSNSSVIVANQANIAPSGHFYPIALQSSCSTFLHSNNNDTITAIPEGVDISDIMHVTSDVDAATKVFSGQEEQHEKETNVEWHHQNEIYESIDIEIINATTRDGNKCSISWDELGMADDSLLDNFLEELQVRKDDVSDTRIAFNKDPFLDDAVLSNPSTSNGNK >OGLUM01G16420.1 pep chromosome:ALNU02000000:1:15400729:15408038:1 gene:OGLUM01G16420 transcript:OGLUM01G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFVESGSFSRSSSFGGFEEWMALVRKRNGSGTPSSSSPQQPRPGGFAAQSSVSSEGLELGHPYSDAIDYSLSYQLPTTSLWDRLGRVTMMDIESSDFNWSSLSSLHHTKHTDTSTEPYEDDTSRSFEVTVNSGGVVFIALFKTSEYDDLPSKETAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNFSSEWHQMKDAVENARDAAISSCDELEEMICTEMLEALELSRCLFLMNYVHGSPLLENTMPFDSHDSAEKTAEALGRVLILDLVLRNEDRLRCRPLGWRGNYANLLVADRNAYANLDSLDDVYDSAIIRYKPEIIRSPQNRKPRRAVSISGSIGSDTSDLMLPDNPSQREISSFHIIAIDSGVPRRPPACKRAKDQESYPKLVELTLNNWDYSSNLLFELSFGKLGIPGPEEFDVSSDLSYHSPLSESDMVAAVNSFRGGFRSALRDLQRFHIFLVTLYQKLDGQLKIFFNLMYKVLNEYDREDAGSSDSPCSTETHMDSNDSEVPKHMRRPSRTLSRDNFDLSSPSSRESFMIKNFKGSSDASRGLRLTMKLRDFNKYAKADGELCKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGIDNSIAVDAYELKVRLEHILERISLISDAASTERPSQITDYLYIGGALAARSTYTLKHLGITHVLCLCANEIGQAESQQPDRFDYRNFSINDDENADISDVFQDASDFIDYVQHLHGKVLVHCFEGKSRSATVVLAYLMLRKKFTLLEAWNMLKKVHRRVHPNDGFAKVLLDLDKKLHGKISMEWHHRRPAMKVCPICGKNAGLSSSSLKLHLQKAHRKISSGSVDTAMTLEIQKAVEAIKAGRGGGDSPTHNAEKVTS >OGLUM01G16430.1 pep chromosome:ALNU02000000:1:15406050:15410022:-1 gene:OGLUM01G16430 transcript:OGLUM01G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1399) [Source:Projected from Arabidopsis thaliana (AT1G56230) TAIR;Acc:AT1G56230] MSGPGGREREAAGPAFSFSVDLAAAARRLLALLRSGDGERGGAGVVGPRSVRRYEELWMPLVAAEGAGGEAPMLVPPPDVRLVWLCHCFHHESYAAYCASRFGRLINRPLILDADNEEYAADHCQEVWVARYPSEPFDLEDNETEGNSSNDKSADEIIEMVQRYTGLAARFASPFISEGVYHVAARRRYMRFLELIKKIVSTTQGNTRLVPSLDILLMWLAHQSFPVSYDADMAAMAIKDNVAKIVVGYGEVVSEDMADRTRVLWEEAYDEPYDTAGSEIDKAEVDAAREAFYWETAASEEDANRLYKGLQPRFIMEVYVFLKGEFDSEHTSKEFLRLRTQRCYRSLKLNKPLFNLSCKNWQKTWHLYCEFSTRGLIIEVRRSTSGCFRNSKLIKNISFSWSDMLHEKSLTLTEELDVRMKAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRTKGYRPQEGRWLTRTVLDHSGKECFVIRMRIGRGFWRRGAETPIAVKWEDRIIEIREGSWSYIANASSIGYAPEKVVGTATPEKDQQDNKVVWHFSTGDVLTVKLGDDLNFHLRNESSGEEARLLVGRRLNYRINKESTLNDRNDEEEYLTLVRTSPDHLGDRATMLLNWKLLVVEFLPEEDAVFALLLCMAIARTMTEIRREDVSGLLVRRRICETKVGQRDWGSVMLPNSPSLDPHLQPWYRNAAHVLSSAETASNGVMLMKYSPADGKDELYRKALIP >OGLUM01G16440.1 pep chromosome:ALNU02000000:1:15415025:15416765:-1 gene:OGLUM01G16440 transcript:OGLUM01G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSVPEISSSKKLSDEVTQSSEASEEESDEDMKEPITRLSVHGFLSLMISEIGNYIIPLLTSHSKPEQTAKWSAMPIAVFCLGTITLVIGNGMSFRILQPKQQYGPGVALHITTASIVLNSYVFLLLINTRYISLAVFPAIMIVFIGALCRKFWVEETCRRQLRHDSPGDSKASSVLRKSEEQLTLVVAVLPFWLQLPGVMLARGTSWQQDRVLVSHFLVFISSAMVALATLIARAVPAGIYPGVSRVLPVMHKTCIALILVSVHTMAGEWFGTKSMALACTPELVALLIWFSVHLNHAHDARACKIICLVAVSLLWAWAATYDEMTILQGYWRSSFWGISGLSGGLCYFSSWILKQWPKDSFRPTSDHHALLLLQLLRISAEISLFTSVPSIALQLPRWVRRISAQIRLLASVPITALQLARWVPSKHESLMSVWTISVNVLMYCVCFLSLNNVDKYHPGMSTASISRQVLQPIMLFVLPSILISHFTGK >OGLUM01G16450.1 pep chromosome:ALNU02000000:1:15431098:15433125:1 gene:OGLUM01G16450 transcript:OGLUM01G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPQFVLLFGLFILYASFCLAWRKTLTQVQGGQTLPPCPSSGTYLRTAFDCKDSLKNRIRIFTEAVVVMVCPVLLSFALKKVDLTANRSKQIVDSISPIGALTLEAGILPFLGLCLSTVLGERLAWLVLASKLLIHLCVILLMVLAFVILLLISKSNIVCLSIWIPFVPFILWLGYNSMKDEEHENADDATKSAHHGKLEISVDFSASVTALLFLGLEGLALEGQASAIKGLDAHLSASLIVSFATCVLGVVFMLVGTAPPVYGMGRLVDSLRILDTSLAIAFGVIVVLITIAPLKEAAWLVSIPWILSFFVWLFIRLFDHDEGEDRDVKPVSLELTKAAFTGFLAVSIPSFSNSSTSGGYTHAFIVLTGSAVLAGLAWRLITHAKKPSRAMVWAENVASSCAHICVAAAVVPFARISMTVLRSLES >OGLUM01G16470.1 pep chromosome:ALNU02000000:1:15448597:15449774:-1 gene:OGLUM01G16470 transcript:OGLUM01G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLSITCLLQVLLAAANPQPPPPPSCDKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >OGLUM01G16480.1 pep chromosome:ALNU02000000:1:15449911:15471248:-1 gene:OGLUM01G16480 transcript:OGLUM01G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDGATRRDTTHGERPVTVYIDASGPAFQFYRSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVLQPYGTCGLAVSPFYPTCTDQTSSPTLAYTTHNYSQVSSHNSQEGNYS >OGLUM01G16490.1 pep chromosome:ALNU02000000:1:15487813:15498897:-1 gene:OGLUM01G16490 transcript:OGLUM01G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDDTDPQAGNLREAKGEEGQRQGSKQQNAVGQERRLKMTHFKISSLSSPLLSLPHLSLYSRGPVWAARLTTSGGRGRRLARPAAASGSVDRWRVAWSTNVGSNAAVECLFEILRRLPGGCEHGASTCISRSWFVMEDDELSANITDGS >OGLUM01G16500.1 pep chromosome:ALNU02000000:1:15488978:15490393:1 gene:OGLUM01G16500 transcript:OGLUM01G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKPLLLGLLLSITCLLQVLLAAANPQPPPPPSCDKSDKELRFMFSQWMAKYAKHYSCPEEQEKRYQVWKGNTNFIGAFRSQTQLSSGVGAFAPQTITDSVVGMNRFGDLTSTEFVQQFTGFNASGFHSPPPTPISPHSWQPCCVDWRSSGAVTGVKFQGNCASCWAFASAAAIEGLHKIKTGELVSLSEQVMVDCDTGSFGCSGGHSDTALNLVASRGGITSEEKYPYTGVQGSCDVGKLLFDHSASVSGFAAVPPNDERQLALAVARQPVTVYIDASAQEFQFYKGGVYKGPCNPGSVNHAVTIVGYCENFGGEKYWIAKNSWSNDWGEQGYVYLAKDVWWPQGTCGLATSPFYPTV >OGLUM01G16510.1 pep chromosome:ALNU02000000:1:15499008:15499814:1 gene:OGLUM01G16510 transcript:OGLUM01G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHKPKSMPLAVFVSNANADNDHDHDGYGSSLFVMERIPKPELGSNSDHCSSPEITSYAVLGGGSHICLSVNGVGTYCLETASHTRSEVGKWTPPFHGRIDYVPEFNLWFGLSAEARHLAASDLSAMDSQPQLVGPWKELNLPEEWRECKDPQLVNLGSGRFCIARFFRSNSDFGDGPIAVITGVKVVPHVGDANANANKGSNGKVQLQMIPHISKCYTSNATTIDAVF >OGLUM01G16520.1 pep chromosome:ALNU02000000:1:15503020:15504144:-1 gene:OGLUM01G16520 transcript:OGLUM01G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPMASAFLLVVCTLMALQAMAASAYYNNGSDDGVTMQMFEEWMAKFGKTYKCHGEKEHRFGIFRDNVHFIRGYKPQVTYDSAVGINQFADLTNDEFVATYTGAKPPHPKEAPRPVDPIWTPCCIDWRFRGAVTGVKDQGACGSCWAFAAVAAIEGLTKIRTGQLTPLSEQELVDCDTNSNGCGGGHTDRAFELVASKGGITAESDYRYEGFQGKCRVDDMLFNHAASIGGYRAVPPNDERQLATAVARQPVTVYIDASGPAFQFYKSGVFPGPCGASSNHAVTLVGYCQDGASGKKYWLAKNSWGKTWGQQGYILLEKDVLQPHGTCGLAVSPFYPTV >OGLUM01G16530.1 pep chromosome:ALNU02000000:1:15528952:15530423:-1 gene:OGLUM01G16530 transcript:OGLUM01G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEDMGRSMGWLSNTIDQRISEQPQTNRRPNDDRSTAAERRQRSKRRRQEGAGGQGAGVCQGRGRGLRHGWGRRRERPCSAGAATIGLRWLLAGDVARCGFGRRRGASSTHAWRPGARRRMGAASGGSAVLGKEGRDDDRQGIYKIVGFDKNSKLTLKNGLNQG >OGLUM01G16540.1 pep chromosome:ALNU02000000:1:15531887:15554387:-1 gene:OGLUM01G16540 transcript:OGLUM01G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMKRKRVGSSSGGDSTLLHTFGDGALAAASDLRARRGFGGACRHGGAGTGRKPATSTSWRTRGSGRRLLVERENKEEDEGYDKWGHGHMCENVKQTNLGSHRGRRKAELAAVGLAAAELGVAAGDSLARGELQGVLLLAAASTASVDVPRCRLGEQYACQLGPGQLRAPRMDIV >OGLUM01G16550.1 pep chromosome:ALNU02000000:1:15541316:15547379:1 gene:OGLUM01G16550 transcript:OGLUM01G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKGRTEMEVGADGVAVITICNPPVNSLSIDVLLSLKENYAEALRRNDVKAIVVTGKGGKFSGGFDISSFGSVQGGKVEQPKVGYISIDIITDTLEAATKPSVAAIDGLALGGGLEVAMACHARISTPTAQLGLPELQLGVIPGFGGTQRLPRLVGLTKALEMMLLSKPIKGTEAHQLGLVDSLVSADDLVNTARRWALDICELKRPWIKSLYKTDKLEPLGEAREILKFARAQARRQAANLEHPLVCIDVIEEGIVSGPRAGLWKEANAFQGLLFADTCKNLVHVFFSQRATSKVPGATDLGLMPRKVTKVAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTEERYEKAMSLVTGVLDYERFKDVDLVIEAVIENVKLKQQIFADLEKYCPSHCVLATNTSTIDLNLIGEKTRSKDRIVGAHFFSPAHVMPLLEIVRTQHTSPQVVIDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALLFVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAAATGMQYLENFPERVYKSMLIPLMMEDKRTGEASRKGFYKYEDKRKATPDPEIMKYIEKSRSMAGVTPDTELMKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGIMFWADSIGAKYIHDKLEVWAKRYSDIFKPCSYLAERAANGVPLSAPAKQVKARL >OGLUM01G16560.1 pep chromosome:ALNU02000000:1:15550402:15552460:1 gene:OGLUM01G16560 transcript:OGLUM01G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAAVKKADGKTQQALKVAKAVKSGSIKRKSKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >OGLUM01G16570.1 pep chromosome:ALNU02000000:1:15555494:15556536:-1 gene:OGLUM01G16570 transcript:OGLUM01G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGLWGGNGGSAQDITVKPSKLTGMTIRSGQAIDAVGFTYIGTDGQEHVVGPWGGDGGSPTTIKFGPSERVKEVSGTHGTLQTLADILTYLKIVTDVTTHEFGVPNGTAFSVPLQDDARVVGFFARSGLLVDAIGVYVQP >OGLUM01G16580.1 pep chromosome:ALNU02000000:1:15559874:15560408:-1 gene:OGLUM01G16580 transcript:OGLUM01G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVKIGLWGGNGGSAQDISVPPKKLLGVTIYSSDAIRSIAFNYIGVDGQEYAIGPWGGGEGTSTEIKLGSSEHIKEISGTHGPVYDLADIVTYLKIVTSANNTYEAGAPNGKEFSIPLQDSGHVVGFFGRSGTLIDAIGIYVHP >OGLUM01G16590.1 pep chromosome:ALNU02000000:1:15564296:15564829:-1 gene:OGLUM01G16590 transcript:OGLUM01G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWEDNVSNHGMAFIIGARLECCRRLLLVDAATNRCSPPAPLAIASWCSSSEFIGWKIREEE >OGLUM01G16600.1 pep chromosome:ALNU02000000:1:15564896:15565488:-1 gene:OGLUM01G16600 transcript:OGLUM01G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEELTLLEKGALLPVLNLLYTRLYNREHESLTKRCPAKAYAAACRTDCLRASRRHPPRGRPPPAAALSAAGAAASRPQALRPPLPSICSRMRRRG >OGLUM01G16610.1 pep chromosome:ALNU02000000:1:15565531:15565872:-1 gene:OGLUM01G16610 transcript:OGLUM01G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHASGDASHGIRDEVQHTRWPERASQGHGPSKPENQKMPLLVLIFFLVLGVLYPAAAAKEQFLFNEFTSINLIFDGMVMVTLNGLLMLTNNINKQRPCLVLVPATPVPQDAQ >OGLUM01G16620.1 pep chromosome:ALNU02000000:1:15565952:15566293:1 gene:OGLUM01G16620 transcript:OGLUM01G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAPTVVCVKCRLLPLCLSPLTSPPRVGFGTTFLKWLAFFAAVMRVLLLSDSNGELAHTMGVELDLSDKPAGHDIRSRHYAFLAEHGVVKVLNLKKGVDFTSSSIEEMLKAL >OGLUM01G16630.1 pep chromosome:ALNU02000000:1:15571047:15571899:1 gene:OGLUM01G16630 transcript:OGLUM01G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSRSQGYRGWSCHGTATNTGLMAATMAGGAMAILLNLLEQTRHWMCPSPTTRQYPIASDITDSALKIREYTVQVLFDLQCVFKLAASLVLTK >OGLUM01G16640.1 pep chromosome:ALNU02000000:1:15583883:15588278:-1 gene:OGLUM01G16640 transcript:OGLUM01G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y847] MMMTRASMGAMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEAKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYLFLGDYVDRGKQSLETICLLLAYKVKYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCLPMAALIDDKILCMHGGLSPELNSLDQIKDIERPTEIPDYGLLCDLVWSDPSPDSEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNDTGAPHSRKPTSNKTPKTGNA >OGLUM01G16650.1 pep chromosome:ALNU02000000:1:15588693:15594192:-1 gene:OGLUM01G16650 transcript:OGLUM01G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLVVFQDLIQRICIAESLMGHRLPCRSDGKASSNSHHGVTAPSAAVVSGTGARRLLGEGTAGSGHRSTSPVRTPRRSDWAAATARRGGAASGRVGGGRGVEGAVPGGEELDRRRTGRARVKARSRDVMNHKRKIEVIVKQTGIGEQYTVVLGTKRFAIKTL >OGLUM01G16660.1 pep chromosome:ALNU02000000:1:15596876:15598136:-1 gene:OGLUM01G16660 transcript:OGLUM01G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAIPLLVLGMALCALPLFPSVASVSCDLRCHRVGFVFCGRGVGVPNPSKRTQP >OGLUM01G16670.1 pep chromosome:ALNU02000000:1:15608975:15610100:-1 gene:OGLUM01G16670 transcript:OGLUM01G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAARRRGRRRIWRLCWWVEPDPAPASPPAVAAMLGRALGDARDAAMLGRPASPTAAALGVGSGRGRRIQRLCWWEEPDPAPTSPPAAAAMLGRALSDARDAAMLGKPASPLAAALGVGSGRGRRRGVGGGGSGGCVGGESRIRCLPPHQRRRWLSAFARWVGMDPTAFAFISRRWQRRSSRLRYVSSVTAGCPIEIFYHITCLCSMTGALPDRDEDCRLLQMTKPVLVTSPLS >OGLUM01G16680.1 pep chromosome:ALNU02000000:1:15611267:15614670:1 gene:OGLUM01G16680 transcript:OGLUM01G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPSVSSVVIPILVVLVSRLLTSALVHLLWKPYAITKLFRGQGITGPKYRLFVGSLPEIKRMKAAAAADEVAAGAHSHDFIPIVLPQHSKWATDHGKTFLYWLGAVPAVSLGRVEQVKQVLLERTGSFTKNYMNANLEALLGKGLILANGEDWERHRKVVHPAFNHDKLKFMSVVMAEGVESMVQRWQSQIQQAGNNQVELDLSRELSELTSDVITRSAFGSSHEEGKEVYQAQKELQELAVSSSLDVPALVFLRGNTRAHQLVKKSRTMLMEIIEGRLAKVEAAEAGYGSDLLGLMLEARALEREGNGLVLTTQEIIDECKTFFFAGQDTTSNHLVWTMFLLSSNAQWQDKLREEVLTVNMVLLESLRLYSPVVIIRRIADSDIDLGNLKIPKGTVLSIPIAKIHRDRDVWGPDADEFNPARFKNGVSRAAGYPNALLSFSQGPRGCIGQTFAMLESQIAIAMILQRFEFRLSPSYVHAPMEAITLRPRFGLTVVLRNLQG >OGLUM01G16690.1 pep chromosome:ALNU02000000:1:15681972:15686359:1 gene:OGLUM01G16690 transcript:OGLUM01G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLESLEQADLIRWLMDRTDPDTMCISIDDDRKIQITPRTMRLVLGTPLGGNDIVLPSHKVVRTVHESITDELGMHKKARLSAKQLIEVIRSQKDDPRAVRYFIMVLMSKLLVPTTDFYVPKGDVWVASDLDRVAAIDWSKAVFQALSDSIRCWRQNPASSIASCVVFLVVLYLDNILPPRDIGLDLTFTPCMQMITKDIVDKLVAANQEAGGDGTPPFGNLPLRLLESTCYANKPTGGAKGPMVEDIRAPAYTFPNMSTIIGPHLAGLPSDQRIRLLESLAELVVDKQHMLCQSVIDVLQANRVPHPPPVVPQAAQCQEASHRQSDVQFTSAEINPNDSEDQQQQHHHGCNGSPSALHQHTIHPNAPTHVSPSLEIVPYIPPVRLEVADQPHPASAHSPDLTQRSPIPTDSAPLTSDEVSAQYSAPDTTVEPPAIEAGGVIGNVSGASTAIQTEDAPRAVDQEQHRMELLAETNEDTDDVNSTPWSQPKQFIQKPARFVSPVVVGPSIMPSDVSLSVQLRDFLLTNGSHMDRRVDIMDSNNYNLIGTLESDHHRALSKRIVKRLSDALHETDSSLVRSSILHQLMFSEYNQAAPLHPDIEEFRRSNAVDSAA >OGLUM01G16700.1 pep chromosome:ALNU02000000:1:15692030:15692461:-1 gene:OGLUM01G16700 transcript:OGLUM01G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETQTMKTHFVVPTKKPTADATPQQEEHESDLPEEFRVTSPPPLSPPPYPLSPSMEDDGMIYAEDLGYMSTPCPSPPSDVDDLNPLEDPNNKIILHPAFIDDDGDLDIIQDDIYNFRYDQTPPRDAQSPATRFKRHKRD >OGLUM01G16710.1 pep chromosome:ALNU02000000:1:15704660:15706027:1 gene:OGLUM01G16710 transcript:OGLUM01G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSFAVHRREVVLVGPSAPTPCETKRLSDIDDQESLRYHVPGLLVYRGGQPPPCVRDNDPSGIIRAALSRALVHYYPLAGRLREVEGRKLVIDCSGEGVVFVEADADVRLEEMEAAAAGGHGLRPPFPCVDQLVPDVLLSSGRGGCVLSCPLVGIQVTRLLCGGFIVGTAVNHSVCDAMGIVQFLNAVADIAGGLPAPAVHATWSRELLDARSPPAPAFPHREYDMIDILIPRGGREADNIVRSFLFSSTDIAALKEEALRHPEHRLRGGGSTATSFEVLAAFLWRARTAALEIPADEEVRLVAVVGFSRIAALGLPSGYYGNTCAYPTVVMTAGELLRGCTLGDVVRLVQEAKATVTAEYVRSTAEYLVLRGRPRLARTNLFVVTDVRRVGFDRVDFGWGHPVYGGPARALHTVSLLVNVKGSSNVVGAVVSLPSLAMGRFSAQLENFLST >OGLUM01G16720.1 pep chromosome:ALNU02000000:1:15706029:15743528:-1 gene:OGLUM01G16720 transcript:OGLUM01G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVKWLWPCGKDHITTSVAGAPCPLNGRELRGREGKVGAGESSNGKSTTIVQYVASGVNEYV >OGLUM01G16730.1 pep chromosome:ALNU02000000:1:15743605:15744484:1 gene:OGLUM01G16730 transcript:OGLUM01G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGEQEKWQRRRCGSASEEAYYSRLQLGIGSGSGGAAKAGRARVIAADLPEPVRWLPPKLVLPGCVAIAARTRFSCYLAGARAVDNTAAKKKDIAEKINHAGFDGLVYATVAAALTNGQRSQQLAEMAQPARCTAVFNI >OGLUM01G16740.1 pep chromosome:ALNU02000000:1:15759028:15762903:-1 gene:OGLUM01G16740 transcript:OGLUM01G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAMAATCSALSSLGDIMLVVREEEEETREGGAVELLAAELTAVHAALRDDYLADVPPARLDEQAKAWSGHARELACDVHDAVDAALLARRADDSPDAAPAATVKALLERAADLSRRRPRPTAAVDPRRPAAAVDAGPPATEIVGLDAAKDDLIKKLCDDVDGDEQSEQRLKTVSIVGAAGLGKTTLAKMVYDTLRPRFDCGAFVSVSAINPDMSMVFMRMLRQLDDDDKHESVGGEEPSVSGEAQLVDQLSKFLRDRRYLIVIDDLWDKPSWEMIKHALVENYCGSRIITTTRNFSVADQAGMPYELKPLSAENSKILFLQRIFGHDNKICLDDEFAEVADKILKKCDGVPIAILALASLLAGKIGDKKEWYKVHNSIGSTLENSADVKNMRMTISGLLLYLSIFPEDYEVRRDRLIWRWIAEGFIQDGNHEESLFELGESYFNELVNRSMVKLLDIDYSEDGIREEYCCRVHFSVMDLISSLSSEENFVTILNDEQQTCSSNKGCRLSIRGSKASVDTTNQATMMSMLQARSLSVFSPAIGSINLSEFKVLRVLDLEGCDISQSHHVLNDHLGSLIHLRYLGLRNTRITELTEDVGKLQFLQTLDLADTRVKELPATVFRLGKLMCLRVEFQTRIPSGIGNLVSLEELSDISTGDSPDLVNELRNLTKLRVLKITLRQPTQSTEEALVESLRNLRKLQDLHIYAASGNGHKRLLDLLQDGSWTPPPRLRSFSAEATYISCSPLRLLPAWIAASVVPRLAVLLIQGRELRQVDIDALGKLPVLRTLRVEPYEMKEMIVIGRDAFPCLKECRFRNSDLGPVIQRGAMPRLRIIEFCFGVRQTKDLGNGFDFGLANLGCLEEATVYINCKEATEPEAEEAEAAVKHAADTHPNHANFDMNTYGEELMRFDDDD >OGLUM01G16750.1 pep chromosome:ALNU02000000:1:15764295:15764888:1 gene:OGLUM01G16750 transcript:OGLUM01G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGQPKLPCHSWARCWRCFSLTPYYLLEPAPTPSAQLPPVTAATDGDGAQAAEDGGDEPGWQRMAAEPEQRWTRRRTQVPPPITAVPFLPPLVPAAAMGRRRVIARSHPPPELAVNGSPALKIGGNGTSASHLPEERIPASLLPAFHLLPTPVLPLSTSSRRRMCPSHIHLHPRPSGAACHLLLPCHGKVGKEKK >OGLUM01G16770.1 pep chromosome:ALNU02000000:1:15793886:15801412:1 gene:OGLUM01G16770 transcript:OGLUM01G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHINIPPVLFLLCFPPSLIIFLVDGHGADSQQESVAVGGNETVAAPAREIGAPGRRGAGAGGRVFYVGGTPFALYREGSAGRGVVGNAASAAGMGAEEEEEPASAVGREGGGGGGGARAAGAGAGGDTADDDDSGESAAAVVPCSICLDAVVADGGDRSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRQIERGNWLYANGSRPSQDVSNDDWGHDEDFYDANQPETSRSVFLPFRFQWCPIGRLAQLPSVFDEGESAPPVTFHDFMGQNFTSEHLPVSAPGATPPGPYIAYFQPLQSSASSSSSHVTERTMDGTTYHDHWNPLPGPSDGRPLATVHPIDFHHNHWTHLPNSYSQPNSNNGVAEQMAIPVVPMRVGGLDSDSQQRGSLPSVYGNGSGSRSRIPSVPPMAPQFMRPHGNINEQYQQNSSSLYAAPQRRTAVQAVQDSMNFTLFPQAPTGPNSMETEDAGGNQFYAWERDRFAPYPLMPVDSEANWWGSTPQSHGATDHSAAPGRRLFGQWIGAGRSPPPPPPPPAENRSPDNSSYRQMHIPRM >OGLUM01G16780.1 pep chromosome:ALNU02000000:1:15819189:15829148:1 gene:OGLUM01G16780 transcript:OGLUM01G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y860] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGLDAPAAPPGDLRTLSGVGMLIHQFKALLAPKKIYPWRSSHLQSLELEPMLFQTPNPIKKKKPTPLFSILFPNPDEGMSARLRLAGGGAPRRDAAPPRPRRLRRQIMNDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALESDAGGNFMVYSRWGRVGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLVCDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRPGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >OGLUM01G16780.2 pep chromosome:ALNU02000000:1:15819189:15829148:1 gene:OGLUM01G16780 transcript:OGLUM01G16780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y860] MWTAAGRRLHQQRDLHAILRTAHRRCCTRPIGGGLDAPAAPPGDLRTLSGVGMLIHQFKALLAPKKIYPWRSSHLQSLELEPMLFQTPNPIKKKKPTPLFSILFPNPDEGMSARLRLAGGGAPRRDAAPPRPRRLRRQIMNDDGDDKVQCKKEKIVTATKKGAAVLDQYIPDNIKTAYHVLQVGDEIYDATMNQTNVGGNNNKFYIIQALGTRGKGKLQGPFSREQAIDEFERKFHDKTDIHWSYRKGSHCYAHKYTWLEMDYGEADKETNKKTSSITNQLEETKLETRTASFISLVCDISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISGADTDRTQLEQLTGEFYSVIPHDFGFKKMSEFIIDTPQKLKAKLEMVEALSEIEIAIKLLEDDSSDQDDPLYARYKQFCCDFTPLEVDSEEYSMARERKIKTYLTNTHGKTHTGYTVDIVQIFKVSRPGEMERFQKFASAGNRMLLWHGSRLTNWAGILSQGLRIAPPEAPISGFMFGKGVYFADMFSKSANYCCASEACKSGVLLLCEVALGEMNELLYGDFGADNLPNGKLSTKGVGQTEPNIAESKITDDGMVIPLGKPEKGSLMYNEYIVYNVDQIRMRYILNVNFNFKRWG >OGLUM01G16790.1 pep chromosome:ALNU02000000:1:15836019:15843089:1 gene:OGLUM01G16790 transcript:OGLUM01G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y862] MSARLRVEKLRAELQRRGLDASGNKPVLVRRLDAAIRKEEEEEAAVSAAAKEEADAGGVVDGEGNGEDKRKRKRRGDGEDVDNSESDAAKLEGMSYRELQALAKSRGLAANGSKKEVIERLLCAPSDTDGGVQDKKKIAKDGDDRVEECRKEKIVTATRKGAAVLDQHIPDHIKMTYHVLQVGDEIYDATMNQTNVGDNNNKFYIIQALGYNADKLPLGKLSKSTIFKVEALGEIEIATKLLEDDSTDQVALGEMNELLNADYDANNLPKGKLSTKGVGQTEPNTAESKITDDGVVVPLGKPKAEPSKRGSLLYNEFIVYNVDQIRMRYVLHVSFNFKKR >OGLUM01G16800.1 pep chromosome:ALNU02000000:1:15845928:15846601:-1 gene:OGLUM01G16800 transcript:OGLUM01G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSTVVPQPVWSSPPMTAPLTGSPSPAAAPLKWLLPPTVTPPTRLLPLVASSPASPTPWNLYAATPKSTAQQLTSVMCLFRGGSSRNLPVSQAGCMSLEAQGSSRRGSAAELRRSGPLLLFSLIWKFGSLDWLASTLLEMVSLLALHPSAMYWGLTP >OGLUM01G16810.1 pep chromosome:ALNU02000000:1:15847751:15850153:1 gene:OGLUM01G16810 transcript:OGLUM01G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y864] MLRRQPGDAPASAAASEADLAQLSTAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHAALLSSAAPLLASLESFLAARGLAGNLSSALASSRRCVRLLALANRANAHLQGGNHNLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSLVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADVADGAAAASFDLTPLYRAMHIHHTLALGDRFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDSAVAKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLARHRDKYHDLLLADCRRQVVEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGGGLSVSQAMQVAANMSVMERACEFFTGHAAQLCGVPLRAVERGRRDFPLRKSRDAAEALLLRLLRSKVDEFMGQSDGVTWMADDPPAGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHISERIVGLFLNDSVKRFNASAVTGIDTDLKMFEAFGESMSSLFVDSDQESAANEMKAALVEARQLVNLLMSNSPENFLNPVIREKSYNKLDYKKVAAISEKFRDSSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >OGLUM01G16820.1 pep chromosome:ALNU02000000:1:15856816:15857581:-1 gene:OGLUM01G16820 transcript:OGLUM01G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y865] MAYYAIAPLLCTLMHNELYMHLYINQTISGPNPNQLVVVNGSQQAPLFFGLTAISDWTILDGPGPNASVVGRAQGMHFQSGHIREKWYTSMNFLFEDTRFNGSMLQVMGTTTQDDQWAILGGTGEFVAAEGIVEHKIVQVDSTGRIYEIKIHAFYIPMNSSAVRYIYIYMYIYIHICIYISLK >OGLUM01G16830.1 pep chromosome:ALNU02000000:1:15864413:15864713:1 gene:OGLUM01G16830 transcript:OGLUM01G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKARLGFVATIASLLSAVARLHHPPMFAATAGKECLGFVAVGSSASVGKMGDERSGGGHLGRRNDRSGGGTMVRGAREARRRMTVEEDEAGEE >OGLUM01G16840.1 pep chromosome:ALNU02000000:1:15865762:15868925:1 gene:OGLUM01G16840 transcript:OGLUM01G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPVPSVQSMVAADGGAHVPPRYIRPRDEAVATDGETEIPVIDFQRLQLGHDEEMARLDRACQDWGFFQLINHSVPEDVVDGMKANARGFFELPAETKKQFAQERGQLDGYGQLFVVSEDQKLDWADILFLNTLPVQNRNFRFWPNQLAKFRSALDKYSAAVKSIVDFLLVTVANNLGVDPEVIANKCGTDGIQAVRMNYYPPCVQADKVIGFSPHSDSDLLTLVLQVNEVDGLQIKRNETWFPVRPLEGAFIVNVGDILQIFTNGRYKSAEHRAVVDMKKERLSIAAFHSPSVHAVIGPLKEMVAHEHEAVYRSIGHDEFMKLFFSSKLEGKSFLDRMKKL >OGLUM01G16850.1 pep chromosome:ALNU02000000:1:15873300:15874231:1 gene:OGLUM01G16850 transcript:OGLUM01G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRLRHSSIGGVLRRRRATAAMSSGVGKRRQRRIRWRSTELRDDVEMTHRRRAADAGVEEEREKRRGRKRGSASGLYQRLRCGRKTVTTTARLLEAARSNGGGGSGFGKRGKKGWWPAVFIEEGVARVRAHGACFGKAAAPNLAARLAGFFGGRVCLRRGLGRRRLGPGTQRGGCRAEGSTWARGLASPGLQLKKERELEVEEDE >OGLUM01G16860.1 pep chromosome:ALNU02000000:1:15892719:15893462:1 gene:OGLUM01G16860 transcript:OGLUM01G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMELQRRAASAAHPFPLSFSSDSLPFPAVQRWWSGQLYVHEAGGEGGSVGGGSVSCMCMRQAGRQQVMKMPPTHAGAADPGEVGPNHEGEVAALVEVNAGSAVADVSIVQVLLVTPASHGVGSPGIPAVPADVEALNEPAADGVADLAVAAHGVGPPPPPPATRAISASADGLPGELCLRRWSSERVRLLRSRPSERVGEMARERERGLG >OGLUM01G16870.1 pep chromosome:ALNU02000000:1:15895948:15896839:-1 gene:OGLUM01G16870 transcript:OGLUM01G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y870] MAYYAIAPILCALVQNELYMHLYINQVYAGQSTNQLVVITSSQPQGFGITVINDWPITDGANTVGRAQGLHFQSGQTSQKWYTSMNLIFEDTRFSGSSLQVMGTIPQDGEWSIIGGTGEFVAAQGIVEHNVIQEAGGAWTYELKIHAFYTPMQSSGVGAYGCNSWKLGP >OGLUM01G16880.1 pep chromosome:ALNU02000000:1:15912314:15914231:1 gene:OGLUM01G16880 transcript:OGLUM01G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPVPSVQAMVAATGIDHVPPRYLRPTDADEPVASDGGEAEIPVVDFWRLQLGDGDELARLHIACQDWGFFQLVNHNVPEDVVEGMKASIKGFFELPAETKKQVVQEPGQLEGYGQLFVVSEDQKLDWADILYVKTQPLQDRNLRFWPDQPAGFRMALDRYCAAVKITADGLLAAMATNLGVEPEVIAERCVGGVQSVRVQYYPPCGQADKVVGISPHSDADLVTILLQANEVDGLQIRRGGAWLPVRPLEGALIVNVGDILQV >OGLUM01G16890.1 pep chromosome:ALNU02000000:1:15932087:15967766:-1 gene:OGLUM01G16890 transcript:OGLUM01G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYFPITGDPPALPSACQACAAYHTRATAAAAPTHTTCDGGNALPGENIKRQASRRLPPFTLPLGSASWRFVVAAAAVQRLGAEAEEDAAEAEGILKIKAGHCPNGNNHQFSHIILAWGNAIRLVGSPPSRMKDAMDATAAERARWRRGGEKGRGGEEATAARVRWSSGKKAARAWWRRGSGGEGEVELGEEDGKGEVERRRAARIWGCRRRGELVAHVRQGVEQDRTEEQETAAELSRPPGRTWSIDFLKTVRSNPNKVPLPAFVQDTLGTMQAVEPCMGWKEQP >OGLUM01G16900.1 pep chromosome:ALNU02000000:1:15946632:15950165:1 gene:OGLUM01G16900 transcript:OGLUM01G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAFERRRKMGWEWYDRLIDREEDQIMMMGKAAAAATTTMISGVVILARIQQPRVLGLTTAMGSRRESFGVDHGVRITDSVPPPSQVWWRQFRVVGLGAVDPDLVTKAAGSRPTAGRALVRAAGEGSINGAWGRGASCGEARYGWQSSSHSRR >OGLUM01G16910.1 pep chromosome:ALNU02000000:1:15963981:15965458:1 gene:OGLUM01G16910 transcript:OGLUM01G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCQAYLEQTLCWTSSLLIDFFQLLTPARRVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVLGKHKPELLGLGEGGSVEESAMVDMWLEVETHQYEAAVKPIVWHCLVHQHVGLERDQGVVDESVEKLRAVLEVYEARLSSSSAGRYSYLAGGDRVSLADLSHVPLMHYFTATEYGGVLGEYPRVKAWWEALLARPSVKKVIAGMPTDFGFGSGNLP >OGLUM01G16920.1 pep chromosome:ALNU02000000:1:15968166:15970848:1 gene:OGLUM01G16920 transcript:OGLUM01G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTQGKPRLLKFYSYHAPEKHQDLVRAVFQLLSARPDSVSNFVKVDAIFGPGAKLIYKHLATLYLGFVFDGSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKAGETAKHNQPHTEVDFRAFQPMSRVMDRNIEGLIRRNQIAFFSSRVATLSSLEHLPFDMNMRACSHREFLCVSRMKL >OGLUM01G16930.1 pep chromosome:ALNU02000000:1:15980133:15986545:-1 gene:OGLUM01G16930 transcript:OGLUM01G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSMANADGESTRTGYCAATKSFRSLRPPVPLPPPDVPLSFPEFAFSLMPRSSSSSSSSLLPANPALVDAATGEAVSFQAFLSRVRALAGALRSRVGLRGGDVAFVLAPAGLDVPVLYFALLSIGAVVSPANPALTPAEVSRLVSLSGASVAFAVSSTATKLPAGLTTVVLLDSPHFRSLLMDCGQAQGQEPLPVVVVRQSETAAIQYSSGTTGRVKAAALPHRSFIAMVAGFHALRAKAREVRTLLGAPMFHSMGFLFVLQGVALGATTVVVTDAVARAGIRGLVEAAERWAVMDMTASPPVVLGMTKQRCRLPALERITCGGALLPAAAIERFRRRFPHVDLCMGYGSTEAGGISRMISQEECNHIGSAGRVTENVEVKIVDHVTGKPLPAGQQGELWVRGPAVMTGYVGDNEANATTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKAYQVPPAELELVLHSLPQIVDAAVMPYPHEEAGQIPVALVVKQPGSKLTEAEVMYNVAKQVAPYKKIRKVLFVDSIPKSPSGKILRRELVNHLRLCELSRL >OGLUM01G16940.1 pep chromosome:ALNU02000000:1:16025472:16028722:1 gene:OGLUM01G16940 transcript:OGLUM01G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARLTWPYAEAAPAQPTWLCGAVVVRRRARAVLGCGDDDDGISGGRRRMYAGKEEEGGVLAGFIATARREIAELVLPSFKEKAGGTGEERTATQRCWTAAAQDLDVDIATGGEGQESKFSGCYNATARRRCGALDQFLLYRGSTPNRDGGGACGGSDGLAPPIGMVGIATPCVAPWSKANTDGCEKEDGGGGREHSFLLSRLHISALAMPPWWSCCSAGAGRGVDGVTCAKDLAYLSDTGKSGNAGYPIIVASHAVDLEELVSEKLVGAGDPAGDELRMSIQSPRR >OGLUM01G16950.1 pep chromosome:ALNU02000000:1:16046494:16052802:-1 gene:OGLUM01G16950 transcript:OGLUM01G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAVAGSVEMPVNLLPALHSADTGAAAASTTAPCSSATSSGSRVSLYPVLLLLGHGRATKLMVMVSFADVRKEDGSSSFRVGRAVMPKLFLEDVSAEVLDRGGGAAGIERRDGRYDIWAQGHGNHERLAAWLAPWRLLSSGGKVLGEEEKAAENIYIKCC >OGLUM01G16950.2 pep chromosome:ALNU02000000:1:16047173:16052802:-1 gene:OGLUM01G16950 transcript:OGLUM01G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVAVAGSVEMPVNLLPALHSADTGAAAASTTAPCSSATSSGSRVSLYPVLLLLGHGRATKLMVMVSFADVRKEDGSSSFRVGRAVMPKLFLEDVSAEVLDRGGGAAGIERRDGRYDIWAQGHGNHERLAAWLAPWRLLSSGGKVLGEEEKAAENIYIKGQFQIIM >OGLUM01G16960.1 pep chromosome:ALNU02000000:1:16054948:16057333:-1 gene:OGLUM01G16960 transcript:OGLUM01G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDVAPKLGFQKPSLIESRFFPALQGESTKMSASDPNSAIYVTDNSKQIKAKVNKYAFSGGQDTVELHRELGANLDVDVSIKYLNFFLQDDDELEHIKKEYKAGRMLTGEVKQRLIEVLSELVARHQRARAQVTEERSSRICNSGYKAHNP >OGLUM01G16970.1 pep chromosome:ALNU02000000:1:16093398:16093685:-1 gene:OGLUM01G16970 transcript:OGLUM01G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPPCKEVEQVVTPWEVSAPEGGGTIDYEKMVDRFSCNRLDVALVDHITRLTSRPPHHFLRRGIFFAHRCSSAAKLEELATELRMVFVCWIGI >OGLUM01G16980.1 pep chromosome:ALNU02000000:1:16096202:16099084:-1 gene:OGLUM01G16980 transcript:OGLUM01G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSMTSSAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTMNFLYNISVREGYGDGDSGRPAHWRTAPHRRRSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSSYTSSLNLWYLLSSRSKCEDSSVGAGSKEYVHTLIQSFRFKVINVKMF >OGLUM01G16980.2 pep chromosome:ALNU02000000:1:16096440:16099084:-1 gene:OGLUM01G16980 transcript:OGLUM01G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSMTSSAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTMNFLYNISVREGYGDGDSGRPAHWRTAPHRRRSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSSYTSSLNLWYLLSSRSKCEDSSVGAGSKEYVHTLIQCGTTEEKD >OGLUM01G16980.3 pep chromosome:ALNU02000000:1:16095879:16099084:-1 gene:OGLUM01G16980 transcript:OGLUM01G16980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSMTSSAPTPSPNVDEVQQEMAVGRSASARIRDRASLREGYGDGDSGRPAHWRTAPHRRRSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSVIQIQGDQRKNVLIFQYFEIHFLAVQFDKCNSLPFCIHVESYINGNFMSYDFEIYRTDSFEWYNSIGNLFNDEKHRYQQLISMVKSNSNKKVKLPSTILVDQPYKHHTWIRKPLRRSVYP >OGLUM01G16980.4 pep chromosome:ALNU02000000:1:16096606:16099084:-1 gene:OGLUM01G16980 transcript:OGLUM01G16980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSMTSSAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTMNFLYNISVREGYGDGDSGRPAHWRTAPHRRRSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSE >OGLUM01G16980.5 pep chromosome:ALNU02000000:1:16095879:16099084:-1 gene:OGLUM01G16980 transcript:OGLUM01G16980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNSMTSSAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTMNFLYNISVREGYGDGDSGRPAHWRTAPHRRRSSSLLPSSSYSLGQPKRMIIGSGFSIRRQMLANIQRFICSVIQIQGDQRKNVLIFQYFEIHFLAVQFDKCNSLPFCIHVESYINGNFMSYDFEIYRTDSFEWYNSIGNLFNDEKHRYQQLISMVKSNSNKKVKLPSTILVDQPYKHHTWIRKPLRRSVYP >OGLUM01G16990.1 pep chromosome:ALNU02000000:1:16112385:16115483:-1 gene:OGLUM01G16990 transcript:OGLUM01G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPAPAMDHAGNAGRSPKKRRSEAPEIGDAEEEEGVDVISDLPDAILGEIIARLPTKEAGSTQVLAKRWRHLWRSTPLNLDYRPLRARKDALAGAVSRILSAHPGPCRRLCISTYQLLHWPDAVDAWLRSDALDNLEELELFRECLYTERDMQPRPPPASIFRFSSSLRVATIGKCHLQDAIVQMLHFPKLEHLGLEDVVISEGSLHSIIAACPVLECLLLVRAIGFRCLRINSASLTSIGVDILYFPAEQIELGELIIEHAPLLEKLLNLGVRNELDVSIISAPKLVTVGCLCQQFCHRHSRFTFGTTVIKGVKNESLPEVVHNVKTLAVSVLLLDVDKVVDILRCFPCLENLYFKSCELTSKVVWRKKYRNLTKSLDIRLKTVVLEDYRGIWAEVHFAQFFVLNARTLEAMKFFVTCKDYYKGFAAEQRNVLQLDKRASSGARFIFTTKGCFHDAACIEHVQDLSFTDPFEYVEIGLVLGGLILDYPHLVFRPT >OGLUM01G17000.1 pep chromosome:ALNU02000000:1:16115805:16116125:1 gene:OGLUM01G17000 transcript:OGLUM01G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDLGGGEMTGRWRHSSTSLPSPPARSIRRHRYRRGSGLVFVLILGSLSMASMTKGKEDGGGDREEEEAAASRSSVGPKTMCGMHRECRSGAGDTLDLQCEFDM >OGLUM01G17010.1 pep chromosome:ALNU02000000:1:16115814:16117954:-1 gene:OGLUM01G17010 transcript:OGLUM01G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYITLSEVALHAIIIACPLLETLLLEHIYGFHCVRISSPRLRASELNFTLFISTLSPDKLALPEVLIGSQSPSSANETGVAGTRSALPMHAAHGLGPHRRPARGGLLLLAVTATILLALCHTRHGQTAEDENKDEAGSAPIAVAADRSRRWRGERGGRMPPPAGHLPSAQI >OGLUM01G17020.1 pep chromosome:ALNU02000000:1:16129605:16132042:1 gene:OGLUM01G17020 transcript:OGLUM01G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPADPPIPPPPPPPPPPPTRKPTRKRGRGATKGDDPVPDEAAPPRKPSLPLATGSRRGRSHVPPPLGVGGDGGEEGVDFVSHLPDAVLGIIISLLPSKDGGKTRTLSKRWRPVWRTAPLNLDAGDLAPGANGAVLAVLLTQILLAHAGPVRRFCIPAQQIHERPAMVEGWLTSPRFNNLEELEFTEDLCYMRQLLPLPPSIFRFSNTLRVAAFSQCRVPDCTDLMLQFPHLKLLSLRQVKISETSLHSIIAGCPALEGLLLRNSYGFRCLRINSPTIRSVAFHSPYCWSHGDGEVCYHLEDVIVEFAPCLEKLLHIEQSVGLGVRVSVVVAPKLETSGVLDDVKDGYSVLDFGTVVFKVRARQEYFVEVLVSNLRVLMDVVTELKSYIDHVEDLS >OGLUM01G17030.1 pep chromosome:ALNU02000000:1:16145217:16148737:-1 gene:OGLUM01G17030 transcript:OGLUM01G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHAEEEEEGKLSLRRCGAAGVTLLSLLSPVRASQLPAAPSLALPLPPRIACSPLALHEHDEIIKIGMWGASNGTSHDIVEAPPKDLISIQIKSIDTIDRLTFTYKDTKGNQQKVSWGGNLGYDQPVPYTVNSLTFVTSEGRRYGTWGKRGKDDTDFKVPVEKGRIVGFHARGDGFISAIGLYIRP >OGLUM01G17040.1 pep chromosome:ALNU02000000:1:16162480:16163080:-1 gene:OGLUM01G17040 transcript:OGLUM01G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGATSNGTMRDIDVAPVSLKSVTVGSIDTVDCISFNFEDKDGNELAVGPWGGTLGRDHTFVLKSNEYVREVSGTFGPFATQHLDRTVNSLTFVTSQGTIYGPFGTPNGTFFRIPVEKGSIVGFYALADGFVSAIGFYVRQ >OGLUM01G17050.1 pep chromosome:ALNU02000000:1:16168869:16169202:-1 gene:OGLUM01G17050 transcript:OGLUM01G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDLHVNAHVYEKQQQVEDGDDALQLELEALENYLQDYGVYADAVNVVFDVEELPNSHEVQHANGNERS >OGLUM01G17060.1 pep chromosome:ALNU02000000:1:16173104:16176826:-1 gene:OGLUM01G17060 transcript:OGLUM01G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATALAASLVPSWSAVVVLFSYLGYLATAGAVLPGKLVPGAVLPDSSRLHYRCNGLVSLLLLLVLSALGVYMGWMSPTVIADRGIELLSATFIFSVIVTFLLYYSGLRSHHKSSSLKPHVSGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEELMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNNVELSLLAATVNCFIFVIGYLVFRGANKQKHVFKKSPKALIWGKTPKLVGGKLLVSGYWGIARHCNYLGDILLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >OGLUM01G17070.1 pep chromosome:ALNU02000000:1:16177384:16184377:-1 gene:OGLUM01G17070 transcript:OGLUM01G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSRQLRLSKAFAGDFHPLPPPPHTTTVAEPPHHLLSHQLAQSTTPRPLLAHTTRPERGSDAKRAAVLPSPQPPPTAFGRSPTPRDHIWPREHRIRPPRCWIPVLPRHRAPLGLPAHRTPHGSPCSAPPPSRQRQCIAVVWPRRHHPGSPHGCPAAARQREGRRVGGSGGLVLNQGYRLCHTQGNICDRKHQQGIRWANLTADDNLIAPLTNLLAIGKEVREFLNCRECPRWRTTLSRLGLVEPTRPHHCCVLALLAAAHREHHHPLLAAARCDAVSRTNGGFSLQLGPDFSLCDGCVFLGARLAWTNRDEVLVLRVCRHDRTHVLQPYLQHVESVADETELQRRELHLFANTGVNGSTRTPRWASARFLGHDIDLSRAGIDDLRALLLHTTPRSLILVEDLDRFLQGGGDADCGLSSSWMISISGDGDAEARVLSFMDGVASCCGEERVMVFTMRGGKEGVDVAVVRPGRLDQSQSG >OGLUM01G17080.1 pep chromosome:ALNU02000000:1:16193398:16198357:1 gene:OGLUM01G17080 transcript:OGLUM01G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II protein family [Source:Projected from Arabidopsis thaliana (AT5G11010) TAIR;Acc:AT5G11010] MGCGGGEGSEERERKWEEAAEAVAYDSCTWPPPVVAVCGPGNSGKSAFSRLLLNTLVGRYKKVAYLDTDVGQPEFTPPGFVSIHVLEEQAEGVTFMCFFFGDCSAKKNPKLLLSYIFSLYDYFLKELYRFEDTDNPNKSAIPLVINTSGWVKGTGLHMLTEMLKYASPTHVIRLRTSVEGKNLPAGMFWLDEPEGDPAINLVEIRAAQHSPRHLLIKKEARIIRDLRIIAYFRQCLPMEFPVFSYNDLIQGFASIEPFQLPLSKLQVIDLHSQVSDYTVHHFLKGTIVGIATSASVALSNQCSTPCCIGLGFIKAIDVSRDCIHLITPVSRQLLENADIFFCSSFTVPTCLLQVVSDTASDIADRLRELNCHG >OGLUM01G17090.1 pep chromosome:ALNU02000000:1:16201236:16201733:1 gene:OGLUM01G17090 transcript:OGLUM01G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPKERLAAWISSRGRGFAAATSAAPHLATPPPLSAVKLSSAPNHLPPMKVSVPSAKLSSPRPPPPLVQVPPPQFENVLWIHCRRRRDEQLVYSHSRALNDMRSHRFLGKQSAGTLDCCGHAPPRIPVPPPHPHCQRRERRLGDDNTIPIAIATPSPMPHWETA >OGLUM01G17100.1 pep chromosome:ALNU02000000:1:16202629:16203136:1 gene:OGLUM01G17100 transcript:OGLUM01G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHGNGEGSDEREMAAAEEEEARKRDCTQEWEEAVEAVVYDSCTWPPPVVAVRGPSNSGKSAFSRLLLNTLVGRSNQQVKYLMSIGIHIRITIIPAVYHDFTPPQQLLPISTVIFAALPSPREESACATR >OGLUM01G17110.1 pep chromosome:ALNU02000000:1:16204923:16207556:1 gene:OGLUM01G17110 transcript:OGLUM01G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGTISQQLTRYAAAQALLPGAHLHASLLKSGSLASFRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTAYSNNGLPRSAIQAFHGMRAEGVCCNEFALPVVLKCVPDARLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFNEADSERNAVSWNGLMSAHVKNDQCGDAIQVFGEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKDVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKYSGLVPNVFTLSSILKACSGAGAFDLGRQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMFHRDLILCNALISGCSHGGRHDEALSLFYELRKEGLSVNRTTLAAVLKSTASLEAASTTRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDANRVFEECSSGDIIAFTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDRTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASIWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAMSWIEVKDKVHTFIVGDKSHPMTKEIYAKLVELGDLMSKAGFVPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSTPPGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCGDYW >OGLUM01G17120.1 pep chromosome:ALNU02000000:1:16209765:16212780:1 gene:OGLUM01G17120 transcript:OGLUM01G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGLRGSDLLHLGLRSILRQARERSCSACASLMRTRQKKFQHYLYREGNRLRNQNNAMMR >OGLUM01G17130.1 pep chromosome:ALNU02000000:1:16220018:16221097:1 gene:OGLUM01G17130 transcript:OGLUM01G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKIGQWGGNGGSAQDISVPPCKLTSVTIRSGQAIDAITFSYVGMDGLEHVVGPWGGPGGSPTTFKIGPTERVKEFSGTHGPFGTLADIVTYLKIVTDATTYELGVKSGTPFNVPLQGNATVVGFFGRSGALLDAVGVYIRP >OGLUM01G17140.1 pep chromosome:ALNU02000000:1:16224448:16225532:1 gene:OGLUM01G17140 transcript:OGLUM01G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCLWWARAVLGWAAHLTYYSVVTAATPDADTARRTRRPAPTPPPARRRFHRSLPPQNGAAMALPLSVTNAASRDSDTTCNTLCPLPPHAIASAAPFLCTPVLSQPSL >OGLUM01G17150.1 pep chromosome:ALNU02000000:1:16228985:16232964:1 gene:OGLUM01G17150 transcript:OGLUM01G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTNTVVQTISVTAAATGVHDFRFVRLFPHQGDRRRRGLLPVRGLLRRRPQLGNPLLPQVPNRDSSRVSLYPVLLSRPADDDGRVHASFACTLLDKSGKPASPESKDTADNAAVIKSVNDTGRHPHSGQRT >OGLUM01G17160.1 pep chromosome:ALNU02000000:1:16232776:16241679:-1 gene:OGLUM01G17160 transcript:OGLUM01G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADADAADPFDAIPLFGDGGDGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSASTTPMKPTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGSTSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMNHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEVKERPGNGQLQKLGKRQQQKLGLKLSELLLIKLQQKHEEGLKEQQLRGLLQKLDKGLLMKLEKGLKLKLEQEKVSRKQLNLISTHSLNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKV >OGLUM01G17160.2 pep chromosome:ALNU02000000:1:16232776:16241679:-1 gene:OGLUM01G17160 transcript:OGLUM01G17160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADADAADPFDAIPLFGDGGDGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSASTTPMKPTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGSTSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMNHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEVKERVAEEARERAAKAAAEARERAAAEARERVAKAAAEARERAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVATGGDNCQRRERAVAVAVASEPHRAVQLSLPQRPLSLLRCIKRVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKV >OGLUM01G17160.3 pep chromosome:ALNU02000000:1:16232776:16241679:-1 gene:OGLUM01G17160 transcript:OGLUM01G17160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFVLVDDFGPFKPPAASASTTSFSASHYDDMFDSYFNRSAEPAEPSPSSSAPSPPPPVFDKPVFDDADADAADPFDAIPLFGDGGDGGEGEDFLDSLGKGAKKPDVSEPEVVGFDDDLIPALGNTKSKTPVGEEEVEQEAEAVGFVDDVIPEWFGGSASTTPMKPTPQAEPKATGFEDDVIPGEEPRTRPENESISSSKTSVSMPGDAFVTLGSTSNLGNSNFGLFTDHLDNMGKSESKNMDPCSTANGMFDSSNIFVGVPKPMSSSSFASEKESVFGDSKSLDGIYSMNHSIKMPKEKPVQQASAETISSILPEMHIHEAPGTTGFNNSDPLSTSMQDQLPEENQCSKMSDDVWLTVSDVVLVTQPTSAPPPSRPPPTLAAKKGPTESNTSNAYPHDHNQGYNPFISSTNTSKTPKIDELEDFVMAKPSSLANGCLQDLNHNGIGIGQDSSTSAAGFMDWAELKHSKGVNQGNFDSLFASSQYQEKEKAVLYASGMESRDEEELLEHEKKQREKEEEQRKLERDREEELEREREMMRRREHEERKRREKEREARHIVEKAMREARERAAAEARMQAEREARQRAERAAVQKAAAEARERAAAEARERAAKAAAEVKERVAEEARERAAKAAAEARERAAAEARERVAKAAAEARERAATEAREKAAAEARAKAERAAVDKVAAEARRRAERAAVERAAAEARQRAANEARKRAEAEARARESQQKTAQPDLDSFFGMPSRSSSVPRSQTATTNPFDVQPQGGSDFGSIRRTSSGSASPFAQPPSTNLMDDLSSIFGAPSSSAVFQEVDGESEERRKARLERHQRTMERAAKALAEKNERDLQVQWEQEERHRIGETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWRPVSLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKV >OGLUM01G17170.1 pep chromosome:ALNU02000000:1:16233868:16236575:1 gene:OGLUM01G17170 transcript:OGLUM01G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSNERGRCGRDSCTARCGSDATATATARSLLWQLSPPVATFSPALGGRKSERMVRAALKQESTNPSVVAVAALTRV >OGLUM01G17180.1 pep chromosome:ALNU02000000:1:16244395:16255319:1 gene:OGLUM01G17180 transcript:OGLUM01G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sacI homology domain-containing protein / WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G59770) TAIR;Acc:AT3G59770] MASRSYYPSDPSPPANPRGRPRDTSVVVVVLDTSEVYIVASLSTRKDTQVIYVDPTTGYLHYLGKHGEDLFDSEAAALNYITNGSKILCKSTTYSKAVLGYAVLGSYALLLVATQLSATVPTLPGGGCIYTVAESQWIKIQLQNPQAQGNGESKNIKELAELDIDGKYYFCETRDITRPFPSRMTLWEPDEEFVWNRWLAKPFMDIGLLGHCVVLLQGFAECRSFGGTGQQGGIVALLARRSRLHPGTRYLARGINACSGTGNEVECEQLVWAPRKDGQGQSIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPFNGSLQYYQRLGRRYGNKSLEVNATSQKKPGVVPIVCVNLLRYGDGKPETVLVDSFKSSLEYLRSTKKLGKTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGKYYNVKQQLKECKGSVIFNDDINGGFCMESIQNGVVRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSSRYPEYNGRNPRTLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAAQNVKITLQRRFQNYINDSSRQKQFEMFLGLRLFKHLPSIPISPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLTWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGVDDSSYPATIDVRVGSSVDTLKLVLEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNIQESTYLPLLYDFEELEGELNFLNRVVALSFHPSARARTPITLGEIEVLGVSLPWVDMLTDSRRGPDFVELLHEKLSSIPGNVGSKEFANSSNSFLPQNGIVGSERASSTKSSSSVLQGSSGNFVDFLTGDFDMPNQSDATENTSFVNVEQTNSFDDDFDVNPFATASETPSAKVNSQVEEFDSAHIYLKFFESFSGNIKGKGLNFEQMMKLEIKRLCLDLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADYLALLGHTVHEDRVNASIGLENINGHAIDFWNICENDESCTGDVCEVRALSSSHASATSENSSIFVECSQCGRTACKACCAGKGAFLLLNNTYRDLKIYGGSQGGGYSALADNFVCKSCCSEVIKHALYVDYVRVLRSLRKKGRTEQAVLKAVNQVCGLEFSRISDFTKSVQYGQKQLKQLLDGEESLAEFPYASFLQTVETADDSEPLLSLLAPFGIGEQKSYWKAPLDNTSVEFSIVLGGLSDVSGAAVIVGSCGYSTSDCPIVEIWAGNKINREDRTFIGKWDVHDMMLSSPHLSGPEKTSSMSEEPRHIKFHFPNPIRCRIVSIKMTLNHIDSHSTKFSEEFDLLSLSEGTFSESKPTTPQNSFIHAKRIVIFGNTLRKETNPDTSMGIMRMKTYLDRSQPLGRFRIPVEAERLRDNDLVLEQYLLPNTPGIAGFRLDFFNVVRLRVTHSPSSSELDMKEFSLIPMEDRVINPAILYLQVTIVKESGKLVVEEYRLPEVKVNTPLYYDFQDLQQDVRCVLFRLLGDVTAFVDDIAEIDGSNLRNLPLATGLSLSNKIKLYYYADTYEMGKIGSLSAVVSAMMEY >OGLUM01G17190.1 pep chromosome:ALNU02000000:1:16256867:16258175:-1 gene:OGLUM01G17190 transcript:OGLUM01G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGYYTLDTFRFRAHDVKKEKDHGVSYSFILSKFNHAKRVCFRSDSDERVKELKVVVSLETIIGDANEFIKLSSTYPCLSRQPYSMYLLLDKCMFGRQMEMECVINFLLHGEITYGADHLGVLPIIGPGKVGKSTLVEHACIDERVRSHFSQIVFFSKDGLTHGNIVTLKDCGTTKHQTHDALGGSKRRLVVVELDGEIDQGLWERFYSASKSCFAYGSKIIITSRSDKIASFRTTLPHKVRAFGSSDPTENPKLASLAMDMVSEVSGCFTSVNMFNGPLRSNTITRFWSFVLATIRGFKQKNLSIYNSTNPLDPWAVMAPLYIPRANKNLDPVAILNNYQRNCNEAHSYSGLITASSPSAASQITVQDIMFGTATPLGQFKVLAWRSHIPPYYSCIFDCEIKRPPTRMVSRKKKTKKIGI >OGLUM01G17200.1 pep chromosome:ALNU02000000:1:16259906:16265291:-1 gene:OGLUM01G17200 transcript:OGLUM01G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGRGLAQSGMNAATNTAAATFSGSSSAASATLMPPRLCPTSTARSPPPPGSARTASSNGRDWRASAPDAARSGAWTRWPAARSIVATLYQHHPPWPMPCTRMRRLAVAGTVDVIMALARRRLNLQHVTSLHKISSEYPVIIDDYQAGSIQNMIHCHRVKPKFRR >OGLUM01G17210.1 pep chromosome:ALNU02000000:1:16264828:16265963:1 gene:OGLUM01G17210 transcript:OGLUM01G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTVPATASRLILVHGMGHGGWCWYRVATMLRAAGHRVHAPDLAASGADARQLRDAPTFADYSRPLLDAVRALPGGGGERAVLVGHSLGGMSVALAAEELPEKVAAAVFVAAFMPDCASPRPSVIDEKLPWLDWMDSVRDEEHAPPSVRLGAELMRRKFYQLSPEEDFTLAQSLVRVGSSYVDDMRRRPPFSEARYGAARKVYVVCGQDQAIVEAYQRRMIADCPVEEVREIAGADHMAMFSAPAALAGHLADVANTYA >OGLUM01G17220.1 pep chromosome:ALNU02000000:1:16268011:16271694:-1 gene:OGLUM01G17220 transcript:OGLUM01G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTDSRKRLSADHRLLPSFPPSPPPPSKKPKLAPLPSSSSLADASSPPPSPPPPHLPSSSSAAAPGSSSSSAAAAGGASTSYASSLPPSRHRRLPPPPPHPRPIHGPQRVLRAFRLGSALSRSNPSCFTPSPSSPRHPRSLGLEQYVELVNSVAHPQLPPPSPSTADSPSRVEVIAVDDAEERKDEEEAREEEEVKGSVVVRRVPLYKELYEASSRRRDAKLRALEFEVRLAEKGRLGLEQLADVLPRFGPRKEDEPFVPFTDEDEDSVDHALGGRNRCERLVVHESSNIVITRETLQCLNETAWLNDEVINLYLELLKERELREPNKFLKCHFFNTFFYKKLITGGYDYKSVRRWTTKRKLGYSLLECDKIFVPIHKEVHWCLAVINIRDKKFQFLDSLGSMDMKALRTLLLGFVSIRENLMAFEIPFLTSTEVMARYLVDEVKDKSGQHIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMGLTFGQKHMHYFRKRTAKEILNLRAE >OGLUM01G17230.1 pep chromosome:ALNU02000000:1:16285112:16301743:-1 gene:OGLUM01G17230 transcript:OGLUM01G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFALRRAVSSSSSSRRRGGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALAASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAVGAGMTAVRVRGGEFAWEEEEEAAGQQAVLRGIDIDVRAGTLAAVVGMVGSGKSSLLGCILGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELVESAAPGPSPSPAGNLPLSRQPSSAPKERESASSNGDIKTAKASSRLIKAEERASGHVSFTVYRQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPVERIKQFTNIPSEAEWRIKETAPSANWPHKGDIDIIDLKFRYRHNTPLVLKGITLSIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLQLYSDDEIWQALERCQLKDAVTSKPEKLDASVVDNGENWSVGQRQLLCLGRVMLKHSRILFMDEATASVDSQTDAVIQKIIREEFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDSPANLIERPSLFGALVQEYATRSSDI >OGLUM01G17240.1 pep chromosome:ALNU02000000:1:16319026:16340615:1 gene:OGLUM01G17240 transcript:OGLUM01G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSSAAPAPPWWLTSRTCDVLPSASPSSSGFAEWAAFLFLSDCSQRVLLSALASLFLLLLLCFALRRAVSSSSSSRRRGGGADGIDGDDGKRPLLHRPGPAPAVRVGVGYVVALAASLALAVFYAVLLVLSLVTRGGGGGVLEPVFLALQCAAHLAAAAVVAHEKRFRAAHHPLTLRLFWLAASALAVLLAGSAIARLASGAAALPDDALAIAVLVLSLPLPLLAIAGATGITVVVAAAESSHEEGAEGNGNGEDVRDYKNVTLYATASWPSRLMWAWMHPLLKRGYRAALDLTDVPTLAPEHRPERMYELFLSNWPAAWATKDNNPVRHALLRCFWPLFLLNASLAVLRLTVMYVGPTLIQSFVDFTSASPRRPLWDGVRLVAALLAAKTAEAFCSHQYNFHCQKLGMQIRGALITALYRKGLRLSCSARQKHGLGMIVNYMAVDAQQLSDMMLQIHYLWLMPLQVGVALGLLYLYLGPPVTAALGGVVAVMMFVLAGARRNNRYQFRLMTERDKRMKATNEMLNYMRVIKFQAWEEHFSARIEAFRRGEFGWLTRFMYSISGNIIALWSAPIAIAALVFATSVLLGVRLDAGLVFTATSFFKILQEPMRNFPQSIIQVSQAMVSLGRLDSYMTSAELDEGAVERGPAVGAGMTAVRVRGGEFAWEEEEEAAGQQAVLRGIDIDVRAGTLAAVVGMVGSGKSSLLGCILGEMRKISGEVTVRGSMAYVPQTAWIQNGTIEENILFGRGMQRERYREAIRVCSLDKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDADVYLLDDVFSAVDAHTGSDIFRDCVRGALRDKTVLLVTHQLDFLRNAHAIYVMRDGAVAQSGRYHDLLRTGTDFAALVAAHESSMELVESAAPGPSPSPAGNLPLSRQPSSAPKERESASSNGDIKTAKASSRLIKAEERASGHVSFTVYRQYMTEAWGWWGLMLVLAVSVAWQGSTMAADYWLAYQTSGDAFRPALFIKVYAIIAAVSVVIVTVRSLLVATIGLDTANIFFRQVLSTILHAPMSFFDTTPSGRILTRASSDQTNVDLLLPFFVWMSVSMYITVIGVVIMTCQVAWPSVVLVVPLLMLNLWFRKYYISTSRELTRLESITKAPVIHHFSETVQGVMVIRCFQKQDNFFHENLSRLNASLKMDFHNNAANEWLGLRLELIGSLVLCVTALLMVTLPSNIVLPGTAKWITLYRLLPSSLAS >OGLUM01G17250.1 pep chromosome:ALNU02000000:1:16335977:16336549:-1 gene:OGLUM01G17250 transcript:OGLUM01G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFLSSETTFSPKKSTLKQQGEGGGINDIAFRKPPHQLMASSYTSSVSSARNQSDSSKDNPATAFLVSPCNGAQQLVIEDIYV >OGLUM01G17260.1 pep chromosome:ALNU02000000:1:16340781:16356497:-1 gene:OGLUM01G17260 transcript:OGLUM01G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHRTSSSSGGSQLEKAKVRLVHHLLEVKMLGRAIRSMEVMVHSSTFLLPKLHQPANSPAKKYALVVLNQNLPRFVPRLWTHAKLRICADGGANRIFDEMFQMTNDPDYESTRKRYIPEIIEGDMDSIRPEVKQFYSSQGSKISDKSHNQETTDLHKCISRIHRCTPDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHEIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKELEGTVLHQELEGTAALQETTPTEAIQIPQPPLHCFLAMKVNDDVSHDARRQERASGVAKIESVARFSAC >OGLUM01G17260.2 pep chromosome:ALNU02000000:1:16341651:16356497:-1 gene:OGLUM01G17260 transcript:OGLUM01G17260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHRTSSSSGGSQLEKAKVRLVHHLLEVKMLGRAIRSMEVMVHSSTFLLPKLHQPANSPAKKYALVVLNQNLPRFVPRLWTHAKLRICADGGANRIFDEMFQMTNDPDYESTRKRYIPEIIEGDMDSIRPEVKQFYSSQGSKISDKSHNQETTDLHKCISRIHRCTPDHEKTNLCVLVTGALGGRFDHEAANINILYLFSDMRIVLLSDDCLIRLLPKTHKHEIYIESSVEGPHCGLFPVGAPSGSTTTTGLKWNLSEAKMRFGSMISTSNIVHAEKL >OGLUM01G17270.1 pep chromosome:ALNU02000000:1:16372411:16388988:1 gene:OGLUM01G17270 transcript:OGLUM01G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLVTDVPVVDGIMDIENYAPDMVYVEPEKEKHEEQNVLSMPEAKITKLEEGKHTKAGEGNEFHQEELEYSAENPITAGSIEVSDLALSSIQSIQCEESDQLKLVRAYVESDGMTIVEAKIQKEQSSPKDIEVEVVGLQDISGLKLELDVLGLEEQNIICSQVEKFQDKTEFVADKYHERDLDHVELVVPGNDKEHDALYVVEPSASLLDDEGTNFVEDDKLAHAKLESLLKNVSENRVESLVQEKANTNETELLEPDMKSNADLKAIRIVKCKESDDMKLCKEDCISDCLAMEEDDMIKATSAVNDNGVSVVIHEAKDDNKNVFEDHGMIEDKTYIVPQKYFEPEKFSIEFKNLNGEAEEDKQKEILVLQDKSNMMQDKKDQNNLEQTDSNGGEEELQVKDKDLVALEVEESELKVTGLVAVSDIELRTTEAIRCEESDRVKLTRDDLESDCSAVHIDKVLRDASLGKNFEESGANLAAADDDKNHIVDWGMKEENSISLQVETIEDKTNFMPEKFSEPEKVYTGCEKLNNEKEEQEENDKINTLKDEKDHNTFEHTDSIKGEVQLPDKDKNLVTSEAEGSVLEVMHSVSLSYAELRSIETLQGEEGDGVKSMEDFLKSDCMIVQANVHNELSTPTNVDVEEVDLQDDETFYIGEPKAVKLEDENETNIEEDDKMVLEEVESPIKNDNKTVLVEVESPVKNVCLETLETERDILSEDEKKMEVGHVNECSEIEVGLMVKEVVNKIELEEADSETKSNADKKPIQILQFEHMDEAEFGADDSKSNCLAVNADNVQRDVSLRKNIEESSASLDGADDEKNDMAYCGMKEAISMSLQVETMEEKTNFTPEKSSVPGKNYTEFEKLNDEGEEEEQNDKIITLKDEKDHNIFEHIYSIGGEVELLVKDENLVMLEAERSVPEVMDIIAVSDAELRLIEHPHCEVTDAVKSAGDYSESDYMILEGNLHNEPSTPTDVGVETADLQDETFYIGEPKATKLEDEDEPNTEKNDKMVVGEVETPMKNVSLESLETTENITSEDENEIKARHLSESSENDIRFPEKEYTNKIDLEVADSVMEGYDDQKPIKILQWEHMEEAEYGTADSKSDLLTMYADKVQRDASQGMNVEESGASLEGVDGGKNDVANWGVKEGNNLILQVETMEEEINFMSEKFSDPKKFYTRFEKENDKIEEQEENDKSSTLNDKKDENTLEHTNSVGGDVKLLVKDESLVTLEAKASALEVMDLVLVSEFELSSNETLQCEESDGAKSIGDYVEDESSTPTDVEVEEVNLQDETFYIKEPKVAKLEDEMETNTEEKDKIIVVEVESPVKHLNLETGENIKLEDEKEMEVGHLNKSRGNEMEFLVKEDAKITELEQTNLDIKNSANQRAIQNIQCINMDEAEFVTDDLKSDCLAMHADNVQRDASLTKNIEENSASLEGADDEKNNMADCRMEVGNTMSLQVVTMKEKTNFMLENSSVQENDNTGFETLNDKREEQVKNIKLNPLKDENNHNTFEHTYLIEGNVELHVKDEKLVTLETEGSELEVMDTVLVSDAELRLMEPPQCEEIDAMKSTGDFSESHCLILEANVHNEPSTSTDDVVEAADLQDETFYIEEPKEAKLADENDKMVTVEMESLVKNLSLELLESEKNVMLEYEKEMKVGHVGQSNENDVGLLVEEDAKKIKLEEADSDIKSNVDKKAFQILQCEYMDEAKFGTVDSKSNYLAMHEDNVQREASLTNNVQQSSASLDSADDEKNDIADCGMKERNTMSLQVGMMEENTNFIQVQSSGLEKDCTRFEKLNDEREEEEKNDKTNTLKEEKDHKTFEHTYSIGEGVELLVKHENLVTLEAEGSVLEVMDTILVSHAELRLMEPPQCEEIDAMKSTGDYLESYCTILEANVQNKSSTPTNFEVEVTDLQDETLYIGEPKASKLEDHSEPNTEENDKMVVVEVESPLKNVCLGSMETREYITLEEKEMDVGHLGASSGNDFQFLEKEDTHKIELVGANSSITCNDDQKPIKKLQWEHMEEAKLGTTERSALEVMNSVLGSDVELSSIETLQCEESDRAKLTRDYLERDCVIVEANVQDEPSTPTDVEVEEVDLQDETSYIGEFKDAKLEDEKETISEEINKMVAVEVESSVNKLCLETTENITLEDEKQMGVGHLDKSSGDEVFSVKELTNKSELVHADLDINNNSEQKAIQNLQCIKIDEAELVAVDSKSDCLAVHAGNVEVDASMTKNIEESGACLEGADNSKNDVVDFRMKEGNNMSSQGETMEEKTNFMLEKYSVSEKDYKRYEKLNDVEEEQEENDKTSTLKEEKDHNTFEHAYSIRGEVGLLMKDVTLVTLEVEGSALNILDSALVSDDALRLMETPQCEQSEGVKSTGNYLESNCVTEEANVDNKPSTPIDVEVEVTNLQDQMLCIGEPKAAKLEDQNEPNTKENNKMVGVVVDSPEKHTSLETLETREHVTSEDEEEIDVGHLGESSGNDTGFLDKEDTNKIELQEANSGIQCNDDQKPIKILLWEHMEEAELGTAEGSTLEVTDSVLVSDVELSSIETVQCEESDGAKSTDFESDCMMEQANVQDESSTPTYVEVEEVDLPDETSYIGDPNSAKLEDEKQTVTEENDKMVIVKVESSVKNLSLEVAENITLEDEKEMEVGNLGKSSGDEVFPVKEDANITKLAQAGSDIKKKSGQKAIQNLQRIEMDEAEFVIVDSKSDCLAVNSGNVQIDASLTENIEESVASLEGADDAKNVVVGCWMKEGNTMSMQVEMMEKTNFMHEKSSVPENIYTIFEQMNDEGGEEETKEKTNTLKDVKDHYTFDHTNLIGGEMELLVKDENLVTLGAEGSALDFLDSVLMSDTELRFVEPLQCEESDGVELTGDYSKSDCVIVDANVHNEPSTPIGLEVEATDLQDETFYIGHPKVAKLEDENETNTKENNKMVGVEVDSPLKNISLETMQTRKNVILEDEEEIEVDHLNESSGNEVGFIGGEVDLLVEDENLVTLGVEESALEVMDSVSVSYAELRSIKTFRCEESDGVKSTKDYLESDCMIVPSDVHYELSSPRNVEVEAVDLRDETFYIGETKAAKLEEKNETNTKEDDKMVLVEVESPVKNEDKTDLVELESFVKNVSLETFGTEENTMSEYVKEMEVEHFDESNENDLGFLVKEDAQNIELEEINSDKKSNADKMSIQILQLEHINETEFGTDESKSDCLSVHLGKLQRDASGRNNVEESGASLDSANNEKIDMADHGMKEGNTVSLQVDMMEVKTNFMPKKCTVPEKDYTGFENLNDEGEEQEENDKSNTLKDEKHHNTFELKDSVGEEVELRVKGENLVTLETEGSALEVMDSILVSGVKLRLIEPLQCEESDGVELMEVYSESDCMIENANVNNESSTPIDVEVETADIQDETFYIGEPKTSKLEEGNKTNTEENDKIVVVEVEPPVNNLSSETLETRENITTKDEEEMEVGHLNESSGIEVGFLVKEDTNKIKLLEADSNIKSNADKKDIQILQYEHTDESEFGTNDPKSDSLFVHVDMVQRDASLGTNIEECGASLEAADNEKNDMADCGMKEGNTTSLQVETMEEKTNLISKNSSVPEKVYTGFEKLNDEAKEQEGNNRSNTLEHGKDNNTFEHTNSIRGEVEWLEKDDNLVTLLTEGSVLEVMDSVSVSDDELGSIETLKCEDSDGVKMMRDYLESDCLQVEATLKNEASTPTDVEVETVDLQHDKFYKREPIATKLEDENETIVDENTVFTEEGIPMENVSLKTIETGEIIMSEDKEDNKVGHVEPSGNEAGLIEKEDANGIEFKNVESDIRSNANQKDIQILHCEYVDKETNFTSKKFNKLEKVYIEFKKLNDEVEEEKNDKSNIPKEKEDRFTFGHTEGSVHEVMQSVLVSDADLRSIETLQCEESNGVKSTGDYLESGCIIVETNVCNEPLAPKDVEGEVVDLQDETLYIGEPKATKSEDHNENNTEEEDKMVFAEVESPVKIDDEMVLADVESPMKNVSLETLKTEENIMLEDEREKNTSEHTDLICAEVELLVIDENLVTLEAEGRTLEIIESVLISDAELRSIETLQCEDSDGAKSTENYSESDHLIVEANVHIEPSIPTDVDVEAVDLQDDTVYVGEPRDAKLVGENKTKMEEDDMMVLAEVESPVKNVSLETLEAEKDILSEDKEHMEVEHVQSHKNETGFIVKEVNKIEFEEADSDVRSDADQKAIQNFQFEHMDEAEFGIEDLNSDCLTMHEDKLQRDASRGKNLEGSVASIESVDDDKNDKVDYGMKEGNTISLQVETTEHNTNFMSEKSNKPEKVHTGFEKLNDEVEEQEENGKSNTLKDQKENNTCEYTDSIGGEVNLLVIDENLVTFEEERSVLEVIESFSVSDAELISIETLDCEQSEGVKLARDFSKSDWMIIEAKEYNEPSTQMDVEVETVNLEDETFHVGELRASKSEHDNETIIEEDDKLILADVESPVKNVTLETLETEKNTMLEDEEEMEVGHVVDSCENEVVCLVKEGANKIEFEETIQIVQHVEEKTNFVPEKSSVPEKVFTEFEKLNDEVEEHEGNDKNIILKNKKGKNTFEHTNKIRGDIQLLVKDKNLVTMEAERNELEVANSVVVSDPELRSIESFQCEENDGMKLTRNYLESDCMILETNVHKESSTPSDVEVEAVNLRDDTFHIRESTAVKLDDENKTNTENISVVMPNKLQKDVKLSLGKKIVSEISRKPINENVVLSSEQAMEGDKKIELDANIEGNIVRNDIEMVTHNCEICDNCANTMEEDTNGSSHNSPTHGMDSSNTLIYIIKEAITGDEEDIDSSILVTDLQHVASGSHGGNMCPKYFRSSESNSSSRTCISSYNDTKMEYNFTDMTVMKKDKKLHQKLELITEKFLNLLSRMGANTMDFNLDHHHHKSSQQYHDNQKDLSFSCNILVLGKIGVGKSTVINSIMGEEKNKINAFDGATTNVRLVSSVVDGIKVNIIDTPGLRTNVMDQGWNKKILSTVNSYTKKCPPDIILYVDRLDSWSNHFDDIPLLKTITTILGTSIWVNTVVTFTHADSIPPDNSNGDPMTYETFIAQRSHIVQQSIQQATGDMCLINAFSFVENYPYCKRNCQGKKVLPTIQNWRKYLLILCYSTKPKYQPKASINHKGLKEDSSIEVDDYSEVCDDEYEYGQLPTLWPLMKAQFDELMKDKNKDECAYHVKLIQGMQFNGVTQGSMPCDNDLNPLQKNRMSPILNMVIEPSFDFDDPPTHQYNLLEPTSIITRKHVLGAHTWDHEYNFDGASLEKTLVLHKPTKCFEATLVEFSKDMKKSRIHFNSSFRSKHVDDASHCLGYNIQKAWKKLAYCIWGETTTKDTKHKTVGGLSVMFLGDTMLTGVKIEDYISVGESLALLVSIGTMQAKGNTAYGVNMESRLKIKYYPINRLMLFFGLSLIKLHSAIALGINLQSQYLLRRHSKMALHIGLNTLRTGQINLKMSTSKMVQIALLGLVPLATSMYKSFVHSAEHN >OGLUM01G17280.1 pep chromosome:ALNU02000000:1:16398571:16401703:-1 gene:OGLUM01G17280 transcript:OGLUM01G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPPFPPLLLLVAVIFSFLSSSCFAVTSHEAAAIARRQLLNFEKHGDHVHIDIDIEIKVSNPRLAAAHRALHALKRALYSDPGNFTGDWDGPDVCAYNGVLCAPSPDNASASAVASLDMNAADVAGYLPREIGLLSDLAVLHLNSNRFCGVIPEEVANMTRLYELDVSNNRLVGAFPGAVLRVPELSYLDIRFNDFDGPIPPELFLRPYDAIFLNNNRFTSGIPDTIGRSTASVIVLANNDLGGCIPPTIGQAAATLDQFVFLNNSLTGCLPLESGLLANATVFDVSHNLLTGAIPATMGGLAKVEQLDLSHNTFTGVVPGDVCGLPALTNLSVSYNFFAGEDAQCSSALLDAKLDKSLEDEANCMGNVRPMQRSAGECAPVVSHPVDCSKTKPCGWPAPAKKPAPESSKHSPPPPPPPAPIFNCSTLDSSYGLLRKIRSAVNKLLKQMTKHLSGSVVGP >OGLUM01G17290.1 pep chromosome:ALNU02000000:1:16406349:16407889:-1 gene:OGLUM01G17290 transcript:OGLUM01G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8C0] MAWPPFSHALWPGLRSRKHFVPTIGDCFSRGKEKRNRTLFVVTGVPPIVLHSGGRHLVGVLLGGQLVRWEHLRDAAHHVLECRSLKPRMTLDRQIALCHTSCSCCITNSLQAAAAILNTPTDSKSPQSKNKYSSEVMHVAVYKSGEAYRGKKVLVVGCGNSGMVVSLDLCDHSALPAMVVRDAVHVLPGEVLGKSTFELAVLLMAWLPLWLVDKILVFLAWFVLGNLAKLGIRRPTTGRRGDGLEGSVQRRRYGDRRRGGDWCLGEMAIFLIWIWMRCACGAMRGGGGRFDRSSFTIGTHRNGRNFQPWLREMAASQPREGNLRTCPWPGGYCLFGCAGTE >OGLUM01G17300.1 pep chromosome:ALNU02000000:1:16408424:16416673:1 gene:OGLUM01G17300 transcript:OGLUM01G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDNIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVAVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILVERFGAVRREREEDEE >OGLUM01G17300.2 pep chromosome:ALNU02000000:1:16408424:16416839:1 gene:OGLUM01G17300 transcript:OGLUM01G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDNIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVAVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILVERFGAVRREREEDEE >OGLUM01G17300.3 pep chromosome:ALNU02000000:1:16408424:16415320:1 gene:OGLUM01G17300 transcript:OGLUM01G17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASLQRFLPPYPHAAASRCRPPGVRARPVQSSTVSAPSSSTPAADEAVSAERLEPRVEQREGRYWVLKEKYRTGLNPQEKVKLGKEPMSLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKEGCADVTTRQNWQIRGVTLPDVPAILDGLNAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKGGKFGFNLLVGGFISPKRWEEALPLDAWVPGDNIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELVSLHSSTPLTELRMSQCSYQLIAVLALQGMEAFRSEVEKRMPNGVLERAAPDDLIDKKWQRRDYLGVHPQKQEGMSYVGLHVAVGRVQAADMFELARLADEYGSGELRLTVEQNIVIPNVKNEKVEALLAEPLLQKFSPQPSLLLKGLVACTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGAYKKSVPCDELAPIVADILVERFGAVRREREEDEE >OGLUM01G17310.1 pep chromosome:ALNU02000000:1:16426844:16428549:1 gene:OGLUM01G17310 transcript:OGLUM01G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANKHFLSLSVLIVLLGLSSNLAAGQVLVSTGIVEGEWRVVQPADGQARWTTSPPPASPTSGSLRRPTLSASKATCRGGCTIWTRPIIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCRLPPRLGPAHDLPRRPLRRRHRKPGHRRRTSTTSTSASSGSSWAGSTG >OGLUM01G17310.2 pep chromosome:ALNU02000000:1:16426797:16428549:1 gene:OGLUM01G17310 transcript:OGLUM01G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRASPWPRYGRRWRTAGTASRTRTRTRTGRSWSTGSIVSAAPTAMPRRSTSPPRASSTSPWRAIELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKDTPTSSPTPATHASSTTISSTGVSRMRSSASCQSETGRGSTRRGGAVAGSCRVEKGRCCQRWKPREGNLRMCPWPSVFRASLFTPVKKKYHRSRRIV >OGLUM01G17310.3 pep chromosome:ALNU02000000:1:16426844:16428549:1 gene:OGLUM01G17310 transcript:OGLUM01G17310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRASPWPRYGRRWRTAGTASRTRTRTRTGRSWSTGSIVSAAPTAMPRRSTSPPRASSTSPWRAIELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKDTPTSSPTPATHASSTTISSTGVSRMRSSASCQSETGRGSTRRGGAVAGSCRVEKGRCCQRWKPREGNLRMCPWPSVFRASLFTPVKKKYHRSRRIV >OGLUM01G17310.4 pep chromosome:ALNU02000000:1:16427769:16428549:1 gene:OGLUM01G17310 transcript:OGLUM01G17310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSTSPPRASSTSPWRAIELWRLRGEDGKAPGMIGWWPAKATTFFYDHFFDWGLKDEIERLVSIRNRQGIHPARGRCCWLLPS >OGLUM01G17320.1 pep chromosome:ALNU02000000:1:16429540:16430666:1 gene:OGLUM01G17320 transcript:OGLUM01G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHPRKHQPTGFGGLELVAAGSLTAGRVAGSGWVDPVRIEGAAGSGREADDGSGGEVCGGVVVRGERLFAARSSAAIGTSRD >OGLUM01G17330.1 pep chromosome:ALNU02000000:1:16437957:16443398:1 gene:OGLUM01G17330 transcript:OGLUM01G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLSILVRNFAGNTSSKFNKVALLKLSRWLSGSTPNTNSLSSKKHNFDKSALLFQGCADVRFLKKIHANVFTHGLCWDVILGSKILSCYANLGALHESRLVFQKIVNDDISLWNSAMVDYFRAGYPEEVIILYKRLKLNQIGFNGKTITFVMKSCTELKNLYLGKGVHADSLKLALSGNKFVGSSLIGLYSKFSKMNDSRGVFEEIINKDIVAYTSMITGYSETVDSIAWNAFEIATDMLQNNLEVNRVTLVSLLQIAGNLGALQEGKSLHCYSIRRAIGVSDDILETSIVNFYTRCGAYQSAATVLQNSKGTVASWNALLSGLNRAGQSFNAIQYLPVMLHEHKVTPDSVTFANVLSACAELCYFCFAASIHAYFIRRFIPMDVVLTTALIEVYTKCTRVMRSKYLFDQLIIKDVVSYNAMIYGYLQNDMANEATSLLNYMMAEGVAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDVDVENQILYMYSACGKIAAARAIFDSLEKKNLVSWTAMMKGCLSNGHADEVVQLFQVMQKYGEKPDSVSLVTAVQAVSDLGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGKLDLSTGLFFSLKYRNLDTWNAMISAYAMHGFHINVLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKDGWRIFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCALLSACRTHGNTRLAHAISKELLEHGPQNPGIYALISEVYAQEGQWNEVANTKARADLSGLKKHPGSSLIESMEQGMS >OGLUM01G17340.1 pep chromosome:ALNU02000000:1:16438179:16447629:-1 gene:OGLUM01G17340 transcript:OGLUM01G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAPCYDTAEDWFMANLQYWYYSWYQTGLRLRQATWGALNRMKNPQNDSVAADLPCWCGVALVLAVGICYQIKEEEKI >OGLUM01G17350.1 pep chromosome:ALNU02000000:1:16447813:16448166:-1 gene:OGLUM01G17350 transcript:OGLUM01G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLAASALLLALLLAVAGGAAAAGEVPLAWELGVGGGGGEEDSFGFSSSEDAAAADGAAVVRRVLQGQGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >OGLUM01G17360.1 pep chromosome:ALNU02000000:1:16449355:16450709:-1 gene:OGLUM01G17360 transcript:OGLUM01G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCDSKDKSNLENARSETKKDTELAASNSSEDLNGQHHLVLVEEEDLPPLGKSAALVDPNTRGRLALYSTHPLSTPSG >OGLUM01G17370.1 pep chromosome:ALNU02000000:1:16450931:16451271:-1 gene:OGLUM01G17370 transcript:OGLUM01G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAVAAACVLALLFLAPASWEWERELGIGGINNPRMNGTKYRWKDGKGKVAEQKQSSAKKDALESSKKKKQNRKKKRKRKA >OGLUM01G17380.1 pep chromosome:ALNU02000000:1:16453458:16453808:-1 gene:OGLUM01G17380 transcript:OGLUM01G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAIVAAPALLLLALLIALATGGADAAPGEVPLSWELGVVGADDAFGFQGEEAADSATAVVRRVLQQGSYISYGALRRDTTPCSVRGASYYNCQPGAEANPYSRGCSAITQCRG >OGLUM01G17390.1 pep chromosome:ALNU02000000:1:16472716:16479521:-1 gene:OGLUM01G17390 transcript:OGLUM01G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02910) TAIR;Acc:AT1G02910] MAAAGASALRPHLALLPAGGGGGGGGGTRSYALQALSFVSPLLPHCGRRRRCVLRSKASSSPSPPPSPGKEAVAVPTAESCVNLGLELFSKGRVKDALEQFENALELNPNPVEAQAALYNKACCHAFREESNKAADCLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETVGNAAINIGGIVVLVALYFWENKKEEQQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKASITRAMQRAERYRTELLKRGVLLIPVIFGASQKAQSKPRGFGSSRSAASAPSVGDDFEKRTESIAAKSRLKAEERFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGRGMPNWNDILQELPRLEELLSKLEREHREMI >OGLUM01G17400.1 pep chromosome:ALNU02000000:1:16479690:16481697:1 gene:OGLUM01G17400 transcript:OGLUM01G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8D6] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHAFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >OGLUM01G17410.1 pep chromosome:ALNU02000000:1:16494259:16497979:-1 gene:OGLUM01G17410 transcript:OGLUM01G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVTSIVVKPLLSMVKDKVSSYLLEKYKVMEGLEEQHKILKRKLPAILDVISDAEKQASEQREGAKAWLEELKTVAYEANDIFDEFKYEALRREAKKNGHYTALGFDVVKLFPTHNRVMFRYRMGKRLCQIVHDIEVLVTEMNAFRFRFHRQPLVSMQWRQTDSEIFDPTNIISKSRSQEKLKIVSILLGQASKPDLLVLPIVGIGGLGKTTLAQLVYNNSEIQKHFQLLLWVCVSDPFDVDSIAENIVKLADRSKEVKEDGKHQIDYHVSQVTKDKPLQKLQKLVSGQRYLLVLDDVWSRDADKWEKLKASLQHGSIGSAVLTTTRDERVAQLMQTTDAYNLTALENSIIKEIIDTRAFSLRKDGKPNEQVEMIDKFVNRCVGSPLAATALGSLLRTKATVQEWQAILMRSSICNEETGILHILKLSYDDLPSYMKQCFAFCAMFPKDYVIDVDNLIHVWMANGFIPDEKNVPLETIGNYIFHELASRSFFQDMKQVPFQEYGSKHGNCHRRLCRIHDLMHDVALSVMGNECFSITENPSQKEFFPSTVRHILLSSNEPDTTLNDYMKRCQSVQTLLCDVLVDRQFQHLVKYSSVRALKLSKEMRLIQLKPKILHHLSNVGELQKLDIGGHLELHQLQNVRESDAIHTKLDSKRKITELSLVWDNEEPRNETADSSHNKVMEALRPHDNLLVLKVASYKGTTLPSWVSMLEGLIELDLSTSYTRCENIPQLWQLQYLQLLRLAGFDRLQYLCSIGENSTTCSIFPKLKELTLENLKSFKGWWDKTERQEQPSCDNDNNKTPTALPNFPQELQLIELNKIDRWQQVEATHVKTPIFPNLENICIMDCPELASLPEARKLSVLHITKGSQQLLFCIPRYITSLSTLSLLQEGVETAPPAEHNLIEWVDDNEKWKGESPLADMRLDNFNMFFLSGAHALWTCFAQLIVLRICRCDVLIHWPEKEFQGLVSLKTLGIWSCNKLKGYAQAPERSTSGGGQLLTRLESLTIIECKSLVEVFNTPPSLKYLHIQRCPELKSIFGKQRRGSTLIEGPCSDNIVSAPVLEPSSPAGDHFSPPESLESPHSGELPSLVKLTHCYCKSLASSSLPNSPQAYSSLQGLIIMECPALKVLPTCLRQRLGSLEWKELDARHEGMQ >OGLUM01G17420.1 pep chromosome:ALNU02000000:1:16521989:16527999:-1 gene:OGLUM01G17420 transcript:OGLUM01G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:respiratory burst oxidase homolog B [Source:Projected from Arabidopsis thaliana (AT1G09090) TAIR;Acc:AT1G09090] MADLEAGMVAAATDQGNSTRSQDDAATLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGTGFDGLPLVSPSSKSGRLTSKLRQVTNGLKMKSSSRKAPSPQAQQSAKRVRKRLDRTKSSAAVALKGLQFVTAKVGNDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQMFDSLARKRGIVKQVLTKDELKDFYEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLASNKEMSPVRHYWQQFMYFLEENWKRSWVMTLWISICIALFIWKFIQYRNRAVFGIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGTCLYLSRKWYKKTTWMYLAVPVILYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCTAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSKGITDEKARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGEGLVGTTEPESSSKAKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQFLPTSSGAR >OGLUM01G17420.2 pep chromosome:ALNU02000000:1:16523035:16527999:-1 gene:OGLUM01G17420 transcript:OGLUM01G17420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:respiratory burst oxidase homolog B [Source:Projected from Arabidopsis thaliana (AT1G09090) TAIR;Acc:AT1G09090] MADLEAGMVAAATDQGNSTRSQDDAATLIPNSGNLGSSNRSTKTARFKDDDELVEITLDVQRDSVAIQEVRGVDEGGSGHGTGFDGLPLVSPSSKSGRLTSKLRQVTNGLKMKSSSRKAPSPQAQQSAKRVRKRLDRTKSSAAVALKGLQFVTAKVGNDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQMFDSLARKRGIVKQVLTKDELKDFYEQLTDQGFDNRLRTFFDMVDKNADGRLTAEEVKEIIALSASANKLSKIKERADEYTALIMEELDPTNLGYIEMEDLEALLLQSPSEAAARSTTTHSSKLSKALSMKLASNKEMSPVRHYWQQFMYFLEENWKRSWVMTLWISICIALFIWKFIQYRNRAVFGIMGYCVTTAKGAAETLKFNMALVLLPVCRNTITWIRSKTQVGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAQYELMKPFFGEKRPPNYWWFVKGTEGWTGVVMVVLMAIAFTLAQPWFRRNKLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLFVHGTCLYLSRKWYKKTTWMYLAVPVILYVSERILRLFRSHDAVGIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFIKCTAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTEGESGLLRADLSKGITDEKARFPKLLVDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQGEGLVGTTEPESSSKAKKKPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDGVIELHNHCSSVYQEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRSVFKKVAVSHENQRVGVFYCGEPVLVPQLRQLSADFTHKTNTRFDFHKENF >OGLUM01G17430.1 pep chromosome:ALNU02000000:1:16576709:16579736:-1 gene:OGLUM01G17430 transcript:OGLUM01G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dephospho-CoA kinase family [Source:Projected from Arabidopsis thaliana (AT2G27490) TAIR;Acc:AT2G27490] MRLVGLTGGIASGKSTISNLFKASGIPVVDADIVARNVVQKGTGGWKKIVEAFGNDVLLENGEIDRARLGQIVFSDPEKRQVLNRLLAPHISSGIFWEILKLWIKGCKVIVLDIPLLFETKMDQWTHPVIVVWVNEATQIERLMSRDGCSEEQARNRINAQLALDWKKSQADIVIDNSGTLDETKEKFQEVLRNVSEPLTWKERLRSRDGLFSVVVCTAVGVLLAQKNLL >OGLUM01G17440.1 pep chromosome:ALNU02000000:1:16583174:16593797:-1 gene:OGLUM01G17440 transcript:OGLUM01G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLWRRAWVWQKGSGGSASFAGPSLDLTPFRPQLLSSYLAGTVAKGTVAKGVGVAKGVQRLGWLRGPQLGLNSFSPPALVAVPCRYCGEGCGCGESGPEPLSPFLAGTVVKGVGVAKGVQRLGWLRGPQLGLNSFWPPALDAVPCWYCGERGWASFTAPSMDLTPFRPQSLTPYLAGTVGKVCVCGERGPEAGLASWPLASLVTVPCGCGERGLEAGLAPRPPNLDFTLFRPQPLSSYLVGTVAKGVGVAKGVQRLGWLHGPQFALNSFSPPALVAVPCGYCGERRGYGERGTIAKGVGVAKGVQRLGWLRGPQLGLNCCSPSALVAVPCRYCGEGRGCGERGSEDRAASTPPSLEATHLCPQPFSPYLVGTVAKGVEAGLASWTPSLDLTPFRPQPLSPYLAGTVAKGVCVAKGYRGWAGSAAPQLGSNSFSPPVLVAVPYRNCGEGRGCGERGPEARAASRPPSLEATHFRPPALVTVPCGGTEARLASRPPSLEATHFRPQPLSPAWVWRKGSRGWAGFAAPQLGFNSFSPPTLVVGTVAKGGGVAKGVHRQGPPAYKQLIFAPALVAIPCGYCGEGC >OGLUM01G17450.1 pep chromosome:ALNU02000000:1:16621564:16638555:-1 gene:OGLUM01G17450 transcript:OGLUM01G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLPLHSQIALWRQRRRRHDLFERISSCHQFKHAGWRLQVSYKGLETLYDDGYQKAKDLDYYYRSLGELVEHDSGPPRLFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHIPFHDRTPFEELVTMVEDVVRAEHATSPNKPIYLLGTSFGGCIALAVAARNPCIDLVLVLVNPATSFEKSDIKQLLSVSSPLSDRARIAITSLLNYNIDNEVDMALSSMKSGRHPLQALNRLTSNISSFLKHSNILNKIPEDTLGWKMKLIQQAASYANCRLESVSAEVLLLVSCADRLLPSKSEADRLQRMLPKCKVFFFENHGHSLLLEYGVHVSSIIKCTGLYRHSRRYHRVFDYIPPSATELKEVDKAGSDLRLRTCPAMFSTMGDGAVVRGLAGVPEEGPVLLVGNHMLLGIELISLATEFLRRKGRVLRGIAHPLLFPNKTKTWSEGHDFFDFLNLWGGVPMTYKYIYQLLAAGEFVLLYPGGHREALHCKGEEHRLFWPDQTEFVRMAAQFNATIVPFGVVGEDDLMEVFFPGVYLKIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSKLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHAPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEATSFAKTPFLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLQSFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >OGLUM01G17450.2 pep chromosome:ALNU02000000:1:16621564:16634084:-1 gene:OGLUM01G17450 transcript:OGLUM01G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHRPRGVLPGGIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSKLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHAPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEGLLQIMEESVKYEHNLSPNRSIYIIGDSFGGCLALSLAARNPEIDLVLILVNPGSNIICENSVSGNIASFGNGDPLKMAMVSIQNNTSPQDTLQSFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >OGLUM01G17450.3 pep chromosome:ALNU02000000:1:16621564:16634084:-1 gene:OGLUM01G17450 transcript:OGLUM01G17450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHRPRGVLPGGIPGRFYYRFGKPIPTKGMQAVMTDKQAAGELYLHVKSEVKAMIAYLLEKREEDKFRSILPRILYQLGCGHDSEIPSKLLTMSMSIALHAVLPPAAATPRRRPTRLRASSTEAPASGEKGQEDTDRKSGTGMRRRRRRAKKVQEVGLEALYDDGFGEATVRDYFDALRATPLDGGGGGGPPRWFCPVECGPPAVHAPPLLLFLPGIDGVGMELIMQHKSLGKVFEVRCLHIPVNDRTPYEATSFAKTPFLAILPLLEMVPSNLPVTLPHLLRYLIGDPLKMAMVSIQNNTSPQDTLQSFSDSLSSMLPLLSEFGHIVRMDTLVWKLKLLMSGVDYTNSRLNAVQAEILLLASGNDNLPPSGEADRLFKALKSCKVRYFRTSSDRLLMESSFNLLTVIKGASMYRQGKQRDTITDFLPPTISEFKRTFGEDFKLLHHLLSPVMLSTLRNGKIVRGLAGVPDKGPVLLVGYHQLLAMEITSMAEEFLREKKAVLRTLAHPVFFVGNYEILRQELSFFDVVPLYGGVQVSPINTYRLFERDEFVLLYPGGIREALHRKDEDYQLFWPDQPEFVRMAAQFGVTVIPFGCVGEDDMLEIVLDYNELKNIPYIRETIESFNQDCPGVRSTVKGEEGNQVLHLPAVLPKLPGRLYYLFGKPIEMKGMDGVQRDRESANQLYLDIKSEVENIMSYLKRKREQDPYRSITARTFYQATWGVTAQIPTFEP >OGLUM01G17460.1 pep chromosome:ALNU02000000:1:16638773:16643014:-1 gene:OGLUM01G17460 transcript:OGLUM01G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVAAPGGGVMVAHGGGGGGGERRAAAGLVANGGEAVALTANLCLGDIISFLVKEMGACWP >OGLUM01G17470.1 pep chromosome:ALNU02000000:1:16639964:16640846:1 gene:OGLUM01G17470 transcript:OGLUM01G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLLTKERIYAAHLFSSTRRSIVEERGKGAGSERSIGGDLSEDTTGEVYDIEYRCSEKSTAKEKGGSGKVYVIGGDLSEDIASEVRGIKYFCSEKSAAEERGKGGGGDLNPSVLEDRRPMSAVNTRVSHRLWLLCLSRFNLYSCEIMVLPFHVLVQVRQDSLVSMVPGNTIIFYSLEIEEIQRSIFSTAAPR >OGLUM01G17480.1 pep chromosome:ALNU02000000:1:16642883:16650550:1 gene:OGLUM01G17480 transcript:OGLUM01G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGERKIADVHSITVPQDHPTFMVQKLGPLQKHPKMPDAMNVEESPSSEPNPSPTRRRSLPRRPRLRRRRRGSGTGDAMAIELPEFDICVASIPYGISSPRADREAAVRLPPVQGGDALLVQAQFARRLKGAPGHGERNILATNARLVADVRLLMDSSCPCPSSTYSSLVGIRPKQIRPKEFVAGVGLDEWLAFARACTGQHKLQQQHQPPPKKKKKRKTLGVLFK >OGLUM01G17480.2 pep chromosome:ALNU02000000:1:16642883:16650550:1 gene:OGLUM01G17480 transcript:OGLUM01G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPERKIADVHSITVPQDHPTFMVQKLGPLQKHPKMPDAMNVEESPSSEPNPSPTRRRSLPRRPRLRRRRRGSGTGDAMAIELPEFDICVASIPYGISSPRADREAAVRLPPVQGGDALLVQAQFARRLKGAPGHGERNILATNARLVADVRLLMDSSCPCPSSTYSSLVGIRPKQIRPKEFVAGVGLDEWLAFARACTGQHKLQQQHQPPPKKKKKRKTLGVLFK >OGLUM01G17480.3 pep chromosome:ALNU02000000:1:16642608:16645502:1 gene:OGLUM01G17480 transcript:OGLUM01G17480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKREFRALWTVLPLGPFLQASLGTAVSATASPPFATNPAAARRSPPPPPPPCATITPPPGAATKRIRRHSTPHCRRQAVLEEHIVAHPVSVEKKGRK >OGLUM01G17480.4 pep chromosome:ALNU02000000:1:16642883:16645835:1 gene:OGLUM01G17480 transcript:OGLUM01G17480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGFPQARRLLRRMGLEKEDAYFWKQMGKGMLCTYALFGAAWLWNETSPLGWWTLKPRPKEEREMAHLYERRMFPYPGDEEAVEEFIKSGGALGTTIGPKGFADSNMDSDNMQKQLQSKKFDQEAQKLWFRMRNEVVQELQEKGFDIE >OGLUM01G17490.1 pep chromosome:ALNU02000000:1:16646000:16652355:-1 gene:OGLUM01G17490 transcript:OGLUM01G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALHTLPAVAAGGLGCRWRRRRRPSATLRAASSMETASVAVSVNAETQQRQVGVEEEEKRREDAAEGRAGRVAVEALYDDGFGGVTVKDYFAAARAVSSDGGGPPRWFCPVDAGRPAVDNAPLLLFLPGTDGVGMGLILHHKSLGRVFEVRCLHIPVNDRTPFEGLLQIVENSIKYEHAMSPNRPIYLVGDSFGGCLALSVAAPTSFAKTPLQPILPVLEAMPSELHVTVPYLLSFVMGDPLKMAMVSVENNLSPPETLQKLSDSLTSMLPLLSELADIIPRDTLFWKLKLLKSGAAYTNSRLHAVQAEVLLLASGNDNLLPSGEEADRLFKQLKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRGRQRDPVTDYIPPTLSEFKKTFDEDHKLFHLALSPVMLSTLKNGKIVRGLAGVPDQGPVLFVGYHALMGIELSPLYEEFLREKRTSFRGMAHPILFGGKHESSRQELSRFDTISMYGGLPVTPINMYRLFERNQFVLLYPGGVREALHRKGEAYKLFWPDQPEFVRMAARFGVTIIPFGFVGEDDVLELVADYNDQKNIPYLREWIESINREAQRVRDSVKGEDGNQDVHIPALLPKVPGRFYYLFGKPIEMKDMDNVVRDRKSANEVYLHIKSEVESLMSYLKRKREEDPYRSIAQRAVYQASWGASAEVPTFEP >OGLUM01G17500.1 pep chromosome:ALNU02000000:1:16650557:16650835:1 gene:OGLUM01G17500 transcript:OGLUM01G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIELLRLAQGHAGGGSEDGGGVVAGNNEDDRDGDVGVASGFISKEEVVAFKERIAGALRSAALAGKTASQLPNGELLRLLRLFIHRGIRFR >OGLUM01G17510.1 pep chromosome:ALNU02000000:1:16655211:16655495:1 gene:OGLUM01G17510 transcript:OGLUM01G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAETREAAIDATAAHGGCGWPAGIAAGMASSAMAQPATGMTSAWSVAGGAGVARQGAQQEVKPVEMETGEVAARGDWPAGGAGAVKPTRRQRC >OGLUM01G17520.1 pep chromosome:ALNU02000000:1:16662386:16674549:-1 gene:OGLUM01G17520 transcript:OGLUM01G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGTYDGRAAPNTDTRIEPSSAGVTNYHRPQNGGSASAWAASAWASTPPTSPLATSATSLSIAGAGSRISTSTPSLEQHTPRTFRYVFFRFLPPPSSPLSPHLSDSGNDSLASSPSASSFLAIADSREPNGDQEEVIWICYKEDEDAAVSSTTATMAPKDLVAAEARDVHLVVMGGRGARGAIGGDGWTQSSSFGHLAADLRWDQDYGSKDLAGTSNIFSQLSEDVASSNKFGAKFVMGTWYGVYTGKSQLSEDVNTGIPNEAIRSAMCSFGCADNL >OGLUM01G17530.1 pep chromosome:ALNU02000000:1:16673360:16673722:1 gene:OGLUM01G17530 transcript:OGLUM01G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDEAEGLEARLSLPESERCGDKGDDGGGRKRKKTYLNVLGVCCSKEGVEVLMRLPAPAMERLVAEVARGLVGGVDAHARDRGEGGRREEHRRYGALIGGPIILEIFFSTFKMPHQAA >OGLUM01G17540.1 pep chromosome:ALNU02000000:1:16679221:16683575:1 gene:OGLUM01G17540 transcript:OGLUM01G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8F6] MESSRGKPGLNGSGGGAAFDYSSRRGYYTSGGAALPPLAAGSRAPPVDPCCVALRVFVLLGTLASAVVMAADRQSTTVQIAAGEQLAPPLRVPVTAKWTYSSAFVYFVVANAMVFAFSAAALAAVRRRSAVVPVMVGDLVAMALLFSAVGAAAQFGLLGERGNAHVRWAKVCDVYGPFCERAMAAVVVSLIAAFADLVLLMLTILTIHKASSYY >OGLUM01G17540.2 pep chromosome:ALNU02000000:1:16679221:16681714:1 gene:OGLUM01G17540 transcript:OGLUM01G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8F6] MESSRGKPGLNGSGGGAAFDYSSRRGYYTSGGAALPPLAAGSRAPPVDPCCVALRVFVLLGTLASAVVMAADRQSTTVQIAAGEQLAPPLRVPVTAKWTYSSAFVGHTD >OGLUM01G17550.1 pep chromosome:ALNU02000000:1:16685123:16709716:1 gene:OGLUM01G17550 transcript:OGLUM01G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGCGSLFSYSDFRLHACEFFKIICQRKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGVANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWRMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNVITNVTTRNRSSAARHGLSAEEDDHIGLAALSS >OGLUM01G17550.2 pep chromosome:ALNU02000000:1:16695719:16709716:1 gene:OGLUM01G17550 transcript:OGLUM01G17550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MAADPAAASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGCGSLFSYSDFRLHACEFFKIICQRKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGVANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWRMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNVITNVTTRNRSSAARHGLSAEEDDHIGLAALSS >OGLUM01G17550.3 pep chromosome:ALNU02000000:1:16698880:16709716:1 gene:OGLUM01G17550 transcript:OGLUM01G17550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MFGWLSFPFILPAIPFCRCGSLFSYSDFRLHACEFFKIICQRKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGVANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRAEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPINKMWTQVEWQNAYLSDPSGLTHMFADSQFMWSIYHNITLFEKALKRGGSKKSAAAPQALATTVLLRCIHMLWAEPFSQSLAGEVKAAKSMTVAEQTSLLGETNKLTKGQVASADGLLDVQREGESKENTIRNWLRGIRDSGYNVIGLSASLGDPFFRCIEGSSITAALMENVQAMEFRHLRQLIHLVIIPLVKYCPPELWRMWISNLLQPLFVHCQQALDFSWSSLLREGRAKVPDNFGNLSGSDLKVEVMEEKLLRDLTREVCSVLWVLASPGLNSGLPSLEQLGPANRINSSLKDLELFVSSSITGFLMLNVSTAVPALRITVEVFSWTDSEAVTKIIPFCGALIHLAVATNRAELSQFVAKDLFSSILHGLSVELNSITSSELVGLCREIYVYLSDRDPAPRQVLLSLPHMKQEDLLAFDESLSKTASPKDQKLLMRSLLLLASGNKLRALVGQKATNVITNVTTRNRSSAARHGLSAEEDDHIGLAALSS >OGLUM01G17550.4 pep chromosome:ALNU02000000:1:16695719:16702174:1 gene:OGLUM01G17550 transcript:OGLUM01G17550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05040) TAIR;Acc:AT3G05040] MAADPAAASAVAAISAVMDWRSSPDARSAAFAYLESVKTGDVRALANTSFLLVRKDQSSEVRLHGFKMLQHLVRLRWEELSVAERNEFANLTVNLIPEVVGPHEEWALKSQTAALVAEVVRREGVALWNTLLPSIVSLSNNGPIEAELVAMILRWLPEDITVHNEDLEGDRRRALLRGLTESLPQILPLLYSLLEKHFVAALSAHTNQQMELAKQHVGTITAVLNAANAYAEWAPVTDLAKYGLIHGKRPLDVAIVEYDAAMSNIFQLLMNIAQDFLVRSKMQPNVIDDNEYEFAMCICETMVALGSSNMQCILADVARTLHFLQQMLEYYQHYKITLHFQSLLFWLVVLREPSKAKSVARVSSDTPAAGNSASTGGGSTEREKKGVSVLITDEMYSTILDVTFKRMLKKSTSASSGLLELWSEELEGKSDFCNYRAKLLDLIKVIASQRPGITATSIVQRINIVFGVANEATKSSQDLDAMEGAQLGLEAVVSAIFDGSSDYSKIDQDTKFQIHRIFEGLLQQLLSLKWSQPNLAVIHGHYLDSLGPFLRHYPDAVACIVNKLFEILTSLPITIQDPSNNFRQARLQICSSFIRISRAADKALLPHMKLL >OGLUM01G17560.1 pep chromosome:ALNU02000000:1:16686443:16686633:-1 gene:OGLUM01G17560 transcript:OGLUM01G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHVLTGNSVPLRVSKNEDVSRFAFLILVNAKGTSSTGTFLVLVTSLRTFTLAYLNILEES >OGLUM01G17570.1 pep chromosome:ALNU02000000:1:16688654:16690519:-1 gene:OGLUM01G17570 transcript:OGLUM01G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyethylthiazole kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G24030) TAIR;Acc:AT3G24030] MDEERTAAWWGRRAWELLSAVRARAPLVHCVTNLVSMDIAANALLAAGASPAMVHSLLEVPEFTPRCDAVCVNVGTLSEGWLPSMRAAASAGRPWVLDPVAAAASEFRMEACLSLLALRPAVVRGNASEILALASRSLAASSSSSTTTFKGADSTHDSGDALQDAKALARSTGAVIAVSGAVDYVTDGERVVGVSNGVAMMQKITATGCAATALIAAFLAVVEEPSDAMAAAACALAVFGLAGEIGMESGAKGPASLRMHLIDALYCLDEQTVTSRVKISLHS >OGLUM01G17580.1 pep chromosome:ALNU02000000:1:16712708:16715841:1 gene:OGLUM01G17580 transcript:OGLUM01G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILLSIAIMAQLSSISAQPAPGCQSHCGDMEIPYPFGIGTECAIEPGFVIYCNKTADGSMKPFLINVEVLNISLLHGQTRALNALLTYCYNDVTKSMESSQWSLDFSTWPYRFSNLHNKFVVIGCNTLSYIYNGEYTTACASVCAKAPTNDSCDGVGCCQNNIAKGLNSYNVTFFTVYNDSSNLQSNPCSYAALVETDTFRFKTEYVTTMKFNETYNGQQPVVLDWAIGKVGCKEANMTSYACRSKHSECVDSINGPGYLCNCTLGYHGNPYITDGCIDVNECEQNQSPCPKGATCRNTEGWYHCSCPVGRKLAKETNTCNPDISLIIGVSIGSIVLVIIIFFVRIIFERRKLTDVKKKYIQEHGGLLLFEKMKSDQGLAFKVFTQAELEQATNKFEKSQILGHGGHGTVYKGITKDNITVAIKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDVPMLVYEFIPNGTLFDLIHGKNRTLHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPNDEAQFVTMVQGTCGYLDPEYLQTCQLTEKSDVYSFGVVILEILTGQMPLKLEGSELQKSLSSSFLLAMKENNLEAMLDSQIKGHESMELLSGLAELAKQCLDMCSENRPSMKDVAEELSRLRKLSKHPWIQRDSETEGYLSGPSTSNFEIEQSTEYTRKDEQMPINPSTSYFIR >OGLUM01G17590.1 pep chromosome:ALNU02000000:1:16731413:16733427:-1 gene:OGLUM01G17590 transcript:OGLUM01G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPFPFGLQQECSANRKFLLNCTSKQAFIGGSYTQYQVTNISLDQGLLFVNFSQHEEAYSVLVEISRDNISQWVESWIDEFNDFDVSQHYGIWKWFVTNVTCEKAKKSSDYACISANDIDECSIPNDCKGMCHNQAGGYSCTSCPHGTSFDPAGRKCTSTKQHNIILGISQFNRFLFNQITVKWKRGIQKKIRRDYFHINKGLLLEQLISCDDSVAHKTKIFSLDELEKATNNFDSTRILGSGGHGTVYKGILSDQRVVAIKKSKIVEQSEIDQFVNEVAMLSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLYGNLQSKCLLTWWNRIRIALEAASALAYLHCAASVPIFHRDVKSANILLDDNFTTKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGQLNEKSDVYSFGVILIELLTRKRPIFLNSIGEKQNLCHHFLQRQQNNTTSEIVDVQVLEEADQWEIDEIASLAEICLRLRGEERPTMKEVELRLQLLRSKVAKKKNRVEVSREDEIDPLLLYTPSSSSVNPRDFNSASHNDATRCYTMEQELVSWTNLPR >OGLUM01G17600.1 pep chromosome:ALNU02000000:1:16770284:16770970:-1 gene:OGLUM01G17600 transcript:OGLUM01G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAMLLATMRLLIMCLTTSVVILGTSGAPPSAEELAHCPKACGDVNISYPYGVGNGCFRPGGGFELTCDDTTKPPKLFLGNTTEIHDIYTDGYVNASIIFNIATTPGVLGAYNRSWETPGRSLYIQEGETKLLVIGCGIEVNMFHADSDESLGYCSSTCRDIAVMQKEAVGMSCSGIGCCTITFHTTISAFRFSVTQGEEAQQLPSMFANATIKAFLADAYDYPPN >OGLUM01G17610.1 pep chromosome:ALNU02000000:1:16787818:16788480:-1 gene:OGLUM01G17610 transcript:OGLUM01G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLKTGMLMLPSSSTSPPHLMWSAPTIGQAPGRSLSVRYNNELVIIGCGIEVHMFDTDTDDALGYCSSLCDGIMVMQEEAVGVSCSGMGCCSISFRRTTRAFRFSVIQIEEEAVQIPSLNANAFLSTGYGPYQYYFSMSDLLARDINASTIGASTYLSTRDKKSYACGNYSCYDEPNEGYSCQCSSDSNPYMLDGCKWGINN >OGLUM01G17620.1 pep chromosome:ALNU02000000:1:16796315:16798480:-1 gene:OGLUM01G17620 transcript:OGLUM01G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAEICLRPRREDRPTMKGVGMKLQVLRAMIKSQPNAQPYNKDVETLLPSRQSILIHLIIVRLLVAIQWSKNLLLGQICLAKGRTALPPITGAALRQWPTQPPNTDRRLSPFPVSCGKLRLQDFHGTKRSVFPDEVYEA >OGLUM01G17630.1 pep chromosome:ALNU02000000:1:16798492:16799987:-1 gene:OGLUM01G17630 transcript:OGLUM01G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFFRIWFAAVYRLQPGATAGLTCGIGVLVVILGSVAIVRRWKIHIQKKTRRAYFKKNKGLLLEQLVSSDGSVSHSTKIFSLDELEKATNKFDSTRVVGRGGHGTFYKGILSDQRVIAIKKSQIIHQSEIDQFVNEVAILSQVNHRNVVKLFGCCLESEVPLLVYEFISNGALYDVLHSDLSVECLLSWDDRVRIAFGAASALAYLHSAASIPIFHRDVKSANILLNDNFSAKVSDFGASRSIPIDETHVVTNIQGTSGYLDPKYYSTGILTEKIDIYSFGVILVELLTRKKHVFLNCFGEKQNLCHYFLDMLRDKTAIEIVDCQVVAEASQR >OGLUM01G17640.1 pep chromosome:ALNU02000000:1:16804034:16804258:1 gene:OGLUM01G17640 transcript:OGLUM01G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLATLSAGGVGGVPDLDVPKCRWGGGVPELPAPSADGKGVGQRGGAAASDLATPEQQLPVAIPLRRHRWRRR >OGLUM01G17650.1 pep chromosome:ALNU02000000:1:16813642:16814835:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYILGVPDPLQWHSEVGLLHPIIPLLWFDVILDDLERNPAHYSGWMARLGPITRLANNIGIGVTPEDGGVRVSHPAALSPQPAAGLSFSLRRTGTPPSTTAVGRSLLSSCFQFSDATIQMLGSASVTRIIASGDPICLLWFSHIVGSLSGTLSYVMSELEDGNRFSEFVKTTKSLGYTEPCENLFIHLWHYKINVYSVFIRCLSLLQFS >OGLUM01G17650.2 pep chromosome:ALNU02000000:1:16813642:16814835:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYILGVPDPLQWHSEVGLLHPIIPLLWFDVILDDLERNPAHYSGWMARLGPVLYAFIPHLQIGCSSNCFITRLANNIGIGVTPEDGGVRVSHPAALSPQPAAGLSFSLRRTGTPPSTTAVGRSLLSSCFQFSDATIQMLGSASVTRIIASGDPICLLWFSHIVGSLSGTLSYVMSELEDGNRFSEFVKTTKSLGYTEPCENLFIHLWHYKINVYSVFIRCLSLLQFS >OGLUM01G17650.3 pep chromosome:ALNU02000000:1:16813642:16816173:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYILGVPDPLQWHSEVGLLHPIIPLLWFDVILDDLERNPAHYSGWMARLGPVLYAFIPHLQIGCSSNCFITRLANNIGIGVTPEDGGVRVSHPAALSPQPAAGLSFSLRRTGTPPSTTAVGRVRGQTNSYLNAGIDLS >OGLUM01G17650.4 pep chromosome:ALNU02000000:1:16813642:16816173:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYILGVPDPLQWHSEVGLLHPIIPLLWFDVILDDLERNPAHYSGWMARLGPITRLANNIGIGVTPEDGGVRVSHPAALSPQPAAGLSFSLRRTGTPPSTTAVGRVRGQTNSYLNAGIDLS >OGLUM01G17650.5 pep chromosome:ALNU02000000:1:16812697:16814835:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYILGVPDPLQWHSERNPAHYSGWMARLGPITRLANNIGIGVTPEDGGVRVSHPAALSPQPAAGLSFSLRRTGTPPSTTAVGRSLLSSCFQFSDATIQMLGSASVTRIIASGDPICLLWFSHIVGSLSGTLSYVMSELEDGNRFSEFVKTTKSLGYTEPCENLFIHLWHYKINVYSVFIRCLSLLQFS >OGLUM01G17650.6 pep chromosome:ALNU02000000:1:16813259:16813631:1 gene:OGLUM01G17650 transcript:OGLUM01G17650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPPPFSRCPWRSPEDGGGGVSHPAALPPPPPPAGLASTTGTPPSTAAASRVTFFRARRRPSRVAPPAISSPPPLPVAPARASQQMRPAEEVELAGSLGELLPPVDFCCAYGVHAPQRAP >OGLUM01G17660.1 pep chromosome:ALNU02000000:1:16829367:16854305:-1 gene:OGLUM01G17660 transcript:OGLUM01G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDGGGGRRRWRRQTRTVKMTMVDETAGRWTAAATDASGPVDGDSGGCGGKDMEQLVMRTRIADHCSSWPPRETGNAFMHDAETEYSPTRDRKNCSRICGNASIPFPFGLEEGCSGNKRFQLSCVANQTRIGTSPTQYLVTNISLDDAELVVSRPSSLGDNRTHVYEVEDNISGGWTVSLIEDLESFDFSEQYGVWKWAITNVTCEKAKSDTDSYACVSAMSECLIVTTGSGRVRVGYRCKCSAGYQGNPYVKNGCQERKYASTKQHSLFYGVAIGLICGFSVLALVISSIALVKRWKRRIQMKIRRAYFRRNKGMILEQLISSDESIAHNTKIFSLDELEKATNNFDSTRIVGHGGHGTVYKGILSDQRVVAIKRSKIVEQTEVDQFVNEVAILSQVIHRNVVKLYGCCLESEVPLLVYQFISNGTLYDLLHGDLTKKCLLTWGDRIRIALEAARAISYLHYAASIPIFHRDVKSGNILLDDNFITKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGKLNEKSDVYSFGVILIELLTRKKPIFLSSMGDKQNLCHHFLQRQEHKTTVEIVDPQVLEEADLREIYEMASLAEICLKLMGEERPTMKEVELRLHLLWGQLTKKSQEEPLYTCKSVCLTQYVELATDANLVAHDRSV >OGLUM01G17660.2 pep chromosome:ALNU02000000:1:16829367:16858417:-1 gene:OGLUM01G17660 transcript:OGLUM01G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLKCLVIAAAMQMQAASGAPPTAAKLAHCLKSCGDANISYPYGVGAGCFRPGFELTCDHSTKPPKLLLLGVATNTNTTTTKIVDQYRDGYVQAYVSFNIATTPGVLGTYNRTWESPGRILTIRPDYYALVAVGCGIEVYLVDPDTEDMLGYCFSMCTDMAMMHKEAEGKACSGMGCCVVTFRRPVRAFRVSITQREATQPFQVANASTIKVFLSDEYTTYHYNFTIGDLLSDEINETTVASTSAYLLTIIADQPNCTIAQKDPERYACGDNKCLDADDENGGYRCACINKYTNSNPYLEGDCDQETEYSPTRDRKNCSRICGNASIPFPFGLEEGCSGNKRFQLSCVANQTRIGTSPTQYLVTNISLDDAELVVSRPSSLGDNRTHVYEVEDNISGGWTVSLIEDLESFDFSEQYGVWKWAITNVTCEKAKSDTDSYACVSAMSECLIVTTGSGRVRVGYRCKCSAGYQGNPYVKNGCQERKYASTKQHSLFYGVAIGLICGFSVLALVISSIALVKRWKRRIQMKIRRAYFRRNKGMILEQLISSDESIAHNTKIFSLDELEKATNNFDSTRIVGHGGHGTVYKGILSDQRVVAIKRSKIVEQTEVDQFVNEVAILSQVIHRNVVKLYGCCLESEVPLLVYQFISNGTLYDLLHGDLTKKCLLTWGDRIRIALEAARAISYLHYAASIPIFHRDVKSGNILLDDNFITKVSDFGASRSVSIDETHVVTIVQGTFGYLDPEYYHTGKLNEKSDVYSFGVILIELLTRKKPIFLSSMGDKQNLCHHFLQRQEHKTTVEIVDPQVLEEADLREIYEMASLAEICLKLMGEERPTMKEVELRLHLLWGQLTKKSQEEPLYTCKSVCLTQYVELATDANLVAHDRSV >OGLUM01G17660.3 pep chromosome:ALNU02000000:1:16854667:16858417:-1 gene:OGLUM01G17660 transcript:OGLUM01G17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLKCLVIAAAMQMQAASGAPPTAAKLAHCLKSCGDANISYPYGVGAGCFRPGFELTCDHSTKPPKLLLLGVATNTNTTTTKIVDQYRDGYVQAYVSFNIATTPGVLGTYNRTWESPGRILTIRPDYYALVAVGCGIEVYLVDPDTEDMLGYCFSMCTDMAMMHKEAEGKACSGMGCCVVTFRRPVRAFRVSITQREATQPFQVANASTIKVFLSDEYTTYHYNFTIGDLLSDEINETTVASTSAYLLTIIADQPNCTIAQKDPERYACGDNKCLDADDENGGYRCACINKYTNSNPYLEGDCDQVDDGGAHGGCGGRGCQLRTCSRDRVTVMAMATPAVDDGSSGGYGAASVVDEPWTKNSTAVAVDEDRGQGGRGPPRR >OGLUM01G17670.1 pep chromosome:ALNU02000000:1:16862823:16866250:1 gene:OGLUM01G17670 transcript:OGLUM01G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNICSVPHQPKIRKKKMAVTVSTQPHLLMLGYFIKGCKGLEKLTLNWFLHISEKGLGGNCKSLPESAIVSAFGNFLLVVLVLFSFVHSILFHM >OGLUM01G17680.1 pep chromosome:ALNU02000000:1:16892511:16901672:1 gene:OGLUM01G17680 transcript:OGLUM01G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLLLSVALVMLHLASISAQPNHWCKTQCGDVEIPYPFGIGTGCAIGEGFEIICNRTADGIDKPFIGNIEVLDISVVHGRTRVLGPITTNCYNSSTGSAKNNSWSMDLSTLPYRFSYAYNTFVVIGCNTLAYIYNGLNRTAYTTACASVCGRPEDLTNGSCLGVGCCQNANAIPKGLTRQDMIVYPIYNSSESDSWRFNPCSYAALVETESFSFSTEYITTTRFNDTYEGQQPLVLDWAIGDVSCEVAKNMTSYACHSGNSICVDSKNGPGYLCNCSEGYQGNPYLPDGCTGKFSSSLCNSLSLYLQFNEILNVVLSDYLEDVNECEQNPSPCTKGETCRNTIGWYYCSRPSCPLGRKLARETNTCNPDINLIIGICIGSVALVITIFFMRLMFERRKLTDVKKKYFQQHGGLILFDKMKSDQGLAFKVFTQAELEHATNKFEKSQILGHGGHGTVYKGITKDNITVAVKKCALIDDRHKKEFGKEMLILSQINHKNIVKLLGCCLEVDIPMLVYEFIPNGTLFDLIHGKNRTFHIPFSSLLRIVNEAAEGLAFLHSYANPPILHGDVKTSNILLDENYMAKVSDFGASILAPTDEDQFVTMVQGTCGYLDPEYLQTCRLTDKSDVYSFGVVLLEVMTGQMPLKFEGPEIQKSLSSSFLLAMKENNLEAMLDSQIKDHESMELLSGLADIAKKCLDMCSDNRPSMKEVSEELSRLRKFSKHPWIQRDTEIESFLSGPSTSNLETEHSYLSGPSTSNFEIEHNTEYRRKDEEMPINPSTSYFIR >OGLUM01G17690.1 pep chromosome:ALNU02000000:1:16903299:16905961:1 gene:OGLUM01G17690 transcript:OGLUM01G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDGRAVAPVSVREAAMAIPVAVSEVPTEHFVRDIGVAMGVRFLACEATYYNGDLVGGALIPKPAANHGANWIPRDGGGGAGGRDSFSVNSASTSSAKKSRIDTSMVSWEWYRHLGSVGVGSHRFLRRTLSDVTIKWCQGGV >OGLUM01G17700.1 pep chromosome:ALNU02000000:1:16906639:16910268:-1 gene:OGLUM01G17700 transcript:OGLUM01G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSISVDEGLVYLDRFDENTTAWSFTDYEVDRLLGDIFMDDTDFNFSKVFGVWKWSVSNISCETAKNNTAYACISDHSECVPVTQSNVYFGYRCKCSLGYEGNPYTRPGCTDIDECSRPNFCKGKCQNTEGNYSCIGCPYGTYFDPVETKCIPTKPHERRHNIVIGVVIGLVVGTGVLALSLVLTILLRRWKRGIQKKIRRAYFRKNKGLILEQLISSDESVAHSTKIFSLEELERATDHFNSTRILGRGGHGTVYKGILSDQRVVAIKRSKIVEQGEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDILHGDMSTECSLKWDDRVRISLETASALAYLHCAASIPIFHRDVKSANILLNDNFTTKVSDFGASRSISIDETHVVTIVQGTFGYLDPEYYHTGQLTAKSDVYSFGVILVELLTRKKPIFLNCSGEKQNLCHYFLQSLRDKTTTDMLDSQVVEEGNLGEIDEFVSLAEACLRLRGEDRPTMKEVESRLQLLRANITKKIQDESQKNVEAMQLFPSVYDSTSFTQNVDIGMDADSLTQLASTCHTMEQELVSLTR >OGLUM01G17710.1 pep chromosome:ALNU02000000:1:16922559:16923515:-1 gene:OGLUM01G17710 transcript:OGLUM01G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCWLLFLVAAMATFHLTLGVRPSARDLRHCPTSCGDVDITYPFGIGTGCFRPGFELICNTTTKPPKLFFGNTTEILYQDTDVRYVMASVVFNIATTPGLLGTYNRSWQSPGRVLSTYNDYGDISQLVIVGCGIDVYLFDGDTNIVQGYCRSECTNLALKEKKLSGLPCNGIGCCTIDFLGGVRAFRFTITQRQETRSSITVGNATIKAFLCSNNPLEEFYFNTADLLSEKINASTIGATWSYFSVAITDQPNCSIAQLGLNKTHYACSKGSTCVDEENQRGYSCTCPADSFDYGNPYLLHGCKQGIPIQFVATENA >OGLUM01G17720.1 pep chromosome:ALNU02000000:1:16926519:16926824:1 gene:OGLUM01G17720 transcript:OGLUM01G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGTAAANGCSDGGGSRWMRWRWRTDAVVEAADGCGGDCSGGWIRDGGGSGWMLRRRWTDAATTAGACCCDAGEGGSVDAMSSVAEVYKPICGRYDART >OGLUM01G17730.1 pep chromosome:ALNU02000000:1:16929240:16930142:1 gene:OGLUM01G17730 transcript:OGLUM01G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDGCPPWLIRLHRPASNTTAVLLESR >OGLUM01G17730.2 pep chromosome:ALNU02000000:1:16929240:16930142:1 gene:OGLUM01G17730 transcript:OGLUM01G17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNPDGCPPWLIRLHRPASNTTAVLLESR >OGLUM01G17750.1 pep chromosome:ALNU02000000:1:16946900:16985542:-1 gene:OGLUM01G17750 transcript:OGLUM01G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVSRLARAQLLEKGLKIFSRAYASTPRRRLGIDSPYLLLRVPSRLYRRCSTVLTFPLSTTPPRPCSTTTSTTPLLVLLRKRWRRRAAANTRHPWVHAAPSRTRDAVRRIVSVPRGELATVAGSLRSLARRPAAVRFSATPPVHHSDEAATPPLLACRCASPVAADSAPTLPLLARINLCSNSRYLSGRCRLASHRPPIPVAREAVACSTTSSSSAPMPPSAFALRFRPSPAEVITRSTSQKNFTGL >OGLUM01G17760.1 pep chromosome:ALNU02000000:1:16956075:16964814:1 gene:OGLUM01G17760 transcript:OGLUM01G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVQGLQAIAICAFLLVDARAVRLDPSLQEENDSLEQYLLHAAPNQKMMLYKTFMMSNSIVTRNVVQDINSAKVSYFATHQSTGPNENYYGLRATMDVHGHEVKPGQLSGGALWVSHFGDDGKLSSYNAVSAGWHIDPERYGDSRPHFYTSWTRDGYATTGCYNMDCPGFERANGAAVAPGASIDPVSDDKSLQSITVEVLLDRTSGDWWVYYGFNSVPTGVGSYPKSLFTNMAEKANAIAFGGYVVTRRALPTPPMGSGSHPHTNKSHAASLTNLGVINQDGNTNPINADLPTYMGNEQCYSITPVAQAECFYGGPGGCMP >OGLUM01G17770.1 pep chromosome:ALNU02000000:1:16996392:17003861:-1 gene:OGLUM01G17770 transcript:OGLUM01G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCCMGCNDDDGGNGGGGDGGLDPKGFLLALMIALVLFMICHRPQPRRNNYVVYRELQKLRPHQSWTGRPKHRQDVPKAGSAELVSRLGNNTNRLGPHLRFGFDYYGFGIGFFQFGFGFGFYIILYKFWSQGTRAI >OGLUM01G17780.1 pep chromosome:ALNU02000000:1:17005042:17028563:-1 gene:OGLUM01G17780 transcript:OGLUM01G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRPVSTTNTAPFSVNLSTAAARAPRLFLLSRRPRPCPAAAVLGVSDDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCAAHSPAVRSGVHCIRQYSVGRELTSNREVVNLLELLADNSAVAFSSVKRRRRTEPTLADAASLAIAIMKKGYRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >OGLUM01G17780.2 pep chromosome:ALNU02000000:1:17005042:17028563:-1 gene:OGLUM01G17780 transcript:OGLUM01G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAVSSLLATPTPTSRPRPVSTTNTAPFSVNLSTAAARAPRLFLLSRRPRPCPAAAVLGVSDDTGVKMAGSDIVGKNDLLIVGPGVLGRLVAEKWQEEHPGCKVFGQTASTDHHNELSNIGIIPSLKGSTFPQKVPYVIFCAPPSRSDDYPGDVRVAASNWTGEGSFVFTSSTALYDCSDNELCNEDCPSVPIGRSPRTDVLLKAENVVLEAGGCDAASLAIAIMKKGYRGRIFLGCDNKPLSRQEIMDSVNRSGKFDTKFQGFTGTDGPLGKKMENSRTRSEIGWEPKYPSFTEFLGLDS >OGLUM01G17790.1 pep chromosome:ALNU02000000:1:17007877:17011619:1 gene:OGLUM01G17790 transcript:OGLUM01G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNSQNFTASVGSVRLLLFTLLNATAELSASSSRRFTTSRLDVSSLPTLYCLMQCTPDLTAGECAACFEDFPRLTLQYLDGRRGGRILATRCTMRYETYLFYFGDPMLRIINLATTVPEMNTTAPTTPVAVYPQPAGPGGATAPPPPPQPNPGIPEQQQRSADHKSKVWIVAIVAPLLAILFCFMLSIVWIRRGRKGEVNMQNNIAAVNRLEEDALVWRLEERSSEFSLFEFSELLEATDNFAAENRLGQGGFGPVYKGQLHDGVEVAVKRLASQSGQGFTEFKNEVELIAKLQHTNLVRLLGCCIQGEEKILVYEYLPNKSLDFFIFDVDKTSLIDWNKRCGIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDQDMNPKISDFGLAKIFSSNNTEGNTKRVVGTYGYMSPEYASEGIYSIKSDVFSFGVLLLEILSGKRNSGFHQYGDFLNLLGYAWHMWEEGRWLDIIGASIPQTIPTEGLRKYINIALMCVQENADDRPTMSDVVAMLSSESAVLPEPKHPAYYNLRVSKVQGSTNVVQSSSVNDVTITSNPEGR >OGLUM01G17800.1 pep chromosome:ALNU02000000:1:17031182:17031980:1 gene:OGLUM01G17800 transcript:OGLUM01G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPRPMSDAQPNYSKQSEVGRAMTTASLVHPSASSSSSSTRAEVEVMGGVFVYSDSCGGNITRNLIVDYGSGKIALTAACIHFCVPGTALNLSFRRCHSGPINTCSAAADDRGRSRFHHCH >OGLUM01G17810.1 pep chromosome:ALNU02000000:1:17052080:17052884:1 gene:OGLUM01G17810 transcript:OGLUM01G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGGVADVARLGDGDAITTEDDGGGADPGCGDGGGSGCSDAAHGSGGGSNAAHGDGGCTDAARGCAVTASGGDDCAVVAYVGVGGVSLVLLLHLVQVCSSPTLCYREHLSMVVLFTASGGIHAGCAIRVELKLLRFNGELRGEVWLSPVKLTPKSTAQQQISNLCSFCGGDRRGLTVRQAVCMLKETQGCNRRGFAAAPCRFAPSALPSFRRLFVFLIQFSILYSVHLAVSVDDVRGLALLICCSNICV >OGLUM01G17820.1 pep chromosome:ALNU02000000:1:17054356:17058143:-1 gene:OGLUM01G17820 transcript:OGLUM01G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYISPDRSLEGACGDPGPLFGDHDGSLLEHMGFHGDPQHVSPQLNEGLLVDSTDQISYLAADSPSFMNDQIPCNTMKSASTSPASPLKQADDHHVHIDSDMENDAAEQNLHDSYSEAQTTSLGYGIHRRTEVVDAAQPTELHESNGNNDTSNFQEETTQSDTYLGDAMLNENGSRDYQLNNSGADDDEIPNSPALQMEDVDTRALHETSHNEKYEAEDDQMNGRNSSPCDEHDEDNCNSVIEPSYLDVMEHENPGTKNGMLTPSNQWDSPPESSARLEKGTPSPDRMVSLPVGRSPRTHSPKELDSPRPENEKKGFAQEERLTKERLSIKEKGLTKEGLPIKEKRLTKEGLSIKEKRLTKEGLPIKEKGLTKEGLPIKEKGLTKEKGLTKEGIPIKEKRFTKEGLPIEEKGLTKEVLPIKEKGLTKKGLPIKEEGLPTKEAQAYFSSMDIHFNYALLLYASVRFDIVEGIHHGADHLLPVIVIIHLEGRGFSYATTERDLEKKFSKYGRVTSARVVRDKRSGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPTW >OGLUM01G17830.1 pep chromosome:ALNU02000000:1:17064570:17068838:-1 gene:OGLUM01G17830 transcript:OGLUM01G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFRFHSSALPAAAAQLRLSRGLRPPPSRRRLHNTFSPTLSISPPSRPTAIAIASPLGASQEASAMAVSASEGGGQYDLLIVGPGVLGRIVAERWQQEHPDCKIFGHTATTDHHSELTQLGIIPSLKGPAVQKVPYVIFCAPPYRTDDYPGDLRVAASNWNGQGSFLFTSSTAVYDCSDNGLCSEDSPCVPIGRSPRTDVLLKAENAVLGAGGSVLRLDAASLAIAIMKRRLRARTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSN >OGLUM01G17840.1 pep chromosome:ALNU02000000:1:17087563:17095141:1 gene:OGLUM01G17840 transcript:OGLUM01G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGRPSSSPPAAARKGRRKKRSSPLPAPSTDSPAADQSPGRGRPRRRLGRKPGPRQGCVPAPAAAEQLAAGWPPWLVAVAGEALRGWTPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYVQQLLSGLEHCHNNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLHGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIREAFKDFPPSSLPLVETLLAIDPAERQTATSALQSEVCPYLFLLSIVTENVSVKFGLYQGPHLYEGLNGYFDFQYRFQKFFATEPYACDPSSLPTYPPSKEMDAKMRDEEARRLRAAAKAKGEGVKRTRTRDRSQRAGPAPEANAELQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSSRHMEPMYEHQDASFSTVVPIQKGSSQTWSGPLVDPAVLGQSRRKKQTALDAKAAAYSKQLQKDKGGTRAR >OGLUM01G17850.1 pep chromosome:ALNU02000000:1:17101584:17108722:-1 gene:OGLUM01G17850 transcript:OGLUM01G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVKYDEEEEEVSSSGEEEEEQSDGAGSGSGEEEEEEEEEAPAAGAGEAAGGEEEEVDEEEIEAVTTGAGADEEEEEGGAAAAAPGEGDEESQSTEDDEAVAGEDDDADEAEGGAVVGKREKARLKEMQKLKKQKIQEILDTQNAAVDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGSGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWIKEIQRFCPILRAVKFLGNPEERNHIRENLLQPGKFDVCVTSFEMAIKEKTTLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVENAGKMVLLDKLLPKLKDRDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKFGSLLNSIYILDFLKEENKLDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFATWTRRDFNTFIRACEKYGRNDIRSIAAEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKDKRMAKNMTPTKRSALRVSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >OGLUM01G17860.1 pep chromosome:ALNU02000000:1:17110862:17113429:1 gene:OGLUM01G17860 transcript:OGLUM01G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8K0] MSSSSSSGWSSKKVCVVGSGMAGLAAARELRREGLDVTVLEQSAGVGGQWLYDAATDGGDPLGMAGVHSSIYSSLRLNSPREVGGFSDFPFRPTNGGGGDVRRYPVHGELLRYIREFCDVFGLMDAVRLDTTVVRVAMAPPRRDGSLRWTVRSRHNGDAETEEVFDAVVVATGHYSQPRLPSIDGMDKWRRRQLHSHSYRVPDSFAGEVVVIVGCNLSGKEVALELLRVAKEVHLSSKSTKEAMTPSMSKMLARYDNLHLQPLVEHLCEDGRVVFDDGSFVVADAVIYCTGYNYSFPFLETEGKVTVDDNRVGPLYEHVFPPALAPSLSFVGIPVKVILPRFAEVQARWVAQVLSGRRTLPSPEEMLRAVEEYNRAKEAAGLPKRQTHDLFLDLEYCDEYGERHCGFPRTEQWKKELIWSSISDMRDDIENFRDDYHDNDIVRDGRRLHGWASSPPLAPRPQEKDDDHDKEVQHFAPVNSA >OGLUM01G17870.1 pep chromosome:ALNU02000000:1:17147449:17148498:1 gene:OGLUM01G17870 transcript:OGLUM01G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNGAQGSKGASSTTTNPTNDDKKKKPAQAPGADADADADADGGGKKNNSDYVDKTLSTTANLVKLLPTGTTLAFQALSPSFTNHGRCLAINRYISGGLIAFCCAICALLSFTDSIIDRKGRPYYGLAFPADEDTGKGGFVPFNYEKPRRPSNGASAAADDDDDSWEVYFVDFDPEELYKRRLRPLDFLHATLRVFVFLALAFSDAGIQTCLFPQESATWREALVNMPLGVGFVASFVFMIFPSTRKGVGYPREAQTGTEGGADADKDGKAEPPKTTTNGGSGGVDAERSKQKNNDDCPKTTTTNDGSGGGDGAGSSVQKNSANQVVPIQPSTKEISNRADEKIANIV >OGLUM01G17880.1 pep chromosome:ALNU02000000:1:17153604:17154251:1 gene:OGLUM01G17880 transcript:OGLUM01G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGAARPPTPPAVVDKTMCAACDILKLLPTGTVLAFHELAPSFTNHGGACGAASRYTTTALIAACTASCVLLSFTDSLVSHVDGRRLYYGVATLRGFRPFNFEGTREEMEERFGDLPGMKVRALDFVHALVSAVVFVVVALGNADVQGCLFPDAGPGFTEMFRNLPMGLGLLSSMVFMIFPTTRKSIGYTDMMPHKEDYGKGGNNTPAQTTPSV >OGLUM01G17890.1 pep chromosome:ALNU02000000:1:17184151:17184458:-1 gene:OGLUM01G17890 transcript:OGLUM01G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQELLSRMSRISPKARSSHLIRYGEVRVLARKLAFCMDKEHLKEGRDSRQSGYQEICYVAACNLLVKRKEQCYTWV >OGLUM01G17900.1 pep chromosome:ALNU02000000:1:17186147:17186458:1 gene:OGLUM01G17900 transcript:OGLUM01G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVNRLAAQRAVVIFSMSSCCMCHTVTRLFCELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >OGLUM01G17910.1 pep chromosome:ALNU02000000:1:17190786:17198546:-1 gene:OGLUM01G17910 transcript:OGLUM01G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYFHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >OGLUM01G17910.2 pep chromosome:ALNU02000000:1:17190786:17198546:-1 gene:OGLUM01G17910 transcript:OGLUM01G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLTYFLEQIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYFHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKEIVGLQEQVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >OGLUM01G17910.3 pep chromosome:ALNU02000000:1:17190786:17198546:-1 gene:OGLUM01G17910 transcript:OGLUM01G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHERKTIDLEQGWEFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYEKYRESFEEYITSMVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPQLSEVGLSCFRDLVYQEIKGKVKSAVISLIDQEREGEQIDRALLKNVLDIFVEIGLTSMDYYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYFHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVDDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKVFVRKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQAKFEEFISTHSELNPGIALAVTVLTTGFWPSYKSFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGVDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILSKEPNNRSISPNDVFEFNSKFTDKLRRLKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >OGLUM01G17920.1 pep chromosome:ALNU02000000:1:17210762:17218504:1 gene:OGLUM01G17920 transcript:OGLUM01G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSPRIPVVDLEDGWRDVLAGVAKLKCILDGSNVVHFFPDEYMHLYTTVYNMCTQKPPNDYSQVLYDRYKQALDDHIESVVLPSLNEKHGEFLLREIVQRWEKHKLMVRWLRRFFDYLDRYYVTRRSLDSLKDLGWSSFRDLVFDKLKSTVATIVIGMIDDEREGNLIDRALLKNALDIYVEIGDSQLNYYSDDFEQSFLNGTTDYYSKKAQTWILENSCPEYMLKAEECLQKEKDRVANYLHSTTEPKLFAAALFELIDRRAEEILNKENSGCKVLLCDEKTEDLARMFRLFSRITDGLLPVSKIFKEHVIAEGMSLLKHATDAANSRKDEKKGVVVGLPEQDFVRNVIELHDKYMAYVTNCFQSNSVFHKALKEAFEVFCNKDVVGCSSAELFAAYCDSILKRGGSEKLSDEAIDESLEKVVKLLTYLSDKDLFVEFHRKKLGRRLLFDKNTNDEHERILLSKLKQFFGGQFTSKMEGMLKDITLAKEHQSSFEEYVSNNPESNPLIDLNVTVLTTGYWPTYKNSDINLPLEMVKCVEVFKEYYRSDKQHRKLTWIFSLGNCVVIGNFDAKPVEFVLNTYQAALLLLFNEADKLSYSDIVSQLKLSDDDAVRLLHSLSCAKYKILNKEPSNRVISPEDEFEFNSKFIDRMRRIKVPLPQIDEKKKVVDDVNKDRRFAIDASLVRIMKSRKVLGHQQLVAECVEQLSRMFKPDIKIIKRRIEDLISREYLERDSENAQTYKYLA >OGLUM01G17930.1 pep chromosome:ALNU02000000:1:17217089:17221726:-1 gene:OGLUM01G17930 transcript:OGLUM01G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIGNSIPAIFLPLRSSSNKIKCRWPTAKAVSRRQMSPVQVPQTGTRHVLLLAYQSCGVVYGDLSTSPLYVYKSTFIIGSLRRFQDEEIVFGVFSLVFWTLTLIPLLKYVFIVLAADDNGEGGTFALYSLLVRHAKFSLMPNQEAADEELTSYYRPGYAPQETPILTALRRFLENHRKSRTFLLVTVLFGASLVIGDGVLTPPMSVLSSFSGLQVHSTALTSGEVEILSCTVLVCLFMVQHWGTHRVAFLFAPVVIVWLLLLGALGVYNIVVWNPRVLRALSPYYLVRDRSHVAFVGLIYPCLVLQYMGQAAFLSKSPHCDIHFVFFESIPTGIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSRRIHGQIYSPEINWILMLLCIAVTMGLRDTTLIGNAYGMACAGVMLVTTLLMALVIVFVWQYSCLVAALFLMAFGVVEAVYLSAALMKVPQGGWLPLVLSLVFVAVMYVWHYGTRRKHQFDVQNKVSLRWIHALGPSLGIVRVPGIGIIYSELATGVPAIFSHFVTNLPAFHQVLVFICVKAVPVPHVRDEERHLVGRIGPREFRMYRCVVRHGYKDVLAEDTDFENDLVLRIAEFVQMEADFDQRCSISDDGVVASVEVEGRMAVVPRPSDLARTGLLMREPGEEESVVARAAAAAKPESLINSMHTMHEAESPGFASRRRVRFEVANEHTDPRVKEELSALVEAKHAGVAYIMGHSYIKARKSSSVFKKFAVNVAYAFLRKNCRGPGLVLNIPHISLIEVGMIYYV >OGLUM01G17940.1 pep chromosome:ALNU02000000:1:17222965:17223450:-1 gene:OGLUM01G17940 transcript:OGLUM01G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIGGGSSVAEGELRTVVAGGDGEGTSKQRQQAGMTEPARSGGGGGKNGGGGGHGCCCACSPPKLMPACCVELPMNITDLYIAADSPCRPSPPASVARAPPPPPLESHCPQLHSSWTAATATRSSPVVVVIAFCLGARATSAVARPHPRGGPSRGGPGE >OGLUM01G17950.1 pep chromosome:ALNU02000000:1:17224989:17226008:1 gene:OGLUM01G17950 transcript:OGLUM01G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSNSRRTRTLPPQATQNSLPSSSTTSTPTILLLILCRPAMAYRLLELTLVSASDLKKVTLFSRMHVYAVASISGSNVPMPMHGTHADRNGGSNPAWNTVLHFPVPARFDTRGLALHVQLRAKRSFGGHRDVGDVFVPLDDLLAGAHDGGEPRPASYQVRRPMSARAHGTLYFCYRFTDVKHPALEAIEAATATSATKQGQYVPMYAQDSDEKATEKSVSSPVTAYPPPSNAVVAYPPVVPYGAPYGGGYPPHQQQQQQYGYAGQPPYAYNAGPPPPATYGYAAAQQPAARKGGRMGMGLGLGLLGGAVGGMMLGEMVGDMEADAAYDAGFNDALEF >OGLUM01G17960.1 pep chromosome:ALNU02000000:1:17230455:17230972:-1 gene:OGLUM01G17960 transcript:OGLUM01G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAILSVLVSDLTASSVTVLVALSMRRTSLVMADVILLLSFPPTPVQVVAKVVIISGQWDVPKVPVWTLTRVLARDLGSIDVPIDMHLFSLCRKTRGSLSCWSSCCRKGTVEVGYRVDRILPTSDVLKGYRTGSKGLSLNSDSIAVRCCAGVWTGFVALR >OGLUM01G17970.1 pep chromosome:ALNU02000000:1:17231156:17232353:-1 gene:OGLUM01G17970 transcript:OGLUM01G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVYGWVVSPWMARVLVALEEAGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYIFRKYKPELLGLGEGGSLEESAMVDLWLDVEAHQHEAAVRPILWHCIINKFEGRDRDQGVVDESVRKLEKVLGVYEARLSGSRYLAGDRISLADLSHFSNMRYFMATEYAGVVDAYPHVKAWWEALLARPTVQKVMAGMPPDFGLGSSGNIP >OGLUM01G17980.1 pep chromosome:ALNU02000000:1:17245800:17248020:-1 gene:OGLUM01G17980 transcript:OGLUM01G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPLLTPYKMGQFDLAHRVVLAPLTRCRSYGNVPGPHNAAYYAQRAARGALLVAEACAVSETARGYPDVPGIWSAEQVGAWRHVVDAVHAKGAVFFCQIWHTGRVSPTGRPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRTDAYGGGIENRCRFAAEVITAVAGEIGAHRLGVRLSPFADYMDCHDSDPEALALRVIGLMNNLGVLYCHMIEPRMCVGAGEHGSKPVIAHGRLLPFRKAFRGTFMVNGGYDRDEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDPVVGYTDYPFLDDQNSELATR >OGLUM01G17980.2 pep chromosome:ALNU02000000:1:17247455:17248020:-1 gene:OGLUM01G17980 transcript:OGLUM01G17980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTPLLTPYKMGQFDLAHRVVLAPLTRCRSYGNVPGPHNAAYYAQRAARGALLVAEACAVSETARGYPDVPGIWSAEQVGAWRHVVDAVHAKGAVFFCQIWHTGRVSPTGRVTLARLISSSPSLCHSTMR >OGLUM01G17980.3 pep chromosome:ALNU02000000:1:17245800:17247068:-1 gene:OGLUM01G17980 transcript:OGLUM01G17980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPNLFVSPGNLLARHFVKSSWPQDGCNQSAEFQPNGQAPISSTDKQVTPQVSHDGQVLEFAPPRRLKTEEIPNIVDDFRIAARNAIEAGFDGVEIHGANGYLIDQFMKDSVNDRTDAYGGGIENRCRFAAEVITAVAGEIGAHRLGVRLSPFADYMDCHDSDPEALALRVIGLMNNLGVLYCHMIEPRMCVGAGEHGSKPVIAHGRLLPFRKAFRGTFMVNGGYDRDEGDKAVADGYADLVAYGRLFLANPDLPERFRRKAGLNKYDRSTFYTSDPVVGYTDYPFLDDQNSELATR >OGLUM01G17990.1 pep chromosome:ALNU02000000:1:17252984:17255515:-1 gene:OGLUM01G17990 transcript:OGLUM01G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTHLLTPYKMGQLNLAHRSYGSMPQPHNLLYYAQRATPGALLIAEASAVSYAALGRSKDDAANGPIHRQRPGPPGFLFGTNLTDYNSATDGVKATESGVNDRNNLSKWWFMSRLNESGNAGGAEESHYTLPSSLDAPGLWNQEQMEAWRPIVDAVHAKGALFFCQIWHNGRVFSTDNPVTPQVSYFGNTDDLAPAAPQRLETGEIVQIVEDFRVAARNAVKAVLRFDEGFDGVEIHAANGHLLHQFMKASVNDRTDEYGGSVENRCRITVDAMSAVAEEIGADRVGVRLSPFADHCREEGTDPEEVALHLIGVMNGLGVLYCHVIEPRCVSSIEEHRARRNVPHRLLPFRRAFHGTFIVNGGYDREEGDKAVGDGYADLVSYGRLFLANPDLPERFRQKAALNAYDRSTFYTPDPVVGYTDYPFLEQPLAV >OGLUM01G18000.1 pep chromosome:ALNU02000000:1:17269430:17269678:1 gene:OGLUM01G18000 transcript:OGLUM01G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLWTEVETHQFNPALSPIMFQCIINPALHGIPTNQKIVDETVEKLKKVLEVYQAHLSENTYLAGEFVSFANISEHVHLIQ >OGLUM01G18010.1 pep chromosome:ALNU02000000:1:17272404:17273776:-1 gene:OGLUM01G18010 transcript:OGLUM01G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYLDQKVVGSGQASFRSPHFDALTTGTDNGDMQDQRSWAMTTKHDMMARVVGASAPRGAFGGPRSCRGSRLQPEESLRVQHSFVLVGLRSVNCRLQHVGLHLIIYVVQDDMGWDIVEHRQLSHLFQQPRRAPLICGPPEYGWLHWSLDGQ >OGLUM01G18020.1 pep chromosome:ALNU02000000:1:17290412:17291799:-1 gene:OGLUM01G18020 transcript:OGLUM01G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAMSTNVARVLVCLEEVGAEYELVNIDFKAMEHKSPEHLKRNPFGQMPAFQDGDLLLFDLPSKIFRFKQRKLAKCISFSESRAVGRYILRKYKTSEANLLREGNLTEAAMVDIGIEVEIHQYYPVISSIVYECLFNPAMYGVPTNQKVVDDSLEKLKKVLEVYEARLSQNTYLAGNFLSFVDLSHFPFTFYFMATPYASLLDKYPHVKAWWDGLAVRPSIKKVTAAMVLPLKA >OGLUM01G18030.1 pep chromosome:ALNU02000000:1:17303604:17304056:-1 gene:OGLUM01G18030 transcript:OGLUM01G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPSLFSIPSFWLGRDMTLFQSQIWGSEAVGEEYPDPEGCAMGTGLWMYACPCTTGMDDPWMNPMVPGAPALGRMACDRVMVCAVVGDFLRWRAHTYAAAVAAAKGDTSVEVLETAGEGHVFHLFDPDGGKAKELLNRMVTFVNAAGA >OGLUM01G18040.1 pep chromosome:ALNU02000000:1:17318410:17320020:-1 gene:OGLUM01G18040 transcript:OGLUM01G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVKVFGPAQSTNVARVLLCLEEVGAEYEVVNVDFTVMEHKSPEHLKRNPFGQIPAFQDGDLYLFESRAIGKYILRKYKTREADLLREGNLREAAMVDVWTEVETHQYNSAISPIVYECIINPAMRGIPTNQKVVDESVEKLKKVLEVYEARLSQSTYLAGDFVSFADLNHFPYTFYFMGTPYASLFDSYPHVKAWWERLKARPSVKKLAAVMAPQGA >OGLUM01G18050.1 pep chromosome:ALNU02000000:1:17343271:17345439:1 gene:OGLUM01G18050 transcript:OGLUM01G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKVFGPAKSTAVARVLVCLEEVGAEYELVGVHIPAGEQKSPAHLARNESNLSQSAMVDVWLEVESQTFDTAMSAITFQCLTIPTFMGGIADDKIVEENLGKLKKALEVYEARSCRFRYLAGDFISLADLSHFPMAHYLLATPHASVLDAYPHVKSWINDLMKRPALKRVRELMEA >OGLUM01G18060.1 pep chromosome:ALNU02000000:1:17345807:17348557:1 gene:OGLUM01G18060 transcript:OGLUM01G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVYGPAMSTNVMRILVCLEEVGAEYEVVPVDMSTGEHKRPPHISRNPFGQVPAFEDGDLTLFESRAISKYILRKHGSDLLRESNLSESAMVDVWLEVESSHFDGAMSPIIFQCFIVPMFMGGATDMGVVNESLEKLKKALEVYEAQLSKSKYLAGDFISLADISHFPTVYYLLASAHASVLEAYPRVKAWIDDVMQRPSVKKVTEALKMPSA >OGLUM01G18070.1 pep chromosome:ALNU02000000:1:17381484:17382562:1 gene:OGLUM01G18070 transcript:OGLUM01G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGEEVGSTTPAEVEASTLITLRRRCQDHLHDAQDGQGDKLRVLIGFYLDMVTPTDKQVKGAAVAAVAAAASGGVFMHYGRCVTGDRTPADYDMEDGDEVSFFPDGTRTMPVTLTVKDNKGRRVTHTMRRLDVICTLFRLYFDMLPSTAPREGVFMYNGREISFYQTPEKCDMNDGDEITFHPFSKPSTFVTLTIKGSSDDGGRSGVVVTRPMRRTDELQRLIDYYFAMVPTDDQNREWAVTYCGRQVGGEKTPADYEMEDGDQLRLVPASKPSRFVTVNLLTMVKAERTYTLRRTDKLQGLMDLCLSREPASMYRHGCVLAYEGRRVHGSQTPDDLKLEDGDIIYALACQVG >OGLUM01G18080.1 pep chromosome:ALNU02000000:1:17383309:17387137:-1 gene:OGLUM01G18080 transcript:OGLUM01G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEYLNLDLAAGTGDGAVAMGALNGGGEDDGDTTRLGCPVLGRDFQAMRARFPQLVAQILARVGAKPGGVRAARVVSATGGRGTRASRWRRSRRVRALVREARGSITAASFLAAWPREEQEDRVQTGESTQMT >OGLUM01G18090.1 pep chromosome:ALNU02000000:1:17385843:17387110:1 gene:OGLUM01G18090 transcript:OGLUM01G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGSAPFTNVARVLLCLEEVGADYEIVDVDFGAREHKGPDHLARNPFGQVPAFQDGDLMLFESRAICRYILRKHRATDEANLLREGDPSESAVVDAWLDVEALRYEPSVHAVFVQRRVVPALGGEPDERVIAESVARLRETLAVYEARLEATRGYLAGGEVSLADLSHFPYTRYFMEMPYAAPVFGAYPRVTAWWERLLARPSVRKVAAMMSGGEG >OGLUM01G18100.1 pep chromosome:ALNU02000000:1:17389271:17390398:-1 gene:OGLUM01G18100 transcript:OGLUM01G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELRVEALSLSGVSAIPPEYVRPEEERADLGDALELARAASDDDATARIPVVDISAFDNDGDGRHACVEAVRAAAEEWGVMHIAGHGLPGDVLGRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEEMLERRLRGHELAGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQVHHAGSWVTARPEPGTIVVHVGDALEILTNGRYTSVLHRGLVSRDAVRLSWVVFCEPPPESVLLQPVPELLADGAGKPLFAPRTFKQHVQRKLFKKLKDQQDNNAAAASNGMITK >OGLUM01G18110.1 pep chromosome:ALNU02000000:1:17392119:17394993:-1 gene:OGLUM01G18110 transcript:OGLUM01G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEWKWRWRGDNGKLVITVKRMHLLPSSELSGLLSRGNLAVITDYGAATDYLFPHHREQLLRTHTTSFPELKDEERISAVCVLCNGESILFWEDNWLEGSSIRCISPAVWASVPTRLRRRRTVAKALQDRRWIRDYTGALGLQAILHYLQLWSLLRSSVRLSDHPDSFIWKWEASGVYS >OGLUM01G18120.1 pep chromosome:ALNU02000000:1:17399589:17403053:-1 gene:OGLUM01G18120 transcript:OGLUM01G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAAAAAMAAASLSDDLEPATDRTRIRSILADGAARAGERVVVGGWVKTGREQGKGTFAFLELNDGSCASNLQVLVDAAVHPLAPLTATGTSVLVEGELKKPPEGAKQRVELRVDRVIEVGEVDPAAYPLPKTKLTLENLRDVVHLRSRTNTISAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSHAEKVEKELKENPAPSESDIEAARVVVKEKGDAVAQLKAAKASKQEITAAVAELNKAKENVSRLEERSKLKPGIPYRDDGTVAYENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPEIAFANLQDDMNCAERYVQYLCKWLLEHCREDMEFMVKNYDKTAIERLELVSSTPFQRISYTKAVELLKNVTDKKFENKVEWGIDLASEHERYLTEVIFKKPVIVYNYPKEIKAFYMRLNDDQKTVAAMDVLVPKVGELVGGSQREERLDLLKTRIQDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGLENIRDVIPFPRYPGRADL >OGLUM01G18130.1 pep chromosome:ALNU02000000:1:17403138:17404074:-1 gene:OGLUM01G18130 transcript:OGLUM01G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNLLACLPNKTVEEDANLRMDFVSAVLSKTKTKSRSNAKPETSSSNPGAAMEIEKPEEAARPVTMEVDGKPEKNEVSKKARWRGSSPCNETNQWAGRRARYSYTTPQSTTRRALHSPPLHHSKLPSGNKPTTKP >OGLUM01G18140.1 pep chromosome:ALNU02000000:1:17406727:17409368:-1 gene:OGLUM01G18140 transcript:OGLUM01G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAWSWNRWAVRSPRRLLDPPLSPVSPVSPIPFLSPFSGAAFLTAVPPPLRVRRSPSLHPDLRLHRPAVSATAAAVATRSRPPKPAAAAPESGGGRIRSRRSRGRRPLDSCVTVATEDGGRRIRVGSFLPRLLTAWICRWDGGSCAAARAGERKTSTTRNLRLAIDGTGTNNQTRTWRSFWKIMLVHVKKTSQMMPKRKAADSLPENVVVGMNFSLIDPWNSDTVQADHVLRFRGFSSLSALKCAHKRSGSSSRRTGDVMRQE >OGLUM01G18140.2 pep chromosome:ALNU02000000:1:17406727:17409368:-1 gene:OGLUM01G18140 transcript:OGLUM01G18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLAWSWNRWAVRSPRRLLDPPLSPVSPVSPIPFLSPFSGAAFLTAVPPPLRVRRSPSLHPDLRLHRPAVSATAAAVATRSRPPKPAAAAPESGGGRIRSRRSRGRRPLDSCVTVATEDGGRRIRVGSFLPRLLTAWICRWDGGSCAAARAGERKTSTTRNLRLAIDGTGTNNQTRTWRSFWKIMLVHVKKTSQMMPKRKAADSLPENVVVGMNFSLIDPWNSALKCAHKRSGSSSRRTGDVMRQE >OGLUM01G18150.1 pep chromosome:ALNU02000000:1:17419477:17422017:-1 gene:OGLUM01G18150 transcript:OGLUM01G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWDRSSRPPGLQCRLFHHHQIDERTTDGQDLPNISPHSQNPSSARGPLAYGLIRRHAAVALSRADGDASAHAVGRRFPASRNGVRAWRACPSLSLPFSSSHSSRALAVRPTSIAIGAASIHSIISMVCELELEMWYLPISCESFEPSGSQLLKRPGRICLHLQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRICIMCFLQRDNVLGVCKEHDYLGGSFQQ >OGLUM01G18150.2 pep chromosome:ALNU02000000:1:17421043:17422017:-1 gene:OGLUM01G18150 transcript:OGLUM01G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHWDRSSRPPGLQCRLFHHHQIDERTTDGQDLPNISPHSQNPSSARGPLAYGLIRRHAAVALSRADGDASAHAVGRRFPASRNGVRAWRACPSLSLPFSSSHSSRALAVRPTSIAIGAASIHSIISMVCELELEMWYLPISCESFEPSGSQLLKRPGRICLHLQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRICIMCFLQRDNVLGVCKEHV >OGLUM01G18160.1 pep chromosome:ALNU02000000:1:17424773:17426920:1 gene:OGLUM01G18160 transcript:OGLUM01G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLINLLLTEVAAIVSIVLLALLVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLAWTVFLQMLRNTIDTARSSSSTIGNGSGSSKFRPSVEQLARMGWVAFLIVSSDGTAGSPQLTGVLLWLWVLSLAKLVHRLVAAELAKNSFAVGLNAYLISDYMKQLYGQDQGDHDVQAPPLLVMGEEKLQIEARPQGYRIGRTSPPPLCVDAGHVVTMDRICRLFSSGDPLVASNPQIKDTCLSFALFKLQLRRFVGCPIAEAGSRRAVAFVLDGLLGESHERVFRVIETELSFLADFLYSKLTVFYASGWWFPVLNSMLVFATWVSCLAAGGAIVHDMTCHGTTLTSNYDNLREYLQNHDTVFHIIVGLDVLVTVSFIVAIVFTEGWEIATYVRSDWIKVSAICEYARRPSWRKSPWTRRNVGRVLPLKPMQRWDDRFGQTSILQLRPCYCGCVSRQVDRIAKSSATVPAAVKTAVVDTLRTNQGNLGKGVLSLQRNGVADKLAWACHHAGDERSVSEQILVWHVATRLLEIKRSEGAHGRHDDGDGDGGGESDTVVVATRLSRYCAYLVALKPELLPDHPAWTEELYEGVVEEVSRVLARFAGAVVRYERAATCLGGSTNATLRKAAKLGRQLAEELGGDEELPWRVLADFWAELVVYLAPSENVAAHSKALRRGGEFITVLWALLGHAGIVSRPDTDV >OGLUM01G18170.1 pep chromosome:ALNU02000000:1:17435879:17436067:-1 gene:OGLUM01G18170 transcript:OGLUM01G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKKKSGSGFWSAAASWFACLGASKAAAAGSGSGGPAGYDAAGGMVGAAKHFSSSHKINFG >OGLUM01G18180.1 pep chromosome:ALNU02000000:1:17443174:17444512:1 gene:OGLUM01G18180 transcript:OGLUM01G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVYGLPMSTNVARVLVCLEEAGEQYEVVPIDFSTAEHKSPEHTSRNPFGQVPALQDGDLILFESRAISKYVLRKNNSELLKEHNLSDAAKVDVWLEAESHHFDEPMSVVIYQCLILPVYFGGQTDAKVVEENLEKLKKTFQVYEERLCKFRYLAGDFLSLADLSHFPTAYYLLATPHAAMLDEFPLVKAWIDGMLARPSVKKVIEMMKATA >OGLUM01G18190.1 pep chromosome:ALNU02000000:1:17449578:17451785:-1 gene:OGLUM01G18190 transcript:OGLUM01G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLISFYSSLPRPLLAAAFAVFDDIPPAARDVASWNSLLNPLSRHRPLDALSRFRSMLSSSTVLPSPHSFAAAFTAAARAASAPAGTAAHALACKIPSAVSNVYVCTSLLNMYCKLGIVSDARRVFDGMPQRNSFSWSTMVAGYAAEKCSEEAFDLFRLMLEECPSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNASSDLGALAVGKQAHGLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVSGHVQNGEHEEALTLYARMDKEGIIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDHITFINILCACSHMGLVDRGWEYFSLMTKDYGLTPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELGTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMNDEGYHSSSKLSFDEELGPLAESHEEDQLEWISAAYN >OGLUM01G18200.1 pep chromosome:ALNU02000000:1:17457527:17459734:-1 gene:OGLUM01G18200 transcript:OGLUM01G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSSARRMSHTQFIELLRRRASCPRHGEALHAWALKSGAASHAPVANSLISFYSSLPRPLLAAAFAVFDDIPPAARDVASWNSLLNPLSRHRPLDALSRFRSMLSSSTVLPSPHSFAAAFTAAARAASAPAGTAAHALACKIPSAVSNVYVCTSLLNMYCKLGIVSDARRVFDGMPQRNSFSWSTMVAGYAAEKCSEEAFDLFRLMLEECPSEKSEFVATAVLSAVSVPLGLLMGEQMHGLIVKDGLLDFVSVENSLVTMYAKAGCMGAAFHVFESSRERNSITWSAMITGYAQNGEADSAVSMFSQMHAAGFTPTEFTFVGVLNASSDLGALAVGKQAHGLMVKLGFEVQIYVKSALVDMYAKCGCIADAKEGFDQLYEVDIVLWTAMVSGHVQNGEHEEALTLYARMDKEGIIPSKSTIASGLRACAGIAALEPGKQLHTQIVKYGLGLGAPVGSALSTMYSKCGNLEDGMSVFRRIPDRDVIAWNSIISGFSQNGCGNGALDLFEEMKMEGTIPDHITFINILCACSHMGLVDRGWEYFSLMTKDYGLTPRLDHYACMVDILSRAGMLKEAKDFIESITIDHGTCLWRIVLGACRSLRDFDVGAYAGERLMELGTGDSSAYILLSNIYASQRKWNDVERVRHLMRLRGVNKDPGCSWVELNSRVHVFVVGEQQHPEAENINAQLRRLAKHMNDEGYHSSSKLSFDEELGPLAESHEEDQLEWISAAYN >OGLUM01G18210.1 pep chromosome:ALNU02000000:1:17499529:17500881:-1 gene:OGLUM01G18210 transcript:OGLUM01G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARCEKRALSPPRRKIPRKCKRGRTVGAVAPNGAAPWMESPWASLDGDIIRLVAEHALAGDVADYLRLRAVCRHWRSSTVSPRGRSVVDPRFHPRRWILFPESHGLFPGHRKLHGRVRIFNVSTGAFARLLLPLFPDHFVIDSVDGLLLLQRDRDSAIRILHPFTGDIVEFPSLETLRPQVEPFFTSTELAYMRAMERKEMGIFSYFNRICAALSFGPDGVITIMFVVTRVQRVAFATSADQQWTLSNWQTNLSWKYMAFQGKIYAASIWVNFSPNRIFVIDPPRVEANGSASSFSLPEPKLVATCPVEKLFGFAYLVNCESEVLLIGHTDRSYSQAVVYRLADIILGRFIPLTRFGDYTIFMDERSLCVSSKAVPGIADGSLIYRHHGKFLAQYHVRSGTLSTAAEGRIEEGYRLAPYSLIHHLFACCLMTFGKSPGYAVLPCLVPA >OGLUM01G18220.1 pep chromosome:ALNU02000000:1:17501522:17502640:-1 gene:OGLUM01G18220 transcript:OGLUM01G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPGPVVPPSLSTLVLPHTSSASSYATVAASTSSDNTTASSSFSVEDYLVNSSGSGTSAAASTGRPHQRRHRLVLRLLRLQAARGSTRCSQGCPSDRRRVRGAAQDATIQGRHCRSVPLETGASRLEGGLLQGRAELGWTEAQVKTAAAKIPTVLMLSVERLRKNWEFLTKEVGMDAERVANFPVMLS >OGLUM01G18230.1 pep chromosome:ALNU02000000:1:17505725:17508194:1 gene:OGLUM01G18230 transcript:OGLUM01G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8P3] MGTAKIPALLWFLLAGLVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLIIVNVHNNGNKNITIHWHGSDGPEFITQCPIRPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFRKPDKEIPVILGEWWNDDVEHVLDKAKCIGGDVEPSDANTINGQPGDMFPLCSRDDTFKVAVQQGNTYLLRVINAGLTNDMFFAVAGHRLTVVGIDARYTKPITVDYIMIAPGQTMDVLLKANRTLGSNSRYYMAARTFITLPVDTIRFNNSTATAIVEYTDSAAARPVGPPEFPVLLPAIKDEDAAMAFVKQLRSLGNQDHPVHVPMQVDEHMLIDIDINFLPCDANNATNKLCEGPQGNRFAASLNNVSFQNPAIDILDAYYYGSGRGVYEENFPNKLTVFVNPTGDINGGGPLLTKRGTKVKVLEYGTVVEVVFQDLSSENHPMHLYGFAFYVVGRGSGTFDERRDPATYNLIDPPFQNTVSVPKSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >OGLUM01G18240.1 pep chromosome:ALNU02000000:1:17509532:17509771:1 gene:OGLUM01G18240 transcript:OGLUM01G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMPLDDDDDGCMRQRRDPLLASLPRSSLAIEPPRRPCHAADGRRRRRTHAPSSRPVDNGNGNLGDDDSGWRRLATV >OGLUM01G18250.1 pep chromosome:ALNU02000000:1:17509806:17510150:1 gene:OGLUM01G18250 transcript:OGLUM01G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALQRGFKTLTVMRTVVLSAAGPYFCAASSLAPQEPRHGPLLTGRQRPSARGQGAPPHHRGDAGGAWKPVLVAVHGACVGGGVKVVAACAIVEKSRRRWGEESMWGPWLASDF >OGLUM01G18260.1 pep chromosome:ALNU02000000:1:17514050:17518488:1 gene:OGLUM01G18260 transcript:OGLUM01G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIASSGGASPRPPPPHLEAYRHGVPPPYYHSYPRPPPGAAAPPPVPVPAHVERHRAVAVSVGVNVKGDTLRLVPDDDDDGRSLLLAFSFDADGPGSITVCFFAQEDKCALKTAKENLLQPVTVPFKEGRGQEFKQPSGSGIDVSHFEESELTNVGEGGVFPVAFKVQMDVSGNQESEGAHETEQSKYLVKYAIFVKKDNAEYGVHVVQQILWVNGIRYVLQEIYGIGNTADKNAHEDDSGKECVVCLSEPRDTAVLPCRHMCLCRECAQVLKYQTNKCPICRQPVEGLREIEVDNKPIPQQGSQQLTAPQ >OGLUM01G18270.1 pep chromosome:ALNU02000000:1:17522952:17527270:-1 gene:OGLUM01G18270 transcript:OGLUM01G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G07050) TAIR;Acc:AT3G07050] MAQPRRNEEDVLVGFTVDEILRRTEGQMDARMLDSNIGMISMVVHYFVLLPLVDTSLLTYASEASHGSFNRISSLPDVHLHDIVSHLPAKDSPLTKPITERNHAQPPAKTLAHLPPTPSSSFLFLPSSALPSLLPSAAAAAASNREEEKMVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEARKEGKSHRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIANLASAASAQGSEFAEKDAAKENASLDMVKSQDHSERAFYKELVKVIEASDVILEVLDARDPLGTRCIDMEKMVRKADPSKRIVLLLNKIDLVPKESVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKIDKSSNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDPISPVKEILDLCPHEKLLSLYRVPTFTSVDDFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYFTVPPKRDAVDDSDAVIISETGKEFNIDEIYKAESSYIGGLKSLEEFRHIEIPSNAPPQIDEEMLEDGKKQNEPAQENHDESMSDANEREGAKMASASTQNDKLYTAEGILDPRKRKAEKKRRKANKFSVLTDMDADYDFKVDYQMKDALAEEGNDGGDEEPKEADPMTGVDDA >OGLUM01G18280.1 pep chromosome:ALNU02000000:1:17535222:17538245:-1 gene:OGLUM01G18280 transcript:OGLUM01G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEEALKARDIAAKKMESKDFVGAKRIALKAQRIFPELENISQMLTVCEVHCAAEAKMNGLLDFYGVLQVDVMADEATIKKQFRKLAFSLHPDKNGFAGAEAAFKLVAEAQSTLSDRTKRRAYDIKWRIASKQATQPKQGAQPAQAAQPKQCTQPPLATKRNQSAQPTHNTQQSAQPKQSTQPMQATQPKHATEPMEKTDANRASNAKEGYGSSVRPPSAGEAFWTMCVNCKTKYQYYSNVLNHKLRCQNCKKDFRAVMLNEQDVPSVFSSSAAKSAGQHCDVPKQEDCCTKFSSAANRDAKPMVNGGQHDEQMKNSASVRAGGEGTVNHTESIRKGGLEFSTLHVSSAANVGSKAGGKMTSCPTPDVAGRQNPGNRVNTSAETGVMNIPNPRRSARRKENADASIIQDTPSKKRRTILDWFSNPDSSRKKVADDNVVRADGQACEPHVSSEAHNHQKGTTSNEGNQEKRKDVAHDTNAQKKSGIPGNFSYPDPEFFDFDRCRDVSMFAVDQIWALYDDRDGMPRYYARIRRIDTTNFRVQFTWLEHDAKNEEEDKWTDEELPVACGNFFLGKTVVSQDALMFSHIVSWVKGRKRSSYEIYPRKGEVWALYKGWSMQWSSDADKHRTYEYEAVEILSNFTVEAGAAVGPLVKIKGFVSLFAKVKEKPSFVIPPSEMLRFSHSIPFFRTKGDEKVGVAGGFLELDTASLPSNLDVAFPSVTLDSCMPVCKTMNSGFNDFTGYEQGALKENLMNEGKRKDHSLERTPVHQQSAAYSSPSTFDYPNSEFHNFEEYRSYSKFERGQIWALYSDLDQFPKYYGWVTKVDTDPFRVHLTWLEVCPQLEQENMWLEQNIPVSCGTFKIRNWRIKLDTNDAFSHLVETSQVGWKRYFEIHPQVGEIWAIYNNWAPGWVPSSKDTFEYTIGEITDRTEASTKVLLLTRVDGYRAVFKPDSVRGTLEIPTNENIRFSHLIPSFRLTKENGGKLSGFYELDPASVPDTFLFRSGR >OGLUM01G18290.1 pep chromosome:ALNU02000000:1:17538377:17538946:-1 gene:OGLUM01G18290 transcript:OGLUM01G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDVLAWVGPSPTSGLRNAPSLVRCPISPRPRPPVSSAPRPLRPPPALRRDAADPHPASGGAGLHPVTASRSAGAGHVLLLPPISSPVRAPELVKP >OGLUM01G18300.1 pep chromosome:ALNU02000000:1:17540321:17570506:1 gene:OGLUM01G18300 transcript:OGLUM01G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRPAASPPERQRFIPTPNQPKTHSHPLYQLTPTHPSPPPAPARHQARPSLTNPPPLLADRSLARRRRTRGEMTLLCVPLVSRTVEAMQADAAAAAAAGADLVEIRLDFIEGFRPREHLPSLLRGCPLPALVTYRPNWEGGQYDGDDATRFEALRLAMELGVDYVDIELKVADKFISFISGSKPEKCKLIVSSHNYESTPSCEELADLVARIQAVGSDIVKIATTASDIADVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLSPKFGGYLTFGTLDATKISAPGQPTVKELLDIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSIGYNAVYLPLLADDLARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISPLAGRLVVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKKALSFYDVVFDAVYAPKVTRLLREAEECGIKVVSGVEMFVRQAMGQFEHFTGGIEVWRRKYSGTLPLPISLARAFTSSLPKEEEEEMTLLCVPLVARTVEAMRADAAAAAAAGADLVEIRLDFIGSKFRPREDLPRLLRGCPLPAIVTYRSEPRPSPALATLPYVVLSQAATAHRALDWFDTGFAPNWEGGQYEGDDATRFEALRLAMELGVNYVDIELKVADKFISFIYGSKPEKCKLIVSAHNYESTPSCEELADLVARIQAVGADIVKIATTANDIVDVSQMFQVMVHCQVNRRLNANRLCAEVTLISADSPANVAAEPIPSSTWQGFNTNRIGAKPLISVPISGAELWDLAARSWATQHNSYVGRPRGTEPSNLSANVPMIGLVMGEKGLMSRVLSPKFGGYLTFGSLDATKVSAPGQPTVEELIDIYNIRRIGPDTKVLGVIANPVKQSKSPVLHNTCLQSVGYNAVYLPLLADNIARFLSTYSSPDFSGFSCSLPFKVDAVQCCHEHDPVAKSIGAISTIIRRPDGKLVGYNNDYIGAISAIEDGIGGPGSKNAAVTPLAGRLLVVVGAGGAGKAIAYGAKEKGARIVVANRTYEKAVSLAAAVGGHALRLAELETFRPEEGMILANATSLGMYPNVDGTPIPKQALSFYDVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFLRQALGQFERFTNGIEGIVSF >OGLUM01G18310.1 pep chromosome:ALNU02000000:1:17573629:17576996:1 gene:OGLUM01G18310 transcript:OGLUM01G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRVDDANPFIEREKKTKRYSKRSTTVAAGGLAQHVSNGGRRWRPWALVVVEPFFRSGQGRLRRVERQLFPSGDVGETAGGEVVEAGSGWRREPRWRRRRSLPSLPPRSGRRGEGGGSGGPSDGGAPCLLLLGRTRCVKLAPPPHRIGDTPWRRTMTPWRLMAVAGERWPAVIEAKALLRASLPDVGQHLNQQEATTSGYSTTSATLIEQANDGWLRNVGLISIPHRYILPLFHRRLLLAPWYGVIRCGDIHMWEAGSK >OGLUM01G18310.2 pep chromosome:ALNU02000000:1:17576241:17576996:1 gene:OGLUM01G18310 transcript:OGLUM01G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNENVFTFFRACEMMDGCATSGSSLYHTATSFHYSIVDYYSPPGYPLHYQSEDTDLIILLHGGYEIMAISRGRWYGVIRCGDIHMWEAGSK >OGLUM01G18310.3 pep chromosome:ALNU02000000:1:17573629:17576699:1 gene:OGLUM01G18310 transcript:OGLUM01G18310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRRVDDANPFIEREKKTKRYSKRSTTVAAGGLAQHVSNGGRRWRPWALVVVEPFFRSGQGRLRRVERQLFPSGDVGETAGGEVVEAGSGWRREPRWRRRRSLPSLPPRSGRRGEGGGSGGPSDGGAPCLLLLGRTRCVKLAPPPHRIGDTPWRRTMTPWRLMAVAGERWPAVIEAKALLRASLPDVGQHLNQQEGIHLGQ >OGLUM01G18320.1 pep chromosome:ALNU02000000:1:17615341:17615673:1 gene:OGLUM01G18320 transcript:OGLUM01G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLMEATGDSESDAAAVDRGSCPADDGDAESCCGGDQDGGGGAAAAGSVEALSWERWMREYCAGYQLVVAADDGKCAAPATEDDVAAAGDSDAESDRLFWEACIAHGF >OGLUM01G18330.1 pep chromosome:ALNU02000000:1:17621631:17628903:-1 gene:OGLUM01G18330 transcript:OGLUM01G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKVKKVDVSFSINFKYIRRTAASPPVAEPRVHPPARRPPPSDPPQVAKMDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >OGLUM01G18330.2 pep chromosome:ALNU02000000:1:17621631:17628903:-1 gene:OGLUM01G18330 transcript:OGLUM01G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGKVKKVDVSFSINFKYIREEEPLLLHPSRSPVSILRRGGRPPAIRLSVLLKSFKTSQAHNHHHMQVAKMDKLSGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNPETEQRPHKVSFFVDKKSAQEVIKSLSGNMEKCGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPGVHGVMVSNAQEELLQWYAENAKGNPKIIHATERCAAGIIEAIGHFKLGPSVSPRDVGFPYVKEDHIKPTDAVVKFYVLYEKWRRAEVPKSDSVVQYFKNITHANGVIIQPSGLECSLHASVDALSSCYGEKQGKKYRTWVDRLFVSQSGSDSWLVRFDLWEAEGDARLCCLTSLALNVKPETPAGFLITHVHKTWLKGYSSADEQSSKL >OGLUM01G18340.1 pep chromosome:ALNU02000000:1:17627627:17628106:1 gene:OGLUM01G18340 transcript:OGLUM01G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDLKEQPKWCRVLEESSHNKRNKISESGAYTSSSNQEIEEKTERKEKCLERQKAAKQRKKGKGAPSTLGDKPSQNMVRFHEAITTKAATLLKVAEATLIGAEAKIKENAIAKREEARVEKYRMYLKLMEKDTSTFNEAKLKRHEDVLDQLARELVEE >OGLUM01G18350.1 pep chromosome:ALNU02000000:1:17629507:17631133:-1 gene:OGLUM01G18350 transcript:OGLUM01G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLYLLLLALLVAVPFLCLTRSSLRHGGGGGGRLPPSPWALPVIGHLHHVAGALPHRAMRDLARRHGPLMLLRLCELRVVVACTAEAAREVTKTHDLAFATRPITPTGKVLMADSVGVVFASYGDGWRTLRRICTLELLSARRVRSFRAVREEEVGRLLRAVAAAAAVAALTTPGATAAVNLSERISAYVADSAVRAVIGSRFKNRAAFLRMLERRMKLLPAQCLPDLFPSSRAAMLVSRMPRRMKRERQEMMDFIDDIFQEHHESRAAGGAEEDLLDVLLRIQSQDKTNPALTNDNIKTVIIDMFVASSETAATSLQWTMSELMRNPRVMRKAQDEVRRALAVAGQDGVTEESLRDLPYLHLVIKESLRLHPPVTMLLPRECRETCRVMGFDVPEGVMVLVNAWAIGRDPAHWDSPEEFAPERFEGVGAADFKGTDFEYIPFGAGRRMCPGMAFGLANMELALAALLYHFDWELPGGMLPGELDMTEALGLTTRRRSDLLLVPALRVPLRDHER >OGLUM01G18360.1 pep chromosome:ALNU02000000:1:17653679:17656884:1 gene:OGLUM01G18360 transcript:OGLUM01G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8Q9] MLTFMELAGPTEGDGGGSVDSQLWAACAGSMSSVPPVGAAVYYFPQGHAEQASAAVDLSSARVPPLVPCRVVAVRFMADAESDEVFAKIRLVPLRPGDAVVDVGEAAAAEARREEENSRPRPTSFAKTLTQSDANNGGGFSVPRFCAETIFPELDYSSEPPVQSVCAKDVHGVEWTFRHIYRGTPRRHLLTTGWSPFVNKKQLTAGDSIVFMRDEGGNIHVGLRRAKRGFCSIGGDDESLSSMPGWDQYRGLMRRNATATATGGRTPPKGKVPPENVLTAATRATTGQPFEVLYYPRASTPEFCVRAAAVRTAMAVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQASDPVRWPQSPWRLLQVTWDEPELLQNVKRVCPWLVELVSSMPNLHLPSFSPPRKKPRNPPYAELPLEGQIFTGPVFPPNPMAHDHHHHHGFPFLPFPDSSAQPAGIQGARHAQFASPFPEFHIGNLQPNLMLYAGIRLPPADRAAPAPRPPRIIISTDLTIGSPGKPDDAACSPSSGGKKIDDTKPRGFLLFGQAILTEEQIKNGNSDGRPASPNWDAEKAPNTSEGSDSGVTQGSPTKNTTPSWSLPYFGGNNISRASEYELNPGQCKVFVESEAVGRSLDLSALSSFEELYACLSDMFSIGSDELRSHLVYRSPAGEVKHAGDEPFCAFVKSARKLRILTDAGSDNLGD >OGLUM01G18370.1 pep chromosome:ALNU02000000:1:17671316:17682622:-1 gene:OGLUM01G18370 transcript:OGLUM01G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20660) TAIR;Acc:AT5G20660] MACRLYTVTNKTVLYSPRIPPPCTGHSPASQSRIRRRPIPSLVNSLTGQSLPVFPPGRLVRGPEPRPILSLRPTASTHPPPRRPAARAAPPLPPHILHLRALGSGVVVVAAASLPLGLRLSSSENPSVLSFTSIHAECLEGEALLSQPVRNPKLMKQSAQEDTFFIAGIVDGLPSWILFANLPLPLDAEQAGKRGFSEASALEHVKYLAALGPHPVGSDSIDLAVQYVYAVADKIKKTAHWDVDVQLELFHTDISANRMAGGLFNGKTMLYSNLKHVILRVVPKYLPEAEDNLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVAQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHRWRNSVRFAIDLEAMGISGKSTLFQGTDHWALESFASVAKYPSAQIASQDVFQSGAIKSATDFQIYQEVGGLPGLDFAYTDRTSVYHTKNDKMKHLKPGSLQHIGENMLAFLLHAAASPKFMKDAIQAKQEGAEKTKAVFFDILGKYMVVYPQRLATMFHNSIIFQSLLIWGTSLLMGGRSGLVSFGISCLGIVLMLISSVTLSVVVAIALPHICSFPVTFVAHPWLVVGLFGSPALLGAFIGQHIGFIILKRHLKHVYSITKPGLAHNMLEHIVNLEAERWIFKSGFVQWLIVLILGTYLKVGSSYIALIWLVSPAFAYGLMEATLSPARSPKQLKVITLVLALAAPVVSSAGLVIRMVDVIIGSIVRIDRNPGGLPDWLGNVVVSVAIAIVICFTFVYLLSYVHISGAKRTLGFLLCIFFGLALALVSSGILPAFTEDIARSVNVVHVVDTTTVNSGNTEPSSYVTLFSNTPGKLTKELVDLRDEEFSCGRNRAIDFVTFIMKYGCLSYEGTNTGWSKSEVPVLSLKSDSVTDDARQTIISVDTKSSTRWSLAINKQEIDDFTVHVDSENLVPLGNKSEIDGWHTIQFAGGKDSPTKFQLTLFWASNSKDAFPKQVESEDHSFLLKLRTDVNRVTPKVGRVLEKLPGWCAPFGKSTSPYTLAFLTALPVNI >OGLUM01G18380.1 pep chromosome:ALNU02000000:1:17684122:17688257:-1 gene:OGLUM01G18380 transcript:OGLUM01G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal RNA processing 4 [Source:Projected from Arabidopsis thaliana (AT1G03360) TAIR;Acc:AT1G03360] MRDLQLSLNQTQRVRLEAALHELQTVAPAAAVTVADTIPVNDEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRTIFEENDVICAEVRGFQHDGSLHLQARSQKYGKLERGQLLVVPAYLVKRRKQHFHHLEQYDVDLILGCNGFIWVGEHVVVGENANMMENKLNLSAEVENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASVSSNIEINNMLGAEFYVQTAEREVKRRADLLRKKSGGR >OGLUM01G18390.1 pep chromosome:ALNU02000000:1:17694532:17695056:-1 gene:OGLUM01G18390 transcript:OGLUM01G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSARSAAAKHAYRMFAPSRGAAARCPGSPGADEFDESDVWGSYGAAGVESSPAELGARGRAIPSARAGRKAPLDRAAGSLPVNIPDWQKILGVEYRDHQAAAAEWELQGDGDDDYEYGKVAGVGGVVIPPHELAWRGRAASLSVHEGIGRTLKGRDLSRVRDAVWKKTGFED >OGLUM01G18400.1 pep chromosome:ALNU02000000:1:17721242:17726629:1 gene:OGLUM01G18400 transcript:OGLUM01G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKAGMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDIDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRLAFFEGDMLC >OGLUM01G18400.2 pep chromosome:ALNU02000000:1:17721242:17727422:1 gene:OGLUM01G18400 transcript:OGLUM01G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKAGMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDIDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRCVVGFLDEKRRVLGLLEGCMGTTTLFEGGFWSKSASTCPSRSPARAACVNMQSRRSDGSGSDLGDGIGEGD >OGLUM01G18400.3 pep chromosome:ALNU02000000:1:17721242:17726629:1 gene:OGLUM01G18400 transcript:OGLUM01G18400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATEEGRPDGEEDSPRAEAFLEILGRVQPGDAEAELSSCGIGPTAEVAEQVLRSRVCYSRPKSAVRFFVWSGRSVKHTGYAWNLLVDILGKAGMEEPMWDAVRTMNKEGGGLVTVATFASIFSSYCASGNLRKAVEAFDVMGKYGVEPDAVALNSLLSAMCRGEGRAQAAQDLFERTKATVAPDADTFGILLEAWEKEGNAQRAKSTFGEMIVRVGWDAGNMPAYDAFLSTLVRGDQFGEALKFLQVMRSKGCLPGLKFFARAIDLVVRKRDYANSLAIWQMMISDAGLVPNLPMYNAMIDLCCSVGDIDYALRMLDEMPFNGVFADFITYNAILEGLIKQRKAREAEAFLAEMSKNEQLPSPTNCAAAISMFSKEFNPSAAIDVWHCIVEHQITPADESARELIVGLLDFGRFAEVKKYTDEMLDMGIELPQSTIDNMKRTFDKVGKRHTYDHIARRPKRIAICPLVQDEKRRVLGLLEGCMGTTTLFEGGFWSKRLAFFEGDMLC >OGLUM01G18410.1 pep chromosome:ALNU02000000:1:17729531:17732235:1 gene:OGLUM01G18410 transcript:OGLUM01G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEAAAAAEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQSDPGANAADAACSGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTFGLFLARKVSLVRAVLYIVAQSLGAICGVGLVKGFQSAFYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQHKAWHDHWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSSSSFRG >OGLUM01G18420.1 pep chromosome:ALNU02000000:1:17734099:17736547:1 gene:OGLUM01G18420 transcript:OGLUM01G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSLRIRDSFKHAYLDKLEFNLARMKRARGEDQDQDQQQPPGDGKAPLLEGLRAPRSSFVAGALELAMDAAMLLTCCCCCFCCGACGDEEDHPIAR >OGLUM01G18430.1 pep chromosome:ALNU02000000:1:17738756:17741916:1 gene:OGLUM01G18430 transcript:OGLUM01G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFFIGLESRLRRSVKVADSVMMGLVNAAMEDAYKKSLWKDGDLERLVQKLRFAELAIMQLEWSLRFVRGEMESGSGGGGDGDCHEQLLDDLLETRDRIQARLDEAELAVADKDRDYMLRKHEELASSRREAAVPAVSGRASALRREADDDEERRVFGELKGSVDRQMARMRFRLEDARSTLMALMETVSGEASPMARLQEAGHEGDGVKCLSGFYSMAQLLMEFQEMVLDAGAVSDSVTSSFEFMERSVSSLKEAMDEQQWLANVEKEMYAATINGFLREISVGFPVLNDCSSPGERQPPTENIWEETEHLKEKTEHNQKSLKGDQCGISGSEYLTTTRPAATGQCYSEEPSICHEEVERLIEEKIDSEIRCELQHVLHSEIFRDLVRKLAVLDVQKLTEENGELNIRVELLCEIYTTVFKDLVSKLSSESAEHFIRTFIKDEVEAVIFARTLKEFKSVTEMVRSEKHIKEENNCSFPGEIEKGLQQNIDFNVLRFPDENACTNNLGRFSMIGTIEQLYTMKMQTSGASEDKCTDYYQVPLEKEILSSPGNCDRQDSEENYLLAEISTGKDGVSDAWNGNVEQSLQQQDHRKLHVGDTALNLSIPPEEANTENAEMTLILNEKLDVIHSTGSNSMLAEQDHFDLQMALVSFTGFQEVFMNFEAVTCEKLETAMLRLNYLKKQQGNLIEQMHALKMSEQSYQIAFIRRCHDLQTAEAEVDLLGDEVELLLGLLRKTYKALDRYSPVLEHYLGVREMLKLLGKELALRHQV >OGLUM01G18440.1 pep chromosome:ALNU02000000:1:17742332:17744468:1 gene:OGLUM01G18440 transcript:OGLUM01G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHAAIGGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVDEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLLQFHGAGFIGTIRTK >OGLUM01G18440.2 pep chromosome:ALNU02000000:1:17742332:17744161:1 gene:OGLUM01G18440 transcript:OGLUM01G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLPPKQQAPPLADLAVAIHRAAEATAALSAPSSSSQAAAAAVVALRDAHAAIGGFLYRLDVSVPASSSSSDDQPMADGCEEEGEGEQQMVDEVEEGLRECALQGSKRRKRPVPPSWPLGRRSSGGCVVPEAAPAPLDVEGRRRAAMDLLLQFHGVHWHNTNEMMRRLLNSPYQVCRSYTRAVLHEDIHKHIKQTTSK >OGLUM01G18450.1 pep chromosome:ALNU02000000:1:17745030:17749931:1 gene:OGLUM01G18450 transcript:OGLUM01G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine-resistant (ILR1)-like 3 [Source:Projected from Arabidopsis thaliana (AT5G54140) TAIR;Acc:AT5G54140] MAAPLFLLLLLLLVSSASAGYEEEALLRRAEEERDWMVGVRRRIHAHPELAFREHHTSALVRDELERLGLTARAVVGTGVVADVGSGLPPVVALRADMDALPVQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSERKEQIKGTVRLLFQPAEEGGAGASYMIKDGVLDGVEAIFGMHVDYRMPTGVIAAHAGPTQAAVCFYEAKIEGKTGKAETPHLNVDPIVAASFVILSLQQLISREDDPLHSQVLSVTYVKGGNTIDATPPVIEFGGTLRSLTTEGLYRLQKRVKEVVEGQAAVHRCKGVVQIKRDDYPMYPAVFNDEKLHHHVETVGRRLLGPDKVKPGEKIMAGEDFAFYQQLVPGVMFGIGIRNEEVGSVHTAHNPKFFVDEDVIPIGAALHTALAEMYLTERSTEGEDGSQHSH >OGLUM01G18460.1 pep chromosome:ALNU02000000:1:17751022:17751382:1 gene:OGLUM01G18460 transcript:OGLUM01G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISRRIAPLLFLMLLILVASEMGTTRVAEARHCVSQSHRFVGACMRKSNCEHVCMTEGFPWGECRFHGIERKCFCKKRC >OGLUM01G18470.1 pep chromosome:ALNU02000000:1:17754291:17755377:-1 gene:OGLUM01G18470 transcript:OGLUM01G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLDSHRAQEAPARPKSPDYRQTRPPHERTLFLDHRKTSRRPKEERSIKEERRLARPEEELRAEDRATKTIPDAPTRRLSSLRRDSATHTPDSAASRAASRRSGHRQPHCLRFALPVVTRSSGGGAGSATPSGDTSPLPLPLSANAILALQPALPVASSSDGEVGGGGEGGGGGGWVCPPVACAWATRGERR >OGLUM01G18480.1 pep chromosome:ALNU02000000:1:17760371:17763666:-1 gene:OGLUM01G18480 transcript:OGLUM01G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFADIAIDPPLADSLRALALLRRDGDGGIAPPAVQMVGSGGAVLERDLPMVDLERLTRGGAGERKACAGAMARAASEWGFFQLTNHGVSRELMEEMRREQARLFRLPFETKEKAGLLNGSYRWGNPTATSLRHLSWSEAFHVPLASISGADCDFGDLTSLRGVMQEVAEAMSRVANTVAAALAEELTGRGGGGASAAPWFPAGCDETTCFLRLNRYPACPFAADTFGLVPHTDSDFLTVLCQDQVGGLHLMKDSRWVAVRPRPDALVVNIGDLFQAWSNNRYKSVEHKVVANAKTDRLSVAYFLCPSYDSLVGTCGEPSPYRAFTFGEYRKKVQEDVRTTGKKIGLPNFLKHSSVQ >OGLUM01G18490.1 pep chromosome:ALNU02000000:1:17782457:17786687:1 gene:OGLUM01G18490 transcript:OGLUM01G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRVLPIVLITFLPLYTVEQKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEENSGKQPVNVD >OGLUM01G18490.2 pep chromosome:ALNU02000000:1:17782457:17786687:1 gene:OGLUM01G18490 transcript:OGLUM01G18490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEVGAVVYAIIMTKSVDLDWQMRAIRKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEENSGKQPVNVD >OGLUM01G18490.3 pep chromosome:ALNU02000000:1:17782457:17786687:1 gene:OGLUM01G18490 transcript:OGLUM01G18490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPVQGGGRRARAPAPFARRGVRNLVVLSILSEKDQKLLERLREERKAKIDELKERTNYYLTQKLIQHTKAKGSSTGNAADDHNTGQVSEAVGDHLEAMEPSRVVGHYQSSGLARKEDFPHVTYCCPHCHALNMSNQTIGRWSGSNSGQLTSSAQVSGTNPVADNELGNQTEGQEIYVEENSGEGQGIYVEENSGKQPVNVD >OGLUM01G18500.1 pep chromosome:ALNU02000000:1:17788010:17791478:1 gene:OGLUM01G18500 transcript:OGLUM01G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGIELKGCICRINNCAVELFSMEEDLVIDDEDSWDLLARDLRLKATFLYIDLGRVICSCEIDEHKNMLTGLANKFFYFMDELANAVSSRSIPLMQVCYSDTTLLLREVLSALVPSQ >OGLUM01G18510.1 pep chromosome:ALNU02000000:1:17800935:17801578:1 gene:OGLUM01G18510 transcript:OGLUM01G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAGAPHAGRQAEDRRGAAAGFLGRGPGGATAAPAATGGDRSVLLVVRHRDFPRRRRRQELSFRVEDGVCRKEILMEERCQSLDFSGMIYYDVAGRRLEQPPPPRALLHSPLPSSIKLVANAAGGY >OGLUM01G18520.1 pep chromosome:ALNU02000000:1:17804958:17805379:1 gene:OGLUM01G18520 transcript:OGLUM01G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVTSSPTRSMASSPTTPCPSMTSSQRRTKTKRGRSTALFLTLPCPSCLDTGQSFPRIAAMALSSACTRIIREMNPTTWSTTLPLRDGSSCPRSTV >OGLUM01G18530.1 pep chromosome:ALNU02000000:1:17817898:17818308:1 gene:OGLUM01G18530 transcript:OGLUM01G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCRRARAGEEETGEEGSSAGWEEEAGAPAAWAGRRGKRRHGVTHRAPPSPPSRHFPMPPAVLCRRRRLAVDAKDPSPTSAATSCRRRQPPNRTAITNQSTNRAGAVLHFSTPPPPSSPYLPPPQPPYATASRRL >OGLUM01G18540.1 pep chromosome:ALNU02000000:1:17818408:17818629:-1 gene:OGLUM01G18540 transcript:OGLUM01G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSNAHPGKVVEGGGREGDGRNGRSKSSDRPIREGGGGGLTQCGGRGERGKMESPRRGGVGGARVCLAKLR >OGLUM01G18550.1 pep chromosome:ALNU02000000:1:17821454:17821758:-1 gene:OGLUM01G18550 transcript:OGLUM01G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEGRCRHRWRCWGREVASEVSRDTIDGKGRRRWVSATSTIEVEGGDIMDPRDSGGGGCGTSTVETLHERERNLSGLSGDSGNVWRP >OGLUM01G18560.1 pep chromosome:ALNU02000000:1:17826873:17830475:1 gene:OGLUM01G18560 transcript:OGLUM01G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLQIRARLRPNKGEPEKARLDGEARTAQSTGQAVHHSNLYARDNKDQRTSTRVPLVAQITFAPPPSRTSLQPSGVRPGSDRPDRILLKLSKVFASVDLGNSIPVNYYRDLDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNIPCSQSAIVLGSYLGTLVRKPHLAPLNILQWNHKLYKRVYHPKMISEVERKFAIDGRANNWILHQLDGKWRQYKSKLKKGYYKPNLPMERVLQTVSKTVAESQWATLVDYWYSEDSKKISDKNKENAQNIKHPHTLGRKSFARKRKELEVNGVEVDRATFFDECHKTKDGRYVNDATEEKMNEVYMKLAQKRVDGQELSEADFEQTMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNISGSSEGSSSSNVNGFISFIVSYLAEKYPEDNLISRLPPSLARVIPRQEVDQNQGSQPPNTATSSLPFDQNHGNQLPNTTPSSSARASSQSCSEEE >OGLUM01G18560.2 pep chromosome:ALNU02000000:1:17826873:17830475:1 gene:OGLUM01G18560 transcript:OGLUM01G18560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLQIRARLRPNKGEPEKARLDGEARTAQSTGQAVHHSNLYARDNKDQRTSTRVPLVAQITFAPPPSRTSLQPSGVRPGSDRPDRILLKLSKVFASVDLGNSIPVNYYRDLDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNIPCSQSAIVLGSYLGTLVRKPHLAPLNILQWNHKLYKRVYHPKMISEVERKFAIDGRANNWILHQLDGKWRQYKSKLKKGYYKPNLPMERVLQTVSKTVAESQWATLVDYWYSEDSKKISDKNKENAQNIKHPHTLGRKSFARKRKELEVNGVEVDRATFFDECHKTKDGRYVNDATEEKMKRVDGQELSEADFEQTMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNISGSSEGSSSSNVNGFISFIVSYLAEKYPEDNLISRLPPSLARVIPRQEVDQNQGSQPPNTATSSLPFDQNHGNQLPNTTPSSSARASSQSCSEEE >OGLUM01G18570.1 pep chromosome:ALNU02000000:1:17831482:17831811:1 gene:OGLUM01G18570 transcript:OGLUM01G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIAGLGRAARQEAARRRSVRRRSARGGTAGVRSRWRGGEEEVTVPGARGDGDGGEEETASALSVGGRLEKGRGPSATSVWADSTARGVGGASPGAAATWIEATRVAK >OGLUM01G18580.1 pep chromosome:ALNU02000000:1:17831859:17832172:1 gene:OGLUM01G18580 transcript:OGLUM01G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEVGQDVDIRYRGRLASLVESRLLNFGVDLLFSFGVNPSHCRRFSWGRAPVDWEHAGVRPRRPRCGAVVATRGNACTACISVHGAAHGNGALERLPRRA >OGLUM01G18590.1 pep chromosome:ALNU02000000:1:17846655:17850148:-1 gene:OGLUM01G18590 transcript:OGLUM01G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSGDFGSGNKLPDDLTLDVLSRLPYKSFCRAKCTCTGWLSFSSNPHYCDKLPKPLTGFLYQKSESSAIEVASLCPDDGSFDTSLSFLPRYEWLELTDSCNGLVLCKYGRNTSSPSVAHFVVCNPATRQWMELPETLLEPEGHSYATKLAFDPSWSPYFYVFNFEEKRNPVERWACISKVAIFSSRNSTVDCQPHVLLGGKLFLQTSSCRILVIDAFHNTEQPSHWIFDLPGYKPTSPMVDCLTGYLGHKSGVLHYVQPDTGGRTLLVWARDGYPHGDWNLKHRLSMSNAFGQDIFLDEHFDGFLSCHYDIQSLDLERGLVFLCHFAAERLLSYSLSTGKLTKIRDGLRRYLYYVPNCSMFPAKETDKDQDVSEP >OGLUM01G18600.1 pep chromosome:ALNU02000000:1:17852853:17854470:1 gene:OGLUM01G18600 transcript:OGLUM01G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHPTRIPARSSPRWAVGMKELPTELLEKHESFGVVLLEMLTGRRSMDKKRPAGFGSLEQDALQGHREWVQRP >OGLUM01G18600.2 pep chromosome:ALNU02000000:1:17852853:17854255:1 gene:OGLUM01G18600 transcript:OGLUM01G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYSDSSALVPQMGGGNESFGVVLLEMLTGRRSMDKKRPAGFGYVFKGWIDPNSTSPAKLGTDLTVVVRSLEQDALQGHREWVVSMCLSCSYPLPSTSPYPI >OGLUM01G18610.1 pep chromosome:ALNU02000000:1:17855741:17856840:1 gene:OGLUM01G18610 transcript:OGLUM01G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGVGEEEVRRPATSDRQGRRAVARRLLGQAAVGARRGGRRRRRHVCCFLCCRHGRRVVGDRAHSCMLAPRRREQKGQLGQGRLVEGRRYRCRLLECGTRHRAGGARRHCGGLARRHAAYAGVRRGVQGAALGRRRRRRRGLLRRSGVHGPTPRRLLEPDPEAGGKRCGSRQRVPVDRGGEELLEQHTSTASATAAYWLPLPIRRPLRARRPAATAAPRLRSALHFATRSPATARLSWPPWARTPPPLCSAGRHALTRPPPARVACR >OGLUM01G18620.1 pep chromosome:ALNU02000000:1:17862203:17863059:-1 gene:OGLUM01G18620 transcript:OGLUM01G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAENYHLAGSPTLALLDGRQVLSANRICAENRRSTLRKTKKITCSHPTAILITILPLLFPVMPSSLVLASTVLLAPGLRCAFSGMPLPPPILYPHTLSLFIVGSKLYRLPIVRTGDSTISFGTDKKKKGKRKKTDVCSIALDEDQINVYQFGTLLNVDQLGGIVTCTIIPRDLIIN >OGLUM01G18630.1 pep chromosome:ALNU02000000:1:17873250:17905077:-1 gene:OGLUM01G18630 transcript:OGLUM01G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARLMARLPRHHRLPDFGYIDHSYSAHGFINHGSLGSFALATSTTPQRAIIVLGTLTSFFSSPSVRVAHAWTAGGLLIAYCLLIVKPLGEIAIRSAAGRSAMKPKQRIKYHIGTLVNGDGGVVDSQGEAHACMVAAADGKGASTLECHDLSGDLESH >OGLUM01G18650.1 pep chromosome:ALNU02000000:1:17917382:17917681:-1 gene:OGLUM01G18650 transcript:OGLUM01G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLIDLAATGERCQLLRATNASGETALHEVVRAGSKDIVVQLMAEDCELAGFPRDGGISPLYLAVLLDEIDIARSLYVMSHGNLSYSGPGRCNEDVF >OGLUM01G18660.1 pep chromosome:ALNU02000000:1:17924670:17925251:-1 gene:OGLUM01G18660 transcript:OGLUM01G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTPAASTAPLISESEDRDKKPRARRTRAALPVRGREVQHIPGRQRSRRTTGRQPSAETALGGPTSFTNNVRRTTTPSFGRSSAARDRALTSTDEEESKLHLHVHEIDGRWSLYVMLHAELPRLLWLPVMPITG >OGLUM01G18670.1 pep chromosome:ALNU02000000:1:17928743:17929009:-1 gene:OGLUM01G18670 transcript:OGLUM01G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGCGQRWRCPTPRRTVTELTETMAGCWRGAAQRLWPMAEVAVAEEDSDGDGCVNGWPARGTGDGSGWPAQLAVAEADVERTRRHGR >OGLUM01G18680.1 pep chromosome:ALNU02000000:1:17929422:17941194:-1 gene:OGLUM01G18680 transcript:OGLUM01G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEPVHSHLTVGLASLALFSDGRAAAALLTVGFAAVPVSCPWVASPLLFSPILERVYCKSTTSPEVSVEHPQVMRVTFDGYYGMPSSVSHPAATRQIENGTVRDEIRSSLSVPTVAHRQSQVLASGMRNRLLPDGQPPDPASTSLGDPIQSPSGDASIPAASSFPGEQSTRYAFVDMANNDKEAAAEGATPRGADWEVVTLTASAYAVAPGPGGAGDRPAAETKSLDASQEGQGSENTLFMREQGCLPNLTHNVDRAGRVAAVLFKS >OGLUM01G18690.1 pep chromosome:ALNU02000000:1:17954405:17960400:-1 gene:OGLUM01G18690 transcript:OGLUM01G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTGCCSVHGTGGSWAGLSAADSDDSDECEAVTGGSRTALSGGGSRRPTGKSRRQSVDLRRGSTFVLGGGGAWCGGSRRRYAGESRRQQRIYINRSTVTSGALDRAYLAMVRSRYRSMIRTSYGDKMGDRETRIAPAVRYLTFTRPRVSRPSCLKCHLPDCQADAFK >OGLUM01G18700.1 pep chromosome:ALNU02000000:1:17960472:17962232:1 gene:OGLUM01G18700 transcript:OGLUM01G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANDSHSVTIPDGMFPTPHMEDAAAGASSDTKPAAGTNTPTSTPKDDGSKPAAAQDNVLSTSANLAQLLPTGSVMAYQALSSSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAFTDSITHKGKVYYGVAMSERLRIFNIEVGDSIADEEGKLIIMPEQGKDLTQEQTEVLNQLKKRKLHWLDGVHAFFTAVVFLSVAFSDVGLQKCLFPHAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRHGIGFSDSSTTSASSKDANHIGFHGSSDSSTTASSKDASRKVADIYTMTSDQNRRESSNNAASNVANHKNINGNEENANSKPAAQDKVLSASANLAQLLPTGSVMAYQALSPSFNNHGECYTSNWWLTVSLVTFLTVFCIFFAITDTIYYNGKVYYGVAMRGGLKIFNKEDNDPNFYIEPDNKKKNENKNGTATELQAVGQQKSPSSSNESEHNGEKKGKLTWLTSIFEKKGGEKVKQDNKLTAEKELKDKLERMKLNWLDGLHAFFTAVVFLSVAFSDVGLQRCLFPDAGHDTMELLKNMPLGMSFLSSFVFMIFPTTRSGIGFSNPTTKGDDKAKPHANAK >OGLUM01G18710.1 pep chromosome:ALNU02000000:1:17983172:17983969:-1 gene:OGLUM01G18710 transcript:OGLUM01G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICAAAADHSRASPSSAATQPTTICWRPSKVKEEVEEDGMVVDPPDDASEASDNLRATGFQDTPTVGELVLRHLRPRLRGFHCADGDVPVIGVRDDPAAAAPLDLVARHGGAADRRRGEAFYFVRRRRCRRPNVRRTVAEGGGGGGGAGGLWKKSWTGSGKSVTDLGVVVPWSKTCYCFYRRDEGGRLSTFGGGWVLAEYEITEPGTYRRADEEEDDDDYWVLCHVRKTASKKRKRNRCDEAVAARAVAGTESKSYLVCGLTAN >OGLUM01G18720.1 pep chromosome:ALNU02000000:1:17997349:18001325:1 gene:OGLUM01G18720 transcript:OGLUM01G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8V1] MQGGANNKGLVCLIAAAAVVLVFAGSSGIAAAQAAGLKKGFYKKSCPKAEEIAQRVVWNRVAGNPELAAKFLRMFFHDCFVRGCDASVLLDSASNTAEKNAAPNLSLAGFEVIEEVKAAVERECAGVVSCADIVALAARDSVSYQYRRSLWEVETGRRDGTVSSDQEALADIPAPTSTFPILLANFSAKGLGLQDLVVLSGGHTIGIGHCNLFSSRLFNFTGKNNPSDVDPSLNPSYARFLQGRCRRNQQDPNDNSTVVPMDPGSSVSFDSHYFVNLKARQGMFTSDATLLTDGRAAALVDKLRDPGVFLDHFKNSIKRMGQIGVLTGAAGQIRKRCNAVNS >OGLUM01G18730.1 pep chromosome:ALNU02000000:1:18023864:18025507:-1 gene:OGLUM01G18730 transcript:OGLUM01G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y8V2] MARNCKELLGYAIDDLNTSFDKLGGFDMTNFNKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAAAKMPNALNSSQELMEDIVAVVDQFSSTLGSLSIGQWLLAEDGMPTWMSEGGKQPLLEAPRPEAEPEEFEPNVMVVLHPDLEKFTDKVAGGTGLHNRIVAPHPDLEKAKTYMARPWKEYSGTIFVQNELDALVDPVGWLDVNDQIW >OGLUM01G18740.1 pep chromosome:ALNU02000000:1:18025822:18030013:-1 gene:OGLUM01G18740 transcript:OGLUM01G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRIRSRDGTDRITVPDPAAATVGDLQRLIAARVTVPVPLQRLSLDPALLLPSSASAALLADPAAPLSSLRLSNGSFVYLSYPPDARSSQPPPPKALSAAGSFGKKMTMDDLIARQIRVTRQEAPLCAAASFDRDSANAFQLHVAESLAFATKRAGFLYGRVDADTKEVFVDFIYEPPQVGTEDVVQLMRDAQEEARVDAIAHGLGMRRVGLVFTQAVGRKTSDTGEYTMSNREVLQATELQAEGGIPEWVTAIVKLEVGDDGSGDVHFEAFQMSEICVKLFKDGVLETEIGDKDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSTGFPIENRGNPVAMSALKSHLDRAKHLPFVKRISDFHLLLLVAAFLDIKADVPALTACVKNQSVVPEGYQLLIESLAGA >OGLUM01G18750.1 pep chromosome:ALNU02000000:1:18030811:18067812:-1 gene:OGLUM01G18750 transcript:OGLUM01G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSPIGWTGLRGSRGHAIPNSISADPRNYTTLNQLMKCDGGMQICQYPMGSLAQQQGVNKQRLSRGIVPEEEADAAAGKRGAGGVGGGVGSVKADRQSSSASSPKAIFSTSSSSLHTSSSTGWQRRLLLHLPLLGPPGLRLRLREKADAGEREEGSQNTPVPRPSSPPKILRSRCLVRLTKPVAMLGSGAAGALPWPLGTRPRSSPAMGFLVKVANAPVWRILHRRKPSLCPPLGSPPAATGGSVRGRARDGQRCWIRARDGPAEPVARYPPPCLRVRRRSAVEEVEPGRIPPADAAPAVARCLEPPPPLLLAARETACLRWIHKNWRGRGKWLDGVNGFVLGQKSIQRGYAWMEVIVRSIAFG >OGLUM01G18760.1 pep chromosome:ALNU02000000:1:18037952:18038245:1 gene:OGLUM01G18760 transcript:OGLUM01G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTLQQYDATPSDILRLTEMECDSTAESVREEENGDGGREERGGDERWILEGLGGFGLWGGGCVFVGYGSLGTPGPVGWKRELFLWFCFGKLDSIL >OGLUM01G18770.1 pep chromosome:ALNU02000000:1:18057842:18058336:1 gene:OGLUM01G18770 transcript:OGLUM01G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSKLAIALAMVAAMALPSQAQNSPQDYVRLHNAARAAVGVGPVTWDTSVQAFAENYASQRSGDCSLIHSSNRNNLGENLFWGSAGGDWTAASAVQSWVGEKSDYDYASNSCAQGKVCGHYTQVVWRASTSIGCARVVCSNGRGVFITCNYKPAGNFVGQRPY >OGLUM01G18780.1 pep chromosome:ALNU02000000:1:18068032:18074405:1 gene:OGLUM01G18780 transcript:OGLUM01G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLKLPQAVMATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGNPVPSSSSQEKDALIKAGSDKVKEKGDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >OGLUM01G18780.2 pep chromosome:ALNU02000000:1:18069925:18074405:1 gene:OGLUM01G18780 transcript:OGLUM01G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine-rich cyclin 1 [Source:Projected from Arabidopsis thaliana (AT2G26430) TAIR;Acc:AT2G26430] MATAQVLFHRFYCKKSFVRFSVKRVAASCVWLAGKLEESPRRSKHIIIVFHRMECRRENVPIEHLDVFSKKYSDLKHDLVRTERHLLKEMGFICHVEHPHKFISNYLATLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRHGVPLPEDPPWWNVFDADEAGIQEVCRVLAHLYSLPKSQYIQVYKDNDSFTHRRTSDTNASKESPATTVASDKGNPVPSSSSQEKDALIKAGSDKVKEKGDDDGKTLPSEPNGKEGPAVNLKSEKSESNVDRSRERERDRSRGRDRDSRGRDSDRDSKGRDSDRERERDREADRDRQRRHHSKDRSSGYSDKEKSRHRSSRDRGDHYSSHSSRDKDRHRRQ >OGLUM01G18790.1 pep chromosome:ALNU02000000:1:18096251:18100582:1 gene:OGLUM01G18790 transcript:OGLUM01G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGECIYNNPPVLVTPSKPTPKLALYLSNLDDQRLLHFPIQYIYVFTGTLDMDTLKVALSRVLVDYYPLAGRLRASNEHDGKLIIDCNSEGVLFAEGFLPGLTAGDFILGHAKPHKSWKKLLYKDEEQSFVCTPPLVVQVTHLSCGGTILCTAIAHCVSDAFGAAHFLRAWARAAMSEDSELAHPAVAPCHDRRALAPRCTPRIAFAHPEYTAASGGDDASAVAEASSRLFAPPLSPVSVTFTAEHVARLKKLYAPSASPLEQCTSFEALAAHVWRAWVRALDPPASLPIKLLFTMGIRRLVKPELSGGCYCGNGFVLACAESTAGQLAASAPDAARLVQETKQRVDDDYVRSVIDLLEVRRGCLPDLAATFTISSLTRQGLEDIDFGAGTPVHFGPLTSEVYCLFLPVIGDPRGATALVSVPQAAADSFERCCHDGLDDVDVEDKNQLPNIGDGMAS >OGLUM01G18800.1 pep chromosome:ALNU02000000:1:18106292:18106795:-1 gene:OGLUM01G18800 transcript:OGLUM01G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKLAICSLFVLAVVAATMFHCSDAQNSPQDYLSPQNAARSAVGVGPMSWSTKLQGFAEDYARQRKGDCRLQHSGGPYGENIFWGSAGADWTAADAVRSWVDEKKYYNYASNSCAAGKVCGHYTQVVWRDSTNVGCARVRCDANRGIFIICNYEPRGNIVGRRPY >OGLUM01G18810.1 pep chromosome:ALNU02000000:1:18107513:18109270:-1 gene:OGLUM01G18810 transcript:OGLUM01G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRHPPQRDAPVDHPRRSLVNPQLLQLFPLYSCSSLGLLLPDLAVEERARRRQPFIHRHPQFCLFSGKEAIKLTGDAHKLPIPCTGSIQLS >OGLUM01G18820.1 pep chromosome:ALNU02000000:1:18115204:18117203:1 gene:OGLUM01G18820 transcript:OGLUM01G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSQNESTVVGDAAGGGSPEKLEGDALRFMPQYTRKSSFWIGTELSKRKRAGKPTCLPPADASSPSIDSLNDDVLEEILVRLPSIASLARAACACARLRAIASSWAFLRRFRAVHPSLLGHFATDADDESVIPTFHPARAQFDGCSDAAVRGGDFFLTRVDANAGWRVQDCRHGRLLFANESDLLVYDPLSRRGVSIRRPSWYPSSHFTHCLLAGYGGDGCPGSFRMVSVEHNGERAARGAVYSSCTGAWRRGRWDYDRVINPKRPSEYSYFPGMQAAGRIYWKHRDTTKLQVLDAGPMRFSYVHLPEGVHPRSKYAVGEAEDGGCCLVVLADAPHGTVFKVWRLRTGKGSWPWAWTWELERRLPACEVIGKVQYPPIRHVCAVVAGVVLICFQNHAGPHRHIAFWLSNMQVEATFRSAGWAYLS >OGLUM01G18830.1 pep chromosome:ALNU02000000:1:18120064:18125223:1 gene:OGLUM01G18830 transcript:OGLUM01G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLGRAPCCYCFTEPHPAGGVAAAVRLRLLPPARAANTSSEPAARLRAVLEQVDEELRKGNDEAALSLVRGSQGADGGLRFFGAARQVPQRLYTLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSVSAAFELSQLQVLFLFLGLLSLWSVDLVYFGGGVRNLILDTIGHNLSQKYRNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTFIKKGSLNVQAGTAFVDFEFLQEMLNKFSCIALAGVATEYLLYGYAEGGLADIGQLDGLLKGLGFTQKKADSQVRWAVLNTVLALRRHKKARSQLAEAMSSGKSVGSCIGVIEENINSEDI >OGLUM01G18840.1 pep chromosome:ALNU02000000:1:18129509:18130307:-1 gene:OGLUM01G18840 transcript:OGLUM01G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLAKNTTLAWLTYLPCAKNFEKAEELTKEMPFNLSSIGWTSSLSACRTHGIMDLGARAAKEILNLTPYSASTHVVLSNIYATVGKWEEAAQIRKLLRNRGIRKKPGCSWIDLGRIVHIFVANDVSHPRIKDVYKFLEVMSEKMKLAGYVLDERWALAKDHAAGGETRLRHHSKKLALAFDRLLLEMHIGSIVSAMEAVPVEIIGETEKLTQIHEKDEILFCFNDYIG >OGLUM01G18850.1 pep chromosome:ALNU02000000:1:18131252:18131500:-1 gene:OGLUM01G18850 transcript:OGLUM01G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLLDLAGGVLDDETKQAEASPMPSVAAATAEWSSRPKMENGLTLRTVGAIGCATNGDPALDVPGFYVRGSRSPHHCPSSH >OGLUM01G18860.1 pep chromosome:ALNU02000000:1:18132246:18137433:1 gene:OGLUM01G18860 transcript:OGLUM01G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTEKSVKSVDAPRPATRAPSRESRVPRVPRPHRLLAAVAPSSARPPPPPPSTAAASSPPSNADAPRRPRPPLPPRRPRPPTNPRPTPCVPRRAPPSTVDAATRAASSPRRRAPRRPQLPMNPRPAPRAALDRHRCASPPISPTRVAARRLLRRSAPRRPNNYDCTTGRPTAAVGCFADLLRVVGRPMPAALGSLSPIRATSAGHDRHGGRSVASASVLDATVSLYSQVLIKFGSASLLNPADPTLQQRLTNRRPSLLGQHSQLPSAHFTDKETRRVIGRICYFFVGSATPCNQQTYKWLRLLGAPHHQVQNQRARQGRAVGVGGRRGWRRDGRSVRTAVRMSALKTKRTVRRQEGVRRCGPGGSSGGKGHGGSDYTGRRGGGGKFRLQPPPPPPPLVGMALGMRVVAGGGGGWRRSSG >OGLUM01G18870.1 pep chromosome:ALNU02000000:1:18137579:18138366:-1 gene:OGLUM01G18870 transcript:OGLUM01G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIYINSYILYHLSLSRPLSLGPSAQVERRRRRVVDVHGQTAWVGSGTMLGEVYYAIANKTSRLGFPGSVGLTVGISGYLSGAHGLASDHVLDATMVDTKGRLLDRAAMEEDLFWAIRGGGGGNFGIVLSWKLRLVPVPATVTVFTVHRSRNQSATNLLIKWQRVAPSLPSDTFLRVVVPLYLGTRAGLVAAMADTFPELNVTVSDCTEMTWIQSVLYFVFYSTGKPSKMLLDRGNGIGDPSGSCMRLARSS >OGLUM01G18880.1 pep chromosome:ALNU02000000:1:18140621:18142982:-1 gene:OGLUM01G18880 transcript:OGLUM01G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDAKTRRGLAAATRRRRGAERASSRGSPAQQDHRRLDYHVFPDDLGGLGAAAPRCDLERRVHGAALLGAFSPCFHGSSSSHLPPQHSSEEVSAAAAAREQEQTLRLFLQDIERSIVFGICKNPETTEFFRDHRRRLDDYFAAAKNLLQMLEHPVLAYGDLHNRAKSLLVTAMGSLAVELCHLKIWKPDALASYLGCTPTSIWELARSSCRGGGGGSGSASSASWMSTSRSCSGGSSGPNGVSFDGYYMALSEERTVRSGQASSVTASHIDLKSVSILNKIADFMIGVGHEQMLRGAFDQHSEHLVRYIEILDIDKILGNHMEESTELLLKVWTSTMRTVFSVLDEMRTQLNQKDHGTFSSLKVDYFSAIAKESVMKLLNYANAICIQVGPNDPSCRDTHASVKHYPSKMVNLLIMFQALEYAKMEILDLFLGQTKGPILMEIERLTNGLSAVFLVLLVELNGLLRSQHLVISNTGVHHVTQHIMGLMRLLVEQKDKVHMMLNDNPDKFGQVVTQLISSLEFMLDMNSRSLALQGQQQVFLLNNINFVLEQANNYTDLKLILGESWCLQRHVQLDQFLASYVEASWTPVMSSFIITRIPKILWPQQLFDKFNSRFEMTYNVQKTWKVTDPVIRQKLREKITQKVIPLYRMYLESYSDKKQKSVRFNVEHLEARLLEIFEG >OGLUM01G18890.1 pep chromosome:ALNU02000000:1:18155633:18161187:-1 gene:OGLUM01G18890 transcript:OGLUM01G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGWGLGMGMEGMWKKMAARMYVYKENADVGASEIFAPGGDDLYDYIELEGSMGPESSELPYWPMKFWAAHRVTNNVYAFISFHA >OGLUM01G18900.1 pep chromosome:ALNU02000000:1:18169056:18178482:1 gene:OGLUM01G18900 transcript:OGLUM01G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELPAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >OGLUM01G18900.2 pep chromosome:ALNU02000000:1:18169077:18178482:1 gene:OGLUM01G18900 transcript:OGLUM01G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELPAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >OGLUM01G18900.3 pep chromosome:ALNU02000000:1:18169056:18178482:1 gene:OGLUM01G18900 transcript:OGLUM01G18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELPAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >OGLUM01G18900.4 pep chromosome:ALNU02000000:1:18169889:18178482:1 gene:OGLUM01G18900 transcript:OGLUM01G18900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKRKTHHGGSCHQQQSCPSHGNELPAIDEEVSHLTRLKSEPCERTRASLHAGKKRHISTFKLLSGRESNCLGIGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRIYNAEKKWTIHKDITCKKLRWTVSDIALSPDQRYLAYSSLSPIVHMVDIQNGMRESHANITQVHEGLEFSNDDDGFSFAIFSVKFSKDGRELVVGNNNESICIYDIGSNKVTERIHAHSADVNAVTFADEGSDVLYSGSDDSLCKVWDRRCNKRGKQVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDIRKMSSTVKDCTPKAYEWDYRWMTYPSEARYFKHPYDQSLSTFRGHSVLRTLIRCYFSPIHSTGQRYIYTGSSDQCVYIYDVATGKIVEKLRWHGSIIRDCSWHPYFPTLVSSSWDGYLVRWEATEDDKDPSMLKTGKQRMHPEGYTFSFVF >OGLUM01G18910.1 pep chromosome:ALNU02000000:1:18169228:18182671:-1 gene:OGLUM01G18910 transcript:OGLUM01G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLAPGGGRWHWKGAATAATKSDESDITSPVELEGSAGVAATAAATLVTATTELHLIVAEPLDHLALADDLLVIAVSCSAAASASARFLLEMTKESMVRFQGKIRKYHGIKDRLWEFALKPDLRGSTRMRREGKERLTCTFNSTQPETEDDRRAPQNLLAGGASEDAEKGGEG >OGLUM01G18920.1 pep chromosome:ALNU02000000:1:18182586:18190062:1 gene:OGLUM01G18920 transcript:OGLUM01G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSDLVAAVAAPFQCHLPPPGAKLATIAGFTSPPRASSASLAEVGHGDQRWCGQEEKPTSCRPCTSSPQAAIDKTAHEPPSTSPLHTAVHEPENRRRFVAYYCTRRSWRRNGGTDGNVPSHAQTEILTGVARQPGHPRKEKKKREKKTKIGERYAESSQLLAFAFGFGSAPPLPSRYDGLFFVLVYPKLWVSQGILFIVVYTWPCEPAKGSRVYAYTKTADDLPHKHTLSISAMPAYISKSHEELRHEDYQRGDKGGDGLQKIMVNSAPVPPMPMLSSVGAPVNMINSSQPAFSLKSKTNFSTPFSPAATADQQSAQLQFSTQNHYYTSNPFWPAPIGLVRPCSSTGLQSSTPGLNCTGITSFPFLPTKQPSVGTQSSTLFPTTFVHPSVELQKNASTHCASSQTAPCTTHGGILFGTAANTVSLTTSSTEPTTSSLGPTSCPATRHGDAPSTGFACQENVFSNSAAYTSTINADVPTNTIDLLLPNNIRLVRLRFSSTNDGNGSVASEVHCHHDAKTSETPISLCIYPGENQELIIKSMVQPAKSHTGKQSSPTGGHPDDQSGRSKVCNSAAGPPSSGPGENQKGNSSAGHKTPKSPLAAPRCEAIAESVLPRLYSADYYTVPSIVELAVGERDEPGYCSHVKGFTVGRHGYGSVKFDGETDWRKLDIGSIVEFNEREIIVYRDVSNTPPVGQELNKPAEVTLLNVKCVEQKNGLQFTEGPAVDRYKEILVQWTKDHGAEFVSFDAAKGEWKFRVKNFNM >OGLUM01G18930.1 pep chromosome:ALNU02000000:1:18202760:18203610:-1 gene:OGLUM01G18930 transcript:OGLUM01G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDLIPPPVVPEQIAANGSVAYMGAIMAPLQEQDKQLVVHLAPTEADQLARMCSAGEPLWVRQRGVEVMAVEEHARMFRCEILLISMNYQGTAIKYFIIAHTNKPSRRSGIGKDLVDTIESQNHEATDHQKDAKD >OGLUM01G18940.1 pep chromosome:ALNU02000000:1:18208597:18210516:1 gene:OGLUM01G18940 transcript:OGLUM01G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor-like kinase in in flowers 3 [Source:Projected from Arabidopsis thaliana (AT2G48010) TAIR;Acc:AT2G48010] MSSSLPSPLLLLLLLPFPAAVRSQPAAAPAPQQQCPLNFTALRPFLAQPLPPDDSARCLLAVQSVRLLLALHLAATGSFVLPANSSCLPPLRAELPFALPSPSACGLQGLDALLASPGCANVSTLGDFDAAVPPSSRADMNASCNRDLTPVPDCTFCTTSLSKAAAAYLLPGSPNDGGNNVTGCVQYPFIYAGAAASPRGADDPATANCLYLLKVNSASKASSSVPGWVYGVVFGCVAMVLLVAAAAASWFLVRRRRRRAAAAALAKAAADSRSKRSQAMESISASTTLVQFTYDEIKAATGGFARESIIGRGGFGNVYKGVLPDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVAIRGYCIATTEREGHQRMIVCDLMHNGSLHDHLFGAGECQMTWPVRQRIAIGMARGLAYLHRGAQPAIIHRDIKASNILLDDDFEAMVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLGEGQNFVLSEWAWLLVRRGKTVDVIQEGMVEPGPTEVMEKYVLVAALCTHPQLHARPTMDQVVKILEADSASGPLIIPERPLPVVANLAEIERSASSSGSGQLFSPSGFRSFTHINEDAALESPKEE >OGLUM01G18950.1 pep chromosome:ALNU02000000:1:18225823:18227086:1 gene:OGLUM01G18950 transcript:OGLUM01G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRLPIRKGVPPLTSDRYLDFYPLRGQPLGRAILISYEDQPKTSTPQAIP >OGLUM01G18960.1 pep chromosome:ALNU02000000:1:18246802:18247415:-1 gene:OGLUM01G18960 transcript:OGLUM01G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWQRVEIRRKGWPARDGGGDGAKLTRHNGGADGMRTDQLTSDANRNKSGLINSPTQNVRQCKTPADCRT >OGLUM01G18970.1 pep chromosome:ALNU02000000:1:18255816:18256169:1 gene:OGLUM01G18970 transcript:OGLUM01G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVLRPHNVLLPSPAQRRIRATAATHQRTANNHQSDARTKPTTAGSRRHQGRGSSAARKAAARPVAVEVYAGPAFSVSPEPSSLPLPQFPFRKAAVTAAVDDAATRDLRRMLRLE >OGLUM01G18980.1 pep chromosome:ALNU02000000:1:18261732:18266373:-1 gene:OGLUM01G18980 transcript:OGLUM01G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVEKSASVLLNYKDPLPSPHHPHKSQNPHITPPILIARTTLSKNGNTFYFKLVLKKKKINQMADNSGYPSKQMKVSLARRQAQNKSLQQQNEQLKLENEKLKKENYAIKLQEFNSICGTCHMRAENACLGTEIQRLYARATNQETEAQPEEVDLPFPPTAGSQEGAPLNQDEPAPPSK >OGLUM01G18990.1 pep chromosome:ALNU02000000:1:18276893:18278952:-1 gene:OGLUM01G18990 transcript:OGLUM01G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGVIPDVMMPSLLADVEVSHLAGFDVTPSPHAEPSPRPQLRHDNPSRSRVPPLERVSRRSEVVFPPLDSPFQAPGYRSVQPVSISLPASPTGFGVPVALPIAVGDAGEPADLRRQAMSNAARDAEEQQLAAQGKGSNNNVRFVQPDKVVFRSQPIPGGKPARRAASNRGGRMMSRDRRYDSFKTWSGKLERQLTHLAGAGPEVPEEEEDGCDGDAISSHHTKSMPQVDRFFAALEGPELDKLRSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTVATSTPTRFLHVTTKVNLVLWCVSLALMCVIAAIYACKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMTPILCMELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPVFFFSVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGPGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNAFTKALCVALSVLAMLTVLALLATTIVHGFVLRNLFPNDISIAITERKVKPIVELHEMLGSNDSAAGRSNDDIEAGVATVESS >OGLUM01G19000.1 pep chromosome:ALNU02000000:1:18278975:18291339:-1 gene:OGLUM01G19000 transcript:OGLUM01G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTKREVAPPPCATANSSASSSGPPGWWQQIKMADDGPWRSRTISIYEGPMFSSTQIIANQPNRGEKTGEGGTGKRMPNLAMGDEQPSMNLPWCSTESDGGTGGHDGESRQTRGRMTDAGGGTEGEEPESEERAAARGRSDDESSAAPRLAAARARDGGSIKLYDKSVYFFAIAFKNKPSNENFATTSLERNKNQAVTSGCSPVLPSSLSRQKSEQ >OGLUM01G19020.1 pep chromosome:ALNU02000000:1:18286650:18286850:1 gene:OGLUM01G19020 transcript:OGLUM01G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIGRGGHWARKRSGNEEDVSEGGGTLGIRSLGEEEVVRREGRAGREVAIAVGRRVSGKEVAVG >OGLUM01G19030.1 pep chromosome:ALNU02000000:1:18321245:18322722:-1 gene:OGLUM01G19030 transcript:OGLUM01G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDGTRPSLVASACSWRPSGRAKMKVVAESAGTGARANDAMHGVCVGHKLVVPLHLPEEVESSSNELLNVGGDLLTVELLVEAPCARWRRGAWDEAATAGEWDGIVGKVVAGSAPVSEGVGEEAGDMGDEAPWSSASTWGGGGGRGGVESAAMWGGLGGHGGGLEVGNGPDW >OGLUM01G19040.1 pep chromosome:ALNU02000000:1:18326703:18327026:-1 gene:OGLUM01G19040 transcript:OGLUM01G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGVNIRKELGGSVSQQWAWQEFDHYDKPRPHCAVTSSKWDLRTALVSSALQTVDIVWVNLDSLCRGELNHSNVPYSRFWSCVIRVILSPDV >OGLUM01G19050.1 pep chromosome:ALNU02000000:1:18327448:18328024:-1 gene:OGLUM01G19050 transcript:OGLUM01G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVRASLLPRHPQHTSFQALCDPSRSTITHNCRVTLFHRTASSIGIQGADVDPNGYAEATGNLKAQGKT >OGLUM01G19060.1 pep chromosome:ALNU02000000:1:18328658:18330235:1 gene:OGLUM01G19060 transcript:OGLUM01G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLPPILLSFLLFLLPSNNREEKGQGAGASVVERPRRRRPSVFPLAAHPGRSVESIGRKEDGWNSGNKSSAPAGFGGAVGGLWKWLKERGNRKNGITGAAEVGCRWRFTEKGEENSVTAAWKRRRRRLCSSIGRPGRCAHASAAARLDDGGDGLRHGAGLPWLARQRRRQQNRGRNAAVGGVSWRAVAAPTEERRPACERVAAGEAACERRRGGGGGKGGGLCAGVLLGVTVIVYLQEKVGWGAAAVVLAAVMAASLAVFLAGWRHYRYRVLEGSPLTPLVHVTSHGGRAAGWFGKDLNSSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAY >OGLUM01G19070.1 pep chromosome:ALNU02000000:1:18335848:18343425:-1 gene:OGLUM01G19070 transcript:OGLUM01G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYITETKHPGEGEVAYTRCSGRSTRNRRGRTTTATPAAALQQGSRVPLVATAALTTRQHRQQLSTRGVFSHQLPEGAELPPSLLVAEPPPGLERRRGEGETELSPPFPVAELSPPLLHGVVSGGTCRGVEFLGDVEVDEVAKLRAAAGEAACERRRREGGGKGGGWRRDSGRHQRQQWGGSVRAAPSSSPSSPPDPAVIPLISSPLRWCRRPSRPRRITPRPASSSFSSTWRQPFYGGRRASLSWPGLLLGFAGAELYRQGASPVVVFRSALVGPRRDHLQVRAIQAEHGLQALFAFKPEASSGALRSLP >OGLUM01G19080.1 pep chromosome:ALNU02000000:1:18341123:18343737:1 gene:OGLUM01G19080 transcript:OGLUM01G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDAPCGELLPVLPRRQRRRRNQGDTASLLESCCRCCRRRPPPPITSATSTTPSVSDLALTRMLRF >OGLUM01G19090.1 pep chromosome:ALNU02000000:1:18364555:18365978:-1 gene:OGLUM01G19090 transcript:OGLUM01G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIADDVIRCNILPRLPFKLTTQNGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPATQKAQSVPGTTKHITRFDKRIGLAFDPVDNFQENTVSKFKIVKAVVQPFMWKTKINCNNKKVAYGSGIMYWDYQEIVLWFDIATDIAGIVKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGGLIVYHLVGPERLTERRLVRPIGVVDGRFVYIGVRQEWKTKDKILCYNIVTGKTYGTGKGLGNRYSKNPFYVYRNSMPNIPHIAVPAQGKICEGSTGGCICAMYTGEVKGPVHHDIDTSANGMLVCTTIDKGGLPMYHLVGVNTDFN >OGLUM01G19100.1 pep chromosome:ALNU02000000:1:18368864:18370191:-1 gene:OGLUM01G19100 transcript:OGLUM01G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYGGLRMRGIGSAPWWQMCNAVKTGETIGMRMWDVGWASHVRALIGGQEWRRRRPGSSALPSVRSGRRGGGNGALHLPDQEGEQTTGADAPAHSPFHSIQREGRLVPPPLHRVTTTSAASCGNSGGGGAAGGDAVLLLSIRR >OGLUM01G19110.1 pep chromosome:ALNU02000000:1:18372465:18372830:-1 gene:OGLUM01G19110 transcript:OGLUM01G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAAWWRHSPLRGRIGRRSGGGGARRALPSALYGGKEGSHLLLSIGDHDVGGFPWRILRRRRLSATAVVVVVQKAAAPSTSSPSNNGGGAGEGRRGGRGDG >OGLUM01G19120.1 pep chromosome:ALNU02000000:1:18375072:18375636:1 gene:OGLUM01G19120 transcript:OGLUM01G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVATRLIAVDLETNIWPSLNFAALSIPPAWLPHSSDKQDIGRSQNASNSCRLVFFMHYMDGPTAAL >OGLUM01G19130.1 pep chromosome:ALNU02000000:1:18377742:18379376:-1 gene:OGLUM01G19130 transcript:OGLUM01G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAIPNRPPLGTALGEKIEAMVSGLILPLYYAMTGISTDVWHMHWGCSSSCSSTGSGSSSASWCRRPYYLEIPLRDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVAITAVLVPVAITFRILVVVALLSQGPPPPALVTASAPRPAWGSSTAGARRRVASGSRQRPPPRRRQGPSPVAASQPPDSAVGEGRRPRAYDEEEEPTVAAVSHRASPRATAEEEHTAHRVSPLARGCT >OGLUM01G19140.1 pep chromosome:ALNU02000000:1:18381644:18382987:-1 gene:OGLUM01G19140 transcript:OGLUM01G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDIPDDVIRCNILPWLPFKLATRFKVISKKYYGILTNNATLSARQARLCPPCPALIHMDLPDRHLGAYTSKVEAIDVLSSTPDIVGIPSGFDFLGCCLENGILSLLASTNGLVCILYTPTNFISHSRAPTLFIANPAMQKAQSIPGTTKHITRFDKGIGLAFDPVDNFQENTVSKFMIVKAVGARTIEDNGTKFCFATFSSNTGCWAMSSTTVYVDTKINCNNKKVAYGSGIMYWDYQEILLWFDIATDMAGIVKMPWIQLGVEVKGPVHHDIDTSANGMLVCTTIDKGALIVYHLVGVNIDFSWEIKHERRWIDMMKDSISAFGFCHSMQLRSGLPPERLTERRLVRPIGMVDGRFVYIGVRQEWKTKDKILCYNMVTGKTYDTGNELGNRYSKNPFYVYRNSMAYIPHIAVPVQGKICEGSTGGCICAMYTGEG >OGLUM01G19150.1 pep chromosome:ALNU02000000:1:18401280:18401497:-1 gene:OGLUM01G19150 transcript:OGLUM01G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMKMPWIQLGVKVKGPANHDIDTSELNTNFNWEIKHERRWIDMMKDSISAFGFCHSMLLCSGL >OGLUM01G19160.1 pep chromosome:ALNU02000000:1:18401540:18404015:-1 gene:OGLUM01G19160 transcript:OGLUM01G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRILEKALHSDISDDVTRCNILPRLPFKLATRFKDISKKYYGILTNNAPLSAWQARLCPLCPALIHMDLPDRHLASTNGLVCILYTPIYFIFHSRAPILFIANPTTQKAQLILGTTKHIARFNKGIGLAFDPENTVSKFKIVNAVGARTIEDNRTKLCFATFSSNTSCWAMSSTTVYVHTKINCNNKKMAYGSGIMY >OGLUM01G19170.1 pep chromosome:ALNU02000000:1:18408500:18408954:-1 gene:OGLUM01G19170 transcript:OGLUM01G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEKASVEDKVLVLKQHQNRHLFDFHISAVFVMPVGNLTYLAPMLDSQLAPMAFSVVVFEFKVLSKLNLLR >OGLUM01G19180.1 pep chromosome:ALNU02000000:1:18408995:18410255:-1 gene:OGLUM01G19180 transcript:OGLUM01G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTRGIGSLPRRWMCDVVKTGETIGERMWDVGWASHLRASIGVREWRGGGGLVAVLSPLLDPAGGEAAVAPSIRQIRRENRQRERRRQHALPSTTSNGKEDSHLLLSIGRPQHRRLPAAAMVVVV >OGLUM01G19190.1 pep chromosome:ALNU02000000:1:18415880:18416569:-1 gene:OGLUM01G19190 transcript:OGLUM01G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMTVYVDTKINCNNKKVTYGNGIMYWDYQEIVLWFDIATDIAGIVKMPWIQLDVEVKGPVHHDIDTSADGMLVCTTIDKGGLIVYHLVGVNTDFNWEIKHERRWIDIMKDSIYAFKFCHSMQLRSGLQLERLTERRLVQPIGVVDGRFVYIGVRQEWKTKDKILCYNMVTGKTYDTGKELCNRYSKNPFYVYRNSMANIPHIVVPVQGKICEGSMGGCICAMYTGKG >OGLUM01G19200.1 pep chromosome:ALNU02000000:1:18419674:18419955:-1 gene:OGLUM01G19200 transcript:OGLUM01G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFQMWAGGALLGAKKGRSFTAEIGSTSSLQRMKTTVMNQEGIPVVHQRLFFGGVELQEKGDDTTREYSIVKGSTIDLLIPYRYRGAAADR >OGLUM01G19210.1 pep chromosome:ALNU02000000:1:18421070:18423594:-1 gene:OGLUM01G19210 transcript:OGLUM01G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTPSSPFAVAAPCRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTALVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSKVDEGANSSEDPLYPRLEIVYTALHQHFPFSPERP >OGLUM01G19210.2 pep chromosome:ALNU02000000:1:18421515:18423594:-1 gene:OGLUM01G19210 transcript:OGLUM01G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAARLPPAAARRARLEATTPSSPFAVAAPCRVMAPTRRPRLGTRLVVVSAQSNFSRVVQTAWKVGRDAVEAGTALVPGSVPRPVARIGVTFVALSIALFLLKSVVSTAFFVLAMMGLIYLGFLAMNPKEGSKVDEGANSSEDPVEEARRIMEKYK >OGLUM01G19220.1 pep chromosome:ALNU02000000:1:18424088:18424981:-1 gene:OGLUM01G19220 transcript:OGLUM01G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLETVAGRRFTVEIWFFSTVRRIKEYVLRQEGIPVESQRLFFAGAELDDDGDTERYSILQGSTVLLLLPEDGAAPPSSGGGGGGAGTKAMVRVVVNAPAALAGKGGAVTVEVDAAACTVAGLKERVQEGTDGALPAARVALMFGKVEMEDGRAVAEYVPPGAAADGTATVVVSAVVHPPPPPTPTAASPVAVSKKRPPTPTPQPPQQPRVTVNVKWGAKAVAVEVSDMLAVKDLRAELGGGGGGAAAHLPLPKDGGYFFIYKQNVMEEDRTMRWHDVKNGDTIEIFNGRVTGGA >OGLUM01G19230.1 pep chromosome:ALNU02000000:1:18500325:18502841:-1 gene:OGLUM01G19230 transcript:OGLUM01G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWGWAAAAAAAAAWIAVKVLEVLWWRPRRVEEHFARQGITGPRYRFLVGCVREMVALMVAASAKPMPPPYRSHNVLPRVLAFYHHWKKIYGNPPPPPLLLNSILSQKQQPRTRRWQVAVVGERFAPGRYDIDMMAALGSTFLIWFGPTPRLAIADPELIREVLLARADRFDRYESHPMVRQLEGEGLVSLRGDKWAHHRRVLTPAFHMDNLRLLLPCVGMTVLDMADKWRAMAEADKSGEVEIDVSDWFQVVTEDAITRTAFGRSYEDGKVVFKLQAQLMAFASEAFRKVFIPGYRFLPTKKNTSSWKLDKEIRKNLVTLIGRRQEAGDDEKLDGCAKDLLGLMINAAASSNAGKRSALPVSPITVNDIVEECKTFFFAGKQTTSNLLTWAIVVLAMHPEWQERARQEVLDVCGADGVPSREQLAKLKTLGMILNETLRLYPPAVATVRRAKADVELGGYLRIPRDTELLIPIMAVHHDARLWGPDAVQFNPARFAGGVARAARHPAAFIPFGLGARMCIGQNLAILEAKLTVAVILHRFEFRLSASYVHAPTVLMLLHPQYGAPIVFRPRSSSQPTCEKMNPLTSS >OGLUM01G19240.1 pep chromosome:ALNU02000000:1:18517697:18519507:-1 gene:OGLUM01G19240 transcript:OGLUM01G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGFTPPHLDPIVSPPLCRADPSAAATGGADPVTSSPLAWFPLSLRPPPSRTDPPPATTGGTDPAASPTVMMAMDFKRGRCPQQDRLRLLVSITEATDSAEKIYITLELPLIPTHACRRWIRCANRQQNHGNLENRLAIWHIHVTNLGSLNPKAFVAMGCLVLVVTWAAMGVMYTRSLGRKYMVNRVEFIDNLESTLIGGALTSSTKSLAIFNSIISIVCN >OGLUM01G19240.2 pep chromosome:ALNU02000000:1:18517697:18519507:-1 gene:OGLUM01G19240 transcript:OGLUM01G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVAGFTPPHLDPIVSPPLCRADPSAAATGGADPVTSSPLAWFPLSLRPPPSRTDPPPATTGGTDPAASPTVMMAMDFKRGRCPQQDRLRLLVSITEATDSAEKIYITLELPLIPTHACRRWFTKPKGFCSNGMPGASGYLGSYGGDGGVEFIDNLESTLIGGALTSSTKSLAIFNSIISIVCN >OGLUM01G19250.1 pep chromosome:ALNU02000000:1:18536652:18561855:1 gene:OGLUM01G19250 transcript:OGLUM01G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCWLNFSFGIEQIGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRERLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQLEDIYASLFLVKCDAILNRANHQQGEKQTKMTKFCSGICLFFIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLNINGLFEKLEIVAGPVSIQTNKIVHFLDYVTI >OGLUM01G19250.2 pep chromosome:ALNU02000000:1:18536652:18561372:1 gene:OGLUM01G19250 transcript:OGLUM01G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCWLNFSFGIEQIGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRERLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQLEDIYASLFLVKCDAILNRANHQQGEKQTKMTKFCSGICLFFIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVTSYAA >OGLUM01G19250.3 pep chromosome:ALNU02000000:1:18536652:18561855:1 gene:OGLUM01G19250 transcript:OGLUM01G19250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCWLNFSFGIEQIGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRERLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLNINGLFEKLEIVAGPVSIQTNKIVHFLDYVTI >OGLUM01G19250.4 pep chromosome:ALNU02000000:1:18536655:18561372:1 gene:OGLUM01G19250 transcript:OGLUM01G19250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRTGGCAGRCLLPLVLLAVLASLAQVTFHLIWCIEGEGWVVAHSWWAKLVGFARSQPGESPSVIYFLVVQLSAAVLALVEVFGSRLYQDSCWLNFSFGIEQIGLSNKSTTKDFMEIGSIVCFCAVHSHGFKMLFALSAVLRHTPSTPVGFTILKAGLNKSVLLSVYNSQNSRNGQADRSTHGLVSKFVKLYPDLGFDPEASLLMNVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRLLIWHKYLFQMLCEPAQMCPGQQFHGLSVFLGLKHYDAGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLLHEGKWEEPCQLFISGDTSSNARDNNKDSHSSNRFSSLFSKVQGLIGSSSSSSLSSGSTCQTSEPVQNETSGSDEGKRYSFSKIWGMSKESHKWDKRKIISLRRERFETQKTTFKCYMKFWMENLFKLRGLEINMIVLLLASFTLLNVISIFYITCLVECPGYTVSMTLKFIVANAGKIQGFSLHIAQNVGWITSRSAFVEIVIFLLVSIQSYIFSSGEFDYVSRYLEAEQIGAMVHEQEKKALKKTEQLQHLRRSEEQKRERNMQVERMKSEMYNLQSQLNRMNSFTPINNASHSEGLRHRRNTKLYTDIDTPLQDSGIGSPRKEDKTGSTDSSQSFEFSVEDAQKSLTDLMFRTPCDTPRSPIRGTSEEFKVTDNARNSLGSTSEITEVEENEGKVNHNLLKLQYGRGAVKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPEEPHSSDHPAEDDIYDMVESQRETHDGQLLRTHSVTSGNGTKSSANMPIGSSITAKDGEWVPVTEFSFLSARNNVEEKQRMPYNWRERLKNIHLPVMNLIRMIGRGISRYWLSLTQGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANSPSSCHSCSRVRIQSIERSKENSSMALAVLEVVYAAPLDCQSAGWYKSLTPAADVEKEIHESQKAGLFEDILFFLIVVDRIIYLWSFATGKVIFYLFNLVLFTYSVTEYAWGMELVHRNVGGFVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQIYSSGNPTNIANPIIDVSVKIDIKALGGRLTFFKTTVCEKIPWKHMRAYDDVDPLDYLGGYNVEDIQLICCQPDASTMWLIPAPVQTRFIQSLEETEMIFGNMELILNWDFLRARPKGKELVKYESPVDRSPSVDDVKRVLNGTTNSFRITDAYPRYFRVTGSGEVRRLEASIDSVSGELLLNNGTPPWWSFYDTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVTSYAA >OGLUM01G19260.1 pep chromosome:ALNU02000000:1:18564423:18565100:-1 gene:OGLUM01G19260 transcript:OGLUM01G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVHVQMPAVDTEACCDDEKNPQPAREIISDHVAVRPTPAVDKTLSGASDLLKLLPTGTVLAFQALAPSFSNHGVCHAVANRYLVLALIGACAASCMLLSFTDSLIGHDGKLYYGVATLRGFRPFNFAGTREEHGTVFKDLSRFRITALDFVHAFFSAVVFLAVAFADAAVQTCLFPEAEADMRELLVNLPLGAGFLSSMVFMIFPTTRKSIGYTDMTPHSQ >OGLUM01G19270.1 pep chromosome:ALNU02000000:1:18587617:18588390:1 gene:OGLUM01G19270 transcript:OGLUM01G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLETKLIDMPQPQETNGDGEAAPEADISMATTLMPNGVGERKATAAPALPAARPPPEMTDKVMASTANLAQLLPTGTALAYQALSTSFTNHGQCYRSNRWLTAGLVAVLTASSIFFSLTDSVVGRGGKLYYGMATPRGFNVFNLSREEEEAQELSRTKLRELRVRPLDIVHAFFTAVVFLTVAFSDVGLTKCFFPDAGNDTKELLKNLPLGMAFMSTFVFLLFPTKRKGIGYTDTTPRPAPEMNKPTQVSDHMP >OGLUM01G19280.1 pep chromosome:ALNU02000000:1:18639578:18640300:1 gene:OGLUM01G19280 transcript:OGLUM01G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPGAIVINMASNTNGESTAVTTNNLAPSTPKAVDESTTSSKTDVATKTVTDKVMASTANLAQLLPTGTVLAYQALSPSFTNHGECNAANKWLTAVLVGVLAGLSLFFSFTDSVVGQDGKLYYGVATRRGLNVFNMSREEEEAKKLSHSELRLRPLDFVHSFFTAMVFLTVAFSDVGLQNCFFRQNPGGNTKELLKNLPLGMAFLSSFVFLIFPTKRKGIGYNDNTPSRKAEDVTKN >OGLUM01G19290.1 pep chromosome:ALNU02000000:1:18664423:18668952:-1 gene:OGLUM01G19290 transcript:OGLUM01G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWMHGVVAAPSLLAVSLRHRRRRPLEREAVGAGCGSHDFLSGGSVRCGTSRAIGYAELGGGGSSSSAAMEEVKALMFAAGVDAAESSHIGHDPRLQSWWKKWCKQTWFYSPRLQFRSSTTGNQLPVLP >OGLUM01G19300.1 pep chromosome:ALNU02000000:1:18667588:18683910:1 gene:OGLUM01G19300 transcript:OGLUM01G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKAYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAIKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLQFQVDLSTVLSTERQRLVDHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >OGLUM01G19300.2 pep chromosome:ALNU02000000:1:18667588:18683910:1 gene:OGLUM01G19300 transcript:OGLUM01G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKAYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAIKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLQFQVDLSTVSGYRSLAGDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >OGLUM01G19300.3 pep chromosome:ALNU02000000:1:18667588:18683910:1 gene:OGLUM01G19300 transcript:OGLUM01G19300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein [Source:Projected from Arabidopsis thaliana (AT4G27340) TAIR;Acc:AT4G27340] MAPPLLDLPSHCLLPRLRRPTSGRLLLHLRLKPLSSSSYTTTTTTTTTSSSTSSSRAPQVPPSLHGPSLRRGRRLPGETDGLLSFARIFDLAALRVPAAACAPLERRLRGHLLNWPRVRNVVRQPGDDGGLLFPVPHPSLATPSSLPTAVARREKLAREFNARGFVQFPNLAKMSRPPARKRKGKKDEGGGEAAATARDTKDKAYVVEVIGERKEEHGDEWMGLVGEEGFGRITWRGGPTRLLLLDENYANKRVDDLPEAIKVVLDHETNKDGSSSYELVQCQLTLFYNYWPMNEILEEILPEGIIVPTGFETVGHIAHLNLRDDHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGIDSLVTTVIESGLQFQVDLSTVLSTERQRLVDHVFKNSDVVCDVFSGVGPIAISAARKVKYVYANDLNPTAVEYLERNIVLNKLERKIEVFNMDARRFISSIYSSQHVHPVTQVVMNLPNDAAEFLDVFRGISWNHQLAVPSCVMPNIHVYGFSKAEDPEYDFHERINLTLGENVSDVEMHRVRLVAPGKWMLCASFTLPVSVAFAKPNYIAC >OGLUM01G19310.1 pep chromosome:ALNU02000000:1:18684656:18688970:1 gene:OGLUM01G19310 transcript:OGLUM01G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 18 [Source:Projected from Arabidopsis thaliana (AT5G19020) TAIR;Acc:AT5G19020] MTTRAGAPLAVFLVSSLKSAAARLRHGEQLHALAAKSGLLASNLFVRNSLLAFYSRVAPSLAYHLFDEIPPLLRDATAHNILLSALARAGRLERAQCLLAEMPQRDAVSFTTVISALSRSGHPERALAVFRDMLTEAVQPNEVTLAEVLTAMACDHGAPAPVGAAHGVAVRRGLDGFVIVATNLVHAYGAVSQVPSARSIFELMPDRNTVTWNTMLNCYVKAGMINMAAEVFGVIPERDEVSWLTMIDGYMCADFLLQALRTYVAMVGTVGIRANEVILVGLVKACSRHSAVSEGQQLHTVILKNGFDAHAFVQATLIHYYGSCDFIDHAQMQFKLSDKSHVASWNALMASLLRRNLVHEARQLFDDMPERDTISWSTLISGYVQSGNSNMALQIFCSMLDAGVEPNEITLASALSAVANSGTLGQARWIHDYIISRSIQLTDKLSAGLINVYAKCGSIAEAVQLFNHVKDKSISVSPWNSIICNLAIHGYVNMSLELFSQLQSTTNIKPNSITYLGVLNACCHAGMVAEGKRQFESMRQQYGIQPEIKHYGCMVDLLCRAGYLEEAELLIKTMPMKADVVAWGCILAAARTQGNVALGEKAAEELSKLDPSHGASKIALSNLYADAGHWSNVSVVRKELQNENLERLTGSSGILQL >OGLUM01G19320.1 pep chromosome:ALNU02000000:1:18705739:18708681:-1 gene:OGLUM01G19320 transcript:OGLUM01G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPEAGRSERDGREQDDDYEQQQARVLMALMQGFCAARYRKADNIPCPIVQGLYLGSVGAAMNKDALKSLNITHILIVARSLNPAFAAEFNYNKIEVLDSPDIDLAKHFDECFSFIDESISSGGNVLVHCFAGRSRR >OGLUM01G19330.1 pep chromosome:ALNU02000000:1:18711166:18803481:-1 gene:OGLUM01G19330 transcript:OGLUM01G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQGDGDDDGDDARGMWKQRRLETVTRRQRLRRRRQWREGKAEARVGEETAEGEGKGEGESGGREGGGETDGGSSVGDGDGRRRRWQRDGEAAARGDGDGVRGRRRRQCSVAVRERESKRLGFEGYALFARSEPQCLFVAEPSSTVVQSAAPIAGRAIQSATPYAPSDAISKRVFFPGIYAHHNQLLLLHVTG >OGLUM01G19340.1 pep chromosome:ALNU02000000:1:18806250:18819034:-1 gene:OGLUM01G19340 transcript:OGLUM01G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASAYYGTLQTIFKFQKLLKISLCGNRQLKNKGLDFPILDSASHHILKNVKFKQAHSALH >OGLUM01G19350.1 pep chromosome:ALNU02000000:1:18839447:18841831:-1 gene:OGLUM01G19350 transcript:OGLUM01G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSAPPLCPSHQSALPGQFALAAPDVRQDAHLHAPISPSAGVAGLVVTKPIPSATDRRDQITSRCPAPPPPPHRTVLTLPPPSHAPVTPPSYRLHRFPSAAVAPPAAPPPRCRHLGREPRRLTRRAAATSVPLSSSNPTASSSVRCTASSIPISYSYFISPYRCHCSNPKIRREGREPKIGRGGKRRAHRRWQRQPQQACCRLPSSLSSSRIQTYVDETGITGQAQGNSGTNNYRGISHAFMKPANVRVSRGVLAESVAHGW >OGLUM01G19360.1 pep chromosome:ALNU02000000:1:18841719:18842159:1 gene:OGLUM01G19360 transcript:OGLUM01G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRWASWRTSGAARANWPGSADWCDGQRGGADEIVIGGGADRCNGWRDGGDRCDGQRLGGGDCDSGADQCDGRRGTSSRMARQRECYWKGRGGDGGVICGAMRWGDAAVVEGRGRCAREGGIWEGGRDLGDVGCNSHDFRPLDV >OGLUM01G19370.1 pep chromosome:ALNU02000000:1:18872743:18886297:1 gene:OGLUM01G19370 transcript:OGLUM01G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAAAGPSPPSSEPPPRSPHGKAAVRPLPFLLPQFYAVLPSQTSRLQYHENVGKRGYQDYRIGYAYSLQFCSGWHCFSGEVLGMGITIQEAQSANDSVRNREDPLSKFTGNTKVGAAPCQSSSDTPKEAGSMIDF >OGLUM01G19370.2 pep chromosome:ALNU02000000:1:18872587:18886297:1 gene:OGLUM01G19370 transcript:OGLUM01G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASSPPLAPPATIPSSTSALRHLLPLSGLRFRRLPAAVGRGYRSRPGFRYRAAAGPSPPSSEPPPRSPHGWQERLSRLQDRIRIFFAVLFWMALFFWGSAWDGNNNSGGTKRQRFRNTKVGAAPCQSSSDTPKEAGSMIDF >OGLUM01G19380.1 pep chromosome:ALNU02000000:1:18898639:18899099:1 gene:OGLUM01G19380 transcript:OGLUM01G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGVAAGLLSCCLLRPGLGMHELTISNTELGHLFDGMQQKEATFSQIALAPTLLGGREYYTIHMHLLGAIKRCNFIQHTVTTIRLNLVLHDGAFANLNL >OGLUM01G19390.1 pep chromosome:ALNU02000000:1:18905143:18905445:1 gene:OGLUM01G19390 transcript:OGLUM01G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVLDRSPIPNRTPPESQRSRLPPRPRRVRVAPLSYVALHRAPRRARALNAAHPFPRAASIRSQSQADCATPSHAPLHRVSHQHHVRTASNPEPDPLP >OGLUM01G19400.1 pep chromosome:ALNU02000000:1:18905521:18906159:1 gene:OGLUM01G19400 transcript:OGLUM01G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEADWISVSPLPHPPHYPNAMTSRRPSMHPLDKTMRPSFAFHRTPCDHSLTTARDHHCEALRPELEVGGSGGGAALPPSPLGRLDAKERRRTPSGAINAVCLCSATWNFVVPPFLGNSSLL >OGLUM01G19410.1 pep chromosome:ALNU02000000:1:18910745:18916069:-1 gene:OGLUM01G19410 transcript:OGLUM01G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAYDEWDDKFISKLKRAERAVKQIAVGDDSMDEHEDDHKDKNSWGRGKNAYYDAGEQSGDDEDDYEETRRIQKEEESKLSMQDFGLEDGESDEEDRAIKAPSHQVKVPDGEHSFETYVKMKEEFVVLSRDEKMGVLDSSAPELVGLLSELKDAHEELMAIGPVTNEVTAGQSKDKGSSCNCLVEIKSIVEKMKQANVNFPRQKEDIDDYCMPDSSIMDVADMISLDKKNISSNLLLRDKGVEVAELTKNDHSNKDHHEIAKRKDKFVSGDDELPKRDDIGERRRKHELRVLARVGASTLEDDDLPEEDDHTEERPNQLSEENDSDDDIGPSESEDEFYKDVKRRRTEKLSSKEQKYSP >OGLUM01G19420.1 pep chromosome:ALNU02000000:1:18925777:18932622:1 gene:OGLUM01G19420 transcript:OGLUM01G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTIYDIHCPDYSFRKFTDDGKYLVAFSQNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEVLFMGYHQLRKLLSILLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARDVSFLPSIKQRLLSFIFRKTWNEESDQTLHLKKKFYFHFQDYVDLIIWKVSHSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDKPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRI >OGLUM01G19420.2 pep chromosome:ALNU02000000:1:18925777:18932622:1 gene:OGLUM01G19420 transcript:OGLUM01G19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFRSANLASRVFDRQFLSPRPGATVNTVRQFYENLVPSYTIYDIHCPDYSFRKFTDDGKYLVAFSQNHQDLIVYRPIWPTFSCNEPCDSHDLPPKAKKFDSFFKQLYSISLASSNEYICKDFFLYMECHQFGLFATSTAQSNDSSATEVLFMGYHQLRKLLSILLDDGAILDEKAFRNDFINLAHSIGAYLYEDLLCIVSLRYQTIHVLQIRDSGNLVEVRKIGAFCQEDDELFLHSHGQAARDVSFLPSIKQRLLSFIFRKTWNEESDQTLVSHSTEQNLAFFAVYNMETTDIVSLYQNSSEELYSLFEYFYDHFHTNPQNSSHGNFISSHSNNVHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDKPNVVKFKIKPGSDSGASDSRAKRISSFLFHPFFPLALSIQQTYMQPTVVNLHFRI >OGLUM01G19430.1 pep chromosome:ALNU02000000:1:18939801:18942580:1 gene:OGLUM01G19430 transcript:OGLUM01G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVILRLTVLICKKCFSMTMKTLCTEELYKGELHDVFLSLQEAAQPLAMKMKALLARMLYSMLSQEMMSQ >OGLUM01G19440.1 pep chromosome:ALNU02000000:1:18944405:18945511:-1 gene:OGLUM01G19440 transcript:OGLUM01G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQQQVERQPDLPPGFRFHPTDEEIITFYLAPKVVDSRGFCVAAIGEVDLNKCEPWDLPGKAKMNGEKEWYFYCQKDRKYPTGMRTNRATEAGYWKATGKDKEIFRNHHMLIGMKKTLVFYKGRAPKGDKTNWVMHEYRLADASPPQPPPPPSSAEPPRQDDWAVCRIFHKSSGIKKPVPVPMQMPMQMQMPVAHQVPAANYQQQMAMASASIIQVPMQMQMPSMSDQLQMLDDFSTGSLMAPPPPPPSYSTLPGFPLQINGGAQQFVGNPSMYYHHHQQQQQQQQMDMAAGGFVVSEPSSLVVSPQDAADQNNAADISSVACNMDATIWKY >OGLUM01G19450.1 pep chromosome:ALNU02000000:1:18945514:18972324:-1 gene:OGLUM01G19450 transcript:OGLUM01G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLDTIMNLNRFGPQGAHRISDEVRVGLDSDDDAEDIPAAAEIGMATAARKGAPHHLRFRGGVVVAGGVEEEEAAPARETDEKSARGRRDGARARAEVVFFSHLLFSPPRARRPAQMFLHSLLMQNMAKNTTTQQQQQTRSSKEAGGGAAARSRGVSSKKSPWYQRAVEVEAIEIIVESCSTW >OGLUM01G19460.1 pep chromosome:ALNU02000000:1:18953271:18955777:1 gene:OGLUM01G19460 transcript:OGLUM01G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGCVMKSTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTVSHALRSASCFLLPVHVSRARKCIT >OGLUM01G19460.2 pep chromosome:ALNU02000000:1:18953730:18955739:1 gene:OGLUM01G19460 transcript:OGLUM01G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGCVMKSTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTVSHALRV >OGLUM01G19460.3 pep chromosome:ALNU02000000:1:18953735:18955739:1 gene:OGLUM01G19460 transcript:OGLUM01G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARSSVVLRMGDKIGCVMKSTYSSNVPENVAAGMAMGTVIVLLFCGYSLGISYDAKLILEKGYTCTGAQVMNVIFVVLIGLLASGQASPSMREFAGGQAAVYKILETINREPKIDAYSTTVSHALRV >OGLUM01G19460.4 pep chromosome:ALNU02000000:1:18953271:18954214:1 gene:OGLUM01G19460 transcript:OGLUM01G19460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQGMLFWDPSKTEAYEVHSAGSSRTPPSEWPDLWLSEEGNERRGGVHAAAPDATQVTTTSARARAPSRLPRADFSWCGDGGKRWGSDGDDMGL >OGLUM01G19470.1 pep chromosome:ALNU02000000:1:19024583:19026571:-1 gene:OGLUM01G19470 transcript:OGLUM01G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSLGRPAPAEPLQADAAQGRSRYTRAASCLSRARTRSPVPPHTSHAPISDSRRANLSERLFCLPFSPSSFSGNTEHSSSSLPSNHSKLPPRSLAKSTVAGPFSATTARHQLCHSSIALKIPLDSQELKWTKKAMLKLPKDTFHKASHSLGWTCGRGFGQVDMIRNGGTHESNDRLALLRPSKCPTARTDQYPTRQ >OGLUM01G19470.2 pep chromosome:ALNU02000000:1:19024583:19026011:-1 gene:OGLUM01G19470 transcript:OGLUM01G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTIGPDLELLFRPVWVSSLKTNNSIEFATLENIALKIPLDSQELKWTKKAMLKLPKDTFHKASHSLGWTCGRGFGQVDMIRNGGTHESNDRLALLRPSKCPTARTDQYPTRQ >OGLUM01G19480.1 pep chromosome:ALNU02000000:1:19051048:19051928:-1 gene:OGLUM01G19480 transcript:OGLUM01G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQRKSALPSLPLGPERLFPSPTMRLRGDGAPGAPVFTVCFGASHTSPATTSWANCTDCRSPKTTNGFSSRCAPVPRAIWTPSLTECWDVC >OGLUM01G19490.1 pep chromosome:ALNU02000000:1:19066851:19069249:1 gene:OGLUM01G19490 transcript:OGLUM01G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGCCAQWVCISFCSPSKLQSISLPNSIHLLWRLRRRTARVCSPCSKLGVQSSAEELKSTNEDLKQLHKVHQAGPECHFGLGGL >OGLUM01G19500.1 pep chromosome:ALNU02000000:1:19097207:19125023:1 gene:OGLUM01G19500 transcript:OGLUM01G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20020) TAIR;Acc:AT2G20020] MATSHLTSRSLLVQAQYPISRLPSNLRLSLSHHKQPAAVAKRRRAPAPSHPAFSSVIRGRPKKVPIPENGEPAAGVRVTERGLAYHLDGAPFEFQYSYTETPRARPVALREAPFLPFGPEVTPRPWTGRKPLPKSRKELPEFDSFMLPPPGKKGVKPVQSPGPFLAGTEPRYQAASREEVLGEPLTKEEVDELVKATLKTKRQLNIGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHHQGGVIFLFRGRNYNYRTRPIYPLMLWKPAAPVYPRLVKKIPDGLTPDEAEDMRKRGRQLPPICKLGKNGVYLNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCTLLSFEFEHILMWRGNDWKSSLPPLEENDFKVASDQILNSKEAGSGSALTPIELVNNATSLKKCNLIEGAEKLEDSMKSSFENGMILGSACANPGVCNSEGIDRTESSADAPIEFSPSNSARDLDPSQTSTLYCQSFLLDKSENGELIEMYPDRCGNSEQSPDVPEALTCLMGSSDEIHELETMRNCKHLNGSDGVNSDSIVPSYMEGILLLFKQAIDSGMALVLNENEFADANYVYQKSVAFTKTAPRYLVLRHTPRKSLGTQKTEPAKNVRINKHLEEHKVSDHVKKKEIVMGGSRMQRNDHAREFLSDVVPQGTLRVDELAKLLA >OGLUM01G19510.1 pep chromosome:ALNU02000000:1:19165399:19165854:1 gene:OGLUM01G19510 transcript:OGLUM01G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGVAASPASCGWWIQRSEATDKGRESEGSFPAPTLVDDADCSVSRPLGVSAVAVLHLPLLHVCRAASRTAVPHLFQLKLSPAAPPHARLAVELRRLTPPMAYRVASLIAHRLPEQQCCLRRLLLPNRGVGFKGIRDFWSGVERFFCIL >OGLUM01G19520.1 pep chromosome:ALNU02000000:1:19167575:19170958:-1 gene:OGLUM01G19520 transcript:OGLUM01G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDTGLSARAAGASSSSSPYAGASSATSVSDDDDESKIRKANPAFVAAAYARLHSSHRAAASFLLLLAVAATAFLIGGARPRADCPPPRLDARFLALPDAAAASDFGALGVPWCRSKTGKIVEWTSKDLLRGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFVARWLKPDLMIESGVFKGHSTWVLRQAMPNTKIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFIDFGSVDWGKLLRNHGISDLSRVLVFFDDHQSELKRLKQASIVGFRHIIFEDNYDTGTGDHYSLRQICDQEHIRGGGHSCFWDSDEARLRSRRKSFWEKAVETDELCGKDDAWWGVRGYMRDNFNHSNKAISYKEHFQNSRLVESVLDLYWELPPVAGPSLTHQTRYDPARASDPIIEDGRFGMFQRIGLARLDASVFNGYTQMSYVQISGSMLSREDA >OGLUM01G19530.1 pep chromosome:ALNU02000000:1:19191936:19196660:-1 gene:OGLUM01G19530 transcript:OGLUM01G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 8 [Source:Projected from Arabidopsis thaliana (AT5G12380) TAIR;Acc:AT5G12380] MATIVVPPVTPSPAEDADALLKAFQGWGTDEQAVIGVLAHRDATQRKQIRLTYEENYNENLIQRLQSELSGDLERAMYHWVLDPVERQAVMVNAATKCIHEDYAVIVEIACTNSSSELLALLLALVSTYRYDGDEVNDALAKSEAKILHETVTNGDIDHGELIRIVGTRSRAQLNATFSWFRDERGTSITKALQHGADPTGYSHALRTAVRCISDANKYFVKVLRNAMHKSGTDEDSLTRVIVLHAEKDLKGIKDAFQKRASVALEKAIGNDTSGDYKSFLMALLGSGI >OGLUM01G19540.1 pep chromosome:ALNU02000000:1:19275245:19281734:1 gene:OGLUM01G19540 transcript:OGLUM01G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDIEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHVPLNKQLSSDHGSSLLINETSGGTTEQESKGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNIQAESHQTKPSTTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >OGLUM01G19540.2 pep chromosome:ALNU02000000:1:19275245:19281734:1 gene:OGLUM01G19540 transcript:OGLUM01G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLHTWPSLTSTPTARPIILHHASAPPWTPLGGWEGRGERERGEREAQTTTTQVASGGGGEASKRSAILRRVTELEDFLPFPFAVAGDATVGTRGAARIGLSFGCGASGGRHLRRMFEWNDDQQQGILVLEEAADDCERASEDDRIISKEAHLAERVLWAFRCYRVRLGCARSHKVGDAIWAEFNENEDHIVPYPKDIEDSALVSVGDQKKNDEETDNIPGLTERSSSGQTEFPVLEKQPASQASEHYSATQLDVESWPDLPSLNATLDRNYSDDNIASTYLDFSSAPSLEKVTGNTTVQLDGETEVFGNDHEEKSNSFLDCDWGNIGDFDDFDRLFSNGDSIFGNEMVADGSNFLSASSDLVDTTVQSIPFPHVPLNKQLSSDHGSSLLINETSGGTTEQESKGDVQKKSVRSRRRTEERGKSKMSNSTSGFSQNQGQHRPASSHSLAKAPAQPLQTPQYLLLHDNKNMGQLQQANQFIFPGYGYPSYQFPGIPLMSNIQAESHQTKPSTTNYRTSIDSPKQSSSAEKSQDIPSRPLMMTPQEKIEKLRRRQQMQALIAIQQQQQQFGQDGSGSDTMVPQAYSPKSKNPDSLGSSVVIDDNANKVFSLELIPTGHEEIQKSSGIPDDPFIEEKIYYQLQDALAKLDTRTRRCIRDSLLRLAHSVSERQITSDRSSANKSNKDDDEVSEDTSKRRSPASEAETNTNPIDRIVAHLLFHKPCSKVSTPAKEEIKSSTPLPTEPDSKIPTDAPGGPSENHQNGQEMTLQPSL >OGLUM01G19550.1 pep chromosome:ALNU02000000:1:19299154:19301297:-1 gene:OGLUM01G19550 transcript:OGLUM01G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRQLILARTLSHAAHKKTIGKHAQTFPSRGMLPTNGSSKLQPPTQRPNPRDATHAGRQAGGIDLGDMVQHHRATLLLHQQQRKGDATAEEGQQLVAEEGAGKMKELRRRLVDYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPFSAAVDDLLQMGRTRLDDETPPPPPCAAVSNGTICCDRTAMRTDVCVMRGDVRTEAASNSLFLLVPPPDNSTAAAGRDERIRPYTRKWESSIMSTIDELRLRAVPVGGAAPASCDVRHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITARQYNKKVVFVMLEYHDWWMTKYGHIVEQLSDYAPIDFTNDRRTHCFPEAVVGLRIHDELAIDAARMPGNRTIQDFRRMLDDAYRGRIQMIIEEEEKAAAVALGTPTQGRIRKKSALKDDKPRLVIVSRNGSRAIENEAELVRAAAGAGFRVAVLQPRQDTELAKMYRALNASDVMVGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKIKPAESSLYRQYAKDDAVLTDPDTVNAKGWQVTKKVYLDGQNVRLDMVRFRRRLRDAYDHWAELRRRHNADSTETEQRKPW >OGLUM01G19560.1 pep chromosome:ALNU02000000:1:19339685:19339891:1 gene:OGLUM01G19560 transcript:OGLUM01G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPPLQIYRLEACGHRIRAVQSLEKGGVEFLVKKVVEATTRRGGRQAPGKFLVEKMAMQRRRWRRAE >OGLUM01G19570.1 pep chromosome:ALNU02000000:1:19340094:19341141:1 gene:OGLUM01G19570 transcript:OGLUM01G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRATRQPPLAPTHASHPPCMQTDSSSSPLPLPKPAATELVSSSADADERADSAKRRATSTGAPRRRP >OGLUM01G19580.1 pep chromosome:ALNU02000000:1:19394056:19402286:-1 gene:OGLUM01G19580 transcript:OGLUM01G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSKISILVRTSIPDLQLRHNITYNSNVAGRVGTAAPRSLSLLVAPGASLLLFAVLIEDRRLLLFLPRASLPLHTLSLGLSPPRRVVLPTPHLPAWLPFSLRSLRGLAPSPLCGFPSQPPLCRSGPAPSTRSSPLCLYWIGLDIAVKAGRILGTTKMSMPSSRQAMGDINIEAMARPVSVDHRISIAYYFRIADNLLRQANIYREEKNLVDLYIILLRYSSLLCETIPKHRDYHAFKLREKEFLKKGPHNSDKLLNVVNELETLKPVVLRQIADLNRGVTQEPNSQSGTSAAISQMDHLTQSSCFTQPLVGSPTGLLKMPFSGGKHQVEPTQSGRPDSQLVKSYGNLPYPKEETLSRHSVLGPNGLHGKWTAPVTGIRIQYPSNAELTKSDVSSLVPSILNQDLLYGPSRSQDFPTNKNEDMQSVLSLDDGRLSLPVEEATSVSPGFEEEFSQLNIRQPSPPPVMAQVHPQHRAISTSTVADLGPGIASSSTGRYQNLHVPVTLMECFLRVAEANTANNLETCGILAGTLCQATNEEEIFEVQDKGSLFTLGWIHTHPTQTCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQESGFHPHEEPLDGTSIYEHCSHVYMNPNVKFDMVDLREL >OGLUM01G19590.1 pep chromosome:ALNU02000000:1:19408167:19428452:-1 gene:OGLUM01G19590 transcript:OGLUM01G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVVMAKPSTTRCSGIGPSSRCSFSVRLSVTLTCRGHLPRHPPVPDRLHHQIVPLGTGTARNQVAASGGFRHALKQAAAAASNSSFPAAAALKQAAAVANSSFPVAAASMSCFPAAAASMSSFPAAVAAATLKQATAAANSSFPAAAAASMSSFPATAAATAEFTSKSRRRRSRRRRWCRSIENPSASRVRAARPSLPIRRYAIDSEHPGVAWGSRSVTICAASAYGAGNSVAYHRGACFANDIVIVMSRRWFQEYSDGGPLGSSIQDHVQQPPTLMDAAPTHPPGLPASFFRLIHLLCPLVGLGQALLALMFH >OGLUM01G19590.2 pep chromosome:ALNU02000000:1:19408167:19428452:-1 gene:OGLUM01G19590 transcript:OGLUM01G19590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVVMAKPSTTRCSGIGPSSRCSFSVRLSVTLTCRGHLPRHPPVPDRLHHQIVPLGTGTARNQVAASGGFRHALKQAAAAASNSSFPAAAALKQAAAVANSSFPVAAASMSCFPAAAASMSSFPAAVAAATLKQATAAANSSFPAAVAASMSSFPEAAASMSSFPATAAATAEFTSKSRRRRSRRRRWCRSIENPSASRVRAARPSLPIRRYAIDSEHPGVAWGSRSVTICAASAYGAGNSVAYHRGACFANDIVIVMSRRWFQEYSDGGPLGSSIQDHVQQPPTLMDAAPTHPPGLPASFFRLIHLLCPLVGLGQALLALMFH >OGLUM01G19590.3 pep chromosome:ALNU02000000:1:19408892:19428452:-1 gene:OGLUM01G19590 transcript:OGLUM01G19590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDANVVMAKPSTTRCSGIGPSSRCSFSVRLSVTLTCRGHLPRHPPVPDRLHHASAYGAGNSVAYHRGACFANDIVIVMSRRWFQEYSDGGPLGSSIQVMKTCIAHPDLFIVL >OGLUM01G19590.4 pep chromosome:ALNU02000000:1:19410134:19428452:-1 gene:OGLUM01G19590 transcript:OGLUM01G19590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPAAAASMSSFPAAVAAATLKQATAAANSSFPAAVAASMSSFPEAAASMSSFPATAAATAEFTSKSRRRRSRRRRWCRSIENPSASRASAYGAGNSVAYHRGACFANDIVIVMSRRWFQEYSDGGPLGSSIQGLYLLSHICFLH >OGLUM01G19600.1 pep chromosome:ALNU02000000:1:19410890:19426461:1 gene:OGLUM01G19600 transcript:OGLUM01G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQNCRKDPLTYMTMTMSLAKHAPRCTTRRTEVEGQKRNFTCNNRIGRDGRAARTLEALGFSIDRHHRRLLDRRRRLLEVNSAVAAAVAGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVAAATAAGKDDIDAAAAGKHDIDAAATGKDEFATAAACFNAAAAGKDEFDAAAAACFNACRNPPLAAT >OGLUM01G19610.1 pep chromosome:ALNU02000000:1:19435287:19437297:1 gene:OGLUM01G19610 transcript:OGLUM01G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAEMRWHPGLPVVRRRRQPIPGGGELDDEQIGRLVHHLEWPSMGASPPPWIWWKPSPFTSPLRRLLIPSTRFSGGDGVLIRWLDLLHAAEAPPHPSHRVHRGLGIPLPSANILGPRDESERGSATMRLLRVRLLNPQWTKPMRRTPTRMQLAWGFGVGRDSLAPGLVVVFGCSHRL >OGLUM01G19610.2 pep chromosome:ALNU02000000:1:19435287:19436155:1 gene:OGLUM01G19610 transcript:OGLUM01G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQAEVSAVRLLHADLVPIGTSSTPPPHPSIQRRSHRLPDSRRCPPRPIPRPSFIPPTAVKSAAQRRWQMRWHPGLPVVRRRRQPIPGGGELDDEQIGRLVHHLEWPSMGASPPPWIWWKPSPFTSPLRRLLIPSTRFSGGDGVLIRWLDLLHAAEAPPHPSHRVHRGLGIPLPSANILGPRDESERGSATMRLLRVP >OGLUM01G19620.1 pep chromosome:ALNU02000000:1:19435736:19436056:-1 gene:OGLUM01G19620 transcript:OGLUM01G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRRMRRGLRCMKQIQPSNQHAVSAAEPGGGDQQPAQRRRERTWLPPNPWGRGGTHGGPLEVVDKPPDLLVVELAAAGNRLSPSSNHREPWMPPHLPSPTCISR >OGLUM01G19630.1 pep chromosome:ALNU02000000:1:19442661:19450859:-1 gene:OGLUM01G19630 transcript:OGLUM01G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKIDQEEAVCRCRDRRKLMADAVQARNAFAAAHSAYTVLLKSTGGALSDFAQGETPDLVASHAHLAAPTQAAASVSAPPPPSTAAVLIAPSPPPPPFLDFSHATLQRSSSTPNIPMPDPKAATKTRLAAGASIREEDEVEDDADGHIRTESEDDDDDDEDDDSDDDHHEHDDVLVEEMVHGQPQKRVMMGSVGSSPVTPPPPPRPNPSPPATRTTPPPPMPEPQMATTWDYFFGPTTTPPPTLEQPPEESWMDKREKESVPEMKAPIMKPAVDGSAAPMHVAEEQPPQTALEKEKAIEQLAANLPPSKPLIRKLPKAAPGPPAEVRYQHASSMGAVETRKGKTMSGHIDHSTKIMHVITWNRSFKNLPNQDDFGGNFEIDERFETHATVLDRMLAWEKKLYDEVKAGELMKIDYQKKVALLHKQKKRGVKLETLEKTKAAVSHLHTRMANMWSSMHHHHKWQLVIISGIRNFEAPPVPRETTDQHYKQTCDLRDIVREWHMQFEKLMDHQKGYIRALNAWLKLNLIPIESNLKEKVSSPPRLVEPPIKDLLYAWHDQLERLPIELAKTAIKSFAEVISNIVLLQEEEVNLRRRCEETRRDLNRKKAQFEEWHQRYMERKASQGEDANNSEVAEAVNSDPVADRKTAIEEVEIRLREEMGHHNRIARQVREKSLANLRMHLPELFRNMTDFAGFCYEMYSNLRKSAVLPKDEVQG >OGLUM01G19640.1 pep chromosome:ALNU02000000:1:19507446:19508939:1 gene:OGLUM01G19640 transcript:OGLUM01G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-tRNA synthetase, class Ib, bacterial/mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G02660) TAIR;Acc:AT3G02660] MASIAMAASLRTFLRPHRCRLLLRQSRSLSASAAPAGAAATSTVIRRSVVEVLRERGLVEATTSESLGSASASPRELKAYCGFDPTAESLHLGNLLGLVVLSWFRRCGHNAVALVGGATGRVGDPSGKSAERPELDLAAVETNSNAIKSLIGQILDRAPEPSQHSQSGKNLNLEQNEQALVNSGEKMGSFQILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLMSEDGMSYTEFTYQLLQGYDFLYMFRNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSPKMLSPYKFYQYFFSVPDIDVIRFMKILTFMSLDEIQELEESMKKPGYVPNTIQRRLAEEVTRFVHGEEGLEEALKATEALRPGAQTQLDSQTIEGIADDVPSCFLPYGQVLKSPLVDLAVSTGLLASKSAVRRLIKQGGLYLNNIRIDSEDKLVEEGDVVDGKVLLLSAGKKNKMVVRIS >OGLUM01G19650.1 pep chromosome:ALNU02000000:1:19509300:19513230:1 gene:OGLUM01G19650 transcript:OGLUM01G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYVSQRIRASHVPASVDRAQMTTLVGPEISARFLHPHMRRRRRRAAEDHGQSGAISILLARKSAAMKGASPGRRKLWRW >OGLUM01G19660.1 pep chromosome:ALNU02000000:1:19525078:19529024:-1 gene:OGLUM01G19660 transcript:OGLUM01G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHHVQQQQYVDPYRTMVLSPQPDHLNALQYNHQQQPQPPPQATPPPPQHHHASLASHFHLLHLTTRLADAIGKGTRDQNSDALVEDLTSQFARCQQLLNSISGTLSSKSILGCNSNTW >OGLUM01G19670.1 pep chromosome:ALNU02000000:1:19534720:19535344:-1 gene:OGLUM01G19670 transcript:OGLUM01G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSTESSAASTPLTWEGAEIPRRQCALLSTQYVSPLQNAWFRGGGGKKEKYAMITGFDDAADKADCTTMYEAGLVLLQKMHKIVNRSTAKSEALRSVFDNITEIEQI >OGLUM01G19680.1 pep chromosome:ALNU02000000:1:19535369:19536136:-1 gene:OGLUM01G19680 transcript:OGLUM01G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATAKDATDQGTDHHSPLRASPP >OGLUM01G19690.1 pep chromosome:ALNU02000000:1:19537268:19544572:1 gene:OGLUM01G19690 transcript:OGLUM01G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGIFGGPAQERVPTPIGNRVDYARSRYPFICVTTRGHDEITTAGRIAGWRRLLEKGSRMVTPGGCLKFY >OGLUM01G19700.1 pep chromosome:ALNU02000000:1:19540167:19545021:-1 gene:OGLUM01G19700 transcript:OGLUM01G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase [Source:Projected from Arabidopsis thaliana (AT2G01110) TAIR;Acc:AT2G01110] MGSAGALLSHSPPGLGGFPPRHHHHHRLSVLRCVPLLPSPAPEPLSCRHGRHLRCAAVDGGAGRETERPSPPAPQREESPSGSLGAALEDPSPQPVQNGSFGGITEDEEQSSLYNFLYPSKELLPDDKEMSIFDHLEELRDRIFVSVLAVGAAILGCFAYSKDLIRILEAPVSVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPVILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLAPAALNFFVNYADGAVESLWSIDQYFEFVLVLLFSTGLSFQVPVIQLLLGQVGLVSSDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLTGR >OGLUM01G19710.1 pep chromosome:ALNU02000000:1:19548113:19550396:1 gene:OGLUM01G19710 transcript:OGLUM01G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPAKLGGRASSATLPSRPSSHVARAFGVDTGAAGRITCSLQSDIREVANKCADAAKLAGFALATSALLVSGASAEGVPRRLTFDEIQSKTYMEVKGTGTANQCPTVEGGVDSFAFKAGKYNMKKFCLEPTSFTVKAEGVAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVSSDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKNLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENVKNASSSTGNITLSVTKSKPETGEVIGVFESVQPSDTDLGAKVPKDVKIQGVWYAQLE >OGLUM01G19720.1 pep chromosome:ALNU02000000:1:19566146:19571501:1 gene:OGLUM01G19720 transcript:OGLUM01G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y972] MANTTLLYYSKLLVRCSAYEKDGSGGGRVRVNGAAHRVPLQVGAALETKINRSLAGLMRPPVLSQPPTEEQAEGRRSQRQNIPSEKQTVDPFRQAVIVEGGVRYRQTVVVRSYEVGPDRTATLETVLNLLQETALNHVWMSGLLGDGFGATHAMITNNLIWVVSRMHVQVDHYPIWGEVLEIDTWVGSSGKNGMRRDWLVRGRSSGAIFVRATSTWVMMNKVTRRLSKMPKEVRDEISPWFIDRHAIDEGATDKIIKLDTNATYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDQFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDTIMPGENVSIVMGPSLSQEIINGHHSLAGALQQWPTKYTHLLQLKANDKYEEIVRGRTTWKKKSYSISNVLKF >OGLUM01G19730.1 pep chromosome:ALNU02000000:1:19577721:19589721:1 gene:OGLUM01G19730 transcript:OGLUM01G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGPAERRDEAQAAMGNGNGVAPPPLRPAGRPSGAPACADRRLRLNPNMEHKPQDYSDVRGEYAPAVYSALERHLPPSVLDANREIKLQLMREVLGHYWPHGERNKVQRHREYRQRILNHYKSAAGSWYKRAQLVGQEPLHKELYKMRPSSFFLPTFLEAIRTNTEESFRSIMTEPVPGVYSFAMLQPNFCEMLLQEVENFEKWVHTMKFKIMRPNTMNKYGAVLDDFGLEVMLNQFMEQFIAPMSTVLYPEVGGGTLDTHHAFVVEYGKDRDVELGFHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMFDYSHVPGRAVLHRGRHRHGARPTSSGLRINLLLWCRREMKKYQKDFSGWCGECKREKKERQIHAVKATKLAFLRGAGGATI >OGLUM01G19740.1 pep chromosome:ALNU02000000:1:19597448:19597784:1 gene:OGLUM01G19740 transcript:OGLUM01G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRGAVAAVAGDGSGRGSNSIRRLGQWRRWLGNSGGKGVAAASGCRGGGGKGVAAADGGQQQQQHAPGAARKQQEHHGTESMVRLLDNYG >OGLUM01G19750.1 pep chromosome:ALNU02000000:1:19604419:19605668:1 gene:OGLUM01G19750 transcript:OGLUM01G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y975] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAILEYLTAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >OGLUM01G19760.1 pep chromosome:ALNU02000000:1:19605797:19609288:-1 gene:OGLUM01G19760 transcript:OGLUM01G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLAGLASRMVGAKPFSTEIFVSRLSFYTTEEELKNVFSPFGAVEEDAEKAVKAMDGRVVT >OGLUM01G19770.1 pep chromosome:ALNU02000000:1:19609637:19609996:-1 gene:OGLUM01G19770 transcript:OGLUM01G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAEKKPAAGEEKSAEKAPAGKKPKAEKRLSESKVSSKEGGAGDKKGRKKAKKSVEIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTATSREI >OGLUM01G19780.1 pep chromosome:ALNU02000000:1:19618938:19619374:-1 gene:OGLUM01G19780 transcript:OGLUM01G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGGKDAEFTRAIEGRESSWRRWRGVTNARVGSEYWQWWFDGGRKWIKISHQEVTGGLGKYITDNHVFVGFDTTPMVAPAMSPFIHILTSLSFIPYSHRCRNINLQNTCSSSIIPYTNRMSIDIPKRVNS >OGLUM01G19790.1 pep chromosome:ALNU02000000:1:19621404:19621940:1 gene:OGLUM01G19790 transcript:OGLUM01G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHCHCRCTTATEGLENMEDVDLEEQLAPPPPSPLPSSAEPLHGLCRKPAAGSHRALVATPVRALWMVVLMVGLLFTVHLLVHDVRTFVLLVAESLCIFFVMSGVAACERRQHDASGGACRGFSTVAEVALWSFAMALTVTMTFWVAAGMSLPAVAALYLLSLLVVSACFAVLLGA >OGLUM01G19800.1 pep chromosome:ALNU02000000:1:19628778:19629193:1 gene:OGLUM01G19800 transcript:OGLUM01G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHDGFDVAGYGGRLQGKVPSTAMVTSDVALSGWDNFGCVAMLIRILLRGNVDGIGVPSDVSTQLAIIVAAMLLYSLGENLVLDVQNSDGFIMSQLLEDIVLEVL >OGLUM01G19810.1 pep chromosome:ALNU02000000:1:19629155:19640330:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGTCTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLDLTSTFAIEPVRWGQRHWNIPPSENLQYDVFKKL >OGLUM01G19810.2 pep chromosome:ALNU02000000:1:19629683:19640330:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGTCTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >OGLUM01G19810.3 pep chromosome:ALNU02000000:1:19629155:19640330:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGTCTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLDLTSTFAIEPVRWGQRHWNIPPSENLQYDVFKKL >OGLUM01G19810.4 pep chromosome:ALNU02000000:1:19629683:19640330:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGTCTFLILGLQGYGARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >OGLUM01G19810.5 pep chromosome:ALNU02000000:1:19629683:19635036:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNAIARKMEFTISVLMLVMATCFFMELGKVNPPAGGVIEGLFIPRPKGDYSTSDAVAMFGSLVVPHNLFLHSSLVLTRKMPYTSKGRKDASTFFLLENALALFIALLVNVAIVSISGTICANNLSFADTSTCSSLTLNSTYVLLKNILGKSSSTVYGVALLVSGQSCMVATSYAGQYIMQGFSGMRKCIIYLVAPCFTLLPSLIICSIGGTLRVHRIINIAAIVLSFVLPFALIPLIKFSSSCTNIGPYKNATSIIRIAWILSLVIIGINIYFFCTSFVAWLVHSDLPRVVNAIISSLVFPFMAAYIAALIYLAFRKVNLSDPFPTNSVSGEIEVQHIQIQEKQEDLGVE >OGLUM01G19810.6 pep chromosome:ALNU02000000:1:19636183:19640330:-1 gene:OGLUM01G19810 transcript:OGLUM01G19810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAKIGREHEQQQQQHGRVNGSGRVAAVGGGSGGGGDEIEIEVAAAAGASPSRQHGGLHGDVQAPTWKRFLAHVGPGFVISIAYLDPSNLQTDLVAGSSHRYSLLWVLLFGFIFVLTVQSLAANLGIITGRHLAELCMGEYPKYVKYCLWLLAELGVIAATIPGVLGTALAYNMLLHIPFWAGVLACGTCTFLILGYWQGLSYLTFPSLSPATEAATGGVADEKGAARGSRPAGGEERRVCAGAGRREGRSGVRTRAAAGEDRSSAGMRGEVSAMERASSVAEMKPLPPPAYPPPSQPRRPAAPLRPARRPLRSLAARPTRCCG >OGLUM01G19820.1 pep chromosome:ALNU02000000:1:19640352:19656910:-1 gene:OGLUM01G19820 transcript:OGLUM01G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHPDMWRPRGSHADSTATSDKTGFKTTEGPIAAAAFLAATGAGAGLARFRLMVNHHHH >OGLUM01G19830.1 pep chromosome:ALNU02000000:1:19640677:19656363:1 gene:OGLUM01G19830 transcript:OGLUM01G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNCGLLVQSTVRIEVVRQLDIWIAEEADSDRIRGVHWGRRWVRGVRLSQLDKAATAGRATLYGKIPGQKGSMRLAGAVAGELARGGGGCVAEGWQRPGGRRAAGEGQQRACGGGSAAAGVQMRVGGSQADGRGAAADNRTRARMGGEEADGGRRSSRALLRVFDGGRRTWARVADDGSSCYRETSRSGFSNGGDDPGGGKMGQA >OGLUM01G19840.1 pep chromosome:ALNU02000000:1:19657261:19661071:1 gene:OGLUM01G19840 transcript:OGLUM01G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQWDKLESIAYPLLLTHRTRTLERSRMSVNVARGMDMGRPPPRRPVGALRCPVLLRGGGGGGGGPAHCFVGARRLVDLDLPAASTGDCYYCRLWRLHLTGVSLGGRFVEQVWLRLPASEPPCFPTAAAARHRPPPSRLRIPPLPRQRRLRERKGVKRGKREMMWHPDMWDPRGSHADSAATSDKTRVKTVEGPIDRKTGKACEPVVRSNPVLGPTSFCCASSPDLVSPVVDARNIDLIKRGTFLALDIHDLWIAIVPVYPNPIKVGYALGYFVGSNAIPDG >OGLUM01G19850.1 pep chromosome:ALNU02000000:1:19662969:19663519:1 gene:OGLUM01G19850 transcript:OGLUM01G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDSVTTLPKRRAPTYCPERSSISITDLPVACHLNLKQKVITIAALAPKGPPSLRKPVVIVPIFDNRTIRWKLTSSWDLWNEKALLPPRSLQPHSLL >OGLUM01G19860.1 pep chromosome:ALNU02000000:1:19665604:19666743:-1 gene:OGLUM01G19860 transcript:OGLUM01G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAKLHSPTTPLLAHAHHDDRLLLCSPPAAVEFPVLKSRAARGVLLLAANYAALFVGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPALLLPLMCARRPASRPFAGFTPRLVMYCVLLGLVMGLNNLLYSCGTSYLPVSTTALLLSMQLAFTLALAAALVRVPLSFANFNAVVLLTLSSLLLALRHGAGGGGGGADATSPDYLVGVAATLGAALLFALYLPAAELVYRHGGVTGFRMVVEAQVIMEAVATAVGAAGMVSSAGGKWPWDGVEATWDLSPAAYYAVVGAAVLSWQLCFLGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDEFGPEKAVAMLLCLWAFSSYVYGEYKKGDKAMANEEDQGILDRV >OGLUM01G19870.1 pep chromosome:ALNU02000000:1:19668781:19669113:-1 gene:OGLUM01G19870 transcript:OGLUM01G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVVLFSIRRSGGAEECWEELGRHAGMDAEHQSHTVHGPELAAADAADAPMARGGVSTVQQEALPVDLPPSPPLGRHLDAGSSVASMLGREEEEKKMERNEGQFGHSV >OGLUM01G19880.1 pep chromosome:ALNU02000000:1:19669119:19669626:-1 gene:OGLUM01G19880 transcript:OGLUM01G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRRALAPWSALLPRLLHIGGAKFSTLWGSADPTVLTWLHHYSSTSAVSSLYPLSGEPAFEVDPRWPGGGKGGIDRRGEAARTSWGGENRHAEQEADGVDDGVHEEAGNESANGTVAGEDAGQNRNQN >OGLUM01G19890.1 pep chromosome:ALNU02000000:1:19670210:19671917:-1 gene:OGLUM01G19890 transcript:OGLUM01G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPETEVQLVSYVQHDPEDTTGMEWEEIELDVYEDQSPTKEDIDAPKDDDNSNNKSKVPSVLRSHNSQCVQQLQSKRRGRTGKEGECHKEPKGCVLCGYYTCVFLRVNRDLYHFIYHECYHKDGLFFNLEGSLAISEESKFLGEWSHVLV >OGLUM01G19900.1 pep chromosome:ALNU02000000:1:19676215:19677345:1 gene:OGLUM01G19900 transcript:OGLUM01G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLLAREELYKWGLARAKGILALVPNSEYSPVCSRKTIEFDINIQISNNTTFSIAHRNEYLHDLVDCSPSKSLTFSEVGVLDAEAQRS >OGLUM01G19910.1 pep chromosome:ALNU02000000:1:19679797:19687332:-1 gene:OGLUM01G19910 transcript:OGLUM01G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y996] MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICIIKKLSSPEFNLASHVNSLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTQSV >OGLUM01G19910.2 pep chromosome:ALNU02000000:1:19679795:19687332:-1 gene:OGLUM01G19910 transcript:OGLUM01G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y996] MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTQSV >OGLUM01G19910.3 pep chromosome:ALNU02000000:1:19679795:19687332:-1 gene:OGLUM01G19910 transcript:OGLUM01G19910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y996] MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTQSV >OGLUM01G19910.4 pep chromosome:ALNU02000000:1:19679797:19687332:-1 gene:OGLUM01G19910 transcript:OGLUM01G19910.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y996] MGSSDSQAPLLLPRGSHRKEEEEEEYAAAGKVRGCCGGDGEGGWWREATAEAGRLASLAAPMIAVALLQLMMQLISTVMVGHLGEVALAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALYMYRSIIVLLVVSVPIAIIWVFIPEVLPLIGQQPEIASEAGKYALWLIPGLFAFTVAQCLSKFLQTQSLIFPMVLSSSITLALFIPLCWFMVYKVGMGNAGAALSVSICDWVEVTVLGLYIVLSPSCEKTRAPLTWEAFSGIGSFLRLAVPSALMICISTVVLVYNLPHGIGTAASVRVSNELGAGNPEGARLVVGVALSVILCSAVLVSVTLLALRHFIGIAFSNEEEVINYVTRMVPVLSISVITDSLQGVLSGVSRGCGWQHLGAYVNLGAFYLVGVPVALFFGFAMHLGGMGFWMGMVAGGATQVTLLSIITAMTNWGKMAEKARDRVFEERIPTQSV >OGLUM01G19920.1 pep chromosome:ALNU02000000:1:19687569:19703798:-1 gene:OGLUM01G19920 transcript:OGLUM01G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPLCRRPTGVVRQGRPRAASQRGGPGRRRGEEARGNSATGRVDVVHSGRRLQHGGCRRRRVESHRRRRGGQQKYSNRDSVPHGSIAHRSASTPDSDCVTIAIRSFVSEVPVKCRLD >OGLUM01G19930.1 pep chromosome:ALNU02000000:1:19704275:19748242:1 gene:OGLUM01G19930 transcript:OGLUM01G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLSAAGTYNELLPPRRPSPVKGRHCTAEFNYPWSLKEMILTKESSPPAPPPLPPTLAVDVSVVLFLFLIYAKHCKHRGLGVVRGVAMLGLGFQPSSSSCKRCRSSLSSCAVGALRCSGSATWATLRGVARDQATECAMCHGAFDTAELLRVLSRCQHVFHPCCIDVWLMTHSACPVCRRSAADGALRVPGGGALRHARMEACIADTSGCASVDGGAAAWGWVDARCERGWMGSGLVR >OGLUM01G19940.1 pep chromosome:ALNU02000000:1:19760235:19764600:1 gene:OGLUM01G19940 transcript:OGLUM01G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine pyrophosphate dependent pyruvate decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT5G17380) TAIR;Acc:AT5G17380] MATDTAAPAAMKVDGSALAGRALAAAGARHMFGVVGIPVTSLASRAAAAGVRFLAFRNEQSAGYAAAAYGFLTGSPGLLLTVSGPGCVHGLAGLSHATANAWPLLMVSGSCSQPDAGMGDFQELDQIAATKPFVKIAVKATTIADIPRLVFQALAATVSGRPGGCYLDIPSDVLHQTLTESEAAALIDAAAADSAKSDSSPPKHKSLDEGIEKAAELLRRAERPLVVFGKGAAYSRAEDAIRKLVDTTGIPFLPTPMGKGVVPDTHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDQPFCLAPSHPWVEAITKKARDNVLKMKAQLAKDVVPFNFLTPLRIIRDAILAEGNPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGFCVAAAVAEPDRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRSPDEITGPYKDDPAPTSFVPAAGYHKMMEAFGGKGYLVETPDELKSALSESFRARKPAVINVIIDPYAGAESGRMQHKN >OGLUM01G19950.1 pep chromosome:ALNU02000000:1:19765503:19767125:-1 gene:OGLUM01G19950 transcript:OGLUM01G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71060) TAIR;Acc:AT1G71060] MRCLRRPATHLLCSARSAHAGNAPRVLDEMPLPPLAPPRRTTTLVRAHHLLGAMRGPGFCTTVGSESDVEPRFKVVPGAAQEGLAPGVSEAAERVCRVVSAQPEHRIAPVLDALGVTVSPQLVAEVLKNLSNAGILALAFFRWAERQQGFRYSAEGFHNLIEALGKIKQFRLVWSLVEAMRCRSCLSKDTFKIIVRRYARARKVKEAVETFEKMSSFGLKTDLSDYNWLIDILSKSKQVKKAHAIFKEMKRKGRFIPDLKTYTVLMEGWGHEKDLLMLKAVYQEMLDAGIKPDVVAYGMLISAFCKSGKCDEAIKVFHEMEESGCMPSPHVYCMLINGLGSMERLDEALKYFQLSKESGFPMEVPTCNAVIGAYCRALEFHHAFKMVDEMRKSGIGPNTRTYDIILNHLIKSEKIEEAYNLFQRMERDGCEPELNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPCMHMFSALINGLCFENRLEEACVYFQEMLDKGIRPPGQLFSNLKEALVEGGRITLAQEVTQRLEMLRRTPMRG >OGLUM01G19960.1 pep chromosome:ALNU02000000:1:19770059:19770676:1 gene:OGLUM01G19960 transcript:OGLUM01G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPATTTPTPIPAGDHDAAATACKPAETTTALITCRSSSCSAQQQQEEPLGDDQLGELREIFRSFDRNGDGSLTQLELGSLLRSLGLKPSTDELDSLIQRADTNSNGLIEFSEFVALVAPELLYDRAPYSEDQIRRLFNIFDRDGNGFITAAELAHSMAKLGHALTVKELTGMIKEADTDGDGRISFQEFSRAITAAAFDNIFS >OGLUM01G19970.1 pep chromosome:ALNU02000000:1:19771993:19775104:1 gene:OGLUM01G19970 transcript:OGLUM01G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRRYFRQDHGDKSGSSSSSSSSSSGSDSDREPAEEAAPTEEVEEQQEEQEDEQHVGEEDSGEEQEEELEPVVEQESSGYQSEYSSGNDVDEPSADSDEHIILRHEEDPEINSSVKRASSGKADSTKDASDTDDALEVDFNNYILKCKSVYKCKLCPRIICLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEQETHAERHARTVALAQQVQKSKKDSGRQRQNRRRKKRSQNHVEKKQKPLTSDKKKRKIEK >OGLUM01G19980.1 pep chromosome:ALNU02000000:1:19778645:19787699:-1 gene:OGLUM01G19980 transcript:OGLUM01G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVRQVHRCGRPVAPPPPPCPPPWCPAPPSPLHPTPRRPPAWGRFAAGGDVASSTPFTTGLRPRLLRRRCRLVACRLLLSPGGLRLSREEKKRVRERKEGKREGDDVATLTCGTHVGPTLTQPPHRTKPGTLKVVNSYTPPEEKTHTGTT >OGLUM01G19990.1 pep chromosome:ALNU02000000:1:19787726:19788666:-1 gene:OGLUM01G19990 transcript:OGLUM01G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTILVPTPLYSSASSHSNPESIAEAEADANADYEEGGALVLPPEIPWKQPTQQQEVSQTGKTEEEVSRWLLMVASPSGHWTISLGAEEGVEDACHGLAGSDIGQPSARRVLESSLHSAGSSCYVRTGDCVVVIRGAERYLYIVVAAASHVVAVAAA >OGLUM01G20000.1 pep chromosome:ALNU02000000:1:19789962:19792143:-1 gene:OGLUM01G20000 transcript:OGLUM01G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPWIRPCHELSVSHGGKSTSKAIPAADGALELGVSVAKGVQSKRSLGAMADDAYLETQAIPNVPNSD >OGLUM01G20000.2 pep chromosome:ALNU02000000:1:19789962:19792143:-1 gene:OGLUM01G20000 transcript:OGLUM01G20000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTPWIRPCHELSVSHGGKSTSKIFIFATSVLAIGVQSKRSLGAMADDAYLETQAIPNVPNSD >OGLUM01G20010.1 pep chromosome:ALNU02000000:1:19793295:19796613:1 gene:OGLUM01G20010 transcript:OGLUM01G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11310) TAIR;Acc:AT5G11310] MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSALPALARWAGEATAVSLLASRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNLYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRAHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADSAHLQMSWIAMRPVYASCSDIALNQATQEKMMPHSKSNQ >OGLUM01G20010.2 pep chromosome:ALNU02000000:1:19793295:19796613:1 gene:OGLUM01G20010 transcript:OGLUM01G20010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11310) TAIR;Acc:AT5G11310] MLARPGRLAASTLPNDSAAAAASTVLSILRGEDPASLPAAGIDPCPAVFQQLRPSLPTVPDSALPALARWAGEATAVSLLASRGLFAAAWRLLLAPSPTPPPLAAFAPLVRRYARLGRAPAALRAFQFLRRHPDRYMAGGDIPAAASLLNMAVDALCKEGHPRAAVELFERWRREEPDSPPDERAYNILLHGWSRAGRLDKVGKLWAEMRLAGVRPTVVTYGTLIEGLCVKRRPDQAIALLDEMREEGIEANLLTCNPIVYALAQAGRFQDAHKVLEKFPLYGVAPNISTFNSLVMGYCKHGDLAGASSVLKVMTERGISPTTRTYNYFFMTFAKNSDVESGMNLYSKMIGNGYSPDQLTYVLLVKMLSRANRLELVVQMIQEMRAHGFEPDLATSTMLIHLLCRRHQFEEACAEFEDMFKRGIVPQYITYQKLMRELKRLGLVHLIQKLTNLMRSVPHSTKLPGSYRDKEGDDSMEKRKLILQKAQAVSDVLKECKDPKELGKLKEDEETDVEVADSAHLQMSWIAMRPVYASCSDIALNQATQEKMMPHSKSNQ >OGLUM01G20020.1 pep chromosome:ALNU02000000:1:19800892:19814730:-1 gene:OGLUM01G20020 transcript:OGLUM01G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLASAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHTKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSAMKGQLRSKRLAPSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPMYYLTCDLDQSYFILSVYGLRNEAIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >OGLUM01G20020.2 pep chromosome:ALNU02000000:1:19800892:19814730:-1 gene:OGLUM01G20020 transcript:OGLUM01G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAGGAAASSASAPPPPRRTPLERTADAAEELYRLRDTFFPRDPVEKAAALRALADDALAVLDSLPPEQRKTPQQRAVYEFLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLASAMNCFSLALSKGADKKILCQLSMLERSMAQGSEGQAQLVEESIKHAKEAVMLDIRDGNSWYNLGNAYLTSFFVSGSWDHTKLHHSVKAYQNAEKDETTKCNPDLYYNCATADKYLENYERALRGFEAAALKDPGLGADTEVQKIISLLDKLDSAMKGQLRSKRLAPSVSSLSEVNIKSSHKKATIGILSEGLNKTVAVLGKVILLIRHDNIAPIAEATAEADEVGGTEVVEGGARYHLRFLSPSSSSASVPSPTTKTARLPRLLVPPPDLLSEHGVDATGIKEGDRVALLDPYYRILDISWKEQRYQFKSIRVDFPEQILVNEKAPPPHHVVRASIHAHNKP >OGLUM01G20030.1 pep chromosome:ALNU02000000:1:19802720:19814160:1 gene:OGLUM01G20030 transcript:OGLUM01G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQAWHERVEAKGCEAWARHATRVHCHLSLTRSIAKTRGGKLGEEELQWSRCLRCGGREVGVRARAHREEAALGEAVFELPSADLMARGETSRRGTALRQARVQCKQTRSGVPVDIITSCRTHVQLSRDDRQTGSRVLAFGPALVADVQGELEASREIGNGTGGTASACARPEMGRRIEEEDGILINVYVMGSGLSRVPRERYSITMLFKIKEEKTQQSEVLASIKSNTDTVDIHSRAWY >OGLUM01G20040.1 pep chromosome:ALNU02000000:1:19814985:19815239:1 gene:OGLUM01G20040 transcript:OGLUM01G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRREQENAGATSASRMEIGAGGKRGDGSRGRRARRRDGLGTRRAGGRLWGGARGLCGALLPPACGSYAQAHETGNFSSRKKFT >OGLUM01G20050.1 pep chromosome:ALNU02000000:1:19826809:19848745:-1 gene:OGLUM01G20050 transcript:OGLUM01G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLGAGPCFKEEEKREKKREKRKGEGVGSNLHKGFSREASRRQPSPGGHKVFRGERRGGCGLGLNNRSGSARLGTGKHLGIAMGQVGGGLGWNVPAPDPRTLHPA >OGLUM01G20060.1 pep chromosome:ALNU02000000:1:19848202:19850395:1 gene:OGLUM01G20060 transcript:OGLUM01G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSSSINIVADDHNQLIPIAANSSVELALRLKLSFRKKIACLSQFVLSCTFYIRSSLCFDC >OGLUM01G20070.1 pep chromosome:ALNU02000000:1:19856582:19868146:1 gene:OGLUM01G20070 transcript:OGLUM01G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLACGYRYGALMLAFFFTSSKATKIGANRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRIF >OGLUM01G20070.2 pep chromosome:ALNU02000000:1:19856759:19868146:1 gene:OGLUM01G20070 transcript:OGLUM01G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLACGYRYGALMLAFFFTSSKATKIGANRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRIF >OGLUM01G20070.3 pep chromosome:ALNU02000000:1:19857640:19860701:1 gene:OGLUM01G20070 transcript:OGLUM01G20070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSSWPPSPASPPLPAPPPTMGTESPRPLDRETGAPLPKTENADVGPVDGASEISALGGDGLYDYIEPEDHEEEDAYGDPVDPLEWDDYARRNGTHVSYRGIVADFNRVPIDFNINAGYSPSLELMGLVADDYSLPDPGDEEDKDDDWNTDEKAPPLASHDKNAYWNDDDDGSSGYLPPRKKQK >OGLUM01G20070.4 pep chromosome:ALNU02000000:1:19856759:19868146:1 gene:OGLUM01G20070 transcript:OGLUM01G20070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLACGYRYGALMLAFFFTSSKATKIGANRKRRIEDDFKEGGQRNWIQVLANSLIATILVIILATMTGGQDQCLDSHESKVITGIIGGIIGHYCCCNGDTWSSELGVLSDEQPRLITTLKPVRKGTNGGVTLQGLLAATAGGLIIGLTFVFVGLLTVECSFDVALRQLLVIPISATAGLLGSLIDSVLGATLQFSGYCSVRKKVVSKRGPTVTKISGMTILDNDAVNAVSVLLTTALTAYACIRIF >OGLUM01G20070.5 pep chromosome:ALNU02000000:1:19858902:19860701:1 gene:OGLUM01G20070 transcript:OGLUM01G20070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESSELPYGSMKFWAAHRVTNNNHEEEDAYGDPVDPLEWDDYARRNGTHVSYRGIVADFNRVPIDFNINAGYSPSLELMGLVADDYSLPDPGDEEDKDDDWNTDEKAPPLASHDKNAYWNDDDDGSSGYLPPRKKQK >OGLUM01G20080.1 pep chromosome:ALNU02000000:1:19869968:19874808:1 gene:OGLUM01G20080 transcript:OGLUM01G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNFKLVNEKFLSWETSLNRLPVITGDLRGLDEICVRKLEGEQIRALLAISRLGSGSIRRGGPSLGIDRSPPVSAARGGAGMASGNSGEPSTAPQPNRWYELRLGSSCRDPSPTAKFCTLRYEFKPASIDKTQAGSLQKTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESSAATSATTGMGESHSPPLPKVGKSPAMSKPAVHSVPVEVERIDIGEPENPGLRNNNRSTTYQPVTTNPFSFSPDPNDQEENLDILGDDDNGSPNNMSSGQGASVRGFDINIPNQLDIDDEIADVDVSDEADEGLNAAEALRAQVNAEGQQDEQETSSSSGSSSSSSSSGSGSGSGSSSSDSDGSDGDSASSGGDVDI >OGLUM01G20090.1 pep chromosome:ALNU02000000:1:19875602:19876084:-1 gene:OGLUM01G20090 transcript:OGLUM01G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPSSFLSSSPSLTFISLSSGVLPVNEEERLEERGEGDGWSSRARGLISVAILTGAQAQGEERE >OGLUM01G20100.1 pep chromosome:ALNU02000000:1:19917831:19919457:1 gene:OGLUM01G20100 transcript:OGLUM01G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDSLSDMCSLTETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKNAVKSMRGVTSVAVNPKQSRCTVTGYVEASKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRGNPAAMADPSAPEVRYMTMFSDENVDSCSIM >OGLUM01G20110.1 pep chromosome:ALNU02000000:1:19921283:19922065:-1 gene:OGLUM01G20110 transcript:OGLUM01G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYLLASRGSVGTSGVVIVAAAPEKGEKGGKGGDGRESERIEAAAVLPFSLVAVFPSYSYRHRAPATVASPATERHPPLSRLACWPPAALPRPEREKRRKRRVMTWITLTCGDHVGPTLTQPSHPF >OGLUM01G20120.1 pep chromosome:ALNU02000000:1:19923300:19928774:1 gene:OGLUM01G20120 transcript:OGLUM01G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G66670) TAIR;Acc:AT1G66670] MEAAAAMATLPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNVQVETMGSSRRSQQLEVRLPGHTVTIVLRSNIAWHDMLAYNAQLWVPFIRLLHIVKLNVVWQ >OGLUM01G20120.2 pep chromosome:ALNU02000000:1:19923300:19929139:1 gene:OGLUM01G20120 transcript:OGLUM01G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G66670) TAIR;Acc:AT1G66670] MEAAAAMATLPASPCSSSSSPSSLFLAPNPCCWKAAPRATVRASASAARRTLSSAWELPGPSAARPAARKPRLEELDTTNMLLRQRIVFLGSPVDDMSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGMGVYDAMKFCKADISTVCFGLAASMGAFLLAAGTKGKRFCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSVIDEGKPGLVAPLAGAVPPPKSRVWYLWNASGPTRKIMKNLPSEEKLIQNGNGSASGDDGKFKEISTA >OGLUM01G20130.1 pep chromosome:ALNU02000000:1:19942171:19942671:1 gene:OGLUM01G20130 transcript:OGLUM01G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPHTTSGTSPFPRNSSTAAEMIVTEQEHLQPRHRRSRKRDRPPPTPPSGNIKAAPAPLPERGGHGHEEEARDEDVDRFYALLDEVREMRELWRRNGDCVATKRTSVDGGQKKQDRQQLWRPTFVMEDFAFELKGSQVVQPEKKVDSAPNLDLSLSM >OGLUM01G20140.1 pep chromosome:ALNU02000000:1:19954367:19958632:1 gene:OGLUM01G20140 transcript:OGLUM01G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGFDAYRFSISWSRIFPTGTGKVNWKGVAYYNRLINYMLKIGITPYANLYHYDLPEALEVQYGGLLNRKVVEAFADYAEFCFKTFGDRVKNWMTFNEPRVVAALGYDDGKFAPGRCTKCTAGNSATEPYIVAHHLILSHASAVQRYRHKYQHIQKGKIGILLDFVWYEGLTNSTADQAAAQRSRDFHVGWFLHPIIYGEYPKSLQVIVKERLPKFTADEVHMVKGSIDYVGINQYTAYYVRDQQPNATTLPSYSSDWHAALIYERDGVPIGPRANSDWLYIVPWGLYKAVTYVKEKYGNPTMFLSENGMDDPGNVTIAQGVHDTTRVAYYRSYITKLKEAIDDGANCIGYFAWSLLDNFEWKLGYTSRFGLVYVDFRTLRRYPKMSAYWFRDLVSSKN >OGLUM01G20150.1 pep chromosome:ALNU02000000:1:19984485:19986645:1 gene:OGLUM01G20150 transcript:OGLUM01G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLRSSEVGAQAAPSVTWPGPCRWGSEGQRRLQADASHPGCVRTATARRRRQGHTEETHGQARMAPTAAIPLLDETNTALRFPGRIRAPSPRFKCYC >OGLUM01G20150.2 pep chromosome:ALNU02000000:1:19984485:19984807:1 gene:OGLUM01G20150 transcript:OGLUM01G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLRSSEVGAQAAPSVTWPGPCRWGSEGQRRLQADASHPGCVRTATARRRRQGHTEETHGQARMAPTAAIPLLDETNTALRFPGRIRAPSPVRI >OGLUM01G20160.1 pep chromosome:ALNU02000000:1:19987985:19988296:-1 gene:OGLUM01G20160 transcript:OGLUM01G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGEKKAFDMRGGARARHPPPFTPNPIATMDFLHTGGGRNDRNQSREKMAIASLSLHRWPNPCRAEVVEAGARAEEMDATSMRRRMEAATAESRERRMMWP >OGLUM01G20170.1 pep chromosome:ALNU02000000:1:19990394:19990915:1 gene:OGLUM01G20170 transcript:OGLUM01G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLSTGEKTKKMATTSRPSSPLPPEEETAAETTTSEEEEQQQMERFYALVANVRALRAMFKEAALPSCREDDVSGGGGGEQRQKRPRAAPWRPAFEMAVFECGGGGGTTTDDIEAATTKGQDGNCKKGKTSEANAAAEEDKGEVIEGKPVAIAIVADGPGPGKSTTMPDSN >OGLUM01G20180.1 pep chromosome:ALNU02000000:1:20077254:20078510:-1 gene:OGLUM01G20180 transcript:OGLUM01G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWHWARPLARKKRAAFFAMLYILYVSDAGSWWHGWDVGPTMPSNQLDGPRNKRVKRRNKRERRREMMRKRRKRRGREDEEQVSAGGPPNIGVVLDSPGACG >OGLUM01G20190.1 pep chromosome:ALNU02000000:1:20084344:20085301:-1 gene:OGLUM01G20190 transcript:OGLUM01G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPARRGWGVGALARAFLVLLLLLLAAATTTTIGFGCRGAEAIRVIPPHGPAPGSARSSRGHGHRRSHGNAARVVDAAMPVVGTRPVPALSPAADEESKRRIPSCPDPLHNRCVRSLLCTAGLTAALLVGVAVPSTRH >OGLUM01G20200.1 pep chromosome:ALNU02000000:1:20090232:20091229:1 gene:OGLUM01G20200 transcript:OGLUM01G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDGRVRGRGDPFFSLTLSLSNPTTWMDVKHGEGGDSCLMAGPRRGVGAVAAHRRGLDGGSAKLACTNNRQRPSLWAGNGDACRHRFPLGALPCFLLFPSGFFMSKPCLGYLARTAAALLASFSFLEASFRSPIALGCLIVHVQCT >OGLUM01G20210.1 pep chromosome:ALNU02000000:1:20121580:20122808:-1 gene:OGLUM01G20210 transcript:OGLUM01G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISSISLLSIPSHASIADASPTTPCLNKDGGVEQLGGAEPQWDGEVGRRRHWWRRERQAWWLRGLELGHRPPEPPRHEEVFSNGLNIPDIINEHLGAEPTLPYLSPDLRGAKLLVGANFASAGVGILNDTGIQFVSEHREDEQAAAVLRGVPQERLRALVGAARARRIVNGALVLITLGGNDFVTNYYLVPFSLRSQQFALPDYVRFLISEYKKILQVRIHFVFFLSIDSSLMCSSVGMAWHWQRLYDMGARWVLVTGTGPLGCAPHVMRAAELFNPQLSRALQELAGEPVPVEEHVADRRGGGEVPQERTGERIEVEAERVQRRQIVVAAEGDADAAMATADTASPRAFRQIERDERAVVVRQRGTRHGSVAVGNRRPWTG >OGLUM01G20220.1 pep chromosome:ALNU02000000:1:20124653:20128596:1 gene:OGLUM01G20220 transcript:OGLUM01G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPFTDDLLAEILLRLPSAASLQRAALASKCWLAVASGPDFLRRFRARHTSSPLLGLFVSHGSSGLPVFHPAATVRSDPDLGAAVLGGDFSLIRVGDGEDPRWQLRDCRNGRLLLCGGRSVAVYDPVSRRRVSIRRPQDDPFSDAYIADCLLHGRGDNGAASFRVVSVQRHGRRMRAAEYNSGTREWSFHPWVENMRRPRRGQAMHAAGIIFWKCEDNFVILLDTLTMEFSMLGLPVSLFQPSKYAIGEMEDGVCCLVCLDGTMDNVHMQVWLLMEEDGGGRRWELEKEMPVSEVLDRHSLVRQVRTVASGLVLVSWDDRYPQFAINLKNMKVMAEFRCSGEAYLFQTPWPPALLVDSEVQPADLAIPLQSAEYVEPLQMIATQNMMKHVNLAAERTDVVNSEGPLDLVLEPHGPLDAQQAMAAEAETLVVTADLKLVRSTEAQNQSVAEKPEIMKGPEVPVSKRSMSCLEKRRGERYESALHKAMERKARYMGGVEQFSTSLGRNYRRSEKPIVVDSSYERYYQCRQRREKPIVVDSRYGKYYQRRQRRPAAGVQAR >OGLUM01G20230.1 pep chromosome:ALNU02000000:1:20147357:20152905:1 gene:OGLUM01G20230 transcript:OGLUM01G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 6 [Source:Projected from Arabidopsis thaliana (AT5G56580) TAIR;Acc:AT5G56580] MRGKKPHKELKLSVPAQETPVDKFLTASGTFKDGELRLNQRGLQLISEETADEPQSTNLKVEDVQLSMDDLEMIQVIGKGSGGIVQLVRHKWVGTLYALKGIQMNIQEAVRKQIVQELKINQATQNPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTILEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWLSFYELLEAIVDQPPPSAPADQFSPEFCAFISSCIQKDPAERMSASELLNHPFIKKFEDKDLDLRILVESLEPPMNISE >OGLUM01G20240.1 pep chromosome:ALNU02000000:1:20154287:20154631:-1 gene:OGLUM01G20240 transcript:OGLUM01G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRQRGWVCVYDRALVDPEGKRRVVGAVAAPATVANGGFIRAPRKPTNHSKFTGGRAFRELASGKGACSGGPAASTTGGKGRHKFKHDEIKAYYLELEAGADDAVDELGSL >OGLUM01G20250.1 pep chromosome:ALNU02000000:1:20173055:20189086:1 gene:OGLUM01G20250 transcript:OGLUM01G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKCLPREISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNCFLIR >OGLUM01G20250.2 pep chromosome:ALNU02000000:1:20174058:20189086:1 gene:OGLUM01G20250 transcript:OGLUM01G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVFLCNNATCGHFYHPKCVARQLHSKNKNEASELEMKIANGHSFTCPSHWCFHCKGLEDRTQEELQFAVCRRCPKSYHRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKETETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >OGLUM01G20250.3 pep chromosome:ALNU02000000:1:20174058:20189086:1 gene:OGLUM01G20250 transcript:OGLUM01G20250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVSLQQQNTQRKEISFEDSENEDAITRAWELSKRILIYCLDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKETETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >OGLUM01G20250.4 pep chromosome:ALNU02000000:1:20174058:20189086:1 gene:OGLUM01G20250 transcript:OGLUM01G20250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQAMKTFLCKNCEHKQHQCFICGALEPSDGPTAKVSLQQQNTQRKDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKETETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >OGLUM01G20250.5 pep chromosome:ALNU02000000:1:20174058:20189086:1 gene:OGLUM01G20250 transcript:OGLUM01G20250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPVSRMMFDDDDDEPQLNAVDNYYLLDAREVPVCLSVLPFQFKDTDEVPECKKDVFLWGTADPGIKVYRKVIAWKLGLQGKQPEISVLSAEGSWISLTKPKNSYEEKIRTILITVQMLHFLKKKPEEPEKNLWSHLRKIFDKFEVRPSEDDLRNHRSLIKHFAEKDSTLAKSEILQGFTQETSRKKFSEVGSDKVEIKVPFIADDEDIEEMADVDNNIESDEEEEEDLFDSICSICDNGGDLLCCDGPCMRSFHAKIGTGEDSYCDTLGYTEAEVQNLQMDQLLRDHEIDTDIDTPIRDHIKFPRTPNIEKPARLLKKSTKVVKKKRPFSESVPDQLSTELRKLPDMVCIQESDRARKISARSSSEQFVVKPDKKKARFLKDTPQPDPCLLKDASTGITKPAKEQGKLLVTMPSSSTSTKIPQSSFPRVDSETEKRVMALVEREASYLTLKDISRKCMMPSTHAYSGRQVDRIIATGKLERSVQAVGAALKMLENGGNVNDAKAVCEPEVLKQLTRWHSKLRVYISPFIYGTRYSSFGRHFTKVEKLVEIVDKLHCYVEPGDTIVDFCCGANDFSRLMKEKLDKVQKKCHFKNYDLIQPQNCFAFERKDWMTVRPKELPHGSKLIMGLNPPFGVKASLANKFIDKALTFKPKLVILIVPKETKRLDQKKTPYDLIWEDSDCLSGKAFYLPGSVDVNDKVVEGWNASAPPLYLWSHPDWTRKHRKVAEEHNHTSIGRIASHVEEDNLSDNLPMMKETESYGIHNSRSGKEENAGQTSCNLKGTNLSDLPVRRQAEAGNKRNVGPGKETETTEKTSCDVREVILSDDRPVKTQAGYGQVKAKEYHRSDSLPVKKQAETNYQQISQSGKEKEHSMDESNSTHNRSRKRTPDQVDGSLPPEKQVEVAYEERMAIPIKKSTHQEKQLDAGDRLNAHRGSEIMGAKFTEQANSDMSMSSSDSSNAQNKSRSGSPFIPIGHPADKSTGRDSFISYPPKEHLVSKQATYQGRYLASNNECNNAFEGKIDPPFHTNIDDISRKFSPGTDFEVNRQHFTAPTGDVYSLQSLRDSDRFYRTQRSEERNTNSLESTTGDAPLHGHPTRYSRQVGDDNYLQTSRVPSTLHEQAHLRTHVGHADGYLQTSQYSLGSSGATYGQQTSSYGLPGTSTPYSIMDKYAPGFLAPSAQRSSVMDMYALGPSASGSSVMDKYAPPLEDTNYSARGVPQYPYRQLGSSGGGWPRS >OGLUM01G20260.1 pep chromosome:ALNU02000000:1:20189860:20193293:-1 gene:OGLUM01G20260 transcript:OGLUM01G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLRPSPPPPWAIPRRSSGERTKPCRSRSRSRTGTSKQTFPVPLLVGKVGRRPFPVQCSIVRCCLSSTDVIHSTSDDIHEDNGHGHFLMKSTSDLQKVISSCFGKACLLSSVMLVLPPSCFAEPCEPEYSLPNMPLLFAIAMIGATVGGLLARQRRGELKRLNDQLRQINAALRRQAKIESYAPSLSYAPVGSKIPESEVIVDPQKDRLISYLRAGKNYLRNQAPDKAFPEFKAAFDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKLTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYIARLEND >OGLUM01G20270.1 pep chromosome:ALNU02000000:1:20200658:20206171:1 gene:OGLUM01G20270 transcript:OGLUM01G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAEGSVGRGMALAIPSPPAYPRESRSAECETQRPRRPRDGDRAQAEQRCDLRDAKAARGGAAGDGRQGERRRCGGAASRRREAARGGTAAQGSGAAGRRPGRQATPRQQEARQAAGSTAAASRKKASNLKSY >OGLUM01G20280.1 pep chromosome:ALNU02000000:1:20202274:20209787:-1 gene:OGLUM01G20280 transcript:OGLUM01G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVIGQSVGIANLPADVSAALAPDVEYRLREIMQEAIKCMRHAKRTVLTADDVDSALSLRNVEPVYGFASGDPLRFKRAVGHKDLFYIDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPVDAIVAPTENKRTEHGKDDGLPVDIKLPVKHVLSRELQMYFDKIAELTMSRSETSVFREALVSLSRDSGLHPLVPYFSYFIADEVTRSLGDLPVLFALMRVVQSLLHNPHIHIEPYLHQLMPSIITCMVAKRLGHRLSDNHWELRDFSANLVGSVCRRFGHAYHNIQTRVTRTLVQGFLDPQKSLTQHYGAIQGISALGPSAIRLLLLPNLETYMQLLEPELQLDKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPNLLSPSTRPLLRSNKRVVTNNPNKRKSSTDLSTSQPPLKKMTTDGAMNSMTSAPMPGTMDGFSTQLPNPSMTQTSSSGQLVESTASGVIRRDQGSNHTQRVSTVLRLAWKEDQNAGHLLSSLYEVFGEAIFSFVQPPEISV >OGLUM01G20290.1 pep chromosome:ALNU02000000:1:20215080:20223663:-1 gene:OGLUM01G20290 transcript:OGLUM01G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSRPRVLHAPICLPSAAPLVCPILLDSSWPISPIHGKSIALAHRLPTDRRPRPTGRRPINPALLRGCSRRRLQHLLRRLPRPAGRRPIVPALLRDCSRRWLPRPSGRRPIDPAPLRDFSRRQLQHLLRRLPSAKDLAPEAFAAGLFISNLWMITYTKLLRCSAIVEK >OGLUM01G20290.2 pep chromosome:ALNU02000000:1:20215080:20223663:-1 gene:OGLUM01G20290 transcript:OGLUM01G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSRPRVLHAPICLPSAAPLVCPILLDSSWPISPIHGKSIALAHRLPTDRRPRPTGRRPINPALLRGCSRRRLQHLLRRLPRPAGRRPIVPALLRDCSRRWLPRPSGRRPIDPAPLRDFSRRQLQHLLRRLPSAKDLAPEAFAAGLFISNLWMITYTKLK >OGLUM01G20300.1 pep chromosome:ALNU02000000:1:20223550:20224565:1 gene:OGLUM01G20300 transcript:OGLUM01G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNRPRGVKEDGAHKRSGRWQADRGVQHTWTAGFEHRGSIGRIKTGQALLHCSRTVSAGIANQLTNILRDREKCQYNGFRKLCSMINSKQLSPL >OGLUM01G20310.1 pep chromosome:ALNU02000000:1:20228363:20228634:1 gene:OGLUM01G20310 transcript:OGLUM01G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKPRGMSAKKLKELVESDFDCDFYSDVDSSSSYCSILFLQKMGVNMCGLSPDEVAEGKLGGDKMEKLPRPAEDDE >OGLUM01G20320.1 pep chromosome:ALNU02000000:1:20232085:20233080:-1 gene:OGLUM01G20320 transcript:OGLUM01G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSEGCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAGPDHLRPAVFRSLLYEACGRIVNPIYGSVGLLWSGQWQACQAAVEAVLKGDPVVQVSSEAAAAAQATPPLRAYDIRHVAKDAEADAAANLLRVARGGRTRFKRASSSSNSKHGAKLAGAAAAKRAASPSSSSPTHETEPEAVVVVGDHDDDHHHPALSHEAHEEESAGSHDHDDDDHVDDGDNNDMAIANVTPPRAGSEDTEVETGSHVSQAEQSPVPVEHEEGEEEEVGLELTLGFQPLVVRASRRPASAEARCDLSGLSAESSRIGLRLELPA >OGLUM01G20330.1 pep chromosome:ALNU02000000:1:20246435:20248808:-1 gene:OGLUM01G20330 transcript:OGLUM01G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68300) TAIR;Acc:AT1G68300] MASPSAPGPKLQKAMVAVDESEFSHHALEWALRNLAPTIAPPLLVLTVQPLLPLGYVSAASFGSPLGTPVVAPELIKAMQEQQQQLSQALLDKAKQICAQHGVAVETMIKVGDPKEMICQAAEESKVDLLIVGSHSRGPVQRLFLGSVSNYCMHHSKCPVLVVKKQE >OGLUM01G20340.1 pep chromosome:ALNU02000000:1:20248944:20272261:1 gene:OGLUM01G20340 transcript:OGLUM01G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPLGYRVNTGNWMDSGGHGGGPTGPGLNLSYLRPLGSRDRKSAVVNGRWTNRTAARRRHSPGPGGVAGSRGAGAVRVRRRDRATAQAAGRRRGDEVGYGAMGDGRRRVLAWRRLSERAQRGDRWGEELGTQCPKDKRKGEITDPDQQDAGKYPRQHEEEEQSRRNKDTCAVPSWAPAGGWGWDSRAGPGRHEVADGNTARTGPAHHHHGVPLVPAVISAHGEDELGDGRSVWAVSEVRRAWRRGGGRIVDSIGEVRTAARGGRSQLWVAEGARGRRRPPEAEANRAHGDARWAVGSSSSLWAHGDARGHHRHCSSSSELSRRAPSHLPAPGSATETGGRGSTEDVGVDGDRESRSTTLDPNASSVMTHGASVGGRACVGSSGGGHDSNNDGTLVPAPVPASLSSRQAAAAATRAAGRRGGEGRRLTGKVAVITGGASGIGRATAEEFIVPNNARGRGGARASSSSSGGGGGVDRGDEAVARIGGSHGDCRRLAGGGGGGGGVASASAGRKRAEAGRRRGGGGGRKAAAMAAA >OGLUM01G20350.1 pep chromosome:ALNU02000000:1:20250978:20251778:-1 gene:OGLUM01G20350 transcript:OGLUM01G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSISMSRRLFKGLTINPALASGMTCQHHQLQQHAPVSGTAKGKAKLKSGQQLKRNTIGAKKGGAPSTGGGGGGGRGRREAIERITQIAESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDLAKAKAKSKGTREGDGGRVLMGPPGLDYISLGLVDEDAIPKYELTVEDGRRLAKQYSQVLMRRHRARQTAESSLLSLKKEAIAALPEKLRAAAMIPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKYSVKEKLR >OGLUM01G20360.1 pep chromosome:ALNU02000000:1:20254979:20258099:-1 gene:OGLUM01G20360 transcript:OGLUM01G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQYVEAQRQARPDLADAYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTIIQLYNNFISDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVTAKLRETRERRIDEPVLYVKMQIAAINLEKGDQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCKVHNAALSAQPALVQNERKLLEKINILCLMEIIFTRPSEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >OGLUM01G20370.1 pep chromosome:ALNU02000000:1:20268882:20272306:-1 gene:OGLUM01G20370 transcript:OGLUM01G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSSSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIIFGGWFASLMVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFSVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHLVTQRTKCL >OGLUM01G20370.2 pep chromosome:ALNU02000000:1:20268882:20270939:-1 gene:OGLUM01G20370 transcript:OGLUM01G20370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFSVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKVVLAAVAAELFSLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHLVTQRTKCL >OGLUM01G20370.3 pep chromosome:ALNU02000000:1:20268882:20270939:-1 gene:OGLUM01G20370 transcript:OGLUM01G20370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASFSVAYVNPTALGTTARTCLPFLLASTVLGYMVGSGLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHLVTQRTKCL >OGLUM01G20370.4 pep chromosome:ALNU02000000:1:20268882:20270939:-1 gene:OGLUM01G20370 transcript:OGLUM01G20370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MVAGYTALTVRKIVQTQLIPAEPMSKPSPFATLEFWAWGAVFVASLPSGVKKVLHPIICCALSADLAAIAYGYLSRSGVDAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRVIGLEPSLTISILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGIGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLSDARKIARIYVYRHIFCDYVSVVIRSKRVILYPSVLTTTKLAPTFHEEAAALQQPAGEQGTDSVVLLELAFDHLVTQRTKCL >OGLUM01G20370.5 pep chromosome:ALNU02000000:1:20271462:20272306:-1 gene:OGLUM01G20370 transcript:OGLUM01G20370.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidal glycolate/glycerate translocator 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G32080) UniProtKB/Swiss-Prot;Acc:Q9FVQ4] MAATSSSSSPCMIASLRSSHRCRLSPSATATSPPRLRTLPPRRCRRNPSSSSSSSRQAAAISMAPANPRHRLIAPIHAAAAAGGGGGGAGATSPSGLPSLVGIAHLLVSLGIVLASDKFLKQAFAAASIKFPSALFGMFCVFSVLVVLDAFAPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGLKIFLIICMHITTLLSLHAFCYFFLPPDHRAYLLRLGFLRILHSTTSYLIC >OGLUM01G20380.1 pep chromosome:ALNU02000000:1:20281831:20283908:-1 gene:OGLUM01G20380 transcript:OGLUM01G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISATPSYLHRDFRSHHRTKTSPAVLATTALEPLPATPPRRRISLTCHRRRVLLLPTHRHCIASSPSPPRRPPSHSPLLHCLLHTASALSSSSPTAVASSSSPSPAIARHCLADLLSQRHHQSRGGHHPRVPFAGSTVVVRSADGVNSATVVQLSIPNTCRAVLSTAAASSSFPPPPRCCASLTCHRCRRRVRLPITHRVVLRLADILSPRCHQSRDGHRRCHAAVVVRSSSTAPTPVVSSCPPTPAHPPSLDIPASYPNFRQRQRSRTT >OGLUM01G20390.1 pep chromosome:ALNU02000000:1:20296592:20300711:1 gene:OGLUM01G20390 transcript:OGLUM01G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWTSCGTRHSGLRLSSSEVTLIPFTSLSNPCEGRRLRLHRLQAVDCGRFSGLMGAAFDPPTIGSSKTIVRRVHTQTFLSFVMAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNKTRASHRQIPNLLSLGLGGFYIIGNHLCQVFNKFSDRTLYGLHIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIMLHNIAVHSDYFQWIMYETLQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >OGLUM01G20390.2 pep chromosome:ALNU02000000:1:20296531:20300711:1 gene:OGLUM01G20390 transcript:OGLUM01G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPEDRFVVISSQAISLLQPTTLLALPTTTPTRATTEASHRADEASHFQFHLLFLPPCNKTRASHRQIPNLLSLGLGGFYIIGNHLCQVFNKFSDRTLYGLHIFKDIIVNHLWIGLRVKFVSYGVLMHKSVLHLQFYTIIMLHNIAVHSDYFQWIMYETLQCKIVPRQLNPLDRYSKSLCTYRVYDYSATKYWPIPIIT >OGLUM01G20400.1 pep chromosome:ALNU02000000:1:20329528:20338208:1 gene:OGLUM01G20400 transcript:OGLUM01G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >OGLUM01G20400.2 pep chromosome:ALNU02000000:1:20329559:20338208:1 gene:OGLUM01G20400 transcript:OGLUM01G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKMEGPSAPAMRRDPYEVLSVPRDSSDQEIKSAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDTAGFEALENEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSPNVLEEAMSGTVTVRPLPVGSSATGKVDKQSAHFYGVTISEEQAQSGIVVRVTSAAQSKFKLLFFEQEINGGYGLALQEDSQKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKSGTHIFAVYGDNFFKPASYTIEAMCAKSYEDTTQRLKEIESKILEKRNDLRQFETEYRKALARFQEVTNRYTQEKEAVDDMLRERDDIHSSFTTERTMVNSVGAGSSSSRYPTESPENGNIDGKDKSSKKKWFNLNLNRSDKKA >OGLUM01G20410.1 pep chromosome:ALNU02000000:1:20339459:20342296:1 gene:OGLUM01G20410 transcript:OGLUM01G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta-adaptin [Source:Projected from Arabidopsis thaliana (AT1G48760) TAIR;Acc:AT1G48760] MASAPPAAPAPAPSLVDTLFQRSLDDLVKSLRADPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELIASPQLPHRRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSTSSAANHHVTALALQLLASPAAAAAPDLPVHLAHDLVPHLSRGSPRAIAAAARVIAASPSAAVPVLFKPLAACLASPDPRASAAAAAAFCELSAPPADAAPFLPLAPDLYNLLTTSRSNWALIKVLKVFARLAPLESRLAARIVDPVCQLLARSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAEDDPNLRYLGLLALGMLGPAYASTLHECRGVIAQSLGDADSNIRREALHLMMGLIDDSNVTDIAGMLVSHASKSDPEFANDILGAVLSACGRNVYELVSDFDWYVSLLTDMGRNLHCAQGDEIGRQFVDVGLRVQDARPELVHSSRTLLIDPALLGNHLLCPVLSAAAWVSGEYVNFTKDPVELVEALLQPRTSLLPMSVRAVYIQAVLKVITFCCNLYVERLSDSSKEVSVALNGLSMDQTVSGGSDAPIGSSNEQITVPRMMEKDPFSLKSVVHMINLIETTVGPLVECNEVEVLERARNLIGFVYSLREIQELKESKFDDDKHSRVKELVKNMQTVLSHEIGPVSLNAQEKVSLPDDLVLNENLAELVDIISEDDTTLSSSIVFYPRSCGSVETRDEPALSLGSSSLLSEHRKRHGLYYLPTGKAEDGPVDYPHANDPLLPASSESALDDKLKTIQPVTGGKKPKAVKSRPKVVKLDGEDFLSSMVASASVPKEDSLSGAVRGVLLGRDLKPSSSQKASDKAYEGIINKMDSGESSSQWKNNVDADFVGHPTSSSRPSIQQSHDKESTNPLESDGKEARKHRRSRSGHRQGKHKHRERHSTQPDVPQAPIIQDFLL >OGLUM01G20420.1 pep chromosome:ALNU02000000:1:20350366:20351895:-1 gene:OGLUM01G20420 transcript:OGLUM01G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPPPAAAQPPRPPTRAAESGEEDGDPGKDEVAAPPKGDSALPRSGSGFGSGNAAAPDGPAKSPMKPKKRVTAPPSSDHGSRENLAAGSPSNEVPAPQGDDAAQEDDLPESPPKSKKNRKKKKKALRAGDSGKVAAPDDPAEPTRPQQDVGEVDGRLAESEQEVPPNAGKAKKKASAQARKKPSAKQHAAAQEEEDGDLMAEAEEEVAPRQGDEEDGKGPLPQRKSKRVAALSGSICPPDPKRAKIVDAQKPGFRRKWNGNDEIMILEALVDQIRSGGNVPQEPGHPLFHELVQRLEGRTFNHSDVREKVRSLKRRYNDVVLSGLAITKDHDLQLHELSCEIWGRSVAHAGDDKQRCLARDEQSSLARDEQKSFAGDEEKSLARDEQSSLARDEQKSFARDEKSLARDEEKSLASDEQRSFDDMCKQFPLLAKEIKVLMEGQPAIMELFPRLDGDQVVAIEKKLENLRWIDMKRKKKMAVKMAKIRKGLIYKLEGAAILADGNMIH >OGLUM01G20430.1 pep chromosome:ALNU02000000:1:20352810:20354389:1 gene:OGLUM01G20430 transcript:OGLUM01G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPTPSEPLSPRSFALADTVRNAHHLHGASGDPTLIASVVTTTSTAARKAITALLAKHATIDASSSSKSSSFAADATPSELATSLDQVLAPLVI >OGLUM01G20440.1 pep chromosome:ALNU02000000:1:20361410:20362138:1 gene:OGLUM01G20440 transcript:OGLUM01G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVQTVQCDQPSKQQLTEAAEAAAAAAAAASLRIFGYEVGGGGGGGGVAAAAGARREAADVVVGAGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARLQLAVAAAGTTAGMGFAAAQAPAPPPGHVIAVGHHAGSVASYALPRWVYLAAHHLQPPAVGLPFHATVQAAAGACHGGGDVETRLLSVAAAGRGSSTAGSSAHSYEVCAPAAPADDSDEEASAMGLDLHLSLAPASSA >OGLUM01G20450.1 pep chromosome:ALNU02000000:1:20365316:20366037:1 gene:OGLUM01G20450 transcript:OGLUM01G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMELAPGDARFAKLAKELDDKAPPKAAAAPPAASEDQAAASSGKPKYRHDFYNGASEVVVTVFAKSVAAEHVSVDFGEQMLSVSVEVVPAKCRYSILPSKIEVRLAKADEQVTWTSLEYTSKANNKLAATATTTTKKKVDWDKLEAEVKKEEEEEEVDTATPVVNRFFQQMYGNGDEDMRRAIMKSYVESYVLSTDWKDVGSKKIEASAPEGMELHKWEY >OGLUM01G20460.1 pep chromosome:ALNU02000000:1:20367651:20368066:-1 gene:OGLUM01G20460 transcript:OGLUM01G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMERRWWRPQIRRRRGGRRWAAHAGIPRLPLAFSGTPLASAGIGGESLAPLSVLATAMPLGAVHLLEGVATGALIHVHFKRFLRVKT >OGLUM01G20470.1 pep chromosome:ALNU02000000:1:20376220:20383490:-1 gene:OGLUM01G20470 transcript:OGLUM01G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G68410) TAIR;Acc:AT1G68410] MGSGSRICARDEEDGGGCAGAKGGRRWRRPLLRYGCAAQSKKGEDFFLLRTDCARPSTSSSSSSSLASSPPHTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGRERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALSSEAAAKCCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDMIPPDQTIRHPSPPKKINKLKSLIFRKKTKDHPNKLTKQLSAAGMVEELFEEGSAMLSERLGNDSSGRRASSSLFTCAICQVDLEPSEGISVHAGSIFSSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >OGLUM01G20480.1 pep chromosome:ALNU02000000:1:20399128:20408880:-1 gene:OGLUM01G20480 transcript:OGLUM01G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEGIRGLYSGLLPSLAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRAKSERCKTITHEGPLKASERGGAPGRPANWEAAVGAQLPPAMTKGAAAGTGDGGKEGEKREGISLSVGGQSDEGSTSAERIGSDASGHR >OGLUM01G20480.2 pep chromosome:ALNU02000000:1:20399128:20408880:-1 gene:OGLUM01G20480 transcript:OGLUM01G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRAKSERCKTITHEGPLKASERGGAPGRPANWEAAVGAQLPPAMTKGAAAGTGDGGKEGEKREGISLSVGGQSDEGSTSAERIGSDASGHR >OGLUM01G20480.3 pep chromosome:ALNU02000000:1:20400259:20408880:-1 gene:OGLUM01G20480 transcript:OGLUM01G20480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MSEGRGGNGARVMVREAACNAIAGGSAGVISATVLCPLDVIKTRLQVYGLPSNLSSTAPPGRVIISGFQHILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHLKGLLHSQGDNTGELSVQANILAASCAGIATAVATNPLWVVKTRLQTQGMRTGVVPYTSIWSALRRIAEEEGIRGLYSGLLPSLAGVTHVAIQLPVYENVKLYFAKRDNTTVDKLSPGKLAICSSGSKVAASIITYPHEVVRSKLQEQGRARHGAVHYTGVIDCIKQVYQKEGIPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLPH >OGLUM01G20480.4 pep chromosome:ALNU02000000:1:20396270:20399131:-1 gene:OGLUM01G20480 transcript:OGLUM01G20480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G25380) TAIR;Acc:AT1G25380] MKTALLRRSTSGGQQAGSGDRGRTRPRKGWEGGVAEVVLRGGAREGGGVGRRCPKSRWRVTGSGSERPRFGRAVKMALGRWFEELEWRPSFYTMWR >OGLUM01G20490.1 pep chromosome:ALNU02000000:1:20417549:20421603:1 gene:OGLUM01G20490 transcript:OGLUM01G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAVELGRRHGGDHRFYDASRVRRGYHHHGLPKARWAPAVHQEKAAEEPSPSPARAPVPPPGVAGNLERFVAAVTPFVPAQYPSKMQRAARGWRGCGVDAEREEAPHFFLRDVWEAYREWSAYGAGVPLVLDGCDGVVQYYVPYLSAIQLYGDPAVLRLSSGPRHMMDDSDGEYHDSSSDASSDYELGRVKHLTQEGFSSDDGESGDLHGRLLFQYLEFDSPFCPRFPGLRTLRSCDLSPRSWISVAWYPIYRIPTGPTLKDLDACFLTFHRLSTGPEEDNGGAVKYWGPGSKPTIPLSVFGMASYKFSNSIWSSTDGDRQLASFLQQAASDWLRDSRTSHPDYQFFASRGAYHR >OGLUM01G20500.1 pep chromosome:ALNU02000000:1:20428218:20430903:-1 gene:OGLUM01G20500 transcript:OGLUM01G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51160) TAIR;Acc:AT1G51160] MFSFGGGSSLTSVVPDATPAPAAPPGTGTGANAQVLYVFNRGGVCLLYREWHRPLRTLDPTQDQKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFLESPSGIKLILITHPRTGDQRDTLKHIYNLYVEYVVKNPLYAPGTPIKLYRS >OGLUM01G20510.1 pep chromosome:ALNU02000000:1:20433833:20435508:-1 gene:OGLUM01G20510 transcript:OGLUM01G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAIRVPRSQRAKRELLKHAPKLIETGKKTLILHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNDNIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFAFIGEHFESVEELKHLKEVLLDLFKGEVVENLNLAGVDRVFVCTAISPTTVYMMHCALRLKRSGTSIPRIELVEVGPSMDLVVRRHRYPVESLKKEAMKTADHAKKMKNVTKDPVHGKLGKVYIPDQQIAKMSLSNDVKGLKRERREAKKNKDHSKKQKINPE >OGLUM01G20520.1 pep chromosome:ALNU02000000:1:20443669:20450577:1 gene:OGLUM01G20520 transcript:OGLUM01G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18370) TAIR;Acc:AT1G18370] MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECGDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLRLTLNFQCSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >OGLUM01G20520.2 pep chromosome:ALNU02000000:1:20443669:20450577:1 gene:OGLUM01G20520 transcript:OGLUM01G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18370) TAIR;Acc:AT1G18370] MGVSRPPSTPASKIERTPMSTPTPGGSTRVKEEKIFVTVRVRPLSKKELALKDQVAWECGDNQTILYKGPPQDRAAPTSYTFDKVFGPASQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIENTPERDFIIKISAMEIYNEIVKDLLRPESTNLRLLDDPEKGTIVEKLEEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTVESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAVGARLKEGCHINRSLLTLTTVIRKLSSDKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLW >OGLUM01G20530.1 pep chromosome:ALNU02000000:1:20451781:20463418:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNESASNSRTQENTKKTLTPKGEGAAEPPPPLQGCRATGCSPPTGSGGGGRRDIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEDDAHRSSPATAPVASITSGSGGGGGRRRPTRSISRRRRGALPPHAVVGGSRERPCRCDFGGPVVRRQGRRRGAMRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >OGLUM01G20530.2 pep chromosome:ALNU02000000:1:20456254:20463418:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNESASNSRTQENTKKTLTPKGEGAAEPPPPLQGCRATGCSPPTGSGGGGRRDIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEDDAHRSSPATAPVASITSGSGGGGGRRRPTRSISRRRRGALPPHAVVGGSRERPVGRVGNSDETREAKALISRTLAASVSLSRSRPRRGAALLSP >OGLUM01G20530.3 pep chromosome:ALNU02000000:1:20456254:20465823:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEDDAHRSSPATAPVASITSGSGGGGGRRRPTRSISRRRRGALPPHAVVGGSRERPVGRVGNSDETREAKALISRTLAASVSLSRSRPRRGAALLSP >OGLUM01G20530.4 pep chromosome:ALNU02000000:1:20456254:20465766:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEDDAHRSSPATAPVASITSGSGGGGGRRRPTRSISRRRRGALPPHAVVGGSRERPVGRVGNSDETREAKALISRTLAASVSLSRSRPRRGAALLSP >OGLUM01G20530.5 pep chromosome:ALNU02000000:1:20451781:20453754:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNESASNSRTQENTKKTLTPKGEGAAEPPPPLQGCRATGCSPPTGSGGGGRRDIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >OGLUM01G20530.6 pep chromosome:ALNU02000000:1:20461443:20465823:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >OGLUM01G20530.7 pep chromosome:ALNU02000000:1:20451781:20456102:-1 gene:OGLUM01G20530 transcript:OGLUM01G20530.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTAQTLKAIPLITKIRHERHKKHITERQKQGKTKQRERDAKELEQDIQMLPKKDTMLSTQKTKVVVKVSQQQTEENLMEE >OGLUM01G20540.1 pep chromosome:ALNU02000000:1:20454351:20476042:1 gene:OGLUM01G20540 transcript:OGLUM01G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEDVLHRDQSSPVTLPPALGPMTAGRASSLASSPAGSAVGREAAAATTRGSGGEGAGGGRGSCSPTSISPLPFLLIRVPPPRSSPDPAGRWLPAARQVDGSLAAWRVSCSLAQRLCGGSSVAQHLPGGAVAQDLAPSSGPPRQRGDEGQWWPPTRSFLSSQSFLATGAVAGEERWASLCNCVVNFLLEERTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLWGCALPSGGRETAGRGSSLPSAGSNASGGGSPSAGSGASPPSPPLPRHGAAGGLDGSPTTAATMAGRGEDVLHRDQSSPVTLPPALGPMTAGRASSLASSPAGSAVGREAAAATTRGSGGEGAGGGRGSCSPTSISPLPFLLIRVPPPRSSPDPAGRWLPAARQVDGSLAAWRFPTRPTGLSRDPPTTACGGSAPRRRRLIDRVGRRRPPPPPDPEVMEATGAVAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLTEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYHSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >OGLUM01G20540.2 pep chromosome:ALNU02000000:1:20454351:20476042:1 gene:OGLUM01G20540 transcript:OGLUM01G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEDVLHRDQSSPVTLPPALGPMTAGRASSLASSPAGSAVGREAAAATTRGSGGEGAGGGRGSCSPTSISPLPFLLIRVPPPRSSPDPAGRWLPAARQVDGSLAAWRVSCSLAQRLCGGSSVAQHLPGGAVAQDLAPSSGPPRQRGDEGQWWPPTRSFLSSQSFLATGAVAGEERWASLCNCVVNFLLEERTAIICTMSPAQTHVEQSRNTLFFATCAKEVTNNAKVNMVVSDKQLVKHLQMEVARLEAELRTPDRASSSEIIIMERDRKIRQMEKEMEELKKQRDNAQLKLEELQKKMGDNQPGWNPFDSPQRTRKCLTYSGSLQPSNKMKIRSSIRQSATAPFMLKHEIRKLEQLQQQLEVEANRAIEVLHKEVECHKHGNQDAAETIAKLQAEIRGMQSVRSDRDVDMITDEGNGSDLKEEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVGTQCNETTPKSNRAKKKKRMLLPLGVSNINRPNLIRAPCSPLSSSRPLEPEVENRAPEGDTVSHEGSERATPTKSEDTGDVSSRDETPRYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKTNDMEEDSEENAGSLQDGPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDSTISLASSIKALRNEREFLARRMGSRLTEEERERLFIKWQVPLEAKQRKLQLVNRLWTDPNDQAHIDESADIVARLVGFCEGGNISKEMFELNFAVPASRKPWLMGWQPISNMIREKTQLWGCALPSGGRETAGRGSSLPSAGSNASGGGSPSAGSGASPPSPPLPRHGAAGGLDGSPTTAATMAGRGEDVLHRDQSSPVTLPPALGPMTAGRASSLASSPAGSAVGREAAAATTRGSGGEGAGGGRGSCSPTSISPLPFLLIRVPPPRSSPDPAGRWLPAARQVDGSLAAWRFPTRPTGLSRDPPTTACGGSAPRRRRLIDRVGRRRPPPPPDPEVMEATGAVAGEERWASLCNCVVNFLLEERYHLTALELLQELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLTEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYHSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >OGLUM01G20540.3 pep chromosome:ALNU02000000:1:20454351:20476042:1 gene:OGLUM01G20540 transcript:OGLUM01G20540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEDVLHRDQSSPVTLPPALGPMTAGRASSLASSPAGSAVGREAAAATTRGSGGEGAGGGRGSCSPTSISPLPFLLIRVPPPRSSPDPAGRWLPAARQVDGSLAAWRVSCSLAQRLCGGSSVAQHLPGGAVAQDLAPSSGPPRQRGDEGQWWPPTRSFLSSQSFLATGAVAGEERWASLCNCVELQEDGRHAHALRLRSFFSDPALFPPDLVARASSAPPEKIAAEEKLALTDYDLRLAREDLSRLKLELQKQKESSPDGSNATDALTNEGSSHHDKRDAKISTLGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWINSSACVPDALRRYYYQYLSSTTEAAEEKISILRENETLLKDNESLGAEKDALIKSREVANSQIAALRKSLEAAHKDIKEKEKTVQDLKQSLDVQRKELNDCRAEITSLKMHIEGTRSSKRLSSGDTDGLIPANSMEEIVVLSSEHDNLKGSESITSKLASEVSLTEGKKKDHENMESSLEGSPGPEAEVSCSTAENSGYGTSGEDKSGTNTCFEDLSVNGNLHGSGNSQGDSDSISVYLTDDKVHTEKVESPYKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDSATVVREAATHNLTLLLPLFPNLDKYYKVEELMFQLVCDPSGAVVNVALKELVPAVVRWGDKLDQILRILLAHILASAQRCPPVSGVEGAIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAISTCPFAADPSTGTMPESYFSKSCLKLYAAGDTEWSAFEWMHTECLPDLIKLACLLPAKEDNLRTVIRKYLLDVSGRYGIDYLEHVMLPVFLVAAGDIDSSDFTYFPLATQSRVRGLRPKTSIAEKLGIVCVLPLLLSGILGYHSKRQQLEEYLRKLLIQNTKDGSFSMHHTAEIIDAVRFLCMFEEHHVAVFNIVWEMVVISDANLKTNAAALLKALVPYISVKVASTHVLPALITLGSDQNLTVKYASIEAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATVSVIRALAVAIFKLTSAPPTGNDIERRREIANVFCEALRAVDATDLPATSVRDLLLPSIQNLLKDLDALDPAHKEALEVIARERSGGKLESLGKVMGAHLGIASSMSSFFGESSLLVKKESGEQHDTAATTPSQPTPQTQQENTRFGRIMLGGFGDMLRGKAKGSDEPS >OGLUM01G20550.1 pep chromosome:ALNU02000000:1:20480724:20482380:-1 gene:OGLUM01G20550 transcript:OGLUM01G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDPPFPPLLEVRSIKKQEKQEDLHNLQGLGNYQKIEITPRGDIGFAYTDFKPEMDRQTGSVLGTSLRREYPGLVDEYAGPRRCPRKRLAISWAHYFGKKDRNGLTAGDRVKEEFWSFFSAHEDDMEELDKNIDNYCQARVPKILCQARVDAVKKYYGDGIKGKNASSIELNFEQYMTCKLDWINKEAWKCFCHWKSAKELKMHATGLDTYKVQMAGFKASLKGSGQIRSKKVLKRIKDYCQGMEEEYGEELPVSNELDGNVVYKTFGGLKHGRFAMGNGSFKKGEVLAAVKHKKSRVSTTSYNAVVRENAQLRREVIENRGMLMAVYDKLGMDIPDNVLARWESQREVYKCIVWFY >OGLUM01G20560.1 pep chromosome:ALNU02000000:1:20491140:20491937:1 gene:OGLUM01G20560 transcript:OGLUM01G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLILGRIELNGIALTCALTACSATANLMYSMLVQCKAVRHGSTSQTILCNALIDMYAKCGRTMAARIVFDQMACRNVVSWSSMIDAYSCHGHGEAALGLFKRMEKVAPVVLPNEVTFLAVLSACGQSGLVDEGGAMLHLMKRQYGINPGPEYYACFIDLLGRAGQNDEAWYLYCSFITTRSELSGSICVAMLNACKANMDVLRGNKVALHLLEVDPENPGSHVLISNFHVVARQWSESDEPRRIILDKGSLGVQLIDFNSWC >OGLUM01G20570.1 pep chromosome:ALNU02000000:1:20492861:20495401:-1 gene:OGLUM01G20570 transcript:OGLUM01G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGSAGAPSSARFSGDEFGVDDETVDPATPLLTWWCRTVAQRLGQLYPSGGGDELIYSIRLADVSRRADSLVVKLLTEMDYGDINVTLSDY >OGLUM01G20570.2 pep chromosome:ALNU02000000:1:20492861:20495401:-1 gene:OGLUM01G20570 transcript:OGLUM01G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGSAGAPSSARFSGDEFGVDDETVDPATPLLTWWCRTVAQRLGQLYPSGGGDELIYSIRWNQSDSLVVKLLTEMDYGDINVTLSDY >OGLUM01G20580.1 pep chromosome:ALNU02000000:1:20504710:20511337:1 gene:OGLUM01G20580 transcript:OGLUM01G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin binding Calponin homology (CH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G48460) TAIR;Acc:AT5G48460] MGLDGLVVVSDPYLQRRFSQADLRALQAQYAALRDAAPSGRLRLRDLPAALSSAGVGAGKGGDAEKENSAPAAAQLTEEEWASVLKAVARADERPHQGVGFELFLRVYAEMQLRLKGAAAGKKAGGGGGGIARSSSSSAAAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLNTALPIDPATDHLFHLTKDGVLLCKLINLAVPGTIDERAINTKKLLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRPHLILGLISQIIKIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSPMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVIDKIAPGSVNWKMANRPPIKLPFRKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILIWANKKVKDSGKHSRMESFKDRSLSSGIFFLNLLGAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWHLKKPTSFSLDTENGSSCETSSISTSDDSASESSFDDSGAR >OGLUM01G20590.1 pep chromosome:ALNU02000000:1:20522447:20525836:1 gene:OGLUM01G20590 transcript:OGLUM01G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLLLLALPAAAGGLAADGQALLAFKAAVLRDPEGALADWDASTAADPCAWNGVSCGAGGGGGGADRRVVALSLPRKGLVGSLPASPLPASLRHLNLRSNRLFGELPAPLLSAAAGLQSVVLYGNELYGPIPPELGDLPYLQILDLSSNSLNGTLPPAILRCRRLRSLALGWNNLTGALPQGFARGLSALEHLDLSHNRFSGAVPEDIGNLSRLEGTVDLSHNQFSGQIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPFVPKDGGSGAPGAGKNKGLGKVAIVAIVLSDMVGILIIALVFFYCYWRAVSSKEKGNGGAAGSKGSRCGKDCGCFSRDESATPSEHTEQYDLVPLDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPSIVTLRAYYWSYDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWDGRLKIMQGVAKGLSFLHEFSPKKYVHGDLRPNNVLLGSNMEPYISDFGLGRLANIAGGSPFTQSDHAGIEKAQSQQSDASVSPLVGKGSCYQAPEALKTLKPSQKWDVYSYGVILLEMITGRSPVVLLETMQMDLVQWVQFCIEEKKPSADVLDPSLARDSEREDEMIAALKVALACVQANPERRPSMRHVAETLDRLNGSG >OGLUM01G20600.1 pep chromosome:ALNU02000000:1:20539963:20543902:1 gene:OGLUM01G20600 transcript:OGLUM01G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARYPPVQLDWHHLRRRSMAPATTWKNHGKKCHHGDRPPGCPSCGRAGHPELQVLPSLDLSDNGHLSGTIPPGISSLLMLSSLNLSSNQLTGNIPPSIGDLGRISSIDLSYNNLTGEIPPALGNLTKLTYLSLLGNKLSGNIPWQLGKLHDISFIDLSLNLLVGPIPSLFGNLTKLTSLFLVGNHLSGPITDELGEIQTLQYLDLQQNNLNGSITSTLGNLTMLKILYIYLNQHTGTIPVEFGMLSSLVELDLSENHLTGSIPSSVGNLTSSVYFSLWGNHITGSIPQEIGNLVNLQQLDLSVNFITGPVPSTIGNMSSLNYILINSNNLSAPIPEEFGNLASLISFASYENQLSGPIPPSLGKLESVSEILLFSNQLSGQLPPALFNLTNLIDIELDKNYLVGPLPDLCRGKKLEILHLSHNNLNGSMPKTLRDCISLRSLGISYNKMDGDITDALGVYPHLWRLSLASNKLVGRLSPNLGSCQNLTALSFADNMIKGGIPSELGNLKNLVKLSLSTNRLTGEIPPEIGKLVNLNLIDLRNNQLSGKIPDQIGQLKSLEILDFSSNQLSGAIPDDLGNCFKLQSLKMSNNSLNGSIPSTLGHLLSLQSMLDLSQNNLSGPIPSELGMLEMLMYVNLSHNQFSGAIPGSIASMQSLSVFDVSYNVLEGPIPSPLHNASAKWFVHNKGLCGELAGLSHCYLPPYHRKTRLKLIVEVSAPVFLAIISIVATVFLLSVCRKKLSQENNNVVKKIDIFSVWSFDGKMAFDDIISATDNFDEKHCIGEGAYGRVYKAELEDKQVFAVKKLHPDDEDTVHDEERFQIEIEMLAKIRHRSIVKLYGFCCHPRYRFLVCQYIERGNLASILNNEEVAIEFYWMRRTTLIRDVAQAITYLHDCQPPIIHRDITSGNILLDVDYRAYVSDFGIARILKPDSSNWSALAGTYGYIAPELSYTSLVTEKCDVYSFGVVVLEVLMGKHPGDIQSSIANSKYDDFLDEILDKRLPVPADDEADDVNRCLSVAFDCLLPSPQERPTMCQVYQRLAI >OGLUM01G20610.1 pep chromosome:ALNU02000000:1:20575747:20583222:1 gene:OGLUM01G20610 transcript:OGLUM01G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDLGVRRSCRWVWRDLRCSKAGRRGTQVQGSHRSAELVWWWGIGASVVDLKDLVWVGVVGVGVGEACDEGGKRRKEEGRRRAIRKALQRRGKTVPRKKGRTLARANTNRRCY >OGLUM01G20620.1 pep chromosome:ALNU02000000:1:20582127:20582507:-1 gene:OGLUM01G20620 transcript:OGLUM01G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSGFDSTRLDGVLALLDSKARAAAEDELRRQSREEQASVPAPPACYTRSTSRSIGRCRGCCRRRPRGWAAHAWQPSVMETVTAALARRVLGDNTEATRTVGSDEIRVREERGRGKRECHAPN >OGLUM01G20630.1 pep chromosome:ALNU02000000:1:20593124:20608032:1 gene:OGLUM01G20630 transcript:OGLUM01G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 2 [Source:Projected from Arabidopsis thaliana (AT5G12200) TAIR;Acc:AT5G12200] MAMPCRLHGDILLLLLGAVAVAVAHPAANEFCAAVGGGSGGCGVGGGGGGDGRRILIRGGTVVNAHRVEEADVYVEDGVIVAVRPNIPVGDDHVKVIDATGKYVMPGGIDPHTHLEMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAEKSAMDYGFHMAITKWNDDVSREMETMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLQKCKSLGALAMVHAENGDAVAEGQQRMIDLGITGPEGHALSRPPVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMDEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFMIASKYVMSPPIREAGHNKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGIEERMHIIWDSMVETGRISVSDYVRVTSTECAKIFNIYPRKGAILEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVEVTISRGRVVWEDGILNVVPGSGRYVRTPPYSYLFDGIEKSDAAYRASLRAPVKRGKAAA >OGLUM01G20640.1 pep chromosome:ALNU02000000:1:20593523:20595958:-1 gene:OGLUM01G20640 transcript:OGLUM01G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVEGCSSGLQPSAGARAAARVELRRLVARAPGGESSGGGGRRAERVLRRRRPLHRPEDGPVVELGRAARLVRDGLFFSPCPIAALHRIAAWRSRGSLPVAVDVAAAFVEIRLRESESLLLPAICDMKTIITKLSEKEPRLLLVILKSVVEMIDVEQWKALKTNKNGNLYSLVLWLVTNIKEIKDSGCIGLVHEIGVLSSDRNVVQCSCPAKLLQKLLSLSTIGERCLIDAALLLFEMASNNVKEKLRKLLMLSLGKLARISILTESSKEIESADRATEKLEMFKSQLKQKDVCLAENGNGGSLNTILLEKRSRWSITKSWTPCAIGMVPCSFSSTAVLPTLDVIDHELKDDTLEQHVNFELDDHTERAGYHSHPEKQLDVECIPAISEREISDMSEVTFPLKV >OGLUM01G20640.2 pep chromosome:ALNU02000000:1:20593523:20595958:-1 gene:OGLUM01G20640 transcript:OGLUM01G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVEGCSSGLQPSAGARAAARVELRRLVARAPGGESSGGGGRRAERVLRRRRPLHRPEDGPVVELGRAARLVRDGLFFSPCPIAALHRIAAWRSRGSLPVAVDVAAAFVEIRLRESESLLLPAIKNGNLYSLVLWLVTNIKEIKDSGCIGLVHEIGVLSSDRNVVQCSCPAKLLQKLLSLSTIGERCLIDAALLLFEMASNNVKEKLRKLLMLSLGKLARISILTESSKEIESADRATEKLEMFKSQLKQKDVCLAENGNGGSLNTILLEKRSRWSITKSWTPCAIGMVPCSFSSTAVLPTLDVIDHELKDDTLEQHVNFELDDHTERAGYHSHPEKQLDVECIPAISEREISDMSEVTFPLKV >OGLUM01G20650.1 pep chromosome:ALNU02000000:1:20596476:20599808:-1 gene:OGLUM01G20650 transcript:OGLUM01G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAILGAFMQTLFQKLSEATLDHFISWRGIHGKLESLSSTLSQLQAFLDDAEEKQLTDASVRGWLAKLKDIAYDLDDLLDSYSAKSMRMKQRQVIFPTKASFLSSSFLSRNLYQHRIKHKINIILERLDKIAQERDTIGLQMICEMRRYDTSERPQSSSLVDSSAVFGRERDREEMVRLVLSDNGHNSCNLCVIPVVGMGGLGKTTLMQMVYHDDRVREHFDLRIWIYVSESFDERKLTQETLEASDYDQSVASTNMNMLQETLSRVLRGKRYLLVLDDVWNEDLDKWHSYRAALISGGFGSKIVVTSRNENVGRIMGGIEPYKLQKLSDDDSWSVFKSHAFRDGDCSAHPELEAIGMEIVKKLKGLPLASKALGSLLFCKTDEEEWKDILQNDIWELPADKNNILPALRLSYNHLPPHLKQCFAFCSVYPKDYMFRREKLVKIWLALGFIRQSRKKRMEDTGNAYFNELLSRSFFQPYENNYVMHDAMHDLAKSISMEDCDHLDYGRRHDNAIKTRHLSFPCKDAKCMHFNPLYGFRKLRTLTIIHGYKSRMSQLPHGLFMKLEYLRVLDMHGQGLKELPESIGNLKQLRFLDLSSTEIETLPASLVKLYNLQILKLSDCNFLREVPQGITRLINLRHLEASTRLLSRIHGIGSLVCLQELEEFVVQKRSGHNVTELNNMDELQGQLSIRGLNNVPNGQDAVCAKLRNKEHLRTLHFIWDEDCESNPSEQQEVLEGLQPHLDLKELVIKGFPGVRFPSWLASSFLPKLQTIHICNCRSTRLPALGQLPFLKYLVIAGVTEVTQLSSEFTGFGQPKGFPALEDLLLEDMPNLSEWIFDVADQLFPQLTELGLIKCPQLKKLPPIPSTLRTLWISESGPESLPELQNNSCPSSPTSLYINDCPNLTSLRVGLLAYRPTALKSLTIAHCEGLVSLPEECFRPLISLRSLHIYECPCLVPWTALEGGLLPTSIEDIRLNSCTPLASVLLNGLSYLPHLRHFEIADCPDINNFPAEGLPHTLQFLEISCCDDLQCLPPGLHNISSLETLRISNCPGVESLPKEGLPMGLNELYIKGCPQIKQQCQEGGEYHAKIAHIRDIEIDGDVIVPEQI >OGLUM01G20660.1 pep chromosome:ALNU02000000:1:20606958:20613000:-1 gene:OGLUM01G20660 transcript:OGLUM01G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRLGGAAASASAAAAAAADARGGMSGFAAPQHAIHTNLNNVQPTQVTDFGALAQSAGFRIEDLANLSTNGLFNLKSNAHTIINDPLQFENYVKSISPSNITTTATVTVVDPQTLVPQKGAQLNLVTIRTGNVENWGESTIADTSPRTDTSTDPDTDERNQMVEQGQLAAPTASDSSDRSKDKLDHKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQIEQELQRARQQGIFISTSSDQSHSASGNGALAFDMEYARWLEEHNKHINELRAAVNAHAGDNDLKSTVDSIMAHYNEIFKLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTEQQLAGIANLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >OGLUM01G20670.1 pep chromosome:ALNU02000000:1:20614160:20614745:-1 gene:OGLUM01G20670 transcript:OGLUM01G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTISITYRVILVRYHVIFVRYHTISTIYHVILARYWMIPTRYQLGILLGEEHPDTRGRLHATTATATGDGRGAAGGGGGSDGGGGGWAQRRRRRRGTGVATVGDCDGSE >OGLUM01G20680.1 pep chromosome:ALNU02000000:1:20625057:20627947:1 gene:OGLUM01G20680 transcript:OGLUM01G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEAGSEAGRPPASSDASTGNGSSSFKAGASPSSAPAQNKPPAPIGPVLGRPMEDVRSIYTIGKELGRGQFGVTSLCTHKATGQKFACKTIAKRKLSTKEDVEDVRREVQIMYHLAGQPNVVELKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIIHTCHSLGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFKDIVGSAYYIAPEVLKRSYGPEADIWSVGVILYILLCESEHGIFNSILRGQVDFTSDPWPRISASAKDLVRKMLNSDPKKRISAYEVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLSKQGTKLTEAEVQQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQYFDKDNSGCISKEELEQALREKGLLDGRDIKDIISEVDADNDGRIDYSEFAAMMRKGNPEANPKKRRDVVI >OGLUM01G20690.1 pep chromosome:ALNU02000000:1:20643359:20651761:1 gene:OGLUM01G20690 transcript:OGLUM01G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQRQQSSRGNATATRGGGSSGKGGGGGVGKAAGKKPIKVSIISCGSGDTTQYTGPETMDQELFMRAIDVPIRHERNTA >OGLUM01G20700.1 pep chromosome:ALNU02000000:1:20651868:20652374:-1 gene:OGLUM01G20700 transcript:OGLUM01G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLTRAVLATPLRAFVPSCPGVWQTLCDVSSFTVRLHRLFGVIFLNDYRDCVTVFVSSAFSRTLVHDAPPVRPRPLYGAPCAPCGSATSTSTSRLRLHRPRLLYARLPRPRLPRTLRFGYIDNAQRAIIRIEYSCWFILQSKCPRCSRLDCGGMLEYMVVRVILG >OGLUM01G20710.1 pep chromosome:ALNU02000000:1:20652388:20652976:1 gene:OGLUM01G20710 transcript:OGLUM01G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETSREGLRDEWRRWQLGDTAAMLEVEEEDPERLTMTSVDDEGVDVQTAMKTTKAVKLRSERTTQLPRAAALRPEGGRRSGNPKLDGVDAQLKTIGGRSHHVHALNRVIKPKSRSTR >OGLUM01G20720.1 pep chromosome:ALNU02000000:1:20653549:20656737:-1 gene:OGLUM01G20720 transcript:OGLUM01G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRNRKSVASYHPHRRRRSGGHGRPDLHQHPDVAGRPRRPLVVGLTRGKTAPTPPAPSSSSSAGRRRCHGRRGVVGAAQSTGGHVPAGSSSAAASAAYARRPPFTVDALSTIHATIWQSSSGGRRRCGRGRRRCVDPI >OGLUM01G20730.1 pep chromosome:ALNU02000000:1:20663677:20663913:-1 gene:OGLUM01G20730 transcript:OGLUM01G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRCPRLPRATPAATPFPTAYGGGGGNFPWKRGKGRPAIRRGKTRSPWEWGKGRPASQRGKRNDAPLHSAHSKRDIR >OGLUM01G20740.1 pep chromosome:ALNU02000000:1:20666797:20671179:1 gene:OGLUM01G20740 transcript:OGLUM01G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWWHWSTRHNTKAFFVREKLAAQSPRRDGVNRRSENDRGADSAKSTDRSPARHRAFQRTLPPPAAHGEGADRCGPSRQSTQTKPNPTHRASHLLHYGHSLFANANAHATRVHAEQYKKSPYTTSASRAAAGGGGGGVAAAAATTLPPSSCSSSSPRLSALPRARPDPGGMGAGALGVVAMVAAAVVVAMAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDRDNRVTRLDLGNLNLSGHLVPELGKLDHLQYLINHQHWNRELYKNNIQGTIPSELGNLKNLISLDLYKNNISGTIPPTLGKLTSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >OGLUM01G20750.1 pep chromosome:ALNU02000000:1:20673929:20677044:1 gene:OGLUM01G20750 transcript:OGLUM01G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVNTNNQEEEGCNPSSGNELEQLESDFCDEANNIELAKAFWYNKSELEQSAILSVEIEELEMTYYRGCIPPFELHQVPLLSDAISFIQDTKSWVYWVCPNCERMFLDSEGFLLHLENEHLPQLPRSEPIIPRRISDNDVRGLKTFSWLPGNMYMRGGVDDTNFEDTEIRKTIMQKIQEVVFKLIDLRILSADLTNKLTTFSRLRVGRRAYLLPGMLSIAFLGAEDLEMIYKLLHQLSLANTWELEQSPEFDEDGSDSFDAVTLVQDTNTLCLDVRKIISSTDGSIMEDDVFKWLFYTPLQEGMLLSWLSMKQKRLQRGVQIILQIKTFRDTLIDAYKSKLDDGKISEQEPPNCFLTETDYIDAKILRIDSEIEYMKKMLSEVCAFDNRPAIMPILKAYIRDKLRKASSCGVFDQDDRYAEYNKNLDSVNQFQMDQQAGRNQNFVDEGNSSRTKVEKARCFVSDHQASIIEQTGTCTISEEPSAQIDISCTYCGEIVEENSTIVEKEEEIVEENSTSVEKEEWEVVMEAMKRLVASLPPEMVQLPGTMSEDPAGKIAFHIIRELSFRQAIKSVNGQRMDTKAVDTGPGALGIAKPASDEKVNAASDKKGNSGRPKRRMLKSLIWALLSSFASHLSMLLLSRYLFGGEEGKESKIAVT >OGLUM01G20760.1 pep chromosome:ALNU02000000:1:20688800:20689054:1 gene:OGLUM01G20760 transcript:OGLUM01G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCRRGGGYERIEEDKDDEYEAAMFEEEDMGPEALEARGDRLVASARRRRRRSALSIDCDKHLYVADDFREAALSYVLAKKCP >OGLUM01G20770.1 pep chromosome:ALNU02000000:1:20689904:20691613:1 gene:OGLUM01G20770 transcript:OGLUM01G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHALLESARCYKKIPDRGEKEAASAALALEKATELSMGRKKLESAATCCRLLAELYEEQKEWSKAMIHFQDAAYSYGGCASEESVFYARHCMLKAREIAQIIADAKHN >OGLUM01G20780.1 pep chromosome:ALNU02000000:1:20693183:20695322:1 gene:OGLUM01G20780 transcript:OGLUM01G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPAEAKGDRLMAQARRELTGFWSCLLPASATYSYAAGFFEEAAKAYRLAKNWRKAALAHHEYGTYCIKMGRDCRLAAAVALWESAECHMRDFDPDDEQTARAIESDLKRSVRMLVLENQPQLAASACEELARMYVARRRWTEAREWFTRLNTIIHVTLCGIFFSHYNSIILVLRSQC >OGLUM01G20790.1 pep chromosome:ALNU02000000:1:20697401:20703961:-1 gene:OGLUM01G20790 transcript:OGLUM01G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 14 [Source:Projected from Arabidopsis thaliana (AT3G60360) TAIR;Acc:AT3G60360] MSSLRNAIQRRAHKERAQPESRKKFGLLEKHKDYIVRAKAFHQKEETIRKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIEKLSSMLHELDNKRPNKHVYFAEDREEVKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKRGVNKPLFSEIQTPQGARRRRRSGTRRGCGAARDAVGKGSMRDGSIAY >OGLUM01G20790.2 pep chromosome:ALNU02000000:1:20697382:20703961:-1 gene:OGLUM01G20790 transcript:OGLUM01G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 14 [Source:Projected from Arabidopsis thaliana (AT3G60360) TAIR;Acc:AT3G60360] MSSLRNAIQRRAHKERAQPESRKKFGLLEKHKDYIVRAKAFHQKEETIRKLKEKASFRNPDEFYFKMINSKTVDGIHRPKPEANKYTEEELMLLKTKDMGYILQGIQSEKKKIEKLSSMLHELDNKRPNKHVYFAEDREEVKEIQSRIEQKSSSLGLDNIPSRIKRKTASSYRELEERKQRVQKLEKLYADMALQKELKKPGRKRKLREDEIENQTSRPVYKWRAQRKRRFKHHKVLGGGGEAGRGVDAVRREMRWGRARCGMDRSLIEIERWG >OGLUM01G20800.1 pep chromosome:ALNU02000000:1:20697730:20700924:1 gene:OGLUM01G20800 transcript:OGLUM01G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G56840) TAIR;Acc:AT3G56840] MLTHQHQKEKKNAVIQKNYRAANSGLSRGCRPRRRLTNCRRGETVRGAGRGRYPAMLPLRRFAGACRRRGLSGIAPGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAAPSFGTGTSSRNSEVIHAGIYYPPGSLKASLCVRGREMLYKYCAEREIPHKQLGKLIVATGVAETAKLDMLLKNAKENGVDDLQMMEGSEAMEMEPELRCLKALLSPRTGIVDSHSLMLSLLADAENLGTAISYNTTVTNGYIGDEGLELHISESKALENHSVGSPVSPQLILFPKLLINSAGLSAAPLAKRFHGLNQVFVPPAYYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTLDLNGVVRFGPDVEWIDGGKDVTSCFLSRFDYSVNPTRCSKFYPVIRKYFPNLKDDSLEPGYSGIRPKLSGPGQPPSDFVIQGEDIHGVPGLVNLFGIESPGLTSSLAIAEYIVSRYLR >OGLUM01G20810.1 pep chromosome:ALNU02000000:1:20704871:20708445:-1 gene:OGLUM01G20810 transcript:OGLUM01G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAMAFQALALGPLPLPLPAARRRRRVRVLAVAADHTPPPPPSPSSPPEPANSPSRLLRELAERKKAVSPKKKHPPRRFILKPPLDDERLTQRFLSSPQLSLKALPLLSSCLPSAPLSAADRTWMDEYLLEAKQALGYPLAPSETLGDGEDDGCPARHFDVLLYLAFQHLDTSCERTRTRHVRSGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKRAIPKWIKAASLHNLVFPYDDLDKMIRKDREPPAKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPEDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDNRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCLERLEYVGQKIQDLVMAERLLMKHLDAPGRWLAEKHRRLLMNKYCGRYLRDKHLHHYIIYGESVQDRFEHNRRLRNPSTTAVQQAIHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >OGLUM01G20820.1 pep chromosome:ALNU02000000:1:20708651:20715058:1 gene:OGLUM01G20820 transcript:OGLUM01G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDI >OGLUM01G20820.2 pep chromosome:ALNU02000000:1:20708651:20715455:1 gene:OGLUM01G20820 transcript:OGLUM01G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVWKDI >OGLUM01G20820.3 pep chromosome:ALNU02000000:1:20708651:20711646:1 gene:OGLUM01G20820 transcript:OGLUM01G20820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRRAIALQIHTQTTPLAAAPAAAPSPSLPSSLVHFLKRPASFPFLLSLFVLLTWISLRFHHPSPSASVLRAPVVHDPQANLVRYPAALYPTPIAADGRGWLLDPVAAARDAGLPGGALVCLSLHVGQIQPGGLRGNHRHHTCNETFVIWGAKTKFRLENADVNDRGYGEAMIAADEVAIVASARSTAHALINMDVRPTFFLGCQDTPINPNSSNTDYKVRCDMNFLMITYRKIYLIA >OGLUM01G20820.4 pep chromosome:ALNU02000000:1:20712036:20715455:1 gene:OGLUM01G20820 transcript:OGLUM01G20820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLSEEQIVEFREAFSLFDKDGDGSITTKELGTVMRSLGQNPTEAELQDMISEVDADSNGNIEFKEFLGLMARKLRDKDSEEELKEAFRVFDKDQNGFISASELRHVMANIGERLTDEEEEEEEDRGEEGARRRQQDEECRALRRAGEQAWPEVRDPVIIEPATEILMSQ >OGLUM01G20830.1 pep chromosome:ALNU02000000:1:20718041:20718817:1 gene:OGLUM01G20830 transcript:OGLUM01G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQVSEMLEAGHELFKNLAADFEDRLCSIHKERRGWRGGRTRSGSCAPMTPPMSRHAPSAATALVCRRRTVPARRRRTALARRRRLSATVLARHRRRFACSGHHSVAATAPSPAATVPG >OGLUM01G20840.1 pep chromosome:ALNU02000000:1:20718949:20725748:1 gene:OGLUM01G20840 transcript:OGLUM01G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGCLAAAAPTAERGRLGPQSPSRADGGGSRFAGDHSTNPVDAAALPFVRGSACFAASSPSPTTAGRRALESLECGLRGIAADHGWSDDRWRLRGATNERGRLDREKFQALAIQVITGPHADVEP >OGLUM01G20850.1 pep chromosome:ALNU02000000:1:20721707:20744600:-1 gene:OGLUM01G20850 transcript:OGLUM01G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISYSCSAGTKLTWILSLLLILVAATQVHGVSPPGFLNVDCGLTNRSTYNDTDTTLTYVSDREFVESGKSYDIMAQYMADATNEQEKTLRSFPDGQRNCYTLPTNSSKKYLIRATFTYGNYDGLNSSEKGSLFIFGLHIGVNFWTTVNLTNWDSSSTVWKEVITVAPDKSISVCLINLGSGTPFISTLDLRPLQDTMYPFVNASTSVSYFSRLRFGSVDEYITRFPKDQYDRFWESWVFPKNTFPWVNMSSNGKVAALPNIDTFGLPQDILGSASTINGNYSWLNISVSGSNSLATDLELLPVFHFVELGNNGSKRIFDIYNVDEPQEPQALFSNFSPPSFLSSMFHNWFLRKGRRAYFQLRKTPDSQLPPLINAYEVYSRVQVENFTTASSDVDSMKTIKEKYMVIKNWNGDPCSPREYIWNGLTCTYPNGGQNPRIVEINLSGSGLQGELEISFMKMSSLKKLDLSHNNLTGTIPDYQVNSLTVIDLSNNQLNGSIPDSILQRYKAGLLELRLEGNPICSKVRASYCGNKKNTRTRILLISVLVPVTSLLVVLFIFWRLCWKGKSRKSEEEDYDMYEEETPLHIDIRRFTYAELKLITNNFQSIIGKGGFGTVYHGILENNDEVAVKVLVETSIAESKDFLPEVQTLSKVHHKNLVALVGYCQNKKCLALVYDFMPRGNLQQLLRGGYDSLNWEERLHIALDAAQGLEYLHESCTPSIVHRDVKTPNILLDKNLVAKISDFGLSRAFNAAHTHISTVAAGTLGYLDPEYHATFQLTVKTDVYSFGIVLLEIVTGQPPVFMDPQTVHLPNWVRQKIANGSVHDVVDKKLLDQYDATHLQTVIDLAMNCLENASIDRPSMTEVVSVLKVCLPISSERQSATSTPRKKNVMDAEIPRQFQLMISGASTTSYEGSSFQSGYTGGVSEISHISGRNPDTTTPLAPGKKTPCGLASQAAQRHAENYRFLSIDCGYTDSAGYVDKNTTLTYVSDKGYVEGGKNFSILAQYMKDATNKQEETLRSFPDGQRNCYTLPTNRSKKYLIRATFTYGNYDGRNSSESGSPFLFGLHIGINFWTMVNLTKLPSSDRVWKELIMVTPDDSISVCLINNGSGIPFISTLDLRPLQDTMYPFVNVSVAVSYFSRLRFGQVNDVITRYPEDVYDRFWEGAFHTRSYPWIDLSTTQEVKRLPGDEKFMVPNTILQKASTIDSKYSWLNITVRGADNLLGSGDLELLPIFHFAEIASTTRLFDIYSDGEELFASFSPSPFQVDSMYQNGQFLRRVSSAFTLRKQLTSQLPPPLINAFEVYSLVRIATASDDGEQNSGLNSDIFVYTLYSRAKWIEPFVNCDLAGKSKEHDDYDMYEEDTPLNTDTRRFTYTELKTITNNFQSIIGKGGFGMVYHGILDNGEEVAVKVLRETSITLSKDFLPEVQILSKVQHKNLVTFLGYCLNKKCLALVYDFMARGNLQEVLRGGLEYLHESCTPPIVHRDVKTANILLDNNLVAMISDFGLSRSYTPAHTHISTVAAGTVGYLDPEYHATFHLTVKADVYSFGIVLLEIITGQPSVLVDSEPVHLPNWVHQKIAEGSIHDAVDSRLRHQYDAISVQSVIDLAMSCVENTSIDRPSMTDIVIKLKECLPAGTGEMQLVSRSYKQKEAMDTDIVRQFQLPISGVSIESIEGNSSGTTELRYPSGSSQAAAPPAIAPFLRRLHRQPRRFHRPWPGISPPQGSGCRRLGVGELAEGANGSAVVQAAAAACSRPRLRLGHPLRPPPHAAGSPPRGPRTKIKAIVYCCLMSTEARWLLAFQIFLATSMIQVHAPTPPGFTNIDCGFVDGESYTDSTTNLTYVPDHEFVEGGTHHVVVPKLISGSTDEQEKTLRSFPDGQRNCYTIPSTSGKKYLIRATFTYGNYDGLRSSENGSLFLFGLHVGVNFWTTVNLTKQNSSDTIWKEVLTVAPDEFISVCLLNFGSGTPFISALELWQLDDPMYPFLNLSMSVSYFTRQRFGAVDDFITSPTLLPPPPPWLNLTTNQTVNKLPGNDSFQVPTLILQKASTINSSFSWLNISVRAGDNLNGQSLELLPIFHFAEIEKNSPNRTFQIYSDGDQLHQAFSPSYLQVDSMYPRDRYLHESGTTFTLRKTNSSELPPLINAFEVYSLVQMENLSTDTIDVSSIKQVKTQYNVQRRSWNGDPCSPKEYTWEGVKCNYYDGKQNPRIILVNLSASRLSGWINPSFRNMSLEILDLSHNNLSGTIPYNQVNSLKSLNLSYNQLSGSIPDYLFERYKAGLLELRLEGNPMCSNISESYCATQADKAKKNTATLLIAVIVPVVAIILVLILWMLCCKGKSKEHDDYDMYEEETSLHTDTRRFTYTELRTITNNFQSIIGKGGFGTVYHGILGNGEEVAVKVLRETSRALSKDFLPEVQTLSKVHHKNLVTFLGYCQNKKCLALVYDFMSRGNLQEVLRRGLEYLHESCTPAIVHRDVKTANILLDENLVAMISDFGLSRSYTPSHTHISTIAAGTVGYLDPEYHATFQLTVKADVYSFGIVLLEIITGQPSVLVDPEPVHLPNWVRQKIARGSIHDAVDSRLMHQYDATSVQSVIDLAMNCVGNVSIDRPSMTDIVIKLKECLLAGTGEKQLVSGSYKQKGAMDADIARQFQLLISGVPTVSNECISSGITELSYYSGRSTVEQVGA >OGLUM01G20860.1 pep chromosome:ALNU02000000:1:20728042:20731250:1 gene:OGLUM01G20860 transcript:OGLUM01G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCGTDPGASGRGAGGVWRRPQRVAEAEAGTGTCGGGGLHDGRSLAPRPRVSYSPSPEVGIFQARGGGSAAAGGGDDGETEQWPAGQLLDCCWDDDRRGRLEAVAIRTSEYTSNALMSGGGSCDVSCLRKVKAELTLRKNWPFWYMLSTWKGDGEKLANSSSPSL >OGLUM01G20860.2 pep chromosome:ALNU02000000:1:20728042:20732427:1 gene:OGLUM01G20860 transcript:OGLUM01G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRCGTDPGASGRGAGGVWRRPQRVAEAEAGTGTCGGGGLHDGRSLTATETFTNGYIVSCKGLKSNVDMKGIPDPLFIRQTDMESSGVTMISSFQTLSDEGNFVRFTIVQKLMPIWSPKRNGSKLVFLLRVTSNCEKESCAGVRILCHWGVNTIGEQ >OGLUM01G20860.3 pep chromosome:ALNU02000000:1:20731829:20732700:1 gene:OGLUM01G20860 transcript:OGLUM01G20860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGVTMISSFQTLSDEGNFVRFTIVQKLMPIWSPKRNACDARPQGVFFPGASGVVVSGFLECNILLP >OGLUM01G20870.1 pep chromosome:ALNU02000000:1:20747552:20752013:1 gene:OGLUM01G20870 transcript:OGLUM01G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y9N5] MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNRRIHSVHWHSGLAVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWICDESNRQHQKVPADLLEQAKVAAQAALEEMDAD >OGLUM01G20880.1 pep chromosome:ALNU02000000:1:20752854:20753249:1 gene:OGLUM01G20880 transcript:OGLUM01G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARLRLLVLFLASLSLLFAQTLASSSAAASEAEVSDPCAAPVSDAGSEAPLCPVRCFRPDPVCGADGVTYWCGCPEAACAGARVARRGYCEVGAGSAPVSGQALLLVHIVWLFVLGAAVLLGFL >OGLUM01G20890.1 pep chromosome:ALNU02000000:1:20756980:20761427:1 gene:OGLUM01G20890 transcript:OGLUM01G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGVDVLNRLTAPPLVFVAPAGQFPGGFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETVRGEFVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNVSDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFEGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDVGCESRGVGSRAKNCLCGSSNCRGFFS >OGLUM01G20890.2 pep chromosome:ALNU02000000:1:20757717:20761095:1 gene:OGLUM01G20890 transcript:OGLUM01G20890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRESNFMPTPDQDVLEVKPLRTLAPMFPAPLGVDVLNRLTAPPLVFVAPAGQFPGGFGSLNIPAVRSFAAFGGQDASGGKTAGGGDQDASGGKTAAGGDQDAGRGETAAFGGQETVRGEFVANGTPNVGASATGPIDATPISACKSTQPSVISLDDDDNDDDEPYGGNQTSASGRKIKRPSHLKGYNVSDGLGTDSSNGTKKRPKTSNRKAATDNEISLMPPSSDPREVVEVLLMTFEALRRRHLQLDETQETSKRADLKAGAIMLASNLRANIGKRIGAVPGVEVGDIFYFRMELCIIGLHAPSMGGIDYMNKFGDEDDSVAICIVAAGVYENDDDDTDTLVYSGSGGISRNSEEKQDQKLERGNLALERSLSRKNVIRVVRGYKDPACLTGKVYIYDGLYKIHESWKERTKTGINCFKYKLQREPGQPDAVAIWKMCQRWVENPAARGKVLHPDLSSGAENLPVCLINDVNSEKGPGHFNYITQVKYLKPLRSMKPFEGCRCTSVCLPGDTSCDCAQHNGGDLPYSSSGLLVCRKLMVYECGESCRCSINCRNRVAQKGVRIHLEVFRTTNRGWGLRSWDPIRAGSFICEYVGEVVDDTKVNLDGEDDYLFRTVCPGEKTLKWNYGPELIGEHSINISADTFEPLPIKISAMKMGNVARFMNHSCNPNTFWQPVQFDHGEDGYPHIMFFALKHIPPMTELTYDYGDVGCESRGEVNYVQDFRSMEKLVTSNG >OGLUM01G20900.1 pep chromosome:ALNU02000000:1:20761933:20765924:-1 gene:OGLUM01G20900 transcript:OGLUM01G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT5G16890) TAIR;Acc:AT5G16890] MAGKQLPSSSLARARAASPRGLLAAAALLLLLLLAASYSLLLSPSSPGGLASPSSGPGSAADTAFLASLDRFLASPRRSAPPAPAPGDLDAAIRAEEEARLYGGGAWPAAPAPLRVYVYEMPSRFTYDLLRLFRDSYRETSNLTSNGSPVHRLVEQHSIDYWLWADLIAPESQRLLKNVIRVQRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTDQPAWQRSEGRDHVIPVHHPWSFKSVRRFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSRRSTLLFFRGRLRRNAGGKIRSKLVTELKDAEGIIIEEGTAGADGKAAAQNGMRKSLFCLNPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGLLDYRKIALFVSSNDAVQPGWLVKYLRSIDAKRIREMQSNLLKYSRHFLYSSPARPLGPEDLTWRMIAGKLVNIKLHIRRSQRVVRESRSVCTCDCRVGNSTKMF >OGLUM01G20910.1 pep chromosome:ALNU02000000:1:20766591:20768570:-1 gene:OGLUM01G20910 transcript:OGLUM01G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSESAWPQQSQQLQISSTMPAGSAWPEEENLENLEQPLLLLMPSSEDHREQQLVPVPWLQQDQDQEWHEQEQFLLLPWLHQDQEWHEQEQFLPLKNQNQEQLQDQQPLQDQEETRRYLGVPGIRFVPSDIELILDFLRPKLRGEQLPSYSYMHVCDVYSDHPKELTSKLGPSREGNWYMFSPRNRKYNKGKRPSRSTGQLGFWKSTTKNEAVLDALSDNMLIGYKACLTYHEYDESMPTPKLKKENAIKTPWKMWEFVCSNSNRPFDAEEEPMRLNDWVLCKVTNKDNKVTTKKFKPQRSKKPKKPKKLQQEEQPQNQGIVIRQPSESGSASSSHQEIPGSSLPGAGGDAAAAAATAAAVVDPMPLHMIPPSSWNYFSTGVTADGIVMDDSTGVDSYGCVDGAGALNFARNIFYHR >OGLUM01G20920.1 pep chromosome:ALNU02000000:1:20782576:20787526:1 gene:OGLUM01G20920 transcript:OGLUM01G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y9P1] MYRVKSESDCEMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTAEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >OGLUM01G20920.2 pep chromosome:ALNU02000000:1:20782576:20787056:1 gene:OGLUM01G20920 transcript:OGLUM01G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y9P1] MYRVKSESDCEMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTAEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >OGLUM01G20920.3 pep chromosome:ALNU02000000:1:20782576:20787056:1 gene:OGLUM01G20920 transcript:OGLUM01G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y9P1] MYRVKSESDCEMIHQEQMDSPVADDGSSGGSPHRGGGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTAEEERLIIQLHSKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRCQRAGLPIYPTSVCNQSSNEDQQCSSDFDCGENLSNDLLNANGLYLPDFTCDNFIANSEALPYAPHLSAVSISNLLGQSFASKSCSFMDQVNQTGMLKQSDGVLPGLSDTINGVISSVDQFSNDSEKLKQAVGFDYLHEANSSSKIIAPFGGALNGSHAFLNGNFSASRPTSGPLKMELPSLQDTESDPNSWLKYTVAPALQPTELVDPYLQSPAATPSVKSECASPRNSGLLEELIHEAQTLRSGKNQQTSVISSSSSVGTPCNTTVLSPEFDMCQEYWEEQHPGPFLNDCAPFSGNSFTESTPPVSAASPDIFQLSKVSPAQSTSMGSGEQVMGPKYEPGDTSPHPENFRPDALFSGNTADPSVFNNAIAMLLGNDLSIDCRPVLGDGIMFNSSSWSNMPHACEMSEFK >OGLUM01G20930.1 pep chromosome:ALNU02000000:1:20789226:20795503:1 gene:OGLUM01G20930 transcript:OGLUM01G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDTKQVARCLKKVLKSSIKDGYRCVSEHPILLTLGVLLYLLYRSSPGLFAFLLSSSPVIICTTLLLGILLSYGETNLPEADEDNKITPEISSLKVGNPSSDFHFEASQRLPAPELRENTTGFKERETKQTVFIRERASEHIELEDNVPLLRRVEHEYDRFDRHEIPAALTPFPSMVNFHQGSRVGNDLSSNQDINSKGSLSIKDKADGHTSFFEGVRSGLDEKEAPFGIFSTSKNVNGRGELEENLNQETVFTDSTASRVRDISEEKPTEGEAGTSKSACAISTHQSKTLDELRINTSKGFEDNLLDSSLGSPWARVGSEDGVGSDDGSSGFDSDQAESSSPDASMTDIAPILDEIDPLLGASSTRPDTIPKDDSDTDSHVSSQDHQTDDDSNDETDNNDAKENGEEKNKEQGKEAAFIWTADDEKNLMDLGYSEMERNRRLELLMARRRSRKNIRFEIDNDLIGIDNNDGGRGVDDLSRFRVQVPHISVPRRNPFDLPYDSEEAAIPGSAPSVLHTRKNPFDLPLDQSNDGDVSADNNVNPGELVKASHRDMFFRRHDSFNIGRTDATLERFSRFKPYFVPETVEGSLSNFQRQFSDKSESKLSSVTESDLASSVADQEDHKDLDEKDLPNEHGSPALQRQDSDLADVGSECSDGINSVDVELDNSDIDDREIALQHFVFERSQEREAYLSSTKGKGPEDDYLLSSVGNSKTLHPVADLLSWEDGNGESSLGVNSSHNMSVEFSDWVSSPKPIAEHDSGPENLQEFLNTEVASSSKTIVLGARNPAENNGNVDSISYSNNEMPSDNLGHGSMEFPSEFCNESLPVISRDLHPIPEERVVENFNVQEKHEAVIFTDSDAALTGFHVIEEHFEVGCDVSPSSEVVPSCLQASDSIQSRLVENKEVSNPFISIASETNKVDMIDLKEETAAGYPLDSDDDADKIYPEPMEDNVIDESFLSELDAVGDFRVEATRSDQQMPDVDSHIDNNTSNGVAESSLISPQISSNIFSNMKYASMLEHEENSPLVDDLNGTGPEFGWSLGASYDDPEQTVYNPRRRILGASPFEETNTEMKPLFDETEASFVNAPIEANLVVGPSKVDVANESELTKTDTNMVVLDANSLEDIETAFKQASNGVVESTVDNETPQVSGVDIDPESIESSEQLDVIDAKSVDDIYAALKEHTTAAMNSSFEENEDKHGCGDIVKFTMHDELPEGTHIEGNTVGDGKEPEPMGTTSSMDVIDAKSIDDIYAALKKQSSAAANSSFEQNEGKNGCGDTVTFTTHDELPEGTHIEDRDNTVEDGKEPEPIGTTSSMDTIEVKTIDDIDAVFKKLSDGGTKSAAQTVDCENTCEASDESEQH >OGLUM01G20940.1 pep chromosome:ALNU02000000:1:20799720:20800658:1 gene:OGLUM01G20940 transcript:OGLUM01G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHGRVHPAAAASSSDFSGEMNQSAASSDPSSSPPYSFHFEKPLPPTAAAPPPADARRYQQLPPAPQPGTYVVQMPKDKVFRVPPPENARLFQHYTRRARRRARCSCARVCSWLLLALVLLAAALAASAAVVYLVFKPRQPDYTLLSLAVSGLGGILGNASSTAAPAPVAFSPEFDATVRADNPNGKIGVHYEGGGSHVAVSYGGVRLADGAWPAFYQGPRNVTVLVATAKGSGIRFSERLLGDIAAAGRLRWVPFDVDVKVPVRLQVGGVRTWAVPVRVRCAVVVDRLAADAKVVSKSCRVKARFLFWKD >OGLUM01G20950.1 pep chromosome:ALNU02000000:1:20806869:20813613:1 gene:OGLUM01G20950 transcript:OGLUM01G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDVRSLGRCAAVSKRFSGLVPLVSDVYVRIDRVVATDGDADDALNLSSTKPKNIFSHFFKLMLFTIVKPFHSMRNPNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTLVDCKPIGAEHESLVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVVIKPFNDGGSGGHNSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNVFHFHVLATDYLNICVVTRDPANPTTQKSSPSPAPPLAVGRGLLAAPVTSDSSPPDNDKYKNTFDLSPSPPWFYAVSPPFPGRRLLPGRDKNKDSVVGCTSASPIAVSLGLFRAAALYVVGLRLLGFFHDETTDKGKNPLKKMKEINDLHALLAKLTAHPTSDVDLSFLNLLGVDTTLRTLLVHFLDTEFDDIHLKSAFLPYGELPLVAIEGPNAVVWSPSDIWCQLVRVVEVVP >OGLUM01G20950.2 pep chromosome:ALNU02000000:1:20806155:20809009:1 gene:OGLUM01G20950 transcript:OGLUM01G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRARIHADPAPEFDQFDCLPDPVVLLILNKLEDVRSLGRCAAVSKRFSGLVPLVSDVYVRIDRVVATDGDADDALNLSSTKPKNIFSHFFKLMLFTIVKPFHSMRNPNGTGRPLFPLAQHSPVHVLRNFSDVWNLRVELPSGDVGTEEGVLLKWRAEYGSTLRNCVILGGTLVDCKPIGAEHESLVEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLRSLVLADADGQGTLYMGMEQLREFRENKLSASACSNRTQVPACNMKLKYAPYLELPGGMALQGATLVVIKPFNDGGSGGHNSRKETEAFVSSAFDEPFRFAVKALMKRRTYLLEMNGF >OGLUM01G20960.1 pep chromosome:ALNU02000000:1:20843653:20844609:-1 gene:OGLUM01G20960 transcript:OGLUM01G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPISEGSHYCCSHVRIAGTFRDSDSHVGSSVERVGLYFLIELQDLQCQPRVLVRECF >OGLUM01G20980.1 pep chromosome:ALNU02000000:1:20849945:20855934:-1 gene:OGLUM01G20980 transcript:OGLUM01G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01420) TAIR;Acc:AT3G01420] MGSGLFKPRVHPDLRDVFSKMSFFDKIGFLFIHAFDKRNLWHKVPVPIGLLYLNTRRTLLEKYNLLAVGRSSHGALFDPKEFLYRTEDGKYNDPHNAEAGSQNTFFGRNMEPVDQKDELTSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTGQIEITAPKEVANECPLKSFKFHPTKELPTNSDGIKIVYGNNEERAEKLRTYVDGKLVIGDDGLLLHKENGVALSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKETFGHIGGPILGGLVGLKKPNNHGVPYSLTEDIDIGEMIGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIETIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEETYTKKGMQWVKTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >OGLUM01G20980.2 pep chromosome:ALNU02000000:1:20849945:20859872:-1 gene:OGLUM01G20980 transcript:OGLUM01G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01420) TAIR;Acc:AT3G01420] MEPVDQKDELTSPDPFVVATKLLARREYKDTGKQFNILAAAWIQFMVHDWMDHMEDTGQIEITAPKEVANECPLKSFKFHPTKELPTNSDGIKIVYGNNEERAEKLRTYVDGKLVIGDDGLLLHKENGVALSGDIRNSWAGVSILQALFVKEHNAVCDAIKEEHPNLSDEELYRYAKLVTSAVIAKVHTIDWTVELLKTKTMRAAMRANWYGLLGKKIKETFGHIGGPILGGLVGLKKPNNHGVPYSLTEDIDIGEMIGLKGEEQLSKIGFEKQALSMGYQACGALELWNYPSFFRNLIPQNLDGTNRSDRIDLAALEVYRDRERSVPRYNEFRRRLFLIPIKSWEDLTSDKDAIETIRAIYGDDVEKLDLLVGLMAEKKIKGFAISETAFNIFILMASRRLEADRFFTSNFNEETYTKKGMQWVKTTEGLRDVINRHYPEITAKWMKSSSAFSVWDADY >OGLUM01G20990.1 pep chromosome:ALNU02000000:1:20910062:20914603:1 gene:OGLUM01G20990 transcript:OGLUM01G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCQAWPGVERTQEANMAGDGQGNNNGVARSPEAGETDMANGTTSGKSSVANDMSVGEASGGDSLTSIYMNVDNARKSYNLKQRGTDVSIENMHHGFTHVFECTFESTEGVKEYIEHPAHLEFAKEILLAMEKTLIIDYMPTAVNNS >OGLUM01G21000.1 pep chromosome:ALNU02000000:1:20920797:20924392:1 gene:OGLUM01G21000 transcript:OGLUM01G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSGGVVKHILLARFKEDVAPERLDQLIRGYAGLVDLVPSMKAFHWGTDVSIENMHQGFTHVFESTFESTEGVKEYIEHPAHVEFANEFLPVLEKTLIIDYKPTILQLQLHLF >OGLUM01G21010.1 pep chromosome:ALNU02000000:1:20926779:20932471:-1 gene:OGLUM01G21010 transcript:OGLUM01G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73740) TAIR;Acc:AT1G73740] MAATAHPAPPPLPLPRSPLPPRFTQYNSSDDPPRGLRGARRLTLHPPSPARRRARSLHLRCRLSDSASDLDPLRVAFACGGAGGHVYAAIALADELHASLPSSASLFLGAPSPSLESDAAASAPYPFAPIPRCLPHAVLDAALHLRRFRPNVLVATGGAPALPACLAALLLGVPFVIQDQDAGPAPATRLLAPLARRIFLGFNAPVRLLPKRKCAVYGNPVRMSIRKCRISKADAMASFFPRIGTVGEEGVEVLLVLGGTEGSPEINVALLNMYYEMLRERRNRYIIWQTGPEGFCEMESLVRSHRRLLLTPFLHELDKAYAAADVVVSRAGSMSCTEVLVTGKPSILIPLPTMVDDHQTKNAYIMADVMGAKVITEDELDSSSLRSIIDEVFGDEKLMSDMSQKALSAARPNASTDIIRHICSLGHV >OGLUM01G21020.1 pep chromosome:ALNU02000000:1:20935045:20951446:1 gene:OGLUM01G21020 transcript:OGLUM01G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPGARPDTLADRLHRYRGVLLVILAPFALVSLVLLLMPCSPALSAAAAGRRWGPVDANKYAPNQAEEGQSQGRMAAKSKLKTVVLGRKKSRKEPDKKRNGPIEYHQARLNSVSLGLATKQTQAPRPVGQSRPGIRMVYLVFQNQR >OGLUM01G21030.1 pep chromosome:ALNU02000000:1:20936128:20942837:-1 gene:OGLUM01G21030 transcript:OGLUM01G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILGETAVISLLQPSQEMYDLFDDIILLSEGHIVYQGPKEKAVDFFESLGFICPHRKAIADFLLEVTSRKDQQQYWSREDEPYQYFTVERFSEAFHTGQTITKVLEVPLERNLSSLSALKTSKYGVRKRKLVKAIFSREYRLLRRNPSVYILTVLSFVAMTVFWHNNMRHDSVDDGGIYLGVLFFFVAETMFSNMCDLGGTIMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTMTYYPIGFDRNIGRLTKHYFLLLALSQMSSSLFRLVAGVTRNMFAAKIFGTFTVLLLLLLSGFVVSSKNLNKFWMLGYWMSPLMYAQNAISTNEFTAHSWSKVLPGSSESLGASVLKSRGLFLETKWYWVGLGALVGYTFLFNCLYTVALACFKRGPKVLNKKLEELSRNTPVKSQQKRVTNELQSSVNRRATLPFMPLSLTFNDIRYSVDMPKEKKVRAGTEDRLEILKGVSGAFRPGVLTALMGFSGAGKTTLMDVLAGRKTGGYTEGTINISGYPKKQETFSRVFGYCEQSNIHSPHLTVLESLLFSAWLRLPSEIDSMTRKMFVENVMELLELTSLQDAHVGLAEENGLSSEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVKNLVDTGITIVCTIHQPSIDIFESFDEGIECVNRIKDGYNPATWMLEVTSTVQEQMSGIDFSEIYKKSELYQRNKALIEEISSAPANSGDLLFPNKYSHTFFKQCLICLWKQNLLYWRNIHYTGRRFFVTTVIALLFGTVFWNLGMKRTKPQDLFNSMGSMYSAVLVLGIQNASGIQPVIAMERIVFYRERASGMYSALPYAFAQVAIELPYVFVQTLIYGVLVYTMIGFEWTIAKFFWYLFFMYFTLLYFTFFGMMTVGIAPNGVIAAKIPIWWRWYYWICPVAWTLYGLGASQFGDVEEKLDTGETVAKFMRSYYGFKHEFLEMVAIVTMACPVAFAFLFGFSLKNINFQKR >OGLUM01G21030.2 pep chromosome:ALNU02000000:1:20936128:20942837:-1 gene:OGLUM01G21030 transcript:OGLUM01G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILGETAVISLLQPSQEMYDLFDDIILLSEGHIVYQGPKEKAVDFFESLGFICPHRKAIADFLLEVTSRKDQQQYWSREDEPYQYFTVERFSEAFHTGQTITKVLEVPLERNLSSLSALKTSKYGVRKRKLVKAIFSREYRLLRRNPSVYILTVLSFVAMTVFWHNNMRHDSVDDGGIYLGVLFFFVAETMFSNMCDLGGTIMKLPLFFKQRDVFYPAWAYTFPTWILKIPITLIQVTIWVTMTYYPIGFDRNIGRLTKHYFLLLALSQMSSSLFRLVAGVTRNMFAAKIFGTFTVLLLLLLSGFVVSSKNLNKFWMLGYWMSPLMYAQNAISTNEFTAHSWKSLGASVLKSRGLFLETKWYWVGLGALVGYTFLFNCLYTVALACFKRGPKVLNKKLEELSRNTPVKSQQKRVTNELQSSVNRRATLPFMPLSLTFNDIRYSVDMPKEKKVRAGTEDRLEILKGVSGAFRPGVLTALMGFSGAGKTTLMDVLAGRKTGGYTEGTINISGYPKKQETFSRVFGYCEQSNIHSPHLTVLESLLFSAWLRLPSEIDSMTRKMFVENVMELLELTSLQDAHVGLAEENGLSSEQRRRLTIAVELVANPSIIFMDEPTSGLDARGAAIVMRTVKNLVDTGITIVCTIHQPSIDIFESFDEGIECVNRIKDGYNPATWMLEVTSTVQEQMSGIDFSEIYKKSELYQRNKALIEEISSAPANSGDLLFPNKYSHTFFKQCLICLWKQNLLYWRNIHYTGRRFFVTTVIALLFGTVFWNLGMKRTKPQDLFNSMGSMYSAVLVLGIQNASGIQPVIAMERIVFYRERASGMYSALPYAFAQVAIELPYVFVQTLIYGVLVYTMIGFEWTIAKFFWYLFFMYFTLLYFTFFGMMTVGIAPNGVIAAKIPIWWRWYYWICPVAWTLYGLGASQFGDVEEKLDTGETVAKFMRSYYGFKHEFLEMVAIVTMACPVAFAFLFGFSLKNINFQKR >OGLUM01G21040.1 pep chromosome:ALNU02000000:1:20942855:20949518:-1 gene:OGLUM01G21040 transcript:OGLUM01G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLLVEQLVGVTGDDHEHFLFRIKNRFDRVGLELPTIEVRAEGLAVEAQAYTWRSPAAPTVFTSIGNTLLDLANALHVLPITWKTKYTILHETNAIIKPCRYRDDFTFRFCGIRKKHIAESLVWKVSGRVTYNGHGMEQFVPERTAAYISQEDLHAGEMTVRETLAFSARCLGTGDRQDLLTELTRRGKEANVTPEHDIDMFMKESANGGESKIVINYIMQGKCSLVRQEPYSWMISRLDLTAQLHSR >OGLUM01G21050.1 pep chromosome:ALNU02000000:1:20961350:20962929:1 gene:OGLUM01G21050 transcript:OGLUM01G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARSPMKRKRIDDDAAGTTRAWVGWLTPWLDERPKGYLFKRSSPLAGEFRKWVDWELPCYLTVIILGIRLNTKPNLTLQTWPHQKGCKQATATGAAGVRGGPGRAICTPQVTSKPTLRLFCFQLDG >OGLUM01G21060.1 pep chromosome:ALNU02000000:1:20962489:20964176:-1 gene:OGLUM01G21060 transcript:OGLUM01G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQPTNQIRVLECKKKELLEEQESFIVQLRTLDIMLSDLNMLYGLPGDGKSLQGEVGLRVEPDAENDDGEVAWKLPVHPLTELPCQRRRPLEEVALGPLIEPRGQPPDPCPRRACCRCAPRRIATLAASMLLRRRRRVHRCSEEVGSVG >OGLUM01G21070.1 pep chromosome:ALNU02000000:1:20965679:20967116:-1 gene:OGLUM01G21070 transcript:OGLUM01G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMDNAIRFMFILSVVYGAAYAKKSEAKVASAPSLAAAANTVFDITELGAVADGKTDSTKAVQDAWDAACGLAGSQKVVIPKGEFMTGPLNFSGPCKGYVTVQIDGTMFGSNDIPKYNKGNWIEILHIDNVLVNGSGTLDGQGAAVWKDECKILPNTLVLDYVKNGTVSGLKLVNAKFFHINVYMSKGVTIKNVTITAVANSPNTDGVHIGDSSEISVSDSTIATGDDCISVGPGSSRISIQGITCGPGQGISVGCLGRFKDEKDVTDVTVRDCVLRNTSNGVRIKSYEDVLSPITASRLTFENIRVDGVANPVIVDQKYCPEKDCPEKKGSKTVTIKNVTFRNITGTSNTPEAVSLLCSDQLPCSGMELLDVNLKYDGKDNKTMAVCTNAKGISKGSLQALACL >OGLUM01G21080.1 pep chromosome:ALNU02000000:1:21003888:21005121:-1 gene:OGLUM01G21080 transcript:OGLUM01G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEFVPPWLELLLSTQFFNTCTSHHNSPRNECNLFCIDCQAPEAAFCYYCRSCHHSSHRVIQIRRSSYHDVVKVSELEDILDISNVQTYVINSARVVFLNERPQLRGCGVLAIKSSPSSLSSYNCETCSRVLLDAFRFCSLGCNLIGIKNDVETVVANDGIAHNDKDIKIDGSNGTANTNGTGKGIEICGNNGTIANTGNEDEICSDASKNKEILSSTRVVRHRRKGIPRRAPFF >OGLUM01G21090.1 pep chromosome:ALNU02000000:1:21019216:21021265:1 gene:OGLUM01G21090 transcript:OGLUM01G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMAAAATLLLAVSGLASGLVVPADELCHSTDNAVLGIDIGATYSCVAVYCKGRVEIIPNDQGSRLTPSWVAFTDGGGRLVVGEAAKEQAVGSPGRAVHDFMRLLGKKFGDDDVQREMTRLPYAVVDVDGKPHVRVEAAYGDVRVLSPEEIAAAVLAKMKETAEAHLGRTVSSAVVAVPVYFNDAQRRAISDAGDIAGLDVMRIVSEPIAAAVAYGLDNVRSNGKRVVVFDLGGETLDVTALVADDGFFDVLATNGDGHLGGEGFDQRVVNHFVDLIKRKHGRDITGDGRAMHRLRRECERAKRALSAQHQVRVEIESLLDGGVDLSETLTRAQFEELNDDLFARTMAPLRKTMADAGLEKGDIDEIIPVGGSTRIPMVQQLIRDYFDGKKEIVKGNNPDEIVAYGAAVIGRHVAGDDDDKPTMLGPLDLPSFLSDTISIEMAGGALTPMIPRRSRLPAERTHVFTTYLGRQTAVAINVFQGEGSTAKDNTLLGRLALTGIPPASVWNWGWRPIQVTVKVDELGDIHVEAADKGGSGKSERLSIVSGEGHEHGRLSKEEIDRMIREVAEDLVEEERIVKERVDALNMLETYIVKNTAVTGGETDCEAKARAAREWLDGNPAAEKEDYEEKLKELEDACGPFMAAVHETSGLGHDEL >OGLUM01G21100.1 pep chromosome:ALNU02000000:1:21024381:21026043:-1 gene:OGLUM01G21100 transcript:OGLUM01G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGESVPSWVELLLSTQFFTTCSSHLISPRNECNFFCIDCQTPQASFCYYCRLSHHSSHHVIQIRRSSYHDVVKVSELEDILVISDVQTYVINSSRVVYLTERPQLRSCGVSNTKLSSSQTYKCEICTRTLLDDFRFCSLGCNFTAIKRDNEKNVAHNGIASNAKDVEIGTNNGSTNAGSANEISSDANNYRNEIPSSTRVIRHRRKGIPRRAPFF >OGLUM01G21110.1 pep chromosome:ALNU02000000:1:21039459:21040127:-1 gene:OGLUM01G21110 transcript:OGLUM01G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQRNARTHHVVIDMEEMREEDPGHHNHEPADGIAGNWQSLHIRGTRLLQGWLDRLAIMGEQWLGGVQQDSRLQMVDRGLERMLIGLWRCAQRRYPSHDAELELQRREDTSEVKRCSTSKI >OGLUM01G21120.1 pep chromosome:ALNU02000000:1:21040736:21041699:-1 gene:OGLUM01G21120 transcript:OGLUM01G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNCRPGLERLRCSATNNCPQVHNRKADTIAPSVLQPQHKEQSFVPSRDDKITAPSEIVDLAFGALFLERVPMAEEDNLALSVVCERSPGTAAEAASGRPPKAVSERARRQTMSRLYAELGALLPNLPPRASTTRIVEEAIACVGELRAKTAELEAYSAVAAAAGRAARDGAAEVVASGKTSCFAVRLRAARARPGSLTRVLEVFQRHGVAVLAATVARDGEETAVTVTTAAVAPRVLETIKAEIICAA >OGLUM01G21130.1 pep chromosome:ALNU02000000:1:21063553:21070848:-1 gene:OGLUM01G21130 transcript:OGLUM01G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G26380) TAIR;Acc:AT3G26380] MGRGAPSSNPPPWRRLLRYALLCALLPPWGTSEANEQLAEFPPRGWNSYDSFSWIVDENTYMQNAEILAEKLLPHGYEYAVIDYLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDKGFSQLANKVHGMGLKFGIHLMKGISLQAVNGNTPILDIKTGKPYVEDGRQWTTRDIGLTHRTCAWMPHGFMSVNTDIGAGKAFLRSLYQQYADWGVDFVKVDCIFGTDYSPKEIITISELLAELDRPIILSISPGTEVTPALAKNISQHVNMYRITGDDWDNWKDVSSHFDVSSSFAAANKIGAIGLRGRSWPDLDMLPFGWLTNAGVNQGPHRQCELTSDEQRTQIALWSMAKSPLMYGGDLRHLDNNTLSIITNPTLLKINHYSINNMEFHHVYSERTSKEDKHSSRFISKDLVHVPKIDGVSLGLTACSDDKANGWYMFSQHGKSDHICRNYGMQDDKNISFCLGKTIPLLTSDDINVHNEEYQTKFHLAIMDSDDACLDASGSQRRTSSDSKFPMFSRCRWHAMQMWELNEKGNLISSYSRLCATVESNNKGVVTTGAVARAWIATGSKGEIYLAFFNLDSMSRKITARISDLKKVLGSTFIRKDTCSCTEVWSGRNFGRVEEEISAVVKSHGSMVFEITC >OGLUM01G21140.1 pep chromosome:ALNU02000000:1:21072804:21078023:-1 gene:OGLUM01G21140 transcript:OGLUM01G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPADAADTVVAVDTAVGRAPPDLEGGEGAVAERDGRPSRPMGRGRGGGTAVAAVSAAPAFPAPCGRDVRERGRGSGASGWERGGEGKRHRWKVEGRGGVGRGKRPAVRGGMGDGEPIGGWGGLGMLQCHVTRCLKMHMVFFCDDDWRYGDFFVSQLVQARCIWSRGLRFWEPNARTTPAAFSKLRCIHLHSCPRLRHVLHWSFPTMESLETIHITYCGELTQIFPKPDICSTERTEFPSLRRIHLQDLPMLQDICETAMETIKLRGCWSIKRLTPSTPAVHAISLWRWSTARRTYGRSWSGMATAWKRAAVSSARDTHATTRRTCPGDQSSDAPFPNIKGPLAAPASASGDS >OGLUM01G21150.1 pep chromosome:ALNU02000000:1:21073501:21083383:1 gene:OGLUM01G21150 transcript:OGLUM01G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHHFSSATLETAARFHAVAIPLQLLPYVLLAVDHRQRLIAWTAGVDGGIGGREHGVNKGREVGGGRRGQPNDRSMDGSNRFQINQNPNPNPPHPPIGSPSPIPPLTAGLFPRPTPPLPSTFQRCRFPSPPLSHPEAPLPLPLSLTSRPHGAGKAGAAETAATAVPPPLPLPIGLDGRPSLSATAPSPPSRSGGALPTAVSTATTVSAASAGGSIGRGGDRGRIWQRRLPPPPLFSIPPVAVVASATAADRSGGGGDGQGDLTAPRGRIRWWRRHWWLPDLIGLSQTRTPIVGSTPDSRVARPRRHGRQTAHVREGSQAASARVADAGTGDDISLLLHLPFFLLSLLSLSPFLQIWGFRCQELLLPTRRRPPHLRACSESCAGTAGSGWRSSPPAGSGVSPVIQLRRPASPRPALALPSRVGGGVAALGGSGGVRGMARPGSSSGSSVMDWASPEEAKMQHGDGFSLLIYGAR >OGLUM01G21160.1 pep chromosome:ALNU02000000:1:21079393:21103459:-1 gene:OGLUM01G21160 transcript:OGLUM01G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACAWDALPEHLQERILSLLPLTALLPVAAASRALRRLLRSRAFHALLTPHRLDAFFLLSPRLAFHPLSRRLLPAPPAASPPVVSSPSHLVTAASLLRLPPLPATSYLLAVVLPIPPSSSSSSCREFTLVAVTAGARVRSYTLDSADPSPRWDPRGDLPLPFALLGNAAVAGDRLLQLFVLGRGPDALLVFDLATGQWTVLPVAMPLGLTTAHLFVFGGRLFLVGGVERFGVVERVLVWRLEDSEAAVEWTEVGAMPEEVFDELVAGRHGSFWHFQAADRMGIVCLYNAVDGRLVMFDAADGEWTRLSRVSGLDVEESRRWFGHVVEPRVELLLGYRQLHKRIITFDGVTRTADQILEKYLSNSDRPYYQGGQSIYFDGWKGFGTSAILAAIAELARRKKSMGYEIVLHVDCSVWESRRTLQRRIAEELKLNGSTMALFDKQDDDDDFSGIEKSSRAEIDEVAKLIFQAVKDRSCLLIVHNGSNDEIDFLRFGVPVLERRNTVLWTFRGRFRLNPAIKDSWPDINELLHCEAAQVSRKISPALIAECWLYLSLMYYNHSNFISHDIDAHACNYWVCNGIIEGDGAWEIADRLYHRMRLEYLPTRHNHDFWFQHYFGSQKQAKHYRWVSVMPKNSDENLKFILIDGCLNKDANLTGERYHEKNGKQWEFLQSLWVLDIRDTNWDWILSPSKVVLMAELRELYLKATGRSCHIASILSPHHDLPPRRRPLPSLRPRRLRQCRYRRSLAGPVDQEGEAIAVLHLGLLGARPIHDGGAASPRLELNKGSNSNAFKNVNDTQGHRHC >OGLUM01G21170.1 pep chromosome:ALNU02000000:1:21104677:21105170:1 gene:OGLUM01G21170 transcript:OGLUM01G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRHPSRPPHVNLSVLPFSLHVAYRRRWERSMSSEKGFHELGPSGAHARRLALEYSTNARKSTNRAVKRKARTWEAESARRTTRASVKSRVKSTPSTNLPPTSNRAMPVP >OGLUM01G21180.1 pep chromosome:ALNU02000000:1:21105445:21105651:1 gene:OGLUM01G21180 transcript:OGLUM01G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNPTLATEIAEVAVAKGYAAVDAPVSGGDHGACKAALSIFAGGNVAVVTRLTPLFKLMGNAMYMG >OGLUM01G21190.1 pep chromosome:ALNU02000000:1:21112350:21112659:1 gene:OGLUM01G21190 transcript:OGLUM01G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAEPSEVAARGDWPLGGVQCTHASSKASETLTATEVMSVEAEPGEVAACGDWLAGSPVQCTHAPAKVLMVVEQWSFYANWQVVDGG >OGLUM01G21200.1 pep chromosome:ALNU02000000:1:21114742:21116904:1 gene:OGLUM01G21200 transcript:OGLUM01G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVFLLYLALLGSLAILSQSESTNDMDGGKSTVDLCSESSGGQYCCFPGNGCYPDRAQCIRECCKDWKNTNCTKDIIAPPSPSLSLALSSVVRSSRRRTKRSPKSHPELTPSDPSSWLLPSPAIAASADLACSAVLTSSDSLASSAALTSFAASAASAALDDSSASPAHRLRLPRLFCRPHLLRRLSLPIARAWRRGSCYLVSLHDKHCCIENSMKNNCPICYEDDIL >OGLUM01G21210.1 pep chromosome:ALNU02000000:1:21121193:21133380:-1 gene:OGLUM01G21210 transcript:OGLUM01G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTADLILEGYLSNSDRPYYQGGQNIYFDGWDGLGTSAILAAVAELARRKKSMYYDIVLHVDCSVWESRRTLQRRIAEELNLGGSTMALFDKQGEEDDFSGIEKSSRAEIDEVAKLIFRKIGGRTCLLIFNNGSDDEIDLSRLGVPVYERRNTVLWTFRGRFRLDPAIRGKVKSAHLFIYMHVPDFSQSTKLMHCEAAQVSREISPALITECWLYLSLLYYNHCNFISHDIDAHACNYWVCDGIIEGDSAWEIANRFYRRMRLEYLPARGDNRTDWFRKYLERQQEAKHSRWVSVMPKNSDEVKNIDTVPLEATSYFLTFQRSDPPAVLPNHLFSYGSKLCVLRLSWCTFSFASPPFTYCKNLKFILIDGCINKDADLTGERYHEKEGKQWEFLQSLWVLDIRDTNWYWILSPSKVVLMVELRELYLKATGRSCLSKLQMFSVIDSSTYMKAVVHDSFQHMMNLKLLDLSGNTTLHVLPNLSGASKLKVLILDGCVGLEVVEPNTLPRSLESFSFDGFGPASKQIHKSRLPEEEARPNTYINQEHTCVISKISLEGCGQLKSVFLRGLPNLKELNLSETRIEALDLEAMQVQQLERLFLLGCANLTRVKWSDPSNPPLKLLCIDTRGKATREMDGGCQRSHLCNRQEHEAHQSAHVVATDARFLRGFVAYGDPPRIAFDRNVPSQHFHLHISATVNDKPVLPRAKEKDASCRDGLIPGFPYLDVIDKVFNNDGEDGCSVPYCKHPVPLDCHIEIAEGESNLEIEQDLYGICSLIYNTQSLHIHDNSSIIIGNLGDNESQQFKNLRWCHVTRCLKMHTVFICDTYYRSGKSFGSLETLWVSHLLEARCIWSRILFFNKQNTPAAFSKLRCIHLHSCPRLRHVLPWSFPTMKSLETIHITYCGELTQIFPEVKYHRGERATKIEFPSLRRIHLQDLPMLQDICETAMSAPMLETIKLRGCWSIKRLPAIHADRPRDKPPAVVDCEKDTRGGGDAGLVTRGAHQGPLAAPASASRDSYLAPGNTRGEKKQKKEREIGRREGTGGREGGVRMKKWRGL >OGLUM01G21220.1 pep chromosome:ALNU02000000:1:21133579:21137080:1 gene:OGLUM01G21220 transcript:OGLUM01G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHSTVPPPPEPSSSPATAAAVEVVPSHCRATRSSSSSSLLLACTAAACPCGSRGLPQPPPPPPPPPPRSSLATAGSPPEPRSSPAAGAAELHRCHLM >OGLUM01G21220.2 pep chromosome:ALNU02000000:1:21133579:21137080:1 gene:OGLUM01G21220 transcript:OGLUM01G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPHSTVPPPPEPSSSPATAAAVEVVPSHCRATRSSSSSSLLLACTAAACPCGSRGLPQPPPPPPPPPPRSSLATAGSPPEPRSSPAAGAAELHRCHLMYNYGHLK >OGLUM01G21230.1 pep chromosome:ALNU02000000:1:21141365:21141709:1 gene:OGLUM01G21230 transcript:OGLUM01G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMVEGDSEVKALLSFPVLAMATPSGVVHLLEAVATGALIHLHFKEIVWVQYVDVRVQYAILRFCCCFLFSVRSED >OGLUM01G21240.1 pep chromosome:ALNU02000000:1:21143451:21148530:1 gene:OGLUM01G21240 transcript:OGLUM01G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQYMPSRAGSPQPGLARVEEARRAAALVPVGARRAAADAGCRSSRRASDGGRLSPLFGRAAGGSPALHDSAYSPLRRGSDSASRRVALWLAGRRLRSRSASPRPRRSPLQLPLSVAAPPPSQPPAADAGSRGDRGVQHSRQQQRADATFDLRLSIPAFPCGFLFPPSPTQCTRFPHLPSTSPTMPPDSVSRLAGGGAERRRNYQPEPDMEREQDPSPARTWLGIARLHARYLTGGAERRQNYSEKKCSFPQPEPGLVSRDTSPAAPATTTSRSAPSLKSSASACDTSPVTACAPSRSRPWQKSISGADLHRQISFYIHHTVSVKKFFLWLKENRMNSSVGSAGRMMEEVANEGSDVLGERNMKEQQSNKDDVCVERAMEQVSSHDDDLLREALLETGLFTGVVSIDPMHKKVERYKQKVSWDVFHMVTLQ >OGLUM01G21240.2 pep chromosome:ALNU02000000:1:21143451:21148530:1 gene:OGLUM01G21240 transcript:OGLUM01G21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQYMPSRAGSPQPGLARVEEARRAAALVPVGARRAAADAGCRSSRRASDGGRLSPLFGRAAGGSPALHDSAYSPLRRGSDSASRRVALWLAGRRLRSRSASPRPRRSPLQLPLSVAAPPPSQPPAADAGSRGDRGVQHSRQQQRADATFDLRLSIPAFPCGMNSSVGSAGRMMEEVANEGSDVLGERNMKEQQSNKDDVCVERAMEQVSSHDDDLLREALLETGLFTGVVSIDPMHKKVERYKQKVSWDVFHMVTLQ >OGLUM01G21250.1 pep chromosome:ALNU02000000:1:21159048:21160538:1 gene:OGLUM01G21250 transcript:OGLUM01G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLVSWSDGLPPEILLIVLAHLHCLADRVSFAAACRNWRSTSKLADTEADKPAPASAPRRQHVPWLLAPSPVERPTITSLLSGLTRRISLPARLRGARFFGSDPGGWLAAVLTMCGTSSPTSTPARRVVSQVTCTRKTTTVAIIRAVALSAAPSSAGCLAAAFVCGTSNLAFTQRLDGDGGGRFHALTTCELMTVFAISPSPESDLLDGRVEICHRSFFMPMRIETVLPHLRESADMSRYLVVSRNKLLMVVRYYVTAAAAAAAAVGVSHARTMLFKVFQMEKFCSHGGFWEEIEDLDGRVLFLARCCSRAFEASEIHGFEGGSIYFLDDINFHLSLVIKDKADYPCADVGMYAISPTDGVARPGMQLAAGIRPSIYSTKHYLLRIMNCKGIARIYRYVLKGPVLCHHQLVRTRLVLVMVQVLLLLIRERMREASWELSGASGLHRFQSSLLHCGWSHSFSIWIESAGALLGLEVE >OGLUM01G21270.1 pep chromosome:ALNU02000000:1:21213448:21214263:1 gene:OGLUM01G21270 transcript:OGLUM01G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAAVAALLLVVVVAADGEVGHGGHAAVPLRRSLGLGLGWMTGLKGGPPTGMQPSSIRPAATGEGGRRLGSEGEEKFIHTLPAFKRPPIPPSSN >OGLUM01G21280.1 pep chromosome:ALNU02000000:1:21214601:21220535:-1 gene:OGLUM01G21280 transcript:OGLUM01G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVSSLLSSASSLLAILRSPLSDLYPHSGHPTASADLQRLKRLLSRIQATLDDAEEQGLQDNYVKLWLKELKDLAGDAKDVLDDYRYELLQSQVQERQGDYPCKRKHLDKDEEDDDSINERINDITNRFEEISRDRAALQLRAEDGQKIAGRGDWLKSQPTSHLLDESLVFGRIDEKENIVESVLSQSMKPGIVVLPIVGMGGIGKTTVAQMVYNDVRVRKHFEHSGWIHVSPTFDVLRLTTAIAKSLTKRNCSFKQLSLVHDVLLNKLAGKKLFFVLDDVWNECESSWHDLIHPLSYAQTVTILVTTRSKEVARLAGTVKPFYLTAIPNDDCWLLFQHYAFGKQCVDKKSSLVHIGKKILHKCGGLPLAVKSVGCLLRSKTDENTWMEILESELWELGEKDDIFPALRLSYYRLPTRLKPCFLLCSLYPTYLGFTKDEMVDLWIAQDYVDSTGGKTRQDVGNEYFDELHARSLIETSSGQLLREAHYFDELIGRSVIESLYENVQRPRNIRPSYYTRSLNLFPMDSMKSFSSERIDNFQLWKYNYMKQSLIETYLERSSKSIQRFKLHDVIFDLAKSFTSRERCTAMLGTLCNLPNELHQLHASQASGILSFHEPRSLQTLVLNCCFSASFPELSSFVYLRALVLYSNQDVTNMICSIGNLKHLRYLSLNCYLQELPESVSRLYSLETLVISNLRTLRATNFQNLVSLRSLHVYFEFLDGSLDQFCKLDMLDTLYLKRCSNLTYLPLHLGSLIYLQRLKLVGISNIRNLDHASFKYRRNNSIRYQEAIFPSLKELELEKLCKLEDWYGIQYSDCPKLQRLTIRDCTKLRTVPCFIALKKLVISNCALISLQFSVGNVPSKLQTIDIRDCLCLSTLVGLQNISDLMSLYIARCPRLLILPSESMMCKPHHAFIADCPKLKLWCEKYEFNYFQVTRKMQISDVQLITEYGVENFMTVEHLTIDKCSEIGQNLLSSTKSWLPSKLQFLQFSSGTFSGVLNFHKGLSMLLRLEISSCTKLESLIGLHKLDGLRGLVLVDCPLLDISTETKFPYRLSSLIIRGCHQILSVNLHYPDVLRELEISDCRGFMYIGGLSDFTDLESLKLLHYPLLQLRDLMPVFPETAMICCCPRLKKWCEWHEIEYKIYLSLFWVSLDYAFVKVGSCPYTHLSI >OGLUM01G21280.2 pep chromosome:ALNU02000000:1:21215186:21220535:-1 gene:OGLUM01G21280 transcript:OGLUM01G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVSSLLSSASSLLAILRSPLSDLYPHSGHPTASADLQRLKRLLSRIQATLDDAEEQGLQDNYVKLWLKELKDLAGDAKDVLDDYRYELLQSQVQERQGDYPCKRKHLDKDEEDDDSINERINDITNRFEEISRDRAALQLRAEDGQKIAGRGDWLKSQPTSHLLDESLVFGRIDEKENIVESVLSQSMKPGIVVLPIVGMGGIGKTTVAQMVYNDVRVRKHFEHSGWIHVSPTFDVLRLTTAIAKSLTKRNCSFKQLSLVHDVLLNKLAGKKLFFVLDDVWNECESSWHDLIHPLSYAQTVTILVTTRSKEVARLAGTVKPFYLTAIPNDDCWLLFQHYAFGKQCVDKKSSLVHIGKKILHKCGGLPLAVKSVGCLLRSKTDENTWMEILESELWELGEKDDIFPALRLSYYRLPTRLKPCFLLCSLYPTYLGFTKDEMVDLWIAQDYVDSTGGKTRQDVGNEYFDELHARSLIETSSGQLLREAHYFDELIGRSVIESLYENVQRPRNIRPSYYTRSLNLFPMDSMKSFSSERIDNFQLWKYNYMKQSLIETYLERSSKSIQRFKLHDVIFDLAKSFTSRERCTAMLGTLCNLPNELHQLHASQASGILSFHEPRSLQTLVLNCCFSASFPELSSFVYLRALVLYSNQDVTNMICSIGNLKHLRYLSLNCYLQELPESVSRLYSLETLVISNLRTLRATNFQNLVSLRSLHVYFEFLDGSLDQFCKLDMLDTLYLKRCSNLTYLPLHLGSLIYLQRLKLVGISNIRNLDHASFKYRRNNSIRYQEAIFPSLKELELEKLCKLEDWYGIQYSDCPKLQRLTIRDCTKLRTVPCFIALKKLVISNCALISLQFSVGNVPSKLQTIDIRDCLCLSTLVGLQNISDLMSLYIARCPRLLILPSESMMCKPHHAFIADCPKLKLWCEKYEFNYFQVTRKMQISDVQLITEYGVENFMTVEHLTIDKCSEIGQNLLSSTKSWLPSKLQFLQFSSGTFSGVLNFHKGLSMLLRLEISSCTKLESLIGLHKLDGLRGLVLVDCPLLDISTETKFPYRLSSLIIRGCHQILSVNLHYPDVLRELEISDCRGFMYIGGLSDFTDLESLKLLHYPLLQLRDLMPVFPETAMICCCPRLKKWCEWHEIEYKVN >OGLUM01G21290.1 pep chromosome:ALNU02000000:1:21226494:21227480:1 gene:OGLUM01G21290 transcript:OGLUM01G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMKGGPPAAYWHAAIINPSCCNLRSGEEFEQRRGREAHPHAAGLQATACPTVV >OGLUM01G21300.1 pep chromosome:ALNU02000000:1:21239555:21241075:-1 gene:OGLUM01G21300 transcript:OGLUM01G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGAYEPIMLSIGPYHHGLSYLLAFEKEKWNCLDLFLKLNREIKLEDYLNLVKDLEEEARACYEDIDMQHDKFLQMLLLDSCLFVHHFRGLEGILPSTSGANICSADSSDIIMERTIHKSTASQGNFGEQCSRDNSICTQRELVLAGSSREVTSQGTDFDHTEELESSQCNNWQIGTWFDSCIEQDLMLLENQIPFFIVRRIYELFAGNERPISFLTDKVAQSLENFIQYHPRAIQEAYRPKDFHHMLHLFQMYLKPSKKLVEGSQYLERGRYFHSFANICYRYLKIGRKLADSNHNMSPDPLLNCFQDHHPRIRGRRAVQYHQAGVQFKKKSFDRNNPHSLLDISFDNGTLMVPYLFVDQSTVSHFRNLIAFEQTCPQFGNDVTAYSAFMSFLLCRADDIAFLGRKGIIVHHLRSDGEVSAIFAKLGKNVDFDLNGRHFLKHVCHAMEEHYQSRINRWLAWLWQHHFSNPWLSLAVVAAAIVLLCTIIQTLLVLLAYLKSTNGM >OGLUM01G21310.1 pep chromosome:ALNU02000000:1:21253324:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYALCYFSV >OGLUM01G21310.2 pep chromosome:ALNU02000000:1:21253268:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSKAHCIIKLNCCRGSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWHHAFYGSWMCSSTVLISEGDAVSDGVRTRHKGNDQVNRMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21310.3 pep chromosome:ALNU02000000:1:21253324:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAHKRYHKLCWLWCYPSLFRNRDTEWHHAFYGSWMCSSTVLISEGDAVSDGVRTRHKGNDQVNRMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21310.4 pep chromosome:ALNU02000000:1:21253268:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSSSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWHHAFYGSWMCSSTVLISEGDAVSDGVRTRHKGNDQVNRMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21310.5 pep chromosome:ALNU02000000:1:21253268:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSSSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWHHAFYGSWMCSSTVLISEGDAVSDGVRTRHKGWIMINGRG >OGLUM01G21310.6 pep chromosome:ALNU02000000:1:21253314:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSSSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWHHAFYGSWMCSSTVLISEGDAVSDGVRTRHKGWIMINGRG >OGLUM01G21310.7 pep chromosome:ALNU02000000:1:21253255:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSKAHCIIKLNCCRGSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21310.8 pep chromosome:ALNU02000000:1:21253324:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAHKRYHKLCWLWCYPSLFRNRDTEWMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21310.9 pep chromosome:ALNU02000000:1:21253268:21256728:1 gene:OGLUM01G21310 transcript:OGLUM01G21310.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLNTVKNNANATAEFSTQSSSQIMKGAHKRYHKLCWLWCYPSLFRNRDTEWMDHDQRQRVSCAMGRESPLLSPPTCRWSHAPSS >OGLUM01G21320.1 pep chromosome:ALNU02000000:1:21256028:21257105:-1 gene:OGLUM01G21320 transcript:OGLUM01G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSVQTKKSQTGRTRQALGSSVQLDFSSCWARGSSDKLEAIATVIHDPWRSSPSAVDHDPSCSQIIAQVI >OGLUM01G21330.1 pep chromosome:ALNU02000000:1:21260545:21261121:-1 gene:OGLUM01G21330 transcript:OGLUM01G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAEGIHNHGLTLIKKMVEQTKHSTKGLLVVFLVLLGCFAIPGHEGKSIDYTDNEIRKGGNYEVMDNGEIACIKQFAGHYAGYFCFNTRSRHNGECYSTVSDCLPNCFQTL >OGLUM01G21340.1 pep chromosome:ALNU02000000:1:21264226:21264684:-1 gene:OGLUM01G21340 transcript:OGLUM01G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSTNSCSIIARRFAHGSRGFGAPRRLLEASGEGEGEGEARKVEEIRREGDDGAQQTETETAKQKEGGGLGRFGPTYFRSRIVWKGKIKVGNNLVRGIHRPRGCEHDMWAMLGDHGPRAGCLIGSCCVTWKVSLSRGALFDPLYATAKGRL >OGLUM01G21350.1 pep chromosome:ALNU02000000:1:21264430:21272666:1 gene:OGLUM01G21350 transcript:OGLUM01G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFVDNVIAVIKESVKTFTYESLNNIARLINGISALLLTLLPGKANMLEGISGWELRPSFRGPRLPRWMESGVSSFNEFIHELSVDSDGESVTDSIPGDDENEDFICPSSPLSQSSRLSHTSSFSRRDRRLRRPIRYAISWILWPARFLVSLLVILFNAIKFRIMQTSNKPPESPHISRNFRSRRGLHIKDQVLQRTTDRRRGVVEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWKKLFCWIHGSSSPVVDVPTANVGSDNPAPTERKTIYRHPLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPSWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHVDKKISSYKYWKYSVNEHGTKDMPAIVEEIHKIKTSELGKSRPHISEETEDQNDKIKNLEVQASQDDERDNQPYKLCAVCHSLGGAVMLMYVVTSRIAQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLILFVGPVLAPFIPGLYIPTRFFRMLLNKLARDFNNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKRFQMYDYGSPVANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKAGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVSDPGKGRIKQTSVRLRKLKKAQSEIENDSDSKEHNGIDNVVTDEQNGHVHAA >OGLUM01G21360.1 pep chromosome:ALNU02000000:1:21275168:21286045:1 gene:OGLUM01G21360 transcript:OGLUM01G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G65280) TAIR;Acc:AT1G65280] MGRGDEAEKEGKRRRGRGRSSRRSRDASSDPDSASSPSPSSASSPSRSPDRRSRSRSSGSKRRKASSSSRRHRHHHHNSSGRSRRSRNDDDERRRRRRRRDEERGRRGGDASESSGSGSEEPDRAEEAREIVRDILGDFPAVAGELRQLLQMIDNGEGIDISGISDKPLVKRLKKLFRSLKLRESSNGAYLLPPKSVPTLDVVGSALVASGELADNENKNPASPTRQEQPSSNFDVQNKNDSTPEEPAKNDGDEQPPKRRVIGPAMPSRELLAAAAEMTEALRSRDAEVEADDGFLIGPPPPAMVAEAASANEADRFEEVTRILAADENSPYDVVGINWKMSSDNIKKRYWKLSLLVHPDKCPHPSAQEAFVKLNNAFKDLQDPEKRGVIDEKIKKKEEMEQFEVELKAMREAAEWRRLQGVSLEGDDELLAVPKQAQAPKRDEWMTTLPPERKPGVPMHSTTSFSMNGKEGRGDTSVWTDTPLERAQKAQQNYLEAYNKAKAIADADEEKIKTSDASLVDTYNSSKRSVSLVQKHRESKKEKKKQKQRDKEEWEGNHPWKPWDREKDLTAGRQNVNLDPENMAQGLSSRFSSGAVQRNFL >OGLUM01G21370.1 pep chromosome:ALNU02000000:1:21287874:21290690:1 gene:OGLUM01G21370 transcript:OGLUM01G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKICVALGNEAINQATSKFKKFVSQITELQGSMGRIKRELRLIHQFLSRMDVRNRNNETYEIWVEEIRMLAHGIEDTVDDYLQLVSHKHDTGWSTYLKKGFTRPNILLSLNKIALSIKDAEANLMHLFQAKDRWVSMVGDGNNPSNDSSNYIVERSQHLASISRSLGEEDLVGVNQNRETLEEWLADDLLERSVITLHGMGGLGKTALAANAYMREKEKFQCHAWVSISQSYCIKDVLKCLITELSRNVKKTNWGNITDMDTGGFREELKRFLKLQKCLIVLDDVWAPEVINDLFGAHVPNLKGSRILVTTRIDDVAQLAFPDRRITLEPLCEKESWELFCRTAFPRETNHECNAELLHLIDQIVSKCKGVPLAIVSIGRLVFVRDKTKEELRRIHDQLDWELINNPSLEHVRNILYLSYIYLPTQLKSCFLYCSLFPEDHLLKRKALIRWWIAEGFISKRGRSTMEEVAEGYLQELVNRNMLQLIDRNSFGRIKSFRMHDIMHELAVDLCRRECFGVAYDEDNRRWEHEDRDERRLVVHKLNKDIDQEISCAHSLRSVITLDNSMISSSSILRLVVDNCRYMSIMELSGLPISTVPDAIGDLFNLRHLGLRGSNVKFLPKSIEKLTNLLTLDLFRSSILELPRGIVKLTKLRHLFAEKQTDRHRRLFRWCTGVSIPRGLENLTSLQSLQALEAQDESVRCLGELRQMRGLRLWKVKASLCEHLCESLLQMKCLSYLSITASDEDDVLQLDGLNPLPPSLHKLRLSGRLAHIMLGAESPLFQEDAGGQNLYSLRLFWSQLKEDPLLSLSRLLNLTELHFTRAYNGEKLVFLTRWFPKLKVLRLRDLPNLKRMDIQQGAMVSLERLRLINLSSMEEVPLGIEFLMPLKYLSFEEITVDFLLSLHQSRIGGMRWWHTLREENDMEAGVRT >OGLUM01G21380.1 pep chromosome:ALNU02000000:1:21291571:21292019:1 gene:OGLUM01G21380 transcript:OGLUM01G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAPTRWRYEVLMAMVSLSCYGSKVVDGQGRRPELQGGVRSMAALAGSGQVCSKSFTPLLANILPSMLLLPFYGLSASISGHWELRGKGRCGAFVELTTSR >OGLUM01G21390.1 pep chromosome:ALNU02000000:1:21294811:21299182:-1 gene:OGLUM01G21390 transcript:OGLUM01G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLMVAEPELGREIFLTRAEAFDRYEAHPVVRQLESDGLVSPHGDKWAHRRRRRLFHLFRRRSGFFRCHRRLFCRLPRCARERERGRGEEEGRRRAIGLTRLPRMRPRRIKTALDRPRRLTTVSELIPVEGGAAETERCGGDVEAWSERGELRLPAVGQQRMPTCDPKTLSARVHSHREIAWDDRARRWRQPRRTGPTAAGLSMVMALLAGSPSHSVITGIFDGGGSSSMAKTAKISSRGGGDRRRRGGSMAMREKAPQAFRWRSCPATLSGRS >OGLUM01G21400.1 pep chromosome:ALNU02000000:1:21324314:21327503:1 gene:OGLUM01G21400 transcript:OGLUM01G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHAKAHFEKIASLGLFNTSKAKDQFFIRLPEAIIKPDRIAVLGRGSWRRYRSDEEKPDDKQKIFLELLPAFKNGHSCLVILCQTTLGFVQLAALIGTMVVSSLRLKRQDYVDPIYQQSDDHKNIRWSLNIFYGLALSRCIVYFLITILANPLKRVRRVGFTYNLGFWGELSLTRYVEECYLKCVSGDFHGAISMDLVSFSKDLLSSDSIENHLIGFRILDHLLRSNMYKQRVLKKIRVSIGTIQMAVHMLSLKIDMDTDTRGHAARVLLELAPDLQVESFPGILPAISSLLSTNKGITNSESSSNTITVMKEFKLLGVQILEKLVDDQDNCTQVKDAKDLIPKIIELTRDGRLDCKLDFEIVHSSLKALLKLVSTTGEAGEELRRQVSGNLHIIEIIKKMLTGPTESQQADLLVQVTGILAFLAADDTARKEIRNSRLIVRMLISFLAGEMNVVQDPVPRKMMETLATEALVLLTTHFKEKIVLSTVSESNVQAILAETMVEDMENIVHVLSNESADHMIGVGKLLQNLWAYQGAKCTELFKIIDKALPKVLETIDQTIDLAESKIESDSSDGHSSHAQELIDSAEGKGKLLESFIGLTMQICTNGDEMVFTDALRSANITVDEFVLKLKMILTVYKSPTADFPGVRRVVIQQMNWMMEKNPAYIVVFKKHEMDIILKETAETATKIENFLLFHSGVGAFEHEESISSIVSKSLGLITGSFA >OGLUM01G21410.1 pep chromosome:ALNU02000000:1:21335635:21339183:1 gene:OGLUM01G21410 transcript:OGLUM01G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPILHRIIPLLLFLLLTLWPVSSSSLPDEYSDREALVQFRAALSDKSGSLGSWNATGSDFCRWAGVTCSRRHPGRVTSLNLSSLGLAGSISPVVGNLTFLQSLDLFNNTLSGDVYFTSQLHRLHYLELAYNDFSGDLPVGLCNCSNLVFLSVEANELHGAIPSCLGSLLQLKVLYLGENNLTGTVPPSLGNLTMLLQIALYQNQLEGTIPEGLSGLRYLQYIQASRNSLSGTLPPLFFNISSLQYLGFSSNKLHGRLPPDAGTRLPNLQVLRLGGIGNNFSGTIPASLSNATEIQVLGLARNSFEGRIPPEIGKLCPVSVQMGSNKLQANDAGDWEFLRYFTNCTRLQVIDLSDNTLGGILPSFIANLSRSIQWLSMAKNQISGIIPPGIGSLKGIEDLEFQGNNLFGDIPGDIGRLRNLKVLWLNMNSMSGGIPFSIGNLTQLLTLDLSNNQLNGSIPKSLGSMERLTNLDLSSNRLVESIPDVIFSLPSLTDSLLLSDNYLSGALPPKVGNLRRATTLSLSRNNLSGKIPTTLGDCASLVYLALDSNHFTGSIPPSLGNLRGLSILNLTRNALSGSIPQQLSNIHGLQQLYLAHNNLSGTIPQFLEKSSALIELDLSYNHLSGEVPSHGLFANMSGFSVLGNYGLCGGTAELNLPPCEVKPHKLQKQMLLRILLLVSGIVICSSLLCVALFLFKGRKQTDRKNATSDLMLNEKYPRVSYHELFEATDGFAPANLIGAGKYGSVYRGNLSLPSAVNVVVAVKVFTLQHASSSRSFMAECEALRNVKHRNLIKIITCCSSMDSRGNDFRALVFEFMPKYSLDRWLHPRIHEQTHKLSIAQLLNIAVDVADAIDHLHNNSCPTVIHCDLKPSNILLSADWTAYVADFGLAKLVGESIEKSGLSAGDSSTVGIRGTIGYVAPEYGAGGQASVVGDAYSFGITLLEMFTGKAPTDNMFREGLTLHLHAEMTLPEKISEIIDPALLHVEQYDTDAEILTCLSSVIEVGVSCSKENPSERMDMKHAAAKLNRIREVMESSL >OGLUM01G21420.1 pep chromosome:ALNU02000000:1:21356492:21357486:1 gene:OGLUM01G21420 transcript:OGLUM01G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHATAASTTTNVAAEVLGLPEAKLNWMILRWVFIDKAISSFGALALAWATIVLLGGFSTLIKQKDFWFVTIISFMEAASFLKD >OGLUM01G21430.1 pep chromosome:ALNU02000000:1:21369412:21374846:-1 gene:OGLUM01G21430 transcript:OGLUM01G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRMQHHGRTLQGQRACVPWRHWPERTSRVKNRTKKRRNKIEKKKRKGHAGRTAEACDGDGGARRGRDCAVCTKTAAPAPPSPPTHGRASPAHDCTAPRLAIERRRAHHPRMAASCAKPAPCKRVARPPLPTGLRLAEPGRARTLDCAVPANSFTLSNSHRPPRVFLAREHVWPLTSPPSSRRKTGAHSLPLLCNRQEEGEEERKKKAREGERKRKEKGKALTGGPLHSV >OGLUM01G21440.1 pep chromosome:ALNU02000000:1:21372104:21374570:1 gene:OGLUM01G21440 transcript:OGLUM01G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTFKERERVGAGFPAAGRRRRQRPHMFPSKKHPGRAVAVGESEGVGRNGAVKXAGSAGLGEAQAGRQWWPSDAFAGRGLGTGCGHARMVRAPPFDGEARRSAVVGR >OGLUM01G21450.1 pep chromosome:ALNU02000000:1:21374845:21378153:1 gene:OGLUM01G21450 transcript:OGLUM01G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRQHANAVFCQSRFPPIGIHRRLQVGCGGFRRERQKRNKNKEKERNSFSQLDRRDAKRICEFLPFFFLGLRLFSSAVNPRDQFILNAPEVVAAQTERFEAMENGSWQRHISINRQVSSSSVTAQGLRAMVASYYAKRCSCGFFLAKLLLMGFALVQYSAAVASVVLAALRLSKQDYVDPADQGSSDHKSINGSLNLFYGLVLVQGACDLLAQAIFFIADIQLVLRITEAYQLGPSGKQMVTHYMLVTYLRCSGGNVREAMNKDLVSFAMELVRSNSIADRLVGVRVLDSILRVPKYRALALMRLRASADTVGGVVSMLGLTNNTREEVNTRGHAAGVILELSRDLLLESFPAMLPIVSSLIVAADNSGNDVTVSMEFTWFGVKILNKIMDNPDNCNKVADADGQVIASIVNLTAVTGDDRSLSIVSSSAVRDEEIILEAVQVLHKLVSAAGDSGRVLRCKVSDNVYVLRNISKILQHPRSQVKLLVEAIGVLACLALDETGREEIASSPQIIRKLVSFLVPRSQMISEISADRRQLAKPNAEALVMLAMDNQSIVWKIQEELKPQDMQKLVEMLSADSTGFKTNVAKLSGILHANSRAEHAHLQKTIINTALPALLKAIKSEVEKLEDPVPYAGEHANNFQEWRTKQGALLESFVGLSVQICTSIHASDFNEALRSANVTVYMVMQKLRKILDLYKSPAIEFPGIRRVAVELIIWMKQCSSHCNEVFFQCEMDKALKEVAGTEERLEMFKIFYYGVGIVKHSEPISSLMGTEMCGLHLEDVAKSKLEGEKLKELARPSEDAE >OGLUM01G21460.1 pep chromosome:ALNU02000000:1:21399489:21404247:1 gene:OGLUM01G21460 transcript:OGLUM01G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTQNTPSGPEVIAARPFNSAVSWIGAPQCQLSKTQTWRVSRRPCKAPRPHAERRTSSSRRVRARRHEVKFRALRSACPRRARALAADGRSLSGLTIATLKSQSMAASPSPPMLAAFIVQESNKSIASSRICSPKLHSRNHQENNLQTNPNRMQELPVNSGQSLVDELENQGENKKQEPQKPKNTSSKKFAPPCGFKNQEPQKVAPGGGAVASSLSPREEVGGRGRRRHVSPPDDRS >OGLUM01G21470.1 pep chromosome:ALNU02000000:1:21421478:21423109:1 gene:OGLUM01G21470 transcript:OGLUM01G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAQAVLAGAGQVRQGGLAEHIAQLRHLADADAGGEPRAEVLHPPQLHEPRPPPLQHPRHHQRHRRRSGRRAAGRPDHRPPGHGQPRGGGAGPAGHEAPPPPPPGRRAAAHAHVQRRAHGPPRRRPHGARRRRHAGDVPAGPRAVRRARRLPGATGQDAPMTRHGRT >OGLUM01G21480.1 pep chromosome:ALNU02000000:1:21428941:21440420:1 gene:OGLUM01G21480 transcript:OGLUM01G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23180) TAIR;Acc:AT1G23180] MGAQDSHDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIDENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLVRIGAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLADIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSASNGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMLKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDKMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >OGLUM01G21480.2 pep chromosome:ALNU02000000:1:21428941:21438990:1 gene:OGLUM01G21480 transcript:OGLUM01G21480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G23180) TAIR;Acc:AT1G23180] MGAQDSHDVDSSESTSSTGSAYIGLFVRMLGLDNDPRDREHAVYTIWQYSLGGRKCIDEIMQFHGCVALIVSLLRSDSVRACEAAAGLLRNITSVKLYRDVAIESGAMEEIFSLLCKSTITPEMLEQSLCTIWNFSIDENLRYKILSSGMLTRMVRFLDDEDIKVKEAAAGIISNLALSHSNHGALVEAGVIPKLVQLLQNKEDDYKIIRKEAKSSLLALSTDEYYHTLIIEEGLVRVPLVGSAVYKAFRPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVGEKETEPDEAKVNAMIGRSNQQFLVRIGAIELDDEGNEQSGGSQRNDLYTILPWVDGVARLVLIIGLEDASAIAKAAKAIGDASINEHMRSSFKEAGAVKPLLQLLNHTDVPVRKAAVYALEKLSVSSIVCEQIKTDGGLKLLADIVKDPNTPVEQLEKIIIMLSRIFNTGISMVAVPDSSASNGSEDTMHSEKSNGSCGDIDGGTNGTSFAYLKQEETSSVSIIDFDVISRLTRVLREASPSLQEQVASVLEHLAAFDQHATAMTAARIESVIEAVLEMGVIHGTMGDPENFDELPTVVIEQVSRAVSATVRLLTKLLDFDIFVRSINTEKCIALLRKMLKSSIPLQSKDWLAACLIKLQSTAGLSGHESVSSIDMEITIYETIPRLVDKMMTSFSFENKRNAVIELNKIVSGGVMEYTRAIATAGGIFPLVKMIEEGDGDALEASLAILYNLSMDPENHPAIIAAGAVPLLKRIVVAESSHWNRALQLLRTLPV >OGLUM01G21490.1 pep chromosome:ALNU02000000:1:21491051:21491782:-1 gene:OGLUM01G21490 transcript:OGLUM01G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTCHSLPPRSSSSPLSNPLSRLPPKSVPSLPIPTPTHLTVSRVAAATAGVQQLVFIGAHPGAIRRRDRAAAGGWGQREEVMDESAVPPQDDKRGEARKSDGEVRGSPSSFRSFGESPTPAVASGMTMSRLNSSCSTTLLAACDRGVRALRLNHAAGTASSHRLDPDGGTSGGDDDRSRNCSWHWLMRDRGVAATTMTAATDGGADDDNHGWWPRHRRRHARGRCARCGWMAEKGRWNWEWW >OGLUM01G21500.1 pep chromosome:ALNU02000000:1:21528836:21530044:1 gene:OGLUM01G21500 transcript:OGLUM01G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLLLLSLSLSLSPLQLIQVQIRRERAIELGVELYSYLCQCINGGLAWLGDDGVNQEEALEERDREEEGRDEEAAEGRRRRRRRNKQGGEGAQGQALHHPPLRRHAPLLA >OGLUM01G21510.1 pep chromosome:ALNU02000000:1:21544033:21548122:1 gene:OGLUM01G21510 transcript:OGLUM01G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGSERRQRGGGFGRAIKQQRARLYIIQRCVTNSQDEAWEPKKERRIQQVPEGAKIKTLHHFAMRLA >OGLUM01G21520.1 pep chromosome:ALNU02000000:1:21551908:21552589:1 gene:OGLUM01G21520 transcript:OGLUM01G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMDELVQLAESMCQATSLLLGDDDPSDESNPCRPSTFLNAVALGNVQRSRPPSASPRAPTALDAIDKAVGKLKSVLDEEALRAEELMAPLESHCSGRRRRRLQWDSVQEVMDEAPPRLPPPRRRPPRRPPPHPPR >OGLUM01G21530.1 pep chromosome:ALNU02000000:1:21555917:21563908:1 gene:OGLUM01G21530 transcript:OGLUM01G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTLAPKRRKAEASPSPSPMGDSSDGGYSDSDLHDAEDSFYSARSGSEDDRQDSSSNDDDDDSEEEQEEQMDEEEEEDDDDEEDDEEEEMNEEDEEDDGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQERILQSRMERMMSGQKFRNCRKALSIETVLFYTCMFATWWITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELIDDSEFYQQLLKEFLESCDASASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >OGLUM01G21530.2 pep chromosome:ALNU02000000:1:21555917:21563924:1 gene:OGLUM01G21530 transcript:OGLUM01G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTLAPKRRKAEASPSPSPMGDSSDGGYSDSDLHDAEDSFYSARSGSEDDRQDSSSNDDDDDSEEEQEEQMDEEEEEDDDDEEDDEEEEMNEEDEEDDGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQERILQSRMERMMSGQKFRNCRKALSIETVLFYTCMFATWWITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELIDDSEFYQQLLKEFLESCDASASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >OGLUM01G21530.3 pep chromosome:ALNU02000000:1:21555917:21563924:1 gene:OGLUM01G21530 transcript:OGLUM01G21530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT5G61330) TAIR;Acc:AT5G61330] MAPGTLAPKRRKAEASPSPSPMGDSSDGGYSDSDLHDAEDSFYSARSGSEDDRQDSSSNDDDDDSEEEQEEQMDEEEEEDDDDEEDDEEEEMNEEDEEDDGEEMNELEKEYRTLQTNQQNILETLKQHRDDDVSKGQAVKNQKVLWDKALEMRFLLQKAFSTSNKLPKEPIRSMFCDHNQEIEQAYLDLLNSSKQTLGSMMELQEALLERNRATHTDTENSSESNGEDDEWSEVQKLQKRITPFRNSEIDKWQRKTQVTTGAAALKGKLHAFNQNISDQVTSYMRDPSRMINRMHLRKSTLGVFGEEVGEHENNKEENNTEGDPELIDDSEFYQQLLKEFLESCDASASESAFYALKKQQHKKRKLVDRRASKSRKIRYHVHEKIANFMAPVPMVIPPMAPKLFENLFGMGNQKSTTA >OGLUM01G21540.1 pep chromosome:ALNU02000000:1:21573336:21574356:-1 gene:OGLUM01G21540 transcript:OGLUM01G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWASLPADLLFSISSHLREPEDFVRFRAVCPQWRAAVSHEEHAFFQPWIMASRWLEDEYSENFVFYSLSTLKTIKVRVPDMKGKRVAASGSGHLVAIDNDDDLSAVLVNPLSGKTTALPRLPEFFHDNGAHGWVTGEGVITVVLYNWMSENMALWWKLRLRMSYYLRMLAAYGDQMEMHLTNLGGDNEDSVVLLQETEKVELLGGCWPRSDEVFKATRPCHHEWFSLYRNVEQEEIPVHDIGNAMVVQSRESCTRTYMIPASRDFAALGSRNAFYYLWKQFDAGGSYNALFKKCLASEELTFVKRLPEDWKLSDEWFMPSLKY >OGLUM01G21550.1 pep chromosome:ALNU02000000:1:21622239:21623003:1 gene:OGLUM01G21550 transcript:OGLUM01G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGAVSSGVMGTTLVVAAFPGHEGGRVENPLTRALDERGKYRAPFCIASFLTASSPPLPSPAPPPPSGRAINHPPPLFGFLDIDGNFVPWRHTIAATPTAPMKTGGEHRSGGRGRLLMFNTFKTDVSDVVAKCFKTDLIVFHHFNS >OGLUM01G21560.1 pep chromosome:ALNU02000000:1:21624891:21640485:1 gene:OGLUM01G21560 transcript:OGLUM01G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFAQLATTSDDDDDDAPAADAPPKAKPPPPPSSSGPKKPKQRRLASAAAADDDVEEDDLELEEEEEDEKDLEEMRRNEEEERREETQTRRRRRRRGRKPKRPAEESEEEEEEEEEEAKAEEAREEENTEAVPIGEPVKITGRGKKQRKHYTSFEYEGNTFELEDPVLLTPEDSKEKPYVAILKDITETEGSLSVTGQWFYRPEEADKKGGGSWKASDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKKIPSRKEHPGFIVQKVYDTVAKKLWNLTDKDYEDNKQHEIDLLVKKTIDRIGQLSDIEPADAPGDNNDQLSNKRGLRKRPVLPIDVSRDDALAGKSDQFGKAETPGSDKLKNYATLVKYKAVTGDQYRDRWLDKLVDTIPLTSRESAGASHADPGGATKSSTNGSSAKEDNEKSYSPDVIVSIMASLERSTYEALGSDFQKYNQKLRQLLFNIKNSPVLRNRLMNKELDPPVLLTMSPDELKVGLTAAERTSEPEESRKLQMTDARCVRCAEKEVGVSDIIHAGHGDRYQLECNACGHSWFSSRDAITTLTVDTPTSAGGNVGTAPWATAKFDVMEKQLTSPRDHQPDKPLADALHKSTAPYMPTLEKQKSFGKHKPDEPSSAPAASHE >OGLUM01G21570.1 pep chromosome:ALNU02000000:1:21641636:21646525:-1 gene:OGLUM01G21570 transcript:OGLUM01G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 6 [Source:Projected from Arabidopsis thaliana (AT3G49500) TAIR;Acc:AT3G49500] MRSPRGGAAAQPPGRRGDTTAAAAGDLVTTQVSLGGFDAGVAAGDLADFLEHEVGLVWRCRVKTSWTPPDSYPDFALPTAPASASAAAAPPRYDRVPPHAFVHFARPEGARRAADLAGETRLILRGKPLRVASAPDSSLRVSRRSSIAPFRFPDVRLEVGALPSPGAFLAAWRGPDAGLDLSVDPFDGCCRLVFTRDTAFTFPGFREVAAIRCDVKLEFPVRDVLEVRLYRLDCSLLLRLAAAPLVHYRTADDDFHEPVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRISFSARFWPKMDRALDYMRERRVAIVDCGGGWGPRRGLTVRDELEFGEPMQDVFFCLQHAEGLKFPLLFMVNALVHKGIINQHQLTPEFFSLLGRSEENVNVAALRDFWGDKFPVFDACGRLKKALNRVARNPKLLCSKVGDDHAEVRRLVITPTRAYCLPPEVERSNRVLRHYHEVADRFLRVTFMDEGMQVLNNNVLNSFTAPIVKDLMSNFFQQKTTVYKRVRMLLTEGFHMCGRKYSFLAFSSNQLRDKSAWFFAEDRKTTVEAIRKWMGRFTSKNVAKHAARMGQCFSSTYATVTMRPDEVDESFDDVVHNEYIFSDGIGKITPDLALEVAERLQLTDNPPSAYQIRFAGFKGVIAVWQGHGDGTRLFLRPSMRKFESNHLVLEVVSWTKFQPGFLNRQIIILLSSLNVPDSIFWQMQETMLSNLNNILSDRDVAFEVLTTSCADDGNTAALMLSAGFEPRTEPHLKAMLLAIRSAQLQDLLEKARIFVPKGRWLMGCLDELGVLEQGQCFIRATVPSLNSYFVKHGSRFSSTDKNTEVILGTVVIAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPQKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYSPPEAKQLPRQVSQHDIIDFFLKNMISENLGRICNAHVVHADLSEYGAMDEKCIHLAELAATAVDFPKTGKLAIMPPHLKPKVYPDFMGKEDGQSYKSEKILGRLYRSIQEASNGDVVSQEVCTPNDLPYDIDLEVPGASDFLASAWQCKCSYDAQLSALLSQYRVRTEAELVTGHITSLVKNSSKKQGDIKDRLKTAYSALRKEFKSTFESIASDQCEIGDDEKNLLYEMKASAWYQVTYHPKWVEKSRGILGPDGEEIPASLSFAWIPVDYLARIKLRCHGKVRVEGQKPVERLAAYISERI >OGLUM01G21580.1 pep chromosome:ALNU02000000:1:21672824:21703385:1 gene:OGLUM01G21580 transcript:OGLUM01G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPSLPDAEAPPPPTSPSTVTGGAVSGTIPAAEAFAVHYPGYPSSPARAAQTLGGLPAIAKVRGSDPGARLELRFRPEDPYCHPAFGEPRMSAGLVLRLSRPKGGTAPPRAEVVARVRNAYHFEGQRAERHLFDEMPHNEDAMMLVPPLFSIKDKPTKIALLPSSNALSKSMQRGVVQHRWEMDIGPTLALPFNVQDILFYCCPVKLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRMSSRVTLLGKGGRDAGMAGRSANGRIGEDERRQELGLCYQWRRDGQCEQPSCRLIRFQRIDFRMPPELRNLPRKERRPEKWTEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKPGDAPNNTEASDGEFEIYEQPSDDEESSDG >OGLUM01G21580.2 pep chromosome:ALNU02000000:1:21672824:21703385:1 gene:OGLUM01G21580 transcript:OGLUM01G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPSLPDAEAPPPPTSPSTVTGGAVSGTIPAAEAFAVHYPGYPSSPARAAQTLGGLPAIAKVRGSDPGARLELRFRPEDPYCHPAFGEPRMSAGLVLRLSRPKGGTAPPRAEVVARVRNAYHFEDNEDAMMLVPPLFSIKDKPTKIALLPSSNALSKSMQRGVVQHRWEMDIGPTLALPFNVQDILFYCCPVKLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRMSSRVTLLGKGGRDAGMAGRSANGRIGEDERRQELGLCYQWRRDGQCEQPSCRLIRFQRIDFRMPPELRNLPRKERRPEKWTEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKPGDAPNNTEASDGEFEIYEQPSDDEESSDG >OGLUM01G21580.3 pep chromosome:ALNU02000000:1:21672824:21703385:1 gene:OGLUM01G21580 transcript:OGLUM01G21580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSSPSLPDAEAPPPPTSPSTVTGGAVSGTIPAAEAFAVHYPGYPSSPARAAQTLGGLPAIAKVRGSDPGARLELRFRPEDPYCHPAFGEPRMSAGLVLRLSRPKGGTAPPRAEVVARVRNAYHFEDNEDAMMLVPPLFSIKDKPTKIALLPSSNALSKSMQRGVVQHRWEMDIGPTLALPFNISQSQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRMFQRIDFRMPPELRNLPRKERRPEKWTEMCKLEVMPSKSFIFLQLFELKDDFIQAEIRKPSHQPTCSHLTGWFCKPMIKTLRLQLSIRLFSLCPNEDAKNFLRNAYELIERSKKQEALCRSQQLKENKEASDRHNGTEDQAGGNNSDSEDAEDDEEEDKESDGYESPPMADDVPDFTLDDPYTSGEGFSNGYLEEMLRNFPLHEDGQNKPGDAPNNTEASDGEFEIYEQPSDDEESSDG >OGLUM01G21590.1 pep chromosome:ALNU02000000:1:21682348:21682773:-1 gene:OGLUM01G21590 transcript:OGLUM01G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGGATAASAARALRSRLIPDTSHHPATALAPIASPRSSSSSSSSSAPTIAAAVPAVAEATAAAAAVSRQAGSVSDALRHYGRCYFELSKARLR >OGLUM01G21600.1 pep chromosome:ALNU02000000:1:21692752:21696072:-1 gene:OGLUM01G21600 transcript:OGLUM01G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 10 [Source:Projected from Arabidopsis thaliana (AT2G44520) TAIR;Acc:AT2G44520] MVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGVAGTALLAWKANGLAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASSELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGFFAYNWGLTSEWFSLEASLLTLGLTIGALSFVLEPTPKTARRMFYGSLLYLPAFMAGLLLHRLPNEQKEHNVTQTSEITGILYGAEQQDEERARQKREDRKPSRIHSRPPVAYASVAPFPFLPVPIYVSTQGHEL >OGLUM01G21610.1 pep chromosome:ALNU02000000:1:21704311:21704531:-1 gene:OGLUM01G21610 transcript:OGLUM01G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQIYLQNRCSPLSYQIKVDFGAFLRNKYPEFGMLSFLNHCYSYANLNSCKIPFPNTGTISISRRWMRQ >OGLUM01G21620.1 pep chromosome:ALNU02000000:1:21706503:21708157:1 gene:OGLUM01G21620 transcript:OGLUM01G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLSDCCWQCTGSRCSPTTSRTTPGTSRGSSRERTRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRHPIQFVDGANVGTAKHFEYMFYIDFQASMAEEFTSFLRVLGSYPMDMTPWEYSSSSSPDDDDRSTRLMLKFYVAGAGEKLQANIRIASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCSEHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETLYDWDAWDALPSI >OGLUM01G21630.1 pep chromosome:ALNU02000000:1:21717170:21717895:1 gene:OGLUM01G21630 transcript:OGLUM01G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPPPLPAAEEEAATTAATAEGEHPAHAVPPPLLPPKKKPFPPLSPMAAAKEEPIELAAVAPPHFMLGSVWPLLADNGKRKRRSCCGGRITTLNTATSSVELHLRLKHGGDGARVVFLIIGALGRALRLLLWLLGQAHEQPRSPPWRSRTMTTRRRGRAPRRQGHCPPSVRSACSTVLLVTTYLSATWEKTMKKWEREERRSEDEEEKREGRGKKGRVRMTCGVYMSSTIFNYVVCIADM >OGLUM01G21640.1 pep chromosome:ALNU02000000:1:21718295:21719874:1 gene:OGLUM01G21640 transcript:OGLUM01G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSRFLRRAALTTAMAGAAATLALSKAEQCGVSVRLSRLSPHRGADDATRCGHLGLVRAHPGLRDLNAALTTTTTSGGSADASFFLDAAHALAASALRVPTITGGAIRLMVSDRIPKKLAAAESKGDSKAAVHLRLELATLHAREGRLDEALAAAVQLARDNPGDIRPRLAAAALCCLHGRSGTAFEWLKSVPESARRFKTSDRFVTIVVYAMPGSSPQRVEEGVDGMVVDVAAAIAEDTLSMKLEEGEWSTLERLELAAMLAPVLGARPLCGERLREVRAVAERALADAEAEADASAAVVDVNLLLAFLAIRDGRFDEAMQRYRAAVARDPSDRRAYELAAALCSIAGHAAEERDAWLRGEERHCDRGRGATAGRGGGLQLQALLDEQVVAAALGLGGDRTARDPHRGRVLAAAWREVDAGLAAALRDGDHLTMAERAQLRGLRCVLRAKMQPLLDTAANSTGPDNSPQQRSH >OGLUM01G21650.1 pep chromosome:ALNU02000000:1:21722584:21726849:1 gene:OGLUM01G21650 transcript:OGLUM01G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESEAAPGTGKLVCVTGASGYIASWLVRLLLARGYTVRATVRDTSDPKKTLHLRALDGANERLHLFEANLLEEGSFDAAVNGCDCVFHTASPFYHNVKDPKAELLDPAVKGTLNVLGSCKKASIRRVIVTSSMAAVAYNGKPRTPDVVVDETWFSVPEICEKHQQWYVLSKTLAEEAAWKFSKDNGFEIVTVNPAMVIGPLLQPSLNTSAEAILKLINGSSSTYPNFSFGWINVKDVALAHILAYEVPSANGRYCMVERVAHYSELVQIIREMYPNIPLPDKCADDKPSVPIYQVSKEKIKSLGLELTPLHTSIKETIESLKEKGFVIFDSSNL >OGLUM01G21660.1 pep chromosome:ALNU02000000:1:21748576:21752538:1 gene:OGLUM01G21660 transcript:OGLUM01G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRLKKKYFNGIPANEVRTTSPLSSMTDNEWKQLVDMWSTPKHKEKCIKNKDSRELVQYHQMTGSRSYVAQCYVMKQTKFKDVPPTAIDIFKDTHCSSKSGFNENAKDAIAQMEAYVAQPTEEGKDPKTPVEAVAHVLPKSTFLRNVGM >OGLUM01G21660.2 pep chromosome:ALNU02000000:1:21748576:21752633:1 gene:OGLUM01G21660 transcript:OGLUM01G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRLKKKYFNGIPANEVRTTSPLSSMTDNEWKQLVDMWSTPKHKEKCIKNKDSRELVQYHQMTGSRSYVAQCYVMKQTKFKDVPPTAIDIFKDTHCSSKSGFNENAKDAIAQMEAYVAQPTEEGKDPKTPVEAVAHVLPKSTFLRNVGM >OGLUM01G21660.3 pep chromosome:ALNU02000000:1:21751737:21751993:1 gene:OGLUM01G21660 transcript:OGLUM01G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNAKAAAMNDRVHGISRNAIAVADLQKQLEDQKEAARKNEEETEKLRQQGSEIQSFLRSLFGSKFASSDAQQ >OGLUM01G21670.1 pep chromosome:ALNU02000000:1:21763180:21763483:-1 gene:OGLUM01G21670 transcript:OGLUM01G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLELVLAGDEFTREGGDLELTSSWKGDAEGEIKLNGARGCGNRGELKLITGHSGRRERKERAHRRPHCVGVREKEERKRGRRRR >OGLUM01G21680.1 pep chromosome:ALNU02000000:1:21763658:21763934:-1 gene:OGLUM01G21680 transcript:OGLUM01G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSQSNVSMHLTSPVWRWAIEQGGGSGELATRKTRSGRPEPACSLQNKERKAGGSRRAPSLCPGILLPSMERCMQQHGRSYDERRRGI >OGLUM01G21690.1 pep chromosome:ALNU02000000:1:21800132:21801577:1 gene:OGLUM01G21690 transcript:OGLUM01G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Y9Y8] MLLLKAVYRVVVNNFLALAAAAVAAVLLRRPDELAARLRAVSSPLHAATAAVLAAGVARLVRARRARGVYLVEYGCFRPRPCYRAPFATCLEHAHLMPYLVDEESVAFAIRLLERSGLGDETCVPDAYHYMPPDRSLRASRDESELVIFSAVDDVFARSALTPADIDVLIVNCSIFTPTPVFADMVVNRYKLRAEVQNVNLSGMGCSAGLVSVGLAKNLLQVSPPGTNVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSPDHARFRLGRVVRTVTAARDSDYRCVFQEEDEQGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVAISFLKRKLKQLSGHAGKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQHGLGLSDDDVEASRMTLHRFGNTSSSSVLYELAYLEAKGRMKRGDRVWMISFGAGFDCNSVAWECVKPAPDADGPWVDCIHRYPVQLPEIAKDV >OGLUM01G21700.1 pep chromosome:ALNU02000000:1:21830788:21834843:1 gene:OGLUM01G21700 transcript:OGLUM01G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPRAGDGAAAAAAAAKEAPAVSYLQACVELDDWWLERVEGEEGKVRVVGSNTTTSRAGRRFTSASIKTRHASGDLETEDGIIIMIARPPNISKMHLNGFPDEVSKHFSLGFPVQWENIINANMAEMNKQPQSPLKSTEYYIEKFLRGNLKYSMGLFSWDGLNIYQGSRSDADRFPSERLSNSSNGRPTVEDPTANTDCNVNFMGTLATSEEFCTGRMDMPEEPRATPSETCGNDQENNQHLCMLMNTCENGNKVQHGTSSVGPSVVPAEKYVRSQAEQDALLVNDSTSHVSSVLGDCATPKCGKSLTHLGTKDALETNEGMNPQFGVPQGSEGSTVRRLRNGKVIVISTSASTKKVYKRARMQDNTFSENVIPNKNVTCPTGLISQENVGSAAVTAAAKLQIHDTPRKVTITP >OGLUM01G21710.1 pep chromosome:ALNU02000000:1:21837934:21840635:1 gene:OGLUM01G21710 transcript:OGLUM01G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKIKATESIYSPPPPTSSRLTRVVVVVETLAARAAASSPARRLQPSSCLAPPPADRRAPPAPLRPMDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >OGLUM01G21720.1 pep chromosome:ALNU02000000:1:21841944:21846487:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21720.2 pep chromosome:ALNU02000000:1:21841944:21846487:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21720.3 pep chromosome:ALNU02000000:1:21841944:21846487:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21720.4 pep chromosome:ALNU02000000:1:21841944:21846487:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21720.5 pep chromosome:ALNU02000000:1:21841944:21845335:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21720.6 pep chromosome:ALNU02000000:1:21841944:21845335:1 gene:OGLUM01G21720 transcript:OGLUM01G21720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWATNGPQSLGMHLGVKLALRRPNGSHGEIDVVRPHQGSREIELRADRSSLMALLPMLLTFYPWVMQFRNYSSGLGGDSTATGDSSSTRVAADPDTHQDFQPTTKSSNMSFDDIVSQDIKENPVLIYMKGYPDAPRCGFSALAVRVLKQYDVPISARDILGDLKLKESVKAHTNWPTFPQIFIKGEFVGGSDIILDMHQAKGSA >OGLUM01G21730.1 pep chromosome:ALNU02000000:1:21848062:21849297:-1 gene:OGLUM01G21730 transcript:OGLUM01G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPTPRRPRSWSDIPADIAGLVLRLLPAHADRARFAAVCPQWRATARQRPLPPPPPPLPLLALPDGTFYSPPYDEPFRFPGFGFAGYKATCGSRLVFPRDDGCFLVNPFTGATVTLPALSSVRLRPPNAVAKYDQQGTAYPVTWMHIRGSEHLHISKLILCLPSLVAAIVGDGHISQILVCKPGGLSWSVRAYDMVRNFQDMAFYQGKLYAIANDDEDLLVVNISQDQSTGDPQVSKIGQAIKGEPFHSVWHEFGTMDILANKKLYLVESHGSLLMIRRKIWCWSKQASDTDPEASRPIVAGPNEFEVFKADFEQSRWVKMTTLGDEQVLFLGRRCSRAMSVSQYGMSGDQIFFLDDEEENLKQYYYSTEITSFCVCDMRDGQVDSPLPKASWKRCDEMRPVAWLFPQD >OGLUM01G21740.1 pep chromosome:ALNU02000000:1:21855169:21856047:-1 gene:OGLUM01G21740 transcript:OGLUM01G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNEPREERGEGERGAGLPVAKVWRRRRHIVFPVDAHSGRSVVGREEERDGRNSSGKSLAPAGLGGGGGGLTQGGREGVKG >OGLUM01G21740.2 pep chromosome:ALNU02000000:1:21854734:21855169:-1 gene:OGLUM01G21740 transcript:OGLUM01G21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEGGHHSGARERIEKLRGRRSTVGGDGRTWEEREKKKSSRLGWRKEEGRWTSVHEGRFAGGRRGRHGDSGVRKRNTASASFSHWTKRAARIRGGCRKIGGGRQGTASVEWRRRERRRPRSVIGWRRRQDCGRRRP >OGLUM01G21750.1 pep chromosome:ALNU02000000:1:21856711:21859911:1 gene:OGLUM01G21750 transcript:OGLUM01G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGTSTNLLDVGDDDVVDELENCNRQSTSHPTRCTRTVVFLSLSTCTHASSHAQIGNHHSKNTQQIDKASELSTSAAEAPNPAAAAPSEGGARRLLHAAGRWCRIHSGNHRCRRIPRRHRRMRRRNPSPPPPAS >OGLUM01G21760.1 pep chromosome:ALNU02000000:1:21859456:21861312:-1 gene:OGLUM01G21760 transcript:OGLUM01G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLALLILLSATPLGGPRTSVNSASPLRAAIVAYPVPGSLRAALRRSPLHRLHATAASLNSGDLSAALHLVSALLKYRGRVTCGQREEDDGAGASRRMGGGLPVAIFKLIDDIVIPYIEQVGGCSWKKLGLKIQSLIADYIFL >OGLUM01G21770.1 pep chromosome:ALNU02000000:1:21863637:21865929:-1 gene:OGLUM01G21770 transcript:OGLUM01G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAQEAHVRARWLALAVVAERHDEPRPARGDRGAQLAEVGLDEEASCETVLQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGFEYSQAAVSQLESTSGLKQQNLEKNEYEESPVPNLLDESMEKEVACSSVELNQSASATDQENVSQKIVVTITSEKGMHETEPVSANKIAICQPDFPSKAEFPVGSSFVTPRKNKLVDVTDHKDSAELVKTRSIQNKKGEMPSSVTGKAPTMPTKSAPKDRVKNKSVASGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >OGLUM01G21780.1 pep chromosome:ALNU02000000:1:21884156:21885439:-1 gene:OGLUM01G21780 transcript:OGLUM01G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEAELWRLARAAIPALVAHMMRHVFDQNGIKGAIAAVAKLPDNAVSISQDAVRYSWMYITLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGP >OGLUM01G21790.1 pep chromosome:ALNU02000000:1:21895890:21898695:-1 gene:OGLUM01G21790 transcript:OGLUM01G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEPHSAIPPNRQIPRRGERRRLQPLPPPPPPPPTSAPWPLPRSSVAGLSSAPALSLFPRRRPTPPPPTSASKSAPLGRRRRGAGGTGGGGGGGGVRVVVGGLVVPPATSVHELLECPVCTNSMYPPIHQRTG >OGLUM01G21790.2 pep chromosome:ALNU02000000:1:21896847:21898695:-1 gene:OGLUM01G21790 transcript:OGLUM01G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVEPHSAIPPNRQIPRRGERRRLQPLPPPPPPPPTSAPWPLPRSSVAGLSSAPALSLFPRRRPTPPPPTSASKSAPLGRRRRGAGGTGGGGGGGGVRVVVGGLVVPPATSVHELLECPVCTNSMYPPIHQYLEIGETYGIKKVWQDHSLWLDGKVVKAKI >OGLUM01G21810.1 pep chromosome:ALNU02000000:1:21908944:21914383:1 gene:OGLUM01G21810 transcript:OGLUM01G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITYLEEFRTEKFDRTNISPDTMPKKNLKAIQLLDGSNYVEWRNNVFINLAIREDPPEEPQPAKELNIIGEEYDNLMWAYNKKLANWEKSNRMCLIYVRGAISPEVIGEIIDSNDIKTYLANIEESFEFAPETHANTLVSEMITSHYDGKSGIRKHILEMTHMENQLRSMDMEISDGFLVHLIMRSLGPNYDPFKINYNTQKEKWTIQELISHSVEEEERQRAEKQKIKDQLNLTNAFDKGKKVYQGESSNKNSEPEGEQKQEEIKASTSTIPLSTSPYCHFCASDGHWLRNCTHFTAWLVKKGIPYHPNGSKEGSEHSE >OGLUM01G21820.1 pep chromosome:ALNU02000000:1:21915812:21918769:-1 gene:OGLUM01G21820 transcript:OGLUM01G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTARRLALLGLCLGLLLLAPSHLASAAAVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNP >OGLUM01G21830.1 pep chromosome:ALNU02000000:1:21933590:21935730:1 gene:OGLUM01G21830 transcript:OGLUM01G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLRRAALAAALAGTAAVAAKLSGISVRVSHPPQDGAATTTRGHLGLVRAHPGLRDLNAALAGSSSGRDALFLDAAHALAAGALRAPTFTGRYLREFAKKLAAAESTVVYRVEMGLALVHARDGRFDQALADVARLVADRPGDPHPRITSAALRLLHGRMGTPAEWLNSLPEDAGWRVAFEVVFAMPGSSPLYTQGAADRVVMLLAAKLAEAVLVKNFEQGEWSVADKLAISLLLTALRLFVSKYSRFPYFMRPKSTPPPPSSGGREIKPVNLQPVFLVECSQAMLASLLRARPLCGERLREARATAERALADAETEGDDLAAVDVNLLLAFLAARDGDFDDALRRYKAAVQKDPSDSRPYELAAALCAINGDAAESEAWRRDGKQHGRATVAVAVAELELPALLDELVVAAALGSGILTTLGLERGGRRRLVLVAAWREVDARLAAAVLDDDLTLPERVQLRLLRRLLRGETQLLLDTASHGLMKNSAQLGTRWTS >OGLUM01G21840.1 pep chromosome:ALNU02000000:1:21938418:21951994:-1 gene:OGLUM01G21840 transcript:OGLUM01G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRHTPRRPTVPDSKGQSRPPMMGSSVPPQNLGPPMPMQFRPVIHQQQPPQFMQPGQQFRPVGQAMPGANIGMPGQMPHFQQPTQHLPHSGQVPPASQAYQPSSVPPPIVQSWGTAPGQNVPLVQPGHQPMSSSTTMPSINSSETSSSDWQEHTSADGKKYYYNKKTRQSSWEKPAELMTPLERADASTEWKEFTTQEGRKYYYNKVTKQSKWTIPDELKIARELAEKASNPRPDQETETTAGAPSGPTSNSVEPSSVPANQSSTTIMVAPSTLDAAANSVPPGAGPSHNMENTSSSSNTAMQNGGPSTVVTPVISTEIPSVASDAGISRANNEYPFLASTADTQNGASAEELEEAKKTMAVPGKINVTPVEDKTSEEEPVTYANKLEAKNAFKALLESSNVESDWTWDQAMRVIINDKRYGALKTLGERKQAFNEYLNQRKKLEAEERRIKQRKARDDFLAMLEESKELTSSTRWSKAITMFEDDERFSAVERPREREDLFESYLMELQKKERARAAEEHKRHIAEYRAFLESCEFIKTSTQWRKVQERLEDDERCSRLEKIDRLEIFQEYIRDLEKEEEEHKRIHKEQVRRQERKNRDEFRKMLEEHVAEGMLTAKTRWRDYCAQVKDSSVYLAVASNISGSMPKDLFEDVMEELEKQYQDDKARIKEAVKSGKIPMTTSWTLEDFETAVTEDDTLKGITNINMKLIFDDQVERLREKEVKEAKKRQRLGENFSDLLYSIKEISASSTWDDSKALFDDSQEYKALDSETYSRELFEECVVHLKERLKEKERLREEEKARKEKEREDKERRKEKEKEKERKEKERDKEKEREKDKGKDRSRKDEMDIDAVDADSHGSKDKKREKDKEKKHKRRHHDTADDVSSERDEKDDSKKSRRHSSDRKKSRKHTHASDSDSENRHKRHKKDRDSSRRNGTRDDLEDGELGEDGEIH >OGLUM01G21850.1 pep chromosome:ALNU02000000:1:21971519:21972256:-1 gene:OGLUM01G21850 transcript:OGLUM01G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKKRFPTLAQVAKMASLLLLFLLLPLVPSSLRQPYLYLLFNALVVALGVEAGFLAAISGGPRDDKLLPKPTATAAGNRPGEVDVAAAVANGRRHHHIAGGSSSSAVIAGASPFLTTKAAPLEAAAGSRDVVVIAGGTAAAAAKKTKKMRRCPSRASLFFIGGGDGEDVVVHEEEEGCWTKGGGGGGGGEQMMSKQELFTKAEAFIGNFYKQLKMQREESWKKLQDLYHHHHHHHHHYKTKAL >OGLUM01G21860.1 pep chromosome:ALNU02000000:1:21977831:21978298:-1 gene:OGLUM01G21860 transcript:OGLUM01G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAAPHVVSKSADWVLGLCKFVSNPWDSQTHNDMEILDVAPLSIQPPSSPVYKAPAALRLPKAPVKKRDGKIIYSPYRRQSARLQLNKEGIELKEDPRMGIGKPRGKSVKKLKELAGIAKIFVDSTLKESDFNDIPYDDLNSDSSPFDCSVTLL >OGLUM01G21870.1 pep chromosome:ALNU02000000:1:22018261:22024881:1 gene:OGLUM01G21870 transcript:OGLUM01G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 17 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: Barren (InterPro:IPR008418); Has 467 Blast hits to 447 proteins in 202 species: Archae - 0; Bacteria - 4; Metazoa - 147; Fungi /.../; Plants - 39; Viruses - 1; Other Eukaryotes - 108 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G32590) TAIR;Acc:AT2G32590] MDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGDEAGSEEGSNPELAQEGTSKKDADRRISPTSTLESSFDSLNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLSYNLGVYDSCRVLFDSFEAPDKCILSDIQTEMAELIGLSFAKEQIEQMIIHMPLCNDISPTLSNIVYQFDDENRRPPHEAISRQIPVMEGQVVDDNDVANDDITQNDMQNDCGTWDFGGCDDQESVYDEHCDPMDHSSMNGQEETDEYTFESAEGLDVNERIDKIADFLSFGMGFSAKTNAWAGPEHWKYRKAKDLDPVPTKPDDSDAPKKTKKKRGKDEPDIDFSKALEHDMPNIFAPPKNPKSLLLPANRATSNNKLPEDCHYRPESLVKLFLLPDVLCLARRRKKPLGGSRENTDDFIPSEPWDGDDFCNDHVDEGNGDTDVEDAIDLITKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHINTSAETDDLEDKDTESPLCLSKVLQDLPSCNPDAAATEISPHLYFICLLHLANEHSLTLRDRPTLDEIDIYIPASSLVK >OGLUM01G21880.1 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKFILLLDLRGQLCSWDEGNTHGMSSFHTHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.2 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKFILLLDLRGQLCSWDEGNTHGMSSFHTHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.3 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKFILLLDLRGQLCSWDEGNTHGMSSFHTHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.4 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKFILLLDLRGQLCSWDEGNTHGMSSFHTHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.5 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQSESIYNFAVALYMLPNIFSALFFIFLPFRRVLERSNSRIIRFFLWWTQPKLYVARGMYEDTCSLLKFILLLDLRGQLCSWDEGNTHGMSSFHTHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.6 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVYGSQMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNMARDLYDIISDRGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQMQHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKCVRLQLLLTTKESAIYVPTNLDARRRITFFANSLFMKMPKAPQVRSMMSFSVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTVRGMMYYRRALEIQCIQDKTDIAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21880.7 pep chromosome:ALNU02000000:1:22026341:22050382:-1 gene:OGLUM01G21880 transcript:OGLUM01G21880.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGGLSGPQPSLRRGLSRASTMRPEGYSGEDGGEYSEESELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKAHNMDPNSSGRGVRQFKTYLLHRLEKDEHETQRRLAGTDAKEIQRFYEHYCKKNLEDGLKTKKPGEMARHYQIASVLYDVLKTVTPEKFHAEFDIYAKEVEKEKASFSHYNILPLNISGQRQPVMEIPEIKAAVDLLRKIDGLPMPRLDPVSAEKETDVPTVRDLLDWLWLTFGFQKGNVENQKEHLILLLANIDMRKGANAYQSDRHNHVMHSDTVRSLMRKIFENYISWCRYLHLESNIKIPNDASTQQPEILYIGLYLLIWGEASNSHQYKNTIIPIGQDFDPPFRREGSDDAFLQLVIQPIYSVMKQEAAMNKRGRTSHSKWRNYDDLNEYFWSKRCFKQLKWPMDSAADFFAVPLKIKTEEHHDRVITRRRIPKTNFVEVRTFLHLFRSFDRMWAFFILAFQAMVIVAWSPSGLPSAIFAPTVFRNVLTIFITAAFLNFLQATLEIILNWKAWRSLECSQMIRYILKFVVAVAWLIILPTTYMSSIQNSTGLIKFFSSWIGNLQMQHNLGVVITVWAPIVMIRTLGMLRSRFEAIPIAFGKHLVPRHDSQPKRREREEVKNIDKFSDIWNAFIHSLREEDLISNSGLLSFSLIPIALDMANSVKKRDEELRKRINQDAYTYYAVVECYETLFSILYSLIVEQSDKKVVDRIHDSIEDSISRLSLRTDEDIDPIKTQIANLLQDIMEITTQDIMKNGQGILKDKNRENQLFANINLNSVKDKTWREKPFCFCISVLTPYFKEEVLFSAEDLYKKNEDGISILFYLRKIYPDEWKNFLERIEFQPTDEESLKTKMDEIRPWASYRGQTLTRTAKLEHRKTVQSSQEGWASFDMARAIADIKFTYVVSCQVYGMQKTSKDLKDKACYLNILNLMLTYPSLRVAYIEEVEAPAGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGKPTDIGEGKPENQNHAIVFTRGEALQAIDMNQDNYLEEAFKMRNVLEEFESEKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMREGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLERSILLDPRIEQNIKPLENALASQSFFQLGLLLVLPMVMEVGLEKGFRTALGEFVIMQLQLASVFFTFQLGTKTHYYGRTILHGGAKYRPTGRGFVVYHAKFADNYRMYSRSHFVKGLELLILLVVYLVYGSSYRSSSMYLFVTFSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTDWRKWMGNRGGIGMSVDQSWEAWWISEQEHLRKTSIRSLLLEIILSLRFLIYQYGIVYHLNIARRNKSILVYGLSWLVMLSVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVCNLTISDVFASILGFMPTGWCILLIGQACSPLVKKAMLWDSIMELGRSYENLMGLVLFLPIGLLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQKDIGEE >OGLUM01G21890.1 pep chromosome:ALNU02000000:1:22052594:22053370:-1 gene:OGLUM01G21890 transcript:OGLUM01G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRMARTSWESSTLTAVRFAAVGEDLGDVAAVLLPSAGKVPRQRRDVDIVHGGEAAEEERRRVDARGEAAEERHGRSRAEDGELDVPAAESGLGEDAADDGVIEDGEDKLGELDLDGGGSLGGGSLVVEVEGAWVVVLVGLGVLDGGHEGVGDGGFIGVEAKEEERRDGGEPVEGDGEAEVEGLELDAWGVGSAVSAGEGVAEEGRCGWGEEGGDEVEGGGLGVGAVAGGGDNGVVGGDGGRREALPRCSHRSGHR >OGLUM01G21900.1 pep chromosome:ALNU02000000:1:22053377:22058423:-1 gene:OGLUM01G21900 transcript:OGLUM01G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGDAVESPAVDLEAMTWLKSVSLDMLGGIMDSGPSPGAGCPLLLLLTRHASRFYAVTSQPYAVARRSRLRLSFPRRGGDYPPRAKTTRAAEILHVTWVPQRRRDLARAVHFSVGCSAWCCSFFTSQPKKVFRIDTRLRDDTLSAAKTANAQRLDSPALSIRFRACAYAALAVLVPSKI >OGLUM01G21910.1 pep chromosome:ALNU02000000:1:22072266:22078463:-1 gene:OGLUM01G21910 transcript:OGLUM01G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YA22] MVGRRGSSWCRWWVALLVLAVAADAVGCTSVSYDDRSLVIDGQRRIILSGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYIFWNGHEPHRRQYNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPAWLRDIPGMQFRLHNEPFENEMETFTTLIVNKMKDSKMFAEQGGPIILAQIENEYGNIMGKLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDDDVPHNVVNTCNGFYCHDWFPNRAGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLKSMEKTLVHGEYFDTNYGDNITVTKYTLDSSSACFINNRFDDKDVNVTLDGATQLLPAWSVSILPDCKTVAFNSAKIKTQTSVMVKKPNTAEQEQESLKWSWMPENLSPFMTDEKGNFRKNELLEQIVTSTDQSDYLWYRTSLNHKGEGSYKLYVNTTGHELYAFVNGKLIGKNHSADGDFVFQLESPVKLHDGKNYISLLSATVGLKNYGPSFEKMPTGIVGGPVKLIDSNGTAIDLSNSSWSYKAGLASEYRQIHLDKPGYKWNGNNGTIPINRPFTWYKATFEAPSGEDAVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMAGCHRCDYRGAFQAEGDGTRCLTGCGEPSQRYYHVPRSFLAAGEPNTLLLFEEAGGDPSGVALRTVVPGAVCTSGEAGDAVTLSCGGGHAVSSVDVASFGVGRGRCGAYEGGCESKAAYEAFTAACVGKESCTVEITGAFAGAGCLSGVLTVQATC >OGLUM01G21920.1 pep chromosome:ALNU02000000:1:22079053:22098832:-1 gene:OGLUM01G21920 transcript:OGLUM01G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTEVVVPAGAGAGAGAGRWRRDALAHTLGSRRLPEGVADAGERVPDAVAPGVMPFIRAADEVEQDSPRVAFLCRRYAYNKVQRMDPSSVQRGVRQFKTYMSVKLDQDDTQVMGNDAKEIQRFYKSYCAELSRISEKRNFEEVARRYQVASALYEVLRDVTNNKVDSEVMKIAKVIEEKSVHFKNYKYNIIPLNFPGSSEAIVELHEIKGAIDALNSIDGLPMPHMSSMHTDGNKSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGTRTAGQDHPLVDTVNKLWKKILQNYQSWCSYLHVSSSIMNVETVTQNKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMARQLHKMIEENNFQSPPGFEEEGSFLKTAIEPIYKVLQKEAHKSKGVCEFSSEKCFARLNWPWDLTADFFYQGRTTSTKPKTNFVEVHTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSALADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGNMDWIQIVRYLLKFFVAIAWIIILPLAYSSSIRYPSGAGKLLNSWVGNWHNPSVYNVAIIIYIVPDILAAFLFLLPQLQNIMERSNWRVIGLIMWWIQISPIIGPTKFLLNQGVGNYEWHEIFPFLPHNLGIVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALSHVGEIRTLGMLRARFKSMPEAFNKSHATAHREVPTALHMAMTSKEGDYHELIEKIRLDQARFNAVIECYESLVLILKNLLLDNNDQKIVDAIDKTVLDSVENNTLLEDFHMAEIGKVSNTLAKLLHLLSNESTDGTAERKIINALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDMIKESFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPQEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPENEEAIKGYMDDVRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQDGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTDIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSIFQLGMLLVLPMMIEVGLEKGFGRALGEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWHWMSNRGGIGLAPEQSWEAWWISEHDHLRNGTIRSLLLEFVLSLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSMGREKFITNFQLVFRILKGIVFIVLISLVVILFVVFNLTVSDVGASILAFIPTGWFILQIAQLCGPLFRRLVTEPLCALFCSCCTGGTACKGRCCARFRLRSRDVLRKIGPWDSIQEMARMYEYTMGILIFFPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGIIGKGIKSFIEDTHAGIKISPQDNNFLGLHDRLALVSLVTLVFLLGIMIPQVPYNNAVNYGPNGYGGRDQNDKPSTPMRSHLLTMTPKILTIGIADEHFGAVVRRAGRNITEIIQASGARIKISDRDNWNIRSYSGSRVYDNAQGVSQFREVIKSREETRGIII >OGLUM01G21920.2 pep chromosome:ALNU02000000:1:22079053:22098832:-1 gene:OGLUM01G21920 transcript:OGLUM01G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSTEVVVPAGAGAGAGAGRWRRDALAHTLGSRRLPEGVADAGERVPDAVAPGVMPFIRAADEVEQDSPRVAFLCRRYAYNKVQRMDPSSVQRGVRQFKTYMSVKLDQDDTQVMGNDAKEIQRFYKSYCAELSRISEKRNFEEVARRYQVASALYEVLRDVTNNKVDSEVMKIAKVIEEKSVHFKNYKYNIIPLNFPGSSEAIVELHEIKGAIDALNSIDGLPMPHMSSMHTDGNKSIRDLLDWLSLAFGFQKSNVENQRENLVLLLANIGTRTAGQDHPLVDTVNKLWKKILQNYQSWCSYLHVSSSIMNVETVTQNKQQLMLLHIGLYLLIWGEASNVRFMPECLCYIFHHMARQLHKMIEENNFQSPPGFEEEGSFLKTAIEPIYKVLQKEAHKSKGGTAGHSTWRNYDDLNEHFWSEKCFARLNWPWDLTADFFYQGRTTSTKPKTNFVEVHTFLHIFRSFNRMWMFFILAFQAMLIVSWSSSGSLSALADATVFRSVLSVFITAALLNFIKVTLDIVLTFQAWGNMDWIQIVRYLLKFFVAIAWIIILPLAYSSSIRYPSGAGKLLNSWVGNWHNPSVYNVAIIIYIVPDILAAFLFLLPQLQNIMERSNWRVIGLIMWWIQISPIIGPTKFLLNQGVGNYEWHEIFPFLPHNLGIVITIWAPIVMVYFMDIQIWYAIFSTAFGGVSGALSHVGEIRTLGMLRARFKSMPEAFNKSHATAHREVPTALHMAMTSKEGDYHELIEKIRLDQARFNAVIECYESLVLILKNLLLDNNDQKIVDAIDKTVLDSVENNTLLEDFHMAEIGKVSNTLAKLLHLLSNESTDGTAERKIINALQDFMEITTRDFMKDGQGILKDENERKQRFTHLDMDMIKESFWKEKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMKMPKAPQEVLYSSHELNKKNEDGISILFYLQKIYPDEWKNFLERIGVDPENEEAIKGYMDDVRIWASYRGQTLARTVRGMMYYRRALELQCYEDMTNAQDGEESARSKAIADIKFTYVVSCQLYGMHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVPLPNGKMEKQYYSVLVKGNDEEIYRIRLPGKPTDIGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLIKHGKSEPTILGVREHIFTGRVRFHYGHPDVFDRIFHLTRGGISKASKVINLSEDIFAGFNSTLRQGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFYRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLEKSILQDPQIKNIKPFENALATQSIFQLGMLLVLPMMIEVGLEKGFGRALGEFVIMQLQLASVFFTFHLGTKTHYYGRTILHGGAKYRGTGRGFVVRHAKFAENYRMYSRSHFVKALELLILLVVYLAYGISYRSSSLYLYVTISIWFLVFCWLFAPFVFNPSCFEWHKTVDDWTDWWHWMSNRGGIGLAPEQSWEAWWISEHDHLRNGTIRSLLLEFVLSLRFLIYQYGIVYHLHIVHGNRSFMVYALSWLVIAIVLVSLKVVSMGREKFITNFQLVFRILKGIVFIVLISLVVILFVVFNLTVSDVGASILAFIPTGWFILQIAQLCGPLFRRLVTEPLCALFCSCCTGGTACKGRCCARFRLRSRDVLRKIGPWDSIQEMARMYEYTMGILIFFPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGIIGKGIKSFIEDTHAGIKISPQDNNFLGLHDRLALVSLVTLVFLLGIMIPQVPYNNAVNYGPNGYGGRDQNDKPSTPMRSHLLTMTPKILTIGIADEHFGAVVRRAGRNITEIIQASGARIKISDRDNWNIRSYSGSRVYDNAQGVSQFREVIKSREETRGIII >OGLUM01G21930.1 pep chromosome:ALNU02000000:1:22160365:22172131:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWGILFSDERRGAVMVVGRYAVGIGSIGAQPHRTTATARGLRPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKHQKGSAKHIIRQVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >OGLUM01G21930.2 pep chromosome:ALNU02000000:1:22160365:22172131:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASWWGILFSDERRGAVMVVGRYAVGIGSIGAQPHRTTATARGLRPLMTFVVGDVIHAFGSAGANSSRRHDGDDDVVARVTKHQKGSAKHIIRQVIMNFIYLGVGAGLVSALQVSCWTITGERQAARIRALYLKAILRQDIAFFDKEMNTGQLVERMSGDAFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >OGLUM01G21930.3 pep chromosome:ALNU02000000:1:22133385:22160207:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPAAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQATRIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTIKRQPDIDVCDTKGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIQLQGAQQDAEVHNDDPDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELLKDSRFWASMFVVVGASAFVLIPTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATFPEVFRVFFVLVLATSGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVIASVRGDIEFHNTVALVGESGSGKSTAIALLERFYDPDTGKILLDGVDLKTFKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQEQASQEEIMAAAEAANAHQFISALPDGYSTVVGERGIQLSGGQKQRVAIARAIMKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGAIVEKGGHDELMRIKDGTYASLVELSSSSRIISNISLQWKEQ >OGLUM01G21930.4 pep chromosome:ALNU02000000:1:22133385:22160207:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPAAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQATRIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTIKRQPDIDVCDTKGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERVVQDALNRIMLERTTIIVAHRLSTVKNADVISVLQQGKMVEQGSHVELMKKPEGAYAQLIQLQGAQQDAEVHNDDPDMIIRSDSGSRSINVKPRSQSTSFRRSITKGSSFGHSGRHPIPAPLDFPDPMEFKDDLGMEETTDKVPRGQKKASISRLFYLNKPEAFVLVLGSVTAAMHGLMFPIFGILISSAIKMFYEPPSELLKDSRFWASMFVVVGASAFVLIPTEYFLFGLAGGKLVERIRSLTFRSVMHQEINWFDKPEHSSGSIGARLSVDALNVKRLVGDNLALNVQTVSTVISGFTIAMVANWKLALIITVVVPLVGFQAYAQMKFLKGFNKNAKLKYEEASQVATDAVGGIRTVASFCAEQKVIEAYEKKCESPVRQGIREGVVGGLGFGFSFLVFYFTYALCFYVGAKFVHQGVATGISRTSAIGADSTKANESAVSIFEILDRKSKIDSSSEEGVVIASVRGDIEFHNTVALVGESGSGKSTAIALLERFYDPDTGKILLDGVDLKTFKVSWLRLQIGLVAQEPVLFNDTIHANIAYGKQEQASQEEIMAAAEAANAHQFISALPDGYSTVVGERGIQLSGGQKQRVAIARAIMKDPKVLLLDEATSALDAESERVVQEALDRVMVGRTTVVVAHRLSTIKGADIIGVLKNGAIVEKGGHDELMRIKDGTYASLVELSSSSRIISNISLQWKEQ >OGLUM01G21930.5 pep chromosome:ALNU02000000:1:22133385:22172131:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPAAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQATRIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKSGKCIQLLSTFFGGFIIAFVRGWLLALVLLSCIPPIAVAGAFVSRLMTRISTRMQEKYGDAGNIAEQTIGAIRTVASFNGEKQAINTYNKFIRKAYESTLQEGVVNGLGLGTVMAILFCSYGLAVWYGSKLIVNRGYNGGIVINVLMSVMMGAMSLGQATPSITAFAEGQGAAYRMFKTIKRQPDIDVCDTKGIILEDITGDVELKDVYFSYPTRPEYLVFNGFSLQIPSGRTMALVGESGSGKSTVISLVERFYDPQSGEVLIDGIDIRRMNLGWIRGKISLVSQEPVLFSSTIRENIAYGKEDQTLEEIKRAVELANAAKFVDKLPNGLETMVGERGIQLSGGQKQRIAIARAIIKNPRILLLDEATSALDMESERNADMISVLQHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >OGLUM01G21930.6 pep chromosome:ALNU02000000:1:22133385:22172131:1 gene:OGLUM01G21930 transcript:OGLUM01G21930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAREAADGGDINGGGGGGGERSSSPAAAAAGNSDVGRVAVRRMFAFADGADAALMAVGAAAAVANGMAQPLMTFIFGDVINAFGSTSSPDVLAKVTKVILNFVYLGIGAGFVSTLQVSCWTITGERQATRIRALYLKAILRQDIAFFDKEMSTGQVVERMSGDTFLIQDAIGEKAGKCIQLLSTFFGGFIIAFVRGWLLALVMLSSIPPVAVAGAIMSRLMVKLTIRMQAKYGDAGIVVEQTIGAIRTVVAFNGEKKAINTYNKFIKKAYESALQQGVINGLGLGSIISVFFSSYGLAVWYGSRLIVERGYNGGIVINVIMAIMISAMSLGHATSSITALAGGQGAAYRLFRTIERQPDIDACCTTGDIFEDVKGDVELKNVYFSYPSRPEHLVFDGFSLQVPSGTRMALVGESGSGKSTVISLVERFYDPQSGEVLIDGVDIRRINLGSIRRKIGLVSQEPVLFAGTIRENITYGKEDPTLEEINRAIELANAAKFIDKLPNGLETMVGERGIQLSGGQKQRIAIARVIIKNPRILLLDEATSALDMESERVVQEALNKVMLERTTIIVAHRLSTVKNADMISVLQHGKLVEQGSHEELMKKPEGSYSKLIHLQETRQEAVAPNDDPDMIIRNDFDSRIINSKTRSQNISFRKSTSKSSSFGHSGTHPFTSTCDLSDPMEVHDDQHIKETTDKMSNCQEKASILRLFSLNKPEAFVLALGSITAAMHGVIFPVFGILVSSAIKMFYEPRSELLKNSRLLGSMFPVLGISTFLLIPTEYFLFGLAGGKLVERIRSLTFKSVMYQEISWFDKPENSSGSIGARLSTDALNVKRLVGDNLALNFQTLSTIISGFTIAMVANWKLALIITVVVPLVGFQAYAQMMFLKGFNKNAKSKFEDATQVATEAVGGIRTITSFCAEQKVMNAYEKKCASPIIQGIRDGVVGALGFGFSFLVFYFAYALCFYVGAKFVHQGTATFAEVFRVFFVLVLGINEISRTSAIGSESRRVNESVVSVFKILDRKSKIDSSNDEGVVIASVRGDIEFQNVCFKYPLRPNVQIFKDLSLSIPSGKTAALVGESGSGKSTVISLLERFYEPDAGRILFDGVELETLKVSWLRLQIGLVAQEPVLFNDTIRANIAYGKQGDASEEEIIAAAEAANAHQFISGLPDGYNTIVGERGIQLSGGQKQRVAIARAVIKDPKVLLLDEATSALDSESERVVQEALDRVVVGRTTVVVAHRLSTIKGADIIGVLENGTIVEKGRHEELMQIKGGIYSSLVELSSSSM >OGLUM01G21940.1 pep chromosome:ALNU02000000:1:22137955:22139772:-1 gene:OGLUM01G21940 transcript:OGLUM01G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVVIMDFSILLCPLELDQLSISTFRTEITSAFFTVLRRYSISNALVASSSNKILGFFIIALAIAILCFCPPDN >OGLUM01G21950.1 pep chromosome:ALNU02000000:1:22142001:22142732:-1 gene:OGLUM01G21950 transcript:OGLUM01G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPPVRYYVPLEEADDRSHDLEDEEPYCPPPLSPPYSPVQSPPPPTSPAYHDDHADHTTNSCTFTSAGGAYSYTITSANVGDYFYLDADADAMDEEQPDEPENRPDPLVVLQQAESLAECALQHYNGDAANEVKYELVAATATASDFMDCWDAFYYHVNFFARAAAGADDQAAPRFFFAELRHRTAMLPTCLVSLDNDDEIQMDPQPLCCFDDVPFGVVIKHPKGWKMIDRDNTLISSPSV >OGLUM01G21960.1 pep chromosome:ALNU02000000:1:22148825:22149490:-1 gene:OGLUM01G21960 transcript:OGLUM01G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPAVRYYVPCEEADDIHDLGEEEQFCPLPLSPPYSPAQPSPPPTSPPASPTLLPTSPLSDDHADHTTDSLITFASTVDVAADDDFYFDFDFDADDDAMDDKHAEYLAECALKHYNGDAANEAKYELVAASATASGFLDCRGAFHFHVSFFARAAGAGAAEAAPRFFLAELHHRIAMLPTTCVVSLNNDDEIQMDPQPSGCFDDEPFDVIIKHPKGLNK >OGLUM01G21970.1 pep chromosome:ALNU02000000:1:22174080:22177543:1 gene:OGLUM01G21970 transcript:OGLUM01G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation UFD1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15420) TAIR;Acc:AT4G15420] MKFTQTKKREENGNGWVVWTLFRPNLHFRTFLSDRWALISSGRASAVTSCFVYLLRLLTRPQQQDQKLPPTPAATDPPRHPTPSINFPLSRGRREIIADENQSRGGERGTQASNQRGIGSGTRRRRRRGMDFELRKAREKLEREQRERVQRAKAKADRERRAKAEAARRRDALEASHRERRLDAARAQEEAQQKMEEVMQLGKGISFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDSVPGAPQDNDADEATCCGVLEFTAREGSAELTPHVWNNLFRGDSPDVPLIEVRYVSLPKGTCAKLKPEGVGFSDLPNHRAVLETALRNHATLSENDFVVVNYGQLQYKLKVLELKPASSVSVLETDVEVDIEGPDSVLDNVENQHVLVPLETGKVESGVVEEGKFRYYKFLVDEGMGEKVASRHANIEVKIETDTSGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDASLASGTYSIGVYGFKGTTRYQLSVAIKDVLNGQRIGEQASASSSVDVDSVVCKNCKRYISSRTSLLHEAYCVRHNVVCMHDGCGVVLRKEEATDHVHCNKCGQAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSTCPLRLIVCRFCGDTVQAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKDMDIHVIAVHQKS >OGLUM01G21980.1 pep chromosome:ALNU02000000:1:22179152:22184433:1 gene:OGLUM01G21980 transcript:OGLUM01G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGIAIYTTTSPGKQAIVFTRNWGVPSGLALCRHENLLRLWFVGDGELLVVLVAFEHRVDVGIHQLPQPNHQPLQERDKCTYISIKGFRNGAAFSIPSIFARD >OGLUM01G21980.2 pep chromosome:ALNU02000000:1:22178290:22180881:1 gene:OGLUM01G21980 transcript:OGLUM01G21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding ICCDQRRRRKELIATDSIRGTSVDKVTIVDQAIVFTRNWGVPSGLALCRHENLLRLWFVGDGELLVVLVAFEHRVDVGIHQVLSSRGYNRENKEHRC >OGLUM01G21980.3 pep chromosome:ALNU02000000:1:22179152:22180724:1 gene:OGLUM01G21980 transcript:OGLUM01G21980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGIAIYTTTSPGKQAIVFTRNWGVPSGLALCRHENLLRLWFVGDGELLVVLVAFEHRVDVGIHQV >OGLUM01G21980.4 pep chromosome:ALNU02000000:1:22178292:22180883:1 gene:OGLUM01G21980 transcript:OGLUM01G21980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSSSSSPLNTGWMENKEHRC >OGLUM01G21990.1 pep chromosome:ALNU02000000:1:22179366:22189563:-1 gene:OGLUM01G21990 transcript:OGLUM01G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTGESKKRNILLSDRIAAPMLELMTNMATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >OGLUM01G21990.2 pep chromosome:ALNU02000000:1:22179366:22190217:-1 gene:OGLUM01G21990 transcript:OGLUM01G21990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTATSLSPSPRPFPLLGFVAFFSSSNRRVGPFQKRRFILRPASFVGEEEEEEERGRGAEWARRHFGGGCVAAAAARVVVCCSSRTNMATIQDIGVSAAINILSAITFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGAFVRKFVNLDMRSYLKVLSWMPAALKMPEDELISHAGLDSAVYLRIYLIGLKIFAPITVLAFIILVPVNWTNITLQSSKVQHSDIDKLSISNIPVGSKRFAAHLTMAYVFTFWTCYVLLREYEIVATMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLDKMVKEKKKMQNWLDYYQLKYERNTSQRPTTKTGFLGCFGSKVDAIEYYTSEIERIEKEETDERGKIMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVYLTIRRLIIAVAFFFLNFFYVLPIAFVQSLANIEGIEKAAPFLKPLIEMRTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSVSSLERRSAFKYYIFLFFNVFLGSIIAGSALEQLKTFLHQSANEIPRTIGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLIIFHLKNFFLVKTEKDREEAMDPGSIGFDSNEPQIQLYFLLGLVYAVVTPFLLPFILIFFGLAYVVYRHQIINVYNQEYESAAAFWPSVHGRIIVALIVSQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFYKYCKNRYEPAFVEYPLQDAMRKDTLERAREPGFDLKGYLMNAYIHPVFKGDEDDEKFSISDEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLAEIVNDQRL >OGLUM01G22000.1 pep chromosome:ALNU02000000:1:22193449:22197318:-1 gene:OGLUM01G22000 transcript:OGLUM01G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSIEHGDEHGKQPRGDEHGSDCRVGQEQSEWSVRCNSKRALPDRQPRAALPAPAMAMPRRSVRRPRAPVSSAGLPPSQSHTHPVERICMSSQASATGGASGTVPVLNPISMFCNSTAARRTDPPNSTFEANLASLVAAIVANASASGGFSAGSIGAAPDTVYGLALCRGDVTGADCAACLSSTSVDYVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRVFPSSLAPCIHYFLKQQTYSTKKRFATAKTDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVRCLICFETSIFYNGEPMRIMGPSTNSTSADGNISKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFDEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMDPKISDFGLAKIFSSNDIEGNTKRVAGTYGYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTLSSGYSSNTEDQCIRHWMTSQLSLEQY >OGLUM01G22000.2 pep chromosome:ALNU02000000:1:22193615:22197318:-1 gene:OGLUM01G22000 transcript:OGLUM01G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSIEHGDEHGKQPRGDEHGSDCRVGQEQSEWSVRCNSKRALPDRQPRAALPAPAMAMPRRSVRRPRAPVSSAGLPPSQSHTHPVERICMSSQASATGGASGTVPVLNPISMFCNSTAARRTDPPNSTFEANLASLVAAIVANASASGGFSAGSIGAAPDTVYGLALCRGDVTGADCAACLSSTSVDYVQQWCGRSKEVTVYRDTCQLWFSDQDFVSAASNIPETAAWNINNITEPVFPGWDPTTPRVFPSSLAPCIHYFLKQQTYSTKKRFATAKTDIGGAFPTIYSLTQCTPDLSSESCFKCLQGTIQESLKWFDGRRGGRIIGVRCLICFETSIFYNGEPMRIMGPSTNSTSADGNISKRKLSGLAVSIVFPVMGVLLFCVILGFGWIIRRNKIGKASLQEKTSTYLYEEEALAWPIQGQSSELLFDFACIIRATNNFSRENKIGEGGFGTIYKGKLDRLEIAVKRLDSHSGQGFVEFRNEIQLIAKLQHSNLVRLLGCCSKGEEKILVYEYLPNKSLDFFIFDEPNQRALLDWNKRLAIIEGIAQGLLYLHKHSRLRVTHRDLKASNVLLDHNMDPKISDFGLAKIFSSNDIEGNTKRVAGTYGYMAPEYASEGLFSVKSDVFSFGVLTLEIVSGKRNPGFHQYGDFLNLLGYAWQLWTEGRWLKLIDVVLLTDCLVEAPLMMKCVNIALLCVQENAADRPTMSDVVAMLSSEGVSLPVPKHPAYFNVRVRNGEASSAIDLELCSVNEVTITAPGCR >OGLUM01G22010.1 pep chromosome:ALNU02000000:1:22200757:22201548:-1 gene:OGLUM01G22010 transcript:OGLUM01G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETRIRLMGIQNKLDECHVGKAPLEVGPSYVRFLCALTVKYSTRRLGGGGVAVPLQPAAPHGGGGIRQFTMTMQSDVRPVDDPFVFLDRDAARRVVWAAMVAALPGLDRYDLSDGNWETPRPDAAVAAWIHGLARASYLGRGKRVGHYRVVVFVEVEVELVFSEPKALVADVVAAGGGAGKPCGICLDDLDADGLTTPVRLPCGHAFHGQCIAGWLLEGRTCPMCRRDLSRLVLAPSCYQQYGAPRISQLAQLGWTFISSV >OGLUM01G22020.1 pep chromosome:ALNU02000000:1:22210269:22211072:-1 gene:OGLUM01G22020 transcript:OGLUM01G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETIIRLRSSSKQLEGHHGTAPLEEGPSYVRFVCDVKVRCWSRRLGGGGEPVRHDGIKFTLETERKHVLDGAGGDVFLDYEETRRMAWAVFTGMRELRCVDLSRSNWATPMPDDDAAAWIHRAVRRNHDDGLAGGHYRFAARVKVAVELVFSEPVSLVRGLVWLETRAGDTCGICLDGLTASERCKTPPANLPCGHAFHPPCITRWLFKGTTCPICRDDLTGLAVAPWESGVMSCPGCIAPSTPCVEDCPSLKALSLSQQLDGVV >OGLUM01G22030.1 pep chromosome:ALNU02000000:1:22211192:22217401:-1 gene:OGLUM01G22030 transcript:OGLUM01G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNARLYRGRGTAAAAPPVRPKSHERGGGITQAPNIEDDPEYIPIEQARVGVPQAKKDSKYDVDVIIVEWTLRMGLMEGDA >OGLUM01G22040.1 pep chromosome:ALNU02000000:1:22217286:22220200:1 gene:OGLUM01G22040 transcript:OGLUM01G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G17660) TAIR;Acc:AT5G17660] MVKISAIHRTPPPLSWDFGRTGGAAAAVPRPRYKRALPMASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNVRPLLPPPGLPSLPPQVERERHPSHRSLLVRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >OGLUM01G22040.2 pep chromosome:ALNU02000000:1:22217277:22220200:1 gene:OGLUM01G22040 transcript:OGLUM01G22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G17660) TAIR;Acc:AT5G17660] MVKISAIHRTPPPLSWDFGRTGGAAAAVPRPRYKRALPMASPATATASLCCRLVRLPLLVPYARRRSPLSTRCSAAQSPDAVDREYADLNLRPLYPNRGHHLRIRQHVNPLSSSFSEPTEPPEWKEVFEDPLLPLMVDIGCGSGRFLIWLAKNSGERRNYLGLEIRQKLVERSQFWVTELGLRNVYFMFANATVSFNQIASSYPGPLSLVSILCPDPHFKKRHHKRRVLQSQLVDSITNNLCLGGRVLLQSDVLEVAADMRERFDEYSDVFEHVDCIDKDLRCDNEGWLLDNPMGIRTEREVHAELEGATIYRRMYQKTRDVSH >OGLUM01G22050.1 pep chromosome:ALNU02000000:1:22220494:22228583:-1 gene:OGLUM01G22050 transcript:OGLUM01G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 8 [Source:Projected from Arabidopsis thaliana (AT4G24400) TAIR;Acc:AT4G24400] MVGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTESGESVAMKVVDRSSILKHKMADQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGGALLRTTCGTPNYVAPEVLSHKGYDGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAEYSFPAWFPNGAKSLIHRILDPNPDKRIRIEEIRNDEWFKKNYEPTREIESEEVNLDDVNAAFDDPEEDADHTLDDEAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLQNRFLSRKPAKVIMSSMEVVAQSMGYKTHIRNYKMRVEGLNANKTSHLTVMLEIFEVAPSIFMIELQRAAGDTSDYNKFINNYCSKLDDIIWNFPIEKSKSRISRLSKRYEVY >OGLUM01G22060.1 pep chromosome:ALNU02000000:1:22237816:22240683:-1 gene:OGLUM01G22060 transcript:OGLUM01G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEERDAAAASLAEFHASRAGVRGLVESGATAVPPLFLPPGRGRERSPPPTPPRATAFAIPTVDLSLPRSATVPLVRAAATSCGFFHVTSHGVPRGTVASAVAAVRAFHEQPAASRSPCYSLAPVGGVAYSTIPIQQPPPQDGSSSDHRAATAASPLLPWRDSLVVRFGPGPEAPDLGRLPASCRDALPEYQRSLTVFGKEMAGLLSEALSGGGGGVGAERLEREMQVEGWLMACHYYPPCPEPERVVGSLEHTDPSLFTVLAQDAVGGLQVRREEEEGGGGGGEWVDVAPVAGALVVNVGDVLKMVSNEEYKSVEHRVVIKSSQDARVSIAVFFNPAKRDASDLFGPLPELLTAERPARFRRFSVPEFMRSRRESGHGKSSIDSFRIAAD >OGLUM01G22070.1 pep chromosome:ALNU02000000:1:22242229:22242578:1 gene:OGLUM01G22070 transcript:OGLUM01G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGNDVRPEHPRILNLRSAESSPSHSGSWVSASQRIRTHCHDHELHDADGRSIPRKAIAHGFFPM >OGLUM01G22080.1 pep chromosome:ALNU02000000:1:22243060:22258146:-1 gene:OGLUM01G22080 transcript:OGLUM01G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTKDLQELQDLVEEINNWLQTVGDKAMRNDRSSNWLKKLKDVAYDAEDLVHEFRIEAEKHEENIVENVIVKHFWTKPKSVVFEFKTAHKIKAIKKRFDAIVKGKSYYSTIANSIPVDRPAQHVSKTIGEVPHWTIMDETSIFGRDKEKNWVISKLTESSIQQNIKIISVIGLGGSGKTTLAKLVFNDGNTIKQHFELILWVHVSREFDVEKLVEKLYEAIAGDKPNHLPLQRVSRTISDKLAGKKFLVVMDDVWTEDHAHWEQFMVHLKSGAPGSSILLTARSRKVAEAVDSTYTFDMPFLSEDNSQKVFEQNLGSAAIGLDPEFLQIGTEIVKKCSGVPLAIKVLAGVLRGMKGIEEWQSIRDSNLLDVEDEERKIFACLLLSYIHLPHHLKRCFLHCSIFPRGYVIKRRHLISQWIAHGFMPTNQAQQPEDVGIGYFDSLLKVGFLQDQEQDHSDEVTCKMHDLIHDLSRKILQDEFVSGIETIDQTKKCRYLSLTSCSGKVDRKLYDKVRAFYVSRCKLASDKTMKKQRCIRTVILTYINIDSLPLFVSNFEYMGYLEITDVNCEALPDAISHCWNLQALHVIGCTRLANLPESIGKVKKLSTLELNCARNVRSLPQSIGDCDSLGSLYLDNCGIKDIPNSIEKLENLRVLSVVYCMDLQQLLPPEPFGKLRNLRTITLKLCMALEHLPQCIILLDHLQYVDLSDCTKLRELPEGIGDLKNLEVLNLKDCESLCGLPAGCGQLTRLRQLGLFVIGDGTNHARISELENLDKLNGELQIKNIKHVKDPFDAEMVHLKRKNGIRTLSLDWGVLG >OGLUM01G22090.1 pep chromosome:ALNU02000000:1:22285575:22287110:-1 gene:OGLUM01G22090 transcript:OGLUM01G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEENPQPILQPGFNCTIRIKNNKRKAKRRKKLNTCQNSISYLCHFCGDQNLIRGSGKNIMKGLLSSRKPVGMDVTSIKLKGDSNNKRLVTIKEGLEYSQGAVSQLESTSGLKQRNLVKNEYEESPVPNLLDESMEKEVASSSVELNQSASATDEENVSQKIVVTITNEKSTHETEPVSANKIAICQPDVPSKAEFSVGSSFVTPRKNKVVDVTDHKDSAELLKTRSIQNKKAEMPSSVTGKAPTMPTKSAPNDSMKNKPVAIGSAQMSGSSRKRARKGWTTLKQIAEKEELERKEKMGNFVIPFFMQ >OGLUM01G22100.1 pep chromosome:ALNU02000000:1:22296950:22297943:-1 gene:OGLUM01G22100 transcript:OGLUM01G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAVTKADTGGTSRSARQTIDHFCACGYLNGGSKSNGSRRRRREPDAAVIFAPPLSYRLRQQLHFHRQALGGWGEKAGVARRCRGSAGQRRQRWRRWVVVEAAPVRAGARSVVVASSKTKTAVVAVAIRSAEMEAGGADSRGGEVAVARGWCSRGRCGRAWWQRPSVTTAWRGGGEGKVVAGSGIFTSDRVKKTDSRPLRQHDKYPLDVTVFIGKYPRLWDVFPQNFTFAVSLS >OGLUM01G22110.1 pep chromosome:ALNU02000000:1:22318056:22318751:-1 gene:OGLUM01G22110 transcript:OGLUM01G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRGGRRQRRATPAANEPPSKAMGDAAVMKADTGGTSRSARHTALLHLKPEPRPICQTWWPRRTRPLASISITFVRVVTSTVGARAMAGGGGSASQMQPSSSLHHSATARGSSSTSAGRRRAGRLGRAWGRRSQRGGGPEQDEDGGGRGGDSSGRRWRSEVLTGVVAKR >OGLUM01G22120.1 pep chromosome:ALNU02000000:1:22319968:22322728:1 gene:OGLUM01G22120 transcript:OGLUM01G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT3G14770) TAIR;Acc:AT3G14770] MALGLQVLPLLLLRLLPILSISLFPFLGYFDALSFLASWPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >OGLUM01G22120.2 pep chromosome:ALNU02000000:1:22319968:22322728:1 gene:OGLUM01G22120 transcript:OGLUM01G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT3G14770) TAIR;Acc:AT3G14770] MALGLQVLPLLLLRLLPILSISLFPFLGYFDALSFLASWPTFKRIVRNGSTEQFSAMPYIYSLLNCLICLWYGLPFVSYGVVLVATVNSIGALFQLAYTATFIAFADAKNRVKVSSLLVMVFGVFALIVYVSLALFDHQTRQLFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFAYGVLLHDFFIYIPNGIGTVLGVIQLVLYGYFRKGSREDSLPLLVTHT >OGLUM01G22130.1 pep chromosome:ALNU02000000:1:22323320:22332737:-1 gene:OGLUM01G22130 transcript:OGLUM01G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / protein phosphatase 2C ( PP2C) family protein [Source:Projected from Arabidopsis thaliana (AT2G40860) TAIR;Acc:AT2G40860] MGVEVPPEESNRCVRGCCRSAAIPLHLPPSSFSLLSPIAKGSESTVYEARLGGERVAAKKPVLSTSDDLDKFHYQLQLLCELDHPGLARLVAAHARPPNYLMFFDFFEPPNLADKIHVEEWNPSVQQVVTIATDLAKALQYLNILGIVHRDIKPANILIDKDFHPHLADFGLAMYQKDIKHVSVENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHTEKSDVYSFAISINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAIVSQGLRPALALPESGAPPSLLSLIQRCWDSDPQQRPSFKDITEELKIIEKHIAVNSCSLASTANKSQNGNTEVHHYQEALSWLNQGELFAKGNKLDSTVDHWSDIFDQSSKYCPTLSWGSFATCGRRETMEDTHFMLPHMSEEKDLHAFGIFDGHRGSAAAEFSVRAVPGFLKQFNSNTSPTDALTEAFVRTDIAFREELILHQKSKRITQKNWHPGCTAVTALIVRNKLFVANAGDCRAILNRAGEPFPMTRDHVASCPKERERIVKEGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETILSPDDEFLVMASDGLWDVMSNEDVLSIIKDTVKEPGMCSKRLATEAAARGSKDNITVIVVFLRPVSTAQRIY >OGLUM01G22140.1 pep chromosome:ALNU02000000:1:22334854:22335801:1 gene:OGLUM01G22140 transcript:OGLUM01G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-damage-repair/toleration protein (DRT102) [Source:Projected from Arabidopsis thaliana (AT3G04880) TAIR;Acc:AT3G04880] MAAAAADRRFKIFAAADAFGQPLKDAVVAHLRAHPSVADVVDLGVDKYYAAAAAVARSVIATPTSSSDPALEARGVVVCGTGAGVAIFANKYPGVYATHCATAADAANTRSINACNVLALSGLATPPDAAAAIADAWLATPFRAPCPASGDAPWPDDIQRFFDSAPAEMAAIPDISSASVPDSACAICCLRKGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVISGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDAARSAIDAELGAATAK >OGLUM01G22160.1 pep chromosome:ALNU02000000:1:22342949:22344041:-1 gene:OGLUM01G22160 transcript:OGLUM01G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEGLEGGGHQKSSGEAGAGWGEEQRRPGDMAALGLIVVSGSGQGYDARPRRRRRLRGDGAAAATMLPVMDRAASPASPRRAPGQPPPFTAGVLLLLRRHIDSVPRCSLPAASAVSSLRVRAKSERKEREIEKEKGGRERLTWPADMWGPHGSHADSAATSNKTGLKTAEGPPVSGFVKLGDLGCLVLRFKDVFVSR >OGLUM01G22170.1 pep chromosome:ALNU02000000:1:22350530:22350871:1 gene:OGLUM01G22170 transcript:OGLUM01G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKRKREEYSDSEQRSRSRARRRRRLAAQAAALRSENGAVEVAAREAVGRCAAVDAENELLRAREAELAARLRSLIDLQQAQRMHEATPSSPPPPQPSPPPRPSTSVDLNH >OGLUM01G22180.1 pep chromosome:ALNU02000000:1:22372680:22373596:-1 gene:OGLUM01G22180 transcript:OGLUM01G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWDNDQHGRDGSDDGWWLYKSIHVLIALAHQRTHVEKGRERSDTGHPEQWTVEHCMLIVVSANTVLSLSHPVKYKGVTTGSSEVSSHQENLMAKGTFNTRLFLYDSLRDRLLENLNFMPELENGISDVVI >OGLUM01G22190.1 pep chromosome:ALNU02000000:1:22373803:22377587:-1 gene:OGLUM01G22190 transcript:OGLUM01G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILTEELDKIVGGSTPSSTKSGLHEDVSHLNVAELKESVLETESEASHLNITPRRLPFTIINNVAHYGPNEVPMSCVTQTTTLNMNTSDFVVHNSGNDQNECDHDDDISLAKV >OGLUM01G22210.1 pep chromosome:ALNU02000000:1:22415815:22417027:-1 gene:OGLUM01G22210 transcript:OGLUM01G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAIDISKTAVEALVNKINSAIKEEEEQWQTLKHDLVFITDEFEMMQSFLNSADGELVKTNMVRTWVRQVRNLSYDLEDCIEFILHLDTNKRCGKEGVALPVDEAVTEMKQLKARVEDVSQRNIRYRFVSDSACLTLTQEKLVYGSAIGAPGFDILAEARDTVARRTGVVDLIKLITEESNDLRVISLWGTGDDLGTTTIIRNIFTQTTLAKNLLVEMHALSWILQKLRKQQRDALSWTETSAGELVKEFLRQIDKHRYLIILEDLSTVVQWDAIRPYLRGGNNGSRVLVSTRHHEITSLCTGKPHRVSELQRISTNQSICIFFKPRMYK >OGLUM01G22220.1 pep chromosome:ALNU02000000:1:22440440:22441765:1 gene:OGLUM01G22220 transcript:OGLUM01G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASAVLLVVLISSSAAVVLTNGAGLHIELTNVDAKGGYTTAERARRAVERSRRRQLASRPSGGGDVRAPVLWAQGEYMAEFVVGDPPQRVEAIIDTGSDLIWTQCTGCLANHCFKQNVPLYNHSASRTVKPVSCTDALCKANAPGNACDPRDGSCVFQVGYISGAFAGHLDTEVFTFQSGAVDLAFGCVGNNNLDAKGLNGPSGIIGLGRGALSLVSQTGAGKFSYCLTPYSRNDTVSSHLFVGDSASLTGESPVVSIEFVKNSTDPTYNGHYFLPLVGLSVGETKLPIPSALFDLRQVGPGGNWSGGVVIDSGSTISILVDGAYKALVEEMRKQIGGSQVPPPPGGDDDGEQLDVCYSQGDVDKKAPTLVLHFSGGADMALPPANYWMDVGNSTSCMAIARSNDTTSIIGNFQQQNIHVLYDLDQGQLSFQPASCSNF >OGLUM01G22230.1 pep chromosome:ALNU02000000:1:22444483:22444791:1 gene:OGLUM01G22230 transcript:OGLUM01G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSATGSASMGSQPAGDSWMGSPVTGGVDGELFGGQRRIDEESDGHRRVDGEIGQTQRVDGESADLRRVDGESGGRRRVDGETGRPTVHRWESAGPRRVDG >OGLUM01G22240.1 pep chromosome:ALNU02000000:1:22446264:22449035:-1 gene:OGLUM01G22240 transcript:OGLUM01G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRFGIGLKWHFNDAIPRNGIVAKHSWHSGRMSNFSFNSFRRSISRQDSPRCPKSLAKRRRRRLHPAKALASRHCQPNHRLLAADPETMRKAGRGRRARGERRAAAPSVPEELLWEILVRLPAKDLLRCRAVCRSWRRLATSADFLLAHHRLQPSLPLVSFHRSISRLSREVTDASVETFDLRHSPPGRRPVLAFNDYNQRHDFTIHASCDGLLLLTLANHRFYICNPATRQWTILPGLTGGTVAALYLHRPSGEYRVLFWKDVNTSTIDAYYVLTVGSSEKPRCIGLPVSSESMKPFLTRGIISANEHPPVMLHGCLHWGPGSFFHRRVVVFDPVVESFIRLMPSPTAFREAKLHDMDGILGLSRTGADMIVTTAKLWELQDYDMGVWSLKYQIKLPVLEMTSITDSKYYYATVVSKNGDVLIRCRNPWYMFHCDSKGKLVDKFLWDGVCPDVIGHWFKESLVSHAFFPMQDGVCVREPRFFRGLLSVLFWEDKEKVEDLGNRLKRA >OGLUM01G22250.1 pep chromosome:ALNU02000000:1:22450298:22453216:1 gene:OGLUM01G22250 transcript:OGLUM01G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKMNPEICEFRFRGKVIVFDKLLVQKITGLNDGDLPVKLSGANSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYVNLDYLHSLDDVKMWSQYDWSTHIAYMDHLQMPTTGLHLRIIDYSTPRFCHVTDEDFKYVTVVDRCRMNLGYVTYGSRPFRPRNEIPYLAQVHAVVGGSKAENAGVARAEDVPLGAVQDGVGIGAVVTQDGVAQDSASLNEWIRLSASSSQGTTYPASLKSIIEKHSAMWQDEFVSALDNFKRDMIDLHAKRTCDMISDISKVLADSNTAVGISEAGSNPPSSGLAAEVVSNPPSTEGAAEAVSNPPSTEGAVEAVSKPSSIEGAAEATDFDGPSKEASGGSYWNLSANTLVV >OGLUM01G22260.1 pep chromosome:ALNU02000000:1:22454702:22455554:-1 gene:OGLUM01G22260 transcript:OGLUM01G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMIHQVERDFPPSSSSANGGTTPMHNATNLIDVQAPSSSGGFLDVLLSKSSGHETDLVVDVESVDNTDVAAVTVEIDEQLDEDASENDEEEKDVVCSPPIVPYIGMEFDTVVEARNVYNAYAYKLGFGTRIASSRNSQASSGGKKASKKCKVSENGDNHDDEPKLIRR >OGLUM01G22270.1 pep chromosome:ALNU02000000:1:22477967:22478515:1 gene:OGLUM01G22270 transcript:OGLUM01G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGVVCTTVTPTSSAGSDQVVAGGGEAARRPVAPAVMEERKRKRKESNRLSAQRSRARKQQQLDELAGQVAALRARNGALGLAAREAARRCAAVRAENELLRARSVELAARLDSLTDLAQCLHDAAAASSFAAAPPMMMAGAATAFPTGAVGAAAGFMMPQLPPPLLDATTMGMHCNYYY >OGLUM01G22290.1 pep chromosome:ALNU02000000:1:22510872:22511761:1 gene:OGLUM01G22290 transcript:OGLUM01G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSRRWAERGRGVCRRTTAIHRQSCARGRASMVSRCLPVSPFGDICPGVGRRSNITAQWENGFVQKLSLPRWIVNLSVAVV >OGLUM01G22300.1 pep chromosome:ALNU02000000:1:22513310:22514099:1 gene:OGLUM01G22300 transcript:OGLUM01G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPTVRSARPRASHAQEKTAWAQATFDLLAVAASNPEGEKGKWQCVRKGDPTEMSETRVRLRELRAVVRPYIQEIESGFFESAGRIHWIESLKPTESSISQSNT >OGLUM01G22310.1 pep chromosome:ALNU02000000:1:22516803:22519407:1 gene:OGLUM01G22310 transcript:OGLUM01G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLGQVPNLIVSSPRAAEAVLRTHDLVFASRPYFLIADILLYGPSNIGLSPYGEQWRQSRRIVTTHLLTNKKVRSYRVAREEEVHKVMAKVHELSTKGLAVDMTELFSTFSNDLICRLVSGKNFQGNDGRNKLFRQLFKANSVLLAGFNLEDYYPGLARLKAVSRVMCAKARKTRKLWDELLDKIIDDHMSKQQCEHDRGNDDQDEMDFVDVLLLQERGITRDHLKAILVDMFQAGTETSSVVLVFAMAELMKKPHLMAKLQAELRTNISKQGQELLTECDLTNMTYLKAVIKETLRLHPPTPLLLPHLAMADCDIDGYTVRSGTRVIVNAWAIGRNSESWEAADEFLPERFVDGGSAANVDFIGTDFQFLPFGAGRRICPGINFASASMEIILANLLYHFDWDVPAEVAVDKDGIDMAEAFGLSVQLEEKLLLLPVEYKDSMH >OGLUM01G22320.1 pep chromosome:ALNU02000000:1:22526770:22529133:1 gene:OGLUM01G22320 transcript:OGLUM01G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YA73] MNQFNVLVAILCIIGFQFVPNVFAQIPSAAPPNIWMISDSPRLALDNINSSMLLVLSNWNDPYRTYFGFYTEDGNAFVLSVLFLHLNTVIWSANPDNPVSYGAILNFTRDGDLLLYDSNGSIVWSTDTIGKQVASMRLDIVGNLVLSDKMNTSVWQSFDHPTDTLMLGQSLCFGKSLSAKPSAEKWESSRIYLSADLGGLQYSFEPSAYRKLFQPTIIGNSTSTCYSFVNGSLGFPNQIIALPPARSFQLMRLESDGHLRLYDSTRPVFDVLSTVMDYCDYPLACGDYEICSNGQCSCPSLSYFGFKNERHPEAGCIPLTTISCDNQRDHQLQPLSNVTYPRGTIFQLLATTGQSENVCKSSCLRDCSCRVALFQNDGYVDSGSCLLLSEKKLILLVEGSQYNFSAFIKVQGDRSEKMKIRAAVGSVTAFVSLVSVLIYAVVRRKMKKVDEENLIFIPGAPKRFSYDELKVATRKFSVKLGSGGFGSVFKGKIGKETIAVKRLEGVEQGMEEFLAEVKTIGRIHHLNLVSLIGFCSEKSHRLLVYEYMSNGSLDKWIFQTSPVFTLSWKTRRNIIMAIARGLSYLHEECQEKIAHLDIKPQNILLDDKFHAKLSDFGLSKLINRDQSKIMTRMRGTRGYLAPEWLGSKITEKADTYSFGIVMIEIICGRKNLDESQPEECIHLISLLQEKANSGQLFDLVDGGSDDMQFHMEEVMEMMKLAMWCLQVDSTRRPLMSIVAKVLEGAMSMENMPEYNFVPNYASNHANSTIVGSNSSSKPSDSHLSGPR >OGLUM01G22330.1 pep chromosome:ALNU02000000:1:22538677:22539732:-1 gene:OGLUM01G22330 transcript:OGLUM01G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLDKIIDFSAIGAGSVVITPSCAKAVKRSLQMLVETTVSTGKLLRREVTEIVFTVSNIRAVLQHGAGHLELQRLGAKVRRGHVGRSLPQPPCLRFTLTPPPPAASSRPCSPPRRDRVPPHPPVLPSADSLLAASSSMESQMRPTGVRRERRSIRRRGDGRGRRWCRPSSSSKCRFDGGYVPCRLPPVQLLPLCLCPPARCSATCARRLPPVEGRERERE >OGLUM01G22340.1 pep chromosome:ALNU02000000:1:22539751:22539987:-1 gene:OGLUM01G22340 transcript:OGLUM01G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWNGAAEATSRASTSLVVSKLASKKRNALRVAGVPGAIESASSLLYVADEECNLLGLLIIKKLTRNHDTYSKIGNA >OGLUM01G22350.1 pep chromosome:ALNU02000000:1:22540646:22545746:1 gene:OGLUM01G22350 transcript:OGLUM01G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G16440) TAIR;Acc:AT2G16440] MASRGSGGGGDGNSPPPSVSSPDVRPSSPLPATNSSPPQSGRRGGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSGGAAAAAARQQRQNWTGGRFPPTPSTPMSTDDVPLSSEAGDEDTPETDGGGGGGAGADATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVNAHDVFDHDPDLYGKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSVCLRNLNPSDIEKMVSIKGMIIRCSSVIPELKEAVFRCLVCGFYSEPVMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIPEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPTQRTVKSIFKKTDKSRLHVEDSMETDNPNANKTTEDDFLRDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALRLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNIEELEVLDLPTLVAYISYARKHIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRDNLVAATRNLVMEKMQLGGPSVRMIELLEEIRKQSSMEVHLHDLRGALGTLMTEGAVVIHGDSVKRV >OGLUM01G22360.1 pep chromosome:ALNU02000000:1:22560371:22561234:1 gene:OGLUM01G22360 transcript:OGLUM01G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHLINIFRNTPHLWLIAFRFRLLRSVRSRARDDLAVHAVDTALRLPPVDLAAQSLTGAEDSELARRLRGPAVAMGKRLSFMNAYLAEDCNPVRCWVITAAVAFVTLIDTYYTN >OGLUM01G22370.1 pep chromosome:ALNU02000000:1:22578650:22596375:-1 gene:OGLUM01G22370 transcript:OGLUM01G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAVCHGRSTVRRDDTCQESDGRQRLTMNDEQGKAPSHLWARMSRPMAGFQHSLLPLLVAVS >OGLUM01G22380.1 pep chromosome:ALNU02000000:1:22596437:22598271:-1 gene:OGLUM01G22380 transcript:OGLUM01G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRESAAACSPKPKLRRGLWSPEEDEKLFNHISRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEELIISLHKILGNRWSQIASQLPGRTDNEIKNFWNSCLKKKLRQRGIDPATHKPLNDGGAGAGEEHHDDGDKQQQQQLMDDVDDCFAIGGGGSSDSLAPPHSPAVSFDPLSVTNVPTTMMQSSSSPYGAAGGEHSSFRSDTLCDYGGSGGGVDVVSDAGTYSAYTGDSSSNSNSTAWTCGSVVVGGAGELPPPPLLPHMDMFGRVDAEPPPYPPFDVPARFSPWHHHHHHHQEPTLPTPPQRLDGGGGAAASFPIRSLSRDMPESCFDLGRGALDDEFGVDFL >OGLUM01G22390.1 pep chromosome:ALNU02000000:1:22605720:22606171:-1 gene:OGLUM01G22390 transcript:OGLUM01G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPSLNDASKLSNVALEDVSPRLELLAHHLQHPPAPIAPSCRPEDEVVSHSYPNLELRFLSLPALTLKESSRYTSDHLDLTVGGGGAISTHKALCPPSVASSSALPGAWPVSNRWSSLDLLMASDHAAS >OGLUM01G22400.1 pep chromosome:ALNU02000000:1:22612569:22617008:-1 gene:OGLUM01G22400 transcript:OGLUM01G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMAPMVMVAAQPWHTCGASNYTADSMYQLNLDGMSASLFPEGAGGSGGGIFVRGSSVADPDKVYAVALCRGDVDDAPACSSCFNAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVVRRLNTSEIVDGALVLMNLTSEPMLPGWDDDSRPTATTNFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRAPISKVLAIALVAPLLALFICVIVSFILTRHIRGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHAWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSVVDGR >OGLUM01G22400.2 pep chromosome:ALNU02000000:1:22612569:22615215:-1 gene:OGLUM01G22400 transcript:OGLUM01G22400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVACTVEDAAASDKLRHETWTVGPPAMPGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETWKKNIKFSSAWRLYQPSWTHRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSVVDGR >OGLUM01G22400.3 pep chromosome:ALNU02000000:1:22612569:22615215:-1 gene:OGLUM01G22400 transcript:OGLUM01G22400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVACTVEDAAASDKLRHETWTVGPPAMPGNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHAWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSVVDGR >OGLUM01G22400.4 pep chromosome:ALNU02000000:1:22612569:22615215:-1 gene:OGLUM01G22400 transcript:OGLUM01G22400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDVACTVEDAAASDKLRHETWTVGPPAMPGKMNTHEDEALIWGLEGRSSEFTVYDFSHGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQRQEKILVYEYLPNKSLDFFIFDETRRALVDWNKRLAIINGIAQGLLYLHKHSRLRIIHRDLKAGNILLDHEMNPKISDFGLAKIFSTNDTEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILETVSGKRTSSFHRHGDFINLLGHAWQMWKDETWLQLVDTSLVIESHTPEMARCINIALLCVQENAADRPTMSEVVAMLTSESMTLPEPKYPAFYHMRVTKEEPSTVIMASSANGITLSVVDGR >OGLUM01G22400.5 pep chromosome:ALNU02000000:1:22615237:22617008:-1 gene:OGLUM01G22400 transcript:OGLUM01G22400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPIVLQLLIILGNGMAMAPMVMVAAQPWHTCGASNYTADSMYQLNLDGMSASLFPEGAGGSGGGIFVRGSSVADPDKVYAVALCRGDVDDAPACSSCFNAAFRRAMQLCPRSKDAAIYYDECLLRFSDTDILNMDSVVRRLNTSEIVDGALVLMNLTSEPMLPGWDDDSRPTATTNFTGFLKTMLTDVVGQVLSTRRHYAAIRMEMDDGSSSSTTAVPREFYCLAQCAPDLIEDICYSCLTNFSDRARELGIRADGRGLSRRSGMELSPEWINPPPTSSDLAWTEEDAAVCDELRRGAWMMEDATTATSFGVGWRMLPLMTEDAGHATAGDELRRDVDGGGCGALATSSSVRSG >OGLUM01G22410.1 pep chromosome:ALNU02000000:1:22612868:22615593:1 gene:OGLUM01G22410 transcript:OGLUM01G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKMKIKMKIKMPCYIVILAKYQVKPVRYQAIPTTYQVSGTGHRRWSHRPRLMPELVTGGGILHGTRHVEAHRRWLRPPSSTPHAGASRQRAASSTIHITSELITSGRVARVLCHLRLALELVTSGSILHPTPKLVAVVASSIIHAPRRSSSQTAASSSVHAKSELVGGGCVLLDSRLSTLATAPSPTVATTHVHQL >OGLUM01G22420.1 pep chromosome:ALNU02000000:1:22618465:22618686:1 gene:OGLUM01G22420 transcript:OGLUM01G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGDQPRFGNKRRPDERDQERWDELAARREEEDLRDKLRREFERRKEENPTKADEDAKRRDQQCLAAESW >OGLUM01G22430.1 pep chromosome:ALNU02000000:1:22618708:22619639:1 gene:OGLUM01G22430 transcript:OGLUM01G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWVNDPRGENLFRLDSQKRPVDSRDVRDGKEEELAKKCYKCGKKGKSSHISTNCPDLNKEEGLKLCGDGMLGQVFHCLHIAISDEEVMKQPVVGLLALESGVCSESKIVAELKYLLEQHQQWDWKEVWVRAKGVPSIARSEKIMMKIAHLIGDPVEVDAISLIRETVRVKRELSSPSHQKTPNLDIRKTSEGENKTMMVKIMIVKRSS >OGLUM01G22440.1 pep chromosome:ALNU02000000:1:22619725:22620270:1 gene:OGLUM01G22440 transcript:OGLUM01G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDEDGMVDIPDYFPKLSEDVSSDKEMQTYPQQKGIEEKTPILSKRDLLTEETVINRCGRDRAPSDKKCGTPKRSCSVSREDNTPLETGMIDNVRSTPGGDKGPIQTNRSGEDQVSKDQENSNSGNLSLQDLMDEDLRQLEMIAGEEKIQTRTDDAEGFTQSRTKKLKKKKKSLVVATR >OGLUM01G22450.1 pep chromosome:ALNU02000000:1:22648215:22649615:1 gene:OGLUM01G22450 transcript:OGLUM01G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64310) TAIR;Acc:AT1G64310] MRRSRSSAATATAPDAHTFACVLRACADCSRPDVARVVNGIVVCAGMSSHPVVGSALVSAYAKLGPVGDARRVFDELPEPDLVLWNCMMSGYGYRGMWNDGLDLFSAMRKAGERPDGYSMVSLVSSFWNREALAFGQAIHGMCIKGGYDSGHHVRSALVSMYFRCGCMDSGHSLFGNLLDADLVTWSSLITGLLHICKYEESFGLFRQMCNSGRRPDSILVASLLSACASMVNISYSKEIHCYAFRVGAHTDIRVSSSLMDAYAKCGFADLAYGVFFQMPDKNLVMYNTVISNLGSHGFAMKAIEVLDEMVSDKLKPDSATFSALLAACCHAGLLEEGWKLFRRMRDEFNMAVKTEHYVYIVRLLATFGQLKEAYGLIQTMPVPADSGVWGALLWGCCVHRDSSLGRIVADKLFELYPDKASYRVMLSNLYASQEMWWDAEEVREELWNEDVHKNTGISWVGNARK >OGLUM01G22460.1 pep chromosome:ALNU02000000:1:22651503:22654782:1 gene:OGLUM01G22460 transcript:OGLUM01G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFSLQHLHTIISLLDSPGGSLASLPEWQPMPCNSVSVNPLCNSYLYVTPEGRNLSEVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINSTMTGLFHDSNYTVKAGETGDFINSKTFSGLALNVGDGHNPGREEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVAGPSNKKVRGLPIIIAASISVAMLLLCVLTIILRLKRRSTLPSVEAPAHKMEKIPSNTSIAALESRFYPSMRINEIDPFQTERPVIFSLIAIEDATSTFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVLPILAQIHMASIEWEASLGGDGEVFSGVSNGR >OGLUM01G22460.2 pep chromosome:ALNU02000000:1:22651503:22654782:1 gene:OGLUM01G22460 transcript:OGLUM01G22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHGFLFFLLFSLQHLHTIISLLDSPGGSLASLPEWQPMPCNSVSVNPLCNSYLYVTPEGRNLSEVASDFSGNASLFQRITRLSGSEDLLVNVPCVCEAINSTMTGLFHDSNYTVKAGETGDFINSKTFSGLALNVGDGHNPGREEKLIIHLPCGCSSTAPEGVLSYAVQDGDTLGNIASLFRSSWKDILDLNPRVANPDFIKPGWILFIPMGVAGPSNKKIDPFQTERPVIFSLIAIEDATSTFDEKRKIGEGGYGSVYLGFIGTHEIAVKKMKASKSKEFFAELKVLCKIHHINVVELIGYAAGDDHLYLVYEYVQNGSLSEHLHDPLLKGHQPLSWTARTQIAMDSARGIEYIHDHTKTCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEDECLATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALVRDNKEANKTKSLISIMRKAFKPEDLESSLETIVDPYLKDNYPIEEVCKLANISMWCLSEDPLHRPEMREVLPILAQIHMASIEWEASLGGDGEVFSGVSNGR >OGLUM01G22470.1 pep chromosome:ALNU02000000:1:22654966:22669548:-1 gene:OGLUM01G22470 transcript:OGLUM01G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVTKFAFNEGMSTSVFVFYRHVIAILFLVPVAFVLERKTAPPLTFKVISGAINIYSLGLSYASATSSSAIFNLLPAVAFILALLMKMESLNLKRINGIAKVSGVVLCIVGVIILAFYQGPELKSFNHHHLFRTSTVYAAATSHPATTWILGIFLTTLSTTCWALWTVLQGPMLEVYPSKLLNTTIQIVFATIQCFFIALAIERDFSRWKLHLDMGLIAVIYSGVLVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIMLSSFVLGEAVTLGSIISGVVMVGGLYCVLWAKKSEQEAISKQQMGLLHAIKAIFPDCVQRDIDSAQGDEDVQGEEEIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERKTAPPLSYKILLKLFVHALYGIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYKGPELKSLNLQHLSSRNVVPTGSTAYTTKTWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPLTLIVTIVLSSFVLGEAVTLGSILSGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >OGLUM01G22470.2 pep chromosome:ALNU02000000:1:22654966:22666077:-1 gene:OGLUM01G22470 transcript:OGLUM01G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKQFFLIVSRGTARDIDSAQGDEDVQGEEEIVTKIAFNRGMSTTVFVFYRHAIAILFLVPVAFVVERIAGSVNIYGLGLSYSSATSSSAISNLLPVVAFFLAVLMGMESLNLKRIHGIAKVFGVLFSIVGVIILAFYKGPELKSLNLQHLSSRNVVPTGSTAYTTKTWTSGIFLTVLSTTSWALWTVLQGLMLEVYPSKLLNTTIQMVFATIQCFFIALAVERDFSRWKLGLDAGLIAVIYSGALVSGLAYYMQVWVIDKSGPVFLAMTMPLTLIVTIVLSSFVLGEAVTLGSILSGVVMVGGLYCVLWAKKAEQAIASKEEATLPVQATQV >OGLUM01G22480.1 pep chromosome:ALNU02000000:1:22659658:22660002:1 gene:OGLUM01G22480 transcript:OGLUM01G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGRLLGFGGEGEMVSERVKGMVKGFDATNGFSFITPDDGSEDLFIHQSSLKFDGYRSLNDDDVIELSVGSGDDGRTKAVDVTAPGSDAHTGGSRPSCGHIPTAGRPLVVS >OGLUM01G22490.1 pep chromosome:ALNU02000000:1:22669566:22677544:-1 gene:OGLUM01G22490 transcript:OGLUM01G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YA96] MAASNLDVFEACHERLQHCARATRRTILTKVAFTQGTSTYVLVFYRHIIAAVVLLPVALAVERKTAPPLSLKVSLKLFVHALCGMSAAMNISCIGLNYSSATAASAVQNIMPVLTFFLAVLMGMESFKLKMCHGIVKISGIVFCAVGVSVLALYQGPDLKSFIKHHLFPHTNRVGTHSSRNWILGIFLQFLATLMWALWAVLQGPLLEEYPSKLLNTTLQIVFSAVQSFFMALVLERDFSRWKLGFDIGLVAIIYCGIVVSAISFYMQIWIIDKRGPVFLCMTVPLTLVITIILELLIGEAVTLGSIISGALMVVGLYTVLLGKRIEEEGISSQGAYEMLSVMPEMPLLQQQAVHGCCWPELEPKLNNNGDTASPPAAITTIIKITCSNRLYQWALERLLWLHSS >OGLUM01G22500.1 pep chromosome:ALNU02000000:1:22680814:22681314:-1 gene:OGLUM01G22500 transcript:OGLUM01G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGLCKSGLVQEAMKLFGLMREKGSIPEVVVYTAVVEAFCKACKLDDAVRIFKKMQGNGVIPNAFSYWLLIQGLCKGGRLDDAVAFCVEMFEAGHSPNAMTFVGLVDEVCNAKGVEEAEKLVRSFQDRNFAIDEKSIREHLDKKGPFSPVIWEVIFGKKKSGRPF >OGLUM01G22510.1 pep chromosome:ALNU02000000:1:22682058:22683839:1 gene:OGLUM01G22510 transcript:OGLUM01G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40400) TAIR;Acc:AT5G40400] MSVARIPPSTLTSLLPRALNPRVAVVDLVAGHLTAPDEARPIEDELSRLLPYLGNDELTAVVLRAGHSHPLPTLRFLLALPPPAQLSPTHLAFLAGSLASSRLFSQALDALSHLLRLHPGHDALPTLLRSSATAPHPSLPGLLVKALLRHARLRDALRAALHAAAAGAPPDAAAFNALLAALSRAGRFDELWAAHDVMARAGVRPNAHTFNILVAALCRGEDAERAQGFLEELEEQGFEPDVVTYNTLLSGYCRRGRLQDALHLFDVMPYRRVQPDLVSHTVVMDALCKAGRVRDARRMFDRMIQSGLSPDAVAYSVLITGYCNEGRLREARFLLMEMVGSGLSSEGFGLKVVIESHVKFGKLLTCLNMVAPIRKHGVVIPFQSYSCLIGALCEDMRPNAARGLLHWMIEDGHSPSLAIYNMIVECFCQCGIVEEASDVKVEMISREVRPDFNTYRALITCFCRLGRSLDGESIMAEMIESGFQPNEAICSALVCGFCKERALDRAELILRAFVLDFHVHCNESYNALMRAYCETTSSKESLELQNRMMELGFVPNSETCRSLILGLSKSIDLVSSDDGFSCISSKDNGGNAE >OGLUM01G22520.1 pep chromosome:ALNU02000000:1:22686520:22692581:-1 gene:OGLUM01G22520 transcript:OGLUM01G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55140) TAIR;Acc:AT3G55140] MGASCSSPFPSPPPPPPPPPAESNAPSTSAMDGMAPHPPHPAAGGGPVMLMPYVDADRTLRALAGAAEGFGCRVTSLCRLWFGLISNNLDPHGTAARPSSGGRMGASCSTPFPPPPRPAMDGMAPQHHQHPHPNPHPSPGGGPVMPYADADRTLRALAGAAEGFGRRAIGGLHGPLYRVTSLDDDGHGTLRQACRAHGPLWIVFDVSGDIHLRTYLRVTSHKTIDGRGQRVRLLGKGLQLKECRHVIVCNLQIEGGRGHDVDAIQIKPSSADIWIDRCSLADCDDGLIDITRGSTDVTVSRCRFSRHDKTMLVGADPSHTGDRGIRVTVHHCFFDGTRQRHPRVRFGRAHLYNNYTRGWGIYAVAAGVEAQVASQCNVYEAGAEKKAVFRYMPERAADREEAEAGWVRSEGDAFLNGARPCLVDGGDAAVFRPEEYYERWTMEAASPALKEVVQLCAGWQPVPRPPGE >OGLUM01G22530.1 pep chromosome:ALNU02000000:1:22693684:22695080:-1 gene:OGLUM01G22530 transcript:OGLUM01G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKEAGEDDNDDVNKIERGDGTRRLRRAKSWAPAWPEGAGGRPEQTPARAGASAPAHRHRQLQPTAAITSAPAGCHHSGPACRRSSLRMVEDVHHAVDADAKPSAFVAHAHWYDSADNGVGGGGGEWRKGSPLIHTYLVSFHGFFVRMSPSLWVLPLSSDGRGGRLNDAGAPLGRARVRKKRSKEKKRREEFLPSITDKWNPTY >OGLUM01G22540.1 pep chromosome:ALNU02000000:1:22695644:22700030:1 gene:OGLUM01G22540 transcript:OGLUM01G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63080) TAIR;Acc:AT5G63080] MAGGGGGGVGSRVKVVGQVERVDGATLSYAEFVERFMRPNLPVVLTGLTSSWRSCHDWTLAADRRAPDLEFLARSFPSPLVQVADCSSREFSDQKRLEMSMREFVDHWVGSSSNGDSDGSLLYLKDWHFVKEYPGYVAYTTPTFFADDWLNMYLDSHPIHRDSDIANHTNEINCADYRFVYMGPKGTWTPLHADVFRSYSWSANVCGRKLWLFLPPSQSHFIFDRNLRSSVYNINDDVSEKQFPEFNNTKWLECTQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLHWVWNLLHEDYKVAKDYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFITRFALANIVELYHIQNPKDTDFISAETANHFVYNLMSIRDVASKMVSTEAFNTENICNISEQNRSAFSDIIKILEEESFRRLLVALSKAYNYIDRGQKDCLKMKDSSQKGCLSVTCLKPDCNVVGDITSFMREIHGPMDLVTLIDSALSDR >OGLUM01G22550.1 pep chromosome:ALNU02000000:1:22701264:22707069:1 gene:OGLUM01G22550 transcript:OGLUM01G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGLLIGKLGAALAKEAATYGASLLCQEASALKRLFAQIRDVKDELESMEAFLHGAERFKNIDDTTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTAKMKKRIKHVKTWRRLALKLQDIKQRLENADKRKVRYDLSGIEKNGHSKSPEQSLQLAREEDLVGIEINMELLMQWLTGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFLTVTNSYQFDDLLKKISTELKIPIDADNIAVGSLVESIHNHLQGKRYILVLDDVWQPDVWFKLRNSFPTESTGRFIFTTRMQEVALLATKRRTIELAPLDAHCSWQLFCKEAFWNTDNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLEIQLTNNVILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFNSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLMILPVEVATLRKLKYLYVVRVPEGSFDRVLAFDGIQVPMGICNLIDLLALQLIEASTEVLRHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVHITINSADEKEVLQLETLCLPSTISKIDLAGMLSEESISRLISTSSSLVNLTELKLCFSKLNEHSFSCLLNLHNLVELYLSKAYDGKELIFHATSFPKLKLLSVWDAPNLRKVAIQQGALQSLVRLVLADCPELRDVPDGIEHLRTLEYIKLERCSDELRNEDRMKISHVKWVHIF >OGLUM01G22550.2 pep chromosome:ALNU02000000:1:22701488:22707069:1 gene:OGLUM01G22550 transcript:OGLUM01G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGLLIGKLGAALAKEAATYGASLLCQEASALKRLFAQIRDVKDELESMEAFLHGAERFKNIDDTTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTAKMKKRIKHVKTWRRLALKLQDIKQRLENADKRKVRYDLSGIEKNGHSKSPEQSLQLAREEDLVGIEINMELLMQWLTGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFLTVTNSYQFDDLLKKISTELKIPIDADNIAVGSLVESIHNHLQGKRYILVLDDVWQPDVWFKLRNSFPTESTGRFIFTTRMQEVALLATKRRTIELAPLDAHCSWQLFCKEAFWNTDNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLEIQLTNNVILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFNSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLMILPVEVATLRKLKYLYVVRVPEGSFDRVLAFDGIQVPMGICNLIDLLALQLIEASTEVLRHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVHITINSADEKEVLQLETLCLPSTISKIDLAGMLSEESISRLISTSSSLVNLTELKLCFSKLNEHSFSCLLNLHNLVELYLSKAYDGKELIFHATSFPKLKLLSVWDAPNLRKVAIQQGALQSLVRLVLADCPELRDVPDGIEHLRTLEYIKLERCSDELRNEDRMKISHVKWVHIF >OGLUM01G22550.3 pep chromosome:ALNU02000000:1:22700634:22706263:1 gene:OGLUM01G22550 transcript:OGLUM01G22550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFAAPSKRRRPALTAVTVIVVIFMSYCHRHGRRRLPELPSTLWSSSSPSLDCPAVAVDLTATAIVATFAFTSAARCTHTATMAEGVVGLLIGKLGAALAKEAATYGASLLCQEASALKRLFAQIRDVKDELESMEAFLHGAERFKNIDDTTGNYVKKIRGLAFEIEDAVDEFTYKLEDKHGGFTAKMKKRIKHVKTWRRLALKLQDIKQRLENADKRKVRYDLSGIEKNGHSKSPEQSLQLAREEDLVGIEINMELLMQWLTGDSEQGCKIATVWGMGGVGKTTLVSHVYKTVKLDFDVSGFLTVTNSYQFDDLLKKISTELKIPIDADNIAVGSLVESIHNHLQGKRYILVLDDVWQPDVWFKLRNSFPTESTGRFIFTTRMQEVALLATKRRTIELAPLDAHCSWQLFCKEAFWNTDNKTCPEELQDIALMFVDKCAGLPIAIACIGRLLSCKHPIYSEWEHVYKDLEIQLTNNVILDVDIVLKVSLEDLQRNLKNCFLHCTIFPESYAFNRKRLIRHWIAAGYIQEVGSKTVEEVAEGYLNELVNRSLLQVVERNLSGRVRRCRMHDIIRLLALRKSKEEFFCQVYKGSEACSIENTRRLSIQNASIQHLSGSSAPCLRSLHVFNSNRRIDSLEAFLKSFKFLSTLDLQGISIKRLPKIVFDLFNLRFLGLRKTYIEYLPKELSRLQNLEVLDAYDSKLMILPVEVATLRKLKYLYVVRVPEGSFDRVLAFDGIQVPMGICNLIDLLALQLIEASTEVLRHIGCLTKLRTFAIGKVRTEHCADLCDAIMRMTHLVHITINSADEKEVLQLETLCLPSTISKIDLAGMLSEESISRLISTSSSLVNLTELKLCFSKLNEHSFSCLLNLHNLVELYLSKAYDGKELIFHATSFPKLKLLSVWDAPNLRKVAIQQGALQSLVRLVLADCPELRDVPDGIEHLRTLEYIKLERCSDELRNEDRMKISHVKWVHIF >OGLUM01G22550.4 pep chromosome:ALNU02000000:1:22706691:22707069:1 gene:OGLUM01G22550 transcript:OGLUM01G22550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYDFFVFVPRFALANIVELYHIQNPKETALSSTETANHFVYNLMSIRNNFNAENICNISEQNRNAFSDIIKILEEESFSRLLVALSKAHRHRTKRLSQNEGFKSEGLFVSDLLEI >OGLUM01G22550.5 pep chromosome:ALNU02000000:1:22706691:22707129:1 gene:OGLUM01G22550 transcript:OGLUM01G22550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYDFFVFVPRFALANIVELYHIQNPKETALSSTETANHFVYNLIISMPRIFVTSQNKTGMLSLISSKYWKKRASAGYWWRCQRHIDTGQRDCLKMKDSSQKGCLSVTCLKSDHNVVGDITSFKRGFGNTN >OGLUM01G22560.1 pep chromosome:ALNU02000000:1:22713493:22716405:-1 gene:OGLUM01G22560 transcript:OGLUM01G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISPTSGYKGGQFDISLLVWKDPNHGNWWLEFGNGELVGYWPSFLFSHLASHASMVQFGGEVVNTRADGGAHTATQMGSGHFAGEGFGGASYFRNLEVVDWDNSLVPLAAGFHVTADHPDCYDIQGGVNAVWGNYFYYGGPGKNVKCT >OGLUM01G22570.1 pep chromosome:ALNU02000000:1:22761285:22776660:-1 gene:OGLUM01G22570 transcript:OGLUM01G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWVHLSASSCYCKYITAENPCPLARLRPRRGGGTFDGVSILAIDSVVFEVLATNGATHLGGEARTSTTTTSSTTPFLSSLLYPIQPLLSSPSPAGFRFASPHLAPSTCARIPTPSAITPRIGLRGNQSSVSWPKPWLWKMDGVDKDKGNGKVHFRDSSPQEAFRTYKRRRQPRPEPQQQPQPQPQSEPEPQQQPQPQPEAEAEAEVKAADVLARQVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKSTSDDIKSGKDPLPVDSEVLTGVDTVLEEVTNQTNHDNQDLTYPKATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFDEDAVNLSEIQVEGTPPLTDDRQPLGNSLHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCTLEHGRCQVLDNNKQQTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNNNPVLSNYPTRLRDNAWEHSLIHDKEKGHWKCKWCGLEGYHGITRLRWHLVGWQNRPQCLNVPEDVAKTIRDKMISREKQKEGRLNLDVIDSCNMPCSSESLQFDQENFAEGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNYQGLQENGLYSSKNKSEKQTERYDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFTMIDSRMKNGDYGRAPLLFKHDLKMLWEDLKMAGQDIIDLANNLSSLTETERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >OGLUM01G22570.2 pep chromosome:ALNU02000000:1:22761285:22776660:-1 gene:OGLUM01G22570 transcript:OGLUM01G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWNWVHLSASSCYCKYITAENPCPLARLRPRRGGGTFDGVSILAIDSVVFEVLATNGATHLGGEARTSTTTTSSTTPFLSSLLYPIQPLLSSPSPAGFRFASPHLAPSTCARIPTPSAITPRIGLRGNQSSVSWPKPWLWKMDGVDKDKGNGKVHFRDSSPQEAFRTYKRRRQPRPEPQQQPQPQPQSEPEPQQQPQPQPEAEAEAEVKAADVLARQVTETFWKSRDIGWKHGIMIDENRQHWKCMYCHLTRYGGGVSRLKRHLAGDLDVKMCPKVPADVSEKIREHLRKKRERRKKRAAQNRDNCVTAKSTSDDIKSGKDPLPVDSEVLTGVDTVLEEVTNQTNHDNQDLTYPKATMLLRGIRDIGWEHAVDLDGNKRRWKCKWCSLCRSGGVTTLKAHLTDSSCPNIPKEISKKVLNFIEEKRAARHLFNSAAKSPFNVKFDEDAVNLSEIQVEGTPPLTDDRQPLGNSLHIQTSECTINEFEKVAAGSNQQGAEHSNQLLNHGEQLMKSSDQPEEHCTLEHGRCQVLDNNKQQTMDNKTDNPEHKEVLKHPKKTRFNIRKHIVIVDESARHWRCRYCGLDGYGKTSRLHFHLAAVFRHPKCPSVPKEVFAKARHHIHLKRRLNVKKAGQQARSRPHILGQSSQQQQNNNPVLSNYPTRLRDNAWEHSLIHDKEKGHWKCKWCGLEGYHGITRLRWHLVGWQNRPQCLNVPEDVAKTIRDKMISREKQKEGRLNLDVIDSCNMPCSSESLQFDQENFAEGKGSSEDFNQAERQSNTLNTVCNTTHPPQNSNNYQGLQENGLYSSKNKSEKQTERYDCWSHWRYVLDGLMHLPGALEGPGIQSCIRDVLLYGSAEFGTVGDKVEMDSNRKVSSDGNIAKCQSVLVDVLKSENFALLCNVLGRTVHQDEQRTKYFDFTMIDSRMKNGDYGRAPLLFKHDLKMVERERGSDDSEENLKGAAATNLEPMNMVKSNALVLSTSQGFNQLDQPDPMDVCDEQNGTNCNECGKVAKIDSILTCKRCMLAFHVSCIEPPVPSTSTGSWCCKTCSTICNESAEVGMALVHYEPNRLHGHCVACKDLEFCRPPRCEETASERAPADNSRAIVIPSAEPVEDVELSDIDVRGLCKMCGNPEEKDKRFLVCGHTHCLYKYYHISCLKATQIASDKQLDKPCWYCPSCLCRVCHSDRDDDLTILCDGCDEAYHLYCITPRRTSIPKGKWYCSSCAIERAKEGMARHEKRMLKLHRKDDPGLQGMRYEMVDMILAAAEMLSDDEQQGT >OGLUM01G22580.1 pep chromosome:ALNU02000000:1:22777485:22777904:1 gene:OGLUM01G22580 transcript:OGLUM01G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGKGTTPHAGSAPSPPHQLPTPPPPPPPLRSHFPMPTLSSASTPAPDYEVDFDVSEDHHSSIDLHVSSASLLHSHYFGALLSDRWSPAPPPSRGGRRGGGSEAEGGEDRHEATKKGRGRGHSGREGGDEAAAEGRE >OGLUM01G22590.1 pep chromosome:ALNU02000000:1:22795454:22798036:-1 gene:OGLUM01G22590 transcript:OGLUM01G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYLAHGHLTEKADVYSYGILVLELVTGQRCSGSIGSHGGHFLLTKVWNHYKNKAVEMIADRSIYEDTIRDEVMHVVQIGLSCTQANAGDRPTMTKVVELLRSHRHDVEIILSDPPFLDVEAFEDIKQGEQSRLLSARSAHSVSGSSRSYLSGR >OGLUM01G22600.1 pep chromosome:ALNU02000000:1:22810280:22812479:-1 gene:OGLUM01G22600 transcript:OGLUM01G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high affinity nitrate transporter 2.7 [Source:Projected from Arabidopsis thaliana (AT5G14570) TAIR;Acc:AT5G14570] MEKKTKLVEEEDGCYYYDYGGYGDGVVDDEGRATELRPMALSRPHTQAFHLAWMSLFACFFAAFAAPPILPAMRPALVLAPSDASAAAVASLSATLVGRLAMGPACDLLGPRRASGVASLVCALALALAAVFASSPAGFVALRFVAGLSLANFVANQHWMSRIFAPSAVGLANAVAAGWANVGSAAAQVVMPVAYDAVVLRLGVPVTVAWRVTYLLPCAMLVTTGLAVLAFPYDLPGGGGGRCPGGGGGRRRSFWAVVRGGVGNYRAWLLGLTYGHCYGVELIMENVAADFFRRRFRLPMEAAGAAAACFGAMNAVARPAGGVASDEVARRFGMRGRLWALWAVQSAGAALCVLVGRMGAAEAPSLAATVAVMLACAAFVQAASGLTFGIVPFVCKRSLGVVSGMTASGGAVGAIVTNRLFFSGSRYTVEEAISCTGITSLLCTLPVALIHFRRQGGMFCGPSATIDGDGDVDDDDDYMLLK >OGLUM01G22610.1 pep chromosome:ALNU02000000:1:22843107:22844657:1 gene:OGLUM01G22610 transcript:OGLUM01G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGTQGWARLAGRGERCRHGAETEGARGRAVDGNVARGGGSGEHLVVSGSSFPTVAARTELGHARLTWPLLRPPSSSRWTWPLQAASGGGGKETEEGGGCGGGGGHSCSPPTPADRFPLLVSCRRPPLRVTSTAAVLALLAIATTFLLTSHARRSSAHPRAQLPAFAFAASAFIIGCTSDLLLHHRRLSDLRLRCELA >OGLUM01G22620.1 pep chromosome:ALNU02000000:1:22845229:22846400:-1 gene:OGLUM01G22620 transcript:OGLUM01G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLDMRTKQAEDEAAQLAREREAHLAKEKENNDFSIKKCISILNSMVVVTKQEKAKAYTVFKNAENREIFGD >OGLUM01G22630.1 pep chromosome:ALNU02000000:1:22846540:22849121:-1 gene:OGLUM01G22630 transcript:OGLUM01G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPRLASPSHHESSSFMSTLVTRSLRGGGGATKTRRRGRPGGDGDGSLLRPPPSLRLHVHQLELEKTNLLLRGTLYPLQEYFYGYESKGPH >OGLUM01G22640.1 pep chromosome:ALNU02000000:1:22848391:22849164:1 gene:OGLUM01G22640 transcript:OGLUM01G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLMSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARIVKESSLLFFSEGVTAVAPWARAMSGGGGSGRVDAPTLCCDQERCPTGWRRRQEREKRRKNPNPRLACSPERGKGSVLTGEKVAAGGESRRRRLRVAPAVASSLRRRLLWRVFWAGAQGKRRDGPGLFTGIGPRSRPFPAQPNKPLAFAPTDLNDRVTKVDMKEDDSWWLGEARRGKGDAMLPRPPHFLPLAAT >OGLUM01G22650.1 pep chromosome:ALNU02000000:1:22852706:22854977:1 gene:OGLUM01G22650 transcript:OGLUM01G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26610) TAIR;Acc:AT3G26610] MLIAGAILCLVASTAPAPALSAGRTTFSVSSFGAAGNGIADDSEIDGDVLAPPGMGYWPKARRPLQWLNFKWLDGFTIQGTGTVDGQSTLLRSVSPANVSQHWYISGVKPTLIRFYSSFNVSVRNIRITNSPQFHLKFDSSGGIKVKNITISSPGDSLNTDGIHLQNTRDVDIRSSSIGCGDDCISIQTGCSNVHMKNINCNPGHGISLGGLGKDNSLACVSDVFAEHINVENALYGVRIKTWQGGKGTVRNVTFSNVRVANVATPIAIDQFYCDAGGGGARCGNRSDAVGITGVAYRRVAGTYTYQPVRLACSDARPCTGVSMADVRLSPASAAGASGLRQPLCWKSYGEAMGMIEPTGIACLQRSNGFVMPLTKPFNYTC >OGLUM01G22660.1 pep chromosome:ALNU02000000:1:22855932:22867882:-1 gene:OGLUM01G22660 transcript:OGLUM01G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAAAAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLHPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVDEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >OGLUM01G22660.2 pep chromosome:ALNU02000000:1:22855934:22867882:-1 gene:OGLUM01G22660 transcript:OGLUM01G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAAAAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLHPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVDEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRFNEYRTLTLENDKLRGECLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >OGLUM01G22660.3 pep chromosome:ALNU02000000:1:22855932:22867882:-1 gene:OGLUM01G22660 transcript:OGLUM01G22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTAAAADVIDLSSDDDDEVPVPSTSAAAAAAAAAAAAAAARRVAPSTSPRDVTPYALVDVKPALLYPLHPPGVVVGGSGALVPVKEELPVLTPVPLLAAGYSPSTPSTKVALPAPRLCRQFWKSGDYVVAQRNPDADAPGGRNRLRINPRFLHSNATSHKWAFGAIAELLDNAIDEVNTGATFVRVDEFTNPRDGSSSLLIQDDGGGMDPEALRRCMSFGFSDKQSDALIGQYGNGFKTSTMRLGADVIVFTQNQNNWVPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDISTASYTQMLRHDQKLFSSNLAILLKWSPFASEAELLKQFDDIGEHGTKIIVFNLWFNDDGDMELDFNSDKKDILITGAHRKVNTNKADKVATQNYVSTRLRYSLRAYTSVLYLHIPDNFRIVLRGHDVESHNVINDLMYPECVLYKPQIAGLAELSAITTIGFVKGAPEIDVQGFNVYHKNRLIAPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLESRLKEMTYEYWDLHCHRIGYDNKKLPKSSRALNRANQMNAGSSPPIVPRQLLAADIPTSSCAVPTFMAPALRQKQMGLKRNIDALGSKTDSADQDGSHLDVSQRRRCLQYEESAKQLALKEQKLRSQIALERKKYEELLQELRSLDVKTEK >OGLUM01G22670.1 pep chromosome:ALNU02000000:1:22882336:22888252:1 gene:OGLUM01G22670 transcript:OGLUM01G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTAPAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNITQYEKPLPPEDQLPPPPPLPPPPPRSGRGDRDRDRRDRSRSRTPPRRDHRDRDRDRDRDRDRDRDRRHDDHRSAPSHHHPLPAAAAVAADDPSTEAYRHRHEITVVGDNVPAPITSFETGGFPPEILKEIQRAGFSSPTPIQAQSWPIALQCQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNNPRSGPTVLVLAPTRELATQILEEAVKFGRSSRISSTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRISLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPPRRQTLMYTATWPKEVRRIAEDLLVHPVQVTIGSVDELVANSAITQNVELITPSEKLRRLEQILRSQDSGSKVLIFCTTKRMCDQLARTLTRQFGASAIHGDKSQSEREKVLSHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQRVPRDLADMASRGGRGGRKRNRWATRSDRGGSRSELDSRYGGRDGLSGSSGRLDSSRSSRRHDYGDDGRSRRSGRGRSRSRSRSDSDRYSRSPKRSRRHSRSRTRSRSRSRSRSYTRNRRASRSRSHSPGASRRHERSATGSGSALPDSGHGERKRTPEADPSRNHTNHSDPKDDRHPEDGKVGKVDLDRSPTPQDKSGPYSPAYNGKTSRSVSPGNQVEGNNKAAEVSKNPDPSSPPHHGKTREDEEEGMIDEDGEIADDPRANATVQNGGDN >OGLUM01G22680.1 pep chromosome:ALNU02000000:1:22902364:22905975:-1 gene:OGLUM01G22680 transcript:OGLUM01G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARRSTAVSDGGGGSSAAGSDGEDDRYLSASSALGTPSSLATLLPSSDLWDHQMDLLLLDDPVAGFPKAHQLTRLHPPPEPPRPDPPAPAAAGDAVLPRPPEPDPTQVDNLDDNHLFDDMVQEMEHILLNSGEPHESASFTDYRANNSSQAHHFRDGSTTASTSGTDDAYVYPLPHHPSKIDWVEVVGAKQRTGDVSFGERMVGVREYTVYLLKVKSGEDDWEIERRYREFYALYQQLKLLFAEKGFSLPPAWRNVEKESSKLFGNASPDIVNERSSLIQDCLCSLLVSSYPFGTPTPLVSFLSPGSPAYEYSLLKTLIPRSLQRLSSDSHSKGSSCNGTSHKDSASMGKTISLVVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTMLQEIVQTIGWNKPRFCAYTGQLFCASCHTNDTAVLPAKVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFAKVPALLNIMSIRKKIAAMLPCVQCPFRNSIFRGLGARRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDSGVPCAARQACDDPLALIFPFQEDEATKCGSCGSIFHKQCFRKISICPCGKGAASKGRKIVALEQAVRDDASRPSTQLIQPPSFSSSSGFFSDIISKARPDKLWKPRNSSPVILMGSLPDTST >OGLUM01G22690.1 pep chromosome:ALNU02000000:1:22906460:22907321:-1 gene:OGLUM01G22690 transcript:OGLUM01G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLNAVYEQGRNGKAIRFSNLFFKNKMSFRKHVGKFKEQEITKTVKLNGTKPDHPPG >OGLUM01G22700.1 pep chromosome:ALNU02000000:1:22907888:22941431:1 gene:OGLUM01G22700 transcript:OGLUM01G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEVKDNEVYEEDLVDYEEEVENGTDGGANAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGAFLKIRLAGLSAS >OGLUM01G22710.1 pep chromosome:ALNU02000000:1:22908619:22953563:-1 gene:OGLUM01G22710 transcript:OGLUM01G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRISAASSPSPPATVASSTFPKHPRAAASSSSSPPLPRLLLIISTPLVVTLTRSRRCGKDDRCKEGAEEDEQHMVASQGVLEQRQTTLHRARRQWRSSVHGCLCLFLRCRTKILSTLNLKTEETLGTVPPVPLFGEESNMEEHTYLTSFQFHHAHSEGGAANSAGPDHDNKTSTKHKNLKDQAAKEFKRTNLSSCVIPECAQVRKRRFYPNDLKIAIYFELLVKTNAPARSDNVTRQ >OGLUM01G22720.1 pep chromosome:ALNU02000000:1:22954248:22960272:1 gene:OGLUM01G22720 transcript:OGLUM01G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAEVKDNEVYEEDLVDYEEEVENGADGGAAAANASADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGAFVKIRDYIQTFIQKHYKTKYR >OGLUM01G22730.1 pep chromosome:ALNU02000000:1:22965232:22972771:-1 gene:OGLUM01G22730 transcript:OGLUM01G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSVKWQKETFPGIEIDTSQPPVVFKTQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKDGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDNVRGNGVDQASHNLTVATRNTFGELDQSVRPVAPLLFLQTLRKKYPQFAQQQNNVYMQQDAEECWTQLVYTLSQTLTSETSEPPAGQMKELFGIDLVSRVHCAESGEESLERESVYSLKCHISHDVNHLHEGLKHGLKSELEKASPSLGRTALYTREYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYDFCSDELKQKLQAPRQMLRDAENAKFGLKAQGKASSSKENEKQLTGIYDLIAVLTHKGRSADSGHYVGWVKQDDGKWIEFDDDNPSIRKEEEILKLSGGGDWHMAYICLYKARVI >OGLUM01G22740.1 pep chromosome:ALNU02000000:1:22978702:22982380:1 gene:OGLUM01G22740 transcript:OGLUM01G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYRALIAAGATAVCCLVCAVWAFSSSSSSSASKKHQRQRQRQQRPLSPGCCGCARCGCRAAAVNGEMAVGGEQKKAPGPSPAAAAAAAAAGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQHNITPPNGWKAYYAATKAIMNVNTEFYNIIREGSLPAMSHFWLNADYVKCIHATGELFTGYNAVMDSWGLLFNWGQDGGQGIAFQLRDVRARVLGEVAWVNMKAHVDVDPGPFHVTNVYEFRNGRWYMVHHHSSLMADPAPHNLFA >OGLUM01G22750.1 pep chromosome:ALNU02000000:1:22983158:22985257:-1 gene:OGLUM01G22750 transcript:OGLUM01G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDREFYQFSDQLRLQTASFSGLSLGDSIWSSPSDRRNEPAFDGEYHHFSSPSPAKNAIANINGVAGNLDGPGLIGSGKLAFGATKADRYNSVNLPVDNNNNNKSYGGAAKINNNNVNAFGFNKMGGYNNSSNGGSNYGGNGGDVKSYFNKSVGRPASNNNNNNSNGGGGYYGKKGGDGAGGKKKHAKNSDSGAQASDKRFKTLPASEALPRDEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVKVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVAEALSLLDIFADKDDA >OGLUM01G22760.1 pep chromosome:ALNU02000000:1:22990559:22994767:1 gene:OGLUM01G22760 transcript:OGLUM01G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFLRAGTYSEALWHAYASKVTRPTCTEAAPTATNGLHPLIDIVMEDREAKQFRHKPLAHEDELTILFGSMDDVEDGTMLCVGGIGDRTPCGGSEDNCTPIPTGHVGLSEDNAGRSSAQRAGKEQVVDSPPPKKTKNMEYYVERISESMLERSRNESSVIRGEQEEVAELLLQVEQDGVAQGSELYYIATDLFRSPARRAAFSSPLRKRWSKPSKEIKTRMSSSETSSSTSSSRTHFRDEWSTWWDMGATVGVLAALASSSSGGCDVQDAPSQGQEE >OGLUM01G22770.1 pep chromosome:ALNU02000000:1:23013853:23024432:1 gene:OGLUM01G22770 transcript:OGLUM01G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSTATTTPAVLRLSTDMAMSECAATMPATTAHHHHHHHAVAYFGLSCALAVLHRRSGGGGGGCDLGAGPRRCRWSRRRELALRERVGELEREVEELRRRRGEDARANEKVAGIFAAHEQRWFAERKGLRRQVHAVVAAARAREAAHGEAVAELTRQLEEQEQRAAEAAEXMRAGREEGEEATLAELARAARRGDAAEAELGEALERRNEAASTAAELSAECARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEADRWRKMWESRHRRGSRSSARCAAAADHPGCSDKLTSPDAAVAPCAHDTKILFVDRVEDADAAKKCRHTAAPPPPTTKDPTTVECVDRYPSHVDDKPVVEEYQDLQEWFKMETEKYTSMIRRRHSAEVEAFTEQLRLKDEKLEAFRWRAASMDAEASRLRSRLQELEARLSEQEQRRAALEALLVARDDENRSLMERLAASDDQGRALDVVVVDGGGGGCEHRAPRSPEGAEAADARLAVAEIKSLEPVSPGGDTNKVFDMEETEARDVEISVQNDVSAAVSPDELQLVEHDDHRAIAPARNSYTCEIEEEEDEEKELPSSSSSSSLALVVAAPPEQRTTASKMDIQALAVSYKIKRLKQQLLVLENLAAAAAGGKDTVTTATNPSTNTAAATAAGGGGGRQQYPRSYQMMVSFLSKHVKRYQSLEDKIDDLCTRMEESKRGGGRERHHRRRRQRRESEEDGSGDREQSAALARFLEETFQLQRYMVATGQKLLEMQSRIAPSLERAAGNGGGNDGVDMGRFMDVVGALLRDVQRGLEVRIARIIGDLEGTLTFHGILHTTF >OGLUM01G22780.1 pep chromosome:ALNU02000000:1:23027458:23032342:-1 gene:OGLUM01G22780 transcript:OGLUM01G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGFKSSGSHNNNGKFGGAAGSESNGDYSSGGRGIEPPRTSWTVDLTVETTPATMDPAASGNDVAGTVGSTTTFLWSGEPSSSASADDDRSDGEEIQGGGFGGFWWVAGLGGNEGLQRPKSPQIPQSQQPLDKYGGAPSSNADDRVRPRGRQFLNSLMLSEKSFFDQDFESLCAHAGPSAAGLHASQSLIKKTTVVTGSGEEGGGRGRLGVGARRVVVIGGGNIVEEAHDEEGLPTLTLLGGRRAANPSSAGFVLGAEEAAPRRGMWAQTAVVCSGEDGKKKEGRRWKNGGVGWKT >OGLUM01G22790.1 pep chromosome:ALNU02000000:1:23033572:23036463:1 gene:OGLUM01G22790 transcript:OGLUM01G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDANYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNTTGCYNLACSGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIAPLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >OGLUM01G22790.2 pep chromosome:ALNU02000000:1:23033572:23036463:1 gene:OGLUM01G22790 transcript:OGLUM01G22790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARACLVVALLLLVALFSPSEATSSTSLRRRQVRSLLKRLNKPPLATFQSLDGDIIDCVHISNQPAFDHPLLKDHTIQMRPSIQPSGLYGEATRPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHGGSPHPHSHLGGVTDGHHYGVAYATGDANYYGTKVTINVWQPTIATFGFIQTNPQFVIGGSLSPVSIYGSTQYEYDYLVWKDPAGGNWWLQLQGNYVGYWPSSIFTLLQTGVADTVEWGGEVYSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNHLKPPNGVGLIAPLPNCYNIMTGSSSTTSWGTYIYYGGPGCPQNSQIEVM >OGLUM01G22800.1 pep chromosome:ALNU02000000:1:23062594:23064722:1 gene:OGLUM01G22800 transcript:OGLUM01G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSILPCGMYDEAAHPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVTTFGKKTHNGSPHSHLAGVTDGHHVYPAMYGDDKTRLFIYWTRDAYNRTGCYNLACSGFIQTNPQFVIGGSISPVSIYGGTQYVYDYLVWKVKRTHKLSSSTFKAYIQNQILHEWARRICVI >OGLUM01G22810.1 pep chromosome:ALNU02000000:1:23081501:23083252:-1 gene:OGLUM01G22810 transcript:OGLUM01G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQRVLLGGEGAIRGGLEVAAHGPLASARLTGQEASANRGAGEEQAAGAVVGEGADRRQSRRPLGPPPVPPLLSSSTSYFVTIEDIKFVLEMINTNWMAIWDIKNIIQAPHQHMAMIKRGLLET >OGLUM01G22820.1 pep chromosome:ALNU02000000:1:23090767:23091246:-1 gene:OGLUM01G22820 transcript:OGLUM01G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSGEWEEERVARVKGGSRDRDKWESCVTATSWESKISNHERGLGTWGWAGLGGETDGMMRTAQCRASVRACVRAPLLELERAAFEGFNATAACGPAREGTGAYAYGSFPPARVASRWRCPVRAVAARMCVSPVRARRSVGRSVARYVLYLIAVIHG >OGLUM01G22830.1 pep chromosome:ALNU02000000:1:23091210:23092687:1 gene:OGLUM01G22830 transcript:OGLUM01G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEATATTTVSGGSGRAAPAALCLQAARGAWSRTSKVLDVDAASPTAAPSLGSSVGVPFLWEEAPGRPKVVVVAPEHFAPPPPLAPAADERAPVSHGGEAAPASGGDRGDGDKARHVVVPLKLPPRLQAAAAAAAAADSSLSPNTVLHGPYGGNKPPRPLTRSGSTASHRRKPSAVGVSLWRKATAAARGKKHDYDAAALDASCRSPASSSSSSSSSSSSSMSYFADDDHRRKADGHGDPEPEADGEECGAKSTVRITRFKRNKSLPSVNTSHLWGFL >OGLUM01G22840.1 pep chromosome:ALNU02000000:1:23093623:23102016:-1 gene:OGLUM01G22840 transcript:OGLUM01G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQQHPAPGAEFVADRDAARAEVERALGGYSFRDGGALLLEDALTHSVHPRDEAGGRARHQRLEFLGDAALGLAFATIFYRDDPGLDQGDLTVLRSANVSTQKLARVAVRRRLYPLLRRYNCAPQDHEVSRFTKSVEGPYSGDPIEGPRVLADIVEAIVGAVYLDSKLDLEVLQKVAKLLCEPIITKKALLEDPESMLNELGGEHREDLEIKILAWRKVANVVDDGREQAITTSGLGNGSEDEAT >OGLUM01G22840.2 pep chromosome:ALNU02000000:1:23093623:23098210:-1 gene:OGLUM01G22840 transcript:OGLUM01G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKECAREGGGELGCGRRSSGVGSSSRADPPQPDHREGSTDLNAVDHAARPPPLRPRFTKSVEGPYSGDPIEGPRVLADIVEAIVGAVYLDSKLDLEVLQKVAKLLCEPIITKKALLEDPESMLNELGGEHREDLEIKILAWRKVANVVDDGREQAITTSGLGNGSEDEAT >OGLUM01G22840.3 pep chromosome:ALNU02000000:1:23098839:23102016:-1 gene:OGLUM01G22840 transcript:OGLUM01G22840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPQQHPAPGAEFVADRDAARAEVERALGGYSFRDGGALLLEDALTHSVHPRDEAGGRARHQRLEFLGDAALGLAFATIFYRDDPGLDQGDLTVLRSANVSTQKLARVAVRRRLYPLLRRYNCAPQDHEVPIDPCITQFEKSKWYPKCVES >OGLUM01G22850.1 pep chromosome:ALNU02000000:1:23106380:23107116:1 gene:OGLUM01G22850 transcript:OGLUM01G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLARAAASAARAALRPAPLAGRVLGSPLPTPLAPARTARILRRSAAAASAGLETLMPLHSAVAGARLRSCIAADSSCWSSLSQV >OGLUM01G22860.1 pep chromosome:ALNU02000000:1:23118196:23119862:-1 gene:OGLUM01G22860 transcript:OGLUM01G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHECCYYGGSIGGDGLNPLAAIPPPCSSSSSSWSSQLLLLSDHDDVLLHSAGDHGGAVAGIGGACMTADLVVRDEEMEMAAGYLPVAASAAAAADFDHYMYQQFQLEPDQFVSTLPAVAVAVAATAGGGSHDDELLRMPFTDIDLDAFADARDVVVGVGEPKPSPQHTLDAAIALPAVGGGGAHHFGTQDDDVKFDVTKQRNDAALAGDDSLSMVIVESYEMGMRRHAAEQEQEQKPKIITPAATTLTPPPLPLPPPPPPPPRVTRSRRDGSSAATAGGKTRLDHIGFEDLRRYFYMPITKAAREMNVGLTVLKKRCRELGIARWPHRKMKSLKSLILNEMGSKGMSAAAMRRELEALENCCALMERNPAVELTERTKKLRQACFKENYKRRRAAAVDVLDLDHCFSFAAGHCHRHHHQQLALPPPPAAAADHRRRDFFGY >OGLUM01G22870.1 pep chromosome:ALNU02000000:1:23125602:23128878:1 gene:OGLUM01G22870 transcript:OGLUM01G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAVASFRRGGVGIAGMSSSTLEEVQMQETLIFSDTIKDLKMLKSQLYSAAEYFELAYTQEDDKQEVMNNLKEYSVNALVNTVDHLGSISFKVSSLIDQRFDEVDDTNLRMSCIHQRAQVSQACMDKEGLSQQSLVITAPKYHKRYILPAGDGSMPNAVPNFSEMRKAKNRAAQMQQVFSAAAAASQVKAKEKQPSFSKLRSIARAPSQRARSSSPAQRPHSDNTIPTKRADKRSESPIPRTTPLTRSGSLPQKPSLLKTSSVRVQMQTSEHKKLASVRSQADRNDDKEGEQTPKKGKKFLKSLLSRRKSRKEEPLPCYFDDY >OGLUM01G22880.1 pep chromosome:ALNU02000000:1:23128005:23142239:-1 gene:OGLUM01G22880 transcript:OGLUM01G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G46560) TAIR;Acc:AT2G46560] MGEPDELPPLPLALHPPHLIPPAPTADPRSLSFLPDLGGLPWVACGAASFLVVSHLPSPPREGSSSSGGDDGPFFRQAIDLRAPVSAVSWCRRGGGELAAAAGSSVSVFQPAPSSSPGSFGWVLRWAITETFGVTSVAWTGSGDGILLAGEGVAMWARAESSWQLAWRCSPQVAQSLASATHFLQGPVATAAAAPSSEGSVPPVLVIVNDAKVGVEKVELAHPKPVSMIQWRPRSLFVSDQSEVRREILMTCCLDGTLRLWSEDEAAKSKKHRVLQRSFSVIAVIEMSNTLNGVLGVDITVKWAIETGSVVSRDEEGNFTLFSGDPGHNQVGKCEWLVSAGPGASVNFWAVHCIDDVSPPRYPRITLWKQVKLQSWTQSASGQQKSIDDSFFVEAVISRGLSSGPPTTCSLLHLLHDNSFIWSRLSSNLSLNSGGHALSDSAKSLSCYSSQTINQHGHNGSIKQVSVHPYSCEIELAVSMDSSRTLHFWSLSTLSTLISTLHAPTYPLWKLLCKFDLCDIQADVEYSCLCWAPSVIQQNRFLVLGSEKGADCFVVSIQNEGDVLSCQKMFTIPFFEGSNAEGPPDSIHTIPLASNCDGPFVNNSFVVVCLWRTSFQALSWKVVLHLENQNKCGMCLCGFSASSLSTADQGRHGTYLNADMFSAVICKGSSVFPTCLDGEYPTCISATPLNNTVLSLQQHGSGTASCYHIATGYSDGTVKLWKMSFADNPLHTEKESHIWQLVGTFGADRGPITAISLSNCGRIATVGRNVQKNTTSIHIWKAVKLMGDGSFLLEDALTLQGLVVGLDWLSLGDGRFLLAVYLLNELHIYSHKHPSFKNVLHTVNSKEKHLWSCIALSHSPHDIASFLWGPKATGVLVHKNHLALFSSWLVRRANESSTQICDCPAADIHELPCTKHFNEDIFGRFSLSENYSNTMLLQKHSAHCSNDLWNLLDIAAKMSGPLASYHPRAIIQSLYSGQWKRANTVLQHLVQSMQANKISNALLECSFCGKSCHNIPECPLSESITDMTSNDISNRGLLWGDNQRSTAFSLLSPSNSYPRMEDINTTTSTSQSSEINKLLATNVSISTISDMERTQIVALSDLLGKITDQSHSSPYKSLDEAGRRFWVAVQFERLYALRRSEDPSSAEVFHVDSASIAWALQSDCQDDLLNSVLPAEPSWSEMRNLGMGLWYTNVSQLRTRMEKLARLQYLKSKDPKDCALLYIALNRTKVLVGLFKISRDEKDKRLYEFLSRNFQEEKHKSAALKNAYVLMGRHQWELAIAFFLLGGDTSSAISVCAKNLQDEQLAIVICRLLEGSGGPLERNLIANVLLPEAVDKGDHWLSSLLEWMLGNYSQSVNQLLDCHLKSLIEESSIPGDTNVFADPGVGQYCAIIATKSSFRNCVGEAQSANLSKLSLAMASCALNRCGLPLEALEYLCCNSGIEGKDNTSLDGGDKRIVYGILNPFHASSNWLSASVVSDVESNLKITMASKYLSRMLRNQSLCSRCSLPLTKDKVLKEFNSNHVNELSRDVKAALQVFDKKFSLQVADIAEKILTFCCNDGIFFLAYVLLWGSISSDVGTGTCGLEGCAFHPIDYMFMVSSKESCKFLTQYVVSCCFICSALNMDCANTTPCTIKVGKYIMASLSHFLSTSRLLLKQDKTRTFVLGRTSAMLTVMDLLEYNMEFSFSWLCHDIKALLTMTSPVIGACVNRESFQVLLDQLLQAVHDKIHGVSIGTDGGTVNGLLCNIQQEKSENRSLPIDEKWHLIGISLWTRLSSFMKQFLTEFVEKKRIELETSASDVEFKDLISSVVAKFLMGSLHFVSSLEKVLKKSNSSVLFWLSQPRSNNSHEQFSSIFQLANSENIEVFFDTLWEISAHPVDICTAFVDEEVNCFPLNSISLTRSWKAMTEATLVESENNFAQRSEENRDNFSSKNDEKIQRSIDYGVEVTLEPKRKGLIADFERPRELVRRNGELLEAICLNSINEQQGAIATNRKGLVFFNWNDKQHNKNLAEYIWAGSDWPLDGWAGCESTPTSTSFSPSVGLGRRKGSHLSSGGPTISLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPDEFEDFVDPPATLENIHSRALSRHPSLPLLLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNVHPTESSLCFNTHASDVAFLTASGSVLAAAGCSSNGANVVIWDTLAPPSTCQTSIMCHEGGVRSLSVFDRNIGCGSISPLIVTGGKSGDVTLHDFRFISTGKTKHHRSSNEHDVKASSTSMHDTKSGTSNGVSNSGMIWHIPKAHTGSVSSVSTIPNTSLFLTGSKDGDVKLWDAKSSQLVFHWQKLHERHTFFQPTSRGFGGVVRAAVTDIQVLPNGFVSCGGDGSVKLVQNVGAVLVTTSVHVAKVHGRSTEACCKLSIFQITNFQAGDGQTSKLLCIAD >OGLUM01G22890.1 pep chromosome:ALNU02000000:1:23141857:23145377:1 gene:OGLUM01G22890 transcript:OGLUM01G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRARTNGPGEEDGAGWNTDTELPAAAASSPPPRRHQETADTGARRSMAWRKNGPSSPPLLLLPSRGGEGRWETTRKEAAPQATQGRPPRSGRKERERGSAVGAGGMRCGGWRASGSGGSSSGSPIAAAGGGDQMRRLDPPRGRSFGQPATAPVEARVAWWCGPSTGTH >OGLUM01G22900.1 pep chromosome:ALNU02000000:1:23145969:23150070:1 gene:OGLUM01G22900 transcript:OGLUM01G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid-associated phosphatase 38 [Source:Projected from Arabidopsis thaliana (AT4G27800) TAIR;Acc:AT4G27800] MALLSPRVPRLPLASAAGAGLRCCVGGGRAGSAAWCHATAAGSVAASSSELEAIRWGTAKLQGARDEMEDEVVLRPGSLLDGFSFAAVFDGHAGFSAVEDELYKECAAALDGGAVLSTKNLDAITDSIKRAFATVDANLSTWLEQMDKEDESGATATAMFLRNDVLVVSHIGDSCLVVSRGGRPQAVTNFHRPYGNKKASLEEVKRIRAAGGWIVDGRICGEISVSRAFGDIRFKTRKNEMLVKGVKEGRWTEKFISRINFKGDLIVSSPDVSLVELGPDVEFVLLATDGLWDYIKSSEAVALVRDQLRQHGDVQAACEALGQIALDRRSQDNISIVIADLGRTNWKELPAQRPNLFLELTQAVATVGAVSLGIYISSLLALQ >OGLUM01G22910.1 pep chromosome:ALNU02000000:1:23156880:23157367:-1 gene:OGLUM01G22910 transcript:OGLUM01G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLITQRSRLTREIRTADTCAASPIPLPHALTPETAPPSALPSPTASPICPARRQGCRLAAVYIAAHSVVIESVLGQESRRRRCPPRRSVPHRHRSPLPARPPPTPRRKPFCRQVSADAFHAELLLAATDPRCQVAADAFRTELLLAAPPRRRR >OGLUM01G22920.1 pep chromosome:ALNU02000000:1:23203075:23204505:-1 gene:OGLUM01G22920 transcript:OGLUM01G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPEARLNKDCKTARRLGLPVEVLQEGQPKVLHDAVDNIVVKAGWIVRVSSASGLAAALVQAENHAPAPAPAPVDQPLRVFIVPYLPPAEAPLILSKIEQASADDSVPGFIVINPNLLETKEKARLDIMHEISRVQNIRDKYRHPSSPATATAPLAPADVPDKPKPKPKDLKELKEQYLLAHLVAGHWRMASNILEYVDNFLFQSLREKDEEEVRIARQRLQDDLQKKHHGSQHSVRRIAHELLGIATMSKWEYRKELPLPAAEDGMTMKKKLKCHAKKLRKLLTEHTLMGVVPLVWAKLEPMPMITSSGRTIKSNARFTGPEWTA >OGLUM01G22930.1 pep chromosome:ALNU02000000:1:23212950:23221328:-1 gene:OGLUM01G22930 transcript:OGLUM01G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLLLLLALLCLCGGAAGDPRTAVAGQECAPGGAVSGPALAANFVPAMDDLNSNVSANGFGTSAVGTTAGLNPNAVFGLGQCYRDLSPVDCKLCFAEVRSLLPKCYPRAGGRLYLDGCFGRYANYSFFSETLGPDDAVTCGVVGGGGAGGGNYTGANPRGFADAVRAALANVTGVAASAAVPGGGDGRKPCRAIWLADNGDACPVTGEPWGWLCGASQHLRIMTVFEQLPFPISPYFEPPVFCRLAVATSPSAGVV >OGLUM01G22940.1 pep chromosome:ALNU02000000:1:23222092:23255467:-1 gene:OGLUM01G22940 transcript:OGLUM01G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMSAHGLPSVLSSKRGPRRDQHVACGRRSVHLIHRPRAVSDSAAASLLNRWAIGNVRGASAFDSERGRRDARVRAARARQSGKAGAKNWAPLCAGNPGGQPLHRHLPRRLVSTTEGIAQIRESKEI >OGLUM01G22950.1 pep chromosome:ALNU02000000:1:23226121:23258184:1 gene:OGLUM01G22950 transcript:OGLUM01G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNAAAVTPSIPDDDGADPSRPPPRQPPPRFGSESREEGNRAGLIWTDWDGVEQQSNGSPVSSTTATAGAAAHHRSSMPARRAHMEDGICPVNKRRRLALSPCAEVPSIYRCTVTCHKEERIKENI >OGLUM01G22960.1 pep chromosome:ALNU02000000:1:23283039:23285056:1 gene:OGLUM01G22960 transcript:OGLUM01G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26695) TAIR;Acc:AT2G26695] METKAAAMAMRKPGDWSCRSCQYVNFCKREACQRCGEAKLGVERTDYAAMGGGWEVKPGDWCCRCCAVNNYASRGSCFKCGAAKNDSAAAVAQGWGFSVASQAGWKNGDWICPRMECNVQNYANRTECFRCNFPRYYG >OGLUM01G22970.1 pep chromosome:ALNU02000000:1:23286831:23293102:-1 gene:OGLUM01G22970 transcript:OGLUM01G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAEARASTSKPSPAPAPAPAVQVQRRPAGGGRWTSRRISFYASRAFFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTKGKNQGCMCKWLVSYLLLSVDVWLMMRSEERRQAEPHPNPIPTVSSIPLNRRFWMRVLLLQELDNIVQLLIYQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDSLRP >OGLUM01G22970.2 pep chromosome:ALNU02000000:1:23286831:23293102:-1 gene:OGLUM01G22970 transcript:OGLUM01G22970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRKERAAEARASTSKPSPAPAPAPAVQVQRRPAGGGRWTSRRISFYASRAFFLLIILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPSVVKALLFPGAIASNLTKSMTFPRWNDLFDIYNLTEAKTASAVIDLQRLEILAGSYFCAAGALVGVINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSVHVYPTILIALICAFLSITYNVKKTKGKNQGCMCKWLVSYLLLSVDVWLMMRSEERRQAEPHPNPISQYSCFAYSERLSPNVLKTNSVVDPSEPKILDASSSASRTGQYSATTHLSGTIGVMGISASSSLRFLQKLVHWSTRDGEEAPPFLVCNDPLLKKELMSSQNSQRPSDCNTALGKLRLRRLLLEKSGVCCIAMPCNTLHAYHDEISQGCSVPSLHIGDCVVKELKSANLKPVEYGSNVCVGILCTDNTLNAKCYLNKLESQGFEVLLPDKASLEHTVLPAIGAFRRGDMEGARNLLRISLQVMFVRAVNTIILASDDFVGILPDDDPLLKKCIDPMDALVRETIMCARTDSLRP >OGLUM01G22980.1 pep chromosome:ALNU02000000:1:23298582:23299586:-1 gene:OGLUM01G22980 transcript:OGLUM01G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain type 1 family protein [Source:Projected from Arabidopsis thaliana (AT5G20110) TAIR;Acc:AT5G20110] MAARHGSSRKTKAEQWLFGGRWRRTVKETKHPVASEAKPPAPTFPTAIQKDGDICLEKSRVHLPGLGQREIIDIAPGRKSMPEVEINMKEVREIIDIAPGRKSMPEVEINMKEVVSVLGVKVMAADMSPFMQLHAFRCAKRSHDSLDKFSSRQLAHDVKKEFDKVYGPTWHCIVGTSYGSFVTHARGCFLYFSMDKIIVMLFKTKIRKVLASS >OGLUM01G22990.1 pep chromosome:ALNU02000000:1:23300002:23301212:1 gene:OGLUM01G22990 transcript:OGLUM01G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLYDQKEVLKYSTTSLCSSGSIFWMSVGPAYVRVLTNVPFSMTSSFSPVDYNLVPLKQVNLHLPESENWYLQNTVPNVQFIL >OGLUM01G23000.1 pep chromosome:ALNU02000000:1:23302261:23303429:-1 gene:OGLUM01G23000 transcript:OGLUM01G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1A [Source:Projected from Arabidopsis thaliana (AT1G15390) TAIR;Acc:AT1G15390] MEAHLRPLSAAALLLSPAAPLPTAVAASARRASPGGRRWSSVRASAGGGGWLSGLLGGKGGGGAPTAMTVTPGTVKAGDPILHEPAQEVAPVDIPSEKVQGVIDRMVAVMRKAPGVGLAAPQIGVPLKIIVLEDTQEYISYAPKKDIEAQDRRPFDLLVIINPKLKTTSKRTALFFEGCLSVDGYRALVERHLDVEVSGLDRNGRPIKVEASGWQARILQHECDHLEGTLYVDTMVPRTFRIVDNLDLPLPVGCPPIGAR >OGLUM01G23010.1 pep chromosome:ALNU02000000:1:23305612:23305953:-1 gene:OGLUM01G23010 transcript:OGLUM01G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPPFPSSSSPPLTFLLWAGRPAGEEEVTGARRERRSDGRRRGDGARWYKSRLCGAMSSSRGPSSPLSHPNPIDPFAAVAAATAEAPPLRNPIIPHDPPSPEMEATAEALT >OGLUM01G23020.1 pep chromosome:ALNU02000000:1:23308725:23316798:1 gene:OGLUM01G23020 transcript:OGLUM01G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNHDREEMEREPIKVGSWNHRFGTLPIPIPITTALTRAFIATAVARHPRLAPAVSVALSTPLSLPAIDVQLTPLRGGGESAPLNHLLTRIAWQLRQQPLLPIKATMLHIVTTNS >OGLUM01G23030.1 pep chromosome:ALNU02000000:1:23316871:23321866:1 gene:OGLUM01G23030 transcript:OGLUM01G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLREAKQDGVVGNIDSARGGRRVAVSSHLPPPPLFFLPSHGDSPPPPTPTPIRSPLQLRRDWIWGRSRSDRGPSHGGGGGGGDSPSRGQICGGCAGGGAMEGNKDDAVKCLRIGKAAADVGDSARAVKFLSKAKRLDPTLPIDHLLDPLLNQDDPPSSSASSSSPQAPPPPPPRSSAAAASAEEATGSDGLRERKQKGKKKEEDESAGERSYTSEQLEVVRQVKKHTRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDAESRKRYDLVGSDEPVTYNRRAASTARAYNGFYEDEFDPDEIFRNFFFGGMAPATTRQFGQFGTFHFRTGGMHHGHGAQNSGGSTLRMLIQLLPVLLLLLLNFLPSSEPVYSLSRSYPYEHKFQTTRGVTYYVKLPNFEEQYPHQSTERATLERHVERDYFSILSQNCRVEVQRRHWGLSYETPHCDMLRKFEATAQHTRTLAMV >OGLUM01G23040.1 pep chromosome:ALNU02000000:1:23323394:23353912:1 gene:OGLUM01G23040 transcript:OGLUM01G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGKKSMQKNGKFNNMKATYKRIYPNVSKSECHLEGSITTKELRTMMHILGLNPTETELQDIIGEVDTDGSSGSFDFHEFLRLIVR >OGLUM01G23050.1 pep chromosome:ALNU02000000:1:23357556:23362074:1 gene:OGLUM01G23050 transcript:OGLUM01G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26690) TAIR;Acc:AT2G26690] MLLTFLSFHVAVSLLSSDPFVTVQRQRMEAGRNILHKQEVEKKTSAWSGGGGGGGNMVRDAVDYRGCPADRSATGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSAAAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAVFALVQSIGTALLAASTLVTHLRPPPGEQPTPVQMAVLYVCLYLIALGTGGLKSSVSGFGTDQFDDRDGRERAAMGLFFNRFFFFISLGTLLAVTVLVYVQDHVGRSWAYGICSAAMLAAIAVFLSGTRRYRYKRSSGSPIVHILQVLVAAARKRGVVKRPPTAAALYEDDRPEHARIAHTAQFPCLDMAAVVAGDDDNNEVAGPGGRAAAPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRVGAFEIPAASITIFFVGAIMLTLAVYDRVFIPLCRVLTGKQGFTNLEKIGIGLALSILGMAAAALCEKKRLAVAVAATATAGNSTPISVFLLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFFSSVLVSLVKGATTWLGDTIDRSRLDYFYWLLAVLSVLNLAAYLVCAKWAATAAATSPEQQQQHTAVADADEKC >OGLUM01G23060.1 pep chromosome:ALNU02000000:1:23361205:23366768:-1 gene:OGLUM01G23060 transcript:OGLUM01G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGNPDRWRRDGEGDRGGGGKGSGDRRSRKKMTRVSRGASPCASSSGCGSRRERAELGLLLVQMEPCGHAVGSLAPSPIRIGCARCGVCSRHRSIMEWEEDGEEAAGSGGQRGGAPLYAVGDEVEVRMDDPGFHGAFYEATVSARLPCSGRYETVAACDVRPRPPPLAEDGAAPGRELNVFDMVEAYHREGWWPGVMSAAWPARGRKAAAAMYTVSFPSCREEAKLPASLVRRRRAFVRGRWMDARDVVPRVPQYDEGSNVEVMLDTGKHRAAWVTATVIKMVSSKNYVVRLKNKEGSVNIVDYCYIRPQPTFDRKKFEYELEPSAEVEVNLGGAWSLGVISDVGSCGYGVRLKGHDSSEEEDYMLVSRALLRPYCKQDDQELMPCTAKEFACTSLDLPCISAPKDAVEIKGKSSYVDVVEISDNSGYDVIHISDDSSCNPRRKRRRQNLLEEELHSRHTSYPIQESQSRLNMSPARSPLNCDPLLDVMRHPKVELCNHVLSPILSPLETPQAHFNNNAGQLIPLPSYPVLEKLPVKVLPEMNETKETNHAHLQAEFLAPDDCTAGDQNYALPIKVEVESWVADIRKKEAAMQTITDSGEDNSRRPRSGDSEIPNSSKLEPYSSEQQRHTFQFVSRNKLEVPVRHKKAPDALEMNTNSVVFFPKEQTHSKKIIDASHYESYASLQQHPLGQCQVPNYWSAAGQSSFVHPSITMNLFTILPAPNSHPTTFASSLLMGPCEKMEDEENVFEEKLRCLSELEEDGFDVRALKERLENLVSIKNHQTELKKKRARLDQFMLEREVDNASVEQSQKLLDIMIKELELKLLEYREKKASLVEKKAANCSEIAKLQGDMDQIEESFLSAEYDFHTTAAAPWGMRQLVLFA >OGLUM01G23070.1 pep chromosome:ALNU02000000:1:23366835:23368009:-1 gene:OGLUM01G23070 transcript:OGLUM01G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPFLSPVHADNVVAGDCGADEKQKTHIAQNFEGMTMIMHDEVAQQVNDKAEQALIVPQREPLLEWCSTCIDFRRRVADTGSSTAFWLLLALANPTAAASTKGVRCPWLGGTRRGSRLVLALANPAIAAAVTDGARGQGPGGRP >OGLUM01G23080.1 pep chromosome:ALNU02000000:1:23369298:23371201:-1 gene:OGLUM01G23080 transcript:OGLUM01G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTAVVPAAAEASSRIILVHGTGHGGWCWYRVATLLRNAGHRVHAPDLAASGADARRLRDDDAPTFDDYSRPLLDAVRALPDGERAVLVGHSFGGMSVALAADTLPDKVAAAVFVAALMPDCASPRPDVIEKLPLTDWVDCATDEEHAPPSVLFGPEFMRRKLYQLSPEEDITLSRSLVRVSSYYVDDMRRRPPFGEDRYGAVRKVYVVCGQDQAIVEAYQRRMIAGCPVEEGAAEEDVAGAVADEEAAAAVAAAEEEAAVTSAKEELVAEDGAVAEE >OGLUM01G23090.1 pep chromosome:ALNU02000000:1:23375934:23376442:-1 gene:OGLUM01G23090 transcript:OGLUM01G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCHCQYQESDWMDTVIDPSHAPPSILFGPEFLKKKLYQLSSPEDYTLAKSLVRASSLYVDELRRRAAFREDRYGAVRKVYVVVENDMAIVQEHQRWMVANAEVAEVRVMDAGDHMAMLSAPEELAGHLADVANTYI >OGLUM01G23100.1 pep chromosome:ALNU02000000:1:23381761:23387464:1 gene:OGLUM01G23100 transcript:OGLUM01G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLCVVNGCEPGSWTWVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHLCSPRVKTLCSKRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLIWD >OGLUM01G23100.2 pep chromosome:ALNU02000000:1:23381761:23387205:1 gene:OGLUM01G23100 transcript:OGLUM01G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLCVVNGCEPGSWTWVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHLCSPRVKTLCSKRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQSVNRMRAEQLQDLYWERRL >OGLUM01G23100.3 pep chromosome:ALNU02000000:1:23381761:23386197:1 gene:OGLUM01G23100 transcript:OGLUM01G23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLCVVNGCEPGSWTWVIELDSLLPNLDHSTPVLPIGFVEGANIIFLRTDAGVFTLELRSMRVTKVCKNGFFYAVVPYTSFYIPGVHLQQQHSGPQISNGRAWGSQAANMRRGSSEQPRERAPLHQQRRAGRPVNSQRPTGDMVRSSGRASRLASQDCSVLTHVLVDHYSYRLTPEAAFRATMGTIQDSDIIIVVFHNMKPEDCLLSSILCFVQASQDAHYGAGNLITNSLAHLKDKNLHLCSPRVKTLCSKRVKAVTSTAMNREPVSSSLKSRSIWTPMIQATSTLSGTCNPIMIWQSVNRMRAEQLQDLYWVS >OGLUM01G23100.4 pep chromosome:ALNU02000000:1:23381662:23387250:1 gene:OGLUM01G23100 transcript:OGLUM01G23100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPELMDDLVDEILLRLPPDEPACLARASAVCKRWRRLLSDRAFLLRRRRRAPPPLLGFLHNLDDADHPDRFVPTTALPIPPPPPELHCGMALDCRHGCALLDAYPSRIDLVVWHPMTGHLLRRLPRPDVPYLFYYNAAVLCAAAAAGCDHLDCHEGPFRVVVVGTEEDNEAWATVYSSESDEWSPPTSARLARGPEFCVEGKPATLIGDSLYFALVFGIGVVKFDMKRHRLSLIDPPAELDDGFVLMPLDNDGVLGLAAVEDHSLLCVVNGCEPGSWTWCGKLGEVQGH >OGLUM01G23110.1 pep chromosome:ALNU02000000:1:23385045:23389889:-1 gene:OGLUM01G23110 transcript:OGLUM01G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPADQDVS >OGLUM01G23110.2 pep chromosome:ALNU02000000:1:23385045:23389889:-1 gene:OGLUM01G23110 transcript:OGLUM01G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQGILLLLCYIVIGACFFVARQPADQDVS >OGLUM01G23110.3 pep chromosome:ALNU02000000:1:23385045:23389889:-1 gene:OGLUM01G23110 transcript:OGLUM01G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLYRSCSCSALIRLTDCQIMMGLQVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQDGTSHYLKGILLLLCYIVIGACFFVARQPADQDVS >OGLUM01G23110.4 pep chromosome:ALNU02000000:1:23385045:23389889:-1 gene:OGLUM01G23110 transcript:OGLUM01G23110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLPLLLVLYKTTHHHENLLVAASSRDSTLASRARTAPSIDSHIHPSAYLSLAILAARCAHRGGIEFDLVVMEAAAAMEAGRKLAARHPHGRSRTAHNMSSSSLRKKSDAALVRKVPVAPLRPLLANLQEVFLATKLAVLFPAVPLAIAAQCFRFDQVWVFALSLLGLIPLAERVSFLTEQIALYTGPTVGGLLNATCGNATELIIALFALLKGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGVVNLGARQPYDRNQSDVSTALLFLAVLCHSAPLLLRYAVAAGEHSVSATSAAASLDLSRACSFVMLASYVAYLFFQLKTHRQLFEPQEVDGGDAGDDDEEPALGFASALFWLALMTAVISVLSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLYRSCSCSALIRLTDCQIMMGLQVPLSVLVAWIMGVQMDLDFKLLETGSLFMAVLVTAFTLQGILLLLCYIVIGACFFVARQPADQDVS >OGLUM01G23120.1 pep chromosome:ALNU02000000:1:23449914:23450666:-1 gene:OGLUM01G23120 transcript:OGLUM01G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGAGGGGEQLTVLGAWGSPFLVRVRLALNLKGLSYEYVEVDLAGKSDLLLAANPVHAKVPVLLHAGRPVCESMLIVEYLDEAFPSSSSAAAAATTILPAADDPYARAVARFWAAFVDGELLSGWMGIYDGGKTGEERAAALARTRAALDALEGALRERAGGRWFGGERVGLVDVALGGFVPAMLASEPTTGVRIVDADRTPLLAAWVERFCALEEAKAAMPPLERLIAAGKKRYADLQAAAAASE >OGLUM01G23130.1 pep chromosome:ALNU02000000:1:23453612:23459504:1 gene:OGLUM01G23130 transcript:OGLUM01G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G51720) TAIR;Acc:AT1G51720] MDELNLLRQHQHQHQHHLVVRGLGEEIDLEIGPGDDPSFPGAALVGVTPGAHDPADDHKSLLIPCSQPAAEGQPQPTPPQVEEHDGLLRLPGQTKKKKKVVKKWREEWADTYKWAYVAVHDNTSRIFCTVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKENAQPPEIERPVYVKALSKTAASILESILKRDPHEAEFIQSIQEMVHSLEPVLVKNSQHVQILERLLEPERCFIFRVPWVDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMTLSVAKFLAFEQTLKNALSQYKLGGAAGGSDFDPKGKSESEIMRFCQSFMDELYRYLGPDQDFPAEDVGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVVLADMNKELKGLRCVISGSGKIAMHVLEKLLSCEAIPVTVSDSKGYLLDTEGFDYMKYSVLRNIKAQQRSLKEYLKSYPNAKYIDDAKPWSEKCDVAFPCASQNEIDQAEALAIINSGCRVLIECSNMPCTAQAVDILRTAKVVVAPAKATAAGGVAVGELELNPEFSLMQWSVEDFENKIQDAVKQTYDRSIKAAQDYGIMKENPESLVHGANICAFLNIAQAMTDQGCV >OGLUM01G23140.1 pep chromosome:ALNU02000000:1:23459894:23462858:-1 gene:OGLUM01G23140 transcript:OGLUM01G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G51730) TAIR;Acc:AT1G51730] MADYEQEQEMEVEALQAILMDDIKEIDPSESGLSTTARCFQIVLSPQDDDFDESAYVPVQLALIFAHTEKYPDEPPLLNVKSVRGMKSEDLASLKEKLEQEATENLGMAMVYTLVTSAQDWLSEKYGQNAGDGESEENEAEEEEVIVPHGEAVTVESFLAWRDRFEAELALQRAKLMPESALTAPKEKKLSGRQYFESGRHTMKGASTTADEEEEEEEDIDFDEDFDDDEEDMLEHYLAEQSGKSAA >OGLUM01G23150.1 pep chromosome:ALNU02000000:1:23470964:23472468:-1 gene:OGLUM01G23150 transcript:OGLUM01G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLVFSIRLRGGSGHGGDHWQAEQGFHLGVSSKQSNHNGSHQMPPTHHRYLFYAHNHLCTLLTTCLATTLDRWSSSVPPGLHYRRKRGAAGEVPDLGLCGQIRLFPRSPRHRTETGRPLTPGRRQDTGYPRSGGKEGGREERDEEGERKREGGAGAAGTAFAGGGNVSGTRPGSPAHSGSRLRVAQGERHGSHGRRKSPCDLKYSR >OGLUM01G23160.1 pep chromosome:ALNU02000000:1:23474828:23482329:1 gene:OGLUM01G23160 transcript:OGLUM01G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGAGAADSEWPDGVTGRDAENCVVPPRSSGTPIKLLGRPDGSIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYVLNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKVIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSSVSQRTQENHKDRTLLAQHGRTVKGQALDTDAVEVDARVGGAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKPGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSAASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRPKPGGKKKISEHDTDKSRRPHTKKSATSPRKMRRLSSFASSRRDGASRKPVVGKISGPTIACIPLRLVFSRINEALSFPVRSENPT >OGLUM01G23160.2 pep chromosome:ALNU02000000:1:23474828:23482329:1 gene:OGLUM01G23160 transcript:OGLUM01G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGAGAADSEWPDGVTGRDAEVGALVWVRRRNGSWWPGQILGADELPENCVVPPRSSGTPIKLLGRPDGSIDWYNLEKSKRVKPFRCGEYEECIEKAKAQARQHKRAYNEGKYVRREDAIMHALELERARFPNEDDTDEHDTSGLLFESQNSYCAKSKNINELNKKSSRTARDLYDIEEESAKGLSQALTLYKQPQNVSSSSTRYASSSRKKHKASNDFEDDTVQGSQRMRDLTEIGSKKHSSYVLNGHRDLPLLESASFGYSLSGTNGIKGDQQSHSATKRKRSNIGQAYENSRKKDRRRPLSKLCKDSAVAVPAYSHWDPSGHSSAQYSGGKMSNAFEPSRGKFGFPLDVNNYSYSSGTSSVETLLDASCANHDGVAKVIPVKEAEVSCMPGFLNNDCSDGDEYFDTPLVMEEDALEEDHLHKYESCASVKGQISKPRKQTAEYTELVIPSPHGHRSSKKKSMSSVSQRTQENHKDRTLLAQHGRTVKGQALDTDAVEVDARVGGAFCKPPALKNNMQLAIVPADGCASTLEQQYYGSGPEHDESSETISNRSQSEKGAPSSPYYEPLQVIPPEQKPGLEPSSPHVVKPIKNARTDYKVYDVELAAQGSYKGHRVPLVSLMSKWNGKPIVGYPITVEVLKDSSSAASRNDLRPATSSLNNLLKRSEPAEPRQARSSHSSRPASRPKPGGKKKISEHDTDKSRRPHTKKSATSPRKMRRLSSFASSRRDGASRKPVVGKISGPTIACIPLRLVFSRINEALSFPVRSENPT >OGLUM01G23170.1 pep chromosome:ALNU02000000:1:23479830:23482288:-1 gene:OGLUM01G23170 transcript:OGLUM01G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAENRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPATNASKPATVQMRGQPVAQQSSCCS >OGLUM01G23180.1 pep chromosome:ALNU02000000:1:23486525:23486911:1 gene:OGLUM01G23180 transcript:OGLUM01G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGKKEMAPSKEDGAAAKGGARSYARCFSGLEFSVGPGSLRDADAGKLKSQIKKWAKAVVAYARQISFGSPRAAARSSSSRRAMSSTRGRDGHAEGGGGGAATARSATFPSKSDLGEANNDEIVPAT >OGLUM01G23190.1 pep chromosome:ALNU02000000:1:23488219:23491467:-1 gene:OGLUM01G23190 transcript:OGLUM01G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT4G29400) TAIR;Acc:AT4G29400] MLPALLPGCLAALPPPPPLVTTSSTASPSAHLRTLRLPSGLAVSRRAGFGEAARAAATERGAIEEEEEEEGFPEWGNGDEDEYDHDPEIGDIMGEYFDDPNKAQSRMEERIKKKRHKIVQAKTGSPNPMKVVFNKFDFSNSYIWFEFYHALLPKDVTLICDALRSWHIVGRLGGCNSMNMQLSQLPLDCQRPTYDALEGANTTPTSFYNIGDLEIQDNIARVWVDIGIHEPLLLDILLNALTTINSDHVGIKQVQFGGSEFQNWSEDLKTEEAGYSVHKI >OGLUM01G23200.1 pep chromosome:ALNU02000000:1:23494932:23509210:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKTTLFLHGMKSKSLKKLSAKVQSQGVFLIKWSTQELVLQR >OGLUM01G23200.2 pep chromosome:ALNU02000000:1:23494932:23509210:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKVVEPHAHASLEDLKAVVLKVNTLEQDNTIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEAREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPKVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQENYYWLDRVLRSYQSRVYSGDVGSTFEIQDEGRLKVREALTPQSMQMALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKILAGMAGAIVLAVSLWRYSRSTLRS >OGLUM01G23200.3 pep chromosome:ALNU02000000:1:23494932:23509210:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHNTIPPWDEEQIPEEIVSQSPEPGSILDKVEHPGIGATEMILSNGMRICYKCTDFLDDQVVFTGFAYGGLSELSEDEYTSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKVGAYMRSFSGDCSPSDLETALQLVYQLFTTKVEAREEEVKIVMQMAEEAIYAQERDPYTAFANRAREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGNIDPSISVPLILQYLGGIPKVGNAVQPLTRDDLKGLPFKFPETIIREVVRSPMVEAQCFVQLGFPVVLKSTTMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVGVFLGGNKPSRSGDIRGDISVNFSCDPDMSSKLVDFVLEEISFLQNEGPSEEDVLTILEIEQRAHENGLQENYYWLDRVLRSYQSRVYSGDVGSTFEIQDEGRLKVREALTPQSMQMALQRVVPFPCRKQFTVVILMPKSSCWNSFKALLTWSPGGFSRDAKILAGMAGAIVLAVSLWRYSRSTLRS >OGLUM01G23200.4 pep chromosome:ALNU02000000:1:23494932:23509210:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKTTLFLHGMKSKSLKKLSAKVQSQGVFLIKWSTQELVLQR >OGLUM01G23200.5 pep chromosome:ALNU02000000:1:23494932:23508531:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKTTLFLHGMKSKSLKKLSAKVQSQGVFLIKWSTQELVLQR >OGLUM01G23200.6 pep chromosome:ALNU02000000:1:23494932:23509210:1 gene:OGLUM01G23200 transcript:OGLUM01G23200.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT5G56730) TAIR;Acc:AT5G56730] MDLLPPATEPPAGGGGGAPAPGRRLRRGVGFRSLKMVSVSMDEPLPAEPVGVAYGRLANGLAYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVRHFYHKWYHLSNMAVFAVGDFPDTQAVVEMIKEHFGQKAPPSCPPPVIPDFPVPSHVEPRFSCFVESEAAGSAVVVSCKMPADRIKTVKDYRDSLAESMFHCALNQRLFKISRRNDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSEREISIARALMMSDIESAYLERDQMQSTTLRDEFLQHFLHEDPVVGIEYEAQLQKTLLPHISSAEVVKFAANFSTTSSCVIKTTLFLHGMKSKSLKKLSAKVQSQGVFLIKWSTQELVLQR >OGLUM01G23210.1 pep chromosome:ALNU02000000:1:23511589:23515891:1 gene:OGLUM01G23210 transcript:OGLUM01G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase / serine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT5G27470) TAIR;Acc:AT5G27470] MLDINLFRTEKGGDPELIRRSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKASQMKLWFYTSLLTGDGEEKYLIATSEQPLCAYHLGDRIYPAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQGDAIELDEESTKIFLLSDTTGCYSYF >OGLUM01G23210.2 pep chromosome:ALNU02000000:1:23511589:23515891:1 gene:OGLUM01G23210 transcript:OGLUM01G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase / serine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT5G27470) TAIR;Acc:AT5G27470] MLDINLFRTEKGGDPELIRRSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAKKEDASALIQSTEEIKKRLAAKETEVQEAKGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQRWRGKVSHRYIRATAVCVSSRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQGDAIELDEESTKIFLLSDTTGCYSYF >OGLUM01G23210.3 pep chromosome:ALNU02000000:1:23511589:23515891:1 gene:OGLUM01G23210 transcript:OGLUM01G23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase / serine--tRNA ligase [Source:Projected from Arabidopsis thaliana (AT5G27470) TAIR;Acc:AT5G27470] MLDINLFRTEKGGDPELIRRSQRNRSASVELVDEVIALDDQWRQRQFELDKIRQELNKTSKEIGKLKAGTLDAKLVTIGNIVHESVPVSDDEANNLIVRTWGERRLEGNLKNHVDLCKMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQRWRGKVSHRYIRATAVCVSSRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCVTSPNDNESWEMHEEMIKNSEDFYKEIGLPYQLVSIVSGALNDAAAKKYDLEAWFPASKTYRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKENGVEVPKALQPYMGGIDFLPFKLDSKQGDAIELDEESTKIFLLSDTTGCYSYF >OGLUM01G23220.1 pep chromosome:ALNU02000000:1:23517183:23520613:1 gene:OGLUM01G23220 transcript:OGLUM01G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Phosphorylated adapter RNA export protein, RNA-binding domain (InterPro:IPR019385); Has 110 Blast hits to 110 proteins in 51 species: Archae - 0; Bacteria - 3; Metazoa - 56; Fungi - 0; Plants - 36; Viruses - 0; Other Euka /.../ - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G20430) TAIR;Acc:AT3G20430] MEGGDSVLDAALDEEALDYDGDDVEMADADDAVEEAQAQEDPVAITAPTAAATGGGGEGDGGVGQAGKNKNKKKKRKKSARTKNKGKPDGPPKIADINRFVNETCKRLKEKKSYLVWNAVGCLGVSAISDLVRELLSIIIKAIGFQVEAIQKCGGQIVADGSRFRTGGGILWNILKSREPKAYKEIMAKGRELEKQFRYKQGRPQTSRNEDASSQGSALIDEDIEPHGEKEVSDDPERLIDAEKSPHVPDNNKAERKPLADRIRVPVAYDDLFEEGEIHEGDAP >OGLUM01G23230.1 pep chromosome:ALNU02000000:1:23520729:23523430:1 gene:OGLUM01G23230 transcript:OGLUM01G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTAATRRWWKRRGGGGGDGAEDGDDLIPMDIQDQEEMVRSLEQKQAQQSRWWRRVFAGFLLGYAAFLVYSGFHHAAAPWELRYHAYFMEDLSSPMIVVADWIAALACLFSIKGLLHSWKKWMWYSFYVSILVALFWTYYLLRLPRIRWDVAWLPFGPLIASALSLYVDHSMLESMQDINTLRSYMYNYKAL >OGLUM01G23240.1 pep chromosome:ALNU02000000:1:23524426:23530148:-1 gene:OGLUM01G23240 transcript:OGLUM01G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKENRSEATVSGADGINKGENLDPIGEGLDDHHGNLDQGLSSAMEEKAASVEAEASLYPLLKGITAALEEGVSQMKMQLDDGELNLAARGPCSRERVSMSSDYGLSGEETPQHSAGIVFGVVNQDVKSPGGQSKKKQWVPTRETDRAVKAKSLSIGRDISKPRKITVRLSADAVEAPSDLVRWEAPPQGWAKINVDGAFVQQIGEAGTGIVIRDHVGDVLLTSWNVIRSCQSPEEAEAQACRDGLRLAADWIQMPVILESDCANVVASLTSGAKNRSPLWQVFQEIKSILPLLPAFKFNRINRGANEVAHCLSQLARRLKQSSVWRMCAPECVKESLAKDCIPPNL >OGLUM01G23250.1 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.2 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.3 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.4 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.5 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.6 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23250.7 pep chromosome:ALNU02000000:1:23530945:23539074:1 gene:OGLUM01G23250 transcript:OGLUM01G23250.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10910) TAIR;Acc:AT1G10910] MEAAASLPLPASRFLSPPPHPTPAAAAACCSRRNISCARAAPRALEAPQASRPPPRPSPRRSAVAEVKAAPDPVAALTRFEDVLQTQDCNIILRHYGETRRWDELSKVFRWMQEHDMLNIASYSSYFKYLGLSRNPARALQVYGAIRENLTRIHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDLFTYSTLLSGCMKLKQGYTKAMELVNELNSRGFQMDSVILTTLLKVYSKGGLFEKARELLTELEASGFAQDEMPYCILIDGLVKERKIWEAMILFNDMKEKGVKSDGYAFSIMISALHRGGYREESKQLAKEFEAKNATYDLVMLNTSLRAYCSTNDMESVMIMLRKMDESNISPDAITFNTLIRYFCMAKVYHLAYKTIQDMHTKGHQLNEELCSEIMMQLGEAGFPSEAFSVYNMLRYGKRTVCKSLHEKVLCFLVPAGLLKDAYVVVKDNSEFISRRSLGNFARSFMASGNINLINDVMKAVHRSGWRISQFGVEVETPDFWMFKLDIFGKAIQRYIQKPDKKQLLLCLLDWMTGQGYSVDSSSRNLLLRNAQLFGQKQLIAEILSKQQGASRITSQRHQK >OGLUM01G23260.1 pep chromosome:ALNU02000000:1:23547522:23552811:1 gene:OGLUM01G23260 transcript:OGLUM01G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARLRLVLPPLAALLLFAHLAVAVARPRWEEEGSNLRLPSERGVAAAMADDAAEAAEGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKRGGLKDENIIVFMYDDIAHNPENPRPGVIINHPQGGDVYAGVPKDYTGKEVNVKNLFAVLLGNKTAVKGGSGKVLDSGPNDHIFIFYSDHGGPGVLGMPTYPYLYGDDLVDVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPYDINVYATTASNADESSWGTYCPGEYPSPPPEYDTCLGDLYSVAWMEDSDVHNLRTESLKQQYNLVKERTSVQHTYYSGSHVMEYGSLELNAHHVFMYMGSNPANDNATFVEDNSLPSFSRAVNQRDADLVYFWQKYRKLAESSPEKNEARKQLLEMMAHRSHVDNSVELIGNLLFGSEEGPRVLKAVRATGEPLVDDWSCLKSMVRAFEAQCGSLAQYGMKHTRSFANICNAGISAEAMAKVAAQACTSIPSIPWSSTHRGFSA >OGLUM01G23270.1 pep chromosome:ALNU02000000:1:23564793:23565304:1 gene:OGLUM01G23270 transcript:OGLUM01G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSAAAVIAFAVVAAAALATVASAADAPAPAPTSGAVAAVSAPLSPFGVMGLGSVYLPLSTLF >OGLUM01G23280.1 pep chromosome:ALNU02000000:1:23570035:23574825:-1 gene:OGLUM01G23280 transcript:OGLUM01G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSRVLLAAVAVLAAALAGAGAALDDPAGLLRRAKEAEFAGWMVGLRRRIHENPELGYEEFATSELVRRELDALGIPYRHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDAHVAMLLGSARILQEQRDELKGTVVLVFQPAEEGGGGAKKMIDDGAVENIEAIFGVHVADVVPIGVVASRPGPVMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTVGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCNAVVDFLDKDRPFFPPTINSAGLHDFFVKVASEMVGPKNVRDKQPLMGAEDFAFYADAIPATYYYFLGMYNETRGPQAPHHSPYFTINEDALPYGAALQASLAARYLLEHQPPTTGKAKAHDEL >OGLUM01G23290.1 pep chromosome:ALNU02000000:1:23576183:23579596:1 gene:OGLUM01G23290 transcript:OGLUM01G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFEGYERQYCEISASLARKCTAASALQGEKLKQKASEIKSGIDGAEALIKKMDLEARNQQPSVRAGLLAKLREYKSDLNNLKGALKRVTTGNAQQGSREELLESGMAETLGVSADQKSRLLRITEKQNKTTDRIRDSHRTMLETEDLGVSLLQDLHQQRERLIHAHGTLDNVDDNIGKSRRIMGAMVRRMDRNKWIIGFIIALLVLVILVILYFKFVH >OGLUM01G23300.1 pep chromosome:ALNU02000000:1:23584521:23584733:1 gene:OGLUM01G23300 transcript:OGLUM01G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGRIGQMWPPERRTSWMRGHVTSMEREGVTTMPMRARRRRRRGGVAADERRVGGRRARQREEGVAAS >OGLUM01G23310.1 pep chromosome:ALNU02000000:1:23586323:23589717:1 gene:OGLUM01G23310 transcript:OGLUM01G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSEMGLLSIIRKIKSKEKDMRILMVGLYNSGKTMIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLSAT >OGLUM01G23310.2 pep chromosome:ALNU02000000:1:23586215:23589717:1 gene:OGLUM01G23310 transcript:OGLUM01G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSTSEMGLLSIIRKIKSKEKDMRILMVGLYNSGKTMIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVLSAT >OGLUM01G23320.1 pep chromosome:ALNU02000000:1:23597235:23597931:-1 gene:OGLUM01G23320 transcript:OGLUM01G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQTIPSATVLDEAGVTFVKQNVALAKFSECFMTKRTAPPCPRTIPRATELLEAGVTFVLGEAAAPEYSLIVTARDVALLRRHRILESLLADDEEAARFFARLGDCGAINYKEQAFAGLYEDVSRYCDSPWHRYRAVLYRDYFASPWSVISLVVAALVVFLTAAQTYFTVFPAKN >OGLUM01G23330.1 pep chromosome:ALNU02000000:1:23602360:23603928:-1 gene:OGLUM01G23330 transcript:OGLUM01G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSELWLLWAVFSASLVFLYLTIRRRSGAGAGGKPPLPPGPTPLPLIGNLLDLRGGVIHDKLAALARVYGPVMMIKLGLNDAVIISSRDAAREAFTRYDRHLAARAIPDTFRANGFHERSAVFLPSSDERWKALRGIQGTHIFTPRGLAAVRPVRERKVRDIIAYFRDHAGEELVIRQAIHTGVLNLVSSSFFSMDIAGMGSETARELREHVDEIMTVFAQPNVSDYFPFLRRLDLQGLRRSTKRRFDRIFSILDDIVERRLVDRGERGGEGGASSNSSKSKHQYDGGDFLDALLELMVTGKMERDDVTAMLFEAFVAGGDTVAFTLEWVMADLLRNPPVMAKLRAELDDVLGGKDQSAIEEHDAARLPYLQAVLKESMRLHSVGPLLHHFAAEDGVVVGGYAVPRGATVLFNTRAIMRDPAAWERPEEFAPERFLMRETPVDFRGKEADFLPFGSGRRLCPGIPLAERVMPYILALMLREFEWRLPDGVSPEELDVSEKFMSVNVLAVPLKAVPVKVIN >OGLUM01G23340.1 pep chromosome:ALNU02000000:1:23608031:23609328:-1 gene:OGLUM01G23340 transcript:OGLUM01G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASLEASVEATLDAICGDQWRARPQPFTIFRVPAYVRDGNRTAYEPRLVSIGPYHHGGAALRAMEDHKWRYLHDLLSRRAGDGAAAVVTASALVAEMRTLEPRARACYSERPVGMDSSDDFVRMLLLDGLFILEFFFKWHTKEADSLCDVGWGLTLVAADLLLMENQIPFFVLERLYEAVAGMQPDKESLFILLIEYISDEEPIRRPSGDWDVHHLLHLYYECFVPKRPRPRLPESARKAPAAPTRTILRASELREAGVTLVRRSAARDREIDDMKRPLLVNLMAFEQTQAGEEPRLLTSYVALMGQLIVTARDVELLRRRGVLESLLADDEEAARFFSRLGEGAAMDFSRQAFAGLYEDVRGYCGSWWHRNRAALRRDYFGSPWSAISVVVAAIVVFLAATQTYFTVFPAK >OGLUM01G23350.1 pep chromosome:ALNU02000000:1:23614254:23618912:1 gene:OGLUM01G23350 transcript:OGLUM01G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAL4] MQGFSMAVSSMQAGEKAVFTIPPELAGTKSRCPADIPANLPPNQALQFDVELISLITITDILDSEGILKKTIKRGVGNDKPCDLDEVLVNYNACLEDGMSVSMSEGVEFNLAEGFFCPAFARAVETMTEGEEVVLIVKPEYGFGERGRPSIGDEAAVPPDATLYVYLQLMSWKTVRHIGQNGTILKKTLRRGNLEGQHTENQAVVGVRLIGKLHDGAVFDQRGHQGDEPFEFVVDKEQVSDGLEEAVLTMWEGEVSLFTIPPQCLQDQHVVVPPGSSVTYEIELVSVVNDKHPWLMSQAESVEAAVEKEKEGDKLFGSSKYLRAYRRYYKARQIILSCFRRGDIDGEIKQMLISFAFKAVECATQLQLFEQAYHRYREVLDYDPGNLKAQEMSGQAFPEASLGIDTAAMHRGLEPFRPKEQHSIGWKMTAGAISSMRLKQGHKYHGGMISVPPIARPGTNVPTSRSPATQATRVVNTNWVTTNIENQNSGTTRKSLGSCFRSCLAPIVGPL >OGLUM01G23360.1 pep chromosome:ALNU02000000:1:23619677:23621006:-1 gene:OGLUM01G23360 transcript:OGLUM01G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEASVEATLSSLRDDQWWAAQRFTIFRVPAYVRDRSRASYEPRMVYLHDLLSRHAGGDGTAAAVTASTLVAEVRPLEAQARACYSERPAGMDSDDFVRMLLLDGCFILEFFFKWYTEQPADALCDVGWGLTLVAADLLLMENQIPFFVIERLYEAVAGVQGTRQSLLNLLAVMYIVDEEPIKWPSGDWDVHHLLHLYYECFVPNRSTPPRARRSARSGRRAATTTRAPRAIPCATEMRHAGVKFVARRSRPAPADAGEATTTTTYDVAFDDRGGVMEIPTILIDDARRPLLANLIAFEQSQGGEVAGLLSSYVALMSQLIVTARDVELLRRRGVVENLLDNDEEAARFFNRLGDIDPVDYDTQAFAGLYEDVTRYCGTWRNRHMAGLRRNYFASPWSAISIVVAAFVVMLTSTQTYFTVFPSNK >OGLUM01G23370.1 pep chromosome:ALNU02000000:1:23620927:23623420:1 gene:OGLUM01G23370 transcript:OGLUM01G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCAAHHWSSRRELSVASTEASSVIIMLSKPKIAPEKMRSILSWTALEEIWLEGSGRRGGGDDTVEEEDEGEARWRKGWGVRISSGGTRELRICRAAAVLGSARVAAVVGGARAERCANSGARLREADGGSGSDLFKSCIGKSSIDKPVGNPAAPSPWIDDGMKLLPP >OGLUM01G23380.1 pep chromosome:ALNU02000000:1:23625651:23631227:1 gene:OGLUM01G23380 transcript:OGLUM01G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAL7] MAPLPELGEPDKSFHNLWDEEEDDDDDDDDEGEVERLPVPPPHSGLLKWTVLKSIDGNDEECRAFKAWSEFQQSISGFILQDDFLVFVSSRENGIPLTFILGQDDVMHGFDFAVSSMLPGEKAIFTIPSELAMTKTGSPARIPSNNVPLNKTLWFEIELINLFTITDIFDDEGILKKIVKSGVPNRSQFRWSDVDSVFVRYNACLKDGTLVSKSEGVEFSLADDAFGEQGRPSLGDEAAIPPNATLYVHLKFLSWVRLRHTWEDRTISKKNLSVGNSLRIHKKSQGVVKGEVIDGQDKALMTTKEEVALFVMCALLLIMLLVLLFIVKVLDYFPEKKDVKQERTAQEFLEDSPEVDSAGEMDRGPKVEVILLQAGYCRKLKHGHRHRGNDLFVPGVAGANASQPASTPVAAASAGGGATPATSAATANRNAVQISSGTVRTDRGFSFLCFRSSPTK >OGLUM01G23380.2 pep chromosome:ALNU02000000:1:23625651:23628643:1 gene:OGLUM01G23380 transcript:OGLUM01G23380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAL7] MAPLPELGEPDKSFHNLWDEEEDDDDDDDDEGEVERLPVPPPHSGLLKWTVLKSIDGNDEECRAFKAWSEFQQSISGFILQDDFLVFVSSRENGIPLTFILGQDDVMHGFDFAVSSMLPGEKAIFTIPSELAMTKTGSPARIPSNNVPLNKTLWFEIELINLFTITDIFDDEGILKKIVKSGVPNRSQFRWSDVDSVFVRYNACLKDGTLVSKSEGVEFSLADGTDAFLFTLRMRAEKEEKAGVVGGGDVGVASKARREGDVGRHGEDGGPRDVVAADGDVGPPRWGLRPGWPRGSCAGGGMTGFFGGIQLVRVSTPCPRLWNSLPPPLPPPSLDVHAEPCSAYSERGGTTGVESINLLYWLATYRRLFAPAKVSKEAAPRCRQWSHSTMLAAATGAD >OGLUM01G23380.3 pep chromosome:ALNU02000000:1:23628768:23631227:1 gene:OGLUM01G23380 transcript:OGLUM01G23380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAL7] MLNGVSGRRVVIRKENRSERREEAALRERTGKREEAAAPYGGERRAVGGSPEVAAVNRSAASNRLPTSSTMPSRCLHQPDLAPGGGGGRIWQRGARAVERARRGERDELGAGASVLRRIGSSHAFGEQGRPSLGDEAAIPPNATLYVHLKFLSWVRLRHTWEDRTISKKNLSVGNSLRIHKKSQGVVKGEVIDGQDKALMTTKEEVALFVMCALLLIMLLVLLFIVKVLDYFPEKKDVKQERTAQEFLEDSPEVDSAGEMDRGPKVEVILLQAGYCRKLKHGHRHRGNDLFVPGVAGANASQPASTPVAAASAGGGATPATSAATANRNAVQISSGTVRTDRGFSFLCFRSSPTK >OGLUM01G23390.1 pep chromosome:ALNU02000000:1:23631588:23634351:-1 gene:OGLUM01G23390 transcript:OGLUM01G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYALYWWADLDNLTNLQPRYGCDDPTYPYYFKLRCENCGEVSAKATCVSLGEVVDLPNGRSTANLVQKCKLCGRDASIVMIPGQGTPLTNQQSQKGERTCLMVFDCRGCEPIDFAFGNGWKAESLEGTSFDIDCSEGEFADYDEKGECPVGLGKLRSEFRVVKKQESRGKTKYVPSGV >OGLUM01G23400.1 pep chromosome:ALNU02000000:1:23634482:23642808:1 gene:OGLUM01G23400 transcript:OGLUM01G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGVAAVGGMPFVVGPTSADVDCVRRADKRAETRSTSPQMLGQKAQPMTVHNRITVATSQCHAARGDATRFTPGHAIGTRKMVANGDAPARGSAAAAASLRRRRTTSSGTGGGSASTMLQFYTDEAAGRKMSPNSVLIMSIGFIAVVALLHKNDTEYTVNFWSFKMIQLYRENEKQLKEGS >OGLUM01G23410.1 pep chromosome:ALNU02000000:1:23635153:23635644:-1 gene:OGLUM01G23410 transcript:OGLUM01G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPGNGHLPASTDLPDHSSSASDSEAEADADYRPISGAATDSDTDTDPDPAPHHRLGSIGNGVSELDLDSGGDDDHCEGAGGEDAVAEEEEGLRLGEEAARAFSEDERRRRAPLPAGAAARIVDAMRGVEFPGAPPPWAGSVPEDQWLDRLRSLRAGAGHPH >OGLUM01G23420.1 pep chromosome:ALNU02000000:1:23636892:23638616:-1 gene:OGLUM01G23420 transcript:OGLUM01G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIMGKVGGYWFKQNAGKEINNIGDDINSISSSIGDGAKWMVNKIKGKMQKPLPEFLKEYDLPVGLFPQDATNYEFNEETKKLTVYISSACEVGYKDSSVLRFSTTVTGYLEKGKLSEVEGLKTKILIWTKVTAVRTEATKVHFAAGMNKARNRDAYEVVRDGVGIDKF >OGLUM01G23430.1 pep chromosome:ALNU02000000:1:23643133:23644098:1 gene:OGLUM01G23430 transcript:OGLUM01G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPVEVTFLDGDDNSDDDDRWYYSPRREAVLCIGDDLALVDVTFPVDDMDTNPWFREISQLCRGHRLRAPLVVGLVALRGRAPPYNWCPWDASGVRGHPRDPRNPIRCVAICVGGSHALVYQPCCDRGRSKYTGGALPFSEGGCKMARLRAFLRDRRVTVACVGAREAAEKLAEEWEVDVARPVELTDLFARAFGKVAGVDAVKPPKEPEPDRRWMTTSALLRAEAKAEAEAAKENHYSYSKRGKRPAAEVVKGLSMERMAHVALGPEMRLAPWPEKAADADWGSYYLEKSDWKYAARDAYLCFEIAAVCLQKLGAPVGN >OGLUM01G23440.1 pep chromosome:ALNU02000000:1:23646552:23652002:-1 gene:OGLUM01G23440 transcript:OGLUM01G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGKEVEERGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGALASARGSLAARSTSAASQSQVYGCDMPLFEPFNVPSNGPGHSVEKMNSNSVNRQINGSRKDLGMLSTQPKGIDKYGSGSRAECAPQPRVEKGIKSSSGRKLADDDEFIVPSVFSARFPQYSTKERAGVQEESTPLVALSPHKSPPAVSKSPTKCYNTVSKNLERINVSDVKSRGSQKDKETGPAQTLKNVEVEHFSSFEASKDMFGSKHAKVCPKTGTINDLDEPHLENSEHQATSRNGSSVKFQNPPVRRNTISAKPSPGIENTNGHCNLPQGGLKETGTKRKRLEAQDNAEKIDDLSDSSVECITAWEISPDEIVGAIGAKHFWKARRAIINQQRVFAAQVFELHKLVKVSSWIEFGVEYENNQNLYLTYKQVQKLIAASPHVLIEGDPCLGNALLASKKKMAEENLKAQLVLVATNDDVQPSLQEPELSKENSEENPPSPRDTAPVSGHRDQTAKIGASKSNLRATPVASDNRQNNWGVQLQPPQNQWLIPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLRLPSIAGDFMNSAYGVPIPHQPQHMGAPGTPTMPMNYFPPFSVPVMNPVALASAVEQGRHPSMPQPYGNFEQHSRISCNMSHPSGIWRFHASRDSEAQASSASSPFDRLQCGGSGPVSAFPTASAQNTQPQPSSGSRDNQTNVIRVIPHNNSQTASESAARIFRSIQMERQQDDS >OGLUM01G23450.1 pep chromosome:ALNU02000000:1:23683321:23685006:1 gene:OGLUM01G23450 transcript:OGLUM01G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKPPTWGKKPRPNFGRRRPCPISGVYLKGAPPNPPGSGKYWPFTRYPNVPIDPFPEPLVFSQPAPTNNPKVDDAMKSLIGNYCRIAHVRYFIYMKSAKIPKSMVNRQKEMDSLWAQHKDLRDAAAKNRETLGELGAIVVQEPAWFSYRTFGVNLGLGDTQEKCSEADVLVAENALSETKTGPLSSELEEF >OGLUM01G23460.1 pep chromosome:ALNU02000000:1:23686971:23687337:1 gene:OGLUM01G23460 transcript:OGLUM01G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAARGASMRCGGSYALPFVREVVLSWWTAIFSQGCRVQDESLVRCSPGRQRLRLRMSDDGILYIVTTVVASFSEYCLCGVAVGLVAFGHA >OGLUM01G23470.1 pep chromosome:ALNU02000000:1:23743290:23744693:-1 gene:OGLUM01G23470 transcript:OGLUM01G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGFNEMILSESVWNGGGGGGDGDGGAVVLPPEVGGVNAVDGSGMTVLERLVLDEALAAAILELQGIQAPGCGGGGGKVAVVPPPTAGDGGVEAAVAFAAMATGTPAYADVDADVLQRQRQHHHRHQGAMGMAAEYDVAPATPAVTLSAVPPPPPPPAFATAAASVDGGGAMDATVFSGIGNDDVVDAVSATVAMTTATATTSQCERVRGGGGGGGCGRKQRRPGRKRKAAEPTAAAAAADMSSQDNPLCSLLASNTAGADGGIQIAFSTSAPASKRAKPSLSSSSSSISFDGRGPGGNCGGGGGDDPLYEPDTEALAQVKEMIYRAAAMRPVTLGAEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQIRELQTLDRRNYPNAAMSINTAAATTMATSSSPTYNNSNAAMPAFAFPETLGCGGGGGVEQLI >OGLUM01G23480.1 pep chromosome:ALNU02000000:1:23750267:23753602:1 gene:OGLUM01G23480 transcript:OGLUM01G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASVIFSSSLLRTLTAARCEGGSRRGRAVHVGAEKRLDPLPPCLPLLKKKARSSIHQDSLKVDDDHKRRAKITQQYQGQCADVLADDRAGMRRRKVTPTTRTGFLYAPDSVDAVLARRNTGEVSDPAVAP >OGLUM01G23490.1 pep chromosome:ALNU02000000:1:23772094:23777417:-1 gene:OGLUM01G23490 transcript:OGLUM01G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5' exonuclease domain-containing protein / K homology domain-containing protein / KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25910) TAIR;Acc:AT2G25910] MATMTIVCMTMEEEKRHVELLSPPLPMLVVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRHGALCIMQIAFPDAVYLVDAIEGGKELIEACKPALESEYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLLEEQEGKKRGYDEYISFVSLLADPRYCGMAYPEKEEVRTLLRQDPNFWTHRPLSEMMIRAATDDVRFLLSIHEKMMEKLSKVSLWRLSVRSELYCRCFCINDNKYADWPPLPTVPDEIEPDVYVPEVDILSVLDVPPGKMGRVIGRKGSSIMEVKASCNVEIHIGGAKGPPDRVFIIGPVQEVRKAEAILRGRMLEF >OGLUM01G23500.1 pep chromosome:ALNU02000000:1:23785921:23788489:1 gene:OGLUM01G23500 transcript:OGLUM01G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCNGPTFPSSGRGTFPFSRSPSSLYSTLPKISPHRPLFPFDSGGLPPARLVFCRRAPWVSVWSDAAAVGGGGDARSEDRWMNRRKRLVPCGGGGYIVVGDREKPAPCGGASCFVVGVRDVAVPTNRWKKLAPCGSSSWRQPDGDYRFVKRAARQRRPKVAFVAALPQLPVDPTG >OGLUM01G23510.1 pep chromosome:ALNU02000000:1:23789156:23789596:-1 gene:OGLUM01G23510 transcript:OGLUM01G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKSYAGGRTTMQIEPYYGGGGGGGGGRPVDFRSYSYSGGGRGGAGAASYQYQYEYGGGGSGKVGYWGSVAEEERGTGQAAAEAAAKRSKSKRRWLALGDPDMERKRRVASYKAYSVEGKVKGSFRKSFKWIKDRYLHLVYGWS >OGLUM01G23520.1 pep chromosome:ALNU02000000:1:23792771:23795146:1 gene:OGLUM01G23520 transcript:OGLUM01G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAMTDTDGAHKNYPGKMTIFVFLACLVASSGGLIFGYDIGISGGVTSMDSFLIKFFPSVYAKEKEMVETNQYCKFDSELLTLFTSSLYLAALIASLFASVITRKFGRRITMLGGGVIFLVGAILNGAAADVAMLIIGRILLGIGVGFSNQAVPLYLSEMAPARMRGMLNISFQLMITVGILAANLINYFTDKIAGGWGWRVSLGLAAVPAVIMAGGSLFLPDTPNSLLSRGKENEARAMLRRIRGTDDVGPEYDDLVAASEASKAIENPWRTLLERRYRPQLVMSLLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGVQMIFAQFILGTLIAVKFGTAGVANISRGYAIVVVLCICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFIIAQIFLMMLCHLKFGLFYFFGAMELIMTGFVFFFLPETKGIPIEEMDRIWGKHWYWRRFVGAGAGGKVEITSTV >OGLUM01G23530.1 pep chromosome:ALNU02000000:1:23797437:23799292:-1 gene:OGLUM01G23530 transcript:OGLUM01G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAGAGDGAPKHYPGKMTVFVFIACLVASSGGLIFGYDIGISGGVTSMDPFLSRFFPSVYAKEKEVVDTNQYCKFDSEPLTLFTSSLYLAALIASLFASVITRKLGRKMTMLGGGFIFLIGAVLNGAAVNVAMLIIGRILLGIGVGFSIQAVPLYLSEMAPAKMRGMLNIIFQLMITVGILFANLINYFTDKIAGGWGWRVSLGLAAVPAVIMTVGSILLPDTPNSLLSRGKENEARTMLRRIRGTEDIGPEYDDLVAASEATKAIENPWRTLLERRYRPQLVMSVLIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRFGRRVLFIQGGIQMIIAQFILGTLIAVKFGTAGVANISQGYAIVVVLFICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSVVVVFNMAFTFFIAQIFLMMLCRLKFGLFFFFGAMELIMTGFVFVFLPETKGIPIEEMDRIWGEHWYWSRFVGAGRNRVMQMASTNV >OGLUM01G23540.1 pep chromosome:ALNU02000000:1:23803783:23804480:1 gene:OGLUM01G23540 transcript:OGLUM01G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGIGRWSRAAAVGVNRHSGQARSPPGCPPLTLTISTEAATGEAKGWRSWAMRCSFITGPHRHRKWEGRVEGNKNYKLKWIKIGFIIGVFRRFPLGKSCVLSSSPSD >OGLUM01G23550.1 pep chromosome:ALNU02000000:1:23804509:23831209:1 gene:OGLUM01G23550 transcript:OGLUM01G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASSASGSCRARGWCSSVALRASRAPPAPPTTAASSPTASTTRLLDDNSSSSAAAGNSHVVIDIDDDDGGVGDSDDSACSSSSAEGPCCAVCMEPLEWVAVGPCGHAVVCSVCAARIRSSRSWQPDLRCCICRAHCPFVVVTRAAAAAAPAAMPAVNSYQEWRARGYYWYCTTMLAYFDDVEQYRATRAIARGEVKGGAAVDVDGNDGGGGGRRTLSSCVDVFRFLLIVAIFALFGVLFGSVFSVGHSQAIDDIPQLDASSCSATACHAMEMSRLDASGRGSSRTAADDKGGHVVIDIDAADDIPIPSCVVCMEPLEWVAVGPCGHRVVCSACAARVRSAPYSDHRCCTCRTPCPTVFVTKAAAAAADGELNYLLQLQGDAGSLQDGRVGEYWYLAPMSAYFDDERQYEAAAASSLMMKHQRPPPDADGEFQPRHGGDRGDGALPGDEFGAPELSFLALFFAACGAVVGLGFTGFGTGWGQKVAIVLGSAGIYAPLGTSIVWFMNKNGYCRLTRYSVGLCRAQIEQAYSGITIYKASPINSINLRISLVSLTVTPIRRSAAAVVMADLDANSGSGVAVAVAAASSHSHVIDMPQLDASSRTVAAASATDHSRAVETTSIRTSCSAGDDDKCSTGSDDIPSCAVCMEPLEWVAVGPCGHRVVCPACAARVRSAPKPDHLCCICRTLCPTVLVTKAAAAADGELPFSEMPAATQDGQVGEYWYCAAMSAYFDDERQYEATAKAAAAAAAGCLKQRPAGADDDDGERDQRYGTAQFLKYSFFAALFGVCIGFVFAVDAPGWGGRVGIVAGSAALSLAEAMTDSDRGVSEEEVTDSKTDSSTRPLRRHRLLDEEEEEETTPGDKKDTTAPGDEKDDTELADVLVKTPFVPIVDYSGSLEWTVGPVVYLLLTPRGVFPRDEDAAVEALGAGAADPGEVEPNYEDKVAALVEVDAGGAVVGVPVVQVLLVQVPLGQSLPPPPDSQATEASSTSAAGHAGDLRLRNRISGRAPPPPPVVDTNWSAAEHDHIAIDIGDSTAGSDSDDPIEWVAVGPCGHRVVCSPCAARLRSGPNPDHRCCVCRTLCSTVVITKAATAAHSVFTFSDQSSMPVAAAQDDGRPVGAYWYSAAMSAYFDDKKHYDQVTKQVVVAAADRCFLRTPPRRPDVDASPLRLLCVRMSWRGHVLALLVVVLVTALVGGWVGYLISGDEMMSDRIGIVAGIAALWGALAAVVYGIIAVFH >OGLUM01G23560.1 pep chromosome:ALNU02000000:1:23809459:23810705:-1 gene:OGLUM01G23560 transcript:OGLUM01G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLDADDANSGSAASATHSHAIGDMPQPDASSRTSADEDDHGHNVGIDDIDDAGDNGSIGSDSDVPSCAVCTEPLEWAAVGPCGHRAVCSACAARVRSAPNPDNRCCVCRTICPFVVVTKAAEGVVSFSTLPAVASHDDGRVGEYWYCAAVSAYFDDEQQYEAAKAVASRRHGDQPAMVHPKPQCKLHSGFTKHKEIGPDFTEPGFPVME >OGLUM01G23570.1 pep chromosome:ALNU02000000:1:23833436:23834939:-1 gene:OGLUM01G23570 transcript:OGLUM01G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLDPTSGSGATVAAASHSHVVVDMPQLDSSSHSAAGYDDKSDHVIIDIESEGRSGSTGSDNIPSCVVCTEPLEWVAVGPCGHRAVCSMCVARVRAGPDADKRCCICRTRCYTVAVTKAATAADTLHAFPKTVPVTSRDWRIGKFWYYAAMSAYFDDRNHYKETKRAVRDFLEKQRAAPSPPPPCPDDDVTDENLEAQPPAPHHPRVSWCGILFVLVAFAFVCGPPALRAGVHRVETCATAATRTHQDEVLRISKAPKSQPSATHQHGSLPIAMEKLDGEDREEEEEEEEEEKKQAASISVMLTH >OGLUM01G23580.1 pep chromosome:ALNU02000000:1:23841048:23841356:-1 gene:OGLUM01G23580 transcript:OGLUM01G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVVLPSTAGNHSPLLPPPVCSNPGLPAIVLCPDPGCHVFDCHICTLIVVFGEGLQGRGDLTAGIEAVRWRSTGREDQCMLPPSVFSTVPPTSHLKEISSI >OGLUM01G23590.1 pep chromosome:ALNU02000000:1:23855659:23864917:1 gene:OGLUM01G23590 transcript:OGLUM01G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGPSLEDLFIFCQRKFSLKTVLMIANQMINRVEFLHSKALLHRHIKPDNFLMGCGESANQVYMIDFGTAKKYMDTSTHQHIPYRENQTLAWTAQYASVNTHLGIEQGRRDDMESLGYVLMYFLRGRHSVVATLLNLHPTSITVVHYVLMMRQTTNT >OGLUM01G23600.1 pep chromosome:ALNU02000000:1:23868253:23870437:1 gene:OGLUM01G23600 transcript:OGLUM01G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWIYNVVILLSLWDESVAQSGASSSSHPPSPLGASSDAVSPPELQCDCRLPLSSFTANTEHNPHRRFLVCSLRVPQGGCKVWIWEDLLHQYADEMVKYRNYGATQTLDDLKYSLDEKNRQIERLQDDIKNCHRLLEDSSAKLRESEEQRVFLTMQRNQLDTSGCNTTFIAICLLLFLIEYKIIDFSIW >OGLUM01G23610.1 pep chromosome:ALNU02000000:1:23891617:23897222:-1 gene:OGLUM01G23610 transcript:OGLUM01G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHILLLLLAVTVLLSSPTWAAAGGEDQAVASQEVNPLHYNCSLSGGKYEPNSTYEANLRALASLLLAEARATAFASDSFGAAPDAVYGIALCRGDYAGDACAGGLRKAFRDAIDHGVFCAGFRDVTVYYDEHMFRFSGEDFRASLTNAPAWVTWNMNGVAGAAAFGDRVMELINTTAEFAAWNSSKRGYATGEAGFGELDVGATRLGLVEQQCRSSPDLVIFALVQCTPDLSPAGCLSCLAGIASQMPRWFAGAADYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPRQKGPLSAGKISGIVAGILAFFVIIFALILFGLLKEYCKAIAISFNYNLTDPILKQSPCMTSACAVIQDSERQRKLDNLEAEILEEISRSNTNMTGAPMFSRYTLQKLRGATGDFSKENEIGKGGFGHVYRGKLPCGLEIAVKRLSVSSSGQGSEQFRNEIKHMATLQHRNLVRLLGFCIQDEEHILVYEYMANRSLDDVDIKASNILLDNTMNAKISDFGIAKIFCSNLMESAATRGWGTFGYTAPEVFLNGTISNKCDVYSFGVLILEIISGTKVNSACFHQYGRSDNLLTCAWQLWNARRCTDLMDRSLLPSTKENGNVGDEDVLVRYVQTALLCVQGDPDERPSIDKVVAMLSNKEALDVPNERPAYYNIQVHNAGGRCNTTIANAISPYTVFYTTSS >OGLUM01G23620.1 pep chromosome:ALNU02000000:1:23913830:23917671:1 gene:OGLUM01G23620 transcript:OGLUM01G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVVTGRINEDHPTWYWWERYVALVDDIQEVIDEIGGVSFDVSLKAVLDALPECSDGQEWDEQEQSAIRAEITRHQAEVLEPAFAIFRDRKACRPAAPGTMRKEPMASRCDSGSYYREVLDGIDPHFSHLTGGAIVNSLTIGVSWPPSHDLWSYPLFAFISSCHDCLLVLYFGNYRPGISSPSIGTGVAILRHNDYYDYVLIELFPHQDSRTHLASNKATLFLWWSPSSGPLADGQWIQKEVLLPIPATSNQDKDDATRPPTYSFRANMVFAVSTTSLCWVDLRTGILVCDHIDKLNTGTDDDDDHLLFRFIPLLEECVMKPGLLSRKRLAEEHRTMICMDPETILFVSMDSYIQGLPIGDTVLTTWILKFPLTNHWTWEKHSTPSLYVGDLLNDLPVLKESKDDRKTQHIANCPVSSIDKQNHLVTSLTITKYERKHENGQWGVMGLYEVSIDMDGRTVLEWSSLQSHHSNIFAADFSRFLQQTMSWESLQRSRSTLKSPSEEEVVDKDDEYWEWVFPDEVGEDEYQHGPDLSC >OGLUM01G23630.1 pep chromosome:ALNU02000000:1:23927928:23938408:-1 gene:OGLUM01G23630 transcript:OGLUM01G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLLLFLSPVVVLSSSAWTARGEDEAVAVASPEVNPLYYNCSLSGGRYGQNTTYEDNLKALAARLVGVARVSNFASHTVGSAPDAAYGIALCRGDYTGDECANGLRKAFENAVENRLFCDRFRDATIYYDQYMLRFSGEDFRANLTNAPAWVAWNMNNVTGAGGAAKFGGRRRMQYYRDIRTPTGQWFHVVRAPPRHARYATGEAGFGELDVGETSVGMVEQQCSSSPVMYALVQCTPDLLPADCRSCLAGIASQMPRWFSSNTSYRLGGRILGVRCNLRYEVDRFFLESNETIKIHMPKPKVIQESERQKKLAKLETEIIDEIGPLFSRYTLQQIKEATRDFSNEIGKGGFGHVYKGKLPSGTDVAVKRLAVSSSGQGFDQFMNEIKLMATLQHRNLVRLLGFCIQNEENILIYEYMENGSLDDVFSDPERKSRLLDWSTRLRVIDSIAQGLLYLHRLAKQNTCIVHRDIKANNILLDASMNAKISDFGIAKIFCPNLMESATTKGCGSFGYIAPEVLLTGTFSDKSDVYSLGVLILEIISGTKVNSACFFQQGRSDNLLTCVWQLWDAQRYKDLVDRSLISAGENIEDAVLIRYVQMALLCVQANPEHRPNIDKIVAMLSNTEALDVPKEPPAYYNVQVPTSRNHSGAVTPTVFYTSISS >OGLUM01G23640.1 pep chromosome:ALNU02000000:1:23988541:23992477:1 gene:OGLUM01G23640 transcript:OGLUM01G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFFFAFVQENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISERKIATSIEALCRGYPCEFQSYFHYCRSLRFEDLPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQITSVPPRAIAAAAGQSSGMSPIANNNRLSATEEGRRSGWSDDPTRRQVPPTGINAGSLSKQKSPVRPDMSTSKDALFSSSTMLGRSSGSLRRPVVSSSRELQSSEAEPSRSRTPDASPGTFQRSAPPRRSSQMLDYSDPRHSSSGRHAANKNYESTIRGIQGLNFDANDRIHY >OGLUM01G23650.1 pep chromosome:ALNU02000000:1:24000167:24001072:-1 gene:OGLUM01G23650 transcript:OGLUM01G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTVTHPAAAAALNHGRHLLRRLAASSPTILHAAWVTALVAVCLALCITHSRKPPPSSSSSSKAARRERGASSSTRRRSAPGDEGSSGGVGGSSAKAAAAAATTAAKVSPTPSDVAAKANGRVGDTQAAAAVPVTVIDVGTHGPIAAAFPAPDPLPPRRSLSAKHMRLAERLGSRIRSTRWGGRDDHDDDDDEDAGGDPAAAAAAADEGGTTLWTKTIILGERCRVGDDDDGGGGGAVVRWRSYRPRQPRSLPMTRSNSFAGVGSRSLQLQGGGASRPPAADVPFHLGRTASLPAKDEL >OGLUM01G23660.1 pep chromosome:ALNU02000000:1:24001657:24003287:1 gene:OGLUM01G23660 transcript:OGLUM01G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQSSVHMELTWRLCVLEFNKICAGPICHSHQYNVGPTDMWAHMSFLFPSSSSSSLPLPSLSLPSLFVFVCRQRRALEGPSGRGGEREGRQQPSGGSAAAAV >OGLUM01G23670.1 pep chromosome:ALNU02000000:1:24025012:24030252:1 gene:OGLUM01G23670 transcript:OGLUM01G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase B [Source:Projected from Arabidopsis thaliana (AT1G29900) TAIR;Acc:AT1G29900] MPQTPHSQTQNLNLIPNHYHCFSHHRLLHRSSLLPFPRRYHHRRRRCGALSIARASASAKDGVTVRRFPAAPTEGGRLAGVSKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLVNSNPATIMTDPDLAHRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAVSLADSGALDRLGVRLIGASLPAIRAAEDRQLFKQAMDRIGLKTPPSGIGTTLEECISIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHTQQVLVEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPVLTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCAPIKELDWDWEKLKYSLRVPNPDRIHAIYAAFKKGMRIQDIHEISFIDKWFLTELKELVDVEQFLISRGLDQLSKDDFYQVKRRGFSDTQIAFATSSSETDVRLRRLALEVAPTYKRVDTCAAEFEANTPYMYSSYEYECESVPTNKKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVTNVIDLERPDGIIVQFGGQTPLKLALPIQQYLEDKKLVSASGTGLVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALSIASEVGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAIEIDVDALADSVGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAKCLDIIRSWTTKLAKRLNVCGLMNCQYAITTSGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLPELGYTQEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLPLNGTVFLSLNDLTKRHLAEIGRGFRELGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATIDAIKSLKNKSIETLALQDYFQTTDATQNLQAAQSAS >OGLUM01G23680.1 pep chromosome:ALNU02000000:1:24034116:24035358:-1 gene:OGLUM01G23680 transcript:OGLUM01G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTTLPPNHQLPTQLHSSPNKLDHRLLLHILPPFIAARRAQPLGREQQVDVVFVFFKEKPMTLRPLNTERSFLLSSPKPHSPRDACSPPVRSPSSTRLLACRKLPSSSKPMATGAGVLERSLSFKNWEPTAAEEAAVAAPPPHDEAASRCINGARPGILLLQQSPKAKQGDAATSPAQAALIEFISPKPRSELDQAATKVQKLFKGHRTRRNLADCAIVVEELWWKAYDSACLNIKSISFFDEAKQETAASRWSRAGKRIAKVGKGLSKNEKAQKLALQHWLEAVSPSSWNYRSHLFALV >OGLUM01G23690.1 pep chromosome:ALNU02000000:1:24057962:24064634:1 gene:OGLUM01G23690 transcript:OGLUM01G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPITLLPPRANPRRHLDARELHLAADHHHHPSLLRSPPRRLGRCRRRWARRGEGSPPPCDRHRGSRTLSARSVVAFLDDQKELAISEESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNALGNAGRQPAYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >OGLUM01G23690.2 pep chromosome:ALNU02000000:1:24057716:24064634:1 gene:OGLUM01G23690 transcript:OGLUM01G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNALGNAGRQPAYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >OGLUM01G23690.3 pep chromosome:ALNU02000000:1:24057716:24064634:1 gene:OGLUM01G23690 transcript:OGLUM01G23690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQESTFSSASTAAQVNACALDLLPVYAKELIAGGAAGAFAKTAVAPLERVKILLQTRTHGFQSLGILQSLRKLWQYEGIRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQPGNALGNAGRQPAYGGIKDVFKTVYKEGGARALYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKRSVVLKLSCGALAGLFGQTLTYPLDVVRRQMQVQNKQPHNANDAFRIRGTFQGLALIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKNLLRVPPRERLYQSSGNA >OGLUM01G23700.1 pep chromosome:ALNU02000000:1:24062100:24066702:-1 gene:OGLUM01G23700 transcript:OGLUM01G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQRKNSKKVKDSNGSSSKKDKDSRGKNIFDSSKGGLGALAGTLQTAKNDAGEKAENLQDDVKTTIGAILRRGSGVLEKAKEIGGHSEASQSKELEQGSEEQGKKDMEALTKVMDKVKEVKSNPEVVEKLDKVKEDISSLAHALHLGKHDKEHESEEKAKEGETAKRADEGASASKSEDSGVVVQAVEEIQAVVTAVQQQLHTEGAAAETPNEAAAAETSAEGEKPEESKRDVEKDDPSKRLDFKGFFAMIFERCCNPGNKKKD >OGLUM01G23710.1 pep chromosome:ALNU02000000:1:24072449:24075360:1 gene:OGLUM01G23710 transcript:OGLUM01G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKTLARAGSSLLGRLLASPSPLRSGLPPPSLLSRIQPLVPPPPPQPQPRPETTVEAYEAQAVARLSSLPGEISFPCGLPSLRFIIDDGKDPVANEPLELLPKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRISV >OGLUM01G23720.1 pep chromosome:ALNU02000000:1:24077592:24080639:1 gene:OGLUM01G23720 transcript:OGLUM01G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLCRLLIPRPTTRRFSAGGGEGGMAAAAVKREVKPEAGEGWGGGDLGVVVPPRPMEGLGEAGPAPFVAKTYEMVADAATDAVVSWGPGGSGASFVVWDPHALAAAVLPRFFKHANFSSFVRQLNTYGFRKVTPDRWEFANEAFLAGQKHLLKNIKRRRVSKPLMDSQLRNKTSVVFGQPEAPGEVVSLKRDRAALRAEVIMLKQQYNACKSQLIAMEEMVRNIERRQQQTISLFAKVLTNPVFVQQVLLNYVNKNGLRGAAKRQRLMENEEQHADSPLNKGMEAASVMEADVSPGSTGCGTVGKVETTPMCNSQNIENMCDDVWEELDALPETGMEQEDKAGIDSFDVEEFVGRPCGWVDDCPYLVEPMQFVEH >OGLUM01G23730.1 pep chromosome:ALNU02000000:1:24082517:24083242:1 gene:OGLUM01G23730 transcript:OGLUM01G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWPQDDRQPLNAPGYIKMPGRPRTESRREMHEPPKPTKLSKFGTVIRCTRCKRIGHNKSSCAKHNSVGSVPGGSQPVPPPSQHMVLSNAGGSSANSRKRKAASLSTTSINQQSRAKKSKTNVETQGLVRVNATAKVCIDQGGFASVDLQAIVPYSKSSSSASVRLTSGKASVCVSAQEPAQSKPKKKSGGALILMPPWESDKL >OGLUM01G23740.1 pep chromosome:ALNU02000000:1:24083770:24084359:-1 gene:OGLUM01G23740 transcript:OGLUM01G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMASSMSDSSSSSSRQKKASPVPYRVGPLEYQSVVMCRCRPPAKAARWISWSVDNPGRRYYKCQKARVRGCDFWVWCDEPTSNFIKELLNDLRDVVTSLRRKNEWLKEVVAQSRAHGEQQRKKVEDVRNVVAVKNEEIRRLKAMNQKLVIGVLSCVVVLLVLLFGKN >OGLUM01G23750.1 pep chromosome:ALNU02000000:1:24084809:24092943:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23750.2 pep chromosome:ALNU02000000:1:24084809:24092942:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23750.3 pep chromosome:ALNU02000000:1:24084809:24091699:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23750.4 pep chromosome:ALNU02000000:1:24084809:24090983:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23750.5 pep chromosome:ALNU02000000:1:24084809:24089194:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23750.6 pep chromosome:ALNU02000000:1:24084809:24088918:1 gene:OGLUM01G23750 transcript:OGLUM01G23750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSPVPPAAAPATLAAAPPTTDVLSRRRAHLDSASYRALSRLFSHCLHLHPPRHAACPDAEVETAAAAIPPGVSGGLPHVVSPPPADVGGDRGKNLEVEVALGNPTPHETPSTSASPDAAANPTTDPGVVPQGTEEGRVAGVERVEGVEEVVFAGGTSGEADADGDELGAGAGLMGDDEALRSMQACLDGEDSELVIEMVGNDDEQLQLDAMMNNLSGLIDDASACVMSAQSCGVSGDKLQSDDRVAEEVKELGAGIGNDRSVCSLDHGSLDGGGGFEEGEIEGDTQNLDADDSGNSELQDDVELEEDFDSRRIEEDGSCGHDVKSNLHLIPQKGNGDIARNMLCNSKGDSQMHVARAQAVSYDEVLDWNETPLPDDKALKHGNTRKRTLTEERKAKKTKTKRIKRALQREAEGVKRLKLQPVIKPKVVKVCHFYLHGKCQQGNLCKFSHDTTPLTKSKPCTHYARGSCLKGDDCPYDHELSKYPCHNFMENGMCIRGDKCKFSHVIPTAEGPSTPDAKKSNASSVPEKANCQEQTSRQKTSTVYSVEPATSAPIKHHSILKNLAGISGNAQKVPVRIPRGIQFLPFNKDRPDSSILHQDVVSTEKHKNPTGGPHQNFGRPQPADGEKLGKHNGHRSAPLLDEKDSSKQADLHPCSEPKKNSLPTTAAVPSSVSTQHEVSEASRILQEFLFGSGN >OGLUM01G23760.1 pep chromosome:ALNU02000000:1:24093817:24103040:1 gene:OGLUM01G23760 transcript:OGLUM01G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVKVSRFKNCDCKRGKEHLRQGKLVKTIADIVGPTLSFFTFKKYTWAGGTLHNTSTRQDQLWVLQNQSNSEDIIPWTNNWSMTG >OGLUM01G23770.1 pep chromosome:ALNU02000000:1:24103858:24108926:1 gene:OGLUM01G23770 transcript:OGLUM01G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHHLQQQQQQEEEEGGGLFLVEEAAEAADQQQESSMSNLTSSASTAPPPPPPPSSGNNGNNSNKRKRSLPGNPDPEAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGSKEAVRKKVYICPEASCVHHDPSRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTIFSRRDSFITHRAFCDALTEESAKAIGGIPAAMAAPGHHHHHHHHHHHQLLFSPPPVMAHHQELAALQEQQQQQHHQDVMQSPHQHQQQQLVQQNCGYAVKPEMAPWPTTMPYDHHHPLLQPLCNANAAATAQSSATSAPPTTPQLPAAAAAAHLSATALLQKAAQMGATIGGAGTGAAGAHYAHMASPAGAGAPAGGSATFGLGLSCLNTHQDGGGGGGNGLIPAGMMGHLARTASHGRSGEDVAGAGGGGGDGMTRDFLGLRAFSHRDILLAGFDSSCMGHVNAAAGMAGYEPPPPHHGQPQHQHQQQQQQQQGGSNEPWHGMGSHS >OGLUM01G23780.1 pep chromosome:ALNU02000000:1:24113552:24123526:1 gene:OGLUM01G23780 transcript:OGLUM01G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASENYRLGTNPWGIWVGEFASVSEPICHLRRTRKNLAANKGILEAKGVGVRQVTSKELEQEHHCHACPARMDATLVCLPECLLVTHFPDRKPQSLHAVLRKAFMAFAGLDQGEVINHEAEDVHHDFFPLQDHPALNSPCNPHPLGGHYCRARTTCPAGLFGLNDIDHGGRQVWADTRYGIAGGEHERVHAETFTLVPQPHKQHIPAYRHTTRPTSTTTISSWAPTRPSTSTRRTQQQLLFLPPPPMLQDGH >OGLUM01G23790.1 pep chromosome:ALNU02000000:1:24125794:24130531:-1 gene:OGLUM01G23790 transcript:OGLUM01G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSATAGRRSPFSALLLYVTFALVILLLLTSYSPSIPHRGRSLHRRLKLHPRNPSLSGAGAGAPTGGNGAPQQQQNHHHAAPFDPEIAELERRLEDKEWEREHYRILHGDGGGGEADEHMREWEEFLREDEDFINDDERFNLGDRIRALFPKIDLAPRDGFASLDELTRWNLEQSRADQLHRSAREMELYDKNGDGVVSYGDFRAQHNESSGEVNSLGFPWWKEEHFNASDADGDGFLNKTEFNDFLNPSDSENPQIINLLCKQEIRQRDKDGDGKLNFEEYFHGLHDHIHGYDDENADISHIGNNTVAKERFSKLDKDSDGFISEHELEPVLDKLHLSERYYARQQAAHAISEADKDHDGRLTLDDMIENPYAFYGSVFLSDDEDYFHDEFR >OGLUM01G23800.1 pep chromosome:ALNU02000000:1:24141753:24150060:1 gene:OGLUM01G23800 transcript:OGLUM01G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEAGPSSSGHSSPTSNTSTTPPPPPQPPPPPPPPPPPPHPQACAACKHQRRRCTPECRLARYFPANQPARFRNAHRLFGIKNILRVMASASEELRDDAMKSVVYESDAWVIDPVGGAAGIVKGLSQELARVKAELDAVKGLIELHSRAAAQQQQQPPVAVASNGGFLPSPPPPPQGQQLLLFLPPPPPTMMLQDGHCDDETVEYDYLVDPPAVDAAAATSTAPERPLVSPPISMAQPWRPFVADISPVAVADDDDPPAACAACAHLGRACPTGCPLARCFPAAGDQPADGRLFRNAFRLFGVGNVVGFLLAAGGDPGKRRDAAVSVAYEADARADDPVRGAHGVVMDLERELDCLKAELATAQSALARHRQYAQPPSPPPPPPPDALDRARALNYFCHGCYGDVKALPQFPGERQRRLIHVASLLWVPHGDLAGSDGIHPALEQQLDDLGMPLAGSDVHHHDRPLLRDLQPHLHRRPIPAEIWPERRFHPRPPTSTSLTSPSLPSLPVIPRPHPPTSLSIPLPSASPHQHPPTLPSIPSPPATPVIAQIAVDIGDNGGRSVPCAQVISTAAASVPTAAAEAVMRHLQGAEDGVLAGVPARVILHGDEKVRLLNAQRLFGTRNIRRFVQATLPEKRDDLMSSIKYEAQVWARNPQYGATGVIWHLERKVERELAKLSKPRQKLEMCKNLAAKKSIPEAKGVGVRQVTSKEQEQERQYQACPARISAWTPRLFVHRSACEIPISQMESRIACM >OGLUM01G23810.1 pep chromosome:ALNU02000000:1:24151296:24166286:1 gene:OGLUM01G23810 transcript:OGLUM01G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQLARSRPASTIYNELITSRPSWLLRGDVNGGGTLKNLNERYQSSFVGSLARRVQNLDVPSEASLLKEIYKSDPERVIQIFESQPWLHSNRLALSEYVKALVKVDRLDDSTLLKTLRRGMAVSGGEGERVGSSSALKSAGQATKDGILGTANAPIHMVTSETGHFKDQIWRTFRSLALTFLVISGIGALIEDRGISKGLGLSQEVQPIMDSKTKFSDVKGVDEAKAELEEIVHYLRDPKRFTHLGGKLPKGVLLVGPPGTGKTMLARAVAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMLKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGARPVHKATIVPRGRTLGMVSQLPEKDETSFSRKQMLAWLDVSMAGRVAEELIFGDSEVTSGASSDFQNATKMARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEQEVKSLLENAYNNAKTILTKHSKEHHVLAQALLEHETLTGAQIKKILAQANSTQQQQEHAVEAPRKTPAAPSSPAASAAAAAAATAAAAAKQAAAKAKGVAGFSCGLGISSRRRRRTNKGFRPMAWRHVLSQVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAFKSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAGIELALDQQYKS >OGLUM01G23810.2 pep chromosome:ALNU02000000:1:24151062:24165519:1 gene:OGLUM01G23810 transcript:OGLUM01G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLACSPECLLDTHFPDGKPQSLHAGIHGIRRTDQEEAINHEAEDAHHDFFPLQVHLVARNRSAYAICNEIIASNPSRILRGDTIAGGTLRNLHERYQSSYVGSFARRMRQMDSPSEASLLKEIYRSDPERVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAFKSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAEEKQ >OGLUM01G23810.3 pep chromosome:ALNU02000000:1:24151296:24165519:1 gene:OGLUM01G23810 transcript:OGLUM01G23810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRVLSQLARSRPASTIYNELITSRPSWLLRGDVNGGGTLKNLNERYQSSFVGSLARRVQNLDVPKRVIQIFESQPSLHSNPSALAEYVKALVRVDRLEDSTLLKTLQRGIAASAREEENLGSVSENLGSVSAFKSAGQVTKDGILGTANAPIHMVTAETGQFKEQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSMESNTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFMDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILESHMSKVLKSDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDESRKLTAYHEGGHALVAIHTEGAHPVHKATIVPRGMALGMVAQLPDKDETSVSRKQMLARLDVCMGGRVAEELIFGDSEVTSGASSDFQQATAVARAMVTKYGMSKQLGFVSYNYEDDGKSMSTETRLLIEKEVKCFVENAYNNAKNILIKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAVPSSPAASAAAAAAAAAAAAQQAAAKAKGEIAEEKQ >OGLUM01G23820.1 pep chromosome:ALNU02000000:1:24165222:24167787:-1 gene:OGLUM01G23820 transcript:OGLUM01G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 2 [Source:Projected from Arabidopsis thaliana (AT1G17650) TAIR;Acc:AT1G17650] MAAMAAASLLCARAAAAAPTLRLRGGGRGARLVFSCSASSSSPSGEGGFSGKVGFLGLGIMGAPMASNLINAGCDVTVWNRTRSKCDPLLSLGAKYEPSPADVASSCDVTFAMLADPESAVEVACGANGAAQGMAPGKGYVDVSTVDAATSKLIGKHITSTGASFLERCDFSSCTVGPMLTRYLFSRLQFQAQKSQQKMGCSSFLPQSRFFLGDVGKGADMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVSQSIPTVAAANELYKVAKSLGLADQDFSAVIEALKAKEQSK >OGLUM01G23830.1 pep chromosome:ALNU02000000:1:24170290:24170676:1 gene:OGLUM01G23830 transcript:OGLUM01G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPPHHPPCAACAHQGRPSCPAGCPLAPYFPADRPERFEYANLLFGVDGILRRLEAAGPDTVTRRATMASIVFVSDARAADPVHGAYGVIRNLQQELASVKAEIAAIRQKQQQAQQPPPALEDGH >OGLUM01G23840.1 pep chromosome:ALNU02000000:1:24184089:24187393:1 gene:OGLUM01G23840 transcript:OGLUM01G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEAGPSSSGHSSPTSNTSTTPPPPPQPPPPPPPPPPPPHPQACAACKHQRRRCTPECRLARYFPANQPARFRNAHRLFGIKNILRVMASASEELRDDAMKSVVYESDAWVIDPVGGAAGIVKGLSQELARVKAELDAVKGLIELHSRAAAQQQQQPPVAVASNGGFLPSPPPPPQGQQLLLFLPPPPPTMMLQDGHCDDETVEYDYLVDPPAVDAAAATSTAPERPLVSPPISMAQPWRPFVADISPVAVADDDDPPAACAACAHLGRACPTGCPLARCFPAAGDQPADGRLFRNAFRLFGVGNVVGFLLAAGGDPGKRRDAAVSVAYEADARADDPVRGAHGVVMDLERELDCLKAELATAQSALARHRQYAQPPSPPPPPPPDALDRARALNYFCHGCYGDVDGAA >OGLUM01G23850.1 pep chromosome:ALNU02000000:1:24192905:24193485:1 gene:OGLUM01G23850 transcript:OGLUM01G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSKPNWRSTLPATPTHWGGRCCRARTTCTAGFVGLNNIDHGGRQVWADTRYGVAGAEHERVHAETFALAPQPHQQHRPADHVARSSIGGSRTVQVQQQQQQPTSNFQDNILLLSGDLIANAGREETIVYEADPDALAVDLVDDRSVGG >OGLUM01G23860.1 pep chromosome:ALNU02000000:1:24202139:24203023:-1 gene:OGLUM01G23860 transcript:OGLUM01G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAYVPASKPVPVAAARAANGVANGGGGGVGGGGGGGAARPPPMVPGRVPPPPMYRPKPMQAPARRRRSRRGWCCACCLWMTLVVVGLVFLGAIAAGVFYVAYHPQLPTFAVTSLRLAALNVSDSDAVTSRIEFTITARNPNDKIAFAYGDIAAAFAADGADVGDGTVPGFVHPAGNTTVIKGDASAAAATVDPLVANGLRSRKSHAMSVEMDSKVGFQIGRFKSKRINVRVLCAGFTAALAKNTPSAPPIVVAAAPSPVRSVVKASSSSSSSSSTTDAKCKLRVKIWIWTF >OGLUM01G23870.1 pep chromosome:ALNU02000000:1:24211543:24212540:1 gene:OGLUM01G23870 transcript:OGLUM01G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPACHSPSISSSSPFLQSFARVRRRRKRGKRGDGTADDGSCGERRRSRRRSRPAKARMTATLQRRWRRRXHAARFDNNDLLLGTHAAIDFYEVDASAAVVSAAAAADATRWPLDDEMVEDDYLDDPPAIDAAAYVTWIWQRSHNIKRKQHLDLVVLGGLVLASYHLLDDALIDLVNMMHQFIDLSSGKLNQLANLNYLETRIGED >OGLUM01G23880.1 pep chromosome:ALNU02000000:1:24226902:24235289:1 gene:OGLUM01G23880 transcript:OGLUM01G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGHRLPKDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >OGLUM01G23880.2 pep chromosome:ALNU02000000:1:24226932:24235289:1 gene:OGLUM01G23880 transcript:OGLUM01G23880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACFSTQLIDGDGVFNVSGLENFMKEVKMGECGLSYAVVSIMGPQSSGHRLPKDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPILREDIQKIWDGVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVASLRDRFQQSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKIASFTADEEWQQFEEAVQHDYVPGFGKKISNLLDRCLSEYDMEAIYFDEGVRTSKRHQLESKLLQLVNPAYQNLLDHLRTRTLEAFKESFDKSLEKEGFAVAARDCTKVFLEKFDKGSEDAAIQQVKWDPSKIKDKLKRDIEAHVASVRAKKLSELCSKYEGQLTKALAEPVEALLDSASEETWPAIRKLLQRETKSAVSGFESAMASFELDEVTQKELLSKLESHGKSVVESKAKEEAARVLIRMKDSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLITPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAMWVQLDIAKEFQNGFLPAVLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPKSTRNGSHSNVTSAGSSSITSSESGPEYSSPIAH >OGLUM01G23890.1 pep chromosome:ALNU02000000:1:24244317:24249106:1 gene:OGLUM01G23890 transcript:OGLUM01G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVWCSLDSMPADIAAGIHQPPPPDLHDPSFWPAFADCAASFIAGGGGDNACFNELMAGGSSGDTRMVAIDDGDDGSGFLVGDAEAEHLMLSSSSPSSLSSGRSLSIDSAGSMSSFSLDAAAALAMSTLAVPHPYPPPVAHGMFASGAGGSGGGGAVDDHEDAIMRAMMAVISSATASPSSSGGSASSPTPFSRDSGAHHQPAGQPAMAAPQQPRGGNGGHVVVKSSSSSGGLAVPMDQKPGGGGLGRQQEEAAAASATNSSQLYHMMSERKRREKLNDSFHTLRSLLPPCSKKDKTTVLINAAKYLKSLETEITELEGTNTKLEKHIAGGGGAADAAMRARRAQQRAKVQISKAADSQSQQLVNLTVMVMVECDVVELVLHILECLRWMKEISVLSVYADTYSPQLLLKAIANIKLQIMGGDWNEASFHEAMTKAANDATISCAPLAITAAQ >OGLUM01G23900.1 pep chromosome:ALNU02000000:1:24251384:24252272:1 gene:OGLUM01G23900 transcript:OGLUM01G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMERGQDLTKTLWHPLWKDGGGEEDGHDCSREEWSWMGDGDVEEVADEVLSREVATVGRGVIAGIRHYAMTTFALTCRCPSLGKRQTGSEHNMVKNCHISTMRRYIRLYG >OGLUM01G23910.1 pep chromosome:ALNU02000000:1:24253164:24285330:1 gene:OGLUM01G23910 transcript:OGLUM01G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRKDSSSLAGPLAAQRCERTGEPGTAAAAAAKNSAVTLASAVDDSHHVPATRHGDVAGLIGVVVPFGSMESYDRLRLIGEGACGAVFRARHVATGETVAVKIAHKNGGGGGGDEALLREAEMLAACAGNPAVVRLREVARHPETSKLHLVMDYTKNECVPYKACSSKATRDAFQDILVHCRWKELLMTRVFDAIKSLRLDVLSVQASAPDGLLGLKIRAKYASSAAVAPAMIICQFCRRGAWDDQRSSPDSHRQLSPIAL >OGLUM01G23920.1 pep chromosome:ALNU02000000:1:24259145:24276091:-1 gene:OGLUM01G23920 transcript:OGLUM01G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stomatal cytokinesis defective / SCD1 protein (SCD1) [Source:Projected from Arabidopsis thaliana (AT1G49040) TAIR;Acc:AT1G49040] MASRIFEYFVVCGMGPEIRTLDGVKGYHGVDDMYMPAFLDQLPPSSHALYPPPPPQLPTCVLPAGVRIYSSGLDANDFSTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDILEAYQIPANSFADKCICFVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHLVSNVPLPTPGKNRVLFAIENCLLSVEAPPKEWLPHADISFQPLVQCLDVDKLIQLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPLRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSTVTMDGVVVVDLEYNRITTSEEIPPIPETEHNFLRGEILKLLQPNDNASPSGFNTQAFLKKRSRATNQPVESMLMIMQFIETQGFLDYLERCNNAEENTNNLLDKLQDATGRGQNPLAIFPSHVADPEIITIADSETGGSEPGKRFCYKRFPTNARTEEQEEKRKSILAVASGASKQVPNSPSIPTIGGGPKVESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDMLTIRDALEVSAEMYKKDPNNVQDYVQRHLLSLPVWEELRFWDGYFEYLMENCSNKSTNYVTLVTAQLIVMATHMAGLGLSDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKGQQPPSYGMASPRALDVSDESQQPAEASGLGRNWVQSMFSRDRSLRASSFNRTNEVKVGATAGKTDLPAAQKKIQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRTTLKGHTRTIRAISSDRGKIVSGADDQSVIVWDKQTFKLLEELKGHDAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVSMRMTGETIITGSDDWTARVWSLTRGTCDAVLACHAGPILCVEYSPSDKGIITGGIRCVKNLTLHSASVLSISASDHWLGIGAADNSMSLFHRPQERFGGFSNTGSKVAGWQLYRTPQKTAAMVRCVASDLDRKRICSGGRNGLLRLWDATTSI >OGLUM01G23930.1 pep chromosome:ALNU02000000:1:24297802:24298836:1 gene:OGLUM01G23930 transcript:OGLUM01G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSGLVSERESLKNTNWQNKETMKKEQSDGQNKKDMNLQNLENIKRRTKMAQSGLVSESESLKNANLQNKETMKKEQSGTM >OGLUM01G23940.1 pep chromosome:ALNU02000000:1:24321601:24322634:-1 gene:OGLUM01G23940 transcript:OGLUM01G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFHCRRRPRAPHRRRIEDLPRSRRRPRAPRHRSRARKGGELRQLRSSQRRRCHPGGAPWRQKAGEVLLRCGEYGARDFRQQGGSGRASRGQPKERIRRWRRLDLGEAARLSCQTPRQAPPAFAVGRPRTRARERERERESKGTSEGLH >OGLUM01G23950.1 pep chromosome:ALNU02000000:1:24334051:24338136:1 gene:OGLUM01G23950 transcript:OGLUM01G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASAHSAQEEPLQPGTMQFRKQLAAAVRSISWTYAIFWSISTTRPGVLTWKDGFYNGEVKTRKIGSSTMELTAEQLLLQRSEQLRELYSSLLSGECADQQRRRRPVTALSPEDLGNMEWFYMVCMTYAFRPGQCFASNGCAWLCNAQSADSKAFPRKLLAKTIVCVPFMNGVLELGTTDPK >OGLUM01G23960.1 pep chromosome:ALNU02000000:1:24339174:24339748:-1 gene:OGLUM01G23960 transcript:OGLUM01G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATGRGAVIHGREGHGRGCRRGGSGHRRAAGGGSGRRRAAGSGSGRGRRRAADPGTGGRSRTPAAIRRRQIGGAWPVVTGELHASCREEARPHERILSSVHAFGSDLNHFCSGLRWRLRRGSVQRRWRPAGLGSSVVEAEAVEA >OGLUM01G23970.1 pep chromosome:ALNU02000000:1:24341939:24343132:1 gene:OGLUM01G23970 transcript:OGLUM01G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRLSVSEEPNVVNRITTAFWEFQLLACSDEPISSGTPSSPSSPLTKETGDANTVLIDDLFLVHSDAIPAGGDLQEDHQLGDDLGQQQAATAMEIDDDVLIRNWDNDSSSSWIELLDHVVVSPASCFVPWKRTDLDKEAVAAGGEAAQRLLKKAVGGGGAWMNRAAGSSIKNHVMSERRRREKLNEMFLTLKSLVPSIDKVDKASILAETIAYLKELERRVQELESGKKVSRPAKRKPCSETIIGGGDAGAVKEHHHWVLSESQEGTPSDVRVIVMDKDELHLEVHCRWKELMMTRLFDAIKSLRLDVLSVQALAPNGLLGICYM >OGLUM01G23980.1 pep chromosome:ALNU02000000:1:24358423:24358862:-1 gene:OGLUM01G23980 transcript:OGLUM01G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQDCSYAADGYARARGVGACAVTFTVRGQLLHGCRHRSHRFWNQVMGDEARKQAPPLGIRSARARLRRCCRW >OGLUM01G23990.1 pep chromosome:ALNU02000000:1:24359602:24360093:-1 gene:OGLUM01G23990 transcript:OGLUM01G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRPAEEGSSSGTSSTQITCAGGSRRCRRRAQPPYWGNRTPTQRTHGRGMARRAASEWSSSGPTPLALAPAEATTSGERWSAMEAERCLSPALAPNRGCGDVVATRMDVRWCGDSMHRSCPARPLAKQVAGGVLAGLGPGQAQWGQRVAAAQEGRRWEAGPA >OGLUM01G24000.1 pep chromosome:ALNU02000000:1:24376861:24377164:-1 gene:OGLUM01G24000 transcript:OGLUM01G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRSWWAYLRPRAISQREMCPRGLPYLATISSPLGSLHLHAGWLLCHRQEAYTSTPATYVATSLPGDIVPVQDGYIVNAWRPKPPR >OGLUM01G24010.1 pep chromosome:ALNU02000000:1:24377333:24377910:-1 gene:OGLUM01G24010 transcript:OGLUM01G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFPLCFAARISSELYPAMTLVIPAWSREATQLRFENFNSTPPRNDPNNPGMESGGYQATL >OGLUM01G24020.1 pep chromosome:ALNU02000000:1:24389865:24395441:1 gene:OGLUM01G24020 transcript:OGLUM01G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSAQEEPLQPGTMQFRKQLAAAVRSISWTYAIFWSISTTRPGSRLSSSSCREASN >OGLUM01G24030.1 pep chromosome:ALNU02000000:1:24395586:24397721:1 gene:OGLUM01G24030 transcript:OGLUM01G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSLGPLIRRWLLEGGGGDGLARGRRQRRRREGALPSARSGGKGVSGSRLGYTWAARQPVTKKPKQAQAETIQGQQQLTGEEGNRTELAIGGFGHGGGGDQSRLVTGNLLMEVLGRQPCKREEPLGWEGVHESSAHHLFDTMPSPLEMFEEDILLVMNEEKVTQDEAVHLLQKELRDAQCRMDEKLDCLLEMFGLMGDKRSKEFVEFSTSTRELIPITEAVASPPYQESPSSAPTKCSTTCSDDGVTCLVASPSYIKEEEPILATALELGDRENKAHAHYIYNCDSTKVMLTKCSTVGLDVKGGIGQAEVMF >OGLUM01G24030.2 pep chromosome:ALNU02000000:1:24397725:24399721:1 gene:OGLUM01G24030 transcript:OGLUM01G24030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAPKVVPTSKDPKLCLGDHHCCSWPRAHLLQPWPPPTEAKWFRLIVGKQSSLVNPLKIICVLLVPLVWDPSDGRVYLHKILTLMDDWLPPYYFHWRYILWRNELIFNVGVKDELSFLVNLIAATSKEVFYVVGEPEYLLLGLSIAEMETKDSCYLSWSYLFLARELVVKLNSTRQGGSEIISFKENHVDKLNLIGMPLNVLGHPRGQILLDKLLEMAKINDYLKPYAWKFQGEENLDDIYSDALKKIPDLALHSSGAQGLILDNTSYCLLPTNANVIQGEECMYSQQNTDNYGIKYGNQLPFLLNQVAETHLVDQIIERHTYSLQYTKLIATVAWQVLTELSIHPQFLSSGPRLVHASEEVHEVILVVEWNTFSVVLSRFSMAGLLESNPGRDNHMVVMTGIYAWLIMLCKAWLSDAPTHIGMVAVLEHQVLQEELQTSLDPGGFLHRLRDKPNFKKRGLLGSRLGYTWAARQPVAKKPKQAQAETIQGQQQLTGEEGNRTELAIGGFGHSGGGDQVWAGTLSISLPHCSFLPATTPFLSPSIEPSRSL >OGLUM01G24040.1 pep chromosome:ALNU02000000:1:24417485:24421162:1 gene:OGLUM01G24040 transcript:OGLUM01G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAAGSSIKNHVMSERRRREKLNEMFLILKSLVPSIDKELERRVQELESGKKVSRPPKRKPCSETIIGGGGGSGAGAVKEHHHWVLSESQEGTPSDVRVIIMDKDELHLEVHCRWKELMMTRLFDAIKSLRLDILSVQSSVPDGLLGLKIRAKYASSAAVAPAMISETLRTAVAAKC >OGLUM01G24050.1 pep chromosome:ALNU02000000:1:24432072:24435390:-1 gene:OGLUM01G24050 transcript:OGLUM01G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRLVAAAAIVFVVLVLAGAGAAGAADAGEVRALLDLAAGLDPTGRLLPSWAPGRDPCGFEGVACDGATGAVANVSLQGKGLAGTLPPAVAGLTALTGLYLHYNRLTGALPRELAALSRLTDLYLNVNNFSGPIPPEIAAMPSLQVVQLCYNQLTGGVPTQLGLLKRLTVLELQSNHLSGAIPASLGDLPQLVRLDLSFNNLFGSIPVRLALLPRLLALDVRNNTLTGSVPSELAKLQGGFQYANNTDLCGTGLPALRPCTPADLISPDMPQPFSAGISPQITPGSSDGHGHCSGTHCPPSTKALAAVVVVAVILLAVTGAGLFAFSWYRWRKQRVIAGSPVAVGGRCSTDAAGKDSFRKSASSTLVSLEYSNGWDPLADGRGGIGFSQEVAQSFRFNMEDVESATQYFSELNILGKNGNFAATYRGTLRDGTSVVVKRLGKTCCKQEEAEFLKGLKLLAELQHENIVGLRGFCCSRARGECFLVYDFVPNGSLSQFLDIDIDDVARSNGHVLEWSTRISIIRGIAKGIEYLHSTRANKPPLVHQNISADKVLVDYTYRPLISGSGLHKLLVDDLVFSTLKASAAMGYLAPEYTTTGRFSEKSDVYAFGVIVFQILTGKSKIMQLPLESSNDEDLIDGNLRGCYSAAEAAKLAKIASACTSENPDHRPTMEELIQELCTF >OGLUM01G24060.1 pep chromosome:ALNU02000000:1:24458274:24463233:-1 gene:OGLUM01G24060 transcript:OGLUM01G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) TAIR;Acc:AT2G45280] MEIADLPIATSHRANLLAAGYSSLAALSTASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGVQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGYLDKSPSLPVASAPYAVTVKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >OGLUM01G24060.2 pep chromosome:ALNU02000000:1:24458274:24463233:-1 gene:OGLUM01G24060 transcript:OGLUM01G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAS associated with diabetes protein 51C [Source:Projected from Arabidopsis thaliana (AT2G45280) TAIR;Acc:AT2G45280] MEIADLPIATSHRANLLAAGYSSLAALSTASPPRLARDLSIEVHEAEEILKVAVGANKSKGADGPSTSSVLKGVQNAWDMLSDEQSRRHINTGSADLNNILGGGIHCKEVTEIVTGLINTSSLIQVVSQESVKLNWGMLYTILNANSDEDFTTKDLTFVRIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEYFPHCHDKAPAGQEKLKPESFLADIYYFRICSYTEQIAVINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDMALRTRVLSGLSLKLMKLSKAYNLAVVLLNQVTTKFTEGSFQLTLALGDSWSHSCTNRLILYWNGNERYGYLDKSPSLPVASAPYAVTVKGVRDAVNSNSKRVRFLVMPSCVNWVLVLEQ >OGLUM01G24070.1 pep chromosome:ALNU02000000:1:24470389:24474284:-1 gene:OGLUM01G24070 transcript:OGLUM01G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDTDKLQSLQINPNKETNLVAVFKNDYVGDEDDEDMDPQVTLGFIEEPEGPEDWHLLLPQHFPNKAGGVPAWLDPVNLPSGKSRCCDFCGEPLRFVLQVVFHLYDKLQVYAPIQCKETAYHRTLFVFMCPSMACLLLDQHEQGKDRAGEKVCSRCRKSSYCSKKHQELHWRAKHKNECHQISDSHNASAIMPDAGKVFAGNIWPEYMVVDETEKVSCFASCENRSELLMEQGQSEEDDMTASLMDQFEVDDDNRCWASFLERISREQDQVLRYCRESTAKPLWAVYSGSLTNAAMPSCIYCNGPLCYEFQIMPQLLHYFHVENEPDSLDWATIIVYTCKGSCDQNVSYMEEFVWVQLSPATTRTNQSTCPPAGL >OGLUM01G24080.1 pep chromosome:ALNU02000000:1:24476714:24478627:1 gene:OGLUM01G24080 transcript:OGLUM01G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVIAECRPKPLFTTGPFLSAVGGGGGGVDRISGLPDDLLFVILSKLPVRDAVATSALSPRWKSLWSSVSLRLDDAGLLHRRDGTRLGREGVAATVSAVLAAHPGPVPAASVGCCLSSDDQGYQLGGWLRALAAKGVRQLCLMGAPWSPRAALPSAVFSCSSLRRLFLGSVQCNWDLIPDHACFPELREIQICNALMKSQDLSLVLAVCPALETVEILASRNKNPHRANDHTIRNTLLWKSVAKEVNVLDTPCLSRVVLWQDLLLPHSRYNSKVTISRATKMRIFGYLDTGINTLVINETTVKVNTNISFKTHIPSVKVLGLYVHFGVRKEALMSISFLRCFPEVETLHITSKTDKASEAEQFSFWGKVDPVECVTSHLKKLVFHGMPWCPGNFEFLKFIVEGAYLLEKVLIVLPKGTYTSMHSVITKLKLAPLTSASWASHICKMEVVQSSQGTLSYQRASDRSVDDPLDYSL >OGLUM01G24090.1 pep chromosome:ALNU02000000:1:24480970:24483641:1 gene:OGLUM01G24090 transcript:OGLUM01G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGHIFKRQHIMNYMGSSLKGCPVIGCPGAVSNDLVFEDAELRHDIKNVQEVWFGYRSNRLQFCNARQTSAVFCFSGRLSFTFGLNKAFSG >OGLUM01G24100.1 pep chromosome:ALNU02000000:1:24498174:24499629:1 gene:OGLUM01G24100 transcript:OGLUM01G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGAGSSVTTAALAPAATDPAGGCRPAAIRLSSSSVVLLPVLSGGAAPAPLILGVACGVGFRRSVSLFVGRVSRSCLSCKLGNDDPQQMSRQCDEGLEVSAPLEDVGWFWRPRAFCCLKLLAFGKLGNDDLPKRLSVVKKERRHAISQQHKPLRKEPSTRSATAKHCLLSLGLNLRLEPDCLPSYLWWPHHPSTQLPPNTITYTWSPHGPQTNTPLLTNPCSTMGFKESPLMLLPSVSNPHWPSDHHIHPHHGAPRLDRETDWTYDGRSSKDKVGTQP >OGLUM01G24110.1 pep chromosome:ALNU02000000:1:24502528:24504785:-1 gene:OGLUM01G24110 transcript:OGLUM01G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYGQQPTGDRLESATLVQSLESTKDTIVRRITESAATVDSADVQAAAAKLTAAVEYRFDVAKADVDRIMAGRDQALVLIDRATPTWIGADATSWISATAVHGGGAARRITATTWQDAARRTRTATERQDMARRSTAAAVLGGGCGLAAVPGGGCGSGGSPQLRLRGKGAARRTMAATRQDAVRRSTAAAVRQGAARWSTAVVARQGSARMSTAARLSQDVARGP >OGLUM01G24120.1 pep chromosome:ALNU02000000:1:24539949:24543954:1 gene:OGLUM01G24120 transcript:OGLUM01G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNHHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >OGLUM01G24120.2 pep chromosome:ALNU02000000:1:24539949:24543919:1 gene:OGLUM01G24120 transcript:OGLUM01G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNHHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >OGLUM01G24120.3 pep chromosome:ALNU02000000:1:24539949:24543095:1 gene:OGLUM01G24120 transcript:OGLUM01G24120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESGYGFRFSDDDVESASSLTVGERLCAAFLPFVAIAEAVFFALTDCLADLLPPSTATAASRHRRSAASSYLAAVAKKWNHHQNQQRGRVGIGCTSLTLRQLARLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPLEDKINFAFRLYDLRQTGFIEREEVMQMVIAILTESDMKLSEELLEAIIDKTFEDADADRDGKINQQEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFVFNTAVED >OGLUM01G24130.1 pep chromosome:ALNU02000000:1:24549732:24552216:1 gene:OGLUM01G24130 transcript:OGLUM01G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGKPDTASPPEAPSAKKGVFMRRIFPFLLAANIFIGVYVFAKTYKRDQEKKNAQSAAAAAAVAALSSPAAPAAETVDPTPTPPPKRVLPPIPEDEQRQVYKWMLEEKRKIKPRNAAEKNKINEEKVLLKEFIRAESLPRL >OGLUM01G24140.1 pep chromosome:ALNU02000000:1:24552365:24555060:-1 gene:OGLUM01G24140 transcript:OGLUM01G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLSSSSQDSSVPSAQEERVVVTNKHGEKLIGLLQHMGSNKIVVICHGFTASKNDSIIVDLANALTKKGVGIFRFDFSGNGESEGEFQYGNYRKEADDLHSVISHLNQEKYDVKAIVGHSKGGDVVVLYASIYDDVRTVVNLSGRFHLEKGIEERLGKEFMNIIDKEGYIDVKTNSGKVLYKVTKESLMERLTTDMHKACLSISKECRFFTVHGSADEIIPVEDAYEFAKHIPNHKLHVIEGANHCYTAHRKELSDAVVDFITSSEDGDNSSA >OGLUM01G24150.1 pep chromosome:ALNU02000000:1:24556811:24557047:-1 gene:OGLUM01G24150 transcript:OGLUM01G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDKDGAATGTPGVGGHQCRAAPNPGGFAGEKESDLDAEDSGGGSGADDERPPGEPPAGEEADPRRGLVKSSIGDRD >OGLUM01G24160.1 pep chromosome:ALNU02000000:1:24557779:24560125:-1 gene:OGLUM01G24160 transcript:OGLUM01G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPLSSEPHALEQRAVVVTNKHGEKLVGVLHHTGSSKIVVLCHGFISTKNDSLILDLTAALTKKGISVFRFDFSGNGESEGEFEYGNYRKEADDLHSVVSYLCKEKYDVTAIVGHSKGGDVVTLYASIYDDVRLVINVSGRFDLEKGIEERIGEGSIDRINKEGYLDVKDKSGNVQYRVTKESLMERLSTDIRAVSMSLTKECRFFTVHGSADETIPVEDAYEFAKHIPNHKLQVIEGANHNYTAHREELADAVVDFITSY >OGLUM01G24170.1 pep chromosome:ALNU02000000:1:24564790:24570819:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.10 pep chromosome:ALNU02000000:1:24564837:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.11 pep chromosome:ALNU02000000:1:24567703:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MFFMCVCFWVNLVAFYLCLVNFSFQDSFTSVMCMCTFSLCQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.2 pep chromosome:ALNU02000000:1:24564790:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.3 pep chromosome:ALNU02000000:1:24564790:24570819:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.4 pep chromosome:ALNU02000000:1:24564790:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKRQTIRQNKKGTDHSMANLCADILNLIKSCQIVIKLPLCPVMEGSYCHEFSSKHTVSPLALSINCSRQVLLIAFNLSATSVVNVRSPPVVLKQHFAS >OGLUM01G24170.5 pep chromosome:ALNU02000000:1:24564790:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.6 pep chromosome:ALNU02000000:1:24564790:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.7 pep chromosome:ALNU02000000:1:24564837:24570819:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.8 pep chromosome:ALNU02000000:1:24564837:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMAMAPRLLQSVFASFGDLYLYKLSKLIFNNHVAQWALFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24170.9 pep chromosome:ALNU02000000:1:24564790:24570848:1 gene:OGLUM01G24170 transcript:OGLUM01G24170.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAW7] MGRRAQTIGRTYALLLDSGDGDKLFLLLLLRDSGDAATATATAAPPPVVLPLPIASLGLAASLQMSHRRRSNAGDPPPPEGTGGSSSAEASSTGEENPDLPWAWALGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPFIFAALYKILSLLHLDSPWFMLFSQLVNWFMFFCITRTLSNSMETVLTVTGLYYWFVAIESVKGNSVVSKQQAASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQMKSKSRFIFLEVVPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGILKSREWRLAGLIVWVLVVYSILGHKEFRFVLPVLPFMFMFSGHNLAAMAQLKGKGHNEKGRLSRLKLSVILLILTNVPMALYMSLYHQRGTEDAMLYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPADFVGEVFGNLSSFSHIVLFESEERHVKLLLRNSFQEVRRFFHSHFKVDRDLQSSVVVYSQKSVL >OGLUM01G24180.1 pep chromosome:ALNU02000000:1:24581856:24588550:1 gene:OGLUM01G24180 transcript:OGLUM01G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YAX3] MAASAVAVAFVMAVAAAAASAAVTYDRKAVVMWPDLIEKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVNLRIGPYVCAEWNFGGFPVWLKYAEMQKFTTKIVEMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKQLHKAIKLCEPALVAGDPIVTSLGNAQKSSVFRSSTGACAAFLENKDKVSYARVAFNGMHYDLPPWSISILPDCKTTVFNTARVGSQISQMKMEWAGGFAWQSYNEEINSFGEDPFTTVGLLEQINVTRDNTDYLCVDVAQDEQFLSHGENPKLTVMSAGHALHIFINGQLSGTVYGSVDDPKLTYTGNVKLWAGSNTISCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGESMSLHSLSGSSTVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGNCGTCDYRGEYDETKCQTNCGDSSQRWYHVPRSWLSPTGNLLVIFEEWGGDPTGISMVKRSIGSVCADVSEWQPSMKNWHTKDYEKAKVHLQCDNGQKITEIKFASFGTPQGSCGSYSEGGCHAHKSYDIFWKNCVGQERCGVSVVPEIFGGDPCPGTMKRAVVEAICG >OGLUM01G24190.1 pep chromosome:ALNU02000000:1:24588039:24588725:-1 gene:OGLUM01G24190 transcript:OGLUM01G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLYNYPLLGFLVHCGGRRWCRGGDWQWAPGGGRRVGDSAWVQWRWQASPAATRQLLSLVAPSPQLSRSAVHPAADSASPRTGRKSN >OGLUM01G24200.1 pep chromosome:ALNU02000000:1:24588835:24589086:-1 gene:OGLUM01G24200 transcript:OGLUM01G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSPTRRSSPLRAHRPVAADAKGGGGASGLGEKGGEEQVGERQGPAPELEPMQRGARADAEDRESTNGREIPSSPARLSL >OGLUM01G24210.1 pep chromosome:ALNU02000000:1:24595789:24599127:-1 gene:OGLUM01G24210 transcript:OGLUM01G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKIRPGTQEFYKNFTCNSSTIVGTRNMKICGLQTRFHLHHRACIEPKAGSTYTHVYYSEQQREGMEQTLDIPRSLRLPTPKAQQQMDEFWRDRQKEIETTKDFSEHAIPMARLKKIVSSQKGNMMMTFDMPAFLSKMCELFVQELAVRAWASAQSHNRCIILDTDIAKAIASTESYDFLVDILRNHRVKHKSSPCSTLTTKRCRLVDQPSTSHMPYQHQLPQFAPTYTLAIPITPSLMPPISHYIPFQYPSLSQEVSPMMASAPIVNRSMLLIHNIARGLGLQGNNISTFANNNIPDNIIGCSSPAVLASMMSPALLEVAGTSLNPPNSHSICMMNMINSSDPSGSSIGDINVANQASLAPSGHFNPAILRESSCPSFLHSNNNDTIVAIPEGVDISGTMDVANDVAAIVINGQEEHERETNVEHHQQNEIYESIDIGIINASVADGNKCSISWDELGMADDSLLDKFLEEFQVRNDSVLRSGIELHEDPFLGNVMLENPSTSNANK >OGLUM01G24220.1 pep chromosome:ALNU02000000:1:24608121:24610499:1 gene:OGLUM01G24220 transcript:OGLUM01G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVIDLKGLAGGDEERERTMAQLHEACKDWGFFWVENHGVEAALMEEVKSFVYRHYDEHLEKKFYASDLAKNLHLNKDDGDVLVDGGDLADQADWEATYFIQHRPKNTAADFPDIPPAARESLDAYIAQAVSLAELLAGCISTNLGLAGAAGVVDAFAPPFVGTKFAMYPPCPRPDLVWGLRAHTDAGGIILLLQDDAVGGLEFHRGGREWVPVGPTRRGRLFVNIGDQVEVLSGGAYKSVVHRVAAGAEGRRLSVATFYNPGPDAMIAPATAAAPYPGPYRYGDYLDYYQGTKFGDKAARFQAVKKLFS >OGLUM01G24230.1 pep chromosome:ALNU02000000:1:24610801:24611148:-1 gene:OGLUM01G24230 transcript:OGLUM01G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDGGVRLHPLLHLPHVPPRRRPGLVGLLRRVVGVFLCCAGASRRGASRVGGAVGEQVEKASAEHAAEMERLISELSLFTLVVLPKSSRARCRHPLLLRAVSGEEGDCRRRTEG >OGLUM01G24240.1 pep chromosome:ALNU02000000:1:24611119:24611653:1 gene:OGLUM01G24240 transcript:OGLUM01G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDATISSHVLHLRRRPPSAPSSPATAAESQPKTTSIPPLRGKKWTTLNMMTVNDQVVLD >OGLUM01G24250.1 pep chromosome:ALNU02000000:1:24613348:24619254:-1 gene:OGLUM01G24250 transcript:OGLUM01G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPVPQGPWWSWGIGEQCKWWLERMEDGEKSNGGGDGGLRFSLQFDPGKLGLDRALLGLPVSALLGHLLGWSSQGASMAVAEGGGEGETAAGVAALASAFAVYLVATYASDHRRQPQQPRRPLRLRKRDLSPLNSSARPRALPTPDDGLRILSSNEECLETVIHGASVGAGDDEPEIVARVVMPPAADDDMAAGANAGGGGGGGDRSEKKEREEEEDKEVERLKDLWLSLMEREQRLQLRQAELDELREQDATARELDRRAAAAAAVEARMLELKAASLREENRRLEEARASELDAVRGKLARAREKLAELRARVEREREEAAREAAALRARASALERSGAEREVAAAAEAAALRDRVAGMEKDGAEREGALAAEAEAARRRMAELEKNVEEREAAMAAEAAALRAANAGLEEENMELALRVQEAEQTASTVNLVIEEDVVKEANYLRETNERLTRQIEQLHADHCAHVEELVYLKWVNACLRYELRTHDGDDGAGGAGAGRISARDLSKSMSFRSSEKAKELMLKYGTHGLDGFDPSIFSPLHESVYGDGDGDDFEQRRPNGDVVVDEAPRSPSTAVAMAAAAAGAESPSRRGNKLKFLGNIKKLLPTSKKGHGRGDRRSSRKQSAAAEAEPPRDEHLEKALQWLSSHDVLDDDDSYESTPLSSCERTPLSSINRNLLHMRDGI >OGLUM01G24260.1 pep chromosome:ALNU02000000:1:24640802:24648530:1 gene:OGLUM01G24260 transcript:OGLUM01G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGPLSPDEHRATSPPGIMHHQPAMTIVVAVDRDRNSQLAVKWVVDHLLTGASNIILLHIAVHPPAANHGFAMAEATHGALEAEMREIFVPFRGFCTRNGVHVSELVLEEADVSKALIEFITVNKIQSIALGASNRNAFTKKFKNADVPSSLMKGAPDYCNIYVVAKGKSVNVRLAKCGVDGGCGGGGGYEGDSSIRSLYTRRCSRGKLPPATPSPDSSRRSVDSRTLPELTTRPPFRERSLPSSSSKPVVLSSRAAPDCGGGGVDGSYRSTRRSVSNESFVGDLDFGQSSRFSSMDFCDSLDMSSLSASPRESSSPLSAPQREVEVEMRRLRLELKQTMDMYNAACREAINAKQRTKELQLLKLEEARRLEEARHAEEAALAMAEMEKTKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAISSHDFRYRRYNIDDIELATERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAQQGRKQFQQEVEVLSCIRHPNMVLLLGACPDYGCLVYEYMDNGSLEDRLFRRGGTPPIPWSQRFRISAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVLTARPPMGLTHHVEKAIDAGTFAQMLDVTVKDWPVDDAIGFAKLALKCTEMRRRDRPDLATVILPELNRLRNLGHAYEARMSAAAADAAAHAQDNVGSPTVVGASWRTAES >OGLUM01G24260.2 pep chromosome:ALNU02000000:1:24640910:24641464:1 gene:OGLUM01G24260 transcript:OGLUM01G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGGATVSAPFGCCRAAWGAAAAEGRSRRVVPRAVEPRRRGRWMVRCVATEKHKDAAAARLGGVEVEFADEEDYVKGGGGELLYVQMQASKSMDSQSKISSKVEI >OGLUM01G24260.3 pep chromosome:ALNU02000000:1:24640756:24641555:1 gene:OGLUM01G24260 transcript:OGLUM01G24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding LPPKANHILASWGPAQSPPATCPFPDTASLQTRSLSPSPSSYNGRSSSSQQQTQRPVAFAAAAAAATTSSSSPSSPATHSSSSSSDGAEPSDGVLRRRDRVGAVRLLPCGVGRGGGGGKEQEGCAARGGAAAARAVDGEVRGDGEAQGRGGGAARRRGGGVRRRGGLRQGRRRRAALRANAGVQVHGQPVQDLLQGRDLALYKTHNPPCCHGCRLQERIKHEIHQQYE >OGLUM01G24270.1 pep chromosome:ALNU02000000:1:24650039:24651672:1 gene:OGLUM01G24270 transcript:OGLUM01G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTFSGKQRMMTSIAWQRCVVYGLRRLDDVPGNSDEMACDVAGAGDALRAPSSGTPAGVLLEQPADEFEEDGNVDESERTSVMSRRGGCDREGDNVQRLGDITRRRGIEVAAGEARTSRQQVVVSPSTDVSDEREGRRPRCRGCSAERSRAKNRSPKS >OGLUM01G24280.1 pep chromosome:ALNU02000000:1:24668469:24669182:-1 gene:OGLUM01G24280 transcript:OGLUM01G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHGKVKNRREEEGSAAVQEKGKTRVERNLIKVKVKLGRLLSMDGDRSRRSAAAITRPPSHGGPAWRRMIAWIQLLKVFVQRYGEVARWRTAFLLFLALLAERGLRRAYLSWKESRLRPRAAAAVTLQA >OGLUM01G24290.1 pep chromosome:ALNU02000000:1:24676168:24677283:-1 gene:OGLUM01G24290 transcript:OGLUM01G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLSVVASDIISRLISSLITKYSNQSTADHKLERLQWLLLRARTIVEEAHGRQISNQGMLLQLRQLMQSMYQGYYILNAFQEQHITGKRRRSSSSLKLKKLQAAMEDLEAAIDDSKKEFVVFLLGCPCLPQRPYDTHLFREKCMFGRHEEKELIREFLLQPCDSPLRVLPIIRPREVGKNTLIEHVCNEESVREHFSRVVRFKSDDLNNEENQESFFKTSELVASSTMSLVVVELVNDDISDETWRGFCSSIANGCSKMIVISTSETISRLGTTQALKLKRLNRHEFWYFFRTIAFGTADPEEHPELLRIARRIATQIKGASIAANIFARLLRANLNVKFWSYTWRFIKKAVELQLLACGEHSWELGQC >OGLUM01G24300.1 pep chromosome:ALNU02000000:1:24678839:24684020:-1 gene:OGLUM01G24300 transcript:OGLUM01G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRESCTPDARGTPWKGRLRSHHPALPTSPSLLPSSSAKNQKKKREQEAQSFKRRAAPKKAKDTGKCGSRSQDAAEKPLLPAPPRRSPRLAGNPPALESMVRGKQSAIVPFRRSLQLRHNQNSQNAFSMDQNHESSSRRSQKNTVVKLSMRMVSHKDSQKIFCQDSQGIPPRIRVPDLSCKKTQKEELNSNCCEKLARKRKRGTEERMSSSKRQSHKDPKSLSLKCQESTPTNKPRNTSHKKGENNSSSMPQPKFCDGRLMNAERNNKELNGSERRETQCGLNNWTEEQDMALRKAYFTARPSPNFWKKVSKMVPGKSAEECLSRVHADLSTPTPIAPRPRTSKMKFSPLGHFTLSDPKHPNVLEPSFRRRTAKQKSLAAQKTVRHLLKKQCLTDQTQEADHFSIFETSPTVLPVEFSFEDSPGTPNSSGKKLLARLETVKNVGINPAEPSPAVLKPIKNVILHEKYVDRLSRREGTTRPRKKAAGSKALDSVKTQQAGGVKAARNALITEATDFISHFKKMQANPLAHVVEDDEDDEIDGSNI >OGLUM01G24310.1 pep chromosome:ALNU02000000:1:24697055:24697843:-1 gene:OGLUM01G24310 transcript:OGLUM01G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGHHGQVATYILYQLHLRAHTIIEEVDGRYASNQTNQGMLWQLKNVVYEGHHVLDTFRQHPEERKTFNLNKIHQTIESL >OGLUM01G24320.1 pep chromosome:ALNU02000000:1:24698684:24699973:-1 gene:OGLUM01G24320 transcript:OGLUM01G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMIRLHRLLLRAFTIIEEVDEVLPIIGPQEIGKKTLVEHVLNEEMVKRKFSCIICLNSDGLRNLLGDGSPIEQNNLIYSNGKCLIVVELQHDNDLIAWRRFQSSLSMIKFVSKVILISSVQGVSRLGTTQALRLKKMRKDEFWYFFKTLSFGSTNPDEHKELIPIAMKIAVLINRDFIGAHVFSRLLRTNRNAQFWRRMLLFLNKVIECNLHVFGEHVSDSVGSNRPYYVLSNRDDAPHIWCTSSNTIPGHLVDWGSTIITMESIMSESVALPTQGNFQVIRWQSPIAPYYSYISNCEIRKVSQVVSEEKCPKRNRRL >OGLUM01G24330.1 pep chromosome:ALNU02000000:1:24699980:24710851:-1 gene:OGLUM01G24330 transcript:OGLUM01G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFSALASEIASRTISFIVAKYQKQTTMDKTIRLHQLLLRARTIIEEADGRHISNQAMLLQLRQLRNAMYEGHYVLDTFKGQTEVNKPFNNLSKIQVSIESLESTIGDLKEFVVFLMDCPRFLREPYNTYLFMERCMFGRHVEKDRIIDFLMQPSSLSLEILPVIGPREIGKRTLVEHVLNKEMVQKHFSHIIRLSSDDLNNIENDSTSKGHNLISFTERSLLVVELEHEADLVAWGRFRSSLSKINIMIKVLLISCVQKVSTSGTTGALKLKRMRSDEFWNFFRTLCFGSENPYEHRLLLSMAMKMAKLAKGDFVCANIVSRLLRANFSTEFWSHMLDRMIKGQRLHFHLFGEHANDRVGKNRSHIVFDIADGTVILANKIYTSTTSLEDTGVPKITVENLLNKTAIIPTEGNFEVLRWQSPIAPYYSYLGNCVNKKASQVVPKERYLKRKRKIRYQIHCGAVFSRVNTGLRVLGMGSLATSTSTAIYEKRKRSKIAYTYSIDYIRCSILRVSTIIEEADSRYISNQGRVLQLRRLREVVYEGHHMLDTFKGHTMVSHSFNLKKIQVTVGSLESTIGDMKEFVVFLMDCPRILRQPYITYLFMERCMFGRHKEKAHIISFLMQPSNSTLEVLPVIGPREIGKKTLVAHVCNEEMVKKHFSCIIRLSGNDLNSLENGNAMKRHNLMSFSERCLLVVELEHDTDLVAWKRLYSLFSKINHLNKVILISCLQKVSRLGTTPTLQLKEMHPDEFWYFFRTLSFGSENPDEHQVLLPIAIKIATLMSGDFVCANVLSRLLRANLSAEFWSHILRFLNKSVQWHFHVFGEHPLYCLRKGLSYYISNYNDGTFILCSSSYSTTRNLVECGTRKIASEDISLKGVAAPSEGSFELVNGGLQYPHTTAIYRVISFLGAKYRK >OGLUM01G24340.1 pep chromosome:ALNU02000000:1:24712731:24717022:-1 gene:OGLUM01G24340 transcript:OGLUM01G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G33060) TAIR;Acc:AT4G33060] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKNKTVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >OGLUM01G24340.2 pep chromosome:ALNU02000000:1:24712733:24717022:-1 gene:OGLUM01G24340 transcript:OGLUM01G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G33060) TAIR;Acc:AT4G33060] MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAVRNFVQLCLEGYYDGTLFHRVIKSFLVQGGDPTGSGTGGESIYGAPFADEFHTRLRFNHRGLVACANAGTPHSNGSQFFISLDRCDWLDKKNTIFGKVTGDSIFNLLALADIETDKDDRPVYPQKILSVEVLWNPFDDIVPRQLKKTEPTAKGDIEGKSKKKAVKQLNVLSFGDEVEEEENEAASSVKDKIKSIHDVLDDPRFLKGEAPDEQLTKEQEDKKNKTVQSVREALVSKKSDFRELEHDSETDDYPDDENEEDFDNRMRSQILRKRRELGDIRSSETSKKTDKAHRKDKELPVHRSDDDNDDDNEDHQLTKSRKFSMKKKGIGSEASAERMSKGDANLQLLNPAEQEKHLQKQKRRRLQGREDETLAKLQKFKASFLSKNPATGNTEKKTDEEDYTGWHSNRLTFEPDSSKDGMTRKDDPDDYVVVDPLLEKGKQKFNKMQAKLKRREREWAGRSLT >OGLUM01G24350.1 pep chromosome:ALNU02000000:1:24725729:24754955:1 gene:OGLUM01G24350 transcript:OGLUM01G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSGARPSSGGGGGDDDYAFYYSFFQDAAAAAASPLGLDDAAAMTNGGRKRKRGGGGDGADGGAPAASRKDGPGGDGEGGNGRKRSIAKILTSLAALEAEDHSDRAGAADASRRELALLESNADHKSQAMMDYYAKMEGSFDAAAESDAAARSKRSRLAASAATAAVVATEEGAAETASASASPSRASGGGGAGHHQRRLWVKDRSRAWWDKCNSPDYPEEEFRRAFRMGRETFDMICEALGSAASAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGGAAMVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHKSICGRRPEMEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRKRLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDEEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEITIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >OGLUM01G24350.2 pep chromosome:ALNU02000000:1:24737254:24754955:1 gene:OGLUM01G24350 transcript:OGLUM01G24350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGLTLIAAAAADPIAAVVAGAMDGVVTVPPVRTASAVEDDAVAPGRGEEGGEASAVGSPCSVTSDCSSVASADFEGVGLGFFGAAADGGAAMVFEDSAASAATVEAEARVAAGARSVFAVECVPLWGHKSICGRRPEMEDAVVAVSRFFDIPLWMLTGNSVVDGLDPMSFRLPAHFFGVYDGHGGAQVANYCRKRLHAALVEELSRIEGSVSGANLGSVEFKKKWEQAFVDCFSRVDEEVGGNASRGEAVAPETVGSTAVVAVICSSHIIVANCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEITIVPRAKDDECLVLASDGLWDVMSNEEVCDVARKRILLWHKKNGTNPASAPRSGDSSDPAAEAAAECLSKLALQKGSKDNISVIVVDLKAHRKFKSKS >OGLUM01G24350.3 pep chromosome:ALNU02000000:1:24725729:24737123:1 gene:OGLUM01G24350 transcript:OGLUM01G24350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSGARPSSGGGGGDDDYAFYYSFFQDAAAAAASPLGLDDAAAMTNGGRKRKRGGGGDGADGGAPAASRKDGPGGDGEGGNGRKRSIAKILTSLAALEAEDHSDRAGAADASRRELALLESNADHKSQAMMDYYAKMEGSFDAAAESDAAARSKRSRLAASAATAAVVATEEGAAETASASASPSRASGGGGAGHHQRRLWVKDRSRAWWDKCNSPDYPEEEFRRAFRMGRETFDMICEALGSAISVAAYFNRRHTERNQKTSYSITLQGVVGPDGAFTDVCIGWPGSMPDDQVLEKSMLHQRAAAGMMHSACLVGGASYPLMDWVLVPYTHQNLTWTQHAFNEKVGDLRRVAVDAFARLKARWACLQKRTEVKLQDLPVVLGACCVLHNICETRGEELEPELRFELVDDETSPETPVRSEAAKRARDNIAHNLLHRGFAGTTFF >OGLUM01G24360.1 pep chromosome:ALNU02000000:1:24755485:24758767:-1 gene:OGLUM01G24360 transcript:OGLUM01G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDDLKKPKLAGHFRSCSAYRLSCIDCGEFFTQETVQGHTQCISEAEKYGPKGQNKASNNAQGKQDKPKPNADVDINVGLSTYPPWFCSLCKTTTTSKQTLLSHADGKKHRAKAKAYHASQKQANGVEQTPKETVGAPVTESAQVNNERSTENERGVDNDAAKRKRANDTTSEEPDNTKRPNNLSVNSGEVVQSSNEEAETKAKSKGWNRQLLYLPGGQPQGSSYRGFAFPSSSVLPSR >OGLUM01G24370.1 pep chromosome:ALNU02000000:1:24764266:24766851:-1 gene:OGLUM01G24370 transcript:OGLUM01G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQCEDCGDDDLKKPKLAGHFRSCAQPTGFALPSPLSVASLSCIDCGESFSQETVQGHAQCISEAEKYGPPKGQNKASMEIFPSCAGNHLASAATSRQAEGEDRISPLPDDILLLILQELGSTRGGDQDVQPVEAVAFIPRGVELWMVDKRALDRVAGRFCRAVSRFLAISDATRWLKLIIPKLTRLSVDSWFPDGAPIWIELEDPRPLQGSFSKLTVLNLGDGQYELLWMIFFLQAAPFLQNFNLSFKTS >OGLUM01G24380.1 pep chromosome:ALNU02000000:1:24771834:24773108:-1 gene:OGLUM01G24380 transcript:OGLUM01G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYAVLARGMARELVVPHDLCAVVSWATTLVHARLGPRPADRRTAIINRVDEDGRHDSCFADAHAYLATKIDPRALGRFRLSGVGGGGERGRRNSLSMVPATRCPTSSRAWSSGGLPSPRKAARRRERELKIYMNESWSWRGIVHHHPATFDTVAMDPGLKRSIVADLDRGRSTTALPIGRAWKRGYLLYGPPGTGKSSLVAAIANHLRFNLYDLDLFQVHDNSVLHPRRRGHRLLLHLQVEGRRWQRVQHDLSDKIQLPHPTNNTIFLIEQQSLTLSGLLNFIDGLWSTSGEERVVVFTLHHQLQGTPRRGAAPAGADGHACSPAWTPRRPRCRRCCCAASDDVDAALRALVEFLQEKKRAMCRSVHQEQSSLKVQSLR >OGLUM01G24390.1 pep chromosome:ALNU02000000:1:24775622:24780674:1 gene:OGLUM01G24390 transcript:OGLUM01G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMASAATGGDEPPPEPHHQAGVQRPPKNDGDGGEEVGGGNAGVPAAAGDEDPTAGAVGAAGRGSRRRKKAGRRAAALDEEDLDTILAEIDQNLASAALDSTPKMNLAQASASTAVDVAAAVNDVGVEVKDDEMEAESAAAKRRKRKKEKEKERKTTSKVAEAESKKPPRHVREMLEVLAKRKEAEELRKREEELRKAEEERLQREEDKRMVEEMKMQQKERNKGKTMKKRQDVKTLTGKQKEEARRLDDMRRQFLGDHGNDGGANERKKRPIYDSKRKKVQSKADEAANGDGGHMEELHKVNKEEEECAIMEEQPHYRVEEDGEKIKLKEIKGVESVEVSKEDDEESWQYQWDDKMFSNDVNADVNLFERINFEERITKEEKNEAMKSSNEEVVSLVTGWKNRIEEWDVDVDENDKDTRKLTPKRYPAKVDKAEKYMDLRSPICYILGHVDTGKTKLLDCIRCTNVQRGEAGGITQQIGATFFPIENIRERTKELKAGAALHVPGFLVIDTPGHQSFSNLRTRGSSLCDIAILVVDIMRGIQAQTIEYLNILERHKADFIIVLNKVDRLFGWKRCPNAPIKKALKQQAEGVKMEFDARLTDIVMQFKMQGINTILYYRNKEIDCTYKNIVPTCAISGEGIPDLLLLLVQWAQKKMKERLIFSNNIECTVLEVKVTEGHGTTIDVVLANGFLREGDQIVTCGMQGPIVTHIRALLTPHPMKELRIKCPYQHHKEIKASQGIKISAPPGDDQEKSVNKAMAEMAVLMNRIDKNNDGVYVQASSLGSLEALIEFLKNPAVNIPVYDFNIGPVHRKDVMKASVMLEKKKEYAAIFAFDVKVMPDAHEIANESSVKIFVADVIYHIFDQFTTYIEGLREIEKDKKIVQAVFPCVLKIIPDCVFNLKDPIVLGVDVLEGVAKVGTPLCLPSKGFACIGKIASIQNSSKQVDVARKGEKVAIKITGSTPDEQKKCFGRNFGIDDELVSFMTRKSIDLLKENHRGDLTLKEWELVRTLKHIFCIP >OGLUM01G24400.1 pep chromosome:ALNU02000000:1:24781628:24783760:-1 gene:OGLUM01G24400 transcript:OGLUM01G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDVRATADTTEAQMRDGARRRLLLTGLVARPRGLLITKLRLERLWLTAADVAAVLGACARLVHLTLHGCRAGRGEGAALAIDGAPELRELVVRGCGYRRVELRRAPKLVRLTLESWSSTTTTAPLRLAAAPCLREISLVNSCTRRSSQRFRLSELLASATNLDCLSLNFRNEEIWIQPEDSNRFVAAFGRLTTLSLCRIFDECDLLWTLYLLKAAPSLRKFSIGVQKHSCQYGGSEVKQRQILFPEKRNMFWIDFNFQHYHLAQLEICGFEANDKYMIFTRLIMEQAKNLKVVILSDEKTCDECDFQDDGTSSTGSSYPKNKEEKRLIQKQLTEGISSPVRVLVL >OGLUM01G24410.1 pep chromosome:ALNU02000000:1:24783782:24784340:-1 gene:OGLUM01G24410 transcript:OGLUM01G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPMELLPRPSNQRVAIAGEDRISELPDDVLVSILEKLGNTRQAVATSVLSRRWLHLPWRIRRPFLSIFQFLPRSARAGGEDGLKNLQQRDLDRAIPPFVRAAMAFLASPTATHCLALRFLLTTRRTTSSSTPCATSFSPRARG >OGLUM01G24420.1 pep chromosome:ALNU02000000:1:24810468:24816632:1 gene:OGLUM01G24420 transcript:OGLUM01G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTNANAGAGGGHRQGVPRVPPSRSDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRRKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHTKRGIGLRGMLLRPPAPVRNPRKEKSGRAAPPDAVDLGKQDPSGVSPTSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMSLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLHIPGLLLIETPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKKHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKCAQGITISAPGLEYSIAGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGVYVQASSLGSLKAITEFLKSPAMNIPVCDFRLGPVLRKDVMKARFMVRKKTQYATILAFNVKVSPDAQKLASQDGVKIILADVIDHLFEQFRKYIFPRNEKTEEVVFPCVLKIIPDCVFNRKGPIVLGVDMLYGVAKVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKIVGSNQDEANNSFGRTFGLDDELVSYITKESIDVLKEHHREIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYVQKHSCYFDEELKMKPRGGYSFSQRRGVCPGKI >OGLUM01G24420.2 pep chromosome:ALNU02000000:1:24810468:24816632:1 gene:OGLUM01G24420 transcript:OGLUM01G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTNANAGAGGGHRQGVPRVPPSRSDAGREDGVAEDGSTGAPAATTVIPGCAAARAVGAAGSKWRRKGTSGREAPYEEDSGRVRSAFGLDAVLSYTPQVVMVFTENDNKGREDGTHTQGHNLSLTWPPPKDDIRGDGHTKRGIGLRGMLLRPPAPVRNPRKEKSGRAAPPDAVDLGKQDPSGVSPTSGVSSPALAPSCLSEDGNFHRENVEMQAERANGWRRKERKAAVEASEVEVKRPGNQKGHGLLDQSGDSVGNNHVLISSSNHERKKRPMYNSEAAKEKNRVQSSSNDRFHRLNNDKIKECSSAEKVPKLSDVNTKEKSEIDQLTKGAILANEDQYEWDDLFFDDGGSKFLTVENKCEVEGVEASDKNDMGTRGLAHPAKVEQARKDMSLRSPVCCFLGHSGTGKTKLLDCILRTNVPESEAGGITQQFGVTFFPMENIRERIKELKADAVLHIPGLLLIETPGHETFRNFRCIGSRLCDIAILVVDVILGLQMQTLESLDLLKKHKIDFIIALNKVDNIDGWKQYPNASFEKALALQSESVRMGFEKRLSDIVSQLNAQGIVSGLYYINKDKDDKFKNIVPTSSIRAQKMNERLTIRDKIECTILDVKFIEGHGTTIDVVLSSGVLHLRDQIIMCGSQGPIKTHIRALLTPNKMKELQVKSPYQHHKEIKCAQGITISAPGLEYSIAGTCLYVVRPGDDQQDVVNNVLSDIATSSNWIDKSKEGVYVQASSLGSLKAITEFLKSPAMNIPVCDFRLGPVLRKDVMKARFMVRKKTQYATILAFNVKVSPDAQKLASQDGVKIILADVIDHLFEQFRKYIFPRNEKTEEVVFPCVLKIIPDCVFNRKGPIVLGVDMLYGVAKVGTPLCIPSKGFIRIGKIASIQNSHKQVDVAREGEKVAIKEIADEVMLSSLPEADDVLVPILHKLEDTQQAVATTVLYVQKHSCYFDEELKMKPRGGYSFSQRRGVCPGKI >OGLUM01G24430.1 pep chromosome:ALNU02000000:1:24830060:24832489:1 gene:OGLUM01G24430 transcript:OGLUM01G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDARARSGDGAGAGPASSPSYMSIDGEENGGAGGAKVWVLVLLFSLIVLMLLPSAVRRGGPWGGGGGGGGGGGGYQRGRGGGISLKSGWDVVNLCLVAFAILCGLLGRAGDGESSSGSASTAAAAGAASEGGRREHQVSPSLAAAPAAEAVGEEPSTAEVWASLNTSAAASYGGNNHYGSTGIRRLKSSSSYPELRLDSDGVWGLTSPEAAWRFYDDAELYRTRRPERSWDVDAPHKRTTTTPEVKTIPVDIYEVRRKSLPPKEERRRRRGSIERLPSMAEIAEEERPHPQPQQPVETVAPTPPPLARSRRWNPEMLEVVLEQESRVEETTMPPPPPPLTRSRRWNSERLDAVLDQVARVDETAMPPPLTRSRRWNSERLDLDAVLDQVARGEEETVMPTQTLARSRTWNPEMLDAALEQQQDTVMPPPTLTRSRRWNSERLDAVLEEMRVEEVAPAAPPPPPARPRRRSRSVESLPRTEELEAEIVVEEIKYPLSSSSPAMFPPGTPPPPPPLPPATAPRSKKKRSGSVGGAKDLASAIATFYQKKRKSIGIKSKKKHHHNHHHHHHLSDGHYSSPSSDASASPDSTVRTTNPAPAPPRPPPPPPPPPPSSIFYNLFKKGGSKSRRIHSVAPPQPPPPPPPTTRRSRKPPQPPSRPAPPPPPPPQQQPPFYPRRAVVYYTYPLPPPSPPLPPPPPPPPPPMSEGEEEAPPSVTASPAPAYCASPDVNAKADKFIERFRAGLTLEKINSYREKWQRQIQDDSSSAMAVAEEEGEFMVIGSLFDDDDEEDIISLPETPATATAVAVGF >OGLUM01G24440.1 pep chromosome:ALNU02000000:1:24838147:24841427:-1 gene:OGLUM01G24440 transcript:OGLUM01G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVLQQAVEDAEVRVIAEREAAKKAIAEAPPVIKEIVVQVVDTDKVDSLAAEVARLKDLLGAEMKATFDAKKAVAEAELRNEKLARLLGVEEVKNRQLQESLKRMEMKASDLEEENQKLRGAVASVPYVRLPSNVNRDDPDLEPTPENEDENENEKAVYCEVKPMIVDRGVDIHENNSQLPGLNDPEAEKQQQELLIKCISEDLGFSIGRPIAAYLIYRCLIHWKSFEEDRTTVFDRIIQKISAAIEARDNNETLAYWLSNSCTLLLLLQKTLKINGAAALARQRRRASPLKTPQENQAPNHPDRSPVPDGRLVGGLGEVCQVEAKYPALAFKQQLTALLEKVYGIIRHNLKKELSPLLGLCIQAPRTFVVSPRGSGAQGTDLAQQASMAHWQSIIKILTNSLNVLKSNYVPPFLICKLFTQLFSFINVQLFNSLLLRRECCSFSNGEYVKAGLDELEHWCFWLTEEYAGSSWDELKHIRQAVALLILEEKHSKSLKEITDEYCPALSMQQLYRISTMYCDDKYGTLGIPPEVVSSMRTKMVQQGSNSPSTQDDINSFLLDDDFSIPFSIDDIAKLMVHIDIADMDLPPLIQENKGSPHLMP >OGLUM01G24450.1 pep chromosome:ALNU02000000:1:24846587:24848105:1 gene:OGLUM01G24450 transcript:OGLUM01G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDANPSVARSASPSPTTLSASSCSPPNTTAASTSSLSRRTSVVMASWIWLATRGKKKAGDDVVDRPSTMWWIGQQPRFLVSIKAIASAVRRGVVHATSHMTASRLAQLRNLTAVSDMSEKDGKVDLRGGATAKTGWAEPARAAADRMMGGQEAVMSVIGKNRVSDTVKAVDRAWRSLIANM >OGLUM01G24460.1 pep chromosome:ALNU02000000:1:24848426:24850040:1 gene:OGLUM01G24460 transcript:OGLUM01G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPYPLQEGGKRERRRWRAKHEGAEGEEGRGRSRNYDAKETTTIARIGTCAAIALLVLVAFVASSGAAAYQPRCCVDFHSWGGNTGCGPGQNDACNSWCQSQCRGGECKPRGDRHFCHCFC >OGLUM01G24470.1 pep chromosome:ALNU02000000:1:24852287:24853507:1 gene:OGLUM01G24470 transcript:OGLUM01G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAKWGDLPDNVLLGVTACLPCRADRVRMACVNRQWRAAVREGPRPPPPAPQLPPLPPQLPWLIFPSSATPSFYSWIGRTSHPLPLPPDVRVARFCGSSDGGWFVLALDSSHRYALYNLNSGHRVELPPGIVSPSGKQFPLVARFATLSASPPTPSSSPRPYMLAAVVLVSRRLDVAFWVQGSQCWFPHRGPRLNQPQDVVYYNGGFYFVTADEGVVVYWPGYGRLTNNQMRMRRVEYNMLRRDDYLEDMGFIGGNGSITRYLVESRGQLLMVARYIYNEGGTEVLRVFRFHVMPLTPAAAISGRPRATWLPVDYLEGRMLFVGKGCSRSFEAARFPGFEDAIIYFLDEGFVHDTTASAAVQERPRYSFTDMGRYEMDDMASEAWPPVDRRPTTSDNAPPTWWFP >OGLUM01G24480.1 pep chromosome:ALNU02000000:1:24855817:24875090:1 gene:OGLUM01G24480 transcript:OGLUM01G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30990) TAIR;Acc:AT4G30990] MATPSYAAVKCLNTSSSSRKRFVFKSFSQRVEEIDIDVYRSLHEVKAEPSSGSSFFLDALVEWRELNTADDFISFYEETIHLVQTLPQIVLHCEKIFSGLLKRINMKARLSLEPILMLVAALSRDILEDFLPFLGRHANAILALLSDGGDRDPEIMEQVFTSWSYVMMYLQKYLVKDVVQVLRITAPLRFFPKDYVREFMAESVSFVLRNAPNGQQIEGVRNVLLEAAKNPSPLHIDGITALLCHVMRGTYTRLHSRAGKIMEFLLSKSNLTTIQEKFPDDKANILKLVKSLVIILGNLSFISPFYDPVFKLTDLSMLVFVRKLLTKGPKIIQTFESQILRAASLGMTGECDPQNLLDLFSIFAVNLSSPNKDLRVLTLRILSYFGKMDQRLGTDEERPHKRQKTEDSGDDTIDMKYANVLDTLLAVESTPISVSTSRKIAIFVSRIQMSLSSKMVHEDYIPLLLHGIIGILYNRFSDLWPPALDCLAVLISKHKELVWDQFIQFIATHQSNGPSVKNQDKLEATIQPQSIFDCFSIYLSTNYDCTPLETVATLLLQSLQKISDVAESRSRHLVPLFLTFMGYDNSNITSVDSYISNKCKGKQWKTILKEWLNVLRLMRNARSLYQSKILQEVLTKRVLDESDPDIQSKALDCLLNWKDEFLTPYSKSLKNLIDSKTLREELTTWAVSYDSLSIQKDHRSSVVPLVIRVLTPKLKKFKLLGSRKSLIPGNLRLEIFGSQSDNLLGNISDIVEASTEICLENLTWKKANGFLHLVEEIFGTFGMAHISPVLDVLLLIVVRLLESCMRNLRSMNEEDYPSKQSNDPDDECSMTLEAGNSMSLKEHSKDLPSADHNKESVSIKQLKDLRSLCIRIVSLALNQYGSNDFGEKFWNIFFTSVKPLIDCFRQEASSSEKPSSLFSCFMAMSQSPKLASLLGAHNLVPAIFSILTVKKASGSITSYALEFIENLIKLDTDLEQHGDHSLKKILVPHMDVLLHSLNDFVSYRRELHRKSGTWLGQRELRLFKLLMKYITDPSSAEHVLDLILPFFSKKDLNPDECLEALRVVGGILANLRCGVSAKILNALNPLLATAGLELRLCICDIYVGLSFHEPSVSTLAMLVRDLNAVSTSELGEVDYDTRIKAYDTIQPQSFLDMREEHVGAILSHCVYDMSSEELIFRQSASRALQSFLDFSASIMNNESKHCIEIENNSNGIWTKGSIHQILEKTYLHNMGVAMSKDISIQKEWIILLREMVYNFNHVPSLNSFIPLCKEDLEEDFFHNITHLQAGKRSKALSLFKQRIKDTEFSEDVTMKVFVPLFFNMFFDVKAGKGEQVRDVCLDTLSSIAAKVQWEHYRTILMRCFRELSLKPDKQKIILRLICAVLDSFHFMKPAHDVSRNSDAMNEDSDSSLTFSSTIVSSEKQHYLQKIVFPQVQKLLGADPEKVNVSINLVALKILKLLPVEYFESQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGYELHVLGYTLHYLLSKTITSDINGRLNYCLEDLLAVVESDILGDVAEQKEVEKIASKMKETKKRMSLETLKLISQCVTFKTHSLKLISPISSHLQKHLTPKLKTKLEMMLHNIALGIECNPSTETFDLFVFVYGLIKDTITAGESQCKENAGSGHGQENTRRNKLLGLHDSGLQNSYIITKFAVALLRNRLKSIKLHKNDEELLSKLDPFVKLLAECLSSKHESVLSISFRCLALLIKLPLPSLKDNANLIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDDQLQIIVHFPIFVDLQTNPSPVALSLLKAIVKRKLVSPEIYDIVVRIGELMVTTQTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLTNLSYEHPSGREAVLEMLHDILTRFPQRIVDDQGQTFFLHLVVALSNEQHQNVSSMILRAIQKLLGRIGDQGKNSIFEYTLSWYTGEKQNLWSASAQVIGLLVGDRSLGIGKHLNNILANAKQIMECSVIASGGQESYHSIAMMERLLARFPELYFKQNMEEIWMIVCKLLIHSHSMLRNISSSLVASYFSFVEKSKREKKFDGKSSLLIQPSMLFLIAVSLMKQLRAELSDTTANNLIVQNLSYSVCNLHTLVKQTTSPHQFWSSLSSSDHSAFLEGFELFGSTKAKNAFLLCTSASTDVNGSNLDGGEELTSLLVSSLLKRMGKIAMQMQDTQMKIVFNCFSMISSALGAEVSLSYANLFLGPLYKVCEGFAGKVISDDVKQLADSVRDKLCDLIGTEKFVQVYNSVRKGLKQKRESRKQSEKLIAAVDPARHAKRKLRIAAKHREHKRRKIMTMKMGRWLR >OGLUM01G24490.1 pep chromosome:ALNU02000000:1:24878458:24879841:1 gene:OGLUM01G24490 transcript:OGLUM01G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLYPSLLSLSESPAEYRQVGGGRYAGEDVVDDDDDMAAVADAVSSYLSFDMDDVEYYTPEVGFHSKQHNPPPVAAAPLEAGGGREQSRREAAVNLVKMDRGPAPVSGGAATGGVPRSKNGSKIAFKTRSEVDVLDDGYRWRKYGKKMVKNSPNPRNYYRCSSEGCRVKKRVERARDDARFVVTTYDGVHNHPAPLHLRPQLPPPGGYSIAGAPAVVAPHGRLGLEEAEVIALFRGTTATSLLLP >OGLUM01G24500.1 pep chromosome:ALNU02000000:1:24888891:24894014:1 gene:OGLUM01G24500 transcript:OGLUM01G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEDDAAAVLTNGGAGAAEVRRRRDQAKEILSKQAVKIATKAEEHERFIFKVTHLLGVLGFGTFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLFSYGYYNREEALQFQAIDCLDFCYYANTFLLVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVIFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFAVPLAAYTLWQLMYFLIVNVLRRQRLLKDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRPLMYILLQALFTVATMALTVPIFLSFQMHVVFQILKVCASTWNGGSFILEVMPRQVVQKEKKKLEMKPMEEANSSQNAEESQGDLSANGQHSSEHS >OGLUM01G24510.1 pep chromosome:ALNU02000000:1:24894127:24894504:1 gene:OGLUM01G24510 transcript:OGLUM01G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSLLSALALAFHAVNTGVAVYRSRADAAAVALVLASSLALALLFLCLRLYEGAPPAEAARRRWLRRAVWLLSAALTAAFTRRVAGAMPPAGAVLVWAMSAATAGGGFYALVVVDDGRDLGAK >OGLUM01G24520.1 pep chromosome:ALNU02000000:1:24901118:24901780:-1 gene:OGLUM01G24520 transcript:OGLUM01G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1118) [Source:Projected from Arabidopsis thaliana (AT1G74730) TAIR;Acc:AT1G74730] MAAVQAAISTCCSSSCLSRPPPPFARRRRFKVTAMAPQKKVNRYDEKWSKQWFGAGIFAEGSEEVEVDVFKKLERRKVLSTVEKAGLLSRAEELGVTLSSLEELGLLSKAEDLGLLSLVEAAAAASPDALASVSLPLLVAAIAAVVLVPDDSAALVALQAVLAAVLLAAAAGLFVGSVVLAGLQESD >OGLUM01G24530.1 pep chromosome:ALNU02000000:1:24902383:24905312:1 gene:OGLUM01G24530 transcript:OGLUM01G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTAVARSCVGNFTAPDHLDLSLTVQQLSGNVPVLRLEYLLTHQGLQPMLDAPVYGRIATIELFRPCENRYVLCRSGRDASDHIGRPTNKGQTDELSRDYNTTWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLGDLVNRFHHGPLVMQDPGSEVDQIPTFIFGESGIDSTQ >OGLUM01G24530.2 pep chromosome:ALNU02000000:1:24902383:24905312:1 gene:OGLUM01G24530 transcript:OGLUM01G24530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPAAAAAAVGAMRPWNYVVTAHKLTAVARSCVGNFTAPDHLDLSSGRDASDHIGRPTNKGQTDELSRDYNTTWMTAVEMLDDYVYIGADNCYNLFTVLKRRVGRLLVIGQYHLGDLVNRFHHGPLVMQDPGSEVDQIPTFIFGESGIDSTQ >OGLUM01G24540.1 pep chromosome:ALNU02000000:1:24922711:24929882:1 gene:OGLUM01G24540 transcript:OGLUM01G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSFVKSDHPHSTLLSGYFSKVVICLMLRKTAPLMAYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADETIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFRHALEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLKLLDTSGAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSVDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNDCDIVGKIFAAEKLSSLSTESTGPTIPSDGKSIPKIGNIGHMTRIANKLIQLGSSNGTIQIHLQENSEWVDWQTDVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDMEENQGTLERDDEDVYFDDESAEVVISSLRLGDDQDGSLFTNSNWFTFDGERGITDRLAAVPSSSPNSEEISPDTQETDDGEVVIGTEDHMDTVNLGNGPIEEAEDAAEFTKHPATSTEDEQLQNAEGIERHLDVSNGDAEASTEAAEAAPVSSAPSSDEVQTERTADEPTGSSDSGNSVSEVLPDPEDSSIDPANTAVSSEQTMDNEDVELPTKEVPSVDVETKTDEIKANE >OGLUM01G24540.2 pep chromosome:ALNU02000000:1:24929811:24935295:1 gene:OGLUM01G24540 transcript:OGLUM01G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIMVADKSPIDKAVLHGKHEQDDLRDDKVVYDVEQKQLLLPTPRLVVHHWRFLWEELPQPQQRDLRWRLSSLCITGASFGSSYLNLSNVISGIEMLFVPYALSQGGWLSLVLFTMVGANCFYTSNLIDRCMRANRCIWSYLDIGHRLRRLWPDGYQPRHVRRALLCRHQLPHSRGRQLDKLLPGTLHGKQLFVLTVAIVILSTTWLKNLAGLGRRGRQVLPHGGKQPLESEQVAHHLPTTLSLYFFVYFIGHGVFPTVYSLMKSKKDFPKVLLISSVLCSLNYAVTTLLRYLIYGEDVQVQVTLNLPSGKLYTRITILTTLITLLEKYGLEIQLIATVIKEKLSLTTAAATDAENNRVLTSTAVVVSTVVLACTVPFFSYLMSFNGSSLNVTIAVLFPCLSYLKIYMP >OGLUM01G24550.1 pep chromosome:ALNU02000000:1:24930034:24930406:-1 gene:OGLUM01G24550 transcript:OGLUM01G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIGKEIPNDIPKHHYTFYKRTTRKLASQEYKLHYTLETKHRNRNTANSKDGIRMAAMENLSNLKPEPAASKQFEERRHRSIDAGALNYDPREQEERLMERNYTAQVQCAARGVE >OGLUM01G24560.1 pep chromosome:ALNU02000000:1:24935426:24936901:1 gene:OGLUM01G24560 transcript:OGLUM01G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLYMSCCYRRPTSLHDRGRDSDDDDFRDMDYDVAALGNNLSQALRYGIYSNDDMEENQGTREHDDEDVYSDDESEEVIISSLRLGDDQDG >OGLUM01G24570.1 pep chromosome:ALNU02000000:1:24939155:24943601:1 gene:OGLUM01G24570 transcript:OGLUM01G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAQTAYLQSLRNTGATLRQFAEVESALSQQPPAGIAVHPSPPPPPPPPPPPPPVPVPPAYSVTSSVPPYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDGELDEDDSTDDDDDTDSCSTPLPPPPPPGVEWEYLEPFAMRPLNFPSSLADRIDKEAASQVTMDDDNWVETNTEFDGYDDESVSGNVEGIVSSVQLNQAKSRALGDDNSSMVSWVTKDSDTSAMAWRSKKSLAGIAKEIDEYFLKAAASGSDIVILLDSSGGQPDPSELEANRGKNSKSAKVFSTISWSWSFKSAQANRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNTSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQANLLGNLPGNEPTTDTHCQATSQLEVEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIKTFLSVIHTIVVQQTEERQLKKKSDQIESKFHTQLEKHSNNATQNSGQPTLAKLDTFKKQVEEEKARYLNSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEVRLSPATREQFHLPFLRDCIRHGSL >OGLUM01G24570.2 pep chromosome:ALNU02000000:1:24939065:24944118:1 gene:OGLUM01G24570 transcript:OGLUM01G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT2G34670) TAIR;Acc:AT2G34670] MGCTHSKVEDEEAVRRCKDRRKLMKQLVRRRVELAAAQTAYLQSLRNTGATLRQFAEVESALSQQPPAGIAVHPSPPPPPPPPPPPPPVPVPPAYSVTSSVPPYSMTSSLPPSPRPPPPLPFSPIVIRRKKRDGELDEDDSTDDDDDTDSCSTPLPPPPPPGVEWEYLEPFAMRPLNFPSSLADRIDKEAASQVTMDDDNWVETNTEFDGYDDESVSGNVEGIVSSVQLNQAKSRALGDDNSSMVSWVTKDSDTSAMAWRSKKSLAGIAKEIDEYFLKAAASGSDIVILLDSSGGQPDPSELEANRGAGKNSKSAKVFSTISWSWSFKSAQANRQSSMHSSDASGYGYHGKTLEKLYEEEQKLYKLVKDEEFARLQYRKNTSLLQRLESGDHDKLHAEKVRDNIEELQARIISLEEAVGLTCLSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNHIAQQANLLGNLPGNEPTTDTHCQATSQLEVEVSAWHSSFCNLITLQRDYVTILNQWIKLTDCLPDNDGFMKSSSGIRSLCAELQRALTGLPEKVAAEAIKTFLSVIHTIVVQQTEERQLKKKSDQIESKFHTQLEKHSNNATQNSGQPTLAKLDTFKKQVEEEKARYLNSVRTSRAMTLNNLQTSLPNVFHALMGFSGVCVQAFEGISRCSEIVASHSGAVSPAISS >OGLUM01G24580.1 pep chromosome:ALNU02000000:1:24964238:24964610:-1 gene:OGLUM01G24580 transcript:OGLUM01G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIGKEIPNDIPKHHYTFYKRTTRKLASQEYKLHYTLETKHRNRNTANSKDGIRMAAMENLSNLKPEPAASKQFEERRHRSIDAGALNYDPREQEERLMERNYTAQVQCAARGVE >OGLUM01G24590.1 pep chromosome:ALNU02000000:1:24967249:24969513:1 gene:OGLUM01G24590 transcript:OGLUM01G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVYQVHGKQLFVLATATIILPTTWLKNLSMLAYVYAAGLVSSVALTVSLLWADVVDKGFHVALESERVAHCPQPLLPLLRKPWLLPNRVFVISSVLCSLNYAVTTMLGYLIYGEVVQAPVTLNLPTGKLYTRIAILTMLITPLAKYALPITTVNEEKLSATTVAAVDAEHNGLTRVLTSTAIVVSTVVLVCTVPFFSYLMPFNRSSLNVTIVVLFPCLSYLKIYMPRFGVGRFEVAAIVGILVIGVCIAFVGTYTSLHQIIGTV >OGLUM01G24600.1 pep chromosome:ALNU02000000:1:24974186:24976280:-1 gene:OGLUM01G24600 transcript:OGLUM01G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLNYTVTTVLGYLSYGEDLQVKVTLNLPTGKLYTKIAILTTLITPLAKYALVIQPITMAIEDKLSATMAAVADNRNNGLTRVLTSSRRCQHDGSGVHFALLRLPHVVHRVLAERRHCHLVPVPELPQDLHAPRRSCPLRGGGDRWDTSHWSVRHHRWHLHLPSPDYRHILIGHLRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYVIYNNDDMEENQGTLERDDEDVYFDDESAEVVICSLRLGDDLKTYFGILFFFCPWANYAFMYLKADMC >OGLUM01G24610.1 pep chromosome:ALNU02000000:1:24976818:24978814:-1 gene:OGLUM01G24610 transcript:OGLUM01G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YB25] MAMAGGGGNSLPSPSCGDDKKRRVCYYYDPGISTVDYGEGHVMVPHRVTMAHNLVAAYGMLGDMRRLRTAPATAAELADFHDEGYLALLQDLTPDDCGGDDGIGDMARARGIYAVEGKGGGRGVDNPVFDRLWDYCLRYSGGSLAAARALGSGTADVAINWSGGMHHACRGGARGFCYVNDIVLAIRELLAHFRRVLYVDIDVHHGDGVEAAFEASNRVMTVSFHQHGGGFFPCSGAVADVGKKGPGQYCALNVPVSVGIGDEEYHRLFEPIMARVMEVFQPEAVVLQCGADSLAGDRLGELNLTTRGHAQCVSFIRSFNLPLLLLGGGGYTINHVASCWCNETAVAIGKEIPNDIPKHGFDVFYKNQEYKLHYKLETKHLHRNRNTANSIDGIRMAAMENLSKLKLEAAASVQFEERRHRSIDVGDLYYDPREQEEEEESPTARLHRKLYFEPTGDQESPYTKHRCNVQPGESSSRKQRKLK >OGLUM01G24620.1 pep chromosome:ALNU02000000:1:24983178:24985897:1 gene:OGLUM01G24620 transcript:OGLUM01G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLPTGSNMEQHLLLPTGGGSFCMTGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLTLFAMVGAICFYTGNLIDRCMRVDRCVRSYPDIGYLVFGSYGRMAIGLVMYVELYLVAISFLILEGDNLDKLLPGIVVEILGYQVHGKQLFVLAAAAVILPTTWLKNLGMLVYVSAVGLVSSVALTASLVWAGVAGKGFHMEGSSLLNLSGLPTALSLYFVCFTGHGVFPTVYSSMNSKKDFPKVLLISSVLCSLNYTVTAVLGYLIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTIAIEEKLSATTDAEINRLTRVLTSTAVVISTVVLACMVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMSRGGVGCFEMAAIIGILVIGVCVAVVGTYTSLQQIIGTF >OGLUM01G24630.1 pep chromosome:ALNU02000000:1:24993957:24996585:-1 gene:OGLUM01G24630 transcript:OGLUM01G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) protein [Source:Projected from Arabidopsis thaliana (AT1G69800) TAIR;Acc:AT1G69800] MDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSEHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVVALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGFVDTS >OGLUM01G24630.2 pep chromosome:ALNU02000000:1:24993957:24996585:-1 gene:OGLUM01G24630 transcript:OGLUM01G24630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) protein [Source:Projected from Arabidopsis thaliana (AT1G69800) TAIR;Acc:AT1G69800] MDRPDETVKFPSCDAYFDTIQSKKKLPLSLQESLTAAFAQIPVSSFPDVPNGRVIEIPGDTSVLDAVRILSEHNIRAAPVLNPECGAPADWKGRYLGIIDYSAIILWVLENAEIAAVALSAGSATAAGVGMGAVGAVGVVALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLTAADHLGEDFYKVLLQQEPFKSTTVRSIVESYRWSPFVPITLDTSMLTVLLLLSKYRLRNVPVIEPDKPIIKNFITQTGVVKGLQQCKGRDWFDYISALPLSDLGLPFMSLDEVITVNSGDLILEAFKCMKDNKIGGVPVVEGPNKKLVGSVSIRDIRFLLLRPDLFSNFRQLTVMEFMKTIGSTVSDSGNGLVKPPLTCSPDASLGSVIDSIASRITHRIYVVDGDFEVVGVVTLRDVISCFIYEPPGYCDNYLASAMDKLEEKGAGFVDTS >OGLUM01G24640.1 pep chromosome:ALNU02000000:1:24998233:25000550:-1 gene:OGLUM01G24640 transcript:OGLUM01G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFTLFRDEVQKASEKVHRHHANDEEAGLFLSLGLSLGSSPDACQCHASKKDEADAGNGGGDGYLALALRCAPAAGEPMVHPKRQRATTNSSSSSSICGEYGGGAAAAAVPAGHDDDDRSCMITAASTANRPGRVKTAKGNPWPRGYYRCTGAPGCPVKKQVQRCNHDTSVLVTAYDGVHNHPITPYAAALPPSSSSSSSVAAAMLASSSSSSTWSELQRAMPAAQSSWSQRNYPIQADVVAKAIWDPKFQATVAAAVASYVRDREQSARVAGGKGAGELFNLAPPC >OGLUM01G24650.1 pep chromosome:ALNU02000000:1:25009235:25013576:-1 gene:OGLUM01G24650 transcript:OGLUM01G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRGSKAISPLSPIQPAPSFLLPPNPPYATLRSYIPNRPFLTFVPTLIASFPRRFYNLNRWVPFGVCCAMKRAGSKGVLESSSSSSKKTTRRQKKPPTSSLEELELPNSAMNKIQEVHDIARDVFSAATPGFVPSPLAEANLTKLLDTLKLEDFGLDASMPYFRADPQGHPKVTYVHFGDDSLNFSFGVFCLPQSAVIPLHDHPGMTVFSKILHGSMHIKSYDWVKTPNGAHFAKVRTNTIYDDSSKTTVLYPESGGNLHCFTAETACAVLDVMGPPYSSVEGRDCSYYGVCPSPRGVSRRITDELSYWLRKERCTFNMNAVLVKPSHSQCVFVAFGLNQKGEYLLSRPLSARPPQEHVGNLGHGFLVAGGTHARAPHRHVKDGRGRRETSLSIVALHARRARRLGEEGRWMLAGSMGKVVGLEKLAGFLRPTMPEKGEGRATDGGRGPALSWGRSRPWLLPRQIRTARRPSLLGRFHAAELALPRSRYQRPSLLTSPSSLPRQVHVADLVGPRRRPRSPSPARAPIPSVAPATPSS >OGLUM01G24660.1 pep chromosome:ALNU02000000:1:25019090:25020103:-1 gene:OGLUM01G24660 transcript:OGLUM01G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFAGAGDEQRQDYRIGKVRVRVSTLESNRAYTASYPLLVLLRSGLKKMGEVQLAVRFTSPAHLPDTWATYTSPLLPRMHYLRPIGVAQQEALRAAAVRVVAAWLERSEPPLGREVVRHMLDVDAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVQRWRSPPTTVLVHVLYLVLVWYPELAVPTASLYVFLIGVWYYRFRPRGPAGMDARLSQADTVEADDLEEEFDAVPPPEVLRARYERLRTLAGRVQRVMGDVAAQGERVQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVAGGFYYLRHPMFRDPMPPAAVNFFRRLPSLSDRML >OGLUM01G24670.1 pep chromosome:ALNU02000000:1:25024809:25026812:-1 gene:OGLUM01G24670 transcript:OGLUM01G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCENLDDVKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMMCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCEKLGGATAEIMCDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVCLHLCS >OGLUM01G24680.1 pep chromosome:ALNU02000000:1:25037306:25040961:-1 gene:OGLUM01G24680 transcript:OGLUM01G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAPPPESGPPPPPGPPGAMRVARRLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTVPRDLSPQWHERLEFAVHDPAAMHAEALDVSLYHDRRFNPSGGGGGKNHFLGRVRIYGSQFSRRGEEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEAPPPPEDRPPEGGGGGGGDNAPPPEVPPEAPRDLPDIPAPTEAAVEVQQPPVHPPVIIVEEAPPPMHHPMMPPMHGPHGPMMPPPVHGPHGPMAPPMHQHPQPQPEPEPEPEPDGGEAGYPPEVRKTRMATERVRVARHLSGGLGPEYYATSPRVISGRFVSTGDAVEPVQSSYDLVEPMRYLFVRVVKVRGIRACEGPYVKIQAGPHTLRSRPGRDVSGTGNPEWNQVFAINHAKPEPTLEISVWDGGAPSPIEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADEAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAHDLRVPAPPPGLPFDVRVKIQVGFQSARTRRSVASRSSGSAFAWEEDLMFVVSEPLDESLVVLVEDRSMIKEPALLGRATIPVNSVEQRLHERQLVASRWFSLEGGTSDIGIGPGGGPPGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWRPPVGVLELGIIGACGLLPMKTKGGAKGSTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAFAGAGDEQRQDYRIGKVRVRGVMEKYPPYQAIFAKISYGESQMLDKAFYEEEVRRLCLSFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKNRVHDSVVFIF >OGLUM01G24690.1 pep chromosome:ALNU02000000:1:25043944:25044462:-1 gene:OGLUM01G24690 transcript:OGLUM01G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVPKGSRSAKGAAAAAEVEPRDVDLQPEVKWHDGAAGYVARLDLAGFRKEEFRVQVDGAGRVTVRGQRPAGHVRLHSEFQLPPAADVDRIAARFDGATLCLIVPKRPAGGAAEVVLETMEDARVAAALELETERERAAGWDRGSAIAAAVAAFALGVVVSHRIFSTRNG >OGLUM01G24700.1 pep chromosome:ALNU02000000:1:25049020:25049953:-1 gene:OGLUM01G24700 transcript:OGLUM01G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQRDGDFEPVYEWLNAGAHYLLRVNVPEFKKEELQVHVDPAGRLTVRGQHGGLRLNKVFQLPPTCNLDAITGRLEASVLVLTVPKKPATTAAAAALPPKASQEEEKETKKADEHDVAGKPPPPPKTDSDQSERRTQLSAREKKEEPPKATAPAAAPPQPAARERRDEEEKARAEHKARLSREADRRIEAARARLAAQQAASRPAPAPEKTAAWWKERAAEEGMKLAEAIGKNKEVVATAVAAFALGVFVSTKLFSRSN >OGLUM01G24710.1 pep chromosome:ALNU02000000:1:25054200:25055654:1 gene:OGLUM01G24710 transcript:OGLUM01G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLLCCVEVEESTVAMRERFGKFDGVMEPGCHFVPWFLGLQARGPLSLRLRQLEIRCPTKTKDNVYVTIVTCVQYRALADKASHAFYTLINTRSQIQAHVFDVLRTSIPKLALEEVFDKKKEIAEALEEEVAEAMAPYGYEVMRALVVDVEPEEAVRRAMGESRAAADRAVAERAARAGRAEADAEAARLAGVGAARHRQAVVDGLRACVVAFCAAVPGATPREVMDMVLVAQYLDTVREIAAASASGCSAAAAVPFLPHGPAAARDAVAQIRDGLLQAVQPPAAAAAAASVAAVGLPLPLPVASVCEGITEEQ >OGLUM01G24720.1 pep chromosome:ALNU02000000:1:25056388:25058388:-1 gene:OGLUM01G24720 transcript:OGLUM01G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLYTDIGKKTRDLLYRDYGTHHKFTLTTCTPEGVTITAAGTRKNESVFGELQTQLKNKKLTVDVKANSESDLLTTVTVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGINASVGLNSNPMVNLSGVFGSKELSVGVDVAFDTATSNFTKYNAALSLTNSDLIASLHLNNHGDTLIASYYHLVKHHSNTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASTLVQHEWRPKSLITISGEVDTKAIEKSTKVGLSLVLKH >OGLUM01G24730.1 pep chromosome:ALNU02000000:1:25062777:25064103:-1 gene:OGLUM01G24730 transcript:OGLUM01G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSTSSSSPATAAGGSSYSAEAAQALCCACVGQSTVAVEEAWGRYDAVLGPGCHFVPWCVGRRVAGYLSLRVQQLDVRCETKTRDNVFVTVVASVQYRALADRAYDAFYCLTNAHAQIQSYVFDVIRASVPNMNLDEVFGQKKEVARAVEEELARAMTMYGYEIVQTLIVDIVPDEVVRRAMNDINAAARLRVAAAERAEADKIQQVKRAEGEAEAKYLAGVGVARQRQAIVEGLKRFVPNEKDVMDMVLVTQYFDTIRDIGATSRSSTVFIPHGPSAVRDMAAQVRDGLLQATAAAGGGAATFKAL >OGLUM01G24740.1 pep chromosome:ALNU02000000:1:25067301:25071577:-1 gene:OGLUM01G24740 transcript:OGLUM01G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGKRREEEGRRRRKGKGKGAGEMVLQEEEEDAAPAMGCWIRIPRRLGGGCMSSRSKVDSSTTTSGGGGGGGSARVGGESKSANDGCRDHSVQPMASGSTTSSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWAIRMRIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLVDPRLEGNFSIRGAQKTAQLACACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFFQSMQQERAASLGNPTGSQSMKAQGTFARNGQQPMRSLSYGPHASPYRQSPRPNNGKLQ >OGLUM01G24750.1 pep chromosome:ALNU02000000:1:25071653:25071997:-1 gene:OGLUM01G24750 transcript:OGLUM01G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRGFYRRTDADGGHKKAQGQGALLSSPLLSLGLLPNSHSAWLSGRPARSARILALSLRLSPARAAPTPPPPLLFTLLLLDFPSTTTRRAASPMPPCALAAGGSARRRLPPRR >OGLUM01G24760.1 pep chromosome:ALNU02000000:1:25077294:25078169:1 gene:OGLUM01G24760 transcript:OGLUM01G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKVINKHYPDDFDPSKIPRRRQHKKQMVVRMMLPMTVRCAACGEYIGRGTKFNSRKEDVAGERYLGAVQVFRFYIRCSRCSAEIVFRTDPASAGYALESGATRPSYEPWPAAAEEAGREERGGGDARTALEGRCRDARREMGVDAALEEMRSLKSRRAGVTPEQLLESLRRRGEALAELEEDDEKLISSIAFGNAKERSLRRIDDGDDEDDEEDFFESCLARAAMATTSHQAKKRPPPPPRDAVKSLVVSKKRRPESMDQAAWPPSSGKTTASNGALQVLCCNYDDEE >OGLUM01G24770.1 pep chromosome:ALNU02000000:1:25079699:25085247:1 gene:OGLUM01G24770 transcript:OGLUM01G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF572) [Source:Projected from Arabidopsis thaliana (AT1G17130) TAIR;Acc:AT1G17130] MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIRCGTCGTYIYKGTKFNSRKEDVEGEKYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREEDEVADREKRKRDEEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVTVDQMLEILKRSAHDKEEKTVAELDEEDEKLIKSITFRNSQDYVKRIEDDDDDDEDLVIPGQSSSTSKMNGSSQSVSNATDVLTKTSGSDNANKEGNKIWPSKMPKFIVKPKSTPTDPIKKQKTETTAAPDNGKAPVAEEKSEPAQNNVLQSLCQNYDSDESE >OGLUM01G24780.1 pep chromosome:ALNU02000000:1:25095782:25099935:1 gene:OGLUM01G24780 transcript:OGLUM01G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPLSTSILSRYSSAPTSSPSPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDETRTVSKLCGAPVHWHASLEQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHMFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >OGLUM01G24780.2 pep chromosome:ALNU02000000:1:25095784:25099727:1 gene:OGLUM01G24780 transcript:OGLUM01G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPLSTSILSRYSSAPTSSPSPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDETRTVSKLCGAPVHWHASLEQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHMFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFDSLLLKSKEAID >OGLUM01G24780.3 pep chromosome:ALNU02000000:1:25095782:25100930:1 gene:OGLUM01G24780 transcript:OGLUM01G24780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT3G28700) TAIR;Acc:AT3G28700] MFRSPAAAAASALLRRLGPRVSGGGGGASTHRRFAPPLSTSILSRYSSAPTSSPSPPPPPPPSDAAADGDNRAESGEPGSSLSITIDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGTSGDFITSPEVSQMFGEMTGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSSKFVNFTKALNINLVECSPTLQKVQYNTLKCEDEPIGDETRTVSKLCGAPVHWHASLEQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLLFLSKRCGWASSEELEKVEHIEVCPKAMEITEQIADRISSDGGGALIIDYGKDGIVSDSLQAIRKHMFVHILDNPGSADLSAYVDFASIRHSAKEASDDISVHGPMTQSQFLGSLGINFRVEALLQNCATDEQAESLRTGYWRLVGDGEAPFWEGPDDQTPIGMGTRYLAMAIVNKKQGTPVPFE >OGLUM01G24790.1 pep chromosome:ALNU02000000:1:25103092:25106684:-1 gene:OGLUM01G24790 transcript:OGLUM01G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YB46] MAMEAAAERSAGAGAAATAAPESGGGGAGERRSRFRRICVYCGSAKGRKASYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPFLSFIDMAVSEGFIAEDARRIIISAPTARELVLKLEEYVPEYEVGLVWDDQMPHSFAPDLETRITSS >OGLUM01G24800.1 pep chromosome:ALNU02000000:1:25124529:25125049:-1 gene:OGLUM01G24800 transcript:OGLUM01G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNLWYLGWDLCFKPQPNWWIILTSFFSIQGVEFQRSSQAMHPSMLAQGHVVQMYYSSC >OGLUM01G24810.1 pep chromosome:ALNU02000000:1:25127881:25130500:-1 gene:OGLUM01G24810 transcript:OGLUM01G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAMAVAAAAAAPRSLLFPVSPSPSQPRRVPALARAGRSQRRHAALARPARRHLDDAMSAGFVRSLNAPPDEDGAGSGGRYDPRPGDFAVGVVVSGTEARLDVAVGADRLATLLAKELLPLYRADLPAFAEAAPPRPGSVGVVASPAAGEGDRKPGERGGGRTLVPPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQVMQLDEPIEGLRAFLPKFELMDRINTFTDLKNKVGCSIRVCITRLDEETNDLIISEKKAWEMTYLKEGTLLQGIVHKIFPYGAQVRIAGTNRSGLLHISNISRGRVLSVTDILKIDEEVKVLVVKSNVPDKIALSISDLESTPGLFLSEKARVFSEAEEMAERYREQLPADSKNAKLDADLPGGTIPFDDEATLYANWKWFKFLEDGKLGAVTTEHNGS >OGLUM01G24820.1 pep chromosome:ALNU02000000:1:25130718:25141683:1 gene:OGLUM01G24820 transcript:OGLUM01G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLWRVRLSSFLVGTATASAAGFFFIYKDHLLARAAIARQVEDIKETSEKHYESLNQRDTPEAKTSYRITGLQRQETVTTREYQAEMLGPRSKIQDRMAGLFTRQAAEYAAARPVYPKDLFVKLASLTAHHRVAWDVGTGNGQAAIGVAEHYDSVVATDVSAEQLRRAVPHPKVRYLHTPDAGADDDDLVAALGGEGCVDLITVAEAAHWFDLPAFYGAARRLLRKPGGVIAVWGYNYRVSPVEDMMARFLHTTLPYWDSRARYVIDGYRDLPFPFDGVGLGKEGEPAGFDMEHEMAFPGLVRMLRSWSAVATARQRGVDLLDERVVRRLEEEWGGASLVRKVTFKAFLLAGTVRADDPA >OGLUM01G24830.1 pep chromosome:ALNU02000000:1:25139839:25141458:-1 gene:OGLUM01G24830 transcript:OGLUM01G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPIAKAAHELPSLYCLALKTPEFLVRAGKSGQAGNEALDLFDGMPPKSQVAWNKALGMLVGAGRIERALSFFHEMPGKNAASYATMIGGLSRAGAASVARRLFDDLPLGKHNVFTWTAMVSCHVRNGEPREAVQLFAALYGELYERGVLPNAHTLSSLLKACVALQSLAMATQLHALALKLLEEGNTDNTFVWNGLIDVHAKVGALCDAEKVFDGMRFKDASSWTIMMDGYSRHKLIDKALYLFRSMKNKDAFTWNVIISCLWQNRRGEDALRLFIDLLRLGDRDNGGAKPDASIYTTVLHICSVLSLLTLGRQVHARAVKSGLSRSHVFVGNSLMNMYSCSGSTADLEKVFDEMAARDVVSWNTAIQGLGQNGRGRRALAFAERALALGLYNGSTFVAILASCSHAELVAEGMAYFDAMEVEYGVERAFEHYVGAIDLLGRAGRLRDAHGLLLGMPFAPSALAWTTLLHCCLAHKNCSIGSVAARELRALQSDGGGWNYERLLRGCGGGGGGGGGGGEAGGGKSSEHLPGCSWVV >OGLUM01G24840.1 pep chromosome:ALNU02000000:1:25143628:25145347:-1 gene:OGLUM01G24840 transcript:OGLUM01G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHSLEKLHQWILRKHEEESRLTVADIMSHIQHELDYGGNDPHVSPRVHQHSANPFANSSIQPSAGSYGQATVGFAPRPSISDQSKNTIFSNALSSPVRRSLQSYHLTQGSGNGGRNAETNSAGQNRETNSGGSNDTSMDMVSDSAGNEYY >OGLUM01G24850.1 pep chromosome:ALNU02000000:1:25145389:25149283:1 gene:OGLUM01G24850 transcript:OGLUM01G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGRGRVRYRGGSSGELAAEGGREGGAAVVSVGILRTVIAGDRLRAVVAGDRLHTVVAAVLRAVIARFGRRGKAWGPRVGPTIGEEILAGQREPPELEL >OGLUM01G24860.1 pep chromosome:ALNU02000000:1:25149414:25151870:1 gene:OGLUM01G24860 transcript:OGLUM01G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPPFLSSLPSFLLSHTSPLSLANLLSPDLRRRRHLPPPDSGEQPPPSPPKPPHSAFHLPSLPSFPVARAREAGIRILFFFFFFSLVSLPSLLLLFFFAAAAHFVTRLELDDEEEVPQADHDIVAYVKMLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKCECVIQ >OGLUM01G24860.2 pep chromosome:ALNU02000000:1:25149392:25151900:1 gene:OGLUM01G24860 transcript:OGLUM01G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKCLMPVHCAMESMLLV >OGLUM01G24860.3 pep chromosome:ALNU02000000:1:25149414:25151900:1 gene:OGLUM01G24860 transcript:OGLUM01G24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVEGGGFFSSSASGYSHGLALLLLGRKDEEKPVKVSPWNQYRLVDREAEQVYHLASRKDQAPGKCAPFICFGRAAAGLEGASPPKLSSGNTSGSSSEESSASANEGTNGSLTGNEKKGCLKSNSRRDSLEHCIVVSEGEEPRESLEEVQTLKSGMERRKVQWTDTCGKELFEIREFEASDEGLSDDDMENEGFRKCLMPVHCAMESMLLV >OGLUM01G24870.1 pep chromosome:ALNU02000000:1:25152423:25154337:1 gene:OGLUM01G24870 transcript:OGLUM01G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:one-helix protein 2 [Source:Projected from Arabidopsis thaliana (AT1G34000) TAIR;Acc:AT1G34000] MSLAPSIPSIKVKVGGVAAVAVSPPRHRACRSSFAVIRSSKAEGAPRRPAAPPLSPPPKTPTLSTPPTLSQPPTPVKPAAPSSSPPPSQDPEPKQAAAPVAVAAPAAAGAVTLEYQRKVAKDLQDYFKQKKLDEADQGPFFGFLGKNEISNGRWAMFGFAVGMLTEYATGSDFVQQVKILLSNFGIVDLD >OGLUM01G24880.1 pep chromosome:ALNU02000000:1:25172611:25175406:-1 gene:OGLUM01G24880 transcript:OGLUM01G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIDLVGLSVGPGPITRPSHCLHAYSPARGSSGGGYLGFQAATSPGPWNEVAASIDKLAAPPADPTVAMASSSPEKKHPPGCGSRDSQPIACTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKDSDDIFASTNSDNMNMLIGDIDEDSDDDGYIDEETTCPGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQGGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWREPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTSDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVRFTAFCSGFDNEILLFNGKFCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >OGLUM01G24880.2 pep chromosome:ALNU02000000:1:25172611:25175068:-1 gene:OGLUM01G24880 transcript:OGLUM01G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPEKKHPPGCGSRDSQPIACTDDPPLSESPTTDLGCHDPRDEDADHPAPPMGKDSDDIFASTNSDNMNMLIGDIDEDSDDDGYIDEETTCPGQNFTKEQEKEIMRKWLETYDKKNREFMVACEEFQKQGGDETSASRPVFPFKPLPETTDFCITKSLCYHREYKTNDTSETASTIGWREPKEMLQIFSLRLSSSMSYPISVYGIFAVRDYLDPLRNYVFNRTSDDPVIVEQDPFTLPLCSPCRGMYVIEYALFEVDLWVKMEGGVSNDKQLLSAYVEIFARGVFNKEMSGRILSDHCYLDTHYMYLSRSIEAVIQVSTEIDSNDPRHVRFTAFCSGFDNEILLFNGKFCKDKSFEHIVAMKSKGKLVVRLEFEGSLFCWTFNDGDLGAVNSPDDSVLKQFHVRVVFSPKQCVPPTYNSFFLWRRSKSVQKA >OGLUM01G24880.3 pep chromosome:ALNU02000000:1:25175098:25175406:-1 gene:OGLUM01G24880 transcript:OGLUM01G24880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIDLVGLSVGPGPITRPSHCLHAYSPARGSSGGGYLGFQAATSPGPWNEVAASIDKHDLRSSSVVIVSIYIAQVVES >OGLUM01G24890.1 pep chromosome:ALNU02000000:1:25193368:25199214:1 gene:OGLUM01G24890 transcript:OGLUM01G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKGKWLCALIDGISGYLNGYVTRSIGKTDDLRIMENGYRGVTEPEFIEHAKKLPWDGAYVTAHQSKCGYNALLKSFLLLHRFVDGQEPKPLDDEVREAGQIMIVHFPEAAKNDSLYLDKIHKNFRAAPAHVIGKRQISKARSWSKNCDDAKPIFQGGGAQSKIAEKGRSDHDATENSAEQDAAIADAKEFLEEVRLIHRTSDSAKNLFLLKDMPVHLSINGPVDVAWTENELRRSRDHGLDDPSWGFLTERSPKFPPANNNEQNQLTLIENAYMTFSECDEYSGSPDHQEEMSEESVGYKVTSARAGVPLFCQTASEFEKMGIIPLFESSKKEVLSSFQLDPELTEPHRLMKHEKLELYLQDNPLCSDVDSTLDSKMAAIKTAMEGAEGQDTAHKEFAKCHIMGNHKRPLLLSSESRKLIAYHESGHAIVALHTQGAHPIHQATVLPHGLSLGMVTQVASPGNTSISKQKILARIDVCFGGAVAEELLFGEHTVTAGAKNDLFTAKELAQKLVLYCQTSDTVDRMHLEKWPSVQMQAMINAEVLKLLGESRERVKRLLKKHEMQLQAVANALLEKEILNADEIIKVVQPYKREPQITFQDKELAVNWS >OGLUM01G24890.2 pep chromosome:ALNU02000000:1:25193368:25199214:1 gene:OGLUM01G24890 transcript:OGLUM01G24890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYKGKWLCALIDGISGYLNGYVTRSIGKTDDLRIMENGYRGVTEPEFIEHAKKLPWDGAYVTAHQSKCGYNALLKSFLLLHRFVDGQEPKPLDDEVREAGQIMIVHFPEAAKNDSLYLDKIHKNFRAAPAHVIGKRQISKARSWSKNCDDAKPIFQGGGAQSKIAEKGRSDHDATENSAEQDAAIADAKEFLEEVRLIHRTSDSAKNLFLLKDMPVHLSINGPVDVAWTENELRRSRDHGLDDPSWGFLTERSPKFPPANNNEQNQLTLIENAYMTFSECDEYSGSPDHQEEMSEESVGYKVTSARAGVPLFCQTASEFEKMGIIPLFESSKKEVLSSFQLDPELTEPHRLMKHQLRLQWKALKDRTPHIRSLPNVTSWEITRGHCYYRLIAYHESGHAIVALHTQGAHPIHQATVLPHGLSLGMVTQVASPGNTSISKQKILARIDVCFGGAVAEELLFGEHTVTAGAKNDLFTAKELAQKLTSDTVDRMHLEKWPSVQMQAMINAEVLKLLGESRERVKRLLKKHEMQLQAVANALLEKEILNADEIIKVVQPYKREPQITFQDKELAVNWS >OGLUM01G24900.1 pep chromosome:ALNU02000000:1:25199961:25200325:1 gene:OGLUM01G24900 transcript:OGLUM01G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRLPPEARGGGGRRRGCGHGSNGCPSSSGGATPVTALMWVVVKGAAVEAIVVKTDLDEADLPDEAGLSCGARG >OGLUM01G24910.1 pep chromosome:ALNU02000000:1:25204725:25210298:-1 gene:OGLUM01G24910 transcript:OGLUM01G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWEDEPSGGSREPSEPSGCTDLIMPPLRPESPPELRDRLLLHDEEEEETTSYVASAHPAPIEYGSDDDAGLTRFVDDMYSCVELDDVVDKETVCPGQKYTRDQAKEISRKWLATYIRRNAEHTEAYKEFVASGYDYAQLPRYPLRLLPKTSYHCIKKSIYCDHHEYLTFNTSETASTIGMNEPNDMLQIFSLKLSSNESYPISVYGIFAVRDELDRVRNYVFNRTRDNPVLIEQDSITLPLCSPCRGMYILSFALFEVDLWVKAESEGGGSDDKQLLSEYAEIENRGLKDPMIYGRIPSDRCLLDIDCTFLLNSVEAVIQVFTKDDSDNPHRVRFTAFSSGFDHEIVLYDDRLCKKGKLFQNVVAVKSKEKLVIRLEFEGSMFRWTFQDGAVAAVSSPDDSVSKLFDVVALGAINNKMSPSMAPTQSVAN >OGLUM01G24920.1 pep chromosome:ALNU02000000:1:25210631:25215238:1 gene:OGLUM01G24920 transcript:OGLUM01G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >OGLUM01G24920.2 pep chromosome:ALNU02000000:1:25210631:25215238:1 gene:OGLUM01G24920 transcript:OGLUM01G24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRSRKRARQDWDGAGAPPPPAERAVVARGGASPPWRDDDRDGHYVFDLGENLNRRCTFGRVLECWDRETHEYVAIKVVRSIRKYRDAAMIEIDVLNRLAENERYRSLLPYWPAVSRFKDGLTIAIIYALISMLIKQLSFFAHKDMHELRLIHTDLKPENILLVSSEYIRVPGSKKNSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHSSIVSTRHYRAPEIILGKLVIF >OGLUM01G24930.1 pep chromosome:ALNU02000000:1:25216892:25224779:1 gene:OGLUM01G24930 transcript:OGLUM01G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGGDSKGFEVPKLEIKFTKLFINGRFVDAVSGKTFETRDPRTGEVIAKIAEGDKADIDLAVKAAREAFDHGPWPRMSGFARGRILHKFADLVEQHVEELAALDTVDAGKLFAMGKLVDIPGGANLLRYYAGAADKVHGETLKMSRPCHGYTLKEPVGVVGHIVPWNYPTTMFFFKASPALAAGCTMVVKPAEQTPLSALFYAHLAKLAGVPDGVLNVVPGFGPTAGAAISSHMDIDKVSFTGSTEVGRLVMEAAAKSNLKPVSLELGGKSPVIVFDDADLDTAVNLVHMASYTNKGEICVAGSRIYVQEGIYDAFVKKATEMAKKSVVGDPFNPRVHQGPQIDKEQYEKILKYIDIGKREGATLVTGGKPCGENGYYIEPTIFTDEEMSIAQEEIFGPVMALMKFKTVEEAIQKANSTRYGLAAGIVTKNIDVANTVSRSIRAGAIWINCYFGFDPDVPFGGYKMSGFGKDMGMDALEKYLHTKAVVTPLYNTPWL >OGLUM01G24940.1 pep chromosome:ALNU02000000:1:25232056:25239014:1 gene:OGLUM01G24940 transcript:OGLUM01G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGDCGNGKAAGGAGAGGLVVPEIKFTKLFINGEFVDAASGKTFETRDPRTGDVLAHIAEADKADVDLAVKAAREAFEHGSNVAATQERSRVMNKLADLVEQHADELAALDGADAGKLLTLGKIIDMPAAAQMLRYYAGAADKIHGESLRVAGKYQGYTLREPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTIVVKPAEQTPLSALYYAHLAKLCTFDIIIQAGVPDGVINVVPGFGPTAGAALSSHMDVDSVAFTGSAEIGRAIMESAARSNLKNVSLELGGKSPMIVFDDADVDMAVSLSSLAVFFNKGEICVAGSRVYVQEGIYDEFVKKAVEAAKNWKVGDPFDAATNMGPQVDKVQFERVLKYIEIGKNEGATLLTGGKPTGDKGYYIEPTIFVDVKEQMTIAQEEIFGPVMSLMKFKTVEEAIEKANCTKYGLAAGIVTKNLNIANMVSRAVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGMVAMDKYLQVKTVITAVPDSPWY >OGLUM01G24950.1 pep chromosome:ALNU02000000:1:25246987:25247742:-1 gene:OGLUM01G24950 transcript:OGLUM01G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPPFWAVQGAQAGWIGGNARTVRQGLYYLRCLAQALGSNLHPEPCILLTSAVGSLIKIDDNGASLKLRACVLLSTVFFFSGLMQLSMAQAGSPAAATATATTARVIDVKAVDQAVACLLMLAALFVTYLAH >OGLUM01G24960.1 pep chromosome:ALNU02000000:1:25251248:25252059:1 gene:OGLUM01G24960 transcript:OGLUM01G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNREAKAASTHVSTDQEEVALLEFAAKLAKKQSFKDNKSDFFKRLAKMTNDHFIPLDLNNQYVPSTNSKVRSKLGRYETSWLEKDSGSQQMIADEKFCLASQFTCSGARLIGHDGLFTSLFTASEATGTGAPSVSKKRKYTTPKDLGSSLKYLAEHGCKDIEHYIFTVALEDPAALKGFLAMKSYEDKRKHLIGLWKKGIVKVPAVEGINPELLPPDFQ >OGLUM01G24970.1 pep chromosome:ALNU02000000:1:25257636:25260797:1 gene:OGLUM01G24970 transcript:OGLUM01G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEIDVGQNVKVDDLLDALLVEKESNPDAKTRPANSITVFDVDKACATARNASNTMLEVRLFLAAVFSFSSFTSSSNYVIRECLGSVLDLKTVATIDWSMKTPRLQYYTSSMVDDVFTRLGEDIKVKPWAHTVYGKNGIPDVQLDKIDYSFIPDLKKKHKHKAPNAKRYKIGKLGKKEKCPFEEQNDSFVRVPNMRNMLASHLDDLDLPTDMAIKVDHIIEKYQLSWRNAFEDITSKEMRHCAQELEELFGLEARNTINKDESSKRVVVDLGTFKFTEDAFVDVFQPHGWMSNWVVQALAIIAEYRKLLASEIWFHKDNLAMVDLTETDSEKSDEERDTGTRTYIETDGRANGEESAGDSDRKGDASREEESDTTASDSDARKRKHSETESEIDGRANARAPRTASASRDSIPKKTRIVESINKNVEVHLAYPLEGIGSTVILLIDQSMN >OGLUM01G24980.1 pep chromosome:ALNU02000000:1:25273811:25274008:-1 gene:OGLUM01G24980 transcript:OGLUM01G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSMAQGAAAAAAAPATRVIDAKAIDQAIAYLLMFAALFVTYFSH >OGLUM01G24990.1 pep chromosome:ALNU02000000:1:25277576:25277773:-1 gene:OGLUM01G24990 transcript:OGLUM01G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVYLLLFTAFFLSGLMQLSTATQTAPAPAAAPARVIDAKAIDQAIAYLLMFAALFVTYFSH >OGLUM01G25000.1 pep chromosome:ALNU02000000:1:25283113:25283853:1 gene:OGLUM01G25000 transcript:OGLUM01G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDNISKKAKLRKSIQLFLSRNLKKIPPIHIPSSAIPAKITSNRLLSTCRFPRTPSLHGGGGGGGDHRTTDDDDGSNGRDQAATLSDVDRFLFDNFRSLYIHDGDNHQQQRQPPPSPGKFTQPAAAETSSSRSESVAEDARGTSSGDEDDDNSSTAIMLFSVDPYTDFRISMQNIIEMHHGEEPQPLDWDFLEELLFYYLQLNEQSVHKYILKAFADLTAGAHVSCPARGKPQWADKSVRSRKHY >OGLUM01G25010.1 pep chromosome:ALNU02000000:1:25283890:25297359:-1 gene:OGLUM01G25010 transcript:OGLUM01G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MPKRGRERGVGSAHHPFRSAPPACSGPRRSFRRMPRFAGGGGGGGGSQQPPYGSQPSGSQHSGGSASQSSRYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGTFE >OGLUM01G25010.2 pep chromosome:ALNU02000000:1:25283890:25296391:-1 gene:OGLUM01G25010 transcript:OGLUM01G25010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKCYKKYGEVVQGLTRFFRDKVSIDSSKPNETDFNDNIVLLADKHKPQETISALAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGTFE >OGLUM01G25010.3 pep chromosome:ALNU02000000:1:25283890:25296391:-1 gene:OGLUM01G25010 transcript:OGLUM01G25010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79950) TAIR;Acc:AT1G79950] MAVLGSREQMCIHEEVSKLRGRQQNNACHYLCKKRWCRHHNSVAEFMRNNSELGSEACDIEDLVNIGRTKGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNGIPWDNAVLIFDEAHNLESICADAASFDLLPNNLSSCIAEAQECIQLCSAKRTFENSADKQFDPENYAILKALLMALEKKISEVVIDSKELGHTKPGNYIYEFLSELNITSETSKKLIDTIDGASLLLEEGNSAETGPGMKAKATVCRLETIRDILDIIFRGGGQSHAKYYRFHVNECQQNSGDALKVLGKVSRTLSWWCFNPGLAMEEFLKLDQIWVGVVPVGPSGHPLNSSYRTRETLKYKQELGITIVNFARIVPDGLLVFFPSYSMMDKCINCWKDRNHENSSDEHTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLRDSSTTGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKRDYLDKLGSASNKNSKALTGEEWYVQQAARAVNQAVGRVIRHRHDYGAIIYCDERFVWQNYQSQMSYWLRPYIKAVTTANENQRTALSVNPTTKRSNYIKFAQITPANRSTLSMKHGCSSTSQLLYSGDKLSTDAQVIDLAADVATSHLAGYRFKSLGPKKAKVMVGSKDVCFDDGSPKLQHNVESRALAGCLGEQSTASSKKSNITHAPGNSGAIHEKSGGQESNAGPAFLKLAREKLSTAEYRDFVEYMKALKLKTMHIKDSLDAIAKLFSSPERLPLLEGTFE >OGLUM01G25020.1 pep chromosome:ALNU02000000:1:25298444:25323327:1 gene:OGLUM01G25020 transcript:OGLUM01G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLQWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYNLRIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGKTALRRKLLQLFWTSKSQALRLQEYPLYRTETDKTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNMVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGNFFYFIRLSGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVKNNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSEKRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDVVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQFRAYGSHNFGRDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >OGLUM01G25020.2 pep chromosome:ALNU02000000:1:25298444:25323327:1 gene:OGLUM01G25020 transcript:OGLUM01G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEREAALLARVAANHLYLGQFEALRAALLSLRRRADPGLAAGFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLTALELAALPSTPNPEALRLKAEFLVLLQHVADDPAATGAEARGTLSRLLDLGVARLKREVEGGGEAGVGAEEVAVSQEDLTGLWGVFLDKAELFDALCSGVSRQVALDSGLGTDVLQWLRRSVQLAHLDAMKSLVAAGDLEGATGHLRFLCLDHGVEEDEYNLRIALGDLVRRGWPKPSNYGGTWFESHDRIIKMFGSALQSSTPQLVQLIQLILDDILSEEIEDHGASDANWMPLPFKKFMETLSLERGAYLDDKTMLNRAITSCKKDLYHYSRISGKHVLEVVMETVLSLIKREQLQEAANAISMFPLLQPLVAVLGWDILKGKTALRRKLLQLFWTSKSQALRLQEYPLYRTETDKTSCEEYLCDLLCFHLDVACFVSSVNSGRQWNLRNSLLFTQQEQDSDVNSTEILDPFVENLILERLAVQTPIRSAALSLGEMEKSAIDGNEHHYQIALSYLREMQSFMEAIKSTPRKIYMVSIVLSLLHMDDSIKLSEVAPSECSVSHECFDSNVESEEKNMVTSFVGLLLDILRHNLMLDMDNQSSMGLSPAGRQALEWRFKHAKHSIEDLDWRLSVLQRLPPLSERQWSWKEALVLLRAAPSKLLNVCMQRANYDIGEEAVQRFSLPAEDKASLELAEWVAGAYRIALVEDAVNRATDNSNATQELDILSFRAQLGPLTTILLCIDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKVGPNYWDQIQEVALISVIKRILQRLRDILDLEGYPYLQLVFTEMNASSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLNGNFFYFIRLSGKLHNVARAIVDEDSDGTYSKESIKIEKRDILSSEKGIILGHGLRILKQASRTDQTASTVVKNNAEHKGSTSRYLGPVSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYERPKDLLTRLVFERGSTDAAAKVADTMGVDFVHEIISACVPPVFPPRTGQGWACIPLLPTLSKINSEKRSQSAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDSELCSNVKDAKQAPEIKRSFYEFALEQSERYPTLNRWIQMQCNLHRVSESAVSAETDNEVTLHQPKGKFSSKRAREPDSDAESEIEDVVISGKSTSNSLESPKCDEAKLEPTTFISFDWDNEGPYEKAVERLINEGKLTDALALSDRCLRNGASDKLLQLLIEQREEISLGARQFRAYGSHNFGRDTWQYCLRLRDKKLAAQLALKYLHNWDLDAAANVLTLCICHLPENDPMWSEVLRMKQSLQRYGHIMTADDHYTRWQEVEVDCEDDPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGNLSDDDVARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLILEVLLMMKQLQSASLILKEFPSLRDDKLIVTYAKKAISINVNSTPREPRLTISGSRAKQKKVAAPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDILRKRKSSGSGGERSSWEATPGVQEERAPEYPSEGQERLPFVSAPEEWVLTGDPDKDNATRACHRYESSPDITLFKALLSLCTNDSIAGKGALEICITQMRDVLSSLQLPLNASMDNIARAYHATETYVQALSYAKNLLKKLVGTSDLSSSSERSRDVDDISVDTGSSSAGSQNIDGLSDLLPLADLWLGRAELLQSLLGSGIIASLDDFADKESSTNLRDRLVSDERYSMAVYTCKKCKIDAFPVWVAWGHALVRMEHYSQARVKFKYGKLCLQALQQYKGDATHFVLEIISTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSERSRQSKGPMDSQFESVGSYLEDGPRSNLDGIRYAECIHYLQEYARPEMLAFMFRHGHYAEACSLFFPSNQPTDEGETSLSSIPRNDPLTTDYGTIDDLCDLCLGYGAMTVLENTILTITQSPTYQGSAMTQYMNAILTRICNYCETHRHFNYLYNFLVLKGDHVASGLCCIQLYVNSMSQEEALKHLGHAKSHFEEALSVRDRTTEATKLVPRTARNKSASEKMTREMIMKFSTRVSYQMDVVKALNSVDGPQWKTSLFGNPTDAETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLLSNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >OGLUM01G25030.1 pep chromosome:ALNU02000000:1:25324076:25324604:-1 gene:OGLUM01G25030 transcript:OGLUM01G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREQEEEKYLQWRSSLVEKLAGIELNLERVKFRMSVEIPPSDDFRAMKKSWENFYASELLISRNPVRKIAKRPDTILVRGVPSRWFAETRISSKVSTLVTHTIIESC >OGLUM01G25040.1 pep chromosome:ALNU02000000:1:25334068:25336471:1 gene:OGLUM01G25040 transcript:OGLUM01G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSPVPQTSSESIAQKMGFFRVPDLLVKLSSKCLIELDAVRSPTSPLDLIFFPGLGAKSPRSSFLGDRVGLGLVDSLTDDSSTPLGSRKVLLGSEMRITDNVTSKNSFTAPVEAGVVDQKDESMCDDLKGSFMSLDDIVNSEDYTRVVCRGPNPRTTHFFGDHVLEFEGEQLMPDESKSEESLPPRLEEGMMSFCYFCGEKLEEGKDIYVYQGDKAFCSMECRENFMEDEMEEGEPDLSAPPSSPVANDGCIFQLIQ >OGLUM01G25050.1 pep chromosome:ALNU02000000:1:25345993:25348391:-1 gene:OGLUM01G25050 transcript:OGLUM01G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRRPLHTLPILPLLGRHLSSSAAAAEAVTADHHHHHDADLLSPPFDYLPGHPRPDAKHDELILAVPRASSGRHVAAKERKDGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGSRAPDQKKSK >OGLUM01G25060.1 pep chromosome:ALNU02000000:1:25350430:25354786:1 gene:OGLUM01G25060 transcript:OGLUM01G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERRNQKRKKKKREHIPGLAARSSSAAASKPNISSSLLSSLRPANHPTQPNPTPPQPKPKPREGREIPPPPQPPPPATSDLGCVLRRRRRRRRKEEKMFYGAMVWDPWLIVSQIVCLQCLYYLALGLLMALLVGTRVPRLTLLYLFDFATLTPRTTTGWCVIAAFLLAAAAGAAFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMSLLGEYLCIRRELKEIPISRLRASV >OGLUM01G25070.1 pep chromosome:ALNU02000000:1:25358109:25361342:1 gene:OGLUM01G25070 transcript:OGLUM01G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPDEHERQQDGHCRARVNLRPAAARPFGAAARAALKDTLFPDDPFRGLGGMPPARRAWRVARYFVPALDWSAGYSAASFWYDLLAGVTIANLSIPASATPPSQASLRSSASVSSDHEEGIPQRYSCFVPPLVYAVMGSSRNLGVGPVATSSLLVASIVGGKVRASDDQRLYTQLVFTSAFFTGVLQAALGLLRLGILVDFMSRPAITGFMGGTAIVIMLQQLKGFLGMTHFTTKTDIVSVLRYIFHNTHQWQWQSTVLGVCFLIFLVFTEQVRRRRPKLFWVSAMSPLLVVVVGCVFSFLIKGHKHGIPIVGTLKRGINPSSISQLKFQPEYVGVAMKAGFVSGMLALAEGVAVGRSFAAMKNERIDGNKEMVAFGLMNLIGSFTSCYITTGAFSKTAVNYHAGCRTAMSNAVMSVCMALVLVALAPLFRHTPLVALAAIITSSMLGLVKHREIRRLYEVDKADFAVCAAALLGVVFSTMITGLGVAVAISVLRALLHVARPSTSKLGRVSCGSGAGAGAADDDHAFCDVAQYPGAATAPGILVLQVAGSPVCFANAEYLRERIARWVEDEEKAVAGEDLLYVVLDIGGVTAIDSPGIEMLREVHGELERKGMKMAVTNPRMAVAEKLVLSGLAELVGESWMFLSNGDAVAACRYTLQGSKHGGVPPV >OGLUM01G25070.2 pep chromosome:ALNU02000000:1:25358109:25361342:1 gene:OGLUM01G25070 transcript:OGLUM01G25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTPDEHERQQDGHCRARVNLRPAAARPFGAAARAALKDTLFPDDPFRGLGGMPPARRAWRVARYFVPALDWSAGYSAASFWYDLLAGVTIANLSIPYATLAGIPPVIGLYSCFVPPLVYAVMGSSRNLGVGPVATSSLLVASIVGGKVRASDDQRLYTQLVFTSAFFTGVLQAALGLLRLGILVDFMSRPAITGFMGGTAIVIMLQQLKGFLGMTHFTTKTDIVSVLRYIFHNTHQWQWQSTVLGVCFLIFLVFTEQVRRRRPKLFWVSAMSPLLVVVVGCVFSFLIKGHKHGIPIVGTLKRGINPSSISQLKFQPEYVGVAMKAGFVSGMLALAEGVAVGRSFAAMKNERIDGNKEMVAFGLMNLIGSFTSCYITTGAFSKTAVNYHAGCRTAMSNAVMSVCMALVLVALAPLFRHTPLVALAAIITSSMLGLVKHREIRRLYEVDKADFAVCAAALLGVVFSTMITGLGVAVAISVLRALLHVARPSTSKLGRVSCGSGAGAGAADDDHAFCDVAQYPGAATAPGILVLQVAGSPVCFANAEYLRERIARWVEDEEKAVAGEDLLYVVLDIGGVTAIDSPGIEMLREVHGELERKGMKMAVTNPRMAVAEKLVLSGLAELVGESWMFLSNGDAVAACRYTLQGSKHGGVPPV >OGLUM01G25080.1 pep chromosome:ALNU02000000:1:25386337:25388605:1 gene:OGLUM01G25080 transcript:OGLUM01G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTNHSAKSILLLALLLVPHLAAASSGDALISQRRRLLDYHGGGGGHGGGDVYVDPSYTFPNARLRDAYVAMQAWKRAVLSDPHNVTGTWIGPDVCAYEGVFCAAARDDPHLVVVASVDLNHADMAGHLPDELGLLADLAVLHANSNRFCGAVPSTLERLHLLHELDLSNNRLVGAFPDVVLRLPSLRYLDLRFNDFEGPVPAELFDRPLDAIFLNSNRLRFRIPDNVGNSPASVLVLANNDFGGCLPASVANMSGTLDEIILMNTGLKSCIPPELGMLTGLAVLDVSHNSLMGAIPGELARLENIEQLDLGHNRLTGDVPEGICHLPHLQNFTYSYNFITGEPPVCMHVKAFDDRRNCIPGRPDQRPAEQCQFQNTHHAPEKYN >OGLUM01G25090.1 pep chromosome:ALNU02000000:1:25391343:25391582:-1 gene:OGLUM01G25090 transcript:OGLUM01G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAHAAAAMPGLELGLSQDGHIGVLSARSLSQFYHQVGGAGAAGQLPHPHHHHQHHQQQQEDGEDDRDDGESDEESGQ >OGLUM01G25100.1 pep chromosome:ALNU02000000:1:25393116:25393720:-1 gene:OGLUM01G25100 transcript:OGLUM01G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTGRVVALGAVAVVVALTFLAGGADADCYDFCFKDCMARENNMVDYCSYACDKTCQPDKPTLYSSSLSSRLAGDMECQLSCALGSCHRLLPDGKGAVEACFGQCYDGCKTTAAAMLPRPLRAGHYVLSSPPDDVDHDPDQVFASPPDDIDRHVFAAPPDVLAALPGEPDHA >OGLUM01G25110.1 pep chromosome:ALNU02000000:1:25402416:25404238:-1 gene:OGLUM01G25110 transcript:OGLUM01G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRMREYGWEEGARQAASIYASQTDKGSIAISIRIFPYKLPHGTPVPTHPDLAAAASPAPHPKLSHWVDPAASAAPPRELATADTTAQVHPGSFTKAVLAASDAEVVIGEVKRVVVRDGRVIGVEVKGRGVVDADAVVLALGPWSGGEVYICGITKDEEVPNDPATITGDPDSIAALHEIAGRVSSQLKREEGAEVVAEQACYMPCTIDGLPVIGEMPGVKGCYVATGHGGWGILNAPATGAALAELILNGSASIVDLSPFSPARVAFFTALNEVCSLQSLCFLFNDLPFPAAARFSLTSAKLPDAMFCLNVNRLEQEDAILPQDLLQDKVVAFNKVLHSDGHIFETIQILGHL >OGLUM01G25120.1 pep chromosome:ALNU02000000:1:25410786:25411289:-1 gene:OGLUM01G25120 transcript:OGLUM01G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAAAVAVLLAVAAAPLFAAASAHGRGYLGAKSWPPHGSLSSSSSGEAAAADVDDGHTDWKCYSSCMSKCCHRHDDDDDDKANAKANATAGAAAVGLDDDYKCKKQCLGNCFKDVPAVCYRKCVDDWCAKLPPCT >OGLUM01G25130.1 pep chromosome:ALNU02000000:1:25412199:25413633:-1 gene:OGLUM01G25130 transcript:OGLUM01G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPELAKKEMNTCSFTLSGSGLRVNSNGRARAEEGMLLQYHRHEAAKRHNGNLQGDGSDAVSSSVLAKLAASMPVTREIIAGAGAGSAVAAAAVLALLVAAAGGDELAAAVGSSSSIMPPCFHACFDQCVQREEYWFCQFSCYRRCGAGAIAIAIAAGRFSGAGDCEHACALSMCGQIDPGSKMMAVCRDTCGKSYAAAGCRRRPTSLTAAV >OGLUM01G25140.1 pep chromosome:ALNU02000000:1:25414446:25421954:1 gene:OGLUM01G25140 transcript:OGLUM01G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPTTPADAQPEQAHAAEKPPAAPLTPEEAEAEAETAGSGDDEEYVSDPDDALLPEMRRREASDDEGSEEGRARIGSDRGDSDDGDGQGAAEVYEDEAYEDDDEEYYDDLAEEEVGEGFEEEYDGRAEPPKEVAGAQGEDGEKGDVEGEAAVEGDGEEKKEQEPFAVPTSGAFYMHDDRFQEESRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLHEEHYEDKRMSRGRFRGRGGGGRTRGTGRGFARGGKYRGYNEDINNNHQNRPQKVARGRGPRRYEAVAKNNRDVVGFQRKQPARSRESAASASAVRESGQTLNAQSEMAPPKKNVVNSSLNSASPPFYPSGASNPDFSVPAQRRDNMQAGGSNKVFPSSMKMDDNAKVQSGPAVRRDYGARDRFQHADGPVRQSPRSGGTSLNSSGFAASTVNHGQSSVVRTQGGNGIPSNNQSTSSLHQNPRAPTHQQSHTSVVHQKSGQVQTQSAMRIPTQQLNHRTGNPSTTQHLPVRSTESVENGLYPSSNKSNASSGAGKTNSQEAGRGSFMYGGAQVIGAAGAIGLAQGEQNFPGTPALLPVMQFGSQHPGGVGVPTVGMALPGYVAQQQMGMGNNEMTWLPLLTGAAGAFGGSYPPYIALDPAFYSRSSGQTSSSVPSRESIANKGASPPRNGISYCTFIDVDSYIILQFILRDELQSVKHHAIFLSP >OGLUM01G25150.1 pep chromosome:ALNU02000000:1:25423066:25424253:1 gene:OGLUM01G25150 transcript:OGLUM01G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGLKKAFRWFPHSNEDHHHLEEDEGSSERRDLLRSHLEQVVPVTDLEDEPNASSSAVKEPKTVALKVSMHCHCCARKVEKQILKMEGVVSFKVELENKKVTVVGNVNPMEVLESICKVMKSAQILAAA >OGLUM01G25160.1 pep chromosome:ALNU02000000:1:25431192:25431671:1 gene:OGLUM01G25160 transcript:OGLUM01G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT5G19590) TAIR;Acc:AT5G19590] MATPTAQTAALVAAAALFLLASAALPLVRSDADAVGDVTAYDELRHRGFPRGLLPANVRGYTLDAGSGDFAVDLASSCRIVLPAGSYLASFSDRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDELVFEVGSVSAKFPARHFNASLDCPAKADS >OGLUM01G25170.1 pep chromosome:ALNU02000000:1:25441291:25443569:1 gene:OGLUM01G25170 transcript:OGLUM01G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPRVVGCGERTLVLSHGYGGSQAIWDRVLPHLAETNKVVLFDWDFSGGGGDGEKAAAEEEEEEYTFEGFADELVALMEEMGVSGAVYINSDDYEGGFDEPEIDAMLATISSDFLSWAKGFVPLIVGAAADNPSAAETLARTFFAMDPRVADALARMIFLGDNRGVLGRVAAPCTLVHASGDPAAPPCVGRYMEGRIGRAALVTVDSAGHFPQLVAPDEMLRILDAVLAEEEEAAAKGGGVAIVMEERGSLAAVGEVEVKGDIDVAT >OGLUM01G25180.1 pep chromosome:ALNU02000000:1:25455361:25457508:1 gene:OGLUM01G25180 transcript:OGLUM01G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMRAIFACIPGMRSPASPGGCLSAAFGGGDDDGEEGEGEGEDRISRLPDAVLSSIVSRLPVKDGARTAVLSPRWRRVWASTPLVLDDADLLPDDDGPQIFWRDVADAVSRVLAAHPGPFRCVRLTNACSYAGSRGAAALSRWLGVLAAKGVQELVLVFLHAWPVRVEPPADVLRVSSLRRLYLGLWRSFPDTEHLRPGADVFPNLVELGICRTDIKAKDLDRLLQCSPALETLAFVVSYNTQPNVRVRSRSLRCVLFWMSIAEELAVVVAPRLERLILWNGCPCTRRVRRDFRTRVKIGYTPELKVLGYLEPRIHVLEIANTVIEAGTKPSPGTMVPTVKVLAMKVRFGVRQEAKMLLSFLRCFPNVETLHIMDVAPVECLKAHIKKVVFKNFRRERSELAFLRFVLERAQILQTLVVVLTDGDGDHASQEELGNRLKPLIYSTHRASKCAEFFIFVRCGGTSWSFRTASDLSRSDPFDC >OGLUM01G25190.1 pep chromosome:ALNU02000000:1:25469445:25469861:1 gene:OGLUM01G25190 transcript:OGLUM01G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNRRPGNAMRVWRWSILRESRVDDIDVCGCRFLLGGIVMMALSPFRLRSEGFCSTRGCLVVLETSCIMLSKLLAFGKLGNDDFCEVFAGFPFN >OGLUM01G25200.1 pep chromosome:ALNU02000000:1:25470313:25482016:1 gene:OGLUM01G25200 transcript:OGLUM01G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDTILHLVYSSLPENPVSPTATLSAAFTSSSSGGGGGGEDRISALPDDLLRHIVSRLPTKDAARTTALSSRWRGIWRSTPLVLIDGDLFPQGPHISAGGGASSPSSIRDAVANAVTRVLASHPGPFRFVGVINFFLGQHVDALADWLRLLAAKGVEDLVLVNRPWPLDVRIPDTILRCASLRRLYLGVFRFPDTTGHPRGPDVFPHLQELGICHTIMDDGDLDHVLACCPALEKLALVAGYGTPSRVRVESRHSLRCVLLWWSMIDELAIVDPPCLERLILWGTYPCEEEPVKIEIGYAPQLTVLGYLDMGIHALHIGGMIIKAGVTDVSPMAKVPSVKILGIDVNFEARKEMEILPSFLRCFPNVEALHIKYNVDESNGELNSKFWQEVGHIECVESSIKKVVFDQFRGGANELEFIKFILERAQMLDKMVFVVDPENSPFVDQAMSIMKSLASTDYTSASKKCSLMMVGYRKGPPAFSYMRASDLSLSDPFFVSKDIQWVGLEFGLFSRVSTPTLAALAIFPLLYTTGTKNPRCLASSPSGPPTTPAAAAAAAMDYRALMTMEKVLVQMEKDGVDSRMLDLGADCMCKLLVASLPDPPVYPDALLSANDDDSSGDGTGAEDRIGALPDDLLGGVVSRLPIKDAVRTTALSSRWRRIWLSAPLVLVDGHLLPPGEEAGQLPLDASGAVAAAVSRVLEAHPGPFRYVELTSSAMGARARRGDLARWLHLLTVKGVRELVFVNRRRPLDVALPATVFALAPLSRLYLGTWKFPDTAALPRGAGFPHLRELGLYCVAMEDRDLDFVLANSPVLECLGIYYSQRQIVLLRLASHSLRCVQICMCIAEDIAVVDAPRLERLLIWEMFEDDNHATRLSIGHAPNLQLLGYLRPGIHVLENGNTIIKAGTKASPRTIVPSINVLALKVRFEVRNEAKLLPSFLRCFPNVEKLHVKSEKSDEPVGRLNLKFWQEAGRIECLQSCIKYVVFHGYRGDRSELTFLKYILGSGQVLQEMVIVVANGMFSTQDEVGEKLVKPLSSVKMASGDCNITVVEGTVHDEEDSCCLRDARRQPPAMPTLVAAGGMRATERARHPRSSHGPRPHLDHIMARAMCAHAMEESTDTMEGIMRVVLTCLPTPAPFSTSHGSLSSAAGGGGGSGGGGDDRISRLPGALLSNIVSRLPARDAARTAVLSTRWRRVWASTPLVLDDVDLLDIPDEDLRRGHSHRVDLAAAASRVTRVLTSHRGPYLCVHLTCCNMATHWPMLSYWLSLLAANGVQDLVFANRPYPLDLPLPVDILRIPSLRSLYLAFWTFPGIPGGARGPHVFPHLRELGLCFISIDAQDLDGLLQCSPVLETLALVSNSYSPAHIRVRSRTLRCVLFWMSLAQEIALVVAPRLDRLILWKTFMGFPGEIFCRTRVKIGYATELRVLGYLEPRMHELEIGNTTIEAGTKMSSDKTVPSVKILALKVRFGIRNEAKLLPVFLRCFPNVETLHVMSDDAHDPTGKLNLKFWHDVGPIECLHSHVNKVVFHMFRGERSELAFLKFILERAEALQKIVVVLANRDQAWVDEMRAKLRPLAMAKRASENPTLLFVALEGGSAWSFHRASDLSRHGPRVALARRLGGKTLAAAPPPPSSVLRCRRSSSPAKPGDALGWRRRGHPPRLHLAPARAWPRNPSRRCSSSSLLCASLPPELVAGKAG >OGLUM01G25210.1 pep chromosome:ALNU02000000:1:25497559:25508942:1 gene:OGLUM01G25210 transcript:OGLUM01G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVRQILGWFPGHPASGNGATLSAAEGYGGEDRVSALPDDLLRNIVVRLPVKDAARTAALASLWRRVWSSTPLVLRDADLLLSAGEAAGVAAVGRALAGHPGPFRVVHIEHCMFAFHRPELAEWARLVAAKGVEDLVFVNIPVHYFDVPLPADILRCAALRRLFIGNWNFPATSGFPRGADVFPHLLELYIWNTVMSARDLNHLLACSPVLQSLALALNSHPNRVRLCSQSLQCVLLFLSKAEEVAVVDAPLLQRLVLWKISTSYDTDDELPVKIRLACAPELQVLGYLEPRAHQLQIGETIIKADTKVIPSSMVPSIKVLALRVNFCVQEEIAMLVSFLRCFPNVETLHIESDIDDEFTGQPHAKFWQEVCPVECINSHVKKIVFHDFHGDKCELEFIDFIARTAQELQALLLMLTSKTYGPVVDVDEVNSQLGVLSFASEECITSLLGPKVQMRSDVPGSMSSTSSRMKAMVASILFFHPGPFSIICLTCISMGSHDDALRSWFRAFADKHLEELAFLNLHYPNDVMLPNTGIVPCTHTFRELQEICLHCCILHEWDVKNLLTCSPKVEKLLLISSSCGWLLRLHIRCRCLRCLLHWASSFEDLVLVFTPCLERLILWKDDALYWRDSKKIKICSTPKLQWHDASALYTVVVPSVKVCATTIRFVGVCKDEQMVPGFLKCFPSNTPTRLTWSSGKINFIQCVRSSINKVIFDDFSGDECELAFLTFIAHNTNQLEQIYIIPSKKDLSAGSSLGNAINHLLSLTLFVFFILQGTSLCRCKNAWNYQIASDLSLYDPFGYVISEITSA >OGLUM01G25220.1 pep chromosome:ALNU02000000:1:25503771:25504261:-1 gene:OGLUM01G25220 transcript:OGLUM01G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCGGANGINDGKRETISKRRLLGRADLVLTTISRGVVSGSAAPLTNGGEDGVCGQGKGGRTWWFAWTQFSSGHNVGQGFCDMILRALYPLRAIEGRNVELGLIWASCGERIKRQITSPFN >OGLUM01G25230.1 pep chromosome:ALNU02000000:1:25512311:25514139:-1 gene:OGLUM01G25230 transcript:OGLUM01G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNQRLREILAYLPPPPASSNTSAGPPDGAGAGAVLTPSSSSRARDGDRISQLPAELLQDVVSRLPAADGARTTALSRPWARIWGSVPLVLDDALFVIPTTPQAGAGAVTGRISSRSHHAAVVVDKVSRAIDSHPGPFRSVRLTSTNFHRHDRLGHWIRAMGRKGGVEDLVLVHPGGVARAVTLPPEVLTCTSMVRLAVARCGLPPYADVDLPRLRELVLCEGHFRAANELGRMLAGCPKLESLTLINPNDMPYSAMEVVSSTMKILVLCIFHTKVLDLLDAPSLERLIIWRPMLVMSPYTFMIKITRAPILRAIGYLDTILHVVQIGGTIIEAGTKISPSLTIPSVETLAIKLSFGSKEQENRLLSFLKIFPNVKTLYVMSHPPCTSSCVFHDERDVDFWMKNLGSILCVRSQLTKFTFYNLHGVVLGDLPFIRAVMGTARLLKEMRLFPCDKIFFNRLHDIPAEKETILRSYLSESEVGWASDAAKLEVLPYDSVKLKFKIVSDPARDDPFDYVG >OGLUM01G25240.1 pep chromosome:ALNU02000000:1:25518828:25521687:1 gene:OGLUM01G25240 transcript:OGLUM01G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYDSSSDLEHMDTGAKVPAQRTGACWRSCSQIYDGTLKGWSFQEVELLHMDHRENQAFHGGAQDVHGKGHVIGIDQREQLNLRAADEQPVKVISLSVALAQDELPQARQEDGFAGPQGQLLRWLAKERDSVAHHGGAGEDLGREGGGTAHATEVHNDEILDVVIAFQGTDPIPEAVVAVEAGDGESDGVEGAWGTKQCVIEDEGHKSLDPSLGMGEHHWRQACGTQGREELGWELADAGSIRWGSGVGGGWRRRKPIEDPQSTQLDDFEFWEEINSAECVRSSIKKVVFHGFSWKNSEIAFINSIAEGGLVLEKICIFQSRHGTAPDDDDLNAKLSMVASLNIGHGRTEIIFSGEDPTWCSRAAADLSRADPSNGNDLSYFHSEMFLPFNCLKKIFNTI >OGLUM01G25250.1 pep chromosome:ALNU02000000:1:25524008:25525887:1 gene:OGLUM01G25250 transcript:OGLUM01G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVSSILSSHPGPFNSIHLTCSSMGSHNDALKSWFKAFADKHLKELAFLNLHYPNDIMVPTDLFRCKSLKRLYLGGVQLPANTSIIPCSHTFHELWEICLYRCILHEWDIENLLTCSPKVEKLSLVNSACGWPLQLHIRNHSLRCMLHWASSLEELAMVSTPCLERLILWRDDALHWSDCKKIKICSTPKLQVIGYLNPADHVLQINDMKASAATVVPSVEVLAMTIRFGVHEEERMVPCFLNIKKVIFDDFSGEECELAFLSFIAQNANQLEEIYIIPSKNDLSAGSSLGNVINHFMSSILWASAYCRV >OGLUM01G25260.1 pep chromosome:ALNU02000000:1:25531391:25533948:1 gene:OGLUM01G25260 transcript:OGLUM01G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAMNMITAAFRRYLGCFSAGSTHRRRRGAAEPVLAGSLTLPAAAGGDNAARRLDVVAPAPSRPDGVTVSGEDFIGSLPGHVRSAIVSRLPVADAAARTGVLSSSQWNGLWSSTPLVFQDSDLILAANFTSVAPVAAAVSRVIENHPGPFHTVTLTSYFPESERDTFAGWIRAVAAKGVRDLTLHNIPWSGLHVLPADLLQCCAALERLRVCVWRFPATAGVIHPGGGDGDGAAPPSFPSLRELVLNRSAIEEADLENVVACSPALRTLVLAFSRGAPGRVRLASGSLRCVVLCQSLVDELAVVAAPLLERIVLRWCASGTHHGHLMRIRISRASSIKAIGYLKPTCHRLQIDATVIKPGLTVSPDEVAVPSVKILGLQVRFGVAAEATMVSCLLRCFPNVETLHIMPIEDPQSTQLDDFEFWEEINSVECVRSSIKKVVFHGFSWKNSEIAFINSIAEGLVLEKICIFQSRHGAAPDDDELNAKLSMVASLNIGLGRTEIIFSGEDPTWCYRAAADLSRADPFDCCR >OGLUM01G25270.1 pep chromosome:ALNU02000000:1:25547136:25555587:1 gene:OGLUM01G25270 transcript:OGLUM01G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCTHKHRIMAADKSPIDEALLHGKHEEALLHGKHDHVEQQLLPTSGGSFCITGASFGRSCLNLSNVISGIGMLSVPYALSQGGWLSLALFAMVGAICFYTGKLIYRCMRADRCVRSYPDIGYLAFGRYGRTAIGLVMYVELYLVAISFLILEGDNLDKLLPGTVVKILGYQVHGKQLFVLVAAAVILPTTWLKNLSMLAYVSAVGLVSSVALTVSLVWAGVADKGFHMAGSSILNLSRLPTALSLYFVCFAGHGVFPTVYSSMRARKDFPKVLLISSVLCSLNYAVTAVLGYKIYGEDVQAQVTLNLPTGKLYTRIAILTTLITPLAKYALVIQPVTTAIEEKLSVTTAAAVVADAENNRLTRVLTSTAVVFSTVVLACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLKIYMPRGGVGRFEVVAIVGILVIGVCVAVIGTYTSLHQIIGTF >OGLUM01G25280.1 pep chromosome:ALNU02000000:1:25575179:25575732:1 gene:OGLUM01G25280 transcript:OGLUM01G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRHKMATLDGPVWGKGDPFFSLTLSPSNPTTWMESKHTEGRDSTLMVGRRRGVGAVAACRRGLAGGTVEPDMYALVRESRNSGESPASGPAMVTPAGAAFPLGRCCISFPLPMDSLGENHVLEIQDGRRRRTWRRSLLGGVVQKTHSLGVLWL >OGLUM01G25290.1 pep chromosome:ALNU02000000:1:25577832:25579106:1 gene:OGLUM01G25290 transcript:OGLUM01G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQKVILAEPLLPGKEADFADGDDVEAQLTSYHTGASFSRTCLNLTNAVSGIGVLSMPYAVSQGGWLSLLLFVLVGAVCYYTGTLIERCMRADGSIASYPDIGQFAFGAAGRRAVAFFMYVELYLVAISFLVLEGDNLDKLFPGATMELLGYRLHGKQLFIVLAAAVILPTTWLKNLGMLAYVSAAGLVASAALTASLIWAGVAETGFHRNNNTLNLAGIPTSLGLYFVCFTGHAVFPTIYSSMKNSKHFSKVLLISSVLCSLNYGLTAVLGYLIYGDDVQSQVTLNLPSGKLYTKIAIVMTLVNPLAKYALLVAPITAAVEERLSLTRGGAPARVAISTAVLASTVVVASTVPFFGYLMSFIGSFLSVMATVLFPCLCYLKIYKADGIHRTEMVAIAGILLLGVFVAVTGTYTSLLQIIATF >OGLUM01G25300.1 pep chromosome:ALNU02000000:1:25584816:25586300:1 gene:OGLUM01G25300 transcript:OGLUM01G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBA7] MDEQQPLHILFLPFLVPGHLIPIADMAALFAARGVRCTILTTPVNAAVVRPAVDRANDDALRGDAGGAPAIDIAVVPFPDVGLPPGVESGTALASEEDRGKFVHAIQRLREPFDRFMAEHHPDAVVADGFFTWSVDAAAEHGVPRLVFLGTGVFARSCQESMVRHNPVEACPDDDPDAAVSLPGLPHRVEMRRSQMIDPKKRPDHWAYFKMMNDADQRSYGEVFNSFHELETDYVEHYRTALGRRAWLVGPAAFASKDVAARGAAELSPDADGCLRWLDAKPHGSVAYVSFGTLSSFSPAEMRELARGLDLSGMNFVWVINGAADDTDASGQWMPEGFPELISPHGDRGLTIRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVSAGVPMVTWPRYADQFFNEKLIVEVLKVGVSVGSKDFASNLENHQVIGGEVIAGAVRRVMGDGEEGAEAIRKKAAELGVKARGALEKGGSSHDDVGRLMDALMARRTSVDV >OGLUM01G25310.1 pep chromosome:ALNU02000000:1:25674846:25679216:1 gene:OGLUM01G25310 transcript:OGLUM01G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQIQKQALLLEAKDITSGGRRRRRRNPEEEELPALSCNRAQESISSGGRFALGFIFGFKLLGVDFYDGLSTNKASGSFNAFLESTGSFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERRELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >OGLUM01G25310.2 pep chromosome:ALNU02000000:1:25676111:25679216:1 gene:OGLUM01G25310 transcript:OGLUM01G25310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERRELKEMVLALLDESDLNITSDAVEMIVDRTFDQADTKGDERIDQEEWNEFVKNNPYVLRNMTLPYLKDLTMVFPSFVIHSEVSEADMVA >OGLUM01G25310.3 pep chromosome:ALNU02000000:1:25676111:25678720:1 gene:OGLUM01G25310 transcript:OGLUM01G25310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGCLPTKQVGRSTHSLNPREAVALAAETSCENVDLPDFVNIATGTPFTVNEVEALYDLFRKLSNSIIKDGLIHKEEFHLALFRNKKTNLFVDRVFDLFDQKGNGVIEFDEFVRSLSVFHPDAPEEQKAGFAFKLYDLRQTGFIERRELKEMVLALLDESDLNITSDAVEMIVDRGPHHEVSEADMVA >OGLUM01G25310.4 pep chromosome:ALNU02000000:1:25674846:25676048:1 gene:OGLUM01G25310 transcript:OGLUM01G25310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLQIQKQALLLEAKDITSGGRRRRRRNPEEEELPALSCNRAQESISSGGRVAAPSP >OGLUM01G25320.1 pep chromosome:ALNU02000000:1:25680449:25683308:-1 gene:OGLUM01G25320 transcript:OGLUM01G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTTASSRSCVVFAHVDVLQHGEANEEALALLIDYLSSGDSPGAEGALELATSRSVSFAGTPWSPQSRDMCSNARTWSTSLRGLGPTPPTSFGAPEMELDGQIRGGIDELPDGGTERWVAAVLSSSDPPAQERIDCIIPYLISLLPAPFVPAPPDAAAADDSEDDHFSFTSSDDDSAAEDARSFPSPPPGDGEEDHISRLADDLLSEIISRLSTKEAARTMALSTRWRRVWAKTSLLVDDAHLRDADNEVSLVRAISRCVDAHPGPVRAARITHVAFYHHEYALRRLVASLADKNVEDLILFNRPWPLNMPLPDDIFRCAYLRRLYLGAWMFPEVAASAAAFVNLRELGLFHCIIPDRDFDALLSLCSKLEVLSLAMSYNCPSRLRISSPSLRAAVEWMSSLDEIVVDGAPCLERLLLHHAIPVAERTPIKIVSAPRLEVLGILDLQLHELQIGGTTIRPGMWMFVKSSAKLPSLKVLAIKVCLAIEREIKLLMTLLKCFSHLETLHIKSIPPCASPEIANCADVWESLGSCECLKSHLKTVSIQGFHTERYEVLCLKYLILEGEVLETVAFFCEDKVCFAAKDDEAAEIELMFPKNLVQDRWSFQSAIDLSLDDPFFYAVES >OGLUM01G25330.1 pep chromosome:ALNU02000000:1:25684640:25686142:1 gene:OGLUM01G25330 transcript:OGLUM01G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSLLATALLLLLLAIAGGPNAAAVAEAVRYQTLVATPLSPHPYIATAVEDDGLFQGSLAADEGGAAASTVGLRVVHRDDFAVNATAAELLAHRLRRDKRRASRISAAAGGAAAANGTRVGGGGGGSGFVAPVVSGLAQGSGEYFTKIGVGTPVTPALMVLDTGSDVVWLQCAPCRRCYDQSGQMFDPRASHSYGAVDCAAPLCRRLDSGGCDLRRKACLYQVAYGDGSVTAGDFATETLTFASGARVPRVALGCGHDNEGLFVAAAGLLGLGRGSLSFPSQISRRFGRSFSYCLVDRTSSSASATSRSSTVTFGSGAVGPSAAASFTPMVKNPRMETFYYVQLMGISVGGARVPGVAVSDLRLDPSTGRGGVIVDSGTSVTRLARPAYAALRDAFRAAAAGLRLSPGGFSLFDTCYDLSGLKVVKVPTVSMHFAGGAEAALPPENYLIPVDSRGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRLGFVPKGC >OGLUM01G25340.1 pep chromosome:ALNU02000000:1:25701450:25708194:-1 gene:OGLUM01G25340 transcript:OGLUM01G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBB6] MDSAVDGPRQPSARAGSRLCTCCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >OGLUM01G25340.2 pep chromosome:ALNU02000000:1:25701450:25708194:-1 gene:OGLUM01G25340 transcript:OGLUM01G25340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBB6] MDSAVDGPRQPSARAGSRLCTCCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >OGLUM01G25340.3 pep chromosome:ALNU02000000:1:25701450:25708194:-1 gene:OGLUM01G25340 transcript:OGLUM01G25340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBB6] MDSAVDGPRQPSARAGSRLCTCCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVENNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIISYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPYYAISHIFSCDLMLYSSIVCIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGVAGGDSDCEQQAKRPERSRSSLQGKHGNIDF >OGLUM01G25350.1 pep chromosome:ALNU02000000:1:25716242:25716902:-1 gene:OGLUM01G25350 transcript:OGLUM01G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGSGVPTASTKLGEGLAPSGVGGGESGSVDGEAETTGTTGRRREVAPPDLASPGQIRPPSSESGLPGAEGWLPSRMQ >OGLUM01G25360.1 pep chromosome:ALNU02000000:1:25717915:25718708:1 gene:OGLUM01G25360 transcript:OGLUM01G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTLDPVNTTTVIRGRRRRISVLRLRAARPTCTATSASCSPAGTAQTSLSRPAARRSRRTGPCSPPARPCSRRSSSARWRSRGAPPPPR >OGLUM01G25370.1 pep chromosome:ALNU02000000:1:25718759:25719461:1 gene:OGLUM01G25370 transcript:OGLUM01G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTVSVKCMVIACNCPWLRRLLSPAPVVGTAARYTPLCRHMGGSAPSGARRRFCEGRRRWGDGSPVASPPPLQIF >OGLUM01G25380.1 pep chromosome:ALNU02000000:1:25722365:25724436:1 gene:OGLUM01G25380 transcript:OGLUM01G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-binding protein [Source:Projected from Arabidopsis thaliana (AT4G23950) TAIR;Acc:AT4G23950] MSKKRREGGGGGSGGCDPPAVPDALSMDGGLREVSLSVVFSVWCLLFLLRSQFLHSQTDPSDFYDDVEDRMRENYCKVMPLEAYIFPTEYNASAAAPTCQPSLHPPEPQQETDHRSLEPEPFNTTTGGKSSAEAAAAAALDELDEFRSRILQGKAENGRVPDGAAPAAHRLEPSGAEYNYAAASKGAKVLAHNREAKGAANILGGDKDRYLRNPCSADDKFVDVELSEETLVRTIGLANLEHYSSNFRDFELYGSPSYPAPAEEWELLGRFTADNAKHAQRFVLPDPRWTRYLRLRLATHYGSGFYCILSYLEVYGIDAVEQMLQEIISGSGADTDASAAAKAEEGGDGGTLRNDTAQVNARLDGVGGGGGSAAGRNDSAGDGAGAKNNGSRMTVAGDGKPAAAGRFHGDAVLKIMMQKMRSLELGLSTLEDYTKALNHRYGAKLPDLHTGLSQTAMALDRMKADVRDLVEWKGNVAKDLGELKEWRSNVEEMRSIQETMQNKELAVLSISLFFACLALFKLACDRVLFLFTRKGAAAAERMCGASKGWILVLASSSFTTFLVLLYN >OGLUM01G25390.1 pep chromosome:ALNU02000000:1:25725060:25727836:-1 gene:OGLUM01G25390 transcript:OGLUM01G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLAQLRSKAAQASELVSKHGCAYYKEVMEKNKQHVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKEFDGVKQVWKNRKELKVEDLGIVTLFGVELYAWFCVGEIVGRGFTITGYKV >OGLUM01G25400.1 pep chromosome:ALNU02000000:1:25732566:25739536:1 gene:OGLUM01G25400 transcript:OGLUM01G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBC2] MNGEAVVPEPAAAASSPAQASPSPPEWRFSQVFGERSAGEEVQDVDIISAIEFNKSGQHLATGDRGGRVVLFERTDAKDNACRKEAEKVDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANGALFLLSTNDKTIKFWKVQEKKVKKVSEMNLSPSNASANGRPAGSNASGSSAYLPNGGISKPVGLNSLRLPVVVTSQETSLAASCRRVFTHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQLFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDINMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLRVATGSYSNIFRVFGCGTGSNEATTLEATRNPTRRQLQNPTRPARSLSTLTRVVRRGAESTGIEANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >OGLUM01G25410.1 pep chromosome:ALNU02000000:1:25740142:25743397:1 gene:OGLUM01G25410 transcript:OGLUM01G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSIVGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKQSGGEVMDTRAGEDEEALEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >OGLUM01G25410.2 pep chromosome:ALNU02000000:1:25739917:25743397:1 gene:OGLUM01G25410 transcript:OGLUM01G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGTHPPVSPPPRPLPSSKTPDAPRPFRTPPPFPNQTPSPSPLTLLPSKPRVRVSAPLLPTGFRIHPPPPPPPAMAAGSIVVDFPSMGAACCFSSLESLLRDSTSRFLAAVSAAPDPDLTNFRSLFSRVLNTYPDPPLEAVWFFSALSFHDNPGDLRSLLHLLSAFTASSRSAAKPLALLAPVVSELYHSAKPRREAEALVEAVLSYISICSSRAAPAAGDGAGAGADAGSLLPAFGELVKVWSVRHSRDRCPFQVLFPLVGEDARRELMREGCSVAFLAGAVVAEAFLLRLCLKVQGAAGASRAELQKELRIWAVSSISVFQNQQFFGVLLNMLVNPPLPVYSLLSADDEILVRDVLYDALILVDYSFINKGAGVDQADSSLLPLYVSRLLITHDAINDARSKGDQGRAMSFMNAFFTSNIPTYFAKCATSQVGFNQLSKPAAITPQALLKWLVDLEDKGFKVFGENVSRIRERLMYDEVKNGYQSRMTHSDADLFFIDKQSGGEVMDTRAGEDEEALEMETADNAFMAAAQSMKAMANGMRKRKDCGAEDANVVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEETD >OGLUM01G25420.1 pep chromosome:ALNU02000000:1:25743947:25746424:1 gene:OGLUM01G25420 transcript:OGLUM01G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPALHHEPLLSRSHRRLPPSPPPPPLPSRLAAAHPSAPSPSPRDDERLRLRAEELRSYAAALQGCAASRALLRGKALHARLLRSGPRPDAFLHDSLLNMYCKCGRLADARSVFDGMPHRDVVAWTAMVSAITAAGDAGAALRLFAEMSEEGVVPNGFALAAALKACTVGSDLGFTPQVHAQAVKLEGLLDPYVSSSLVEAYVSCGEVDVAERALLDSPVRSDVSWNALLNEYARDGDYAKVMLVFDKLVESGDEISKYTLPTVLKCCMELGLAKSGQAVHGLVIKRGLETDRVLNNCLIEMYSKCLSAEDAYEVFARIDEPDVVHCSLMISCFDRHDMAPEAFDIFMQMSDMGVKPNQYTFVGLAIVASRTGDVNLCRSIHAPIVKSGFSRTKGVCDAIVGMYVKTGAVQDAILAFDLMQGPDIASWNTLLSGFYSGNNCEHGLRIFKELICEGVLANKYTYVGILRCCTSLMDLRFGCQVHACVLKSGFQGDYDVSKMLLDMYVQAGCFTNARLVFDRLKERDVFSWTVVMSTYAKTDEGEKAIECFRSMLRENKRPNDATLATSLSVCSDLACLGSGLQLHSYTIKSGWNSSVVSSALVDMYVKCGNLADAEMLFDESDTHDLVEWNTIICGYAQHGHGYKALEAFQEMIDEGNVPDEITFVCVLSACSHAGLLDEGRMYFKLLSSVYGITPTLEHYACMVDILAKAGKLAEAESLINEMPLTPDASLWKTILGACRMHGNIEIAERAAEKLFESQPDDISSCILLSNIYADLKRWNDVAKLRSMLVDRGVKKEPGCSWIEINGKLHVFLSQDGCAKY >OGLUM01G25430.1 pep chromosome:ALNU02000000:1:25746902:25748287:-1 gene:OGLUM01G25430 transcript:OGLUM01G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDGDTAVAAAAAAGGGVVVVFDFDRTIIEWDSDDWVITKLGASDAFRRLRPTMRWNPLMDRMMVELHAQGRSADDIRDCLKSAPLDAHVLSAITTASALGCDLRVASDANAFFIETVLEHHGVLGCFSEISTNPARVDGDGRLRISPFHDPDDSSPHGCSLCPENMCKGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNKQLLKAEVHPWNNGEELEQTLLKLVNKLITPPAQPSQFEYKCDMSNPVSTEVGQALRVPH >OGLUM01G25430.2 pep chromosome:ALNU02000000:1:25746900:25748287:-1 gene:OGLUM01G25430 transcript:OGLUM01G25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDGDTAVAAAAAAGGGVVVVFDFDRTIIEWDSDDWVITKLGASDAFRRLRPTMRWNPLMDRMMVELHAQGRSADDIRDCLKSAPLDAHVLSAITTASALGCDLRVASDANAFFIETVLEHHGVLGCFSEISTNPARVDGDGRLRISPFHDPDDSSPHGCSLCPENMCKGKIIERIQATANGKRHFIYIGDGRGDYCPSLKLGEGDYVMPKENYPLWNLISSNKQLLKAEVHPWNNGEELEQTLLKLVNKLITPPAQPSQFEYKCDMSNPVSTEVGQALRVPH >OGLUM01G25440.1 pep chromosome:ALNU02000000:1:25748804:25751697:-1 gene:OGLUM01G25440 transcript:OGLUM01G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMNYEEGAGLGKYGHGIIDPIKPTIKYGKGGIGKFKSPYDSDSNYDMGPPAEPELERGTGEAKPEAIVDAEEVRAMDTLQREREAYAAARARERHHEKVRAYNMRGQRPPKHDAADDDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFAGVNAKFPEEYRTNRMPYKAISFAAPLLHSQLSRQYNASEYGGTEPLLNRTLVMVEALKDMLGADTSAAYPRLIHDLVMAPPLDAWRWRAEEPKPMLRFINRWKGLLPQATMDSILDEVILPELVFAADVFRLTTWSSKPSVCVGMWIPHLGHARLRIVYIIISRRLRNRLCGGISDYDYRLALPWKKVFDPVSWDEHIKRHVLPHMRKALHDLEISVRMTWLQNNNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERPRLDEAMAWSTEAIPTSQYVDAYPQ >OGLUM01G25450.1 pep chromosome:ALNU02000000:1:25757689:25758816:1 gene:OGLUM01G25450 transcript:OGLUM01G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBC9] MAAATMALSSPALAGKAAAKVFGEGRITMRKSAAKPKPAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWAVQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OGLUM01G25460.1 pep chromosome:ALNU02000000:1:25761058:25764012:-1 gene:OGLUM01G25460 transcript:OGLUM01G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEPLWHGVRHGGGDARQHHHHQLSHGFGAKDASASSPWSSDGLWTDGLVCAFEFVRGGGGAHGFVTPANLCRSKCCSLLQSKDLAVQDRRRSLAAKIGDNGDGPRPPTVAPAESLWAPIGWRRITQLVGMVGGDAAAWHDDGQSMNLMEHDNVDDGGDEQCDDITVADVAAPYWQRAAGPTWWCHVTAGHPAVDAWLAAARWLHPAICVALRDESVLISEKMKHLLYEVPVRVAGGLLFELLGQSVGDPARDEEDIPIVLRAWQAQNFLITALHVKGSAPNVNVIGVTEVQELLSACGSTAPKNIQEVIAHLASRLARWDDRLWRKYVFGAADEIELKFVNSVFRRNQEDLKLLCMIFNQDIRRLATQVIRVKWSLHAREEIIFELLKYLGGSTTKSLLEAIKKDARQMIEEQEAVRGRLFTIQDVMQSTLRAWSQEKSLRITHNLTIFGGCGLVLSIIAGLFGINVDGIPGAENTPYAFALFSALLFLVGLLLIIVGIVYFGLQKPISDEQVQVRKLELQELVSMFQHEAETHARVKEGVLRSDLPPRAADLICDDNGDSRLLVCDC >OGLUM01G25470.1 pep chromosome:ALNU02000000:1:25771864:25775847:1 gene:OGLUM01G25470 transcript:OGLUM01G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREMKMSTGENLQAGLLSRTPPFGLRLWVVLGISIWAAILFVLGCICFFLIYWRKRGNRFGDTAEPEIPDITKEIAVDEARNGVAAENVQRQESHTLSLKERQTNKGSRKMLAHFLSCKSSGSHNLVGCSSMYQNDKAQCSYSSDEGTSGHNEREYSQYATMSTSPQIGLPEFSHLGWGYWFTLRDLEDATNGFSDDNIIGEGGYGVVYHGCLINGTDVAIKRLFNNIGQAEKEFKVEVESIGHVRHKNLVRLLGYCIEGSYRMLVYEYINNGNLDQWLHGAMSQHGVLTWEARMKIILDIAKALAYLHEGIEPKVIHRDIKSSNILIDKDFTGKLSDFGLSKLLRAGKSHITTRVMGTFGYVAPEYANTGQLNEKSDVYSFGVLLLEAVTGRDPVNYGRPTDEVHLLEWIKLMASSRRAEEVVDPAMEAKPTKRQLRRALVVALKCVHPKADKRPTMGSVVRMLEADDVALSSRQDRRSQMGRCGDGDGSGREPSGTSARYDTAFV >OGLUM01G25480.1 pep chromosome:ALNU02000000:1:25779165:25780600:-1 gene:OGLUM01G25480 transcript:OGLUM01G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEPVVTPAVREEAATPLARSGWRRLRLPTAAVTAAAAVAATTAAAGEAAAVVVAALADGLASWRRPCGLVGGEACRAGVVEAGGVWLSWRRCRAARGHTAGGVRQREGVMEASSVTTLQGCNLVIFSCSINRTSHRLMRVVKKKLTGYPT >OGLUM01G25490.1 pep chromosome:ALNU02000000:1:25780613:25800231:-1 gene:OGLUM01G25490 transcript:OGLUM01G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLRGSLPEKLPANLTIPILKVLRLHYNQISGTIPACFCQFSGLEEINLSHNRLTGEIPQCSIDTFGSSFGVIDMSSNNLSGKFPSFLRKARSLSFLDLSYNKLSGNMPTWIAERMPSLEVLILRSNMFCGNLSMNFSELNQLHFLDIAHNNISGSLPSSVRNLTAMKYSESDDLNYTGASISISIKNQEHNFTFQSTNYIVLIDLSYNSLTGHIPREISELKGLQSLNLSGNQLNGKLPDNIGALRRLESLDVSYNELVGEIPSSLSDLTFLSSLNMSYNNLSGRIPSGRQLQTLNNLYMYIGNPGLCGPPLPTNCSTNQTNQIVHGEHDDASHDTIYLYLSTSAGFAVGLWAVFCTFLFKKAWRIAYFQLNDQIYDKIYVQMAILSLLFTQTSSIDHASSDAERTGGCIPKERSALLAFRAGLSDPGNILSSWKGDDCCHWKGVRCSNRTGHIVKLDLQTRGCYTDPEYPWVESEPGLGGNISSSLLILQRLQYMDLSCNNFSGVRIPEFFGSLKNLRYLDLSDSSFSGRIPPQLALILPGCQLSTSVDSLPYYNLTSLETLYLWANSFHKRITPNWFWDLTRLKHLDITGNGFYDPFPYEIGNMTSIVELDLSLNELVGMIPANMKNLCNLERFVAYGTNINGSITELFNQLPRCSRNKLQELFLPSCNLTGRLPTTLDLNLSWLDLSNNSLTGPIPLWVGKLTNLTILDLSFNKLEGVIHEGHLSGLARLYWLTLSNNLLAIRVNSTWVPPFKQITQIELRSCQLGPKFPTWLRWLTHVKNLDISNTSISDKVPDWFWIAASSVTYLNMRNNNIRGVLPSRMESMRAIAMDISSNQLSGPLPKLPVNLTGLDLSKNNLSGPLPSDLGAPVLMSLILYDNSISGAITSLCKMHFLLLLDISGNKLTGPIPDCIANSSSEISTGLSISNLSLRNNNLSGQFPSFLQNCKNLIFLDLSYNQFFGTLPPWIGHNGRIPPDLTSLVGLQYLDLAHNNFSGSIPNSLVKLHGMSPHQEDNEDQLSRAIRYGSGINNNELVDYIENITVVTKGQERLYTGELIYMVNIDLSSNNLTGDIPEEIISLVALTNLNLSWNRLSGQIPEKIGSLSQLESLDLSHNVLSGGIPSSITSLTYLSHMNMSYNNLSGRIPSGNQLDVLEDPASIYVGNIGLCGHPLPNNCSINDEPKIEQGELEEVSFRLSMIIGFVVGLLLNVCSSGHNLQEVMEEKLRTNGFRSCQLGPQFPQWLRWQTDIDILALENTKLDDVIPDWFWVTFSRASFLQASRNRLCGSLPANLKHISADHIYLGSNLLTGQVPQLPMNISHLNLSSNLLSGPLPSDLKAPLLEELLLANNQITGSIPPSMCQSIGLKRLDLSGNKITGNLEQMQCWKESDNTSSMTNINSGNKFGSNMLSLALNHNELSGEFPRFLQSASQLLFLDLSYNRFFGSLPKWLPEIMQKLQILRLRSNNFTGHIPKKLTHLDRLHYLDIANNNISGTIPEDLAHLKAMTVVAQNFESYIFEESIPVITKDQQRDYTFGIYNQLVNLDFSCNNLIGHIPEEIHLLIGLTNLNLSRNQFSGTIPSQFGDLKQLESLDLSYNEFSGKIPSSLSALTSLSHLNLSYNNLSGEIPSGPQLQALDNPIYIYIGNPGLCGHPLPNNCSNNNAKQSDFTDRNHVETLYLGMGIGFVIGLWTVFCTMLMKRTWMMAYFRIIDKLYDKAYMDSPDAQKAGLCSMKSLVNMSKLALLLLRGAAMILWLIISQTPSTCCVHARCVAGERDALLSFKASLLDPAGRLSSWQGDDCCQWKGVRCSNRTGNIVALNLRNTDADFWYDFYDADGLNLLRGGDLSLLGGELSSSLIALHHLRHLDLSCNFFNGTSIPVFMGSFKNLRYLNLSWAGFSGKIPSQIGNLSSLQYLDVSWNYFSHEHNTFFLSSTDLSWLPRLTFLRHVDMTDVDLSSVRDWVHMVNMLPALQVLRFSECGLNHTVSKLSHSNLTNLEVLDLSFNQFYYTPLQHNWFWDLTSLEELYLSEHAYLAPAGPIPDRLGNMSALRVLDLSCSSIVGLFPKSLENMCNLQVLRMDGNNIDADIREFMQRLPMCSWNSLEELSLDYTNMSGIFPTLIRKMSNLSVLLLSGNMLVGELPAGVGALGNLKKLGLSNNNFNGLVPLETVSSLDTLYLDGNKFSGSMPLEIGAVSNLKELILEYNNFNGPVPSWIGTLGNLTILDLSYNNFNGPVPLGIGARPCTIMDWSISGPVPPGIGSLSNLTTLDLSYNRFQGVISKDHVEHLSRLKYLDLSYNFLKIDIHTNSSPPFKLRNAAFRSCQLGPRFPLWLRWQTDIDVLVLENTKLDDVIPDWFWVTFSRASFLQASGNKLHGSLPPSLEHISVGRIYLGSNLLTGQVPQLPISMTRLNLSSNFLSGPLPSLKAPLLEELLLANNNITGSIPPSMCQLTGLKRLDLSGNKITGDLEQMQCWKQSDMPNTHSADKFGSNMLSLALNHNELSGKFPQFLQNASQLLFLDLSHNRFFGSLPKWLPERMPNLQILRLRSNIFHGHIPKNIIYLGKLHFLDIAHNNISGYIPDSLANFKAMTVIAQNSEDYIFEESIPVITKDQQRDYTFEIYNQVVNLDFSCNKLTGHIPKEIHLLIGLTNLNLSSNQFSGTIPDQIGDLKQLESLDLSYNELSGEIPPSLSALTSLSHLNLSYNNLSGTIPSGSQLQALDDQIYIYVGNPGLCGPPLLKNCSTNGTQQSVYEDRSHMGSLYLGMSIGFVIGLWTLFCTMMMKRTWMMAYFRIIDNIYDKAYVQVAISWTRLIRKNQDAENVPAKLELTTDRRLSCLT >OGLUM01G25500.1 pep chromosome:ALNU02000000:1:25787813:25801704:1 gene:OGLUM01G25500 transcript:OGLUM01G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDSSGNKALHAAKSCLLCIRRVHLIETFVWKRMSTKTWVTNIDVDRIMKSVKLCPIHCAAACKPLLEAGGVYRTDSPPAAAGLPFHEPCSAGLARATSCRLPTRSRDEEAPRLLPSVAACRGGRAVDDDPLEVFGLMPDRRVMQQRSLGTRTAEAARLGGPQAARLRLENLTIFRGDGSRPSIQRLCKLERLPSCGGILPLKLESDRSRYLKFLREPKNSVGGKRRAAETRIADIKIPQVL >OGLUM01G25510.1 pep chromosome:ALNU02000000:1:25801712:25803443:1 gene:OGLUM01G25510 transcript:OGLUM01G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLISSVCKVNRCPPPSFKPPHQSPFSVTKVLRRSSSMTCPVVLSHRTPFHWQQSSPRHEERRPEWSKKLFLKDVSAFRSLGTQIPPTAPGVVVVFARSKGEADYDSQVFHRPTTLRFIVHPTDKRLIATIKPVAHEWDVMGWGEPTFRGVWDGLVPGEEYSSKIRDQLIRKKLADELVPPGTSERRHRAIRHLCELRRASASVVASLSTAASSTPAATSARPRAPPPPPPP >OGLUM01G25520.1 pep chromosome:ALNU02000000:1:25808995:25812171:-1 gene:OGLUM01G25520 transcript:OGLUM01G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTLRVLRLCACRLNSTVSTLSRSNFTQLEILDLSDNLFNSSISNNWFWQLTGLKELHLSYSYWFGPIPDELGNMSTLEVIDLSDNFMFGNIPTTLKNLCNLQVLYLQSTNINEDIAELMGRLPKCSWNKLRELDLHYTNLTGSQPIWIGNLTRLRYLDLGDNMLIGLVPVGIGALSNLSYLDLSRNSFNATLEGLQPRMPSAVKRARIRRESNLGWVMLDCRVGDMSGDDDLGAITVAKGTTSTGDGITVAFSAAAPPVISRLLFALHPNKNRQTTDSSDSDSDSSDSDSDESDSSARGRVIAAHGNSVLLSCIFNVRDPITPYVASLREELAVHLPARSRG >OGLUM01G25530.1 pep chromosome:ALNU02000000:1:25812233:25812756:-1 gene:OGLUM01G25530 transcript:OGLUM01G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARSCCPSRRASWTLLVVCLHGEGKTAAGGRESGAATELAISSSSTSTIILILADLIH >OGLUM01G25540.1 pep chromosome:ALNU02000000:1:25823742:25826639:-1 gene:OGLUM01G25540 transcript:OGLUM01G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLHVSCIQLAILLFLLAQTECSNGTSAHNPYETGIITRCITTERSALLAFRAGLSDPANLLSSWEGDDCCRWKGVGCSNRTGHVVKLDLQGPDCHNSDSSSQVLGGNMSNSLLDLHHLQYLDLSCNRFSGLQVPEFLSLLHSLRYLDLSESSFSGRIPPQLGNLPSLRYFSIDSIFGDTYSTDISWLSRLSSLEYLDMSWVNLSTIVHWVPTVNMLRSLKFLSLSCCELRTSPDSLLHSNLTSLETLDILGNRFNKHVAPNWFWDVTSLKHLDISYCQLHGRFPDELGNMTSMITLRMSGNNDILGMIPSNLNNLCNLEELSLFEININGSIAEFFERLPDCSRSKLRTLSLPMSNLTGSLPAKLEPFRNLTWLDLGGNNLTGPVPIWIGELTKLTYLDLSSNNLDGIMNEDHLSGLVSLEKLFLTGNSLAIVVNSTWVPSFSLTEVELRSCILGPKFPMWLRWQTRIFNLDISNPSISDKVPDWFWKMSSSVYSLNIRNNQISGFLPSTMEFMAAEAMDFSYNQFSGPIPKLPVNLTNLDLSRNRLSGPLPAEFGAPSLEILFLFDNYISGTIPSLCNFQSLWVVDISGNKLTGSIPDCSFNTSTKNTSLNIVNLSLGNNKLSGKFPSFLHNCQKLVFLDLANNQLSGPVPVWIGEKLPSLAFLRLRSNMFYGHIPVELTKLANLQYLDLAYNNISGSLPESFVNFKGMAVTMDYDHNDKIPDPFASGMAFGDNEMMDFTDNFDVVTKGQEQLYTGEIIYMVNLDLSCNNIIGQIPEEIGSLVALKNLNLSWNAFSGNIPDKIGAFLQVESLDLSHNELSGEIPNGLSALASLSHLNLSYNNLSGKIPSGNQLQTLDDQPSIYIGNPGLCGPPLSKSCSQTEPVPFVQKDQEDENEKVFFFLAIGIGYVLGIWTILCIFLFQRKWRAICFSFYDSMYDRVYVQVAVTWASFKFYKEKWAETN >OGLUM01G25550.1 pep chromosome:ALNU02000000:1:25825990:25828444:1 gene:OGLUM01G25550 transcript:OGLUM01G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMSVRWRKLKLQALKNQAQRHLGLKQCEVLADANSRSPTEWEECTRILRRLRDPLGEPLWFELMHITSPDFRIMVDEHHGEVNDDTSRVSDASYLHLLVCFSSKLDERWVQPKNLVEDHCHLHDAQISDI >OGLUM01G25560.1 pep chromosome:ALNU02000000:1:25827087:25830272:-1 gene:OGLUM01G25560 transcript:OGLUM01G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPASSPTILVAFGLVGLVLAWQAGLHLHRLWWRPRRLQKALRARGLRGSRYRFLTGDLAEESRRRKEAWARPLPLRCHDIAPRVEPFLHGAVGVGAAHGKPRITWFGPTPEVHVADPELARVVLSNKFGHFEKVSFPALSKLIPQGLSAHEGEKWAKHRRILNPLMLPVFSACCEELISRWMGSIGSDGSYEVDCWPEFKSLTGDVISRTAFGSSYLEGRRIFELQGELFERVMKSIQKMFIPGYMYLPTENNRKMHQMNKEIESILRGMIGKRMQAMKEGESTKDDLLGILLESNTRHMEVNGQSNQGLTIEDIMEECKLFYFAGADTTSVLLTWTMLLLSMHPEWQDRAREEILGLFGKNKPDYDGLSRLKIVTMILYEVLRLYPPFIELTRKTYKEMEIGGITYPAGVIINLPVMFIHHDPEIWGSDVHEFKPERFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKMALCLILQRLEFELAPSYTHAPHTIISLHPMHGAQIKVRAI >OGLUM01G25570.1 pep chromosome:ALNU02000000:1:25833049:25836805:-1 gene:OGLUM01G25570 transcript:OGLUM01G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGHRGSGIAAIMGIGKAVPAHVFPQKSFPDYYFDISNSNHMVDLKAKFTKICERTMIEKRHLYTSDDLLRSTPSITAYNSTSLTLRQELANHGVPRLGAEAARAAIADWGGRASDITHLVFVTSTSGCLPGADFELLGLLGLPPSTKRAMVYQAGCYGGGTALRLAKDLAENSPGARVLVVCSEVIALVLRGPSESHVGNLVGQAIFGDAAGAVVVGSCPAAAAGERAMFEIVSASQEVVPGTRDAVVSELREEGIVFSLHRDVPRQIGDSIGRLVERALLAQQQPANAAIGAADAAAPDLNGMFWVVHAGGREILDRMESKLGLGKEKLEASRAVMAQYGNTRSSCVVLVMEEMRRRSEERGLRTAGEGLDMGMLVGFGPGLTVETIVLRALPIN >OGLUM01G25580.1 pep chromosome:ALNU02000000:1:25853628:25854559:-1 gene:OGLUM01G25580 transcript:OGLUM01G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKPLRTIEVLEITEEEAVGREEDLLALAQLAGILAFIFFLLSESALVKVHLYSPFAHLPTLPVV >OGLUM01G25590.1 pep chromosome:ALNU02000000:1:25869122:25874975:1 gene:OGLUM01G25590 transcript:OGLUM01G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPSPTLAPPVHRDHGPCLTDTATVGPTFGPRPVHEAAPLCCAAAFTPGEMACFGGVVEGRQGMMVSSTQQQQLQLQRKGKAVAEKGGAAGAAAAEKVVVAVRAATREISKTALMWALTHVVQPGGSILLLVVVPSHSSGRKFWGFPLFAGDCASGNKTMLDQKDISELSSQMMDKLKNVYDPNKINVKTKVVSGSPPGVVAAESKRAQASWVVIDKELKHEEKHCVEELQCNIVVMKRSQPKVVRLNLVGSPDKDSKVSCSLPPMLDSSVSKTTTDVKEPRTSIRGPAVTPNSSPDLETTFESTEAGTSSVSSSDPGTSPYSASDTNGSMKKEAPATKDRVQHLDINISDSDSETLSPPASFSLQPWMVDILQGSASSRTHGKGPRKARTATADALLENISKLDLLNEISSMRSRSDLNFRGNVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGLCVEDRRRLLVYEYICNGSLDSHLYGRNKETLQWSARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDYEPLVGDFGLARWQPDGDMGVDTRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEEYAIDELIDPRLGDRYCENEVLRILEGDMVVDSGSVSAPSSDSGSRSWRMLNEQQNCRDWSPARQDSHRVVEGKNSYDALRAAWDRNKQSVSHRY >OGLUM01G25600.1 pep chromosome:ALNU02000000:1:25881695:25885367:-1 gene:OGLUM01G25600 transcript:OGLUM01G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKPAAQAKLPTKPPPPAQAVKESRNYGAPAREGAGRNGPGHGSGGFGGGRIGQRRDFGEGDTNGVEGGYGASGFGDGIVRREEGERRPSERGHGPRQPYRGGGRRGGYTGGEARDESGRAPHRAYERRSGTGRGYGMKREGAGRGNWGTVTDEALAQESGEAVSIEVSVTVTEENKQEDVPQSDEVEKHKEGESNEEEEKEPEDKEMTLEEYEKVLEEKRKALLSLKVEERKVVMDKALQSMQQLSVKKDSDEVFIKLGSDKDKKKENVERDERTRKSLSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRDGYSSRGPVAAPRIEDQAQFPGLAGRLVH >OGLUM01G25610.1 pep chromosome:ALNU02000000:1:25887467:25892316:1 gene:OGLUM01G25610 transcript:OGLUM01G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAGAMSLLLKKVCELLMAELNLDKKLTKSIGDLRTELTMMHGVVRWIGEVPPEQLDGQVRLWARQVREISYDMEDAVDAYLVRVADGEPEAAKQNRRLSESLKRAARLFTKGRALHQIAGAVEEAQGRGKSLSDLRQKYGGLKLHGAGEGCAAIDPRLTALYVEVAKLVGVDKARDELSELLLSSSGGSMQQQQQLRTVSVFGFGGLGKTTLARAVYESIREQFDCAAFVSVSRNPNITKIFRKLLFELDREQYSDINDLDRDDVQLIDELRSFLQSRSRIITTTRKINVSKACCSSGDDKIYEMKRLSDDDSKKLLYTRIFTHENNCPHELKQVSTDILKKCDGVPLAIITIASLLAGNNNRPIKTKDQWHNLLNSIGRGLTVGEVVDDMQKILSFSYYDLPPHLKTCFLYLSIFPEDYEIERDRLIWRWIAEDFVQCENNWDNLFEVGESYFNELINRSMVEPVGIDFEGRAQACRVHDMMLDFILSLSKEENFITIIDDSEHRTSWQHKNDNKIRRLSIQNTCRMAEEATASSMSQVRSFTLFRPGVNSMPSLSLFQVLRVLDLEGCDLSKFSNLNLRHVGKLSHLRYLGLRRTYIAELPAEIGNLKVLQTLDIRGAHGIRELPPAITGLRQLMCLRLDWDTRLPRNGGLATLTSLEEMTGLRVRRDSADGVVRELRCLKKLRVLRLQWGEMEHGAGRAVVGALGELQGIQSIEIYAYGGGGGGVGNVGDGWVPPVCLRRFVSNGPTSAFSALPAWVRCSPLPRLAFLDVWVDRVRRGDIGVVGELPALQSLRLRATGRIDARPAVERFAVRAGAFPCAAACALLHFVTAPSMFPRGAMPRVRRLSFSLRAWDFAAGGDGGGGGGLRLGLRDLGMQNLPSLEDVRVEVWYKNTGDGGGSAVTRKVEEALRRVAAVHPNRPAINVRRRKMTTGSAQSDSSTLSI >OGLUM01G25620.1 pep chromosome:ALNU02000000:1:25901334:25903500:1 gene:OGLUM01G25620 transcript:OGLUM01G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSHCGNYGHNSRTCSSSSASAGHRDTTMLCDGGGGGDGGGGLRLFGVQVHVAAGGGGGGGGGGGLSMKKSYSMDCLQLAAAGAAPGSLVSPSSSSSSSMLLSIDEGGLERASNGYLSDGPHGRIVQERKKGVPWSEEEHRLFLVGLDKLGKGDWRGISRSYVTTRTPTQVASHAQKFFLRQSSIGKKKRRSSLFDMMPICENGARVSEQLSGEGAAAAAASTSLSLMNTHESSSDRVAAIDLNSTEEDDTVGASGRPFFPVVLMEQQQQASHGHGHHHHCTPLDLELGMSVSSTPSIGT >OGLUM01G25630.1 pep chromosome:ALNU02000000:1:25916433:25928350:1 gene:OGLUM01G25630 transcript:OGLUM01G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSSSCCLVLITMITVAMITATSYVHAQRGSGGGGKCIAAERAALLSFKAGITSDPAKLLKSWRGDDCCSWSGVRCGNETGHVVGLDLRAAFFLSNETFVWCFSGVAPNGMLGEISSSLLALKHLKHLDLSGNYLGGVGLPMPSFLGSFKSLTYLNLACMNFDGRLPPQLGNLSRLQHLNLATYQENTMRPGDVSWLRHLGLLRFLDMSGLNLTSNGDWVRLVTGLSYLKVLQLGGCGLSLPHEPTAHSNISSLEILDLSSNRVDTINPAYWFWDVRTIRELQLGRNQITGPFPAAIGNMTSLEVLTLGGNYISGVKSEMMKNFCNLRWLELWSNEINQDMAEFMEGLPRCTKSSLHILDLSATNITGGIPSWINHWSNLRSLQLSANKLEGLIPLEIGKMTNLSTLYLDNNQLNGSVSEEHFASLASLEDIDLSYNSIHITINSDWVPPFSLYQALFARSKMGPHFPLWLKGQSNVYFLDISDAGITDNLPDWFWTVFSKVQYLNISCNQISGTLPATLEFMTSAMTLDLNSNRLTGLLPQLPQYLGTLDISNNSLSGPLPLIFGAPMLTQLVLSINKINGTIPSYICELKYLEVLDLSDNFLVGKLPRCSNGSEAKQELNMSPDSTQMQLSALILYNNDLSGKFPEFLQHCQELTLLHLAHNKFVGELPIWIAEKLPRLSYLQLRYNLFSGSIPVQLTKLENLRYLDLAYNRISGSIPPILGGLKAMIQGNSTKYTNPLVWNYYRPRNPNDFNDGYYVKYHNSLLVVVKGQELYYTSTLIYMVGLDFSCNNLGGDIPEEIASLVGLKNLNFSHNHLTGNIPEKIGLLRYVESLDLSFNMISGEIPSSLSDMASLSYLNLSFNNLSGRIPSGNQLQTLGDPESIYIGNYYLCGPPLSRNCSGPEAIFWGELSRCLRTELKPSTDVIIKDIDHFDREPANGLNAHNR >OGLUM01G25640.1 pep chromosome:ALNU02000000:1:25928695:25931167:-1 gene:OGLUM01G25640 transcript:OGLUM01G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGNGDCRIRELGTILCKGITTKTWVADEILDPVGKMQNKLRTIQQEGSNFRLGLPSKD >OGLUM01G25650.1 pep chromosome:ALNU02000000:1:25935175:25938382:1 gene:OGLUM01G25650 transcript:OGLUM01G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGFTARRSSPPPPPSPSPATEARACGSDPTQPPPPAKRRRTTRRVVGIDSLGEDLLLDILLRLPTLASLRAAVASSPSFRRRFRDLHPPPFLGAFGDPDGHDGLPVFLPARRTRDRDRGGDFLLTCLQDPDHDAPLRWRVADCRDGYLLLFNSDAGLVATVNPMAPRMTDLIKTPFRINNSASNGAIQDESLPISLDVHLISSEEDPMSFQLVWLCHDEFRVQVPVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKYWLMSGMQANGLIFWPFQNGKHMLVLDTGTMEFSVYEFPIYSKLVQGCSFGVGETKDGIPCIAYVNGATIVVLIRRFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVAIKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNVKSDGDRDRHKQAKVPSTIHGCLCCILALDSDHHPRRRSRRLLCRSTTSYGVGCITAERAALLSFKEGVMADPLRLLDSWQGAGDCCRWNGIRCSNRTGHVVKLDLRNTLYWDDQRQLRSDNPHAMRGQVSTSLLALRRLKYLDLSGNNLGGPGIAMPSFLGSLESLVYLNLSCIDFFGQVPPQLGNLSRLSYLDVGSIYYSRQIFSSDLSWLGRLSSLKYLDMSGVNLSTVSDWAHVVNMLPNLRVLNLELCQLTRPNPPLLHSNLTVLEKLLPTISMDHLLLSGSGV >OGLUM01G25650.2 pep chromosome:ALNU02000000:1:25933634:25936645:1 gene:OGLUM01G25650 transcript:OGLUM01G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRMTDLIKTPFRINNSASNGAIQDESLPISLDVHLISSEEDPMSFQLVWLCHDEFRVQVPVFSNDTRDWCFLPWVDIEERVSSPDVPQDGTKYWLMSGMQANGLIFWPFQNGKHMLVLDTGTMEFSVYEFPIYSKLVQGCSFGVGETKDGIPCIAYVNGATIVVLIRRFDKKQGVQGWRFVDGVNCDDEADQLGINGGLDVVAIKDGFVYLAATGMILSLCLETRKLEKLFPMSFQFPLHPYIMAWPPTLVGNYGSFAEIQDDISNV >OGLUM01G25650.3 pep chromosome:ALNU02000000:1:25938451:25940472:1 gene:OGLUM01G25650 transcript:OGLUM01G25650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQVLDMQDNDNITGMFPPTLKNLCNLQEVVFTGTNLGGDITEQMERLPRCAWDKLQALNLDATNMTGNLPVWLGNMTNLMDFSVSGNQLSGPVPLGLGALTKLTVLYLGQNNLTGIISEGHLANLRNMVILDLSYTYLKVVVGSTWTPPFKVIRAQLASCQLGPGFPVLFKHQKGINYIDVSNAGIADAIPNLQAPELAALVLFSNYIPGSVPLSICDLQILAILDLSNNLLVGELPQCRVASLGISTLLLENNSLSGEFPSFLRSCTKITFLDLARNNFHGSLPKWIGDLSSLVIFRLRSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSERFGEVMEFEWYDDSLFVAIKGRELPYSSQLKYMVSIDLSSNNLVGNVPEEVGSLIGLINLNLSFNQLTGNIPYQIGVLQSLESLDLSHNQLSGEIPQTLSNLTSLGEFNLSYNNLSGRIPSGPQLNTLHTDDPASMYIGNTGLCGHPLPNNCSENETPHGHPIGEDNNVWSTEMSFTLGIIVGFLLGLWLVFCALLFKKTWRIAYFRLFDNLYDRAYVFVVVSWALWFRQASASSST >OGLUM01G25650.4 pep chromosome:ALNU02000000:1:25937284:25940472:1 gene:OGLUM01G25650 transcript:OGLUM01G25650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQVLDMQDNDNITGMFPPTLKNLCNLQEVVFTGTNLGGDITEQMERLPRCAWDKLQALNLDATNMTGNLPVWLGNMTNLMDFSVSGNQLSGPVPLGLGALTKLTVLYLGQNNLTGIISEGHLANLRNMVILDLSYTYLKVVVGSTWTPPFKLDISRNFLSAPLPSDLQAPELAALVLFSNYIPGSVPLSICDLQILAILDLSNNLLVGELPQCRVASLGISTLLLENNSLSGEFPSFLRSCTKITFLDLARNNFHGSLPKWIGDLSSLVIFRLRSNMFSGQIPSEITELEDLQYLDLAKNNISGIIPQSLATLKGMSSENQDPRQTGLNGPFVQTSERFGEVMEFEWYDDSLFVAIKGRELPYSSQLKYMVSIDLSSNNLVGNVPEEVGSLIGLINLNLSFNQLTGNIPYQIGVLQSLESLDLSHNQLSGEIPQTLSNLTSLGEFNLSYNNLSGRIPSGPQLNTLHTDDPASMYIGNTGLCGHPLPNNCSENETPHGHPIGEDNNVWSTEMSFTLGIIVGFLLGLWLVFCALLFKKTWRIAYFRLFDNLYDRAYVFVVVSWALWFRQASASSST >OGLUM01G25660.1 pep chromosome:ALNU02000000:1:25933813:25934823:-1 gene:OGLUM01G25660 transcript:OGLUM01G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGVSAAAEGGRLGQLSTAARAWAAGEEMGVGDNDRGAGHGRGNSWARAAAAGGRDGRQRQWARSWARAPAPPDGILSSSSVSSSPSSPLDPAVAQWPSSWSPAAPPLHSSAASHLRDLPADPAATSAAPPAWMWKKMESTTTASKGTSSWAAAIVDLAAPRALHAGARGRGCWPAGCGGQTTAWWRTSFELPEGEGELEAAEARLRNLAGGQTCNSVMQSLARMKFWMAHCSVPPSPMAATDGGVVEM >OGLUM01G25670.1 pep chromosome:ALNU02000000:1:25935168:25944861:-1 gene:OGLUM01G25670 transcript:OGLUM01G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSRSRPCEGRKTGRPWLRGSKKTPRRGGGWRSRKRRRKDGEEATAARHARQVSAARTSEARVGRRRRMSRRRSSPRESMPTTRRVVLRRFAGGGGWVGSLPQALASVAGDGDGGGGGDDRRAVNPTCPMR >OGLUM01G25680.1 pep chromosome:ALNU02000000:1:25944482:25945837:1 gene:OGLUM01G25680 transcript:OGLUM01G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVGFTARRSSPPPRLANDATAGGGDPAQPPPAKSRKKSHRTAIDSLGEDLLLDIFLRLPSLASLVRAALTCRAWRAAVASSPSFRRRFRDLHPPPLLGVFFDPRSHGLPVFLPSHGRDRDVLAAIRGGDFLLTRRLRDLDDGGAPLRWRVSDCRGGYLLLVNSDAGLVATVNPMAPRMTDFINMPFRINDSAANNAGAADATGQEESSPISDLHLICSEEDPMSFQLVWLCHDESRVQATVFSNGTNGWCYLPWVDIEARASPVAPHDGNKHWLKPGMQANGLIFWPFKNKEHMLVLDTSTMEFTVHEFPVFSEVQQGCSFAVGETKDDGPCIVCVVGTTVSVWMRKFDEKGVERWRFADSILSSEEANQLGILGGLKVVTINDGFVYLATTEMIFSLCIETKKIEKLFPMSFRSRHLHPYIMAWPPSLVGNYGKFAAPRLSHQCLTAN >OGLUM01G25690.1 pep chromosome:ALNU02000000:1:25948618:25950457:1 gene:OGLUM01G25690 transcript:OGLUM01G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGARRAAREALAQLAVGQLGQGQEEDVLGREKEWEDGERKKKKKGRRTSTACSAERLSHVKSSTRTSSSRPAPWWRRSRMAAAVAVTSSPPRPSTSPRLSWSSWEADELEEAATAPRPSTARRRRPASPRAAIATSVEAVVLAPGRRPRSVRAEVVAIAPRPPPAEPAEPTAIAPRPPPAESVPKPPPAVAARLLPARAQRGDGEDGDVGEDGESDGSNFINFQWHPTTNVNCNVLVFCFGKGRRDDAGAVEKWVQPRQAVLTV >OGLUM01G25700.1 pep chromosome:ALNU02000000:1:25953278:25954015:-1 gene:OGLUM01G25700 transcript:OGLUM01G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQRERPREDRVHEENRGADHAHPSVPHAAAAATATATRTMSLHAGGVVVVDGKEKGKKEEGEGKGKGKAPAAAEAVRGRARLRGEQLRQLHEIFLRFDLDGDGSLTKLELAALLRSLGLRPAAGDEIHALIAAIDADGNGTVEFDELASSLADLILGPCRPSVAVDQAELAEAFRAFDRDGNGFISAAELARSMARMGHPICYAELTDMMREADTDGDGLISFEEFTAIMAKSALDFLGLAAL >OGLUM01G25710.1 pep chromosome:ALNU02000000:1:25955796:25960204:-1 gene:OGLUM01G25710 transcript:OGLUM01G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNLLFFLCFAGHLIMKYLEEPCLWVEKNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >OGLUM01G25710.2 pep chromosome:ALNU02000000:1:25955798:25959927:-1 gene:OGLUM01G25710 transcript:OGLUM01G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEGSTGGGGGGGDPQRLKRIGAAAYDYENDSRWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPDLIVEPMSSTSSSQSTKPAARSSATPSNENVRARDSGSSARSTAASQPSQTERTANSLRLDGRTIHFSINAWVLVVASLGILPILPKHIASKAYRLSLLGTICSSAYSLYVTYGKPRAWNMAAIQPWLQSIIVAKDFVHLMFSLMMFTSNLLFFLCFAGHLIMKYLEEPCLWVEKNNTTLSLLCSNAEITLGFLMIVSLFSWRRNIIQTFMYFHLLKLMYHAPVTSGYHQSAWARIGRAVNPYIHRYAPFLNTPISAVQRWWLR >OGLUM01G25720.1 pep chromosome:ALNU02000000:1:25975705:25977351:1 gene:OGLUM01G25720 transcript:OGLUM01G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKRAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGVGYLRSRMSSQHTVIIEETEGWTNNQLYDAVRTYLATRINTDMQRLRHKDKALNSYLPHILATAKKIKDQDRTLKIYMNEGELWFAIDLHHPSTFTTLAMDHKQKQSVMDDLERFIKRKEYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCTLELQQREEGQESSKSNPSEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVHMGYCCPESFRILASNYHSIDNHATYPEIEELIKEVMVTPAEVAEVLMRNDDTDVALEGLIQFLKRKKDVGKEGKTENVEQVVKAEETEKGTMKKNDVPEKQDPQDEDVEQVGKAEETEKGTMEKNDVPENQDPQDASKE >OGLUM01G25730.1 pep chromosome:ALNU02000000:1:25977637:25979699:-1 gene:OGLUM01G25730 transcript:OGLUM01G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT1G68730) TAIR;Acc:AT1G68730] MATTAAAYGCPAAAAAAPFAASVSRRRAPLSCVSLASSSSRRRTAEFPGLRVSCRRRILAVSACSGEADSDAGAAPAESTFDLNLPRRSLLVQFTCNACGERTKRLINRVAYERGTIFLQCAGCQVYHIFVDNLGLVVEYDLREENGMNTDTET >OGLUM01G25740.1 pep chromosome:ALNU02000000:1:25992625:25995247:1 gene:OGLUM01G25740 transcript:OGLUM01G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 37 [Source:Projected from Arabidopsis thaliana (AT3G24515) TAIR;Acc:AT3G24515] MAQAARLNLRMQKEVKLLLNDPPHGVSLNLSGDESALSSLLSFEARIQGPDETVYSKGVFVLKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSIGLLLSDPNPDDGLMAEISREYKYNRQVFDINARSWTEKYANPSAIGASGWSSVDVSTLAPNIQQEKLSLEPLPKASNKNCDGSRKKMRLLSQKLSLKSVPEENTTTGKKDLVTNHLSSTARPTDPTACLSDVSGKLNDTSESISVIADSAVTSKKEYQGTRRNLQLLGQGLPVTSEGPSKNSNGSVEDKLPNHLLASASSNTKHPVMGSSDNALEKSSAKRIGESLDSLYKASEGDGTNVRSLGQKLSLKSAKPESKSNVQKENMAPNHLPSLSGFSNLDKRQSHVISGGNSIGHTNLVQQNSNNENVLPSTQLIPSKECNQGRKKLHLLSKRLSLKSELPADKTIEKEYMQTDCSQNNRKPNELPLSAPVLKNQILGTIDPQKDARQSKSSIQQNTTPVERIVVSDSEDSADECEKPSRSRLSLMRRRLAGKPRS >OGLUM01G25750.1 pep chromosome:ALNU02000000:1:25995381:25998634:1 gene:OGLUM01G25750 transcript:OGLUM01G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete-expressed 3 [Source:Projected from Arabidopsis thaliana (AT5G16020) TAIR;Acc:AT5G16020] MAMDALHRLCLLVCVLIGAGCSQSPRHQRLPATTTTTTTAGNVQRRPPGVAGALGSPLIGHDGRLIACSEKKSLVAFERNGSIAWMVTLGHTCKEGISPVAERDEIYLVAEDNKVIKITPKKLHTADPPSEVFFSYNATPGRSEEIIGLSISGSSSSLFLTIRNRGLFAFSLHAELQWSAGPVADLVSRLGCKTNISGCYFNSPPVVDRCEGTLYVSNTEGQLYSLYIKSGQYRWIQDLGSLDKVMNIVPGNNGLLYIVLPRKSIVMGLDVLTGNISWQQTIGPLSNEKILPPVDSNGWISVGSLDGTLYSISPNGDIRRFPERTTPGSVIHASPVLDCSGFSVYVSQTIMEAKSNQTIGDSTSLSVMKSSSTLLTLLTPANGTIHWTGNYPGELSDFLSSTDLNDFALDETIVLRLFSAARIGSTTQCYTRSMFLFSGNRNIRLVLFFHFIVIVIAIVNCFCCIFWRKKKLQKNGLKKFLEKRHSLHTKRKILGKRISELEQKTVHDASSNEALGQLGETVNAKECIERKLCTSYSLGRDMLGLKHDSILPLNSTKYKSHSFRNSREESITVFNTFSGTSSSENGTSSCSGESESCSDCSYGDEMLGTNSQSAAQEAGPSNYADTADQVFQDECVSDIKSTNPHKEEYLMETMHDKAPSKRMYLKRRRTFPSSKQNI >OGLUM01G25760.1 pep chromosome:ALNU02000000:1:25999452:26003009:-1 gene:OGLUM01G25760 transcript:OGLUM01G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT1G18550) TAIR;Acc:AT1G18550] MPVSTRASAAGGQPWSSAAPAPASAPGRGGARREILTNHHHHGLKEKMRALTLFYEQHKQQLASSQGGGARSRRSIQYAVGEVGGDENGRNAEEEDDVGRKRHDAAAAPVPVAAVLRENMAPPEERAPAPPPKSSHVVVFSRQADPTEKENVGHGGIATMSCPIKKAAPALPAPVARKLSLGGGMAARLKAAGEAGAGNGDAAGSRIMVFVRLRPMSRKEKDAGSRSCVKIVNKKDVYLTEFASETDYLRLKRVRGRHFCFDSSFPDATTQAEVYSTTTSDLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMESPGVMVLAIKDLFTKVRQRSHDGNHSIQLSYLEVYNETVRDLLSPGRPLLLREDKQGTVAAGLTHYRAYSTDEVMKLLQQGNQNRTTEPTRVNETSSRSHAILQVIVEYRSIDGGSIVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLRVTDSETDQAKLVLELQKENSELRQQLARQQQKLLTVQAQTLASNASPQQSPAPSAQISTPCSTQRKVKRSILAGNCFNTPDSKRPAAENAQVRDLQRKVKAMEAEIEKMKKEHLLQLKQKDEFIRDLINRKTSNVPEAAACERRVATRASVRKAQKDAAAAGELRSPSHRFTSPVPTAKKRTFWDIGGNSPSTLAVNGRKTRSHVAAETPKGTSILLQPGFARQRAIH >OGLUM01G25770.1 pep chromosome:ALNU02000000:1:26004294:26010647:1 gene:OGLUM01G25770 transcript:OGLUM01G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C3HC zinc finger-like [Source:Projected from Arabidopsis thaliana (AT1G48950) TAIR;Acc:AT1G48950] MHDEYDRLRPKSRPVHTDGLESQAHVSEAQQAGTRQRGSFSLSPSHRKRKQRRPLLSSPDDASPLAADPLVSRHPTMATGGGGGGGGGDIGADSERRLKKAMDKLYHFPKPKAGTGPGSSKPSSASTSSALSIGRAGKAAGAGGRRFGMVRGSRLPSQLAAMSAILPPPPCRPWDRADLMRRLATFKAMTWFAKPKVISPVNCARRGWINIEPDVITCEACEARLLFSTPSSWAPQQVEKAAAVFSLKLDNGHKLLCPWIDNICDESLALFPPTPPPVLVENYHEGFSSLLRLSALPRISCSSLESMKKRSPQLEQFLLKPFSSSVVLKGGFILTEDSTIKDLDDTFQDADTYYQALKIISLCGWEPRLLPYAVDCGTKSHSDANSSSTLTQPGLINNSMEDRVVVYAPNEVDSSTVIADARQAYQHYDPLSVVLDCQFCGACVALWPFSLVERPLQLFKLISDSSRQDEQTEGHAGRVSGAGPSKTANIGFNFTIAGGPPPTRQNFRPRVSLPVVSRHLKADLSSHGHFISSGSDNHMVPVTLHASGLTKRKRSMDESHMLEGNNTISTDADTTTNGADHQRENSVNGTSNLVANPEHQQGGSHSDTSRVTSTGEVSNEESETGHAAIKSHTSTDELGQHGSDPKSLPVEDSSNAHDLAKTCTNNSRPVQAATLTKSSNDGEKGASQPSGSQGLYDKLNEFDPMKQHRTFCPWICPDGGETLPGWRLTLPALLSQDKRIDEDSQVEPQISLLSEEDDPVTSVRKLFMTPPSKKLRIHRAEKG >OGLUM01G25780.1 pep chromosome:ALNU02000000:1:26013243:26015988:1 gene:OGLUM01G25780 transcript:OGLUM01G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBG6] MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKRKDVEQFKADPYLATLLNCMLWVFYGIPIVHPNSILVVSINGIGLIVEGTYLFIFFLYSPNKKRLRMLAVLGVELVFMLAVILGVLLSAHTHKKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGAIFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVSGPGAATAAATGSVVSVTVER >OGLUM01G25790.1 pep chromosome:ALNU02000000:1:26021036:26021937:-1 gene:OGLUM01G25790 transcript:OGLUM01G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKRRPPLPTSVMGEIAAAGRGAEDMQPSEDKAGKGKGAQTAAAGTGLGEERASVGQGEERPDDTNSGGNVLGAQTAAVAATDLDKETGGGGEHNDSGDVRAAQEVAHCGAWPTTKSRVSTRGLAMDEVAKTTAGTPMVVTVEPAEQTAAAIDEPVSEPVVAADESATAANEPMEGTVAADEPTVREGVVAPTWLISPEKGDGPEREGGPPERGGMGRGPRIEASRRSASSNMGSMVS >OGLUM01G25800.1 pep chromosome:ALNU02000000:1:26022435:26022898:-1 gene:OGLUM01G25800 transcript:OGLUM01G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGASGEVRYGVARVEDDATARPSATAATAFAPPRGARVFPIPHHHHASSGSHYTPACAGRRELEKSEDRA >OGLUM01G25810.1 pep chromosome:ALNU02000000:1:26023275:26025905:1 gene:OGLUM01G25810 transcript:OGLUM01G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBG9] MISPDAARNVVGIIGNVISFGLFLAPVPTFWRICKRKDVEEFKADPYLATLLNCMLWVFYGIPVVHPNSILVVTINGIGLLVEGTYLLIFFLYSPNKKRLRMCAVLGVELVFMLAVILGVLLGAHTHEKRSMIVGILCVFFGSIMYFSPLTIMGKVIKTKSVEYMPFFLSLVCFLNGVCWTAYALIRFDIYVTIPNGLGALFGAIQLILYACYYRTTPKKTKAAKDVEMPSVVVSGTGAGGGAAAGGGNTGGGSVSVTVER >OGLUM01G25820.1 pep chromosome:ALNU02000000:1:26027287:26030005:1 gene:OGLUM01G25820 transcript:OGLUM01G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >OGLUM01G25820.2 pep chromosome:ALNU02000000:1:26028688:26030005:1 gene:OGLUM01G25820 transcript:OGLUM01G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYQCKEFLKIQKFRRTASYAGFYCFTALMTYAYTSNTTRAGISRGDQFYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >OGLUM01G25830.1 pep chromosome:ALNU02000000:1:26030751:26031158:-1 gene:OGLUM01G25830 transcript:OGLUM01G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLLSKASSAVAACARRVSRATRRLLRARLLRRGGGGGGGETGKPDGGGGGGGEGLWRRAILMGERCEPLSFPGAIHYDSRGRRLSQPRRAKAKPAAATAALLCRSSDAVDEAVAAANNSKAARYVAVSLLRD >OGLUM01G25840.1 pep chromosome:ALNU02000000:1:26046286:26048300:1 gene:OGLUM01G25840 transcript:OGLUM01G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGRGRRRMMQGSSYYAVLGVHPGASAAEIRAAYHRLAMKWHPDKITSGRVDPEEAKSRFQQVHEAYQVLSDEKRRALYDSGMYDPLDDDQEEDVEGFHDFLQEMVSLMATVGREEPVYSLDELRSMLDGMMQDFASSELPSPSGGFFAGAPSSPFADTGAAQQQRGVGSASARAHAHPQVVGNSACLSRMAFSSY >OGLUM01G25850.1 pep chromosome:ALNU02000000:1:26048019:26049290:-1 gene:OGLUM01G25850 transcript:OGLUM01G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPLLLLLLAAAAASARHVITFTPARGVSPASLAWDPTAQHFVVAGGGEAVLSVSDAGVTESIASHRGASAVAVDDRRRRLLVASPGSVSAYDLRTPRPHARLFSATLPDPAAPPGGVAVDPHSGAAFLTVGARIYKVSPDGDLAALPPSPAYGGPDPLASLAAHIVYHETVQRQTVP >OGLUM01G25860.1 pep chromosome:ALNU02000000:1:26051362:26051775:-1 gene:OGLUM01G25860 transcript:OGLUM01G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIAVHGGRTHAIIEPAKKSAAAGVAQPQFDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >OGLUM01G25870.1 pep chromosome:ALNU02000000:1:26055018:26055240:-1 gene:OGLUM01G25870 transcript:OGLUM01G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTVAESTSICLGEHMYPHLVPVGRGGMFLLHHVPSGATTVRRQRQGSVFLVPENELLVKEK >OGLUM01G25880.1 pep chromosome:ALNU02000000:1:26058056:26058490:-1 gene:OGLUM01G25880 transcript:OGLUM01G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSAIFMLFLALGSLAIVVHGGRTHAVVVVEPAKKSAAGGGVVQPEMDPITICSPSNFCIPEAWSSCYRCIVKPDDNPPFRTIDECNSNCPVPPANA >OGLUM01G25890.1 pep chromosome:ALNU02000000:1:26069474:26069956:1 gene:OGLUM01G25890 transcript:OGLUM01G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPSQMLRLLLLQVAILAAVLATPSLGRVIGGSKCKCLMCVCDVDPHPLPPSRHHPPPPEEPEPEPTPVYHHYPPPTTPAYSLPPPAQPYGQYPYPYPSQGQAGAAYWPPSTPAEMYPQVRGYIRSAAAHRRRPGHGGLSSRLVVVSVLLVSGLMPLLV >OGLUM01G25900.1 pep chromosome:ALNU02000000:1:26070760:26073080:1 gene:OGLUM01G25900 transcript:OGLUM01G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTALHLIAVAVAAVVAAAAAPALASASASAAGAFSEVPPETPCAAAIGCFCHLLRDPLLLVFPVNTTRLGALLPTCAAANANASAAAAVEAATLFADTCRDLKSLPEMRFLPDPPPTPTISPAAVPGSMPPTTEERSTPVPVPPQDRSGSETSTPSRNFLVVLLALTAAAAADLIQL >OGLUM01G25910.1 pep chromosome:ALNU02000000:1:26075156:26076947:1 gene:OGLUM01G25910 transcript:OGLUM01G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGQRGGYSRLAGDEEVATASGGGGGDYDERKLRLLGYEPQLKRNLSLLSNFAVSFSIVSVLTGITTLFGTGLQFGGPATMVYGWPIAGAMTLVVGLAMAEICSAYPTSGGLYFWSARLCSHRRWGPFASWLTGCLFYTICF >OGLUM01G25920.1 pep chromosome:ALNU02000000:1:26080498:26084904:1 gene:OGLUM01G25920 transcript:OGLUM01G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWNKAPAADAEAGGGGDTGHARLRELGYKQELKRDLSVLSNFAFSFSIISVLTGITTLYNTGLSFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLITGGNNGGGYMASKYVVIAFHAAILLSHAAINSLPITWLSFFGQFAAAWNMLGVFVLMIAVPTVATERASAKFVFTHFNTENNAGIHSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYILGITFAVKDIPYLLNPENDAGGYAIAEVFYLAFKSRYGSGIGGIICLGIIAVAIYFCGMSSVTSNSRMAYAFSRDGAMPLSSVWHKVNKHEVPINAVWLSALISLCMALPSLGSLVAFQAMVSIATIGLYVAYALPILFRVTLARKHFVPGPFNLGRCGVAVGWAAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFLLVLSSWLLSARHWFKGPITNLDG >OGLUM01G25930.1 pep chromosome:ALNU02000000:1:26085403:26088515:1 gene:OGLUM01G25930 transcript:OGLUM01G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68185) TAIR;Acc:AT1G68185] MAAAGAEAEADEEELEPLFDYSRVQPTMAFSFDDTDIEKSDIFVHCNKRSKVADGDGDANADEKGDKGEQKAAKAAAVVDLGEEDWLPPPPPPKPKSTVTDESEQSNVLKELRLQKQAMAKFAESADDFLEKLAQTARQKVEARIPTEHIDLDKSPERHEAREKVVVTVQDKAGHHQFRLYKDEKFGKLFRAYAKKVNLSVADLTFAFDGDKVDAESTPEDLGLEDEDMVEVLHKTR >OGLUM01G25940.1 pep chromosome:ALNU02000000:1:26089549:26113015:-1 gene:OGLUM01G25940 transcript:OGLUM01G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMLLQRAAQQQQQQQQQQLHRDGSHLLNGIASGFFGNDLLMRHNPAIANAMAVKTYEERLKLPSQRDSLDEASIKLQQRYGEKYGQVLDPNQASLLKAATCGQSSGPILPGGIGDLSSTLQQVQARSPRLPIPEQNIKTRINPILTNRDVISEGSLLGLQGSNHGGRNFMLKGWSLMQQSFLQSPQQFQQLQFLTPQQQLLLQTHQNMASLPANDVETRRLWMLHNNKNMAIHLDGQINNNSGHIIPNIGSPDQIGGSRNKIDMLIASTISHQQAQSLNQLHHQQAQSVGSMLDGSIPNSFGLANRASKKRKKIVSSSGRANSSGTSNNVGSSSSSAPSTPFTHTPGDEMSMPQLKYNGGKSKSLSMFGYDDTKSLISPANPPGDVDQLQEDGSLDENVESFLSQEDMDPQETMGHCMDASKGFGFIEVAKARASTNKVDCCHFSSNGKLLATGGHDKKVVLWFTDDLNIKATLEEHSMIITDVRFSSIMTRLATSSFDKTIRVWDANNPEYSLHTFIGHSTSVVSLDFHPNKEDIICSCGSDGEVRCWSIDNGSCVNCVRGGAIQLRFQPHHGKYLAVVSEKMISILDAETLHIYRSDLQGHLKNIHSVCWDATGGYLASVSEDSIKSLELWDIREKNIVTINNAHDGMIPSLAASNASGLIASQWFEGLEQSKLVEQGQEAESWATAKAFQAEGKVSSDPVARTNEKHSDVAASYIETQHMKAREQQQQQQQQPPQQRQQQPQHIQMQQMLLQRAAQQQQQQQQQQQQQQQQQQQQQQQQQQQRRDGTHLLNGTASGLPGNNPLMRQNQSTANVMATKMYEERLKLPSQRDGLDEVSIKQRYGENAGQLLDSNEALLKASASGQSSGQILHGTVGGLSGSLQQVQSRSPQIPGPAQSIKTEMNPILTPRSAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGLLQQKSFVQNQQQLQQQIHFLTPQQQQQLMLQAQQNMASPTSSDVDSRRLRMMLNNRNVGQTNSGGDIIPNIGSPSLSGGDVDILIKKKIAQQQQLLQQQSNSQQHPQLQQPAVSSQQSQSSNQFLQQEKPGIGTMPVDGGMPNSFGGVDQTTKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQQNGGSAKPLVMFGSDGAGSLTSPANALADVDRLLEDGSLDENVESFLSQDDMDPRDSLGRSMDASKGFGFAEVAKARASATKVTCCHFSSDGKLLATGGHDKKTSYSLRTFTGHSASVMSLDFHPNKEDMICSCDGDGEVRSWSINNGSCLTFVKVFKGGATQMRFQPQKGKYLAAASEKAIYILDGETQLACRNPLQGHTKNIHSLCWDSTGDNLASVSEDSVRIWSFAPGHDGEFVNELNCSGNKFQSCSLELWDIREKNAMTVHSAHDGLVAALAASSATGKVASAQFEGVGQLVNESRSS >OGLUM01G25950.1 pep chromosome:ALNU02000000:1:26120981:26122831:1 gene:OGLUM01G25950 transcript:OGLUM01G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPLPLPATRHPPKPHEASASASASLHAALASLSQQCGAGGGGGGALRDAFALVARAERDACPAAVVSVGPEAYASLLQCCVAAGCLRAGRQVHAAAVKRGPRYCRHAYIGTKLAVFYARGGALGDAERAFSALPAKNAFAWAAVIGMWSRAGLHGKALAGYAAMLEAGVPADNFVVPNVLKACAGLGLLGPGRAVHGYAWKAGVGNCVYVMSSLVDFYGKCGEVDDAREVFDVMPERTVVSWNSMLMGYIHNGRIDEAADLFYEMRVEGVLPTRVSVLSFLSASADLEALDGGRQGHAVAVSSGLEMDLILGSSIINFYCKVGLVEAAEVIFEQMVERDIVTWNLMISGYLQDGQTDKALTTCHRMLESGLKFDCVTLVSVIMACVKSYRMEVGGAAHAYAVRNNLESDKTVFCSLIELYSSSGRIEQMRRVFDSIRRRDIATWKAMICAYADHGMGSEALKLLYQMQLEGTSPTAACWDSVLSAFIRNGQLDDALSTFYEMLQTSTHPNLRTWSLLISGLSRNGMHPEVMNLCCKMQEVEPAPSPTIFSAALLAVKSAASVQYGKAMHACIVKKGLLLSKSVVQSLLNMYGSFNDRGTVESLLRFLAAAQ >OGLUM01G25960.1 pep chromosome:ALNU02000000:1:26127663:26133089:-1 gene:OGLUM01G25960 transcript:OGLUM01G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVTRAVAAAVLVVVVVVAAAELVAAEPPPSERSALLAFLEATPHERRLGWNSSTSACGWVGVTCDAGNATVVQVRLPGVGLIGAIPPGTLGRLTNLQVLSLRSNRILGGIPDDVLQLPQLRLLFLQNNLLSGAIPPAVSKLAALERLVLSSNNLSGPIPFTLNNLTSLRALRLDGNKLSGNIPSISIQSLAVFNVSDNNLNGSIPASLASFPAEDFAGNLQLCGSPLPPCKSFFPSPSPSPGVSPADVPGAASSSKKRRLSGAAIAGIVVGAVVLALLLLVAAVLCAVSKRRRGASEGPKSTTAAAAGAGAAAARGVPPPGSGEGTGMTSSSKEDMGGASGSAAAAVAAVAAEPSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMDALGKVEHRNVLPVRAYYFSKDEKLLVFDYLPNGSLSAMLHGSRGSGKTPLDWDARMRSALSAARGLAHLHTVHSLVHGNVKSSNVLLRPDADAAALSDFCLHPIFAPSSARPGAGGYRAPEVVDTRRPTYKADVYSLGVLLLELLTGKSPTHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGRTTTEESEEGVRGTSEEERSRGTPPAAPTP >OGLUM01G25970.1 pep chromosome:ALNU02000000:1:26133284:26133622:-1 gene:OGLUM01G25970 transcript:OGLUM01G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNRHLPHHPAPCPLTSHECNYGTMRVSHPPIHKNPETNKGASPDTAQCRAEQRFASRHCDAQPQRPQITRRARAARHTHTPARRPASRALPPRHRMRHCLPIRPRWLAAV >OGLUM01G25980.1 pep chromosome:ALNU02000000:1:26141824:26144103:1 gene:OGLUM01G25980 transcript:OGLUM01G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHRVVRHGRLQHEHDHAYLTQQLDLPLVEASNLITNFTGTADSFAGRLWTIAAGGVLSQLGMLGLVVSALVPTLCPAPCGAAAAAS >OGLUM01G25990.1 pep chromosome:ALNU02000000:1:26152709:26154655:1 gene:OGLUM01G25990 transcript:OGLUM01G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALCSLVPPRANHAPISNGRRAVRLRNSHAAARSHPSFVFPPPPVLLSFKVNEPKAKAGCAAVSPSSTFCRRTIAPAGSPLTDHVEDPTGLAGIEVDLEGYTEASEGHVPGFGQVDMIRNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >OGLUM01G26000.1 pep chromosome:ALNU02000000:1:26164108:26165686:1 gene:OGLUM01G26000 transcript:OGLUM01G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSLVLLLLLCSYHSFVARAGNEQSYKVLDLNPSRAVCSEPNATIPSSSDGTSSVTLSHRYGPCSPADPNSGEKRPTHEELLRRDQLRADYIRRKFSGRNGTAAGEDGQSSKVSVPTTLGSSLDTLEYVISVGLGSPAVTQRVVIDTGSDVSWVQCEPCPAPWPCHAHAGALFDPAASSTYAAFNCSAAACAQLGDSGEANGCDAKSRCQYIVKYGDGSNTTGTYSSDVLTLSGSDVVRGFQFGCSHAELGAGMDDKTDGLIGLGGDAQSLVSQTAARYGKSFSYCLPATPASSGFLTLGAPASGGGGGASRFATTPMLRSKKVPTYYFAALEDIAVGGKKLGLSPSVFAAGSLVDSGTVITRLPPAAYAALSSAFRAGMTRYARAEPLGILDTCFNFTGLDKVSIPTVALVFAGGAVVDLDAHGIVSGGCLAFAPTRDDKAFGTIGNVQQRTFEVLYDVGGGVFGFRAGAC >OGLUM01G26010.1 pep chromosome:ALNU02000000:1:26166437:26170384:1 gene:OGLUM01G26010 transcript:OGLUM01G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIEGPKLIQRAVLAAQYITQGRTGEAEADARMCLLPANSHTPFPSPNGGGAARRRDRLSRSPGWPRGVHRAARHLRAQ >OGLUM01G26010.2 pep chromosome:ALNU02000000:1:26166530:26170377:1 gene:OGLUM01G26010 transcript:OGLUM01G26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPANSHTPFPSPNGGGAARRRDRLSRSPGWPRGVHRAARHLRAQLLGHQALKLAHP >OGLUM01G26020.1 pep chromosome:ALNU02000000:1:26167542:26195370:-1 gene:OGLUM01G26020 transcript:OGLUM01G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSAPKHARNREGGEGFLHLEMDAAAEMQKVVSLRRGGSSSRGAASMWWSADNGVFSRSRASSSGEEGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRCVLCCTTLRHDVAVAADAVACRVFDDLRVVILMCRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKNYSDTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIQGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVLFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPLNSILPGPCAQATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >OGLUM01G26020.2 pep chromosome:ALNU02000000:1:26167542:26195370:-1 gene:OGLUM01G26020 transcript:OGLUM01G26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSAPKHARNREGGEGFLHLEMDAAAEMQKVVSLRRGGSSSRGAASMWWSADNGVFSRSRASSSGEEGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRCVLCCTTLRHDVAVAADAVACRVFDDLRVVILMCRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKNYSDTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIQGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVLFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >OGLUM01G26020.3 pep chromosome:ALNU02000000:1:26167542:26195370:-1 gene:OGLUM01G26020 transcript:OGLUM01G26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSAPKHARNREGGEGFLHLEMDAAAEMQKVVSLRRGGSSSRGAASMWWSADNGVFSRSRASSSGEEGEDDEEALRWAALEKLPTYDRVRRAVLPVVEEGGGGGEAGKKVVDVLSLGPQERRALLERLVRVAEDDNERFLLKLKERIDRCVLCCTTLRHDVAVAADAVACRVFDDLRVVILMCRVGIDIPTIEVRFEHLEAEAEVRVGNSGLPTVLNSMTNKLEGAANALGILPNKKQTMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLGKDIKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKNYSDTMVGDDMVRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIVKSLRQAIHILGGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHQSSELIKYFEGIQGVSRIKDGYNPATWMLEVSTISQEQALGVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTKYSLSFLNQCLACLWKMHLSYWRNPPYNAIRLFFTTVIALLFGTIFWDLGGKTGKSQDLFNAMGSMYSAVLFIGVLNSQSVQPVVSVERTVFYRERAAGMYSAFPYAFGQVAIEFPYTLVQSIIYGIIVYSMIGFKWTAAKFFWYLFFMFFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYGIWNLFSGFIIPRPKVPIWWRWYCWICPVAWTLYGLVASQFGDIMTPMDDGTPVKIFVENYFDFKHSWLGVVAVVIVAFTMLFAFLFGFAIMKLNFQKRYAGVVEMQKAASFRREGGGSMASMWLSADGNGAFSRSSSSSSRRMRGEEDDEEALRWAALQKLPTYDRVRAAILPMVEGEGGEAGGGGGGRRVVVDVHSLGPHERRALLERLVRVADDDNERFLLKLKERISRVGIDMPTIEVRFEHLEVEAEVRVGNSGIPTVLNSITNKIEEAANALGILPTRKQTLRILHDISGIIKPKRMTLLLGPPGSGKTTFLLALAGRLKDLKFSGQVTYNGHQMEDFVPQRTAAYISQHDLHIGEMTVRETLSFSARCQGVGSRFDMLTELTRREKAANIKPDADVDAFMKASAMEGQESNLITDYILKILGLEICADTMVGDDMVRGISGGQRKRVTTGEMLVGPANAFFMDEISTGLDSSTTFQIVKSLRQTIHILGGTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRENVLEFFELMGFKCPERKGVADFLQEVTSRKDQKQYWAQHDKPYRYVPIKEFASAFQSFHTGRSIANELATPFDKSKSHPAALTTSRYGVSAMELLKANIDRELLLIKRNSFVYIFRTIQLMTVSAMAMTVFFRTKMHRDSVADGVIFMGALFFAVMMIMLNGLSELPLTIFKLPVFFKQRDLLFFPAWTYTIPSWILKSPMSFIEVGGFCFMSYYVIGFDPNVGRFFKQYLLMLAVSQMAAALFRFVGGAARNLIVANVFGSFMLLIFMVLGGFILARDKVNKWWIWGYWISPMMYAQNAVSVNEFLGHSWDKVLNNSLSNETLGVQALRSRGIFPEAKWYWIGLGALLGFIMLFNILFTLALTYLKPDGKSQPSISEEELKEKQANINGNVLDVDTMASSTNLAIVGNTGTGSEIADNSQPTQRGMVLPFTPLSLTFEDIKYSVDMPQEMKAHGIVEDRLELLKGVSGCFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYPKKQETFARVSGYCEQNDIHSPQVTVSESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDALVGLPGVNGLSIEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVLFLMKRGGEEIYVGPLGHHSSELIKYFEGIQGVSKITDGYNPATWMLEVTTVSQEQALDVDFCDIYRKSELFQRNKALIQELSTPPPGSSELYFPTQYSQSFLIQCLACLWKQHLSYWRNPPYNAIRLFFTTVIALIFGTIFWDLGGKMGQSQDLFNAMGSMYAAVLFIGVLNGQSVQPVVSVERTVFYRERAAGMYSALPYAFGQVAIEFPYTLVQSVIYSIIVYSMIGFQWTVAKFFWYLFFMFFTLLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFTGFVISRPLNSILPGPCAQATPVWWRWYCWICPVAWTLYGLIVSQYGDIVTPMDDGIPVNVFVENYFDFKHSWLGFVAVVIVAFTMLFAFLFGFAIMKLNFQKR >OGLUM01G26030.1 pep chromosome:ALNU02000000:1:26195666:26202245:-1 gene:OGLUM01G26030 transcript:OGLUM01G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGEIQKVASMRLGGSMRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAILPLGDDGGAGDGGGKGVVDVHGLGPRERRALLERLVRVADEDNEKFLLKLKDRVDRVGIDMPTIEVRFEHLEAEAEVRVGNSGLPTVLNSITNTLEEAGNALGILPNRKQTMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLGKDLKASGKVTYNGHGMEEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKAAAMGGQEANVNTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTVHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYQGPREDVLEFFESTGFKCPDRKGVADFLQEVTSKKDQRQYWARHDKPYRFVTVKEFVSAFQSFHTGRAIANELAVPFDKSKSHPAALATTRYGAPGKELLKANIDREILLMKRNSFVYMFRTFQLMVVSLIAMTLFFRTKMKRDSVTSGGIYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFYPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDSNVGSFFKQYLLMLAINQMAGSLFRFIGGAARNMIVANVFASFMLLIFMVLGGFILAREQVKKWWIWGYWISPMMYAQNAISVNELMGHSWNKIVNSSASNETLGVQVLKSRGVFPEARWYWIGFGAMIGFTILFNALFTLALTYLRPYGNSRQSVSEEELKEKRANLNGEIVGDVHLSSGSTRRPMGNGTENDSTIVDDDTEVTQRGMVLPFTPLSLSFDNVRYSVDMPQEMKAQGVADDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPEDVDSNTRKMFIEEVMELVELKSLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFESIPGVSKIKDGYNPATWMLEVTTIGQEQALGVDFSDIYKKSELYQRNKALIKDLSQPAPDSSDLYFPTQYSQSSLTQCMACLWKQNLSYWRNPPYNAVRFFFTTVIALLFGTIFWDLGGKVTKSQDLFNAMGSMYAAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIEIPYTLVQATVYGIIVYAMIGFEWTAAKFFWYLFFMVFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFVIPRPRVPIWWRWYCWACPVAWTLYGLVVSQFGDIETPMEDGTPVKVFVENYFGFKHSWLGWVATVVAAFAFLFASLFGFAIMKFNFQKR >OGLUM01G26040.1 pep chromosome:ALNU02000000:1:26202600:26218173:1 gene:OGLUM01G26040 transcript:OGLUM01G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRLACWCSVRYKYESSTVHGQHRPGCDRLIPFLAANIEHHAREIIRSFHENAATSLRHTCIFTKFTGEAFDPLHLSGHMGSKTAIRPKSTATEMMKPAMASCKDIAKEHTQNGASIVEVLKLHKIHGACCTTKAELTLAGVHTLLDSFEPIHDVLLCQGEDVDPSAVAEFVHTVVAYREKLATAAAVSHCALALPKLNQKMEKQCKVLVRSYSLAKNLCLRR >OGLUM01G26050.1 pep chromosome:ALNU02000000:1:26222840:26223871:-1 gene:OGLUM01G26050 transcript:OGLUM01G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAASPFAAPHEMMARAARPAAAAPEATTMMDLGQVLRLSDFDKIADLGDGASGVVAKVRLRGCGSSAVFALKTAHYCGGGAEVEVEALRRVAAGAGPSGSWSPHVVRCHAVFRCAGGEPAMLLELMDAGSLGRVLAARRGEGRRGLPEPALAGVAARCLAGLAHLHSRGVAHLDLKPDNLLASARGDVKICDFSVSRIFLGGAGERRRVPVAAGSTAYLSPERFEPNAHAGPRGACAADVWALGVTVLELFLGRCPILPAGERPSWERLKKAICDGEAPSLPASAAASAELRGFVASCLHKDPRRRATVAQLLAHPFVARRDGEACRRQLREIIVETM >OGLUM01G26060.1 pep chromosome:ALNU02000000:1:26227985:26235809:-1 gene:OGLUM01G26060 transcript:OGLUM01G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRREGSMWRSGGDVFSRSSSRFQDEEDDEEALRWAALERLPTYDRVRRGILAVSSEDGGAGGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLRERMDRVGIDYPTIEVRFENLEVEADVHVGNRGLPTLLNSVTNTVEAIGNALHILPNKKQPMTVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMHEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGTRYEMLTELARREKAANIKPDHDIDIYMKASAMGGQESSVVTDYILKILGLDICADTVVGNEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVFKIDSTDISEFVEKVTSRKDQGQYWCRRDRPYRFVPVKQFADAFRSFHVGRSIQNELSEPFDRTRSHPAALATSKYGVSRKELLKATIDRELLLMKRNAFMYIFKAVNLTLMALIVMTTFFRTSMRHDRDYGMIYLGALYFALDTVMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGVYVFITYYVIGFDPSVSRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLSLLAFAALGGFILARPDVKKWWIWGYWISPLSYAQNAISTNEFLGHSWSQILPGENVTLGVSVLKSRGIFTEAKWYWIGLGALLGYTLLFNLLYTVALSVLSPFTDSHASMSEDALKEKHANLTGEVVEGQKDTKSRKQELELSHIADQNSGINSADSSASRKGMVLPFAPLSISFNDVRYSVDMPEAMKAQGITEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRISGYPKKQETFARISGYCEQNDIHSPHVTVYESLVFSAWLRLPSEVDSEARKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSKLIEYFEGIDGVSRIKDGYNPATWMLEVTSSAQEEMLGVDFSEIYRQSELYQRNKELIEELSTPPPGSTDLNFPTQYSRSFITQCLACLWKQNWSYWRNPSYTAVRLLFTIVIALMFGTMFWNLGTRTKKQQDLFNAMGSMYAAVLYIGVQNSGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVAIELPYIMVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPKIPVWWRWYCWICPVAWTLYGLVASQFGDIQHVLEGDTRTVAQFVTDYFGFHHNFLWVVAVVHVVFAVTFAFLFSFAIMKFNFQRR >OGLUM01G26070.1 pep chromosome:ALNU02000000:1:26243956:26244717:-1 gene:OGLUM01G26070 transcript:OGLUM01G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFYHRQRHRRKRSTRLRIRCEEKPSPLHMAGEGRGRVVSRLSCGARMASPPPGDDEAARALALEQCERDFNAVIDRMLNLNLDGDDAAAAAAAASSAASPEPPAPQAAPAPEVAAAAAVDGAARGDRGYWVETMMRELWAAASMDDARERGARVLDAFGAAVGAGAAARLDAASRQIGFLKRAVLFHHRLRTAQEKAQRELRWQLDDYREQVRRLEASNYALSLHLRQADLRRGGGGGGAMPHGPGNPEIF >OGLUM01G26080.1 pep chromosome:ALNU02000000:1:26246887:26250311:1 gene:OGLUM01G26080 transcript:OGLUM01G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPTALVHHPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSSQATWPAKA >OGLUM01G26090.1 pep chromosome:ALNU02000000:1:26254190:26261915:1 gene:OGLUM01G26090 transcript:OGLUM01G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSNENIQFSWGKKRAKGGIKMDTQFYDSFTFDNVKYSLYDNVYLFKSGESEPYIGKIIKIWQQNQAKKVKILWFFLPDEIRKHLSGPVMEKEIFLACGEGVGLADINPLEAIGGKCTVLCISKDERNRQPSPRELAMADYIFYSCTLSEQLPEKIAGVEGNLLLNSKVEQVTSCSDQEVHGVDQKMLNVPVPLPQSTVMEDESPVAAVSLPPSVFKEENVASAIPFPQPVVKEESAAAAIPPPHVALKEESVSKSTENITKPAQKVLPGERPPKRVKFSENVTVQNVPLDVPERPSRTGPLELAGRQADRSKWFKIPWDTRLRNADEQGTLVYIQNLDIQFAAADIEELIRDALQLNCIAKPINHPTYDDPNNGKAYAIFKTKSAADSAISKINSGLVVSGRPLYCSKGLLKVPKPSETLLGHLTINNIRMSIRQREEQKKAVSTSHCSQPNTMEYDLALDWMLVRAKQETKFRTLHKKHKDERKTFASKMGK >OGLUM01G26100.1 pep chromosome:ALNU02000000:1:26262972:26266405:1 gene:OGLUM01G26100 transcript:OGLUM01G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11340) TAIR;Acc:AT5G11340] MGAGEGDAAAGNKEKGGGGGVDRTSLDGVRDKNVMQLKKLNTALFPVRYNEKYYHDTIASKEFSKLAYYSDICVGAIACRLEKKEGGAVCVYIMTLGVLAPYRSLGIGSKLLNHVIDLCEKQNIPEIYLHVQTNNDDAIAFYKKFGFEITKTIEKYYKNITPPDCYVLTKFIGQAATKK >OGLUM01G26110.1 pep chromosome:ALNU02000000:1:26268675:26271355:-1 gene:OGLUM01G26110 transcript:OGLUM01G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBK3] MMSGGGYSALDDPKASGSVPAATGPDPPTIKFADSNLQIFPPSEAKGKISGAYRPPTDADDTFSSSKSGGGGRGGGGGGAGSDDAGQGGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLGLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAMNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >OGLUM01G26120.1 pep chromosome:ALNU02000000:1:26273332:26279996:-1 gene:OGLUM01G26120 transcript:OGLUM01G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRASLRRAAVMSAYYNARGSARPRRGYYSGRPTPPPHHHHDAAAAAGAELVSGDSHHSAVRAANDSLRRGGGRGPPPSPYGYAPPQPPPPLYGAVPYNYGHPQQPGPPYGAVPYNYGPPPPQPQDPQYGYATPNPYVQGHPQPYWRGPTNAGFRPPYAGFRPGAPQQSPRLAEYRRRWRFTQHRPPRQAERFKVLSYNILADYLAQEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRFSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSEPKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDSLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNELGNCSCAFEDDGASNEVLCSDVNADPSIFKEFSGVNESLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASKDLHTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >OGLUM01G26120.2 pep chromosome:ALNU02000000:1:26273332:26279996:-1 gene:OGLUM01G26120 transcript:OGLUM01G26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLASRASLRRAAVMSAYYNARGSARPRRGYYSGRPTPPPHHHHDAAAAAGAELVSGDSHHSAVRAANDSLRRGGGRGPPPSPYGYAPPQPPPPLYGAVPYNYGHPQQPGPPYGAVPYNYGPPPPQPQDPQYGYATPNPYVQGHPQPYWRGPTNAGFRPPYAGFRPGAPQQSPRLAEYRRRWRFTQHRPPRQAERFKVLSYNILADYLAQEHQFLYERIPSFIMDWNWRKEKLVFEFGLWSPDILCLQEVDKFTDLEQEMATRGYNGIWKMRTGNATDGCAIFWRTARFQLRYQEDIEFNKIDLRDNVAQICVLESVIPGNVQTESSPNHPQQAKQIVVCNTHVLYNPKRGDIKLGQVRTLLDRVYALSKTWNDAPVIICGDFNSTPKAIFSTLPFYIKDSYLSPLYNFMLEQKLNLSGLVKSNISGQQTSTAQGLYTGPNTARFYPPFHTTNSKEGSISYPNDHKPQPEARNVTENSRFSGREPTLTDTASDSFLNPESSTNPHEQELMGCVKGPTKEAYTSDAEAHTNTTNGEETSVVNNSSEGYGVIKKSSVEETNVTNFSSASTTVNDETLQSDSSEIVDRSQLLPSYESSEPKDSWEELAGGSNNSSNTLANFPGHVISGKATCDFERNNVQSDTLFDISKVRPDEKEQDSEPLSTQNNCMRSESKSNCFSDSLKFADTLHQMSNLRLEEENNTEPTQLTSPLEPLQHTDCAFSDTCDVQCTPEVINRLSKSHSCSNELGNCSCAFEDDGASNEVLCSDVNADPSIFKEFSGVNESLLEDEDQLQTTSDGSPSAQQVITSDKRYYDYDPYRWTPDEIKAATGNEDCTFVEHNLKVRSVYTDVEDFEGTKDANKEPLVTSYNRKFMGTVDYIWASKDLHTVQVLDTFPKEILKQTIGFPTKKWGSDHIALVCELAFTK >OGLUM01G26130.1 pep chromosome:ALNU02000000:1:26281852:26284846:-1 gene:OGLUM01G26130 transcript:OGLUM01G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQRIHRDAGGDPAAAAETDPLLAHPPPPRSPAEIEDEEIEAASAACCRICLESETEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHFLSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLIMVAF >OGLUM01G26130.2 pep chromosome:ALNU02000000:1:26282549:26284846:-1 gene:OGLUM01G26130 transcript:OGLUM01G26130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPQRIHRDAGGDPAAAAETDPLLAHPPPPRSPAEIEDEEIEAASAACCRICLESETEPGDELISPCMCKGTQQFVHRYCLDHWRSVKEGTAFSHCTTCKAQFHLRVECLEDNLCRKMMFRLFVARDVFLVFLAVQTVIAAIGGMAYLLDKDGQFRNSFSDGWEHFLSNHPVPFYYCVGVVVFFVMVGFIGLIVQCSSFNTNDPCLAGCRNCCYGWGILDFPASIEACLALAVIFVIVFAILGVAYGFFAATVAVQRILQRHYHILTKRELTKEYVVEDLRGCYTPPKMDPEQEQRLRTLQLM >OGLUM01G26140.1 pep chromosome:ALNU02000000:1:26287135:26290198:-1 gene:OGLUM01G26140 transcript:OGLUM01G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPRLCALALPFLAVAACLDVPSHGTPKLTNKCGHCKNKLDFGKEFVCCSDCSDPTILIKHSKMGYCKSGAELSMQLKPHEIYHWVAGPWMKCSSPCDGGVRYRDVACYGNLSDATIKHYPVDDASCSADEMPARQEACNEQSCGVDMAEQTNSRKNGISGWLVALILLLGLGAIGGIVFTSYTYYLRRTSGRSGFVYVMMEAYS >OGLUM01G26150.1 pep chromosome:ALNU02000000:1:26299588:26303647:1 gene:OGLUM01G26150 transcript:OGLUM01G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVTVLVLLLACAAARAAAAVVTDGLLPNGNFEEGPPKSDLVNGTVVRGANAIPRWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAVTFSAARTCAQAEQLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKMDEVALVIHNPGVEEDPACGPLIDGVAIRALYPPTLAKGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIMSSKAVKYVDAAHFAVPQGARAVELVGGKESALVQEVRTVPGWTYRLSFAVGDARDGCAGSMVAEAYAARASIKVPYESKGTGGYKRAVLEFAAIANRTRVVFQSTFYHTMTDGSLCGPVIDDASLVGLRKKTAGRRLLL >OGLUM01G26160.1 pep chromosome:ALNU02000000:1:26308127:26311227:1 gene:OGLUM01G26160 transcript:OGLUM01G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ras-related nuclear protein 4 [Source:Projected from Arabidopsis thaliana (AT5G55080) TAIR;Acc:AT5G55080] MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEVSAKSNYNFEKPFLYLARKLAGDGNLHFVETPALAPPDVTIDLAAQQQHEAELAAAAAQPLPDDDDDLIE >OGLUM01G26170.1 pep chromosome:ALNU02000000:1:26312596:26313980:-1 gene:OGLUM01G26170 transcript:OGLUM01G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINLGNKVEAWCGDPSMGGWRLGVVVWGNGHQYNIRWDGGDVVSGRIRRVSVRPPPPHLEIPADLEAGDLVEALDDRMWKLAELVRAGDGDGEGEFTVKIVGSPSAITVPPSMVRVRQVLTDGDIWVATYKGEEIPGAREPTARPNAAANRRAPAAAAKHRPAADQFAPPPAPSHQWAKIKRSRHATDHDAAGEVRRVEANSKRIRAMEEEEGELLVGYGNVEVVRANEPPPTAVFVNKQQEMSDEETDDDAKSVSSAGSGSSSNSESSSDGSSSESDNGDRAAPRSPPGDAQVAANQPPPPPSQPQCQPHIKEERADDDDVRTKSRAATAMKPRPAVAPIMQRRLANERPPPPLAAAAAAVGEQIHRLEVDAYGALMRVFHATGALTWEKEELLTQLRLQLHVSSDEHLQLIRALNVGRRRLPKPEN >OGLUM01G26180.1 pep chromosome:ALNU02000000:1:26316725:26317255:1 gene:OGLUM01G26180 transcript:OGLUM01G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLNVVARCFPPLSPAGAALLPCSRRDEQEGELGSAHTATTSPYVSPSISVHVQLVTFNIDTNTLTDRHSNIVWIKTVLLSGNWSIIPDSSCLNASKTSGSNLKPLLFEVNKFREQ >OGLUM01G26190.1 pep chromosome:ALNU02000000:1:26318500:26321921:1 gene:OGLUM01G26190 transcript:OGLUM01G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCRTLLDVSLVMRLSARTSSSRRSTSGCGRPSSRIARLRRARQRPTTLTRRKSTSQIQGGGTT >OGLUM01G26200.1 pep chromosome:ALNU02000000:1:26364306:26367391:1 gene:OGLUM01G26200 transcript:OGLUM01G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSAPQVSAAVPHNSGAGPARSRGSSARRRVWEPQSHTSNMRERSACKLPAGPVRYRIPSPMGPPDIAPPPPELTGVCAAARTAAVGRWEAEVRKWRPEAARPAPGRVG >OGLUM01G26210.1 pep chromosome:ALNU02000000:1:26367443:26367694:1 gene:OGLUM01G26210 transcript:OGLUM01G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRMAVGAVKHGGGPVAAAALGAAVARRLPSALTTLGAAAVPIGAGGTRGCGGGWRLPSVPVALGAAAVQEDDGSYRCRRP >OGLUM01G26220.1 pep chromosome:ALNU02000000:1:26372201:26376586:1 gene:OGLUM01G26220 transcript:OGLUM01G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLPTAAPAWPTASSWQRMWVHPGPSFPHRMMIRHPLLSSLHAARLMPCKCTAPSSPIAKHHFEEQINTTLRNIHSVEFYSSNLHEVALRFRLLRQQGYWVSPDEFNKFKCEDGSFKSDINNDPKGLLSLYHAAYLLTHNERALKEAILFATHHLELLSGSLEFPLAEQVKRALQIPLPRTLKRVEALNFIFEWWKDVSSDINLDYTRDRVVECYFCAYVVYYEKEYARARMMLAKKIMLISLLDDTYDVHATLEEARKFNEALQRWDKNAVSLVPEGLKRFFLSIMSNFRDFEDELEPHEKYRNAYNIKAFQILSNNYLQEAEWFHQKYIPSFTEHAAVSLVTGGAIELPVSIIVGMGDIATKDAFDWALSCADAGRAFGEVSRFMDDLAVSQNGREKMDVANAVECYMKEHGVTSDVAEAEISEMVEGAWRTLNQARFEDRVYLPFVQRIANVSMSIALLFHGKRDGYTNSHELKDMFESHFVNPIPLDHLDTIEDM >OGLUM01G26230.1 pep chromosome:ALNU02000000:1:26375568:26380276:-1 gene:OGLUM01G26230 transcript:OGLUM01G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSKVCITQAVVSALSTLRGGQALIELRMPSLLPWKRRAMDMDTLAIRCTNGSQIIHET >OGLUM01G26240.1 pep chromosome:ALNU02000000:1:26380369:26382771:-1 gene:OGLUM01G26240 transcript:OGLUM01G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPQLRAATSRPLSWRSNCTAAAASASQPSEPLSAHFTNGRPLSRAPAVVRDLSSVLRAILAASPSSHSRAYPLLKSAAFDARLAPDALVDAVLSAVGGPGSRQATALLSRLLASLCRAGRAGAAAAAYASMEARGVTPDAKSRTDLLAVTARSASAADALALLAEMRGKGRPLDAWMFDVVMRACFKEGMYDDAVRLFDEMPASEIEPDQRVCSVAIASLCKLRDANRALLVLRKMQAAGFVPWDFTFNSVVDVLVKGGRMEEALHIKDELLATGKKMSVVLATTLMHGYCLQREVRKALDIFEETLRDGLVPTDVTYTVLIRGCTEEGMPEKAYELCCQMRDHGLLPSTNEFNMVIKGLLNDKLWKDAVSLFKEMADSGIPDAFTYNILIHWLCQRRKIREALNLWEKMNETGVKPYIVTYHSLLLCYCVNGCMDEAVKLYTEMPGKGFTPNVVTYTTLMKGHINKAAFDKAYALLAEMKQNGVSCNDYTYNTLINGLCVVGRVCEVGEMLKRFETEGFVPTAMTYNSIINGFIKAGMMGSAFAVYQQMCAKGIPPNIVTYTSFIDGYCKTSCCDLALKMLNDVRCKGLRLDIAAYNSLIYGFCQEGNMSHALQVLVLMLKDGLLPNISVYNSFITGYKNLKMMEEALRFYEKMIKEGIDLDTATYTTLIDGFSKDGNVTFALKLYSEMVAKGNIPDHITFTALTHGLCRNGDIDDARKLLDEMNRLDIRPNVLMYNMLINGYLRNGKLQEAFRLHDEMLERKIMPDDTTYDILVGMKSLGSDSPIDAENPNLSSTG >OGLUM01G26250.1 pep chromosome:ALNU02000000:1:26384010:26386918:-1 gene:OGLUM01G26250 transcript:OGLUM01G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:Projected from Arabidopsis thaliana (AT5G25480) TAIR;Acc:AT5G25480] METAAPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPYQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPTFLTLVRAGHNRCNPDEDELELVCKPISDFLETRSLNVADQDSSVNGSDGCTPSGIISQDYVVPLNLIERWGSAMGSLLATSNNLKRISKEDLEISSLKELGLRFFTPREVANLHSFPSSFHFPNHISLRQQYAMLGNSLSVAVVGPLLRYLFAET >OGLUM01G26250.2 pep chromosome:ALNU02000000:1:26384010:26386918:-1 gene:OGLUM01G26250 transcript:OGLUM01G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methyltransferase-2 [Source:Projected from Arabidopsis thaliana (AT5G25480) TAIR;Acc:AT5G25480] METAAPWKVLEFYSGIGGMRYSLAASGARAEVVEAFDINDVANDVYELNFGHRPYQGNIQTLTASDLDKYKAQAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMKNMCFPPQMLFVENVVGFEVSDTHDQLIEVLSDLNFNTQEFILSPLQFGIPYSRPRYFCLAKREPVSFQNPSDNSKLLRTPTFLTLVRAGHNRCNPDEDELELVCKPISDFLETRSLNVADQDSSVNGSDGCTPSGIISQDYVVPLNLIERWGSAMDYYVLLMLGCGVSSTVCKILYALNQNDAVVLPKNLKRISKEDLEISSLKELGLRFFTPREVANLHSFPSSFHFPNHISLRQQYAMLGNSLSVAVVGPLLRYLFAET >OGLUM01G26260.1 pep chromosome:ALNU02000000:1:26395604:26398094:1 gene:OGLUM01G26260 transcript:OGLUM01G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFINLLWCYLQEAPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEHHH >OGLUM01G26270.1 pep chromosome:ALNU02000000:1:26399958:26400241:1 gene:OGLUM01G26270 transcript:OGLUM01G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGDTRQPSYGDRLMESQQLPQDYRANVPRSGDTMIAGGENPSYFGTHQASLTNTSNLW >OGLUM01G26280.1 pep chromosome:ALNU02000000:1:26400431:26405057:1 gene:OGLUM01G26280 transcript:OGLUM01G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVVMKVKGTVFFNKKKKVILSFREGFRGERVREQSPVVFIHDVTQLPHNGCRLPKQRLCCALYGGGMN >OGLUM01G26290.1 pep chromosome:ALNU02000000:1:26405379:26422928:1 gene:OGLUM01G26290 transcript:OGLUM01G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRGVVGAALIGDKPATVEDVMPIATGLEREELAAELKGEKRFDMDPPVGPFGTKLSSKPNSRKLIAKKKGSKNTRNSRSIPRDMCEMMNQGTVPNDTGNQNPENIAVRFQKTESSEPDHPATDS >OGLUM01G26300.1 pep chromosome:ALNU02000000:1:26423055:26427055:1 gene:OGLUM01G26300 transcript:OGLUM01G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGSSSSGGRGGRRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRAVAAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHVANLLSSEPSDVKLGIGGFSMGAAAALHSAACYAHGRFTNGVAYPVTLSAVIGLSGWLPCSRTLKSKMDSSQTALRRAGALPILLSHGRADEVITYRNGEKSADFLRGSGFQYLNFKPYNGLGHYTIPEEMDDVCKWLSSRLGLDRSRG >OGLUM01G26310.1 pep chromosome:ALNU02000000:1:26435102:26436076:-1 gene:OGLUM01G26310 transcript:OGLUM01G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRSTRAVSYERRRSRVSPYPSNGKGCARRSEESAAAAAAAKQAAEWEDVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSYRHSNCFDQYRKASKESSKDSGASAAAAPECSECQQPIKLSCPLCRGPVSHWTKDYDARKYLNAKVRACTKESCEFRGAYGQLRRHARENHPTVRPTQVDPERQRDWHRMEQQRDLGDLFSMLRSGLSAREDGIGVSEGEEDISERTLHSPSITMVFIVRTGRSILHYREAFPGHHRRRTILLLGEAFGRESSPLGGASGSGDGDTTARENDEGDDDVTLSTEASAGSQHDGEVDGDPAH >OGLUM01G26320.1 pep chromosome:ALNU02000000:1:26444364:26451529:1 gene:OGLUM01G26320 transcript:OGLUM01G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAPVPPESARMGSPPPSHSPSPPPLQGDPSLPTDAPPPEPSPQPHHATPIPPPSPGQATEGWPRAEEPQPPIGGTPGAAGPPAPPSFFPSLELGTSAAPPALEAAAAGAGQPGSPSPHPPAEPSVEFYPGSAASSPSSSSYETAEDDSPASPPPTPPPLVLCASPDSSYTESSPARDRFGDEEGSHAAPEPPPPPTPLEGGPDASSAEPAAMASRAREPMGSRTAPEPLTPPLESGQEGFQQQQPHPPPTTHPECDSSEPAELPLPPTSPAEIAYTSPDSVEVDVVAVSPEEAPGSTVAMEVMYGETDTSAVSVSPVLGSAETDATEIDVIAGMQEEAPGSTVAMEVMYRENDTAAVSVSPVLESREPDVAEGDVVAGVQEAHGSKFSMEVMYRETDAAAVSVSPVLESGEPDKAENDAVEEMQEEAHGSRFAMEATYGEPDASAVSVSPVLESVEPDVTEIDVVSEIQEEAPDSTLAMEVTSGETDTAAVSAFPVLDGGDPDAAEKNVVAGMQEEEAPDSTLAIEVTSGETDTAAVSVSPVLEGVDPDAAEIDVVAGMQEEPPGSTLAMEVTYRETDATAASVSPVLDSGDPDAAEVDVVVGMQEQAAGSALAMLVTYGETDTSAVSVSPVLESGEPDAAQIDVVAGMQEEAPGSMLAMEVTYREVDAATVSVSPVLESVEPDAAKIDVVARMQEEAPGSKLAMEVMYGETGTAAVSVPLVLESREEGSLQESMQRPSSPTMNIEQESMQRPFSPTIGTETSSPEMAPAGSENCKVSWLPLPPPTPLGESMPSLPVAAAPEVLLVMPEEAIESVPSSEALDAEKPASITQAEPSSPNTPPPGFENFKSSWLPLPTTPPPVETTDVLPDVVVTKAVEAPIEEVSRPLPALEVTNMESDTVLSILPTTVLPTEGTEGLLQQPLLRPPSPVVQSEPCLQNEMAPPGFENFKSSSEPCSAEEIAPPGSDNFKSSSEPCLPEEMAPPGFENFKSSSEPCSQEEMAPPGFENFKSSWPPLPTLPQTVPDAAAADALAATVEEAAGPPPALELEAMDVDMDAIHPPPLPFDSGVESSQKPLPRAPSPIMQEAPCSPDRAPPGFETYKSSQLLLPSPSLAQTTNVRQDQSVTEPVSVIEEAPQLLHSVEVMGVHMDAVPPLLPSSESGADGLSPQQFAQPPPAEKDTTTCLPDMVHSGCDNSEPSQLLSLPAVISPVQTPDGLADVPAIDRVAVALEESPQRPLVSGEMEAGTVPIRSSPLKNISEGSLPQLESESHSPTSQAADSLLDASDSKSVAVASEEMSQLPLASQATTTDLVSTTAMQPQSEGIVDESLQPQHPPSSTAHDSPCLQDSVPLVPPPPSPYLNKEVGQMVCGSCRILLAYFRGAGYVHCTCCQTMNYVLEAHEVGKVHCGHCATLLMYPFGAPAVKCSLCLFVTEIGERNVRRRLSIEQPTRTNSSGLAEA >OGLUM01G26330.1 pep chromosome:ALNU02000000:1:26451772:26453070:1 gene:OGLUM01G26330 transcript:OGLUM01G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRPPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >OGLUM01G26330.2 pep chromosome:ALNU02000000:1:26451772:26453070:1 gene:OGLUM01G26330 transcript:OGLUM01G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRNRLRKTKAHVSSRRRAAGKRASERRPPLGSPMEFGSGGRDARARRQLQAAGRAAAYLGGGFLLLSAASSAAVRSLRSLSDANQRKFAAPCGACEGKGTYACRLCRGSSTIEWSPLHDPVFVNPCLCPTCDGTRVQRCLNCLGKGYA >OGLUM01G26340.1 pep chromosome:ALNU02000000:1:26488080:26493368:1 gene:OGLUM01G26340 transcript:OGLUM01G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGDARIVVVAVAAFVLVGVAAEGVVAVAAFVLVGVAAEGKGEGGGGGGVGVCFERIFSFGDSLTDTGNFLLSVPEDFPDPARSLPYGQTFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLAGGDFQQGANFAVGGATALNGSFFRDRGVEPTWTPHSLDEQMQWFKKLLTTVSSSESELNDIMTKSLFLVGEVGGNDYNHLIVRGKSLDELHELVPKVVGTITSAITELINLGAKKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEKTGCIKWLNEFTEYHNRLLQEELEKLRNLYPDVSIIYADYYGAALNIFLAPLQFGFTVPLNSCCGSDAPYNCSPSILCGHPGSVVCSDPSKYTSWDGLHFTEATYKIIIQGVLGSYANPPLSETCRGGAYKVSQLHQCTDNPTNTVTYDSMSSFI >OGLUM01G26350.1 pep chromosome:ALNU02000000:1:26508464:26510236:1 gene:OGLUM01G26350 transcript:OGLUM01G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDELTTKPIEIKFSSCRGVTFEPKPSPASPFAIAAAAAAACPAKPPPAAPSTGRWIWLPLLSSSRYSSFSRIIQAKPDGGVGRSQSRASSHFCDLDVAGDEEEDDGVSVFDGHDEEMAVAAAAAADVEDDLKGKKKPSVSAAAAPATARRSRLAVILFDQGLFTVYKRLFVLCVALNAAAVALAASGHFPYAERRAAVFAMGNILALTLCRSEAALRVVFWLAVALLGRPWVPVVAKTGVTAILQSLGGVHSGCGVSSVAWLAYALVQALRRRDEMPPEIVAVASAILFLLALSCAAAFPLVRHLHHNVFERTHRFAGWGALALLWTFVVLSAGYDREARSYVPLAGAVLAGREDLRLAAAITFFTALPWLTVRRVPVTVTAPSTHAAILTFQGGVRAGLLGRISRSPLSEWHAFGIISDGRRTHAMLAGAVGDFTRGLVADPPTHLWVRGVHFAGLPYLIGMYRRATMVATGSGICVFLSLLMQPSTTTATELSLVWVAKGVEANYGEEIRAAVAAAAGGKSMAGRVVVHDTAVMGRPDVRELAVAAARRWGAEVVVVTSNPEGSRDVVSGCRKAGIPAFGPIWDS >OGLUM01G26360.1 pep chromosome:ALNU02000000:1:26514743:26517567:1 gene:OGLUM01G26360 transcript:OGLUM01G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMSAASSPSCLLLPRPTKPTPPPLLPSTSSCRRPPRCRIRSLRPENPAPAAAGVARAVASSSSPMEAAQPQAGGGGEGQQEAMRLLFVEMGVGYDQHGQDITAAAVRACRDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFPYGKIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >OGLUM01G26360.2 pep chromosome:ALNU02000000:1:26514743:26516285:1 gene:OGLUM01G26360 transcript:OGLUM01G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMMSAASSPSCLLLPRPTKPTPPPLLPSTSSCRRPPRCRIRSLRPENPAPAAAGVARAVASSSSPMEAAQPQAGGGGEGQQEAMRLLFVEMGVGYDQHGQDITAAAVRACRDAITSNSIPAFRSGSIPGVNTEQMKLQIKLGVPRPTQHLLDVERVKAVFP >OGLUM01G26370.1 pep chromosome:ALNU02000000:1:26524685:26525638:-1 gene:OGLUM01G26370 transcript:OGLUM01G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPVSRHLRVALLALLVVAVAAAAAARGDQPRRGHSMAARHERWMARFGRAYADAAEKARRMEVFAANAERVDAANRAGGDRTYTLGLNQFSDLTDDEFARAHLGYSWAPPPPSHRHGHRAENGTAAAAADDTDVPDSLDWRARGAVTEVKNQRSCGSCWAFAAVAATEGLVQLATGNLVSLSEQQVLDCTGGANTCSGGDVSAALHYIAASGGLQTEAAYVYGGQQGACRAG >OGLUM01G26380.1 pep chromosome:ALNU02000000:1:26534102:26535582:-1 gene:OGLUM01G26380 transcript:OGLUM01G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVAAVAAAAAAAEPTVSKSAPELVPPAGPTPRGALPLSSIDKTAAVRVSVDFIQVFPPATGGPAADGQDAAVARMRDGFARALVPYYPVAGRIAEPAPGDVVVDCTGEGVWFVEATASCALADVNNLERPLLIAKEHLLPRPPPEEKLEDLILMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVPPVWDRDSIPDPPKPPPRGPPPSFTAFNFVTQVVDVSPESIARIKEDFTASPTGGGGGQTCSTFDAVTAVVFRCRALAASSLPDDAEVRLGFAASTRHLLAGVLPAVDGYYGNCVYPVGIARHRGAVRGAALAEVVGVVREAKEALAARFTDWLGGGGGGDHYDVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTLNDDVNIVASVIYLRPPAPKRGIRLMLRCVEEPHAAAFADELAKFA >OGLUM01G26390.1 pep chromosome:ALNU02000000:1:26536587:26538764:-1 gene:OGLUM01G26390 transcript:OGLUM01G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSSRVPSPPRSVAATAASHRRHAQQQPSPDQAASVAAMREGFARALVHYYPVAGRIAEPVPGEPEIDCTGEGVWFIEAEASCSLEEARNLERPLCIPKEELLPRPPPEVRVEDTVLLAQITKFTCGGFAVGICFSHLVFDGQGAAQFLKAVGEMARGLPEPSLKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVIEISLDSIKRVKDQVASETNQKCSTFDVVTAMMFKSRTLAIDFAPDADVRLGFAASTRHLLNNVLPSVDGYYGNCVYPGGLAKTSQEVKDASLVEIVTAIREAKDVLSTRFLDWMSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPKQGIRLVLQCVEAQHSKVFGEELQKLA >OGLUM01G26400.1 pep chromosome:ALNU02000000:1:26541822:26543569:-1 gene:OGLUM01G26400 transcript:OGLUM01G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRWLCLILAAAAAAAAAASGAPAPEDDPGMPMAARHRCWMARVGRTYADAAEKARRFEVFRANAERIDAANRAGDGTYTLGLTPFADLTADEFRARHLMPDADVDEPATARVLFEQEEKAAKQHLPPSRPPAVWGSKDWRDLGAVTPVQDQNKNNCNSCWAFAAVAATEGLIKIETGNVTPLSAQQVLDCTGGDNTCKGGHIHEALRYIATASAGGRLSTDTSYRPYDGEKGTCAAGSGSASSSSVAVVIRGVQKVTPHDKDALRAAVERQPVAADMDSSDPEFRGFKGGRVYRGSAGCGKKRNHAVAVVGYGTASDGTPYWLLKNSWGTDWGENGYMRIAVDADCGVSSRPAYPFV >OGLUM01G26410.1 pep chromosome:ALNU02000000:1:26544165:26550707:-1 gene:OGLUM01G26410 transcript:OGLUM01G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:maternal effect embryo arrest 18 [Source:Projected from Arabidopsis thaliana (AT2G34090) TAIR;Acc:AT2G34090] MAQLLATTTTTTTPPCGGLLTARASASSPGCFLRALPRSRAMASASGADAAAAAVAPPSLLVFSGGTAFNGVVEELKKVTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRTLLGHRLPLDPSEAKLEWYQIVEGEHALWDGVSQPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRKEVVNKDCNSCSALPSRIKRVFYMSSEGSNLLHEVFPEANRTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETTGLPASGFVTAITDSLNRTYGYPDKSLKNLPKDYVNALLVPKGGQIPLDIKNLSSKGIFHVVTVDSIHDGKTGIIFDPHSLIQALTSLISDRRFVEPDLLTENVESLNK >OGLUM01G26420.1 pep chromosome:ALNU02000000:1:26552718:26556421:1 gene:OGLUM01G26420 transcript:OGLUM01G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSAAAMANKPSLAVAAASRQRWALATSLCALLCLSLVVSAGLLLLGSTRPFRRPLFAAPQQQQQQREVVGEAPWERYVKLAQAASPGGARDRSPDLGGDEGAEGDDDDAISTAPAPAPSPAAEEGGDEESCDLFQGRWVRDGAAAGGYPLYEAAECPFLSDQVTCRRNGRPDAEYEQWRWEPRGCGGGGGGGGSREAALALALEQCRNRRVVFVGDSLNRNMWESLACLLYTAVPDRSRSRVLDVASDYRIFRAMDYNCSVEFFWSPFLVTLETKQDRTRALKLDQLPATLEKLRGADVLVFNTGHWWTHTGNLRAWDHLEADGKPVEMGGEEAFNQALGTWASWVDQNVDSARTRVFFRSISPEHKSENWCYNQTSPITDETKIVPWFPRSLVSIVERNIRSTRTPVTYLNITRLSELRVDAHPSVYTITREGKPLSTEQRQQPLVYADCSHWCLPGLPDTWNLLLLASLARSPVNVH >OGLUM01G26430.1 pep chromosome:ALNU02000000:1:26559539:26562606:-1 gene:OGLUM01G26430 transcript:OGLUM01G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G15010) TAIR;Acc:AT3G15010] MDEVSGNVHPATSPISKVTQKQPWKHTRGTCKAYTRPSRSVKLNLWIIRINMSIVRENKAFIYNQASKRDSETLWSCCCSSTQATWVYSVSKLADIAATAALASGVALDAVRAAADRDPALRKLFVRGLGWETNSDSLRAIFSAFGDLEEAVVITDKSTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGASGGAAGAGGAPAADVSLRKIFVGNVPADMPSERLLAHFAAYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQSQQQQQQQSGPGGAQPPQMLQGGPPDMPGSGLGLGGPQMGAQYGGPGSLSSYGAFGGVGAGLGGPNPYANLPSSMGGGGAGLGSLGNQMPSGMAGAGAGAYGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGASSLYRMQQGSGGLPSGGYGEGNYPLPGPGFRGQEGGMSPGPGGRAPMYPNVPPYF >OGLUM01G26440.1 pep chromosome:ALNU02000000:1:26581946:26590097:1 gene:OGLUM01G26440 transcript:OGLUM01G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSSAGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKPAVEPGFSLVSYFNGPIGQNSLAQKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >OGLUM01G26440.2 pep chromosome:ALNU02000000:1:26581946:26590097:1 gene:OGLUM01G26440 transcript:OGLUM01G26440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MSSAGEQSQAKAEAEEAVELVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGTLKVVSKGEECIIKLEDKNTGELYARAFLREDEPHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPQAYDFQAALHDHMKYLNKKKTAEEMVQHYEKSSSVDYSLKEGETLVLQLKNKESGAKIKSAFFEQGLNKLSFNEKANSKEAPVSLKLPPPPPSPVSPTDSGIAASPFKAEFPSQDQPAADTGADTTPFKAEFPSSHEQPAADNVASSPPPKAEAAPQEQPTAAEKAPQESVDDDFGDFQAAG >OGLUM01G26440.3 pep chromosome:ALNU02000000:1:26586385:26586880:1 gene:OGLUM01G26440 transcript:OGLUM01G26440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 [Source:Projected from Arabidopsis thaliana (AT3G58600) TAIR;Acc:AT3G58600] MEEKEKQQLAGDGDGIACGDRSKEEAEERKKQRAHANAHAFVCSLSLLLAATMALMLLSHLAAVLCLLLYLWAYHVTQNLAAGAGAAVPVEALVFAFPLVFGAGFLAALLAVAVAPVAGPSPGCWSWAPTSREFLRYSGSASPSTCGTTRRMQPSTVQLQQA >OGLUM01G26450.1 pep chromosome:ALNU02000000:1:26587164:26592864:-1 gene:OGLUM01G26450 transcript:OGLUM01G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEAAAAAPRPLQAAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFFLINISPDSSTTIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHGWDEPDYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLAGVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDDGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKIAITVLLGYDNYLVMRHGAGPGTKSGGMDEGIAQIENLSTQDALGRQRLGCCFCSDTTSLVNSDHNGALDQQSAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSTAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVSKTSASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRTLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILGRLKERCPSVDAKGIKMEIPMPGHPVSPNEAVSVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLHHPDGIHAPGEIAGTSSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEVDDDDEMDI >OGLUM01G26450.2 pep chromosome:ALNU02000000:1:26587164:26592864:-1 gene:OGLUM01G26450 transcript:OGLUM01G26450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEAAAAAPRPLQAAAIGVCAETGFWDALRRLKLDVLGTDDSPIPITGYYTPRQYEKIASLFRICPESILPPSANSFGDRNNCPVPGTLLNTNNMRGFQNLDRALLLKAEAKKILHDIKSGKVEENPALLLRFLVISFADLKNWKVYYNVAFPSLIFDSKITLLSLKLASQVLKQEEATSLSNAFTEWRKSSETTVVPFFLINISPDSSTTIRQLKDWKACQGNGQKLLFGFYDHGNRGFPGWALRNYIAFVSLRWKIEKVHFFCYREKRGRPDIQQSLVGEASFPAPHGWDEPDYVPEAIGWEGETAGKESKEMKPKEIDLSSINPASQDEEKQLMHLKLMGWRHFPVNLDKLAGVRCLLLGAGTLGCEVARLLMTWGVRKLTVVDDGCVSMSDLVKQSLYTDKDCGVPRVTAIVPHLKERCSAVEVEGIQMGIPKLEYNISASKISSITDDCKRLQTLVDSNDVVFLLNETWEGMWLPTLLCADKNKIAITVLLGYDNYLVMRHGAGPGTKSGGMDEGIAQIENLSTQDALGRQRLGCCFCSDTTSLVNSDHNGALDQQSAVILPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGTDTEHQLGLLPHQMQGSLSKCVLSTVLCNSSSNCIACSTAVLSEYRRRGFDFVTQAITCPTYLKDLTGISDLKKPFASKISASIPVSKTSASIPVNLEKLSSARCLLLGAGTLGCDVARILMDCGVRTLTVVDSGRVVVSNLARQSLYTSDDRDSPKASAILGRLKERCPSVDAKGIKMEIPMPGHPVSPNEAVSVLEDCKRLQELVSSHDAVFLLTDTRESRWLPTLLCANENKCYMIISLAPQIAITAALGYDSYLVMRHGAGPGTNCGSPDVVAAADTLSAEDVLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLASIASGCAADLFTRMLHHPDGIHAPGEIAGTSSEGPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNAVLSEYRRRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYSQVEWIDEVDDDDEMDI >OGLUM01G26460.1 pep chromosome:ALNU02000000:1:26597275:26597478:-1 gene:OGLUM01G26460 transcript:OGLUM01G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETPKTEWPELVCRTIKEAKEKIKADRPDLKIEVVPVGTIVTQEFDENRVRIWVDTVAKTPTIG >OGLUM01G26470.1 pep chromosome:ALNU02000000:1:26599361:26599579:1 gene:OGLUM01G26470 transcript:OGLUM01G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDSKPCGGLKTEWPELVGLTIEQAKAKIKADRPDLQVEVLPVGTIILGVVVPNRVILWVDTVAEIPKIG >OGLUM01G26480.1 pep chromosome:ALNU02000000:1:26614370:26616211:-1 gene:OGLUM01G26480 transcript:OGLUM01G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G53270) TAIR;Acc:AT1G53270] MSSAAVASPRETVSPPMSLGKVGVAVVGGGRRRSRYRLETRGLSYVLPARGAAARLFLGGGGEERLLLRGVTCEAPPGEVVAIVGPSGAGKTTLLSVLAGSADPARVVAGEVLVNGRAMDAARFRRVSGHVPQDDALFPMLTVEESLVYSARLRLRGAGGGNGGAAVARARELMAELGLRHVAGSRVGRVSGGERRRVSIGVDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELLDRVVLLADGAVRHHGSLGFLEARLAASGHGIPPHVNVLEYAMECIDSLKPDVTTADASHADAASGSSRRRASSAPYANSAAAEVCILSARFAKTVLRTPQLFAARMAQSLLAGAFLGTVFLGAGDLQSRLGFFAFTLTYVLSSTTEGLPVFLQERRILERETSRGAHRVASYVASNAAVFLPLLLASALLYAAPVYWLAGLAREPGRFAYFSLVVWLVMLTANSFVACFSALAPSYIVANSLIAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEAFLVNEYGGARGGAECLAEVGGGLCVLDGATLLRQQGMRASMRWSNVAVMLGFVVGYRLLCFVFLWFRCHRMRR >OGLUM01G26490.1 pep chromosome:ALNU02000000:1:26634079:26642913:1 gene:OGLUM01G26490 transcript:OGLUM01G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMKTLTQALAKASAVIEKTVTTTVQEVTGPRPLQDFELLEQAGSGGPGLAWRIYTARPREGAPSAPYPVVSVWVLDKRALAEARARAGLTKAAEDAFLDLARADAARLVRLRHPGVLHVVQAMDETKAAMAMATEPVFASVANALGCLDNVGKVPKELKGMEMGLLEVKHGLLQVSETLDFLHNNAHLAHRAISPETVFITSNGSWKLGGFGFALSVDQATGSLTSTQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDIFSFGCLAYHLVARRPLLDCHNNVKMYMNSLTYLTSEAFSNIPADLVVDLQRMLSVDVASRPSAIAFTGSSFFRNDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIIHKATQEHLISHVLPMLVRAYDDTDPRLQEEVLRRTVPLSRQLDVKLLKQSVLPRVHGLALKTTVAAVRVNALRCLGDLVPSLDKEGILEILQTLRRCTAVDHTAPTLMCTLGVANAIFKQCGVEFAAEYVIPLVFPLLTAHQLNVQQFAKYILFVKDITSKIEEKRGVTVTDNGNTEIQAAKGAAWDEDWGPTKKASAPSQSSDSNARMKQPSDRFDFSTQTKQPSALPFDFSTQQPKPSSAIPQVTPATISPAPSLPSLQSLAPSSGPQTSGSCVPVDIEWPPRKNTSSDFNAPLSVSEQSKSGGLSSDGLADIDPFADWPPKASSGASISAVGHLSSTSQSISGLSTGNIGFSGNSGSLGQMKSNQMSWSAKPNTTNLMAVNSTGNYLNQGNSALGFGNPIGGRSTGSLSSSTTQGPPRLAPPPSASVGRGRGRNQGQSALSRASRTPHSNVSSEQPPILDLL >OGLUM01G26500.1 pep chromosome:ALNU02000000:1:26672861:26677276:1 gene:OGLUM01G26500 transcript:OGLUM01G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADRIRVRAAALALDGGGGGAVRDKPDAKADVFADLGSPVSPLRARASVATSSSSSSGSAKSPAPSNAGALALAGGRSHSGELTAESTPPRLPGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMTNALPAGNICPSGRVPVAAAAPPPPRSRPDVLGSGTGNYGHGSIMRGGGGMAPARSSIDSSSFLGHAPRSPATFPAASSASSGSLQDVTRLGNEWYKKGKHAEALRHYDRAVALCPESAACRGNRAAALAGLGRLADALRDCEEAVRLDPANGRAHSRLAGLCLRLGMISKARRHLTQAGHLHQSDPSEWEKLQEVEMHQGRSIDARKVGDWKSTLREADAAIAAGADSSRLLLAIRSEALLRLHKLEEADSTLASLLKLDSVLLYRMGANPSGMLAESYVSIVRAQVDMALGRFDAAVEAAENARFIDPGNAEVGMILNNVKLVAKARAQGNELYKAAKFSDASIAYSEGLKYEPSNPVLYCNRAACWGKLERWEKAVDDCNEALRIQPNYTKALLRRASSYAKLERWADCVRDYEVLRKELPADTEVAEALFHAQVALKTTRGEDVSNMKFGGEVEMVTSVEQLCAAIGSPGVSVVYFMSIMNQQCTQITPSVNSLCSECPSLNFLKVNVEDSPLVAKAENVRIVPTFKIYKDGVKVKEMICPSLHVLRYSVRHYAVSSS >OGLUM01G26510.1 pep chromosome:ALNU02000000:1:26684466:26690588:-1 gene:OGLUM01G26510 transcript:OGLUM01G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral homeotic protein (HUA1) [Source:Projected from Arabidopsis thaliana (AT3G12680) TAIR;Acc:AT3G12680] MSDPFYPHGHGGAAGGEGAAAAGYSSYEVDLIAARYGGRPLANPSSAAADLDARLAGARRSMGVLYHQPIMGSHSTVEQIEALYSSNTMTKRPRLESSLPIYPQRPGEKDCAFYMMTRTCKFGGSCKFDHPQWVPEGGIPNWKEAANVEESYPEQQGEPDCPFFMKTGKCKFGSKCKFNHPKEKFYAKTGKCKFRAMCKFNHPKDIEIPSSQNETESAVTVEGETDIGSAADSVSAKMQTPVAAAQEFNSKGLPMRPGEVDCPFYMKMGSCKFGSTCRFNHPDRLVLNFPLPLGQTILPTPESMLLNSANFMQGFDFHAAHMPVGPGPVTYPQRPGATVCDFYMKTGFCKFADRCKFHHPIDRSAPDPSANWEPAEESVQLTLAGLPRREDAVVCAFYMKTGVCKFGMQCKFDHPPPQEAIAKVSNSGS >OGLUM01G26520.1 pep chromosome:ALNU02000000:1:26693079:26696066:1 gene:OGLUM01G26520 transcript:OGLUM01G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRAAGGARAVLRRLSAAAEAAAKQDGRVFAASYSGSSGGVNAPFGLGQYANLLRAQAFASRGVALNFHQLIRNAGISTTRNLLAADDAMVPVSSPLTPPLGDGEQTDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKEKQLMPHRLIEVSPRWARKRKEEAGAAA >OGLUM01G26530.1 pep chromosome:ALNU02000000:1:26696566:26701230:1 gene:OGLUM01G26530 transcript:OGLUM01G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEPSRSRLPLLHHFSLLASLCSARRRDDSRGFLWYPAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEAYSANLVQIASPRTLRPVLVLTPVTADEVRVYVVCCRDHGLTVRARSGGHDYEGLSYCSLRPSGDGEGAARFAAVDVAALQAVRVDAARGVARTKAGATRAVCRIGAAGLPPPPPVSSPPSGCAAPRLSVAQKMLRRLPLRLAPPLAGGGGGAIPHRVLRGACTAAPASRPPPDPLSPSDLDAVSALLPRLVSAGNVPAAGRLLTAALLLPGSTERLPFSPLAAHLASMPTLTPAFALLTAIRHHPLRPSPLPLATPLLGHLLSRRRAREAASVVRWLCRPDSPQRPDSATFAVAVAGLCRLEDPRNALAALREMAVDGIRISAKLRESVRDAMLQDARIEEAWALEAAIKQPDSGKLAELVQKLLEEWED >OGLUM01G26540.1 pep chromosome:ALNU02000000:1:26703566:26709201:-1 gene:OGLUM01G26540 transcript:OGLUM01G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBQ4] MMGKKRRRDFSTINTPKALIPSAASIAPPPPPFLSPLVERRLRGAPSSSPPKPSSSLLLGLRGGARLRRDSGGRRFAARGSLSLSRGREMKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERKGEYLGKTVQVVPHITNAIQEWIERVAMVPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEENVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDSFARGPKLDEWVARATLFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKAAWDLLKGANGVLVPGGFGDRGIQGKILAAKYARENNVPYLGICLGMQLAVVEFARSVMNLPEANSTEFDPNAKTPCVIFMPEGSKTHMGGTMRLGSRRTFFEVADCKSAKLYGNVSYVDERHRHRYEVNPDMVPEFENAGLQFVGKDETGRRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLIAASSGQLDRLLQGSCNGHVVSTKHSLSNGAYTSTVHQNGHAKKLANGLSNGTYYPNGNGVHA >OGLUM01G26550.1 pep chromosome:ALNU02000000:1:26744003:26755050:-1 gene:OGLUM01G26550 transcript:OGLUM01G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLPAKEANLFKVIVKSYETKQYKKGLKAADSILKKFPEHGETLSMKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLSSNSSKAIEVLEAYEGTLEDDYPPENERYEHSEMLLYKISLFEECGMLDRALEEMQKKESKIVDKLSFKEQMACILLKLGRFEEAEKTYRSLLFMNPDNYKYFIAVQKCLGLYSENGQYSTDDVDRLCELYSSLKEEYGWSSAVKRIPLDFLEGEKFQEAADNYVRPLLTKGVPSLFSDLCPLYEQPGKANILEELFLKLERSIRTSGCFPGSSHTEPPSTLLWTLFLISQHYDRRGQYDIALDKIDEAISHTPTVIDLYSIKGKILQHAGNFSAAAALADEARSMDLADRYLNSECVMQMLQADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYYRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPSKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEATSSHTSKSGKKQNARPVDLDPHGEKLVQIENPLAEGTKYLKLLQNNSSDSLETHTLSFELNMRKQKILLAFQAVKQLIKLDENSPDSHRCLIRFFHKINNLPSPGTDSEKLIWNVLEAERPDLRAAAAEMMYLLEPDKKLEAIKLIEDSVNSTASGNSVLGPVNEWKIQDCIDVHKLLETIFGDQDVANSWKARCAEYFPYSTYFEGIKSASAAYCSVANSLEDSSENGIVANAQMKSADGETCTLNGTVHIVDELSNLSIR >OGLUM01G26560.1 pep chromosome:ALNU02000000:1:26757558:26762328:-1 gene:OGLUM01G26560 transcript:OGLUM01G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G33495) TAIR;Acc:AT4G33495] MLHRIAALRPPPPPPPSPWRAAAAAAGYASKSTTLPQKQQRVRDHAFDGIMEVQKRVRRFLALHSLLLYAAAPTALAGGGGGAVSVPFSRLGALARRQLRLAPLDAGRFLLRHPHAFHLFLHPVHRVLHARLTPRAAAALRLEADAVASSLPASIVRIRKLLLLAPPHHRLRLEHIRLLRRDLGLPDDFAESIIQAHPALFRLTPDQFVEFVPSPSDPPGLSVAAVERAREQHYREHRNPGAGEEDVRFAFPTRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSGHDLRSLEARRRMEKRAVAAVHELLSLTVEKRTTLERLALFRDALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEIYAARRKLEELLMLNPQKANLDRMFTSMGRGWDELGGGRRGGAELREEFLGEASDRRTNTKCFAIELS >OGLUM01G26570.1 pep chromosome:ALNU02000000:1:26767265:26776925:1 gene:OGLUM01G26570 transcript:OGLUM01G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPTNKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREVSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGTPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGPTEVLTNTTSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRESIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETEWTLQGKLAVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >OGLUM01G26570.2 pep chromosome:ALNU02000000:1:26767265:26776925:1 gene:OGLUM01G26570 transcript:OGLUM01G26570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADPFLAASSPAHLLPRTLGPAAPPGTAASPSAARGALLDGISRPLKGSKELVEQARMAMKAVGDIGKLYGGDGAGVAAAAADGKNNQLGRRPAPDRKRFRLKTKPPTNKPVQNVDYTELLNIEDPDEYFLTLEKLERADKEIKRLRGEVPTEGTYNNRGIEPPKLRPGLLRRKSVHSYKFSASSDAPDAIEAPASQTETVTESQTTQDDVHGSAHEMTTEPVSSRSSQDAIPDISAREVSFVGKDNSFTLNYLLSAFKDLDEDEEENLLRKTLQIKEISIGKVCLPDFNVPGDTPASNTTEQKNPMSDHALERTAPGSNLARISQLEKRIFVGDALEDKHADLSKDDESDGTPESLLCKQSPVRRSSDAVGLMINEGSTAMETPSPSIKSPEHVLESESNPPDGVTTDEQPTENSPIGVDRDSELVKEKGTSSRHSVSLEEDVMPIDCTVSPPDHLEGGPTEVLTNTTSRNVSPLHHGDGNSEHQEIVGGDVAQDNPIHTLETPPEDTPQNQSEIHRESIEKLAVDKSNALSPSQGKQHRGKNKKQPSKRGKRETVDKSNALSPSKGKEQRGKNKKQPSKRGKRKTDNLIHTPEIPPEDTDNTVHTPEIPPEDTYPQNQSEIHRGNTEKLAVDINNALSPSKGKEQRGNNKKQPSKRQKRAAGEAGDLETHAPNFEPEIQPHVQDTDVEQQPACTSQSPSPSNGTSKNEVRKRNKKQDLNRRKSLADAGLTWQAGVRRSTRIRSKPLQHWLGERFIYGRIHGTMATVIGVKSFSPSQEGKGPLRVKSFVPEQFSDLLAESAKY >OGLUM01G26580.1 pep chromosome:ALNU02000000:1:26777387:26779447:-1 gene:OGLUM01G26580 transcript:OGLUM01G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSKELLDLPSEPKPPSLIESILVGRREQQQHKEGKRKAGPPTDPLPKSQVLGRVKDFLGEMAKANEKLHLDVKNKRPEEYDIEALTGNEKEYIEMDLVLGVADLHSEKAVEVAEATMNGFPPSGRSFACSSSDSEDDSDGSDEDSGDETNMSSKDADEPEAQASKGKKPNKRQKIVVLN >OGLUM01G26590.1 pep chromosome:ALNU02000000:1:26780917:26784053:1 gene:OGLUM01G26590 transcript:OGLUM01G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G11450) TAIR;Acc:AT5G11450] MAAAALLSPPPSPSPSPTPSSLHPRQALRFAVGTGGGGRARATSTGTRRRAALVPCSSSVSARGPASGGDGLALERRRLLLSGLVSSFVLVLPVSDSHAVAEMDEDVKMATLVDPINAYSFLYPVELPGKKFTFKWVESRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFPPSKDKSKWDPKDVADWILAEKSSLKVTTGQRMTESSVLDAHSSDVDGEPYWYYEYLVRKSPTQSAPEPNLFRHNVACTAERDGYLYSLNASTLSKQWESMGPSLQKTVASFHLLPPTENYVPPYQDPWRFW >OGLUM01G26600.1 pep chromosome:ALNU02000000:1:26783933:26784847:-1 gene:OGLUM01G26600 transcript:OGLUM01G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPTFAVAAALAPPPPRGGGGGGGEFDHFVVVDFEATCERGRRIYPQEIIEFPAVLVDAATGRLVSAFRAYVRPRHHPRLTDFCRELTGIAQGDVDAGVGLAEALLRHDEWLRAAGVVEGGGRFAVVTWGDADCRTMLEQECRFKGIAKPAYFDRWVDLRVHFEAAFGGGGRRVKLQEAVRAAGLEWVGRLHCGLDDACNTARLLVELLRRGVPISITGSLPAAPPPLEQARKQQQQQEMQQLLVPCGAAVCCYCGVASTGGVMAMPGSTQRRCFYGCGNWTAVSGATCPFFLMGGVVDCPIN >OGLUM01G26610.1 pep chromosome:ALNU02000000:1:26788082:26790220:-1 gene:OGLUM01G26610 transcript:OGLUM01G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAPLAPMPRVKLGTRGLEVNMPACSIVNSYFPVFPFVRFALNRPVTVSKLGFGCMGLTGAYNSPLDDDAGAAVIAHAFRRGVTFFDTSDVYGPLANEILLGKALKQLPREQVQVATKFGIRRGADGVRAVCGRPEYVRACCEASLGRLGVDYIDLYYQHRVDTTIPIEDTIGELKQLVEEGKVRYIGLSEASPDTIRRAYAVHPITAVQMEWSLWARDIEPEIVPLCRELGIGIVPYSPIARGFFGGRGVTEQLSAESNLQGHPRFSAENLEKNKQLYLKTEELAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVNLTDDDLKEISSQVREEDVAGGRQYTSFAHYTWKYADTPKK >OGLUM01G26620.1 pep chromosome:ALNU02000000:1:26792514:26797919:1 gene:OGLUM01G26620 transcript:OGLUM01G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGGGGVEEDQAGSSSLCPPAAEAEAAAAAAAIARAARPPRPGRDKRLGVRHPLKHRRFRAGGKAAVAAGAREVGEATTVAEATATGPPKGSDEDDEARYICGGWKRSSNLQLGLMLFRLLIGTDLSFARLPSIVDNICSCIQACCDDGRMSCGYSSFRGRRANMEDFYDIKSSKVDDNQINLFGIFDGETYRKTDSDFLDAETNINREDGSTASTAIFVGNHIYVANVGDSRTVMSKAGKAIALSSDHKPNRKDERKRIENAGGVVTWSGTWRVGGVLAMSRAFGNRFLKRFVVAEPEVQEQEIDDDLEFLILASDGLWDVVSNEHAVAFVKAEEGPEAAARKLAEIAFARGSTDNITCIVVKFLHAKMAVDSASSGERS >OGLUM01G26630.1 pep chromosome:ALNU02000000:1:26803949:26807559:-1 gene:OGLUM01G26630 transcript:OGLUM01G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAANEHTLDIPGPGDDQPAAQPAALAGGGDEDEGAWKTAQKWLNRFVRVVAFVERTGNAVGTLAFTWATVVVLGGYSTDLREDFWYAMAIVFLEAYRVFSRQNKSDDKFLFKTTGGIRVLKLSSTLELLYFMNAVIVMLCLSVILTVVLTHVFPKKRYVPLVLAALLVLLARLPIIWLLKRANRPGSGRRVVAIVLQLTPLAAILALGCSLVLLDGAAPVSVLASMFLLSTTFVLSQQLIAVREKIKRPAPLQRPTAAGEASPPPPSFAQRARLVLFNTMLVVCPPLMVAVLTATFGFQGLYVVLTAVALGNFQIPAAVARVAISSARLAGRVDRVSTGNVNLVPSLKIFYGLVLAQGALYIVACLTDPFSVLLRRWLARRCKLGTRSVDLYYEHAYDAWMEDGLLAMEDANIVSFAVDSLGSGEPSRSRERVLAGVTVLHCFLRQRRGSKARLASSKIITSTNAIATLIGMLGWGAEEDRQIRLFAAKVIGEVAGELRIARFPGTVQLISSLLDAPSCSKKEQDSGGSTQTNAAAGNVNTDSTCCCCFPKPSCPRRIKNLWSAPDEEPLDDDEDALPIMGMLILEKLASDPENCAEIWRATNLVSKVIGFIACSSNEAQRNRRPITASSLKLVAKLAGAKGEIGVKLRRKISAHPFLVSSLAGILEDDGAGTEEWAPAMDILAKLCVNAYTRQEVGEIAAIITRLVQEFFPSQRDQQASSTQDDRQLRLAAGEALATLATQSPGNCSAILKEFKGKYCDLVNDLKNMISARDEDGCRCAASLLQNLCAHSGDKLRHLGFSDHLASALKVILEKILNTKGKQLEVLIGLAAQIHKAMPACFKDALESLANNKAEALVQKMVDTLNSSKKPSPECPRMRRAIVELAISIVETRTLPYGYAADFRKKGMVEALSKVKRTPSKVERYRLFFGDAGVVLERGLPLPDMVATAKGLIETASPSPGV >OGLUM01G26640.1 pep chromosome:ALNU02000000:1:26809463:26816825:1 gene:OGLUM01G26640 transcript:OGLUM01G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSQRDELRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAAAAARAAADAADEIETVHRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >OGLUM01G26640.2 pep chromosome:ALNU02000000:1:26809463:26816625:1 gene:OGLUM01G26640 transcript:OGLUM01G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSQRDELRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAAAAARAAADAADEIETVHRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSPALDQSIITTGQVQS >OGLUM01G26640.3 pep chromosome:ALNU02000000:1:26809463:26816883:1 gene:OGLUM01G26640 transcript:OGLUM01G26640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIEVLSALPNSQRDELRPSINLLVMCPTRELAIQVAVEAKKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHVENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIERIIASVPKERQTLLFSATVPEEVRQISHIAMKKNYKFINTVKDGDEETHAQVSQMFMIAPLDLHFSILYDVLKKHVAEDADYKVIIFCTTAMVTKLVAEILSQLRLNIREIHSRKSQSARTKVSDEFRKSRGLILVSSDVSARGVDYPDVTLVIQVGVPADRQQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLSSIKDLSISEATVPSVDSSTQTIVKDAVRKVEMRSKECAYQAWLGYYNSNKTIGREKSRLVKLAEEFSQSMELSVPPAIPKQILRKMGLNNRVFRPFATAAAAAARAAADAADEIETVHRGEMMSGGPSDATHRKRRRRRGPKGSGVDGPSIPRAVTTNGAGPEEEEEVVEGKAMELDAGMSAAEVGGVVGSHLSETRFDQCPVSPLSLKAIKDAGYEKMTQVQEATLPIILQGEDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >OGLUM01G26640.4 pep chromosome:ALNU02000000:1:26809463:26816825:1 gene:OGLUM01G26640 transcript:OGLUM01G26640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDLLLRTQSGLPVLARAFPSCLCLRVPARRRRGAPPLTAAKVDVADAVGRRVRSGGAAVPKRRRSRRDAEEEEEEGLAFSRVVTGRGRGVREEGVAEGEAPEFDAAKSGDESGGVDGSYLSDTRFDQCAISPLSLKAVKDAGYERMTQVQEATLPVILQGKDVLAKAKTGTGKTVAFLLPAIELLSTLPRSPSINLLVICPTRELANQVAAEARKLLKYHRSLGVQVVIGGTKLPQEQRSMQSNPCQILVATPGRLKDHLENTPGFSNRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMKRGYKFINTVKEGDEETHSQVSQMYMVAPLDLHFSILYNVLKKHIAEDADYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGLPADREQYIHRLGRTGRKGKDGLGLLLLAPWETYFLNSVQDLSVSQAVVPTIDSSIQTGVKDALGRVETKSKESAYQAWLGYYNSNKAISRDKSRLVRLAEEFSQSMGLAIPPAIPKLILRKMGLNNVPGLRSV >OGLUM01G26650.1 pep chromosome:ALNU02000000:1:26822754:26826793:1 gene:OGLUM01G26650 transcript:OGLUM01G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61680) TAIR;Acc:AT3G61680] MVASVAAAHAAAASAGGRRARREPASMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFGAAPLRTPPLPDGGGDGSRLLTVAGPDDEPDDAPGPEMPAARRRPDAHWLDRLLELRSRFHDPTKRHSSDNNGLIFQDDDDDDDVYHLDGDDGCGVNYEDDDEQVDDRWDRESFSKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKYYGLRFVTSSLEKKAEAGEIRSKLDVDSTRPRAAPACEAAAATTSGPQPRRPIRSHLAYEVAASAASYVHARARGLLSFGGASGQQPRAEEGGHGRLYNSGVAAYVAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFVCDEADARTRCFVIQGSDSLASWKANLLFEPTMFEETGVLVHRGIYEAAKGIYEQLMPEIAAHLAAHGERARLRLTGHSLGGSLALLVSLMLVARGVVGPEALLPVVTFGAPSVFCGGHRVLDALGVGEGHVRSVAMHRDIVPRAFSCRYPGHAVALLKRLNGVLRNHPCLNNQVRSHDRRHRAASLYLSPLLWPPNARCVVCEQRMLYTPMGTTYILQPDGAASPPHPFLPEGAALFRLDPDGRAERPARHVVASALRAFLNSPHPLETLSDLSAYGSEGAILRDHESSNYFRALNALTRVPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVFPAAAPVSVRNKELVSEA >OGLUM01G26660.1 pep chromosome:ALNU02000000:1:26827835:26834303:-1 gene:OGLUM01G26660 transcript:OGLUM01G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 11 [Source:Projected from Arabidopsis thaliana (AT5G53170) TAIR;Acc:AT5G53170] MSALQASLLLHPLPSPLPPRRRLPLPSSSASFPRAGHHRRLPLPLRALASEGPQPAPSPAPDPPPPELPAAPEAEEVVGTAAAEGGGKVEEEELEDLVEKGRAWVLALAAAVVAAARRFFDWVVSGDWMSWWPFWRPDRRLQRLIDDADANPADPAKQSALLHELNKFSPEDVIKRFEQRSHAVDSRGVAEYLRALILTNGIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKATGRSTRFAQEIFSTVLFTIAVGLMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKELNKDIMPEKNVKTFKDVKGCDDAKKELEEVVEYLKNPSKFTRLGGKLPKGILLTGSPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSSDVDVNAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEFAKDRIIMGTERKSMFISDESKKACLFKLLYFILRELILTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLERETLTADEINKVVHPYQEEPQLSFQEEDFALT >OGLUM01G26670.1 pep chromosome:ALNU02000000:1:26839850:26843453:1 gene:OGLUM01G26670 transcript:OGLUM01G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRWWLLLAVAAAAAVVVGAGAQETCSDMVPAAPRRGAWMSLASFGGGGGDGRTLSTAAFQAAVASIERRRAPGGALLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQYTSSWPLIEPLPSYGRGRELPGGRYMSLIHGNGLQDVVITGDNGTIDGQGSAWWDMWKKGTLPFTRPHLLELMNSSDVVVSNVVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDLIAIKSGWDEYGMAYGRPSSHITIRRITGSSPFAGFAVGSETSGGVEHVLAEHLNFFSSGFGIHIKTNTGRGGFIRNVTVSDVTLDSVRYSLRIAGDVGGHPDDRYDRNALPVVDGLTIKNVQGQNIREAGSIKGIATSAFSRICLSNVKLNGGAAVRPWKCEAVSGAALDVQPSPCTELTSTSGMSFCTNSL >OGLUM01G26680.1 pep chromosome:ALNU02000000:1:26844834:26850198:-1 gene:OGLUM01G26680 transcript:OGLUM01G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT5G53180) TAIR;Acc:AT5G53180] MASGQPQFRYTQPPSKVIHLRNLPWDCTEEELVELGSPFGKVVNTKCNVGANRNQAFVEFVRGKNVYLQYSNRQEIVNSKSSGEAAGNVLLVSMEGVLPDAVSIDVLHLVFSAFGFVQKIATFEKASGYQALIQFCDTETASSAKAALDGRCIPSYLLPELDVPCTLRINYSAHTVLNVKFQSHRSRDYTNPYLPVAPSAIDGSGPDGKKQEAESNVLLASVENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNSGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLTFSRHTDLNVKVNNERGRDYTGGNTAPTSNQPSILGPQPVYSGAYNNAPSSATGAVVPPGTTLTPPGAPSHPYTSSEPLPQTPAVPSGGAPLYTSQGILQGPPGVPPAQFPGYGSPQFPPGSAQAQMHQQHPVQGSQQMPGQMNHQPPPGSFMQYPGDGGRPVQDAPGQQAMPFHGHGGGQHLPPGYGGQPQFQQGPRPPMPPQQFPMYGDQQFPPGTGPQMMMPFAGQGGGQQHPFRPYNSH >OGLUM01G26690.1 pep chromosome:ALNU02000000:1:26851880:26852777:1 gene:OGLUM01G26690 transcript:OGLUM01G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWRLMAVADERWPATVEVKALLWASLRTLGSTLTSKEMMDGCYCATSSSSLYHTATSFHDSIVDYCSPPGCPLRY >OGLUM01G26700.1 pep chromosome:ALNU02000000:1:26853862:26854857:-1 gene:OGLUM01G26700 transcript:OGLUM01G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGSQLGKNSKTSDGRETKATSSLLLLITAIHFVALCPKGENEGAGKEVNSTTQNFVHFTEEEEDIVFRMHRLVGNRWELIAGRIPGRTAEEVEKFWAIKHQAT >OGLUM01G26710.1 pep chromosome:ALNU02000000:1:26868640:26875742:1 gene:OGLUM01G26710 transcript:OGLUM01G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNSNHYATTNQHSHDDERCQGSFVMAVPPSENDSDDDNNPPDHVVEDKLPEEKLNTMALRMAFYCRVMNGFGALALAWATVVLLGGYATLIKPKDFCIEDESLKCLLHRFTCPGRHLYGHLNIISNGGTSSTLKGFAGTTT >OGLUM01G26720.1 pep chromosome:ALNU02000000:1:26875959:26876721:1 gene:OGLUM01G26720 transcript:OGLUM01G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRSNRGRSKTFKARDATTEAATNARPKRTGFPPKGKESQNKTTSPRRIWHPQASMSLNLEKLGRAFARDSQEKCRTSNSQSESAKSYRCPTQRLNPMQQLRLHLSTRALAQQRAKHPSPYSSALEAQARPKSLDNKQGGPTSRTNTTPQPSKDSTTKVWKQTGQQKRGASRKVRRFASPEEELKDEVRTTETSESSMFRRDQGGGISTKNRRASRKHPLQLRRSLPTLPPTQRRAGASRAIEQLDN >OGLUM01G26730.1 pep chromosome:ALNU02000000:1:26890576:26893019:-1 gene:OGLUM01G26730 transcript:OGLUM01G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVFMLPPYFAYRLASSRSPPRRWRTSLARSSSSRASSGIGEQAGGEEGGEPEGRGGEGEGDRLARRARRPQPGRAASVGKEKRRAWFGKEAARLASGGGEAAAVAGPVRQWCAGQVASNPVASMKRTRLSELNLGEKGRMGEFPRDPAFPLLRFSARQRLFFPMSLWTTEEEMATTMDGTNPMLSIPSHSSLPNLEVPIPARPVLILATGGGCSSHRRHPRVLDGGVGQKEVFGVVQVVVVSRSARASSFATMPMSSPVARLPCCYDLSCCF >OGLUM01G26740.1 pep chromosome:ALNU02000000:1:26893046:26916701:1 gene:OGLUM01G26740 transcript:OGLUM01G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALTRSVAAGVSLRNNGWAGGKRSRGGDQRRTQGRVAGETSLLLHHQTAAATGEMLPGTRSPEAPSSRHRRHLDQGASTASAPRTSPLVSSISRAVVGGWRAWAPPADGGLIRPAWRLMKVLVGFLICYFRYMSIRERLFYLRATKGELLTAVHLIEADRCTREFDAG >OGLUM01G26750.1 pep chromosome:ALNU02000000:1:26917603:26924514:1 gene:OGLUM01G26750 transcript:OGLUM01G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01040) TAIR;Acc:AT4G01040] MPPRRRDRRRPRDPSPETSRASTSAASSSSGSRFRPSLLAPLLLLLLLLILAALHFSGRLSLPRPQAPPQRTRLSVHERGLVRRDVSASEILAEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKSDGNKLVLEGQHNFDAKWVSELQSNGSLVLPRVVLEAFPGVVLMKKKLRDKAIDLIVNECSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >OGLUM01G26750.2 pep chromosome:ALNU02000000:1:26917603:26924847:1 gene:OGLUM01G26750 transcript:OGLUM01G26750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01040) TAIR;Acc:AT4G01040] MPPRRRDRRRPRDPSPETSRASTSAASSSSGSRFRPSLLAPLLLLLLLLILAALHFSGRLSLPRPQAPPQRTRLSVHERGLVRRDVSASEILAEHATVSENRSRRHFRNPVLAYVTPWNSKGYDMAKLYSTKFTHISPVWYDLKSDGNKLVLEGQHNFDAKWVSELQSNGSLVLPRVVLEAFPGVVLMKKKLRDKAIDLIVNECSYNCQFVIWLSVNRDKGYDGVVLESWSRWAVYGVLDDSELRNRALQFVKHLGKTLHLTSSNLSTHHHLKLIYVVPAPRMKELNNQEFRPEDLLQLADSVDGFSLMTYDFSGPQNPGPSAPLSWIQYSLKTLLAAKGSASHGHSHMIFLGINFYGNDFLLSKGDGGNAITGRDFIYLLDKYKPSLQWDEKSSEHFFVYSDKGLRHAVFYPTLMSLSLRLDEAQDWGTGLSIWEIGQGLDYFFDVL >OGLUM01G26760.1 pep chromosome:ALNU02000000:1:26923248:26924480:-1 gene:OGLUM01G26760 transcript:OGLUM01G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGSQGKNSKTSDGCETKEVNNTAQNFVHFTEEEKDLVFRMHRLVGNRWELIAGRIPGRTAKEVEMFWAQYTGGEIWCLETFPRRM >OGLUM01G26760.2 pep chromosome:ALNU02000000:1:26923498:26924480:-1 gene:OGLUM01G26760 transcript:OGLUM01G26760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSGSQGKNSKTSDGCETKEVNNTAQNFVHFTEEEKDLVFRMHRLVGNRWELIAGRIPGRTAKEVEMFWAVKHQNT >OGLUM01G26770.1 pep chromosome:ALNU02000000:1:26926257:26935774:1 gene:OGLUM01G26770 transcript:OGLUM01G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKGSPCAWVPSLRSYHPYQIKEKMLSGWSVYQYVDQMLAIRAPVLAWEHLYWYLNIISPMKGLKVCVQAES >OGLUM01G26770.2 pep chromosome:ALNU02000000:1:26926257:26935774:1 gene:OGLUM01G26770 transcript:OGLUM01G26770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRKERITLCMGPQPAQLPSLPDKGKDVKYVDQMLAIRAPVLAWEHLYWYLNIISPMKGLKVCVQAES >OGLUM01G26780.1 pep chromosome:ALNU02000000:1:26930697:26935553:-1 gene:OGLUM01G26780 transcript:OGLUM01G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64350) TAIR;Acc:AT1G64350] MAERQVAELGAGAACVGWNHCGRRLAAGAVDGFVSVYDSQSQPSPSSKWQAHKHAILNIVWLPPDYGDAIACVCADGTLSLWEEVSEDDQLPTWRKCKVTAYSDGQVKVYELLDSLELDKWQLQAEFQNITDPVSQSGKPACTSASIAWSPRRGESQQASFAIGFNSDSPNFNSCKIWEFEEAHQRWLPLVELGSPQDKGDIVHAVAWAPNIGRPYEIIAVATCKGIAIWHIGLSAESDGSLSTENVAVLSGHDGEVLQLEWDMGGMTLASTGGDGMVKLWQANLNGVWHEQAVLDCNVSH >OGLUM01G26790.1 pep chromosome:ALNU02000000:1:26936242:26937739:1 gene:OGLUM01G26790 transcript:OGLUM01G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRCPANRHPTRPPSTSYQTVAAAFDPSPRLASPRVARMARTPVAAESGSDLRFGLLEEGRPHPPVVAAAAAALVGGAQRRRNSTPPWLHALRLYCIIAATAMVAVFAAWVFPRCKGKQDVLLCVVALAGAVFTGPILGFLLTTCAADADDHEAAARVASRYTRCEENVGRSVILAVALLGL >OGLUM01G26800.1 pep chromosome:ALNU02000000:1:26938859:26947519:-1 gene:OGLUM01G26800 transcript:OGLUM01G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHAVTPHVVLLPSPGAGHVAPAAQLAARLAMHHGCTATIVMYTNLSTARNSSALASLPTGVTATALPEVSLDDLPADAHIVTRIVTVVRRSLPHLRELLLSLLGSSSLAGVTAFLTDMLCPAALAVAAELGIPRYVFFTSNLLFININMNLGDARMTYIPAVHATVPHVVLLVSPGAGHVVPAAQLAACLATHHGCTATIVTYTNLSTARNSSALASLPRGVTATALPEVSLDDLPADERIVTRIVTVVRRSLPHLRELLLSLLGSSSLAGVTAFLTDMLCPAALAVAAELGIPRYVFFTSNLLCLTTLLYTPELARTTTCECRDLPEPVVLPGCVPLHGADLIDPVQNRTNPVYQLMVELGLDYLLADGFLINTFDAMEHDTLVAFNELSDKGVYPPAYTVGPLVRSPSVEAANDVCIRWLDEQRDGSVLYVCLGSGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNDRGDNDDPLSYLPEGFVERTKGAGLAVPLWAPQVEVLNHRAVGGFLSHCGWNSTLEAASAGVPMLAWPLFAEQRMNAVMLSSERVGLAVRMRPSSARPDYGVVPREEVASAVRKLMVGEMGAVARKKAGELRAAAEMASAPGGPQHQALAEMVGKWKGRG >OGLUM01G26810.1 pep chromosome:ALNU02000000:1:26940928:26968705:1 gene:OGLUM01G26810 transcript:OGLUM01G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSXTPSVSQLNISVKDLGTWLLKKNKRSRGNKERERDQLPQQLDLVTLTFGRDVEVAVAFGTGMEEKTTTEAVLERRKRRATAMVGSEGKCEVARRKVKTTTTLRKEGEWSSGWQQQCSALRRELGVNGDSYARHSGLRRELGAVVVTRPGLEEERIIVQRLH >OGLUM01G26820.1 pep chromosome:ALNU02000000:1:26962212:26963614:-1 gene:OGLUM01G26820 transcript:OGLUM01G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTTTCECRDLPEPVVLPGCVPLHGADLVDPLQDRANPVYPLIVELGLDYLLADGFLVNTFDAMEHDTLVAFKELSDKGVYPPAYAVGPLVRSPSGEAENDTCIRWLDEQPDGSVMYVCFGTGGTLSVAQTAELAAGLEASGQRFLWVVRFPSDKDVSASYFGTNNRGDDDDPTSYLPEEFVERTKGAGLAVPLWAPQVEVLNHRAVGGFVSHCGWNSTLEAASAGVPTLAWPLFAEQKMNAVMLSSERVGLAALRVRPDDDRGVVTREEVASAVRELTAGKKGAAARKKARELRAAAAVASAPGGPQQQALEAVVGEWKGRG >OGLUM01G26830.1 pep chromosome:ALNU02000000:1:26974861:26976387:1 gene:OGLUM01G26830 transcript:OGLUM01G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YBU0] MAIESAPARNERRDQHVVLLASPGAGHLLPVAELARRIVEHDGFTATIVTHTNFSSAEHSSTFSSLPPSISIAALPEVSVDDLPADARVETRILTVVRRALPHLRDLLRSLLDSPAGVAVFLSDLLSPRALAVAAELGIPRYVFCTSNIMCLTSFLHNPELDRTTTCEFRDLPGPVLLPGCVPLHGSDLVDPVQDRANPVYRLVIEMGLDYLRADGFLVNTFDAMEHDTAVAFKELSDKGVYPPAYAVGPFVRSPSGKAANDACIRWLDDQPDGSVLYVCLGSGGTLSTEQTAEVAAGLEASGQRFLWVVRYPSDKDKTASYFSVSGDGDGDGEDSPTNYLPEGFLERTKGTGLAVPMWAPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMVAWPLYAEQRMNAVMLSSRAGLALRPSNAREDGVVTRDEVAAVARELITGEKGAAARRKARELREAAAKATRAPGGPSRQAFEAVVGGAWKKAAAAARGGRAGEPDDNGTAVTAQ >OGLUM01G26840.1 pep chromosome:ALNU02000000:1:26977394:26977900:1 gene:OGLUM01G26840 transcript:OGLUM01G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALFMPSKLSLTLSMVVVTVVAPPLPSSSPSPAVASPQPPLRLCQHSPSPPLPSSRSRCSSSPPAYAERSKPTGDVTSGGGTLLPRHAQPGEPVREAVTVEMAPETAAQAALSRKKSTNSSPHSGSAQKSVSPSILSKASPGREGKGRGGKRKEADVYREREERGV >OGLUM01G26850.1 pep chromosome:ALNU02000000:1:26980280:26981363:-1 gene:OGLUM01G26850 transcript:OGLUM01G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVGAGRKAMAIGEDDTCENKDCRVSAGRKATTVGENGTCENKDCRVGAGRKASAVEKDNACENKEKRRKMMVAKATSPSSLDCPKLSILIEPFFIPLSSSSWMWSSLGSWSLLPWMARTCSTSMLIGWLARPHSRTITAGTARRPPLAPLSEWILLIGNSFRGSRKYCDSSHTFKMNVLFWTRGTF >OGLUM01G26860.1 pep chromosome:ALNU02000000:1:26989975:26994256:-1 gene:OGLUM01G26860 transcript:OGLUM01G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAFDTEAGAAAKPPAADAGAAFVLESKGRSHHSTPRPPSARNARADSAVICGWVASRGDLAGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLTVLTAVGAVTFYEYFLMSRVLEHCEARGRRHIRFRELAADVLGITINSPDPFLSTAPHHHTHMTFPCIAPWSGWMFYFVVIVQTAINTGVSIGTILLAADCLEIMYTSLSPNGPLKLYHFIIIVAVALAFLSQLPSFHSLRHINFASLLLSLGYTILVSAACIGAGLSKDAPVKDYTLSSSKSEQTFNAFLSISILASVYGNGILPEIQATLAPPAAGKMMKALVLCYSVIAFAFYIPSITGYWAFGSHVQSNVLKSLMPDTGPALAPTWLLGLAVLFVLLQLLAIGLVYSQVAYEIMEKSSADATRGKFSRRNVVPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNIALAPPRRSPMFLANTAIMVVFSGVGAIGAFASIRKLVLDAGQFKLFSNNVVD >OGLUM01G26870.1 pep chromosome:ALNU02000000:1:26998445:27003540:-1 gene:OGLUM01G26870 transcript:OGLUM01G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKENENSALKDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDTGVFVNGRELHQRDLDLLVGRGLPHISGKSYSVEISGNITDEETGKKLRSLGKLAPTQQLKY >OGLUM01G26870.2 pep chromosome:ALNU02000000:1:26998445:27003561:-1 gene:OGLUM01G26870 transcript:OGLUM01G26870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDLKEMKYRRRIGLDERAQCSEQRALDWHALKQDPVELLRKLDELREQITRTCQIVEPPREHRRAGRRALSLLPENPEPPPMPGYHRSRYGGGRYGHGLPPSPYEPLRPEIGERYSRQSSGRYRQYQGRQWDGCGVGHGNYNPSYTCSCPHCLHGQRTAPQEEHIPMARYFAGQHECYRFERSPSVSSDYDRRSVASSLYSHRSVSKKRAEYFRKKAEHLCRPVYGAAPFVVCSSCYQLLQVPMEKCMGRNRLQCGSCSQIVSLKREEKVIPFSPSASFCVPKIEQGSNDQTRRDFEHQLNEFANSAFYNLNEHSSMQINIDFGDDHSVSSSISHDRTEKGCGSSRSIQLKTDGLLLSPSRSGDIESPKDILCERDAECQVEPSDARVSPCSPVLEDKLVDPLCSQEKDNNSEDLGMANISDVNCKGEHKVNDDDDGSLSMGSEQKRKECDEDSLVDESMCKTHEQKSKDDHSSPEDVSKTHEFDSTKDNISSAVDGNEKHEFESKKDDTNSLEGESLNKEHEQKSKEDENSGLEGENVKKGFDKNNKENENSALKDANAPLEDTRNASDAASLSEISEEKKTEEENGSLDQPFVEDGNAFAESGGSSFNERTNSGFSRGSSETALEEDQPSTGKSGDSSFFAGFLKKGFKDLSLFNQSMDSVKVSINGHPISERALKKAEKKAGPVEPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMASNCASGDTGVFVNGRELHQRDLDLLVGRGLPHISGKSYSVEISGNITDEETGKKLRSLGKLAPTQQLKY >OGLUM01G26870.3 pep chromosome:ALNU02000000:1:27003546:27003993:-1 gene:OGLUM01G26870 transcript:OGLUM01G26870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWALSVGSLVVSVTTRTLPLRVPFPSSSSSSSSSLPWRNPRAAPRNPKWRAGGAATPLCRSLAAASWSEEHSVTSRSAKWWGSRKRVFSGRRAPWGAI >OGLUM01G26880.1 pep chromosome:ALNU02000000:1:27010851:27011883:1 gene:OGLUM01G26880 transcript:OGLUM01G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKQEGVVERGGDGKVVWDMGSSLYDSYELASLCRILDRHIGTDLPSLHGEPRQEGLAAGAPPPPPTERNGQQVVVLRDDRRGRTGTGRKVTLRTLFRAAASWAIRQRKAHDCACVGAATTGAIQPVVSPGRPA >OGLUM01G26890.1 pep chromosome:ALNU02000000:1:27014882:27017533:-1 gene:OGLUM01G26890 transcript:OGLUM01G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQLGEAVGSINALMAFEADLRINPRQCRLLADACARALAAVTGQVRAQLRFDERGAKWRAIEAPLRELHRAFRDAEAYVRQCLDPRGSWWARAAAMAHGTECVEQHLHNVLWCVTVALEAIDAAGEIAGSDPDELARGRLVLARKYDRDMLDPKLFEHAFGKLYLVSQELVARMDMAWKEDRWVMSQMFDEMKGPAASKPLSKNEHRLAELLAAAMGKLHPASVLLGSDYSVRRRLGGRLKEVHWMGESFAMKHFIGDTDAAGAEVALLCSVAHPNVAHAAYCFHDEEKKEYFVVMDQLMAKDLGSYVKEVSCPRRRIPFPLVVAVDIMLQIARGMEYLHAKRINHGELNPSNVLVKPRQPDGGYVHVKVAGYGQPAGITAGGAKASANGNANGNDNSCIWYAPEVLRSDGVADAAAAGRCTEKADVYSFAMICFELLTGKVPFEDNHLQGDKTSKNICAGERPLFPFQAPKYLTALTKRCWHADPAQRPAFASICRVLRYVKRFLILNPEQQQQQQGQTDDAPKPAVDYLDIEAQLLKKLPAWQRGGEAPRVADVPFQMFAYRVMEREKAAGAVHVAKDRASDSGSDGNSLYGDENGFGAMSPEHTFSAVSNGTLRSRPASSDGRLPTAKKADGKAPRQAGPQPKVKPVNTAARTPQSARRALGVKPDDHLQANGAPTARRRTPEMASE >OGLUM01G26900.1 pep chromosome:ALNU02000000:1:27029765:27032666:1 gene:OGLUM01G26900 transcript:OGLUM01G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G53310) TAIR;Acc:AT5G53310] MDRFRPLRRIQVDPEPAAAAPPPPAAAAANGGAGEDVSAAPAAGLLMASRVRRRSAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISKLSDNFFAIIVPTEYDCLIASTRKKEIVDIIIKAIKSNSEYQPQVASSNRFEYHAAAEVIKEVEFEEAEGGVKTRITHKAKAKS >OGLUM01G26910.1 pep chromosome:ALNU02000000:1:27047761:27049713:1 gene:OGLUM01G26910 transcript:OGLUM01G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASCGLGFGDSINSLDTSRHWSTVQHTSGLKATTIDYSTYSSFSIASSKTLKRKRSAMAGPEGTGNPLLTLGLGHSPSSSDNSKVSSATAYAMSPSSLKEADEESSADLGLNFELCLGNDMVHCQKKSPVGAENSPLTNSHKLDLQLSLSTGSPESAVTNTNMVSPIIHGGLEIPVTNCSPAIIGEGSVPCTWVFEKSVISSSYASEATYTFPFSKVPKTGDAAMSSPVISSTLVTSMKSPVACTSGSINPQQRNSITKNCQFPGCVKGARGASGHCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGRRCSHDGCSRAARGKSGLCIRHGGGKRCQKENCIRSAEGHSGFCISHGGGRRCQFPECTKGAQGSTKFCKAHGGGKRCTFSGCNKGAEGSTLFCKGHGGGKRCLFQGGGVCPKSVHGGTQYCVAHGGGKRCAISGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQVDSNFGVGAPQCDKFARSKTGLCSAHCALVQDHCVRGGTLGAATFQFATDAKFDEMEVTPVKGDPHAQASSDDQSHLGGAHPPAVPAITSDRFSEGRVHGGGLLALLSRGGNHANAGNSKNGPSTMMTWE >OGLUM01G26920.1 pep chromosome:ALNU02000000:1:27050529:27057091:-1 gene:OGLUM01G26920 transcript:OGLUM01G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase family 1 protein [Source:Projected from Arabidopsis thaliana (AT4G01210) TAIR;Acc:AT4G01210] MGSLEDGGGAGAGAGAGAGAGGYKRGHPPPPSPLLRSVVGPTRRGSARSRLARFLLFEKVDYLQWIGTAAAFFFVTILVVAFLPGSAVFERPTMLLPSRRAGGGRGGGAEALLPRGLGVVETGEGVAFEPTRLRERWARERREEADGLAKLGSPVRRLGVRKPRLAMVFGDLSPGAMQLQMVSVASVLEAMGYEMKVFTFKDGPCTNIWRTIGVTVDLLPEDTDLHISVDWLDYDGILVNSIEARPEPFKSIPVIWNVQESSLAHRISEYNSSGMIQILDGWKEAFSRANVIVFPNYVLPVMYAAFDSGNYFVIPGSPAVPFQDRISAKSYDQDVRVSMGLSPSNFVISIVGSQFSYGGFLMEEALVLQAVGSLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNILQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEELKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGHCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPERRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDR >OGLUM01G26920.2 pep chromosome:ALNU02000000:1:27050529:27057091:-1 gene:OGLUM01G26920 transcript:OGLUM01G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase family 1 protein [Source:Projected from Arabidopsis thaliana (AT4G01210) TAIR;Acc:AT4G01210] MGSLEDGGGAGAGAGAGAGAGGYKRGHPPPPSPLLRSVVGPTRRGSARSRLARFLLFEKVDYLQWIGTAAAFFFVTILVVAFLPGSAVFERPTMLLPSRRAGGGRGGGAEALLPRGLGVVETGEGVAFEPTRLRERWARERREEADGLAKLGSPVRRLGVRKPRLAMVFGDLSPGAMQLQMVSVASVLEAMGYEMKVFTFKDGPCTNIWRTIGVTVDLLPEDTDLHISVDWLDYDGILVNSIEARPEPFKSIPVIWNVQESSLAHRISEYNSSGMIQILDGWKEAFSRANVIVFPNYVLPVMYAAFDSGNYFVIPGSPAVPFQDRISAKSYDQDVRVSMGLSPSNFVISIVGSQFSYGGFLMEEALVLQAVGSLLQQYPSENSTQLELKVRILAENVTEKHRMALEAVSLNVGFPRGAVEHVASEDKDNLLGISDLVIYGSCLNEQSFPSVLVQAMCLEKLVIAPDLEIIRKYIDDGMNALLFPSKNIGKLTQVLLQAVSNGKISVLGQKIASAGKVHAKNLMASETIEGYAVLLENVIKFPAEVLTPLTAGEIPVALKQEWKWHLFEDVKHLYHMNGTLAGYNILQKLEEKWRSNQMEDHHSNASKIDDTFSLMAWEEERADEIANIKKRLEEEELKERSEQPHGTWEEVYRNVKRVERMKNDLHERDDKELERTGQPLSIYEPFFGEGTWPFLHRSSLYRGIGLSSKGRRPGADDIDASSRLPLLNNGYYRDILGEFGAFFALANRIDRIHKNSWIGFQLWRVTARKANLSKKAETALLEAIQTQKHGDAFYFWVRMDQDERNLASQDFWSFCDAINAGNCRSAVLKAFQRMYGVQLDDDLHTLPLMPNDGDTWSVMQSWVLPTRSFLEFVMFSRMFVDALDAQMYDKHHETGHCILSLHRDQHCYSRVLELIVNVWAFHSSRRMVYVDPETGAMQEQHLLNGRRGQMSIQWFSLATLKSMDEDLAEEFDEDHPERRWLWPKTGEVFWQGVYERERNMRQQEKERRKQQSKDKIQRIKKRARQKTLGRYIKPPPEDAGSLNDTRTVDR >OGLUM01G26930.1 pep chromosome:ALNU02000000:1:27060938:27064913:-1 gene:OGLUM01G26930 transcript:OGLUM01G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRRRSRLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRSEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGFLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPVA >OGLUM01G26930.2 pep chromosome:ALNU02000000:1:27061767:27064913:-1 gene:OGLUM01G26930 transcript:OGLUM01G26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MPGPSPLAHEATRARRRNPHQQLLLLHPNPNSRPQAGLDKQNSHLSPRREVAATAMISATATAAFLAAAPASSSSCTTHRRRSRLPAISASLATASSTEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSETTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEEQVRELTPIDFEKLRFVGESLKILRSEIDGQAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLADAISEYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVNKIKIECPNVPLVLYINGNGGFLERMTDTGVDVIGLDWTVDMADGRRRLGNKISVQGNVDPAFLFSPLPVLTDEIHSIYP >OGLUM01G26930.3 pep chromosome:ALNU02000000:1:27059717:27061621:-1 gene:OGLUM01G26930 transcript:OGLUM01G26930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:Projected from Arabidopsis thaliana (AT3G14930) TAIR;Acc:AT3G14930] MGTRRGEEVLRLVSLSVWVASLGVRWVVSRVVKSAGPKGHILNLGHGVLVKTPEEAVAHFFDVTRSLRYDTLFQGCVTEVLEPHLFPAHELGFSWNADQDWAEIESLDIQSYPQANFATVQDKLGAEIY >OGLUM01G26940.1 pep chromosome:ALNU02000000:1:27066165:27071361:1 gene:OGLUM01G26940 transcript:OGLUM01G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMASQGILPPASPGHPHHPPAASSTISCFESVHSHDTEGSDSDCKLDNSRAWGLDSLSIDGKLLRQPADRGHRVDQETTAHA >OGLUM01G26940.2 pep chromosome:ALNU02000000:1:27066368:27071361:1 gene:OGLUM01G26940 transcript:OGLUM01G26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTMASQGILPPASPGHPHHPPAASSTISCFESVHSHDTEGSDSDCKLDNSRAWGLDSLSIDGKLLRQPADRGHRVDQETTAHA >OGLUM01G26950.1 pep chromosome:ALNU02000000:1:27071757:27076628:1 gene:OGLUM01G26950 transcript:OGLUM01G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRTSRHHRAAPEQPPPQPKPKPQPQQQQQQQWPRPQQPTPPPAAAPDAAMGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHKATGKRFACKSIATRKLAHRDDIEDVRREVQIMHHLTGHRNIVELWGAYEDRHSVNLIMELCEGGELFDRIIARGHYSERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLSKSEDSPLKATDFGLSVFFKPGEHFKDLVGSAYYVAPEVLKRNYGAEADIWSAGVILYILLSGVPPFWAESEDGIFDAVLRGHIDFSSEPWPSISNGAKDLVKKMLRQDPKERLTSAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSDEEITGLKEMFRSLDTDNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHMNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNNPEIAPNRRRMF >OGLUM01G26960.1 pep chromosome:ALNU02000000:1:27076986:27079321:-1 gene:OGLUM01G26960 transcript:OGLUM01G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQAWAAGLGGLAVVGVGEEGGGGGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEVSTLELKVACLNQQVLTCQTYTDKEGIRQQQMTGTATRHHKHYIVPTLANKRMQAFSEMQTDADIDSRPRPYPSAKTLFWHLASEKNSKTNGARQSEFVLEETKATKPASRGKEPSTSPLPKHLQTNLASSDFAMHNVGMKDQPGVRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHKSGKMKNVSVR >OGLUM01G26970.1 pep chromosome:ALNU02000000:1:27088431:27089918:-1 gene:OGLUM01G26970 transcript:OGLUM01G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G80080) TAIR;Acc:AT1G80080] MVASALSATAAAAVVVAVVAVLVAVCRGEFTVVVPDSSSSAALVNAPQTGLSDRARTDPAEQRAVQEVMAATGNGWASGIADVCRGRWHGIECVPDRGEVYHVVSLSFGALSDDTAFPACDAARATLSPAVLALPHLRSLFFYRCFTANPQPVPAFLGRLGPAFRSLVLRENGHVGAIPPELGNLTALRVLDLHGNNLTSAIPATVQSLAHLQLLDLSYNQLAGELPPFKFQHLSILDLSHNALQGGVPASLGQCRSLLKFDLSQNRFAGTIPDALGDLSDLILLDLSHNALSGPIPAALGRLSSLRSLILGDNRMQFTTVPGDIFAGLRALTTLVLSGMGLEGSLPESIGELGHLRVLRLDNNEFTGVIPASFRRLERASELRVDGNRLVGPIPFGKEMMWRLGKKLRVGGNEGLCYDAKQQGLVGVVALAGVADCDSVRSRTTQHLGGRLRNTGGLPAAAAAAAPSVGVGAGVCVGSWHVFVGVLVSLHLARL >OGLUM01G26980.1 pep chromosome:ALNU02000000:1:27092971:27093564:1 gene:OGLUM01G26980 transcript:OGLUM01G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWTAMHEARSDTWPCLAGVYVRRKPAWVAWVLTVAVVTDDGITGESLARPWAGMTTTPLGVVPLLGGVVLALTSPSIKNLSRTMVAIGGLLQCLQSPTSLAVESELLHCKGATKLGNDDTVLQSLYRIVDASCVQEMVLW >OGLUM01G26990.1 pep chromosome:ALNU02000000:1:27094349:27096628:-1 gene:OGLUM01G26990 transcript:OGLUM01G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAIWECPPVLRPNWRFVNGMEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEEKDVVISIPAPVYAPRSVSVSAAYEHEVAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAVHRDEARVAPAETRFDDAHPPAVGRVQAHSPRLLLNQTRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWISLALMGFVSFIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >OGLUM01G26990.2 pep chromosome:ALNU02000000:1:27094349:27096696:-1 gene:OGLUM01G26990 transcript:OGLUM01G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNACREVERNPGFVNGMEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEEKDVVISIPAPVYAPRSVSVSAAYEHEVAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAVHRDEARVAPAETRFDDAHPPAVGRVQAHSPRLLLNQTRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWISLALMGFVSFIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >OGLUM01G26990.3 pep chromosome:ALNU02000000:1:27094347:27096447:-1 gene:OGLUM01G26990 transcript:OGLUM01G26990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNDVRIRMDGGVHGESEQSSGEAALPRLLIEVPSQVIDGFDCVGGGGDATATATLSEQSKELEMLGEEKDVVISIPAPVYAPRSVSVSAAYEHEVAQIPYSVSLSMPASPSGFHFSQFGMAAAKAKAVHRDEARVAPAETRFDDAHPPAVGRVQAHSPRLLLNQTRFHSQPILHLSKNDETTRRCDSTRDKRFDQFKTFSGRLERQLSTLRGRPAQEHMTNGEGAPEPNIAEEETEQVPGADRYFDALEGPELETLRATETTVLPKDEKWPFLLRFPISAFGMCLGVSSQAMLWKTLASAPPTSFLHVSPVVNHVLWWISLALMGFVSFIYLLKVVFYFEAVRREFYHPIRANFFFAPWIACLFLVQGVPRPVTEVHHGVWYALMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPIFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAAPSVASMAWAKILGEFDYGARIAYFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATITYATEVTNVLTRALSIGLSGIATVTVAGLLVTTMFHAFVLKDLFPNDVSIAITRKKPKFSKILAHFRSSSSDMKEYVLSISKPPSSDSDSSVSSKATTVTDPSVTRVKAEP >OGLUM01G27000.1 pep chromosome:ALNU02000000:1:27129867:27130241:1 gene:OGLUM01G27000 transcript:OGLUM01G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTESLAKLPIVGNPDSGLSASQYIVLHSFARGWRHVLLFALSYTAVSIYPVTSVCQFFFLVAAHDMVATALQPKPGSGQPSSLPQAPPPLRFEAPV >OGLUM01G27010.1 pep chromosome:ALNU02000000:1:27138001:27143068:1 gene:OGLUM01G27010 transcript:OGLUM01G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSIIASAVGVGVGVGVGIGLVSSRLTGLATGGGGGGATAAEVEAELRCLVVDGRDVGVSFDDFPYYLSEQSKLALTSTAFVHLSPTILPNHIRVLSASSRTILLCGPSEAYLQSLAKALANQFSARLLLLDVIDFACKLHHKYGGPSNTQTRERSMTEAAFDRVSSLVGAFNLFRKKEEPTGTGPLSRETGILDLRTSTCCPHNTPSVRVQLSLVPPEKDHDPESSKYLASVKPCWSLNEKVLIQSLYKIIVSASEISPVILYIRDVDDLLGSSEKAYCMFQKMLKKLSGRVIVIGSQFLDDDEDREDIEESVCALFPCILETKPPKDKALLEKWKTQMEEDSNNNNNQVVQNYIAEVLAENNLECEDLSSINADDDCKIIVAYLEEIITPAVSYHLMNNKNPKYRNGNLVISSESLSHGLRIFQESNDLGKDTVEAKDETEMVVPDNEYEKKIRPTVIPANEIGVTFDDIGALADIKECLHELVMLPLQRPDFFKGGLLKPCKGVLLFGPPGTGKTMLAKALANAAGASFLNISMASMTSKWYGESEKCIQALFSLAAKLAPAIIFIDEVDSMLGKRDNHSENEASRRVKNEFMAHWDGLLSKSNERILVLAATNRPFDLDDAVIRRFEHRIMVGLPTLESRELILKTLLSKETVENIDFKELAKMTEGYTSSDLKNICVTAAYHPVRELLQKEKNKVKKETAPETKQEPKEKTKIQENGTKSSDSKTEKDKLDNKEGKKDKPADKKDKSDKGDAGETTLRPLNMEDLRKAKDEVAASFASEGVVMNQIKEWNELYGKGGSRKREQLTYFL >OGLUM01G27020.1 pep chromosome:ALNU02000000:1:27143295:27145210:-1 gene:OGLUM01G27020 transcript:OGLUM01G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSDPISDNLVVFSRMARCSVSRVVLLLLCCMHLSAAAAGAAVYNVESYDARPDGRTDASRALASAWSAACQSPEPATVYVPDGEFFVSHSAFAGPCSGGRMTVQIDGTLVAPSGYTGSASSGGEWIVFDHVDGLTVSGGTLDGRGESLWACKAAGHGGCPDGATSMKVLNSRDVVISGVKSVNSELYHVVIDGCEGVAVQDARIVAPESSPNTDGIHVQSSSAVTITGASIQTGDDCISVGPGTSNLRVEHVSCGPGHGISIGSLGKESEEGGVENVTVSGAAFVGTENGLRIKTWGRAARSGAYVRGVVFEHALMRDVSNPIIIDQSYCPNDGGQGCPHQGSSASQVAVKFDCSASKPCSGLGLQDIKLTFDGGKPAEATCQHADGTASGVLMPPSCL >OGLUM01G27030.1 pep chromosome:ALNU02000000:1:27147576:27147860:1 gene:OGLUM01G27030 transcript:OGLUM01G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAESSCGCHGGGGPRRRRGGGDHSARPCQRERAKEEDGKPFAAESQPSAADDASEDSPSASAPMAQGPRRTPWRRWWHHGRGSMGAASAAAR >OGLUM01G27040.1 pep chromosome:ALNU02000000:1:27147863:27150416:1 gene:OGLUM01G27040 transcript:OGLUM01G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKPLTAAGCAGGRGGRGGLRAGCHRVAAARGQEHNAAPRRRRHVRRSLEHGGSGSHRAGGRREHRQLGVPCPPPLLLWRGGLGGRRGGGGGIVVDLRRGPSMAETRGGGRRITRGIDGKGEGRHQKSRRRRVDNPAGPCDRVIDKLREGEVVVWRRGWLVGGETVVARVAEDDLDSSKQNFRMQLPGIKHTFYSREMIHQNSDVVRAVGAAVPAVLGFKKQKFMKLCSNFCGSLADAFSELSWTDGQTLVRDLQERKGKTL >OGLUM01G27050.1 pep chromosome:ALNU02000000:1:27152251:27153666:1 gene:OGLUM01G27050 transcript:OGLUM01G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLRLLAARRFRRRAVSTITATAPATPCGGGGWYGEDEGPFFDLDLSCCSASAPASSAESGSESEDYSSCAGESDFVISLQRSRSASPSYEERVFYVGGGSGWARAAAPAHLKFCASEPSDAASRYGSGRRGKLRTLSFGSAKAAFYGGRASFSRSSNSARSARLFAAFGYGSPDQGDEANRRTPSRDVIRRYLSKISRQLRRVAPSAGADLRLRKSRSASAAQTAACQSPPPRRDDSLLEQQDGIASAIAHCKESLHRVRLAIAAVTERSTKLAVPIGFEFSRRSRGLRRSFALNFAEEGEMKKKKKKTREPSSTNCLLKASRKENAVVQRAREFSFINLSSSLVRVSELSSFFCVVLGAGKEFLLGSFSHSPPHVQAWSCQLCMSLVVTPLFHILLLATRPVIRHLKSTAFFSLTRSNRVPHARFLRT >OGLUM01G27060.1 pep chromosome:ALNU02000000:1:27157323:27173810:-1 gene:OGLUM01G27060 transcript:OGLUM01G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSWLCYRARGFGSSRVWLWLLLALVLLNCSLVLSASPYLVGMGSFDITGPAADVNMMGYANTEQIASGIHFRLKSRAFIVAEPNGKRVVFVNLDACMASQIVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEQSIVEAHNNLRPGKIFVNKGDLLDAGVNRSPSAYLNNPAEERSKYEYNVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQMGLPKQSAHANSDDLRSLHKTSVLPRRVSTIIPEPNEITDDLIQLASSYEASGGRRLAGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTNLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRVIGNRQFLKARDLFDSASEEIQGKIDYRHTYLDFSKLEVKVSTSAGGQQTVKTCPAAMGFAFAAGTTDGPGAFDFRQGDVKGNPFWKLVRNLLKTPGKDQVECHSPKPILLDTGEMKEPYDWAPAILPVQMIRIGQLVILCVPGEFTTMAGRRLRDAVKTVLTSGNSEFDKNIHVVLAGLTNSYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLAMAMIANKEVPTNFQPPDMLDKQIGLLPGVVFDSTPLGVKFGDVSSDVPGNSTFNKGSTVNATFYSACPRNDLLTDGTFALVEKLDGNNNWVPVYDDDDWSLRFKWSRPARLSSRSFATLEWTVPEDAAAGVYRLRHFGASKPMFGSVRHFTGTSRAFAVR >OGLUM01G27070.1 pep chromosome:ALNU02000000:1:27180931:27181134:-1 gene:OGLUM01G27070 transcript:OGLUM01G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLPGNSTAGGKPQLVHSYKHIVDGFTAIAVSKKPSFRRCFQDGIACLHCWFKQEGGWQPCLIRPW >OGLUM01G27080.1 pep chromosome:ALNU02000000:1:27183316:27184238:1 gene:OGLUM01G27080 transcript:OGLUM01G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEERGDRQERDDGEGGEEERRERGGVGGLVDRAKGFVAEKIAKIPKPDATLDRVSFKGVSRECITLHSHLDVNNPYSHRIPICELTYTFKSGDKVIASGTMPDPGWIAASGTTNLELPVKVPYDFIVSLIKDVSGDWDIDYVLEVGITIDLPVVGSFTIPLATEGEMKLPTFRDLLF >OGLUM01G27090.1 pep chromosome:ALNU02000000:1:27188420:27193841:1 gene:OGLUM01G27090 transcript:OGLUM01G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPVLLIALLLSAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >OGLUM01G27090.2 pep chromosome:ALNU02000000:1:27188420:27193841:1 gene:OGLUM01G27090 transcript:OGLUM01G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASDLESKAKAAFVDDDFELAAELYTQAIEASPATAELYADRAQAHIKLGNYTEAVADANKAIELDPSMHKAYLRKGAACIRLEEYQTAKAALELGYSFASGDSRFTRLMKECDERIAEELSEVPVKKAEDGAAAPSVASFVEEKDDAANMDNTPPMVEVKPKYRHDFYNSATEVVLTIFAKGVPAENVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKSRYQVLSTKVEIRLAKAEQITWTSLDYDKKPKAVPQKIIPPAESAQRPSYPSSKSKKDWDKLEAEVKKEEKEEKLEGDAALNKFFRDIYSDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >OGLUM01G27100.1 pep chromosome:ALNU02000000:1:27196104:27197705:-1 gene:OGLUM01G27100 transcript:OGLUM01G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPLLLRAVVVVAMEHFNDWDLQAVVRSCSFPQSEPPRVGVGVPAAPGAGGAPVVVAPPARAPDGPDQMARASASASALYDLEYLDLDHKPFLLPGSSSSSSSSRAVARARGEDDGKGRHEVMISFPAAAAASTSGAQPRSPSGRKPGIRTPRPKRSKKSQLKKVVYEVPVADGGVSSDLWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKMVERSPAKPGMLVVTYMAEHCHPVPTQLNALAGTTRHKSAPTGDDDKPTSPGPAAGRAAAGEGVVKCEDVDGNELSAMAADGGAEDTAAAADDDGELWPEGMGLELDEFLGPMDDDVFEFDHVLEDNGVLGRRLSL >OGLUM01G27110.1 pep chromosome:ALNU02000000:1:27209165:27213635:1 gene:OGLUM01G27110 transcript:OGLUM01G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G16060) TAIR;Acc:AT3G16060] MNGGGRRRYSSEQLMFDVPANAGGGAGKWGQRGGVRRGDGEIFVSVEPTTPARLRGGEAAAAAAGESPGQRQQLSPGLLDLHAFDTELISDFQVPGIGMYDGAQKFGYGNGGFDDSDPTFAPNKQMSKSTVFAESNFLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEQQSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGYQLFVSFFEIYGGKLFDLLNERSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGNATRSTGTTGANEESSRSHAILQLAIKKRVDGNDSKPPRLAGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGSNTKKDLSLAAAPLRESSPSLLASAVPSFSSAEVMNDITERSNFGWTKQQYVKEHQAPTFVDRMQKVKEDTEFSLSNGGYFKEQRTKGSVPVGIAEVPDTVYQQGRQPTRKARDLTSDNNMRNSIAYPIIRRVVPDEDEHLNELLQEEEDLVSAHRKQVEETLDMIKEEMNLLVEADQPGNQLDDYITRLSGILSQKAAGIVDLQARLAQFQRRLNENNVLLYAQCP >OGLUM01G27120.1 pep chromosome:ALNU02000000:1:27218063:27219711:1 gene:OGLUM01G27120 transcript:OGLUM01G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHTELALGLIGCCGGDGQQQTAPFVAKTYQMVCDPRTDALVRWGRDNNSFVVVDPAAFSQLLLPCFFKHGNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGGGGASCSFGGGAGEHQVAAAAASVGMSGEEEDAAEDVLAKEAALFEEVQRLRHEQTAIGEELARMSQRLQATERRPDQLMSFLAKLADDPNAVTGHLLEQAAERKRRRQHLPSHEPTVCPLPPAPPPQPPQPLLALAGAAAMDGTYWWTTEHHHHHHHQMKPMTVLPSLEPPTASCGVHQVPELGGGGVMGLTTDGEAKVEPPFPFCLLGQAFF >OGLUM01G27130.1 pep chromosome:ALNU02000000:1:27245060:27246542:-1 gene:OGLUM01G27130 transcript:OGLUM01G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVEEKLGGIYDGKFEQRLIQVIVPSILQYVCTACLPYGRSSWCSSPRRPVGGWWEELVAWVSDNHHAICKGGGGGRGGKGHEYSLWWEHFFLHNDEVVLVFQIISSEVVAAGLVSFLVFDGVSPRFD >OGLUM01G27140.1 pep chromosome:ALNU02000000:1:27250715:27251815:-1 gene:OGLUM01G27140 transcript:OGLUM01G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPFVDKSPHRRLDGLGAGGWLKQRLAQILVRSTCTTNTTSTTTTTSSTAFVSLDKTNADTHQEPPPPPSPYFCTPCTYQRPKLDAPGRPRRRRRSASLVHISIDCTGGAGATSGRRSVHSDAPLLPYSSSLPTKDGRKQSRARRKARSSPSTSRRHCPSSSWGRARLPRGAPGQYSSSSSTVTDDELAPFSTDEEGGEEADTRTLFSSLSFSSDSTSEFYHTNSSSSLARKGHKNAPARRPPARRASARITSDPADAFRPVVSVAATKKQHNDMKKKEETAIKRQLGADDDTAAGAGMAVVKRSSNPYADFRSSMVEMVVERRICSVPEMEELLGSYLSLNSPQHHPAILAAFEDVWEAVFGEE >OGLUM01G27150.1 pep chromosome:ALNU02000000:1:27257751:27263806:-1 gene:OGLUM01G27150 transcript:OGLUM01G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEVDAAQLLAMDTTKRPLRLTAPSLLPYLLADWGATDGTVLDKLADRTGDQYGSLSLTMPPLFIQEEDDRMFLSQRRDLYSWDPDPTSRVYMAVHSAAETLISEPGFCSRSLIAPAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATCYCSTQIHAYICQAAAEEKKRVVNCVSIQRPPIEDIIHARTLAPFSCVSTCMVHLPESQRYLCVTIISLRDTTLAPIDDQDVKGHFGSGEEGSQQY >OGLUM01G27150.2 pep chromosome:ALNU02000000:1:27257751:27263806:-1 gene:OGLUM01G27150 transcript:OGLUM01G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEVDAAQLLAMDTTKRPLRLTAPSTGDQYGSLSLTMPPLFIQEEDDRMFLSQRRDLYSWDPDPTSRVYMAVHSAAETLISEPGFCSRSLIAPAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATCYCSTQIHAYICQAAAEEKKRVVNCVSIQRPPIEDIIHARTLAPFSCVSTCMVHLPESQRYLCVTIISLRDTTLAPIDDQDVKGHFGSGEEGSQQY >OGLUM01G27150.3 pep chromosome:ALNU02000000:1:27257751:27263806:-1 gene:OGLUM01G27150 transcript:OGLUM01G27150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRPGNGSSAFLLRAEREKTHLAAAAAAYGDGREGRRAASPPEVDAAQLLAMDTTKRPLRLTAPSQDRGPIWIIVINHASPVYSRAAGPREPRIMRSSATHVAGHPWDFRQAYRLAATCYCSTQIHAYICQAAAEEKKRVVNCVSIQRPPIEDIIHARTLAPFSCVSTCMVHLPESQRYLCVTIISLRDTTLAPIDDQDVKGHFGSGEEGSQQY >OGLUM01G27160.1 pep chromosome:ALNU02000000:1:27263934:27272613:1 gene:OGLUM01G27160 transcript:OGLUM01G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adaptin family protein [Source:Projected from Arabidopsis thaliana (AT5G11490) TAIR;Acc:AT5G11490] MAPTAPTAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVLKKMCYLYVGVHARNHPDLALLTINFLQRDCHDQDPTIRGLALRSLCSLRVPNLVEYLVSPLATGLKDPSAYVRMIAAVGAAKLYHISATACLDADLPAALKALMLSDPDAQVFGLLVCWPTAGSLFKSVIGGQVVANCMHALQEIWTLEAAKSEEAAREIETLYSKPVVFYLLNRIKEFSEWAQCLVLELVSNFLPSDNNEIFDIMNLLEDRLQHANGAVVLATIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQFSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKEYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYILESLVENWEEEHSPEVRLHLLTAVMKCFFKRPPETQMALGATLTAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAETTENTISAQRYQESDNDLLLSTSDKEDNATATSNGSSASTYNAPSDLSGPSLLSSQIPSETSLINPGAPTYSSQSNFSLDDLLGLGVPQASATPPAPALTLNSKPVLDPGTFQKKWGQLALSLSQECSLSPQGAASLMNPQSLIRHMQNNYIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQIKVKADDGTAAEAFSTLFQSALSKFGLS >OGLUM01G27170.1 pep chromosome:ALNU02000000:1:27273541:27273783:-1 gene:OGLUM01G27170 transcript:OGLUM01G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPSLKPKPKVKGARKGSPAADEEQSTAAAAVRFVKEWTTWTMKKTKVAAHYGFIPLIIVVGMRSEPRPSLAQLLSPV >OGLUM01G27180.1 pep chromosome:ALNU02000000:1:27278480:27281409:1 gene:OGLUM01G27180 transcript:OGLUM01G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSLDVTSHDSQGTGGVAGEGIAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAAANPSMYFPGVAASAPPPPAAAGGGAMPPNDHTPLQQHHFTDYALLQDLFPSTMPSSNP >OGLUM01G27180.2 pep chromosome:ALNU02000000:1:27278480:27281106:1 gene:OGLUM01G27180 transcript:OGLUM01G27180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGGGGGDRHGPYHQHGHLGRGEGADYVYSSSDMESFFFSQPGGVGIGGGGGGVVGAGGADEIMPYSSITDYLQGLLDPSGLARHLDVACPSSQDTAVKQELSLDVTSHDSQGTGGVAGEGIAQATPNSSASFSSSDGEAEGGKSSRRCKKGQAKAEEEDDKDEEDGENSKKPNKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRGGGGGVGIVGGHHHHHLFMPGVHGLPPSHLMPAGFHPELMGLMHHHPAMAAAAAANPSMYFPGVAASAPPPPAAAGGGAMPPNDHTPLQQHHFTDYALLQDLFPSTMPSSNP >OGLUM01G27190.1 pep chromosome:ALNU02000000:1:27290654:27294544:1 gene:OGLUM01G27190 transcript:OGLUM01G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCIQRTARRWETTPPPSGGQKKIGAFPLLMEHPHHSKIKPCTTRHIPRHLTLENSMQTPFLASSRHCPPEPVNHHHTGDVASNTLQARSAMRSSSLRQIWPLQAVRERDNERRGELGSGETFPWNRERSYRTELTTVMELRQPLPLHTLVAEQSGMGMVELDPDGNNGGAKGMVKGELNPDGD >OGLUM01G27200.1 pep chromosome:ALNU02000000:1:27296404:27297141:1 gene:OGLUM01G27200 transcript:OGLUM01G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGEVSEDTWGGEGRAAGGEATEAAGGGQRRHLEVEARRAAPGSRPPCRAPRREPYGLHAARVMVSRDVRLSASSFVRLYLLPPAAGDKRLPVVVYFHGGGFVIGSAASPGYRRCLNDLAAACPAVAVSVDYRLTLEHPLPAAYEDSTAALAWVLSVADPWLAAHGPLSRVFLAGDSAGGNICHHLAMCHGLTSQHLPCRLKGIVMIHPWFWGKEPIGGEAAAGGAEGAMGVRVPRRGGRRG >OGLUM01G27210.1 pep chromosome:ALNU02000000:1:27300157:27300894:-1 gene:OGLUM01G27210 transcript:OGLUM01G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDPTAFTGNPSFAYGHEADGYIANGPLGGQCNYRVPVSPAFGAPSGMTSPQLRTSLGGFEFQPSKVCPRNFIIFDQTDDKGRIMCHPALVSKLNPSATNAFPSYPEAICRSSGQDNGNLEEVSSSFKEDTREIDALLSSDEESDEDDVKSTGRTPDRFESDSLDSSSPLRSRKMHHSSSQSSVFHGSMDTVTHERMRNMVTVLRGIIPGGDQLDTASVIEEAVRYLKFLKMEAKKLGVDVSDN >OGLUM01G27220.1 pep chromosome:ALNU02000000:1:27304556:27312894:1 gene:OGLUM01G27220 transcript:OGLUM01G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGIGIGIGTGTGTGTGAALPFGEASPWSLLGGAVAALLLVWAAQMLEWAWLAPRRMERALRAQGLRGTQYRFLHGDLTEDLRLVTAARSKPVPMDRPHDFIPRVAPLLHRALEEHGRVSFTWFGPMPRVTITDPDLVREVLSNKFGHFEKTKLATRLSKLLVGGLVILHGEKWVKHRRIMNPAFHAEKLKVELNILELEINLVTLTFLRMLPAFSASCSELIGRWENAVAASVGKAELDIWPDFQNLSGDVISRAAFGVRHHEGRQIFLLQAEQAERLVQSFRSNYIPGLSLLPTENNRRMKAIDREIKSILRGIIEKRQKATKNGEASKDDLLGLLLQSNMDYYSDEDGKSSKGMTVEEIIDECKLFYFAGMETTAVLLTWTMVALSMHPEWQDRAREEILQVFGRNKPDINGVSRLKVVTMVLHEVLRLYPPVVMMNRRTYKEIELGGVRYPAGVMLSLPVLFIHRDAAAWGHDAGEFDPGRFAEGVARACKDPGAGAFFPFSWGPRICIGQNFALLEAKVALGMILQRFAFELSPAYAHAPYTVLTLHPQHGVPNTFADKHWKLHVPGIRHSEISISDMKAEHYLLTTAAAVPCIVEVYEYPYSISRVDKAHRRGFDTIAALVAWTIWKERNNRVFNQHHKPWVEVAKGMAADAELWRLTNAVMTELTTPSMFPRSQNLIGD >OGLUM01G27230.1 pep chromosome:ALNU02000000:1:27313858:27317314:-1 gene:OGLUM01G27230 transcript:OGLUM01G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMLGAASQWILAAAAAAAVAALLWLAVSTLEWAWWTPRRLERALRAQGIRGNRYRLFTGDVPENVRLNREARKKPLPLGCHDIIPRVLPMFSKAVEEHGKPSFTWFGPTPRVMISDPESIREVMSNKFGHYGKPKPTRLGKLLASGVVSYEGEKWAKHRRILNPAFHHEKIKRMLPVFSNCCTEMVTRWENSMSIEGMSEVDVWPEFQNLTGDVISKTAFGSSYEEGRRIFQLQAEFLPTKNNRRLREIEREVSKLLRGIIGKRERAIKNGETSNGDLLGLLVESNMRESNGKAELGMTTDEIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTPDYDSLSRLKIVTMILYEVLRLYPPVVFLTRRTYKEMELGGIKYPAEVTLMLPILFIHHDPDIWGKDAGEFNPGRFADGISNATKYQTSFFPFGWGPRICIGQNFALLEAKMAICTILQRFSFELSPSYIHAPFTVITLHPQHGAQIKLKKI >OGLUM01G27240.1 pep chromosome:ALNU02000000:1:27327781:27329773:-1 gene:OGLUM01G27240 transcript:OGLUM01G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTSVPWSSMVYGLLGLALLWQVHRLLVRLWWQPRRLERALRAQGVRGTSYRFLTGDLKDYGRLSKEAWARPLPLRCHDIAPRVAPFVHRTIAEHGKACLSWFGPIPKVTIADAEIAKDVLSNKMGHFEKLKFPVLSKLLADGVANYEGEKWAKHRRILNPAFHLEKLKLMLPAFSACCEELVGRWAASLGSDGSNEIDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQQELFMGAIQKISIPGYMSLPTKNNRRMYQIKNEVESIIRDLVQKRMHAMKDGERTKDDLLGILLESSTRHADENGHSGPGMTIEEVMEECKVFYFAGMETTAILLTWTMVVLSMHPEWQHRAREEVLSLFQKNKLDYEGLSKLKTVTMILYEVLRLYPPGIGFVRQTYKEMEIGGVKYPAGVMIELPLLFIHHDPDIWGSDVNEFKPERFAEGISRASNDHGAFFPFGWGPRICMGQNFALLEAKMALCMILQRFEFELAPSYTHAPHIVLMLRPMHGAPIKLRAI >OGLUM01G27250.1 pep chromosome:ALNU02000000:1:27332669:27346273:1 gene:OGLUM01G27250 transcript:OGLUM01G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGVASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFVEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVALSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSTCCEELISRWMESLGSEGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQAERLLKCVQKIIIPGYMSLPTKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTKDDLLGLLLESNMRHTAEHGQSSQGLTIEEVNEECKLFYFAGMETTSVLLTWTMLLLSMHPEWEEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHSDPDIWGSDVHKFNPERFAEGISKASKDPGAFLPFSWGPRICIGQNFALLEAKMALCMILQHLELELALSYTHAPQTMVFGELFSRASLPPPWSLLAYGLVGPVLLWQAGRLLDRLWWRPRRLERALRAQGLRGTAYRFLLGDLREFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKLMLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDNPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >OGLUM01G27250.2 pep chromosome:ALNU02000000:1:27332669:27346273:1 gene:OGLUM01G27250 transcript:OGLUM01G27250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGVASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFVEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVALSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSTCCEELISRWMESLGSEGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQAERLLKCVQKIIIPGYMSLPTKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTKDDLLGLLLESNMRHTAEHGQSSQGLTIEEVTMILYEVLRLYPPAVTLTRQTYKQIEIGGVTYPAGVIIELPLLLIHSDPDIWGSDVHKFNPERFAEGISKASKDPGAFLPFSWGPRICIGQNFALLEAKMALCMILQHLELELALSYTHAPQTMVFGELFSRASLPPPWSLLAYGLVGPVLLWQAGRLLDRLWWRPRRLERALRAQGLRGTAYRFLLGDLREFGRLNEEAWSSAPLPLGCHDIVPRVTPFVHRNVRDNGRPCCFSWFGPIPSVTITDPAQVRDVLSNKLGHFEKPKLPALTKLLADGLTSHDGEKWVKHRRIMNPAFHLEKLKLMLPAFSTCCEELVGKWMDSLGPDGSCELDVWPEMQSLTGDVISRTAFGSSYSEGRRIFQLQTEQAELFIGAIQKIVIPGYMYLPTKKNRRMRRINSEVESILRGIIGKRMQAIAEGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDNPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >OGLUM01G27250.3 pep chromosome:ALNU02000000:1:27332669:27346273:1 gene:OGLUM01G27250 transcript:OGLUM01G27250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGMEVGVSLEAGKPAAAPWGMLYYGVPALLVLGALYRAAERCWLGPRRVAGALQGQGLRGTAYRFPAGDLPENARRSKEARAKPMPPCHDIVPRDILSNKFGHFEKFTLKSLGKLIALGVASYEGEKWARHRRILNPAFHLEKLKRMLPAFSTCCSEMIDRWDSKLAGSDGPFELDIWQEFQNLTGDVISRTAFGSSFVEGRRIFQLQEEQADRIIKTIQYIYIPGYLYFPTENNRRMKENSREIEGLLRGIIEKRSRAVENGELSGDDLLGLMLKSNMDSGEPSNLRMSTEDVIEECKLFYFAGMETTSVLLTWTLVALSMHPEWQHRAREEVTMILHEVLRLYPPAVTLSRRTFKEIQIGGITYPAGVGLELPIILIHHNTDVWGKDAHEFKPERFADGISKATKTNQQAFFPFGWGPRICIGQNFAMLEAKMALCVILQNFEFQLSPSYTHAPYASVTLHPQHAMVLGAWLMSPASVPWSLLAYGVLGLVLLWQAGRLLHSLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPFLHSSVREHGKACFSWFGPIPKVTIANPDLAKDVLSNKFGHLEKHKFQGLTKLLSDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSTCCEELISRWMESLGSEGSYEVDVWPEMQSLTGDVISRTAFGSSYLEGRRIFQLQAEQAERLLKCVQKIIIPGYMSLPTKNNRKMHQIKKEIDSILRGLIGKRMQAMREGESTNDDLLGLLLESNMRHADENGRSSPGMTTEDVIEECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGRDNPEYEGLSRLKTVTMVLYEVLRLYPPAIVFSRKTYKEMEIGGVVYPRGVILELPVLFIHHDREIWGRDVHEFRPERFAEGISRASNDRGAFLPFGWGPRVCIGQNFALLEAKMALCMILQRFEFELAASYTHAPHTVMTLHPMHGAQMKLRMI >OGLUM01G27250.4 pep chromosome:ALNU02000000:1:27346212:27355228:1 gene:OGLUM01G27250 transcript:OGLUM01G27250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPYEMMLSSLVWMEAWARPLPLRCHDIAPRVAPFLHNAVREHGSACFTWFGPTPKVTITDPDLAKDVLSNKFGHFEKPKFPTLTKLFSDSLANHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSKWMESLGSDGSYEVDVWPEMQILTGDVISRTAFGSSYLEGRRIFQLQAEQTERLLKCMQKIVIPGYMSLPTKNNRKMHQIKKETDSILRGLVDKRMQAMKEGECTKDDLLGLLLESNMRHTEEDGQSNYGLTIEEVIEECKLFYFAGMETTSVLLTWTILLLSMHPEWQDRAREEILGLFGKNKPEYEGLSRLKIVTMILYEVLRLYPPAVTFTRKTYKQMEIGGVTYPAGVIVELPVLLIHHDPNIWGSDAHEFKPDRFAEGISKASKNPGAFLPFGWGPRICIGQNFALLEAKMALCMILQCFKLELMPSYTHAPYSMLQNTPI >OGLUM01G27260.1 pep chromosome:ALNU02000000:1:27356504:27380912:1 gene:OGLUM01G27260 transcript:OGLUM01G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGAGLRCPASVPWSSLAYGLLGLVLLWQGGRLLHRLWWRPRRLELALRAQGLRGTRYRFLTGDLGEHGRLNREAWARPLPLRCHDIAPRVAPLSI >OGLUM01G27270.1 pep chromosome:ALNU02000000:1:27386513:27394451:1 gene:OGLUM01G27270 transcript:OGLUM01G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVFAAGDERPLMLVWAAVAGAVLAWCAVRAMEWAWWRPRRLERALRAQGLRGTPYRSPAGDAPLNVQLSAEARARTMPLGCHDVVPRAMPLFHQAMKEHGKVSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEVQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGADADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMILQRFSFDLSPSYTHAPFPVGLLQPEHGAQVRLTRLN >OGLUM01G27270.2 pep chromosome:ALNU02000000:1:27386513:27394451:1 gene:OGLUM01G27270 transcript:OGLUM01G27270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVVFAAGDERPLMLVWAAVAGAVLAWCAVRAMEWAWWRPRRLERALRAQGLRGTPYRSPAGDAPLNVQLSAEARARTMPLGCHDVVPRAMPLFHQAMKEHGKVSITWFGPVPRVTITKPELVREVLSNKFGHFEKLKFGRFQRLLHNGLGSHEGEKWAKHRRIINPAFHLEKLKRMLPAFAACCTELVDKWEGLAKGGDEPYEVDVWPEVQSLTGDVISRAAFGSSYLEGKRIFQLQGEQIELIVATMNKIHIPGYIHLPTKSNRRMKQIAAEIEGMLKRIIAKRESALKAGEASSDDDLLGLLLESNLDHSKGNGGAASSGISIDDVIGECKLFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLHVFGSRAPDYDGLSRLRIVTMVLYEVLRLYTPLTALQRKTYKPMELGGVRYPAGVVLTLPLLCVHHDKDVWGADADEFRPERFAEGISKASREAPAFFPFGWGPRICIGQNFALLEAKMGLSMILQRFSFDLSPSYTHAPFPVGLLQPEHGAQVRLTRLN >OGLUM01G27280.1 pep chromosome:ALNU02000000:1:27390709:27393954:-1 gene:OGLUM01G27280 transcript:OGLUM01G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAILASSNAKFWPTQIRGPQLKGTNAGESLDALETPSANLSGLNSPTSSPHTSGSWWMHAIGNANVTPGGYVTPPSSVSSMVVSHLQLLEMEGVVHYPPGRERKRSRGDVVRQRRHGRGEGGGEQPRELLQVAGREAVVGAAEAQGPQRPAQPPRAEPEPVESPPRPPQRRQCAERVEQQAPWMQRRERDPLSPLARACPHACTHATPSLHPCSQDEQRSSPLAFPAGLARKADLHEQSQAPMAMQATKHGWPAPTHAGQAWCKQPNTPTLDTSTQH >OGLUM01G27280.2 pep chromosome:ALNU02000000:1:27390709:27393542:-1 gene:OGLUM01G27280 transcript:OGLUM01G27280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYALEIWTVLSENAQHLLPGTVLPLGGRERKRSRGDVVRQRRHGRGEGGGEQPRELLQVAGREAVVGAAEAQGPQRPAQPPRAEPEPVESPPRPPQRRQCAERVEQQAPWMQRRERDPLSPLARACPHACTHATPSLHPCSQDEQRSSPLAFPAGLARKADLHEQSQAPMAMQATKHGWPAPTHAGQAWCKQPNTPTLDTSTQH >OGLUM01G27290.1 pep chromosome:ALNU02000000:1:27394775:27394939:1 gene:OGLUM01G27290 transcript:OGLUM01G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAGDAAARSRTASQVAAGIAQGERFFVGYCVVVYGSLLGLVLRRFRRASR >OGLUM01G27300.1 pep chromosome:ALNU02000000:1:27396191:27396682:1 gene:OGLUM01G27300 transcript:OGLUM01G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGRENGLLLCYCLLRLSPIFLLLLSVLLPTPLQRGRVGVRICIRSHPDQRPRLHGRRLCCPLSPAAVFPYHCHLLAQIQHRSPLPRPQDNEARMVSLTGRPPLAHVSSSASAARRLVASGVLLVLEGARGSDRLVASFSRAPSPPPLQLPPRKLWLRYPP >OGLUM01G27310.1 pep chromosome:ALNU02000000:1:27398327:27404017:1 gene:OGLUM01G27310 transcript:OGLUM01G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSRLETVLLSDSTYSDLQVKETNHNTTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >OGLUM01G27310.2 pep chromosome:ALNU02000000:1:27399298:27404017:1 gene:OGLUM01G27310 transcript:OGLUM01G27310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALRMNKMLSTDYLGTQELHSFCKTTEILEDSQSQEIALDRTAVGSTLLSHQNVCSTSEVSGGNFGIAEVSFLQDEYDAETTGVLPPSFLSCGSRSMLPISVPSSSSSRLETVLLSDSTYSDLQVKETNHNTTAMDENNEFLQLILSSNDEGYNAGSEFQVWDVLDFYFSESFSAVQFDSLMGFTNDVSSSHHDCMNLVDMVERPVALLSLNDTEEQNNSTDEFPDDTSSYLQMKPSDSETESNYASRDVAVTEYVDEKPLSRGLPDLMDVDSPGRLSKSARSKQITLVLDLDETLVHSTLDHCDNVDFTLQVFFNMKNHTVYVRQRPHLKMFLEKVAQMFELVIFTASQRIYAEQLIDRLDPDGRLISHRIYRESCIFSEGCYTKDLTILGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLETLVGVEDVRPIISKTFHHTLEQN >OGLUM01G27320.1 pep chromosome:ALNU02000000:1:27413950:27415308:1 gene:OGLUM01G27320 transcript:OGLUM01G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YC07] MASFLSRAAAVSLLAIVLVLTAATSTSPAAAAARFPEEASPTRSGYLNVTSTNSLYFAFYEATDPVTTQPAAVPLLVWLQGGPGCSSLIGSFAELGPYLLLDSTSALARNDNRWNRRFGVIFIDNPLGAGFSAPASGDDIPTDERTIAAHLLAALQSFMALDPAFRARPLFLTGESYAGKYIPAAASHILDANAKLTDDRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAEQKAKVEEMQDKTVSLIKSKKWAAARRERNRIIAFLKNATGVATPFNYAREKGYPTRPLRDFLNTGEAKAALGARSDVEWARCSEAVSAALADDIMRSARGDVEAVFLAPDGVRVLLFQGVFDLHSGPASVEAWVRELAWPGLGAFLAAERAVWRLGDEQLAGYVQRSGALANVVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFDGSGQRVVGSLF >OGLUM01G27330.1 pep chromosome:ALNU02000000:1:27419683:27420096:-1 gene:OGLUM01G27330 transcript:OGLUM01G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFYYFRITWRETIEKDRIALTHAAPAHASSSRDAAPAAPARSVRVGGRGGEVGSHGGQWTPGARRIVDATDAGAVRATERAGGHGGRPSSRVAGAASGRRASTAGAPGMATRLDAAGADATGNCGAIGCDGFAQA >OGLUM01G27340.1 pep chromosome:ALNU02000000:1:27421624:27426928:-1 gene:OGLUM01G27340 transcript:OGLUM01G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YC09] MQQDQRKKSSTEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLNSMRKKEPILFSQKFPSADPLALDLLQKLLAFDPKDRPTAEEALAHPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENGGNGPVIPMDRKHTSLPRSTIVHSTPIPAKEQPRIGPSRDKPSDEPYSNPREFDRFSGNAPRTSQAPQRVPTARPGRVVGPVLPYENGATKDSYDARRLAMNSGYPPQQQIPQAYGYYQIPGKSACSEPSQAERYTLHQQAYTCANSATVTDVALDMRAPPFHLSGGPKRDSSERLAAETNLYTRSLNGLAATAAGVAASAHRKVGVVPYGMSRMY >OGLUM01G27350.1 pep chromosome:ALNU02000000:1:27445673:27447937:1 gene:OGLUM01G27350 transcript:OGLUM01G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEFVDVERGVVAEGALDDDASPIEEVRLTVPVTDDPSLPVWTFRMWTLGLLSCVLMSFLNQFFSYRTEPLIVTQITVQVASLPLGHILARVLPRRKFKAPALLGGGECSLNPGPFNMKEHVLVSIFANAGCAFGSGSAYAVMIVDIIRAFYGRSISFFPAWLLITTTQVLGYGWAGLMRKYVVEPAQMWWPGTLVQVSLFRALHGKGEEEENTEGSGGGMSQAKFFLIALACSFLWYAVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMKGLGLGAFTLDWTAVSAFLYSPLISPFFATANILAGYVLLMYVVVPVSYWGLDLYNARRFPIFSSHLFTATGSTYDITAIVNDRFEIDMDGYHRMGRINMSTFFALSYGLGFATIAATVTHVALFHGKEIYRRFRASQRDKPDVHTRLMKSYRDVPSWWFYAMLALSMAVSLLLCTVLRSAVQLPWWGLLFACAMAFVFTLPISIITATTNQTPGLNIITEYVIGLMLPGKPIANVCFKAYGYMSMSQAVSFLSDFKLGHYMKIPPKSMFLVQLVGTVVASTVNLVVAYWLLGSIPNICQDALLPADSPWTCPNDRVFFDASVIWGLVGPRRIFGPLGNYGALNWFFLAGAVGPVIVYLLHRAFPSKTWIPMINLPVLIGATSYMPPATAVNYNSWLIIGIIFNFFVFRYRKLWWKRYNYILSAALDAGVAFMAVLLYFSLSMENRSISWWGTAGEHCPLASCPTAKGINLGADSVCPVVL >OGLUM01G27360.1 pep chromosome:ALNU02000000:1:27449551:27450084:-1 gene:OGLUM01G27360 transcript:OGLUM01G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVVAVQAEEDDMVRQRQRQRQLVRERGRRIKVAAELGLARSSSGGRQWGRALGRRALLLRKGPATAALSSSTLLLETSAGQESKQGKAMEGEAEQEEEEEEEEEEVMVDEKVAVLRQLVPGGEAMAVERLLDETADYIAALRAQVGVMRALACLLSGLGSPPEKEISVTPEKPI >OGLUM01G27370.1 pep chromosome:ALNU02000000:1:27465136:27472433:1 gene:OGLUM01G27370 transcript:OGLUM01G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTAGQVSFLLGLFPVLIAWIYSEVLEYRKSSSMKVHSDSNLENGTVKEDDKTVLLEGGLSKSPSTKFRINSTKANLIRFITMDESFLLENRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHDKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEIPSVMAMKIVSCFLAVILIWEIPGVFELLWSPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNSLCPSALLHHCLCRMQFIMLWFQVERWMEKLEESETKVRLSIKGTIISISLVAGYLWYEYIYKLDKITYNKYHPYTSWIPITWLGKITLETYISQIHIWLRSNMPNGQPKWLLSFIPGYPLLNFMLATAIYLLISYRVFELTGVLKSAFIPSRDNNRLYQNFVAGIAISVCLYFLSIVLLKIPIV >OGLUM01G27380.1 pep chromosome:ALNU02000000:1:27472889:27476634:1 gene:OGLUM01G27380 transcript:OGLUM01G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urophorphyrin methylase 1 [Source:Projected from Arabidopsis thaliana (AT5G40850) TAIR;Acc:AT5G40850] MALALRPPRFQPLPAPIPASTASTTALAARPSSSAHAIICAAAASPFTEATSSSRYRRDAWSYAASDGDSSSSSAAAAAAAASSGRRDDEIALQLPELRRLLEALPASRGRGAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEVIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGPDPLYVAENAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFAMLKDLVNEVKSEDLVSPTLIIIGKVVALSPFWIDSSKQGAQSIENLYAAETKR >OGLUM01G27390.1 pep chromosome:ALNU02000000:1:27495559:27502299:1 gene:OGLUM01G27390 transcript:OGLUM01G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycerol-3-phosphate acyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT1G06520) TAIR;Acc:AT1G06520] MVLPTILPKIAAHWLFTFYRAARKLRGHAFQYCRSSSATTTKPPPPSPVSPAAKCTVVFPDAADKAVVFGFDGALMRSAALFPYFMLVACEGGSLLRALLLLCAFPLVWALGERSDAGVRVMAFVTFFGLRPRDMDLVARAVLPKFYMEGLNAQVYSRLWLPARRKVVVTGAPRVMVEWFLKEYMAADVVVGGELHVVRVGRGRYFTGMLCGPGGSAAPALKHKALQAEALGTDNAMADVAVVGNASQLDHPCFPYCKEVYVVNRESTKTARLPRGRYPKPLIFHDGRLAFLPTPSAALAFFLFLPLGVILSVIRISIGILLPYKISFGAGALFGVRYRTSGLRAPEPGVKRRGVLYVCTHRTLVDPIMLTAALQKPVPAVTYSLSRLSEVIAPIKTVRLTRDRERDAETMSRLLEHGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTTLYGTTASGHKWLDPVVFFANPQPAYRVDFLGAVPREWTRAGGRAGAEVANWVQRRLGEALGYECTGLTRRDKYMMLAGNDGVVAK >OGLUM01G27400.1 pep chromosome:ALNU02000000:1:27508658:27510782:1 gene:OGLUM01G27400 transcript:OGLUM01G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLGLLVLLLAFCGGAEHHGAEAAGDASARGASARRGLATVSVAKPSYPTVTTPMSASTSPSTMPMSSSPSAFPSLATAGGGGGGGGGGSWCVASQSASPTALQVALDYACGYGADCSAIQPGGSCFNPDTVHDHASYAFNSYYQKNPVATSCDFGGTATITNTDPSKLISVPTQQHLNPCGHNFLTSLLVFHPCRFRVVPVFSLERWWSEHAAPAVPDHPAAANPDDADADHPDDTNTDHAGHRDADLRWIHDPS >OGLUM01G27410.1 pep chromosome:ALNU02000000:1:27515387:27515831:-1 gene:OGLUM01G27410 transcript:OGLUM01G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGLRRTKAGRPGRHRCSGPTCQQRLSGGGALVRDERQVKTQPGLGRTDKLWFIPLPEGVVVPSHPSRVFAGLGSFVSLNGRTTSVVFRRFSPWRRRLGIPCKHTLARVGLCVGCKDVFGRSRLCSFVGLAALGHA >OGLUM01G27420.1 pep chromosome:ALNU02000000:1:27515929:27517038:-1 gene:OGLUM01G27420 transcript:OGLUM01G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADMAPSWLGREGAAPAAPHRRPADEVKLSVEEAVAPAEVVAAHTEEADKVAAGGLRGGGGAGDGGGKGRDWQGVGDDCDYGLRQRGRCSSGCDEEGEVRGSNGGRMEGGGEDGDGGQDLVTTEADPAPWRTDLVRGELAAVATLRSSQRRCRRQRRRAHGDGGGGGWTAANNTVAGRQQRRYRLLWQRGALAVAMVAAVAGRRRGGCGRSAARWPSAAAAAAVVTSRTASRGHGRWPYLSQRGSDWRGWRPPWHREVHPMAVEAGKAREARPAARRPDWRERRSRWREAGLA >OGLUM01G27430.1 pep chromosome:ALNU02000000:1:27518967:27523713:1 gene:OGLUM01G27430 transcript:OGLUM01G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDLSSEMRRTVLGLTLWVWIAIGVVALLVAILLMICIWMASRRKTKRTMDNLRQTQIPIFSKEIPVDRVGGRSLAQTMHEREQPSFPPQDKHTNREPGKTLGHMALSKSSEPDNMSQGSSVCNVDRAGSVHSGEDGSTGHGRKPYSPAAFVSASPLVGLPEFSHLGWGHWFTLRDLELATNRFSRENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMRQHGVFSWENRMKVVIGTAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGREPVDYSRSGNEVNLVEWLKIMVANRRAEEVVDPILEVRPTVRAIKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREVMIEKPNLLYTDRRNRRSRTGSMDIESIAEGSNSTEFANKVYVGSLDDHSRDPRNSLGVCMTRIIHWVYVLLSFQFSLAPLFADLQCCA >OGLUM01G27440.1 pep chromosome:ALNU02000000:1:27525766:27526074:1 gene:OGLUM01G27440 transcript:OGLUM01G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVGKVGEWIRRRMMPRRSKSKAAGSRSRSEGDGGEALPAPPQRKLRARALPAALRWRPRGRLLAVLYEKVVYHLLWLVESIVVVARLCFFVMRFGLKQL >OGLUM01G27450.1 pep chromosome:ALNU02000000:1:27528598:27535848:1 gene:OGLUM01G27450 transcript:OGLUM01G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTASRICTLLSILFVSCMLASAANDGLIRIALKKRPIMESIYGDLVPKSGTVDHEVALGYSGVRMNSADEGFYDPVTEAINHVRVHQQRMLRDIEAAAMEGRLKHFWSYRGFRERGSLKNGTQNHPLALKNFLNAQYFGEIGVGCPPQNFTVVFDTGSSNLWVPSAKCVFSLACYFHRKYESRSSSTYMENGTPASIHYGTGSIHGYYSQDQVTIGDLVVNNQEFIEATHEPGLTFLAAKFDGILGLGFKEISVEGADPVWYNMIQQSLVTDKVFSFWLNRNANDINGGEIVFGGADESHYKGDHTYTRVTRKAYWQFEMGDFFIGGRSTGICVDGCAVIADSGTSLIAGPIAAIAQIHAHIGATGVANEECKQVVARHGHEMLELLQDKTPPAQVCSKIGFCKSDGAHGISDGIESVLGETHKSADEVSDATCNACEMAVTWMQSEFVQNHTKEGKLEYANQLCGNMPSPVGSYVDCRHIGHLPNVAFSIGGRAFELTPEQQYILKFGEGFLAHCMSGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >OGLUM01G27460.1 pep chromosome:ALNU02000000:1:27557179:27557988:-1 gene:OGLUM01G27460 transcript:OGLUM01G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAAAAADDAGRPGAAAERLRVMWRVVRAAEALALAVLLSRSLPLLPVAAGAAGAVLRVGASFLLHPCSVFLLANGIVVLLVALSRRDRPSSSSSSSSSSSSSSCSDDHDDVHDQFLSFAGAHLLPLPAAITGAAAADQEEGAVFEDKQAVHVTPARAAPRRSRSEKVGRGRRPTRAASPELRRSESERCRRRRRSLSSSSASLADWGVEEDDGGEKEEEEFRRAVEAFIAKQQTRFHREESFVLVAGAGAGDETSAAAAAVEVK >OGLUM01G27470.1 pep chromosome:ALNU02000000:1:27568658:27569044:-1 gene:OGLUM01G27470 transcript:OGLUM01G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGDRRCSALPTPFTSASPPDPQSSPRSTPPRCLVRVAVAMSGEGGRREQRRGGGRESGAGRRMGVEAKVKRRRLGANEVVRSHPLAFSPPSSRRFLLPYRRPHRVVLHATELIGVPAKGVGSLVG >OGLUM01G27480.1 pep chromosome:ALNU02000000:1:27573357:27579769:1 gene:OGLUM01G27480 transcript:OGLUM01G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQPIRIKIMLGMKGGGGEERVNLLASKDCCGRRNGTSGWQGDGSSVEAVGMACGCGRIDCGSLVVMASSMTRESLETRKLNHGAMTFIVAGLGWRSRVSRKRKRNLPSHCLRRSLRRCQDESRLNRGGAAIFIWRAEHGRGSCWLLNSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDACLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVMNAIWSIQKLKRILNCCVPYYVKVQTMRCCSRLLVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >OGLUM01G27480.2 pep chromosome:ALNU02000000:1:27573357:27579769:1 gene:OGLUM01G27480 transcript:OGLUM01G27480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDQPIRIKIMLGMKGGGGEERVNLLASKDCCGRRNGTSGWQGDGSSVEAVGMACGCGRIDCGSLVVMASSMTRESLETRKLNHGAMTFIVAGLGWRSRVSRKRKRNLPSHCLRRSLRRCQDESRLNRGGAAIFIWRAEHGRGSCWLLNSETDFSFKDANKLCSLVRKQQALAKKKRKCLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVMNAIWSIQKLKRILNCCVPYYVKVQTMRCCSRLLVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >OGLUM01G27480.3 pep chromosome:ALNU02000000:1:27573357:27580224:1 gene:OGLUM01G27480 transcript:OGLUM01G27480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVMNAIWSIQKLKRILNCCVPYYVKVQTMRCCSRLL >OGLUM01G27480.4 pep chromosome:ALNU02000000:1:27575991:27579769:1 gene:OGLUM01G27480 transcript:OGLUM01G27480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPDSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDACLAESYVRSDEVSCEKVIAHVEKCFDFQSDGYSHHIVQDGLQFFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANIVTHNRVSFEKTRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVMNAIWSIQKLKRILNCCVPYYVKVQTMRCCSRLLVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >OGLUM01G27480.5 pep chromosome:ALNU02000000:1:27575991:27579769:1 gene:OGLUM01G27480 transcript:OGLUM01G27480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPDSETDFSFKDANKLCSLVRKQQALAKKKRKWLRSLIPREDGLIKPIKRPKFLKDACLAESYVRSDETRPAMNKIIEDHLPQYLANLRDENDMSQLSHILTNSFSYRSNSLNIATPISPKMLSSINQALNVLGTLTIQSLVAMKRKLDEISFTPKFSFVPRISRKAHMVTVIRKQCNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVMNAIWSIQKLKRILNCCVPYYVKVQTMRCCSRLLVIYQMRHQMPLRQKIDFTEQRKGAEVEAVLNLMNCGSECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMANPVGLS >OGLUM01G27490.1 pep chromosome:ALNU02000000:1:27580888:27582660:-1 gene:OGLUM01G27490 transcript:OGLUM01G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAKGDSHKHSRRNTDIDRQFKEKAFYFFLGKEAFLKLADSKASALDAKIKQLTLAQVRVSLQGRGLEKELIKHGESFKEVQYANAPGHFAFGSGSYLYVMYIPTSLVSSHLGPRWTCLIY >OGLUM01G27500.1 pep chromosome:ALNU02000000:1:27586676:27594112:1 gene:OGLUM01G27500 transcript:OGLUM01G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDGRQPRLSLPHLPLSASTASVRSASVAPPPSGRYRIRPPPVAAQSPLPPLPPSRRRPNPPSCRPAPAPVSPLSLSNPSPVDPRLPPQPTPSSAQTPISVASSTSGASIHDHDHDHSARRSGHRCCPSAGEVCAASASTGIAAAVPPPRKSSRLASTATYTESRRRPSAAERTTPATPSGSPRLLPTGTAH >OGLUM01G27510.1 pep chromosome:ALNU02000000:1:27586783:27587103:-1 gene:OGLUM01G27510 transcript:OGLUM01G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEAEAAQTSPAEGQQRCPDRRAEWSWSWSWMDAPDVELATEIGVCAEEGVGCGGRRGSTGDGFERESGETGAGAGRQDGGLGRRREGGSGGRGDWAATGGGRIR >OGLUM01G27520.1 pep chromosome:ALNU02000000:1:27604584:27605099:-1 gene:OGLUM01G27520 transcript:OGLUM01G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 [Source:Projected from Arabidopsis thaliana (AT1G75350) TAIR;Acc:AT1G75350] MALSLSTSFLPTQAAAAATRTTLRSLVPSQRMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSAMVVMDSQIEKFRKKWGHIKEYWPEDQWREMHPDGDPEFDPEEEGSAGAS >OGLUM01G27530.1 pep chromosome:ALNU02000000:1:27607436:27615815:1 gene:OGLUM01G27530 transcript:OGLUM01G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPVGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDISFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIRCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >OGLUM01G27530.2 pep chromosome:ALNU02000000:1:27607463:27615815:1 gene:OGLUM01G27530 transcript:OGLUM01G27530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPVGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDISFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIRCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >OGLUM01G27530.3 pep chromosome:ALNU02000000:1:27607436:27615815:1 gene:OGLUM01G27530 transcript:OGLUM01G27530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPVGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDISFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIRCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >OGLUM01G27530.4 pep chromosome:ALNU02000000:1:27609015:27615815:1 gene:OGLUM01G27530 transcript:OGLUM01G27530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPVGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDISFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIRCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >OGLUM01G27530.5 pep chromosome:ALNU02000000:1:27610348:27615815:1 gene:OGLUM01G27530 transcript:OGLUM01G27530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMMPLDTNACAQPMRRAGEGAGTERLMERLNIGGMTQEKALRKRCFGDGVTGTARCVFTSDADRDTPHLRTQSSRKNYADASHVSAVILGGGTGVQLFPLTSTRATPAVPVGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHHTYLGGGINFTDGSVQVLAATQMPDEPVGWFQGTADAIRKFMWILEDHYNQNNIEHVVILCGDQLYRMNYMELVQKHVDDNADITISCAPIDGSRASDYGLVKFDDSGRVIQFLEKPEGADLESMKVDISFLSYAIDDKQKYPYIASMGIYVLKKDVLLDILKSKYAHLQDFGSEILPRAVLEHNVKACVFTEYWEDIGTIKSFFDANLALTEQPPKFEFYDPKTPFFTSPRYLPPARLEKCKIKDAIISDGCSFSECTIEHSVIGISSRVSIRCELKDTMMMGADQYETEEETSKLLFEGKVPIGIGENTKIRNCIIDMNARIGRNVIIANTQGVQESDHPEEGYYIRSGIVVILKNATIKDGTVI >OGLUM01G27540.1 pep chromosome:ALNU02000000:1:27616568:27620720:1 gene:OGLUM01G27540 transcript:OGLUM01G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSDEDSEISDSEIDEYADKFYARLVAGEFKVKDGQSYSCPFCSGKKKKDFNINNLIQHASGVGAASNRQAKDKATHRALAKHLKNGLTKSSGQQSQTAVVEPQPLPNRDEKFVWPWMGVLVNVPTEWKDGRQIGRSGNHLKEQLSRFCPLKIIPLWNFRGHSGNAIVEFGKDWHGFRNALAFEDYFGKEGYGKRDWKEKQNQGSNLFGWVARAEDHTSPGLIGDHLRKNGDLKTINDLENEGARKTDKLVANLANQIEVKNRHLQELEVTYNERTTSLEKMMGQREQLLQKYNEEIRKMQQLAQRHSQKIIDENQKLRSELESKMSELNTRSKELDEIAAKSDYDRRIIDQEKQKNAIKSSHLKLATLEQERADENVLKLVREHKREKEAAVKKILKLEQQVDAKQKLELDIQQLKGKLEVMKHMPGDEDSALKNKIDELSEELQEKMDELDAMESLNQTLVIKERKSNTEMQDARKELENGLLDLLDGQSHIGIKRMGELDLEAFSKACRKMSSEEDAEITAAILCSKWQAEIKNPDWHPFRFVLVDGQEKEIIEDDAKLQELKEEHGEDIYRLVRDALCEINEYNPSGRFPVGELWNFKDKRKATLKETVQFVLRQWRANRRKR >OGLUM01G27550.1 pep chromosome:ALNU02000000:1:27620989:27621444:1 gene:OGLUM01G27550 transcript:OGLUM01G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVETRISITDFSCKMVPEHKATSESTSTTTACSSVHICCNATVKYASRRLRGNGKPVRDRQPDKPWTTEETRPASTTAPGSDEACGICREKFGMGGGAGASSDPVNLPCEHAFHANCVLAWFYKGNTCPVCSHDVCGQLVAAPWTKLAA >OGLUM01G27560.1 pep chromosome:ALNU02000000:1:27622066:27624639:1 gene:OGLUM01G27560 transcript:OGLUM01G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPAAAAAAAAAAGDYWSEALKSFLDHIPVSLDGSVPDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQNAVMELLLSSSGLEWLDKIADKQLSEFRFANTTKPVSVYSDQTLADALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDEDISTENSSASGGQNVLSLRTGQKITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAAFAQTGCRVEHGQMIQNS >OGLUM01G27560.2 pep chromosome:ALNU02000000:1:27622066:27624639:1 gene:OGLUM01G27560 transcript:OGLUM01G27560.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAGQAGGGGPAAAAAAAAAAGDYWSEALKSFLDHIPVSLDGSVPDAIDSMYRSDVAGAVIVDDVRTSFGKFVDRDIGFVEFPSLVLWAIEEFDKLGSGAGDKNSDFLTSLKQHPQIAETKIAWLAKSFLWEPFFPVRSHDTLFHAMLLFSKHRRINVVPVVELMNSSVIGFVTQTLADALHILSKEKIGVAVVDRKTSCLIGSIQCSDLYQLLDDSSLFRNRKTLSAEEFVKLKSKDEDISTENSSASGGQNVLSLRTGQKITAGLPVTNRKSDTLKQAMEKLTASRSSCSFIVDEHGRVEGVVTARDIISVFSPPCMDSRIDGGTFFSAAFAQTGCRVEHGQMIQNS >OGLUM01G27570.1 pep chromosome:ALNU02000000:1:27635929:27637913:1 gene:OGLUM01G27570 transcript:OGLUM01G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydroflavonol 4-reductase [Source:Projected from Arabidopsis thaliana (AT5G42800) TAIR;Acc:AT5G42800] MGEAVKGPVVVTGASGFVGSWLVMKLLQAGYTVRATVRDPSNVGKTKPLLELAGSKERLTLWKADLGEEGSFDAAIRGCTGVFHVATPMDFESEDPENEVIKPTVEGMLSIMRACRDAGTVKRIVFTSSAGTMYFVSKSLAEKAAMEYAREHGLDLISVIPTLVVGPFISNGMPPSHVTALALLTGNEAHYSILKQVQFVHLDDLCDAEIFLFESPEARGRYVCSSHDATIHGLATMLADMFPEYDVPRSFPGIDADHLQPVHFSSWKLLAHGFRFRYTLEDMFEAAVRTCREKGLLPPLPPPPTTAVAGGDGSAGVAGEKEPILGRGTGTAVGAETEALVK >OGLUM01G27580.1 pep chromosome:ALNU02000000:1:27639831:27657806:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRLSQLHIRVAAAVAPPLAHHDTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNSIVQDIENMVRSFIEKALINREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMNDQWHGAFTYNTRMYTADQMMGYAGSTQSYREPCVATVGGRQRHNMR >OGLUM01G27580.2 pep chromosome:ALNU02000000:1:27639831:27657806:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRLSQLHIRVAAAVAPPLAHHDTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNRGPFPDLPLAICFSEGQPDSIVQDIENMVRSFIEKALINREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMYTADQMMGYAGSTQSYREPCVATVGGRQRHNMR >OGLUM01G27580.3 pep chromosome:ALNU02000000:1:27639831:27657806:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRLSQLHIRVAAAVAPPLAHHDTSPTPVASSPLRDMEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNRGPFPDLPLAICFSEGQPDSIVQDIENMVRSFIEKALINREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMNDQWHGAFTYNTRMYTADQMMGYAGSTQSYREPCVATVGGRQRHNMR >OGLUM01G27580.4 pep chromosome:ALNU02000000:1:27639831:27656302:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNRGPFPDLPLAICFSEGQPDSIVQDIENMVRSFIEKALINREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMNDQWHGAFTYNTRMYTADQMMGYAGSTQSYREPCVATVGGRQRHNMR >OGLUM01G27580.5 pep chromosome:ALNU02000000:1:27639831:27656302:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTTSYEELDGGFCAYYCGTASLVPLLAVASISLVNNREWREYNLIMSKLWSAQPGGFARFAGSSQMVPPMRTEDGSSQFLPGHAAFSGVPQVNMPMFPTGMNDQWHGAFTYNTRMYTADQMMGYAGSTQSYREPCVATVGGRQRHNMR >OGLUM01G27580.6 pep chromosome:ALNU02000000:1:27656304:27657806:-1 gene:OGLUM01G27580 transcript:OGLUM01G27580.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDSQPLSLDTTPTSADPIRVCIIGPQSGQRRWTNRSRRRRCASLGTPRHLPDTRRVQPAP >OGLUM01G27590.1 pep chromosome:ALNU02000000:1:27657733:27658224:1 gene:OGLUM01G27590 transcript:OGLUM01G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTRMGSAEVGVVSRDRGCESWSIVAEGESRKGEQGALHGEGEDRRRSRREEVRVCGFALGQGRRNARRGEGAGRGGVGERRGGVDAWMTAAAWMREPVVEAARTWRRKGFRRRGSVEGEPTSSGDEDEQERRSAAMQHGDGGGRAARCEKREGGFRREENF >OGLUM01G27600.1 pep chromosome:ALNU02000000:1:27660405:27667266:-1 gene:OGLUM01G27600 transcript:OGLUM01G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEARLKAAKELMERKGDSFKLRKKPGHHRGTKSTELKESMAPEEVRVYDEKLTMRRIVKEEKTYEETALVNKNGDSSAVNLTHCDHNEKGVLPPRKPQHTAQSGSKLEQLGKWTSGAEFYELISPDQKCKTNSVTCEGDNVQTTNPSSKLGQFEKGKGETTSGDFVGCGKSWDGGDIAGLRMEHVNLREYAIGSTEDGCKAPTAPEISFSNEKPTYQESTETHFKECVGAQNYQERYGDDGAFEISCVDSSKLHAPEIPGASLESCISGGHCNGNKSPSDASTKETTSLGESNKENNNIEALEVPCADEMQSQILQEYHEFRNENIDEKKASQVKVSKLEESVEYYETPNFQKSSSTAHGETEIVEKEKMFSFSDELRPQNKNIGITEAPPESLIHKEIKKFGTEEKAYITLEGDVVQKSGSLEREANITLESASANENEEAEEANAFVEGINVMETHVSTYGTSVEDSDQIQDSENRMDGMGDLVSHGNEEAAKDPWLDNSEKSQVEEIFSHEEGQLSVEGGIDGGPNDAYAGVNAINDGNGNDSETKVIIDDGTDFNTKMSTCSKELNASFLESSASMQHLSQIDKSIAAQTSDESTPLENLGEDCREREFPEENSTALEQGQAIGSKMEGDDKDKQTKLNVKDQKYFHLDSYIVPKFTENTTLNFVQKLIDETPDGQRIEGRENVKKTLRETEKEVLHRLDEDKEIYKMEREKEQAKERSRRELEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAERIALERITLARQRASAEAREKEEKATAEAATEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKSTNQDNQLDKQFQKTASNNYERSTDSSNQVVEFESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEYLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITATAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKQHVVRVHTGTFLLNTFKMLMTQASGDLDLA >OGLUM01G27600.2 pep chromosome:ALNU02000000:1:27662888:27667266:-1 gene:OGLUM01G27600 transcript:OGLUM01G27600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAEARLKAAKELMERKGDSFKLRKKPGHHRGTKSTELKESMAPEEVRVYDEKLTMRRIVKEEKTYEETALVNKNGDSSAVNLTHCDHNEKGVLPPRKPQHTAQSGSKLEQLGKWTSGAEFYELISPDQKCKTNSVTCEGDNVQTTNPSSKLGQFEKGKGETTSGDFVGCGKSWDGGDIAGLRMEHVNLREYAIGSTEDGCKAPTAPEISFSNEKPTYQESTETHFKECVGAQNYQERYGDDGAFEISCVDSSKLHAPEIPGASLESCISGGHCNGNKSPSDASTKETTSLGESNKENNNIEALEVPCADEMQSQILQEYHEFRNENIDEKKASQVKVSKLEESVEYYETPNFQKSSSTAHGETEIVEKEKMFSFSDELRPQNKNIGITEAPPESLIHKEIKKFGTEEKAYITLEGDVVQKSGSLEREANITLESASANENEEAEEANAFVEGINVMETHVSTYGTSVEDSDQIQDSENRMDGMGDLVSHGNEEAAKDPWLDNSEKSQVEEIFSHEEGQLSVEGGIDGGPNDAYAGVNAINDGNGNDSETKVIIDDGTDFNTKMSTCSKELNASFLESSASMQHLSQIDKSIAAQTSDESTPLENLGEDCREREFPEENSTALEQGQAIGSKMEGDDKDKQTKLNVKDQKYFHLDSYIVPKFTENTTLNFVQKLIDETPDGQRIEGRENVKKTLRETEKEVLHRLDEDKEIYKMEREKEQAKERSRRELEEEKERERERAKDRLAVQRATKEAHERAFAEARAKAERIALERITLARQRASAEAREKEEKATAEAATEKASREARLKAERAAVERATAEARERAIEKAKAAADAKERMERFRSSFKDSFKSTNQDNQLDKQFQKTASNNYERSTDSSNQVVEFESALRHKARSEREHRTAERAAKALAEKNMRDMLAQREQAERHRLAEYLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQSVPLTDLITATAVKKAYRRATLCVHPDKLQQRGATIRQKYICEKVFDLLKNGSDRS >OGLUM01G27610.1 pep chromosome:ALNU02000000:1:27667889:27669992:-1 gene:OGLUM01G27610 transcript:OGLUM01G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAAAAAPPRHRERRRHRRKASSTDAAAAYGDVFGGPPQFAAAFDGVPADYGEVFGGVAASCSIPYLDLPPAAARDDGAGAGAYGEIFGRFDFGDFAEPYEDLLAEAVALAAEIASSSESSRSSVRKESGQLDADPSILHQHYSTVGYDQHFDEDEFSPISSPPDSGKQFSMSYNKATRGRPDDIVKMTTCMVEPPISYVVDSRNMSNKSAMDQVVVVDCDTFANGEKGSTGLTFPSSSKC >OGLUM01G27620.1 pep chromosome:ALNU02000000:1:27671963:27672485:-1 gene:OGLUM01G27620 transcript:OGLUM01G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIEGNPGAVPLAVLHGGLLHSSETGGATTAAMVEWEVRLAIAYGYIAPEPLPTPTAAADTEEQRLRLENAVQTNSRRPLYDNDDKPRILELATRVLDELVEMCSSSEPLWVRGVETDRDILNYDEYVCLFHRDHGGYGDRMAGWSVEAYSVGSTRQGRSFSKSHMCVTK >OGLUM01G27630.1 pep chromosome:ALNU02000000:1:27683084:27685081:-1 gene:OGLUM01G27630 transcript:OGLUM01G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:laccase 11 [Source:Projected from Arabidopsis thaliana (AT5G03260) TAIR;Acc:AT5G03260] MASAASSLPLLVSSLLLALFALGAHADVKRYQFDIVMSNVSRLCHEKAMVTVNGSYPGPTIYAREGDRVIVNVTNHVKHNMTIHWHGLKQRRNGWADGPAYVTQCPIGSGGSYVYDFNVTRQRGTLWWHAHIAWMRATVHGAIVILPAAGVPYPFPKPDDEAEIVLGEWWHADVETVERQGSMLGMAPNMSDAHTINGKPGPLVPFCSEKHTYALQVQSGKTYLLRIINAAVNDELFFSIAGHNMTVVEIDATYTKPFAASIVQLSPGQTMNVLVSADQSPGRYFMVAKPFNDVPIPADNKTATAILQYAGVPTSVVPALPQTMPATNSTGSVAAFHDKLRSLNSPRYPAYVPLAVDRHLLYTIGLNIDPCETCLNRSRLAASLNNITFVMPRTALLQAHYYGQKGVFAADFPDRPPARFNYTGVPLTAGLGTSLGTRLSKIAYNATVELVLQDTNLLSVESHPFHLHGYNFFVVGRGVGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGSGPDESVLPPPKDLPKC >OGLUM01G27640.1 pep chromosome:ALNU02000000:1:27686598:27688152:-1 gene:OGLUM01G27640 transcript:OGLUM01G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectinesterase 11 [Source:Projected from Arabidopsis thaliana (AT2G21610) TAIR;Acc:AT2G21610] MPMPLYGVVNSPCRSHCRAAATLLVSVSLLCSCFAIAMPSVVVTVDQSGKGDHRRIQDAIDAAPANDSSRTVIRIKPGVYRRVGNQEKVVVDKPYVTLTGTSATSTVIAWNESWVSDESPTVSVLASDFVAKRLTFQNTFGDSAPAVAVRVAGDRAAFYGCRFVSFQDTLLDETGRHYYRGCYVQGATDFIFGNGRALFDKCHLHSTSPDGAGGAFTAQQRSSESEETGYSFVGCKLTGLGAGTSILGRPWGPYSRVVFALTYMSSTVRPQGWDDWGDPSNQRTAFYGQYQCYGDGSKTDGRVAWSHDLTQAEAAPFITKAWVDGQQWLR >OGLUM01G27650.1 pep chromosome:ALNU02000000:1:27688860:27689848:-1 gene:OGLUM01G27650 transcript:OGLUM01G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQATALVLFFGSYHLGVHSRGSDIDVLVVGPSYVDRDFFGALAAALAETAAVAQLQPPARARRARASDQDEVPRRAGGPRLSSVPPYHAPCETGLGHEIKGNVIREDGLWQQNKARLSLTLSEFP >OGLUM01G27660.1 pep chromosome:ALNU02000000:1:27692375:27694790:1 gene:OGLUM01G27660 transcript:OGLUM01G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G47271) TAIR;Acc:AT1G47271] MDLHGIRGKVALNPVLKLPQGELVVFTDQFEPGIGRRTSAGLQHLLQELLRLKMQGITKALRFHGKQLKLTVLQHMNKGIFSWATLISRIQTESPTVIIPHIGLENIRVREILNAKGEAKAGAVYWCCTSHFVHEAIKHMTAHNVGALVVLKSGDEKQLAGIVTERDFARKILLPGRPSEETRVGDIMTEEDKLITVSSNTNILQAMELMTERHIRHVPVFDEKVVGMITIGDVVKTIVDQQHQEVKQLKKYIRGDYY >OGLUM01G27670.1 pep chromosome:ALNU02000000:1:27701482:27707688:1 gene:OGLUM01G27670 transcript:OGLUM01G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKASSSSSASAASSEWTKQQNKQFERALAVYDTDAPDRWHNVARYMGGAKSAEEVRRHYERLQADVEQIEAGGVPSEVYRPETRRSARQSNARDAGRNTCMSQLTNAHGGITF >OGLUM01G27670.2 pep chromosome:ALNU02000000:1:27705374:27707688:1 gene:OGLUM01G27670 transcript:OGLUM01G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSMSSTSRAPQWTARQNEQFERALAVYDRDTPERWHNIARAVAGKSADEVKRYYDLLVEDVMRIETGKVPFPAYRCPPRPRLQAESTSICTTAPEDLAMRHE >OGLUM01G27680.1 pep chromosome:ALNU02000000:1:27710210:27718357:1 gene:OGLUM01G27680 transcript:OGLUM01G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTAGGGGANNNPNKSLEVNPAPGDSVSSLSFSPKANHLVATSWDNQVRCWEIQPGGQCQAKASISHDQPVLCSAWKDDGTTVFSGGCDKQIKMWPLLSGGQPTVLSGHEAPVKELAWIPQMNLLVSGSWDKTLRYWDVRQPQPAHVQQLPERCYALSLSYPLMVVGTADRNVIVFNMQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDGQQGKNFTFKCHRDGNDIYAVNALNFHPVHHTFATSGSDGGFNFWDKDSKQRLKAFNKCPSPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFLHSVQESEVKGKPRVNKK >OGLUM01G27690.1 pep chromosome:ALNU02000000:1:27714488:27717997:-1 gene:OGLUM01G27690 transcript:OGLUM01G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRKPEEQEAESRKTRSELGEGSAILPELIVEPTAAWSMSFMGSHEYLALEIIKGEGHGSAVDWWMFSFFLHELLCGKTPFKGSGDRAAVQHDQPAAALPGDRRRCTLNPVPTAPAKSQVTATQGYNSSIAMRYALRLRLRLRLTLVKHRVYDKLNPLSPMG >OGLUM01G27700.1 pep chromosome:ALNU02000000:1:27720656:27721354:-1 gene:OGLUM01G27700 transcript:OGLUM01G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSEHEEDAGDVGGGCSSPPTPPHRVLTSAAPETIRCRYHECLRNHAAASGGHVVDGCGEFMPASTEEPLACAACGCHRSFHRRDPSPGRAGAARLLQLHLPASINSRAPPALLLPPAAAASKQGLPFPGYGTPSGGTGTTTASSSDERLRPSPVQPRRRSRTTFTREQKEQMLAFAERVGWRIQRQEEATVEHFCAQVGVRRQALKVWMHNNKHSFKQKQQQENRQEQQQ >OGLUM01G27710.1 pep chromosome:ALNU02000000:1:27722583:27728994:-1 gene:OGLUM01G27710 transcript:OGLUM01G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFYSGVLIFSVLLISLWTVTPVLSHSELDYGRRAKNEKTPNDGNDMSKEIGKGEEQHVNQEAADAAVVLKTKEEIAKRTAEHIQSTIGSSRVAIHEKEELLEKTAEVMSHMAGEVSDQLSKVAKEHTKIAVGSIATALKFKQEVLKQAAQRVKDVSEDVHMATKAKQEILQNVAHDMGKVAGDMATSMAKMAEVAAGVAGGAAAGVATGIAGGFAGGARVHVSGGIHANIHISASASAHAKASAAARAGVGAKASKSVSGNVGNNAEEYAGANGNVHGKAKASISAGFGISAGAKVAAGIGANAGVGGDAQTNAKAGVGAGVGISGGTKVADAKIGASISKEDKINAGVGGNAGANANAGVGISAGLSGGAKVGGDIGANAGVGGNAKANADAGLNAGAGISKEDKISAGIGGNAGANVNAGVGAGVGIGIGAGISGGAKVGGGIGANAGVGGDAKANADAGLNAGAGIAKDDKIGAGISKEDKISAGIGGNAGANANAGVGVGANLGIGASISGGAKVGGGIGANAGVGDDAKANADANASGGGGAVGGQAGANANANANAGANVGIGASKHIGFGFGAGGSFHFRASAKAHANANAAISGSEGSNIGAGASASKSVGAGIGAGVGVHTGMNIGFHGGIGGNANVGSNAGIGASGKENNGVEEEKGKSAEASTNKEYGSAN >OGLUM01G27720.1 pep chromosome:ALNU02000000:1:27743590:27743982:-1 gene:OGLUM01G27720 transcript:OGLUM01G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHIILLPSSSLSHTLFSSLLRASRPVAGEEAAGARQERGRAALLRRWRRQPDEEKEAAKDGEARWQPDAGEILVVDVEAAEGLDDGGEVDGNGGVLANRVGKEERSSVERPRRGARRPRARQRGRRK >OGLUM01G27730.1 pep chromosome:ALNU02000000:1:27758393:27760261:-1 gene:OGLUM01G27730 transcript:OGLUM01G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTENYDPYYPDRPVVDQYIPVWAKHPGLGSKPAFIWADDQAGGGSGVSPRETLTYSELDSAVQRMAAGLLEVLRRGDTVLVLASPGIRLVKLMFACQRAGLVAVPVIPPDPSVIGTPAEGPAHRHLLRAVSQARPRAAVGDAGYVGAVTRSSVSALASLNWVSVDRLERWPAAASTVAAAGDGVYEGCGPRETYLVQYTSGATGDPKPVVITAGAAAHNARAARKAYDLRPGSVVVSWLPQYHDCGLMFLLLTVVSGATCVLTSASAFVRRPRLWFELISEFKATCTPVPSFALPLVLRRGRSEHGTRPLQLQTLRNLILVNEPIYKSSVDEFLEEFGPAGLRASSISPSYGLAENCTFVSTAWRGTEVTLPSYKKLLPSARLPQPSLLTEAPDVEIVVVDAETGKQVEDGVEGEIWLSSPSNGSGYLGDSPASREVFGARLQGRAGPCFVRTSDLGVVHGTERYLYVLGRTTDAIVVADSQRRVPAHYIETAAFESSPGRLRGGCIASFTTLPASPSPVVVVAELQNGGGGGDMAGICHDIRQAVWREVGVTVARVVLAESGGVPKTTSGKLQRGAARAKLLAGKLPKVIEARYAGLEPAAGVLMVKESASRWARLQSPL >OGLUM01G27740.1 pep chromosome:ALNU02000000:1:27761703:27763912:-1 gene:OGLUM01G27740 transcript:OGLUM01G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAPKPLVYFLLRRAGLRQHTVDVDGAGTMISFWMPEGKVPKDRGTVRDVAPEGAAAADSGKQQKAAAKPAGNGKERPAVVLVHGFAAEGVVTWQFQAGVLAKHYDVYVPDLLYFGGSTSPSTDRSPGFQAECLAAALRKLGVERCTVVGFSYGGMVSFKMAESHPDLVTSLVVSGSVIAMTDSISEASLERIGVKSSAELLLPETVKGLKALLSIATHRKLWFPDRIHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAMTMKEQLGEKAMLQSISKAGHLVHIERPCVYNQHLKEFLAYVNAESPKETA >OGLUM01G27750.1 pep chromosome:ALNU02000000:1:27771309:27781456:-1 gene:OGLUM01G27750 transcript:OGLUM01G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAARLHLRLGPRLCGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRACNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISLTCGILSNSTSYCWKYPSKAQRMRLAQQETQVLMASSLRYMLHERI >OGLUM01G27750.2 pep chromosome:ALNU02000000:1:27771102:27781456:-1 gene:OGLUM01G27750 transcript:OGLUM01G27750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAARLHLRLGPRLCGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRACNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISLTCGILSNSTSYCWKYPSYVCVSSLSVAKTKGTHVNDRSGVHAASRMPA >OGLUM01G27750.3 pep chromosome:ALNU02000000:1:27771287:27781456:-1 gene:OGLUM01G27750 transcript:OGLUM01G27750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide deformylase 1B [Source:Projected from Arabidopsis thaliana (AT5G14660) TAIR;Acc:AT5G14660] MAARLHLRLGPRLCGFASSFAPLLAAHPRALPLSRMGSVAPLAAARARRGFGSAVATAPPAEDEDFATAADLQFEPPLKVVKYPDPILRACNKRINTFDDNLRSLTDEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKMSKRLLVYEEGCLSFPGIYANVVRPDNVKIDAQDVTGAKIKVKLSGLSARVFQHEFDHLQGILFFDRMSLDVLESVREGLKDLEKKYEESTGLVSPESIENYKGRKDLISLTCGILSNSTSYCWKYPRHHHFGICYMSASNYLGMCP >OGLUM01G27760.1 pep chromosome:ALNU02000000:1:27772122:27776452:1 gene:OGLUM01G27760 transcript:OGLUM01G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRVKGLGLLLLLVLLALCSTIDVCDARRGKHWRPRSSPSSSLLRKKGKGKKGSSNRQHGSNRPSPKPPLSPPPSPGNGKGYQSPYQPSPSPSPNAPVSPSPVNGSGHASPKSPTPNCGKGNQPSSRPTPTSPQGAVFNVVDFGAKGDGVSDDTKAFEAAWAAACKQGASTVVVPSELEFLVGPISFSGPYCKPNILFQLDGTIVAPTSAKAWGSGLLQWIEFTKLNGVSIQGNGIINGRGQQWWTYSDTDDDKDDDTQYDVEFERMPQVKPTALRFYGSFNVVVAGITIVNSSQCHLKFDSCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNLACVTQQKQKNIVNMYFLVVYDAGDDCVSIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMFRTMTGVRIKTWQGGLGLVQDVRFSNIQVSEVQTPIIIDQFYCDKRTCSNQTSAVAVSGVQYENIRGTFTIKPVHFACSDSSPCSGITLTGVQLRPVQIPHYRLNDPFCWQAFGELYTPTVPPIACLHLGKPAGNNLQSYHDLC >OGLUM01G27770.1 pep chromosome:ALNU02000000:1:27782640:27783087:1 gene:OGLUM01G27770 transcript:OGLUM01G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGTMWWWRTTMTQQMATAKTASLPLMLLLLLRHLKYDQNTKPDPNLTCIAVAPPTAWRWRRMKCRMVHGANRRRDAISAIRIPVTPNKYVELTCHDTGLHHAHEILGSLERCHVNQLSMMP >OGLUM01G27780.1 pep chromosome:ALNU02000000:1:27784557:27786675:1 gene:OGLUM01G27780 transcript:OGLUM01G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRMCGRAGEPAVRKGPWTLEEDLILVSYISQNGEGSWDNLARSAGLNRNGKSCRLRWLNYLRPGVRRGSITPEEDMVIRELHSRWGNRWSKIAKHLPGRTDNEIKNYWRTKIHRKPRGRSQLLQEPCEDAMGMGMSTTTSEAASTSASSGQSQASPGVWNEYMQASSFPHPELVSFAADHHLEMAGTDEVAAAAAAAQFVPTEFGFNDGFWNFVDNFWETMPVSDVV >OGLUM01G27790.1 pep chromosome:ALNU02000000:1:27806379:27810606:1 gene:OGLUM01G27790 transcript:OGLUM01G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGEQQPLHILFFPFIAHGHLIPVADMAALFAARGVRCTILTTPVNAAVIRSAVDRANDASRGTGSPEISITLFPFPDVGLPPGVESVPGISSKAEQEKIAEAFLRFREPFDRFLAEHHTDAVVVDSFFHWSSDAAADHGVPRLAFLGSSLFARACSDSMLRHNPVEASPDDPDAVVSLPDLPHRVELRRSQMMDPTEREGEWAFLQLVNAADQRSFGELFNSFREMEPDYVEHYHTKLGRRAWLLGPVALAAGKGMAERQDTDTDSGRLSPDEERCLRWLNGKAAGSVVYISFGTLARLLAAELTEIARALQLSGKNFLWIITREDTDASEWMPDGFADLMARGERGLIVRGWAPQVLVLNHPAVGGFVTHCGWNSVLEAVSAGVPMVAWPRFTDQFYNEKLIVEILKVGVGVGAREFASFIDHRSQVIAGEVIAEAIGRVMGEGEEGEAMRKKVKELSEKARSAVKEGGSSYDDAGRLLDELMARRSSGTSTESFLAWGLGGXRGWAPQMLILNHPAVGGFVTHCGWNSTLEAVTAGVPMVTWPRYADQFYNEKLITEVLEVGVGVGSMDFASKLENRRVIIGGEVVAGAIGRVMGDGEEGEAIRKKATELGVKARGALEKGGSSYDDVGILMDELMARRGSVNV >OGLUM01G27800.1 pep chromosome:ALNU02000000:1:27846633:27848402:-1 gene:OGLUM01G27800 transcript:OGLUM01G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNDDEQTAPTSTATEPARPTVGITGQLVKQVRLNKYHNDVASMAPHDQELLLELRGSSSSTDRAGLDLVAVIDVSGSMDGDGIDKAKTALQFVIRKLSDLDRLCIVTFSTNATRLCPLRFVTAAAQAELKALVDGLKAYDATNMKGGLETAMSVVDGRRLAAGRAVSVMLMSDGYQNQGGDARDVHLKNVPVYTFGFGASHDSNLLEAIARKSLGGTFNYVADSANLTGPFSQLLGGLLTIIAQDLELTVTRFHGEATIKRVVWVDAGTYPQTTASDGSSVTVSFGTLYSAEARRVIVYLALADKTASPPYDANVCLAQYRFTFQAQQVTSNPDLITIKRRPSAAPGAARKPQPVENELARRQHADMIRAARDMAEANKMEDARNKLEEARKALEENFNQAANPTVAMLLEELRQLRGLMERQDLYNKEGRPYAASSLASHDRQRVATRGQADGVRLYTTPHMDTYLKQAEQFEKNPDEAPPPATKHVPEPDQVVDQEPPAPPAEADVVPDVPRDMAAGDRRTLSAALRVAAAVLSLAAFVLMASVRTSGWDSDRYDLYEQYYRLMHDHLPVASKHHAFLTCNKSRC >OGLUM01G27810.1 pep chromosome:ALNU02000000:1:27852215:27852394:-1 gene:OGLUM01G27810 transcript:OGLUM01G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAAVHNSGEESVAAGVACDRTHNRGRTREDSQKNNERREKKIRAKLSFYVVSLLSID >OGLUM01G27820.1 pep chromosome:ALNU02000000:1:27855396:27858400:1 gene:OGLUM01G27820 transcript:OGLUM01G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRLATATAHHPAGPSRRRLHHRRGAMFSVSCWRIGAIASLVAAAAATTVLLNFSLPSSPHVPATDFTGKLSAAISPPPPPSSPPPAPATPPPPPPAPPPPAARPRRREPSYWRMAPEEALRYAKKEIMAAGPVIDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRFAVADPAKAHLFYLPYSSQQLRISLYVPDSHNLRPLAAYLRDFVKGLAAKYPFWNRTRGADHFLVACHDWGSYTTTAHGDLRRNTVKALCNADSSEGIFTPGRDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPVLLKHWGDGRDDDMRVYGPLPARVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSDVLDWSAFAVVVAEKDVPDLKKILQGITLRKYVAMHGCVKRLQRHFLWHARPLRYDLFHMILHSIWLSRVNQIELHE >OGLUM01G27830.1 pep chromosome:ALNU02000000:1:27859050:27861088:-1 gene:OGLUM01G27830 transcript:OGLUM01G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YC76] MGETPRTPAPDRPPPPVPVRVPVPEPQPEPETPQASPSPPPAPDPPTPLLFPESAPSTPREEYHTPPPSLDEARDEALVPHQEGVVDVNGGSEAAAKSPQLSPVRLQVSPSPHRLLPPAPGSPAVNGEDGAAGTAQGRRPGRPQLHLATGRLFRTPSQGSLAMSSPSPSPTPPSPLTPAPATTAPAPTPTAKSKSGQNTPKHKEALKPPATPVATAIAIPFNPAEEAMTSPLRIGNGKAARLDHQHGPVAGAAENGGDVPPEVAAVAAVGERRTTSVALRVATAVLSLVSFALVVSARTSGWAGDHYGRYEQYRYAVGVNIVVCIYSIAQAFGEIRRLVLAYLLMSASSAAASRNDLWMSSFGKDPFNKKINSAVWFSFIAFIGLATNSLISTANLFSMI >OGLUM01G27840.1 pep chromosome:ALNU02000000:1:27862085:27866372:-1 gene:OGLUM01G27840 transcript:OGLUM01G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60230) TAIR;Acc:AT1G60230] MAALPLLRWGASSLRGGHSYAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVALTDICWGALATRVVTFAVVKSTLN >OGLUM01G27840.2 pep chromosome:ALNU02000000:1:27863179:27866372:-1 gene:OGLUM01G27840 transcript:OGLUM01G27840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60230) TAIR;Acc:AT1G60230] MAALPLLRWGASSLRGGHSYAPPSSRLFSALRRPPAAARCEPGSRVMLKGMDYPELENWVRSQGFRPGQAMMLWKCLYGNNVWAHCYDELAGLNKDFRKMLTDHADLKALTVKDILNASDGTRKILFSLEDGSVIETVVIPCTSGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGSITNVVFMGMGEPLHNIDNVLKASAIMVDEQGLQFSPRKVTVSTSGLVPQIKRFLQESNCALAVSLNATTDEVRNWIMPINRKYNLSLLLGTLREEIRLKKKYKVFFEYVMLAGVNDSVDDAKRLVDLVRGIPCKINLISFNPHSGSQFKPTPDEKIIEFRNILIQDGLVVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAL >OGLUM01G27850.1 pep chromosome:ALNU02000000:1:27868425:27877206:1 gene:OGLUM01G27850 transcript:OGLUM01G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPGAGGGSGDAGFVRADQIDLKSLDEQLERHLGRPAERAASQHGGSGSRRGESARLGLGEEPPQAPHHQRRREDWEIDPAKLVIRGVIARGTFGTVHRGVYDGQDVAVKMLDWGEDGHRSEQEISSLRAAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNIQTEHGHFGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAYKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARIEASNPSDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQPQGCFSCFGRHRGP >OGLUM01G27850.2 pep chromosome:ALNU02000000:1:27873026:27877206:1 gene:OGLUM01G27850 transcript:OGLUM01G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPWPSDRTPSSRAVVILPTPSRGKGGEMRPAAAAYSSGDPIPLIRSLPPPPPPQAPIHSAPRALPPMGVTTRLPAPPGGGLQRRAPRGILPASLPVERPARRRLAPGVRAASGIPGPGGSPVPRRTTPAPADAAAAAPPSAAASSASSAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQKALNEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARREQRCGKQK >OGLUM01G27860.1 pep chromosome:ALNU02000000:1:27874951:27875651:-1 gene:OGLUM01G27860 transcript:OGLUM01G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAARRRGPRILVLECQRWLRGSGSTATQAVDLASLCLAGVASSGSTAVGRDRDRDNDTRHTSRRGHDDTILANLDMVS >OGLUM01G27870.1 pep chromosome:ALNU02000000:1:27879216:27879451:1 gene:OGLUM01G27870 transcript:OGLUM01G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGGDPVKHDEDDDEGGDPVECDGGHGGLGQRRRRRWTRTVTQWSVTAAMVVTDEAAGGRNDE >OGLUM01G27900.1 pep chromosome:ALNU02000000:1:27903229:27907727:-1 gene:OGLUM01G27900 transcript:OGLUM01G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPRREEEGKKNQREGEEETGESVFSLCLRACAFASESAKFRFQEEKGREEKRRALPSLCGVSEQAIGSVPTVQDEDYFTKDPDFVLEPPASSDRESTPASDNATSPDSDGTNSAGPARSRIALQLDQRSLHFSVTAWVLIVALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRLPRAGNMHAAQIFHHVASSKDFIPFMYCLMFVMSKLHLKLICWALEHVARFLRRHFTNSSLYRRQRNPMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFR >OGLUM01G27900.2 pep chromosome:ALNU02000000:1:27903229:27907727:-1 gene:OGLUM01G27900 transcript:OGLUM01G27900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASPRREEEGKKNQREGEEETGESVFSLCLRACAFASESAKFRFQEEKGREEKRRALPSLCGVSEQAIGSVPTVQDEDYFTKPPASSDRESTPASDNATSPDSDGTNSAGPARSRIALQLDQRSLHFSVTAWVLIVALIGILPLTPRQLQYKGYRLSLLGTTCTTGYALFAFYRLPRAGNMHAAQIFHHVASSKDFIPFMYCLMFVMSKLHLKLICWALEHVARFLRRHFTNSSLYRRQRNPMQTFMYWQLLKLMYHSPFTAGYHRAIWLKIGRTVNPYIHRYTPFLHDPINAGMRWWFR >OGLUM01G27910.1 pep chromosome:ALNU02000000:1:27907940:27908279:-1 gene:OGLUM01G27910 transcript:OGLUM01G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSRIGAEAPSSSPAAAHDHDRDRGGRRNRASSAVPPHLALPPTPPYVPSAHLQRSSSYLRFATAPPAGCVVAIAHALAYLEIRRGCFRSP >OGLUM01G27920.1 pep chromosome:ALNU02000000:1:27913656:27916730:1 gene:OGLUM01G27920 transcript:OGLUM01G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNSEALERYKNAITAASSVVGAAMLLRRIVADVLPDTALGALLLLPPPLSRRHCVVIEEFDGAFYNRVFLAAKAYVSTLLAAAPVPLMKASLPRGAGAEQITLAMRPGTAVVDVFDGAELTWRLSSHGGGGGGRRRGGDDAREVFKLSFDGRHKDMVLGAYLPAVMARVAAMSQGQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAALREAVVDDLDRFLGRKEYYERTGRAWKRGYLIHGPPGTGKSSLVAAISNHLRFDVYDLELGGVRSNTELRKLLIRMKNRSILLIEDVDCAVVAAPRREPHGGPDGSNTPSVNRKVTLSGLLNMVDGLWSSSGHERILIFTTTHVDRLDQALLRPGRMDMHVHMGYLGFGAFRELAATYHGVAGDDHPLFPEIEALLREVEVAPAEVAERLLMTDDAGAAIEMVAKLLRDRKAGTEEDGGGYVSQKLHAGTGRRHPRASRRGGGGGAVVATTTRRRVFGDEIGMEISHGQGRRGVRGRGRGRR >OGLUM01G27930.1 pep chromosome:ALNU02000000:1:27917864:27925869:1 gene:OGLUM01G27930 transcript:OGLUM01G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPAWFGAILSSIRGRKSSDERNRKGLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPFYFAVS >OGLUM01G27930.2 pep chromosome:ALNU02000000:1:27917864:27925869:1 gene:OGLUM01G27930 transcript:OGLUM01G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLPVRRSIVYGEQPRNRLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPFYFAVS >OGLUM01G27930.3 pep chromosome:ALNU02000000:1:27917864:27925825:1 gene:OGLUM01G27930 transcript:OGLUM01G27930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVSSAEEVGALLSRSDSSGRRRRSSPVQSASPRPAGCGCGGPRRQSSFRDDVGHAASETYLVTRLTFSLLQYLGLGYRWMSQLLALTIYAILLMPAWFGAILSSIRGRKSSDERNRKGLDLYIPKDINRPCPVVAFVTGGAWIIGYKAWGSLLGRRLAERGIIVACIDYRNFPQGTIGDMVSDASQGISYVCNNIASYGGDPNRIYLVGQSAGAHIAACALIEQAVKESSGQSISWSVTQIKAYFGLSGGIMEGEESLSRYSPEIVVKQSSSQTIALLPPIVLMHGTEDYSIPSSASQTFADVLQQAGAQAKLQLYEGKTHTDIFIQDPLRGGRDPLVEDVLSIIHVDDEITQEKIALAPAPRRLVFEWQLQLARRISPF >OGLUM01G27940.1 pep chromosome:ALNU02000000:1:27926253:27926548:-1 gene:OGLUM01G27940 transcript:OGLUM01G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKAVKPAGLAKEPAAKLSETATKPAAAKGGVKKAEQKPREPKKKVKSSKPAAAKN >OGLUM01G27950.1 pep chromosome:ALNU02000000:1:27937760:27943147:-1 gene:OGLUM01G27950 transcript:OGLUM01G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIMARSPHESSFSFSRRHFKWPVLGKSSSHGASNAGEDDFIKAEDDEEATMAFSSTCPSFHSEDFVSPPPCKPLKQQQQQQPQQQRRKGRTAVSRLRTALAAALAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTASLVREMASGLVRIALECERAKGGGACASCGYAVRRECGAADWRVLRALEPVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDCGGSGSNNNGGPELSVYLLRV >OGLUM01G27960.1 pep chromosome:ALNU02000000:1:27952739:27956580:1 gene:OGLUM01G27960 transcript:OGLUM01G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YC92] MEASLGALIFPCFLLLICARADDTVSRNRPLSGGQRLISSGGLFALGFFQPDDRAPNRWYLAIWYNKISKTTPVWIANRATPISDPNLSQLTASEDGNLALFDQARSLIWATNITNNVNSTVGVILDSGNLVLAPASNTSNFLWQSFDEPTNVWLPGAKLGRNKITGQITRFISWKSSVDPSPGYHTLEIDPNGGDQFIHLWNNSAIYWETGKWIGNMFTGIPEMALYPKEVLSYKFTVNNQESYFVYRTNASIATAMFIMEISGQVKTVVWMENKKDWVPFLALPKAQCAVYFLCGSFAMCTENAYNGEWRYGNPSGGCMRNTKLQYDGNSSSKTTADEFYALAVAKLPDKAWGLATGTDGCKQACLNNCSCTAYSYAGGCSLWYGDLINLVAPADGSVGHSIHIRLAASEFSSSTKTRKATVIGASTAGAILVTLIVIIGILLILRKRNLSEANKVEGSLVVFRYRFLQHVTKNFSERLGKGSFGPVFKGTLPDGTLIAVKKLDGVSQGEKQFRAEVSTIGTIQHVNLIRLLGFCSERSMKMLVYEFMPNGSLDRYLFGSTPLTLSWKTRYQIALGIAKGLAYLHEKCRSLIIHCDIKPENVLLGADFMPKIADFGLAKLLGRDFSRVLTTMRGTIGYLAPEWISGTAITTKADVFSYGMMLFEIISGNRNADWHRQGEQGAGTFFPVLVAMRLPEGKIQDLLGSELSADANLEEVERACKVACWCIQDDENTRPTMGEIVQILEGLVDVSFPPVPWYLHVLAQRSNFSTEETSH >OGLUM01G27970.1 pep chromosome:ALNU02000000:1:27971640:27973221:-1 gene:OGLUM01G27970 transcript:OGLUM01G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G18580) TAIR;Acc:AT3G18580] MAATASSFLARRLLLTRRVLSSPLRPFSTTDSSSSSSSSSSSSDSRAGSDAGPDPEQQQPPPAGQDQQAAARPRAGDTRPLENGLDPGIYKAIMVGKVGQEPIQKRLRSGRTVVLFSLGTGGIRNNRRPLDREEPHQYAERCSVQWHRVCIYPERLGSLALKHVKTGSVLYLEGNLETKVFSDPITGLVRRIREIAVRSNGRLLFLGNDCNAPKLGEAKGVGYF >OGLUM01G27980.1 pep chromosome:ALNU02000000:1:27989782:28005286:1 gene:OGLUM01G27980 transcript:OGLUM01G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPAGERDARREEAMGDLAPAHAVAAATASARHRRLSLSRSGWGKMGEGNGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVTCKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREADLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLNIRTLILSRHELVHTITEFLNTRMSSL >OGLUM01G27980.2 pep chromosome:ALNU02000000:1:27989782:28005286:1 gene:OGLUM01G27980 transcript:OGLUM01G27980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G50200) TAIR;Acc:AT1G50200] MLVVRRACCLLRLSSSSHPPATRVLPAAIYLTSSSSAAASAPAPPAMAASTEWPASRVRETFISFFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDAPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGFAWELLTQVYKLPTDRIYATYFGGDEKSGLAPDTESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRVGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGTLRPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPIFDAIHQLAGNGIQSYSGKVGPEDVDKVDMAYRVVADHIRTLSFAIADGSQPGNEGREYVLRRILRRAVHFGHQKLKAKQGFFSSLVHVFVQLMGDVFPELKDNEKKIKDIIKDEEESFENTLAKGFEKFKKAADAVKESGGTDAFVLWDTYGYPVDLTEVMGVDYGLSVDREGFDAAMEEARQKARNARFKAGENSIVLDANATAQLRNQGLASTDDSPKYGYKDNDSVVKAIYTGSEYVSAASGDEDFGLVLESTSFYAEQGGQIYDTGKIEGSFGSFNVTNVQVFAGYVLHMGSFTKGSKALSVGDKVTCKVDYARRALIAPNHTCTHMLNFALREILGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDKLEVFAREIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLANPESKEWLSISTELCGGTHIKNTSEAEAFALLSEEGIAKGVRRITAVTAKHASDAIKDASSIDSEINEASKLEGAILEKTIASIKSKLDTALIPAARKADLKGRVSKLEDELRKAKKKMGEENIQKAVKFAIDAAQTALSEGKRFCVAHVDVGLDTSAIREADLPIMLFSTDEATNKAVIYAGVPPSAANSLKVLDWLTPSIAPLKGKGGGGKNGIAQGQGTEASQLKEAMEVATQIASMKLNIRTLILSRHELVHTITEFLNTRMSSL >OGLUM01G27990.1 pep chromosome:ALNU02000000:1:28006639:28007523:-1 gene:OGLUM01G27990 transcript:OGLUM01G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEAIPEAWKELVRSLGTEQLVGAIYVALDDFNARERDTIPPELWRRLGDRSAAYRNPFVSDGGSSSGSGSGEVERIKVKLVAVGGEDGSGGGGGTSADSSEDAVVDLLRDLQAVPMTFETLEASKIGKTISGLRKHSSSEKVRRLAAALYKSWKAIVDEHLSRSSSKPPTPTKTASAPAAADHAKKANTAAAGHVKTPAAAPKTAACSKRKEAPAPPEMDEAKLEAARKKLRERYTEEETAKKQRKIQIINNAPGKAKQRPAVVEQRRRVVRDTVVAVASRAPVRSSLRM >OGLUM01G28000.1 pep chromosome:ALNU02000000:1:28007906:28008691:1 gene:OGLUM01G28000 transcript:OGLUM01G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVREMVRSMGAEQLDEAIAFATMELAGRDIPFEDVFRLCDEQELRRAKKSSMAEEVERIKGKLVGGEDGGRPSSDSSEETVVELLRALRSTPMTFETLEASRIGKTISGLRRKHSSEKVRGLAAALYKNWKAIVDEHLTRSSSKPPAPAPTKTASASDHAKKTDMAAAHKPAPAPSPRKTASNKHEAAPARADDAKLAAARRKLQDGYKEAASAKKQRVIQVIDTPKKVNRRPVAVVERRRIMPGVATVAPLRMCRAV >OGLUM01G28010.1 pep chromosome:ALNU02000000:1:28009606:28013019:-1 gene:OGLUM01G28010 transcript:OGLUM01G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECVLDEYSTKHRISINRFLQLKIFVKVHDRGCLHVKSGSQFPVLESNSVHGNEISLSCESEVHPWYLRYIMFQPLKESCIHSGDSNFLDLEWLST >OGLUM01G28020.1 pep chromosome:ALNU02000000:1:28037386:28038267:-1 gene:OGLUM01G28020 transcript:OGLUM01G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYSDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVRAIQHLPGLSPTAVSLVAAEANSVLDCLRGPEPVVPAIPLISALCKDGDVDPRFFTFHQDLVVRGVADILDGVGSLIFNNHLNKMLRRYQTGLVGNPPELMAAYSCLSVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKISIRHRQIWLRKYVPRPAATQNQN >OGLUM01G28030.1 pep chromosome:ALNU02000000:1:28051644:28052428:-1 gene:OGLUM01G28030 transcript:OGLUM01G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIYTPTVIMGKLLSDNGSQEFGDFKLVIHRMLSMYLYEKTILKRVSGSPNTLFRGASRCCHHYPHASPVVCLYPRASGSIAAVDQEAKASLMVGIGNGTTSTPIRSESVTMVQSISQIGRMASKWIDGIALSNN >OGLUM01G28030.2 pep chromosome:ALNU02000000:1:28051644:28052428:-1 gene:OGLUM01G28030 transcript:OGLUM01G28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHIYTPTVIMGKLLSDNGSQEFGDFKLVIHRMLSMGASRCCHHYPHASPVVCLYPRASGSIAAVDQEAKASLMVGIGNGTTSTPIRSESVTMVQSISQIGRMASKWIDGIALSNN >OGLUM01G28040.1 pep chromosome:ALNU02000000:1:28084987:28089203:1 gene:OGLUM01G28040 transcript:OGLUM01G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMFTVRDVLYMYTDARTAYDRFVGIGSNPEQARNAVALLVWLDQCNVPAIQHLPGLSPTAVSLVAAEANSVLDCLRRPEPVVPAIPLISALCQDGDVDPRFFAFHQDLVVRGVADILDGVGSLIFDDHLNKMLRRYQTGLVGNPPELMATYSCLPVAVPEDCRSMFITFSRGAPIDREEIFDYFRQKWGDCVVRVLMEKTAGGSQPMYGRIIFRSEAFVQLVLNGERLVKVTIRHRQIWLRKYEESSVLLVLNLKCPDLPVDLVKWQSSRPPYAPIQSGWPIYIPCMYTNNWRRNRRSRSCSATFQLRIAEVHPRLRRTGGTAALPSADYRCCMLASSAGGRRAAAADLMEDNINTDNMADSSHVPVPPPNQTNALFP >OGLUM01G28050.1 pep chromosome:ALNU02000000:1:28103047:28105731:-1 gene:OGLUM01G28050 transcript:OGLUM01G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVVSSVLQRLGDLVIQEATFLSDVPRQVSSMKAELSQMQCFLNVVDAKCLEGNSMMKNLASNIQDVAYRVEEVIDNAHFIFRRRNTSVSKYTHIFGDSIDLREVGKNIQVIRKEISEIFERYNRYNAVNSSTSTEAQPIFREDEDFYAQRLVPPGLDQGMDIVGFDHEIAQIKSYLLDQNNMNLTVISIVGQAGAGKSTLAKLAYSSVITEGYFHKYGWVSISPKYSALEVLRDLVRQIRGTGKISERKSMHLNFYGETEVSKLLFDFLKEERYLIVLDDIWTTDTWDKIKSVFPDKGNGSRIILTTRDMEVGQHPKTKLQIHTPDLLDEDKSWELFQKKAFPHDVQFTELEVVGKKLSKKCNGLPLALVVLGCFLSRNHNIHTWEKMVASVDWEIMKKEGDVGRILALSYHNMSNNLKACFLYTASFPEDYPITVHVLKKMWIAEGFVPNIRGYTQEEVAYRYVEELAQRCMIQIEERSKNIGWIKKIKVHDVLREWGIGQARKEGFLKVCSSGTDVETYYADEQRCYRVAFHGYFDNEVGKSVLNLRSVLAFNPDGKRLFSFNGLHLLRVLHFCSSLKTCTLPEGINKLVHLRYLGLEGSTVFMFPSYMKGLRNLQILEASTATVKALPSSLWSIAALKHVHVYQVLHWKAQEIRTKRSLQTLYVFSIMQCDALTWKRTIRSLQKMSQHVSWCLGIASTKRVKEKETQEHEEYNLDIRVDALESKVDGLELSGCFKEHHVLNDVLPHHNLFPNFLLQLKISCPNILNDDPMPILERLPRLEVLEIVNSSYTGKSITCSSEGFLALRSLILMDLGLEEWNLQQGSMAFLAVLTLKCTMLRSISNVLHQLDDLVELRLICMPQLSVDDHEAARGRGCRVMISVDEEQNSDN >OGLUM01G28060.1 pep chromosome:ALNU02000000:1:28112419:28117399:-1 gene:OGLUM01G28060 transcript:OGLUM01G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRKARAPPAADATAAAAALLDYRLLAHILLRLRSPAALARAATVCRRWRRVASSPTFLRLFRQLHHPPPILGFFVCNNGFAVSRSTVDSELVGEVVDPTFLPRLTPRGFLGAVNRCVDFSLDSLPYDERWALADTHDGLLLCTNFADRMDIPDIFAICDPVSGRSVLLRVAPVTDSAYLGAALRTDDSDAGGVVCSFEFEVILVTCYNMWEPRLYVFSSRSGQWTVHAYIPMLPMLSAFSGDMHANESVYWLIDDHGDGGAHLLALDARTKQFSNIKLLSSMRTRYDGNMRVIRSDDGELRVVAFAAAAARLEFWHLDKSRSSRGRWVLESRVELAHVDGVMELCVDADDDVTRIMDAGEGFVFLKHYGSDLQAMVLFRLPHRRYYFGPALPYRMKMAGNGKRKARSPPAMDATAELDDRLLAVILLRLPSAAALARAATVCRRWRRVASSPAFLRLFRRLHHHAPPLLGFFVCNNGFAVSRKVGGELVGEVVDPAFIPTFHPVPREFEGAISRCGHFSLASLPDVDRWALADTRDGLLLLCSTFSDRMSIPRNFVVANPVSGRSVLVRDARFYQLDAESAYLGAALRIDDDNDGGAGGVLCFEIIVVTYFMPGPRLCVFSSRSGAWTVHPYSDAGTAIMPMLGAFSDDMHANGSVYWLIDDDDDDNPYLLALDARTKQFSNIKLPRAMRTRYRGNMCVMRSDDGELRVVAFAAAALDFWHLDKSRSSRGRWVQEWRLDLARAHGAPLFFADADGYPTRIMDAGEGFVFFKHYGSGWVFALSLETMVFIDLPHRRFYSGPALPYRMALHPPLPALVIVNVQGRTCSPI >OGLUM01G28070.1 pep chromosome:ALNU02000000:1:28126174:28127039:-1 gene:OGLUM01G28070 transcript:OGLUM01G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARKFKGRYCILLIYLNTLFIYKLLIYHPLTTKKLSWKLYAFCGDARVVSNPDVKPVALHTPRLDAYSARSLTTPSTSTNTPHTSPWALCDPNRSAVSHDCRVTLFRKPAPTSQLHRTASSISIQGADVDPDGYTEAAGNLKAQGKT >OGLUM01G28080.1 pep chromosome:ALNU02000000:1:28127386:28127909:1 gene:OGLUM01G28080 transcript:OGLUM01G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFGGVGLGGLRRRRRWQARAPVGAQHGRRRKYPGKNGGCKLWQWYEPGTTPYLKQVLNDLVSTVREVKTENSEIRASLANSRAVINGLITERNVYETKSMGQKEECGSFAEMACRIKMLEESRRFMLSVIVGFVVVIFALLLLR >OGLUM01G28090.1 pep chromosome:ALNU02000000:1:28143713:28144091:1 gene:OGLUM01G28090 transcript:OGLUM01G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSKCSFNLFFSSSSSSLLLPAGYRGEGRRRAPVLRWLNGLDGDVQAITHPGRVEEGRGREEDGRNGGDKSTAPATKGGGCGGWFRRGKERGNWKNEFTSARQARWCKDLASGIPVREISRR >OGLUM01G28110.1 pep chromosome:ALNU02000000:1:28201010:28203946:1 gene:OGLUM01G28110 transcript:OGLUM01G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAMSTVHALLGVIRKEAELLTGVRGDVQFIRDEMESINGLLRHLAGTKERASDHQVRAWIKQVMELAYDSNNCVERYARTRSRSRGRKGFLGHLRQAAGLPWAVAVRRRLVTRIRKLKVRAREVGERQQRYGVTIPLPPKMDGTAISDAHANMYRLLEYSSAPRETLGGDAYQRGAAIDSESGTDHMLKFGAGQDRSQVRRPRLSVVVINAHGAADGAILADKVYRHYKPEQLPSSDSPAPPSPFQYRLRVTIRHRPPILVEVLLDILRQLQSEGCVECMGDNVDAWDEARFTQMLQRCMRGKRFLLFFPNIDYLQIWCRIEELLASIDCGDGSAVVLSSKYKKVMRKVGRKKKDSKLPREIFSFSHVDFHHKKANLLLPKNYDAGKKVKKVLNKSVKDVLNKCDMDDYCTKLFLHALHHNPNRTTEELNILSESLSPARCSNAIDKRIRLAAFCYRCLPDQYKNCLWYTAAFVRGSYGVRRASLTRRWVAEGLITRSGQPTEHEEAERCVRKAKTCSVNTPVIDMVHGGRSIGTTFVDDFLDTNHLPLDLDLHFSIRTGTRIRQLDGSSSEPRPPPPKKQMESVMEFLRKLPSSSRLSLLRVLDLEGCEGFTKRHLNNICKIHKLKYLSLRRTEITRLPKQLHQLEQLETLDIRQTRVHAFESALPKSLKHLLAGRIDCPGEDAATIKSKESFSTVRMPGDVPAGNMDKLEILSHVRVYDSGKELANVGEKMKQLRKLGIVMCGGSRTNPENLFVQINELHGCLRSLSIRMEPVGSWSSNEPVIMRICGIRGWLPRRIKELGNLAKVTLRDTLLDEDALGVLGTLKGLRCLRLRYRSFFAGALTFVSGSFPFLTDLVVEDNMLTTFIFRLGASPKLANIVWSFKQMESLTGIKNLGSLKRIELNCLAENGVTNEYRPLQQEIKEHPNKPTLVCQLHNPSVDDQAVRAACAAS >OGLUM01G28120.1 pep chromosome:ALNU02000000:1:28221172:28222617:-1 gene:OGLUM01G28120 transcript:OGLUM01G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPQEKWRETTVATPFLPPRSYVSRQYATRPHPLPIPSRIHVARFTFPPKPSPPSKPNRAARSEEMGGKRKARAPPAADATAAAAVLDYRLLAHILLRLPSPAALARAATVCRRWRRVASSPTFLRLFRQLHHPPPLLGLFICNNGSAVSRSIVDGELVGEVVDPTFLPRLTPRGFLGAVNRCIDFSLDSLPDDERWALADTHDGLLLLCTKFADRMDIPDNFAVCDPVSGRSVLLRVAPVTDSAYLGAALRTDDSDGGGVVCSFEFEVILVTYYNMWEPRLYVFSSRSGQWTVHAYIPMLPMLSAFSGDMHANGSVYWLIDDHGDGGAHLALDARTKQFSNIKLLSSMRTRYDGNMRVIRSDDGELRVVAFAAAAARLEFWHLDKSRSSRGRWVRESRVELAHVDGVMELCVDADDDVARIMDAGEGFVFLKHYGSEWVFALDVQAMVLFRLPHRRYYFGPALPYRMVLKPPLTALAG >OGLUM01G28130.1 pep chromosome:ALNU02000000:1:28228638:28229396:1 gene:OGLUM01G28130 transcript:OGLUM01G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHPPSFPFRSRRRQGRDGQQCRRMSPPPLPTREFGCAGARSNGTTGGCPCPPPLDGTDKAEAKAEAEAWSSRRPRRLRHRQGSPAAAAASPPRPPPPPSLARIASCCCCLSTASPSASVTGKDRQLLLLPLHRVPLRLRPPAAAAASPPRPPLPPPLARPWGRLETLAAVVDRGEATSSETVIDRGEVVGGGGEVEGGDDVGGLAGHLAVWEEDGELLMVDMEIGQRGRAPLPQRRRRRT >OGLUM01G28140.1 pep chromosome:ALNU02000000:1:28230500:28230997:-1 gene:OGLUM01G28140 transcript:OGLUM01G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTARERVAATARQERPTAWRRTRSRREREARDGGSNGGTEREQDVAVHEEAVERWPVLGLLRPPVAGFHQYTYTSMILGLAMHGRSEDALSLFAGMQRAGVMPNEVTLLGVLTACCHAGLVEEGLQQLDTMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >OGLUM01G28150.1 pep chromosome:ALNU02000000:1:28231806:28237660:1 gene:OGLUM01G28150 transcript:OGLUM01G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine dimethylase family protein [Source:Projected from Arabidopsis thaliana (AT2G47420) TAIR;Acc:AT2G47420] MAGGKIQKKRHGGGAGGGGGGGARLQGGIPFEKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPGTGNLTKRLLQAGVKAVVAVELDPRMVLELNRRFQGDPLASRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRLCFNRKNKTLGAIFKQKRVLELLEKNYKTMQSLQLTSDAEKGEEKMSPDDVALLSSMVDDMNMESGYENDDDDEMEMDDADMVAESRACFKEKIMGILQQGDFAEKRASKLSQVDFLYLLSLFNKAGIHFS >OGLUM01G28160.1 pep chromosome:ALNU02000000:1:28249210:28262496:1 gene:OGLUM01G28160 transcript:OGLUM01G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKRHLLGLVSQVALAIYIVAKSWRPDKQLLGPLVLMFISGTIKYAERTWALMTASSSMSPGSDSMADHVLGVQDDVILDAKSYFDELHSIFPGKNVQDIEGHNGGRRTDDDGYEGLVMAAGKGFRLCLDFLTDMTPFLVWSNTDTIIDSAIKKLRSSNPETQVQMAYKLVEIQLSLIYDYLYTKYGALQFRLGLVSSGIERLITFFSTLAALGLFVGANLKGPFNYSREDVMVSYVLLVGAITLDISSIFMLISSYWLQLHRRGGLFGCSFSLAKCVHAGSKPLWSEKLAQYNLIDACIQEERGGIICGWVMRRTGIVSDINMSNTVSPELKKLVLDKLFEVASTRSVSDYWDWDFSKYRGMWLQWWLQEGRIQADIAQGILTDGITDTDLYFPMTVIVWHIATEMCWFADENDYSPCRAPSMELSRYVMYLVAKRDVMSGSNGHFELGKARRQVKRILEGHRGISDERGLLKYARQATGQVTEPCFGRGRAISEHLLKISNRALRWELISMLWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVVLGIPFLSSNLVFLMLKLLSSCLIRLRSSLTRALQLWNEWEIQCLVLASFSLQVFLHLFSSTRKANTSRVLSLLLWLAYLSADYVATFTLGRLALYVGDPHHHQLVLFWTPVLLLHLGSQETISAFSIEDAMLWKRHLLGLVTQVTLAIYIMVKSWHPDKQLLAPLVLMFISGTIKIREADEAYQGLVMAASEGLRIFLGILTDMTPFLVWSSKEDSIIERTVEKLRSSDPDTQVEMAYKLVEIQLSLIYDYMYTKYRALQFRLGLVYSVIARLITFCSTSVALRLFVGTDLKGPFNYRREDAMVSYVLLVGAVTLDISSIFKLISSYWLQLHQTGGLFGCVFSLIRFVNPWSKPLWSEKIPQYNLIDACIQEERGSIICGWVVRKTGIMPDIDMSKTVSPKLKKLVLDKLIEVATTRSVSDYWDWDSSKYSGMWLQWWLQEGRIQDDIAQGILTDGITDTALYFPMTVIVWHIATEMCWFADEDDRSPCRVPSMELSRYVMYLVAKRDVMSGTNGHFRLGKARRLLKRILRASTVHDEKTLLQYVRQSPGVTEPCFSRGRVITDHLLKISNGAQRWELISMVWIEMLCYLGPNCGAQFHAKHLSTGGEFVTHVRILLVILGIPFLRLDMKPGNF >OGLUM01G28170.1 pep chromosome:ALNU02000000:1:28266065:28266515:1 gene:OGLUM01G28170 transcript:OGLUM01G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVNPNPHCFLRHGHIVHLGGNLRVPRVDLTIPERPPHCHEDCVLALVDPPLLEEWDNHRVLILEHILDTPAVYDGIHTVTFVKRDQGPNWRASEYTREEWFLLLDFPLELLISSI >OGLUM01G28180.1 pep chromosome:ALNU02000000:1:28266758:28267431:1 gene:OGLUM01G28180 transcript:OGLUM01G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMMTIFSSLGTKEMIIGAILSNNNNNKMKLSRKIHGGKIIRWVCKKKNDVMIRARNILEN >OGLUM01G28190.1 pep chromosome:ALNU02000000:1:28317502:28326067:1 gene:OGLUM01G28190 transcript:OGLUM01G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCB6] MSRGGGGCCPSMDLMRSEAMQLVQVIIPAESAHLAVSYLGDLGLLQFKDLNADKSPFQRTYASQIKRCGEMARKLRFFREQMSKAAISTSTQFSGTSLEIDDLEVKLGELEVELTEVNANNDKLQRTYNELVEYNIVLQKEMVTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNMFLRQEPVDETVTDPLSGEKVIKNAFVIFYSGERAKSKIVKICDAFGANRYPFPEDLGKQLQTIQEVSGKISELKATIEIGLAHRDSILKNISSEFEQWNTLVKKEKAIYHTLNMLSLDVTKKCLVAEGWSPVFATSQIQDALQRATVDSKSQVGSIFQVLNTQESPPTFFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAIMFGDWGHGICLLLATLYLIIREKKLASQKLDDIMDMMFGGRYVILMMSLFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDATTEGLIKVRPAYSFGVDPVWHGSRSELPFLNSLKMKLSILIGVAQMNLGIVMSYFNAKFFRNAINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDDLGENELFPGQKLVQLVLLLLALVSVPWMLIPKPFFLKKQHEQRHQGQQYTMLQATDESVTELEEHQDDPHHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLVLSWGYNNIFILIIGAVIFLFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFVPFAFASIIEEED >OGLUM01G28200.1 pep chromosome:ALNU02000000:1:28336379:28341334:1 gene:OGLUM01G28200 transcript:OGLUM01G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCB7] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDVDGPNAGGGAAGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRSGDKVISLDRDDKFGEDRGPRWWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >OGLUM01G28200.2 pep chromosome:ALNU02000000:1:28336379:28341334:1 gene:OGLUM01G28200 transcript:OGLUM01G28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCB7] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEDSTAELHPKVVDVDGPNAGGGAAGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >OGLUM01G28200.3 pep chromosome:ALNU02000000:1:28336379:28341334:1 gene:OGLUM01G28200 transcript:OGLUM01G28200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCB7] MISGHDFYTVMAAVVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAGLAAWSRLPSRTGAPRLDWSITLFSLSTLPNTLVMGIPLLIAMYGPYSGSLMVQIVVLQCIIWYTLMLFLFEFRAARMLIADQFPDTAASIVSLHVDPDVVSLEGGHAETEAEVAADGRLHVTVRRSSVSRRSLLVTPRPSNLTGAEIYSLSSSRNPTPRGSNFNHADFFAMVGGGPPPPTPAAVRGSSFGASELYSLQSSRGPTPRQSNFDEHSARPPKPPATTTGALNHDAKELHMFVWSSSASPVSEVSGLPVFSGGGGGGGALDVGAKEIHMVIPADLPQNNGSGKEHEEYGAVALGGGGGGENFSFGGGKTVDGAEAVDEEAALPDGLTKMGSSSTAELHPKVVDVDGPNAGGGAAGAGQHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLVAFRWHVSMPAIVEKSISILSDAGLGMAMFSLGLFMALQPSIIACGKSAAVVSMAVRFLAGPAAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLLYYILLGL >OGLUM01G28210.1 pep chromosome:ALNU02000000:1:28353228:28356273:-1 gene:OGLUM01G28210 transcript:OGLUM01G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSPSGLELTMAVPGLSSSSGSEGAGCNNNNAGGGCNMRDLDINQPASGGEEEEFPMGSVEEDEEERGVGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAIKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRAMRVAPPTVLSPHTRQPLPASALTMCPRCERITAATGPPAVRPPPSSAAAAAPSLFHPRRPSAAF >OGLUM01G28220.1 pep chromosome:ALNU02000000:1:28363255:28367017:1 gene:OGLUM01G28220 transcript:OGLUM01G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGVVVVVVATGRLLVSASRGASETEAKRRGLKMEPGQDDQIHDKFSKTNSSQILKNTNLPPIWSPPPGRRGLPSPTADPAREGTRSPPPPRASSRLTSPAAPPFALHRAAAGLVSCEGRGSGGQKGRGRTGVVAEGEKGIELALVGEGGEESWVDKMRRG >OGLUM01G28230.1 pep chromosome:ALNU02000000:1:28374567:28379609:-1 gene:OGLUM01G28230 transcript:OGLUM01G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCC3] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >OGLUM01G28230.2 pep chromosome:ALNU02000000:1:28374567:28379609:-1 gene:OGLUM01G28230 transcript:OGLUM01G28230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCC3] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRVGFTFPIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCIKALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRSDALYNVTNSFHYLCKLGVDRFKRQFAHLEEGVAQGDKTSPQLRQHHYGNKGEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >OGLUM01G28230.3 pep chromosome:ALNU02000000:1:28374567:28379609:-1 gene:OGLUM01G28230 transcript:OGLUM01G28230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCC3] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >OGLUM01G28230.4 pep chromosome:ALNU02000000:1:28374567:28379609:-1 gene:OGLUM01G28230 transcript:OGLUM01G28230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCC3] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCIKALTDPYFTGLANSEREPIAQPISKLEFEFERRKLAKDDVRELIYREILEYHPQMMQKYLRGGDQSNFLYPRERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >OGLUM01G28230.5 pep chromosome:ALNU02000000:1:28374567:28379609:-1 gene:OGLUM01G28230 transcript:OGLUM01G28230.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCC3] MPAPIAPPLCPLALSASPTATTGSGAAPESGGGGRSGIRRGSAATEGHTGAQQGRALGAMDAKKGSGEPEFFSEYGDASRYEVTEVVGKGSYGVVAAAVDTHTGGRVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYIIFELMESDLHQVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKVKICDFGLARVSFDDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAEMLMGKPLFPGKNVVHQLDLMTDLLGSPSGETISRIRNEKARRYLGNMRKKPRVPFSQKFPGADPMALHLLERLLAFDPKDRPTAAELCIKALTDPYFTGLANSEREPIAQPISKLEERVVRNGDEPDPTADYCIKLHVGEQPGHSSVTDGLNKPLLSARNFLKSESIGASQCVVIKEKREKDEESMSEYMNEAADGVPHKIAQLKT >OGLUM01G28240.1 pep chromosome:ALNU02000000:1:28380117:28380623:1 gene:OGLUM01G28240 transcript:OGLUM01G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRPPAARAALPRPPRPLRGQPHTDLPLRRRIQHHAYDSARLVGFLALAVTLAALLVLAGVTLTVAFVALVVLSPLLLLTSPLWVPMAAAVFVSGAASIIGWCLAVGAVAAGTWAYRYFTGRHRRPVGAHRVDYDVDAGTASGWMGYYAREYGARPRVHVKDAAPGA >OGLUM01G28250.1 pep chromosome:ALNU02000000:1:28382473:28384938:1 gene:OGLUM01G28250 transcript:OGLUM01G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITALQLLPPILGLPATARNGYAGRRPRMMTVTCCRHNQATTVHESRLTSSLSRRDALSYMSSAFIATLLVAGPAEARTSRQENKRKVREKLEKLREKALGPDDKNGAIRKKESLANLLIPPKLVEATI >OGLUM01G28260.1 pep chromosome:ALNU02000000:1:28385272:28386453:-1 gene:OGLUM01G28260 transcript:OGLUM01G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPHQILPDDLVLEIIARSSPATIIRCGAISKPLRRRILHPAFLRRLRVVNAGDDTGNSRCGFVPSLLLGLYRRAKDLCSPLALVPPDTAGAASIATSLALVPPATPINHGANHSACIFGPYLPLSSRRSLIVLRRRCRVIGHQDYLHSGLTVCNPVSGERWVLPPHEVSDETVVLLDVNHNDQAIGTHSFKLLAAQLLVSPARTLIFQVFSSDEREWGTPVACPIYRICLSDGDSNVVVLRGAVYWLCCEYSGYSILSLKRRGDGAEPKASLVNLPESCKSGMHNMCLALSPAAAADDGTSNAALLSVVVHGSDRILVWVRRLRTTARGRSRRWELRHVIRENSIRRPGFSRRMVERKVARAVLRGEWRPRFGGGVRATVLCCSTYNAGR >OGLUM01G28270.1 pep chromosome:ALNU02000000:1:28388075:28388299:-1 gene:OGLUM01G28270 transcript:OGLUM01G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVPVCVQCGTHSNPCRCKVLGPTLGFVAFVVAGVVEWPLGAFVYLFRHRKGRRIMGHPANVVYPRITSAIPI >OGLUM01G28280.1 pep chromosome:ALNU02000000:1:28390289:28391414:-1 gene:OGLUM01G28280 transcript:OGLUM01G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACHVIILYFPSSLPSSSSLSLSQSYLCRPPAGGEEAGGELLLRDRELRGRTRRRGRRVPPHLLNSHHSFYDGLYDGLYVGGVADARIRPALRTLKQNMSLLLSVLIDRVQPVAVQEASEAARRSGGRRRRSAAARRRSRRWQRSSSTELLEHNGLLGGEEGDRSGSPAGNRWPRQETLALLKIRSEMDVAFREAEARGDGGTRGAPRTPAPATATARPSASSPSSRPSTAPPPPRAPRRRCPSRRRLWPWHPLATPAGLSALRIRHSASPSPVAPLRPSPPRSIPPAS >OGLUM01G28290.1 pep chromosome:ALNU02000000:1:28396462:28396869:1 gene:OGLUM01G28290 transcript:OGLUM01G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPAPVLVLAILAVAASTAAAAAIEGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPAYYPGSYCPPPPAAYVQFGGGAQSGRGPLYPQDPGFMPSSAPSSHGSRAVRLFTACAAFASLWFLW >OGLUM01G28300.1 pep chromosome:ALNU02000000:1:28405685:28406215:1 gene:OGLUM01G28300 transcript:OGLUM01G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEEAFPIGFTKGIRSYWRSRKYHRVDSSAAGRGTSNLVRLGGGSGSGSDGGAWAVRLGGMFRTRVKAAAPAATTTAVAKVPARVLGRVRDAYVDAMVGVAKKQAAAALSQPGAGTTEALWQKRVPVRRSRGQSKKQLRQKADELGQRLVMEMYKSVLASRDLSSMLQASRAQ >OGLUM01G28310.1 pep chromosome:ALNU02000000:1:28407935:28409292:-1 gene:OGLUM01G28310 transcript:OGLUM01G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPVRSALELKPFNFGDQRLASSPRYLPSGDDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAEAAEEAAALRLENAELRVTNSDLALRLALLSGKHTAAVAVADEIRRLRLGEQKVAAATKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATSAAPNRKPRTSSNPTNPPNSQRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >OGLUM01G28310.2 pep chromosome:ALNU02000000:1:28407937:28409029:-1 gene:OGLUM01G28310 transcript:OGLUM01G28310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALVSPVRSALELKPFNFGDQRLASSPRYLPSGDDALYRCSSPFSPSFGFSSPSPLATSVSLSPSSSASLVDDGDDGGAAADATGQRLQLARLALQYQEVADRYELCLSHLAEAAEEAAALRLENAELRVTNSDLALRLALLSGKHTAAVAVADEIRRLRLGEQKVAAATKERTPEKLAVLPKSISVRSTSYLKLNQQSQAATATSAAPNRKPRTSSNPTNPPNSQRAYDGGKKGDEQKAQPADSGAELEVYNQGMFKTELCNKWEETGDCPYGDQCQFAHGVAELRPVIRHPRYKTAVCRMVLAGDVCPYGHRCHFRHSLTPAERLLLRS >OGLUM01G28320.1 pep chromosome:ALNU02000000:1:28420802:28428374:1 gene:OGLUM01G28320 transcript:OGLUM01G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MPSQPVDVLVDDSVGTETETTPALLRARGVSSTAAEERSKEGGSHLIHRSAAAKPPPMCGSPGAPRFPRPHLAVTHVSLSLSFSLSPRVLHMAASTTCPARSMASVSRALRPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >OGLUM01G28320.2 pep chromosome:ALNU02000000:1:28421345:28428374:1 gene:OGLUM01G28320 transcript:OGLUM01G28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MAASTTCPARSMASVSRALRPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >OGLUM01G28320.3 pep chromosome:ALNU02000000:1:28421107:28428374:1 gene:OGLUM01G28320 transcript:OGLUM01G28320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT2G26900) TAIR;Acc:AT2G26900] MAASTTCPARSMASVSRALRPRPHAAIASAAVRTAARLGGGLGIVCSMPSYGRKEKEEWGLTIASAPATTAAPALRSCQLLCKAEANISSNLPESIPSEANQYEKIVELLTTLFPVWVILGTIIGIYKPSMVTWLETDLFTVGLGFLMLSMGLTLTFEDFRRCMRNPWTVGVGFLAQYLIKPMLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTIVGVLAHEYFPKFTERIISITPLIGVLLTTLLCASPIGQVSEVLKAQGGQLIIPVALLHVAAFALGYWLSKVSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRGLPANDKDDFKE >OGLUM01G28330.1 pep chromosome:ALNU02000000:1:28442333:28444630:1 gene:OGLUM01G28330 transcript:OGLUM01G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCD9] MDNKPAQERRETWVPGAVIVGAGPSGLAAAACLAARGVPATVLERSDSLASTWRHRMYDRLALHLPKRFCELPLLPFPEEYPTYPSKDQFVAYMEAYAAAAGVAPRFGATVEEAAFDAVVGAWRVRLDGGEVLMARWLVVATGENAEPRVPDFPGMQKFAGCAMHTSEYKSGEQFAGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMFGLSTFGIAMALLRWLPVQLVDRFLLTAAHLILGNTGQFGLRRPKTGPIELKNLTGRTPVLDVGTLDHIKSGKIKVVGAVKEMTRQGVRFTDGKEEQFDTIILATGYRSNVPSWLKDAGDLFTREGISKVPFPNSWRGRNGLYTVGFTQRGLLGTSSDALNVAKDIHCQWRERDRSAINVLEISNSSF >OGLUM01G28340.1 pep chromosome:ALNU02000000:1:28448095:28451851:-1 gene:OGLUM01G28340 transcript:OGLUM01G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAHTGNLSLAIAPGGGRYLVPEFNPIIGSDAARYSVSRRRGGAADVALYYNRVVVGRWGEVSAFCVDKLSAVDLNVAMSREGVSLPQRLREAMAADMHVGELELAVEMKPARPEDVSRACFHSCAARSGKFGNPCKRFCVFSSRELRDFVH >OGLUM01G28350.1 pep chromosome:ALNU02000000:1:28472167:28473190:1 gene:OGLUM01G28350 transcript:OGLUM01G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRELLGVVLCVVVVEPLVLLPQEGKRELERGERVIVDVPGTNGIEAARAWNTCALPHPMDKRQSRSLGLGDSREFRTKRPAFVTLWICHAEWTPEPSVGGFVRKNVVIHKQVGDESHVDLHL >OGLUM01G28360.1 pep chromosome:ALNU02000000:1:28482258:28490364:1 gene:OGLUM01G28360 transcript:OGLUM01G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSAALEPVSDTPAQTSMTNDSCLLPMSRDAMASSIPEKSSMTPSIPIGNCPRIVTNELPNTSPSASLPMARNTTDAPLRPPNRYCPASPPAPWQHGMAPNQHPTKFMSPTLTDTRAGESSARSGNRSDDSLHTAITEFSTDSGSCGTAPSQNPILKSSQVILTSPNGAGPKRRSCRMDGSRTKQSTKPSANTISAAGTRLQERRRRLVPSLLTSSSSPEPGARIMNSSAASARTRPSRPRRGRGTEVEAEEEREKSCT >OGLUM01G28370.1 pep chromosome:ALNU02000000:1:28482800:28484191:-1 gene:OGLUM01G28370 transcript:OGLUM01G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT1G80310) TAIR;Acc:AT1G80310] MASSAGDPLLSGEAGDGRRRFVPSTIRLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFATGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLAVAAILLFLGVTGLMTTLYRLLPLPVVRGVQLSQGLSFAFTAVKYIRYVQDFSRSSSASTSVPRPLLGLDGLVLALAALLFIILAPGSGDDEDVNRDGTSRRRRSCSRVPAALIVFALGLVLCFVRDPSILQDLRFGPAPLGLVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGIELAMASRDMGSKQESFVMLVCAGVSLTGSSAALGFISGIVLYLLLRLRDLEWDIRGLLGRWAAGRRQSTNEANEDGAGDA >OGLUM01G28380.1 pep chromosome:ALNU02000000:1:28493424:28494905:-1 gene:OGLUM01G28380 transcript:OGLUM01G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFPFQWPMDPAASSGLDAGFLPPPAAVAPDDGVGYYDPPAGADVDAAALPELAAAFPPCAPDAAAAVLAMRREEEEVAGIRLVHLLMSCAGAIEAGDHALASAQLADSHAALAAVSAASGIGRVAVHFTTALSRRLFPSPVAPPTTDAEHAFLYHHFYEACPYLKFAHFTANQAILEAFHGCDHVHVIDFSLMQGLQWPALIQALALRPGGPPFLRITGIGPPSPTGRDELRDVGLRLADLARSVRVRFSFRGVAANSLDEVRPWMLQIAPGEAVAFNSVLQLHRLLGDPADQAPINAVLDCVASVRPKIFTVIEQEADHNKTGFLDRFTEALFYYSAVFDSLDAASASGGAGNAMAEAYLQREICDIVCGEGAARRERHEPLSRWRDRLTRAGLSAVPLGSNALRQARMLVGLFSGEGHSVEEADGCLTLGWHGRPLFSASAWEAAGDGGGDNNNNSNSNVSGSSGSDSNNSGSSNGKSSGARDGSSVCL >OGLUM01G28390.1 pep chromosome:ALNU02000000:1:28509913:28520545:-1 gene:OGLUM01G28390 transcript:OGLUM01G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEVLATACFVRVPVARTPPTPYAVVIDLHALAYSNGQRYSHPEMDKIVGGSTPSNTKSVVHPVVTPNSHPCAENRDASFNTPSNVHMCIGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKKEETQVSESEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQSTLNMNTSDFVVDNSGCENQYESSFFEGSDQNECDHDDDISLDFILRDQQNSP >OGLUM01G28390.2 pep chromosome:ALNU02000000:1:28510818:28520545:-1 gene:OGLUM01G28390 transcript:OGLUM01G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEVLATACFVRVPVARTPPTPYAVVIDLHALAYSNGQRYSHPEMDKIVGGSTPSNTKSVVHPVVTPNSHPCAENRDASFNTPSNVHMCIGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKKEETQVSESEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQSTLNMNTSDFVVDNSGCENQYESSFFEGSDQNECDHDDDISLGEKQPGPG >OGLUM01G28390.3 pep chromosome:ALNU02000000:1:28509913:28520545:-1 gene:OGLUM01G28390 transcript:OGLUM01G28390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEVLATACFVRVPVARTPPTPYAVVIDLHALAYSNGQRYSHPEMDKIVGGSTPSNTKSGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKKEETQVSESEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQSTLNMNTSDFVVDNSGCENQYESSFFEGSDQNECDHDDDISLDFILRDQQNSP >OGLUM01G28390.4 pep chromosome:ALNU02000000:1:28516640:28520545:-1 gene:OGLUM01G28390 transcript:OGLUM01G28390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEVLATACFVRVPVARTPPTPYAVVIDLHALAYSNGQRYSHPEMDKIVGGSTPSNTKSVVHPVVTPNSHPCAENRDASFNTPSNVHMCIGSHEDVSHLSVAELKRKRARDRYAALTPEQKDDRNKKARERRKRKKEETQVSESEASHLNITPRRLPFTIINNVAHYGPNEVPMSRFTQSTLNMNTSDFVVDNSGCENQYESSFFEGSDQNECDHDDDISLGNTNFTSSHVFLI >OGLUM01G28400.1 pep chromosome:ALNU02000000:1:28523802:28526652:1 gene:OGLUM01G28400 transcript:OGLUM01G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHHHLTGDHHPSPASAATTALGPLLLLPSELLHDILIRLALPELLRVRSVARPLSHVISSPDFRRLYHLSSAASGPGPAAAWLLVFKKLRPRDAALRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLSAHAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSSQFRFLFAELVNNTPLLFEYQSETDTWQSSEAVQAEGASTAAGTEGTFLCAAHAGPDCVMVYSGPGVERPVFFRPRFPHNPNGGGDRLHVYGDGSAAVVRSTVIDEPGRPRVKVVAGVDLYGFGSVIGGDWQLASTVPGELVEGFRKPYAVMTGLLSEREGVVRLVLISNCRGAWDIVWLSYDRARGEWWWVPVPDWGTKGLNMAGIAVSSTFSRLWPPAAASSCTITTSQ >OGLUM01G28410.1 pep chromosome:ALNU02000000:1:28524647:28525668:-1 gene:OGLUM01G28410 transcript:OGLUM01G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDEAAAGGQRREKVEETAIPAMLSPLVPQSGTGTHHHSPRARSYDSHTMSQAPRQLEMRTSRTTPSRSDSSPVITAYGFRNPSTSSPGTVEASCQSPPMTDPNPYRSTPATTFTRGLPGSSITVDRTTAALPSPYTWSRSPPPFGLCGNRGRKKTGRSTPGPEYTITQSGPACAAHRNVPSVPAAVDAPSAWTASDDCHVSVSDWYSKRSGVLFTSSANRNLISRILGREKDGYLNWLDPGGSATSLRPKRRQEEVPRGPSGDGGRRRAACAERSTTTSSRRPLAAKRKELPDAARK >OGLUM01G28420.1 pep chromosome:ALNU02000000:1:28527459:28531283:-1 gene:OGLUM01G28420 transcript:OGLUM01G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCF1] MESLALLHAKPGGLPPRAGLRLPLPRARSARVSLPSSPAAPVSLQSPLLLASRSGPTSRDAVVGLGLGCGLLRRRSGARGGGGGGVSCGAQPAAAAAAGAVPAAQPEGKKFLGVEVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSREALFYTVIFPFIAFFGAFAFVLYPLRNVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSLVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKDKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKRDMSAKEKVDPSLLKAPEADVLVEHTNGTIESEATATESSPSNSSPSN >OGLUM01G28430.1 pep chromosome:ALNU02000000:1:28545088:28545666:-1 gene:OGLUM01G28430 transcript:OGLUM01G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSDLRLVGALVPSTTCEEPSEVTTSVEVELRIQCVQATDTSANLVLVVSRRCSSLPTSLSSATTHGEEDGSGNGATNGEKNKGGKATRSGDTSALRCGGLKWHGRAHV >OGLUM01G28440.1 pep chromosome:ALNU02000000:1:28550734:28551163:-1 gene:OGLUM01G28440 transcript:OGLUM01G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFVELGAASGLRAWPSQTTAHGRGMGLDRACLCVAERSTQTHEEKTENTVGMRSHALHATRRTRCHQLLEENCEHMVGPWEAGSIALHTNQTGTSTGQ >OGLUM01G28450.1 pep chromosome:ALNU02000000:1:28561663:28571803:1 gene:OGLUM01G28450 transcript:OGLUM01G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGKGWVERARRGVKTAWFMVAMVASLLMASAPALVAAGDVAVALWLEVRLGCLRCHGLRGHLERYGFRSSLVDIPLVSIARSVVITCVYLMSDASGLSHGPYLGTATCCSLASLLILLIKASVYSPAQEIGPELSPSLADHKLSLKKLSGMPVLFLSSLVFALGHVVVAYRTSCRARRKLLIHGIDPESILAYKNAYPGCYKTPRSPTPYSGKFYSRSDSETKRKSVAHDDRDIPISFLADGDSMFIACQGITVHYKLSDPSSCISSATDTFPEIHHDVISASISPRRQRHDSPPSASTNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDDTPVLSVDDGSADVCLKPMGFDLEAGEQGKFAVVLVHGFGGGVFSWRHVTNLLSRQVGCTVLAFDRPGWGLTSRPRRKDWEEKNLPNPYELGSQVDLLISFCSDMGLRSVVLVGHDDGGLLALKAAEKLRASGDSRKVEVKGVVLIGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWFDATKLTTDVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSSKSTQVMASRLVNSRLVTISNCGHLPHEECPKALLSALSPFISGLVSSDDSLQRL >OGLUM01G28460.1 pep chromosome:ALNU02000000:1:28567637:28570681:-1 gene:OGLUM01G28460 transcript:OGLUM01G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHQAASKASRSSSSHQAITPNLPSAENGQKEKREGTGITKSSKLEILLANHIQISVNYAIMQQIRVKPSSGQTPNKGNYYMGHANAIMMVFHYIRDGCRRVESSVEDYKCKKVEKGYGEKKKVGEGYDPRWSSPIEITMKICNDDVSDEATEKEP >OGLUM01G28470.1 pep chromosome:ALNU02000000:1:28572637:28578479:-1 gene:OGLUM01G28470 transcript:OGLUM01G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWGAARMAACGPWGRNRRVGAGDAFEASEVRRDGRSRMMPACGPWGAGYGGGDPALERELSRDGSHYSISSAILPSLGARSNRRIKLRRFIISPYDRRYRIWETFLIVLVVYSAWVSPFEFGFIPKPTGALATADNVVNAFFAVDIILTFFVAYLDKMSYMLEDDPKKIAWRYSTTWLVLDVASTIPSEFARRILPSKLRSYGFFNMLRLWRLRRVSSLFSRLEKDRHFNYFWVRCAKLICVTLFAVHCAACFYYLLADRYPVPTSTWIGNYMADFHERSLWIRYVTSVYWSITTLTTVGYGDLHAENTREMIFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRNYRDTIQAATSFGVRNQLPPRLQDQMISHISLKYRTDSEGLQQQEILDSLPKAIKSSISQYLFFHLVQNVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVSGSVVIQVAKSGEVVGEIGVLCYRPQLFTVRTRSLCQLLRLNRTAFLSIVQSNVGDGTIIMNNLIQFLKEQKENSVMAGVVKEIESMLARGNLDLPITLCFAVTRGDDFLLHQLLKRGMDPNESDNDGHTALHIAASKGNEQCVRLLLEYGADPNARDSEGKVPLWEALCEKHAAVVQLLVEGGADLSSGDTGLYACIAVEESDTELLNDIIHYGGDVNRARRDGTTALHRAVCDGNVQMAELLLEHGADIDKQDGNGWTPRALAEQQGHDDIQLLFRSRKATASGHHHVPSSTTTRVAPAAAAASLIGRFNSEPMMKNMIHEDADLPSRVLPEKLRRKRVTFQNSLFGVISSSQAQRETDHPLSRGGLAATGSPNPSSGSRNAVIRVTISCPEKGNTAGKLVLLPQTLDMLLELGAKKFDFAPTKVLTVEGAEVDEVELIRDGDHLVLVSDEWDAEKMKCKS >OGLUM01G28480.1 pep chromosome:ALNU02000000:1:28609529:28613600:1 gene:OGLUM01G28480 transcript:OGLUM01G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKMAYANLGTSHHHPKKALSMGAIRQHGRLTCCQFQPTVVQPHLASNAQVGSATTKGWCHSFQLEKHKLRHKASVSSLPMHPHKSCAIAATESSSEEAGDTGSGDPGLPADGSGWLVRTFVAIFLSNGVDNVIWFVFMLLIPDLANVAKEKETTKLVAIKGSSATMDEVGCTVTFTNGKCQLITWQSSSHTYPVLAF >OGLUM01G28490.1 pep chromosome:ALNU02000000:1:28619430:28622229:1 gene:OGLUM01G28490 transcript:OGLUM01G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAAGASSSNPDDASLDLSFSEDGRSGTFMIRNESFPASLLDLPTVMESYKTYDDSVLIKTADIGQMIMVREEDDPAPEGIEYTHGLTPPMRDARRRRFRREPDLNAELVHRVEKHLMNIMHGVSVSILLVLMVLSNYSICYLNYS >OGLUM01G28500.1 pep chromosome:ALNU02000000:1:28625744:28627288:1 gene:OGLUM01G28500 transcript:OGLUM01G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVEAGSVVDPPQARSCCCCACLRGNTGKFLLRTATVVILFLAVRFGFARHSVGAKLAVESVAYVATACLYFCSFSSWKEKTVSGTFLAVVTVVVVSVEATTKSDHGVAILIGTIVALVVYCIWKPYQALLSCIERRLVRAAAATATAAEPLPPEKKEDAGRPLVQAERLRAAFRIEGLLREYSYGEIQAMTEDFGCVVGRGGSATVFRGVLDDGTAVAVKRIVCDESVGEEDFLSEITIVASVHHYALVGLLGYCLQPGGGRYLLYPFYENRSLDYWLFSGEERRRHLPWATRRHVAVDVAKGLAYLHHECKNQILHLDIKPANILLDGEFRAHVSDFGISMSIGRELTSVDTRGRGTLGYMAPEMLVNALSAKSDVYSYGMMLFELVGGRRNFELAGSGGASQARAPPDFTKEFLPCVMRDRMEEGRLMEVVDATMARGDGGGAAGVDEEEVEVVVKVAFWCTQHSRDMRPGMTDVVDMLEGRAPIPPPPVRPEFLGDTFLVSCARTAMSR >OGLUM01G28510.1 pep chromosome:ALNU02000000:1:28628665:28633026:-1 gene:OGLUM01G28510 transcript:OGLUM01G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKRRRSPLPPPAPPGFPTERKHHLPELQPPAVAAASPGVGGVPADLGDALLRCGKLLDKLLEHEDGWVFAEPVDARALRLVDYYLRISEPMDLGTVRRRLERRRYADPWAFAADVRLTFNNAMSYNSAGDPVYESAAELSEIFEAGWPSVLAAPPRPPDAERKRRLSGLLPRLPVGEQVMVAEIMKKRDGCLREVNGMMEVDLDRADSATLDELDRMVAEHGAALVGVVKVKQK >OGLUM01G28520.1 pep chromosome:ALNU02000000:1:28639841:28650775:1 gene:OGLUM01G28520 transcript:OGLUM01G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTAASPPPDAAAFSPEGELFAAVSDRRVQVWRTGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKNAPHTGKVVSLHFARHGRVLYTAGMDGLICELNSRTGESKDTIKATKKPINSFTLSHDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISAKNSLDKKGRIPIISAKLCDTNEDNTVKVHVVFGSPNVLQFKVVELDDTCKDINLVAEYDELAKQDTVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEITEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTLADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCWHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYHFSGVSFFPPNGAVFLITAVTQNFSRFLTVKLMRRTLFHR >OGLUM01G28520.2 pep chromosome:ALNU02000000:1:28642117:28646455:1 gene:OGLUM01G28520 transcript:OGLUM01G28520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHMRLPDEKFMGVSSKITRLFSVSEKKEILRIPSDVGPVQLMSVSDDGRFLVSHVDNNKEVQVWSCDQDSCTIVSTASLTMQNQPKIVECTRSTSYGDGGIVLAVSKKGVAHVWHLQTLSQNEVLPTKISAKNSLDKKGRIPIISAKLCDTNEDNTVKVHVVFGSPNVLQFKVVELDDTCKDINLVAEYDELAKQDTVSPQERNLEQEAKANSKDAEPVQGKAKKRTSSVLDSTNDTTKEVNPEYNLDEPTMEEKLASLNLLNKSEITEEQPPSLAPPSADSVHVLLKQALRADDHTELLKCLYNRDEKVIVKSVSLLTLADVVKLLKFFVLLIQSRGAKLVCMLPWLQALLCWHMSSIVSQESSLLLLNSLYQLIDARTSTFKSALQLSTTLDYHFSGVSDGETDEEDAVPPIIYEDKDTDDEESEVDAMETDEESQELGDVTDASEHSDGSDIMTD >OGLUM01G28520.3 pep chromosome:ALNU02000000:1:28639840:28640978:1 gene:OGLUM01G28520 transcript:OGLUM01G28520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKTAASPPPDAAAFSPEGELFAAVSDRRVQVWRTGGGEIIEGWTDPISAPDDSYSCIACCSVQKKHKKDGNLILVAVGTTNGQVLVLDSTGVIWKNAPHTG >OGLUM01G28530.1 pep chromosome:ALNU02000000:1:28653731:28680560:1 gene:OGLUM01G28530 transcript:OGLUM01G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIEDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKVLYDLTAELFYTEWLLPKMVSSTSGGGAGLLSPPAVVLAVAVVLSAAAAAAQALAAPCYLRVFSFGDSLADTGNLWPPYGETFFHRATGRCSDGRLIIDFIGLSPPAVVLAVAVVLSAAASRALAAPCYPRVFCFGDSLTDTGNIAFLYGNDSRRPSLWPPYGETFLHRATGRSSNGRLIIDFIAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQIPELGSSVEYKRERMASSTSGRRGAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLAGIYVRLLNSFSFHAPCHL >OGLUM01G28530.2 pep chromosome:ALNU02000000:1:28653731:28680560:1 gene:OGLUM01G28530 transcript:OGLUM01G28530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTSGRKGGGLLLSPPPAAVVVAVAVLLSAAEAATEEPCYPRLFSFGDSLTDTGNFAFIYGNDSREPALRPPYGETFFHRATGRFSDGRLVVDFIADALGLPFVRPYLSGRTAGDFACGANFAVGGATALSPAFFRARGVPMADIVHLDMEMKWFRDLLKLLCPGDLAGCTGMMNQSLFLVGEIGGNDYNLPLLSGVSITKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCVPNYLMIFKSGKKEDYEPETGCLRWMNEFSQYHNKLLIDELEKLRKLHPDVAIIYADYYGAAMEVFLSPEQFGIEDPLTACCGGGGPYGVSGTARCGYGEYKVCDDPQKFGSWDGFHPSEAAYKAIAIGLLRGSYTQPSFATTTNSCPQITELSSSVEYKVLYDLTAELFYTEWLLPKMVSSTSGGGAGLLSPPAVVLAVAVVLSAAAAAAQALAAPCYLRVFSFGDSLADTGNLWPPYGETFFHRATGRCSDGRLIIDFIAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQIPELGSSVEYKRERMASSTSGRRGAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLAGIYVRLLNSFSFHAPCHL >OGLUM01G28530.3 pep chromosome:ALNU02000000:1:28669423:28687865:1 gene:OGLUM01G28530 transcript:OGLUM01G28530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNSRARGVHGYASSRRAAAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQIPELGSSVEYKRERMASSTSGRRGAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLADCNDMMNQSLFLVGEIGGNDYNHPLIGGVSIRKICSFTPSVIAKIYSTITELIGLGAKTLVVPGNLPIGCIPYYLMIFKSGKKEDYEPETGCLRWMNGFSQYHNKLLMDELENLRKLHPDGMMNQSLFLVGEIGGNDYNYPLMSGVPIEKIRSFTPSVIAKISSTITELIGLGAKTLVVPGNLPIGCTPTYLMQFESDKKEDY >OGLUM01G28530.4 pep chromosome:ALNU02000000:1:28669423:28729541:1 gene:OGLUM01G28530 transcript:OGLUM01G28530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNSRARGVHGYASSRRAAAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQIPELGSSVEYKRERMASSTSGRRGAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLADCNDMMNQSLFLVGEIGGNDYNHPLIGGVSIRKICSFTPSVIAKIYSTITELIGLGAKTLVVPGNLPIGCIPYYLMIFKSGKKEDYEPETGCLRWMNGFSQYHNKLLMDELENLRKLHPDNPLVACCGGGGPYGVSETARCGNGEYKVCDDPQLYGSWDGFHPSEAVYKAIATGLIWDSYTQPPIATTTISCLQITELSSSVEYKVLYDL >OGLUM01G28530.5 pep chromosome:ALNU02000000:1:28669423:28680560:1 gene:OGLUM01G28530 transcript:OGLUM01G28530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNSRARGVHGYASSRRAAAEAMGLPFVRPYWGGQTAGNFASGANFAVGGATALSPEFFRERGVPMDDDTVHLDMEMEWFRDLLGMLCTGGDMDGCKGMMNQSLFLVGEIGGNDYNLPLMSGMSIEKIRNFTPSVIAKISSIITELIGLGAKTLVVPGNIPIGCIPMYLMQFESDKKEDYEPKIGCLRWMNEFSQYHNKLLVDELENLRKLHPDVTIIYADYYGAAMEVFLSPERFGIEDPLVACCGGRGPYGVSASVRCGYGEYKVCDDPAKYASWDGFHPSEAAYKGIAIGLLQGSYTQPPIVSITNSCPQIIGLGIENPLAACCGGGGPYGVSETARCGHGEYKVCDDPQLYGSWDGYHPSEAVFKAIAIGLLRGSYTQAPLACPQIPELGSSVEYKRERMASSTSGRRGAAAVVLAAVAVLLSASQALAAPCYPRVFSFGDSLTDTGNIAFLYGNDSRRPTLWPPYGETFFHRATGRASNGRLIIDFIADALGLPFVRPYWSGRTAGDFAHGANFAVGGATALSPDFYRERGVHVRDTVHLDMEMNWFRDLLGLLCPDDLAGIYVRLLNSFSFHAPCHL >OGLUM01G28540.1 pep chromosome:ALNU02000000:1:28734447:28744507:1 gene:OGLUM01G28540 transcript:OGLUM01G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIRRHGGRRAVSPSSSAAAASFILLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNGPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPTIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDSQLASFKKVLGRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVTLIYADYYGAALEFFKNPKNYGISDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGVLSKRYADAPLEAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFVPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISSTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDEFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >OGLUM01G28540.2 pep chromosome:ALNU02000000:1:28734447:28744507:1 gene:OGLUM01G28540 transcript:OGLUM01G28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIRRHGGRRAVSPSSSAAAASFILLLCAVVLLNTHVALCGCYKRIFSFGDSIIDTGNFVYLTGNGPSQFKELPYGMTYFNRPSGRICDGRVLVDFYAQALNLSLLPPTIPEEGSGQFENGANFAVLASTALGPDYFKTKYNFSLPVPYCLDSQLASFKKVLGRIAPGVDATKSLLGESLIVMGEIGGNDYNFWFTARQPRETARQYLPDVIGRIGAAVQEVINLGAKTVLVPGNFPFGCAPEYLQGFQSSNTSDYDATGCIAWFNDFSRQHNQALVQEVARLRSQNPGVTLIYADYYGAALEFFKNPKNYGISDPLLECCGGDGPYHTGMTCNKTAKVWGSPANFASWDGVHMTEKAYSIIADGAEQQQPLSDMGSFSHQKHSISVYLVLVSAVLLLNSTLGLCGCYKRIFSFGDSIIDSGNFVHIAGDHPCPFKEPPFGMTYFKHPSGRISDGRVVIDFYAQALQLPFVPPSLPEKDRGQFPHGANFAVLASTALPPEYFRRRNHTVPMPFSLATQLEWFKQTLQRIAPGDAARRALLGESLILMGEIGGNDYNFWFLDHKPREVAYQFIPDVVASISSTVQELIGLGARTIMIPGNFPTGCVPAYLSAYRSGNPADYDEFRCLRWFNAFSAAHNQALLNEVSRLKAQHPGVRLIYADYFGAALQLFRINDPLLACCGGHGPYHTGATCDRTATVWGDPGSFANWDGVHMTEKAYHVIADGVLNGPFADPPLLHSC >OGLUM01G28550.1 pep chromosome:ALNU02000000:1:28745292:28754974:1 gene:OGLUM01G28550 transcript:OGLUM01G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MPPPWPPAAPPPACARSLADLLVALSAARALPKGQQLHGHLLKAGHLPATASSHAPIAHHLLTFYARCALPGDSLCAFLDLPAPPSPAAWSSLISSFSQNGLPAAAFDAFRRMLAAGVPATDRNIPSAAKAVAAAEDSSRPPLAPHALHGLSAKTPFAGDVFVGSSVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHSAAMEIFRLALEEAVPVNDFTVSCILRVCAAATLFELGAQVHARSIKTALNASPFVGSSLVSLYSKCGLVECAYQVFGEAPERNLGIWNAGLNASAQHGHTTAAFQRFMDMQNAGFRPNSITFLSLITACSHAGLVDEGKRYFSLMKEYRIEPQAEHYAAMVDLLGRVGRISEALGLIESMPMEPPEYVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHMDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHPRSNEIYNVLEKVGEKMEAAGYVADTSAVVKDVDKDEKQATMRYHSERLAIGFGLLIVPEGVPIRVMKNLRVCDDCHNAVKYLSKCTGRIVILRDNRRFHRFEDGVCSCGDFW >OGLUM01G28550.2 pep chromosome:ALNU02000000:1:28748752:28753200:1 gene:OGLUM01G28550 transcript:OGLUM01G28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >OGLUM01G28550.3 pep chromosome:ALNU02000000:1:28748752:28751077:1 gene:OGLUM01G28550 transcript:OGLUM01G28550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial RNAediting factor 1 [Source:Projected from Arabidopsis thaliana (AT5G52630) TAIR;Acc:AT5G52630] MSSLRGLGNIARRWRELNGVSYWKGLLDPLDVDLRNNIINYGELSQAAYTGLNRERRSRYAGSCLFSRKDFLSRVDVSNPNLYVITKFIYAMCTVSLPDAFMIKSWSKAAWSKQSNWMGFVAVATDEEIVRPGSADDPCVHGGWLSVYTSADPESQYNKQSARYQVLNEIKRLQDMYEHEETSITITGHSLGAALATINATDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAPDLRLLRIRNSPDVVPNWPKLGYSDAGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSNGGFKLEIDRDIALVNKHEDALKNEYAIPSSWWVVQNKGMVKGTDGRWHLADHEDDD >OGLUM01G28560.1 pep chromosome:ALNU02000000:1:28757958:28763624:1 gene:OGLUM01G28560 transcript:OGLUM01G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRGGFGFSPAVAALYCAALVVAGGLGSRPVLGCYSRIFSFGDSLTDTGNYVRLTAGRKPSSPYGAPPYGRTFFGRPTGRASDGRLVIDFIGLAATMVHQNSKTVGAGPADFPHGANFAIISSTANNASFFARKGLDITPFSLDTQMFWFRTHLQQLTQQLNGGGGGGGNILSDALVALGEIGGNDYNFAFNKGVPRETVRAFVPAVVDKLAAAVEELIGMGARAFVVPGNLPFGCAPLYLNRFRGAAASEYDARTGCLAWFNKFAEYHNRVLTARLDDLRRLHPDVTIVYADWYGAMTSIFLAPGKLGFTNALGSCCGNQSVPCGKAGCTVCEDPSTYVSWDGTHPTEAVYKLIADGVLHGPHASPVPLAKTCPPT >OGLUM01G28570.1 pep chromosome:ALNU02000000:1:28763829:28764875:1 gene:OGLUM01G28570 transcript:OGLUM01G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRAMAWTVLLVPACAWYARSACRRLRPGLPRLAALAPTFPVFVYLPSLFNSLHFRLFSTFFHTWLAINKLVLLALGIGPLHPSLPLVPFVLCASLPIKLRLSQQPPAAKRSPSSPPPPPLADFLRPCARSFFFLSCLFVAYPHTGWLPVYAVHFLYCVQVFLTLDLVLSSVALASATVLGAGLERQFSTPLAVASLNDFWGRQWNLMAVDLLRASAYEPVRARWGRDAGVLAAFLMSGLLHELLYLYLTLRRPRGEMVLFFMLHGVSQIAERWARAAGLWRPPKVAAYLLVSAFMVVTISELFFGPFMRAGADVRLMEEAAAMLHLIMGVSRRLLRPFGVVSSL >OGLUM01G28580.1 pep chromosome:ALNU02000000:1:28768306:28769119:-1 gene:OGLUM01G28580 transcript:OGLUM01G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGKDEGKVRGKGPMAEEGWAKGATGGADVSAGADTRGEVAGDSAARVIGQRKCGRAVEAGRQTVGARKQLSAGQGSSRCRRLGNGARKWWSEPMPGRRGWRSGGGEEAVVGTNSGAMKLWAALAVGSGLALSSTSRSLVCFYLSSLHLHYSTLHATDLARGGSSVRTQDALLSMSLPPFSPFSQLGSAGCRGSRASRFRALVSPGEFFLHFF >OGLUM01G28590.1 pep chromosome:ALNU02000000:1:28773134:28779492:1 gene:OGLUM01G28590 transcript:OGLUM01G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFLLVSVLLATTLTDVASAQRWRQTSGGGKDRWDGLLDPLDADLRRDIIRYGELAQATSDALIGDPASPFAGASRYAPDAFLRKVRASDPDAYRVTRFVYATSSVRLPDAFMPRPAPSAGAAWSGESNWMGYVAVAADGVAAKAGRRDIVVAWRGTKRAVEWANDLDITLVPADGVVGPGPGWTQPSVHRGFLSVYTSKSFSSPFNKLSAREQVLAEITRLLRAYKNENCSITITGHSLGAALSTLNAIDIVANGYNVRGSSRVPVPVTAIALASPRVGDDQFKRAFDSTPNLSLLRVRNAPDIVPTILPSAFFKDVGAELLVDTRRSPYLKNPAGPAQWHNLECYLHAVAGTQGAGDGAGFSLVVDRDLALVNKEVDALRDEYQVPAAWWVEKNKGMVQNASGRWVLQDHEEGNLAM >OGLUM01G28600.1 pep chromosome:ALNU02000000:1:28815585:28823948:1 gene:OGLUM01G28600 transcript:OGLUM01G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPKVSKSPAHVGRTPETPGRGSSSGSPAPGGSASKAVSFARSLGVHFPRSSAQVQPARAPPEVADLLRAIEQLQERESRLRVELLEQKILKETVAIVPFLEAELAAKSSELEKCKDTAARLESENMRLCAELDAAVLEVTSRKQRIVHMEKEMAELKKQQEAAAADADDCSSTASVSHEQPESASSAANPASLVQRGPPIPPPPPPVPPAAFKSKSYSASSRVSLPSTSAPSPSSSTSTSPTYSCSSSDTVTTPRNRKPELSKLPPIPPPPPMPALSVCGRAAAPPPPPPPPPARRTSGAASPAASGPRVTRVPEVVEFYHSLMRRDSRSRDGSGGGETANGGGVAATRDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDNELSRLVDERAVLKHFEWPENKADALREAAFGYCDLKKLEVEASSFRDDARQPCSTALKKMQALFEKLEHGVYNLARFRDGATGRYSRFQIPCEWMQPDTGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPDEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQEQNRHLRRQQKLVARA >OGLUM01G28610.1 pep chromosome:ALNU02000000:1:28820876:28825149:-1 gene:OGLUM01G28610 transcript:OGLUM01G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRQRLPFRRLVLLLVASTALACSVLAGGAVASVVETLGVRRHFGSPKRNTTGQHGAGGRRGGGSARSGLASCNMFQGSWVYDDSLPMYDTAGCPFVEAEFDCQKYGRPDKLYLKYRWRPSSCELPRFNGLDFLSKWRGKKILFVGDSISLNQWESLACMLHAAAPSSRTTYSRGTPFSTVTFQDYGVSVAYYRSTYLVDIVDEYIGRVLKLDSISGDAWLGADMLIFNTWHWWTHTGRDQPWDFVQDGGQVMKDMDRLSAFSKGMSTWARWVDSNVDTSKTRVYFQGISPTHYNGADWGEGSRSCAQQTQPVAGSAYPAGPVPAQSAVRSAIAGMSKPVFLLDITLLSQLRRDGHPSGYSGGHPGNDCSHWCLAGVPDAWNQILYASLLA >OGLUM01G28620.1 pep chromosome:ALNU02000000:1:28828571:28829321:1 gene:OGLUM01G28620 transcript:OGLUM01G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHHGWSAGSAIINTVHEDTRYTGKSTAYDNDFPKVSTVCDRLMLQTYFGLSKSSSGGPKKEQTFSFVDQGTDTPRVGGSIYGVRPSQNFGSLFRGYKEGQGRILTRKMDNSNAPFRAGRRSAGAACLHVLHDSKGYELSTTPNILPHKKIHLHSDDIF >OGLUM01G28630.1 pep chromosome:ALNU02000000:1:28830993:28834279:-1 gene:OGLUM01G28630 transcript:OGLUM01G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAPRAVAERWRELHGEDHWKGLLDPLDADLRRSVIGYGELAQATNDAFIREAWSPHAGACRYSRDRFLEKAQASTQLAGLYEVTAFFYATAGAGGVPAPFMVRNRESNWMGYVAVATDAGVAALGRRDVVVAWRGTVRPMEWLNDLDFTLVSAAGVLGAGGRSPAPRVHRGWLSIYTASDPASKYSKLSAREQISDEIKRLMDKYKDEETSITVVGHSLGAAVATLNAADIVSNGLNQHGACPVTAVAFACPRVGDSGFRKLFDELPGLRLLRVCNSPDVVPKYPPMGYADVGVELPVDTRRSPYLKSPGNQAVWHSLECYMHGVAGAQGKRGGFKLEVDRDVALVNKNVDALKEEYHVPPSWSVQRDKGMVRGADGHWKLMDYEGEESSHDK >OGLUM01G28640.1 pep chromosome:ALNU02000000:1:28839495:28844200:1 gene:OGLUM01G28640 transcript:OGLUM01G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCI2] MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAGGRRRRGAVAAKVSSPQVIGATMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >OGLUM01G28640.2 pep chromosome:ALNU02000000:1:28839495:28843926:1 gene:OGLUM01G28640 transcript:OGLUM01G28640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCI2] MAAATSTSSTALAHPKTLNPASKSAAAGSVSFPAAQPPCPLAASAGGRRRRGAVAAKVSSPQVIGATMPSLDFETSVFKKEKVSLAGHDEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETISSSDLLLLLISDAAQADNYEEIFSHMKPNSILGLSHGFLLGHLQSVGLDFPKNISVVAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVEALFRRYTEHGMDEDSAYKNTVECITGIISKTISKKGMLEVYNSLTEEGKKQFIEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGERVRSTRPAGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKNAPVNQDLISNFFSDPVHSAIEVCAQLRPTVDISVPADADFVRPELRQSS >OGLUM01G28650.1 pep chromosome:ALNU02000000:1:28844644:28845514:1 gene:OGLUM01G28650 transcript:OGLUM01G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGWSGGTGELAEGGRRVRRQAAATTGLRRGGGVEATRHGRGLAAAAGRSRVSDYISQIIIKAMYHSQY >OGLUM01G28660.1 pep chromosome:ALNU02000000:1:28845751:28846161:1 gene:OGLUM01G28660 transcript:OGLUM01G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEREGAVVKKGHDEGMKMATALLEEFGLPLGLLPLAEVIEVGFVRATGYMWIAQRKKVEHQFKMVSKQVSYDVEITGYVKAKCIKKLKGVKAKELMLWPPVNEITVDNPPTGKIHFKSLAGVTKTFPVEAFAAGQ >OGLUM01G28670.1 pep chromosome:ALNU02000000:1:28849342:28850724:-1 gene:OGLUM01G28670 transcript:OGLUM01G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHFVLRFLFGPVPVYFSALAILILLTNAQYFGLVGVTVPRATKLASSAPVVSVMKYCDIFRGEWVPDSDAPYYNHKTCYMIQEHQNCLKYGRPDLEFLKWRWRPSGCELPRFDPVQFLQFNRHKSLAFVGDSLARNHMQSLLCLLSQVAYPKDMSANPTTQNKVYHYRGYNFTVSMFWSPFLVKAREPDHDGPAHTGHWSLYLDEPDQSWVSEISRFDYVLVSAANWFSRPSLFYEKRRLVGCSFCSRQYGVPDLTLYYSQRRAWRVALKAINDLESLRGRVIVRMLSPMSHFENGTWDQGGNCKRTQPLRSNETVMEGRDLHFYTAQMEEYRAAEKVAEAKGRRMMLMDATAAMLMRPDGHPSRYGHLPNQKVQLYNDCIHWCLPGPIDIWNDMLFQMLLV >OGLUM01G28680.1 pep chromosome:ALNU02000000:1:28857229:28857892:-1 gene:OGLUM01G28680 transcript:OGLUM01G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPMRIEDGSSQFLPGHAAFSVSMPMFPTGMNDQWHGASYNIALYIMNVHRRPNDGICQQHGPTENHVTTTNQP >OGLUM01G28690.1 pep chromosome:ALNU02000000:1:28863878:28865159:-1 gene:OGLUM01G28690 transcript:OGLUM01G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFKVLFGPAAVFLSALVVLSCFKSVPYLSRMSSSELPSYMSYFESPISKCDIFQGEWVPDESSPQYTNLTCSYIQEHQNCMMYGRPDLEFLKWRWKPAGCDLPRFDPDKFLRLVGNKTLAFVGDSLARNHMQSLLCLLSKVATPKDVSVTGKTDPDKILYYEGYNFTIHIFWSPFLVRTEESAESPGVFKLYLDEPDCKWFARVARFDYVIFSGANWFTRPSLFYENGRLVGGSYVALNITSDLTLRHSHRMAFRTALRAINDIRFRGKAIVRTLSPMSHFEGGAWDKGGDCRRTRPYRGNETAMGGMDLEFYTSQVEEFREAQREAAANGVDMVLMDPTAAMLLRPDGHPSRYGHWPDEKRVLYNDCIHWCLPGPVDAWNDMLLHMLSD >OGLUM01G28700.1 pep chromosome:ALNU02000000:1:28874256:28874914:-1 gene:OGLUM01G28700 transcript:OGLUM01G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLVVVDWIRFGAVCKAWKRAADTASRASAFAPRPSHPGSSDDGDTPQHLAVAGVFSLTDGRRRSITLPAPPIQTRVWIGSANGWVVTADGECELHLLNPISGVQRPLPSITTTGYFDALPRTDGGKATFLWNVASFRDTHRHEGYSLLPGTRSFVEMSAEEIQSSRLLKVVPLFDPSSGKYSIMMMHNPQNKLVFAREGDPKWVPLEHNTDTKM >OGLUM01G28710.1 pep chromosome:ALNU02000000:1:28877229:28878683:1 gene:OGLUM01G28710 transcript:OGLUM01G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPSLVCLLVLLPFLSLLLLHRSAFPASCSPLLAHLTASSSSRSSASGFAGGDLREIEFSWNHLPFRQSRPPPARLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPPRSADGPQLHFLDGDPGVWRCDEAWKLYEAEAENDPFDVIHSESVAVFHRWARGVPNLVVSWHGISLEALHSGIYQDLARGDDERMSPAFNHSLAQSVYRVLSEVRFFRSYAHHVAISDATGEMLRDVYQIPSRRVHVILNGVDEAQFEPDAALGRAFREDLGLPKGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLVAGKGPWEQRYMDLGRNAKVLGAVPPEKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVLATRFPSIKGSIAVDDEFGYMFAPNVESLLEKLEAVVEEGARRAAQRGRACRDYAKTMFAATKMALAYERLFLCVKNDTFCAYPAEFD >OGLUM01G28720.1 pep chromosome:ALNU02000000:1:28879048:28880365:-1 gene:OGLUM01G28720 transcript:OGLUM01G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGADETTRHGPPPPPPAVVKIIETVHIEADSAEFKSIVQRLTGKDAVAGGRRPDRSSTGKADADEDQAQGFARKNP >OGLUM01G28730.1 pep chromosome:ALNU02000000:1:28883255:28883972:-1 gene:OGLUM01G28730 transcript:OGLUM01G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSRFTAWWHTPYKLQPHLVVTRTNIGIILRKFDPRALTAVAPLPTPAESKEHSAVYIVERELKLLDFQLSNTANPSLGNSGVTSEIGRSRKYSVEQLVVKQTKNHISTPASHDSYSILSVSSSGKYREALFLEHLS >OGLUM01G28740.1 pep chromosome:ALNU02000000:1:28924709:28924888:-1 gene:OGLUM01G28740 transcript:OGLUM01G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGDGAEVEALFHVAQDKVLLKLQANSQWSMPVAAAGPSLDPTAAVDPLDRDLVRRI >OGLUM01G28750.1 pep chromosome:ALNU02000000:1:28930428:28942331:-1 gene:OGLUM01G28750 transcript:OGLUM01G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGVKLEKLAEGDTDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQSSAFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAISCLMTFMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISLKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLTQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAVAPLPTPAESKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVTSETGRSRNDPLEQLVVKQTKKHISTPAPHDSYSILSVSSSGKYVAVIWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALPPRMPLIVKGGSSKKAKEAAAVAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPVTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSRAAAEHGDLALITVEGPKTTTSEKIALRPPMLQVVRLASFQYAPSIPPFIVPKQSKLDGEDSVFQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETTATDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQADIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLITAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRNLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSGAKPGLPNAAQAPTAAIGAPMAQGTPMVQGTPMVQGTPMAEGTTGAQAAPTAQGAPAQTQNPEEAKPSESTAAPDNAEKTATPDNAEPTAAPGNVEATTEPAAAPGNVEGTAAVATDATSNPDATSGAPAPAADSNGADPPAVTPGQVTNGAPSTETPETADKPSSTEPSPAPPMPNVPAV >OGLUM01G28760.1 pep chromosome:ALNU02000000:1:29002885:29010329:1 gene:OGLUM01G28760 transcript:OGLUM01G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCJ5] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVINKERRGDYLGKTIQVVPHITDEIQDWIERVAMNPVDGKEGPPDVCVIELGGTIGDKIFSSHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDILACRSTEPLEENVKAKLSQFCHVPVSSIINLHDVTNIWHIPLLLRDQRAHEAILKVLDLQFVGKVPREPKLVEWTERASKFDKLKATVKIAMVGKYTGLSDSYLSVLKALLHASVAMGRKLVVEWVPSCHLEDSAVKETPEAHKKAWKLLKGAEGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIDFARSIMKLPGANSTEFDPDTMSPCVIFMPEGSKTHMGATMRLGSRRTYFHATACKSAKLEDFTVFQVNPEMVPEFEKAGLSFVGKDESGRRMEIIELPSHKFFIGVQFHPEFKSRPGKPSPLFLGLIAAASGQLETLLQPSSNIVNPNPMPRFPIPKKTIYHAKKPLDSLVNGYFANGNVIHT >OGLUM01G28770.1 pep chromosome:ALNU02000000:1:29011546:29015693:-1 gene:OGLUM01G28770 transcript:OGLUM01G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT4G20310) TAIR;Acc:AT4G20310] MIGGVGRSWRRGRAPPVLPSSAAARRAEHSVSCWYCDCKIYSFNDIIFNLGWRYARYMRAWFSAGVYFSVVALVGISVMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGVEDGRSNFTCLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >OGLUM01G28770.2 pep chromosome:ALNU02000000:1:29011546:29015693:-1 gene:OGLUM01G28770 transcript:OGLUM01G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT4G20310) TAIR;Acc:AT4G20310] MIGGVGRSWRRGRAPPVLPSSAAARRAEHSMLLWDSIGAVYFSGRSFSTWLQNLLASSFGISIMDIAAIIASTVFSIAFHEFGHAVAAASEGIQIEYVAVFVAALFPGALIALNCDQLQNLPLFSMLRIYCAGIWHNVMLCGVCVIMALLLPVVLYPLFVTGGLMITGVPEASPLSGYLSAHNFILSVDGLNITRADEWMKMLTQDNVVQISSRDLLEGSEGYRATGSRKGYCVPNSWMDASKNLWQINDKLSCPDDLVAFQRMSEKGIGKKEVEDKYCLIAKDVVKLKKCGNGWRGVEDGRSNFTCLEDEYCLVPVLGPGISWIEISYARPYSLECLQKERNSSLLHDGNNNPGLGPCQGTFVYAGDLLSAAHSIKLSSYRPRWPLLLFIADVPRILQDGLSCLFRVSAALAVVNCLPVYFLDGEAILETMLSYFSWFTRRQQRNILKVCRFLWTILSIVLFSRTLYSMTLYYGFV >OGLUM01G28780.1 pep chromosome:ALNU02000000:1:29015834:29020916:1 gene:OGLUM01G28780 transcript:OGLUM01G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex, 34 kD subunit p34-Arc [Source:Projected from Arabidopsis thaliana (AT1G30825) TAIR;Acc:AT1G30825] MILLQSPSRFLLQILQDRVLSGDKGVDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAMLYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAVLTQIASVREVVLGAPLKLLLKHLASKTVAPNVDKLVALVHRPNESFFVAPQADKVTVVYPMRFQDSIDIVLATSFLQEFVEARRTSALNNAPSCLWSPVPPLELKGVPSDALNANAVVFPRHVEGKKLDKTVWSLLTFHAYVSYHVKCSEGFMHTRMRRRVETLIQALDRAKSDAEKLKKLVNGGSFKRLSLKNDGN >OGLUM01G28790.1 pep chromosome:ALNU02000000:1:29020603:29020854:-1 gene:OGLUM01G28790 transcript:OGLUM01G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPMGETTPTTYESVGGGENRTRTDLRSREDQGAIQIDKVQDKVDEPAARKVDDRAFDAKQVGHGAGADAGATGTGA >OGLUM01G28800.1 pep chromosome:ALNU02000000:1:29021864:29025638:-1 gene:OGLUM01G28800 transcript:OGLUM01G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCK0] MTGWDPYLPPVCSLNALAQLLASFSLPVPIFLSHILLRRRLLPTGSRSPRQAAPHPAAAAAAMAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFLDLDIKYYDLGVLHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKDEQIDLEVFNFTGAGGVALSMYNTDESIRAFAEASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLIHGSSNVTRSHYLNTEEFIDAVAAELRSRLAAN >OGLUM01G28810.1 pep chromosome:ALNU02000000:1:29028685:29029564:-1 gene:OGLUM01G28810 transcript:OGLUM01G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDKVDPPRRRFRDKMREIRLNACDIATIFYTGILTGVIFVIVLRAYVTSRTTPNLYLRIVGVEGLDPGASTPPGHGAPPPAFHLDVDVSGVREGYTACVGGMHGWRSSLLRVSYHGMVLAWGIVPSFCIDGQRLRPGGGAASNVAAVYAVAAESAVLREEELHGMVRTEQHVTGKVDFDVEGHVAGLGYLKCKTPFFEGEHKSVVYSCGVYQLLEKCT >OGLUM01G28820.1 pep chromosome:ALNU02000000:1:29032421:29033056:1 gene:OGLUM01G28820 transcript:OGLUM01G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGSDATINNAEMSSDKESVTVASGSSTTNCCCFFLSRRTSEPPPSRPANSPCYTTIILLSFFSLSSHLSCVAVRGGLGVPLTATHKPQQEGAQGEGDAKGLRQRGPPHILQLSLVNTRGSPPGSTSAWLDPTTPSPSPPGVGQHKREEGSSGGKGCLVWHRFAPPFMMTTPKSAGDLHDGAPPGDIRVWRDINLAAIDTPPPRWPVAP >OGLUM01G28830.1 pep chromosome:ALNU02000000:1:29037361:29041688:1 gene:OGLUM01G28830 transcript:OGLUM01G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPSCSQGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHSFFWFANVTSAVLSWGSAYVYISWALRPVAGHQAQEGDAEQ >OGLUM01G28830.2 pep chromosome:ALNU02000000:1:29037361:29041688:1 gene:OGLUM01G28830 transcript:OGLUM01G28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPSCSQGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHICQRYICGLVLGERLRLHILGAKACGRAPGARGGCRAVDSKVSSFAT >OGLUM01G28830.3 pep chromosome:ALNU02000000:1:29037457:29041688:1 gene:OGLUM01G28830 transcript:OGLUM01G28830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPSCSQGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHSFFWFANVTSAVLSWGSAYVYISWALRPVAGHQAQEGDAEQ >OGLUM01G28830.4 pep chromosome:ALNU02000000:1:29038635:29041688:1 gene:OGLUM01G28830 transcript:OGLUM01G28830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPSCSQGAFLSILLYASPFVVYWSSGSNYDVIRSFYTKFDLLSIALSIISWLMFSLCQRHGVDGKTVGWAPIIVYSIGLVLYCVLLVMRFCPDGTICHTTIALLLGITLLLLLFDMFEPIKREQKTAKVLSGLAALMQLTSEALPYAGMRALCCADPAPAPVIVDLPQASSQAPVVVDPPQAPAQAPVIKNRRKLTIFVRAVDAGVTLFWAVYCAYNYDHICQRYICGLVLGERLRLHILGAKACGRAPGARGGCRAVDSKVSSFAT >OGLUM01G28840.1 pep chromosome:ALNU02000000:1:29049871:29052574:1 gene:OGLUM01G28840 transcript:OGLUM01G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVYASDYRDDIFGRDKANQEGAKAINEKNGFNFPFILFGGLLSMLTYVTPFVVHWSTGSNPNALKIVYTNTDFIKTGMATLAWLLFSLCQLHGANGKIVGAPAVAIYSAGLIIYGTSLVFKWSPSGVMSDAVCHTTMLSMLLIVILLLDVGVFVHLKREHKIANTISALATLTQVASSLLPYGDLCKGCICQDQAPDAKVTRIRNRRKLVVYVRSLEAGFTLYWAGYCIYRYDHTYFWIANITSVILSCIASYISIFEAFKPVQGDNDEEALKTVVHSDGFCWLSDEEAEAARE >OGLUM01G28850.1 pep chromosome:ALNU02000000:1:29056338:29058022:-1 gene:OGLUM01G28850 transcript:OGLUM01G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMEQQKQGLPGVNLAVGVVIFGILAFLLLAVVLMAPIINGPPDPNISIRLVGVEGLDPRLPAPVPPVFDLAVDVAGVSPRYHACGGGGGSKLRVSYHDIVLASALVPSFCIDGKLLEGGSAAGVVVVKARGGADGANAMIRGDLRNLIWTERHVLGKVNFDWNLGKESGLGDLSSRVSSIEVNFAHVEIADFHMPWTIVGWTNARINRMTIQWISLPLYFDLTKRLNVQYI >OGLUM01G28860.1 pep chromosome:ALNU02000000:1:29077933:29086764:1 gene:OGLUM01G28860 transcript:OGLUM01G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCK9] MIFKRSQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYEDEDEDEDEDEDEDEDEEAEEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPAVCQSFPADLEERYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWNVTKSAATSRIYETTVISALIVELNRTQICPARRQNLMNIEFLAIVVLARDKNFCSMNGNGMLAAEVKTGSQIEQHQSSSCSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >OGLUM01G28860.2 pep chromosome:ALNU02000000:1:29077933:29086764:1 gene:OGLUM01G28860 transcript:OGLUM01G28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCK9] MIFKRSQRSEILSLRRCNAGGGAGVGEDDGDGGERRPKRRRGDEFFPVELLGDVPVAGIPYAAFGFRWCEEAEVASPAAASRAAAAAAAASRPPVVRTSRGRAQVLPSRFNDSVLIDPWKKDKPAKPPVPAKAVQLVPKSEVLYRKGAIADRSLTMSELDENGDDDYEEGHNFVASRKYSMSLSTVTSVHGEPYSYYHRKGLMKRQYEDEDEDEDEDEDEDEDEEAEEEEEEEEEEEEEELSYWRNDFVYGDIVWARLGKRQPVWPGVVVDPAQPAAAQALPPQPRSGAVLCVMLFGWAAEFGDEKKFIWVRQGGIFPFVDYMDRFQGQTELSSCKPGDFQRALEEAFLADQGFFEVPMDGNTTGQPARYKRALQCESCGNCFPNKDPSMMVYVMEQLACRQCAKILRSKEYCGVCLKSWQHKCGGRWNVTKSAATSRIYETTVISALIVELNRTQICPARRQNLMNIEFLAIVVLARDKNFCSMNGNGMLAAEVKTGSQIEQHQSSSCSTNPAKRSSQKMKKQKLLDLLSEPYDTVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACETPDQKRECCLCPVKGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNITPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYRMEIRCLEKNGKQTTNKISYCAHHRAPNPDNVLIIQTPAGTISSKKLVQSNGTVAASRLIRKDLPKDSVSEVEISENLSAARCRFYVKKELKRSREGAIAHRVRGSCQHRWDEIDSLNPPREERDPESFSTFKERLHYLQKTEHTRVCFGRSGIHRWGLFARRGIQEGEMVLEYRGEQVRRSVADLREEQYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVSAGEELTYDYLFDPDEADDRKVPCLCQTANCRKFMN >OGLUM01G28870.1 pep chromosome:ALNU02000000:1:29089856:29095739:-1 gene:OGLUM01G28870 transcript:OGLUM01G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSSHRSHRRGGSVERSESEGDEAGGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERERGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNAEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSSKDGHRTSESHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERMRSDHRHPENVDSSPNKVHPRSSPGPNTYHDKDQNWGSPVRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWETTGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMDQTLEKNGTKDDLKSSFRNTGGSGGAPLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKRCISLLADFGIANTPEVVGTGLVQNNGSFGKRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTEMTDHTVSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPVIIEPDVGMEDVAPPKIVEPDEGMEDAAPPKIVEPDEGMEDAAPPKIVEPDQGMEEVASPTIKEPDEDIEVMPLGTAEPGKGMEEVSPGGISEPEKGMENLVPPGIAEPVKDGMDDVAAASAGPAAGLGDGVPEVTVELADSAQEKPPAMGELGDGMEVMPPPVTETSLGKEDSPAVPSSPEVQEIVSGMHAGIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRVNLSRIPNSPESTH >OGLUM01G28870.2 pep chromosome:ALNU02000000:1:29089856:29095739:-1 gene:OGLUM01G28870 transcript:OGLUM01G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSRHRSSSHRSHRRGGSVERSESEGDEAGGGAGAREEAAAARVSRDPEPERRRSSSGKEVVSSGNGYAEHGRKRKDRVEEMVVDVVSDRWNSGVCEDHLVEKRSKGEVFGPVDVDKLPEKSRGSGDESKRSSRRMVSMDDRAEEVVSKSDSGKRRSDKDLGRRESSGQYRDDRDRERERDREKERDREKEKEWERQKERERERGRDRERDRDREKDRERERERDKERDRERDRERERERDRERERERQKDREREKKDYDSKHERYDDGGAKKNGSKASRGEEDGYSYKRDTEINASATKEKYNNAEKDLDRHSRRKDVSEDKDKWPAENRDGDDRKTLSRYDHSKVRSSKEQRFDDDKYKEKYKDDYERDKRQQDDKCLDERLTRDHESDRADYKSSKDGHRTSESHYRKDVIQEPDHYDDYGSRYKESRGRKRPPEENDDQYDLKPPSAREQRGSADKSSGSGRLDALVERMRHGSKLTDHAKREIPYDERNIRPRTSSGRERTPASRLRDRDADNWPSERLKQKDDLQSRDMQLEISSSLQYDRTPRKDAHPSPKHLSERSPTEQRFSGRLSGGRSTDNKAERSGLTKYRDRDGDSVERSVHQDRTPAKAPYREPTPSSSSRGGHFSGTSPNHPLPPPPRHRSDDSSFLGLHDDDRRPQSGDRRFHQKRNDMSSGRGHGHAWNNPPSWPSPVANGFVPMQHGAPGFHPPVHQFSAPPMFNLRPQMKLNQPGVSYPMHESVDRFSTHMRPFGWPNPLDESCPPHLQVWNGGSGVFASEPYMYGRQEWDQNRQHSVSRGWETTGDALKGQNELHEREPSVTKKEPDCSATPVPETSTGQYNLNSRIEQKEMDQTLEKNGTKDDLKSSFRNTGGSGGAPLMTSMPSNSGTAIFSKSYLSKISVSRDLVESELYKRCISLLADFGIANTPEVVGTGLVQNNGSFGKRALALHKNQTAKIVSPTHSILETDRKTYLPEDNHDDTEMTDHTVSKELLVDNSEPHHGADTMEKELPLRQELAGGIGVTSPASIEPGNVGAPPVIIEPDVGMEDVAPPKIVEPDEGMEDAAPPKIVEPDEGMEDAAPPKIVEPDQGMEEVASPTIKEPDEDIEVMPLGTAEPGKGMEEVSPGGISEPEKGMENLVPPGIAEPVKDGMDDVAAASAGPAAGLGDGVPEVTVELADSAQEKPPAMGELGDGMEVMPPPVTETSLGKEDSPAVPSSPEVQEIVSGMHAGIEKGMEGETDNLIDDNPGDGEVNASSLELDVASGADDCEALVESRVNLSRIPNSPESTH >OGLUM01G28880.1 pep chromosome:ALNU02000000:1:29099353:29106094:1 gene:OGLUM01G28880 transcript:OGLUM01G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 1 [Source:Projected from Arabidopsis thaliana (AT5G53450) TAIR;Acc:AT5G53450] MGDFDVADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLMSFQAKRRGRRALEVLKKLARRQMMYHSYAMQVHGYVTPGNDVEQDDGSFILVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAVDFHDNDPRNSTLASNDERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFLKFKSFLTKGDDPACLREFLLPILCRNSPSGNIGLQMLDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSVDVIRWGLGSTAVRMAEDYIYGQHQRRRLAYFVELMEVLNPNPRTENWLNILPGHWRLLYCTGKHIGLTLRQPSPRILITDVFLTFAQTSDSIDPVFSLTSDIGFKIMAESDWPHDKSGSEGTLSVTSSARIATGRIYIHEQDGDDSRVASSRSSRRYLRGKWRKVSKMKELPASLPTVNITMDELDVSMSCSSTLNVSSAQKVLQEIRTQTPPEMFDLSKIVCGTYVDARLMILRGVNGSALFFTRSNSRADS >OGLUM01G28890.1 pep chromosome:ALNU02000000:1:29106847:29111619:1 gene:OGLUM01G28890 transcript:OGLUM01G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDDDLKCFYVPIVTMILAFNVLVFIVLPIIDRPPDPVFSVRLVGVEGLDHPDPCHQLQSAAPAVPPVFDLAVDVGGVPPRYRACGGGGGDDTVLRVSYRGIILAWGCVPSFCIDGGEHGRARADGVVVLRAEAGACAAIRDGLRNLIWTERRVLGKVDFDVEGTWGRCPEEEVTSLQRRHIRHGLVGDWGGGMKRRKIEGMGSAIDGSVLRPGPCALVYSNTALLRILMAPIIDGPPDPSFSVRLVGVEGLDVDADARLSGPRSSSPAALPGLRRGQGRHRAARLVPRHDGIVLARAPVPSFCIDGKLLEGGGAVGVVVVKAEAAAANAKMRKGLRDLIWTERRVLGKVDFDVEGNLGEQVTRDDLNCKVSSFEGAKGRFESI >OGLUM01G28900.1 pep chromosome:ALNU02000000:1:29114398:29123249:1 gene:OGLUM01G28900 transcript:OGLUM01G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQHVVEVEQGRAAADGRPSVGPAYRSAFARGGFPPPVAGLDCCYDIFRMAVEKFPDNRMLGHREIVDGKAGAYVWNTYKEVFDLATKIGNSIRSRGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFTEEKKIEQIFKTFPKSAEFLKTIVSFGKVTQEQKENASKYGLEIYSWDEFLSLADQEFDLPVKAKTDICTIMYTSGTTGDPKGVLISNASIICLVAGVDRLLNCVNEQLEQTDVYMSYLPLAHIFDRVVEELFMFHGASIGFWRGDVKLLVEDIGTLKPTILCAVPRVLDRIFSGLQAKIASGGFIKSTLFNLAYKLIEYDLGIIFCSKQFRMMKGAKHNEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVLQGYGLTETCAGSFVSLPNQMCMIGTVGPPVPNIDVCLESVPEMNYDALATRPRGEICIRGETVFSGYYKREDLTKDVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWVYGNSFESFLVAVVNPNKEALESWAAANGISGDLEALCENPKAKEYILGELSKVGKEKKLKGFEFIKAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGTIDNMYRSAK >OGLUM01G28910.1 pep chromosome:ALNU02000000:1:29137227:29143363:1 gene:OGLUM01G28910 transcript:OGLUM01G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSRRDHAAMAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAAKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAAAKEAAVEGSGVAEEEGKEEDEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRVRRLLQGGGGGADVEDEDRARWKEAMAACFARVDGEVGGAEEADTGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVAVPLSSDHKCLASFYNANAMLWTLDSARRGVMLVAANVNETFVDCTQPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >OGLUM01G28910.2 pep chromosome:ALNU02000000:1:29137227:29143363:1 gene:OGLUM01G28910 transcript:OGLUM01G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDTSRRDHAAMAVREVLAGDRKVGTVSRSARRRRLELRRLGRTASAVAEDDAAKRVRPASDSSSDSSESAKVAPEPTAEVARWPACVSHGAVSVIGRRREMEDAIFVAAPFLAAAKEAAVEGSGVAEEEGKEEDEGFFAVYDGHGGSRVAEACRERMHVVLAEEVRVRRLLQGGGGGADVEDEDRARWKEAMAACFARVDGEVGGAEEADTGEQTVGSTAVVAVVGPRRIVVANCGDSRAVLSRGGVAVPLSSDHKPDRPDEMERVEAAGGRVINWNGYRILGVLATSRSIGDYYLKPYVIAEPEVTVMDRTDKDEFLILASDGLWDVVSNDVACKIARNCLSGRAASKYPESVSGSTAADAAALLVELAISRGSKDNISVVVVELRRLRSRTTASKENGR >OGLUM01G28920.1 pep chromosome:ALNU02000000:1:29144313:29145268:-1 gene:OGLUM01G28920 transcript:OGLUM01G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEAARGAAWDCGSPLYDSFEVARLHHVLESHLMILPPFPPDDDDDAAAQRIMLDDGRRAAEVDDANGAAARKSGGRRRKRRTAGWKAAAAIYRAVACWRRPCLPLLSIPLSLSPTRQGKGRSAAGGPRSNCHINATSIPRETRI >OGLUM01G28930.1 pep chromosome:ALNU02000000:1:29152308:29153503:-1 gene:OGLUM01G28930 transcript:OGLUM01G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSDGSRYGGAGRCALVAELVKMQGMVRQLEAEMGTHGGGGGGGGGAAPMAAGADERCRALVSGLLSSIDRSISIARSCCTEAAAAGRLTQPAGAAPESPPSADGSAGSDLGADSRCRANAAGPCKKRKTLPKWSKQVKVRSVQDVGPLDDGFSWRKYGQKDILGAKYPRAYFRCTHRHTQGCHASKQVQRADGDPLLFDVVYHGDHTCAHGVRSAAAAIDGQAAASAEQKHQPTPPQEQNAVSVAFTSMAVVNASTSSPFVSPATSDCQISYELGGGSMAGVRNVPDVELASKTNSSMGDDMEFMFSLDADFLDTYKYSSYF >OGLUM01G28940.1 pep chromosome:ALNU02000000:1:29159218:29161003:-1 gene:OGLUM01G28940 transcript:OGLUM01G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTSPTRPTPAPPSRLPPKALSAAAAGSFTRQIHVTRQEAQLCAAASFDRDSTNAFQLYVAESLAFTTKSAGFLYGRVDADTKEVGTEDVVQLMRTRRRRPALTPSPRASGCAASAWKTSNTGEYTMSNREVLQATELQAEGGIPDWVTAIVKLETEIGDKDDPRLCKMRKEVVAGGKDTMEVDNDFFLVSVKISDHQDTFKKHFLGKKSTTP >OGLUM01G28940.2 pep chromosome:ALNU02000000:1:29159218:29161003:-1 gene:OGLUM01G28940 transcript:OGLUM01G28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTSPTRPTPAPPSRLPPKALSAAAAGSFTRQIHVTRQEAQLCAAASFDRDSTNAFQLYVAESLAFTTKSAGFLYGRVDADTKEVGTEDVVQLMRTRRRRPALTPSPRASGCAASAWKTSNTGEYTMSNREVLQATELQAEGGIPDWVTAIVKLEVGDVGYGDVHFEAFQMSGICVKLFKYGVLQTEIGDKDDPRLCKMRKEVVAGGKDTMEVDNDFFLVSVKISDHQDTFKKHFLGKKSTTP >OGLUM01G28950.1 pep chromosome:ALNU02000000:1:29182836:29189928:1 gene:OGLUM01G28950 transcript:OGLUM01G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQELRVVMYRPFLAQLIRPLRVAFPAARGSSSRSAGQGLHPEPLGSRPPFRRRRLLGSLLAEATNQCPATPVAGSVVCCHDPRGGAGGVTSTRRSPRGKIGAAHPQVRRNTLRRRPRTGAVARPVRFQLAGPSRVGMTRSIKEET >OGLUM01G28960.1 pep chromosome:ALNU02000000:1:29184000:29190670:-1 gene:OGLUM01G28960 transcript:OGLUM01G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPRVHLRVWIGGSTVWDSLGFSGISRNKISAQIQGAVVDREEEAFRLFLLSTSVYYWLGKGSFVIWGVLDASSGVDDNVENILRMIGEENESAETEPLDDSGNAFKKSKLSSLVKGFHEEYEYLHKHYQQLIGKLENVGHSSSDSDSSDSDDEGDSSDNNNLKTKVEDALSEENGWKQKLVEDREAKEQSLEAEIEKLKQNTEEQAKEISDLKHLLDKAIKDKEATRVELSSDVANLSSENENLKLLVETTEREAGESHKTITLMENEIRTLSVEKQVTEKERDDLKISIVDLENMNGDLSNQLQETNEKCTFLSSQLEKAQLAEKEVQTLLSEIEKIKNENFTLSRENDNLKACEQNLDTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVERDDLIAEKEELMNSMNIERGAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQNEKNSLSSELQQLEASFKNLGNDLEQKFEQISVMQKNNEELEFANSNLQNELATVQGQKNEAVASTLELGNKLEEKNQQISNLQEAVENLDAAKTNMYNEVTVHQEKCTFLSSQLEKAQLAEKEVQTLLSEIEKIKNENLMLSRENDNLKACEQNLGTECSQLKATIAETKAENSTLTEEKHLLESKLKLLGVEIDGLIAEKEELVNSMNIEREAAAEEKEMLVSEHSKCLTELEKAQSSVKELESTNGDLNDKIAVLQKEGSSLASELQQLEASFKNLGNDLEQKFEQISVMQKNNEELEFANSNLQNELAMVQEQKNEAVASTVELGNKLEEQNQQISNLQEAVENLEAAKTDMYNELTVCQEEKNAALLQVQQLEANLKNLESELEQKQSQVSALEQANEELREKISSLERQLEEARSKLQDEIIKLQGEKERALDNLQLSNTSIKTFEEELEKQREHNSILQLANEDLHKSIANLEKELEDTKVSSHAEILALQEQKNKALSDLQQSEISIENFRMELEQGREKISILDLSNEEMKDNNYRLNQQLEEIRTSLHAEIAALHEEKDAAQLELQQSLASARNLETVLEKQTENLSTLQHANDDLKKNNCTLTEQFEVIKIELQEEVKMAHEEKDATLTQLENSEDSIKNLESELAQLKEELSVQMESNSSLNKQLEEAILKVSNLTEELETVQAETASKINDMETNTKDLVNTIDLLSSQKNKVEEHMKIITEACMEKMSFMKDFEDQVKQKITDREIAIACLQQSLRGIIGSYQRLQYAYGEVSTKASHLEVLRRNHLEQIDTLENKHTEIMEKHRHLGEENTSANKENRKLQNHVQELEAQLQLARQKLRVTEAESKSKEDSYVMAVETSHREIQYLEQKIQKYSGQINSLEETLVQIKGNAESGTSTLVDQLDQLESHFNKSFSHFSALSFACSEELKLLRNRLQHHLAEQKELVKENDVLGMRLREKENVLSEMVRSASEAKKKMAHLEKTIDEKEEEISARVQEKREAIKQLSNAIIYHKNNSDDLIRYIRNHNCRRLPFCL >OGLUM01G28970.1 pep chromosome:ALNU02000000:1:29190558:29216151:1 gene:OGLUM01G28970 transcript:OGLUM01G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNPSESQTVLPPIQTRRWTRGAVTAMWGPGAVWPELARAWEPAKQGVRPFLSRPRGDVAFSGFGFPPSWREGGLKARILCIKPRSLLGEELCVVGADEDGMPPGLNLIGALRVLLT >OGLUM01G28980.1 pep chromosome:ALNU02000000:1:29218144:29223103:1 gene:OGLUM01G28980 transcript:OGLUM01G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMATGGLDPAAREAELAHLPGPKLVDHLCTTHRRADYEAVARVLDARDRRLEAALAENEDLRRKCDALLVGQRRPREEEEEEAAGEKPPPGIIAAPEPARRDEEEVEGSSEEGEVRGVDFIDLSSSDDDDDEEKEVEAGRGAGSRVPIIKEAPDDAEGDEDDTLPLSQLWKRRRLGEPGAVKSEKGDGHNPVDSGGNDPPKCTSARTDVPEASTGEMVSRPGDSMVAAFVQGKGTVQPENVGGEMPRVMLHSPAQVIRSTLQKRKFGKKDGSSAIPGDTTSSQARSTSLAPKREGSAAVPGYTTSSQARNTGLSPKKCRDSTSPDDEMCNALADSVQVGAGSTMPRGPGEQDKGIGAVQRATVLHGTSGIGEQGGKLDSTPTKVGESNRREGGLQNKSIDSKSNDALKHQDKKDGRMVQNGDLSVQSCVPARPIVASVPSVTKNSEKGNSAKGVSQPPKGNDQMNKMSMVESSSKCGYEKVGADVQKCSPLPRQSEEGSVARGVVSFEETGIATVHPLSIRNLSGPELRNLNKGGGELSKKLVVEGSPKYGEKNNDARSEKNSSPLGQSEDVKIIREGASNEEPRVGRLSPSILSNYSTGEQIHSVSKNAELCSLTAKKALFEPGSSCTPLKHMVCPPSSGKSISIQAKGEINLLPSAMSRHWETAAHMIASLRGNMELSMQALCALYRQRKLVIMPTEGQQTGTTGLTKIDAVRAIRLLEFLLDGKLKGPLKRTAKELLSHDATGPTFLEKVALSFSKELFDIYKNKKDPYFC >OGLUM01G28990.1 pep chromosome:ALNU02000000:1:29223304:29225814:1 gene:OGLUM01G28990 transcript:OGLUM01G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISRASVLVMAIVAMLATLVNAQAPAPAPTSDGTSVDQGIAYVLMFVALALTYLIHPLDASSAYKLF >OGLUM01G29000.1 pep chromosome:ALNU02000000:1:29225760:29227519:-1 gene:OGLUM01G29000 transcript:OGLUM01G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADGGSNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYQPDGSTDSKPGALSKTANNMVIGVALVGTLMGQLVFGYFGDKLGRKRVYGVTLILMAACAIGSGLSFGSSRKAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSSIFLTYNKAPSYKGNHDLSRQMPAADYVWRIVLMIGAFPALATFYWRMKMPETARYTAIIDGNAKQAANDMQKVLSIEIEAEQEKLAKFNAANNYPLLSMEFARRHGLHLIGTTTTWFLLDIAFYSQNLTQKDIFPAMGLISGAAEVNALTEMFQISKASFLVALLGTFPGYWVTVALIDKMGRYMIQLIGFFMMSMFMLAMGILYDYLKTHHFLFGLLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAAAGKAGAIVAAFGIQKLTYNSQVKSIKKALIILSITNMLGFFFTFLVPETMGRSLEEISGEDGNTGAGGGGAPAAANAGVGVSASDVSRDEKFPASSTEWQTSMHA >OGLUM01G29010.1 pep chromosome:ALNU02000000:1:29233890:29234786:-1 gene:OGLUM01G29010 transcript:OGLUM01G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRTVRIFWDDPDLTDSSGEEEGCGGRRVGSMVRELPPAQLPVAQAGFAPAAAAAAAALPEQCSGGDGDMGRRVVGGGCTVGVGRRRLTKGGGPGAPSTKFRGVRRRPWGKFAAEIRDPWRGVRVWLGTFDTAEEAARVYDNAAIQLRGPSATTNFSASTNSAGAQDPVAVGYESGAESSPAVSSPTSVLRKVPSLCSLAEDKDDYEAGPCEPATAAGSNLTVLEEELGEFVPFEDAPVYGGSSFWDFEPESGFLYAEPSSPETPWDAGATSSGEAQDYFQDLRDLFPLNPLPAIF >OGLUM01G29020.1 pep chromosome:ALNU02000000:1:29269833:29270895:-1 gene:OGLUM01G29020 transcript:OGLUM01G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIFPGHFYPRFYALIFGKTERVLSRLKVRNQFWATVLARDYVWKVEDDSGRYGASWAYSSICGSKEVLLSICSVLTDPNPDDPLVPEIAHTYKYETRQ >OGLUM01G29030.1 pep chromosome:ALNU02000000:1:29277091:29285264:-1 gene:OGLUM01G29030 transcript:OGLUM01G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHRKKPDNSLPAAGPPREEISHSESATRRRRDFFFFFLLLLLTSAAARRSASRRPDGAARAGSTRPALALEKAPVSREAAAQRSRSPLLIRGVAQRGAVPEEMQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVNEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETHGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEDRKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRFININMNMRNARMTYIVKRRE >OGLUM01G29030.2 pep chromosome:ALNU02000000:1:29282061:29285264:-1 gene:OGLUM01G29030 transcript:OGLUM01G29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHRKKPDNSLPAAGPPREEISHSESATRRRRDFFFFFLLLLLTSAAARRSASRRPDGAARAGSTRPALALEKAPVSREAAAQRSRSPLLIRGVAQRGAVPEEMQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKLYIISLEEDFPLFSNPKIERSLSHEAKEVFLAALVNEGRAEWMDKGHKKCLILWLRIQDWANYILNFVKDNGLEDSVMTVEEIRSGIETHGTELAGIDRGVLMRALKLLEQKGKAAIFKGTSADDEGVKFSA >OGLUM01G29030.3 pep chromosome:ALNU02000000:1:29277091:29281068:-1 gene:OGLUM01G29030 transcript:OGLUM01G29030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKKRKSKGELGMASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRFININMNMRNARMTYIVKRRE >OGLUM01G29040.1 pep chromosome:ALNU02000000:1:29286831:29288223:1 gene:OGLUM01G29040 transcript:OGLUM01G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISGEELAVTLAPVAVYWLYSGIYEALGSVRALDRYRLHSRRDEESNNMASKKEVVKGVLLQQAIQVAISLAVLKLTSEKDGGAGDVKAGHASAPAAAPSSSSAAAALLDVAARFGVAMFVLDAWQYFAHRLMHSSRYMYRRFHSWHHRVVAPYAFAAQYGHPVDGVLTEALSGAAAYLASGLPPRAAAFFLAFATVKGIDDHCGLLVPWNPLHAAFANNTAYHDVHHQLSGGRRNFSQPFFVVWDRLLGTHAGYTVTARERNNGGGLEAKPICNDRHVLL >OGLUM01G29050.1 pep chromosome:ALNU02000000:1:29288583:29291812:-1 gene:OGLUM01G29050 transcript:OGLUM01G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYSEQRPGFEVTKDWNGADQVMIRSPRGASVLVSLHGGQVVSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNCGTLEQHGFARNRLWAIDDEAPPLNHNDNNGKVSVDLLLKPSEDDLKCWPHCFEFRLRVSLSTDGDLSLVSRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPSVIAVLDHEKKRTFIVRKEGLPDVVVWNPWDKKSKTMADFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVASTNCSDHLDYPCS >OGLUM01G29060.1 pep chromosome:ALNU02000000:1:29297167:29300328:-1 gene:OGLUM01G29060 transcript:OGLUM01G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEAVVTQKMGKAPSPPKDHPALSPYLDWSNMQAYYGPGILPPTFFSPGIAAGHTPPPFIWGPQPLVPSAFGKPYAAIYPPGGAFSHPFMPLMVSPLSMEPAKSVNSKDNCSNKKMKEIDGAAVSTGSGNSEKTSGDCSLEGSSDGNNQKASGTPKKRSIDDRPKSGVETGGALTPNDRPSEQAALPNLCIPVTAIKPDVSTASDFRVIATPVTEVPTKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENTSLRREISRLTESSKKLRLENSALMEKLTETGPDEAQEVPPVKTKAQQARGVENFLSMIDKTGTPRSSGHMDHAIATPKLRQLLGSGLATDAVAAR >OGLUM01G29070.1 pep chromosome:ALNU02000000:1:29305017:29305276:1 gene:OGLUM01G29070 transcript:OGLUM01G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLEVVFCRDNGANTGDPGGTLLEFLRSRTQYTNAKLHGCAAIGVGCSTASSLPPTSSSPLRQIWRRRWMPSMS >OGLUM01G29080.1 pep chromosome:ALNU02000000:1:29308587:29313604:1 gene:OGLUM01G29080 transcript:OGLUM01G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVAKQIQQMVRFIRQEAEEKASEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSMKEDATKQLLRVSHNHHEYKNLLKELVVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKNEYASKAEVHHPEILVDHDVYLPPSPSSHDSHERFCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKLLFGQVTA >OGLUM01G29090.1 pep chromosome:ALNU02000000:1:29325878:29327801:-1 gene:OGLUM01G29090 transcript:OGLUM01G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYCHDLLTRDRLVAKRLAAAMWSSLVYFKALNCYKGEKLEYVFGPISRQDRTISALRDFGISEYL >OGLUM01G29100.1 pep chromosome:ALNU02000000:1:29338349:29339239:1 gene:OGLUM01G29100 transcript:OGLUM01G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAAPDEEAGLALPEGERILEVTLISAQGLKPPSGLRRRLLQAYAVAWVDAARRLQTRPDRAGGVDPEWHERLLFRVHEAALADDSRAAVTVEIYAAPAGGWHIGGDSLVGSARFLLGDHGLLSRPVGSPSMFAVGVRRPSGRVHGLLNVAASLVAAPPSPAAAHALRSSPAVSLSGLSTAPIPAGRVLRVLNRSFPTPPPSPTVLTPKKQQIAAKPNKKCADKLDVAVKLNNGADDRSDEEREELREMGGVVFCGPCILPLPRKIHISPSDENLQAFASIFSGGVGITRQSPRH >OGLUM01G29110.1 pep chromosome:ALNU02000000:1:29351599:29352051:-1 gene:OGLUM01G29110 transcript:OGLUM01G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHARAIIMVFPLEPHNGCRTLHALRRPHVVVSAGAVRFLLSQRHCRTGSGSSRSGTTATAATTFSPAANLHRRLRPCIGNAKSTSESVGSVAPLPIDSSRCGPATPCAAPSSRAKGELRWVTTHDVLTAAFPVGRSPLQQRAPATARC >OGLUM01G29120.1 pep chromosome:ALNU02000000:1:29354347:29357156:1 gene:OGLUM01G29120 transcript:OGLUM01G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHLMTDVMEDNSSWTALSIDHIRISAFNFGIVSEDNDEGKEFLLSLDTVVPDDILERIFTFLPIVSMIRSTAVCKRWHDIIYSSRFLWTHMLPQRPWYFMFTSNESAAGYAYDPILRKWYDLELPCIDKSSCFVSSSCGLVCFMDNDSRNAISVSNPITKDWKRILEPPGAKFPDYSTIAIKVDRSSHNYTITLAKCKQVPEDYVRWDFSLYKYDSQSSSWVTAVEEVFIGWRGGDDSVICDGVLYCLIHSTGILAPCSLTCGRLLNLREKLVLVGGIAKQNRPDIIKGIGIWELHKKQWQEVGRMPHKLFQGFGEFDDVFASSGTDDLVYIQSYGATALLAFDTKQKQWKWSAKCPVSKRFPLQLFTGFCFEPRLDITT >OGLUM01G29130.1 pep chromosome:ALNU02000000:1:29362480:29363385:1 gene:OGLUM01G29130 transcript:OGLUM01G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKLKFSPLLALFLLAGIAVTSRAGDIAVYWGQNGDEGSLADACNSGLYAYVMVAFLSTFGNGQTPVLNLAGHCEPSSGGCTGQSSDIQTCQSLGVKVILSIGGGAGSYGLSSTQDAQDVADYLWNNFLGGSSGSRPLGDAVLDGVDFDIETGNPAHYDELATFLSRYSAQGGGKKVILTAAPQCPYPDALLGPALQTGLFDSVWVQFYNNPPCHAGSFYVGVPAAEAAAGSGYVAPGDLTSAVLPAVQGNAKYGGIMVWNRFYDVQNNFSNQVKSSV >OGLUM01G29140.1 pep chromosome:ALNU02000000:1:29366467:29373185:-1 gene:OGLUM01G29140 transcript:OGLUM01G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic pyruvate kinase beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G52920) TAIR;Acc:AT5G52920] MAQVVAAAGTAAAVAAVGRPLGGGGSGADALRPAARLSFAPRWCGGSAGAARARRESAVTSVISRAPRLDAEVLPVSADDDADVKEEENFQHLKAIQQLATSANGVWSKPNVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNVIAIMLDTKGPEVRSGDLPQPIMLETGQEFTFTIKRGVGTETCVSVNYDDFVNDVEVGDMLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGSDGIMLSGETAHGKFPLKAVKVMHTVALRTEATMSGGETPANLGQVFKNHMSEMFAYHSTMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFFDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >OGLUM01G29140.2 pep chromosome:ALNU02000000:1:29366467:29370859:-1 gene:OGLUM01G29140 transcript:OGLUM01G29140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic pyruvate kinase beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G52920) TAIR;Acc:AT5G52920] MLLVDGGMMSLLVKSKTEDSVKCEVIDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENQVDYYAVSFVKDAQVVHELKDYLRSSNADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLERFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFFDDAEKTFGDALSYLLKHGMVKEGEEVALVQSGRQPIWRSQSTHNIQVRKV >OGLUM01G29150.1 pep chromosome:ALNU02000000:1:29378001:29380130:-1 gene:OGLUM01G29150 transcript:OGLUM01G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPQPPPEAPSHRTAWQEFNHYDEPRPHCAVTSSKWDSRTAMVFTAFANCSHRVGKARQTLHSRIVFEYTMLLIKEICHKGDSYS >OGLUM01G29160.1 pep chromosome:ALNU02000000:1:29385650:29386723:-1 gene:OGLUM01G29160 transcript:OGLUM01G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSGIGDEEAEAEAAAAAEVTGRGGARGDVGGGGGGAGEAAAAVRTGGERRRRCGRVGGVEIPGGVGSQLAANSEVNNSVVPANSEVRLRFQVACLSGSVSTGMPALKGHSRGLLFSTQIPNLSSLKLSPTPMAGRYYTPQTHPPPPPENTLDVFMDGDTIPIHTTITSSHSLAAQFINEIARERPQGGLIVGIDTEWRTDHLPDGKTCYKVAVLQLCVGRRCLVFQIYQAGNMVPHELAEFLADPSVRFVGVAVNNDVQRLANDCNLRVAYEVDLRYAAAAVLGQPELARAGLKRLALTVMGVHMEKEKNITKSRWGEPTLTWEQVNYACIDAYVSYEIGRRLLSGESILAAPL >OGLUM01G29170.1 pep chromosome:ALNU02000000:1:29386435:29392788:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAPVMGIGSEEMAVGMRMRRRFTPIVWNPRGSRYWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMDGFFLQRRFLKYWMQLAFDQ >OGLUM01G29170.2 pep chromosome:ALNU02000000:1:29386766:29392788:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDDEFGGHGSPPPVTNLCKSVPEDKMDVVAPVMGIGSEEMAVGMRMRRRFTPIVWNPRGSRYWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMDGFFLQRRFLKYWMQLAFDQ >OGLUM01G29170.3 pep chromosome:ALNU02000000:1:29386435:29392021:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAPVMGIGSEEMAVGMRMRRRFTPIVWNPRGSRYWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMDGFFLQRRFLKYWRMVWEKLAAKDTRFGRRRGAGWRRRTE >OGLUM01G29170.4 pep chromosome:ALNU02000000:1:29386435:29392788:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAPVMGIGSEEMAVGMRMRRRFTPIVWNPRGSRYWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMDGFFLQRRFLKYWSIPDSAGEGELVGDAGRSRSQRQWWRRRPTLPLPPS >OGLUM01G29170.5 pep chromosome:ALNU02000000:1:29386766:29392788:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDDEFGGHGSPPPVTNLCKSVPEDKMDVVAPVMGIGSEEMAVGMRMRRRFTPIVWNPRGSRYWTLGGGDSSGALHGRKMVQWWRSVWGGGRPDGGSKVEGGGGRCGVHEVTGRRGAWLGLGSLPPTDMDGFFLQRRFLKYWSIPDSAGEGELVGDAGRSRSQRQWWRRRPTLPLPPS >OGLUM01G29170.6 pep chromosome:ALNU02000000:1:29386766:29392021:1 gene:OGLUM01G29170 transcript:OGLUM01G29170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARSDDEFGGHGSPPPVVQRAATVLEDIHSNKHIRRMVWEKLAAKDTRFGRRRGAGWRRRTE >OGLUM01G29180.1 pep chromosome:ALNU02000000:1:29396094:29397323:-1 gene:OGLUM01G29180 transcript:OGLUM01G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLSRRAWSDGLPPELLAIIVLQLNCLADRACFSAVCRAWRDAAPYADAPQRGVPWLLLPARDAPSFFSLHSGATRRMRLPDGVRGTRFCGVHDGGWAAVAADTWRGFAVVNLFTGVRLPLPEKLRVEVPPGGNHDQFALAAGFTRHHMLIRTVVFSCPPTSPYCIAAAHVSSASNIAFCQPASLSTSWTAYRRDMDIIQDLIFHRGALLQGFHVLTNKEEVLVYAPTAPHRPGAPLKLACTRYSLRPRDDYQPDDALPPTFIATRYLAESRGKLLMVLRHYTGNPVVRRRTRMFRIFELTFGEPAEPRRAATPCWWVEIPELTGRALFLGRSCSRSVDVAQFPMLQEDTIYFLDDANLDLSMVLNNGSTYCNVDMGMYRKGEKIRPGARQFPREFTADCSPPIWLVP >OGLUM01G29190.1 pep chromosome:ALNU02000000:1:29410062:29410661:-1 gene:OGLUM01G29190 transcript:OGLUM01G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADTDTYVTEVAFGDAVITTTVTSSGAAVEGWLREVRAAYGPGLIVGLDVEWRPSYGPAQNPVALLQLCVDRRCLIFQLLYADYVPGSLRRFLAGAADCFVGVGVDKDAERLSDDHGLTVANTADLRPLAAQRLGRPELSQAGLQAVVRAVMGADLVKPQRVTMSRWDASCLSNEQIRYACIDAYVSFEVGRRLLRA >OGLUM01G29200.1 pep chromosome:ALNU02000000:1:29413228:29415868:1 gene:OGLUM01G29200 transcript:OGLUM01G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G62840) TAIR;Acc:AT5G62840] MQVQAASPATAASSPVAPSAPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSHIVPEYSFLDARGLGAFEGKSLETLPEVYASDSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASTVFKCTNPPSCK >OGLUM01G29200.2 pep chromosome:ALNU02000000:1:29413228:29415868:1 gene:OGLUM01G29200 transcript:OGLUM01G29200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G62840) TAIR;Acc:AT5G62840] MQVQAASPATAASSPVAPSAPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRTSGIAAYSFSPVRFDLLIQPAYLNTNNLPLLFSSVQIHQVANNLLLVIQPYRFHICCT >OGLUM01G29200.3 pep chromosome:ALNU02000000:1:29413228:29415868:1 gene:OGLUM01G29200 transcript:OGLUM01G29200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G62840) TAIR;Acc:AT5G62840] MQVQAASPATAASSPVAPSAPPPPRAALSPCPRRRELLLLSASLPLPLPLLAPAAASARGLFRMPPPRLANRYFLVRAGESVYEGQGVVRTNPVSKTSVDSGLSPAGLRQAARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANEINRSISPDIKPPPISDGTPNESVADVFVRVTQLMSILETQYSGDTVVIVSPDSDNLSILQAGLIGLDLRRHSSLFFQPGEVRPVDPASIPEYKQPASTVFKCTNPPSCK >OGLUM01G29210.1 pep chromosome:ALNU02000000:1:29417203:29419746:1 gene:OGLUM01G29210 transcript:OGLUM01G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCQ8] MASPIPSPPPEPATDGDDAQSHPPPPTPHPATDPPPISPQNPTPPPPPLPASAAAPTTPSPNHSGDPSRPIPSQAPAPPPPPTADPSPPLPHDNRTPQPRAAPPPAPAPDQPAPPSPPPSLPPSPPAPGSPESMLEPEASEADGEPENMTLALALAETETEKAMPPTPPKAAEAAESPTGSPQKESALTIAKLLSGEDHAGTETKPVPEKAAPAATTTTAAVASVSGGGGGGGGGVGSKRWLLGGVPEKVRRSELRRAELGFRVLAAVFCLVSLSVMAADTTPGWSGDSFRRYNEYRLRSQRRTMMLAKSPSGGQLLYTLSASVLAFTYSGFQLVVEVHYFVTGRRIIRDLSGKYFNLAMDQARTSALNILAYLLLSASSAALSRNDVWVSRFGVDQFAKLINASASMAFLAFVALGFSSIISAYHVFSSNCGNDIGLRNGNTR >OGLUM01G29220.1 pep chromosome:ALNU02000000:1:29421089:29424982:1 gene:OGLUM01G29220 transcript:OGLUM01G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPTSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFKIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >OGLUM01G29220.2 pep chromosome:ALNU02000000:1:29421089:29425013:1 gene:OGLUM01G29220 transcript:OGLUM01G29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPTSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFKIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRSMVESLLERLS >OGLUM01G29220.3 pep chromosome:ALNU02000000:1:29421089:29424582:1 gene:OGLUM01G29220 transcript:OGLUM01G29220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPTSALVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFKIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRRRTDWQY >OGLUM01G29230.1 pep chromosome:ALNU02000000:1:29425424:29429164:1 gene:OGLUM01G29230 transcript:OGLUM01G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 2 [Source:Projected from Arabidopsis thaliana (AT1G10600) TAIR;Acc:AT1G10600] MGGRRVEINANKCGTHPTPSKPYYVDKIDTNEQKVVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPTRQVFYGRNCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVIFNPNLRFEIVDLRSAP >OGLUM01G29230.2 pep chromosome:ALNU02000000:1:29425424:29429164:1 gene:OGLUM01G29230 transcript:OGLUM01G29230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:associated molecule with the SH3 domain of STAM 2 [Source:Projected from Arabidopsis thaliana (AT1G10600) TAIR;Acc:AT1G10600] MGGRRVEINANKCGTHPTPSKPYYVDKIDTNEQKVVHYQVNCRPARDRNTGSYSVKHHYPSPIVSWIEDLSSFGNVSFSQDPEYADEQSRSSVGQSSASVNLHDMQISVRLTDEFIELAKENTGNNVETCGILGASFRDGTYYVTMLIIPKQEATAHSCQAVSEEEIHAILSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVIAPTDPTRQVFYGRNCGIFRLTDPGGMGVLRECSESGFHAHRETTDGGPIYETCSKVIFNPNLRFEIVDLRSAP >OGLUM01G29240.1 pep chromosome:ALNU02000000:1:29431061:29431846:-1 gene:OGLUM01G29240 transcript:OGLUM01G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRDRDHRGGADEDAPGPAAAAVAAVDGEDEVEDDGGGFSFPVPPFAADAFIVPVYPVFGRPMSPPPREAVVEEEEPETATLRVPLGRLLLEEREFRARQRESSGTSPVQPQRRRPDDEGELEGVPPESYCLWAPGGQPSTTPASPRRCRKSGSTGSVLRWRRISERLVRRSQSDGKEKFVFLNAPGGGAPSPHPPKDNDDANGGGGVGKGDAGLHGWSYYSKGGGGGSGGRRRSYLPYKQELVGLFANVSGLRRSYHPF >OGLUM01G29250.1 pep chromosome:ALNU02000000:1:29434909:29435556:-1 gene:OGLUM01G29250 transcript:OGLUM01G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLQQQRRRHEALTGRRRGGGGGMSRRHGRFRFATGGGDGDEECAGVAVVDQADCTAQSCRSCVAVSLADCIALGCCPCAVVSLLGLAFVKLPLAVARRCARRLRRRQGRLRQKKRVRDLDAAKNAAGGGHQEPLPGGAAAASKGEDGDVVVVAAAAAASPGSDDAENVWLELYQVGRWGFGRLSVSAANPPVRPSYVVATARNADCAADGDVS >OGLUM01G29260.1 pep chromosome:ALNU02000000:1:29438080:29438649:1 gene:OGLUM01G29260 transcript:OGLUM01G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWWWCMGHKPRANAALVAAGSAGSTRGMEWAASSSGFEAAGEVRETRDGYLSKEDGRGERSRDSVSRDRFM >OGLUM01G29270.1 pep chromosome:ALNU02000000:1:29444896:29445840:1 gene:OGLUM01G29270 transcript:OGLUM01G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTCELRMLIRLVGLAFIVRGSKILVLQLRDTLLNLELKGVEDMNHKEEVILVIVMVAVGLLLVACLVLNFNWKYCCKDGKNKHSKAIGTHGDGHISISSTDGSVRNAPNLV >OGLUM01G29280.1 pep chromosome:ALNU02000000:1:29452140:29460560:1 gene:OGLUM01G29280 transcript:OGLUM01G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKKTVGDELRGRREDLGGVAGELISLMLHHHLISPPRTPWQWPRRPQARASGPQADLGGMPPSFYGYCLVVASSLPEPWWLPRRLRADLSSVKARGSLARSARDRLGSARLGSFEFSNEPSWQFSSLEITSQLELARELLASLNELDSITAQKPNTTKRPKPKTLQTLPVSTDNISARGIGGRCRFGGAEVLLQQPWVAVEWLGSHRARELTVRRAVKVEGIVKLRLGMRRHDPQEGSDA >OGLUM01G29290.1 pep chromosome:ALNU02000000:1:29463358:29479291:-1 gene:OGLUM01G29290 transcript:OGLUM01G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGGRRLLAPGLRPVLGGGAAAPVAVGGARAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVVSRNGHLGADLLELLLGILGLVLADTLLDLLGEALDEVLGLLEGQAAAETPRRSWRWSTRPRASSRVSPAMASPSSRTLGDSVAVDATTATARPTEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >OGLUM01G29290.2 pep chromosome:ALNU02000000:1:29463358:29479291:-1 gene:OGLUM01G29290 transcript:OGLUM01G29290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGGRRLLAPGLRPVLGGGAAAPVAVGGARAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVVSRNGHLGADLLELLLGILGLVLADTLLDLLGEALDEVLGLLEGQVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >OGLUM01G29290.3 pep chromosome:ALNU02000000:1:29463358:29479291:-1 gene:OGLUM01G29290 transcript:OGLUM01G29290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTGGRRLLAPGLRPVLGGGAAAPVAVGGARAYHERVVDHYENPRNVGSFENDDPSVGTGLVGAPACGDVMKLQIRVDESSGKIVDACFKTFGCGSAIASSSVATEWVKGKQMEEVVTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKLAQKGEEKAAEA >OGLUM01G29300.1 pep chromosome:ALNU02000000:1:29466447:29467004:1 gene:OGLUM01G29300 transcript:OGLUM01G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALSSAFSLLSLPSSSSPAAAAAAAPRSFAVPSRARPRRAVAVVASTATESPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAGGAGAAADEAPAEKTEFDVVIEEVPSSARIASIKVVRALTNLALKEAKDLIEGLPKKVKEGVSKDEAEDAKKQLEEVGAKVSIA >OGLUM01G29310.1 pep chromosome:ALNU02000000:1:29480211:29483444:-1 gene:OGLUM01G29310 transcript:OGLUM01G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase D [Source:Projected from Arabidopsis thaliana (AT1G60550) TAIR;Acc:AT1G60550] MDAAGRRLARVTAHLLPSSLPLPLASAPTLAPSPAASPASDSYRRVHGDVPSEPPEWRAATDESGKGFVDILYDKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFEDARDDSSIGVIILTGKGTQSFCSGGDQALRDADGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLSRFYTADEADRMGLVNVVVPLADLERETVKWCRQILRNSPTAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >OGLUM01G29320.1 pep chromosome:ALNU02000000:1:29484867:29485756:-1 gene:OGLUM01G29320 transcript:OGLUM01G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEAAPCTCGLLYGSCGGGCSLLFPAGAPGDHHHHHYYKQYCGAGDGEYPDVPYGGGGSVDCTLSLGTPSTRRAEAAVAGLPWDQSSLQPSCNGRQEMSGAAAPRTEPSGGAGAAAASAPRRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAAVAPTALASDGGVEYAYGYPRQQQQWGCYGPAVAKAASFGMFGDAAGEDGPCLPWGLGVMPSSPAFGAVREMPSLFQYY >OGLUM01G29330.1 pep chromosome:ALNU02000000:1:29491248:29493046:-1 gene:OGLUM01G29330 transcript:OGLUM01G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSSSSSRNSNSVNMDSEWSKKENKLFEEALAYYGEGAPDLFHKVSRAMGGTKTADEVRRHYEILEDDLKLIEARRVPFPKYNTQGAWN >OGLUM01G29340.1 pep chromosome:ALNU02000000:1:29496487:29497895:1 gene:OGLUM01G29340 transcript:OGLUM01G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELREIFQRPWKILLRLQSSKSSMVSTLQGDENEMTTWRPSCPADCLVKLVQLGVLVMAWRMQLCLRLYGGPGTSSLRSDESGHDDGGGSNHNDTEGAAMGEATTTLEEQHGLEVASVALRWAYPALDGRIQRLRRRGWEGRWWRSLIWRSGDGVTIMWLRDGGVSLGSTGASATTTDCGLVAGPRQQWQTAVTMMMARTDGS >OGLUM01G29350.1 pep chromosome:ALNU02000000:1:29501914:29502258:1 gene:OGLUM01G29350 transcript:OGLUM01G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWEGVVLGGGISFSTGPNDRRLILTLAAAGKRYLRAHRVTVLDLTDACLWRPIMCSSLPPPAAPHRCRTLRPPGPRQRPPRCRHLMLGSGIARCSFPVKDGKGTNRNGDGVA >OGLUM01G29360.1 pep chromosome:ALNU02000000:1:29506001:29510613:1 gene:OGLUM01G29360 transcript:OGLUM01G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHELQLFIAAFTSLQVPFFHLASPHRHCHPKQRREPPCRHSTHTQREREQGMRLFGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLSPGNYNENTFKGLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVVGQGRPGAAGVHGERDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >OGLUM01G29360.2 pep chromosome:ALNU02000000:1:29506001:29513331:1 gene:OGLUM01G29360 transcript:OGLUM01G29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHELQLFIAAFTSLQVPFFHLASPHRHCHPKQRREPPCRHSTHTQREREQGMRLFGAHRAALLVLACVVVVVIHGLGEAEALGGGGGFVRAQGTRFVLDGNPYYANGFNAYWLMLLAADPSQRGKVTAALGEAAGHGLTVARTWAFSDGGGGNALQLSPGNYNENTFKGLDFVLSEARKYGIKVILSLVDNYDSFGGRKQYVNWARAQGQGIGSDDEFFTNPVVKGFYKNHVKTVLTRKNTITGVAYRDDPTILAWELMNEPRCQSDLSGRTVQSWITEMAAHVKSIDRNHMLEVGLEGFYGASSPSRIAAVNPSGYQLGTDFIANNQVPGIDFATVHSYPDQWLSGKDDQAQLGFMGRWLDAHIADAQAVLRKPLLIAEFGKSWKDPGYSSGQRDALYGTVYAKIYESARRGGATVGGLFWQLLVPGMDSYRDGYEVVFGETPSTTGVITTNSRRLRFLSKAFARARQAQPARGKGRHNGGK >OGLUM01G29370.1 pep chromosome:ALNU02000000:1:29510953:29516781:-1 gene:OGLUM01G29370 transcript:OGLUM01G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRVGLLLLLLLAAVLLQPLLAAAAAEGVVRIALKKRQVDETGRVGGHLAGEDAQRLLARRHGFLTNDAARAASRKARAEAEGDIVALKNYLNAQYYGEIAIGTPPQMFTVIFDTGSSNLWVPSSKCHLSIACYFHSRYKAGQSSTYKKNGKPASIHYGTGAISGYFSQDSVKVGDVAVKNQDFIEATREPSITFMVAKFDGILGLGFKEISVGNAVPIWYNMVRQGLVVDPVFSFWFNRHADEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFNMGDVLIGGNSTAGCAAIADSGTSLLTGPTAIITQINEKIGATGVVSQECKAVVSQYGQQILDQLRAETKPAKVCSSVGLCTFDGTHGVSAGIRSVVDDEVGKSSGPFSSAMCNACETAVVWMHTQLAQNQTQDLVLQYIDQLCDRLPSPMGESSVDCSSLASMPDIAFTIGGNKFVLKPEQYILKVGEGTATQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGNLKVGFAEAA >OGLUM01G29380.1 pep chromosome:ALNU02000000:1:29520041:29524968:1 gene:OGLUM01G29380 transcript:OGLUM01G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT1G29810) TAIR;Acc:AT1G29810] MTRGVAMAHARLLLARYYAMAAPSWPTVSKNLPLLGHGRSHHPMYASQDEIKMSSRRWCHGSPDNQELAKKICVPCNSKDIHAMPEDSAKKMLEQVGGWELATEGDILKLHRAWKVKNFVKGLEFLQLVAAVAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINNLNLEGLLSKKATVQK >OGLUM01G29390.1 pep chromosome:ALNU02000000:1:29527037:29533285:-1 gene:OGLUM01G29390 transcript:OGLUM01G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGGGGGGRGAAAGPVPGSARKLVQGLKEIVNRPDAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVNKEALEPKSRGAVNSNSRATRAGADRSGRSSSVQSGSSGADNMSSRSSILGPGVLATNSTQKQTVPSSSVNKDVLHDGSFGAMQPSSGFQNSWSGVPGQMSMADIVKMGRPQVRSSSKPVASTDKAYSGQTSSFSSVVNQNPNKSASTAPPTTFEQRFPVLQDPIPQVKNSSHASADNHETQESDWFQQDGTLPGSQLTVPETSRDISLPVASLESSTLDADDANSYNNSHVGESSSAIPSDRHLEIIDGNNHFNNGLLHNSSAYQSRGNHYDDNDDDDDEAEVSNVDVESASANIQHLSLQTEDLVASKSTEDNPAVIIPDHLQLANADCGHLSFGSFGSGALSGLLPSKVHKNGVEEVPVPDESPSVDQEDVRNQDNNVALNSSTNGDVEARIGTNMENTDEPSVSQPDILTQGAVDVSSLQYNLPSVSDHVYSNTTQPSTMESSQGDIQVQHLPQFSSLLQANTLHNNLLGSNLPNLRDFDFSPLLSTQLATKYNPPVPTTSLPAISMQETLKPGGFSNAQPTQNLPSASIPSGPPLPQQLSVHPYPQPTLPLGPFSNLVGYPYLPQNYYLPSAAFQQSFSSNGPFHQSAATTGVPGVSMKYSMPQYKSSLPATSPPQPSSVVSGFGGFGSSNNIPGNFGLNQNVPSAPTTMGFEEALSTQFKDNSQYIALQQNDNSAMWLHGAAGSRAVSAVPPGNFYGFQGQNQPGGFRQGQQPSQYGGLGYPSFYQSQAGLPQEHPQNLTEGTLNSSQTTPSQPSHQIWQHIY >OGLUM01G29400.1 pep chromosome:ALNU02000000:1:29536063:29537043:-1 gene:OGLUM01G29400 transcript:OGLUM01G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSHFPSIIFLSVFFLPSPSSSQSRARPARPPSLSGGSIVSQSQVGELGGEGAKPAPPSPPSALPPPPSMRWSPRRPSLRTSAQTPPQLKLSRRLLPPHVGTNAVHMPAAPLSSPLSALPPHPSSEHRSPASPPHTPVRPRHGSFRVPSRHRRPASSAPLLLPCVVLSLLAEEVVGLAVPNLHRVDVGERRGVLEHGVEAAESRGVVLAEERGWLAQHVQAPDDLLGEERRVLLLLVAVVLAPRLIAVGSPWRGARRGAVVGGPELLLLEEVALSFPDPPRGGAVLDLGSATCDGREEDGEGRKKTERKSMDGKGDGNGMVLIS >OGLUM01G29410.1 pep chromosome:ALNU02000000:1:29538785:29544732:1 gene:OGLUM01G29410 transcript:OGLUM01G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT5G46390) TAIR;Acc:AT5G46390] MRLLPYAPSPRPPQRSLATRRTKPGPPCALPFPDALRAAAAATAAAMSISLSLLTGDAVGAERPRGPELCRDGAAAETKEEVRRSEVVTNEQLVEEAWEVVNEGFLPDAGSRPWSPEMWMKKKQDIVQTSIRSRSRAHDIIQKMLANLGDPYTRFLTPSEFSKMSKYDMTGIGLNLREIPDGNGSSKLMVLGLILDGPAHSAGVRQGDELLSVNGIDVMGKSAFDVSSMLQGPKDTFVTIKVKHGNCGPVEPLKVQRQLVARTPVFYRLEKRENEDSAIGYIHIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTLEVTVHGYYIKMKVIYTAGRDRQVQNTIAAEREPLVTTPLMVLVNNRTASASEIVASALHDNCKAVLVGEKTFGKGLIQSVFELHDGSGIVVTVGKYVTPNHKDINGNGIEPDYRRIPDFNEATEYLSRCRSKELS >OGLUM01G29420.1 pep chromosome:ALNU02000000:1:29546826:29552910:1 gene:OGLUM01G29420 transcript:OGLUM01G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGQQPHQVSGVSRAQDGVAKVSLGKEHVPGSELWTDGLICAFELIKGHKKLVQHKSWPTIDSMQEKEVPMHMKRHISRNGHHVATMKPEECDVVENPRQTEFANDPSLFKDRPVHVRAILDHKWVPIGWSRIAELVQRVQSDASWESEPAEMTDSEDDYTVADVAAPYWQRPVGPTWWCHVTAGHPSVDAWLNSAHWMHPAIRTALRDESRLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNREEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLSAGGSQTPRSAHEVIAHLIGRLSRWDDRLFRKYVFGEADEIELKFVNRRNHEDLNLVSIILNQEIRRLATQVIRVKWSLHAREEIIIELLRHLRGNTTRVILDSIRKDTREMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRITHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFAGLLFFVGFVLIGVGILYLGLQNPVTNEKVKVRKLELQDLVSAFQHEAEQHGKVREGLSRHSSSPKSSSASNVDYVLIS >OGLUM01G29430.1 pep chromosome:ALNU02000000:1:29554426:29557315:-1 gene:OGLUM01G29430 transcript:OGLUM01G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLANGDELKALQRIDMSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMISSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >OGLUM01G29430.2 pep chromosome:ALNU02000000:1:29554424:29556658:-1 gene:OGLUM01G29430 transcript:OGLUM01G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSNSISSALSQTTPVLRLRVWVLIAIGIGILMAILFIIALWLSMRRKKKTAVGFDGRSHMEIPIVSKDINVDRVDAQSLHDSGTPIMPVQDKYEQMKGVSHLAESRSVDVDAFSQCSSVYNIEKAGSSYSEDYSSSGPGRKGSSSYGYASASPLVGLPELSHLGWGHWFTLRDLEYATNRFAKSNVLGEGGYGIVYKGRLMNGTEVAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMSGGILTWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDSSYINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLESVTARDPVDYSKPADETNLVEWLKMMISSKRAEEVVDPNLEIKPPKRALKRAILVGLKCVDPDADKRPKMSHVVQMLEAVQNAYRQDQKRPSSQMGSVDVESQQSAEEISNSADS >OGLUM01G29440.1 pep chromosome:ALNU02000000:1:29565604:29565837:1 gene:OGLUM01G29440 transcript:OGLUM01G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDSDRVNLEPSFYDEAATVAEAAAAAERLEHEKQERETCPTSTSTRSPALLRCASPTTPPTPHRPEPSASCAI >OGLUM01G29450.1 pep chromosome:ALNU02000000:1:29566200:29566748:1 gene:OGLUM01G29450 transcript:OGLUM01G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRRGHADKQLSKGLLVLDGVQPAPKIEQYADCGSNFKVSLFLAAASGSAPCTSPQRSPESGRTFLWRDHSFAPAPMLNAPSKIALCFMIAIFQQLVWWLPMAMDILSHYCAVRTIDFTPAVNGGEEAQIVCGVTSLLVKVNWSLMSPVIDLK >OGLUM01G29460.1 pep chromosome:ALNU02000000:1:29568247:29572530:1 gene:OGLUM01G29460 transcript:OGLUM01G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >OGLUM01G29460.2 pep chromosome:ALNU02000000:1:29568247:29572530:1 gene:OGLUM01G29460 transcript:OGLUM01G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMPSDEATGQVRLEGDVSDKKEEKTQEQSEASGMPSPQEEEAAIKKKYGGILPKRTPHITKDHDRAYFDSADWALGKQGGSHKPKGPLEALRPKLQPTQQHARARRTPYASADNDECMNLPPEDLIQNGDPIEDKNKEEQ >OGLUM01G29470.1 pep chromosome:ALNU02000000:1:29576465:29577751:1 gene:OGLUM01G29470 transcript:OGLUM01G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLAPFPFLKALSWRSAICPTNLQVKTLLRLRTSGDGVTRRVLLGGVASGKFRTSMTIDGPFGLKAFFPWHSARPKPLGSASFYGGRHTLRLLLRMKSELLAVGVRRRLATMTCQKPSGSITISHFASHGVLTNRPGRRGLTR >OGLUM01G29480.1 pep chromosome:ALNU02000000:1:29589624:29593457:1 gene:OGLUM01G29480 transcript:OGLUM01G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQRQGGGKHQRRESAACGLAPNRSIGPPAHQRRTLAYIIKEQRVGKGIAAVFASANIQDPRDTDKTIEKTRFSVS >OGLUM01G29490.1 pep chromosome:ALNU02000000:1:29593758:29600992:1 gene:OGLUM01G29490 transcript:OGLUM01G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCU4] MAIVNPARRRTDRPEPKTSTTTPQRQKAPQKSHPKKPIPNPSARHATPRRVCACTECARNPPTNPAARHRSFTVGFTVSVILPDPEAGSDRTDRDEELSLQLLQLQELVVVRSRRQHELAKARRLCTHARTHARAAYLLGASCSVAGGGGGSGAGRASRSGIALVRSPARVGVWVRGIDLSLSLARSLALAPRGRGVACGGAARRGKCGWIRRWRWRRGEGAAAAMAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >OGLUM01G29490.2 pep chromosome:ALNU02000000:1:29593789:29600992:1 gene:OGLUM01G29490 transcript:OGLUM01G29490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCU4] MAMQTMQTEQQQQQRRKGSPEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDTVTRIRNEKARRYLSSMRKKQPVPFSERFPKADPAALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKAEREPSCQPITKMEFEFERRKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTNFLYPSALDNFRRQFANLEENGDAVPSDRKHVSLPRTTTVHSAPIPPKDHQNITSQVPQRIPGRTGRGACPVIPFENLSAMGPYNQRRVVRNPVLPPATTNLSAYAYHRKSDSSERELQQELEKDRMRYQPSEHFMDAKVVSHMSHDLRASSYYVSKAKSDVADRAALQSNMMQGIGPFNGIAAVGGNYNKVSTVQYGVSRMY >OGLUM01G29500.1 pep chromosome:ALNU02000000:1:29601398:29606444:-1 gene:OGLUM01G29500 transcript:OGLUM01G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLPLTTPASKGREPPEARRLRVAFPPERAAGEKGGRRGRRGAPLLSLCLSSATSRGSLLSGGGRARADGRRGRFGGWGRDSGLNSLELTHSSTTLLKMPALRMKRNFDDDVFRDEFDSKPTKSMKISHFQVSELEQSAVLNLPYKDPHDELDLTTQLAGQDIMIMEAASLDDALGGASLLKDLISEVAVSPNMENDMLVNYEDIRSQLNVVNYFDKDEDANSASYNSCTVNCHEESWGSNEGCSLLDIYNPDDDFSFLLDTPSEFLGSFTALYDEVVPIDSLVNISGRCGVFPLTESTTEASIGNEPCRSEGDMLFSNSEVLEWLNPHLAEEDLPNLVDFTELNSHAVSKEQRARKVTLVLDLDETLVHSTTEQCDDYDFTFPVFFDMKEHMVYVRKRPHLHMFLQKMAEMFEVVIFTASQSVYAGQLLDILDPEKKLFSRRYFRESCVFTNTSYTKDLTVVGVDLAKVVIIDNTPQLQVNNGIPIESWFSDSSDEALPQLIPFLETLASADDVRPIIANKFGDKKDIAEIFQ >OGLUM01G29510.1 pep chromosome:ALNU02000000:1:29607910:29616563:1 gene:OGLUM01G29510 transcript:OGLUM01G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17160) TAIR;Acc:AT1G17160] MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >OGLUM01G29510.2 pep chromosome:ALNU02000000:1:29607910:29613878:1 gene:OGLUM01G29510 transcript:OGLUM01G29510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17160) TAIR;Acc:AT1G17160] MPTLDARLRLPLAGLSPATTSFPGSTPKPGSLSFALRPPSASLSSSASAPAAAAPPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLAAGPTYLVARVGDDANGRLLEGALADAGGVRLDRVARAPGAPSGHAVVMLMPGGQNSIIIVGGANMEGWASGVGSDDLDLIRRAGVLLLQREIPDWVNVQVAQAAKGAGVPVILDAGGMDAPVPGELLSLVDIFSPNETELARLTGMPTETFEQISRAAGACHKMGVKEVLVKLGSQGSALFIEGGEPIRQPIIPATEVVDTTGAGDTFTSAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVMDLLESVQPPFILNNGARGQIRRIQ >OGLUM01G29520.1 pep chromosome:ALNU02000000:1:29619307:29623453:-1 gene:OGLUM01G29520 transcript:OGLUM01G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEPRARAEAAWAAALPAALVALLDPCCCCCTAHVIPPPPPVSRTHATRRDAEASVPPPPASAAVSSRSDGTGQMAAGVTLACAAPPPLRAPRASEGGRRRGVVKGGAGTDTCRSAATARERGCVRARAKNHEHGQRRREAAVDPAMSGEYQFQDELAPLFARPGGGAGEMQMLPSSWFADYLQAGTPMQMDYDLMCRALELPVGEDVKREVGVVDVVAAGGGGAPPLTPNTTSSMSTSSSEGVGGGGGGGAGAGAGEEESPARCKKEEDENKEEGKGEEDEGHKNKKGSAAKGGKAGKGEKRARQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSYQDPAVVITTYEGKHTHPIPATLRGSTHLLAAHAQAAAAAAAAHQLHHHHGHHGHHGMAPPLPLGSGAAEQFGRSSGIDVLSSFLPRAAAAHHGMTTMGGAAATTTTSHGLNSAISGGGGVSSATTSAVTVAASAQPSSPAALQMQHFMAQDLGLLQDMLLPSFIHGTNQP >OGLUM01G29530.1 pep chromosome:ALNU02000000:1:29643455:29644012:1 gene:OGLUM01G29530 transcript:OGLUM01G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAEAEIAAAVAPAATDECGGKAAAMGGVKQRRGGGGGGGWVKRMMTTTTTTTTAVPRRRGHYTPVGVEVELHGSAAAGADEEKPPRRRGGWLRRMMVPRECVHGRQQRWWKLQAGGGGGGSSSRLAAGLTRSLSRWKTAGSGGWATAVADAVAFRVMYVVEAVVLGLALSCFFCCCGCQI >OGLUM01G29540.1 pep chromosome:ALNU02000000:1:29649328:29652727:-1 gene:OGLUM01G29540 transcript:OGLUM01G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADARAAPPSCPFPTIRSHGAAVARSHAYDWLALLLLVAVDGLLNAIEPFHRFVGAGMMTDLRYPMKRNTVPIWAVPIVAVIGPMIVFTVVYFRRRNVYDLHHAVLGILFSVLITGVLTDAIKDAVGRPRPNFFWRCFPDGIAVFDNVTTGVICHGDASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLAPLLVAAMVAISRVDDYWHHWQDVCTGGVLGLVVASVCYLQFFPAPSDEKGFWPHAHFRYITERGSENPTQQATEPLDAMETGRGGQ >OGLUM01G29550.1 pep chromosome:ALNU02000000:1:29659018:29662622:1 gene:OGLUM01G29550 transcript:OGLUM01G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG-box (high mobility group) DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G34450) TAIR;Acc:AT2G34450] MKTRSQTAPKPLKTVRLPPVKPRPKPPPPSRKKGQPLVDRRRPKKPPTAFFYFMEDFRKTYKEENPSVKSMQEVGKACGEKWNTMTFEERVKYYDIATEKRAEYEKAVAEFDKKKESGELSEESDYD >OGLUM01G29560.1 pep chromosome:ALNU02000000:1:29663686:29665900:-1 gene:OGLUM01G29560 transcript:OGLUM01G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTAPEQKAVTPATPAAAAASPFEFHVYGPRNLSSTTWRDLLRSSWKNANYRRMVIACFIQGVYLLELDRQERRDERTGALAPQWWRPFKYRLAQALVDERDGSVYGAVLEWDRQAALCDYIPFRPAGAPAAVVALRGTLLRAPTFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAAGRLGAGSVCVGGHSLGAGFALQVGKALAKEGVFVECHVFNPPSVSLAMSLRGFAETAGELWGRVRSWIPYYGGSSSSSSQAADAGGGGESEEAKAMCRWLPHLYINTNDYICCYYNDAAAGTATVAAGGGGGGSASGKAVAAAAAVRGTGGGGARVARMLVASKGPTKFLEAHGLEQWWADDVELQVALNHSKLIDRQLRSLYAAQGRC >OGLUM01G29570.1 pep chromosome:ALNU02000000:1:29666728:29669027:-1 gene:OGLUM01G29570 transcript:OGLUM01G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGESGPSASAKDTDAAQRAQEQEPGKQGGATRERRMESLGWLTESAVMPKKHKAIEGVGAASILDLKAQLYRTQEEARKPTAHDAAAAAAASGEFRRAKKRAAPGDPLGAKNSGVDARAHKDKLELKAVKDGSVSYAALEKKAELYEKLSRGEIPDEEDKEKYCVDFFQKSFDHVYEPRQPESQSVIDRAEPENDNDDSMSSAKPVGLGRTGTTIDRDEHKRFVREVHEEVSEARQKASTMKSRRQEQEAARREKLKQAYLKKRLEKLLAEKRATSATDDLPAS >OGLUM01G29580.1 pep chromosome:ALNU02000000:1:29669274:29671107:1 gene:OGLUM01G29580 transcript:OGLUM01G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >OGLUM01G29580.2 pep chromosome:ALNU02000000:1:29669274:29670801:1 gene:OGLUM01G29580 transcript:OGLUM01G29580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFPPSSATRLQAVDAAAVRSSRVPPRAAAVSPVQRSLGAGCKAAAAARQEGATQDPAISVSSARTQLDLLEQLTTPTSDGIAYVATNHNGSSGVENGAPTEPRVQTTIREQLSAVIGDRDGEYTLPLGKKLKEGLKKLNSLTVSQRRNIKRQALLTKVSGRNDSVFFATVGAFVLVPPLAILAIAVLTGYVQLLP >OGLUM01G29590.1 pep chromosome:ALNU02000000:1:29671193:29672634:-1 gene:OGLUM01G29590 transcript:OGLUM01G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT2G30890) TAIR;Acc:AT2G30890] MFVSARKRLFFLFISSLLLLLLAPSDGSSNSTANLNQSHNKTGRTLEMTPKVLFQLKLHALFHWSSFGFLMPVGIILARMSSKSKSGRSIRVLFYCHVISQIAAVLLATGGAALSLMNFENSFSNSHQRVGLALYGFMWLQPLIGFFRPERGVKVRSLWYFLHWLLGIAICATGITNVYIGLHTYHERTTKSVKLWTGLLTFELSLLLFFYLLIDRWSYMMKQGNAPIEQLRPTDNRKTYPTTLRKELGMGQ >OGLUM01G29600.1 pep chromosome:ALNU02000000:1:29680390:29691559:1 gene:OGLUM01G29600 transcript:OGLUM01G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuoleless1 (VCL1) [Source:Projected from Arabidopsis thaliana (AT2G38020) TAIR;Acc:AT2G38020] MGYRVELAPIRLDPHVRGLTVPSRSPRQSTSFLTAHLARDAAAASPPAMSSSVSVAAEWDPLSDRFYRRITVYSPLPWSPPSAAAASSSSTSGGGGGGGGSGGVLGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLYSSSGHPIASSPWPPQLPRLHSLAFSSSLNLVALLSDGSLLRFRLPDLKPNPSPTPVPLLPTSSGGVADAAFWGGGVAVLTEDNRVVVTTDIDAADPHPREFADPCVGQDEQVLCMAVVEPQFVMSGSPEVLLAVGDRVLAVDEDDVQTLGLELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSKIIFEYECDSALPPDQIAWCGLDSVLLYWSEALLMVGPNGDPVLYNYDEPIKLIPECDGVRILSNSNMEFLHRVPDSTTSIFGIGSMSPAALLYDARDHYDKQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDISRQHALLRAATYGLAFCSQFPHERFQEMCKTLRVLNAVRDPQIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASAAIPDTVLLEGLLDKLRLCKGISYAAVAAHADNSGRRKLAAMLIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAVEKSAPLDFFGVINARPLARDLFMAYARHSKHEALKDFFLSTGRLQDAAFLLLKESRELERNPMASKGSPLHGPQVRLIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFVGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKSCALATVGNWDALEKFSKERRPPGGYKPFVEACIDAGQKTEALKYIPKLTDPRERSEAYARIKMAKEAAEAASQVKDSDELFGRLKLTLAQNTAAASIFDTLRDRLSFQGTY >OGLUM01G29610.1 pep chromosome:ALNU02000000:1:29689925:29691433:-1 gene:OGLUM01G29610 transcript:OGLUM01G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCV9] MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNGSIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OGLUM01G29620.1 pep chromosome:ALNU02000000:1:29692250:29693458:-1 gene:OGLUM01G29620 transcript:OGLUM01G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASRPRRQCRAPRRLDGGGGGSMDVHPSELLRRSRCTAPRRLDDDEMDVHPSEQELIETYLRPRVVSGDKPPPSSSSCGFIIHEADVYSADPADLTRGFAPAVARSSGDEAWYFFSAVRGLKGGRKARTVDDGAGCWHSEAGAKPVLAASSGRRLGHRQSFSFITKDDDGQRVRSGWLMVELSLDVDEEEQLVLSKVYFSPRAPGAKKPTTAAAMSRHKRKLSTTDIASPPRRQRRHRVVPSSPPEEPNTSPSPAAAPPDQQEGGDDDPDRGSISWWLRRVFGLTATFTEEESIELNPWLKDILWPFPPPLPPTPPPPCPSPRRKLIDMPEIREFILRGSYLGGGPAPPRYECDHPAMVMTGGDDQQQLDEQRRDDVGDDRAHYDRVDGQLQFERHYLRM >OGLUM01G29630.1 pep chromosome:ALNU02000000:1:29694827:29697264:-1 gene:OGLUM01G29630 transcript:OGLUM01G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELAKSFFQSLSRLSRVASKPSPHHRGMAGEMPDADGKPRSASSGFQPSAPPQPQAQQYQYGTFGAPSSAPGEVPQPAVGFPQPAPPPGLRHYPQPPPPSYAVYPPLPPQTYPAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPFCGLGMGWFLFIIGFFLAAIPWYIGAFVLICVRVHDYREKPGYVACTIAASLAAIAILLGVTKGEEIWL >OGLUM01G29640.1 pep chromosome:ALNU02000000:1:29698254:29701507:-1 gene:OGLUM01G29640 transcript:OGLUM01G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase II 3 [Source:Projected from Arabidopsis thaliana (AT1G53580) TAIR;Acc:AT1G53580] MVALLRSCRRLIPHLSACAAASPSSSSSCAPRARPISRGLRLLPVVLAMAGYSSGSAAEGRRLLFRQLFEKESSTYTYLLADVGDPEKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVIAKVSKAKADHFIEHGDKIYFGNLFLEVRSTPGHTAGCVTYVTGEGDDQPSPRMAFTGDALLIRACGRTDFQGGSSDELYESIFTLPKDTLLYPGHDYKGFTVSTVEEEVAYNARLTKDKETFKKIMDNLNLAYPKMIDVAVPANLLCGIQDPPPSKV >OGLUM01G29650.1 pep chromosome:ALNU02000000:1:29701656:29702383:-1 gene:OGLUM01G29650 transcript:OGLUM01G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRRTANLPCPHLVAISSSVLTRRRRDRELNHGAAGDLVDLACRHIIAAVAYSPPLPDPDPIRSAPLPICLFRRVS >OGLUM01G29660.1 pep chromosome:ALNU02000000:1:29704637:29708575:1 gene:OGLUM01G29660 transcript:OGLUM01G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPPARLPARGADLPRSGGAAAAGTGGRGGGGGGGRRAWWRGRCCRRRKQARAGAARAVAGLLRQDVPGRRPDRRQRHRAAVPRQPRRRPRRAPPLLPRLLRRRVRCVHTDRADGQQRRRGAEGGEGHGGEPEPAAGGVRHGGDGQGRRGEGVPRRRHLRRRPRARRPGLRPPGRWALLRGEEGSEGQQGVPGGQGAGQPPARQLHRGRAPPRVRRQGPRRRRPRGALGRAHRRVRALRPLPGPPLRLRRDAAAGPGDGRAAGEGAAHVVPLHRRQRPRGGALRREHPVPVRPRLLRQPAGEAGTPRLRPGAVPRRAHQAARRGARRRQGAVLPGVRGQHGQDGLRPGQEGQEGGGQASLQPAPVLNVYWSKLGRSHCLDHSITRSHLALQELSFAVNCHISESISSSVLFFSFVGCTSARLCRDC >OGLUM01G29670.1 pep chromosome:ALNU02000000:1:29714706:29718396:-1 gene:OGLUM01G29670 transcript:OGLUM01G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNRHWPSMFRSKHATQPWQTQPDMAGSPPSLLSGSSAGSAGGGGYSLKSSPFSSVGEERVPDPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIPRIRMQLQEYGQVGDANVFYWFQNRKSRSKNKLRSGGTGRAGLGLGGNRASAPAAAHREAVAPSFTPPPPILPAPQPVQPQQQLVSPVAAPTSSSSSSSDRSSGSSKPARATSTQAMSVTAAMDLLSPLAAACHQQMLYQGQPLESPPAPAPKVHGIVPHDEPVFLQWPQSPCLSAVDLGAAILGGQYMHLPVPAPQPPSSPGAAGMFWGLCNDVQGPNNTGHKSCAWSAGLGQHWCGSADQLGLGKSSAASIATMSRPEEAHDVDATKHGLLQYGFGITTPAVHVDVTSSAAGVLPPVPSSPSPPNAAVTVASVAATASLTDFAASAISAGAVANNQFQGPNPNQPFSNKGPVPRHGNDNKTSLADFGLVAGACSGAGAAAAAAPEAGSSVAAVVCVSVAGAAPPLFYPAAHFNVRHYGDEAELLRYRGGSRTEPVPVDESGVTVEPLQQGAVYIVVM >OGLUM01G29680.1 pep chromosome:ALNU02000000:1:29727184:29735773:1 gene:OGLUM01G29680 transcript:OGLUM01G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPRSARRNQDPDNATKTVSRQNRHRLTARPLSFSTLSPNPSCQSTHTHRCSLAPPDNNTTKPKTQQRDAGRNNPQLTAATSSAPRHATTLHTPFFSFFPPKIQSNLARKEERTRRAAPSRVGIIEGKRCARSLHPAQRAGEAERIGGSVGCGLARSRGSRGGGDGGGGGGVQGGGGVRLPVQGGADRGQRRGEVEPAVAVRAGRVQPGDQVHHRRRVRHQDRPRRRQARQGPDLGHRRPREVPRHHERLLPRRGGRAGGVRRDAPHHVRERGAVAQGAPQPHGRQHRRHARGQQGRPAPPPRRPRGGRQGVRRGARDLLHGDVGAGGHQRGGRLHRGARADLPRRQPERARHRRRPRRAAPGADHRRQRQG >OGLUM01G29690.1 pep chromosome:ALNU02000000:1:29729522:29738332:-1 gene:OGLUM01G29690 transcript:OGLUM01G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYYDAGMNHPHQPSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTHRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPVNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKQCIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >OGLUM01G29690.2 pep chromosome:ALNU02000000:1:29729524:29733335:-1 gene:OGLUM01G29690 transcript:OGLUM01G29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNTVCTPQVIDLETEQGHSHIHSESFNRTGNDSSDQGAQHAVRGVGNATNIGLSDMRSYYDAGMNHPHQPVHNLPPNLGVDSGFVFPSSMYNPCMSTTSMNQYVSHTQSFGLPSNQVVLGSMDEGSRNENAGESARGFIKRKNAAVAGSYHCANGFASSSSSHASLNPTHRPWDPSFESNVLPNTASYNPSEYHSQTSWPSMEGSSIPSNGFNLMGAHPESAQHGNYAFPTSHISQCFQPTSNTWISQSANGIADGIPQWEYVNGMNNAPGMTETVNGSFREYQNGPSTLCRGPLPYFHQHAGMHAHNLLDHTQVQAPYQQCHNNPVLHGVNHSGNRFHLGPRIPVLFSNSERTFGPPHHPLLANPVNHRNIRILPPEHATIMDFSRLYEVSNVVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLAKSYIVEKLKTSLFVPGSSCMSNKSSESSMENDACIICQEEYQVKQCIGTLDCGHRYHEDCIKQWLMVKNLCPICKTTALSTGRRSG >OGLUM01G29700.1 pep chromosome:ALNU02000000:1:29743444:29744293:-1 gene:OGLUM01G29700 transcript:OGLUM01G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTVVFANMYQRPDMITPGVDAQGQPIDPRQMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIIVDFSPVTDFREATCRQLGLGRDLRKKLFGHYRKPQRGRSRSPSPSPRHRRERHDRDDYRGRDDYHGGGGRRGGSSRHERHDDGGRRRHGGSPPRRARSPVRESSEERRAKIEQWNRERDEKQG >OGLUM01G29710.1 pep chromosome:ALNU02000000:1:29752316:29752759:-1 gene:OGLUM01G29710 transcript:OGLUM01G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYSSTRPWLRPEPAASVVDVVKVETTTAVAGRGGEAEVVGEEEAAEVRRAVAESPVLVVGRCGCCLIHVVKRLLQGLGVNPAVHEVAGEAALKGVVPAGGEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >OGLUM01G29720.1 pep chromosome:ALNU02000000:1:29762467:29765638:1 gene:OGLUM01G29720 transcript:OGLUM01G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >OGLUM01G29720.2 pep chromosome:ALNU02000000:1:29762467:29765638:1 gene:OGLUM01G29720 transcript:OGLUM01G29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIVISIFCCSMNLDNGYITIQRMVKCTSHCKKERRGKHGHLQYKAKNPGFDFSQAQFTGTCPDPRTFMGGIRSD >OGLUM01G29720.3 pep chromosome:ALNU02000000:1:29762207:29765638:1 gene:OGLUM01G29720 transcript:OGLUM01G29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIEDGEMHITLQKREKGKTWSSPIQGQGSLDPYAADQEQKRLMLQRFQEENPGFDFSQAQFTGTCPDPRTFMGGIRSD >OGLUM01G29720.4 pep chromosome:ALNU02000000:1:29762207:29765638:1 gene:OGLUM01G29720 transcript:OGLUM01G29720.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58740) TAIR;Acc:AT5G58740] MAEKLGPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFHCAIQATHVEVGIRGNPPYLNHDLTLPVKTDSSFWTIVISIFCCSMNLDNGYITIQRMVKCTSHCKKERRGKHGHLQYKAKNPGFDFSQAQFTGTCPDPRTFMGGIRSD >OGLUM01G29730.1 pep chromosome:ALNU02000000:1:29767351:29768094:-1 gene:OGLUM01G29730 transcript:OGLUM01G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVAVVVLLAMAAVAAAQAPGPAATPAAGATGPPNVTAVLEKGGQYTTFIRLMKETQQDTQLNSQLNNSFNGNGYTVFAPTDNAFNNLKPGTLNSLTQQQQVALVQGHVLPQFYSMDSFQTASNPVRTQASGTDGPYTLNITSTTNSNVNVSTGVVEVTVTNALSAVKPLAVYSVDKVLLPFELFGVKAPAAAPTASTAKPKKGGSTEAASGPAGAEDAEPTGAASARAVGWGVAGLAAVVGCFL >OGLUM01G29740.1 pep chromosome:ALNU02000000:1:29773897:29783839:1 gene:OGLUM01G29740 transcript:OGLUM01G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPKRRRGGSAPSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVVGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGVAGSSGGSSRRRSRGRARGEAGVAREIREEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVEEEEEDEEEGDEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVRDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEEDEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSCPSEQLKEVQQDVQTGGAPNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATKNIKKCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLWISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRFSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAHLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQRDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCLNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >OGLUM01G29740.2 pep chromosome:ALNU02000000:1:29773897:29783839:1 gene:OGLUM01G29740 transcript:OGLUM01G29740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRPKRRRGGSAPSRGRKKQKRLDAIHDVAPVSAPPAGAAVVVVGGGESEDSDAEGPRRSTRVRRAPALLDTSPLPSPRRKRARGGGGVAGSSGGSSRRRSRGRARGEAGVAREIREEEEEEEDDEAGNVVWRSRLRDRVKGKAKLDKRVRSLWFDEDYEVELKEEEEVVEEEEEDEEEGDEEEQVRTLVVDLREGAEEETMGEGSGSLPMRGREVRDREINLTIDLNVEEHEAVEGVNVVEEEDGEKGGEEDEEKGDAIGPGNDLHEGKHEEVGDEEGLHEKERTEELGSAVLEGRNGDELPCNENNAEDGTGSSHEHEHLLVQNEQTVEESNLCVEQQMELDGSCPSEQLKEVQQDVQTGGAPNVVLPEEAPKEGVRKFPVSEEKQGTMEIKEGRRCGLCGGGTDGRPPKVALHDTVDSDNEAYEGALPSEDPNYDMWDGFGDDPGWLGRLLGPIHDQFGIARVWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRAEACIFDHRMFLIACNDHRHYFQPQGDKYVELLRKMKIKKMKADIRKVSHDAWRKDIEAEEKWLENCGEDEEFLKREGKRLNRDLSRIAPVYIGGTSENEKAYCGWESVAGLSNVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPSRSRRQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKKWPSPISGAFLSVIASQTVGYAGADLQSICTQAAINALKRTCPLKEILLSAEKGFEHGRLPLPSILVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLVSYLVPCLLKPLLHLFISLYLDERIWLPSSLLKAFASIKQVIFSSMEKNNVPHTFWSSYLPSLIQQKGIAKRIASILSGYGLIAYQLGNHDSVLNHNEQHEKFDAHRLNSTGSHPKGGLAHKLSGFRALAAGAPRSGQQHLIRCLLHGFVGHTVIHKLDLATMAQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAIDKFHEQEAEDHVLNVGTSKLGSTATKNIKKCSEVWNSLVDQMGSLLASVSISVLATSELKFQDLPSGVRHFFSTHVVDQCLASSEHTIPRFSVNVDSYFTWDEVIDACCLWISHDLVQQHVQLLHDRAHNNHDEQKEVFVPMEISAPGEHRFSGSKEASMLTKYPLNMDKHPSCGVSSREHPTQLGTCSAQQEPPTSNVEDKEDNTEKIDFNEKVATNRSNRIVKDSESLAIMAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLQSITADKSLSGGNNVLKGKEKIPSVRGLVAVGLLAYRGAYASVLEVCSEVRKVLELLVGQVRTKILEKRSRYRYFHILSQVAHLDDIMSSWAYTFQRLHSENRRVKTSPKVTVGKSSTRECQRDSNTAEANILGAPAGCSEAQGTPGQHTDDLEVIPAHCPSEMQENSVQHAPGHLEIHDIVCDLDNDNVTSISSINAVEPDLIHSASLDVHTDSLTPADAVINDGQSCGVDNDGQMSRVINGEENRISNIERPESHTVSVADFNELQRKNAVASSTSTDSAGTSRNMVSSEARGSDNERNTDFPVDDVKLGHLVNPQSQDTMKSLSVLKPPCLYKCCPVCLNAVYKMVHDILSNSVRPSLHCLAVDDMHDLLSSWSVNLLATVRKWYTSQGIVGSEENSGEGHCVCSSDNGCVPRECTCHLESNEDAGTIKDESYYLSGQPLSFFFKDGVLIPPDITAPTTLHCSYMRLCVCSIPGSISMFNRISS >OGLUM01G29750.1 pep chromosome:ALNU02000000:1:29791590:29794930:1 gene:OGLUM01G29750 transcript:OGLUM01G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQTAAHFYSGEPPPSNSSSFRLPRRVHSPRTHAAAAASRHPSRRLSDLRERRDGSANAYAMPRALVLSLPRSAAAGGITALMSGAGSGDWGGCCPCEGEKRGMKALPSPLFCHGSKVSVGESKLCHTVLLLESLITRLPVSRRVTVVCLPEDVLLSHTVVPLVSVRTRLPSWPSDTLEP >OGLUM01G29760.1 pep chromosome:ALNU02000000:1:29792492:29794885:-1 gene:OGLUM01G29760 transcript:OGLUM01G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCX9] MAALLYLTILSSLSFHLCSCASPWRTMTTGSHIRAEDHDKIFLLSPDTTFSCGFHQLGTNAFTFSIWYTHTTEKTVVWTANPYSPANGGYSPVNLYGSRVSLGHDGNLVLTDTNGTTVWESKTSSGKHTTVTLLDTGNLVIKDSSNSTVWQSFDSPTDTLLPWQNLTKNIRLVSRYHHLYFDNDNVLRLLYDGPEITSIYWPSPDYNAEKNGRTRFNSTRIAFLDDEGNFVSSDGFKIEATDSGPRIKRRITIDYDGNFRMYSLNESTGNWTITGQAVIQMCYVHGLCGKNGICDYSGGLRCRCPPEYVMVDPTDWNKGCEPTFTIDSKRPHEDFMFVKQPHADFYGFDLGSNKSISFEACQNICLNSSSCLSFTYKGGDGLCYTKGLLYNGQVYPNFPGDNYMKVPKNSSKSTPSISKQQRLTCNLSAPEIMLGSASMYGTKKDNIKWAYFYVFAAILGGLESLVIVTGWYLFFKKHNIPKSIEDGYKMITNQFRRFTYRELKEATGKFKEELGRGGAGIVYRGVLEDKKIVAVKKLTDIRQGEEEFWAEVTLIGRINHINLVRMWGFCSEGTNRLLVYEYVENESLYKYLFGERCHESLLSWSQRYRIALGTARGLAYLHHECLEWVVHCDVKPENILLSRDFDAKIADFGLAKLAKQDSTSFNFTHMRGTMGYMAPEWALNLPINAKVDVYSYGVVLLEIVTGIRVSSGIVVDERQVEFPEFVQEAKKIQATGNVTDLVDDRLHGHFDPEQAITMVKVALSCLEERSKRPTMDEILKALMLCDDEDDYHPAYSY >OGLUM01G29770.1 pep chromosome:ALNU02000000:1:29801022:29808725:1 gene:OGLUM01G29770 transcript:OGLUM01G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLCLVILPLISVLPFSYASPLLMLSTGSSLFVEEHKQTFLTSPNADFSCGFYEVGGNAFSFSIWFTNSKNRTVVWSANPKSPVNGHGSKVTLNHEGNLVLADVNGTANWDSKTSSGKGTTAVLLDTGNLVIRDSTGTKLWQSFWAPTDTLLPLQPLTKDDECGLHLLNPISGTQRSLPSITTTGYFDALPRTDGDEARFLFKVASFVETYWPEGHTGFVGWCSDIEISAEEIRSSRLLKAVPLWDPSSGEYFIMMMHCPRNRVVLARGRDAKWMPLQTRHSSTNFPGDNYIKLPKNMVSKQSDLSCNPTKEIVLGSSTVLGALVLIFTGTSWWFLYSKHNIPMSMEAGYRMVTSQFRMFTYRELREATGKFKEEIGRGASGIVYRGVLEDKRVIAVKRLMNISHGEEEFWAEMSIIGRINHMNLVRMWGFCSEGQQKLLVYEYVDNESLDKYLFGDVSAERLLAWSQRFKIALGTARGLAYLHHECLEWVVHCDVKPENILLTRDFEVKIADFGLAKLSKRDSTSLNFTHMRGTMGYMAPEWALNSPINAKVDVYSYGVVLLEIVTGSRISSGIKVDGREVELRDFVQVMKHILATGDVKDVIDTRLNGHFNSEQAKVMVEVAISCLEERNSRPTMDEIAKAFLACDDQDNHPAYSW >OGLUM01G29780.1 pep chromosome:ALNU02000000:1:29815663:29818119:-1 gene:OGLUM01G29780 transcript:OGLUM01G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCY1] MAGLVIGYLAVQLSLMSLLLCPSSSTAQHTLGRSSMSVEDHARPFLVSTDGSFSCGFLEAGDNAFTFSVWFTADPNRTAVWSANRDAPVNGRGSRVSFSRDGELALADTNGTTVWSSKTTGTGNRRGLTVSLRDTGNLVVGDPSTGLAVWQSFEWPTDTLLPSQRFTKQTKLVAGYFSLYFDNDNVLRMLYDGPEIASIYWPLPGLTVFENGRTNYNSTRIAILDDAGVFLSSDQTKAEATDLGLGIKRRITIEQDGNLRMYSLNASTGGWAVTWSALKQPCQAHGLCGKNGLCEYLPSLRCSCLPGYEMVDRRDWRRGCKPTFPVGNCSQGSAPPPSPAPALPQFKFIEVAQTDFFGFDLGYTESITFKQCRDQCMNNCQCTAFSYRLDGRGRCYPKGTLFNGFTSANFPGSIYLKVPLDFNASSPRVSAQRAAGLACGANVTVVPVSADVYGMAPGSNGQWTYFFVFAGVLGVLDILFIATGWWFLSSKQSIPSSLQAGYKMVMTSQFRRFTYRELKGATANFKEELGRGGSGAVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWSEMTVLGRINHINLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDASDGSGGKATTLAWSDRYKIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFEAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNLPINAKVDVYSFGIVLLEMVVGSRVADQRTEAGEPLQLPQITQALRHVVDSGDVMSLVDSRLQGQFNPRQAMEMVRISLACMEERSSRPTMDDIAKSLTAFDDEDEHPAYHS >OGLUM01G29790.1 pep chromosome:ALNU02000000:1:29818699:29819382:1 gene:OGLUM01G29790 transcript:OGLUM01G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRYQTILHNRMIPSRYQVSDDSTHVSGDTCKYHVILIRYHAISTTYRVILTSYHVKPVRYQTISTTYRVILTRYHAIPIMYQTISTTYRLMLVRYHVILVRYQTISTMYRVILTRYRMKPTRYQVIPTRYSGAFRWGRSTPTRAVDPLQSSLVDEDGDGGGRRGWARRWRGTATAAVGDSDGGGGYDGGGDGGRRWQRVSRNRVVEEKLSR >OGLUM01G29800.1 pep chromosome:ALNU02000000:1:29823918:29826362:-1 gene:OGLUM01G29800 transcript:OGLUM01G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCY3] MAGLVIGCLAVQLSLASLLLCSSASTARHTLGTGSSLSVEDRSCPFLVSPDGTFSCGFIQAGDDGDNAFSFSVWFTTAKDGAVVWTANPEAPVNGRGSTLSFRHDGELALADTNGTTVWASRTGGGGRGLTVSLRDTGNLVIEDPSTGRAVWQSFDRPTDTLLPSQRFTKDTKLVAGYFSLYYDNDNVLRMLYDGPEIASIYWPLPGVSIFDFGRTNYNSSRIAILDDAGVFRSSDRLQAQASDMGVGVKRRLTIEQDGNLRIYSLNASTGGWAVTWAALSQPCQAHGLCGKNGLCVYLPSLRCSCPPGYEMIDRRDWRKGCQPMFSVGNCSQPAAPERFKSVVVPQTDFYGYDLMFNGSSITFELCRNQCLSDCQCVAFSYRFDGVGRCFTKGRLFNGYTSANFPGNIYLKVPIDFDESSPLVSARSAAGLTCNPNVSIVTVPAAVYGMAPRNSGKWTYLFVFAGVLGVLDLLFIATGWWFLSSKQSIPSSLEAGYRRVMTSQFRRFTYRELKDATANFKEELGRGGSGVVYRGVLDGGKVVAVKRLAVDVTMQGDEEFWAEMTVLGRINHMNLVRIWGFCSERKHKLLVYEYVENQSLDRHLFDTAEGGGGISTTTLAWKDRYKIALGTARGLAYLHHECLEWVIHCDMKPENILLTRDFDAKIADFGLAKLSKRDGGAGVELTHMRGTSGYMAPEWALNVPINAKVDVYSFGIVLLEIVVGSRVADQRTEAGERLQLPQIAQALRHVLDSGDVRSLVDARLQGQFNPRQAMEMVRISLACMEDRNSRPTMDDIAKALTAFDDEDEHPAYRS >OGLUM01G29810.1 pep chromosome:ALNU02000000:1:29837139:29839964:-1 gene:OGLUM01G29810 transcript:OGLUM01G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCY4] MVEEYEKPFLISPSNTFSFGFYETGDNAFSLSIWFTNTVEKTVVWAANSESPVNGHGSKLSFTQEGSLVLSDEKGFVVWDSKTMLGQDSRVALLDTGNLVITDSKGSVVWQSFDSPTDTLLPLQLLTKDKRLVSGYYSLYYDTDNVLRLIYNGPDISSPYWPNPSESIFDFGRTNYNSSRIGVLDNTGHFTSSDGLNIIASDSGLGINRRLTIDQDGNLKLYSLNKVEKSWIVTWEAMPQLCDIHGLCGTNSICEYSPDPRCSCLPGYEMADQENWSKGCQPMFRTNYSQVAEQVMFVEMRQVKFYGFVMGFNTSISLEDCEKLCSEKHSCLAFSYGIGEGSCYTEIQLFSGKKTPSTTMIHSTYVKLPKTANISDVKQYDLICKPNSVYEMHQDDKRWFYYYTSIAIFGGLELFFITTACLFLRSKQNIPKSVIDGYELMTEHFKKFSYRELKAATGNFREELGRGGSGVVYRGVLDKKRVVAVKRLANATEAEEEFQAEISIIGRINHVNLVRTWGFCSEGKDKLLVYDYVENESLDKHLFESIGEKRLHRWSQRFTIALGTARGLAYLHHECLEWVVHCDVKPENILLTQDFEVKIADFGLAKLSKRDFSCLQLSHMRGTVGYMAPEWALNLPINTKVDVFSYGIVLLEIVMGARISSQTSAEGEKLDLAQIVEALKQVVACGDVTRIVDAKLHGQFNHLQAMEMVKISLSCIGERTKRPTMDEITKALMACGDEDKYADCTE >OGLUM01G29820.1 pep chromosome:ALNU02000000:1:29843375:29844167:1 gene:OGLUM01G29820 transcript:OGLUM01G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQERQGGGVQRGGVHLSPLYLYFLRVFTDEEAAARDGLWKRSGARRLAGEEQRAAANLPVSSSSAAAVWIPPLSCRICTAAAMVLSPPGMARRVGTWKGGRSSGATTVAGHERSGSSGRGRGRRRVVRGRRRYHGGRSRWWSPRRSPAASSSSAAARVRQGAGVTAAAAARAEPDRRVNDGLEELKGCVDLGFGFSYDVIPELCSTAPVLELCYSMTQRCQSGAPAAARPRPTAQPPRSRGCGQGVRCSPRAAEHA >OGLUM01G29830.1 pep chromosome:ALNU02000000:1:29845144:29852499:1 gene:OGLUM01G29830 transcript:OGLUM01G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAERGRPSGEAWAQGTRSSKVSVGEVKLSHKIVPLESLTTRFPLSSSAAVDPSPALMVLVQTVEPLTSIRTSNTFDPCPFTGDRRFADQTTVLPAVLVSQMEKALSPSPVSSPPQS >OGLUM01G29840.1 pep chromosome:ALNU02000000:1:29847742:29850060:-1 gene:OGLUM01G29840 transcript:OGLUM01G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCY7] MAKFLYLIILSFFLSLLVFCTSASPRLTLHTGSSFSVEDYKHTFLTSPNSTFSCGFYPIGTNAFTFSIWLTNTAGKTVVWSANRRSPVNGHGSKVLLHDDGYLVLIDVNGSTVWTSTMSAGEGSTAALLESGNLVVRDSSGTILWESFTSPTDTLLPAQQLTKDTRLVSGYHSLYFNNDNSLRLVYNGPEFSSIYWPNDDYTMFRDGIKVKNNSRLAVLDDKGGFFSSDALTVQASDFGLGIKRRLTLDYDGNLRIYSLDASDGSWTVTWQAIVEMHYVHGMCGKNGICEYLPELRCSCPPGFEMVDPQNWSKGCRPTFSYNCGKERYKFIEIPQTDFYDFDLGFNQSISFEECQNICLSTCSCIAFSYRLTGTGVCYPKGLLFNGYKSPAFPGTLYLKVPYSTNLQASSTQSALTSSPGSQEIATPSDHPRWLYFYIFPGVFGALELVFILTAWWFLSIRNDIQNSAEGGYMMIRNQFRRFTYQELKEATGKFREELGRGSSGIVYRGVLKDKRVIAVKKLIDVTRGEVEFQAEMSVIGKINHMNLVRIWGFCSEGKHKLLVYEYVENESLDRYLFNTMGTERLLVWKDRFNIALGAARALAYLHHECLEWVFHCDVKPENILLTRDFEAKIADFGLSKLYKREGSSFNFSQMRGTMGYMAPEWATNLPINAKVDVYSYGVVLLEIVAGQRISSHTTREGKVTKLKQFVENVKEALATGDTKCIVDGRLHGQFNSEQAMVMLIVAVSCLEEERSKRPTMHEVVKTLLDCEE >OGLUM01G29850.1 pep chromosome:ALNU02000000:1:29855311:29860529:-1 gene:OGLUM01G29850 transcript:OGLUM01G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YCY8] MVGIDLNTVEEEEDEEEGGATGTVTAPAEARAGGAVCLELWHACAGPVAPLPRKGSAVVYLPQGHLEHLGAAPGSGPGAAVPPHVFCRVVDVSLHADAATDEVYAQVSLVADNEEVERRMREGEDGAACDGEGEDAVKRPARIPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSGFINKKKLVSGDAVLFLRGEDGELRLGVRRAAQLKNASPFPALHNQISNTSSLSEVAHAVAVKSIFHIYYNPRLSQSEFIIPYWKFMRSFSQPFSVGMRFKLRYESEDASERRRTGIIIGSREADPMWHGSKWKCLVVKWDDDVECRRPNGVSPWEIELSGSVSGSHLSTPHSKRLKSCFPQVNPDIVLPNGSVSSDFAESARFHKVLQGQELLGLKTRDGTVNTASQATEARNFQYTDERSCSINMSNNILGVPRLGVKTPSGNPGFSYHCSGFGESQRFQEVLQGQEVFCPYRGGTLSDACIRGSGFRQPDGNHAPGAAFKWLAPQGCGITTSVLPQASSPSSVLMFPQTSSKMPGLEYIYGCLDRNENSRHFKIGPTQDMTRTDQTLRLWPHLISGKVLDECTRNEKLHSPVGGAEHESNNKCLNTNGCKIFGISLTEKAQAGDEVDCGNASYHSRLQSLKPQMPKSLGSSCATHFHMLCYRIQDDDRGNADDARRMLVKFVVMHF >OGLUM01G29860.1 pep chromosome:ALNU02000000:1:29899373:29899750:1 gene:OGLUM01G29860 transcript:OGLUM01G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRRRPQRPRRLLLGDGGGPSVHGGGGPSVHGDGGAPNVHGDGFFDGGGPSIDGTTTTKKASKGSYRRQEGGRNPRMHEYNKNGEKFRSARGPGGATSRTRPEAKKAVPKIGDEESPRRSRR >OGLUM01G29870.1 pep chromosome:ALNU02000000:1:29908228:29912372:-1 gene:OGLUM01G29870 transcript:OGLUM01G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWCNSFNDVRAVENNLATAAAVAAAKKQQQQQVSQHVNLIKTCPSCGHRAQYEQAAAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDEFIPTIEGENGICYTHPERLPGTSGRDVETIGAVRHGRVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTGGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGGGSTATAKDLSVDLVAGNNIKASNAAAEHHHNDGVGGGGHGGNNSSMLKEAAGIVDFYNPAAALIGYSQAAPNNRAAASAHLTMPNFEVHTGGAGFGP >OGLUM01G29880.1 pep chromosome:ALNU02000000:1:29917697:29922339:-1 gene:OGLUM01G29880 transcript:OGLUM01G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46100) TAIR;Acc:AT5G46100] MPPATPSATRWPKTLTADHLHRLVRAERDPRRALALFDAATARPSSASPPDDSTAAAAAPVLPSRDTVSLLTSRLASASLLPLASSLLSRSRELFPSPGELEPPFLSLLRAYSRAHQPLAALHLFRSAPSALGLPHSARSYTAVLAALVAHSHLSLARSLLADMRAAGFAPTTATYNVLVKAHCSDAAVPIDDAVRVFRNIPKPDACSYNTVIDGLCRRGRLPEARDLFAEMIANGTAPTVVTYTTLIHWLAREACFDDALKLFDEMARRGIMPNVVTYSSLIDGLCKGGRAASAVELLDRMVKERKLPNTITYSSVIDGLCKEGCLGQAMEILDRMRLQGRKPDAGLFGRALEASNYLDEMNFAGIRPNRLTWSLHGRINDAVVTALCSKGEVVRAFQVYQSMRTRGISTKPTTFHLLVECLSKKNNLEKAAHVVRDMLSERCIPERETWDTIVRAYWSKKKGPCAAVAKVGARNWKTGTVSEGRNLMFLCLGDCFLHGLMLLASSVLRGSLARGGITHMDTSGSVRYMYSIVRYMECIRSKSSVSVTRSNI >OGLUM01G29890.1 pep chromosome:ALNU02000000:1:29923067:29923788:1 gene:OGLUM01G29890 transcript:OGLUM01G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRALPLLVLGMALCALALFPFVASVSRDLRHHPAGFVIGGRGLGMEISGFVVNGPQKSHC >OGLUM01G29900.1 pep chromosome:ALNU02000000:1:29923285:29924059:-1 gene:OGLUM01G29900 transcript:OGLUM01G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYAGGQGSDGQERRETRSPLPLGGRRRRRLGCLLCCFDDGKDGEGKGEELGQCAARALRMTSLWVRDHAVELPEMVVQAGRRRRKPH >OGLUM01G29910.1 pep chromosome:ALNU02000000:1:29929611:29930248:1 gene:OGLUM01G29910 transcript:OGLUM01G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAYHTYGYNNIFILDMWELSENGCDEAMLQGYDNGVGHRCGSRRHYKKIASSTPAHQHDNLEY >OGLUM01G29920.1 pep chromosome:ALNU02000000:1:29932520:29951250:1 gene:OGLUM01G29920 transcript:OGLUM01G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDGAAPAEGSAASTPPPAPPAAAAAAAAAVSAGSTGASGSGEKTVKRMMKSPYQLEVLEKTYAVEQYPSETLRAELSAKIGLSDRQLQMWFCHRRLKDRKPPTKRQRREEEAAAVPLMAPPPVLPPPALPLSSGELLIGASSPYDEPPLPPVHSRRGAGRSSAVPRLSAPDIGRRYYEPLPVMLPPPPVASMQLMPSELRVIHSVESQLGEPLRDDGPVLGIDFDPLPPGSFGAPIVPEQQKQHVRSYDTKIYSRHDSKLLKASAFFPSVEHPFVPNSIAGKRKSMVGNLPPVHPHAGSRAVHEYQFLPEQPSDRYEGASRSHEGASRSHYYDTPVEASNSRMSSHTPGSHLLRGSDEAAPGYAFQGQMSGSGHLPQSGRREVLPAVPTDYEMIQSNSDLNSVPVEGQYGISQVAGIENSLLPSERRAYHDEDGSRVDRKRKHNEEAKIAKEVEAHERRIRKELEKQDMMKRKREEQMRKEMERHDRERRKEEERLLRERQREQERFLREQRREHERMEKFMQKQSRRAEKQRQKEELRKEKEAARQKAANERATARRIAREYMELVEDERLELMELAAQSKGLPSMLSLDSDTLQQLDSFRGMLTPFPPEPVRLKEPFSIKPWTVSEDNVGNLLMMDKQIDLFDTLASLHQVWKFSITFADVLGLSSVTFDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVSRTPSVALAVNPAGGHPQIVEGAYAWGFNIRSWQRHLNVLTWPEILRQFALSAGFGPQLKKRNAEDVYYRDDNEGHDGQDVISTLRNGSAAVHAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAERIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEVVLSSAREKIRAFQNVISDSEAEKEADDAERDEDSECDDADDDPDGDDVNIDVGDGKDPLIGVKEQDGVPITTIVDSTKREKEKVDALTQSSDLTTSGKEAPKSSLGKPSSANTSSDSPVRASSEYHEVPPTDAEDKEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALVSVANEGNFIRAVLEERLESANALKKQMLAEAQLDKRRSKEEFAGRVQYNSNMNLKADVNQENATESTPTPFHNVDKHNDGNAGVVDNNNNEIIDHNSNAANASYERNGLGQDITATPDTLSVQQYAYADKTRSQLRAYIGHRAEQLFVYRSLPLGLDRRRNRYWQFSTSASPNDPGSGRIFFECRDGYWRVLDTEEAFDSLVASLDTRGSREAQLHSMLQRIEPTFKEAIKRKKSAVVEQSAGRYLKNGATEMIRASYRSDFGSPSSNLSGVTSDSATAYSDSFKIELGRNDAEKTAISKRADVFIRWMWRECNDCKLTCAMEYGKKRCFELMHSCNYCYQIYLAEERHCSSCHKNFKSIHNFSDHASQCEDKLRTDHNWKMQTADHSVPIGVRLLKLQLSTIEASIPPEAIQPFWTDGYRKSWGVKLHSTTSLEEIFQMLTLLEAAIKRDHLSSEFETTSELLNLNTQDNPSQNHVGLSGSAAVLPWVPDTTAAIALRMLDLDSAVSYMQNQKMERNGGDFMKPPSRFVAVKNAQELDPLETTGLDLFDGRWATGSGRRGRGRGSRGGSRGGRGRSRGGRVPRGISISSRIGFKDENEASRKNTRRGRTRGRGRGRGRRTVRSRQPSEGKGRSIPKENLLGSFSMLSNAKAATVEESPRSSGADEWGLENRRPYIDGDENSSGSQLDQSEDNEENGQPMDEEYDEQVPDYSRGYSGGSRPHGMIDDDVSEEEDEDAEGDDDGEEDDADRAVDDVDAEMDEDDDIGDDGEDGGDGVEANADEDEGGSSYSSEYSD >OGLUM01G29930.1 pep chromosome:ALNU02000000:1:29952986:29956623:1 gene:OGLUM01G29930 transcript:OGLUM01G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGARLPFGGTPGADSDGGRSDSIGDPTKLEQQQQGIMDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMALELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >OGLUM01G29930.2 pep chromosome:ALNU02000000:1:29952976:29956623:1 gene:OGLUM01G29930 transcript:OGLUM01G29930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMALELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >OGLUM01G29930.3 pep chromosome:ALNU02000000:1:29952894:29956623:1 gene:OGLUM01G29930 transcript:OGLUM01G29930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISSLAAAKRFYAAQLGHVDDMAGIGMEEVEMEMEDDGEGMALELEMQLEEATWPDVACPYCYEDHDIASLCAHLEEDHPYEPHTAPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >OGLUM01G29930.4 pep chromosome:ALNU02000000:1:29952894:29956623:1 gene:OGLUM01G29930 transcript:OGLUM01G29930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >OGLUM01G29930.5 pep chromosome:ALNU02000000:1:29953917:29956623:1 gene:OGLUM01G29930 transcript:OGLUM01G29930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHKPCPICFEKITRDMLNHITMQHGYLFKSGRRMRRFVIPESQALSLLSRDLRDAQLQALLGGGHRQRRSNTTATNISADPLLSSFGLGFSTLDSEERSKAPVPIPDDTSIHKDTPAQPWESRIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFED >OGLUM01G29940.1 pep chromosome:ALNU02000000:1:29957460:29964178:-1 gene:OGLUM01G29940 transcript:OGLUM01G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRASQRRGGAAAGGASPAAEPYNIIPIHDLLAEHPSLRFPEVRAAAAALRAVGGLRPPPYSAWREGQDLMDWLGAFFGFQRDNVRNQREHLVLLLANAQMRLSSADFSDTLEPRIARTLRRKLLRNYTTWCGFLGRRPNVYVPDGDPRADLLFAGLHLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYIDTSTGRPANPAVHGENAFLTRVVTPIYGVIRAEVESSRNGTAPHSAWRNYDDINEYFWGRDVFDRLGWPMEQSRQFFRTPPDRSRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYMQAAAIVAWESEGLPWRSLGNRNTRVRVLTIFITWAALRFLQALLDIGTQLRRAFRDGRMLAVRMVLKAIVAAGWVVAFAILYKEAWNNRNSNSQIMRFLYAAAVFMIPEVLAIVLFIVPWVRNALEKTNWRICYALTWWFQSRSFVGRGLREGTFDNVKYSVFWVLLLAVKFAFSYFLQIRPLVKPTQEIYKLKKIDYAWHEFFGKSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGAFVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNERSFLPNRLRNFWQRLQLRYGFSRSFRKIESNQVEARRFALVWNEIITKFREEDIVGDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRKLWRKICKNDYRRCAVIEVYDSAKYLLLKIIKDDTEDHGIVTQLFREFDESMSMEKFTVEYKMSVLPNVHAKLVAILSLLLKPEKDITKIVNALQTLYDVLIRDFQAEKRSMEQLRNEGLAQSRPTRLLFVDTIVLPDEEKNPTFYKQVRRMHTILTSRDSMINVPKNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEFFVERMKREGMSNIKELYSEKQRLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLRTGSRELATMGSSRIGSSRREVGSDGSGYYSRTSSSRALSRASSSVSTLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPHAFEILELMKNYEALRVAYVDEKNSNGGETEYFSVLVKYDQQLQREVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRHYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTIGFYFNTMMVVLTVYAFVWGRFYLALSGLEAFISSNTNSTNNAALGAVLNQQFVIQLGIFTALPMIIENSLEHGFLTAVWDFIKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTLYASYGSSSGNTLVYILLTISSWFLVLSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWEKWWEEETDHLRTTGLFGSILEIILDLRFFFFQYAIVYRLHIAGTSKSILVYLLSWACVLLAFVALVTVAYFRDKYSAKKHIRYRLVQAIIVGATVAAIVLLLEFTKFQFIDTFTSLLAFLPTGWGIISIALVFKPYLRRSEMVWRSVVTLARLYDIMFGVIVMAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKSHGV >OGLUM01G29950.1 pep chromosome:ALNU02000000:1:29976156:29981887:1 gene:OGLUM01G29950 transcript:OGLUM01G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53770) TAIR;Acc:AT5G53770] MARKARPAEPPTPGRKGRKPLPSHAPPTEAAAAAAAASPAAATASPAADAMEAEAGGVAIVYDALPGLTLAFSPEEEEHLEGATADLGGASTSASAAVVEEVEDATAAYSVFRNEITAAGDALVDIPAADFFSLDVSAAVEDEPATPRALSPQPAPEATLSGSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVKAVSNVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLIAFFDFYGRKLNNWDVGISCNSARTFFLKTDKNFANPDRAYLLAIQDPMVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSTSEDTSPSPTQKRKSSKPKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >OGLUM01G29950.2 pep chromosome:ALNU02000000:1:29976156:29981887:1 gene:OGLUM01G29950 transcript:OGLUM01G29950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53770) TAIR;Acc:AT5G53770] MARKARPAEPPTPGRKGRKPLPSHAPPTEAAAAAAAASPAAATASPAADAMEAEAGGVAIVYDALPGLTLAFSPEEEEHLEGATADLGGASTSASAAVVEEVEDATAAYSVFRNEITAAGDALVDIPAADFFSLDVSAAVEDEPATPRALSPQPAPEATLSGSLAPAEQPAQGSERAWFRGGRRFRSPMLQLHKEILDFCDFISPSAEEQSSRTAAVKAVSNVEVFGSFRTGLFLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVERKSEIAFDISFDMDGGPQAADFIKDYVKKFPALRHLCMILKVFLHQRELNEVYTGGIGSYALLTMLITHLQLVWGGKDILGYRKKEHNLGILLVPDNDIGKNSFNYFKVKSAFSKAYSVLTDANLITSLGPNRSILGTIVRPDSVLLDRKGWNKDATIPDMLTEPWEPLPRQFDSDNDAVYNWHVIDDEPLPRNTRSTSEDTSPSPTQKRKSSKPKQRSRKKAKADSSSGNNAENGFKRGKGLAQCDRSHQSAGSSRRSKGPREYDRFTNTLPQYTQHISRW >OGLUM01G29960.1 pep chromosome:ALNU02000000:1:29982776:29984891:-1 gene:OGLUM01G29960 transcript:OGLUM01G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLPADPAAARCSFDQSRRRPEDLQQEKRMVRTFVNVYGGQESYTKEAVMAAVEECMKKQAEGLLHSLEGIGGRLSQLELYCYKLERSIGELRSDVMDYHSEGTVNFRCLEKNLRQVQKSVQILQDKHEISETPNEFSKLQIAHEFPARANEASAFSTFGRENDHSTQVAKHEVAFMPLQQVNAMQSPAVPVQSSNGYILQQLVPVSLSTQPDQQQPSQAAVYYMQSQNPIKCTESEPSESAVHVIQSQIQNPEARVAVDLSQKSSQVTELYPQPQDQRLHLPAQQVESQAWRTQPLVVQPQQYNIQQVPPQLVQQQTSSPQAQSAPQVAVLYPPYSSQKPASATTEPLLRNMVVHSPYSSPQQKHHEAMPSFYGQGNTVLLPSTDLNIQHQQPQPLQQHGLSSCPPQPSKSNHCSVASYAVQGSGQSYSATFKNPSNCAATVVAVLPQHPASGPMAFHHLGPQVVHNQPFGNMFETASVVGYPRDRVESVALPVVTAAQPADSVAMADKLNAGSNVTSPREWSG >OGLUM01G29970.1 pep chromosome:ALNU02000000:1:30003313:30003618:-1 gene:OGLUM01G29970 transcript:OGLUM01G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRASIIVAAVIAACVLLVCMTTSSVVDAAAAAPARRLLGSGRDDDAVAAPVVDVAAAAEPIMQQPAQMVAPVVADGDDGGVVPAGSKRLSPGGPDPQHH >OGLUM01G29980.1 pep chromosome:ALNU02000000:1:30038717:30039892:1 gene:OGLUM01G29980 transcript:OGLUM01G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPRPPWSDGLPPELLGVIFEQLSCLADRACFAAVCRPWRTAAAFVDAPQRGLPWLLLPSRDAPSFFSLHSGATRHLTLPEGVRGARLCGAHDGGWVAVAVDPWRGFAAVNLFTGVRVTLPEKLRLEVPYAHGYGPVTVTSHHPMLVRTIVFSAPPASPDCIAAAHVSSASNIAFWQPGMSRHWIASRPEPDVIQDIIYYSGEEKQGFHVLTNREEVLVFAPRAGRDPNALLEMTCASYQMRRRANHLPASFIATRYLVESRGKLLMVVRHCTGNPRVRRRTRMFRVFEMSLLPTGAYWLEIHELSGRALFLRRGCSRAVEVSQFKMLKEDTIYFLDDANVDMCDSMVMNNGSRYNMGIYRDGKKIRAGSRQFPRGFTADCSPPIWLVP >OGLUM01G29990.1 pep chromosome:ALNU02000000:1:30041061:30041324:-1 gene:OGLUM01G29990 transcript:OGLUM01G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGVVVAVIAACVLLVVGMTAPPVDAAAAARRLGNGRDAAVTDPALEAMMPAQTTVAPVVADGGDVDVSGSKRLSPGGPDPQHH >OGLUM01G30000.1 pep chromosome:ALNU02000000:1:30042528:30049161:-1 gene:OGLUM01G30000 transcript:OGLUM01G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADEPSITRWTFEDFEVYYEVRLGIRREPGGDEDGDGDGGGGRGYAPLGSGSAGSTRPSAAHANGGADLAVFEQFERLERKVELRNGAIEAGPPQKSLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFKGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDDLVFVLAATNLPWELDAAMLRRLEKQQEARHAMFEELLPSVPGTMNIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEGRQEEVPEDELPEVGPVTTEDIELALWNTRPSAHLHVHRYENFNQDYGSHVLS >OGLUM01G30010.1 pep chromosome:ALNU02000000:1:30051015:30053606:-1 gene:OGLUM01G30010 transcript:OGLUM01G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >OGLUM01G30020.1 pep chromosome:ALNU02000000:1:30058656:30059435:1 gene:OGLUM01G30020 transcript:OGLUM01G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPSTAAADASGFKLFGKVIQPDGQRGVEESAAAQAPPHPHPPAPPVMEAAAAGTSQTLQAAGGGGGGGGGGGGGEPLPCPRCGSRETKFCYFNNYNDSFGGQMDEASNERDKKRKFGNLGAFKIGRQFK >OGLUM01G30030.1 pep chromosome:ALNU02000000:1:30060497:30063587:-1 gene:OGLUM01G30030 transcript:OGLUM01G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRGASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNRSCPEEDRPRFYKNLIWGYYQDKMHTQSLRSEYTI >OGLUM01G30030.2 pep chromosome:ALNU02000000:1:30059450:30063587:-1 gene:OGLUM01G30030 transcript:OGLUM01G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRGASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLEALVALVWSPPIFPTLLRLLQGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCC >OGLUM01G30030.3 pep chromosome:ALNU02000000:1:30059450:30063587:-1 gene:OGLUM01G30030 transcript:OGLUM01G30030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase protein I -related [Source:Projected from Arabidopsis thaliana (AT2G31040) TAIR;Acc:AT2G31040] MSLPALAAGRGASVRPPRASAASGEAAAAAAADQPAGRRPVKVILPKKKPQKWSTGMAPGEYGGGPATPKPRKYWMGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGADTPPTITRLTPVDEDTESGFLSINRAMSLDSVDVDLSKELQAPTRPILKTQVEAAWRGRAIGAEAVNGVASPRWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPEVLAAKSREQYLELKQRLQLFTLGIGGIGLVSAYFSYSPEIAASFGAGLIGSVLYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVALVMMYNRWNEILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQESIPAVGNQPKHGQPKELKKTKISINHGSICHSDSSSHCLEACCCC >OGLUM01G30040.1 pep chromosome:ALNU02000000:1:30064229:30068597:-1 gene:OGLUM01G30040 transcript:OGLUM01G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDLVSSDSESEEEDEARVHSPDRKRAACEADPRTESESFLERERMARLLHRHPHPPPSQEVKKGKEKVGEGEMLERAVSAPVDPLIGSRGCTLGAGGESKPGDGGNGGSISAPQDESDSKGLQEGHGQHGLLHSGSGTPDDKWKGILGARPADPAVDKLSHSQDNGKREDEVPMHGPSSVATNEVTGAGDVSMEDGSSTWLSRIKGLNYPLPDENQLRTRQIESDEEFARRLQEQLNKEQPGSQNLEEVDTTLAWTLQEEDAEHARNAVREGQSSSSQRDRSMAHLYSFGRHSPVHSINVLTPIPSRRGSQRSFNRPDREQQNMLISQLTRGCFRDENMDLETRMAILDSLEEAFGNFGEEFMSESDDDDYESLIRLDDNNHHRGASDIQINNLPLSVVEGESCSDEPCPICLDCPAAGEYLRRLPCLHKFHKECIDKWLRMRISCPVCKSEVI >OGLUM01G30050.1 pep chromosome:ALNU02000000:1:30073065:30074057:-1 gene:OGLUM01G30050 transcript:OGLUM01G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPPPNPNLPYREDCWSDGETAALVDAWGRRYVDLNRGSLRQPQWREVAEAVNARPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGTPSSWYFYRDLDMLVGPTLSAAAGGGGSAKKPSPPRGLPMMRRRLESPSRSPSPPSPTPAVALPLPNYRQASNLPSAGLLFNKMAAAAAAESDSEDGYNNPNNNYEDDEDDGSQQSASRSVSSRSGGVAAAGAGGGGVSSSKRKRGGGGGGGFGELARAVETFAEMYERMEFAKQRHAEEMERQRIKFLKDLELKRMQAFVDVQLQLAKAKHRKHPDGATEMLMSLAALPFLSTPAYL >OGLUM01G30060.1 pep chromosome:ALNU02000000:1:30075493:30082598:-1 gene:OGLUM01G30060 transcript:OGLUM01G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDTPTSSGKSEVNSCEPSWWPPDFLAKIESVSLSRKQSVFSDKEPRSNLRSSSWKASQLLWSTGTYSGFIPNGFYSIIPDKKLKENFPTIPSLDDLQTLEADGLKADIIIVDVERDKKLFMLKQLSGALVKGLNSSPALVIKKIAGLVFDCFKSLDPDVSPARSPTEDNHFFGNKGSQLLGQIKHGSCRPRAILFKVLADAVGLESKLVVGLPDDGGVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIEASSNLSGRSLRNVMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRRGVAEEPRGASSSPEHPLMKTRARSILGGEQHSFQEYAESGVTSRSDGLGGASTSKTRRIRGRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVNEGSPSYVGEDQNNASDCPNNDDTSGGVVATNNGPRNRNGSTQKAMSLPSSPHEYRAQISETINPCDFVSKEKMVLAWNKVLQSSPFLNKPLLPFEEWNIDFSELTIGTRVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSYIVSWGMHGTTTLVNGNRIYGNGIAVLSHPYEWSEKEAQLAGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMTDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWDGISPVQVVYTVANEGSRLEIPEGPLGKLIADCWAEPQDRPSCQEILTRLLDCEYTVS >OGLUM01G30070.1 pep chromosome:ALNU02000000:1:30082706:30084536:-1 gene:OGLUM01G30070 transcript:OGLUM01G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTRPASFSRRALVKFLSGVSNSQPLPSSSSSSSSHSPVRVSADSATDRAPPPLTAEHAARRPDPGQVATPRATGSSWGVARGLTLPDTD >OGLUM01G30090.1 pep chromosome:ALNU02000000:1:30086648:30088275:-1 gene:OGLUM01G30090 transcript:OGLUM01G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRFRPLALLTRSGREWRRAPRERVLDGKSGSRHKHCTTQKREHRRRSRATYCERCSALLCSLRPVLPGLLVALPHSLSRRPSESAMKDVTNGTLLPLYRPPSRPASTPSAAPLPRLSRRLTKVIGRDRRGLDSSGFGWNGSTARKNSVLTTTARSTRRAAMYAHGWCYGRPRELLCAMD >OGLUM01G30100.1 pep chromosome:ALNU02000000:1:30096811:30097678:1 gene:OGLUM01G30100 transcript:OGLUM01G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIT >OGLUM01G30110.1 pep chromosome:ALNU02000000:1:30098761:30101824:-1 gene:OGLUM01G30110 transcript:OGLUM01G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD19] MQCFIKRNKKNSTFYLYLGLTNATVDKGKFLMAARRFRRGPHTEYIVSLDADDLSQGSNAYMGKLRSDFWGTNFKIYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMCCALECPSTQETWENCLKTKFRKPTGNTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAADPNDPASSKDEETVLLQFGKVDDNIFTMDYRQPLSAFQAFAISLSSFGTKLACE >OGLUM01G30120.1 pep chromosome:ALNU02000000:1:30113156:30124956:1 gene:OGLUM01G30120 transcript:OGLUM01G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30610) TAIR;Acc:AT1G30610] MWKMVDALLRCSALVALVLHFVVNGCSAVNTEGSALLKFQSRVEEDPHGAMAGWSERDGNPCSWNGVRCVDGRVESKGSLTKSVLSNNLFDGPIPKEMSDLAMLEILDLSNNNLTGEVPQEIAEMQSIKHLLLSNNNFQWPLIQNSYRNFDQEIDFDVYDERGDVDQRSENGFESDSSSEENTKDNNNLSARLSSQFAARNPTVQLSRRRLLEDTNLAAASANAPVPAVASVPSTGTGSFSAFKEIKVPPPLSPPSSPPMSSGPPQRSKRWLYAIVISSIALLLIGIACMFLLCRNKSVATIGPWKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSSVIETNKDWSKHSEGRFRKKIDLLSRINHKNFINLLGYCEEENPFMRMMVLEYAPNGTLYEHLHVEGFDHIDWNGRMRVIMGVAYCIQHMHELNPSITHPDLQSSAILLSEDGAAKVADMSVWQEVISKGKMPKNDDIVDHHEPVSADPAGNVCSFGLLMLEIISGRPPYSEHKGSLANLAMECIKDDRNISCLLDPTLKTHKENELEIICELIQECIQSDPKKRPGMREVTTRLREVLAISPEAATPRLSPLWWAELEILSVEAT >OGLUM01G30120.2 pep chromosome:ALNU02000000:1:30110254:30117493:1 gene:OGLUM01G30120 transcript:OGLUM01G30120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30610) TAIR;Acc:AT1G30610] MAAPPPSATVGLIPNLAGRGVLLPASAPDSSACHGFVVPTRRRRRVSVAPFGWGLARRGRVSDARADGFGAMCAVAGGEAGPGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKSLESTESDGRSSRRRFTGDGDAPVVWRGDKRSGDGRSTSFDRQKGSQRNRGDVNERSMANNDIQNNSIRLVEKKKPGDVEKRRGRQGKVDEYVQRRIVRGEISEDEGNVDKNERKQFISQLKMKDTRGSMVAHQSERNMHVQSNARKGLQRQSTSMVRHISSPPNSRIILENTKSLVKRGKENFSSPARSIYENNFKYPRERKFTNYDVNADDKFQRYQQTTENSGRGVVVGRFGEGDIDYNKATVSKRYGNRQATSGHDGHPTVSLKRGKPEAIRMQRGENVQTGKFIRRDAKAIDLDDRAAFKTFEVFTDVRNRPRVLQMELEDRIQKLASRLNATDVNTPEWKFSKMIHDAKIKFSDHSILRVVQILGRYGNWKRVLQVVEWLQSRERFKSYKSRYIYTTVLDVLGKAKRPFEALNVFYTMLDQLSSYPDMAAYHCIAVTLGQSGLVKELFDVIDRMRSPPKKFKLSPIQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKVEKASIPGALNYKVLINALWREGKIDEAVMAVKGMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFDEMCKYCSPNNVTCNIMLKSYTEHGMFEDAKDLLENILSGRIRSKVESSQKAIADKFTFNIFMEACAEAKRWNDFEYAFRKMLSSGYHFDERRHLRMVLDAYRNGKEQLLEDVWDYMCQYGRVPPAPMIMERFCLKLRQGDTVAAMSCINTFQESKIRNVSSMSWFNLLNRNGDRLKEDIIIKLLHELNNLVSSSGHSDSLYQNIISSCTEFLSVSTSVEKASSDQQMLPCDQTAGGGWRARRRRLRDVGAATALSPQQKRKLLLSWQSGN >OGLUM01G30130.1 pep chromosome:ALNU02000000:1:30125690:30125911:1 gene:OGLUM01G30130 transcript:OGLUM01G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWRHIGISTMQAARDGKGCHASASGERRDRGKEVGMVALVGIVGAFHAGEAERYIDGGDKGSGVRNKRSDM >OGLUM01G30140.1 pep chromosome:ALNU02000000:1:30127236:30130519:1 gene:OGLUM01G30140 transcript:OGLUM01G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRHLLRGHSLDRFLPIRSLIMSSSSSFSSSSPSPPPSSSSSSRGSSSGRWCGVSVAEEDEDDAAVSATTTPPLPPLQKRVLSRSHGSKAKPLGGSVDHLPPVVPPSSKNVRDSGPPSEIDLVKEKFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFVPSQQASTDGTSMEVMGTQQRRDLLINIPALRKLDAMLLHYFAVVLLPVEQEYLDNFKDEQEFWYVKKDADEGEKGDAPRQGDKWWIPTVRVPPEGLPDASKKWILHQKDLVGQVLKAAMAINADVLTEMEIPGEYIETLPKNGRSSLGDSIYKIITDDHFDPNELLSSVDLSTEHKIVDLKDRIEASVVIWQRKISNKLSWGPGVSLEKREQFEERAQTVLLILKHQFPGVPQSSLDISKIQYNKDVGYAILESYSRTLESLAFAVLSRIEDVLHADAIARDPKRTKSRRRPSLVDIPEIIDNALEEEETVNSIDANSQVTNNSIHWQEQEHEDKGARLRKVHRMVTKKLLHIEKVDNLGGGLKSFSHR >OGLUM01G30150.1 pep chromosome:ALNU02000000:1:30131026:30132801:-1 gene:OGLUM01G30150 transcript:OGLUM01G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAVGDTLPDGQLGWFDGEDKLQQVSVHGLAAGKKVVLFGVPGAFTPTCSNQHVPGFINQAEQLKAKGVDDILLVSVNDPFVMKAWAKSYPENKHVKFLADGLGTYTKALGLELDLSEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >OGLUM01G30160.1 pep chromosome:ALNU02000000:1:30139319:30140431:-1 gene:OGLUM01G30160 transcript:OGLUM01G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAGYSAGMKRPLAAVAPSCDVDGRNATAAAAAKRRERRREAKRARAAAAAATGALVPYVAPIDARPIRAVPLAAAAAARRKEADDQAAAAPPAEPAWIRKILLERLGLTYDQPVVFIARKTVTRTDLDPHQNRFRLPIFGVERRLLPMLTVDEAKEANLVENDEKGVPRPRPRPKKKRRTEKGSVVVAATAAADGELQKPQGTTPETNVEGEDQAPPRPKPVPKKKKRRTEKGSVDVADGEQQQQQKGREKEPRKKAQGKDHGGVPVTVLHLSGAMKELRLVLWDSSHGTIIKGTGYMDFIAGTGLREHDAVQIWAFKRRGFRLFGATVPESRFYLVIVGGSWRPLEAPPPQCMLLPSHAPVEVCA >OGLUM01G30170.1 pep chromosome:ALNU02000000:1:30142956:30145592:-1 gene:OGLUM01G30170 transcript:OGLUM01G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD26] MSRRNAGAMQREGSVKDWEEFDPSPSPKLAYSQSYVAMRGLLTSVASLDLVLMSSSLKSAWAAISSHKHARSLERSRSKGMSLKRAMLQLLVCFMVGIFIGFTPPFSVDLPGKIASENGRLPFDGDAIDRRQMVERQGTKLEPFVAEAESEASSEPQVEESPPVPAMLDDEADFVEASPIVHSVNDSGIVVRKHLIIITTTSVRPHQAYYLNRLAHVLKDVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICNRNTTNIRKIVVCQKNNAIFHIKKHRLDGIVHFADEERAYSADLFEEMRKIRRFGTWPVAIHVGTKYRVVLEGPLCKGNQVTGWHTNQRRGVSRRFPIGFSGFAFNSTILWDPQRWNSPTLESIIVHSGGRGGLQESRFIEKLVEDESQMEGLGDNCTRVMVWNFELEPPQVNYPTGWLLQRNLDAVVPIT >OGLUM01G30180.1 pep chromosome:ALNU02000000:1:30162353:30167410:1 gene:OGLUM01G30180 transcript:OGLUM01G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPSLLPWISEESAVSSSMDGDLSSTAVSLSDSDVSARQREQSRLPLLAPELFTSAFLLHPVAAAAASFAALSILVSGVCAATTTGFTSSGGGVASSVLDFVAVGGGAAMDLLAAAAAGGARGLRYTLHRTSSSEEAFCWAYLRPISTMIHPDRTRRGPSLLTNEKNWRRPIGRGLRMPPTTSLAPASECQHPPTPTARALLCPPVLLDRTVVKKYHALDPSLATAGEYLPVRSSGSAAYTSASWTYRHGFPPESTWRRRYLVTSSWSVGWEPKSAAGSASSGREGDMAERRRRPIWDRRSGRRCDGGKGRGEGRRRRVGVRMVKDSGRGMAAFIWDAVTRRNPESELGSARKRSRDVLITQALAGRRRSGVSFGGRIGVGVGVRAGIGVSDGLGRPFWK >OGLUM01G30190.1 pep chromosome:ALNU02000000:1:30166190:30167068:-1 gene:OGLUM01G30190 transcript:OGLUM01G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSRPDEADPAADFGSHPTDQELVTKYLRRHVDSGGNPWRYVHEADVYAADPDDLTGKYSPAVASDGSRAWYFFTTVRSKSTGGQRRARAVGVGGCWHSEAGAKDVVGGIRSPRPIGRRQFFSFVNKEGPRRVRSGWIMVEIGLKYAQQNASSDELVLCKVYRSPRAPPAAAAANKSMAAPPPTATKSKTEEATPPPDDVKPVVVAAQTPDTKILRAAKEAAAAATGCKRKADVKSSGARRGKRLCSRCRAETSESDSETAVLDRSPSIEDETADSSEIHGSSDGKFIRFL >OGLUM01G30200.1 pep chromosome:ALNU02000000:1:30168161:30168633:-1 gene:OGLUM01G30200 transcript:OGLUM01G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLHRKVILTKDNLTKRKWEGTNIVLSAMHKRQSNIFSLSVMSLSYLHVFLLAPLLVYNATTGGARYYAQWCYTLGIVSQGSLIPLWVA >OGLUM01G30210.1 pep chromosome:ALNU02000000:1:30172934:30173659:1 gene:OGLUM01G30210 transcript:OGLUM01G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFLRPLLPPKPFLSATPKPHIPAASPSAIVRCTAAPKPATGSIAKPSQEEANNNQEQEPNAAAAATPDEAGANPHRIPDDETPPSATATTSFAVARRVPSAISPDRRRRTALTQGEPPNYEIGWKRTKKLPLEKPKGWAIADFMEKLEGLMARGRYGSGELLGTVAGVVTERAREEAEILVAEGGVEERVATELFRVLRLVEMDVAMVKAAVKEETVKERVETARARCRQAILVALSL >OGLUM01G30220.1 pep chromosome:ALNU02000000:1:30191137:30193323:1 gene:OGLUM01G30220 transcript:OGLUM01G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNFGDSMGWGNSGRSSPAGSSRKGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPGSLIHRTGSLNLMAYGERGDVRYGEFQTPIMRSPSSSTIYGAPHYTHNPSITLPLFEPEESARLRGHHDRSRSADSTSMNSDDPQDVDLELKL >OGLUM01G30230.1 pep chromosome:ALNU02000000:1:30197867:30200053:1 gene:OGLUM01G30230 transcript:OGLUM01G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRAAVVYAALLAAGEADGSHDVLDIFGTRSESDYYCNAFQGRQGQAVPLPRGGGLRREQQELGAAGPGGSGLSKAPPRSVPSKVALDSLKLPVDTSAGFAGGWNLVSENSGVSAMHLVVMRHGKAIMFDTCTTGRSLMRLPPGRCRPDPRSKQPGAMDCWAHAVEFDYNTGALRSLKIVTDTWCSSGAFDADGNMVQTGGFFEGDKSVRYLSACGTCDWKEFPKSLADGRWYGTQLVLPDGSFIVIGGRRAFSYEFVPAAGRANARATPLRLLRDTTDDVENNLYPFVNLLPDGTLFIFANDRSIVFNYRTGQVVRELPILPGGARNYPASAMSTLLPLDLRKGAGLSAEVIICGGATKNAFKLGETGTFPPALRDCARINPSKPGARWALDQMPSGRVMGDVLILPTGDLLMLNGAAKGCSGWGFGRQALLSPVLYSPYLRRGKRFRVLNPSNIPRMYHSTSALLPDATVLVAGSNTNSAYNFSGVDFPTEVRVERFTPPYLGPQLSPNRPAIDAASVPGDGMRYGARFTFRFTTPAQGVGQGDVKVTMYAPPFTTHGYSMNQRLLILPVTAFAAQGQRHTITVDAPPKPELAPPGYYMVYVVAKGVPSKAAWVKMHK >OGLUM01G30240.1 pep chromosome:ALNU02000000:1:30202014:30202556:-1 gene:OGLUM01G30240 transcript:OGLUM01G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVQLPQYIFFDLSGSHLRCFCPPAPVMEHTGVPYDSQVVGLQALHRKRLSRAGGSSTIVSARPANQVDKAMVLCDRAAQIGDDDDDPDTVSDAGTSGVGVVDEEETAGDDEEDEVASLDELFCDERFVRKIDALAQLVGMDGAACQPAAVLGEVVRLIQETERKNGRCVCASGAVRS >OGLUM01G30250.1 pep chromosome:ALNU02000000:1:30206491:30206860:-1 gene:OGLUM01G30250 transcript:OGLUM01G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSVEAAVEASALSVMGLVSENFLDPSTCVPPRASDDSSFESPDASFDPEDPTCELSSSTSADRISVLPIVLRVLPDRLGRCCPAGGVEGPTSSPAAFLLGPARSSGILPPAGVHGAK >OGLUM01G30260.1 pep chromosome:ALNU02000000:1:30208998:30211517:1 gene:OGLUM01G30260 transcript:OGLUM01G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVPSPLPALSTAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLMVLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHTNSSCPSQPLLVLVQNPSPKVSTAATASRLQLNKNNQV >OGLUM01G30260.2 pep chromosome:ALNU02000000:1:30208998:30210965:1 gene:OGLUM01G30260 transcript:OGLUM01G30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVPSPLPALSTAYQPLPSLYLGFLAIWAASGFSWAFSSWRSRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAGLGCLLYLSLIGYKAAVPYFTVFLLINYFMSFYIIFRRTSQNLMVLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVAVVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVVCTTALPKPLILAALLY >OGLUM01G30270.1 pep chromosome:ALNU02000000:1:30214417:30218589:1 gene:OGLUM01G30270 transcript:OGLUM01G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSPVPPSTAKGSSKNSPTKGSLSLGDLDAVEVLPASATARWSYARQKRKWSQSGSTKDVAGPSSIALKNVDPSDGVGAFPKAMSLADYLELEGSDINLNINTYYYLVALGEGGDHSDHFYSNPRERKVQVINEPGKDWVKRIQHEWKVLEKDLPDNIFVRVYEDRLELLRVVIIGPSGTPYHDGLFFFDVYFPPQYPRNPPLVIYHSGGLRLNPNLYACGKVCLSLLNTWPGDGCEKWNPSNSTLQVLVSIQALVLNAKPYFNEPGFESYANTPRAEKKSIAYNQETFLLSCKTMLYSLRNPPKHFDDFIIGHFHKYGHSILIGCNAYMDGAQVGSIIGGVKAIDKGNKGCSTKFKGSLKKLFEELMMEFIGIGVDCHEFMIDTTLKL >OGLUM01G30280.1 pep chromosome:ALNU02000000:1:30226714:30227052:-1 gene:OGLUM01G30280 transcript:OGLUM01G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGAEKAARAGETDGEGGARRRRRGRGRRTVTEEATEAARAGEADGDEGGRRRRHGQGRWTVTEEAEKAARPGEATALERKEMPMTLEATREMDGDGVGDGGRGTGDGEG >OGLUM01G30290.1 pep chromosome:ALNU02000000:1:30227065:30228300:-1 gene:OGLUM01G30290 transcript:OGLUM01G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKASTSDEEIRSVEATGSSGHSSQKHEEGKGWYKFDDECVRPITEDSIKTPAAYENISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLPLSLPSKPINSFLCKTFVQPIPIPVLHPLEHK >OGLUM01G30290.2 pep chromosome:ALNU02000000:1:30227063:30228300:-1 gene:OGLUM01G30290 transcript:OGLUM01G30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDLWRLPEVLVIHLKRFSCTQFTRNKLETIFFNVLITSKAGWFLQHEEGKGWYKFDDECVRPITEDSIKTPAAYENISMMISDSVLSPELCCLTNNRRLLLPSVLFSLIALDKYSDISQEHLFCLDISQNQTRLPLSLPSKPINSFLCKTFVQPIPIPVLHPLEHK >OGLUM01G30300.1 pep chromosome:ALNU02000000:1:30245678:30248397:1 gene:OGLUM01G30300 transcript:OGLUM01G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWCPDDAYACLSLLLLLLLPAAMATSYSSLCSFPAEAADLVVTAGEHQSIADRLNLPLPSDGYFSGGDKLLFASDDHRLPRSFSFFTRRAARTTDPDITHLVATVTLSGYRFFGGRSWRTNVSAHSVSFDLEGYYANDSASAALCMVGSGSRARDDGLGVVIIPDVALRLRLPRPATLTRPFVTGRLEGPDFGAVTLVAYAEGDYKYGEAASCPTPPGAVRSESKVFDGNFTCDRLGALLRGSYTMEYAEGRAPSGFPLRQRHRSMHISEIYCGENGAVRAYMVFDFDDSSSDAILLGIHEALWRRGFQADGDEALVADGFWKPSQGRLCLRACRTVRSTVRESDCGIRIHFWFPAVWSIQQRSFVAGMIRNTRSDDDGDTNKCPARYRGDLSDIKYHYTRVEDAKNYYHSNPELSKERNGRFPGNYSYRDFAFSLYMTTHGGYGYASPVTLGSAMVDGGTLTADDAFSRHAVAEMIKQRLLSVSYEFDIHLYRRVNSSRAWNVSRVPDRWRVSAEGVYDTKSGTLCMVGCRVINSSSDCQILVTVQLPALGGEDGTGSISSLRKKSDTLFFETLGFGAYGAQPAIEAAQAISRVDTERIMLVTSMTLSCVFLVLQLRHARKNPDALPATSITMLAVLALGYMIPLVVNYEAMFVDDGGSRNRHFIELARGGRRWLELNEFVLRLSTMVAFVLQLRLLLLALSARSTAGASGGGDDRWAAERSTLWICLPLYIAGAILIWIPHIGDGHDHQPLSQMKSAIHVPPPPLSDDLLSYAGLILDGFLLPQIVSNAFSASRVNAISPWFYVGGTAIRAAPHAYDGLRARGYVQRWIPSYIDVYAGPRDGLFSVAWDVVIPCGAAALAVLLFFQQRLGGDFPCCVKRRKPGGSYEIVSTSTL >OGLUM01G30300.2 pep chromosome:ALNU02000000:1:30241212:30245887:1 gene:OGLUM01G30300 transcript:OGLUM01G30300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKNTISYAYPLCFIFILSTTTLSVAISTSYSSRCSSPSPASDYHTDYVDTLALLRSFQISIGYFSSGGNSLFSADDDYVNPRSFSFVPHGVFRTKDPTIIHLTATLVLSGPRSSTYIGHRHHRYSITQTISFILDGYYSFTSNDLCMVGFGTNYAADGSIKLHEDSVLRLRVPRPSKLTNPLVTGHLEGTNFETISLVAYDESDNYVYSENALCPPFMLENSMLEQAQAVKENFNCDQLKTHLRRLYKLEYMVDDPLAPRGYNMWSHATRMYINHVHCTANGAVRAYVEFYNDTKMLPYKGRFMVVEEALVADGYWDPTTGQLCFNACPIVRSVSGLSHTDFVVQDCKIKMSFRFVDVWTIRDRSVIAGMLWNSSQGIVNNSRAIPGIISVSGIQEHWENISHVKYTYTVVDEAKKHYISSGLSNKKKKIKGSFPSNGTYSYHDLEFRFIANHVGSGDAYPMTIGSMMVYEDRLAANDSLSDPMVVGMKHELLNVSYDIRYYAPPENWIRPKNGSYSISLHERRISAEGIYDRKRGTLCMIGCREINSSTDCLVLITVQFSSLDAKTQGHGVGAISSLREKNDRLFFEKIDITLYGMYTEQLFEAISRMDMESIMLVLSTTLSCVFTILQILHTKKNPEVPPATSITMLITLALGYLTPLVLNFEALFLSRRKQYVPFFRNNRVELNEVMLRVPTLIAFVLHLRLLQLVWYGRKPDHQSKAETFSIAKRKALQICLSLYFLGGILAGIIHIINVHTRRESPVVVRISQEPATIWEDLVSYAGLILDGFLLPQIILNRLSGSRVQAISPWFYIGVTLIRAMPHVYDLFRAQNYIPSLRSSYIYANSHDDLFSAAWDIIIPLGAALLAMVLFLQQRLGGASLISLQGSRHRSRTHHASIPG >OGLUM01G30310.1 pep chromosome:ALNU02000000:1:30253686:30257433:1 gene:OGLUM01G30310 transcript:OGLUM01G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKSPCPCCHVSFLLLQLVLLSVIVFPATAAAASTFRCSNPSPVPNEVPEGNDARELLRSFQITTGYFSGGDRLFAPDDDSAYIPRSFALSPYKVARTTDPAILEVAATLALYGPSSDHGGGGGARRRRHRYLVSQLVASFVLHGYYSSASGELCVVGGSGSYSVDGGSVEHLRDVNLHLRVPNAPSLADPFVTGLLDGADFETISLVAYVENDRYVYSEKRPSCPPPMPAHAARGALQALEANFSCSHLRELFVSSYRLENTSSDASSPAASTFQFPLSHGGLRMLVNQMHCTANGSVRAYVVFSNYTDSERRWRRDMVINNRFLVKEEAVVADGYWDATTSRLCLRACRVAHSSAAETELKVGEQCGLGMSFWFPAVWTIRDRSIVAGLLWNANQEESGGNKHAGASLSGVMSVSSIDGDGYNRRRSNLTDVKYNYTMVEKAKKQYLSCKFSKRKTGRFPGNSSMYSYSDFRFDFIETLGAGGQASPVTIGSVMVDGDQLAAEYMFFRHAMGEMNKSRTTVVRMDHSQLLNVSYDISYRVRSANSKALHFASLDAKGNGHGRGKISSTRDKADRLHFEAMDITLYGMYREQIGESIWRMDLEIIMAMVSATLSCVFAALQIRHARANPASAPSATSVAMLAVLALGHVTHLALNVDALFVSRRTHYIPISADGWLELNEVMLRVPTLIAFALHLCLLQLVWSSRRSAPRAIAEKWSAAERRSLWICLPLYLLGGLLAGAVHVINNGRAAAENSLVVRVAGDSGTLWDDLASYAGLVLDGFLLPQVILNALSRSRARAISAWFYVGVTVLRAAPHVYDALRARGYVPSVRPSSTYVYASPRDDLFGVAWDVAVPLGAASLALLLFLQQRLGGAFFVRGRRFGEYEMVPTTVSSHQEGDKKMDHERGDVIAN >OGLUM01G30320.1 pep chromosome:ALNU02000000:1:30265554:30266017:1 gene:OGLUM01G30320 transcript:OGLUM01G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALEAKALLQVSLPQHLLQIDAEETSGPWRTRWTLWGRLTSIELTTHLGVLLYSPLHGGDPVCHVYDSEMVTIATTTSIFGSVAGSGSY >OGLUM01G30330.1 pep chromosome:ALNU02000000:1:30272709:30275800:1 gene:OGLUM01G30330 transcript:OGLUM01G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHVFEKSIASESPLNCISIAEEKDSAIEFKRALTFTLKLMAGLTKNRSTSACRLCFILLVVLSTTTTTLSTALSGPYSSRCASPSPAADQHTGVDDASALLRSFRITSGIFSGEGAETLFSPRSYHSVVGQHSFTASFARRSFSLLPHAVSRTTEPSVIHLTATLTLFGSRVQLFESDLTRGSAKEGHSISFYLDGYYSSATTQLCMVGKGSDLSIDGSVTHYMDVALRLRIPSISSLTDPFVTGILEGADFEPFSLLTYAEGSSYRYSENTSCLPLPVPEAAAAARRAIQTTPDGNFPCDTLKARLAASYRLEYGLAHAVSFPSLHEPRLHVNQLHCTAGGAVRAYAVFSNDTANMWGFRYFFSSQEAAVVADGHWDSDTNRLCLRACLVARSSPATPSASTELEVRECGIGMSFWFPAVWTVRDRSVTAGVLWNATQLNSSDNHASVGSDVALITASSFEQWKGNLSDVNYNYSFTMLEEAKKHYLKTGPSDSKKNGKGSFPGNYSHSYRDFRFPFFLEGETGSGTAYPVAIGSAMVDGDRLAAEHSFSRHAAAQLEQGTLVNVSYGVKYYVAPKNWSSFGQLKDRYIWAEGVYDPTTGSLCMIGCGELNGSMDCQILITVQFSSFGNGTGFSHGRGRISSLRNSTDRLYFPRRDITLFGMYSHEVSKTIWRMDTETVVVVISTTLTCVFTVLQILHTKRNPRAAASTSITMLAVQALGLVTPLVVNSELLVMNKRRQLGGLDGDGWLRLNELMLRVPTLIAFALQLRLLQLAWSGRTTAACSSEGETSPAPAPAAERKVLRTCLPLYLLGAAVTAVVHVVNVRAAREAGLVDRRFAPAEVTTLWADLASYAGLVLDGFLLPQVVFNAASGSRSRVRAISPWFYAGGTVIRAAPHAYDAFRAESYAATHVYASSRDDFFGVAWDIVVPLGAALLAFVLFLQQRLGGDLLLRSRNRRPCDYQLVSTFQR >OGLUM01G30340.1 pep chromosome:ALNU02000000:1:30277969:30291454:-1 gene:OGLUM01G30340 transcript:OGLUM01G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDVWVPAYKSSRWSSLFFIVYVLLGVYFLTNLILAVIYDSFKEQLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNNYWRDSNICLPIEAFFSFKWRMIFGGIVYAGNPTLEETDLFSNDYLLFNFNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >OGLUM01G30340.2 pep chromosome:ALNU02000000:1:30277969:30291454:-1 gene:OGLUM01G30340 transcript:OGLUM01G30340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-pore channel 1 [Source:Projected from Arabidopsis thaliana (AT4G03560) TAIR;Acc:AT4G03560] MREAKAPLIAEAAEHISHSHGSGSSGTGSHTSGGGGGWRGSRQYQRRSDALAYGDRYQKAAALVDLKPLWCRGYSQHACDQRDLYFLGQLPYLSKTESLIYEGLTLVILVMDIFYPLSYEGLNLFWKNTINKLKVLLLFILACDILVFAFSPQPFRVAPYIRVAFLIMNIRELRMCAVTLVGMVGTYLNVLALSLLFLLFASWLAYVTFEDTPQGKTVFSSYGTTLYQMFILFTTSNNPDVWVPAYNFKEQLAKQVSQADCTRKSILEKAFGIIDATGQGYLNKEQCLSLLDELNKYRSLPKTSREDFELIFAELDQSGDFKVTSEEFATLCNTIAIKFQKEPPPSYLEKYPSFYHSALCERLKSFVRSPLFEYIVIFVLLMNLVAVIIETTLDIENSSSQKVWQEVEFVFGWIYVIEMALKIFSLGFGAYWMEGQNNYWRDSNICLPIEAFFSFKWRMIFGGIVYAGNPTLEETDLFSNDYLLFNFNDYPSGMSYRQLTGSYWSLIYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKDGEADIQDPTLEGRNRRRSVR >OGLUM01G30350.1 pep chromosome:ALNU02000000:1:30292648:30295091:1 gene:OGLUM01G30350 transcript:OGLUM01G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSTLFSLSSLSASLPSPARPAPASLSLRAVSPRARLSASYAAFPIGGLGAWAAATPASSGRWRRRGLEVVCEAAKTGTATGRRPDSVKKRERQNDRHRIRNHARKAEMRTRMKKVLKALEKLRKKADATPEDIIQIEKWISEAYKAIDKTVKVGAMHRNTGNHRKSLLARRKKAIEILRGWYVPNAEPAATS >OGLUM01G30360.1 pep chromosome:ALNU02000000:1:30293622:30299053:-1 gene:OGLUM01G30360 transcript:OGLUM01G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERYPPFRPCTSDSFAPISREGDDIPPQKKSVSLRSGGGGGGGNAAEREEGGANRNGKKEKAGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGGQWTLHKSIYISKKAY >OGLUM01G30360.2 pep chromosome:ALNU02000000:1:30295825:30299053:-1 gene:OGLUM01G30360 transcript:OGLUM01G30360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPCGDAAAAASAAPGLANLLIREGAGLPSRPERYPPFRPCTSDSFAPISREGDDIPPQKKSVSLRSGGGGGGGNAAEREEGGANRNGKKEKAGAQRITGWGLREFSKIVSKKVEAKGRTTYNEVADEIFAELKSITQNGLEFDEKNIRRRVYDAFNVLIAIRVIAKDKKEIKWMGLTNYRYEKIQKLEEVHKELITRIKNKKKLLQEIEKQNITLRNQASQRPAESVNGILLPFLLIKTSRKARVEIEISEDSKFARFDFNGAPFTMHDDVSILEAIRRNNKGRAGLSIHP >OGLUM01G30370.1 pep chromosome:ALNU02000000:1:30300854:30301478:-1 gene:OGLUM01G30370 transcript:OGLUM01G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLKVPITCKKCKSCILQIVSRNKGVKSLTFDDEKSTLTVIGEVDVVVIVDKLRHPKKGKEKREGYMVEVMAVSDEKKEAEEKKKKEEDEKKKKEKEKEEEEKKKKECAEKLKQCAELQQCCRACRPYYVAVDDHPGYSCTIV >OGLUM01G30380.1 pep chromosome:ALNU02000000:1:30314890:30323473:1 gene:OGLUM01G30380 transcript:OGLUM01G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQHGILLAVAIISDHFGPLVSKVCRCLLRHGALPLQEIVRRLELSPGQVKNSLLVLIQHNCVQAFNAPRGNGDKTVTHYLAIFDNISEALLEGLLQNGSITPAREEIRMNFNKLVFAHYVERCPKPEPFFDPLVDEQSTSSRKRAPKTVEIALSIDKKVVNTAALSDAERFSEIPYIMEDASNANDSPRSSISGAKRKHNALEGDAELDSTIAENEVLWRANFEKFTFCLKKKFCADRKKPKLKVGTHPIWEAFFEASLMERDNNSVTSPINGIMERLGQKEGGTSMTLDHITRVLEELNCSPSSEDPDSFILDLSRIVEASRNEEIESLVRKKYGQEAFTIFRLLVRERGPVETDKIIDTTILDKQIVHGTLYKLWKDDYIDTERILSGTGTGNTQYFVWRVKNTFREQFIDNLCHAALNLRQMGSKDDTKLRNRKNILILALTRHDDSLMLFQDF >OGLUM01G30390.1 pep chromosome:ALNU02000000:1:30333123:30337495:1 gene:OGLUM01G30390 transcript:OGLUM01G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRVVVLVVAAALLVAVASAGASGKSARFELLRLAPAASLADLARMDRERMAFISSRGRRRAAETASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCHRAASASPRNASSLPAPAPASPRRTFRPDKSRTWAPIPCSSATCRESLPFSLAACATPANPCGYDYRYKDGSAARGTVGVDSATIALSGRAARKAKLRGVVLGCTTSYNGQSFLASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGPNPAFSSRRPSEGTASCKPAPAPTPAPAGAPGARQTPLVLDHRTRPFYAVTVKGVSVAGELLKIPRAVWDVEQGGGAILDSGTSLTVLAKPAYRAVVAALSKRLAGLPRVTMDPFDYCYNWTSPSGSDVATPLPMLAVHFAGSARLEPPAKSYVIDAAPGVKCIGLQEGPWPGLSVIGNILQQEHLWEYDLKNRRLRFKRSRCMH >OGLUM01G30400.1 pep chromosome:ALNU02000000:1:30337910:30344332:1 gene:OGLUM01G30400 transcript:OGLUM01G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1794 (InterPro:IPR014878); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2 /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G79260) TAIR;Acc:AT1G79260] MTSRNKSRNRNGDPEEMEGGGATAPAAPPPAPHPAVAPLAFLLGKWRGEGEGSFPTISPFRYGEELLFSHHPSKPVISYTQRTWKAASGEPMHAESGYWRPRPDGSVEVVISQSTGLAEVQKGSFDAEKKTVTLQSELVGNASKVKQITRAFQLVDGELSYVVQMATITTSLQPHLKALLKKI >OGLUM01G30410.1 pep chromosome:ALNU02000000:1:30342114:30344275:-1 gene:OGLUM01G30410 transcript:OGLUM01G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OGLUM01G30410.2 pep chromosome:ALNU02000000:1:30342116:30343366:-1 gene:OGLUM01G30410 transcript:OGLUM01G30410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OGLUM01G30420.1 pep chromosome:ALNU02000000:1:30354242:30354531:1 gene:OGLUM01G30420 transcript:OGLUM01G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDPRQRARARAPPIPSPSATRNSTPEISSPSAGWATPRRQIREGGGELEQAERREEGRWWEAGSKI >OGLUM01G30430.1 pep chromosome:ALNU02000000:1:30357911:30365510:1 gene:OGLUM01G30430 transcript:OGLUM01G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MEPKGEQQRHSMQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGEGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >OGLUM01G30430.2 pep chromosome:ALNU02000000:1:30358902:30365510:1 gene:OGLUM01G30430 transcript:OGLUM01G30430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGEGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >OGLUM01G30430.3 pep chromosome:ALNU02000000:1:30358875:30365510:1 gene:OGLUM01G30430 transcript:OGLUM01G30430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:evolutionarily conserved C-terminal region 8 [Source:Projected from Arabidopsis thaliana (AT1G79270) TAIR;Acc:AT1G79270] MQEAMENLKINGSTKTSNVNLPATKGASSSDAISCISSGDAASTVKESEMNQEASVGDQGMYYYGYYYPASFGGYDENGYFVGYNGLEVHPTVVQGDNGSYLCYLPGYENGYTYSPIVPGVIAGVDGQYISKEPYYSTISMQDPSTPGIFAQPVAYGPELVPAYTWDPSFALLDGVQGRPVGVHQTNYPARPKYSSNKLPSSKASRNTKSASDTIKGSSSALDTMSTSANGYPSSKTANKASGASISKGYPLSSKFAVHTNQGKGNLYQSKDIGMKESGRSWNSTEKLKARSKLNGYGDCDISDNLTDNSKNSLSPQGGHYGLSSAGEGNDVTPSPVAMSRDAYNLPDFVTKYDQALFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDNAFKLAQERVAEKGTKCPMFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFPVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGSEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLATTLDHPMLKVEKPEETKRPSQFVSTVDLDTAKPDEVVFDKIATELDTAKLSEEQINKVEVEIGTTNSSE >OGLUM01G30440.1 pep chromosome:ALNU02000000:1:30370340:30375232:1 gene:OGLUM01G30440 transcript:OGLUM01G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTTSSAPEQLLDMPPSSVAANGARAARRRRRLLLFANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPFLLVPVYAGRSPSQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAIIVRHPLNFSNLNAVVLLTLSSVLIALRSSDSGEQPGGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRKAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWKEELARWDLSPAAYWAVLAALVATWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGADKAVATVLCVWGFSSYLYGEYTTQKKVDGDGKVAAASSAAAAASADKIPTGGGAAEGDPNVDQ >OGLUM01G30450.1 pep chromosome:ALNU02000000:1:30382784:30387637:1 gene:OGLUM01G30450 transcript:OGLUM01G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPFEAAVEEQESPPESPAANEEDAAGAPEGYDGASGSRGPPLRLPPSRAAPSGSGGAAAAAARGKVVRVQKEQQEEEDDEEDHMEVDLDKLPSGTSDPDKLAKMNAILSQFTEDQMNRYESFRRSGFQKSNMKKLLASITGSQKISLPTTIVVSGIAKMFVARIVMTERKDSGPVRPCHIREAYRRLKLEGKIPRRTVPRLFRCSFGGSIHAGIAMAMPLPPPPPPLPRPPLGRGRLVGVGPAPAPATASQSNRPVLPLQLSRCRCHRSEGPWRTTAAANGRRRWWSDEDMEEEDDEEGYGYDDGGAPGGSAQELFGEPWFSKLFRAYGYVLPLLLASMLVATGPRAFLMAMALPLAQSAISWVVSFFTTRSRRQQEEEESYGYDYDDDPAFQRREEDDDDGDYYDAGAWQWRSRSHQQSTESGSGFGGWDDLLYDDEEKKEQESSGKKRTPPEPDTAAAAAASDLGLGLRARRGPRRSNGGMSRGRSSSSMRRQSIRQLT >OGLUM01G30460.1 pep chromosome:ALNU02000000:1:30386001:30387873:-1 gene:OGLUM01G30460 transcript:OGLUM01G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLLPYPVGLSLLTGAQQRTEGHGVSGHLAEQQRQHGEGIEGEGEEVVSCDYQVNMTKTKKRQSAPDREKEIQL >OGLUM01G30460.2 pep chromosome:ALNU02000000:1:30387181:30387873:-1 gene:OGLUM01G30460 transcript:OGLUM01G30460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLLPYPVGLSLLTGAQQRTEGHGVSGHLAEQQRQHGEGIEGEGEEVVSCDYQVNMTKTKKRQSAPDREKEIVIRV >OGLUM01G30470.1 pep chromosome:ALNU02000000:1:30389892:30391340:1 gene:OGLUM01G30470 transcript:OGLUM01G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGEKNAAPSCAPLRRRASRWPALTKPERNEKNSPQGIVVVVVVVSSHHFRWAGRLEEEIKLSQINQCRAVINEDTEHHESWAPCE >OGLUM01G30480.1 pep chromosome:ALNU02000000:1:30390298:30395131:-1 gene:OGLUM01G30480 transcript:OGLUM01G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNRISSSPSLSGPGKFTTNHQSATARAGAVLSDQKRWQNGELPPVAGGKTGRTEKIKPLFGPRLCFPSPLLPSSPSSSSLLCRLFFFFSRFFSPVNFPAASRISFIVVVCSRLDGRGGGRRERLVWTVRKGGTRGRPEPVADGHFFMRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >OGLUM01G30480.2 pep chromosome:ALNU02000000:1:30391403:30395131:-1 gene:OGLUM01G30480 transcript:OGLUM01G30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNRISSSPSLSGPGKFTTNHQSATARAGAVLSDQKRWQNGELPPVAGGKTGRTEKIKPLFGPRLCFPSPLLPSSPSSSSLLCRLFFFFSRFFSPVNFPAASRISFIVVVCSRLDGRGGGRRERLVWTVRKGGTRGRPEPVADGHFFMRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHRSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKTRRGKLPRFRASVSQIGWV >OGLUM01G30480.3 pep chromosome:ALNU02000000:1:30390298:30392461:-1 gene:OGLUM01G30480 transcript:OGLUM01G30480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQEEGELVVLLVGSAVEERSGGGHKRSPDKAHKSALDAALHMDSKNNHHHHHHDSSVTANGGAGAGEKIGSERFELPRIYISLSRKEKEDDFLIMKGTKLPQRPKKRAKNVDKTLQYVFPGMWLSDLTRGRYEVREKKCVKKRRRGLKGMESMDSDSE >OGLUM01G30480.4 pep chromosome:ALNU02000000:1:30393131:30395131:-1 gene:OGLUM01G30480 transcript:OGLUM01G30480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARNRISSSPSLSGPGKFTTNHQSATARAGAVLSDQKRWQNGELPPVAGGKTGRTEKIKPLFGPRLCFPSPLLPSSPSSSSLLCRLFFFFSRFFSPVNFPAASRISFIVVVCSRLDGRGGGRRERLVWTVRKGGTRGRPEPVADGHFFMRGSLEVHAIGRHAASPCALRLKALPALDMMRYQRLSPDCLPLANGGGGGSGSVTRKPASRSCKDDDGGMAVAADSSRLSSYLPSSQLDSKPLRARAPQPSSSSAAAWSPARDHAHAHHNHHHHHHPSDSSDTASPSSNGAGTGGDVLLQWGHNKRSRCRRDASSSANAAPSSSQRRQTASAAGKILRRSSAPAEKLMPPPPPSTTTGSYTRGSNLRSASSFPTRSAAAAAVGDAHHHR >OGLUM01G30490.1 pep chromosome:ALNU02000000:1:30401021:30405009:-1 gene:OGLUM01G30490 transcript:OGLUM01G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAVLVVVLAAASVLLAPWAATAQTSSCDDALPPALAGNYSGLACRPVWNNFVLRYAQGKDNVLRVVISSMYSTGWVGMGFSKDGMMVGSSAMVGWVGKTGLSHVKQFSLRGKTPSQVVADEGFLQSKDHDHTVVVQQAKIYLAFQLRFQSPLRRQQVLLAFGNAIPVNDRLTEHQGKTSFTFDFTTGSSSGSSYPDGLKRAHGALNLFAWGVLLPIGAIIARYCRRWDPLWFYLHAGIQLVGFILGLAGIVAGVSLYNKIQADVPAHRGLGIFVCSFFLVATIMPHKDSKYRKYWNWYHHWVGRLALFFAAINIVLGIKVGAAGNSWKIGYGFNLAILLITIITLEVLLWTSSNTATAPTVAAIIGEAALWGFEGGPRVLARGSASFPYSSPLQCEGHTDASAPPHTVLAGVNHFLFHVEQGLTERMWSRHGEAAL >OGLUM01G30500.1 pep chromosome:ALNU02000000:1:30406785:30412168:-1 gene:OGLUM01G30500 transcript:OGLUM01G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGGIRLRRPVLSIDNAEPAGETGMSETKKKAAAVAEEEEELLEEPVSPAGRLFREPHFSCYIVCTLGVAEPVDLPAVRAGIEATLARHPRFCSIQVLDELDKSAKPMWVRTKVNLDDHIIVPDLGPTDTSAYPEKAVEVYVSSLSTPSMPMDHSRPLWELHILGFPTAEAAATVALRMHHSLGDGVSLLSLLIACTRRADDPDAIPALPSSAAGRRRREGPLHALPPRPPLAAGALALAAWALSYLVLAWHTVVDVVCFTLTAASLMGDARTVLKGDEGAEFRPRRFVNRTISLDDVKNIKNAMGCTVNDVLVGLSSAALSRYYFRRTGESEGKKNIKVRTALMVNLRPTPGLHELAKMMESGKNNGVKWGNRFGYMILPFHLAKHDDPLEYVRKATKVTRRKKSSMEAIFTYWSADMVVKLFGIKAAASLCYGMFSNTTLSFSNLAGPSEQILFCGNPIVYISPTSYGHPHALTMHWQSYMNTIKLALAVDETQFPDAHELLDDFTESMRLIREAASRGTDKAQDGP >OGLUM01G30510.1 pep chromosome:ALNU02000000:1:30415410:30421213:1 gene:OGLUM01G30510 transcript:OGLUM01G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRINGDREYAEFMHNPTVKYTDFALVRKEIADETERATGHKKQISPVPIYLSIYSPNVVDLTLIDLPGLTKVAVEGQSDSIVQDIETVVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTNAVDMLEGRSYRLQYPWIGVVNRSQQDINKSVDMIAARHIEREYFANTTEYKYLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLISKAIAELEAELHRLGKPIAADAGGKLYTIMEICRMFDGIYKEHLDGMRPGGEKIYYVFDNQFPVALKRLQFDKNLSMENVRKLITQADGYQPHLIAPEQGYRHLIESCLVSIRGPAEAAVDAVHAILKELVRKAISETDELNQFPTLRVEISNAAFESLDRMRNESKKSTLKLVDMECSYLTVDFFRKLPQDVEKGGNPTNSIFDRYNDSYLRRISTNVLAYVNMVCSSLRNSIPKSIVYCQVREAKRTLLDRFFTELGAREIKHISKLLDEDPAVMERRANLAKRLELHRSAQAEIDAVV >OGLUM01G30520.1 pep chromosome:ALNU02000000:1:30422592:30427471:-1 gene:OGLUM01G30520 transcript:OGLUM01G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMKHLVQVGEARGAAAEDGGAPSAGPAYRCAYGGGAASPPAVPGLECCWDIFRMTVERCPDNKMLGRREIVDGKAGKYTWITYKEAYDTVIKVGASIRSLGVGKGGRCGIYGANCPEWVISMQACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFIEEKKIGEVLKTFPNATKYLKTIVSFGKVNPEQKEKVEQNGVSIYSWEEFLQLGGEEKYELPTKQKDDICTIMYTSGTTGDPKGVLISNRSIITIISALREDDVYISYLPLAHIFDRVLEEVFIHHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYGGLQDKVSTGGFLKKTLFNVAYKYKQGNMVKGSKHEEAAAMFDKLVFTKVKRGLGGRVRLILSGAAPLSNHVEEYLRVVTCSLVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVHLESVPEMGYDALSKESPRGEICIRGDTLFSGYHKREDLTKEVLVDGWFHTGDIGEWQSDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQAPGVDSIWVYGNSFESCLVAVVNPNKQALERWAESNGVTGDFATMCEDPKAKEFILGELTKTGKEKKLKGFELIRAVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQNVIDSMYKNMK >OGLUM01G30530.1 pep chromosome:ALNU02000000:1:30431671:30436753:-1 gene:OGLUM01G30530 transcript:OGLUM01G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGARLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGCLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >OGLUM01G30530.2 pep chromosome:ALNU02000000:1:30431671:30436753:-1 gene:OGLUM01G30530 transcript:OGLUM01G30530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPAAAAAAVGNRAGGVDPSIPRFKCQECHRALVVVGVDSFADKLPAQATSAHASSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGARLPPPSINSSSLLPGNSFHSNVTVLKRAFEIATSQTQVEQPMCLDCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESHTVLSDAGFQKEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSKEFEELEERDAILAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGCLPNVQVEWDEINAAWGQAALLLHTMAQYFTPKFEYRIKIHPMGSYPRVTDIHKNTYELYIMLTRFGPVNLFWSTRFDKAMTWFLTCLQDFAEFAISLDKENNVPPEKSLKLPYKIDGDKVGSHTIFLSFNKVENWTKALKYTLCNLKWVLYWFIGNTSFAPPSGSLCAAQSSKR >OGLUM01G30540.1 pep chromosome:ALNU02000000:1:30440851:30444172:1 gene:OGLUM01G30540 transcript:OGLUM01G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSLGKFDPLFSLRFCEATSAARGGLGFRAPPPRAMAEANQYQILVRLLDGRTRCLRFSTPTVSGAALLDAVSALSRVPAASLRLVTGRLDVSPSSVLASSADGRFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGGVKAAEVDKYLEKYRKDAESCVNAVEESVRASLGKRKTVPKPRGGEDAKKLKIWLGKKKVQEDESDSDSDSEMDDDEGADTKSIILDDGNSSHGSKSEDEKVDLGSITESPSEGETSGEKSGRSESEENGNGVQESREPTIRSEGECGDFISDGVVEHEIGVVDEHTPENGVSALSEEVLKPDVKAEDNTASASATSHLNDLEAPPVEEPANGNISPLSQEPLDLTIYSSAAELEVLGMERLKLELQNRGLKCGGTLQERAARLFLLKTTPLEKLPKKLFAKPSGGGK >OGLUM01G30550.1 pep chromosome:ALNU02000000:1:30446669:30447019:-1 gene:OGLUM01G30550 transcript:OGLUM01G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAPRVRRRDEPAAKSWSKGAEAAGELLPVAKAAGGEEKAAAERAVVTVKVVMTRKEAERLAARLREQRARGRNARMAELKNALRAGDGARRGAAAARPGPRGRAQSLAPIQER >OGLUM01G30560.1 pep chromosome:ALNU02000000:1:30449191:30449580:-1 gene:OGLUM01G30560 transcript:OGLUM01G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAARGMRHDDYATAAAATGGRRRKRCSKNTEAGSVPASVPGRRGAEHSAAAAATGVTVKVVLRRKDAERLIAKLNEQSAMGRKARMAEIKSELMAGDGGRGAAAASASPARCRDAWTHRLAPIQES >OGLUM01G30570.1 pep chromosome:ALNU02000000:1:30452125:30462692:-1 gene:OGLUM01G30570 transcript:OGLUM01G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGMAYKLRTDAAPTGAGRRARRSHSSVAAPYRAARLVQGGVSIEGGLVGGCQLTEERVAARPPRAAARDAEPVRPLSTLPESSIGLYDPSRERDSCGVGFVAELSGDYKRATVNDALEMLERMAHRGACGCEKNTGDGAGILVTKDAGFELPQPGEYAVGMVFLPIDEKRRERSKAEFQKVAESLGHVILGWRRVPTDNSDLGESALQTEPVIEQVFLTKSSSSEADFEQQLYILRRLSILSIRAALNLRRGGKRDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLKGNKNWMKAREGLLECEKLGLTKDQFSKILPIVDATSSDSGAFDGVLELLIRGGRSLPEAVMMMIPEAWQNDVNMEPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVVMGSEVGVVDVPSKDVLRKGRLNPGMMLLVDFENHTVVDDEALKAQYSKAHPYGEWLKRQKIYLKDIVESVPETERVAPGISGSLTQKNEKKEHAGVNGIVTPLKAFGYTVEALEMLLLPMAKDGVEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALEGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICTEARGAIKKGYTVLVLSDRGFSSDRVAVSSLLAVGAVHQHLVANLERTRVGLLVESAEPREVHHFCTLVGFGADAVCPYLAIEAIWCLQNDGKIPPNGDGKPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIRKCFDGTPSRIEGATFEMLARDALRLHELAFPSRAPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAMAKLQEAARVNSRAAYKEYSRRIQELNKTCNLRGMLKFKDTADMISVDEVEPASEIVKRFVTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLADGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPRARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAILQTDGQLKTGKDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMSQLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIALSKAALEKEVRVFIETPIQNTNRAVGTMLSHEVTKRYHMKGLPAGTIHVKLTGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRDSTFIPEDNIVIGNVALYGATIGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDIDGKFSVRCNHELVDLYHVEEEDDITTLKMMIEQHRLNTGSVVARDILSNFDTLLPKFVKVFPRDYKRVLDNMKAEKAAAKLAKEPKISNGVSVTTKKVQPEQSTNRPTRVSNAKKYRGFISYERESISYRDPNERVKDWKEVAIESVPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIIGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIEIVQRRVNLMAEEGVTFVVNANVGSDPLYSIERLRSENDAVILACGATKPRDLGIPGRELSGVHFAMEFLHANTKSLLDSNLEDGRYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSIVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEASSKFGNDPRTYEVLTKRFIGDENGNVKALEVVRVKWEKVDGRFQFKEIEGSNETIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGNFATSVDGIFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLSRNEQDAAEDITPSGAGFVQPVAA >OGLUM01G30580.1 pep chromosome:ALNU02000000:1:30463274:30463638:-1 gene:OGLUM01G30580 transcript:OGLUM01G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRERGGAPFRPQNRSIHSPTTPSAASPVEKAAVRAGEKGEAQTALGDESREAKVWGGSGRGVGVGLTSGLQEREVPARPHAPFLIVVLPT >OGLUM01G30590.1 pep chromosome:ALNU02000000:1:30469970:30474092:1 gene:OGLUM01G30590 transcript:OGLUM01G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKILQTPVTPTARDVHRCTWSAVVALDPLGNFAGDTPEWGQFRKGLHPLEAAKIIEQTNKSIFSLSPDLLLPHGLDLPHLEQEIKKRLLL >OGLUM01G30600.1 pep chromosome:ALNU02000000:1:30478689:30483166:-1 gene:OGLUM01G30600 transcript:OGLUM01G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component family protein / Gaa1-like family protein [Source:Projected from Arabidopsis thaliana (AT5G19130) TAIR;Acc:AT5G19130] MASSAKEEAKPKPRLIVRLGVFLASHHILFSAVCCTAGIIALLFLPSLAKNTYLSENALIPGSANTLFSTEDVQEANRFAKGIEAAIGESRGGTTEIPKFIAQQTKNLGAEVYYHEFLPDSKCFHPLKFFTSMTNNMAAKPNGTYTNFGINTVGIIRAPRGDGKEAIVLVTPYNSQKVTPNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYSAVSSWLNQYHNPMFLSHPVNLDTKIYGANQILYKPDGTAEKAELMAFKRAGTMAAALIFKVGETRKYGDRDSVTMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNVETFNSLLSSSWLRVIAEVFQNLGSVLRKINPDWKLDVTVPDYVEGTANLASSMYNQALGVPTGSHGAFRDYQVDAVSLEFAPAFHLKNENAKSSFLLRGGRLTEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALAGGSKTKGKLEDECKTKGNADDLQMEGGSWKWLKSARVLLIIQFWAVLVSLLPYYISQIPGAMPIQYAVIWAVLSITILIILYAMFGSPSRAGVEWKLLKATMITSITIGMGLMSIINFATAQLGALILIPMCLFSRPLRAQLEMNFLPRTVLLASNILLTVLGFPPSAFLIMKGLSKGSWTVDIVGDFWLWMEFLWEWSSATYLYVFLVHLPCWLLCIHVLLHPCYQPESKMKQE >OGLUM01G30610.1 pep chromosome:ALNU02000000:1:30483320:30486745:1 gene:OGLUM01G30610 transcript:OGLUM01G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGSGSHQRFSRLGKGCGSGEVAWWARRADAAWPGLRGGGGGGGEKASEAGGEGKLLRPTCSPEVGGGCRRVVAGGSPPRWRSRRTGEWRRWGRGRPGRGPSERRIRSTHDSVVAKEAGLVELSETLKTQEKPDNSQANCRLPKGLSHSHSSIARSRRMGRREDVVEVEANQNCAAGCSAAYVHGAPANDLATATERRAV >OGLUM01G30620.1 pep chromosome:ALNU02000000:1:30486862:30490615:1 gene:OGLUM01G30620 transcript:OGLUM01G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MTPLHAAPHHAAAAAAVVSSPSSAPLLLRAKPYHPKAAAAAVAAACSFTVTATTPSRKAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQGKLRERNPGNALLELRGNAGSHDLPFSVETLESLLKLTKEGLKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >OGLUM01G30620.2 pep chromosome:ALNU02000000:1:30486862:30490615:1 gene:OGLUM01G30620 transcript:OGLUM01G30620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80380) TAIR;Acc:AT1G80380] MTPLHAAPHHAAAAAAVVSSPSSAPLLLRAKPYHPKAAAAAVAAACSFTVTATTPSRKAFLSCPDHGQAAAGAAPPRSAPASSPALISSVQDLYDFICSGPLVDRIGYTKEKIAGSIDRWLRCGVQVARLFRLNELHLSEAEKARIYHFYIPVFLWCEDQVTEHRAKYKDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRNAATLSIDDFYLTAAEQLRGNAGSHDLPFSVETLESLLKLTKEGLKMKLPRYDKSAFGGRGDRADPSTWPEVEGPLEVVLFEGWMLGFKPLPNEVLEVVNKNLEAYYDAWDRFIGSWMVIKIKEPSCVYQWRLQAEIAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSNPDHLLVVDIDEKRNPMWGR >OGLUM01G30630.1 pep chromosome:ALNU02000000:1:30500926:30504282:1 gene:OGLUM01G30630 transcript:OGLUM01G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p60 ATPase-containing subunit A1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD86] MYFLIIFLQSSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARFYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKALININLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQKSVSPADIEKHEKWQAEFGSA >OGLUM01G30640.1 pep chromosome:ALNU02000000:1:30509738:30511858:1 gene:OGLUM01G30640 transcript:OGLUM01G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALAGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALIRTKWLNCKKAICDEVEIVKQLDAQLKAFKEAPGARRSSSPPIRSNNKSFVFQPLDEYPTSSPAPFDDPDVWAPPRDTPNRRPTRGQSSTRKSSQDGAWARGPSKTGTPSRGAKPNGSKGNSGARSSTASSTGGRKGKSSSSKADSVVISVKQLFFSVFI >OGLUM01G30650.1 pep chromosome:ALNU02000000:1:30543688:30548344:1 gene:OGLUM01G30650 transcript:OGLUM01G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD88] MAAAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVRFSFLVYSSAPLSAVFSDAGVVFDEMCQWAIYDFTLWIRLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDSLQDLSILELYILVCMNRLEDKEKSSYNFITIMKEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNRGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >OGLUM01G30650.2 pep chromosome:ALNU02000000:1:30543688:30548344:1 gene:OGLUM01G30650 transcript:OGLUM01G30650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD88] MAAAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAIRLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDSLQDLSILELYILVCMNRLEDKEKSSYNFITIMKEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNRGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >OGLUM01G30650.3 pep chromosome:ALNU02000000:1:30543688:30548344:1 gene:OGLUM01G30650 transcript:OGLUM01G30650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YD88] MAAAAAAAAASVASQAQAVLRGRLCDQAVVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVRFSFLVYSSAPLSAVFSDAGVVFDEMCQWAIYDFTLWIRLNGMLHSDDNCATKASSDDNTEFMIDMLRECGLAHKTIIFVLEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSVDSLQRLMEHLLALPEDSPLPTKYVREYNARITSIFNDKKFKGILSSLTDADATTSHILRFLVVSYMDIDSGLLSMQSFMNALSSMQRQPKMDSLQEYKSVQDAYKTSDKYSHTVCFRAFEHLLDRELISFADNRGRNQALEYRPVKLLISSRELAESLKLNTTCPAVLQKLLDRERYM >OGLUM01G30660.1 pep chromosome:ALNU02000000:1:30548965:30554730:-1 gene:OGLUM01G30660 transcript:OGLUM01G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPRAPPQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSATIHHRRRVINSIDASGEVMEYGSSNDQRMQDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWAGMHTVRYFDGKTYEWVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVKTSEEGGSFLLAFVSYMHGRAAYMHEVWTR >OGLUM01G30660.2 pep chromosome:ALNU02000000:1:30548946:30554730:-1 gene:OGLUM01G30660 transcript:OGLUM01G30660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPRAPPQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSATIHHRRRVINSIDASGEVMEYGSSNDQRMQDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQKRDDARQFMKHLHPGLGVELPERSYGSDCRMYVPENPTNRFINIQETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLCQFTPAKWDKDQWHPFMEPRRFIQVFCLCVGFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPAIREYNTYLQDRKPVKKVGAFCWLSLAICMVELLICMKFGHGELFYSM >OGLUM01G30660.3 pep chromosome:ALNU02000000:1:30548965:30554730:-1 gene:OGLUM01G30660 transcript:OGLUM01G30660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRWYPRAPPQPSPDGGDVNTDDADACPSSRQQRPPSLPQHSATIHHRRRVINSIDASGEVMEYGSSNDQRMQDMEIWPSDGGGVEEYDPWTAWLYKPHTVSVLLAGACLLIWASGVLHPEITSSHDKVIPIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGMAVVYLVALTFLLFQNYQRDHMDLTAETLFDEFVIAHVLGWWGKAVMIRNQLLLWVLSVGFELMELTFRHMLPNFNECWWDSIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKKTSEEGGSFLLAFVSYMHGRAAYMHEVWTR >OGLUM01G30670.1 pep chromosome:ALNU02000000:1:30555939:30560352:1 gene:OGLUM01G30670 transcript:OGLUM01G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >OGLUM01G30670.2 pep chromosome:ALNU02000000:1:30555939:30559816:1 gene:OGLUM01G30670 transcript:OGLUM01G30670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANCQPVCRTFLWAQNTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >OGLUM01G30670.3 pep chromosome:ALNU02000000:1:30555939:30560352:1 gene:OGLUM01G30670 transcript:OGLUM01G30670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >OGLUM01G30670.4 pep chromosome:ALNU02000000:1:30556081:30560352:1 gene:OGLUM01G30670 transcript:OGLUM01G30670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MVREEKLDFVLVPLGLAVLAVYHLWLLYAVLRHPTRTVVGLNAIARKRWVTVMMANTEKNGVLAVQTLRNNIMASTVLATTAITLVSVISVFLGATAGRSPASPSSSSSGAPLLVYGSKTGEVFAVKYLAISLCFMLAFVCNVQAIRLYAHASFLLGLPPVAGAGAGEGEGEAAAAAAAVAREEFAAYVARTVNRGSHSWSLGLRAFYASLALFMWTFGPIPMLACSVLMCGLLYFLDTTRERAAAVAGIHHGHKDSTV >OGLUM01G30670.5 pep chromosome:ALNU02000000:1:30556081:30557671:1 gene:OGLUM01G30670 transcript:OGLUM01G30670.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT3G18215) TAIR;Acc:AT3G18215] MAAPATGGGISADVPILHSENLTSNVKSIYYRFRSRTFLSIISGVVAGIWGFTGLMGFVFYLLVMMVASLGLLVKAKFSIHTYFDSWNRILIEGVFGGLMMSGKV >OGLUM01G30680.1 pep chromosome:ALNU02000000:1:30562381:30563854:1 gene:OGLUM01G30680 transcript:OGLUM01G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLFSPSTLAHMEIKRVKIAVHVARISSMILAIGVMCVIYIPTSYVKPLSYRYVLVAMWFEVLMCCTWGMAIAALSTALFARQHRFCISAFKCTRFLVGFVLAVSVGFLSAVKALCMLWILGSQFPRAE >OGLUM01G30690.1 pep chromosome:ALNU02000000:1:30566109:30566539:-1 gene:OGLUM01G30690 transcript:OGLUM01G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCWTLQKTLVTSVRSQSKIWGNQGALNLRLLHCAGAMLGFLCPMSSGLHLDAAL >OGLUM01G30700.1 pep chromosome:ALNU02000000:1:30568358:30569198:-1 gene:OGLUM01G30700 transcript:OGLUM01G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVLVTQLAAEGWGRRGRAMQRWTAMMAALARPERANKRDSTFQTAMYKWKQFIIETRWYKLDKYVPCISTSTKRPLK >OGLUM01G30710.1 pep chromosome:ALNU02000000:1:30570247:30576759:1 gene:OGLUM01G30710 transcript:OGLUM01G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQWRSATSPLPYGKFREDGAGGRPATSRHLLPTSPRLPASAAAAHHSGFHLRLRIARRQNTKGKDPGFATGWGGSGVTHIPQSTEKPLRKLTLEDTRPFGCTSTDFARTEKSEESNGTRSSNSFGSQARGSGAARGGDEVGFLHPTQFQSLEHSQTSNWTFEVPECPQRYLWCKDHKLAISTEILPKLYRAARHAYSNSAAAKDGSFMETDLMRHSKALLILCPDMLTAWNSRKIVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKKVSEHNQDVSELIEMESVLVKQIAEKSKMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSEVHLLWKEELTWNEKLIRRYQGRESLWIHRRFLSQWWMKFLLSSEETECAAGTSLVDLFLVQEIYLLSDCLNAPADEFGEACVQSELAALYILWISKQVPAVKLRLEERLHSLGSLEDRTGRTRADRRGDAVGRAVGRGAVRSEEWYGWSKGRRSGTSGRRREAAGGCGSGSGGRRAWRRQAVAGGHGCKQRRYPSGMPISLHPPPDESISLLLPLMVRCHHLPVFARSRFGWGKADLRRRRWMGN >OGLUM01G30710.2 pep chromosome:ALNU02000000:1:30570247:30576161:1 gene:OGLUM01G30710 transcript:OGLUM01G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQWRSATSPLPYGKFREDGAGGRPATSRHLLPTSPRLPASAAAAHHSGFHLRLRIARRQNTKGKDPGFATGWGGSGVTHIPQSTEKPLRKLTLEDTRPFGCTSTDFARTEKSEESNGTRSSNSFGSQARGSGAARGGDEVGFLHPTQFQSLEHSQTSNWTFEVPECPQRYLWCKDHKLAISTEILPKLYRAARHAYSNSAAAKDGSFMETDLMRHSKALLILCPDMLTAWNSRKIVLSVNYDFTKLKDELQLCALILSYSPKNESTWSHRRWVIKKVSEHNQDVSELIEMESVLVKQIAEKSKMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSEVHLLWKEELTWNEKLIRRYQGRESLWIHRRFLSQWWMKFLLSSEETECAAGTSLVDLFLVQEIYLLSDCLNAPADEFGEACVQSELAALYILWISKQVPAVKLRLEERLHSLGSLEDVLARACPERSRLWTHLIA >OGLUM01G30720.1 pep chromosome:ALNU02000000:1:30580671:30580967:1 gene:OGLUM01G30720 transcript:OGLUM01G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMEIHTTTMARRGQMCRRGDRVRRKMLMEREELGCSGGVERSLETTPSAVEKAGFDGAVACAFLRLGKWRRRLEAGAWRWRMAAALGGGGWRRGG >OGLUM01G30730.1 pep chromosome:ALNU02000000:1:30596172:30598335:1 gene:OGLUM01G30730 transcript:OGLUM01G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGDDEQPKTTEIKRVKIAVHVARICSMILVIGVMLIIYNPMSYVKPMSYKLVSVGEQHDLGISVVECTRFLVGSMLAVIVGVDFLVPLHFVHR >OGLUM01G30740.1 pep chromosome:ALNU02000000:1:30602832:30606452:1 gene:OGLUM01G30740 transcript:OGLUM01G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAVTALVADQEEVALLGEGILHHFEEILHQDPLIDEVGFLHPTQFQSLEHSQTGYWTSEAPECPRRYLWCKDHKLAISMEILPKLYRAARHAYSNSAAAKDGPLMEIDLMRHSKALLILCPDMLTAWNSRKMVLSVNYDFTKLKDELQLCALILSYSPINESTGSHRRWVIKKVSEHNQDVSELIERESVLVKEIAEMNYRAWRHRCWLIPYMTREQVLNELKKSTRWNELHVADNCCFHYRRSLLLALLDSCHVEDTEDSLDRKSEVHLMWKEELTWNEMLIKRYQGRESLWIHRRFLSQWWVKFLLSSEETECAAGTSLVDLFLAQEIYLLSDCLNAPADEFGEACVQTELAALYILWISKQVPAVKLKLEKRLHSVGSLEDVLARACPLLKAEQGHALQHTYRVTDETTTYDRCDAPLNLLILIKGLFGTPTAAAAAAYSVGHCLCRLRRP >OGLUM01G30750.1 pep chromosome:ALNU02000000:1:30606832:30609876:-1 gene:OGLUM01G30750 transcript:OGLUM01G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDA7] MAAAAAAREEQPLLLRREEGEEEGEEVGWRRRWGSEAGKLAYLALPMVAVSLTNYAVQVSSNMMVGHLPGVLPLSSAAIATSLASVTGFSLLIGMASALETLCGQAYGAKQYHTLGVHTYRAILTLLVVCIPLSLLWVFMGKILVLIGQDPLISHGAGRYIVWLIPGLFANALIQPITKFLQSQSLIMPMLVASVATLVFHIPLCWLMVFKTGLGYTGAALSISISYWLNVAMLVAYILLSSSCKETRTPPTIEAFKGLDGFLRLALPSALMICLEWWSFELLILMSGLLPNPELQTSVLSICLTSITLLFTIPYGLGAGGSTRVANELGAGNPEGARSAVYVVLSVAVTEALIVCGTLLASRRLLGRAYSSEEEVISFVAMMVPLVCITVVTDGLQGVMSGIARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLHMGAKGLWMGIVCGSISQITLLSAITFFTNWQKMAENARERVFSEKPTEPSRYHLVE >OGLUM01G30760.1 pep chromosome:ALNU02000000:1:30611301:30611921:1 gene:OGLUM01G30760 transcript:OGLUM01G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIRTLKRTIQFLIKELLKECFFIWLAVKGRCLTGDNLAKRGWPHDPLCALCSIDMEDCHHLLVKCPYTNRVWYLLRDRINVHFDIPGRLDLSLADWWQLARTRFQTRYSKAFDTFFMLVCWLVWKERNARVFDQKFNTADLLSADIKEEVAVWRAAGIFQFCE >OGLUM01G30770.1 pep chromosome:ALNU02000000:1:30612514:30616527:-1 gene:OGLUM01G30770 transcript:OGLUM01G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFIRVDYYVNNDNDDEQLREEPPAKLLIDRVQRNILADKPSVTKFPINFHPETSAGAGQEQQQQQQSGSPENHPNQGSKPNPDQ >OGLUM01G30780.1 pep chromosome:ALNU02000000:1:30619492:30621226:1 gene:OGLUM01G30780 transcript:OGLUM01G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKRGPWSPEEDELLRSYVRSHGTGGNWIALPQKAGLNRCGKSCRLRWLNYLRPDIKHGGYTDQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAMHHHQPPPPQQQQHYHHHHHRVAGGGARVTLVSPPPAPQSQCASMQPSPASASSSGGDACSFGAAAMYSPSPSTQQAPQAATLAVAGYTSVATAAAAAAVAAQRSPLDELICQVPPPPTTTAADCWASGVTLDDVFLPELVGAGEFPNGDLFGGFGPLLQDRSSMELSACYFPNSAAADMWPAATDIVKPAGLCHSLT >OGLUM01G30790.1 pep chromosome:ALNU02000000:1:30632831:30644487:-1 gene:OGLUM01G30790 transcript:OGLUM01G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPDTTYCNPKFTFPPQEESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQEMEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIELNIGGSLVVSALEEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLDGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSDSSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >OGLUM01G30790.2 pep chromosome:ALNU02000000:1:30632831:30644487:-1 gene:OGLUM01G30790 transcript:OGLUM01G30790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPDTTYCNPKFTFPPQEESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQEMEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLDGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSDSSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >OGLUM01G30790.3 pep chromosome:ALNU02000000:1:30632831:30644487:-1 gene:OGLUM01G30790 transcript:OGLUM01G30790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQEESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQEMEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLDGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSDSSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >OGLUM01G30790.4 pep chromosome:ALNU02000000:1:30632831:30644487:-1 gene:OGLUM01G30790 transcript:OGLUM01G30790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA LIGASE 6 [Source:Projected from Arabidopsis thaliana (AT1G66730) TAIR;Acc:AT1G66730] MAYLFSSPDSKSLSVATSTLFLSSLASLPSPHSEPPSASAASPLSPVPASVPPTALIPGSRFLVDAFRHAGDFTASYFLSHFHSDHYTGLGPSWRRGLVFCSPLTARLLVSVLSVPPQLVVVLDAGVRVTVDGWCVVAVDANHCPGAVQFLFRSSGPNAERYVHTGDFRFSQSMITEPNLLEFIGADAVFLDTTYCNPKFTFPPQEESLEYVVNSIKRVKEESRASGERVLCLIATYVVGKERILLEVARRCGCKIHVDSRKMEILTLLGIGGEDGVFTEDAAATDVHVTGWNILGETWPYFRPNFVKMKEIMVERGYNKAVGFVPTGWMYETKKEGFAVRTKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGLDGGKLDSKEAFALQKHFAGLVDETANKQEFLMAFHRSSRNATLGPEDAVTGLSQQEGEVQEMEEATLLPASLAFERSDSFQEKITVEMKKELSDFLPSWVSQDLILDLLIKSGGDVVQAATDFFEKERDFFEEANVYNSETPKSEIDLSSDHGSSADASSQQEVPLFSQKPMDHSSKLLNLNAMRMKSNLSKRERKRGSNSADKPKKKGRSTAFKPLTESSGRKQSTITNYFARTMLAASKSDTSDKVTVDANQNNVRNDDQFTEVVESEKQSVSQLLQIVDGGMSRESAISLLEKAKGDVNVAVDIFYSKTDNSNVLENDMNIVTQNTENEMTDKSSSTGLLRNSSEATPKMPNLCVQSYVAQADSVCISLPIEKYLPIEHACWTAGQPAPYLHLARTFDLVEREKGKIKTTAMLCNMFRSLLALSPDDVLPAVYLCTNKISPDHENIEALGSSRSKIHEMYKTFGDLGDVAQECRQNQMLLAPPRPLSIRDVFSTLRKLREMEMKFLVRTLVRNLRIGVMMKTILPALAHAVVIDGKYSNSPVLSLDGIKPQLQELSTEVAEAYNVIPNLDLLIPSLLREGTAFSDSSLAMITGTPIPPMLARITNGLTQSLKLFNGRAFTCEYKYDGQRAQIHRSNDGSVQIFSRQMKESTSRFPDLVGMIKELCSIEVSSFILDAEVVGIDRKKGNKLMSFQELSSRERGSKHSSIAIQNIKVDICVFVFDIMFCNGQRKLTVFFCSLLNCSLRQRRKYIHDLFQEKPGHFELAQQLTVEADEASVDNSTTLERMNTFFKMACQSSCEGIMLKILDVDAGYSASKRCDSWLKVKRDYVGLGDSLDLVPIGAWYGNGRKAGWYSPFLMACYNPEYEEFQSVCRVMSGFSDEFYKEMKEFYSGDRILPKKPVYYKTDELPELWFSAEQVWEIRGADLTLSPVHHAAIGLVHPSRGISVRMPRYIRSRPDRSPEDCSTATDVASLFKAQTRKMEISSDGQDTSH >OGLUM01G30800.1 pep chromosome:ALNU02000000:1:30648155:30653425:1 gene:OGLUM01G30800 transcript:OGLUM01G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDB5] MATGECEAHAWWSNGRSAAPAPPPASGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGNITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVQSFQGVLDGKYDDLPEQSFYMVGGIDEVIAKAEKIAKESAS >OGLUM01G30810.1 pep chromosome:ALNU02000000:1:30654107:30658392:1 gene:OGLUM01G30810 transcript:OGLUM01G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVKDQLHQMSTTCDSLLLELNVIWDEVGEPDTTRDRMLLELEQECLEVYRRKVDQANRSRAQLRKAIAEGEAELAGICSAMGEPPVHVRQSNQKLHGLREELNAIVPYLEEMKKKKVERWNQFVHVIEQIKKISSEIRPADFVPFKVPVDQSDLSLRKLDELTKDLESLQKEKSDRLKQVIEHLNSLHSLCEVLGIDFKQTVYEVHPSLDEAEGSKNLSNTTIERLAAAANRLREMKIQRMQKLQDFASSMLELWNLMDTPLEEQQMFQNITCNIAASEQEITEPNTLSTDFLNYVESEVLRLEQLKASKMKDLVLKKKAELEEHRRRAHLVGEEGYAEEFSIEAIEAGAIDPSLVLEQIEAHIATVKEEAFSRKDILEKVERWQNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARTLVNKIPGMVDVLRTKIAAWKNERGKEDFTYDGVSLSSMLDEYMFVRQEKEQEKKRQRDQKKLQDQLKAEQEALYGSKPSPSKPLSTKKAPRHSMGGANRRLSLGGATMQPPKTDILHSKSVRAAKKTEEIGTLSPSSRGLDIAGLPIKKLSFNASTLRETETPRKPFAQITPGNSVSSTPVRPITNNTEDDENRTPKTFTALNPKTPMTVTAPMQMAMTPSLANKVSATPVSLVYDKPEVTLQEDIDYSFEERRLAIYLARQMV >OGLUM01G30820.1 pep chromosome:ALNU02000000:1:30660828:30661367:1 gene:OGLUM01G30820 transcript:OGLUM01G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPGAARGAKVVLPDGAVRAVKVPAKAAELMLEAPGHFLADARALRAGGRIAALGADEDLELGGLYAAFPMKRLGAPAAPADMAILAAAVSRDQAAARRSSATAKVAAVVVAPQHDAAMAEEVEDAARPRLDEMAVGDAAAEAEISELKQRISGGRRSRRPTLETIHEESYAPAARC >OGLUM01G30830.1 pep chromosome:ALNU02000000:1:30684560:30685753:1 gene:OGLUM01G30830 transcript:OGLUM01G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGSGRLRFEPLRGGRLWAPADPRYRAPGDMQRHIQDAGPAALEGLIRRQANAGRPVSFIVANAFAPWAAGVARDMGVPRAMLWTQSCAVLSLYYHHLYSLVAFPPAGAETGLPVPVPGLPALTVGELPALVYAPEPNVWRQALVADLVSLHDTLPWVLVNTFDELERVAIEALRAHLPVVPVGPLFDTGSGAGEDDDCVAWLDAQPPRSVVFVAFGSVVVIGRDETAEVAEGLASTGHPFLWVVRDDSRELHPHGESGGGGDKGKVVAWCEQRRVLAHPAVGCFVTHCGWNSTTEALAAGVPVVAYPAWSDQITNAKLLADVYGVGVRLPVPTTRDALRRCVDEVMSGPEAEAMRLRAREWSDKASAAVANGGSSDMGIRDFADALLSLSVEHGC >OGLUM01G30840.1 pep chromosome:ALNU02000000:1:30692294:30697285:1 gene:OGLUM01G30840 transcript:OGLUM01G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRAPHAAMTPPSSHPRAVPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICRTKACVEEKGKLAKFVGRGELEGNGGSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFLSYR >OGLUM01G30840.2 pep chromosome:ALNU02000000:1:30692294:30697285:1 gene:OGLUM01G30840 transcript:OGLUM01G30840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRAPHAAMTPPSSHPRAVPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQVATYVLPTIGSSKGIVRRINTQTFLGFTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICSSITDNLPAILPNCSRGELEGNGGSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFLSYR >OGLUM01G30840.3 pep chromosome:ALNU02000000:1:30692294:30697285:1 gene:OGLUM01G30840 transcript:OGLUM01G30840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRAPHAAMTPPSSHPRAVPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQTISLLQPTALPALPTTTPTRATAEASHRAEEASQVWNYEERMTICRTKACVEEKGKLAKFVGRGELEGNGGSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFLSYR >OGLUM01G30840.4 pep chromosome:ALNU02000000:1:30692294:30697285:1 gene:OGLUM01G30840 transcript:OGLUM01G30840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCLRAPHAAMTPPSSHPRAVPRSALLASSRRRRTPPRPAPPAPGGSPFRRSPRQRRVVPLSAGALPGITRPPPSSLLPVAVHTTAACPRRRRGGSPFRRSPAWVYPTAPRTRCGTRHSGLRLSSPDDILIPFTCLSNPCEGQRLQLHRLQAIDCGRYNGGDKERFAVISSLLSFAQTISLLQPTALPALPTTTPTRATAEASHRAEEASQACVEEKGKLAKFVGRGELEGNGGSLQVEESWSGMASASEANGLCCHSRLTLEVLFFFLSYR >OGLUM01G30850.1 pep chromosome:ALNU02000000:1:30704785:30705603:1 gene:OGLUM01G30850 transcript:OGLUM01G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRPLLIYTSDQEMWRQMLVGDLGSMTEKAPWVFVNTFDELEHEAVAGLRKHIPLIPVGPLVEPDDDDVHGCTAWLDAQPRRSVVFVAFGSLVDIGHDEVVEIAEGLASTGRPFLWVLRDGNRALLPKDALIDACGGDRGKVVPWCEQRRVLAHAAVGCFVTHCGWNSTAEALAAGVPMVASPRWSDQRINTRFVVDVYRVGVRAPATPLTREALRLSVEEVTAGPEAEAMAARAAILGEKARAAVGGGGSSDRGVQAFVDRITSGGAEP >OGLUM01G30860.1 pep chromosome:ALNU02000000:1:30731749:30736906:1 gene:OGLUM01G30860 transcript:OGLUM01G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDC4] MAGIRLTPEEPELPQGTPPRPQLPPAFAGAGAALAGSGSGGGGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSDTLQGYIVSCSCGVAVRTFYFVHFLVATSLMIAFRIVIKYVERGSARDVFASFVVIGQVGIGSQMRIQIDCVLTKMIKILARLKAQCWGSKVIGMLLIQKILQIFRNMGMLEKYDVMDTMAVWTKKLCIDIINGGTPSGNDSINCEVDEKQLSNYPVLDVGTGNGLLLQALAKQGFSNLTGTDYSEGAIELAKNLAARDGFTSINFLVDDILETKLDRKFKIVTDKGTLDAIGLHPDGRIKRVMYWESVSNLVEPGGIVVVTSCNHTKDELVQEVEDFSKTKSGKEHLDEGEGNVPQIFRYIDHVRTYPTIMFGGVEGSQVCTVAFQRV >OGLUM01G30870.1 pep chromosome:ALNU02000000:1:30738805:30740154:1 gene:OGLUM01G30870 transcript:OGLUM01G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVPDLNPWVVLDRYVRDADLVDTQRDPRWAAVKCARKEAYGCGEFGQELVDGLTLYVRRNDGPSDDLFSALAVRATDEMLRKVAAPTKIQKSLVRPLFRCQASMNARVTFACELHAAEESLVVLSASFDHAHHIYYLVYDGIDESLTMIPSPSPHRFVAFHPRPVLRRRATGGYDLALVARYLSRKSGEDGDVLLLFTKEKESSCCSCQWTEEKKGMRLPAGPRGLFCPDMIFSFKGNTFWVDLSQGFMCCDTNALFSGDTVDFRCLGFPAKYMLHDIIKSLKLGPMEMYRTMGVSGGSIKFVSINTPATDAAAGKPPGRPCHDATALANTTVAVWTLDQGGLCWKKDVEFRLGNLWSQRDYKQSGLPRMVPVWPFLRPHAHGTLYFLVPKPMTGPSDPQMYHICGLDMCTKKIQLSQYSVRSNILRPVAFPTNAFQHLDESPLATR >OGLUM01G30880.1 pep chromosome:ALNU02000000:1:30743402:30745732:1 gene:OGLUM01G30880 transcript:OGLUM01G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAMPVALALPSEADLPYEEDVLRDPHSIRPWRRYLAARAAAPLLERAVIYERAVRALPGSYKLWHAYLLERTAAAEPHRGEHPANEALNRAFERALATLHRMPRIWEMYLAALLEQRLVTRARRAFDRALRALPVTQHHRVWPLYLRLAALPSCPAETSIRIYRRFLQFDTSRADELVELLVSAGRWQEAADHIVSVLNGGSDVSDNDRALLLKLCDLLAKHADEVAGLKVEAVLRGAMREFPDEAGRLRALLAECYARRGLYDKARDVFEEGVTTAATAAEFALVFEAYAQLEQSLVAAKMEKTADEEGDKLVTGCWLADGDDGDMCLARLERLLDRRQELLNGVLLRQNPHDVAQWHRRVKLFDNEPARQAATYVEAVRTVDPAKATGKPSTLWVSFAKMYEAHGNLDSADEVFAKATQASHRSADDLATVWCEWAEMQLRHKRFDKAIALMRQATAEPSAEVKLRAAAAAAAAGDDEPAQLKLHKSAKLWSFYVDLEESLGTLASTRAAYEGAMAARAADGDQLRLVPRGARLFEDSFAAYEMGANLFGHPHSKPIWDTYLERFVARHGGSKAERARELFAEATRRAPPHDRARLFLRHARYEEEFGSAARAMAVYDEAARSVPASDRASVYEAYAGRAAELRGVPKVRRVYEQAIESGGLPRRDALALCLRLAALEEALGEAARARAVFVHASGYGDPDADEEFWAKWSGFEVRHGDERTFTDMLRIRRTQKHAKTPGVHVNGELKDEKKKRCADQLGATQSKRQRV >OGLUM01G30890.1 pep chromosome:ALNU02000000:1:30746398:30748388:-1 gene:OGLUM01G30890 transcript:OGLUM01G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFALMAGRLLTESTLQSAVQEALAVASVKIVHDQPDLPVHEDVQDGKAKSGVMVECRICQEEVDESYMETPCCCKGSLKARTFGVSSLMVNADNLSFPIDVLFLPAILTQQISLLSEYLLELVCHCLQYAHHACIQRWCNEKGDTVCEICLQQFTPNYTAPLKLFRHGRNLISFRRSGERSDNVDTDRSQEHFAQTSDQAAGTSSFDSQNSSPKGVFYCRVVAISLMALLVLRDAISLILGDPEVYSIALFTLLMIRTAGIVIPIYIILVSVTTLLHRYRQHQAVHEATESEPGGGEGLRPMPPPQHVISIQ >OGLUM01G30900.1 pep chromosome:ALNU02000000:1:30751523:30754157:1 gene:OGLUM01G30900 transcript:OGLUM01G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQESLVLAGVMHGHNDVVTAIATPIDNSPFIVSSSRDKSLLVWDLTNPVQNVGEGAGASEYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGVTTRRFVGHDKDVLSVAFSVDNRQIVSASRDRTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFQPTIVSGSWDRTVKVWNLTNCKLRCNLEGHGGYVNAVAVSPDGSLCASGGKDGVTLLWDLAEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLKPEIPVSKNQMLYCTSLNWSADGSTLYAGYTDGTIRIYKISGFSYAG >OGLUM01G30910.1 pep chromosome:ALNU02000000:1:30760739:30766392:1 gene:OGLUM01G30910 transcript:OGLUM01G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMWGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDISVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYFPNSKKSPHWSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREHEIKSVKTTAITNEGDMDTNGHHVDFTNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMASGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNASAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >OGLUM01G30910.2 pep chromosome:ALNU02000000:1:30760739:30766392:1 gene:OGLUM01G30910 transcript:OGLUM01G30910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSTNRFCKKVDLEVLPSRSDVGESSYHKHSLWMAHWARSSISPEPQNGQSCSPLKEIDDVGYSKDCGALPFELMKARVAERLMVGVSHGGVSAGNTRQFSTNMWGVARDVCQEVQCKNVDQMGSSFESSVMQKNVNLYAAKTVVSERYSVHKISDISVDSRKLCGTENLSSEWNHFPMFEINRKIDSILNPRRSALVTSSEKIFVPQKSVKINMSTSNVMSFSSKEYQLHTHQVTDENRQCKSARGMLSHLDNYTGLNSDHAGKKLKGHLSIEEPCSCSKDDTDSSCSLADEHHARHYFPNSKKSPHWSCKNSSVYSASKMENQFVEGSLLEHKSEVYGACKKKQHLEGVAFHESALHREHEIKSVKTTAITNEGDMDTNGHHVDFTNLLQSDQQYLNKHTEDSAVNLTESCKTPDAIDSAMILKSKDESLAQEKRTNNKLIDNKRKGPCLFEMFTQPTKSNVKCSIDRTSSGKSCGNMASGLLGAQKQFSTKTDTFYSEAHHASKSTAGFASASMQKDLGYPSSAKTEQLVTSSVKGVSSGSKGNEAVNASAEHRDFYPKATCANNQEWSMSKTSSMNLDLVLFQISRLKNPIPNALNESPACPDPSEKWLKRLQHDTSDSHVPCSKKPKVGDGPLAGGTCTVFGQVFDCDSDSTGMINHVKNKLICKGLTDQQSQEGSPMSAKSLNRWIGRWCRGGTPVFHGTSNLERQEAKSGMPSDDLEGQFPSIAAMAMMGRVMNKLRPCELQKRGPSVVWRTEGL >OGLUM01G30920.1 pep chromosome:ALNU02000000:1:30770404:30771312:-1 gene:OGLUM01G30920 transcript:OGLUM01G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCCSSQFLLAVSFLAAFAAVSNAGKVAVYWGQGAGNGDGTLAETCATGLYDFVNIAFLNVYGSGLTPVLNLAAHCNPDAGTCKSLSSEISSCQQSGVKVLLSLGGERGQYSLSSADDARGVADYLWNTFLGGSSDSRPLGDAVLDGIDFDIEKDGDHYDELAMALSSKCNGACVLTAAPQCPYPDAHLDAAIKTGVFSHVWVQFYNNRQCQYASGDASALQAAWAQWTSGVPAPADVFLGLPTAPAAAPSGGYIDADTLLSQVLPAVEGAAANYGGVMLWNRWRDVTAGYGAKLKGKV >OGLUM01G30930.1 pep chromosome:ALNU02000000:1:30779645:30783687:1 gene:OGLUM01G30930 transcript:OGLUM01G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFTVDEYIKAPELLAILFNLGSKLLLRELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTRDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSP >OGLUM01G30930.2 pep chromosome:ALNU02000000:1:30779645:30784307:1 gene:OGLUM01G30930 transcript:OGLUM01G30930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFTVDEYIKAPELLAILFNLGSKLLLRELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKHTHPCYCQPTLVGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTRDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSPWCYPKTRPKLLAS >OGLUM01G30930.3 pep chromosome:ALNU02000000:1:30779645:30783603:1 gene:OGLUM01G30930 transcript:OGLUM01G30930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFTVDEYIKAPELLAILFNLGSKLLLRELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKHTHPCYCQPTLVGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTRDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSP >OGLUM01G30930.4 pep chromosome:ALNU02000000:1:30779645:30784247:1 gene:OGLUM01G30930 transcript:OGLUM01G30930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFTVDEYIKAPELLAILFNLGSKLLLRELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKVRAFAGWPGTRAKLQLVNQNGEPDVLEIKVISTKACASCDKTRDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSP >OGLUM01G30930.5 pep chromosome:ALNU02000000:1:30779645:30784307:1 gene:OGLUM01G30930 transcript:OGLUM01G30930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPPLLRRLFCCAAKSASAAGAGAGGTSKKNIVFLGSPQVAASVLETLLVASESPDSAFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPGDLIFTPERAGEEAFLSDLKEVRPELCITAAYGNILPQRFLDIPPYGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIASEKFTVDEYIKAPELLAILFNLGSKLLLRELPSILDGSAKEKAKPQDDSKVTHAPKLNSDESWLSFNQEAKLLHNKLVNQNGEPDVLEIKVISTKACASCDKTRDGNEVLFSGNSLLIPCGGSSCLEVVELQLPGKKVTTARDFWNGLRGQKLLKSPWCYPKTRPKLLAS >OGLUM01G30940.1 pep chromosome:ALNU02000000:1:30784338:30790270:-1 gene:OGLUM01G30940 transcript:OGLUM01G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNSLFNRSTFGTKWFASSPSPSSQIQLLSPPLLQFVSRIGSVLLRSATFMLVLVLSRSSRFRFRSAVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAIASIIFASGRCSDLPELMHLRTLFTTKYGKEFVAAAMELRPDSGVNRTIIEKLSVKAPSAESKLKVLKAIAQEYGLEWDSSNTEAELNKKYEDLLDGSGSSARQGQLPIIENSPVASISRDMPSLSISPVEDTGKYQAAPQSPSSPAGSAVMHAATKSNMVSQEHHRSPADEISCASPSTSDVLEKARAAIAAANRASAAARAAADLVKDFHACWIKGKGHLDMRCCIGVGSTHCITSLGLGLAVEKSRRKAHSRYRDVASLRPKAHTAPDSPEAMEEAKAAAYYDELNRKGEGARRFKQGLGFSSSDPQSTSFPSKPPSTSSSSSSSFLSGFVRAGATPAPAQPTNPPPREPSRVGRHSRSPTPSRRHRTRSRSPSRSRRHRSRSRERRRRSRSREREREDRRASRRRSRSRSRSRSPSRRSGRSSYSEDRRDRRRDDGGGRRESSKGRGGREGGKVDYSRLIEGYDRMTPAERVKAKMKLQLSETASKDSTLGNATVGWERFEFNKDAPLDEDDNDVEVANDDASLVKHIGKSFRLSAVQSKHEDTVRDAHENAIFGVPAYPIVDTETTEAEPETNDESEKAKDVEAEPSSSLISDKV >OGLUM01G30950.1 pep chromosome:ALNU02000000:1:30793889:30797808:-1 gene:OGLUM01G30950 transcript:OGLUM01G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-arabinono-1,4-lactone oxidase family protein [Source:Projected from Arabidopsis thaliana (AT5G11540) TAIR;Acc:AT5G11540] MVATFLLPLLLLSTLLPAAVPLPPRPPVRCDGSGGGDGSGCVLSNAYGAWSSDRADCPVAAVAYPASEADVVAAVAGASAKNMPVKVVSGFAHTIPKLACPGNGSGSSGGAASSLLINTARYDGVAVDAAARTVTADAGAPLRAVIDAAEASGLSLTAAPYWEGVSVGGLVSTGSHGSSWWGRGGAVHDHVVALRLVVPAGAADGWAKVVALRRGDALFNAALVSLGLLGVISKVTLALEPRFKRSISYEYRDDSTFQDDFARHAANHEFADITWYPSQHKAVYRIDDRMPLNATGDGVNDFIGFQSTLIAVSSGIRALETALEASRNVKGKCKMAAAEIAAKRLVGNGLRGAGGRLFTGYPVVGFQGRMQTSGSCARSPPTDTLSACPWDPRYKGLFFYESTAMFSPAARFRDFVLDVKRLRDVDPDSMCGVDAYNGLLVRFVKASEAYLGQPEDTVVVDFNYYRASDGSSPRLSQDVWEEVEQLAFVKHGARPHWAKNRLAAFRGVRGKYPSWDKFAAAKRQLDPRGLFDSRWSDEVVGGEEQLPKADGCALDGRCVCSEDRHCSPSKGYYCRPGLVYSEARVCRYSVSQLV >OGLUM01G30960.1 pep chromosome:ALNU02000000:1:30801063:30804042:-1 gene:OGLUM01G30960 transcript:OGLUM01G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77420) TAIR;Acc:AT1G77420] MAAILQPPRHAAVVAPRRAGRLARPGCAGGRRAAVAVAAAGGAARDRKPPRLEGASEELRAAAAQCLDWAPARRRVRGAFAPVLPTLDHCLFKMAPKGIQMEENFETNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGIAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVEHVIEQYSRIKGRKEVRGLPHFLLGQSMGGAVALKVHLKQPKEWDGVLLVAPMCKISEDVTPPAPVLKALSILSCLLPEAKLFPQKDIGDLAFRDPSKRKVAEYNAISYTQQMRLRTAVELLKATKDIESQLEKICSPLLILHGAADMVTDPHVSEFLYEKASTKDKTLKLYEDGYHSILEGEPDDRISTAINDIISWLDSHC >OGLUM01G30970.1 pep chromosome:ALNU02000000:1:30819071:30820162:1 gene:OGLUM01G30970 transcript:OGLUM01G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAGVGRDGSFASQKRPRRVSVRMERSRVGDGCCCSCSGRGGVASTTAVRPSTGMVVIVGATGTGKTKLSIDAAQELAGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRAEAGELPPSSFRSLATAAAAGIASRGRVPVVAGGSNSLIHALLADPIDAAPRDPFADADVGYRPALRFPCCLLWVDVDDDVLDEYLDRRVDDMVGEGMVEELEEYFATTSASERASHAGLGKAIGVPELGDYFAGRKSLDAAIDEIKANTRVLAARQVGKIRRMADVWGWPIRRLDATATIRARLSGAGRAAEAAAWERDVRGPGLAAMRQFVGRADFNAAAVDQLAARSRRQCLRGGMVAG >OGLUM01G30980.1 pep chromosome:ALNU02000000:1:30821882:30822397:-1 gene:OGLUM01G30980 transcript:OGLUM01G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPWEDKRNRGQYSGVLVYCYDAGRGLVHAQTRAAGEGEASPPSSAKNKATSMMAFAVSRALETSNRNNYQGGKAGWARGGSKCMHADAGAAPRHRRGPRVRQLVQVQAQLVAARTRRTQQRPAPRRPSDGESQQPSSGPRCLCILLRATPSTVQVNTRACKCTHGVGIL >OGLUM01G30990.1 pep chromosome:ALNU02000000:1:30832963:30833766:-1 gene:OGLUM01G30990 transcript:OGLUM01G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAAVDDHDRHRAGNSSSPSEDSPAPAPAPAPAPPQPSRTRLHSFSFPTLSWGTHRLLRCSKNPASSPPPAAPDTPSPDKEKAAHRSTDGVGGGGSPQRGPQRPWNLRTRRSATAAPRPEGSDDAADAAPERAPSPLAATKKRVFSIVLSKEEIGQDFKAIRGTRPPRRPKKRPRTVQRQLDLLYPGLCLADLTPETYKIEER >OGLUM01G31000.1 pep chromosome:ALNU02000000:1:30842292:30843894:-1 gene:OGLUM01G31000 transcript:OGLUM01G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGDQHGPVIGIDLGTACSCVAVWQNGRAEIVTNEHGGRATPSYAAFTDTERLVGDAAKSQASRNPTNTVFATKRLMGRRFSDASVQDGLKLWPFKVVPGRGDKPMVAASYKGKQKLLAAEEVASMLLSKMKAEAEAYIGGPIKNAVVTVPASFDVLQRRATKHACAVAGLDVLGVIHGPTAAAVAFGIHEIAGDKNVLVFDLGGGHTSVSLLAVASGKIAVRATAGDPHLGREDFNGRMVEHFVAQFKAEHKKDVGRNARAMVRLRAACEQAKRTLSSASWAAIELERLHDGADFYSTITRDQFDELNLDLFCKCLDPIKKCLTGAKMDRSSVDDVVLVGGSTRIPRVRRLIQDLFDGKELRKDISPDEAAACGAATMASLGSDDSLVDLFLFDATPHSLGVAAAGGAMAVMIPKNTPIPVMARENTISIQPNHKKGIVISIFEGERPQARTLSSARSKLPGAHSKSKARSEALCVCVLQHRCRRRLDGLCEGQGERA >OGLUM01G31010.1 pep chromosome:ALNU02000000:1:30848086:30849884:1 gene:OGLUM01G31010 transcript:OGLUM01G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPTAHHLKPWVPTPTPRSHRVPSLPAVSGGGGGGARPARDRRRSSSSSSHRRGGTATDAGAVDEEEVVEAYDGGLEDLRAKLMGHIKDVADRLHLPQPKPQPRSPEPETPPAPAPLPPPPPPPPDTSVAAAAARPWNLRERKRRPSARGSTAASPTTAWARRADTTRGGGERPPFAVALAAEEIEEDIYALTGGRPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDD >OGLUM01G31020.1 pep chromosome:ALNU02000000:1:30863112:30863567:1 gene:OGLUM01G31020 transcript:OGLUM01G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHFVFIPLMAQGHLIPAVDTALLLATHGALCTVVATPATVARVRTHRRLGPTVRLEAVLEEKSGELAFPRMRQVFMLNNTHAIVRRAVRSNLAMFLPPRWARAREECMEGYIKSYLDMSWVPIVSRLAAGAATAAATKPAAVSVLRRQ >OGLUM01G31030.1 pep chromosome:ALNU02000000:1:30866821:30877403:-1 gene:OGLUM01G31030 transcript:OGLUM01G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTPMAGEGTLAAVMPRSPSPTASAAAGSAAEAPMLIFLYFHKAIRAELEGLHAAAVRLATERAGDVEALAERCRFFVNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFSQLFALLQLDIQNDDSLRRELASCTGAIQTCLSQHMSKEEEQVFPLLTKKFSYEEQADLVWQFLCNIPVNMMAEFLPWLSSSVSSDEHEDIRSCLCKIVPEEKLLQQVVFAWIEGKTTRKVTENSTKSNSEATCDCKDASSIDHADNHISSHEDSKAGNKKYAESIDGQVERHPIDEILYWHNAIRKELIDIAEETRRMQQSGNFSDISSFNARLQFIADVCIFHSIAEDQVVFPAVDSELSFVHEHAEEERRFNNFRCLIQQIQIAGAKSTALDFYSELCSHADQIMETIEKHFCDEETKVLPQARMLFSPEKQRQLLYKSLCVMPLKLLERVLPWLVSKLSDEEASSFLENMRLAAPSSETSLVTLFSGWACKARSEDKSNSGEYLCLTSGEMRCLLDEVDGLEKCRPFCPCASRSNTDASLHPQTENGSRPGKRGNDAESVPGTNGSDLSQTDDTEARPCSKKPCCIPGLRVETGNLAISSSLASAKSFRSLSYNSSAPSLYSSLFSWETDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHKQEEQLFGDISDALAELSQLHERLTHPHIEVSEAEKNDFNSSDEIDWTRKYNELATKLQGMCKSIRAALTNHVHREELELWPLFDEHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALTQEEQNMMLDTWKQATKNTIHLQDKIDQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKLPEPKSEECSEGAGIPGCAPSYRDQEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKISDHTMERKATQEMMCMVCLKVQPVGPNCQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLTEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGSCGSYNTRVIKTDTADCSTPN >OGLUM01G31040.1 pep chromosome:ALNU02000000:1:30882776:30883107:-1 gene:OGLUM01G31040 transcript:OGLUM01G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIVVEEHVAVSIIVPWSETMLTCLPPCSKLMDASNLALFGEYGGSELILPLPGYASLG >OGLUM01G31050.1 pep chromosome:ALNU02000000:1:30886771:30889870:-1 gene:OGLUM01G31050 transcript:OGLUM01G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASKDQIGRGGGTGDADGGNGAKRKEGALASSRLLDPDFKPSKLSQDRLDKFKELHKKRLQIKEKPKCKGKSRGSTKKNTKVTSDCSIVDKDESIGNVAIDVQHTASAAGTQVA >OGLUM01G31060.1 pep chromosome:ALNU02000000:1:30896646:30901113:1 gene:OGLUM01G31060 transcript:OGLUM01G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSTIAARFAFFPPTPPSYTVVTDAATGRLAIPEISRPPARRRRRDGGGDASASGAAPAEDEDGTEVVRLRTRRGNEIVGVHVRHERASATLLYSHGNAADLGQMYGLFVELSRRLRINLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRGVVLHSPILSGLRVLYPVKRTYWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWELCKVKYSPLWLTGGGHCNLELYPDYIKHLKKFVSSLGKKSSKPDLKEITMKEGASSKDSEPASSEKPQEAPKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDVDKFRRRRCLVW >OGLUM01G31070.1 pep chromosome:ALNU02000000:1:30897566:30905733:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLLLLLVAAACRGDPTGDIYDTAMCGAQASITCGGVIVRYPFYLSNATRALPNYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCEAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGSNSKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKGPDPPLSPDTVHAQWDSRQTTPNTSQIKLKIAVATTSPEISVKPMA >OGLUM01G31070.2 pep chromosome:ALNU02000000:1:30897566:30905733:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLLLLLVAAACRGDPTGDIYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICDGGGGGGKAVMMLGNDSYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCEAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGSNSKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKGPDPPLSPDTVHAQWDSRQTTPNTSQIKLKIAVATTSPEISVKPMA >OGLUM01G31070.3 pep chromosome:ALNU02000000:1:30897566:30909974:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRLLLLLLLLVAASHGDASGDTYDTSICLEKPTTCGNVSISYPFYLAKETQDINGSSNSYCGYPGLAIDCDNAQPILQLNGTEKYKVNNINYGNGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLLFPAGMPLDYLVFFLGCSFPNLFLPPENIDPITCSLIGLTGQSYVLPKDQVPPGNWSQFCKTFEVPVVKYQQMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQAREFIGCLCPNGRVRSVRCEPSDLTTGSNSKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKGPDPPLSPDTVHAQWDSRQTTPNTSQIKLKIAVATTSPEISVKPMA >OGLUM01G31070.4 pep chromosome:ALNU02000000:1:30900762:30912840:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRLAAMAHQPLLLLSFLLLIGVHASVSDGSPLPHTYNTSICSKSYKCGGVNISYPFYLSNATGETYDYTQFSCGYTDLNITCSWDGSKQTPFIQLNGDNYTILEIIYDSRTIVLADTDALRSSCPRVRHNVTFGQAYEWLQYTDSLDSLIFFFGCNLAPPIGPRLVSLPDQYQINCKTFSNGPDGGDSFVFTSGELEAPAESELARRCSQVIVVPVNGSILNSSNQRALPSGGYGQVLNKGFDLAWNSRKDDQCNKCEQSKGQCAYSQNRIFLGCLCADGKVSRTDCTSRSNSKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKGPDPPLSPDTVHAQWDSRQTTPNTSQ >OGLUM01G31070.5 pep chromosome:ALNU02000000:1:30900762:30924246:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRLLLLLLPVVLVLFAEADASPSACRNATCGDVPVAYPFWLIDNSSALGCGYPGLGLRCVDNTTLILPFRTHQYRVLDIEYGKHTISLTDADMQHSDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAGPEHIGSSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCGSNSKTKIIAGVVGGGLSAVFALGLIATVFFVRKRKHKKVNSSSKLLKYSGSGGTPRSMGGDMESGSVKDLQTHLFSYEELEEATDSFNENRELGDGGFGTVYKGILRDGRVVAVKRLYNNSYRRVEQFVNEAAILSRLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGHRAQERALSWPLRLNIAVESAAALTYLHAIEPPIVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRQRNEINLAGMAINRIQKSQLEELVDLELGYESDPATKKMMTMVAELAFRCLQQNGEMRPPIKEVLEGLKGVQDLCVMEKDGGKDKGPDPPLSPDTVHAQWDSRQTTPNTSQ >OGLUM01G31070.6 pep chromosome:ALNU02000000:1:30905931:30909974:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLCRRRLLLLLLLLVAASHGDASGDTYDTSICLEKPTTCGNVSISYPFYLAKETQDINGSSNSYCGYPGLAIDCDNAQPILQLNGTEKYKVNNINYGNGSITNVSLVDQEVVDDSSGCPRVDHNVTFAQGSWLLFPAGMPLDYLVFFLGCSFPNLFLPPENIDPITCSLIGLTGQSYVLPKDQVPPGNWSQFCKTFEVPVVKYQQMDPKGDAWRKGGYGQVLRQGFPLSVNDSRRPPNCTQCEESKGRCGFSQAREFIGCLCPNGRVRSVRCEPSDLTTGEVPRHPSRDGTRTGIGRETVTRRLARVAVSCRVAAATGSTSIAMCRMH >OGLUM01G31070.7 pep chromosome:ALNU02000000:1:30914881:30924246:-1 gene:OGLUM01G31070 transcript:OGLUM01G31070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRLLLLLLPVVLVLFAEADASPSACRNATCGDVPVAYPFWLIDNSSALGCGYPGLGLRCVDNTTLILPFRTHQYRVLDIEYGKHTISLTDADMQHSDTNSSCPHLHANLTIDDNSWLQLASSDSNITFLYNCKSNSSLLSSTSAVKLTGCGAGPEHIGSSYVFLDGWITGEAYDYECETVVVAPVIDAHKKAMVDAHGGLPPADGSFGDVLSAGFELTYSAHSDKCGKCERTKGWCGYRHNETSTTMDFTCFCDEGPTKSHCENCFLLDCHVNTVS >OGLUM01G31080.1 pep chromosome:ALNU02000000:1:30924484:30926352:-1 gene:OGLUM01G31080 transcript:OGLUM01G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIMELNCIVYLRTMVSILCLGCLGPLTSPAARSPLAPNIPGHKKERKKREKGRKERNQNRDHPTRPPTGEAHSVAVRD >OGLUM01G31090.1 pep chromosome:ALNU02000000:1:30935002:30964403:1 gene:OGLUM01G31090 transcript:OGLUM01G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLLLLLVAAACRGDPTGDIYDTAMCGAQASITCGGVIVRYPFYLSNATRALPNYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCEAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAAGEFVSCLCPNGRVRSLRRFKLREEKETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAGKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHRSKRALIYEYMPNGSLERYAFRKNSKGEPSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKLVVVALWCIQVVPTNRPTMTRVVEMLEGSTSAMARLPRLLLFLFFLLLHLHLHAPVSHGSTVQLSDAYDPSICSKSYNCGGVEIKYPFYLSNTSAPYICGYTDLKTTCLEDRPTIQLGGRNKYNYTIHNIFYNNRTVKLADTDALSGGTCPRVSHNVTLDGKWLNYTASYESLTFFFDCNSIPASTPDPSQGKPSPDQRPQQPSAATDSQIRCKEFINLNNGLSSFVLISRKINASIENELMATRKCGQIIVSPVDGGALVNINDQSLASGRYGGVLHDGFEVAWNSSIDERCYLCEQSQGHCSYQDSMWASKIANIVAGVSSVLLLCLLFACFYGHKKNRSKQIATGIPRIESFLERNGTLHPKRYTYNEVKRMTKSFAEKLGQGGFGAVYRGNLFDGRQVAVKMIKDFMGDGEEFINEVASISRTSHVNVVSLLGFCLQGSKRALIYEFMPNGSLEKYAFNYSKGGSSLSWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESTISLIGARGTIGYIAPELILKQLGTVSSKSDVYSYGMMVLEMVGARQRNPDANSESSSNYFPQWIYEHLDEYCISASEINGETTELVRKMIVVGLWCIQLSPTNRPTMTRVVEMLEGSTGCLPYLLTNPCTRDNTAALPFATNSNSSIYPLLETAPFPPYINQTICLQHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASAGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGEGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTTAPPRGGRKTYLLTAAPQCPYPDASLAAALGTGLFDHVWVQFYNNPPCQYAAPGDASALQSAWQQWTAGLPASTVFLGLPASLDAADSGFVDADTLASQVLPLVEGAANYGGVMLWSRSHDKDSSFSVKLQAALQNRNKPPGAGASSHNKRKICKWLTFVYSEFLIQYLISMHFQPPLGHADIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGHQIVVKLLKNCRGNDKEFLNEVASIGTISHANVVPLLGFCLQGTARALIYEYMPNGSLETYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPINILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSRKFGAVSSKSDVYSYGVMVLEMIRAKRCINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLESSSTKMDLPRKSIE >OGLUM01G31090.2 pep chromosome:ALNU02000000:1:30934599:30964403:1 gene:OGLUM01G31090 transcript:OGLUM01G31090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCHHGGRVLLLLLLVAAACRGDPTGDIYDTAMCGAQASITCGGVIVRYPFYLSNATRALPKYANSSTFCGYPGLEIICDGGGGGGKAVMMLGNDSYTVSRIDYASLTVSLADADVANGTCPVVSHNVTIPPAPSSLHLADTVGMLIFFFRCAFGPAANAPPKPPSIHPLTCGENSEDAPTQSFLLPASPLPPGDLWHRGCEAVYGVPVLGGSLPSDANDPAWRKDGYIASLRKGFQMSWDRSDRCSRCELTSGKCGYNQNGKFLGCLCANGLVDSDACSKISDSTLRLAGQYRVVSWRFKLREEKETFLKKYRHRRISKGTPRIESFLQRNGTLHPKRYTYTEVKRMTKSFAGKLGHGGFGAVYRGNLSDGRQVAVKMLKDSKGDGEEFINEVASISRTSHVNVVTLLGFCLHRSKRALIYEYMPNGSLERYAFRKNSKGEPSLTWEKLFDVAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESIISIAGARGTIGYIAPEVYSKQFGAISSKSDVYSYGMMILEMVGARERNIDANSESSSHYFPQWIYEHLDEYCISSSEIDGETTELVRKLVVVALWCIQVVPTNRPTMTRVVEMLEGSTSAMARLPRLLLFLFFLLLHLHLHAPVSHGSTVQLSDAYDPSICSKSYNCGGVEIKYPFYLSNTSAPYICGYTDLKTTCLEDRPTIQLGGRNKYNYTIHNIFYNNRTVKLADTDALSGGTCPRVSHNVTLDGKWLNYTASYESLTFFFDCNSIPASTPDPSQGKPSPDQRPQQPSAATDSQIRCKEFINLNNGLSSFVLISRKINASIENELMATRKCGQIIVSPVDGGALVNINDQSLASGRYGGVLHDGFEVAWNSSIDERCYLCEQSQGHCSYQDSMWASKIANIVAGVSSVLLLCLLFACFYGHKKNRSKQIATGIPRIESFLERNGTLHPKRYTYNEVKRMTKSFAEKLGQGGFGAVYRGNLFDGRQVAVKMIKDFMGDGEEFINEVASISRTSHVNVVSLLGFCLQGSKRALIYEFMPNGSLEKYAFNYSKGGSSLSWEKLFDIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDQEFCPKISDFGMAKLCANKESTISLIGARGTIGYIAPELILKQLGTVSSKSDVYSYGMMVLEMVGARQRNPDANSESSSNYFPQWIYEHLDEYCISASEINGETTELVRKMIVVGLWCIQLSPTNRPTMTRVVEMLEGSTGCLPYLLTNPCTRDNTAALPFATNSNSSIYPLLETAPFPPYINQTICLQHICTLNAPPRHLSAFAMSGKLCFLASVLLSMSTVVDLAMAASAGVNIAVYWGQNGSEGTLGETCGTGLYAYVNLAFLSTFGAGRAPVLNLADHCDAPSGTCASLAADIASCQAAGVKVLLSIGGGALGYNLSSPSDARDLAAYLWDNFLGGEGATGASRPLGDAVLDGVDFDIESPSRFYDDLARNLASLYTTAPPRGGRKTYLLTAAPQCPYPDASLAAALGTGLFDHVWVQFYNNPPCQYAAPGDASALQSAWQQWTAGLPASTVFLGLPASLDAADSGFVDADTLASQVLPLVEGAANYGGVMLWSRSHDKDSSFSVKLQAALQNRNKPPGAGASSHNKRKICKWLTFVYSEFLIQYLISMHFQPPLGHADIIAGVFAGVLLLFLLLITYFLCHKKHHGQQPPVQELTTPPKAEPSQKKQRAQHLKRYSYSEVERMTKTFAHKIGQGNYGDVYKGNLRDGHQIVVKLLKNCRGNDKEFLNEVASIGTISHANVVPLLGFCLQGTARALIYEYMPNGSLETYAFSNDDSIEENYSLWIYWEKLYEIAIGVARGLEFLHGSGNANIMHLKIKPINILLDQELCPKISDFGVANLCLWKESKKSAQNARGRDGYDAPEVVSRKFGAVSSKSDVYSYGVMVLEMIRAKRCINVGADTTTKYFAQWLYDHLDQFCNSISDISDETRESVRRIIIVGLWCIQAAPANRPSMSRVVKMLESSSTKMDLPRKSIE >OGLUM01G31090.3 pep chromosome:ALNU02000000:1:30928354:30934703:1 gene:OGLUM01G31090 transcript:OGLUM01G31090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPRLLPFFLLIGVHASVSHGSPLPPTYNTSICSKSYECGGVNISYPFYLSNATGETYDYTQFSCGYTDLKISCSLDGRNETPTIQLGGDNYTVLDIFYDNRTIMLADTDALRGGSCPRVRHNVTFGQAYEWLQYTGSPDNLTFFFGCNLNLALTIDPRLVSLSDQYQINCKTFSNGPDGGDSFVFTSGELEGPVESELARRCSQVIVVPVNGGILNSSNQSALPSGGYGQVLKKGFDLAWNSRKDEQCNLCEQSQGHCSYSQNRTFLGCLCSDGKVGNQDCRNSGGARQG >OGLUM01G31100.1 pep chromosome:ALNU02000000:1:30965030:30965341:-1 gene:OGLUM01G31100 transcript:OGLUM01G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKPNVVLVLVLVLAVVTSPGTVCGASRTAPAAATKCDPLALRPCAAAILWGEAPSPACCAGLRAQKRCLCRYAKNPDLRKYINSQNSRKVAAACSVPAPRC >OGLUM01G31110.1 pep chromosome:ALNU02000000:1:30965772:30966110:-1 gene:OGLUM01G31110 transcript:OGLUM01G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPSVFSTVVAAIAIAALLSSLLLLQATPAAASARASKKASCDLMQLSPCVSAFSGEGQGSPSSACCSKLKAQGSSCLCLYKDDPKVKRIVSSNRTKRVFTACKVPAPNC >OGLUM01G31120.1 pep chromosome:ALNU02000000:1:30966782:30968353:1 gene:OGLUM01G31120 transcript:OGLUM01G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDG3] MPPHFPRDSDSDQNVRMPFHGHKSIHKLLGGGQVEYNIIPLLCQIAILAMLVIFIWSNAAPLLDRAPPRIPEIIISEHAFREMALTVHYKLTYTVSVLYDIACGKDLKRFLLFWTNNQIVYDIKVVGSLLVLSAIGSSCSLTSLLYIGFLCAHTLPVLYQRYKTEVDHLVAKGSDDIKKFYKKVDSNLLNKIPRGPVKTKVK >OGLUM01G31130.1 pep chromosome:ALNU02000000:1:30968798:30972172:-1 gene:OGLUM01G31130 transcript:OGLUM01G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQVMGVGFTGSLASSRPKHGEHRFYVSTRTQNCLRTSHVTLSKGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >OGLUM01G31130.2 pep chromosome:ALNU02000000:1:30968798:30972172:-1 gene:OGLUM01G31130 transcript:OGLUM01G31130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWVRAVVEAIHSSRAQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSMASMAQLLGKMPLQFTSKQAAEDMALAAFNRALKLSGPGLQGLRSREEEDKVSSYFLLKAIADGCKVPATIQSDVQEPELPKESVEQFDEDQELQQVIDGQVCMKVYHFSDSMDKNFNRKIILPGSFNPLHDGHLRLLEVASSMCDDGLPCFEISAINADKPPLSIAEIKRRVEQFRKVGKNVIISNQPYFYKKAELFPGSAFVIGADTAARLVNPKYYGGDYNRMLEILLECKNTGTTFLVGGRNIEGVFKVLEDLDIPVELREMFISIPEEKFRMDISSTDIRKKQGP >OGLUM01G31140.1 pep chromosome:ALNU02000000:1:30972332:30980617:1 gene:OGLUM01G31140 transcript:OGLUM01G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGDRARAPKRHKSSAPSRSIDETAELDYTDDDVRDADREVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVMSKLSKTKLPREIIDFIHASTANYGKVKLVLKKNRYFVESPFPEVLKTLLKDDIICRARISPEVENVKQRCLPNALNFPMLEEYDFRNDTVNPDLEMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDEHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVGYPLVIFEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYAMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTSYAMKLRKPMIYGSTSHVERTRILHQFKNSSDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEELPNLKYYTLNDQLELLAQVGVFCTCCFLLVNSLRHLNQSLSARDDMIGIEHLEEDSDGKALMKARRSAGSMSAFSGSGGMVYMEYSTGKGKAGASKKPKDPSKRHYLFKKRYQ >OGLUM01G31150.1 pep chromosome:ALNU02000000:1:30982587:30987427:1 gene:OGLUM01G31150 transcript:OGLUM01G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDG7] MDLDLWISKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFSETMEREVKFFTETEENNQMRGPRTAVPYFL >OGLUM01G31160.1 pep chromosome:ALNU02000000:1:30988521:30988775:-1 gene:OGLUM01G31160 transcript:OGLUM01G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLKNASATSEAAAAASRHPMAEWLHRFLHGRQWEVGFGSNEKKGTLQETSLIIYISLKVMVMKKKDRLIRGKSIKNIQGKI >OGLUM01G31170.1 pep chromosome:ALNU02000000:1:30990557:30991054:-1 gene:OGLUM01G31170 transcript:OGLUM01G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSPRRKKKAAAAKKRRGGGDERERGRGRGRDLSPAAPPVGAGGGKDAALAECAAACCVLCACLPLAALCCVARAPVRVARRCWRWRWRRRRPQRRLAPGGSSSFSDAELGDFRPGRPRRAMADQEFRPRGTSPTPSGSRRSPSPAPPHPQQQQPPPHDRRR >OGLUM01G31180.1 pep chromosome:ALNU02000000:1:30992941:30994845:1 gene:OGLUM01G31180 transcript:OGLUM01G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSERADFTYSEWRHGWDHDYGVPNNFFIASKADRLPLGRTSWQSQFSQKSLVVLQELPGVGHRLPDGVHRQEPLRPRRQARELGLVEEAGVGHLVHHLEPHHEAADHDVDEPDGVAAEERLPLGALHERSLQHLHLRKMPSITVLSK >OGLUM01G31180.2 pep chromosome:ALNU02000000:1:30992660:30994845:1 gene:OGLUM01G31180 transcript:OGLUM01G31180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQYQTTSSSSKQASKADRLPLGRTSWQSQFSQKSLVVLQELPGVGHRLPDGVHRQEPLRPRRQARELGLVEEAGVGHLVHHLEPHHEAADHDVDEPDGVAAEERLPLGALHERSLQHLHLRKMPSITVLSK >OGLUM01G31190.1 pep chromosome:ALNU02000000:1:30993572:30996541:-1 gene:OGLUM01G31190 transcript:OGLUM01G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGGGGELRLLGTWSSPWVIRVRVALGMKGLSYEYTEEDLSSKSDLLLRSNPVHEKVPVLIHGGRPVCESLVVLEYIDETWGATGTPQLLPADPYDRATARFWTNYVNDTFFPSWKVLFRSTAAEQRAEAFKNVVPRVEALERAFGECSKGKAFFGGDDAGLVDVALGSHLVWIKVVDEVAGANLLDEAKFPGLAAWAERFLAVDAVRQVMPDAGDVLKQYKGFLAKWTAGAVIPILYTNRKTDPDSNSIILTQFAAAMAGRGGGGGGGELQLLGTWYSPYAMRAKIALGLKGLSYEYIEQDLFGKSELLLKSNPVHKKVPVLIHAGRPVCESRVVLEYVDEAWPGAAPPLLPADPHGRATARFWATYFDSTFFPPWRALMRATTAEQRAEAFMNAVPQVEVLERAFVECSKGKAFFGGDAVGLVDVVVGGFVVWFKVVDEVADTSLLDEAKFPGLAAWAERFLAVDAVREAMPDAGKLLEHYKGFLAKLALPAGST >OGLUM01G31200.1 pep chromosome:ALNU02000000:1:30999092:31007605:1 gene:OGLUM01G31200 transcript:OGLUM01G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGGGLDSLRRRSMRGGGGQCATAEVDARRRRSMPDGGGRCAVAGVDARRWARCAGGGRCPTAAASSMGDNGGGELDGQRRRRRRRWRRARWATTAAASSMGDDGGGRCPTARAATGLMGDDGAGLDAGRPSMGDDDMEEWKRDLIFVSPIIPLLNQRQDRPASCYTCPHLPTHKLSLVLTVLQTNKYFQEFFVRKIQPWCNSPFLWCDKMEAAITMDENLGRSGSFRAAMSIFGESINGRKADKNRGTVPAQENLSSEMKQLAQSGLDKLNERKAYVDKERAGAESELSRARAMAKELERQIEQTTAKATSQRSELQAMWAARTRRKGTDAPGAERDARYAEVVQELDQAKKELLRLRLEVRSAAEAKAKAERDIVASACTIQSSLRAVDEMKRRVDEANEEHVLVELARIEAERERREIEAQRGAEAERFAADIEAARARVRALQKEASRAREMEAKLAVTNSDVEVLQAEMELVRAMEKSHAKSDEAAEDAARRKKEEAQDKALLKTAEAELDAAKKELETIKAGSFQFMTSMDRTRTEIMRVAEEISLLKAKEKKADAQVQQLNTKLLKAKARLEAVTAADERSKAIVSNLAAAMKQLKAETEAARMEEDLTKLEKRCVIAEAENIEKEIATTEGRIKQSVKELDAAKALEAEAMRKLRDTVESTMQARASSAARRQGTMTISRFEYEYLTGRAALVRVVADKKVAAAQAWVQALKASEKEAAARAEAAEREVREMEARAAQVAAEAEKTAAEQKELEQELYDLNAAAERDGLQCAYPRRRSSRVSATSRRSKPRRSSVSAGARNPRSPSFTIKRKRKVMPNLLKLIKDKRGGKKNTN >OGLUM01G31200.2 pep chromosome:ALNU02000000:1:30998824:31007605:1 gene:OGLUM01G31200 transcript:OGLUM01G31200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPANTDFRPDLSYVLLAKVVNVSRNSFPLEGDCHIHWPHRSVSPPAFDVEAAQPKPENAAEARARGAPTPTAMPLLHAANLRVLLAGAAFAHLSSPAATARASSPSAPPPPPPRVRAFASYRASPPPPPPPQPSSPSPSRALASAAAACEQGAKPAICTADELHYVPVPGTEWRLALWRYRPPPEAPKRNHPLVLLSGVATNAIGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELDVADTTSDMSQSSALDKITTQKLNGAGPAKDDSACLAQNFEVPVVEEKFEVPVVEDKDVIESNTSEEPQLVTKLSNTLARLGDTFSGYVKDSRLRSITDGFFNQVSELVPDASLTSSLEEVSEKILGLLELPQTSAISDQISHLSQRLVNILGEGQQNISPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMNYIKQQSPTKDGKLLAIGHSMGGILLYAMVSKCGCEGAEPELAAIVTLASSVDYTTSNSSLKLLLPLADPAEMLRVPAIPLGTLLSTTYPISSRAPYILSLLRSQISSKDMMDPDLLSKLVLNNFCTVPAKVLLQLTTAFRDGGLRNRAGTFFFKQHLHKIKVPILALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGKPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSKHDEVSS >OGLUM01G31210.1 pep chromosome:ALNU02000000:1:31007383:31011738:-1 gene:OGLUM01G31210 transcript:OGLUM01G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNAMISATVPV >OGLUM01G31210.2 pep chromosome:ALNU02000000:1:31008205:31011738:-1 gene:OGLUM01G31210 transcript:OGLUM01G31210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >OGLUM01G31210.3 pep chromosome:ALNU02000000:1:31008205:31009729:-1 gene:OGLUM01G31210 transcript:OGLUM01G31210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTLAELIRNVAECGQGALEHTWECQAIIMMFQTRVLLGGMSMYDRYQDWRLDVDNMTYEELLELGDKIGYVNTGLREDEIVRNLRKVKHPAFDSSFRYSTEMEKKCSICQEEFEANEEMGRLDCGHSYHVYCIKQWLSQKNVCPVCKTAVTKT >OGLUM01G31210.4 pep chromosome:ALNU02000000:1:31010441:31011738:-1 gene:OGLUM01G31210 transcript:OGLUM01G31210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRRGGGGVVSADGERRRGIRRLLLPRGEGSSSSSPPQPPPLQAEEGRRKGFASAALRGLGCTSAAASQAYAPGAGAAAAAAVRSSADWHGRRRRRGKEKRKERGGGGGGGGGGHLVGGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGRGGDAERPHRERPCLSRRVTVQEQISSSFMDSPPPPHLDVAPFFGADLLPSGRLRRMRGYRHSPVGLEEEVKNVTGSQ >OGLUM01G31220.1 pep chromosome:ALNU02000000:1:31026367:31027354:1 gene:OGLUM01G31220 transcript:OGLUM01G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVENWATFKCGFWGLFGHDPNESFQKFGSIQSSPFGNRYGQNYVYTTILPRFVIVFSTRQGVTIGGRKHTPATSVGLRQQAKQQEKGCHGGNNVDDPLALGSIYSSVPTPPLSLSLFVDPALLPSPTPVVIPTLSHLPTELVVSSTQPHFIIIPALSSSLSQVPQPPSPLSVLAQVLMYEYQESPH >OGLUM01G31230.1 pep chromosome:ALNU02000000:1:31027400:31028601:1 gene:OGLUM01G31230 transcript:OGLUM01G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRQLDPQGLFNINLNAYERYGQNAVYTILSRFVRIFFGRQGVTPCGRKQTPAISVICVHVDAANLPICLPRL >OGLUM01G31240.1 pep chromosome:ALNU02000000:1:31028678:31029625:1 gene:OGLUM01G31240 transcript:OGLUM01G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKGRHGGGGGEDPLTLTLGSIYSSAPTPPPSPSLVVTPTPPPSSFLVAAPTLLSSPTTVVVPMLLPSPTRPVVFSMQPHFDLVPALPPSSPQVPQSSLSSLSAPGSTRHRRNSPRSSLLAPPSNRRRLNNPDEGQSPRGRGEEANGDNGVLVMATSFPWVTSADLPVLHYTLDSMLLKGIMSVEGKATCNRCSAEAPIAYDLDAKFREVRDYVAANIHIMDDRAPEHWMCPWLPDCGSCGKKACMWPQIPNEKREINWLFLFLGQMLGCCTLEGLKFFCKNTKNHCTGAKNRVLYYAYIEMCRQLDPQGPFNI >OGLUM01G31250.1 pep chromosome:ALNU02000000:1:31034283:31037570:1 gene:OGLUM01G31250 transcript:OGLUM01G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPSASIHLGVPTPYITSHGSKIARLHMYDWIVLVLLVVVDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITGIYFKKRNVYDFHHAILGLLFSVLITAVITDAIKDGAYDNFTTGVLCHGKASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAALVAVSRVDDYWHHWQDVLTGGILGLVVSSFCYLQFFPMPSDENGLWPHAYARHILNPDQLENNAQPTSVDRPNSLPNGSFRSPNGLEMGNTGQGQALDFMEAGRRYQ >OGLUM01G31260.1 pep chromosome:ALNU02000000:1:31049162:31050352:-1 gene:OGLUM01G31260 transcript:OGLUM01G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSCLVDDTNSGGSSTDKLRALAAAAAETAPLERMGSGASAVVDAAEPGAEADSGSGGRVCGGGGGAGGAGGKLPSSKFKGVVPQPNGRWGAQIYERHQRVWLGTFAGEDDAARAYDVAAQRFRGRDAVTNFRPLAEADPDAAAELRFLATRSKAEVVDMLRKHTYFDELAQSKRTFAASTPSAATTTASLSNGHLSSPRSPFAPAAARDHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDDAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDVVGFYRSAASAGDDGKLFIDCKLVRSTGAALASPADQPAPSPVKAVRLFGVDLLTAPTPAPAPVEQMAGCKRARDLAATTPPQAAAFKKQCIELALV >OGLUM01G31270.1 pep chromosome:ALNU02000000:1:31051875:31052165:-1 gene:OGLUM01G31270 transcript:OGLUM01G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSDNGDGALTKCVNQEELHHDEHFSFIYKWKNKISSAGNARLYYHYGYNFEMISSKSELRKQIKRQY >OGLUM01G31280.1 pep chromosome:ALNU02000000:1:31089758:31091157:1 gene:OGLUM01G31280 transcript:OGLUM01G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGSSGSELKGLLAGEPDEHRVSTHPRVTASEVASSHGSLTGGSTSRREWYNYLQSGGMSSSSSELVLEPNRRLSPVDGNKSMLLDDSLLATMEQLDLQQLIPRFLALTVNIGKGRNQLSVRGLAEDSLALAQVTDIVEITASPPCVPSTEPFCERKVASDQRGPDSYVTDEKAFDF >OGLUM01G31290.1 pep chromosome:ALNU02000000:1:31092404:31093990:-1 gene:OGLUM01G31290 transcript:OGLUM01G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAATASSLSLLFAHPHSSNPRPFAGGSHLRRPLRAAPDRARCASDAATTATKHRRPAEENIREEAARLRGPGNDFSAWYVPFPPTPEDDPDERYSLDEVVYRSSSGGLLDVCHDMEALARFPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERLGREHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPINGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRFYKSGWTDFQPRVAETTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMDAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDRNIKDMLCQYANPPINVKADFASVMDVLQNKLNGKI >OGLUM01G31300.1 pep chromosome:ALNU02000000:1:31096537:31099076:-1 gene:OGLUM01G31300 transcript:OGLUM01G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSFARARPRCLPVPPSGTACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYTLAHMVVILLSGNPELPRTEEVI >OGLUM01G31300.2 pep chromosome:ALNU02000000:1:31096678:31099076:-1 gene:OGLUM01G31300 transcript:OGLUM01G31300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSGASASRIPCPLLSFARARPRCLPVPPSGTACRAASSSAAAAAGDGGALKPWLFVGLGNPGKVYQGTRHNVGFEMIDVIAEAEGISLSSMQFKAMVGKGRIGDAPIMLAKPQTFMNASGESVGQLVSYFKIPLNQVLVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQNRDFPRLRIGIGRPPGKMDPANFVLRPFNRKEQEELDFAFHRGLEAVRIMALEGFNKSATYVNTAQSSEMLNR >OGLUM01G31310.1 pep chromosome:ALNU02000000:1:31103794:31107500:1 gene:OGLUM01G31310 transcript:OGLUM01G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFFFVVVFHLAVLMAALLLANAPAFATADDLSALLAFRARVSDPRGVLRGNWTAAAPYCGWLGVTCGGHRHPLRVTALELPGVQLAGSLAPELGELTFLSTLNLSDAQLSGPIPDGIGNLPRLLSLDLSSNRLSGNLPSSLGNLTVLELLDLDSNNLTGEIPTELHNLQSIMYLELSRNELSGQIPHGMFNGTSQLVFLSLAYNKLTGSIPGAIGFLPNIQVLVLSGNQLSGPIPASLFNMSSLVRMYLGKNNLSGSIPNNESFNLPMLQRVNLNTNHLTGIVPQGFGACKNLQEFILLSNGFTGGIPPWLASMPQLVIVSLGGNDLSGEIPASLGNLTGLTHLDFTRSNLHGKIPPELGQLTQLQWLNLEMNNLTGSIPASIRNMSMISILDISFNSLTGSVPRPIFGPALSELYIEENKLSGDVDFMADLSGCKSLKYLVMNTNYFTGSIPSSIGNLSSLQIFRAFKNQITGNIPDMTNKSNMLFMDLRNNRFTGEIPVSITEMKDLEMIDFSSNELVGTIPANIGKSNLFALGLAYNKLHGPIPDSISNLSRLQTLELSNNQLTSAVPMGLWGLQNIVGLDLAGNALTGSLPEVENLKATTFMNLSSNRFSGNLPASLGLFSTLTYLDLSYNSFSGTIPKSFANLSPLTTLNLSFNRLDGQIPNGGVFSNITLQSLRGNTALCGLPRLGFPHCKNDHPRQGKKSRLLKIVLIPSLLATGIIAICLLFLIKICTSKKMKDLQSTMSLESNNKHRAISYYDLVRATNNFNSDHLLGAGSFGKVFKGNLDDEQIVAIKVLNMDMERATMSFDVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLLYSDRHCLGLMQRVNIMLDAALAMAYLHHEHFEVVLHCDLKPSNVLLDADMTACIADFGIARLLLGEDTSIFSRSMPGTIGYMAPEYGSTGKASRKSDVFSYGIMLLEVFTGKTPTDAMFVGELSLREWVNRALPSRLADVVDPGISLYDDTVSSDDAQGESTGSRSCLAQLLDLGLQCTRDLPEDRVTMKDVTAKLQRIKEVLEA >OGLUM01G31320.1 pep chromosome:ALNU02000000:1:31108515:31112430:1 gene:OGLUM01G31320 transcript:OGLUM01G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLCVSMVLLILLVPCITAQSALTSPSNNTDLAALLDFKEQVKDPNGILASNWTASAPFCSWVGVSCDSSGKWVTGLEFEDMALEGTISPQIGNLSFLSSLVLSNTSLIGPLPTELGRLPRLQTLVLSYNSLSGTIPSILGNLTRLESLHLNSNKFFGGIPQELANLNNLQILRLSDNDLSGPIPQGLFNNTPNLSMIRLGSNRLTGAIPGSVGSLSKLEMLVLENNLLSGSIPAAIFNMSYLQAIAVTRNNLRGPIPGNESFHLPMLEVFSLSENWFDGPIPSGLSKCQNLDAISLAVNNFTGSVPSWLATMPNLTAIYLSTNELTGKIPVELSNHTGLLALDLSENKLEGEIPPEFGQLRNLRYISFANNQITGTIPESIGNLSNLTTIDLFGNGLTGSVPMSFGNLRNLRRIFVDGNQLSGNLEFLAALSNCSNLNTIGMSYNAFEGSLLPYVGNLSTLMEIFVADNNRITGSIPSTLAKLTNLLMLSLSGNQLSGMIPTQITSMNNLQELNLSNNTLSGTIPVEISGLTSLVKLHLANNQLVGPIPSTIGSLNQLQVVVLSQNSLSSTIPISLWHLQKLIELDLSHNSLSGSLPADIGKLTAITKMDLSRNQLSGDIPFSFGELQMMIYMNLSNNLLQGSIPDSVGKLLSIEELDLSSNVLSGVIPKSLANLTYLANLNLSFNRLEDQIPEGGAFSNITVKSLMGNKALCGLPSQGIESCQSKTHSRSIQRLLKFILPVVVAFFILAFCLCMLVRRKMNKQGKMPLSSDADLLNYHLISYHELVRATRNFSDDNLLGSGSFGKVFKGQLDDESIVAIKVLNMQQEVASKSFDTECRALRMARHRNLVRIVSTCSNLDFKALVLEYMPNGSLDNRLYSNDGLHLSFLQRLSIMLDVAMAMEYLHHHHFEVVLHFDLKPSNILLDNDMVAHVADFGISKLLFGDDNSITLTSMPGTVGYMAPEFGSTGKASRRSDVYSYGIVLLEVFTRKKPTDPMFVSELTFRQWINQAFPYELSNVTGCSLQQDGHTGTEDLSKLSEDSIIVNTCLASIIELGLLCSRDAPDDRVPMNEVVIRLNKIKSNYYSLCGSNGAHLVKYPEIPSLVHLETNQNGSEEKNL >OGLUM01G31330.1 pep chromosome:ALNU02000000:1:31114854:31118603:-1 gene:OGLUM01G31330 transcript:OGLUM01G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGRTVELHKCAVVELEPSRPVYAMEVAMGRLLLGEAGGLRVFPLQGLMKSGKERQGRKEGAGAAVRKSLHKKNGIRNGFIVPIGHGGSEGGGKREAVSTRKLATLRVKQTSGSYFSFFSSCSSEDHHNSQGAVEVKNVVKVVSIRPLSKDKFLVLDSAGLLHVFSLQNKELLSEATSKRYSGNHTHCLDNAMKVQLFAVFPSSSTKTEIFWISDGGHSIHIMSALDVEPPNSDNGGGDGERESTTIKLTAIEAIFTSEKVQDIVPISKDSVLILGQDEILETSTASKS >OGLUM01G31340.1 pep chromosome:ALNU02000000:1:31120237:31120561:1 gene:OGLUM01G31340 transcript:OGLUM01G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPLGVVSLLGGIVLALTSLSTKNFPHATVVIGGLLQCLRSSTSKVFDEAFAALVSFLALGATKLGNDDTLQSPYRVVDANCV >OGLUM01G31350.1 pep chromosome:ALNU02000000:1:31123572:31138074:-1 gene:OGLUM01G31350 transcript:OGLUM01G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEADGARWPEPRGDSAGAPPLERGDAPSPRFDSSRALRLLRELGSNVTEDLVVLMPNLLSFLKHDDPAVVNQSIASGTNLFAAVLEEMTLQINKCGRVDAWLEEMWAWMKQFKDAVHNLIHESVPVATKLFAVKFIETWILCFAPQSKSDRMQPTEGRNRRLFDSSRLSQFHPSLNPAVLEADANRALILLVDILQSACAHQGSFLVGTINSLAAIAKNRPVYYERILPVLLGFDPSLEVAKGAHPASLRYSLKTAFLGFLRSPCQAMIESKDTLVRQLRVLSPGEATEQIIRQVEKMTRNIERASRASKDEPSTLDMPYGDISRKYPAARSSDAFATADGVAKRARFDTSAALNPPFQGSSDYSNMQVDNEANVDHSSDPALLNCDMSPVEKMIEMIGALLAEGERGAESLGILISTVEADVMADIVIETMKHLPETSILLATSNNGQQKKIQSSSSPLTENLPANSHSLPYSTQFALPADGVSMSMSDVPVVSGVHDSKRDPRRDPRRLDPRRTVGPAATSSIHVKGETTGVHQTNNLSNVPYPVSGKVENSLDYSGDLSKNEDVQQTSCQPNQSLPKENSEILDDALEQEPKFEVQALADVGFHSSDVDKEMVNPLSPEVTSNNELDSVELEVDPFSPVLKASTPEDTTNHDLPVLPSHLELSDDEKILLHKLSIRRIIDDYKKNSVNTRFSLLAHLIAQRHIIYHYHDQGHELAMHVLYQLHSVSVADSPESTAPASKNYENFFISLARSLIHSLPASDKSFSKFLCDAPYLPESMLKLLEDICVSQGNSQQTKDSDGDRVTQGLGTVWSLILARPPLRQDCLDIALKCAIHSQDEVRGKAVRLVTKKLYELTYASERVEQFAIDSLLAIVNKHGVETDINFTSLKESSPEFEAGSQETSVSGSHISDAEPSESTCNKTDLVSPKQSAVSVSETKRHTSLFFALCTKVVKPFSFFQRPILLQQLFNAYGRSPKVVKQVLLSTSKVLNFDYWITTTVCSLRRKIMQCIHWHIPNLILQTLTEDSTPSAELVAAVKHLYETKLKDASILIPLLSSFPKEEVLPIFPRLVDLPPDRFQDALARILQGSAHTGPALTPAEVLIAIHDINPEKDRVALKKVTDACTACFEQRTVDNVPIPLLFMRTVIQALDAFPALVDFVMEILSRLVNKQIWKMPKLWVGFLKLAYQTQPRSFDVILQLPPPQLEITLNKYPNLRTPLCSFVNQRNMHSILPRQILKVLGFINEPHQAPIPFVPAAMQTADATSSLPGATLM >OGLUM01G31360.1 pep chromosome:ALNU02000000:1:31144929:31145285:-1 gene:OGLUM01G31360 transcript:OGLUM01G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSCSDAIPIDAEAAMDLVLMAARPMPARAKGLGGGRMNRRRGKGFFRGQIWNFSECCVREVTGGQASTPTALAPRVFLAARGFDQARLRVALLGDERPSLVLVPRDTSDKILRVAP >OGLUM01G31370.1 pep chromosome:ALNU02000000:1:31145446:31146336:-1 gene:OGLUM01G31370 transcript:OGLUM01G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIPFSCLLEGAVDNLVISTAVFNNCRDVCYLRAVAVQGTLPCLQPNPARILALNSSLSHTPHSASDLNLVTFLLLRGTTMQGTKSAQPKKLILATTLLSNSPHCSGSPYPGCKLAEKHSASAAAPAPLLPPPATGAGSPPSAAGAGSASPAAAVAGSRKFLNPIAHEVVLKITSFGGCSGLVAAATTGGGVLASAAEGGGGAGRRWGLVSARGWQQLQSAAMRRLGGVGAARGVAAAAADLGARGGGARRRPGQGGDREEGAFLLNVLGSDSRTGGVVDAAASPQRSVFLIFLV >OGLUM01G31380.1 pep chromosome:ALNU02000000:1:31149839:31155968:1 gene:OGLUM01G31380 transcript:OGLUM01G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALQSWRKAYGALKDTTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIAAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLRDGDPTFREEFLTFTQRVRILQLSNFKDDSTPVAWDYSSWVRTYGLFLEERLECFRVLKYDIEAERLSKQGQGPEKGHSRTRELDSPDLLEQLPALQQLLYRLIGCRPEGAANSNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQPPQSFLSTMEEYVREAPRMVPIKEPLEFPERLLLTYKPEESEEIPEPVSGEEEKPQIEEPAVAVPSTEVVPPPPPKPEVVDTGDLLGLSDPTPSVSAIEESNALALAIIPTGGETSTSGTATLQDKGFDPTGWELALVTTPSTNTNSMAMDSNLGGGFDKLILDSLYDEGTYRQQMQQQQLYGSAAPNPFMASDPFAMSNQVAPPPSVQMASMAQQPQQMPMMMQPNPFGPPLQPQHAGIAQAPNPFLDAGFGPFPASNGMHPQANPFGTAQLL >OGLUM01G31390.1 pep chromosome:ALNU02000000:1:31156528:31158996:-1 gene:OGLUM01G31390 transcript:OGLUM01G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQSIRGIPSKEACWATHSCNGDLWRRNLSHGGVGAGGARQVFSEMPSWLGDGAGAGLRVQVSQVLYPVTSEVLHQVYNTYGAAAVQVLTTSPLGVEAFVWFRSSCDAERARSVTNGRNIYDECCLLDVQHVHPFNGNGVDMTPTKCSTPVPSCANIKSDAKSTSTTLEHVFPATMSPSTPSDEPAVVAPPISLTTTKENWADMGKAEDKSEKTFHDLCVEIKYMINQMLVTCRDIKVESTTSVDITRVVAATSTNTKPVPNTLEVSNEANSISLVDTNELCMVTATKCLTEGNEQMINDDDDDMATEDLVELTEVNSKFTLQKTGSSPPVPPWKAAIPWYKSDMTLGSRPLPWPDPWLSQDSGGVVMTKLLHPRKPPSQAEAKAEVGALQLFGCVLNSIEVDCQGEASKTLISERTYVWENIQGLLVLDDQVFQSSTQWQSAIYKEMNCLELLIGLELLRGQIHSCWREMAQLKIPWTPPLNACAVTLLAHARESFSCKCKIKGSHTIVGLWKHEYWQPTMKTSDWYTKSCTYSWVSLNSKLINLNEVIPVDMLQLPTSDEEFVIWPRPIGWFATSDQFVDLGLGYSSYHQVRVITTAVSLKKSWLREIIEELSKPGPQGQTLQRQDNKLWESLLLNDHDTLCSLQLIWNPGGIKGIGLGTN >OGLUM01G31400.1 pep chromosome:ALNU02000000:1:31160572:31165491:1 gene:OGLUM01G31400 transcript:OGLUM01G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATAVNGVLEVEERKAQKSYWEEHSKDLTVEAMMLDSRAADLDKEERPEIWGACMHFLVLFPTKYSSYVVILSLLPPYEGKSVLELGAGIGRFTGELVKTAGHVLAMDFIESVIKKNESINGHHKNASFMCADVTSPDLMIEDNSIDLIFSNWLLMYLSDEEVEKLVKRMVRWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECQALDQDGNSFELSVLTCKCVGAYVKSKKNQNQICWLWQKVDSTEDRGFQRFLDNVQYKASGILRYERIFGEGFVSTGGIVCLFFYLRSPETTKEFVDRLDLKPGQNVLDVGCGIGGGDFYMADKYDVHVVGIDLSINMVSFALERAIGRKCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCKCPGKPSEEFAAYIKQRGYDLHDVRAYGQCRAMVTSVWKIIQMLENAGFHDVIAEDRTDQFLDVLERELAKVEKNKNEFVSDFSQEDYDAIVNGWKAKLQRSSAGEQRWGLFIATK >OGLUM01G31410.1 pep chromosome:ALNU02000000:1:31167060:31172107:1 gene:OGLUM01G31410 transcript:OGLUM01G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKRSASAGSVLALTVAGRRAARARLCLRLAAPLSFLLLLAALLRTQPLPAPPSAPPPSGGPARVAFLFLVRAGVPLDFLWDAFFRNGEEGKFSVYVHSAPGFQLDRTTTGSSYFYGRQLARSVKVAWGEPTMVEAERMLFAAALEDPANQRFVLLSDSCVPLYNFSYIYTYLMASPKSFVDSFVDKTEKRYNPSMSPVILKDKWRKGSQWVALTRRHAEVVVGDKLVLQVFRRHCKMVVTKALLGQKPNYRRLGFGLRRKQISKGSTRMEHDCIPDEHYVQTLFSINGHENELERRTLTYTSWNQSSDPKDKMTWHPMTFEYESASPEQINSIKGIDHVNYQMEHRTEWCQCNTTSVPCFLFARKFSYSAAMHLLEAGTVGPLKSALLA >OGLUM01G31420.1 pep chromosome:ALNU02000000:1:31173037:31180043:-1 gene:OGLUM01G31420 transcript:OGLUM01G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPALAGGGRTVANLLSATEWMLPSPATQVHTISVLPSHSPPSPPHHFAFSNLTTAPKRNGGKGEEEGRPRFEVVRDDLLHPLANGNKARKLDALLPLLRRRGATDVVRAHRCIPLHPALITSCFSNLMVTCGGCQSAHAAATAVHCAEWGMRPHILLRGEQPDIPTGYNLISLMFGNVAYASRSVYAHRDEMLYNHARKVAGTGGTVLWADDISKEDFVLDEDNGCEIGSRRVVIIKEGAGDVQALLGVIRLVEYLYNLSSFHKHENVHVVVDAGTGTTAVGLALGAVCLGLHWRVTAVMLADTLERYKEREKSLISDFKKLCHNNYHEMVGENDIGDSLVEWVERFSPRRFGKVLNGEIALCRQIAQQTGILLDPMYTLAGWEQAVDLCVGDSRTKVMLDYNVLGGEKNMLFRNNATKPNNGTLRYGWQVGLIAINDGLVLRSQISRIFRRYFRGKSYYVDLLDLFNEVEFQTTSGQLLDQITTNEGRKDLNKYRRIVEYKTAYYSFYLPVACALLLFGESLDNYVQVKHILVEMGVYFQSQDDYLDCFGEPEIIGKENYGKSDPACVAKVKDLYNELHLQRVFSEYERESYEKLISAIEAQPNEAVRAVLKSFLHKIYKRSK >OGLUM01G31430.1 pep chromosome:ALNU02000000:1:31190058:31202587:1 gene:OGLUM01G31430 transcript:OGLUM01G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKVVLYYIYLGVGTSMASFLQVSCWTMAGERQSARIRSLYLEAILTQDISFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWFGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSFAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMLSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >OGLUM01G31430.2 pep chromosome:ALNU02000000:1:31190058:31202587:1 gene:OGLUM01G31430 transcript:OGLUM01G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERQSARIRSLYLEAILTQDISFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWFGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSFAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMLSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >OGLUM01G31430.3 pep chromosome:ALNU02000000:1:31194946:31202587:1 gene:OGLUM01G31430 transcript:OGLUM01G31430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLQVSCWTMAGERQSARIRSLYLEAILTQDISFFDVEMTTGEAASRISADTVLIQDALGEKVGKYIQVLTAFVGGFVIGFIRGWMLALVVMACIPPSIFSFALVSRLRAQISGKTHVSYSYAGNVVEQTIGSIRMVVSFNGEKRAITMYNTLIKKAYKATIMEGIISGFGIGSIFFVVYCSYSLAFWFGAKLVISKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGQSAAHRLFEIINRKPNIDITGTSGIILEDIKGNVELKDVCFSYPARPEQLILDGLCLQVPNGTTMAIVGQSGSGKSTIISLVERFYDPQDGEVLIDGINIKTLKLHWIRGKMSLVSQEPLLFMTSIKDNITYGKENATDEEIKRAAELANAANFIDKLPNAYDTMVGQHGAQLSGGQKQRIAIARAILKNPKVLLLDEATSALDVESERLVQEALNRVMIGRTTLIVAHRLSTIKNADCIAVVHQGKIVDQGSHDELIKDPDGAYSQLIQLQQTHTEEMHDVQYSEVSTSRLKSRSLSLEQSMINDSPRNRRKNSFAKHIGSSGSDGLHKHGLTDEPEDKECGDNKDINKAPIRRLFNLNKPEAPILLLAIITAFVHGLLFPIFSIMLSGGIRTFYYPPHQLRKDSRFWALMCILMAIISLVSIQLEYFLFGMAGGKLIERVRCLSFQSIVHQEVSWFDDPSHSSGSLGAKLYIDALNIRRLVGDNLAILVQCIVTLIAGFTIAFASDWKLTLTIMCPIPLVGLQNYVQLKFLKGFSEDAKVMYEDASQVVTEAIGSIRTVASFCAEKRVIKTYNQKCQASMKESIRSGMVGGLGFSFSYLMVYLTYALCFYVGAQFVHGGKSTFKDVFRVYFALVFTAFGISQTSAMASDSSKAHESAASILAIIDRKSNIDSSIDEGIILEKVNGTIELNHVNFKYPSRPDVQVLCDFTLGIPSGKTVALVGESGSGKSTVIALLERFYDPHSGTISLDRVELKNLKLSWLRDQMGLVSQEPILFNDTIHANIAYGRKGQVTEEEIIAVAKASNAHEFISSLPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQDALDQVMVSRTTIVVAHRLSTIKGADVIAVIKDGSIAEKGQHDSLMRINGGVYASLVDLHSKTT >OGLUM01G31430.4 pep chromosome:ALNU02000000:1:31190058:31191289:1 gene:OGLUM01G31430 transcript:OGLUM01G31430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGRGEDDEREKKKKEGSGNDGDAGKLPFLGMFRYADGVDKALMAVGTVAAMANGMSEPLMTVVFSAVIDCFGGDDVSTVLHRVSKV >OGLUM01G31440.1 pep chromosome:ALNU02000000:1:31193735:31201286:-1 gene:OGLUM01G31440 transcript:OGLUM01G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSASRALVASSNRSIFGSFKMALAIAIRCFCPPDSCVPLSPTSCYLLTKGILDQYGILLSVSLPRVTRPDGAQAIAATTPTSLAPPKLLIRGPDGCKITKMSIFRQGGRWLTGGGGEAGGQCTWWSGGCKA >OGLUM01G31450.1 pep chromosome:ALNU02000000:1:31204420:31204686:-1 gene:OGLUM01G31450 transcript:OGLUM01G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQGQGRMWLGADAAAWEGREECRRRRRMQPGVEVMVSDVAAQPGEPDEAGRSDAGILAMGASLRKEREGRGEVAGRERGHGAHH >OGLUM01G31460.1 pep chromosome:ALNU02000000:1:31205286:31213569:1 gene:OGLUM01G31460 transcript:OGLUM01G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATARGSNPRLGFEPAAAGGLGQAGARALGESGGDCRCRQRGRVGHQGGGVSGWWWRGPCDSRCEMLEAARRHRHGHNADPKSEKGRSFSLLH >OGLUM01G31470.1 pep chromosome:ALNU02000000:1:31206363:31213052:-1 gene:OGLUM01G31470 transcript:OGLUM01G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVIAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETRRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDKYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLITGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLGFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSNDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNNTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >OGLUM01G31470.2 pep chromosome:ALNU02000000:1:31206363:31213052:-1 gene:OGLUM01G31470 transcript:OGLUM01G31470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGRGTGDDHGRETKDAAAAASSSSGKKVPLFSLFRYADRLDVLLMVVGTVGALGNGISQPLMTVLFGNVINSFGANTSGSVLRSVTKVVLNFIYLGIGTSVASFLRERQSARIRSLYLKAVLRQDITFFDTEMTTGEAVSRMSSDTLLIQGALGEKGGKLVELLSSFIGGFIIAFTRGWLLTLVMLTSLPLIAIAGAVSAQALTRVSSKRQTSYSDAGDTVEQTIGSIRTVVSFNGEKKAIAMYRNFIKKSYKATIEEGIITGFGMGSVMCVVFGSYGLAFWYGGKLIIEKGYTGGKIMTILFAVLTGASSLGNATPAVAAVVEGQSAAYNLFKTIERKPEIDSDDNNGMVLEDMNGDIELKDVYFRYPARPEQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQSGEVLIDGISIKKLRLDWIRGKIGLVSQEPLLFMASIKDNIIYGKKDATLEEIKRAAELANAANFIDKLPNGYDTLVGQRGTQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRMMVERTTLVIAHRLSTVRNVDCITVVRKGKIVEQGPHDALVKDPDGAYSQLIRLQETRRDERHKLPDSRSKSTSLSFRRSRTKDFLSKSNRYSFKSPLGLPVDIHEDGMTSEQQKVDHSDSKAIKKTPFGRLFNLNKPEVPVLLLGSIAASVHGVILPLYGIIMPGVLKSFYEPPDQLRKDSRFWALMSVVLGVACLISIPAEYFLFGIAGGKLIQRVRTLSFQRIMHQEVAWFDKPSNSSGALGTRLSVDALNVRRLVGDNLALIVQAVATLITGFAIAFAADWRLALIITCVIPLVGAQGYAQVKFLKGFSEESKEMYEDANQVAADAVGSIRTVASFCSEKRVVAIYNKKCEALRKQGIRSGIVGGIGLGFSNLMLYLTYGLCFYVGAKFVSQGKTTFSDVFKVFFALVLAAVGVSQSSALSTNATKARDSAISIFSIIDRKSRIDSSNDEGAIMENVTGSIDFNNVSFKYPSRPDVQIFSDFTLHIPSQKTIALVGESGSGKSTIIALLERFYDPDSGNISLDGVEIRSLKVSWLRDQMGLVGQEPVLFNNTIRANITYGKHSEVTEEEITAVAKAANAHEFVSSLPQGYDTVVGEKGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQDALDRVMVNRTTIVVAHRLSTIKGADMIAVLKEGKIAEKGKHEALLRIKDGAYASLVQLRSNSE >OGLUM01G31490.1 pep chromosome:ALNU02000000:1:31218614:31224250:1 gene:OGLUM01G31490 transcript:OGLUM01G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEHVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSDD >OGLUM01G31490.2 pep chromosome:ALNU02000000:1:31218614:31224250:1 gene:OGLUM01G31490 transcript:OGLUM01G31490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEHVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSDD >OGLUM01G31490.3 pep chromosome:ALNU02000000:1:31218614:31224250:1 gene:OGLUM01G31490 transcript:OGLUM01G31490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVAPPVAPPPPSPAAAWGRRRARATPPRFALAASTRDGDPAPPTFERLREQLLQLHAEADLTQSKANSTRVRLVRLTEAAENLKKRAAVSIRMGKENEAVDLLVQKKKLTKALESIKQRIEVLDKLSMKISEAISVKQNMLIEHALHPGMSNGKESNDNIRVFSSKVGDEADETTSSPAKSSEKAFDLQHVVHSGMTGQLEQSELQTSDSFTFSSDLESPNSITNHSSYDAFLDHIGFQLDSLECEIEQYISSQLAEHVDIQKPINAKWQKLSDVLKLVTETRERIAKIVDNTVKEAGSDD >OGLUM01G31500.1 pep chromosome:ALNU02000000:1:31220779:31222433:-1 gene:OGLUM01G31500 transcript:OGLUM01G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRTASCELMNPRSNMSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNSWIWGLWLLNTSRKALCNIAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >OGLUM01G31500.2 pep chromosome:ALNU02000000:1:31220779:31222433:-1 gene:OGLUM01G31500 transcript:OGLUM01G31500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRTASCELMNPRSNMSTMEEHLLPVVHRDQVYSSRQDRRKSSDVPNRFVTSFHPSTNSKGNPNTPNHPLPTSGNGNIVTAQNFQRVHSSPSMFTSIKETPCADEFNEQSHAAQHVPSFARQAIVSVILYISIGVLVYITNVEGFKGRSTLKLVDGLYFTIISLCTIGYGDIVPCTTFTKVFTCLFLLIGVRFVDIVLNELLTNVLDKQRTVLLSTMDDNKLNRVFDTYMIDAEKKRSRGRMKVLLALGVVVGTISICTIIVHEVEGLNWIDSFYLSVISVTTVGYGDYGFSTPAGRLSATVCLLVSTLAVAKAFLFLTDLRMDRRNRKTTKWILQKKMDNEPLAADLDHDASVSKSDFLIYKLKEIGKIDDKDIAMISDQFDQLGLAKCGKITLADIIGKL >OGLUM01G31510.1 pep chromosome:ALNU02000000:1:31238767:31246297:1 gene:OGLUM01G31510 transcript:OGLUM01G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAAYGAHITVNNQCQFDVCAEVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSRLVATSLLEFYTLGTDDEISSYSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >OGLUM01G31510.2 pep chromosome:ALNU02000000:1:31238767:31246297:1 gene:OGLUM01G31510 transcript:OGLUM01G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAAYGAHITVNNQCQFDVCAEVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >OGLUM01G31510.3 pep chromosome:ALNU02000000:1:31238767:31246297:1 gene:OGLUM01G31510 transcript:OGLUM01G31510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAANGGRDGKEKKAKNGRDGEDKKKEEDGDAGKKVSFTGLFRYADGTDLLLMAVGTVAALANGVSQPLMTVIFGQVINAFGEATNGDVLHRVNQAVLNFVYLGIATAVVSFLQVACWTMTGERQATRIRSLYLKSVLRQDIAFFDVEMTTGQIVSRMSGDTVLVQDAIGEKVGKFLQLVATFAGGFVVAFVKGWLLSLVMLACIPPVVIAGGAVSKMLAKISSKGQASYSDAANVVEQTIGAIKTVVSFNGEKQAVASYNKLINKAYKAAVEEGLTNGFGMGSVFFIFFSSYGLAIWYGGKLVVSKGYSGGDIINILFAVMTGAMSLGNATPCMAAFAEGQSAAYRLFKTIKRKPQIDPDDITGKQLEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLNWIRGKIGLVSQEPLLFMTSIKDNITYGKEDATIEEIRRAAELANAANFIDKLPDGYDTMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERIVQEALNRIMVDRTTLVVAHRLTTVRNADCISVVQQGKIVEQGPHDELVMNPNGAYSQLIRLQETHEEEEKKLDHHISDSRSKSRSLSFKRSISRDSAGNSSRHSLALPFGLPGSVELLEGNDSTVGEQTEQGGDGEVQQKAPIGRLARLNKPEVPILLLATLAAGVHGVLFPMFGVMISNAIKTFFEPADKLKKDASFWGLMCVVLGIISIISIPVEYFMFGIAGGKLVERVRALSFRSIIHQEVAWFDDPRNSSGALGARLSVDALNVRRLVGDNLALAVQVVSTLITGIVIAMIADWKLTLIILCVIPLVGLQGYAQVKFLKGFSEDAKMLYEDASQVATDAVSSIRTVASFCSEKRVMTMYDNKCEASKNQGVRTGMVGGLGFGFSFLMLYLTYGLCFYVGAQFVRHNKTTFGDVFKVFFALVLATIGISQTSAMASDSTKAKDSAISIFALLDRKSQIDSSSDEGRTLANVKGNIDFRHVSFKYPTRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTAIALLERFYNPESGTILLDEVEIKSLKVNWLRDQMGLVGQEPVLFNDTIRANIAYGKHGDVTEEELIKAAKASNAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTIIVAHRLSTIKGADIIAVLKDGAIAEKGRHEALMNIKDGVYASLVELRSGSS >OGLUM01G31520.1 pep chromosome:ALNU02000000:1:31241278:31247272:-1 gene:OGLUM01G31520 transcript:OGLUM01G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVCIAVKKVRITVASPRKADGVERDDFSFGYKGVVERDAEAGEKKSVSAAAGAEHGKPGLTPAPTAIFFNFNITLADISLAVEFDFPKRPSARRKRRCLPAAAAVAGGGGHHPAGPPWDTLADGRRRTVLGLLDAHGTTRLSRWRRAAFGTPGASPAAVAGLAVRGGFVEISALLARWRRWRIFDTAPPAMTTGGMQANMTRDRSQPFTNATTKPPAKVATSCRNLPTCRIFHHNRKKIFML >OGLUM01G31530.1 pep chromosome:ALNU02000000:1:31248397:31249758:1 gene:OGLUM01G31530 transcript:OGLUM01G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKLGALALMLPLLLLLSATRVAYGGIQPTPPPASFQAALVRIEPAGINYTRAVQRSRSRLSMLAARAVSNAGAAPGESAQTPLKKGSGDYAMSFGIGTPATGLSGEADTGSDLIWTKCGACARCSPRGSPSYYPTSSSSAAFVACGDRTCGELPRPLCSNVAGGGSGSGNCSYHYAYGNARDTHHYTEGILMTETFTFGDDAAAFPGIAFGCTLRSEGGFGTGSGLVGLGRGKLSLVTQLNVEAFGYRLSSDLSAPSPISFGSLADVTGGNGDSFMSTPLLTNPVVQDLPFYYVGMTGISIGGKLVQIPSGTFSFDRSTGAGGVIFDSGTTLTMLPDPAYTLVRDELLSQMGFQKPPPAANDDDLICFTGGSSTTTFPSMVLHFDGGADMDLSTENYLPQMQGQNGETARCWSVVKSSQALTIIGNIMQMDFHVVFDLSGTARMLFQPPTA >OGLUM01G31540.1 pep chromosome:ALNU02000000:1:31253331:31253546:-1 gene:OGLUM01G31540 transcript:OGLUM01G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVACAGNPAIVRLREMTCHPETSKLHFVMDYVGVKQLLAGVKQLHAQGPGGYPRRHQAGQRPRRRRRWPR >OGLUM01G31550.1 pep chromosome:ALNU02000000:1:31254989:31256443:-1 gene:OGLUM01G31550 transcript:OGLUM01G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDM1] MDAGDAATTRARKPVVLYPSPGMGHLVSMIELGKVFAARGLAVTVVVVDPPYGNTGATGPFLAGVTAANPAMTFHRLPKVEVPPVASKHHESLTFEVTRLSNPGLRDFLAGASPVVLIIDFFCNAALDVADELGVPAYMFYTSGAEILAFFLYLPVLHAQTTANFGEMGEELVHAPGIPSFPATHSVLPLMERDDPAYAEFLKASADLCRTRGFLVNTFRSLEPRAVETIAAGSCAPPGVSTPPVYCIGPLIKSAEVGENRSEECLAWLDTQPNGSVVFLCFGSIGLFSAEQIKEVAAGLEASGQRFLWVVRSPPSDDPAKKFDKPPEPDLDALLPKGFLERTKGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLESIVAGVPMLAWPLYAEQRMNRVFLEKEMRLAVAVEGYDDDVGEGTVKAEEVAAKVRWLMESDGGRALLERTLAAMRRAKAALRDGGESEVTLARLVESWREAASA >OGLUM01G31560.1 pep chromosome:ALNU02000000:1:31263130:31265172:-1 gene:OGLUM01G31560 transcript:OGLUM01G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHPMVPLVLRNLLPLLRDVDTVQFALDVAHARMRDNAARLAMLVPLLARARRLAPAGVDAEAAELMDQLEEAVVGALEARLQLVQVVTLLVVVRAVATARRRARRLPSVLLAVAALAFAVSGSGVALGPLRVFVMVSTVLLLVLSWELWCVQNAWLWCVQELRFVWISASFSTVPIAVLSNGASCRSARARRERRTAVAEAACEHDGRRSGVEQRRGGGGRRSSAWRPVGSSGGGGGCGGGSPLAAGMVVVGVDVPRAHYSRRDPLLLVSVVVSLVPAGSCHGAVAGVLLLLRRRIDGVLRFSLPASSAASSMSGS >OGLUM01G31570.1 pep chromosome:ALNU02000000:1:31268903:31277238:-1 gene:OGLUM01G31570 transcript:OGLUM01G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPLLDEVVAMYFHLVDNPDDARALLDDAHFNAAENDHEIAMVGIQLRHIQHQTNELMTQPMTDAEREAQRVQLEEDYRGIKVDADFLLENRRRLRQVVKMLVFIWTYAIIRRALRRFLPAVALTFVAGTAALAVYVELRRGGTVPAFEALGRIFTWLTSFFLLGYRNTLALLAEVAAICEQLVDDPDAAKIMLDSVLSGVAALDGHITTLENLLDFYLRAADEPIMDRPMAEVEREEQRALEELYQDLRADADVLMEHRRRLHRIVKLLVFIRTYGIIKRALRRFLPAAALKFVAGAAAVVVYVEWRRGTVPEFENLGGIFTRLMCFFLLGYRPPVTEPHLWPTKPPPIPRTRSLATPRAHAATRTTAMAENPLFDEVVAIYDHLVDNPDAARIVLDAARSDFAHNDDEIAEVEIQLGYVLQRAGELMTEPMDEVEREAQRVFLVEAYHDLRARVDFLLERRRQLDQVVNLLLFIRTYAIIKRALRRLLPAAALVFVAGTAAVVVYVEWRRGTSAKHGPHADTPNCKNPRTPMEDNDLVDEVLDSVGLHLFNNVDDIKILLDAARADLDENAAHIAEAQARLSHVRRLVGEVATAPMAVEQQQAVRAALEEVLDDFGASSLLLLERGRQLRLLILMLQLLRASVFIVRAARHLPAVLASVTAGSAAALVYAESRRGVPAFRSLARIFAVVMCGFFECYRVGLHPPEQKRPEDEKNTEPRQKRKNPRTAMEVNNLADELLDSLGVYLINNVDNVKILLDAARADLDDNAAHLAKARARLRNVRRLVREVTASPPMIVDGVEQRHAARVTLERIHDDIRASSLLLRERRHQLDQVVCTLLMIRAYVFVTRAARLIPAVLLSVTPGSAAVVAYAESRRGVPVSRSLARILALAMCGFFECYRLRQGDF >OGLUM01G31580.1 pep chromosome:ALNU02000000:1:31280384:31281430:-1 gene:OGLUM01G31580 transcript:OGLUM01G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAYPAAATAGGGGQKTAPIGCFAGDDVAATTDGHPTKSYAAVAAEKTLPNGSVEEDEVTVTAAENPAKSYAAVVAEKTSPNGSVAEDEVTVTASENRAKSYADVAAEKTYPNGNVEEDEVSVTAAVNPAKSYATVAAEKTVPDGSVAEDEVTVTAPVNPAKSYAAVAANAEIEDLRTTNRDLEEKLAAADREKKGRATEIDGLKDTSDKAKQNSVVFQYIASSSDAKVLALREELEDLQKLLQAEKDEFKADKRDSNQLAGKVGSERAVKMRLEEEVIAMKERARARAAAAAAAAEDERVAAPTPGTLQGARVAWPVMAGAAAVGAAAAAVAVVIFLRLKR >OGLUM01G31590.1 pep chromosome:ALNU02000000:1:31286553:31286891:-1 gene:OGLUM01G31590 transcript:OGLUM01G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLSATTEGEELKSAAQVVADVLAENTKKNRFLQNVGFNNAQPRFSEQSTETELEAEKRANAELRAQVADLSNKVQESEQARIKDREEMKRSQSEMEAKLNLLLSQIRPS >OGLUM01G31600.1 pep chromosome:ALNU02000000:1:31287792:31293289:1 gene:OGLUM01G31600 transcript:OGLUM01G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55100) TAIR;Acc:AT5G55100] MDLEIVGRHALLFDDDPTAEVVNSGGSLVPWAAVGADDLLLDRHDVRHLLDRVPPRPRRSYSAALLSAPSLDGVSEAELDRERFLDLPADDFGGEGSQDAVSSGNGTDTGRADYNSVPFSYGSTAGSDDPNNLSTYYCPSFPVPDRLLNKLPPSEKVHQIIARTALFVSEHGGQSEIVLRVKQGSNPTFGFLMPDHHLHSYFRYLVDHPQLLKDGLDADSNKGCKTANSESGHDASSGGALSLLGAVYESGDEDEGMLPPSPKSTVPGKDVVVHEQGHESSVSAIHCNEEARDGQKATAAATVAAKDKSILTKKNPMINCSSLLAARLEKAKDAMMASSTSDVSDTKVVILEPPSFLKRTMEKIVEFIIRNGKEFEGKLVEQDRTTGRFPFLLPSNPYHPYYLKLLEETQESKSCGGSAEPKDRKSSSEHKDRRSSSEQKDRRSPSERKGSNHGKGESKSKTRSSTSKDASSPDRSSAEPSEKQLYDKHKQGKGKFHMIISGAKKEPPRNVTADEAAAIVMAATRGFGPANIRTNTLKDTSGIGQTRGDNGHTSSFGSFSSLQDPDVPSKPVSNSEACTSLTSSGQPKNEGTGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFTSIIKSGGSKMADLSTSADQTNEAGRASPGDLNLSESDPQPSAKEREGSSVPFEREGSNLAKQEKDSDDERNKARKYRKKHHPESDEENYDSEESYKHSRKKHRSEDSRAHTSDVHKHKLKRHSKDLEPRHHRHRDSSSEDEHEHRSSMSRHRHRDDYHYHEDDEHRSSHRHQRDHRSSSKRKKDDDRDKSKQTIVRPEVSQNQEKPPGDTAQSSQATTEVPSELRAKIRAMLLETL >OGLUM01G31610.1 pep chromosome:ALNU02000000:1:31293516:31294547:-1 gene:OGLUM01G31610 transcript:OGLUM01G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPMARDFTATFLLLLSVASLLVISPCAAQQIKTTDTRWSYHLPLPDGVSGAESLAFDGKDGLYTGVSDGRVLKWGGSAAGWTTFAYNANYRKIPLCSSSEVPPEERESICGRPLGIRLFRKTGELYIADAYKGLMKVGPDGGEAQVVATEADGVPFHFLNGLDVDQATGDAYFTDSSSTYTRRFNGEITMNADATGRLLKYDARTRRVTVLKADLPYPNGVAVSRDRTHLVVAHTVPCQAFRYWLRGTKAGEYELFADLPGYPDNVRRDTKGGYWVALNQERMRLGAAPAAKHLVGVRLNPDGVEVEELTAAKGVTLSEVAEQKGKLWLGSVELDYIGMFA >OGLUM01G31620.1 pep chromosome:ALNU02000000:1:31295038:31306937:1 gene:OGLUM01G31620 transcript:OGLUM01G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPSTNATSRSRATHAPSRPRPNQVGIAAVHRRIGAGADPKPTTRQTKKKRPHRTDAEMGRDPTHAFRPRLRSAKPPRIGLRVPSSPPTHHRSPPAASDEYKERSSLLRLIKMLKIVSLNSQNFKVMADGADGDFPSCCSTHYWELVPDYGHVWSSSKEGIRQCQATERNDPTTVPRQPLIFFPGPTACGNPRSTTTAGLYPRGCAHRHANRVTPLTRAQHTHPPLPRPSQASPSGASQHVRLRPASASASLGTGAGSLAPGSAAQRTPHSANRPSGPPPTPARRAARADQQCMRMTKRFTAASPTAPGKYAGPCMHAQARSENKFKGYIKKAQRDSAHPAITVRISRLLSRYRGVAITLAQTISKRARNRVQCGSMGINQAAAHLPLRVHLALLRRPWRPWGSLADPIRGHLLGWPSTSSRLLELCVCELREAREQARKEGLCVSERKGEAES >OGLUM01G31630.1 pep chromosome:ALNU02000000:1:31310948:31313148:1 gene:OGLUM01G31630 transcript:OGLUM01G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQYKRTLAPASLEVPPPATLPITPAATQPLTPPPPQFVLPPSFFSTYIPDGWFPALTSQSMVTSSSPCLLAGLRQPGIFL >OGLUM01G31640.1 pep chromosome:ALNU02000000:1:31313274:31313913:-1 gene:OGLUM01G31640 transcript:OGLUM01G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLPVAFNLAIVFVLAIPLLLEARPLASGDQDDENLPILTTVTAEETTQVMVDYLDLNGSSSDGEDDEAAQGSPPDAALRRPARTPPSPQGRYPPQHQQKPAPCSGGPAGVVGGRMPSAPPAPRGRSPPHWVRSSDQQGPWLLDVFHRLLCALTGLTGQTGSMGDVEVWKTFRHVHLNEE >OGLUM01G31650.1 pep chromosome:ALNU02000000:1:31316242:31319716:1 gene:OGLUM01G31650 transcript:OGLUM01G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLKGKYHMVKWEDMAFPKDFSGLGFTETRKMNIALLAKWIIKIESDDKSLCIELLRRKYLAGRRGDAGEEEGGAATAAGGGGRNGEARRQPGGAPRRPCEVRDAGGGSDGGRRGGRGGSHCCNREPLLVRAPPPQVVVATASLARILLLLLDMVSEQTPVAIAEAELVSSAAVPVKPEEDAAKAQPEDDAPIVEDAKDDDDGDEDDDDDGDEDEDGEHGAVVNKGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIERAKNILFVVSKPHDVFKSPTSESYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMSHDAGLVVVAAAVSSPSPSPCRPPSCRCRVSFRVVVAATASSPSCRPPPPPLPSHLGGLLRRWPAYP >OGLUM01G31660.1 pep chromosome:ALNU02000000:1:31323924:31325367:1 gene:OGLUM01G31660 transcript:OGLUM01G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVSGRWTRLRTLGRGASGAVVSLAEDGASGELFAVKTAAPAEAAMLRRERGMMSGLSSPHVVPCIGGGDGPDGSYNLFLEFAPGGSLANEVARNGGRLEERAIRVYAADVLRGLTYLHGMSLVHGDVKADNIVIGVDGLAKLADFGCAKTMDSERPVGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTDAVPEVPVWLSAEAKDFLAMCFARNAGDRSTAAQLLEHPFVAFACHEVKAAQPKPRWVSPKSTLDAAFWESETDDEEEVDEITESLCDRIKSLACPVSALPDWDSDEGWIDLLGEQCEACDSETARESIDVARSAPSKVSSAATVPAAEVVLVGGGCCPSNEADAFDQSIGGDIQAADRSIERRNKVCAVTTQ >OGLUM01G31670.1 pep chromosome:ALNU02000000:1:31336214:31337470:1 gene:OGLUM01G31670 transcript:OGLUM01G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVDGRWTRVRTLGRGASGAVVSLAADDRSGALFAVKSAAAAAAAEQLVREGRILSGLRSPHVLPCLGFRAEAGGECQLFLEFAPGGSLADVVARSGGRLDECAIRAYAADVARGLVYLHGMSLVHGDVKGRNVVVGADGRAKIADFGCARTVGSDRPIGGTPAFMAPEVARGEEQEPAADVWALGCTVIEMATGRAPWSDMEDILSAVRRIGYTDAVPEVPEWLSAEAKDFLARCFARNPRERWTSSQLLEHPFLASAGCSVKTGEAAPQWASPKSTLDAAFWESDTDDEEDDMPASPAERIKALACPCSALPDWDSDEGWIQVLNESSEACDTAVAKVEAEGKGRVLIEALETINEFSGVDAECVDPECTVRLITVASIGQQEVFCLGLISDPLVFSVNKSELTKSLFPQIASF >OGLUM01G31680.1 pep chromosome:ALNU02000000:1:31341470:31343426:-1 gene:OGLUM01G31680 transcript:OGLUM01G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLRRVRTLGRGASGAVVWLASDDDSGELMAVKSASAGGAAAQLRREGRVLSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARNGGCLPEPAIRAYAADVARGLAYLHGNSLVHGDVKARNVVIGSDGRARLTDFGCARVMDSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTIIEMATGRAPWSDMDDILAAVHRIGYTNAVPEVPGWLSAEAKDFLDGCFERNASDRSTAAQLLEHPFVASAAALDRWPEPAKQERASPKSTLHDAFWDSDTDDEDDEMPTGAAERIGALACAASALPDWDSDEGWIEVHDEGSFAAVTPPASDADYFVWAELSDPEMEQFAVAADGVNHVPRNEAEAIESSVRQGSYLHVHLGSGKNEIFHPFDTDGTESGLDAHRFTALQKHQWNLSSRLPHSSWIDRTFGSDLDIGVQLATTC >OGLUM01G31690.1 pep chromosome:ALNU02000000:1:31343633:31350493:-1 gene:OGLUM01G31690 transcript:OGLUM01G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVMQKQLRRLRTLGRGASGAVVWLASDDASGELLAVKSAAGEGGAEQLRREGRVMSGLCSPHIVPCLGSRAAAGGEYQLFLEFAPGGSLADEAARSGGRLAERAISAYAADVARALAYLHGNSLVHGDVKARNIMVGADGRAKLADFGCARRTDSERPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRVPWSDMDDVFSAVHRIGYTDAVPEIPECLSPEAKNFLSRCFTRNPSDRPTAAQLLEHPFLASASSDIDATAAKHGWVSPKSTLNAECWESDDDDEEEDMSQSATKRISALAITCSALPDWDSEDGWIDLQSDPSEVSETPAPMVVTTADFGLWWEEALDAEIDLHFVDVDGDGYVTRTVRARGFIEYDRQLSVRVRGDMPLCPVDCHRSDTVKFGCHCNGNRVINFESAQICLLLPFILQSRAHRLHSVELPRIVSCSPTLEDCIVKCPIGFAATAGLPLGSPQPDYSHTCDTHVSYPSCVVHASMGTIGDGQREEPERHREDMEDQELRICSGITTYHAISTTYRVILARYYVIPVRYQTISTTYRLILARYHVIPIRYQTISTMNRVIFARYRMILTRYQISYWGRSIPTARLASCTGRESVGAWGIWWCGAGG >OGLUM01G31700.1 pep chromosome:ALNU02000000:1:31354787:31356409:1 gene:OGLUM01G31700 transcript:OGLUM01G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDTHSKPRTCAMTQWSAKGDGGCATPELKEEQGEAGVHPHAVCQVGARDGDEDEGGKIEREVAARGFLATRMVREVRVVEAEAEKVARKVEAEAKALRRNVRRRIMPSTRCSCGCCSGSTRSTAQWSPRAAGHHRCP >OGLUM01G31710.1 pep chromosome:ALNU02000000:1:31358299:31359627:1 gene:OGLUM01G31710 transcript:OGLUM01G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAIHTGYFIGSGMALPANFSPIYFPMGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSHESLPAAASSGFIAWTLTLLAMGLAVKEIDLHGRNARLKTMESFTIILSATQLFYLLAIHGGR >OGLUM01G31720.1 pep chromosome:ALNU02000000:1:31373345:31377719:1 gene:OGLUM01G31720 transcript:OGLUM01G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGAKKRAKLKKKQQGDHPAGSDDGGNNNNNKNNAAENGSNDSSRRRDAASDGNHHLPSRPNVPHVDVSEDSMESSEEMVTPRAAASEADEEERKAATSEVPVEVVEAGEEVMVDALPPEAAAGAQEQQGKAEALVVVQEPEVKREELVAKVHPMHDPEPQGEEVLVVEAAAVSAVQEPEVKRDEVVVMETAAPPAVQESETKSGGVLVKDVVEVSRSLGAADTTEVARGPAVAVAAAGQRATWWNCCGVFDAFSGSER >OGLUM01G31730.1 pep chromosome:ALNU02000000:1:31378001:31386885:1 gene:OGLUM01G31730 transcript:OGLUM01G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDETALITLKKGTKLIKYSRRGKPKIRAFRLSSVSDKPQDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEMLKLQSQVDSLKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >OGLUM01G31730.2 pep chromosome:ALNU02000000:1:31378001:31386885:1 gene:OGLUM01G31730 transcript:OGLUM01G31730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAFWRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEILKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >OGLUM01G31730.3 pep chromosome:ALNU02000000:1:31380988:31386885:1 gene:OGLUM01G31730 transcript:OGLUM01G31730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSPSTKLIKYSRRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEILKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >OGLUM01G31730.4 pep chromosome:ALNU02000000:1:31380988:31386885:1 gene:OGLUM01G31730 transcript:OGLUM01G31730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSPSTKLIKYSRRGKPKIRAFRLSSVSDKPQDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEILKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >OGLUM01G31730.5 pep chromosome:ALNU02000000:1:31380988:31386885:1 gene:OGLUM01G31730 transcript:OGLUM01G31730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSPSTKLIKYSRRGKPKIRAFRLSSDETSLIWFSHKKEKFLRLSSVTKIIPGQRTAVFGRFLHPEKDYLSFSLIFKNGQRSLDLVCKDQAEVEVWFSALEGLISSFRKKSLINEHKDRVSFSEEVTYYQDRHSYDSTLDIASNISRSFNSAGYCGTNSFSFRKSDVGFDRLNMIRTSAADSSRVSISSALSSYSQGSGTDDIESLGDVYVWGEVWTDVTPSDGHTSSSCSKVDVLIPKPLESDVVLDVNQIACGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESLSLTVVDLISCGEFHTCAVTTSGDLFNWGDGSYNVGLLGCGTEVSYWLPKKVSGPLEGLQVLSVACGSWHSALTTSSGKLYTFGDGTFGVLGHGDRETLAYPKEVEALSGFKTIKVACGIWHSAAIVEVTNQTGANVMSKKLYTWGDGDKNRLGHGDKEPRLVPKCVQALLEYNFHQLACGHNMTVALATSGRVFTMGSSSNGQLGNPKSDGKQPCLVQDRLASELVEEISCGASHVTVLTSRSEVYTWGMGANGRLGHGDLKDRKKPCLVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHDCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKAAETGSNNSNRRNAVTRRSIDGREKLERPEIRPSRTTAPAESVKYTEVKAARNDMRASQISSLLQFKDLSFSALQPTAMSPAVTMSPAVPALSTPSPYTKKTKSPAPAIPQFPKTDIDNLQKSNELLNQEILKQKCEAQHEQLQISDKKTKTVVSMATEEYTRCSAVVEFVKFLDNELNGIVHELPSDAAESLKALQNQVQALLREQRSHPSELLNPMDHDGIQLSSGGNALHDFSNHRSGSTRYLFMSQDASSASGSAISLTSEPPSHRGMEHHAKVPNDFVPKHDTHGEVQLIEQFEPGVYVTLIQLKDGSKVFKRVRFSKKKFAENQAEEWWRENQERVFKKYSHPTVPQTTSTKTGSSNEEEHHS >OGLUM01G31740.1 pep chromosome:ALNU02000000:1:31387397:31388866:-1 gene:OGLUM01G31740 transcript:OGLUM01G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQCPDDPAPSMNVEAVLHMKEGVGETSYAKNSTLQKKSMDTVKSLVTESARDVYASLKPERFTLADLGCSSGTNALGMVEEIVRSVAEVCRGSSPPPEFSVLLNDLPTNDFNTIFSRLPEFTGKLKADADADAGDDPMVFLSGVPGSFYGRLFPSKNVHFVCSFSSLHWLSQVPPGLLDETNGPVNKGKMFISSTSPPAVAAAYSRQFRRDFSLFLRSRAAEVVAGGRMVVSMLGREGERHADRNTTLLWDLLSESFAALVSQGVVEQGKVDAYDAPFYAPSIGEIEEEVRRQGSFRMEVARAYEASLSGSGDARKDGRTVSMAVRAIQESMLGHHFGTEIVDALFAKYTELVTATMEREEVKSVQIGVVLTRL >OGLUM01G31750.1 pep chromosome:ALNU02000000:1:31401233:31402850:1 gene:OGLUM01G31750 transcript:OGLUM01G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPANVLYAALLVPTVLYLVVTRRRSRRLPPGPAGLPLVGSLPFIDPNLHTYFAGLAAKHGPILSIRLGSKVDIVITSPELAREVLRDQDSVFANRVMPDAGNAVSFGGVQNIVGNPVGPMWRLLRRLCVHEMLSPSGLANVHDLRRHEFRSTLRYLHTKSGEPVDVGAQMFLNTMNVITSAMWGGTIGSESERAAVGSEFRGLVAEVTELLGTPNVSDFFPALAPFDLQGIRGKMERFRSRFDLMFAKIIQQRMKAQQDGGETATDFLECMLKMEKEGGDGKAPFTMDNVKALLLDMVVGGTETTSNTVEWAMAEMLQNPQVLKKAQQELDSVVGRDEVVEEWHLPQLHYLRMVIKETLRLHPALPLMVPHSPSAASTVGGYHVPEGSRVFVNVWAIQRNPLVWNEPLEFNPERFAGDEGHKWDFTGSQFDYFPFGSGRRICAGIAMAEKMTAYSVAMLLQAFDWKLPQGVQPDLSEKFGIVMKKAAPLVAIPTPRLSKPELYYS >OGLUM01G31760.1 pep chromosome:ALNU02000000:1:31407059:31408738:1 gene:OGLUM01G31760 transcript:OGLUM01G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAATTSALTAASASTLLYAALAAAAFLYITIVRHRRGGALPPGPAGLPLIGSLPFLEPNLHAYFARLAEKHGPIFSIRIGSKLEVVVTSPEVAREVLRDQDHVFANRVIPEAGRAIAFGEADNIVGNPAGPKLRLLRRICVSEMLGPSGLSKMYGLRRREFRSTLRHLYTQSQCGTPVCVGAQMFLTAMNTITSSLWGGKIGSESERTAVGQEFRALIAEITELLGRPNVSDFFPVLAPLDLQGVQKRMRGIRTQFEQMFSRIIQTRAKAEQDGGEASADFLEYMLKLEKEGGDGKTPFTMINVKALLLDMVVGGTETISNTVEWALAEMILNPRILEKVQQELDQVVGRDSLVEESHIAQLHYLRLVFKETIRLHTPLPLMVPHCPSSTSTIGGYRVPKGTRVFVNVWAIHRNPRVWKAPQEFIPERFSGDDGQKWDFSGKEFDYFPFGSGRRMCAGIAMAERMTIYSLALLLQAFDWKLPEGTQLDMDEKFGVVMKKAKPLVVIPTPRLTKPELYS >OGLUM01G31770.1 pep chromosome:ALNU02000000:1:31410911:31411168:-1 gene:OGLUM01G31770 transcript:OGLUM01G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPNLDGAPSQRVTGGPARGAEASQTRRGGGGSGLPAGGNDGRWRRRRLAAAGGSRWRRPACATTGGGGGGVWRRLAAGGSR >OGLUM01G31780.1 pep chromosome:ALNU02000000:1:31429019:31444588:1 gene:OGLUM01G31780 transcript:OGLUM01G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISEVMVVTAEWAVTMVAMAVGLLVVAYLYEPYRKVWRVPGPVPLPLIGHLHLLAMHGPDVFSVLARKHGPVFRGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRATKNLDGQKEITFSGLSLSLATDVIGQAAFGTDFGLSKVPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALRTQMDRIVAERGGAMEHDLAASHQRKDFLSVMLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDGFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMVSPLVARETHEQGTWVWLAPGVLAKDPKNFPEPEVFRPERFDPNGEEERRRHPYAFIPFGIGPRVCIGQKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQVIKRHNAEVLGATAEWAVTLVAMAVGLLLVAYLYEPYRKVWHVPGPVHVPLIGHLHLLAMHGPDVGPRWTSMRNMIISIYQPSHLASLIPTMESCIQRASKNLDGQKEITFSDLSLSLATDVIGLAAFGTDFGLSKVPVTPDDSNIDKIAADTSVEAKASSEFIKMHMHATTSLKMDLSGSLSILVGMLLPFLQEPFRQVLKRIPGMGDYKIDRVNRALKTHMDSIVAEREAAMEHDLAASQQRKDFLSVVLTARESNKSSRELLTPDYISALTYEHLLAGSTTTAFTLSTVLYLVAKHPEVEEKLLREIDAFGPRDRVPMADDLQTKFPYLDQVVKESMRFYMMSPLIARETLEQGTWVWLAPGVLAKDPKKFPEPEIFRPERFDPNGEEERRRHPYAFIPFGIGPRVCIGRKFSIQEIKLSVIHLYRHYVFRHSPSMESPLEFQFAIICDFKYGVKLQAIKRQHA >OGLUM01G31790.1 pep chromosome:ALNU02000000:1:31445599:31455211:1 gene:OGLUM01G31790 transcript:OGLUM01G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTDRAPPTAMMWSSALASVTTAFSTARFPLSRREGRAKNTPHHPLNARLAPRIVVPFRRHCTLYRRRFRALNRRRGLPQPPPELPHPLRPEPTSSPSAGRSRRRSHPPPPETRSSPSAAAMATMAMVSPSTNQPIKFSWLKRDAGRNAAPLVGTGGIRNNRRPLDCEEPHQYAERCSVQWHRVCIYPDRLGRPAASRSSTSRLEFRVTIAEATQESLIEGDAKDGVSTSDSIHVSVSQYISQQGTMPTSQYKYQTKFKYQKEIRRWRLAPEMDFCQGLLRLGARAVTGEVSHAEAQDLGEGALLLVAPPARYRAIPSRVLVGGRSTSARSSFGGAPCRRRGIERGGTRYLDTEPGSSWGRILLALHVGFWLVVAAARSAASGEVSCAKARDTESGGVVDEVLGRRGNRVHCMGLGGKRNLY >OGLUM01G31800.1 pep chromosome:ALNU02000000:1:31455605:31458127:-1 gene:OGLUM01G31800 transcript:OGLUM01G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRPRDRDFEFLEAMASSLLHCSDKLPFMNVETVLHMKEGLGETSYAQNSRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPSGLLDEMNRPINKGKMYISSTSPLAVPVAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVAQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRMDYVQTYEINLSSSGDARRDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >OGLUM01G31800.2 pep chromosome:ALNU02000000:1:31455605:31458127:-1 gene:OGLUM01G31800 transcript:OGLUM01G31800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQRPRDRDFEFLEAMASSLLHCSDKLPFMNVETVLHMKEGLGETTMAWPFLQRRGMDTLKSLITNSAADVYLSQMPERFAVADLGCSSGPNALCLAEDIIGSIGRICCRSSRPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAKSDEWGRPMVFLSGVPGSFYGRLFPAKSVHFVCSCSSLHWLSQVPSGLLDEMNRPINKGKMYISSTSPLAVPVAYLRQFQRDFSLFLKSRAAEVFSGGRMVLAMLGRQADGYIDRRTTFLWELLSESFASLVAQGLVEEDKVDAYNVPFYAPSIGEIEEEVRREGSFRMDYVQTYEINLSSSGDARRDGRTVSMAIRAIQESMLSHHFGPEIVDALFAKYTELVTASMEREEVKSVQIGVVLTRL >OGLUM01G31810.1 pep chromosome:ALNU02000000:1:31473641:31482212:-1 gene:OGLUM01G31810 transcript:OGLUM01G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIINGPVLPRYAAPATGALTSDAKISGQLLRRVHLRRRACGLQGDHYRAARRFFGFPSERHARSGWVWPVCCSYGSSSDGDGAAAADYDASGEEFVNSSVMEAVELRSVSDGFVIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVVKEMTERMGYAVPIQVNRRIAYNNGLKVVQPTPSESYVSSDQFQYTRLDRPDDQPCFEAQEFDLVRNMLVAAVEERYKDAGAGFEGIVHDDDKKEWKSDEDNSEGDKKAKAVSFKNRAISAGNKFRRSLRRKRRRRVGDHVASIEDIRDVKELEAVQRFHQCLHDEGLLPERHDDYHVMLRFLKARKFDIDKAKHMWSEMLRWRKEFGADNIEEFDYSELDDVLECYPQFYHGVDKEGRPVYIELIGKVDPNKLVQVTTIDRYVKYHVKESEKCLQMRFPACSIAAKRHIDSCSTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLHRLYIINAGQGFKMLWGTIKSFLDPQTASKIHVLGSKYQNKLLETIDESELPDFLGGKCRCEEHGGCIKSDKGPWKDPDIIKRVLNGEANYGRQILAISSVDGKKICYINPRHLTSKLPGNTSTSGAPPRVEDIPVVDKAVDTCAGPSTSSMAFNSDSFSLRNITMELGGLRNRITAWLIVLIVSFVAVLRSVPSRVTASLSSQAISRENGTHLSVLRRLGELEEKLQELEAKQSQMPPDREELLNGAIHRVDALEAELISTKKMLYDALMRLDELLAYADQQKNIQFRKKRFCF >OGLUM01G31820.1 pep chromosome:ALNU02000000:1:31495417:31500263:1 gene:OGLUM01G31820 transcript:OGLUM01G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSRVFLLALVLFLALQAPAFSFWFACYSRHQLLYVLSPIQVIVVQIDESFVGVIKQLPGVLAVIPDVLHKVHTTRSWDFLELERNGAATGAWKDAAKYGVDAIIGNVDTGVWPESASFKDDGYSVPSRWRGKCITGNDTTFKCNNKLIGTGFFNLGFLASGLLQGKPPSQAAELYTPRDYIGHGTHTLSTAGGGFVPDASVFGHGKGTAKGGSPLARVAAYKACYAEGCSSSDILAAMVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSYHLDLHRSNRSDVDLLALLRACRTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPIPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVIVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >OGLUM01G31820.2 pep chromosome:ALNU02000000:1:31495417:31500263:1 gene:OGLUM01G31820 transcript:OGLUM01G31820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSRVFLLALVLFLALQAPAFSFWFACYSRHQLLYVLSPIQVIVVQIDESFVGVIKQLPGVLAVIPDVLHKVHTTRSWDFLELERNGAATGAWKDAAKYGVDAIIGNVDTGVWPESASFKDDGYSVPSRWRGKCITGNDTTFKCNNKLIGTGFFNLGFLASGLLQGKPPSQAAELYTPRDYIGHGTHTLSTAGGGFVPDASVFGHGKGTAKGGSPLARVAAYKACYAEGCSSSDILAAMVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPIPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVIVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >OGLUM01G31820.3 pep chromosome:ALNU02000000:1:31495264:31500263:1 gene:OGLUM01G31820 transcript:OGLUM01G31820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSYHLDLHRSNRSDVDLLALLRACRTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPIPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVIVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >OGLUM01G31820.4 pep chromosome:ALNU02000000:1:31495264:31500263:1 gene:OGLUM01G31820 transcript:OGLUM01G31820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVEDGVNVLSLSVGGPADDYLSDPIAIGAFYAVQKGVIVVCSASNSGPQPGSVTNVAPWILTVGASTMDRDFPAYVTFGGVTSSMTIKGQSLSNSTLPQGQRYAMINAKNANAANVPSENSTLCFPGSLDSDKVRGKIVVCTRGVNARVEKGLVVKQAGGVGMVLCNDAGNGEDVIADPHLIAAAHVSYSQCINLFNYLGSTDNPVGYITASDARLGVKPAPVMAAFSSRGPNPITPQILKPDITAPGVSVIAAYSEAVSPTELSFDDRRVPYNIMSGTSMSCPHVSGIVGLIKTKYPDWTPAMIKSAIMTTAITGDNDSGKIRDETGAAATPFAYGSGHVRSVQALDPGLVYDTTSADYADFLCALRPTQNPIPLPVFGDDGKPRACSQGAQYGRPEDLNYPSIAVPCLSGSATVRRRVKNVGAAPCRYAVIVTEALAGVKVTVYPPELSFESYGEEREFTVRLEVQDAAAAANYVFGSIEWSEESESDPDRKHRVRSPIVAKTTCG >OGLUM01G31830.1 pep chromosome:ALNU02000000:1:31500973:31510607:1 gene:OGLUM01G31830 transcript:OGLUM01G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLTMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDISSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >OGLUM01G31830.2 pep chromosome:ALNU02000000:1:31501184:31510607:1 gene:OGLUM01G31830 transcript:OGLUM01G31830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49400) TAIR;Acc:AT3G49400] MASHYQAATLIASPSYPNAIAWSTENLVAVASGHLITILNPSALEGPRELVVLRPSDPFPIGVVNREDLFEPCIMPTSLARETELCARSISWSQQGFSPNSGCLLAVCTVDGHVKLYRSPFCEFCDEWVEVADISRLLFKFYKGIEFGENDGPSSLPQEKENTEQNQQVMCIGKLQEPLLTMGTERRKRKPARFEGFVYHEDNGGVDAPKDADFLLDPISNLKKKTLKKRSVDAPKDVDFISDPSNLKKKTSEKDDVDDPKDADFSLDPISNLKKNTLKKVTRPGLDYAVRNGQGNTQNIQTPSYCNGEDKSLPLITAKQYSCREALLSSLVVAWSPVLPSPDRSSYFTGNWCILAVGCKSGSVSFWKIHKPEYYTIDIGMVTRDPMLIGVVQAHLSWVTAISWELFSSGSSKPLLLLATGCSDGSSKIWLGDIEGLNQCTCAKEVPLTLVAEHQDSVNLAIGRASGSLEAWSWNISGNKIQKIHACDAHDQVDNSAHCWMFNEKHLEEIPLHTDGPELKESVDLTEVSDRCYGLALAPGELMIAVVRSLDSNLLNQMYQARTQKAVVEFIWIGGQFLGIPLDNSVILSLQSAALSETNFLWWGSNIFWSLKKYENCETVLVLWDLIAALQGFKKSAPTFLETLMHKWVSGLFSDDPHCASIDISSHSIHNMSKVSSRKLHLLNIVCRKVMLSDQPQYSPGAEKGNDVMADLWNNLLVRSERELQERLVAFTFAAVLNRTAYLLKGAPAENSWFPVGVAQMDSWASMNDGEVRDELKFLRTRINDLGDRINSVCEYSVEEYCTYCKAPVPFESADVAMCSGSNPATPPAEAHKLSRCTASMRLCPVLQPTWHCACCGRTVDKLLPEIFFTMPTSFWDVTHGNESLDLSAPAVPFCPFCGILLQRIKPEFLLSVSPV >OGLUM01G31840.1 pep chromosome:ALNU02000000:1:31510791:31515888:1 gene:OGLUM01G31840 transcript:OGLUM01G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALEGCRYRLSPPVSSYKYESASASSSSNFPSARSLVSLTGSKRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTAAGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAAAVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSSKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEQKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >OGLUM01G31840.2 pep chromosome:ALNU02000000:1:31510683:31515888:1 gene:OGLUM01G31840 transcript:OGLUM01G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSAYYDVLGVSTDASAAEIKKAYYLKAKLVHPDKNPDNPDAERRFKELGEAYQILSDPVRKDSYDKHGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQFALASVASVEIEEESDNTEARARIQDKIKELQTEREQKLVQSLKDRLQPYVDGMQDEFGDWAGAEAQRLSQAAFGEAMLHTIGYIYARQAARELGKSKMYMGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEGDDKEGQLMKSIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLRENTVSKDVLKVRARGLKKLGTIFQPRNILRYFRAFDALEGCRYRLSPPVSSYKYESASASSSSNFPSARSLVSLTGSKRVTELETEEEATRRHQPMAEHATGVYGHPYPRVDQYGNPVPPVDQYGNPVPDEPAPRDTAAGYVAPPDPAVSTGDYGLAGAEAPHPHESAVMSGAAAAAVAPGGEAYTRDGGGVVPPAGEKTFAYEGTVSAAGVTGASGQLQPTTREEGHTTLGETLRRSSKSSSSSSSSSEDDGQGGRRKKKSIKEKIKEKLPGSHKQEEQKQAGHTAPAAGTGTGTGTGTHAAGKHEKKGIVEKIKEKLPGHGHH >OGLUM01G31860.1 pep chromosome:ALNU02000000:1:31562301:31563223:-1 gene:OGLUM01G31860 transcript:OGLUM01G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQDGPQRDRSVLRGLDDFATARSLNGIRVADEILRLVPDTAAFRTMLRCVKHWAKARGVYSNVAGFLGGIGWAILVARVCQLYPNTSPAC >OGLUM01G31870.1 pep chromosome:ALNU02000000:1:31576638:31579172:1 gene:OGLUM01G31870 transcript:OGLUM01G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSSSATPGTGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIMAQMVVTTAVVATSPKERPAWPLRVWVAAYNVGNVLSLPLLYWRHRHSSSGARGGTLSDDPEMHGANDPLRNRSYLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPAVGYALGYNMNSASVGRGASDEQLAALPQWRFKEPADAPRDRDHDDQECCICLAQYKEKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELS >OGLUM01G31880.1 pep chromosome:ALNU02000000:1:31582825:31587564:1 gene:OGLUM01G31880 transcript:OGLUM01G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVANGASGDSSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRIPLVRQWIKELRVAQSAIKMLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >OGLUM01G31880.2 pep chromosome:ALNU02000000:1:31582825:31587564:1 gene:OGLUM01G31880 transcript:OGLUM01G31880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVANGASGDSSKAAFAEIYSRLKEEMLEDPAFEFTDESLQWIDRMLDYNVLGGKCNRGISVIDSFKMLKGTDVLNKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNLTVHRRIVQYKTAYYSFYLPVACALLLSGENLDNFGDVKNILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADENQKHILFENYGKPDPECVAKVKDLYKELNLEAVFHEYERESYNKLIADIEAHPNKAVQNVLKSFLHKIYKRQK >OGLUM01G31890.1 pep chromosome:ALNU02000000:1:31590838:31595049:1 gene:OGLUM01G31890 transcript:OGLUM01G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDSEAHSPVVYDDAGVTYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKDYMCRAEFSLPSITAEEAAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >OGLUM01G31900.1 pep chromosome:ALNU02000000:1:31599784:31600526:-1 gene:OGLUM01G31900 transcript:OGLUM01G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHLAALILAAVVLAASTSSGVVDARPVHTGPYHVVIRTAAKPSRRLIGLGGNAAICQEVHYKALCGTLTTLPGVMTPQQLLDAALRVAESKAMMAEKRLADVMKSRAVKAEGTSMSSTLDTCKGAYSSLADALQKARDTIKSGGSHDDLMTELSSASTFSTDCGEAFDEFPDLTSPIPGAQRHVNRLVSNCLDLAATIKEN >OGLUM01G31910.1 pep chromosome:ALNU02000000:1:31604668:31606218:1 gene:OGLUM01G31910 transcript:OGLUM01G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter2.5 [Source:Projected from Arabidopsis thaliana (AT1G12940) TAIR;Acc:AT1G12940] MEAKPVAMEVEGVEAAGGKPRFRMPVDSDLKATEFWLFSFARPHMASFHMAWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARLAMGTACDLVGPRLASASLILLTTPAVYCSSIIQSPSGYLLVRFFTGISLASFVSAQFWMSSMFSAPKVGLANGVAGGWGNLGGGAVQLLMPLVYEAIHKIGSTPFTAWRIAFFIPGLMQTFSAIAVLAFGQDMPGGNYGKLHKTGDMHKDSFGNVLRHALTNYRGWILALTYGYSFGVELTIDNVVHQYFYDRFDVNLQTAGLIAASFGMANIISRPGGGLLSDWLSSRYGMRGRLWGLWTVQTIGGVLCVVLGIVDFSFAASVAVMVLFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQYIFFHGTKYKTETGIKYMGLMIIACTLPIMLIYFPQWGGMLVGPRKGATAEEYYSREWSDHEREKGFNAASVRFAENSVREGGRSSANGGQPRHTVPVDASPAGV >OGLUM01G31920.1 pep chromosome:ALNU02000000:1:31607684:31610768:1 gene:OGLUM01G31920 transcript:OGLUM01G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASPALRLRPRPRTAAPSPPRCRLSSSAYSYSKARHALRRYGNHDGRFHIKRITSFAAMDKQESITSPTTDALPVEETDNSTEDSPASGSSSYFTERGNGKSGFISFQGSSYQMKSVESVPHPGKEASRLVWFVGPTILVAFLVLPSLYLRKVLSAVFEDSLLTDFLILFFTEALFYGGVAIFVLLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLMIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKIYRLHQLNRAAQLVTALTFSVRGTEATNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >OGLUM01G31930.1 pep chromosome:ALNU02000000:1:31618175:31619455:-1 gene:OGLUM01G31930 transcript:OGLUM01G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALEMCAGVGVRGHELKHGRQRHEEVGSEVAGDGVASLAEMDLYGDVLESVVERVPAADLAASARVSREWLRAVRAALRRRPRRLPWLVVHLHGRRRRTAAYDPHSGAWVTVPAARHDTPSHVRLVRGAGGDRVCALSLSGLAVSGDPLGKDVCVALKAPGVWRVDPVFAAVGDRVVALGGACQLALGEGEDASVVEVHESGSWTACGPMPAELRESAAATWLSVAATDQRVYLTDRSTGWASWFDPAKQQWGPTCRLRPDATVSTWGLAPGRGGAERLVLFGAKRCGRAEQAKSRVVIQAWEVDGDGLALSRGAAHDTMPGEMSERLFPRDEDGDEEDEEEMSPSIGVCGNAAGGYVYNAADPAIGAVLYELRDGVGSAVERWEWVPCAPSVEAEPLGRFILACSPVGLDELARGQPAACTAAQ >OGLUM01G31940.1 pep chromosome:ALNU02000000:1:31622532:31625509:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALRLPTLPRSRPPSSSHVSPPSRLLLHRVADGSMSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRNFFFSNEIDRIPMAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKINMLPRSKLLTLWPTGDFGKILSCFTMAFHCLAHGFGDCSGASDLNVDLADWRMASRRSFRDYLRKVFGTLFFFRDCLSKFSLVTSKKSQSGGRQASW >OGLUM01G31940.2 pep chromosome:ALNU02000000:1:31622532:31625509:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALRLPTLPRSRPPSSSHVSPPSRLLLHRVADGSMSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRNFFFSNEIDRIPMAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKINMLPRSKLLTLWPTGDFGKILSCFTMAFHCLAHDASWLTIYRKEVASSGEFDLNVDLADWRMASRRSFRDYLRKVFGTLFFFRDCLSKFSLVTSKKSQSGGRQASW >OGLUM01G31940.3 pep chromosome:ALNU02000000:1:31622532:31625509:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALRLPTLPRSRPPSSSHVSPPSRLLLHRVADGSMSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRNFFFSNEIDRIPMAVATRLMLALMYHVVMGDDLDVRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKINMLPRSKLLTLWPTGDFGKILSCFTMAFHCLAHGFGDCSGASDLNVDLADWRMASRRSFRDYLRKVFGTLFFFRDCLSKFSLVTSKKSQSGGRQASW >OGLUM01G31940.4 pep chromosome:ALNU02000000:1:31622576:31625509:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALRLPTLPRSRPPSSSHVSPPSRLLLHRVADGSMSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRNFFFSNEIDRIPMAVATRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEKLIFLLSWLYFLSSHLTFTSRNEMMADYLLDHCDVPLRFKDMHKSKVNVGFPLPSDLNVDLADWRMASRRSFRDYLRKVFGTLFFFRDCLSKLYGCAALW >OGLUM01G31940.5 pep chromosome:ALNU02000000:1:31624092:31625509:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLALRLPTLPRSRPPSSSHVSPPSRLLLHRVADGSMSSSSSRGPGWLSTAPSQIQPSLIPDSPIPTAPSQRRGGVVAREMTGGESEEGEADGNPPSVTLALQSPFTVEPCVVAFPRRCHHIKAPHAWSSFPEAGRNGRSLAKAPSRSGQLPQQQRVHGLLHCSVRGSGLFCQRGHCLIHHRRGFFPFPKCTTYLPLRNFFFSNEIDRIPMAVATRLMLALMYHVVMGDDLDVRTGKPSLDMFLAEMRRFEVLPSAVKNCWNVTSLLLLARSLLLAPVYCHRAAASSPEPLSSPSAAGAEERNDGRLPS >OGLUM01G31940.6 pep chromosome:ALNU02000000:1:31622576:31624083:-1 gene:OGLUM01G31940 transcript:OGLUM01G31940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFASRICINPRLTLWPTGDFGKILSCFTMAFHCLAHDLADWRMASRRSFRDYLRKVFGTLFFFRDCLSKLYGCAALW >OGLUM01G31950.1 pep chromosome:ALNU02000000:1:31629027:31630774:-1 gene:OGLUM01G31950 transcript:OGLUM01G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWHHLKIGPTTQVCMETAKYVYPRHGQLELKPFLQRGSVQRRRPAGLGSGLVEAEAVEA >OGLUM01G31960.1 pep chromosome:ALNU02000000:1:31650865:31655635:1 gene:OGLUM01G31960 transcript:OGLUM01G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel E [Source:Projected from Arabidopsis thaliana (AT4G35440) TAIR;Acc:AT4G35440] MRCLSQFMAPPSVAPCPARVLLVSTPSPPSLSRRRPAGRRCGGGDGGARLRTIRCGAATEEEDGDRRGERVEAAWEEEVAAPGRDLVTLAACLVGLLSGVSVVLFNLSVHEIRDLFWDGIPLRGASWLREESIGEIWQRVILVPVSGGIIVGVLNTLRSSIATNSNDTMSKIKGAFRPFLKAMAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFKLEGGKKLSLVAAGSAAGIASGFNAAVAGCFFAVESVLWPTSVDSSSLSNSTPMVILSAVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGIFCGLVSTTLSRCTALSMKIVESLQTATGLPKAASPALGGLIVGLLALVYPEVLYWGFENVDILLESRPFTSGLSAAVLVQLIGVKVLATSLCRAFGLVGGYYAPSLFIGAATGMAYGKVMRFTFTGPDSLFQIPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTQDYRIVLPLLGAVGVSSWIASPQRFSRSNRSKPDSSEVKSSNFKQEKSVPSQTQGASVDTDKPITDLCKLESSLCVYDAKHENFQENLTVAEAMKTKYISVSKTTPVVEALNLMLVEKQPFVMIIESNRSLIGLVTLKDIQDFCRTAKTTRVQTEEPVQTYVCGAVKCKMWPVTPQTSLTTVEKIMDSYGVDQLPVVSEHVDRQDRGLLVGFVDKEGIAIARRM >OGLUM01G31970.1 pep chromosome:ALNU02000000:1:31657267:31657578:-1 gene:OGLUM01G31970 transcript:OGLUM01G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGMVWEDKLQQSGELGGKAEAPAPAARAAAQRSGSSGHGGYKAGHVQPALDPPSPRVAACGFCNLFGKDKHHQARPRGGASAKSRRR >OGLUM01G31980.1 pep chromosome:ALNU02000000:1:31676477:31677151:1 gene:OGLUM01G31980 transcript:OGLUM01G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSVVLRVLVAVAVVAAAGAPRLAVADSPPLQDICVADLRAATAVDGFPCKPTASVVSDDFFCDAIVQAPSTSNPFGVNSTRATVSAFPGLNTLGLSITRTDLAPGGLNPPHSHPRASELVLVLSGEVMVGFTTAANRLFSKVVREKELFVVPRGLQHFQLNVGAGNASFVAMFDSQSPGLVTPTFALFATQPAMPMEVLAKTFLMGEDEVGAIKSKFAGF >OGLUM01G31990.1 pep chromosome:ALNU02000000:1:31677846:31678704:-1 gene:OGLUM01G31990 transcript:OGLUM01G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQERASYHAGETKARAEEKTGRMMGTAQEKAREAKDTASDAAGRAMGRGHGAKEATKEKAYETKDATKEKAYEAKDAASDATGRALDKGRGAAGATRDKAYDAKDRAADTAQSAADRARDGAGQTGSYIGQTAEAAKQKAAGAAQYAKETAIAGKDKTGAVLQQAGEQVKSVAVGAKDAVMYTLGMSGDNKNNAAAGKDTSTYKPGTGSDYQ >OGLUM01G32000.1 pep chromosome:ALNU02000000:1:31696155:31706449:1 gene:OGLUM01G32000 transcript:OGLUM01G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLFFLLTEGSISGGCCCTCTCSSLQLHCIFPTPCPLAHCEIAVVCTRSTYYCSLFSI >OGLUM01G32010.1 pep chromosome:ALNU02000000:1:31696777:31703088:-1 gene:OGLUM01G32010 transcript:OGLUM01G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGSSLVILLGEVEQSYIAEADQKTQDGTSFQNGAVLQAVLLRGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >OGLUM01G32010.2 pep chromosome:ALNU02000000:1:31696777:31703088:-1 gene:OGLUM01G32010 transcript:OGLUM01G32010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEDQKTQDGTSFQNGAVLQAVLLRGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >OGLUM01G32010.3 pep chromosome:ALNU02000000:1:31696817:31703088:-1 gene:OGLUM01G32010 transcript:OGLUM01G32010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGTRKHKMGPLFRTEQSCRQFCCEDLGIPRITSMIKCRLSPDL >OGLUM01G32010.4 pep chromosome:ALNU02000000:1:31700424:31703088:-1 gene:OGLUM01G32010 transcript:OGLUM01G32010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAASLAAHHHLAGGGLLPTPRASGRNGRSGITISMRAQRKQSGDSGSGKGDGGDGRVSGRRRVWRRRKLTKEDDMLRYKLERVPFLEEKVRKVRENGKIICLDINQLMLSQENRFAFTIEVAEEANSYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >OGLUM01G32010.5 pep chromosome:ALNU02000000:1:31696779:31699869:-1 gene:OGLUM01G32010 transcript:OGLUM01G32010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSCLQSQAFCVDHILLGEVEQSYIAEADQKTQDGTSFQNGAVLQAVLLRGFGDTSHHFHDQMPPISRSLIFCKKFTRLNVQ >OGLUM01G32020.1 pep chromosome:ALNU02000000:1:31703137:31703433:-1 gene:OGLUM01G32020 transcript:OGLUM01G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGEEGEGIPKPAMRQEAATTGSCTTKTLSFPIAPIAPRRRSNHARFPCSARSPRWRRRRSSPASLPEMGRDTFRARDGPWAVPLSLPLLQRGAWLV >OGLUM01G32030.1 pep chromosome:ALNU02000000:1:31719312:31720733:1 gene:OGLUM01G32030 transcript:OGLUM01G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSETDAALFAAVLGHDAAHHLATTPPHLDAPEGSPSSAELQARLHDLVERQGGAWTYGIFWQESRGAGAASGRAARAVLGWGDGHCRDGAGHEEVGAAERSVARKRVLLRLHALYGGGDEDGADYALRLDRVTGAEMYFLASMYFSFPEGSGGPGRALASGRHAWADVDPHPSGSGSAPGWYVRSSLAQSAGLRTVVFLPCKGGVLELGSVVAIRETPEVLRAIQSAMRAVPAPPEDFMRIFGKDLSPGRPSQPMGCDAPWTPRLVVQTTPVRPVKKEVVKAKPAEPPKSLDFSKANVQEQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEARLRGDAPMPARADGPAVEVKAMQDEVVLRVTTPLDEHPISRVFHAMRESQISVVASDVAVSDDAVTHTLMVRSAGPERLTAETVLAAMSRGVSVTTPSP >OGLUM01G32040.1 pep chromosome:ALNU02000000:1:31728501:31730676:-1 gene:OGLUM01G32040 transcript:OGLUM01G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional coactivator p15 (PC4) family protein (KELP) [Source:Projected from Arabidopsis thaliana (AT4G10920) TAIR;Acc:AT4G10920] MDEETQKKVESTVLEILRGSDMESLTEFKVRTAAADRLGIDLSIPDRKRFVRRVVEGYLESLSQEDEQEQQQEQAGGAGEEGKDEQAEEEEEEEEEERGGGTKREYDDEGDLILCRLSARRRVTLQEFKGKTLLSIREYYFKDGKELPAKGISLTVEQWEAFRDSVPAIEDAIKKLGESSD >OGLUM01G32050.1 pep chromosome:ALNU02000000:1:31733259:31736618:1 gene:OGLUM01G32050 transcript:OGLUM01G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRKTMEMAVVSDPAPAAVTNLNCAGATRSSPVAANIRDTPVMRDVLSHLPVKMVRELGPLFASEFWLSTVMEIDDEQLLPQNGEQVDLIERVGSVLSTQDGPFPTVRLTNLCFQPFGVQELHCIDDDESAFIILMSWFNLFNSKKVTKFIFLNRAQPTAKLLFVPENILKCWRLETLYLCQIRFKDPSGTIDFHLPNLAELGIVNCEFHHDTLMKMVAQCPKLERLSLAFLEFSTKIHFESNSLKRMVLWNYSARDSVRIVAPKLCRLILHNVGTSEPQPHDEHPDPGYIDLNSHVPLLSEGQSCKSVRTLGVQLGFGHDNEFHTMRRLLKYFPSVENLYIQSTKLDNVTIDLTEDRIIDLFEPIEDRKIMMVVYEAFKGSDHELCLASVLLQRLPSLQKMTIFYDREISDLVINKSHSTLQAAMLGATVELNFCACPESSWTLQEALNADHLASKP >OGLUM01G32060.1 pep chromosome:ALNU02000000:1:31737882:31743552:1 gene:OGLUM01G32060 transcript:OGLUM01G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGPVSDLSAHSAHESGEFALDSWLCSFTRCARAISSTISSSKFQFYNSPLPHIDTIPKPKSPIPRHSPLLRGCPRFHSLGSMTRTAIARPPPMDIEDGWRRLAAGFEKLLRILDGEEMLSFSGAEYSELLQITYKLCYESPAGHAAELYDRWDKTIRHHIVYQVLPSLQDMQGEPLLKNFVHHWENHKVLMKWLKSVCMYLRLAFTSQRSIPPIMDIALNLFKNVVFEELNKKMTQIIIEMVISSSCLFRFLTDCPRCIKGCYNGAGALIYQIEEDRAGKVMDCNLIKMTLSVYAELGRGGNGMDVYEGFEEVFLKNTAEHYRRKAQAWVSRGSCSKYLVKVIKSIQEEEERIQNYLKPWTEARLSKTVLLELVSRQAEWLLDDDKSGFRGILAAENDLLDDGKGNELNLMFRVFSRISGGLLSMAIAFQQHIRDILQQAVDAAHMEKGKEPSNSIVEVLQKYEAHVINNFDNHILFRKVVNLLPYLHSKDYLVELYRNRLLGRLSIGCNIEVETSFITKLKLVLDVSILEDMLEDYSISKELQKFFKDYMSMNPESNTLVDMDTMVLKQGHFPSQQKQHLSLPPDMLNCAEAFEKFYQEFHGQATGNRRGRTLTWIYSLGNCNIVGNFEGKSVEMIVSPMQAALLLLFNEDDRLSYNDIVAKLEIMDNDAKVMLYSLSCGKYSILKKEPSNKTIAPDDIFEFNNNFSVKTGKIKVPLHHVDRGDFRASETMEDVRRYRKQNGDCAIVRIMKDRKTLDHEKLVEECKKLCDPYFKVDDDLIQMRIDHLVAENYLARKEGCTYEYLP >OGLUM01G32060.2 pep chromosome:ALNU02000000:1:31737882:31743552:1 gene:OGLUM01G32060 transcript:OGLUM01G32060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGPVSDLSAHSAHESGEFALDSWLCSFTRCARAISSTISSSKFQFYNSPLPHIDTIPKPKSPIPRHSPLLRGCPRFHSLGSMTRTAIARPPPMDIEDGWRRLAAGFEKLLRILDGEEMLSFSGAEYSELLQITYKLCYESPAGHAAELYDRWDKTIRHHIVYQVLPSLQDMQGEPLLKNFVHHWENHKVLMKWLKSVCMYLRLAFTSQRSIPPIMDIALNLFKNVIEEDRAGKVMDCNLIKMTLSVYAELGRGGNGMDVYEGFEEVFLKNTAEHYRRKAQAWVSRGSCSKYLVKVIKSIQEEEERIQNYLKPWTEARLSKTVLLELVSRQAEWLLDDDKSGFRGILAAENDLLDDGKGNELNLMFRVFSRISGGLLSMAIAFQQHIRDILQQAVDAAHMEKGKEPSNSIVEVLQKYEAHVINNFDNHILFRKVVNLLPYLHSKDYLVELYRNRLLGRLSIGCNIEVETSFITKLKLVLDVSILEDMLEDYSISKELQKFFKDYMSMNPESNTLVDMDTMVLKQGHFPSQQKQHLSLPPDMLNCAEAFEKFYQEFHGQATGNRRGRTLTWIYSLGNCNIVGNFEGKSVEMIVSPMQAALLLLFNEDDRLSYNDIVAKLEIMDNDAKVMLYSLSCGKYSILKKEPSNKTIAPDDIFEFNNNFSVKTGKIKVPLHHVDRGDFRASETMEDVRRYRKQNGDCAIVRIMKDRKTLDHEKLVEECKKLCDPYFKVDDDLIQMRIDHLVAENYLARKEGCTYEYLP >OGLUM01G32070.1 pep chromosome:ALNU02000000:1:31745835:31748214:1 gene:OGLUM01G32070 transcript:OGLUM01G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAIRPNRSRLTWLRENKNTQTLTKRTLSQHRKKFPNPSPDQKLPHPHRSSLSPRASSSMDEPVAVVDVVHTIAMGLIDYLFPHPPVSNLCAATAAAAAPSSPDGADRISCLPDEILRNVISRLPLKAAASTTALSSRWSRLWYSVPLFFADTHLLPSDGRITHPISVTNAVSRVLAAHPGPFHRVDLSCSIMEAHLPELDRWLQLLAAKAVEELVFANRPWPINLRLPATLFSCTSLRRLYIGVWRFPNTAGLPQGSTAFPHLLELGLCCVLMEDRDLESIISRSPVLEILTVVLSQNVVRLRFVSHSLRCVQLGFSCVADLAAVDAPCLDRLLLSDIWATEGRKFSTRIKIGLAQGLRSLGYLEPGRHLLQIGTTTIKARTRATPSTMVPSVRILALKVYFGTLNEAQMLCSFLQCFPNVETLHLKSDKADELNNATGEHKAMFWKGAGEIECIKSHVKKMVFDEFQGKQSELAFIKFVMERAQVLQKIDIISTNASCTTLEKNKLVLKALDSVKPASKNCQVVYSTHALLEEGGSWSFQRASDLSRSDPFEF >OGLUM01G32080.1 pep chromosome:ALNU02000000:1:31769742:31773077:-1 gene:OGLUM01G32080 transcript:OGLUM01G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVDLLPPPFVPYSDDVNSDDDDSISGGGTEDEDESLDSRELKDLVLVNSVEDSHPEMPESLFRIRGLRRLYAGRWTFEDYSSLHLPSFGASLIEICFLSSDFTSNILGNLIVYCPRLEILSLFDVKLNCLKIESNSLQIMVIWNMMAKHIIIHDTANLERLLFDISKGSRQLCSITLLHTPCLEVLGVLDLSVHTLQIGEDLVEVAKAVSARSVMPSLKILAVAVQFWIDNETMMLPRILECFPCLETLHILFWMSVCSNECLKYNLKTITLDGYDGSDQQGAFLDYIFRNAKVLKTVGIVGKNGTNSNVVKDYLPPLVDKANPETDNSINGVSICAASDIWSTMGRGTTGCGYVLSRAHWCWMDLSINDEDFKKDFSCVVASKAAILATKISTVLGAHHGNLSTLPTHLLSCNDLLSINIGAVAFPDTRGHRSAYMLESLRELELTWVTIATSDINMVLRCCKALERLFVIGHEAGRSSDAATRDVLA >OGLUM01G32090.1 pep chromosome:ALNU02000000:1:31781346:31787210:1 gene:OGLUM01G32090 transcript:OGLUM01G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHVTSTYPGGNIPTRGSSASNSGMPTSQPAAPSHSYMESSNSHMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRSQHGPNSFSRDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSVEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRLISSNDARKDGGRTETSRVGSQNMPTNSLGQSPPYSPHIHSDEYDMPTDPHRSHSPDPQYSDDSSEKESNFGRPEDHQFNLPGERLSEAQLGGHNIKDTESKHATFDQENTDNYYSNYSSSHGTFTHGSSTIWDSQNDKAGPDSSAVVFDQYDSDVGEENLLDPFSSKHTEEPTVEDHKGFSSADWGQQHRNESPVNHSSSTLFSGTEANRKDVSSPHSYDNVPPTFDSDGVSSDEEMTTGMHARSLRSHSRGSDFSESRENIISGKVVHDVNENIEDHVSMSRKNYLNSSGSNAFNKERYSESSPRSDYSWVDGELDRTKIRDLEEERMPHKLEGASSLTTGENKNQLFSLGRQTSTSSADYDEGDPGLHFGRLTPGLRNKLRQPPPYIKDSGNTSLHKVPTAIEKSVHSEEDTSFGNTRNTSTGMARSSFGADYSSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTLMTSDTKKETGVRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >OGLUM01G32090.2 pep chromosome:ALNU02000000:1:31781458:31787210:1 gene:OGLUM01G32090 transcript:OGLUM01G32090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALARIKLLRNRKEVQVRQMRREVAQLLEADQDQTARIRVEHVIREEKFMQAYDLIEVYCELMVARMSIIDSQKTCPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVSRLVIEKLSAGAPDTQTKIKTLTSIAEEHNIKWEPKAFEEKLPKQNDDLLHVTSTYPGGNIPTRGSSASNSGMPTSQPAAPSHSYMESSNSHMSTAHSYSSAEVPDNRAPYVTANSNAFPQENRRGSDAPMSPRSQHGPNSFSRDTAGGSNVSRPYSQYGATVPDTDTASRREEFNHSRERKSSVSGSNWNIEFKDATSAAQAAAESAEMASIAARAAAQLASRGNLSVEQNTGAFESPAYTHETTPRKQQAEHLMKDGQRSSNEQSSGAYDPRLISSNDARKDGGRTETSRVGSQNMPTNSLGQSPPYSPHIHSDEYDMPTDPHRSHSPDPQYSDDSSEKESNFGRPEDHQFNLPGERLSEAQLGGHNIKDTESKHATFDQENTDNYYSNYSSSHGTFTHGSSTIWDSQNDKAGPDSSAVVFDQYDSDVGEENLLDPFSSKHTEEPTVEDHKGFSSADWGQQHRNESPVNHSSSTLFSGTEANRKDVSSPHSYDNVPPTFDSDGVSSDEEMTTGMHARSLRSHSRGSDFSESRENIISGKVVHDVNENIEDHVSMSRKNYLNSSGSNAFNKERYSESSPRSDYSWVDGELDRTKIRDLEEERMPHKLEGASSLTTGENKNQLFSLGRQTSTSSADYDEGDPGLHFGRLTPGLRNKLRQPPPYIKDSGNTSLHKVPTAIEKSVHSEEDTSFGNTRNTSTGMARSSFGADYSSELHDQNQSVGASVEARSTMRRNYYGSEGDRGKLSEQSYNAPSSVMNKSSGGVNSSQDLYHEKPGAGARRGTTTKTAKSYFDSDDSEDELAQRKEVQTKWSREQIQSRRTLMTSDTKKETGVRVGVQHADETEAKSPAGSFSRFTEQRRDSPVYSRVPVPRTSPKPVRVEPPLGKGKSQEADLNTVSVTGKEENTESPAETPKESTSKTAPSHVHPKLPTDYDSFAAHFMSLRTNRR >OGLUM01G32100.1 pep chromosome:ALNU02000000:1:31788707:31791505:1 gene:OGLUM01G32100 transcript:OGLUM01G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPDSLVWELVKKNNSFLVKQFGNGNAKVQFSKEPNNLYNVHSYKHSGLANKKTVTVQPASGKETAVVLSTTKTKKQNKPASLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQAN >OGLUM01G32110.1 pep chromosome:ALNU02000000:1:31792136:31792435:1 gene:OGLUM01G32110 transcript:OGLUM01G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEKATGDGQPKPPSRSARFDRLLSGLGGGPLVDIEPDKVKGELRRWARAVATMVRQLSFGAWPEKGGGSSEQQEAGDGRSPG >OGLUM01G32120.1 pep chromosome:ALNU02000000:1:31793069:31797006:-1 gene:OGLUM01G32120 transcript:OGLUM01G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFFFVISWAVFFLQFWKRKNSAVLARWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >OGLUM01G32120.2 pep chromosome:ALNU02000000:1:31793069:31797006:-1 gene:OGLUM01G32120 transcript:OGLUM01G32120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGAGEGQGAAVDEATGFEVGIVVPKLYRGAAAGCGEVENCVARLVRELEDVGLIVERVRGVPAEFIKLSAPMGTLGRVAAEMNMKKLTYIGMELQFEWDQVGAFVRQPDGSLFSWRERFACFRHLIYSIVNKTDSDITLSFDDKEFHWTQNESLLTRLEDEGIVKLVFPLHDEIKRKQLLRSWALKWFDFTWQPIDEIYSYFGTKIAIYFSFLGMYTRWLFFPAVFGLATQLIDFGWGINYSFSEYKTMGNELDPLSFSMADDNVQQRKFGAPKEKSIVQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYAITKTEALRYVLTAVYLAAIQYYTRIGGKVSVTLIKYENNQGEQSSADSLVYKVFGLYFMQSYIGLFYHASLHRNIMALRQVLIKRLIVLENLIENSIPYLNYSYKKYRAVHKKKHEKESPAGKSVRLSTRVEKEYLKPSYTASIGEELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPAPRDAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGKWKIEPGLAAILIMEHALFLIKFGFSHFVPEEPAWVKANRGRYVAQAQNVCSKQLLRSIAKLDAKLE >OGLUM01G32130.1 pep chromosome:ALNU02000000:1:31797845:31798976:-1 gene:OGLUM01G32130 transcript:OGLUM01G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQPGHYQQRRMVASSKAIKVGPWGGTAGSPWDDGAHRGVRSIALTYGRFLESMRVEYDRNGRPVHGEKHGGGGDGRTSRTAEVKLDYPYEFLTGVGGRCGPVAHGGSTVVRSLTFRTSTGAVHGPFGDASGDGVPFEYPMEGGVVVGFSGRSGWWHLDAVGLHVAALRPEMLCDVVQERGAMAYRSFVYGNGSSSSGAHQLQQKRKPFEWCYK >OGLUM01G32140.1 pep chromosome:ALNU02000000:1:31801545:31801736:1 gene:OGLUM01G32140 transcript:OGLUM01G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMFRAAAVVVAAAVSCLAGVALAADGPAPSPTSGAAAVSSSVVAAVLCPAVALLFANLRY >OGLUM01G32150.1 pep chromosome:ALNU02000000:1:31802558:31809351:-1 gene:OGLUM01G32150 transcript:OGLUM01G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGGVDVLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRLELQLKASAGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHTGGRPLLPPTINSAALHAHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >OGLUM01G32150.2 pep chromosome:ALNU02000000:1:31802558:31809351:-1 gene:OGLUM01G32150 transcript:OGLUM01G32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARARASAMGAAPPPHLRSHDLVVVVAVAAAALCLACRGASAGGGGGGVDVLDRARRPEFAAWMAGVRWAIHERPELAFEEIETSRLVRAELDAMGVAYRHPVAGTGVVATVGTGRPPFVALRADMDALPMQEEVQWEHKSKVAMKMHACGHDAHTTMLLGAARILQERRLELQGTVVLLFQPGEEVGTGARRMVEAGAVDNVEAIFGFHVSVELPTGVVGSRPGPLLAGCGFFEAVITGKGGHAAHPHASVDPILAASTVVLALQGLVSREADPLEAQVVTVTRFLAGDALNVIPESITIGGTFRVFSNEGFLRLKRRIEEVIVAQSAVHRCAAAVDFHTGGRPLLPPTINSAALHAHFQAVAAETLGASAAVLGAMEPCMGSEDFAVFSEAVPASHFYFVGVRNEAEGLVHLAHSPHFRVDDAALPYGAALHASLAMRYLDERRREGGSHPHEEL >OGLUM01G32160.1 pep chromosome:ALNU02000000:1:31819009:31819607:1 gene:OGLUM01G32160 transcript:OGLUM01G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRWSGQASVQMVTSTEWPGPRRREGRHQADASRLWQGMVEGCSRIARARGT >OGLUM01G32170.1 pep chromosome:ALNU02000000:1:31823111:31827371:1 gene:OGLUM01G32170 transcript:OGLUM01G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALCGAGIEVAPAGAARAAEDDVSGMGMEVGAARAA >OGLUM01G32170.2 pep chromosome:ALNU02000000:1:31823111:31826666:1 gene:OGLUM01G32170 transcript:OGLUM01G32170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCEVSASLFRKCTTASALDGEKKKQKLSEIQSGVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNLKSELKRISAPNARQATREELLESGLADTLAASTDQRGRLMMTTERLNQSNDKIKESRRTILETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNVGKSKKILAAMSKRMDRNKWIIGGIIAALVLAILLILYFKLAY >OGLUM01G32180.1 pep chromosome:ALNU02000000:1:31837834:31839018:-1 gene:OGLUM01G32180 transcript:OGLUM01G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFGWAGGGQLMHHDDIYLPRSVGCGRPFELDDAFLGACFGAQLQCDGGVGGGDGGGCLQGTSGFGAVAGDPLGLLCSGDVFASVAEGAGGAHDDGLLDAALAFSRNQLGGAACDGSDGGAVSNGAMLSSYSGTTGGNISSGESNNYSGGGGGGYDAEVVSPTSTMSAATQSLHPKRKLYDDHHHPAGIAAAAAAPPLAPCPRPTTGAVAAKRRASTSATSITFGHQPHHHHAGATTAGYEPDMEAMAQVKEMIYRAAAMRPVHLGTEAAADKPRRKNVRISSDPQTVAARLRRERVSDRLRVLQKLVPGGNKMDTASMLDEAASYLKFLKSQVQKLETLGTTTTTSKLPQQYYSGNINNSNNHHGFLGFAANNSTISAGYANSNAGNATKLL >OGLUM01G32190.1 pep chromosome:ALNU02000000:1:31860127:31866299:1 gene:OGLUM01G32190 transcript:OGLUM01G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEEALRRGVLKHGPGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKLKVPRIKGPSSSTSPSSQTPLLVLPPNKVAEASPSADPEKSSQDVKIPNSMVIEALCEIGDPNGSDIDAICHYIEQRHEVQANFRRLLTAKLRRLIAAKKIEKIDRSYRITESYAAKVSQANKSPSPKKDPAKPLKASQNLGSFAGTSPALEAAAAAAMKVADAEAKSHLANEHMTEAERIFKLAEETESLVTLATEIYERCSRGEILTIMQVAQSNFEFQSVSGNGSGTGSTVLA >OGLUM01G32200.1 pep chromosome:ALNU02000000:1:31866657:31874557:1 gene:OGLUM01G32200 transcript:OGLUM01G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >OGLUM01G32200.2 pep chromosome:ALNU02000000:1:31866657:31874557:1 gene:OGLUM01G32200 transcript:OGLUM01G32200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >OGLUM01G32200.3 pep chromosome:ALNU02000000:1:31866868:31869529:1 gene:OGLUM01G32200 transcript:OGLUM01G32200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G57020) UniProtKB/Swiss-Prot;Acc:Q9LTR9] MAAPNNNDAAAGASASATTSEPAPEDTSIEALARRVQEHMTLASNPTARRHKFWETQPVGQFRDAADSSLPDGAIEPPTPLSEVRADPYPLPAAFEWYTCDLDDDALLTDLYALLAHNYVEDDENMFRFNYSPAFLRWALRPPSFFRAWHIGVRARESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPEAPLTPGFRQMELRDVAAVTRLLRAYLAKFVVAPDFDEMDVEHWLLPREDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNANYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLRNDDSGEAVML >OGLUM01G32210.1 pep chromosome:ALNU02000000:1:31878361:31883654:1 gene:OGLUM01G32210 transcript:OGLUM01G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIASAPPRAVGHGAGPSGLAPPALQNDRQSGVDEGRTSGWSSMDRRRAPPPIASVGTLAKQKAPVGNDASFSKEPVISASNFLGRSSGSSRRPAVSSSRDVMPTDTSEPSRTRATDASPGAFRRTSGPQKSSPVNSAEPKHSSSARHSSNVKNYESALKGIEGLNFDGDERVQY >OGLUM01G32220.1 pep chromosome:ALNU02000000:1:31884659:31886772:-1 gene:OGLUM01G32220 transcript:OGLUM01G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDW5] MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >OGLUM01G32220.2 pep chromosome:ALNU02000000:1:31884659:31886772:-1 gene:OGLUM01G32220 transcript:OGLUM01G32220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YDW5] MGDNSAAAAAVAAPRGRFGRICVFCGSNAGNRAVFGDAALQLGQELVSRGIELVYGGGSVGLMGLIAQTVLDGGCGVLGLEISALPCSSSPIAQVIPKALMPTEISGASVGEVKIVSDMHERKAEMARQSDAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLALFDKGAAEGFIKADCRQIIVSAPTAHELLRKMEQYTRSHQEVAPRTSWEMSELGYGKTPEES >OGLUM01G32230.1 pep chromosome:ALNU02000000:1:31889480:31894155:1 gene:OGLUM01G32230 transcript:OGLUM01G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G58030) TAIR;Acc:AT5G58030] MAKGLRGQQPSTNTSPTRRLSSLSKGKKKGEELDYSAPPGHSPIHATRSASRRLDRGRRPPLRRRVGKMIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >OGLUM01G32230.2 pep chromosome:ALNU02000000:1:31889480:31894155:1 gene:OGLUM01G32230 transcript:OGLUM01G32230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component [Source:Projected from Arabidopsis thaliana (AT5G58030) TAIR;Acc:AT5G58030] MAKGLRGQQPSTNTSPTRRLSSLSKGKKKGEELDYSAPPGHSPIHATRSASRRLDRGRRPPLRRRVGKMIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLQREARFG >OGLUM01G32240.1 pep chromosome:ALNU02000000:1:31895204:31897320:-1 gene:OGLUM01G32240 transcript:OGLUM01G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIRTLVGLRPAAEREKERGGGGGKGRKWSRLWRSSSSQRGGGNASASEVYSETSSSADALSSVVAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMQALVRVQARARDRRARISADGLDSQDMLDERGGRVDPVKEAEAGWCDSQGTADDVRSKIHMRHEGAIKRERALTYAQSHQRCSNHGGRPSSPAVSLKHHGNGATRSNHSWSYLEGWMATKPWESRLMEQTHTENSTNSRCSESVEEVSVGGPKLSDASSVKIRRNNVTTRVAAKPPSMISATSSDFVCDESSPSTSSVTPLSANNSLATERRSDCGQVGGPSYMSLTKSAKARLSGYGSHKPPLQRQRSGDLLHHNNRMAFSSIDVQSTAGSEVSVTSKRLNSLALKGRATRSLDKENERRPSSLL >OGLUM01G32250.1 pep chromosome:ALNU02000000:1:31899079:31901510:1 gene:OGLUM01G32250 transcript:OGLUM01G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPPRQLVSHVTCQESYDLRYVSDGARRTSGDDRGGGPNTEHGDVREAFDDSSGPRERIRRWRRPLRLDSTVVAVLRLDSVVAWEE >OGLUM01G32260.1 pep chromosome:ALNU02000000:1:31905096:31909797:1 gene:OGLUM01G32260 transcript:OGLUM01G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSQGSAAVAAAGVDLCVLDLVPVFAKEMIAGGVAGAFSKTAIAPLERLKILLQTRTNEFSSLGVLKSLKKLKQHDGILGFYKGNGASVLRIVPYAALHYMAYERYRCWILNNCPSLGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQISSGLKRTNFQPKYGGIKDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKAHVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQSQQYHDKFGGPQIRGTFQGLMIIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKSLLKIPPREKKMSGHGSN >OGLUM01G32280.1 pep chromosome:ALNU02000000:1:31922361:31922654:-1 gene:OGLUM01G32280 transcript:OGLUM01G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAASVALACAVVAAAMVLPEAEAGQNCICECVKLCMRTRIPSIEAQCSGKCRETACVRSCEEACARKGFPALPGEGIGACELEPLTPDEAHMLH >OGLUM01G32290.1 pep chromosome:ALNU02000000:1:31925911:31937081:1 gene:OGLUM01G32290 transcript:OGLUM01G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G72880) TAIR;Acc:AT1G72880] MAASSGDDAPRPNPLPSALVSNLQSVLAARRPPPPAAEEAGAEAPAPEAAESSGAAPVADEGPAKPAVLLTCAGGIRAPGLAALVDALVAGGRCDVHVCAPESDKPACGYSITIRETITATSVDFKGAKAFEISGTPVDCVSLALSGRLFSWSAPALVISGINAGANCGYEMFHSSAIAAAREALLYDVPSIAISLNWKKDESKDSDFKDAAEVCLPLIHAALEGVEKGTFLRGCLLNIGVPSSPTTNKNAYCLSRPGTIYLIGLPTNAKISISLIYQGFKLTKQSIYRPAQSWEGVSTSRPTPATHFMGMHQSLGIQLAQLGKDASAAGAARRINAQRKIVEVESVASTGKAEAREVVKKLFRAEFTEKQHECLDEDIDLRALENGFISVTPLNIHGNVAPETGAPASDWLSVAVGLDKAKEDSVVTAEEQDAPAVAEEKEAPSAT >OGLUM01G32300.1 pep chromosome:ALNU02000000:1:31929695:31938962:-1 gene:OGLUM01G32300 transcript:OGLUM01G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVEPGTIGRGVYQLVAQASTVLRQPVDKLK >OGLUM01G32300.2 pep chromosome:ALNU02000000:1:31930515:31938962:-1 gene:OGLUM01G32300 transcript:OGLUM01G32300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGGGRAGGAWIGGCVLALAATLVVCVLVIRGAGGLKQYHAPAFARKILLSITSGHPQDNLNIVLHPSQLQTPRLQSLGLNVKPPAATSRRVNIQQELYAPSPTISHRGLAIPPLPTTSPPVFPPPIRSYPPLPANKPYPNRPAVSPASIIHPTNHGKAHGVPIAAHSKERHHHSMLVNNTHGNTHAGPVVAPPKGRHHHSLPVNNTRVKGPAYSPSNSPSIHRKHGIPVAAPPKQHSSNLPPSHHRPHKGSFPVISPTPHKADNASATKHGRSGLHHSPAPAPVGLPPSEGNARGNPAYAPRHPHEYHSPSNSPEPGLPPVNPPDSHAFKKPKSLAPAPQSFPPPPPNCMALNCQDPLTNSLPGTTCLCVWPIKVELRLGIALYTFFALVSELAQDIASGVLMKQSQVRVMGANAATEDPEKTVVLIDLVPLGEKFDKATALLVFERFWHKQVNINSMHFGNYDVLYVTYQGLPPSPPTAPGMNNGLSNVNDPRLHPLAVDVGNHRETKSRGIIVIIVLSSVFAFILCSGAALVICFKIRNRNHLTEESPMPPKPAGPGSAVVGSRLGSRPISASPSFSSSIVTYKGTAKTFSLIEMERATQRFDNSRIIGEGGFGRVYEGILEDGERVAVKILKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEEHIRCLVYELVPNGSVESHLHGSDKGTAPLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAIGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDILRPPGQENLVAWACPFLTSRDGLETIIDPSLGNSILFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCDEGSEFNESRSFSQDLHIQDSGIISRASLDVDVEPVVSAELFNASAHYDTLDASGSFRRYSSSGPLRVGRTGHNRERGLSTGSSSEHCGTQRFRIDSE >OGLUM01G32310.1 pep chromosome:ALNU02000000:1:31948113:31969302:1 gene:OGLUM01G32310 transcript:OGLUM01G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSALAFGHKRGGISEREPRARVQSKRSSYDRESVTARGGSEAGAGDGGGGGRQRAAKGALEMKEKGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNLVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRQAQLAQMESRPDLVPPDSRPDLILRGHKDIAEFALAMCPAEPYVLSGGKDKSVVWWSIQDHISALGDSSKTESSPGASGSKGKTANDKDSPKVDPRGIFLGHDSTVEDVQFCPSRSFVVWAMILVLFFGMPGVVEKAHGGDVHCVDWNLHDVNYILTGSADNSVRMWDRRNLGSGGAGIPVHKFEGHKAAVLCWSPDKASVFGSSAEDGFLNVWDHEKVGNKKNPNAPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEDEVLAELENFKTHLASCAPRS >OGLUM01G32320.1 pep chromosome:ALNU02000000:1:31970486:31971289:1 gene:OGLUM01G32320 transcript:OGLUM01G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAADATAWPPGWSLVRGYFSPATLFLLLNVVIGTIALTSRASHRRRQHHHDEHYKAQHHHDHHDEPQRCHDQYAPPPPAPLERTSSVMERLRSFGLYRFRSGDFPPEYNLSAAGANAICDESEKQQAQYTRSRSEPAARPAPPPVEKRGKAENAAAAGAARAKVAVKKSSSSEVRKLERAPAQAQRQVLQVQRAQPPPPRAPAPAPARAVKSAAREEVETAQVGLATASSVDARADDFINKFREQLQLQRLNSLLNYNEMLNRGT >OGLUM01G32330.1 pep chromosome:ALNU02000000:1:31975575:31977086:-1 gene:OGLUM01G32330 transcript:OGLUM01G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISGLAAAHRLCGAGGDRFEVAVVEAGDRVGGRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGALGEEEGRGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMMEAARAGEAGGGGGVEEYLRRGLRAYQAARSAGGGGKELEEVDEALLAMHINRERTDTSADDLGDLDLTAEGEYRDFPGEHVTIPGGYSRVVERLAAALPPGTVRLGLRLRRLKWGGTPVRLHFADGAPPLTADHVILTVSLGVLKASLGNKDTAGVGAAAIAFDPPLPPFKREAVARLGFGVVNKLFMEVEAVAPSEPEDVAGVQPAAAGFPFLHMAFRGHVSKIPWWMRGTESICPVHAGSTVALAWFAGREAAHLESLPDDDVIRGAHATLDSFLPAAPRWRVRRIKRSGWATDPLFLGSYSYVAVGSSGDDLDRMAEPLPRGPDAAADERPPSPRLLFAGEATHRTHYSTTHAAYLSGVREANRLLQHYRG >OGLUM01G32340.1 pep chromosome:ALNU02000000:1:31980722:31988921:-1 gene:OGLUM01G32340 transcript:OGLUM01G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNAYARAGRRIEIAGWRGGTAAPWLAGCRRGQRQEQVRVHAVHRDRWIWKADTDAAIRVELAAADDSGFAVGYLERWGGLIGAGHDYYERAATVGPTRPAFQVFAVTDSINI >OGLUM01G32350.1 pep chromosome:ALNU02000000:1:32022413:32024827:-1 gene:OGLUM01G32350 transcript:OGLUM01G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAASSDVLDRRCHDVVPRVLPHYHAWMLRYSKVFVSWTGPFPALCVGDYAMVKEILADRTGLYAKPDPGVSILALFGNGLAFINGDDWARHRRIVHSVFAMDKLKMMTKTMAEYAHVRDPGMGGSCHGGCGRWRADGADRSDPHPHTTPHPSRHSEKRRAEERKQSAGKKQGISRRPEMKSGKPTEVRILGGLRYAPPDGLVVNNALLAALIRAVYTLYMATTVLLYIFGIVTALKEYKLLVALSIIVVMQPFFILMLIATPFLRTVAIVKYAMGLPDDSNNVNRIPTGRMSALA >OGLUM01G32360.1 pep chromosome:ALNU02000000:1:32036345:32037783:-1 gene:OGLUM01G32360 transcript:OGLUM01G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIQAGFRTDKIRTTQPTPQDEMRAGMSYFHETIWKGVPKFLRQLDTALKNIGIDEHVPYDDKQLERLIHLTILRTAQDCLILYYELRRETCYLMRMKKPSRILEIVVSTDLWPKLWKHC >OGLUM01G32370.1 pep chromosome:ALNU02000000:1:32038282:32045911:1 gene:OGLUM01G32370 transcript:OGLUM01G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGRRRWRRGRALQEVGGAAKDEGDRERRRRVSFRLRTLGGHYYNPILTSVMIFGGLQLKQLLPGNLTILLR >OGLUM01G32380.1 pep chromosome:ALNU02000000:1:32048460:32050959:-1 gene:OGLUM01G32380 transcript:OGLUM01G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42690) TAIR;Acc:AT2G42690] MDKSQGVLLSSNVGAGSRPWPELLGSAHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSRYCGSCRFSRATLLDRTQFPAAGDLSVAAYLYATSDATAFPGSMVYSMSREAWSKESNWIGYVAVSNDAAAAASGQRVIYVAWRGTIRSLEWVDVLKPDLVDHDDILPEGHPGRGRSRVMKGWYLIYSSTDERSPFSKYSARDQMLAAVRELVARYRNESLSVVCTGHSLGASLATLCAFDIVVNGVSKVGDGAHIPVTAVVFGSPQIGNPEFKKQFEEQPNLRALHVRNMPDLIPLYPSGLLGYANVGKTLQVDSKKSPYVKRDTSPGDYHNLQGILHTVAGWDGKDGEFKLQVKRSVALVNKSSGFLKDSNLVPESWWVERNKGMVLGQNGEWQLEGPAEENLPVPPVVTGKIIDDDVAAVATSSSAKEGKKTGKGSKLLSGLIDQLLCLIHVKPELRELLKTTSEKDSRAVASN >OGLUM01G32390.1 pep chromosome:ALNU02000000:1:32052482:32058211:1 gene:OGLUM01G32390 transcript:OGLUM01G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICGTHASLLLLLLTPSGPDPIAPPLAAGICLRRGPAPPPPPDRDLASSTISNMVVANGNVDTEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >OGLUM01G32390.2 pep chromosome:ALNU02000000:1:32052482:32058211:1 gene:OGLUM01G32390 transcript:OGLUM01G32390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTGDETTTQKQKAANLTGNPDRLIRWGWGDETCAISPLLSSARICEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRVFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKCLEKSDNILESSEDENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDASH >OGLUM01G32400.1 pep chromosome:ALNU02000000:1:32060492:32064789:1 gene:OGLUM01G32400 transcript:OGLUM01G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSVVRSKRRLALPYLHRLLHSGPATPSPNRFLRHASPVPRDPDHSPFLRLPDARVSTLPTGLRVVTQAYPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTTRRPTANALEVEIENMGARLNAYTSREQTTYFADVQGRDVPIALDVLSDILQYPCFPANALQRERGVILREMEEVQGMMDEVIFDHLHAAAFQGHPLGDTILGPVENIKSISKKDLEQYITTHYTCPRMVVSAAGAVNHDEVVDQVREFFTGFSTDPTTVDQLVEANPAIFTGSEVRVEQPEMPLTHFAIAFKGSSWANPSSIPLMVIQSILGTWNRSVGVGNCSGSALARGISNGNLAESMIAFNTNYRDTGLFGICTIAQPDSLYDLSQLIMQEFRRLAFEVSETEVARARNQLKSALLLHIDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDRDTVMETAKDFIIDKDIALAAVGPLTNLPELSWFRSHTYSDDEFSSRTFLQDAQNN >OGLUM01G32410.1 pep chromosome:ALNU02000000:1:32066760:32070533:1 gene:OGLUM01G32410 transcript:OGLUM01G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREETVRFDGCCVEFDVCTLMRGAAEMLGKGTTATTYRVAMGGDDVIVDDAGVVEEGKAGEVVVMKRMRRREGATREDERRKRELAREMGTWRHTNVVSLCAFYASADELLLVFDYVPNGSLHSLLHENRGPARVPLEWQTRLKLAQDAAQGLAYLHGVSGGKLAHRHLTSSNILVDAGGNTRVSDFALLQLLVPAPAADEAAQKQDVHAFGVVLLEILTGRSPEDGNVDLALWARTVVREEWTSEVFDVELLPSRGGAEDEMVALLHVALLCVADDPGERPRMAVVAKMIEDIRDRGSKRSRYSASPSQVGHSYESSPSISEDTTRSTNASSS >OGLUM01G32420.1 pep chromosome:ALNU02000000:1:32073534:32086158:1 gene:OGLUM01G32420 transcript:OGLUM01G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRALLRRLLAAAASTTSPAPSRGISTLAKAPGAGSRPRAPRPAPHQYTTGRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFNTLDALIDATVPAAIRAPTMHFSGKFDAGFTESQMIDHMQRLAAMNKAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAHGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLASEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPSFANMHPFAPTEQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >OGLUM01G32430.1 pep chromosome:ALNU02000000:1:32074573:32077077:-1 gene:OGLUM01G32430 transcript:OGLUM01G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSPPDRSPLHRVVAVLCPFVASLPRPTSRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDVAVTADTIHVMSCLMLNHEGFSKSWKLSNSTRQSSCRNGEKYGEAAVKLLAATLRVTYQWVLADKRMHINNNWWRQKRRLVKSASCNYVTGNLFAKHSIYCSAIASQVTFMLSNMYCSFIYAHINVNFILQK >OGLUM01G32440.1 pep chromosome:ALNU02000000:1:32077126:32078615:-1 gene:OGLUM01G32440 transcript:OGLUM01G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHQTSFRLLSRSHRSSSTRSPEDHASPPRSLLLLPAAAAPPRHAVAAAGSFFFPTSGSCFFPIPTDTADLFAHASSSRRHSAASSPELCRSSAGLSLGAAAGAGLGPSSNLNRDLPRSIQSSGLQRSTLDCQMARSRRSAAKATTLRSCGSGCSATEALQP >OGLUM01G32450.1 pep chromosome:ALNU02000000:1:32078874:32079254:-1 gene:OGLUM01G32450 transcript:OGLUM01G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEASRCRYIRPRHRIGHVARGDRGNMRGGAVSGGDSRERESCGHDARSAGAAGALSLSPQKICARREIGSAPARTHFLRRPFHRGSGTPPHLGVAAANARYDGTSRQNSAALATATADGGRDLA >OGLUM01G32460.1 pep chromosome:ALNU02000000:1:32086873:32087738:1 gene:OGLUM01G32460 transcript:OGLUM01G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRLYQTELVESFRFTAASASPRRRDAAVARCADDGENDAAVERVGDDEKVLKRRGTVLPVGRYCSGGDAARVRGATAPAPAPTQDAASSKNGALLSGGDDDTPVSQNGSVVTGVDKPATAAASTPLVTIPKLLAPDSPVILPSVDRPQPEFVIPDAAYLGAPAPPLSSPHRWPRVASTGAQQWQGCHVAWLSPVAPLSSSLSAAVALLLLVGGRWARSSCGVYAIGFF >OGLUM01G32470.1 pep chromosome:ALNU02000000:1:32088992:32095942:1 gene:OGLUM01G32470 transcript:OGLUM01G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/Swiss-Prot;Acc:Q7FRS8] MDSSRSSNSLDSRSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELAVLADESRCFSVNEVEALYELYKKISCSIVDDGLIHKEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLKDTTAAFPSFVFNTQVED >OGLUM01G32470.2 pep chromosome:ALNU02000000:1:32088992:32091979:1 gene:OGLUM01G32470 transcript:OGLUM01G32470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 10 [Source:Projected from Arabidopsis thaliana (AT4G33000) UniProtKB/Swiss-Prot;Acc:Q7FRS8] MDSSRSSNSLDSRSSLTLGELACAALIPVLALVDAVVFAAAQCFQKRPPGLLPATLAARARRRAGGRLTFRELAVLADESRCCEDFTVENEELQLALFRTPAGKNLFLDRVFDLFDEKKNSVIEFEEFIHAISVFHPNAPLEDKIDFSFRLYDLRQTGFIEREEVKQMVVATLLESEVQLSDDLVEAILDKTFEDADTDKDNRISKEEWKAFVLKHPSVIKKMTLPTLK >OGLUM01G32480.1 pep chromosome:ALNU02000000:1:32100550:32101029:1 gene:OGLUM01G32480 transcript:OGLUM01G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPISFSYSYACNVSRVVRLPIRVFRPDDQITATATRDLDDAAAAAPTIISPAIDVTLHVNNRRGTARCYRGGEAAAVSYEGFTVASGTVPGFCVPGKRAREMPFLASADGVGLPQRLRDRMAVERRIGAMQLEVEVKLFGRDDGGTAPRHVVRAEDG >OGLUM01G32490.1 pep chromosome:ALNU02000000:1:32102754:32105210:-1 gene:OGLUM01G32490 transcript:OGLUM01G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIELSSEEKASLVETLKNKLQALAEQHVDVLESLAPVVRKRVDVLIEIQSQHDELEAKFLEEKSALEAKYHKLYGPLYSKRSEIVSGVLEVEGETEEREEKGVPDFWLKAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFDTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGNCLTQEVLTKESSESTKPITKTEEYESFFNFFSPPQVPEDDAKIDENTAEELQNQMERDYNIASTLRDKIIPHAVSWFTGEAVQDEDYGASWVDDEEDDGDEYSDEEA >OGLUM01G32500.1 pep chromosome:ALNU02000000:1:32105913:32106527:1 gene:OGLUM01G32500 transcript:OGLUM01G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEDPLLRLMNKVSFLFWMTLLPVFLLGIFVGALLSKECEKAKYTMDLAAVEGMDVAAVSTVVSPAFNLKLRAENPRAFRPWCLDRGDVVVSYSGVALAWGRVPGFCVRRRAMAELTVVPWGKDVRLSEDLRDLLVSELQHGTAKVSVEMKLHYYANFGMAAFAPSSGTTSISQELLLDSWEDNMNSSLLKTKAGLPGRQDE >OGLUM01G32510.1 pep chromosome:ALNU02000000:1:32109500:32109946:-1 gene:OGLUM01G32510 transcript:OGLUM01G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITYKASQAIDTAVIFNKPISSSVELVGVRGLEPSLAPGAAASPAFDLLLRLDNGDACGDQYREGGSVKVSYAGVPLAHGSTPGFRLGARSSATVAVNATSDGVGVPEELFRLMSAERRLGVAQLDIALQLGWPGWESYYWIVDLDG >OGLUM01G32520.1 pep chromosome:ALNU02000000:1:32112300:32112818:-1 gene:OGLUM01G32520 transcript:OGLUM01G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRVVRLPVRVLRPVTAIHGLDAAPAGASAAGTTIISPAIDVTLNVNNRRGTARCYRGGEAVVSYEGFTVASGTVPGFCVPGKRAREVPFLASADGVGLPQQLRGRMALERRIGAMQLEVEVKLFGRDGGTAPRPTWMSCGLRMDEAQLPKTAHCSVLALQNWFSQPLFG >OGLUM01G32530.1 pep chromosome:ALNU02000000:1:32115566:32116192:-1 gene:OGLUM01G32530 transcript:OGLUM01G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPPPIQGDGVAKKSRAAKASRREMLKVLYVLLALASTPFLYYIFFDLPPKFSLQINSAEGLDAAAAAAQPLSTIVNMTLHASNRRAPGRCYRHGEAAVCYAGFTVAASRTRVFCVGARDALDVPVVAWADGVTLPNEVHDRMAAEQRAGFVELEVDVKLFDRESEMPTWMWCKVTTQGEEPSDVTPCRVFATQNWVSDIAPRWMQ >OGLUM01G32540.1 pep chromosome:ALNU02000000:1:32116586:32117158:1 gene:OGLUM01G32540 transcript:OGLUM01G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTALYGSKEVDPLLVLRTKVMILFYGTVTIVLVLGIQKVKYTLDLAAVEGMDVAAAAATAGAGGSTVISPAFNLTLRVENPRTFWPWCLDRGDVVVSYSGVALAWGRVPGFCVQKRSMAKLTVVPWGKNVHLSQELRERFVSELQEGTAKVYIEMKLHYYANFCMTAFSPSTGMVGISQELTLGGRDE >OGLUM01G32550.1 pep chromosome:ALNU02000000:1:32118330:32118575:-1 gene:OGLUM01G32550 transcript:OGLUM01G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGAVEDHAAVEENLRCRRRQDPLRVAGVRVGDHLQAHLPAASSTKPAPKPHPGQPPHPTPMLTPSYGRPSPYHSPARN >OGLUM01G32560.1 pep chromosome:ALNU02000000:1:32125896:32126234:-1 gene:OGLUM01G32560 transcript:OGLUM01G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGAKEPEPGIGGREGGPGGGFGGSPAEQRAEEEAERGSSADVVPGSRETGGRRRRRRRSRGRLGHAEAARGGALVGDSGGALPRRRKEEAGWSGEARWEVTPARRRGW >OGLUM01G32570.1 pep chromosome:ALNU02000000:1:32126657:32127769:1 gene:OGLUM01G32570 transcript:OGLUM01G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCPPEQTHAAAPPALPHRQINPPHPPVAAAATVIPEDYVGAATSIPDDVFFSHIFVNLPVTSLARFRAVCCSWHAAVDDPALVRCHLERSRARQPPTSSLLAVASTEDIWDDEALSNSEVVSFHQLRLTLRAAARPGARAVAAPPLDITAETDPMLHKSIPNGGRITRHIIPTHFDGLVAFATNGRAMFVCNPATQELVVLSPGSGSGPCPRSTESMAAVGFDLWRNRYIVVRCFYRESHNDPPVYDIGHEMFTLGAGSGDGWQRMQDPSRAISPGGRRPAACTRGGSFYWFIKESKLCALLWFSLRDEAFDAVPSSPGYTACDNDDHLADLAGELCYVHRVRTSVATHEVWMAAAVDDDDQEWWLRY >OGLUM01G32580.1 pep chromosome:ALNU02000000:1:32127882:32134714:1 gene:OGLUM01G32580 transcript:OGLUM01G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKELRWHMERSKPVVKDVKRLKSSALNGNSSGHSTFFKIYKQRAVIDISRPHTRSQGEKIAINGLWNTTCSTGETPCLGRAEATNERDGAPPEAMRASWSTKAAPRPRKTRRGRAACGATGAPRARGAEARARGVLRRGLLRARSSWGRGASVAMEVGGGRGG >OGLUM01G32590.1 pep chromosome:ALNU02000000:1:32131490:32132077:-1 gene:OGLUM01G32590 transcript:OGLUM01G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDHDAADTSHGVDECEPSLWYEVAMAVLFPSNYFLLSFWFFLGFWIIYQAFGAFGAVVNIDKPIESSIELVGVSGLVPVLALAPGPGVASRPAFNLLVRIDNDHILDRHREGGSVKVSYAGVPLAYGSIPSFRLGAREALTVAVNATSEAAGVPEDLLRLMAAEQRMGVAQLEIGMQLGGPGRESYSWSVDL >OGLUM01G32600.1 pep chromosome:ALNU02000000:1:32132667:32134686:-1 gene:OGLUM01G32600 transcript:OGLUM01G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29590) TAIR;Acc:AT4G29590] MATLAPRPHELLALRRPRLRTPRARASAPRARGAPVAPQAARPRRVFLGLGAAFVDQLARMASGGAPSRSFVASARPRQGVSPVEQVILKNVEWPDEFPFKAEDFSRFDESSDALFYSAPRYVTHIDDQAIEALTKYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGMNEDELKRNPVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMRRILKPSGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFEPPEAVDISPNPGRTDPMYVVQSRKRIA >OGLUM01G32610.1 pep chromosome:ALNU02000000:1:32138987:32139925:-1 gene:OGLUM01G32610 transcript:OGLUM01G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YE07] MGEEKVAPKSDAAPAVELLGFVMSAEEAERAAAAAGVETVEDLLPLLVPSAMRLARAPISRFPVGAVGLGASGRVYAGVNLEFRGLPLSHSVHAEQFLVVNAAAAGESELRAVAVSHMPCGHCRQFLQEIRGAGGIRIIVTSDAEDGCAPEWRTVASLLPRPFGPHDLLPKHVPLVLEPHDNPLGEPAAVANGFAHGDLEARLREAAEAAARAAHAPYSECPSGFAVADGEGKVYAGGCLESAAYNPTLGPVQAAIIGMVAAGGGAAGDVVAAALVEKEAALVSQEATARIFLAAVAPQATFHVYNYTPSDA >OGLUM01G32620.1 pep chromosome:ALNU02000000:1:32143993:32150232:1 gene:OGLUM01G32620 transcript:OGLUM01G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YE08] MRRPDPATGLRFAAVSLILCTANICAVARRSSYALLLDDEEDGGGGGDGSASFSFFPQTQPRGLVYGFYDESCPDAEGIVSSTVRELYLANPNVAAALVRLFFHDCFIHGCDASVLLDRINGDKSEREAAPNQSLRGFGAVEKIKARLEAACPRTVSCADILVLAARDSLVLAGGPSYPVLTGRSDSARGFYDEVGARIPSPNATYAVTLDAFARRGFTERETVALLGAHSIGKVHCRFFKDRIDNFAGTGEPDDTIDADMVEEMRAVCGGDGAAPIEMGYYRQGREVGFGAHYYAKLLGGRGILRSDQQLTAGSTVRWVRVYAAGERGEEVFREDFAHAMVKLAALEPLTGSPGHVRIRCSKPSYSNWENQFCKASTSARCRVGRLRCLHPSSARRISTSAWAPSRRRAPTASPRHPAAWRRVARSMAVRSRSCSGSPTPSAHARNGIFRTPFPGTQKPGTRPDATVKPS >OGLUM01G32630.1 pep chromosome:ALNU02000000:1:32149984:32150262:-1 gene:OGLUM01G32630 transcript:OGLUM01G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSGEALVSYDGFTVASGRVPGFCVPGKGVRKMPFLAWADGVGLPEQLRDRTAIERATRRHAAGCRGEAVGARRRLGAQADVDILRAEDG >OGLUM01G32640.1 pep chromosome:ALNU02000000:1:32155535:32157751:1 gene:OGLUM01G32640 transcript:OGLUM01G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVANKADMAKMGFAPMLSVAVLLGTLAAFPAAVHSIGVCYGVVANNLPGPSEVVQLYRSKGIDSMRIYFADAAALNALSGSNIGLIMDVGNGNLSSLASSPSAAAGWVRDNIQAYPGVSFRYIAVGNEVQGSDTANILPAMRNVNSALVAAGLGNIKVSTSVRFDAFADTFPPSSGRFRDDYMTPIARFLATTGAPLLANVYPYFAYKDDQESGQKNIMLNYATFQPGTTVVDNGNRLTYTCLFDAMVDSIYAALEKAGTPSVSVVVSESGWPSAGGKVGASVNNAQTYNQGLINHVRGGTPKKRRALETYIFAMFDENGKPGDEIEKHFGLFNPNKSPSYSISF >OGLUM01G32650.1 pep chromosome:ALNU02000000:1:32157350:32157928:-1 gene:OGLUM01G32650 transcript:OGLUM01G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKATDDDDDAPNRPSYGTYSNDPVARRASQKTTDRDGVRDGNGSGTMHGAVLSDGEKVTTITMDLASFDAADGLDTWTRKRLSGTWFRPRLTSRCASRTHALLPAVVRQQRGGGGLLLRQSGVALAWGKSAGVLRAEEVGSGVHGSAVVQGRRGVGLPEDLRAPAPHVKNGTAQVLAEMKLYRYVRTRSF >OGLUM01G32660.1 pep chromosome:ALNU02000000:1:32168216:32173323:1 gene:OGLUM01G32660 transcript:OGLUM01G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEERWQEQPALASHPSRATLRPRGWPRLGLAPTGVGSSCPPAPASELARHLARRAPVSASPPVLPPIKDQGARPPTLAASAAAASSPPPPPPPPPIPPLPPSTSTSAARPTDMAGVTSKRRSSSASTSSSSGDGAAVSDRPRGVTRKRRSGGRCPRPAASLRPAAPRPSSHHTAGLRVILQKELRYSDVSQLGRIVLPKKEAEAYLPILTSKDGKKSLCMHDLQNAQLWTFKYRYWPNNKSRMYVLENTGDYVRTHDLQLGDSIVIYKDDENNRFVIGAKKAGDQQAATVPQVDEHISTLFPIFPIAQVDDYLSPMAPQVDISAFVPHADENHEIFDGILNSLPEIPVANVRYSDFFDPFDDGMDMANTLNANANQSASLHVTDDKSGHSLIPNPKSGPHM >OGLUM01G32670.1 pep chromosome:ALNU02000000:1:32176253:32180152:1 gene:OGLUM01G32670 transcript:OGLUM01G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGDASAPVAAAEGRKPRHKGKHDKPKPWDDDPNIDHWKIEEFDPSWNEGGMLEVTSFSTLFPQYRGKSPHPTPPSALSWFLPRSAIDWFCLVVKKYLQEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTKDPYIIIKANELIKLLSRSVPAPQAIKILNDEMSCAIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTAAAMGYWKGLKQVVRVVEDCIKNVKHPVYHIKELLIKRELAKNPALAHESWDKFLPKFKKKNVKQKKPLTKEKKQYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKEWQEKLEKQSQKAEENKRKREAAFVHPNEDIATPYESAKSIINNGEIADMAKSLKKKAKEFRKNEEQENVRLESYVASNEGSRPKKKHKLSKYSQPE >OGLUM01G32680.1 pep chromosome:ALNU02000000:1:32183107:32204015:-1 gene:OGLUM01G32680 transcript:OGLUM01G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGNNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSIIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKKAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLCDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSGTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIVHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTDALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLAERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFFEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERHENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIRRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >OGLUM01G32680.2 pep chromosome:ALNU02000000:1:32183107:32204015:-1 gene:OGLUM01G32680 transcript:OGLUM01G32680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGNNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSIIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKKAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLCDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSGTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIVHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTDALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLAERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFFEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERHENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIRRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >OGLUM01G32680.3 pep chromosome:ALNU02000000:1:32183107:32204015:-1 gene:OGLUM01G32680 transcript:OGLUM01G32680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGNNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSIIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKKAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLCDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSGTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIVHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTDALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLAERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERHENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIRRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATESTSCNVLMVAFPPLKAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >OGLUM01G32680.4 pep chromosome:ALNU02000000:1:32183107:32204015:-1 gene:OGLUM01G32680 transcript:OGLUM01G32680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGNNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSIIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKKAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLCDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSGTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIVHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTDALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLAERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEERIAYIDSLLAIERHENNETKKELADAQKEIEELLDEMQDNVASIAEHEDTIRRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIRRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >OGLUM01G32680.5 pep chromosome:ALNU02000000:1:32183107:32204015:-1 gene:OGLUM01G32680 transcript:OGLUM01G32680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIVIGSHVWVEDKDSAWVDGEVFRIDGNNAHVRTTKGKTVIANVSDIHPKDTEAPPDGVDDMTRLSYLHEPGVLDNLAVRYARNLIYTYTGNILIAINPFQRLPNLVDVRTMEKYKGANLGDLDPHVFAIADVSYRQMMNEGRNNSILVSGESGAGKTETTKLLMRYLAYLGGRSGTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPPEDIKRYKLGDPSSFHYLNQSSCIRVDGINDAEEYLVTRNAMDTVGIIEQEQEAIFRVVAAVLHLGNINFAKGSEVDSSIIKDDKSRFHLNTAAELLMCDCKKLENALIKREINTPEGVITTTVGPSSATVSRDGLAKQIYSRLFDWLVNRINASIGQDPNSDKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVIYQSDHFLDKNKDYVVAEHQELLNASRCSFVSALFPPASEENTKSSKSSIATRFKVQLHELMETLSSTEPHYIRCVKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRILAPEIVKEKNDEKVTCQKVLDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVRNNAARGVQGQFRTHVAREQFLILRNASVCLQSFVRARLACKLHECLRREAAAIKIQKNIRCYFAWRTYSQLRLSAITLQTGLRTMAALKEFMFRKQNKATTHIQTQWRCHRDNSNYLKLKKAALTYQCAWRRRVARRELRQLRMAARDTQALKVAKEKLEERVEELTNRLGLEKKLRTDLEKSKVAEVSKLQAALNEMEQRMQDVTAMQERESAKKAVEEALEQEREKISSLTSEIEGLKALLVAEQEENDLTKKAHANAQERNEELSKEVEDADGKIKQLCDTVQRLEETIQEREALLLAERQEKEEASAVIAESQARNEAFASKLEDAEKQIDLLQETVQRFEEAITKLQSSGTIEKQQHEETVVQLAEAQAKIDELLREAGDTDEKSTQLETTMQRLEESLTEKDALLTTERQETEATKKLLSEAQYKNEELLKKIEDADKSIVHYHDTTQRLEENVTAVENSLKAERQHNGAIMKQLADAQVEIGELQRNLEDADRRNNQLQDSLQRLVEDATTSEALLVAERQENEVTKKTLTDALDQIEELVKEVECAKNSVYQLQDNIQRLEQNASAREADLLAERQEKETTSKALAEAQAKIEGLLEEISSANKKTDLLQKTIERLEEGATTTDALYLTERQEHDQTKKAFFEAQEINQQLYRKIEEAEKNIEQLRENVERLEKDATARDSLLLMTKQSHDDTIKELLEVQERNLELMNGVEDSNKKIMLLEDSVKRLEEDGIAKEALLLTEKQAHEATRMTLTEALEKNEELLKKIHDDDKHILELQFTIQRLEENTAAKENLLLREREQNDATTKAQIESQERNEQLLKRFVDVDRKIDLLQDTIERIGENSTTKDALLLSERQEKDAIKKELVEAGERNEELLMKIEDTDKKIEHLQNAIIKLEGDIEAKDVSLEAAREENDTIRKSLAEAQEKNEELLRKISDNEYRIHLLQDTAQKLQVDAISRLSSFVMEKQESDAAKRALTEARERNEDLLKRNEDLLKRNDDLIKKIEESGKTITQLQETLQRLEGKSTNLEAENQVLRQQATATPPSTAKSSASRSKITRIRRSPENGHILNGDTRQAEIKPSTGTSETIPSIGNPPDLNNEKHVEQGEKLQKVLNQKYQSQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLSHWRSFEAMKTGVFDSILQAINSATEAQNDTRALAYWLSNLSTLTVLLQRSFKTTRTAISTPQRRRFSSERIFHASQTSNAGLAYLSGQPVVGAAGLPQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSPAKGHANGLGQKNQLGHWLAIVKVLTNYLDVLRANHVPSILVHKLFTQIFSLIDVQLFNSNGEYVKVGLAELKHWSDNATREFAGSAWDALKHIRQAVDFLVISLKPMRTLKEIRTDVCPALSIQQLERIVGMYWDDINGSNTISAEFTSSLKSAVREESNTVTTFSILLDDDSWSAVCYI >OGLUM01G32690.1 pep chromosome:ALNU02000000:1:32222954:32223460:1 gene:OGLUM01G32690 transcript:OGLUM01G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRREAPPISDYEALDGSGKCTDEPSCSSDPSKDSSSCTSAFAFTILAINCGAAIYHSRRDPWSVAFVLAAFLMLISLFCALRLFESLPRSSPRRSHVKAGVWVLSTVLTILFTYRVAALMPFPVAVVVWAMSVFTILAGFYMFFVCSDEVKAAPEERPAKVSDMA >OGLUM01G32700.1 pep chromosome:ALNU02000000:1:32229647:32233196:-1 gene:OGLUM01G32700 transcript:OGLUM01G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYLVPPPGAADRAGGDAGDAALARDIVTLHKALSLDHSASSRRRRSLPLPAPSVANQARHKPRLKPSSSTRKLLPSASSSSSSSAAAAASTSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSVDGLPAALDGSPISVHFRRMSLCASTRPVAAALGAASFEEVLTQRSPVYFSRGAKAAVKYEPRPFVVVAATSALELGKHEVDLTRLLPLSFDDLEEGGGSGFGKWSTSFRLSGPARGARLNVTFSCSLVGAAGEQQKGGEVAGLRRGSMARQVSVQTPTPVPARSRDVRVLHEVLPSGRTVKALPFFGDAGLDVRKEEVPTVESEENESPQSKHCTSVEVRNVDLAQPEGDCDAAEFSVIEQGVEIALEDPEQLKSVGTDNVADGNEDFRDEVGENEGEAKAVSVGDACAEESVGGKPEEVFSDVCFESEDAGEKKDSMVKVVSLPTVELDGEDQLDAELEDLGCLINSLSVVEPEQFDSPIVEGKRSRRLSCVGVTEGCNSASRMIRSRSMDASSDFVASEFLNMLGIEHSPLGATSGSDSESPRERLWKQFEKEALASGNGILGLDFEDEAEELSYEDDAEEPRCEDVAHDFDLSTIIREAELELQNAIQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPGSRSGFGSPIDLPPESPIELPPLADGLGPFVQTKDGGFLRSMNPVLFKNAKNNCSLVMQASSPIVLPAEMGSGIMEILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWEASPALESSERYDLLDNHTMDALAGGIGNATFGKSKKGRCTDLSSSLGRESTSEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEDAPSNISAQPIGEFSSLQGKCAGNTLSLGLEGTAGLQLLDVKQSGGDVDGLMGLSITLDEWMRLDSGIVDEDEQFTDRMSKILAAHHAKSMELVAENQNVDKKNRRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVTDKGNSEHDDEEPKTEEVLEKALVKEEKIEEEEDSVPQFKVAEVHVAGFKSEPEKTKSWGNQTQQQSGSRWLLAAGMGKGNKHPLKNSKAIAKPTKEAAGQSEDTLWSISSRVHGAGTRWGELAGPKRNPNILLQKEKRFR >OGLUM01G32710.1 pep chromosome:ALNU02000000:1:32242949:32243365:1 gene:OGLUM01G32710 transcript:OGLUM01G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGRGERRRWPALLLVVLAFTSLDHISINCTGGVRATFGCHSVYSRRLAPTRRAARRPRLGGQGSPRSTPMCDGTAAAVGAQGPERQHWRRQSGGRELERWQSGGRAATL >OGLUM01G32720.1 pep chromosome:ALNU02000000:1:32243366:32264862:1 gene:OGLUM01G32720 transcript:OGLUM01G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPSPTRSCRAPDGRCRRAPRWRRVLDVLRMKLRRPICAFQARDVAEQHRTEPEAPPRHQFHNNSNMTP >OGLUM01G32730.1 pep chromosome:ALNU02000000:1:32261308:32265599:-1 gene:OGLUM01G32730 transcript:OGLUM01G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISGHTLNLRFVQGSGFTRSLRPIRDWGSTDREGMQQPGSRVQRRENRSEDTLGALEAPLALSYAVRPRPASKES >OGLUM01G32740.1 pep chromosome:ALNU02000000:1:32265913:32266535:1 gene:OGLUM01G32740 transcript:OGLUM01G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPPPEDGDGRPIAMEGQAAEDLAASALAARGQVTPPGDLGFDALAVAADGHVQQNANASALAAARTLLPNAPLPAAMEFNLPVLQVQSWQLQQVQERLAAYQPSQASQCSSHGQAPAGQPSQAAASQPGDQLAAWEHVN >OGLUM01G32750.1 pep chromosome:ALNU02000000:1:32269525:32271167:-1 gene:OGLUM01G32750 transcript:OGLUM01G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGASTPFNFCRHGSHAEYDAVFSGSWMARRPSAAPHGGGASGSGSGSGYGAASYVAPTFGAAFRQQHLDLLDYLSDDQGVPAPPPAAVPSASYVTPAPAMAPAEPVVPDAVAAAGGYPRSVAAAAAAVAGEGRDRTTTDKIAFRTRSDDEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKNDPRYVVTMYEGIHNHVCPGTVYYAAQDAASGRFFVAGISHPDLN >OGLUM01G32760.1 pep chromosome:ALNU02000000:1:32278498:32287887:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPLFVLCHSQALTLALPPQSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECAQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLRLCFSQRDETRVLPWAQRAGPSHQDLRAAEGYTDFRHHGHLSQRFTPSNRKAARRTQESQITHQILHHRLPLLFSILFFFFFASPQTPRAPRDEGTTTATTGPRGDRIAIAVIHVDVAQEDPPQGHRPRRQRGREDVAYEPFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >OGLUM01G32760.2 pep chromosome:ALNU02000000:1:32278498:32287887:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECAQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLRLCFSQRDETRVLPWAQRAGPSHQDLRAAEGYTDFRHHGHLSQRFTPSNRKAARRTQESQITHQILHHRLPLLFSILFFFFFASPQTPRAPRDEGTTTATTGPRGDRIAIAVIHVDVAQEDPPQGHRPRRQRGREDVAYEPFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >OGLUM01G32760.3 pep chromosome:ALNU02000000:1:32278498:32287887:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPSLHYDYDPLADLLGPDVDPTSSQNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECAQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLRLCFSQRDETRVLPWAQRAGPSHQDLRAAEGYTDFRHHGHLSQRFTPSNRKAARRTQESQITHQILHHRLPLLFSILFFFFFASPQTPRAPRDEGTTTATTGPRGDRIAIAVIHVDVAQEDPPQGHRPRRQRGREDVAYEPFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >OGLUM01G32760.4 pep chromosome:ALNU02000000:1:32283395:32287887:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECAQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRSLNAKTGLFTKHMKIIHQDPELHAQRVAAIKKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLRLCFSQRDETRVLPWAQRAGPSHQDLRAVFGRKVSRTAY >OGLUM01G32760.5 pep chromosome:ALNU02000000:1:32283395:32287887:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLPCARGPAPAAAARWRPPGRAARTAPRLGRGHAAASSADGSGAPSSPSLHYDYDPLADLLGPDVDPTSSQRSFNAGVILVTWLIWKEHNESLRGVQSLRNTAPVAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGIDRSSLDCPMCNGKGIRECAQCAGECVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTSKRSRRTYPSPSPEVALKISRSLRKTKGTAAARKHASETQKAFFSNPENRLKRSIAMKGVKFFCSKCGQEGHRSFYCPTAERISDADYVGERVIIAEHVESQSQRMSVNDNLGTAANVVKGGTTVVTVPDPPPLRLRLCFSQRDETRVLPWAQRAGPSHQDLRAVFGRKVSRTAY >OGLUM01G32760.6 pep chromosome:ALNU02000000:1:32278500:32283015:-1 gene:OGLUM01G32760 transcript:OGLUM01G32760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCSSKGNIPYFETSAKEDRNVDSAFLSVAKLALEHERDQDIYFQTVVPDPVPEAEQRSGCAC >OGLUM01G32770.1 pep chromosome:ALNU02000000:1:32288173:32290576:1 gene:OGLUM01G32770 transcript:OGLUM01G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAPPAKKAKPSHPQDDASEEVDRLSALDDGIVREILARLPLRDAAVTTALSSRWPRVFATLPRLRLGPTTFNSRASLDIGYCDDDDRWVDALDRVLDGRLSPVAAFEVDADMDLLEGYDDWFYSFFRTLCRSGGLQELAVRNEHVHECYVVPSPVYACATLTSLELDACHLRVPGKLTGLRAVRSLVLRRVVATDVGLRRVVSRCRAVERLVLDDCHRVRNVVIRGSSLKQLEIHSYRPLCVALKKAPHLESAKLSLGYGVAEVSWSIYNNSGSEIESKRGSLQLYEFEAQERREQRKTDEATNMVTFLSGLNCAKELYLYLPYEYAKEYQHLITNEVAQKMLLAWAAEAYPLSENNDGAIAQVVSCLQNSSPNLKVLEIKNDFFDDRRASTDVPDFWEKNMGAAECVQNHLSTVTFYLNSECFQGRSYIDLSKLLLMRARALERLSIKYRRLEDQDRYSAELESVQSELPLWPRASPGALVEIRAVDRLPSWY >OGLUM01G32780.1 pep chromosome:ALNU02000000:1:32300655:32301218:1 gene:OGLUM01G32780 transcript:OGLUM01G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLPKKCYLLGLQKLTLILDHNHEALARLASCLLNSSPNLKDLEIMDPLDIRYSDHLAAEFWEKHITAGCILNHLSVVAFYMRETLFEGYPRTGLCQFLVMNARALKRMSIKYHCSLYETEHVATVLEAVQSELHLWPRASPDVLLELSEVDCIRSI >OGLUM01G32790.1 pep chromosome:ALNU02000000:1:32302864:32303287:1 gene:OGLUM01G32790 transcript:OGLUM01G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLAYVLNRHAAPIAAFEVHFKYMGLYDRWFLDFFRELYGSGGLLELKIRNTKCTECYALPTPTLTSLELCNWRIHVPGRVTGLSAMRSLVLRNVVATNADLRCMISLFRDMEHLEIMYIHKARNIVNRCSRPN >OGLUM01G32800.1 pep chromosome:ALNU02000000:1:32304878:32309779:1 gene:OGLUM01G32800 transcript:OGLUM01G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAPTETKKARSSESSPQAHADDVDRLSALDDASLHAILYCMPLRDAAVTTALSRRWRRVFPTLPCLYIDLATFNGRDYVADSLGDDYCEDPDRWVEALDCIVDSRAAPVAVFDVEADVMFTEEGWFHDVIRVLCRSGGLLKLRIWNTRLTSCYLLPSPVYACETLVSLELFSCRLRVPDRLTGLRALQSLVLQDVVATDGDLQRMLSRCEAMKRLVMEDIRKARNIVIDAPSLEYLQIHSYRPLRVSVKAPKLRLARLSLCYGCAELSWSFHDNEETDGDYSIAEIQEMFDFVAMEKKEHKRTDEIRNMVTFFCGIRAAKELRLDLPREYSKVLSKTKIAVPRMLPKKCCLLGLQKLTLALDHNHEALARLVSCLLNSSPNLKDLEIMDPFDIRYSGHLAAEFWEKHITADCIQNHLSVVTFYMRESLFGGYPRIGLCQFLVMNARALKRMSIKYHRSLYKIEHVATVLEAVQSELRLWPRASPDVQLELSEIDCIPSI >OGLUM01G32810.1 pep chromosome:ALNU02000000:1:32311375:32312029:1 gene:OGLUM01G32810 transcript:OGLUM01G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLDLDYDDEVLATLVSCLLNSSPNLKDLKIHNHLSSVILFIDALSESNPCAGLCHFLVMNARILQKVSIEYLRSDVKPEHAAKLEAIRSDLHLWPRASSNVQLELCPLDHYPCY >OGLUM01G32820.1 pep chromosome:ALNU02000000:1:32314297:32323585:1 gene:OGLUM01G32820 transcript:OGLUM01G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G69830) TAIR;Acc:AT1G69830] MTSGPARQSSRSSFFSLSCHVNRPVGYLPPFSSLFFFFSVLHARSFAFHPLPVCFFPFSPHFSTSLLHSSRSFTHTPRSRAHTGGRRRQVGGMAVASWSIPAIPRAGPTARGVLLGGAFLTAARPPVAWRCRATLPRRVRLGGVVARAGAAETPVAGSGEAGLLFSEKFPLRRSRTVEGKAWVRVDAEPDGEGKCKVVIGCDVEGKWVLHWGVSYDGEQGREWDQPPSDMRPPGSVPIKDYAIETSLDTPHNSEGKTIHEVQIKIDKGTSIAAINFVLKEEETGAWFQHKGQDFRIPLSGSFGGDLLGTEQDIDVRPGALGHLSNVLQKPEGPIAEPHKTVPDDKGSRTKHISGFYEEYPILKTVYVQNFITVNVRENNGTTKHAVEFDTDIPGEVIIHWGVCKDNTMTWEIPPEPHPPATKIFRQKALQTMLQQKADGTGNSLSFLLDGEYSGLIFVVKLDEYTWLRNVENGFDFYIPLTRADAEADKQKADDKSSQADGLISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDTVEESVYIDDSSIVKPACSGTGSGFEILCQGFNWESHKSGKWYVELGSKAKELSSMGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMEELKEAVKRFHEAGMKVLGDAVLNHRCAQFQNQNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRSDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVHDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHSALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPFGMELQGYVYILTHPGTPAIFYDHIFSHLQPEIAKLISIRNRQKIHCRSKIKILKAEGNLYAAEIDERVTMKIGAGHFEPSGPTNWVVAAEGQDYKVWEVSS >OGLUM01G32830.1 pep chromosome:ALNU02000000:1:32324003:32326770:-1 gene:OGLUM01G32830 transcript:OGLUM01G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETECKVPGVYSETGIPVEDPAPGLNSDVSKKDAPPAVAAPGPGLYFEIGKKARDLLYKDFHTDQKFTLTTYTNNGVAITAASTMKDEAIFSEIQTKLKSNNVMLDVLTTITTEDLGVSGLKQIVSLPFPYQTAGKAELQYLHDYAGISLGVGLTSKPLVNLSGVFGNKSVAVGADVAVDTSTGDFTKYDAGLTINNSDLAADLTLNNKGDSLTASYYHLVNKESGTAAGAELTHSFSTKENTLSFGTQHALDPLTTVKARYNNHGMVSALIQHEWRPKSFLTLSAEVDTKAIDKASKVGLSLVLKP >OGLUM01G32840.1 pep chromosome:ALNU02000000:1:32327544:32333583:1 gene:OGLUM01G32840 transcript:OGLUM01G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCHVIVLRRGKNEAKKWLMHMKLLAAGALHQAEPDNLLFSPGYACKMFGRMPHRGASSSWAGARCTVCWTERLTGTEHAASPGNVPAMYQSYKADRSKARIKKLIVEEAIKQRRDVHLQFTRFSMFLQIWQKTGMSASVKLALRFPHK >OGLUM01G32850.1 pep chromosome:ALNU02000000:1:32329525:32332014:-1 gene:OGLUM01G32850 transcript:OGLUM01G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15100) TAIR;Acc:AT5G15100] MVSWKDIYLVLEATVPLYVAMILAYLSIKWWKLFTPEQCSGINKFVAKFSIPLLSFQVISTTDPYDMNIKLIYSDILQKSLALLGFAAISKACCAEKFDWLITGFSSSTLPNTLIVGIPLLKGMYGEQAGKLLSQIVVLQSLIWYTLLLFLFELRAANGMATTTSSETTGLIWALVGFRWHIRLPLIVSNSIRILSDGGLGMAMFSLGLFTALQTKIIACGAKRMLLALAIRFFLGPALMGMSSYAIGMRGVLLKIAIVQAALPQGIVPFVFAKEYNVQADILSTAIIVGMMVAVPVALAYYFAMIIPAIK >OGLUM01G32860.1 pep chromosome:ALNU02000000:1:32334840:32336693:1 gene:OGLUM01G32860 transcript:OGLUM01G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40405) TAIR;Acc:AT5G40405] MRPLRDPAKLAAAASSQRHLREIHAHLLVSGRIASPSHLAAFLASLASSSSDDDDDGDLSYARLLLPRRPATLLAHNSLLRALARGRRPHLAFGAFRDLPLAPDNYSFTFLVRAATALAAAAASALDAALIAGSVHASALRHGHAGDPHVQSGAVSMYAAAGDVGAARAAFAEIASPDVVCVTAMVGALATGGEADAARELFDGMPQRDHVAWNAMIAGYVHTGRSREALRLFDEMRHAGAAVGEVTLVSALTACAQLGALERGKWVHSCAHSRGMRLSVTLGTALIDMYSKCGAVAAAMEVFDRMGERNVYTWTSAVSGLAMNGMGRDCLALFKRMESTGVEPNGVTFVVVLRGCSMAGLVDEGRACFDSMKSNHGIDPWLEHYGCMVDLYGRAGRLDDAVNFINGMPLEPHEGVWGALLNASRIHKNVELGKYAMDKLMAIESKNDAAHVLLSNIYADSQNWKGVSNVRNMMKAKGVKKVPGCSAIEVGGKVHEFFVGGKTHPRHKEIEMMLAEMNQRLRLQGYIANTKEVLFDIEEEDKEDAISLHSEKLAIAFGLVALPEDMEIRIVKNLRVCEDCHDYTKMISKVFNREIVMRDRNRFHHFKDGACSCKDYW >OGLUM01G32870.1 pep chromosome:ALNU02000000:1:32338725:32339452:-1 gene:OGLUM01G32870 transcript:OGLUM01G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEADKAAAPAPAAAASVAETSDDAIQEESPAPAPSGKPGSEAAAKPEVEVQLFRRGRGPVAVFRSPLGGYTQDQLEVGDILEQHGLKSVFVFHPASRTRGVAIRFHPRNGRSLLTYVAGSTIFLDGEPKDSLLKPVTKVMIGVAAMTAVAAVLLKEGKMPEWLKESKLGNLNFPPWVLACMVIVFMRLRKRTKDAMKKFGWSS >OGLUM01G32880.1 pep chromosome:ALNU02000000:1:32339801:32341627:-1 gene:OGLUM01G32880 transcript:OGLUM01G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLPYRHLPQHLAGLLKTRPLHDLLSDASTSRAARHLFDAVPRPTPALCGTLISALSRLCSHQELLDAFSSLHRRGSDVPPGCVPLVVKSCAILAASRQGKQVHCHAIVRGLLGDIFVQTALVDFYAKNGDMDCAVKVFDEMPLKDPIPMNCLITGYSKSGDVVKARRLFDGMVRRTSASWNSMIACYAHGGEYQEALRLFRRMLSEGARPNAITIATMFSICAKTGNLETGKWARSLIAEQDLQNMIVHTALMEMYVKCRAIDEARREFDRMQQRDVVAWSTMIAGYAQNGRPHESLELFERMKATSCKPNEVTLVGVLSACAQLGSDELGGQIGSHVESQNLPLTSYLGSALIDMYTKCGHVGRARSVFNRMEHKVVITWNSMMRGLALNGFAQDAITLYKEMTEEDVQPNEITFVALLTACTHAGLVDQGMSFFKEMKTIHHVSPQVEHCACIVDLLCKSGRLREAYKFICDMEVEPNAVIWSTLLSACRAHADVELAKLAASKLLVLEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHPKSAEIFNVVDGMGLHLDDVDSDPDLFVLEHY >OGLUM01G32890.1 pep chromosome:ALNU02000000:1:32365017:32369865:-1 gene:OGLUM01G32890 transcript:OGLUM01G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLLGKKSAKSNSTKAKDLAKAANNKPVLSEDPPVISEPALVNSHNDGNAENCKLPNGVAVEAMGQGVENQNIVGSKAPTSPEKLSEELAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAASTLRVTWLIVKLQALVRGRNVRLSGASIQFVVKSGQHKFLSDKPSDAWKEKVSSNAYVRKLLSSSIGLEALHLQYDKRDPNSLYNWLERWTISQIWKSASQPKKVADGKPQVRKASYAMETESAKLKRNVRKSSAVTVDSFQTNMTVEPEKIKRNSRKFSSSAADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRADASKVSSSMADAAKVSSSTADASKVSDSVAQIPPSLVNGISDHQDNQCEEAQQNACVSFPPETQELHSGILLEDNSHMNLLEPDLISNPETPFTSILTWEKFNDSTADAQEVEVLPLQNIDNEDNFPENGVLGKKEKPRSKEEPLSNGNLKTSKRRSSFSTKSDYPENGAQNTPVPRRKPSYMAATESAKAKLRGQNSPRLDSDSPADMNGFTRRQQSDQS >OGLUM01G32900.1 pep chromosome:ALNU02000000:1:32374614:32376109:-1 gene:OGLUM01G32900 transcript:OGLUM01G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLFLALQCVQCSTMQVKPQKKSSNKWVCVVCNQRQSVMRVHARGHRPADVRRFVQEANLSRGRAAHVPVPEEDWVPAVPGEQRDEFPKERKRRMDWSDYLEDPGEYDGGGHHEEARDEDVGIQVTTELPEKRPKVTSLKRAPKAQLGLGGKRPKTPVTSTLPKRQPIEEAQRSKWSNYLDTSFSEGGSGFEDSERHCSELECSITDVVVDDEHFML >OGLUM01G32910.1 pep chromosome:ALNU02000000:1:32383622:32389452:1 gene:OGLUM01G32910 transcript:OGLUM01G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPTTSASAAATTRLFLPTRRHLHETASVPAPSRTIARRYSGGVRSLGPPRAAAGERGGGAGGTITGKGAVRIVSIVGDGSISPLKDTPWEEVMRHTANRMKWVDEGFEMLVFTDKSIGHDELRKELAQCDMLVNVAITSQETVQWLINNSEDIPNIICFQSSPTLENKLGGRYVQYTGRQDMFGKLTNIGETGGMKESAEVLKAVSNAWERHNSDDIRFCLLVVVNAYIRPVDMLQNLRAKGLSTLSCMIRNCGPQILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPHLEAFSLCVLQKNNCLDLNAEIPSKPSVPPLTMFREQMLSHELAEDMFVGWLDNLEWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPIFQVRTLEGELVWRRRKYRVRRALIPGTFYFSVLDNGVVSKEFWTIVDVSDDFSWGLFHYHGAAQAAGLAYTGAVLVSPDGSCPDLDVPRLASALDKCGIKKWELYMVDNCSCTGAPLGTPGDAKLHYQIAPGKESEGSQMTCTVAPLSQAVWTVVCGYCGLDPVQELLALLHPLDYKYIHPQQFALFTVQDWSCSPVEVIEKQRARMAGLYERPSETYTKKRPRYPDAWFSKLAALTAGHHRAWDAGCGTGQASISIAEQYDSVVATDASEGQIRHAVAHPKVRYLHTPVDLSEDDLVAMVGGEGSLDLVVVATSIHWFDIPLFYAVANRVLKRPGGVLAVWGYNYEIHPFEDKLHGQLYPAMRPYMDPRTRLAMDRYRDLPFPFEPVGVGREGEPADVDIEVDMTLDDLVGFLKTGSVVTTAREKGVDLEAVTKGVMKGVEAEWGDPAVARKLVFKAFMLAGKPKVLN >OGLUM01G32920.1 pep chromosome:ALNU02000000:1:32396009:32402390:1 gene:OGLUM01G32920 transcript:OGLUM01G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSYNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQRLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTGSIAVSMSIYQTLFCFICCHLTSGEKDGDELKRNADVQEIHRRTIFNPVSRVSMPKTIYDHERIIWLGDLNYRINLSYEKTHEFISMKDWNGLFQNDQLKREFKKGHLFDGWTEGVISFPPTYKYKVNSEKYTSDEPKSGRRTPAWCDRILSFGKGMRLQAYRTVDIRLSDHRPVTAVYTSDVEVFCPKKLQRALTFTDAEVEDQFSFEEESTSGIFSF >OGLUM01G32920.2 pep chromosome:ALNU02000000:1:32396009:32402390:1 gene:OGLUM01G32920 transcript:OGLUM01G32920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKSETLRAQYIDIKELRVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSYNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQRLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKASSREAHHSQFL >OGLUM01G32920.3 pep chromosome:ALNU02000000:1:32396009:32402390:1 gene:OGLUM01G32920 transcript:OGLUM01G32920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASSTSASARATPPARSLPPLGASGSQQEPAATASHHAAGAGASSRPMRRKGRKQKQLWPKTVLRKWLNIRSPESDFSADEGEATGDDDTDSEFEYEEMCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSYNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQRLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKASSREAHHSQFL >OGLUM01G32920.4 pep chromosome:ALNU02000000:1:32396009:32402390:1 gene:OGLUM01G32920 transcript:OGLUM01G32920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCHWERQLYDEERRLRGLGAETIDSQMEGAPYKLNRRRKSETLRAQYIDIKELRVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSYNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQRLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKASSREAHHSQFL >OGLUM01G32920.5 pep chromosome:ALNU02000000:1:32396009:32402390:1 gene:OGLUM01G32920 transcript:OGLUM01G32920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAPYKLNRRRKSETLRAQYIDIKELRVCVGTWNVAGRLPPDDLDIQDWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPVAMWEHIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDVEDELVSESDSESGGEVHPWNEQDFTVDDDSVHSNKYEHSTSGPTETTVNGNNFSRVPSMKIFDRSYNLSFKDYVSSLEEPIHQKMLTKTLSYSERLGMIWPEQPLDILAQRLPDSTKPFISEKALRSCLSFKSAHGDSNAFPDDCLVHDFNIKSALVKTKRPYFVRIISKQMVGVFISIWVRRSLRKHIQNLKVSTVGVGAMGYIGNKASSREAHHSQFL >OGLUM01G32930.1 pep chromosome:ALNU02000000:1:32408013:32411301:-1 gene:OGLUM01G32930 transcript:OGLUM01G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIENQSQGQRAAEAAAQPRIPREARRLLHEMAASWADVADCRALQVIPLKGAMTNEVYQVRWLNGAPATADGGEVEAEVAAREREVRKVLVRIYGDGVELFFDREDEVRTFECMSRHGQGPRLLGRFTNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLKNWLKTARNLCSSDESKKFRLGSLENEIAALEKEFSGDYHGIGFCHNDLQYGNIMIDEDTNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHRLDYSKYPDTDEQKRFVKTYLSNSVSEEPDAEEVENLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKQALLTS >OGLUM01G32940.1 pep chromosome:ALNU02000000:1:32433501:32434245:1 gene:OGLUM01G32940 transcript:OGLUM01G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAGGGSGSGPREGDDSQRRGIGALARGEGGGPHGGSGSGWPSTISVVTPTGTKDGSTGHKY >OGLUM01G32950.1 pep chromosome:ALNU02000000:1:32435908:32436968:1 gene:OGLUM01G32950 transcript:OGLUM01G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGETQIVAVPGFGGPAPSPGRWWPMDGAHGAWLCVLADLPPRAASDGDSSIVFFTGTGIVSATVASFAFAAAAEQREKGTNSETGPENIITNIEQEPELGPNNTEKWTIISQRTVARFLEEAKAQTAKNITYHAKIFRGFFIKENEKQMVGACVKGKEGELPRPNLYEHHKEKR >OGLUM01G32960.1 pep chromosome:ALNU02000000:1:32471976:32473235:1 gene:OGLUM01G32960 transcript:OGLUM01G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKARVTSSCADVEASQLTSRTLLTLRLADRTKPDDEPHNANELLLPDKILLVVTIVHIVASSVAHSYINLVIEIHFNHQCVREVCENTIVFLGLPSKKFFLALAYEVSLSHIRMSCRLSLDFGTCNSFAS >OGLUM01G32970.1 pep chromosome:ALNU02000000:1:32475067:32479698:1 gene:OGLUM01G32970 transcript:OGLUM01G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINSQAHSAVAHLFGRRGPPETGRQKEKMAIGWHRSQSLASAGLGLHVLLCSSLLLNAVFIAHQFLGASPPATPERLGDGLSWALQAAKEAEDVAAVDCSGHGSVFLDGVTGEDGRPGCECNSCFSGPDCSVRIPNCAADGQGGDPLFLEPYWKRHAAASAVVFSGWHRLSYITTDGHFKSVELDRQIRQLHRAVGNAVVDDKYLVFGTGSTHLINALVYALSPEGNAASPPASVVATVPYFAMYKSQTVMFDGREYRWDGTTAAWANNNSSRNPTRGFIEFVTSPNNPDSTLHEPILAGSSATTRTTGLT >OGLUM01G32970.2 pep chromosome:ALNU02000000:1:32477216:32479698:1 gene:OGLUM01G32970 transcript:OGLUM01G32970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTSKLSGHAGSRFGYTRATESPAACHLQARHVHNDTKADRYTPCRALRRWALVRDEKVARRAISYIEESTVGTSRETQLRVLKILKVILANLHGKEDIFAFGYDVMSSRWRRLNAVVSRSTRISLQKMPPQYCTYFNRIKEPSPAYAWVKCEWVEDDDCYETLLAAGINSLTGTVNEAGTRYTRLSLIKTQDDFDMLLERITEFVDAEKHGRAPAASSSM >OGLUM01G32980.1 pep chromosome:ALNU02000000:1:32478849:32479076:-1 gene:OGLUM01G32980 transcript:OGLUM01G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPRAKTKSRCAACGRRVGLMGFECRCGAVFCGAHPLLGQARLWLRLQGRAGRDAIARANPVVSADKVDKL >OGLUM01G32990.1 pep chromosome:ALNU02000000:1:32488601:32494877:1 gene:OGLUM01G32990 transcript:OGLUM01G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGRHRSQSLGSAGLAFHALLCSSLLLNAVFFAHHLLRSSPTATPERLGDGLSWALQAAREAEAVAAADCSGHGNVFLDGVTGEDGRPGCECNRCFSGPDCSVRTPNCTADADSGNPLFLEPYWRRHAAASAVVFSGWHRLSYITTGGRFHSVELDRHIRLLHRAVGNAVVDDKYLVFGAGSMQLINALVYALSPDGNADSPPASVVATVPYYPAYKSQTDMFDGREYRWDGTTATWSNNGSRNSTKGFIEFVTSPNNPDTALRKPVLAGSSAIVDHAYYWPHLTHIPAPADEDVMLFTASKLSGHAGSRFGWALIRDEKVAKRALSYVEQSIMGASRDTQLRMLKILKVILANLHGKEDIFAFGYDVMRSRWRRLNAVVSRSTRISLQKIPPQYCTYFNRIKEPSPAYAWVKCEWEEDVDCYETLLAAGIISRSGTLAEAGARYTRMSLLKAQDDFDVLLERITEFVDAEEHGRAPGGSSSM >OGLUM01G33000.1 pep chromosome:ALNU02000000:1:32499539:32499744:1 gene:OGLUM01G33000 transcript:OGLUM01G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRVGEMRTSTAARSLLLAAGIISRYTRVNLLKTQDDFDVLLERITDFVYAEKHSRAPVASG >OGLUM01G33010.1 pep chromosome:ALNU02000000:1:32500616:32501002:-1 gene:OGLUM01G33010 transcript:OGLUM01G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGSERQDERPPLPCANGCGFFGSADTRGLCSKCYRQTVMSQASAPSAAAQSAEHDQVVLPAKTKSRCAACGRSVGLMGFECRCGGVFCGAHLYSDRHDCGYDYRGAGRDAIARANPVVRPDKVEKL >OGLUM01G33020.1 pep chromosome:ALNU02000000:1:32501123:32501952:1 gene:OGLUM01G33020 transcript:OGLUM01G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCTERYLVPRGFGKLECDVFYAGVQPHPVASRAAYRDDVARAPLFVSSHPLSRGGRKRRAYCPLPLGAHLLLKALEFAGDGCGAF >OGLUM01G33030.1 pep chromosome:ALNU02000000:1:32524328:32527693:-1 gene:OGLUM01G33030 transcript:OGLUM01G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G39400) TAIR;Acc:AT4G39400] MDSLWAAIAALFVAAAVVVRGAAAADDAQLLEEFRQAVPNQAALKGWSGGDGACRFPGAGCRNGRLTSLSLAGVPLNAEFRAVAATLLQLGSVEVLSLRGANVSGALSAAGGARCGSKLQALDLSGNAALRGSVADVAALAGACGGLKTLNLSGDAVGAAKVGGGGGPGFAGLDSLDLSNNKITDDSDLRWMVDAGVGAVRWLDLALNRISGVPEFTNCSGLQYLDLSGNLIVGEVPGGALSDCRGLKVLNLSFNHLAGVFPPDIAGLTSLNALNLSNNNFSGELPGEAFAKLQQLTALSLSFNHFNGSIPDTVASLPELQQLDLSSNTFSGTIPSSLCQDPNSKLHLLYLQNNYLTGGIPDAVSNCTSLVSLDLSLNYINGSIPASLGDLGNLQDLILWQNELEGEIPASLSRIQGLEHLILDYNGLTGSIPPELAKCTKLNWISLASNRLSGPIPSWLGKLSYLAILKLSNNSFSGPIPPELGDCQSLVWLDLNSNQLNGSIPKELAKQSGKMNVGLIVGRPYVYLRNDELSSECRGKGSLLEFTSIRPDDLSRMPSKKLCNFTRMYVGSTEYTFNKNGSMIFLDLSYNQLDSAIPGELGDMFYLMIMNLGHNLLSGTIPSRLAEAKKLAVLDLSYNQLEGPIPNSFSALSLSEINLSNNQLNGTIPELGSLATFPKSQYENNTGLCGFPLPPCDHSSPRSSNDHQSHRRQASMASSIAMGLLFSLFCIIVIIIAIGSKRRRLKNEEASTSRDIYIDSRSHSATMNSDWRQNLSGTNLLSINLAAFEKPLQNLTLADLVEATNGFHIACQIGSGGFGDVYKAQLKDGKVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKAGEERLLVYDYMKFGSLEDVLHDRKKIGKKLNWEARRKIAVGAARGLAFLHHNCIPHIIHRDMKSSNVLIDEQLEARVSDFGMARLMSVVDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSADFGEDNNLVGWVKQHTKLKITDVFDPELLKEDPSVELELLEHLKIACACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSAAAGSIDEGGYGVLDMPLREAKEEKD >OGLUM01G33040.1 pep chromosome:ALNU02000000:1:32533312:32534118:1 gene:OGLUM01G33040 transcript:OGLUM01G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQALVLANFLAAALLHPLLCQGAAAASPASIAGKLAMDGMAIDQGIDHLLVLAAIFVMCLFR >OGLUM01G33050.1 pep chromosome:ALNU02000000:1:32540092:32545006:1 gene:OGLUM01G33050 transcript:OGLUM01G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSELLRPAFGEPSPSLGPFVVNPHTCSYRWWQKFLIVLVLYTAWASPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDASTGLLVTDRKKIATRQALYGFLNLLRLWRLRRVSKLFARLEKDIRFSYLWTRLIKLLYVTLFAVHFASCIYLWMAFHHKAKELTWIGSQFHGFEDRSVWFCYTCAVYWSITTLATVGYGDLHAANTGEMLFSIAFMLFNMGLTSYIIGNITNLVVHETANTFKMRDMVQRTSVFGRTNRLPVAMREQMMESLQLRFRAEEQLQQEMLSELPKAVRSGIAQHMFRGAVESCYLFQGVSDKLVLPLVAEMKAESFPPKADIILENEASTDCYIIVSGEVEVLTTLEDGTEKQVMRIGPRGMAGEIGVMFNIPQPFTIRSRKLTQLVRISHSHMVQTIRPNTADGVVVFSNFVLFTISHNVFQYLESLKVKAKETAFVRDHLRNGYSTVLGSATMFDVDESKESAHKMLPCKEPKRVSIHEHLLNGTGTALNGSSGKLVILPDSMQDLMKLSEKKFGKAARGILTVGGAEVEDIEVIRDGDHLFFSW >OGLUM01G33050.2 pep chromosome:ALNU02000000:1:32540092:32545006:1 gene:OGLUM01G33050 transcript:OGLUM01G33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSELLRPAFGEPSPSLGPFVVNPHTCSYRWWQKFLIVLVLYTAWASPFELAMEKSASAALAVTELVVDAFFAVDIAVSFFVAYRDASTGLLVTDRKKIATRQALYGFLNLLRLWRLRRVSKLFARLEKDIRFSYLWTRLIKLLYVTLFAVHFASCIYLWMAFHHKAKELTWIGSQFHGFEDRSVWFCYTCAVYWSITTLATVGYGDLHAANTGEMLFSIAFMLFNMGLTSYIIGNITNLVVHETANTFKMRDMVQRTSVFGRTNRLPVAMREQMMESLQLRFRAEEQLQQEMLSELPKAVRSGIAQHMFRGAVESCYLFQGVSDKLVLPLVAEMKAESFPPKADIILENEASTDCYIIVSGEVEVLTTLEDGTEKQVMRIGPRGMAGEIGVMFNIPQPFTIRSRKLTQLVRISHSHMVQTIRPNTADGVVVFSNFVLYLESLKVKAKETAFVRDHLRNGYSTVLGSATMFDVDESKESAHKMLPCKEPKRVSIHEHLLNGTGTALNGSSGKLVILPDSMQDLMKLSEKKFGKAARGILTVGGAEVEDIEVIRDGDHLFFSW >OGLUM01G33060.1 pep chromosome:ALNU02000000:1:32545364:32545861:1 gene:OGLUM01G33060 transcript:OGLUM01G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPLTVGEARSGVSRAQVWACAVVVPCFIVGAYLAESYGAAAASSFFGSVPWRLPLLVSFAVYLSTVSCVRSYVSLYLRPHTPAHVDRAIQSVGFVGVGLALGVVQSVVLVAAGDNRVVMALTCVIAVFNAGAIALWAWLIAMYRRRRAGVSSSCGKQFYCS >OGLUM01G33070.1 pep chromosome:ALNU02000000:1:32546385:32548878:-1 gene:OGLUM01G33070 transcript:OGLUM01G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTCPQTRRPIHLAEPPLSPEPNPSSPLMDDGAAPAAAAAAEFPSPSPSSSGASPSPLSKRRRTDRYAQGFEFAPRPAPATATATAPAPTPARGTPEWSEGSTFALLDAWGDRFVRAGRRSLRADEWLEVSRLAAAAASRPPGYYSEQQCRNRIDTLRKKYRKEKERMRLAARRPDRPDRPSPSKWIYFDKMQSLMCPPPLPLQPPVVTRRRDTQPVPRQSWGLDAAEYVLGGCENAGTRDSGSGAELGEEQPNEAGAGKGEDFELLVESIRKLGDVYERVESSKRQHMAEVERLRRDLQRDLEVRRREILEKAQAEIARLTEEDGEEGDLKEGEGDDNKRSLDFRLI >OGLUM01G33080.1 pep chromosome:ALNU02000000:1:32567679:32570414:1 gene:OGLUM01G33080 transcript:OGLUM01G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESNDQGLAQKSTAPTGFFRVPGLFVRLSSKGLNAVDPDSAWSPTSPLDFKNLSSSTGSTNLKSSGLLGVEADQKFRTNPPRVGLGLVDALTADESSSCLGVTSSFLDSIRPFLELGLPKAASDAAMQKNGSGSVTLDEIADFALSEEYTCVIEHGPNPRTTHILGDETLEVCKGVPRSSKKSIFTIEPIGELPSTLACAVSRSCCYCRKRLQQDRDIYMYLGEKAFCSNECRRDYIDEEIEEVEELMMLDSAGSSALLAW >OGLUM01G33090.1 pep chromosome:ALNU02000000:1:32571670:32572595:-1 gene:OGLUM01G33090 transcript:OGLUM01G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRTWRFLSSSRGQLGTNPSPRRHHPSLLSPAFPRPKRVAGSRLRQCGRGVVDGETKCVLVRHDKVGRAWWWWPARWPAQREVRPVRRSPMR >OGLUM01G33100.1 pep chromosome:ALNU02000000:1:32573011:32576461:-1 gene:OGLUM01G33100 transcript:OGLUM01G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGARYPDSASCDCLVINLLGLCRLELFDIALFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >OGLUM01G33100.2 pep chromosome:ALNU02000000:1:32573011:32576461:-1 gene:OGLUM01G33100 transcript:OGLUM01G33100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLLLKMKLLAAGVYSNPGVHAVFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >OGLUM01G33100.3 pep chromosome:ALNU02000000:1:32573011:32578625:-1 gene:OGLUM01G33100 transcript:OGLUM01G33100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFSGEWPSSECSVFAHRLMPHFASAPDCRQRPAGVGGWGCCYERAARGCCQWEQDKAEINLSRGPLGDVHVTRILWKPRPPLPRRLNTLLLKLPLPKPLATAKRSLSLSLSLASRAAFLIPVLIHSTSPIHSARQRKSGAGRGGRAAPPSRPAHSIRSRFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >OGLUM01G33100.4 pep chromosome:ALNU02000000:1:32573011:32576252:-1 gene:OGLUM01G33100 transcript:OGLUM01G33100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDTTFLWCKTFKMGAMDVQLESTAVQHGQAKINVEEHALVSLLSDEKYATEKTEDVDPDDYEKLEEGIMQYGCAHYRRRCRIRAPCCNEIFDCRHCHNETKNSIKIDAVKRHELPRHEVQQVICSLCGTEQEVRQVCISCGVCMGKYFCEVCKLFDDDVSKQQYHCNGCGICRIGGKENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLREMEEHCQFACPLCSKSVCDMSKAWERLDEELATISDTCDNKMVRILCNDCGATSEVQFHLIAHKCQKCKSYNTRQI >OGLUM01G33110.1 pep chromosome:ALNU02000000:1:32578359:32579652:1 gene:OGLUM01G33110 transcript:OGLUM01G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYSVLGGEWPTAVVHVAVYTAIVHPNLARIWRNQSLRHDATRIPGSPPPTSCAACISPVPCRVTRCDKLSNNARVLTITEADRHGRDRSRLSLGGAFPQPQVPVWGWAIDVSGRAGDV >OGLUM01G33120.1 pep chromosome:ALNU02000000:1:32594766:32601148:1 gene:OGLUM01G33120 transcript:OGLUM01G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAGDVDGRNHRPGRGSSASSRHLHGVGGASAAEVNLSGRRPFAEKLWSDLAETFFPDDPFRGFGALPPARRAWCAVKYFVPALDWVPRYGLDKFKFDLLAGITIASLAIPQGISYARLANLPPIIGLYSSFVPPLMYAVFGSSNNLAVGTVAAASLLLASIIETEVAADENPQLYLQLFYTAAFFTGLFQTALGVFRLGLIVDFLSRSTITGFMGGTAMIIILQQFKGLLGMKHFTTKTDIISVLHSTYHYRHEWKWQSAVLGICFLLFLLSSKHLRKKLPKLFWVSAIAPFMVVVIGGVFAFLVKGDEHGIPIVGNLNKGINPLSIAQLTFQSRHMKTAVKAGLLSGILALAEGIAVGRSLAMVKNEQIDGNKEMIAFGIMNIAGSFTSCYLTTGPFSKSAVNFHAGCKTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSSIIVVAMIGLVKVKEFCHLYKVDKFDFCICMVAFLGVVFFTMVAGLSASVGLSVVRALLYVARPATCKLGNIAGSETFRDVKQYPQAKSIPGILVLQLGSPIYFVNAGYLRERILRWVEDEDNLCKSVGHDLQYLVLDLGGVTSVDNSGVGMLLEVHKSLERRGITIALTNPRLEVTEKLVLSGYVRDILGDEWVFLTVKDAITACRYALQISRNKGEDEV >OGLUM01G33130.1 pep chromosome:ALNU02000000:1:32601836:32608526:1 gene:OGLUM01G33130 transcript:OGLUM01G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEAEEEVEDEEEYESDLDDAPLPAVRRRAAASDDEEGDGASGSSAPWSVAGSDLDSYSDSDGQGAAEMYDDEEEVSEERDELEAGGGGGGGGGGGGGVGGGEALEDEGKCADEEALEDEGRYGDEEADGVVAALGDEGKCDGEEAEVEAAVEGAEVVNKEGEAQAVPTIGAFYMHDDRFRDPENGRHGSQRKNFGGQKLRYPKDDNVWAHDRFYEMNSHHDRLYETNSHNSPNDSGRGPRGSFRAWGGDRTHRYDHGYLERTLSQSYYHDDREEYKYVPKEPRTFFGTTRDHISFLKESNNMYGSANNYKRVPSKFHTYYDHGDTKNFAYVQRESHTYYGNAKDFTSAHDGYRGGVSNPYVSHWRSDPEIYSGQYIRSQNEEASSNAEGGKHPSQTLGFQTEKNFPMKQTSPSNLNSASPSFYHSISSHQEQPFIQRGKARAVMFSKLFTSSVRMAHNSLKPQSRPVYRVKAVVPSGRGNTLDSLSTNAMEEIDNPGSNLSGSASDNYIQYSKSSDKGTVKTELKEEVEGPSFTYDEGHVHGVTGARGLTLSDKVLLGLREQHIIHHILAAITPNLLNSCPHWDHSVTEDLTHEIPGHQLGQRQNKIRRKLTGTLTSG >OGLUM01G33140.1 pep chromosome:ALNU02000000:1:32608676:32609331:-1 gene:OGLUM01G33140 transcript:OGLUM01G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYGDCEMRLQRIDIRVHVQDDDVNMFGGWGNSGRITDVGARGPEKGEAGARGFLSPLPVSSNPDSNLSMVQPDEHLGPCSRVVERLG >OGLUM01G33150.1 pep chromosome:ALNU02000000:1:32610091:32613912:1 gene:OGLUM01G33150 transcript:OGLUM01G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLGFEKVLDCFSLALCTNACVCIHSVEDDEEEAIEREALVSSQLEELVKLKDLVGGAKTLAFHLEPKTVELRVSMHCYGCAKKVQKHISKMDGVTSFEVDLESKKVVVIGDITPYEVLASVSKVMKFAELWVAPNSQGC >OGLUM01G33160.1 pep chromosome:ALNU02000000:1:32616218:32620927:1 gene:OGLUM01G33160 transcript:OGLUM01G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAAAQAHGWCPSPRRVGRLRRRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPAYAGELPEGLQKISNIIAVSSCKARPQDNNKSVLFSRVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQARKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >OGLUM01G33160.2 pep chromosome:ALNU02000000:1:32616218:32620073:1 gene:OGLUM01G33160 transcript:OGLUM01G33160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding [Source:Projected from Arabidopsis thaliana (AT3G24430) TAIR;Acc:AT3G24430] MRNLRAAAPASFLAPPAPPLLLPPSTPTPRGAFSAKASPAAAAAQAHGWCPSPRRVGRLRRRAGAASSSVASVEDAKKDVLVALSQIIDPDFGTDIVSCGFVKDLEISEALEEVSFRLELTTPACPIKDMFEEKANEVVAALPWVKKVNVTMSAQPAQPAYAGELPEGLQKISNIIAVSSCKARPQDNNKSVLFSRVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRSILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRFYPFGQGSGAQARKVVQQFGIPHLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKVQYGDIPEDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDVPRATTAAVSS >OGLUM01G33170.1 pep chromosome:ALNU02000000:1:32622161:32623117:1 gene:OGLUM01G33170 transcript:OGLUM01G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQGVYVMERDRQWNRHGHDARAPAWWRFFHFEVREVLVDAADSSIFGAVYAFQPPWHLLDPAAAAAASAPHYVVAFRGTITKKASASRDLALDLQLVRNSLDRTSRFHAAMRTVHAVVAAAGHQHHHRVWLAGHSLGSAISTLAAKSMARAGVALPTFLFNAPFPSAPVERIGDRRVRQGVRIANSFVTAAAATLLHHGSGGGGYDAFAALARWVPNVFVNPGDPISAEYVGYFDHRKKMEDIGAGAVGRVATRNSVKDLLLGIGTAGGCEPLHLFPSAVLTVNRGASPDFKAAHGIHQWWRPDLALECAAHYY >OGLUM01G33180.1 pep chromosome:ALNU02000000:1:32623913:32625253:-1 gene:OGLUM01G33180 transcript:OGLUM01G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKTLLLFLVVLLPVANACQPPRTLHVPVFHRDALFPPPPGAKRGSLLRQRLAADAARYASLVDATGRLHSPVFSGIPFESGEYFALVGVGTPSTKAMLVIDTGSDLVWLQCSPCRRCYAQRGQVFDPRRSSTYRRVPCSSPQCRALRFPGCDSGGAAGGGCRYMVAYGDGSSSTGDLATDKLAFANDTYVNNVTLGCGRDNEGLFDSAAGLLGVGRGKISISTQVAPAYGSVFEYCLGDRTSRSTRSSYLVFGRTPEPPSTAFTALLSNPRRPSLYYVDMAGFSVGGERVTGFSNASLALDTATGRGGVVVDSGTAISRFARDAYAALRDAFDARARAAGMRRLAGEHSVFDACYDLRGRPAASAPLIVLHFAGGADMALPPENYFLPVDGGRRRAASYRRCLGLEAADDGLSVIGNVQQQGFRVVFDVEKERIGFAPKGCTS >OGLUM01G33190.1 pep chromosome:ALNU02000000:1:32625761:32627977:-1 gene:OGLUM01G33190 transcript:OGLUM01G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSKLATLGSAAREAARVAARQGCAYNKAVVEGSRQLQWRACEATRSAAKRGRAFHEELMERNKRYVVDPPTIQTCQELSKQLFYTRLASIPGRYESFWKEVDGAKLLWKNRKNLNLKAEDIGVATLFGIELIAWFAGGEVVGRGFTFTGYHV >OGLUM01G33200.1 pep chromosome:ALNU02000000:1:32627989:32631700:-1 gene:OGLUM01G33200 transcript:OGLUM01G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPRTARLALLSAPRAYSAAATGAGAAPARYAGAPPPAPQSKAAEFVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTKLNGVPLAKRALTSPPSQERRYKNTHPLCHSRHIAAKTQIKPSSSAISYVASFLQSRIPGDQSERRIVRAR >OGLUM01G33210.1 pep chromosome:ALNU02000000:1:32633547:32634371:-1 gene:OGLUM01G33210 transcript:OGLUM01G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDVDSDNWVVDGLGATEEFERLLPTMPWNTLMDTMMGELHARGKSLADVAGVLRSAPLDPRVVAAIKACYGLGCDLRILSDANRFFIDTILDHHGLTGYFSEINTNPSAVDAATGRLRIAPYHDFHAGPHGCGLGICPPNMCKAQVLDRIRASAGAAGKRVIYLGDGRGDYCPSLRLGRDDFMMPRRGFPVWELICEDPSLLQAEVHPWADGAEMEETLLRLVGRVLLEERNLPPLDCKLESLPAVAVQDGMPMTLRIKN >OGLUM01G33220.1 pep chromosome:ALNU02000000:1:32638790:32639587:1 gene:OGLUM01G33220 transcript:OGLUM01G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YE87] MAASTMALSSPAALAGKAVANAKVFGEGRVTMRKSAAKPKPAAASGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWGCQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OGLUM01G33230.1 pep chromosome:ALNU02000000:1:32640551:32648862:-1 gene:OGLUM01G33230 transcript:OGLUM01G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YE88] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRGRPPGNLSAQQKKKRGKNIAPKQRSSNAKLLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQERSKDKHFESDFQLEDFGEMIQNMEKNILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGRLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >OGLUM01G33230.2 pep chromosome:ALNU02000000:1:32640551:32648862:-1 gene:OGLUM01G33230 transcript:OGLUM01G33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YE88] MAACGAAAAEYSALLSLSCGPITRRRFAVSCRGRPPGNLSAQQKKKRGKNIAPKQRSSNAKLLLTTEENGQLPSTSLRTSMERPQKSTSSEDDTNGAISQIDEKIAAIGNEQQEVLPYWGGHILISAYQDILLLNQARLQAIEDVDKILTEKEALQKKVDTLEMNLSKALATKGNINTDIPGDHLEKFTKEILIESALSGGNPAHLCESPLFMELTVLKEENMLLKADAQFLKAKIVEFAETEEFLFKLEKERSLLDATVRELEARFLVAQTDIWKVVPLQYDVWMEKVENLQHMLGCLKNHVEKYAALLDQHDDLHDKIDELEASLKEGKTSEFSPYVVELLQQKLKAAKSRHQAGHQETNTHIQVYQQLTEEFQDNLGRLIEESGRLEHSANSMPSEFWSHILLMIDGWFLERKIPNTDARMLREMAWKRDDRICEAYFACKGAKESDVMETFLKLTLSGNSSGLHIVHIAAEMAPVAKVGGLADVVAGLGKALQTKGHLVEIVLPKYDCMQLDQITNLKVLDVVIQSYFDGNLFSNNVWTGTVEGLPVYFIEPQHPSKFFWRAQYYGEHDDFKRYSYFSRAALELLYQSGKKIDIIHCHDWQTAFVAPLYWDIYATRGFSSARICFTCHNFEYQGTAPAPDLSYCGLDVEQLDRPDRMQDNAHGRINVAKGGIVYSNIVTTVSPTYALEVRSEGGRGLQDTLKMHSRKFVGILNGIDTGTWNPSTDRFLAVQYSATDLQGKAANKAFLRKQLGLYSEDASQPLVACITRLVPQKGLHLIRHAIYKTAELGGQFVLLGSSPVPHIQREFEGVADQFQKNNNIRLILKYDEALSHCIYAASDMFIIPSMFEPCGLTQMIAMRYGSVPIVRQTGGLCDSVFDFDDETIPVELRNGFTFARTDEQDLSSCLERAFSYYSRKPMVWKQLVQKDMQIDFSWDSPASQYENLYQSAVAQARGAAQT >OGLUM01G33240.1 pep chromosome:ALNU02000000:1:32650070:32650981:1 gene:OGLUM01G33240 transcript:OGLUM01G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGQPLRDDPQPRRHSPPALHPAVVPAYPPPESDADESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLSAHPTLRAAVVADLLAARSRDPACVGFSHCLLNYKGFLAIQAQRVAHVLWAQDRRALALALQSRVAEVFAVDIHPAAAIGKGVLLDHATGVVIGETAVIGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKIGAGSLVLIDVPPRTTAVGNPARLLGGKKGDDMPGESMDHTSFIQQWSDYSI >OGLUM01G33250.1 pep chromosome:ALNU02000000:1:32655696:32664197:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEEMLHQLRMLTKGMYRGHYLLDRYKYPALQEDRKDEELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSALKYLRAYIRNQLLVHGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCRTEAPGHAVGRKKRSYDRLPRGADAAAMRSAADAAAGGARGLLVRRPGHHPPPYGRRSHRGGVRAGLGCGTLLRGAARPRQYSLACGCGNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHDIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRELGKQLSSTLGAGEASLSPPADFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >OGLUM01G33250.2 pep chromosome:ALNU02000000:1:32655696:32661463:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEEMLHQLRMLTKGMYRGHYLLDRYKYPALQEDRKDEELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSALKYLRAYIRNQLLVHGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCRTEAPGHAVGRKKRSYDRLPRGADAAAMRSAADAAAGGARGLLVRRPGHHPPPYGRRSHRGGVRAGLGCGTLLRGAARPRQYSLACGCGNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRMK >OGLUM01G33250.3 pep chromosome:ALNU02000000:1:32655696:32661300:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEEMLHQLRMLTKGMYRGHYLLDRYKYPALQEDRKDEELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSALKYLRAYIRNQLLVHGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCRTEAPGHAVGRKKRSYDRLPRGADAAAMRSAADAAAGGARGLLVRRPGHHPPPYGRRSHRGGVRAGLGCGTLLRGAARPRQYSLACGCGNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRAR >OGLUM01G33250.4 pep chromosome:ALNU02000000:1:32655696:32664197:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEEMLHQLRMLTKGMYRGHYLLDRYKYPALQEDRKDEELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSALKYLRAYIRNQLLVHGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCRTEAPGHAVGRKKRSYDRLPRGADAAAMRSAADAAAGGARGLLVRRPGHHPPPYGRRSHRGGVRAGLGCGTLLRGAARPRQYSLACGCGELGKQLSSTLGAGEASLSPPADFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >OGLUM01G33250.5 pep chromosome:ALNU02000000:1:32655696:32658214:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLVPAAVSDIAYRLTTFAIKKYQQLPDAEAALETLNRLLLRAQTIIEEAEGKCIANEEMLHQLRMLTKGMYRGHYLLDRYKYPALQEDRKDEELACDISEQTWQSLKSSMSCWARGSKIIITSRSDKIENLGTTAAIRLDLLHPEAYWYFFKMLAFGSRNPDEHPKLASVAMEIATEYSGSFLAAYTIGGLLRDNFNAQFWCSALKYLRAYIRNQLLVHGDHPNNLLLKGQWVHCLRFAEASDPLWMSDYYETDSCPDQAPNISDIMLGSATPRGRFEALGWKSRMAPYYSYMICCRTEAPGHAVGRKKRSYDRLSLPRIPTSRPRLPRGADAAAMRSAADAAAGGARGLLVRRPGHHPPPYGRRSHRGGVRAGLGCGTLLRGAARPRQYSLACGCG >OGLUM01G33250.6 pep chromosome:ALNU02000000:1:32658599:32664197:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRHLLLRIEATVLEAEGRHITNRAMLRQLQMLREGMYKGYYLVDTIKNGVLQHEMVNDEVGDHSFSFPKLRPTKRLCFFTRTFTMAFQGEDRKEVGEMLCSLQSITDDMKEFLVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLSIIGPARVGKSTLVEHICYDERVRSFFSSIVFCSGSDIRSKSFADLRDSGIVKHQSCVAHERSLIIIEFIDDGDVDEENWRRLYSSRSCIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHDIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRELGKQLSSTLGAGEASLSPPADFFFSPEGWVTDMETFLPAILSDLLGRSISYLVQRYRQQSTVQDDLEKLRLALVRVHVTVEEAEARHITNKAMLRQLDVLREAMYSGYHMLDALTYRAHADGASFSFAPSRLNAAKRLRLLAADEGAAELRRTVDSLGRTIADMREFVVFLKGYPRISTQPYSMHLLLDKLMFGRQKEVEQVVGFLLQPDVCGAGAGAGAGVLHIVGVARVGKSTLVEHVCHDERVRGRFSSIVCLSREDLEDMGDHRALTVKHGSHASHGSSLVVVDLAEDEEPVGDGAWRRLRSSAMCRARGSRIIVTSRSPETVRGIPAARAIELKFLRDDVYWYFFKVLAFGSANPDDHPRLASIAMDISAEQKGGFIGATIASSLMRANPDAHYWTLILKNMREYTRKHRAMFGKHPHDLLRNNHPVYLWRLAESSKIFLCHGFYTACPAKQEIPRVTFQEVLSGRVTPRGRFEVLAWTSQIPPCRSYLMSCSLDTPPGPHRVLDRKKRLRQLVT >OGLUM01G33250.7 pep chromosome:ALNU02000000:1:32658599:32662005:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRHLLLRIEATVLEAEGRHITNRAMLRQLQMLREGMYKGYYLVDTIKNGVLQHEMVNDEVGDHSFSFPKLRPTKRLCFFTRTFTMAFQGEDRKEVGEMLCSLQSITDDMKEFLVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLSIIGPARVGKSTLVEHICYDERVRSFFSSIVFCSGSDIRSKSFADLRDSGIVKHQSCVAHERSLIIIEFIDDGDVDEENWRRLYSSRSCIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHDIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRELGKQLSSTLGAGEASLSPPADVDAQRINAPPGLLRGELRISLFLSWFL >OGLUM01G33250.8 pep chromosome:ALNU02000000:1:32658599:32661300:1 gene:OGLUM01G33250 transcript:OGLUM01G33250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLSAVLGDLVSRSISFLVDKYYQQKMGMGVDLQCLRHLLLRIEATVLEAEGRHITNRAMLRQLQMLREGMYKGYYLVDTIKNGVLQHEMVNDEVGDHSFSFPKLRPTKRLCFFTRTFTMAFQGEDRKEVGEMLCSLQSITDDMKEFLVFLKGYPHIHRQPYSQHLILEKCMFGRQAEIERITNFLLRESLGAESLGVLSIIGPARVGKSTLVEHICYDERVRSFFSSIVFCSGSDIRSKSFADLRDSGIVKHQSCVAHERSLIIIEFIDDGDVDEENWRRLYSSRSCIPHGSKIIITSRSERFRNVGTTQPLELSLLPQEAHWYFFKVLAFGSTNPVEHPFLESAAMEMAAEYRCFVAANFVASLFRANFCTQFWHLFLRCHRNIVEKHVILFGEHPYTLVQKNHDIYLVENFRDPKFILVNGYKTCLRNDDPKVMLHEVHTGTSKAHGKFEVLVWRSRIPPYHEFVMSCEAQAQQHIIFKRKRAR >OGLUM01G33260.1 pep chromosome:ALNU02000000:1:32665833:32666030:1 gene:OGLUM01G33260 transcript:OGLUM01G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGALSAGGRAARASAAALWSRRRQWLLLRVESLPPILSARAIPAADLHAEPVCAAGPVLLPAA >OGLUM01G33270.1 pep chromosome:ALNU02000000:1:32667563:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCHTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQSFLPHKLAGRAARARPRIHATAARAAPVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVLPSYGSPALLQLLVARKLHVWPPSRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.10 pep chromosome:ALNU02000000:1:32667563:32686228:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.10 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRLMVTASGPAMAAGEIFRRRASTVLWQVEFPAAQTRRPRRSSSSAYPRHRRPCCPWFAAPD >OGLUM01G33270.11 pep chromosome:ALNU02000000:1:32671497:32686228:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRLMVTASGPAMAAGEIFRRRASTVLWQVEFPAAQTRRPRRSSSSAYPRHRRPCCPWFAAPD >OGLUM01G33270.12 pep chromosome:ALNU02000000:1:32685994:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.12 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMKGLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.13 pep chromosome:ALNU02000000:1:32686859:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.13 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAMKGLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.2 pep chromosome:ALNU02000000:1:32667563:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRLMVTASGPAMAAGEIFRRRASTVLWQSFLPHKLAGRAARARPRIHATAARAAPVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVLPSYGSPALLQLLVARKLHVWPPSRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.3 pep chromosome:ALNU02000000:1:32667563:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCHTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQSFLPHKLAGRAARARPRIHATAARAAPVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVLPSYGSPALLQLLVARKLHVWPPSRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.4 pep chromosome:ALNU02000000:1:32667563:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQSFLPHKLAGRAARARPRIHATAARAAPVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVLPSYGSPALLQLLVARKLHVWPPSRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.5 pep chromosome:ALNU02000000:1:32667563:32694624:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLAHGDGVRSGDGRRGDLPPARLHRPLAVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVLPSYGSPALLQLLVARKLHVWPPSRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERVRKYFSTIVFYSPDGIGGEYHALLTDTVVIKHQNPSSTEQSLLVIELSNDMDDETWRRILHRLRNHITPVSKIIITSRSKNIATFGTTEALQLDFLPKEAFWYFFKTIAFGSSNPEEEPKLAAVCMEIAVLMNGSFIGAHVIGGILRSNLSGQFWYTFLEYYRYFTGWYIHLQGEHQSDMFKKRSGLTYIWSYKNWSAVTATFHLYQISSANLNDLPVIRTSELLTRDIKLEGKFDALEWRSSVPPYYSYMVHHEYGTGNNHDVITAIESISFTIDRYYRQHQGVEENLRKLHRMLLRIQAIVEEAHGRQITNQAMLLQLRMVRAVMYRGYYFLDNFRYRTVQAHAQDEVGDRSLGLSPFSQFKRFCFSTRTRKIASEVLDQKELQKMLGHLENIVSDMQEFVAFVSCYPRMSRQPYCSYLLLENCMFGRQIEQEKIINFLLEPNHPSAKGINVLPIIGPGRVGKSTLVEHVCHDERVRKYFSMIVLCSADSIGGGFLTDTGLIKHRNPTSTGQSLVIIELADDDMDDRTWTRILHNLRGEHITPVSKIILTSRSDKIRAFGTTEALHLDFLPKEAFWYFFKTIAFGSRNPEEEPKLASICMEIATLVKGSFMATHVIGGILRSNLSAQFWCRFLKCFRHYTDMHISVLGEHPSDAYTKTSGLTYIWTSRNMSVVAATYSLHQASSAQLADLSPILSNDVLTGDVEPPEKFDALEWRSSIPPYYNYISHYEILAQPPDMLPKRKRSRSLSEGLV >OGLUM01G33270.6 pep chromosome:ALNU02000000:1:32667563:32689006:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQSFLPHKLAGRAARARPRIHATAARAAPVLGDLLGRSISFIVDSYYQQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKNHAALVQKAVMCFR >OGLUM01G33270.7 pep chromosome:ALNU02000000:1:32667563:32689006:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRTREGNQLLAKKPCRPGAEGCDVLPIIGPGRVGKSTLVEHVCRDERTPL >OGLUM01G33270.8 pep chromosome:ALNU02000000:1:32667563:32687830:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQHQGVEENLQKLHHVLLRIQAIVEEASSRHITNQAMLLQLTMLSNMMYRGYYFLDNFRYRIVRPHAQDELGDHSLGMSPFSPFKRLCFSTRTRKIVSEVLERKELQKMLGHLETIVSDMQEFVVFLSSYPRMGRQPYCSYLLLENCMFGRQAEQERVINFLLKNHAALVQKAVMCFR >OGLUM01G33270.9 pep chromosome:ALNU02000000:1:32667563:32686228:1 gene:OGLUM01G33270 transcript:OGLUM01G33270.9 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFSAVLGDLFSRSISFVIDIYHHHHQGGVEENLQQLHRMLLRIKAVVEEADSRCITNQAMLLQLRMLRDVMFRGYYFVDNFRYRIFQAQAKDEVEQVKSHLKSWRKKSCKKMLGHLETIVSDTQEFVVLASSYPRITRQPYCSYVLLENCMFGRHAELERVIKFMLEHPLCGAKGIDVLPIIGPGKVGKSTLVEHVCRDERVRKCFSTIVFYGPDSIDNGDMVLLPDTRAIKYRNPASGEQSLAIIELVDEMDDETWRRILHSLRGDHITSVSKIIITSRSRKIATFGTTKALQLDFLPKEAFWYLFKTVAFGSTNPEEEPKLASICMEIADLVNGSFMESNVVGSILRSNLSAQFWHNFLKRLKYFTDRHFRLLGEHPRDSYKSNRGRTYIWMHKNYYGDGDAATYNLYHANSAGLNNLPMIRMSDMLIGNVKPQKKCEALEWQSSIPPYYSYIVQYEILARQPLMLPKRKRSRALLEELRQRPCNAIQRQHVQQLPVARLLYKLHACPDYTLVPIITKILTMMDTFFSAVLGDLLSRSISFMIDRYEQQQQSVEEESRLQQLHRVLLRIEAIVEEADGRLITNRAMLQQLGMLREMMYRGYYFLDGFRYRIAQPHAQDEVGDLSPFSPLKRFCISTRDRKTTISEILEKKELQEMLGRLKTVVSDMQEFVVLVSGYPRMKRQLYCSYLLLENCMFGRQIEKERNINFLLAPHPLGDEKDIDVLPIIGPGRVGKSTLVEHVCRDERVREYFSTTVYYGPDSIGDGDLAPLTDTGVLKHRNRASSRQSLAIIELVDEMDDETWRRILQSLRSGDHVAPTVAFGSTNPEEEPKLASICMEIAAVLNGSFMGLNIVASILNSNLSAQFWYSLLKRLKFFTYRHIHLLGEHPRDLYNANSGRTYIWMHENYCGDSDLVTYNYYQVNSARLNGLQTVLTSRDILTGTVKPQAAKYEVLEWQSSIPPYNSYITQYEILAQQKLMLPPKRKRSGALSEELRLCISTRTWKILSQVLEKKELQKMLDHLQSIVSDMQEFVVLMSSYPRMSRQPYGSYFYPRMSRQPYGSYLLLENCMFGRQIEKERIINFLLEPHRPAGSKGIDVLPIIGPCRVGKTTLVEHVCHDEMVRKCFSKILMYGADSIECGELVPLTEIGVIKHRNPASTGQSLLIIELVNDMDNETWTRILHRLRGDHSTPVGKIIITSRSNKVATFGTTEALQLDFLPEEEFWYFFKTMVFGSANPEEEPELAAICMEIAALMNRSFMGTYIIGDILRSNLNPQFWYKFFECFKYYTDIHIRELGEHPTETYKRISGHTCVWTPENRCVTEATYALTYTLYQASSEDLNDQPMVLASDVLVGNVQLQGKVDVLQWRSKIPPYYCYMAHFEVLARPLHMPLKRKRSRSLSGQLMVTASGPAMAAGEIFRRRASTVLWQVEFPAAQTRRPRRSSSSAYPRHRRPCCPWFAAPD >OGLUM01G33280.1 pep chromosome:ALNU02000000:1:32690240:32692301:-1 gene:OGLUM01G33280 transcript:OGLUM01G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEXGGRPPPGSGGGGPVAAGGEPSGGVGNGVAGDGDGLRRWRMGEARGKRLRAPPVGRIRRHSARARRGHRRRWVGRERLGGDGRGAQTARAGPDRDLLPTPVACELSGARSGGYDWATADPGKASCSLVLPSPMTPTSLSSRRRRPPLDAVNAPATSPARPDWRTVGFGAAAPSAALV >OGLUM01G33290.1 pep chromosome:ALNU02000000:1:32697251:32701202:1 gene:OGLUM01G33290 transcript:OGLUM01G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFFSAVLGDLLSRSVSFLINRYHQQQKDAEENQQRLHRVLLRIEATVEEADRRCITNQAMLLQLRMLRDVMYRGYYFLDSFRYRNVQAHAQDEVGDHSLGLSHFCPLKRFCISTRTWKILSQVLEKKELQEMLDHLQGIVSDMQEFVVLMSSYPLLGDLLSRSISFMVDSYYQKHQGVEENLQCLHRLLLRIQAIVEEADSRHITNQAMLLQLRMLSNMMYRGYYFLDNFRCRIVQAHAQDEVRDHSLALSSFNPLKQFCFSTTTRKMVSEVSERKELHKMLGHLESIVSDMQEFVVFVSSYPRMSRQPYCSYLLLENCMFGRQEEQERVINFLLARHPPGGEEVIDVLPIIGPGRVGKSTLVEHVCHDERVRKYFSTIVFYGLGSIENNGDMAFLPDTGAVKYRNPVSGKQSLAIIELVDEMDDETWKKILHSLRGDHIAPVSKIIIMSRSNKIELFGTTKALQLDFLPKEVFWYFFKTIAFGSTSPVEEPKLASICMDIAASVNRSFIGLNVHGSILRSNICAQFWYSYLKRLKYYTDKHVRLFGEHPRDTNKNNGGLTYVWMHKNKHGCSGLATYKLYQASSISQNNLPTIRSIDMVSRNVKPRAKYEVLEWQSSIPPYYSYIAQYEILAQPKLMLPPKRKRSGALSEELV >OGLUM01G33300.1 pep chromosome:ALNU02000000:1:32703894:32706800:-1 gene:OGLUM01G33300 transcript:OGLUM01G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLNMFDILGADDNDDPSQLLAAAAAAAQKAEAKKAVAAAAAPAGKAAQAASAAAKFPTKPAPPTQAAREARGGGAPSRGGFGRGERGRGRGGRGYGQNRDFGGDNANGYQGGYGGGYGDGAVAAGGEGDRERGPRAPFHGGRRGGYRNGEYGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEALAQETEEALKVEESAPIAEKQGEQDDAPTGEKDNKEGAANEEEEKEEDKEMTLEEFEKLREEKRKALLAFKAEERKVEVDKDLQSMQPLSTKKGNDEIFIKLGSDKDKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGRGYGGGYQRGPYSTSAPSIEDQAQFPTLGGKPRA >OGLUM01G33310.1 pep chromosome:ALNU02000000:1:32710743:32721777:1 gene:OGLUM01G33310 transcript:OGLUM01G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEVGDYLP >OGLUM01G33310.2 pep chromosome:ALNU02000000:1:32710743:32721777:1 gene:OGLUM01G33310 transcript:OGLUM01G33310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEVGDYLP >OGLUM01G33310.3 pep chromosome:ALNU02000000:1:32710743:32713068:1 gene:OGLUM01G33310 transcript:OGLUM01G33310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATRAVRRLLIASRSLHAISSEGAAREAATSFVHPAAVVHPDAVVGQGVSIGPFCTVGASARIGDACQLHAGSHVMGDTELGERCVVLTGAILGSDIPGQTIIGENNVIGHHAVVGVKCQDLKYKVIGDNNLIMGSCHIAHDCRIGNNNIFANNTLFAGHVVVEVGDYLP >OGLUM01G33320.1 pep chromosome:ALNU02000000:1:32731205:32734388:1 gene:OGLUM01G33320 transcript:OGLUM01G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKASVKRGPWSEEEDAILRSFVERFGNAGNWIALPHKAGLKRCGKSCRLRWLNYLRPAIRHGGFTDEEDNLILSLYGEMGSKWSVIASKLPGRTDNDVKNYWNTKLKKRYLAAVATEATTPPPPAAGDDDNNPTTQASSQPAPPTLPAPLVNLDAAGLDGAVGDNDELLLHKSEQLYAELMGLIEQQQYSTITAAAVDAATTTTSWSSPSTGTTSPTASSSTDGSSSSSNLPWPAVDVHDSTMMPPLSESSGSSSGLFFGSHAFGSGSFQDLLGSAASFDDVMLSQEMLLASKVLVNMISVLMALTAAIRLDVPAKLWARGANAPFPTGHPNPFVLERLLRLLTSCSVFCEHKGSPRHFALTTGDDES >OGLUM01G33330.1 pep chromosome:ALNU02000000:1:32739051:32739581:1 gene:OGLUM01G33330 transcript:OGLUM01G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLRPPPRRSPPTTIGEAYPDARGFATLKWIVILLLVSCMFAGGLYALTPLVSKDPLYLARVPWRLPVRVLCDSYLSLIMVIRAYTFMHLPRAPLVVDDYLMLLGLGVIGGVTVVTTSTILGLPVEDERVVMACAGVLVVLVAGLLAYWRWIVRKYGDKPVDPAPKLVEGDRN >OGLUM01G33340.1 pep chromosome:ALNU02000000:1:32740655:32741176:1 gene:OGLUM01G33340 transcript:OGLUM01G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWLPSSPPPPPPLTIGEAFPDARHLETPKWIAALLLVSCMFAGGLYTLTPLIAKDPLYLARVPWRLPVRVLCDTYLSLTMVIRFYTLMYLPRAPLVADEYLFMFGLCAVGGAAIVTTSFVLGIPVEDERVVMACAGVLAVLVAGLLAYWAWLVRKYGDNKPVDPASKHVVVV >OGLUM01G33350.1 pep chromosome:ALNU02000000:1:32741615:32747885:1 gene:OGLUM01G33350 transcript:OGLUM01G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEC2] MRKAAALASAAMAAAAVAVVSTVLHQRQRRAAKRSERAEAVLLRDLQERCAAPVELLRQVADAMAAEMRAGLAAEGGSDLQMLVTYVDSLPSGGEKGMFYALDLGGTNFRVLRVQLGGKERRIIKQDSEGISIPQHLMSSSSHELFDFVAAALAKFVASEGEDCHLPEGTQRELGFTFSFPVKQKSLASGTLIKWTKSFAIDEMVGKDVVAELNMAIRRQGLDMKVTALVNDTVGTLAAGRYVDHDTIAAVILGTGSNAAYIDHADAIPKWHGALPKSGNMVINMEWGNFKSSHLPLTEFDQELDAESLNPGKQVYEKLISGMYMGELVRRILLKMAQETRIFGDNIPPKLERPYILRTLDMLIMHHDTSSDLRTVANKLKEVLGIEYTSFTTRKLVLDVCEAIATRGARLAAAGIYGIIQKLGQHSDSPSTRRSVIAVDGGVYKYYTFFSQCMESTLSDMLGQELAPSVMIKHVNDGSGVGASLLAASYSQYHQAESADRGDAGTGWVPSAAGCRGYLPSSFPPLSHPRDRSNQPPPLIESLTRLPRPHRRRRGRSDPASEVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >OGLUM01G33360.1 pep chromosome:ALNU02000000:1:32754162:32756917:-1 gene:OGLUM01G33360 transcript:OGLUM01G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTAEELRASMDKKDNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDAALRSFEGKRDGNSYLINLIDSPGHIDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQSLAERIKPVLTVNKMDRCFLELQQSGEEAYQAFSRVIESVNVTMAPYEDKNLGDCMVAPEKGTVAFSAGLHGWAFTLSNFAKMYKAKFKVDEAKMMERLWGENYFDHTTKKWTTTAPSTSSKTCQRGFVQFCYEPIRRIISACMNDDKENLWDMLTKLKITLKAEEKELTGKKLMKRVMQAWLLEMIVFHLPSPAKAQQYRVDTLYDGPLDDPYATAIRNCDPKGPLMVYVSKMIPASDKGRFFAFGRVFSGTVATGNKVRIMGPNFVPGEKKDLYVKTVQRTVIWMGKKQESVDDVPCGNTVAMVGLDQFITKNATLTDEKAVDAHPIKAMKFSVSPVVRKSVACKNASELPKLVEGLKRLAKSDPLVVCTIEESGEHVIAGVGQLHLEICIKDLQEDFMGGAEIIVGPPIITYRETVTKNSCRTVMSKSPNKHNRLYMEARPLDKEDLQQDEPSLCKAIDDERIGPKDDIKERGKILSEEFGWDKDLAKKIWAFGPETKGPNLLVDMCKGVQYLSEIKDSVIAGFQWASKEGALAEENMRGVCFELCDVTLHSDSIHRGGGQLIPTARRAMYAAQLTASPRLMEPMYQVDIQVPKTAVGNVYGVLNSRNGELVEESERTGTPLSNLRFYLPVAKSFDFTEKLRAETSGQAFPQCIFHHWQTMRSDPFQEGSEAAKVITDIRKRKGLKDIITPLSDYEDKL >OGLUM01G33370.1 pep chromosome:ALNU02000000:1:32761448:32765681:1 gene:OGLUM01G33370 transcript:OGLUM01G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSATTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAISEYPAVNASPASAQQIEAFQHKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >OGLUM01G33370.2 pep chromosome:ALNU02000000:1:32761448:32765681:1 gene:OGLUM01G33370 transcript:OGLUM01G33370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGGMSSTSRAPRGIREETLLRVPGASVHLLDGAEGPVELARGDLAVVRIAKDGVAVATVARVGRGLGWPITRDEPVVRLDRLHYLFTLPDSTGGGGGGGALFLNYGVSFAAPDDALLASLDAFLKANACFSTPSSPAPSRSSATTTTRPAPTTTATADGYWNDFAPRMDSYNNVLAKAIAAGTGQLVRGIFMCSEAYATQVQRGADLIRPQAAGSVTKRSGGAGGGGASRTTGQPDAKRGGLSEMTEKMSQSLLDTVIAVTGSMAAPLLRSKQGKAFLATVPGEVILASLDAINKVMDAVEAAERRSLAATSNVVSGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >OGLUM01G33380.1 pep chromosome:ALNU02000000:1:32764092:32765567:-1 gene:OGLUM01G33380 transcript:OGLUM01G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEC6] MSDSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRPNVSKAELKEKLAKLYEVKDANCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OGLUM01G33390.1 pep chromosome:ALNU02000000:1:32796037:32801806:1 gene:OGLUM01G33390 transcript:OGLUM01G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADP-malic enzyme 4 [Source:Projected from Arabidopsis thaliana (AT1G79750) TAIR;Acc:AT1G79750] MRAFRSRFLPLLARRWQWQWQFQGRRAARCGLCGEEREEEEEEEEGKMESTMKGIRGDNAPCVLDLDDAATVGGGVGDTYGEDCATEEQLVTPWTVSVASGYNLLRDPRYNKGLAFNERERETHYLRGLLPPAIVSQELQERKIMHNIRQYQLPLQKYMAMMDLQEGNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRPQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSAEYADFLHEFMTAVKQNYGEKVLVQFEDFANHNAFELLAKYGTTHLVFNDDIQGTASVVLSGLVAALKLVGGSLSEHSYLFLGAGEAGTGIAELIALEISRQTKAPIEECRKKIWLVDSKGLIVSSRKETLQHFKKPWAHEHEPVGNLLDAVKTIKPTVLIGTSGKGQTFTQEVVEAISSFNERPVIFALSNPTSQSECTAEQAYTWSKGRAVFASGSPFDPVEYDGKIHMPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAACLASRRPRPKDLVKYAESCMYSPLYRNYR >OGLUM01G33400.1 pep chromosome:ALNU02000000:1:32803535:32809371:1 gene:OGLUM01G33400 transcript:OGLUM01G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGGKKKSPWASGERRPHFFKVLVGDFKQRLKIPPNFCKHIPWEESRKAKGLKEASMAATLEGPSGRTWLVVIRRTAEGTFFTSGWPKFVQDQALRELEFVVFRYDGNTRFTAMVFDRTACEREDLMGGGGGGGGDRPRKKRGRPRTAAASRDAARPKKDSVGKEMVTYRASPSGSTAVKNEEDADELPVCELPASSASPPRHVPEGALDADGGAARRGAAKTRSLQDDLALASIPPSIRRYKGYVSRRRAVTTAERQRATEIAHAFRSPLPYCVIRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKVWSVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVAAAEFRVHIFRVVEPAVPAVRLRRVTVTCGRGPT >OGLUM01G33410.1 pep chromosome:ALNU02000000:1:32810807:32815070:1 gene:OGLUM01G33410 transcript:OGLUM01G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosyl pyrophosphate (PRPP) synthase 3 [Source:Projected from Arabidopsis thaliana (AT1G10700) TAIR;Acc:AT1G10700] MATAASASASPAAAFGAKTPRPGPSPSPSPASAFARPSPRASAAGRLHASLHLGGASASASATGSSIVSNASGIHLAAPVLAPLAVPKMTGAVGAHKNVLLFHCEEMRELAEQVVARNDDIELRSISWRTFADGFPNLFISNAHTIRGRHVAFLASFSSPSVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSNIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSDNVVCNKVREGEQRIVRIKEGDPRGRHVVIVDDLVQSGGTLIECQKVLAEHGAAKVSAYVTHGIFPNKSWEKFQPDNGEGPGHGLSHFWITDSCPLTVNAVKDRQPFEILSLAGPIASALQI >OGLUM01G33420.1 pep chromosome:ALNU02000000:1:32815867:32823009:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEGRQPSPASMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETTYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >OGLUM01G33420.2 pep chromosome:ALNU02000000:1:32815867:32825522:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEGRQPSPASMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETTYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >OGLUM01G33420.3 pep chromosome:ALNU02000000:1:32815867:32823009:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEGRQPSPASMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETTYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >OGLUM01G33420.4 pep chromosome:ALNU02000000:1:32815867:32820571:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRHHHFIKVMVGEFARRLEIPQGFLIHIPEVDHSTFDASLPSSAKGTLQNSEGKTWPVELEKLDGHVFLTTGWAKFVEDNSLREYEFLLFRYDDNMHFMVLPFGLNACEKVIRSSGSPQGKLPCDIFCCTKRGRDGDRLTEAANSLTPSHSQVLQRTTQGHELISPQSFPDQHEVCGSKDGLDEHLSLNGPMEDDKANAIAEVMSILDVDKVTVELFCAMLVFYKWNVDAVAEDFDICRGKPQIQNLFLKHKLHFQFDIVKRKLRKFFPPDDYCSSPILESRKCSLEEPKLSNQPLQCDLTTEKCRLVDEHDLCNFSQKKRRKRGSFCSPETPRRSPRLARQNNSHDSAENTLKERSEGRQPSPASMIDQAESRSEQACLCHDKTDSGSLFQDSKKVKPAHGEVDLCEEPQHNQGENEGNLDQVNNKETDEEQIERNAVETSESFTRRGCIKSSPASCEVPACLRINELSLTWKPAEHVNPLEKVLLDIQRDNFMKTISHVQGIIRNHPSDLLTADVITVVVQKEIFKWNCCLKDRDAQRIVNALLEHARKIKEMHNFNSEMRKEEFSAKLKVHLKWQLKEVETTYTSLELDYKKATSDDNIAFSMLHDKKKKLHNLQDEITGLQQSLEMKKDEMQKLAHQVAEHESVFQKSLMERLRIKEVMKGYEQTLAEVKVQLTSTEVGSIDIEALVKVEMDNMTKEIELSKESLLNITFH >OGLUM01G33420.5 pep chromosome:ALNU02000000:1:32819924:32821610:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRCATTIVVLPDMILSRASCTTCSDCASRALVASSSSSIAGFRIIALAIAIRCFCPPDSCNPCSPQSIDFLSSTSNTEATAFAPLARSDVMLPASAINFPVLTKTKNVLKTSPAEISPDCANFPPYQKSRAHDKNERQGDSPVVIPATHDFFLALFSGSSCVCSKS >OGLUM01G33420.6 pep chromosome:ALNU02000000:1:32822272:32825456:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGEKQRNRAVEVVTMFTSVVLPIDAWSSACCTSFSDSESSALVASSSKSIAGFLMTARAMAIRCFWPPDSSAPRSPICKGRACNHRASDTGIGSK >OGLUM01G33420.7 pep chromosome:ALNU02000000:1:32822666:32825456:1 gene:OGLUM01G33420 transcript:OGLUM01G33420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSVVLPIDAWSSACCTSFSDSESSALVASSSKSIAGFLMTARAMAIRCFWPPDSSSK >OGLUM01G33430.1 pep chromosome:ALNU02000000:1:32820306:32825952:-1 gene:OGLUM01G33430 transcript:OGLUM01G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >OGLUM01G33430.2 pep chromosome:ALNU02000000:1:32820306:32825952:-1 gene:OGLUM01G33430 transcript:OGLUM01G33430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATTGGGGERRSLRGMFKFADRVDVLLMALGTLGAIGDGCSTNLLLIFASDVMNSLGYARAGAHGGAAAATGVDFMREVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFISGLAFSTYFSWRLALVSFPLVLLLIIPGLIYGKYLLYLSRQSRHEYTNANSLVEQALGSIKTVYSFTAEKRIIQRYTAVLDKTIKLGIRQGIAKGLAVGFTGLSFAIWAFLAWYGSRLVMYHHESGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPEINADDPKGLILDQVRGELQFESVRFVYPSRPNMTVLKDFNLQIPAGQTVALVGSSGSGKSTAIALVQRFYDATEGTVKVDGVNIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDELYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAVIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVNIVTTSTALLYNAKINCTIVVAHKLSTVKNADQIAVVDGGTIAEIGTHDELINKGGPYSRLVKLQKMVSYIDQEGGDQFRASSVARTSTSRLSMSRASPMPLTPGISKETDSSVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDLNEMNAIISRYALIFCSLSVISIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGSLCSRLSNEASLVKTLVADRISLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQHQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHTQEEPLKRARKKSWVAGITTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKDNQKNKIQGRIEFKRVDFAYPTRPQCLILQDFSLDVKAGTSIGLVGRSGCGKSTIIGLIQRFYDVDRGAVKVDGMDVREMDILWYRGFTALVSQEPAIFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYHTDCGEHGLQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGTYPHLMSKKGAFYNLAALQK >OGLUM01G33440.1 pep chromosome:ALNU02000000:1:32847967:32848472:-1 gene:OGLUM01G33440 transcript:OGLUM01G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVEGHHPADGASSSVAVCGGGEGVWRWGRRSLGAGAPSTAGTTGIAGESLAEPFGWLTTATPFGVVPLLGGVVKTLLRFRTSDGGDPCHILLGSTALEKPLRARILSLVYALASNFSPRP >OGLUM01G33450.1 pep chromosome:ALNU02000000:1:32849418:32858826:1 gene:OGLUM01G33450 transcript:OGLUM01G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQHVQEEAAGAEAVHAHAARHDGAVVMEILSRSLQSMPASPDVSAYFSGASSRRPSAADEVDDEEALRWAALERLPSFDRLRTGLMRADADSSGVGVGAVGRGRRWYAHREVDVRTLELAQRQAFVERVFHVAEEDNERFLKKLRARIDRAGIQMPTVEVRFRNVNVQAECHVGTRALPTLANVSRDVGESLLGLVGLNFAKRKALHILKDVSGIVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLEKTAAYISQHDVHAGEMTVKETLDFSAKCQGVGQRYELLKELAKKERQLGIYPDPEVDLFMKATSVEGSTLQTDYILRILGLDMCADVIVGDELRRGISGGQKKRLTTAEMLVGPTKVLFMDEISTGLDSSTTFQIIRCIQQIVHMGEATVLVSLLQPAPEIFELFDDVMLLSEGQIVYQGPREHVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQSEKPYRYVSVPEFVAKFKKFHMGKSLKKQLSVPFNKGKIHKSALVFSKQSVSTLELLKTSCSKEWLLMKRNSFVYIFKTVQGILVALIASTVFLRTQLNTRDEDDGQIYIGALIFVMITNMFSGFADLSLTLARLPVFYKHRDFLFYRPWTFALPNVLVRIPSSLFESIIWVAITYYTMGFAPEASRFFKHLLVVFMLQQMAAGLFRVTAGLCRTVVVTNTAGSLAVLIMFVLGGFILPKDAIPKWWVWAYWCSPLTYAYIAFSSNEMHSPRWMDKFVPDGKRLGVAVLENSGVFTNKEWYWIATGALLGFTILFNLLFSLSLMYLNPVGKPQSILPEETDSQENIQEGKNKAHIKQIITVETPEPVSPNSIITLDKVIQQLRGYSTNTSDRSHSYINAAGRTAPGRGMVLPFEPLYMSFNEINYYVDMPLEMKSQGVTADKLQLLSGISGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFLRLPKEVNDQEKKIFVDEVMELVELTGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGPLGTNSHKVVEYFEAIPGVPKIEENRNPATWMLDVSSAASEVRLEIDFAEYYRSSTMHQRTKALVKELSNPPPGSDDLYFPSQYSQSTFNQFKLCLWKQWWTYWRSPDYNLVRIFFALFTALMLGTIFWRVGHKMESSKDLLVIIGSMYAAVLFVGFENSVTVQPVVAVERTVFYRERAAGMYSAIPYALAQVVVEIPYVFVETVIYTLIVYPMMSFQWTPAKFFWFFYVSFFTFLYFTYYGMMNVSVSPNLQVASILGAAFYTLFNLFSGFFIPRPKIPKWWVWYYWLCPVAWTVYGLIVSQYGDVEDFITVPGQSDQQVRPFIKDYFGYDPDFMGVVAAVLAGFTVFFAFTYAYSIRTLNFQQR >OGLUM01G33460.1 pep chromosome:ALNU02000000:1:32862390:32863076:1 gene:OGLUM01G33460 transcript:OGLUM01G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDTRVTYGFTFTLNTRSRSSIKQEQSEVSNVQSPFSTGTMHQAAAAAEAHGSGHPAASMADDGKEEAVSAAWHGSVRAAVEGPTPDQAWALLGDFCSLHRWVPSVQTCRRVEGAEGQPGCVRYCAGPVNKAAEAEAEAVAGWSKERLVEFDPVARRYSYEVVETNKGFGRYAATLRVEPDPAGCAVAWSFEADPVRGWTLEGFVGFLDELARGVARRLEEEIMSRN >OGLUM01G33470.1 pep chromosome:ALNU02000000:1:32888742:32890419:-1 gene:OGLUM01G33470 transcript:OGLUM01G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEPARRQKESPFQPNRRPARAQDALSLVLVRVRRRSLRQTQLRLAPKVRVRRRFTSPLSAAAAKPAGRRAAATGEALGRPALQPRPGVHGGSRPPGLTARWQGISTNTSRAWEKVRCSPQTQPPVTPTLDEAGRRCHLSPATKWAADLCGFWMRARTPKSIVESRLPKLGRVAWQEQRGLLHTPGSGCAATHLHHRAVRPGGRPPARDPGRGGRAGRPGTSPVVAARLPADSRRRPDEGEVKRRRTRT >OGLUM01G33480.1 pep chromosome:ALNU02000000:1:32890532:32900543:1 gene:OGLUM01G33480 transcript:OGLUM01G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPDRYTPTPSRLPLALKAEAQVETPTFADNYTPPPSRDAVNMFDEMPREGCHQIVTMMTVLFACMHGGLVDTGMSLLKRMEPGDIRVVDEGHALMEQRMLMEANVVIWGTLLGACERHENVRVGQ >OGLUM01G33490.1 pep chromosome:ALNU02000000:1:32901732:32914707:1 gene:OGLUM01G33490 transcript:OGLUM01G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDEGSLWSWGYNICILHKVYLGYGEENSLFPCLVERFQDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQKIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKIRVQEMVQECIVSLSSGRQTP >OGLUM01G33490.2 pep chromosome:ALNU02000000:1:32901732:32914707:1 gene:OGLUM01G33490 transcript:OGLUM01G33490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MPPKVVAVAAGEAHTLALTGDGEVYSWGRGMFGRLGTGREADEHVPTVVAAGGRPRPRFVAVASGAYHSLALDDLGSPETLQSEAQNSSTQSSLKLSSVKAGGMMSFAIDTLGAMWMWGNCPQQTDAGEFCIAPSCVPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEGSSWEVYEIACGAWHTAVLTNKKSFDVDLESRCWTFGLGDNGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASFSGVDSGDALRPIRVQSPETNGFKFLGAVQVTCGAAHTVLVAGDGYRMWAWGRGRSGVLGRNQTADSYIPCVVMWPPLDENFQKIHEDRAQASTSGVNDRNSAELEQKLSAASEELEFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDTAGTDELNRLEIFYRSMLSGVKDKLLKIRVQEMVQECIVSLSSGRQTP >OGLUM01G33490.3 pep chromosome:ALNU02000000:1:32901732:32910958:1 gene:OGLUM01G33490 transcript:OGLUM01G33490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G11580) TAIR;Acc:AT5G11580] MGSIGNGRNGSEVGIQIPAMGNKEVLERPAIPRWPRLGVVMVATRAVALVMAVLSMALMISAKQRGSLKIFGIEIPLYANWSFSDSLEYLVGMSAVSAAYCLAQLLLTAHKAVKNAPVVQSRNYAWLLFTAAAVANLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCIFLAASAVIDVIWLSNIAATRVPKPSPGSFLGEKRDEVNVDVDELLRKR >OGLUM01G33500.1 pep chromosome:ALNU02000000:1:32918979:32924345:1 gene:OGLUM01G33500 transcript:OGLUM01G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWYTSPEEADDRGGATFASDVYRLGVLLFEVRVLEIKCALFCTFETMEEKMRAMANLRHRVLPPQLLLKWPKEASFCQLLMHPVPETRPKMSDVLQSEFLNRSRNSLEEREAALRLREEIEEQELLLDFLLQLQRRKQDIADNLQDTVAFLSSDINEAHQQSALGQCGNFSFELDKEVCSETVEDQSDCGSRKRFRPELPAVDMEEQNRSLEECSGTVPSSVLIQESVLSKSSRLMKNFKKLETAYFLTRSKLARQVGNPVSSCHQVVKRTTGSPVVTEGSSIDDFALEGHYGTRQRGWMNSFLEGLCSYLSFSKLKVKAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVEMREHERRVWSVDFSLADPTKLVSGSDDGSVKLWNMNQAILFLHLAGSVGTIRTRANVCSVQFQPDSARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYVDASTIASASTDNSLKLWDLSMSQARIIDSPLQTFTGHTNTKNFVGLSISDGYIATGSETNEIVWPFLCMPLCLNFNSMHLSETNIEMKEISRINVCRIHVKEYFGSLTLVRKVFVYHKAFPMPVLAYKFSVTDPISGQEIDDPSQFISCVCWRGQSSTLLSANSSGNIKILEMD >OGLUM01G33510.1 pep chromosome:ALNU02000000:1:32924384:32924991:-1 gene:OGLUM01G33510 transcript:OGLUM01G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAGSLQLYCLSVLLVILTQLGGGSAMGLPRPPPNVNFTIGVEGAVWCKTCRYAGYVKSKDASPLPNAAALLRCRRGKWALSVWGATDARGYFLIQTGTQVAAFTSKDCRVYVPRSPSRAACGVALQPGRKTGSPLKFRRFVALPDGLQGRYSAGNFVFGPRDPKKC >OGLUM01G33520.1 pep chromosome:ALNU02000000:1:32928080:32928821:-1 gene:OGLUM01G33520 transcript:OGLUM01G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHTAVVCSALLVLAAALLLPAHHAMAEDVVSSRDNTARAPSSSPSAATATAPAPSPYTDTAMAPAPSSSNDTAVEPVAPPPLPFIIVEGVVYCKTCKSRGYSSDMDASPLPGATAQLVCYGKKVVNVTGTVTDANGYFLVMFYDLRNFNPRTCKVFLGSSPTSLCDKPVYPPNKWIGLSLLKETRTVPPVGLQAIYCPTSVLFYGPANAGQCPSG >OGLUM01G33530.1 pep chromosome:ALNU02000000:1:32929840:32931938:1 gene:OGLUM01G33530 transcript:OGLUM01G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCIQCGAVREPSLARRGVLAIAAAAVVKVVAQVERHWRDGDDGASKAVVGPRLPLRVVLALMHRQIRAVGTDSAPDLHDPSPISLCPGISSAAAYDACLLSRHRSRGQGSHLHLQIARCEGRALPAPSAAAAPESGGAASTSEAPPYASAASAPSPR >OGLUM01G33540.1 pep chromosome:ALNU02000000:1:32932049:32932240:1 gene:OGLUM01G33540 transcript:OGLUM01G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRILPARDTDPNATSHTAAAVNALDGCRSWAAAPWKEHPRGSRTSYRRKPIGTATWRTQAPV >OGLUM01G33550.1 pep chromosome:ALNU02000000:1:32933336:32935418:-1 gene:OGLUM01G33550 transcript:OGLUM01G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELAVLCDADLLLLLFSASGKLYHFLSPTVPSVREFVERYEATTHTKVWADIRQERRAELEKVGSMCDLLEKQLRFMTVDDGEEYTVPSLEALEHNLEAAMRKVRSEKDRKIGGEICYLQNIIRGRQEERYGLCDKIAHAQTLKDVECGSTSLSNGLDLKLGFN >OGLUM01G33560.1 pep chromosome:ALNU02000000:1:32962918:32965683:1 gene:OGLUM01G33560 transcript:OGLUM01G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMAKALAVVSAFCLLVAPAAAARSLVDVIGGAFGGRKDYYTPGPTGQHHSSSPSHSHKAPPCAPTPPQGGGGGYNPPSPSIGTSPTTPGGGGGYTPTPSDTPPSPSSDTSPSTPGGGCSSSPTPCDAPPSPSSDTSPTTPGGGGGYSPTPSDTPPSPSSDTSPTTPGGGGGYTPTPSDAPPSPSSDTSPTTPGGGGGYTPTPSDTPPSPSSGSSPTTPGGCSTPTPCGTPPAPSSGTSPTTPGGSYYPPTPSIGDVPPSPSSDTSPTTPGGGSPSTPCDTPPSPSSGTSPTTPGGGYYPPTPSVGDVPPSPASGTSPSTPGSGGYSPSTPCSAPPSPSSGTSPTTPGGGYSPSTPCNAPPSPSSDTSPTTPGGGNYPPAPTIGNVPPSPSSGTSPSTPGGGCSSSPTPCDAPPSPSSDTSPTTPGGGYYPPTPSIGTSPSTPGTGGGYYPPSPSTGGYTPTPDVPISTPSSPYSPLVPTPPSSTTPTPFDPNTAPFPCSYWLSHPGVIWGLFGFWCPMARLFGPTAAAPFGHDLTVPEALANTRADGVGELYREGTASLLNSMVNSRFPFTTQQVKDAFSAALSSGGDHAAAAQAQLFKKANEGHVMR >OGLUM01G33570.1 pep chromosome:ALNU02000000:1:32966487:32967081:-1 gene:OGLUM01G33570 transcript:OGLUM01G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAEPLLARHRPPRRRLLLLSRCHSSRCRLQLRHRARPWPLLAALQIAGGVLGNETK >OGLUM01G33580.1 pep chromosome:ALNU02000000:1:32971222:32972674:-1 gene:OGLUM01G33580 transcript:OGLUM01G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPKPVDFEKGAETKPTEFVDLEKGQDIDGCETKQGETDTKNQILANVLSKISMVIILAVYIVLVIICFKGMSSVWAAVGTTIFITPSYILMLKTIPYLRDVSMERFASHPAAGRDGSNLQSGNV >OGLUM01G33590.1 pep chromosome:ALNU02000000:1:32978258:32982891:1 gene:OGLUM01G33590 transcript:OGLUM01G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 15 [Source:Projected from Arabidopsis thaliana (AT3G58790) TAIR;Acc:AT3G58790] MKVYITSAAPLAGEATKAMASPPSPPPHQHQQAATRRGCRSAVVTGLLAGVLLFRAALLTIEAGASLCPSTTGCLDWRAGLGDWLYGGSGDAMEEFMKEWRRGRREASLLDPVVVEAAPDSLDGLMAEMDTMLASYDRLDMEAVVLKIMAMLLKMDRKVKSSRIRALFNRHLASLGIPKSMHCLTLRLAEEFAVNSAARSPVPLPEHAPRLADASYLHVAIVTDNVLAAAVAVASAVRSSAEPARLVFHVVTDKKSYVPMHSWFALHPVSPAVVEVKGLHQFDWRDGGAIASVMRTIEEVQRSSMEYHQCDASVVREYRRLEASKPSTFSLLNYLKIHLPEFFPELGRVILLDDDVVVRKDLTGLWEQHLGENIIGAVGGHNPGEDGVVCIEKTLGDHLNFTDPEVSNVLESARCAWSWGVNVVNLDAWRRTNNRESGFRLWKMGSLPPALIAFNGRVQAVEPRWHLRGLGWHTPDGEQLQRSAVLHFSGPRKPWLEVAFPELRELWLGHLNRSDSFLQGCGVVE >OGLUM01G33600.1 pep chromosome:ALNU02000000:1:32984884:32985150:1 gene:OGLUM01G33600 transcript:OGLUM01G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQREDGRRRWRPRAVPTSAQAPVAPPRCGAVWRLAELCVVALSGPLVAGAAAAPDAPVPCAVVAVDSYAFAAPFVPAVLLKRARKGY >OGLUM01G33610.1 pep chromosome:ALNU02000000:1:32986482:32989821:1 gene:OGLUM01G33610 transcript:OGLUM01G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPSASALSSSSGAVVVAVVRPAASAASRSATAERGAFAMSRLRALWQASVNATRRAIVWNSEDLIPPSEKYIFNFNSNDELKRWHLYSDSEYGGLSSASLEITDGGAGGDTSSTGLFSGNLSLDMSEGSTWKIRRSGFCGMRSKKFNGFIDLDAYDTIAMKLRGDGRCYISTIYTENWVNSPGQQEDNSWQSFVYLPQDRWQIMKIPLDSYLPTWRGNVIEAKMEMNPARVVGMSLSVNAEGGVPGAKTGPGDFRLEVDWIKALRTL >OGLUM01G33620.1 pep chromosome:ALNU02000000:1:32990360:32990752:-1 gene:OGLUM01G33620 transcript:OGLUM01G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGEELYESDVLWPDHQSPHDVVPPTATATATAPTPARRGQQQITRHCSTASSRPVDIPRAAQRWSGGDQGDDCGGGTMVPPHVMVSRRRSTEEGDQAFSLRTGTGRARRDLSHLRNSVLRMTGFIEG >OGLUM01G33630.1 pep chromosome:ALNU02000000:1:33006109:33007392:-1 gene:OGLUM01G33630 transcript:OGLUM01G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRSVAVSAKCAAASWAEERRPFTDPIEIPAPSGAATARREDTEYGEGDYGGSGSGCGGEVVPPHVLLARRRAAFSMCSGQGRTLKGRDLRRFRNDAFRQPRYLWALGHYPPSKKMLRIEQQQLDKEEFQEADILWPDAAQDLDFPQMYYSLVDADEDDDEHRSVKQHGNRQKASSPIDIPARKVSSAGAKGARAPAGFSKFGQTLAGAGGGSFFVGSHVFVPPHVIVDHRRAKREKAMMMLVVPKGRARKMVMRE >OGLUM01G33640.1 pep chromosome:ALNU02000000:1:33010107:33014694:-1 gene:OGLUM01G33640 transcript:OGLUM01G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLLFLLVLSSFSTASAAFTKPRQVYVVYLGEHAGAKVEEEILAGHHGLLLSVKGSEEEARASLLYSYKHSLNGFAALLSEEEATALSARTEVVSAFPSNGRWSPHTTRSWEFVGLEEGVRGPDDTGRLPPGDKAGGEDVIVGVLDSGIWPESRSFGDEGLGPVPARWKGVCQGGDSFSPSSCNRKIIGARYYVKAYEARYGAVNTTNAYRSPRDHDGHGTHTASTVAGRTVPGVAALGGFAPGTASGGAPLARVAVYKVCWPIPGPNPNIENTCFEADMLAAIDDAVGDGVDVMSVSIGSTGKPLPFAEDGIAVGALHAAMRGVVLVCSGGNSGPKPATVSNLAPWMLTVAASSIDRAFISPIKLGNGMVIMGQTVTPYQLPGNKPYPLVYAADAVVPGTPANVSNQCLPKSLAPEKVRGKIVVCLRGTGLRVEKGLEVKQAGGAAIILGNPPAFGGEVPVDAHVLPGTAVSSVDVNAIIRYINSSSSPTAVLDPSRTVVDVKPSPVMAQFSSRGPNVNEPNILKPDVTAPGLNILAAWSEASSPTKLDGDNRVVKYNIMSGTSMSCPHVSATAVLLKSAHPGWSSAAIRSAIMTTATTSNAEGGPMMDADGTVAGPMDYGSGHIRPKHALDPGLVYDASYQDYLLFACASGGAQLDHSLPCPAIPPPPYQLNHPSLAIHGLNGSVTVQRTVTNVGQGSARYSVAVVEPMGVSVKVSPRSLSFARTGEKKSFRIKIEATKGRGGWRVNGQFVAGSYTWSDGVHVVRSPLVVLVA >OGLUM01G33650.1 pep chromosome:ALNU02000000:1:33023253:33030341:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33650.2 pep chromosome:ALNU02000000:1:33023253:33030341:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33650.3 pep chromosome:ALNU02000000:1:33023253:33030341:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33650.4 pep chromosome:ALNU02000000:1:33023253:33030341:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33650.5 pep chromosome:ALNU02000000:1:33023253:33028989:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33650.6 pep chromosome:ALNU02000000:1:33023253:33024580:1 gene:OGLUM01G33650 transcript:OGLUM01G33650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGAPRRRRATRSRLPPTLLLIVLLLLGGAPRASALRVPLREVATLLNLSRSLLNRVAAARAARGDDFAASRARRIASHLSLFSSRGAWALSWDYLRHYAFSSAAGCGLSCATAAARLLAAAAEVSRLHSATDAAQWLRRNYGDVRDAAAQLLNGLLVAFSEQGPLREVVMDVKWEVEEGELLKDCLKVGAKDLEGLLVIAKDLLFGATRTSSRHNEL >OGLUM01G33660.1 pep chromosome:ALNU02000000:1:33032520:33034871:1 gene:OGLUM01G33660 transcript:OGLUM01G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRLVTVVMAAAVSSALVMVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPYAATSGDQILNGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLISILGDQDTASDRLSKCIFSVGMGSNDYLNNYFMPAFYNTGSQYTPEQFADSLIADYRRYVQVLYNYGARKVVMIGVGQVGCSPNELARYSADGATCVARIDSAIQIFNRRLVGLVDEMNTLPGAHFTFINAYNIFSDILANAASYGFTETTAGCCGVGRNNGQVTCLPYEAPCSNRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDAYPMDIATLASV >OGLUM01G33670.1 pep chromosome:ALNU02000000:1:33034943:33040953:-1 gene:OGLUM01G33670 transcript:OGLUM01G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAFQPFTIRGFAAGMRAVDASKCWPFGRGGGDGEPPPPLPPMEPPKRSRWWAHELAAERARQEARATGTEDAGGGVAGGGGSGKGAKRKGSRGRVRAERARKWRRSLQFGLLSKRKEKTSSRLLHYVLHKQLLSKHKGSTLRTQKELSAQKKFQNTHDCMSTHENNLNKQYIRGMDPSTGMGSNLVRKEAANSSVNKQNIKASEPTIYPLNPGCELVKNVAYPPKDDIFGDLPLLESSKVMFHSGVDELPTVIEDSFVTDQSGPDAISENVSLKLIPPSDMSVQTSSTLKDLVKKEGTPAKKSICISRNDVKKNLPSSAEFDGLNHSGINMVKTCLSDTQLKSTDVPALSSYSNKGLKSGSSNMAHTQQDCFSMNTNYCQEIRKPGTSIATSSVTVRTRTEAIESDRDMAVNSKKSTSISCALVPTECHISSEGSVLSSAISQGSASAATSADGMSSYKSIPSQDSIPTSGLNGTFASNLFRESRKSVDTCTSLSKEDQCSWYSKLHPVCTPASIGSAFMKLPGLERIEISSCNIKTDENMSTNGRPANIVRCEKQQAVIGVPNIMQGQRKTDFSDSQVQEKVLNSYLQQDVYHPCQPTVRLMGKTVSVCERSKEHRVPTMGKGWSDSTIVEDHPSSTSCHFPQKRLFPCQDSVTPSVHVKGSLDILQRIPSVTLPEARATIGNVQNRRLQPINTVSSSVRDCIWNSGSQSVRQAEIKRATTINVNSRARHINLHQPPQVISTSQNQHCQLSTPSILRGKDCSFLGPAVTQSSSFPQWTLNTGIQEKYQKSTFSYDDPTSAPIYQSCQVPGAKLSSTSMISFLDYGTDNAEFSRSLPQACPSLATSLPINFVSTVSPTCTVKPTNTGCRKGVVFTNQRKRPAYINNVAHEPAKKLMANKQDLVAPMFEETKNRSLGWSLDDAIGPRILDFGSKVAGHGLEMSTNESNCVRASSGPVPVLETRSIKPSSLSLAIYTLVQRLIEDIEKIQNKALHRSQQRIMGVLGALARHMDALVGPGIMLLYPLYASMRAIESPSTLDDQQWLTYWVLYSLITLFELSCWKVLQWFPLWPYMKLLFCCWLVLPIFNGAAYIYETHVRRYFKIGQYVSPNYNERQRKVLQMMSLDARKSVERFIESHGPDALDKIIRAAEEEAKRA >OGLUM01G33680.1 pep chromosome:ALNU02000000:1:33043174:33047824:-1 gene:OGLUM01G33680 transcript:OGLUM01G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPSIFEKNRSTSLRRRQDVNNLDFSKKNGSGRAAYAVDQTVGGLATPPIVRLALTPPEAESPPTAKESCTGASLMLGEAASPWSLAGAGAAVALLWLCAWTLQWAWWTPRRLERALRAQGLRGTRYRLFIGDVAENGRLNREAASRPLPLGSHDVVPRVMPFFCNVLKEHGKLSFVWTGPKPFVIIRDPDLAREILSNKSGNFAKQTTAGIAKFVVGGVVTYEGEKWAKHRRILNPAFHQEKIKRMLPVFLACCTKMITRWVNSMSSEGISELDVWDEFQNLTGDVISRTAFGSSYQEGWRIFQLQEEQAKRVLKAFQRIFIPGYWYLPIENNRRIREIDQEIRTILRGIIVKRDKAVKNGEGSNDDLLGLLVESNMRQSNEKEDVGMSIEDMIEECKLFYAAGSETTSMLLTWTLILLSMHPEWQEQAREEVMHHFGRTTPDHDGLSRLKIVTMILHEVLRLYPPVVFLQRTTHKEIELGGIKYPEGVNFTLPVLSIHHDPSIWGQDAIKFNPERFANGVSKATKFQTAFFSFAWGPRICLGQSFAMLEAKMALATILQSFSFELSPSYTHAPHTVLTLQPQYGSPIKLKKL >OGLUM01G33690.1 pep chromosome:ALNU02000000:1:33048728:33050728:-1 gene:OGLUM01G33690 transcript:OGLUM01G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFGDNIILLTWTLIMLSMHPEWQERAREEVMHHFGRTTPDHDGLSRLKIVTMILHEVIRLYPSGIFLQRTTRKEIELGGIKYPEGANFTLPVPSIHHDPSIWGGDASEFNLERFANGVSKATKFKTAFFMFGWGFSDLPWTEFCNAGSQDGARHHPPELLL >OGLUM01G33700.1 pep chromosome:ALNU02000000:1:33050194:33053727:1 gene:OGLUM01G33700 transcript:OGLUM01G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAYRSAAPRSRKRRRRADPVASVLWSGQARLGRTVACGSIASRARERRQRADLPDSARLRRAGSSGHGGGSCDNDDGHGGCDDDNDGRLGWSGRSERAKREEAGRPASSAGMLVSARGEGGGEEAGERAERVEAGAAPLPSSVVAPRATSPPRREALISAGEHAGLPASSLSARPLLSSPPPSRHVPHSAPLSRAALVSAAKHAEGASCVLCH >OGLUM01G33710.1 pep chromosome:ALNU02000000:1:33052143:33056511:-1 gene:OGLUM01G33710 transcript:OGLUM01G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIPAAASIHHRYRSIRHCRRSINRLLLDLPPSPLDPALGGEGGAGERQHHREGEAQGERRCRGRDRRRERRRWRIWGDMREPELLVAIVSSGQIR >OGLUM01G33720.1 pep chromosome:ALNU02000000:1:33057525:33058372:-1 gene:OGLUM01G33720 transcript:OGLUM01G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDQNPSPTPASGTSTSVPPLGRATTVSSQLLDMGAQAVQALKPVRQMKQHACSFALYAHDLSRQVEVHHFVSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDAIFESLPPEEQKLWHSHAYEVKAGLWTDVGVPEPLQSSEMARMAKTYGKLWCTWQVDRGDALPLGAPALMVSPQAVEPGRVRAELVHGRDERYKIDSSAQGLKGARVEMDEPEWINPNADYWRLHGKGFAIDVTATEMKRHAPFP >OGLUM01G33730.1 pep chromosome:ALNU02000000:1:33059437:33073804:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLRCPVQTLDSELGVGVME >OGLUM01G33730.2 pep chromosome:ALNU02000000:1:33059437:33072276:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLPPLL >OGLUM01G33730.3 pep chromosome:ALNU02000000:1:33059265:33073804:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLRCPVQTLDSELGVGVME >OGLUM01G33730.4 pep chromosome:ALNU02000000:1:33059265:33072276:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLPPLL >OGLUM01G33730.5 pep chromosome:ALNU02000000:1:33059545:33073804:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLRCPVQTLDSELGVGVME >OGLUM01G33730.6 pep chromosome:ALNU02000000:1:33061569:33072276:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLPPLL >OGLUM01G33730.7 pep chromosome:ALNU02000000:1:33059437:33072869:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MACSLLPPFAPVSPPPRLLLPSSRSALLPRGPRVAPRVPVSPPRALPDTAVGAAEALRGALADAFLASPPTWRSAAVSNLAIFVAGSPVLLSGLSASGFAAAYLLGTLTWRAFGPKGLVLVASYFVLGTAATKLKIKQKEAFGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYNVGCAALAELWRLGFVASYCTKLSDTVSSEIGKAYGRTTYLVTTLKVVPRGTEGAISIEGTLAGILASIILASVGYLLGQVNVSQGAVCVLASQIANFCESYIGATLQDKEGFEWLTNDVVNVLNISTGGILAVLMQQLLVSWRS >OGLUM01G33730.8 pep chromosome:ALNU02000000:1:33059437:33065540:1 gene:OGLUM01G33730 transcript:OGLUM01G33730.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF92, transmembrane [Source:Projected from Arabidopsis thaliana (AT1G78620) TAIR;Acc:AT1G78620] MRPRPPLSRITRAASPSSASPPLACVVAASASSSYRCCAGATPCGFGHPEEGEGCPLLPSHLQAITASLFLSQSSVSCRSGLGAIGIDVLGLPNGLLAGESAPLKEAAARARH >OGLUM01G33740.1 pep chromosome:ALNU02000000:1:33059543:33059965:-1 gene:OGLUM01G33740 transcript:OGLUM01G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSCCLSNHSAPTLLCPSLTKSGDPKARSGIEVKVRKRVEKEARMGGGGRRRRWGWLRLPCVTFEGRGFTSKETVGEAKNIDSDGPQTGSAAHRGLGEKEGGSDGLEMRGEQRATLSFLWVAKSTGGGAGAAAIGGGG >OGLUM01G33750.1 pep chromosome:ALNU02000000:1:33074047:33075411:1 gene:OGLUM01G33750 transcript:OGLUM01G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVAVFVCLLSVAAAAASMDPAEREALFLVMEAVSSDRDWRSESPDPCGAPWPGLECKPAAGDAAAALLRVTRLDFGVEPNPSCKDTAAFPPLVFSSLPHLQSLFFVGCFKNPAANTSLVLPPAANLSTSSLQQLSIRANPSLSGVMPPQLATLRSLQVLTISQNGLIRGEIPQGIGELTSLVHLDLSYNSLTGPVPSEISELKSLVGLDLSYNSLSGAIPSRIGELRQLQKLDLSSNNLTGGIPVSIANLSSLTFLALSSNGLSGHFPPGLSGLRNLQYLIMDNNPMNVPLPSELGGLPRLQELRLAGSGYSGQIPAAFGHLASLTTLSLEDNNLTGEIPPVLTRLTRMYHLNLSNNGLGGAVPFDGAFLRRLGQNLDLSGNAGLCLDDRMVVRGVGVGVGACHAGGGGDGSLAPGGVTGAAATVRGSVDGYPFRLLGHACLVVACLVSLN >OGLUM01G33760.1 pep chromosome:ALNU02000000:1:33080112:33080582:-1 gene:OGLUM01G33760 transcript:OGLUM01G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRLGRLKPEEKRSRQAVDLFGCLPCPPSLPQLSRSRFFYSISPPRLRLASLAMGKSRSGVLLALLLVCAAVAAAAAAAVPGSKGKGGAGRAEVACSDLATRGECVASGGGSRCRWCRSEALDDMCFGAAEAWRLPNQVFSCDPPAGGAAHARR >OGLUM01G33770.1 pep chromosome:ALNU02000000:1:33080756:33082717:-1 gene:OGLUM01G33770 transcript:OGLUM01G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLIVQPVPISTGPIACASTARSAAAAHDDDGHLFDDLVLGYGGDDKTGADADDPARKLEWLRSQVIGADAEFASPFGTRRVTYADHTASGRCLRFVEDFVQRNVLPYYGNTHTVDSYVGLHTSKLASEAAKYVKRSLGAGAEDVLLFCGTGCTAAIKRLQEVTGMAVPPTLRSVALDVLPPSERWVVFVGPYEHHSNLLTWRESLAEVVEIGLRPDDGHLDLDALEAALAAPERAGRPMLGSFSACSNVTGIRTDTRAVARLLHGYGAYACFDFACSAPYVGIDMRSGEEDGYDAVYLSPHKFLGGPGSPGVLAMAKRLYRLRRTAPSTSGGGTVVYVSAYGDTVYCEDTEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREDHMLALALRRMQASPNLRLLLGGDRPSGGRCLPVLSFVVYSPRDGSEQDERPQLHCRFVTKLLNDLFGVQARGGCSCAGPYGHRLLGITPARAKAIKSAVEMGYHGVRPGWTRVSLAYYTSTREAEFVLDAIDFVASFGHRFLPLYAFDWETGDWEYNHSFGRVLANNNAISNAAAAAAAAAAASSGRVKAEDEYRSYMAFARSLADSLGGCLDNTPARHVPKGIDPQLLYFPM >OGLUM01G33780.1 pep chromosome:ALNU02000000:1:33093940:33099169:1 gene:OGLUM01G33780 transcript:OGLUM01G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIDLPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAVDRCPLEAAAAAAAAATGSAAASTVSGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSRNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCDWEIQVEWSNGQFRSGRYLGNTP >OGLUM01G33780.2 pep chromosome:ALNU02000000:1:33093940:33099169:1 gene:OGLUM01G33780 transcript:OGLUM01G33780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIDLPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAVDRCPLEAAAAAAAAATGSAAASTVSGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSRNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGIGRSKWNGQMGSSGVVVTLETPLNNIGRPSLSVQLNGGFEI >OGLUM01G33780.3 pep chromosome:ALNU02000000:1:33093940:33099169:1 gene:OGLUM01G33780 transcript:OGLUM01G33780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFEAWEGVQRHGQDIADRLAQGFTGLLQAQPPQFPWPAASHKRIPFEIDLPVVPFGASRGARAGKEFFPAAAVASVIDIGGRLGQAGVEIGASVGGAVQHAVRQLPLPFRNGQIRRRKLPPQPQAPSPAAAVGEAAVGLSVERAVDRCPLEAAAAAAAAATGSAAASTVSGAVGGDDVDEEDEGFGCEIGTFGNFKKSKGTVNVSASYNTRNHDIESSVVARGDLWRLEASRSSSTSRNDSSPLYLVQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKSFMDLQFPNGQLTYVAGEGITASGFLPLFGGLLQAHGKYPGETRVSFSCKNKQGTRFTPMFQWPDKSLSFGVTQALAWKRSGLMVRPSVQVSLCPTFGGNDPGVRAEVIHSLKEELNVMCDWEIQVEWSNGQFRSGRYLGNTP >OGLUM01G33790.1 pep chromosome:ALNU02000000:1:33104437:33106365:1 gene:OGLUM01G33790 transcript:OGLUM01G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVIVAPSILLSLLLVLHSTAAARSAAMAPAAADELAPSALGPAADEHISDVYIVFVSRDDYVDSVDYDVRLLASVIGSASEAKTAMIYHYSGLGFAASLAPEQAERLSRKEGIAIFKDRMYHVEKEEDGRLPRFFEENV >OGLUM01G33800.1 pep chromosome:ALNU02000000:1:33105673:33107260:-1 gene:OGLUM01G33800 transcript:OGLUM01G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGGDAPPCDSDYISNLPENVLVTILSLLRLDEAARSTVLSTRWRHLFPYTLLDFRAYAPGRDVVAAVNTILAALPAARVRSFRTGLLYFPPEDDPSVEAWLRDLAGRGVKELSLSFRERWQKIPASLFACTSLKRLHASSCTFPDATQAPAPLAALTEIDLFGVNISEESLGALLSRCTALEHLRMRSMGWCHRIHVRSESLKTLCGCGDFDELLIEHAPNLEQVYGNYMYMRSTHLKVAHAPKLEFLGYLGMSFDTIEIGQSVFTEDDFDIKTLMPSLKTLAIELSYTSEGYINWFMQLLKLFPCLETLYIRSDNWSKVRAAAPGSWDVLRSVPCIDNHLERVVFEVYRGHEWQRVMAKFLHGRARFLKAMEFHCQGDKGCSELLGEEWVREQQELLCLDSRASLDARFLFFKGALVNNHHDVSHQEWYKRKYYHYLYNV >OGLUM01G33810.1 pep chromosome:ALNU02000000:1:33110239:33112010:-1 gene:OGLUM01G33810 transcript:OGLUM01G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVSPSPSASATSSRRGQIIAPDSPPSLRSYSDDSPPTTRAPAVHPEVEFEAKPAKRARVVPGGGGGGGGADAAAGDGRDRISDLPDAVLLSILSFLPFRDAGRTAVLSRRWRKLFDESLLDFNACQPFPPEEGRGCEWVIRSITDILAARPDVRIRSFRFVMYGQGFADHLADVDRWFRTLARRGVRQLDVDMLYTVPAPLLPGSILEFSSLEILRVFNCNFLDLSLPVLRLPVLRTLDLSNVSMSQGFLQAMMSNCPSLECTKLKNITGLDKICVRSRSLVRLFGDFSYLKELVVEDAPNLEELVGIGLPLAAAKVKIVFAPKLRVLGYLGKSVRPLVIHDTVFDGGIVQFRTLMSSVKTLAIQVPFSEEGHTIFVAQLLKCFPCLEALHIEPDSRSICRPVDVQEWDTITSVQCIEHSMNKLIFEDFGGEDCQWRFLTFLLGMARALKDIDFHCSESKDWASNQIELLAYTNRASADVRFHFYRFSSWPVSSLYLCHCCPQRCQKEEIVALI >OGLUM01G33820.1 pep chromosome:ALNU02000000:1:33116636:33123570:1 gene:OGLUM01G33820 transcript:OGLUM01G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRSYTNLVDLANGNLSALDYGGGGGGGGGGNGAGGRPPRARRMQRTMTTPGTLAELDEERAGSVTSDVPSSLASDRLIVVANTLPVRCERRPDGRGWSFCWDEDSLLLHLRDGLPDDMEVLYVGSLRADVPSAEQDDVAQALLDRFRCVPAFLPKDVLDRFYHGFCKQTLWPLFHYMLPFTSDHGGRFDRSQWEAYVLANKLFSQRVIEVLNPEDDYIWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINMTQLQTQIRLPDLEWRVAELRKQFDGKTVMLGVDDMDIFKGINLKVLAFEQMLRTHPKWQRKAVLVQIANPRGGGGKDLEEIQAEIDESCRRINAQFSRPGYVPVVIINRALSSVERMAYYTVAECVVVTAVRDGMNLTPYEYIVCRQGFPDLDGSGDDGPRRKSMLVVSEFIGCSPSLSGAIRVNPWNIDTTAEAMNESIALSENEKQLRHEKHYRYVSSHDVAYWSKSYIHDLERSCRDHFRRRCWGIGLGFGFRVVALDRNFKKLTVDSIVTDYKNSKSRVILLDYDGTLVPQTTINRTPNESVVKIMNALCDDKKNVVFIVSGRGRDSLEKWFSPCQDLGIAAEHGYFMRWTRDEQWQLNNQCSEFGWMQMAKPVMNLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVCVKSGQQIVEVKPQGVSKGFVAEKILSTLTENKRQADFVLCIGDDRSDEDMFEGIADIMRRSIVDPQTSLYACTVGQKPSKAKYYLDDTNDVLNMLEALADASEETDSQEDAEEITSIPDPE >OGLUM01G33830.1 pep chromosome:ALNU02000000:1:33123188:33124849:-1 gene:OGLUM01G33830 transcript:OGLUM01G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAGGVGSNRDQRAVQLLALCCGLLLCCGSLCQGRMSPGMGWGGGRMVCLEASVGVVVRAMERPRVGLASYCLPIPGCAPCPWSAAAARMPYTAAAS >OGLUM01G33840.1 pep chromosome:ALNU02000000:1:33128700:33131715:1 gene:OGLUM01G33840 transcript:OGLUM01G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLSPPLLADSVAKFHCSSTPTPCSGSVRRWAITRFAGAGRRRDWHRRRRTSGRGVLTVSAVAAESPSSGGGVAEDYYAVLGVMPDATPQQIKKAYYNCMKACHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFFDDSAPRDHVFVDEFSCIGCKNCANVCSKVFEIEEDFGRARVYNQSGNAELIQEAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGSSVDVFRMASTRWEKRQAKVLEKVRRRVSQEDSGKGSSWSDVWGAPTRYRKNEDEARERAKRAAAAARRWREYSRKGADKPPTFKLPEAVSNKE >OGLUM01G33850.1 pep chromosome:ALNU02000000:1:33131824:33132279:-1 gene:OGLUM01G33850 transcript:OGLUM01G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEEEDEEFFASIDSLWFFSSVFLLLPPPVKEKTKCPPPGPGELQLEERDSPEIHEKDGDCGHEDPGGVREAVAPVAGGRRAAAAARGRVVEEERMDMWQEQCRQMRVAAAAAAAPARCSPLPMPRTSDGPAMRAHLRSWAHAVACSVR >OGLUM01G33860.1 pep chromosome:ALNU02000000:1:33151556:33152648:-1 gene:OGLUM01G33860 transcript:OGLUM01G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEWSDGAAVSSPTMSGGGGREQMKGGEDVAAADCPGSPVSPSPAAAQRSAAGAAASPSGRSRRSAQKRVVTVPLADVTGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSSKGCPARKQVERSRNDPDTVIVTYSFEHNHSATVPRAQNRQAAPQKPKAQACSPPEPVVEVEPEETHQYGVTAGPATGGGGGAAAIEVRDEFRWLYDVVSVPASSTSPSDIDAADEMQLYDQPMFFGGAVVGTAALLPDEFGDVGGLGGEGLGEEEALFEGLGELPECAMVFRRRAGDGLAMGGGVKIEQPAESTAMT >OGLUM01G33870.1 pep chromosome:ALNU02000000:1:33159505:33165407:1 gene:OGLUM01G33870 transcript:OGLUM01G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT2G42770) TAIR;Acc:AT2G42770] MKAIGSGGEWWWNLPSLRRKPDRRRRGRRNTDPRGRRRGPPPEPLSSSSSESIGQSRGWPIDFPFTQAVTAASLTLTGDTIAQVRQRIVDRRLRGPEPDSKFLDQCMPKPTFANLSTKVILNQIALGPCVIGVIFAWNNLWTGKLSELPSKYRNDALPTLLFGWTFEEFSP >OGLUM01G33880.1 pep chromosome:ALNU02000000:1:33163495:33168019:-1 gene:OGLUM01G33880 transcript:OGLUM01G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPDRAGAVGATARGGGGGGGHLRGHAHLTNCIHLRHHHAHGGGGGGGGASSSGRRRSPTSVASAALMRDLLALQRSRSLRDPSTRRSVESSSKVAADPDADTDEDDADLPPKSRRSASTTGALKTLLDQLAENPQLKQVRRPRRRFKRGAGRRAGAASKAPDRSAAAAAAAAALSVNSSSQEAVCGNKYLFRDGEDGLDELQQHVPPQDSRNVCGIPWNWSRLHHRGKSILDMAGRSLACGLSDPKSAAGRKSEAGAGASRGHLNGSHSLFPVKSERLASSTSSDSDALPLLVEAAATGARNGGIGGSYSGELGIFSNQTSEMESDLLSEGRSGHKSRGSQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAVLRRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCTSCIAHNLGKSRSVMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFIFDDCDTLPADTWSLISKVVDRAPRRVVFILVSPNLDLPHIILSRCQKFFFPKLKECDIVNTLQWISTSESLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVDPLALMSQLATIITDILAGSYTFTRERLRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKTTWLTAALLQLAPDKQYVLPSSSSTSLNHGVLAGSFPDRDMAIHSALEHNGNVASTSYRERRPVEHTSNSHLLSTSAPRANEQSRNSKIENELIWHAVLESIQSDTLRKIMVKEGRLSSVSLGTAPTVQLIFSSRVNKSKAENYRGQILQAFESVLRSAIILEIRYESKNDVRASHAPAVFSHGENESSNTTLRRSFTKHSPLSSGGENLRRRLKKDRASSSKTTWMQSDPHILTEGEIIEVGPSHMHWHDETNNDVHNVNQRRKDNVWEEESLASPNSKANQGRNGNKQRRQNSIVKGKVSLAHVIGKAEACSQRGGWSRQKALSIAEKLEQENL >OGLUM01G33890.1 pep chromosome:ALNU02000000:1:33171575:33177584:-1 gene:OGLUM01G33890 transcript:OGLUM01G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGSTPKTDDNSKKLKKPKPWKHNQAITTTRLKQMRDEFWDTAPHYGGQKEIWDALRAAAEAELSLAQTIVDSAGIIVSNSDMTICYDEREFRLQSSRV >OGLUM01G33900.1 pep chromosome:ALNU02000000:1:33183026:33184027:-1 gene:OGLUM01G33900 transcript:OGLUM01G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pathogenesis-related family protein [Source:Projected from Arabidopsis thaliana (AT1G78780) TAIR;Acc:AT1G78780] MAAADSSGGDKYRSHLAGDGEKNTVWRHGAPPTFDTVNSLFEAERTQEWPAGSLEETVQNAIKTWEMELSHKARLQDFKSVSPGLFRLSVNGGRPLTGEETLAVGSYNALLANPILPGAGAYDAAAETFESSHDLFRAAFPRGFAWEVIRVYSGPPVITFKFRHWGHMDGPYKGHAPTGDKVEFYGVAVLKVHTHVDEQLRAEDVEVYYDPGELLGGLLKGPLLVSSAEEEDARQLGERLGEAAIVSASGAAPQSQACPFLASAKREE >OGLUM01G33910.1 pep chromosome:ALNU02000000:1:33187798:33191258:-1 gene:OGLUM01G33910 transcript:OGLUM01G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDAAAVPTAAVASPPISVEAVVIDVEGEPAVPAGAACRICHLVPEGGVGPGSEVIRIGCGCKDELGAAHRHCAEAWFRIKGDRRCEICGSDAKNIIGLEVKKFMEEWHGPRLANTRTTTQRESFRPVSCLYIHQICFFKRTAYISIGSTSTDKNFVDSHSVEITLILEARFPYPMVVGWYESNQLPFVFVVQFHVGVTPAIREKQDRLYN >OGLUM01G33920.1 pep chromosome:ALNU02000000:1:33193490:33204267:1 gene:OGLUM01G33920 transcript:OGLUM01G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11480) TAIR;Acc:AT5G11480] MLLHHRLLPRLLLSPSTPVTTTLLVHRTSLFPTRRILSPCCSALSQVAAAPETVDHSDGDEPQGEVQVQLPLDRLFVPPGASVSAGDAEAVTARVLKGSNIVLGPYARGDANVIAADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYAAAPQEARTNWDEFTRNYFLGRENLVSVFLLVDASIPAKKIDLDYASWLGQNKVPMTLVFTKCDKRKKKKNGGKRPEENVENFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >OGLUM01G33930.1 pep chromosome:ALNU02000000:1:33195746:33195958:-1 gene:OGLUM01G33930 transcript:OGLUM01G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEKGKAPADTEQTAAATAARLAAEWTTWAMKNAKVVAHYGFIPLVILIGMNSEPKPRLAQLLSPI >OGLUM01G33940.1 pep chromosome:ALNU02000000:1:33205306:33206271:1 gene:OGLUM01G33940 transcript:OGLUM01G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGSGAGRKKLKHRLAAILSVFTRRAGGRKRRDEEGAAPPPLPPLAFPSYSRLGGAGGKKAAAGKHERRLSLSVPRPPPLVHITIDCAGRRSVDTADPSLLAPLDLDARKMERRLTETGLPYETGEWEGRKCPPSTPFAAAPPLARWKERASVSSRRLSTHSSRRLMSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTRARASVSGPCRAPPPSSRRGTSQSCRYSFELPRGSTASAATDGGFAVVKRSSDPYEDFRKSMEEMIAEGAACGGGDGDGELSAERLLETYLVLNSPRHYPAILAAFADVRETLFP >OGLUM01G33950.1 pep chromosome:ALNU02000000:1:33217487:33219945:1 gene:OGLUM01G33950 transcript:OGLUM01G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESTQSSTSLASSGGSSSTTNEFLHPYATVAVKSLVPITLENTLNASEGSCRMPIAYKSPLLQNVQERCSKFDDTMKSQVHCAMGNLAILKSIMEVNGEEWLTSHHQCWIVDPCMKRLPNRGNYVFSDQTLETIGHILVFPGIPAGEKYFNQLSKPWCQLSMRRKRHCRLTGATIQEFT >OGLUM01G33960.1 pep chromosome:ALNU02000000:1:33223639:33226643:1 gene:OGLUM01G33960 transcript:OGLUM01G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEK7] MDPWSEIEGKRAHDPIFQNYFSQNCRQSVDGFCKKRSADAAVARAERCIRVLGPIIVGAGPSGLAVAACLKEKGVDSLVLERSNCIASLWQLKTYDRLSLHLPRQFCELPLMPFPAYYPIYPSKQQFVAYLESYAARFGICPTYNRTVVCAEYDEQLQLWRVRTRATGIMGEEVEYVSRWLVVATGENAEVVLPEIDGLDDFKGTVMHTSSYKSGGAFAGKRVLVVGSGNSGMEVCLDLCNHNANPHIVVHILPREMLGQSTFGLSMWLLKWLPVHVVDRILLLIARTMLGDTAQLGLKRPTIGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAIKQISGRQVEFMDTRLEEFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSVDARRIAHDIEQQWKARGKHPGVLL >OGLUM01G33970.1 pep chromosome:ALNU02000000:1:33240326:33242621:1 gene:OGLUM01G33970 transcript:OGLUM01G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRETARRFTPVCRHTWPVCDKPESSQNQAPPSPRHGANRMPPFVAAVCPIEPPSGHSLPSHLPERSRPKPVPPPPRRDPDKVGTRAHRAYVRYIYRNRYIGLTAPGGNILRAHSLPCPHRRTEQILARKKRALFFLSCSAGALPRPNREDTCELHDRLWHYDGRRVQGPPAPGRRRRGPRRRRAVRGEDVPHGERPVDERRRALGRRRQHVPRARPRRLLRLPPPLLLQAPQLRQLRPAAQHLRIPQGGSGQVGVRARVVPAGAGAAAAADRAQEEEGRGSAGVQGAVRGRGGGAGHHRGGAAAAGGAEGHGGGAPGHGPEAARRREPPGPDDGVPRQARRRTGRRAARHARQEGGAGRGRQQRVRSLQEAADRGRHGAQRRGDRRRRGRDGAEQRHRAVPVLCSWPSVLLAATGPDRCTRTQSPVVYITTV >OGLUM01G33980.1 pep chromosome:ALNU02000000:1:33243602:33243925:-1 gene:OGLUM01G33980 transcript:OGLUM01G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMVLVRAVSLHTVAMAASACGSDCSPAPRSTPTPSTGSSSSPRDTLKLRVCANVLGLCCSLLLAARRPSPASQPPPAARRLLLSRLAPAASSPAAGRLAQRREE >OGLUM01G33990.1 pep chromosome:ALNU02000000:1:33248364:33259669:1 gene:OGLUM01G33990 transcript:OGLUM01G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLACLLGFLLRNFCGKPVGAVVGYMRRFARGGTSVNVGGGGVHVDAGHGKPGGTTVDVGKGGVGVNVKPGYGKPGGTSVGVGKGGVGVNPGYGKPGGTSVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVQPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVAPFIYSYAATETQLHDDPNVALFFLEKDLHPGKTMAVHFTATTAGEKFLPRSEADAMPFSSEKVPEILSRFSVKPGSVEAAEMAQTLRDCEAPPAQGERKACATSLESMVDFATSSLGTSHVRAASTVVGKEGSPEQEYTVTAVKRAAAGGDQDQLVACHAEPYAYAVFACHLTRATRAYAVSMAGRDGTGVEAVAVCHADTAGWNPKHVAFQVLKVKPGTVPVCHFLPQDHIVWTRSG >OGLUM01G34000.1 pep chromosome:ALNU02000000:1:33261417:33265829:-1 gene:OGLUM01G34000 transcript:OGLUM01G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDTPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDEAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVRAKDRVKVSKGSLLKDRIKLKTFVALTMDVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICEETVCSEEEASIWLRKLERNGKFHTETWS >OGLUM01G34000.2 pep chromosome:ALNU02000000:1:33261419:33265855:-1 gene:OGLUM01G34000 transcript:OGLUM01G34000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDTPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDEAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVRAKDRVKVSKGSLLKDRIKLKTFVALTMDVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICEETVCSEEEASIWLRKLERNGKFHTETWS >OGLUM01G34000.3 pep chromosome:ALNU02000000:1:33261419:33265855:-1 gene:OGLUM01G34000 transcript:OGLUM01G34000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:Projected from Arabidopsis thaliana (AT3G02280) UniProtKB/Swiss-Prot;Acc:Q6NPS8] MAATPAADSRLLVIYASETGNAMDAAERVGRQAERGGCPAVDVLPMDSFDPSCLPSERFVVFVVSTTGQGDTPDSMKGFWRYLLKKNLGARWLEGVRYAVFGLGDSGYQKYNFAAKKLDKRLLHLGAEPIIQVGLGDDQHPSGYEGALDPWLLSLWESLNQTTPSLLPRMYDIINPDLSVLGDAKVEVIYHSSDEAQQDSNLLDFKNLIQRARSMSPSLQFYNNDKEPHYMLQMVSNRCLTKENSDRDVRHFELENPSSGITYQVGDALEILPSQSPSAVDSFIERCKLDPDCYITVMSFFATAEHEKEKLQYFASPEGRDDLYQYNQKEGRTILEVLDDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAKPNQIHLTVSIVSWRTPFKRMRRGLCSSWLAGLNPNEENLIPCWVHHGSLPPPHPSTPLILIGPGTGCAPFCAFVAERAAQSTSEATAPILFFFGCRNQENDFLYKDFWYAHAHDQGVLSSKNGGGFFVAFSRDQPQKVYVQHRIREQSARVWNLLKSGAAIYIAGSSTKMPADVTAALEEVICEETVCSEEEASIWLRKLERNGKFHTETWS >OGLUM01G34010.1 pep chromosome:ALNU02000000:1:33268701:33268970:-1 gene:OGLUM01G34010 transcript:OGLUM01G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSATATVGGGGSASFEALWSIRRMKMAPCGGAGCVAVLGREVATLLLAMWSTTATVGSGRGARSEALWSFRWREVAPRGGASCDAFFV >OGLUM01G34020.1 pep chromosome:ALNU02000000:1:33271712:33280455:1 gene:OGLUM01G34020 transcript:OGLUM01G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQLQGDDHDDEALPHFPYFAVPSPPPLAVAPAASATTSDGHQHGPLEVLEQPPCSNNLHPDGLVDGPQLAATTAVPMMLPAMTSLDWQSLLQTCLQAPPPVLEQQQPAAAAQADQYSGENDHGDLQAAESSGAGNKEKQVMAKGGAGRPSGTKKKASRPRFAFQTRSDNDILDDGYRWRKYGQKAVKNSKHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALTPILKQLQFLSQF >OGLUM01G34040.1 pep chromosome:ALNU02000000:1:33318029:33319468:1 gene:OGLUM01G34040 transcript:OGLUM01G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEL6] MERTVVLYPGLGVGHLVPMVELAKVFLRHGLAVTVAAVKPPLDSPDFSAAVARFAECNPAINFHVLPPPPPPPAPVGSGSDGKSASPIVGMLGFLNAMNAPLRDFLCSLPSVDALIVDMFCTDALDVASELRLPVYVFFTSAASDLAVFLHLTSMRDSINTSFGELGDSMIHIPGCPPFKASELPSDILSDNEASRLFLLMFRRHPESRGILVNTFESLETRALRALEDGLCVPGRATPTVYSIGPIVSGGGGSDKDHDCLRWLDAQPDNSVVFLSFGSLGRFCKKQLEEIAIGLQKSEQRFLWVVRSPRIDEKNVFEPLAEPDLDALLPAGFMEATRGRGLVVKLWAPQVEVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPLYAEQRLNKVFVVEEMKLGVEMRGYDEEVVVAEEVEAKVRWVMESEGGQALRQRAAAVKDAAAQAIKEGGSSHAAFFKFLEDLQLQVSRGMVSA >OGLUM01G34050.1 pep chromosome:ALNU02000000:1:33324408:33325844:1 gene:OGLUM01G34050 transcript:OGLUM01G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEL7] MKQTVVLYPGGGVGHVVPMLELAKVFVKHGHDVTMVLLEPPFKSSDSGALAVERLVASNPSVSFHVLPPLPAPDFASFGKHPFLLVIQLLRQYNERLESFLLSIPRQRLHSLVIDMFCVDAIDVCAKLGVPVYTFFASGVSVLSVLTQLPPFLAGRETGLKELGDTPLDFLGVSPMPASHLVKELLEHPEDELCKAMVNRWERNTETMGVLVNSFESLESRAAQALRDNPLCVPGKVLPPIYCVGPLVGGGAEEAAERHECLVWLDAQPEHSVVFLCFGSKGVFSAEQLKEIAVGLENSRQRFMWVVRTPPTTTEGLKKYFEQRAAPDLDALFPDGFVERTKDRGFIVTTWAPQVDVLRHRATGAFVTHCGWNSALEGITAGVPMLCWPQYAEQKMNKVFMTAEMGVGVELDGYNSDFVKAEELEAKVRLVMESEEGKQLRARSAARKKEAEAALEEGGSSHAAFVQFLSDVENLVQN >OGLUM01G34060.1 pep chromosome:ALNU02000000:1:33326401:33326874:-1 gene:OGLUM01G34060 transcript:OGLUM01G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRGPAVERNRREVVVVAGAGGVEPHPSGGDVQIGSREATPTVNPGTVKRRAMRSRASASVGPHKPSAPPQREPRRAARSLARRRATGGVPDPDGVGEAGAEDNGSLGLCVAGGPGWRGNADEEPEQAGGWPWGAAGLDEVLVAAATECKPICY >OGLUM01G34070.1 pep chromosome:ALNU02000000:1:33331701:33331944:-1 gene:OGLUM01G34070 transcript:OGLUM01G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAEDEGVQARTAMKRTKAVKRRSERTTQLQLHVDAAARSPPVIHAEEGVSTLQQLVEVWTTGSGMRPEKATQWQPEA >OGLUM01G34080.1 pep chromosome:ALNU02000000:1:33332185:33332394:-1 gene:OGLUM01G34080 transcript:OGLUM01G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKSRYSRTVKDETSCRVCQTRGQLGMNARAGVANTVWARQETTMNHKSMSEETSREGLRDGRGVRTE >OGLUM01G34090.1 pep chromosome:ALNU02000000:1:33332463:33339670:1 gene:OGLUM01G34090 transcript:OGLUM01G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSRASTTTLRHPMRALRLDNLDFGYIHHGYFTQASLTTVIIYSGSNSKPNKQLVRLPGQKKFPCAATGKI >OGLUM01G34100.1 pep chromosome:ALNU02000000:1:33340857:33345636:1 gene:OGLUM01G34100 transcript:OGLUM01G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEM2] MSPKKLAVIYPPPGMIDHLVSTVELGKLLVPHGIDVTIVLGGQDDGGAAATASFLADAAATNPELSFHRLPQPTLPCNVPADDYVSRVFEFARASGPDLCDFLRSTSPAVLIIDFFCYSALDVGAELRIPTYFFLTTCIASLAFLLYLPVIQEENTMSFRDLSGDLVHAPGIPPIPADHLPMSQLDRDSVSSRHFLALSEQVCNSHGVMVNSCHSLERRAADAIVAGLCTFPGRRTPPLHCIGPLIKPREEDSAERHECLAWLDAQPKASVLFLCFGSLGVFSVEQIKQVAVGLETSGHRFLWVVRPPPGLEHVTGPDLDALIFPEGFLRRTKGRGLVVISWAPQREVLEHGAVGGFVTHCGWNSVLEAVTAGVPMLAWPLYAEQRLMNKVFLVEEMRLAVAVEGYDKGVVTAEEIQEKARWIMDSDGGRELRERTLAAMREVKEALSDKGEIKIALLQLTSQWKNYNNS >OGLUM01G34110.1 pep chromosome:ALNU02000000:1:33347799:33348020:1 gene:OGLUM01G34110 transcript:OGLUM01G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSNAHPERVVEGGGRERDGRNGGNKSSVPAIREGAGGGLSQGGGRGERGKMESPGQGGVGGARVWLAKLR >OGLUM01G34120.1 pep chromosome:ALNU02000000:1:33349413:33350849:-1 gene:OGLUM01G34120 transcript:OGLUM01G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEM4] MRSRVVLYTWMVRGHLHPMTQLADRIANHGVPVTVAVADVPSSGESRKTVARLSAYYPSVSFQLLPPAAPARSGADTADPDADPFITLLADLRATNAALTAFVRSLPSVEALVIDFFCAYGLDAAAELGVPAYLFFVSCASALASYLHIPVMRSAVSFGQMGRSLLRIPGVHPIPASDLPEVLLLDRDKDQYKATIAFFEQLAKAKSVLVNTFEWLEPRAVKAIRDGIPRPGEPAPRLFCVGPLVGEERGGKEEKQECLRWLDAQPPRSVVFLCFGSASSVPAEQLKEIAVGLERSKHSFLWAVRAPVAADADSTKRLEGRGEAALESLLPEGFLDRTRGRGLVLPSWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRMNKVFVVEEMKLGVVMDGYDDDGVVKAEEVETKVRLVMESEQGQQIRERMALAKQMATRAMEIGGSSTASFTDFLGGLKIAMDKDN >OGLUM01G34130.1 pep chromosome:ALNU02000000:1:33367378:33367752:1 gene:OGLUM01G34130 transcript:OGLUM01G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEKAPFPEGFLRRTKGRGLVVMSWAPQRKVLEHGAVGGFVTHCEWNSVLEALTAGVPMLAWPLYAEQRMNKVFLVEEMRLTVAVEGYDKGVVTAEEIQEKARWIMDSNGGRSESGIWQPCGR >OGLUM01G34140.1 pep chromosome:ALNU02000000:1:33370316:33371740:-1 gene:OGLUM01G34140 transcript:OGLUM01G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEM6] MAMVEKTVLLYPCPAVGHLNPMVQLAEALVRRGVSVTLAVADPPDKGAVLAGAIARIAAVCPSIGVRLLPIPSCEGKTYSHPVMWIVDALRLANPVLRELLRSFPAAVDALVVDMFCIDALDVAAELAVPAYMFYPSAASDLAIYLQVPHVARSAPSSFKDMADTVLSFSGVPTIRALDMPDTMQDRESDVGTTRIHHCSRMAEARGILVNSFDWLETRALKAIRGGLCLPSGRSVPAIYCVGPLVDGGKLKENDARHECLEWLDRQPKQSVVFLCFGSRGTFSVSQLSEMARGIENSGHRFLWAVRSNLGEVDLEALFPEGFLERTQGRGFVVKNWAPQSAVLQHGAVGAFVTHCGWNSSLEAIMSGVPMICWPLYAEQRLNKAHLVEEMKLGVLVEGYDGELVKADELETKVRLVMESEEGKRLRERSAMAKEMAADAVKDGGSSDMAFAEFLNNLGTNNVKSGPRDTPVHD >OGLUM01G34150.1 pep chromosome:ALNU02000000:1:33384039:33389864:1 gene:OGLUM01G34150 transcript:OGLUM01G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVYAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVDALSPWLPDKHDEQTYVMASKL >OGLUM01G34150.2 pep chromosome:ALNU02000000:1:33384039:33389864:1 gene:OGLUM01G34150 transcript:OGLUM01G34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVYAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVDALSPWLPDKHDEQTYVMASKL >OGLUM01G34150.3 pep chromosome:ALNU02000000:1:33383711:33389864:1 gene:OGLUM01G34150 transcript:OGLUM01G34150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVYAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVDALSPWLPDKHDEQTYVMASKL >OGLUM01G34150.4 pep chromosome:ALNU02000000:1:33383711:33389864:1 gene:OGLUM01G34150 transcript:OGLUM01G34150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRNLLRATRPIALAPPPAPPETFAGPGPWDRAAVEIQLDRATLHQWLAEGGEATGQEEEVDEKLILFSGNDYMGLSSHPAIRKAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKKKEDCLLCPTGFSANMAVMTALGSVSSLLSVGRKPAEGERIAVFSDALNHASIIDGIRLVERQQEVVAFVYKHCDMHHLDFLLLFSMDGDFAPLPELAHGTLVCGENGGGAPELFGCENDIDISVGTLSKAAGCQGGFIVCSNRWKRLIQSRGRSFIFSTALPVPVVASVYAALHVSKKERWRRSVIWRHVQYFASLTKLDLTSPIISIVVGSEEAALRAGRHMLRSGFHVTPIRPPTVPQNSCRLRITLSASHSLDDIKRLVDALSPWLPDKHDEQTYVMASKL >OGLUM01G34160.1 pep chromosome:ALNU02000000:1:33384539:33385954:-1 gene:OGLUM01G34160 transcript:OGLUM01G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEN1] MESKPSRNVVLYAAMGAGHLLPMVELAKLFLTRGLDVTIAVPATPGSGTTGSPTIAGIAASNPSITFHHLPPPPSCADPDPNPLLLMLDVLRRSVPSLASLLQSIPSVAALVLDIFCAEAVDAAAALHVPAYIYFTSAAGAFAASLGLMHHYSTTTTNLRDMGKALLRFPGVPPIPASDMPSLVQDREGRFYKARVKLYARAMEASGVLLNTYEWLEARAMGALREGACSPDRPTPPVYCVGPLVASGEEEGGGVRHACLAWLDAQPARSVVFLCFGSMGSFSAAQLKEIARGLESSGHRFLWVVRSPRQDPANLLEHLPEPDLAALLPEGFLERTADKGMVVKSWAPQAKVLRHAATAAFVTHCGWNSTLEGITAGVPLLCWPLYAEQRMNKVFIVEEMKVGVVIDGYDEEMVSAEEVEAKVKLVMESEEGGKLLERLAVARAKAVEALAEEGPSRVAFDEFIDRLVTSE >OGLUM01G34170.1 pep chromosome:ALNU02000000:1:33391179:33391934:1 gene:OGLUM01G34170 transcript:OGLUM01G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGPYGEAEKNEPARPLALPSPSVHPAANDDEEAARAAAAAAGDTAANKRPRSPQYLRRRRCVIWCGGCCVTSAVVVGIVILVLALTVFKVKDPELTMNRVTLEGLDGDLGTSRHPVSVNATLNADVSLRNPNVASFRFDRSETDFYYAGETVGVAYAPEGEVGADSTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEISGRVNVLGIYKRNLDIKMNCSITLEVSALSTVQSKSTNCVASVS >OGLUM01G34180.1 pep chromosome:ALNU02000000:1:33398743:33398922:-1 gene:OGLUM01G34180 transcript:OGLUM01G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKGGLCSGGWREGEAEYLMGRGNANLVAKEEATMARLGVGDWVRWPVGNWGREEVG >OGLUM01G34190.1 pep chromosome:ALNU02000000:1:33402829:33403422:-1 gene:OGLUM01G34190 transcript:OGLUM01G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLLSSLATLGLGYSIAIALGFLVLLASLLLASYFCFRRGGGGGHFSGVLTPSSSSSHLSITVPRVLFVAEGSESPDAYSSGVAAASSPVGLDPAAIASYPKVPFYSGAGADADAMCSICLSEYADGEMLRVMPDCRHRFHVSCLDAWLRRNASCPVCRSSPIPTPVATPLATPLSELVPLSQYAADRRRSR >OGLUM01G34200.1 pep chromosome:ALNU02000000:1:33408943:33410433:1 gene:OGLUM01G34200 transcript:OGLUM01G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTRVQGPHGELEVGTYIVAGKKKLRTKTRPSPPFPFGYRLLTAVHRRMPEQNPSDGTCRQTNHAVLAISTISFTRGPATTCPR >OGLUM01G34210.1 pep chromosome:ALNU02000000:1:33410514:33413782:1 gene:OGLUM01G34210 transcript:OGLUM01G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEN6] MAEHKEEQSVMEKLSEKLHGDSSSSSSDSDDDKKGSSSSSAAAAMKAKIYRLFGRERPVHSVLGGGKPADLVLWRNKKISGGVLAGATAIWLLFEIMEYHLLTLVCHCLILSLAVLFLWSNASTFIHKSPPNIPEVKIPEDLTVNIALSLRYEINRGFATLREIGHGRDLKKFLIVIAGLWILSVLGSSCNFLTLFYIVFVALYTVPVLYEKYEDKVDAFGEKAEIEFKKYYALFEEKCLSKIPKGPLKDKKH >OGLUM01G34220.1 pep chromosome:ALNU02000000:1:33419260:33419979:-1 gene:OGLUM01G34220 transcript:OGLUM01G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSNSPPVPDIGSFSYSWPTNKPLARADDDTHARGCSFDFSPPPFECSKQAAAMAHADQMFRDGLLLPLRAVRRQGGGGGGGDDDGGGGDVSGAPKRDAIPVLLRPRYVDSAQRITTTIPASKRHLLPRPASPSSPRSSLRVAAAPGWSPSSVLGLGASIKLRLPSLGRRCGRVLPRRLSCKCLTFLEPLYQKMASCCVGRRITTRHAYGRAAADESRNIKVCEDAIRDAILHCKKSL >OGLUM01G34230.1 pep chromosome:ALNU02000000:1:33422470:33430552:1 gene:OGLUM01G34230 transcript:OGLUM01G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPAASAAAKATISVEYAKSGRSSCKVCSEGIAKGALRLGASARDPRGFDSTKWYHVACFPSSSHPIDPVEKVKGFDSIKEEDREEVRELNKTCKRDHTAVGTTEESSPKKVKDSPGVAENAIISVEYAKSGRSTCKGCSESIAAGALRLGASIRDPRGFDSTKWYHIACFPSSTYPAFPVENLKGFDSIEVHSMEDSKGTGNNIEGVKMLAGDKRAGPVIPFSVSDIKQNYKDATLPAHWKVFNTVIFREQDDGLQSSAKIAAFDFDGCLAKTSVRIVGADKWSLLYESIPEKLQILYNDGYKLVIFTNESNIERWNKKRQQAVDSKVGRLDKFIERVKVPIQVFIACGLGKGKTFPDDPFRKPNTGMWWLMREHFNSGVTVDMDKSFYVGDAAGRENDHSDADKEFAKAIGLKFHVPEEYFGEAANI >OGLUM01G34240.1 pep chromosome:ALNU02000000:1:33432838:33435261:1 gene:OGLUM01G34240 transcript:OGLUM01G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSAVGGVTVDVTLSPNAVLPGFAKRPEAMTEASCCGGGFPRCARAAAAAAWAFASEDAGRVAFALKAGLAMLLASLLVLVGEPFRLFGTNIIWSILTVGIMFEYTVGASFNRGFNRAVGSMVAGVVAIAVIWISLRCGSVAEPYVIGLSIFLVGAVTSFVKQLPALAPYEYGFRVILFTYCLIMVSVYRVGEPVAAGLDRLYAIAIGAVLALLVNVLIFPAWAGEQLHRELVASFAAVADSLHDCVRSYLSGDETAVDGGEPAIEKCRAILNASARIESLARSARWEPPHGRFRSFSFPWSHYARVGAVLRHCAYEAPDGVREAFRAEIEDATAQAAELVRVLGGDVDGMTRSAERLSLLKSVHGSAYRLQLALELNSHLLVSSGSVAEEITSGGGLERSCSRLRESARRQRLSWPSREADELEEAEAGGGYAAMMVRVRALESTAALSLATFASLLLEFVARLDHLVDAVDELSKLAKFREESDSIKLAT >OGLUM01G34250.1 pep chromosome:ALNU02000000:1:33437153:33437779:1 gene:OGLUM01G34250 transcript:OGLUM01G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVDDFGDGTYTVAFDEDYIHTTVTYSGDDVDDWLDEILRIHRRRLNYLVVGLDVEWRPATYYHGPGPVAVLQICVSRRCLIFQILHADYVPDSLFDFLADGRFTFVGVGIHDDVAKLRSHHGLEVENAVDLRYLAAKTIGKPALRSAGLQGLVREVMGVWAPKPYHVRVSAWDSWNLTPEQVMYACADAFASFEVGRSLYYDYD >OGLUM01G34260.1 pep chromosome:ALNU02000000:1:33441186:33441812:1 gene:OGLUM01G34260 transcript:OGLUM01G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIVNDFGDGTYTVAFDEDKIYTTVTDSGEEVEDWLDEIVRIHHRRLDHLIVGLDVEWNPASGFCAPGPVAVLQICVGRRCLVFQIIHADYVPDQLGDFLGDGRFTFVGVGIHDDVDKLREHYDLEVENAVDLRYLAAQTIGKPALRSTGLQGLVWEVMDVWMEKPHHVRVSAWDSRQLTLDQVMYACADAFASFEVGRSLYDDYE >OGLUM01G34270.1 pep chromosome:ALNU02000000:1:33443957:33447992:-1 gene:OGLUM01G34270 transcript:OGLUM01G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MGLLVVGLTAFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVAFLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSIKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >OGLUM01G34270.2 pep chromosome:ALNU02000000:1:33443957:33447992:-1 gene:OGLUM01G34270 transcript:OGLUM01G34270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G40280) TAIR;Acc:AT5G40280] MGLLVVGLTAFPVFRGPQEHRGHGCCLSGSHMVRRLSVRSPRLQRARRPPPSAPPTTAPMDSPSPPPPPPYPPAAAEGGPAADSQAAELPRLTVTQVEQMKVEAKVGEIYRVLFGNAPNANSLMLELWREQHVEYLTRGLKHLGPSFHVLDANRPWLCYWIIHALALLDEIPDDVEDDIVDFLSRCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSVNRDNLYKFMLRMKDTSGAFRCAFSQVVNSGEKIVSFHIEFLTPYICHSFYYPLTRMHDGGEIDVRASYTAISVASLVNILDGELAKGVKPMKVAFLGNRMLKLMGWVAFRQGVECGFQGRTNKLVDGCYSFWQGAALALTQKLMTVVDEQLKSSYSIKRPPGDDACGTSSSTEAAYYAKFGFDFIEKSNQIGPLFHNIALQQYILLCAQVLDGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMVDSDACPLPQHVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSS >OGLUM01G34280.1 pep chromosome:ALNU02000000:1:33448063:33452165:1 gene:OGLUM01G34280 transcript:OGLUM01G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVGARRALLAARYSPRGALASPVRRVDSPPSLPADRGCLWPLVPHRGAGNFASEQIDGDYHHEWGARNDGNYREPHRTDSLSHQVQANLPSTDSSVGADRIRGVSGDGSVNANYRRNPGQPEFPNRHEPYSSARVNNGASGYNDRQPYGSANAQYRSNSAQPSQTGGPYGFANRSEPYTSARVNYEAPGYNDKQPYGGGTTYNQQIANGDLPNSQYSRRQGNNSGVSGYGTGHHYHGSDTYRSGYNTQNNQQAYDSRQYGYGPSGQSYQESTGNDQQVFQQQEVDQRSAGNYANRLGSTSEYPNPSRFNKEHAANFQQGYNGGIGHNVSHAPQSPYVSSKIDAQGNFPGQPMNVNRSVQHNTHAPALYQDGIYRNPLTDSPSIDGLPSGASDVTSGESKVTVEEMDKLCEDGKVKEAVELLALLQEEGTVVHAPQYFKLMQACGDATSLAEARKIHNQISQSALAVDTDINNKILEMYAKCGSMEDAKKLFNTIAQCNLASWNTMISGFVYNGLGDEATDFFDQFKQTGNKPDSTMFTHVFLACGILGSVDEGMLHFESMQKDFGVTPTMEHYVSIVNMLGQSGYIDEACEFVEQMPVEPSIDVWESLMNMCRLNGFLELGNRCAQIVERLDSSRLNDQSKIGLFPVDASELAKEKERKKANAVEARSKVHEYRAGDRSHPEHLKIYEELRYLAAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAVSYGLITSAARSPIRVIKNLRSCGDCHTALKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >OGLUM01G34290.1 pep chromosome:ALNU02000000:1:33465299:33467492:1 gene:OGLUM01G34290 transcript:OGLUM01G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYIDTVVHSYSCRPSPHNLNPASIRKQGKPKKGNERRVLEPRPNSKPAMATAAGGARRALAGLRSASSSRAFSQPAPAAAAARSPELAAFSLPRSTRRRPAISRVPVEALGGAHGLMPLHSATASALLTSMLGLKPGSWGWLSEGFATPL >OGLUM01G34300.1 pep chromosome:ALNU02000000:1:33467832:33475754:-1 gene:OGLUM01G34300 transcript:OGLUM01G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSDGAIPLGCKRAMAAKSQPAEAAELVGSSSPAVTPPPPPTETRASDDGDSEGDDEAATDFERITDHQLQESIKRTRHSLGLCARLRDGGVKFLRRIRRMEKELDRRRAAGLRKGVITWRPTVKSPSQDDSHAFKDGDKLNWVNTSSKHHQNVPITPTTNYGQAEDSAFFKELSYFGREKHASLKKVEQSSRTTVSHQPKNHAVCPKRADDKQLHMDNKIIVNKRKLGSKSCLRKRPKNNSFDSNGMYDKLHTKDVTLGRSTKRWEHTKNHITEFRGLFDSKERNKKKDVVLLDDEDMEPAKSINVEMAHKWTDLETFELICSDIECLEPEEYLKSPVINFYMQYLRKSRTCGDLYIFNTYFYSKLEEVLSKMGDHDDSQFSKLRRWWKHIDIFRQPFLIAEWQHLQNDSSYTIPFSGRIWNHLSKNINKEKVQVPSQRNKYDCGIFMLHYIERFIQEAPERLTRENLCMFGRKWFDPKETSGLRDRIRALMFDAFESARMDDESSQSESHSDDQSGDEDKDRDGVMVVT >OGLUM01G34310.1 pep chromosome:ALNU02000000:1:33498072:33502635:1 gene:OGLUM01G34310 transcript:OGLUM01G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVGAYADPFLPPKMALSAYAAPMGEYGQPQPQPQPAPRPPACPYSSSSSSPPPVSASYHSWPPATSASPVSSPPSVSSPPESFPSSPPPALSPPPPDAPPPSLPPSPPPSPPPSPPQVEVQAPPPPMTTDQPRVQPRVYPSPPPPSLPPPPPQTFSPPSPPPFHPPSSSPAPAPVPAAVVYPPPPPPRIASPPPPRNHIKPHYVPRSSARSHSNSTRASSGAGKNIEISREAATTIVALAGLAMLSFVGATIWFVKKKRRRIEPPASLPTQQPAPLPPPNYFPSSGGSSLTSDAFFISPGYHPVRLFSAGSHGYPYSPADSAIGYSRMLFTPENLAEFTNGFAEQNLLGEGGFGCVYKGILPDNRLVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAAVLDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLARLAADSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDVYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMYHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDEMSQASWRSRRDL >OGLUM01G34320.1 pep chromosome:ALNU02000000:1:33498123:33498362:-1 gene:OGLUM01G34320 transcript:OGLUM01G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPAPDQEAAAATELLLSALERREIPAPAPASDRSISPAQCNAAATAPPLGSPHHAELLIPLPLSCLGVRGWTVEQE >OGLUM01G34330.1 pep chromosome:ALNU02000000:1:33503886:33504563:1 gene:OGLUM01G34330 transcript:OGLUM01G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETSSDHQLAAAAEFLAALQVHLAGAEASSPTWGGRCAYDEDFMMYEFKVRRCPRSRAHEWTSCPYAHPGEAARRRDPSHVTYTGEPCPDFRVAARAACPRGSGCRFAHGTFETWLHPSRYRTRPCRSGMLCARPVCFFAHNDKELRIVGDDAAAATPSPRSPFTTSEDSPPPSPMDMKQIVLAMQQMDARKATRSVAPKTDMLQQELEEDAPELGWVSDLLM >OGLUM01G34340.1 pep chromosome:ALNU02000000:1:33507759:33511379:1 gene:OGLUM01G34340 transcript:OGLUM01G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGRRGRGRGRGSSALAENDMDFHETSAPSSPSTTSDKEDNIEFTSQQPCLVSPAAEHVSNTLLNPKINHRSDAIFGDQEWEARRQRIFPESEQYDPSSYEEYLHWYSGVTRRYLVPSISDDVEAGPSLQPDDSIDLQYQAKAPMIRKAVDKLHGMVKKAKMAMTSTADTTTQALVFEFLHGFQDVLHDLGEIKENGGSATSPHVESAAAQDMPLLLLEAEQNIVDADQEAQHQEEEELHMVDDATMTLEPMDEEDNGFNNVICPCPSLELEEHSHSATPAIDECDTATPAPGSAIPQQSTSVDQDGHLENPN >OGLUM01G34350.1 pep chromosome:ALNU02000000:1:33511719:33516017:1 gene:OGLUM01G34350 transcript:OGLUM01G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRYLPVLLRLFQLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSSNHHVAIWKFTWLYVWNSAGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATLFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >OGLUM01G34350.2 pep chromosome:ALNU02000000:1:33511719:33516017:1 gene:OGLUM01G34350 transcript:OGLUM01G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKVLDQTVREIRREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKSYGDSEIIMNVLWQRLGNTLANWRHVYKALAVIEYLLANGTERAADGIVDNSSRIAKLTRFEYLEPNGKDVGLNVRKKAEAVLAILDDREKLQEVREKAAVTRDKYFGLSSTGITHKSSAASFGSGSYSSGSHYGSTGGSREVGSFKDIHTGTEWKKNKKETVSNYSSNREGSKEITNSATSYKSKKSERHGRRNQNSLTLHSKLSANISTTSEAPSSKKGENEDDDDFNPRGFSTSTGTGTTRSNHLDLFGPSLMDDLVDSTTSTSTATPNVSTPAVPEVDLFADAAFQSANAPLEAATVSHTQDKIDLFAGRLSSADSFTSDTEFSVRGSPNKSSEKKMSSVVHPSTSAFDPFKQSFATLFPSDSEFSVHDPTSKSSQGKTPTPEHSSTAAFDPFAAIPLKSFDGSESFGTFSSNTASNITELPRDSSGGPKSSDHGPLEDANFDAFTSHLGSSTTSATESMNKPIKKLGQDSMSASKSVAKKETFQVKSGIWADSLSRGLIDLNITSSQKKVDLSDVGIVGPLSGGSEDKGPWYMGATMGTAPGLVSSSFPSKTETSSGSGHFQHQQFGSFK >OGLUM01G34360.1 pep chromosome:ALNU02000000:1:33518469:33524638:-1 gene:OGLUM01G34360 transcript:OGLUM01G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEQ3] MASHIILPKEEEAALGVAVEEDHDSPAAPGYQHQQGPPVAKALPFSATCVRISRDSYPNLRALRNASAMSLPDDDAAYAKLEEGDYGYLLDDVPHFTDYLSDLPTFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKDSPRGVHFRRAGPRQRVYFESEDVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDIFGIQNGYKGFYSSNYLPMTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRRRGLKVAVAGVPKTIDNDIAVIDKSFGFDSAVEEAQRAIDAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIEKRLKENNHMVIVVAEGAGQDLIAKSIAAADQIDASGNKLLLDVGLWLTHKIKDYCKNKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDAAREADKLASKSPVPVNTKEHGENAKKPANGEGSSDAVVQEPFATAPTSPAALAAGAVSNPALTPAAVSMSFYDVNAGEQPSREDEAPEEVVEAAVANGAPTAVEKHNKLYSPAETPAGSGTRPHPTADAVAETAGEAPDDVDGCTTPPPPPVHDSEGSDTQSADDHGSPQREKPRTTSTAGGVKRDVAPSWSSRLLAFRSFSRDKKAKATVDARPPAHGKARDEGDEHKEKGKERRKRFWK >OGLUM01G34370.1 pep chromosome:ALNU02000000:1:33525363:33525940:1 gene:OGLUM01G34370 transcript:OGLUM01G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSDAKRVCVLAESLLLGPPGVFPTRTPNLVSTVGFEVHPWASTVWRIMDGVNEATQARVEFRVQTSQVHFTRSVQEKQRTIEKQRNRVPTPPFGIKTSSVVEDESQE >OGLUM01G34380.1 pep chromosome:ALNU02000000:1:33527302:33528188:-1 gene:OGLUM01G34380 transcript:OGLUM01G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWSAPALGRPSAPAPVFDRSAIVHPMAAAMAQRILRKVTPELPLLLTANLLRRTWQHHDTTTLELERHRIADIKNLIVELDEATTSPGRVRGAAAAAAATDRRRPPDSPSHPRPPSARATKPCPQLLAH >OGLUM01G34390.1 pep chromosome:ALNU02000000:1:33529430:33535865:-1 gene:OGLUM01G34390 transcript:OGLUM01G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSFGCSASGERLVSAARNGDLQEARALLEYNPRLAWYSTFGGRNSPLHYTAAQGHHEINLRNYRGQRYSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDEVFLKVSKPIAWLAPDF >OGLUM01G34390.2 pep chromosome:ALNU02000000:1:33529700:33534523:-1 gene:OGLUM01G34390 transcript:OGLUM01G34390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIAGSHLRSLKRYSSSRFASTSVVKQSSGGLFSWLLGEKSSQLPPLDVPLPGITLPPPLPDFVEPSKTKVTTLPNGIKIASETSVSPAASVGLYIDCGSIYETPASSGASHLLERMAFKSTTNRSHLRLVREVEAIGGNVSASASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFNWEIKEQLEKIKAEIAEVSDNPQGLLLEALHSAGYSGALAKPLMAPQSAIHRLDSSILEEFIAENYTAPRMVLAASGVEHDELVSIAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSDKTHIALAFEVPGGWFEEKTAIIVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILNNYHQIESFSAFNSIYNHSGLFGIHATTSPNFASKAVDLAAGELLEVATPGKVTQEQLDRAKQATKSAVLMNLESRVVASEDIGRQILTYGERKPIEHFLKDLEAITLNDISSTAKKIISSPLTLASWGDGMF >OGLUM01G34390.3 pep chromosome:ALNU02000000:1:33535345:33535865:-1 gene:OGLUM01G34390 transcript:OGLUM01G34390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLNLVGNSFGCSASGERLVSAARNGDLQEARALLEYNPRLAWYSTFGGRNSPLHYTAAQGHHEINLRNYRGQGWVMPWEGERRRRGLGRRTAAAQEDMWGEGDDLWGRM >OGLUM01G34400.1 pep chromosome:ALNU02000000:1:33546851:33550280:1 gene:OGLUM01G34400 transcript:OGLUM01G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISELGECDGVRSEGEEEQQVVVEEASVRGGVVVARLSAKRALVGAGARVLFYPTLLYNVLRNRFEAEFRWWDRVDQYILLGAVPFPSDVPRLKQLGVQGVVTLNEAYETLVPTSLYQAHGIDHLIIPTRDYLFAPALQDICQAIDFIHRNASEGGITYVHCKAGRGRSTTIVLCYLIKYRSMSPEAALDHVRSIRPRVLLAPSQWQAVNVFSTLTTGRLPIQSKNLGHFLEAGDDCITNSEIDDYYSMELDYEDSGLPLCQVMLPRPTSPTVCTDAVLITEADLEGYDTYADTRKDVVSLEVIVSRKPIMRRLSCLFGSLKLTNNCEPTPSRFAEVRAC >OGLUM01G34410.1 pep chromosome:ALNU02000000:1:33557868:33565735:1 gene:OGLUM01G34410 transcript:OGLUM01G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEIEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGQGTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRRVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >OGLUM01G34410.2 pep chromosome:ALNU02000000:1:33557868:33566697:1 gene:OGLUM01G34410 transcript:OGLUM01G34410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPNETQDIIRSAEENQTDQHENPPPPNPIPSHPLSSPAAAAGGGGSSSSASTTMARIVSRALPFASRSPQLGAALIRSAPMRCPPLPAAAPTASLLSWRGFTPSSEPSRSAPPPPPLPMPPFAGFLAGIRSFRRGRRGQSAARRAQPQDPIPSPPPAPKESEIELYARIGIDDDTPEDPEVLNIVEILKLNVPMAMKIALDGLLDSNYKTRDTSISDVGRYDKVEVSVLLCNDNFIQNLNKEWRGEDSCIEMLSMSQYIPDLDVPILMLGDIVISVETAARQAEERGHTLLDEVRILAVRGILRLLGFDHQTSDESAVEIEKEEQLILKSLRWKGKNLAKSVLDSGKRHTETSDGQGTSGLKRAGSLRFYRPKFKYIFCDMDGTLLNSKSQVTARNAEALREARSRRVNIVIATGKARPAVIDALNMVDLSGRTGIVSESSPGIFLQGLLVYGLQGREIYKRNLDQEVCREALLYSLEQKVPLVAFSQDRCFSMYDDPLVDSLHYVYHEPKAEIVSSIDQLLGTAEIQKVLFLETPEGISSALRPFWEKAIEGRARVVQAQPDMLELVPPATSKGNGVKILLDHLCISPDEVMAIGDGENDIEMLQLASLGVALANGSEKTKAVANIIGATNDEDGVAQAIYDYAF >OGLUM01G34420.1 pep chromosome:ALNU02000000:1:33564617:33565559:-1 gene:OGLUM01G34420 transcript:OGLUM01G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVFERRQLSVIPFQLELYIHPPSPPDVVKIVKERKQERKKNISIVLYTKRTCSFGVFVPEARKTASMGKFGGAAVLPVYREEEDEDLFETSSSISGDSDDEAQFSDSEEAEAQEDQFAQQPARRMNSDSLYDLSSMKAQLPVKKGLSKYYDGKSQSFACMSEVRCLEDLRKKENPYKKIKSSKSYVALDGNQEACHIPGANSTSIAKKSGSSCANLMARNNTKSMLYRPPPIPVNKSGYHQ >OGLUM01G34430.1 pep chromosome:ALNU02000000:1:33568812:33571669:1 gene:OGLUM01G34430 transcript:OGLUM01G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGSCRARAWSESACGMFLLGWVQWPSWERTPLGAGRPAVQQYYTIANWNAELIRRATSSKLPV >OGLUM01G34440.1 pep chromosome:ALNU02000000:1:33577098:33580565:1 gene:OGLUM01G34440 transcript:OGLUM01G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G56590) TAIR;Acc:AT5G56590] MAHVAFVALLFLLLVGHCLGGKVGICYGRNADDLPAPDKVVQLIQQQSLKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLPFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSALVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAYFLKPMLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYTNMFDAQIDSIFFALMALNFKTLKIMVTETGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDIMTGGNITNANGTWCVASANASETDLQNGLNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANDVACDFGGTGVRTTKDPSYDTCVYMAAGSKMSTTNSSNLPVQSGFSPSRFDKNFYLLFSMLPIMIAACIV >OGLUM01G34450.1 pep chromosome:ALNU02000000:1:33597101:33600564:1 gene:OGLUM01G34450 transcript:OGLUM01G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT4G29310) TAIR;Acc:AT4G29310] MDPCAFVRLTVDQLLLKLPSVPRPSSGAAGVHPSTSPCFCTLHLQDHPSAGSHSRTAQLPLASSESPGPVAAGEPVVISLDAAAVQRLSARPAAELVVSVHAGQKGNAGCGMSAGRALGRVRVPVDVARAAAGETVVARDGWVDVGKPAAAAASHGHARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRATRTRSLTSESSMTRSTSRKLRSWLSTLHGDRDAQARREQRKGWTVTIHDLSGSPVAMASMVTPFVPSPAGSGRVSRANPGAWLILQPTGAGPASWKPWARLEAWRERGPVDALGYRLELVFDSGPTECAVPITESSISTKRGGQFVIDPATFPVGAAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQHATCMGDVALFVALAAAVDLCMDACKLFSQRLRKELCHDQED >OGLUM01G34460.1 pep chromosome:ALNU02000000:1:33602108:33606399:-1 gene:OGLUM01G34460 transcript:OGLUM01G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLDATSLLLSPSPTPPHKPTTPRRLICVVSSTLAALGASLSLLLLVVHSAPAPNYGSLFLSLASNDTAALHLRALTLHPHVAGTRANSLTAAYVRDAFSSLSIPAHITPYSILLSYPVERSLSLAVPGRATTTSFALSQETYPNDPYASATAEVMPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVAVARYGRIHCEDIVHNARVAGAAAAVVYTDPLQYGGAPGEAWFPDSRWLPPTGVQVGSLFRGVGDPTTPMWASSEGCERVSVEEAMDTDDMPGIPALPVSARDAAEIHAALGGDAAPANWQGREGSPVYRLGPGPAILNLTYTGNDTMATIENVFAVIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRLSVLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNVDVSVVGPDQAIPYIIRYTMTSCGWRNSEIQGSVGTLQRHFRITAASIWGMMALRLADEEILPFNYMSYPVELEAYTKVVENEVRGTAISCSPLHNAIRALKTAATKVNGERKVYGPSEQNDWESASYPGVENAIASARKENTTESWKFVQHEIHRVARAITQASVVLAGSLT >OGLUM01G34470.1 pep chromosome:ALNU02000000:1:33612526:33616302:-1 gene:OGLUM01G34470 transcript:OGLUM01G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGKPQQRQPLILPDAAPMGGGDGGRGDAATRRRRFVAFLAISVALVASYHHLAPAPASRYHALFLSLGNNDTAAAHLRALTLRPHVAGTEANAVAAEYVRAALSSFSFPTRVTPYSVLLSYPIHRSLSLSVPGRAAAFNFALVQETYPGDPYSEAAAEVIPTYFAYSASGSVAAEVVYANYGNTKDYEYLASRGVDVAGKVALVRYGNLHCEDMVRNARAAGAAAAIVYTDAKDFGGAGAKGKRKWFPDARWLPPTGVQVGTLYYGNGDPTTPLWPSCAAGEDCERLSQGELDGSAAMPSIPALPVSARDGETILKAMGGDAAPTEWQGGEGAPMYRLGPGPAVLNLTYIGNDTLATIENVFAVIEGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAERLSKLEKKGWRPRRTIIVCSWDAEEFALIGSTEWVEDNMDMLASRAIAYLNVDITVFGPGGFRPRATPQLDQLIKEASKMVQDPDDPSQTLYDTMIRHHPPIDRVAGAGTDFAAFLQYIGVPSLDMSYGTISAAVTPLASTNIIALAVEDYPVYHSLYDDYVWMERFGDPLFHRHVALASVWGLIALRLADDEILPLNYVSYASELEKCTKLVEGGCTGCPVSFAPLHKSIDQLRKAANKIHKEKMMLQAENWSLKTRAYTVKVRDINDRLMMAERGFINREGLDGRPWYKHMIYASSDQDDWGTKAFPGIVSAIDKANKLNTTESWQLLQHEIYRAARAVSKASAVLDGRLT >OGLUM01G34480.1 pep chromosome:ALNU02000000:1:33625274:33626532:-1 gene:OGLUM01G34480 transcript:OGLUM01G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSHHEAASVDAAEYGFSQASSGYGGSGAGYHFLGRGSAGLDLNSQAEDFPDFGSYQQILQEDGGGIPPLFQATRSDVAPSRRPVPGSRGGRRGGRSRGRGRGRDGTIGAAAGNRMRGFVPPGRGGSMSNGAGVVGRGSSMSHSAGVSGRGSSMSHGATGYGGFGGGGWDAGTSSAPTAAAEEEDNDVEDDDEDDNDGQRTDKTPYDKACWTEENTFMLCVVACEEIRNGNCPQGGWTTRVYKNLKEKFFLRAKMRHSTKQALLEMFYLVDSGYPNRPGYLAPYKGITYHFQEYNEGIMPQNRKEYFNYCHSSCQNVIERSFGVLKNKG >OGLUM01G34490.1 pep chromosome:ALNU02000000:1:33628518:33633488:-1 gene:OGLUM01G34490 transcript:OGLUM01G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51940) TAIR;Acc:AT1G51940] MPPPRRRPPAPGLLILLIFLALASPGEVAGDDAAPGNVTASAPLPCSELSRVCTAFVAFPAAGEAANASVLESMFDAAPGDITADAAASPGYAFVRKNCSCLASRTYLANTTYTVPSAAAGATANATAADVAAAAYAGLAVPPPGGPALRPPRPGAVVALHLLCGCSSGPWNYLLSYVGVDGDTVESLSSRFGASMDAIEAANGMAGPDPITTGKVYYIPLNSVPGQPYPVMSPSSLTPAPAPAQNTFSDVSEHHSTKFPYGWVIGSMGVALALIAIALLALVLCKLSQYNPQAPNNQGKSPDQSISHKFQLLKSGSFCYGSGRYLCCQFGNVKQSRTDGSDHHMNTPKGVVVDVFDREKPIVFTYQEILASTDSFSDANLLGHGTYGSVYYGVLRDQEVAIKRMTATKTKEFIVEMKVLCKVHHASLVELIGYAASKDELYLIYEYSQKGSLKNHLHDPQSKGYTSLSWIYRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDESFRAKISDFGLAKLVVKSTDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRTDGLNEGSNSERRSLASVMLSALKNCRNSMYMGSLKDCIDPNLMDLYPHDCVYKMAMLAKQCVEEDPVLRPDMKQAVITLSQILLSSIEWEATLAGNSQVFSGLVAGR >OGLUM01G34500.1 pep chromosome:ALNU02000000:1:33643242:33646548:1 gene:OGLUM01G34500 transcript:OGLUM01G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESTSSLSTRNGEEKKEQKRGVEQITLMNESIVYSFTSLSVSDLGPYQKHISRSHPPDRQRNHSGLGTTTGSTRTAVSHAAAVTGRLIRTKRFTGSDHFNLISNAKRQFYPSTSISFGRYHLQTEWYLEVLIAVSHRSRRKGLATTARVPSKVRRTVFTEASSNRTNGAPIDQAQARPEDKPVLDVAEARSYKRHPRLAMLGATAPWFIARKVLSRSTRGQSRLQLSIVVKGKDMAPRLLITKTGLDGSREGPRQRTSAAGSPGVLAEVQVLSGNATSYQLIRSWVKFLRENELLIGATRL >OGLUM01G34510.1 pep chromosome:ALNU02000000:1:33657121:33661269:1 gene:OGLUM01G34510 transcript:OGLUM01G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YES1] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >OGLUM01G34510.2 pep chromosome:ALNU02000000:1:33657121:33661269:1 gene:OGLUM01G34510 transcript:OGLUM01G34510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YES1] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >OGLUM01G34510.3 pep chromosome:ALNU02000000:1:33657118:33661269:1 gene:OGLUM01G34510 transcript:OGLUM01G34510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YES1] MEEGSNKREGLPPQLLDLIPDEKEWKLREALGLGRSRNAGFDGEEDKKLDLKLGLPGFIEDDEAETLRDYRLQQESPSLSLGFFPKHSKTTSSTTTTTGAKRGFIDTVEDKTEGYNDQKQQARAGCGKELAVEEMIAAVSERKKGCCPPPPPPHGAPATPARNRPQTQGRGAAAPVVGWPPIRSFRRNLASSSSSKHSPEPQNDNANAKVTLTCKKNPLVKINMDGIPIGRKIDLAAYNSYDGLSSAVKQLFHGFLQAQKDQTNAQIAQQGADDKIFYQLLDGSGEYTLVYEDSEGDRMLVGDVPWKVFVSTAKRLRVLRSSELSHTLIGATARV >OGLUM01G34520.1 pep chromosome:ALNU02000000:1:33666027:33667580:-1 gene:OGLUM01G34520 transcript:OGLUM01G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT2G19870) TAIR;Acc:AT2G19870] MLHSGLTKSQPLPLLAAASSPRRTLLAGLLRAACFSRPTASSPALHPVTTVTNRASARAAVSPGGPSQLGLGGRVSFSTAPDGTASPDGGSALPWLAAASRDGGAAPAARASAGRSSSWETSAEKFFSRGDQSPRGEVLTDRGSGKEIIREEEDNGSIDNPKWGRIKDKYRRMVPRDRGSRGERFRGERFDKPEVRQWSRQENWGRKTWKEAGESTVPKMVGQGVYGVGPVLAALMAERREFYALYIQEGMDLSVSNKKKKDKKGIEKVLQLAERIGLKVIEASKHDLNMVVDNRPHQGLVLDASPLEMVNTKELEPVRVDDGKAPVWIALDEVMDPQNLGAIIRSAYFFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMQFLSSSAENGWRVLGGTVAPKAIPLSEVVPGEPTILVLGSEGTGLRPLVERSCTHLVRIPGNVDGSFVGADTDTDGGEEGDNYSGNQDMKSFLAVESLNVSVAAGVLLYHLAGTNASPVSDKPSIPLM >OGLUM01G34530.1 pep chromosome:ALNU02000000:1:33673504:33678626:1 gene:OGLUM01G34530 transcript:OGLUM01G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEEMRRIMDKKHNIRNMSVVAHVDHGKSTLTDSLVAAAGIIAQDVAGDVRMTDSRADEAERGITIKSTGISLYYEMSDESLKSYKGDRDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVGGEEAYQTFSRVIVNANVIMATYEDALLGDVQVYPEKGTVAFSAGLHGWAFTLSNFAKMYASKFGVDESKMMERLWGENYFDPTTKKWTIKHTGSDTCKRGFVQFCYEPIRQIINTCMNDQKDKLLPMLQKLGVTMKDLTGKALMKRVMQTWLPASNALLEMMIYHLPSPAKAQRYRVENLYEGPLDDIYASAIRNCDQEGPLMLYVSKMIPASDKGRFYAFGRVFSGRVATGMKVRIMGPNYAPGQKKDLYVKNVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIKAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTVEESGEHIIAGAGELHLEICLKDLQEDFMGGAEITVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPMEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNIVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGRGICFEVCDVILHSDAIHRGGGQIIPTARRVIYAAQLTAKPRLLEPVYLVEIQAPENALGGIYSVLNQKRGHVFEEMQRPGTPLYSIKAYLPVIESFGFTSTLRAATSGQAFPLFVFDHWEMLSVDPLEPGTQTANLVLDIRKRKGLKEQITPLSEYEDKL >OGLUM01G34540.1 pep chromosome:ALNU02000000:1:33679478:33680428:-1 gene:OGLUM01G34540 transcript:OGLUM01G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G29120) TAIR;Acc:AT4G29120] MSSSATGVNVSDRPISPDTTRVAWVGTGVMGQSMAGHLLAAGYALTVYNRTASKAEGLVSRGATLAESPRAAAAAADVIFLMVGFPSDVRSTSLDPSTGALAGLAPGGLLVDMTTSDPTLAAEIAEAAAAKSCAAVDAPVSGGDRGARSATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLDLYGKRMLERDMAAGFYVRHFVKDLGICLSECQAMGLALPGLALAHQLYVSLLAHGEGGLGTQALILAIERLNNTCLDKKPE >OGLUM01G34550.1 pep chromosome:ALNU02000000:1:33686618:33687236:-1 gene:OGLUM01G34550 transcript:OGLUM01G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIREDIESPEFRKVRSGETRRLYKAVCNRTSKTSSWNRKATNQQTDYTRVDELVKSQDPER >OGLUM01G34560.1 pep chromosome:ALNU02000000:1:33687366:33691179:1 gene:OGLUM01G34560 transcript:OGLUM01G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMAKAPGPVYLLFLLLALPCCLIPHRGAAQPAANEARLLLQIKRAWGDPAVLAGWNDTAAPAAHCSWPYVTCDTAGRVTNLSLANTNVSGPVSDAVGGLSSLVHLDLYNNNINGTFPTSVYRCVSLRYLNLSQNYLGGELPADIGVGLGENLTTLVLSGNYFTGTIPKSLSRLQKLEWLMLDNNNLTGTIPGELGDLTSLTTLTISTNKLGPGQLPESFKNLTKLTTLWARECQLVGDMPAYVADMPDLVTLDLAVNNLTGSIPPGIWSLKKLQYLFLFANKLTGDIVVADGAFAAVNLVFIDLSANPKLGGPIPQDFGLLQKLEVIHLYFNNFSGEIPASIGRLPALKEIRLFNNSLTGVLPPELGQKSPDLWDLEVDFNKFTGPIPEGLCDGGKLKIFTAANNLLNGSIPERLAGCTTLQTLFLPNNKLSGDVPEALWTATKLQFVQLQNNGLTGTLPSTMYSNLSSLTVENNQFRGSIPAAAAALQKFIAGNNNFSGEIPESLGNGMPVLQTLNLSGNQLSGGIPKSVAKFTVMAQLDLSRNELSGEIPAELGAMPVLNALDLSSNRLSGDIPSSLASLNLNSLNLSSNQLSGQVPAKFAIGAYARSFLDNPTLCTSGLGSSYLAGVRSCNAGSPGSASSGGVSPGLRAGLLVAGAALLLVIVALAFFAVRDIRRRRKRVAQRENWKITPFQTDLGFSEAAILRGLTEDNLVGRGGSGSVYRVAYTNRYTGGDGAVAVKKIRTGAAKVDEKLEREFESEARILGNVRHNNIVRLLCCVSGDEAKLLVYDYMDNGSLDGWLHGRRAINDGRPVVAAVARARSARGGAPALDWPTRLRVAVGAGQGLYYMHHECTPPIVHRDVKTSNILLDSEFRAKVADFGLARMLAQAGTPDTVSAVAGSFGYMAPECGYTRKVDEKVDVYSFGVVLLELTTGKAANDGGEHGSLADWARHHYQSGESIPDATDQCIRYAGYSDEIEVVFRLGVMCTGATPASRPTMKDVLQILVKCSEQTHQKCKAESGQEEYEVAPLLLPQRGSRRKQPAKAKRADDDGDERSDFDSIV >OGLUM01G34570.1 pep chromosome:ALNU02000000:1:33691408:33695100:-1 gene:OGLUM01G34570 transcript:OGLUM01G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YES9] MGKGTVVGTAVVVCAAAAAAVGVAVVVSRRRRSKREAEEERRRRAAAVIEEVEQRFSTPTAVLRGIADAMVEEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVSPQYEEVAIPPHLMVGTSMELFDFIAAELESFVKTEGEDFHLPEGRQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPRSGNMVINMEWGNFKSERLPRSDYDNALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPTKLEQRFILRTPDMSAMHHDTSHDLKHLGAKLKDILGVADTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGRDKVPSDGSQKQRTVIALDGGLYEHYKKFRTCLEATLADLLGEEAASSVVVKLANDGSGIGAALLAASHSQYASVE >OGLUM01G34580.1 pep chromosome:ALNU02000000:1:33695442:33698836:-1 gene:OGLUM01G34580 transcript:OGLUM01G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSELGSPPAAAPPPKRRKIEPSRRDRPSQVALDRDKVAASSSSLVSGTPPLRVDLNKVREAKRYAVFQAQHEGFLGSYKSFDSSFGSSTQSHETNPDKARVGASAI >OGLUM01G34590.1 pep chromosome:ALNU02000000:1:33716259:33719901:1 gene:OGLUM01G34590 transcript:OGLUM01G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTACSVGDVLDCSLRSAPRRKADRAAVPAAPPCKRNHSGMGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSSWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETWLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARFSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >OGLUM01G34590.2 pep chromosome:ALNU02000000:1:33716438:33719901:1 gene:OGLUM01G34590 transcript:OGLUM01G34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSSWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETWLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARFSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >OGLUM01G34590.3 pep chromosome:ALNU02000000:1:33716960:33719901:1 gene:OGLUM01G34590 transcript:OGLUM01G34590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLQKRNHSGMGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSSWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETWLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARFSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >OGLUM01G34590.4 pep chromosome:ALNU02000000:1:33716438:33719901:1 gene:OGLUM01G34590 transcript:OGLUM01G34590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIRWLKKLLTGRKEAHKGLKENHAVSDGAEKEKSSWSFAKHRRSGVDSGRRPSEAALAAVAAVAVEPSEVRRPCHCGEVENAIARREKAAMVIQKAYRGYLARKALRALRSLVKLQALVRGYLVRKQAATTLHRLQALMRLQASSRAATRASYRKSMEQERISVEETWLKTTTPGHRRRLSDSADSNYERSPRIVEMDTCHRRSRSSRIAIRHSRDHSSDCLTPAPMPPAPLSCSSPISIKQPPRLSIQRSQHHERDTRHAKTAHNTPRLGAPPYGSSPANKSVDGMARARFSHRDALGSPRYMAGTASSAARTRCQSAPRQRQAAEAPARSRRSCSRTTQDSGFCFECSEDSRPGYSEELSDEAARDYYLDRMW >OGLUM01G34600.1 pep chromosome:ALNU02000000:1:33725551:33728220:-1 gene:OGLUM01G34600 transcript:OGLUM01G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YET5] MAVAPVRLVACIVALAAVAPGGVAGHTRGVRPGRAAGKQQPSFPENATRVEAIERQFMEWVRYMGGLEHSTVHHALARAFPSYSLVVDKNPAFGDFTTIQAAVDSLPIINLVRVVIKVNAGTYTEKVNISPMRAFITLEGAGADKTIVQWGDTADSPSGRAGRPLGTYSSASFAVNAQYFLARNITFKNTSPVPKPGASGKQAVALRVSADNAAFVGCRFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLFEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDDIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASFSGRVSWSRELTDEEAKPFISLTFIDGTEWVRL >OGLUM01G34610.1 pep chromosome:ALNU02000000:1:33732742:33732996:1 gene:OGLUM01G34610 transcript:OGLUM01G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCKGGASRVAACWRLGGACGAESANELCEIPVLPFHLFDEGEVPRVTKSPDGL >OGLUM01G34620.1 pep chromosome:ALNU02000000:1:33734609:33744266:1 gene:OGLUM01G34620 transcript:OGLUM01G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPEDDGSSSARTPLIPPTPPPPGRAVARLHPLPLLVAAAFAASYHLLVAPAPSYYRSLFLSLGSNDTAAAHLHALTLRPHLAGTEANARAAEHVVSALSSLSFPTRVVPYSVLLAYPVHRSLSLSAPGHATTPFALVQDTYPGDPYAAVSAEAVPTFLAYAASGSVAAEAVYANYGRPEDFAYLAARGVDVTGKVVLARYGKVYRGDIVINARKAGAVAAVIYTDAKDYAAGEAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCERVSIPEAMATDDMPGIPGLPVSGRDGEAILQLIGGDVAPKDWQGGDGSPVYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYIILGNHRDAWTFGAVDPNSGTAALLELAQRFSELQKKGWRPRRTIILCNWDAEEYGLVGSTEWVEENRAMLTSRTVAYLNVDSAVYGAGFYASATPQLDELLKEASKQVQNPDNETQSLYDLWMASDSSSMIKIGRLGGGGSDYSAFVQHIGIPSIDISMGSEYAVYHSLYDDFVWMEKFGDPLFRRHVAVASMWGLVALRLSDEEILPFNYSTYAVELEKGAIDINKRLLGVPVSSSPLQKSIAEFKRAALQMDSEMKTRKVWNPWRNNPLKVRDLNERLMMTERAFTDREGLSGRPWYKHLIYAPSLHDDYGAQVYPGVDDAIQMAERTNTSESWRSVQHEIYRIARVINQASLVLSGGLT >OGLUM01G34630.1 pep chromosome:ALNU02000000:1:33739530:33745924:-1 gene:OGLUM01G34630 transcript:OGLUM01G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGVVHLGNYLGAIKNWVSLQDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >OGLUM01G34630.2 pep chromosome:ALNU02000000:1:33739530:33745924:-1 gene:OGLUM01G34630 transcript:OGLUM01G34630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGVDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >OGLUM01G34630.3 pep chromosome:ALNU02000000:1:33739530:33745924:-1 gene:OGLUM01G34630 transcript:OGLUM01G34630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidylyl transferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25840) TAIR;Acc:AT2G25840] MSRTLLSHILHRPPPLLASRGGGRGGALPSRLRTLRLNCSVAEATASGDEAPAPPARKKRVVSGVQPTGVDLYETLFFIVDLHAITLPYEAPQLSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKMGGRGGSLFKVPEALIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIMNKIKRCKTDSFPGLEFDNPERPECNNLLSIYQIITGKTKEEVIRECENMNWGSFKTTLTDALIDHLQPIQVRYEEIMSDPGYLDGVLLEGSGKAAEIADITLNNFTFHSVAIKVNDYQSPE >OGLUM01G34640.1 pep chromosome:ALNU02000000:1:33746139:33751063:1 gene:OGLUM01G34640 transcript:OGLUM01G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEU1] MARPGPAPCNSPETGLTAGVTWRHLSCDVSPDKRAAASSWPRRPPRGARARSYVRCWHGAPPGLQLLRVVASRAAFRETTKRWWWWRRRCRQGARTVSGMAGRGEGNGAATMAGVATGGVEDAYGEDRATEDQPITPWAVCVASGHSLLRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQELQEKRLLQNVRQFQVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILELLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEDLLKDEFYIGLRQKRATGQEYSDLLDEFMAAIKQNYGQKVLVQFEDFANYNAFTLLEKYRANNLVFNDDIQGTAAVVLAGLIAAQKFVSGTLADHTFLFFGAGEAGTGIAELVALEISNQSKVPVEDARKKIWLLDSKGLIVSSRKDSLQPFKKRYAHEHEPVKDLLDAVKVIKPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYSWSKGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAIRVKDEMILAAAEGLADQVTPEHVDKGLIYPPFSCIRKISANIAARVAAKAYDLGNICSF >OGLUM01G34650.1 pep chromosome:ALNU02000000:1:33752886:33757569:-1 gene:OGLUM01G34650 transcript:OGLUM01G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDWILERERRQVEQILELDMEELQVEEVDDAGSSSSSDVDTFLRNTHGDGGSRTSEALAFNTSVVLLPTCDGGVVLFPEAILPIRVVQPRSLTAVDKAVNHVDAPCMIGVVHVYRHTNDGHHAIASVGTTAEIHHIKQLDDGSSNVVTRGQNRFRLRRRWIDADDVQWGEVQIIEEDTPQRTPRDAFGQLATNYIFNQCGTSLLSLGTSCFRQDDHVNSDQDWDSLSSTSTSSEHSVTDARTYCSSNEDEDLMLEQSWQKYDSVKRNAELENPVKHSNTRGKGEPCFQSPKSLPTKNKGAEQRRRFCAAYSSKLALQAPLSFWPRWAYEMYDSYSLARRVADLWRQIVVNPSMDDYVRKPDILSYHIGSKLPMSCSVRQELLEIDGISYRLQKEIQLLKAFNIIRCRNCLALISRRSDGPVGAYVKQFSCGQEMMTVYNATGLALRGAPSKAHSLFPGYTWTIALCAACESNIGWLFRAEKTNLLPKSFWGLRSSQVSDDTQSGHN >OGLUM01G34660.1 pep chromosome:ALNU02000000:1:33759008:33765348:1 gene:OGLUM01G34660 transcript:OGLUM01G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNLRRQAASMKKSLFDQGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >OGLUM01G34660.2 pep chromosome:ALNU02000000:1:33759092:33765348:1 gene:OGLUM01G34660 transcript:OGLUM01G34660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPLCSCTWAEEQKGILADDRLAKKPSRQYSMEKGSATNRAIPDFRSAAAMSDAMRRARRRSPCHARNTRNGTRRAGARPDPEPSRQPIRVLGGRSLSVDETWVTSPAAAAVFLRHG >OGLUM01G34660.3 pep chromosome:ALNU02000000:1:33759796:33764880:1 gene:OGLUM01G34660 transcript:OGLUM01G34660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERIVSRVTSNQSRKLAGIAKYRGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >OGLUM01G34660.4 pep chromosome:ALNU02000000:1:33759796:33764935:1 gene:OGLUM01G34660 transcript:OGLUM01G34660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERIVSRVTSNQSRKLAGIAKYRGYLDEQFCQVEDLQDEASPNFVEEVVTLFFKDSGRLMSNIEQALEKYPRDFNRWDTYMQQLKGSCSSIGASRMKNECMSFRDSCGQGNVEGCMRSFQKVKREHAVLRQKLESYFQLLRQAGPAGAATRPVM >OGLUM01G34670.1 pep chromosome:ALNU02000000:1:33769161:33777055:1 gene:OGLUM01G34670 transcript:OGLUM01G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNGEYEDFDAANRRAEVIDWLGGLLPEFDLPLDSSDEELRDYLIDGEALCYVADKLMPGVLEGTWGGYASDQRSNVKKFLSVVAEMGLPGFGVKDLEEGSMSSIVECLLALKDNVATQLGGHISNSTAKTPIRRKLELRETDGPVLSVATPGKRYPKSQQRSPLLSGQKINEVVQFKHGTYTDLPAAKISEMLHSNSLDNAPTQSLLRVVNGILDESIERKRGEIPHRVVHLLRNVIQEIEHRIGIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMAINRLEVVKVEKSKIDEKRKLGEQDMIRLIREKENAENIIASLHQEMQVMNRMHEQFREQMETKARQMEEHLTLRAKEAEFCLMQSKKKVEEVEATSQLKSQLWSKKANIFQSFMNNQKLSIKDIKISSQSIKQEMYALQMTWRDEISNIGHDLKGLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKLTAIDYIGENGEILIANPSKQGKEGYRMFKFNKVFGTHSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSREDWGVNYRALNDLFDISLSRKNAFSYEVGVQMVEIYNEQPNGLVVPDASLHPVKSTSDVLDLMEIGQSNRAVGSTALNERSSRSHSILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFSLAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNREGKDIKELLEQVASLKDTIARKDMEIEQLQLLKSKSPNSMTDRNGSNLLRQSTSFTGLSSLPVASQQNQQLSGSVEAEAEDNASDDGCSVGETEYSPAGASETSAERAHKAPSRITRFFLTKNGQPSTSRPKPREVVPKTQGSMRPGTAQATGGSLAKPSKRR >OGLUM01G34680.1 pep chromosome:ALNU02000000:1:33777919:33779897:1 gene:OGLUM01G34680 transcript:OGLUM01G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMATASVVTYLQRPRELSVPEFRAPPPSPVTGVLTSSSSGSPAYGECPDSNEDDEIGRFLRRSARVPVLRLPERAVPRKKKAAWAPPVIDVRLLALPEAGGPVAEALRSAAVAFGCFQVVGHGVDRSLVSAALRHVAAATARAATPEPEEVEVNGDDEDGEEMWWSPGDGGQEMAGNWALQSGASHFRNTADALFIQLEQTATKIMDVLQRGGAVATQSIAGADTNGSLLCIRKHRRRQDDRSGGASGPISHDGILRMLVRSSRCSRALALHLCPGASAFHIFSRRGWSRFRPMDGAVVVTVGDQLQACNGGLYKSVAGKPAYSNDNLRGNGGDTGVASAELFYCFPSAGTAAGKASEVLSADAGKIIPLNLQFMVAACLVLGYHFLLSSLHSTWLL >OGLUM01G34690.1 pep chromosome:ALNU02000000:1:33781395:33785585:-1 gene:OGLUM01G34690 transcript:OGLUM01G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >OGLUM01G34690.2 pep chromosome:ALNU02000000:1:33781397:33785861:-1 gene:OGLUM01G34690 transcript:OGLUM01G34690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLRSAARRAREAQENPAAAAAAAPAPPPARRRRAARRKEPEVAVEAAPEAEEGREEEIEVADLGREGGGEKKMEGPDSGARSADKQAVEDEGNTTPVPDTVQVGSSPKYRVDKKLGKGGFGQVYVGRRMLANGPGASEVALKFEHRTSKGCNHGPPYEWQVYNAIGGIHGVPRVHYKGRQGEYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPIGTPEEKRLFLVDLGLATKWRDASTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCISLFDTVVGPNPDIRPINTDGAQKLIHLVGQKRGRLLMEETDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIDKGNEDGLFISCITSCSNLWALIMDAGTGFTSQVYELSPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTMYTQQSYKVSDTFPFKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHQRWDNGYRITATAATWDQAAFVLSVPRRKPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >OGLUM01G34700.1 pep chromosome:ALNU02000000:1:33790873:33805689:-1 gene:OGLUM01G34700 transcript:OGLUM01G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 1 [Source:Projected from Arabidopsis thaliana (AT2G19950) TAIR;Acc:AT2G19950] MASWLKVAEDLLEVVDRRAKIVATELSDEQSSPQPSGSSSQEGQAKKGKLREKGPLKLATGDAGSRTAAQKERKSRQPPRERIKIEKIRPSPPVDSSSVDASASKPDVSSSDVKGLDDDGGAEKEEKVVVDRKNDIGAEVVDTEVEVQSTERSAEDAAIVVDGAADSGNSEGAAESSAPSVPDERYEPSISNQDAEIVSAVNLEEKDSAMEVIHEKNIKEVPDTQVSGKSQDSKREGLSDSPESTENQQEHKLDSGSVKDQDQLEEARGLLKNVVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKCSSYEAHMKQLQQELSMSRVEGSRAESNMVDALTAKNAEIESLVKSLDSWKKKAAASEEKLGALQEDIDGLKRNRELTETRVIQALREELATVERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRAMELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVIQMQAWQEEVERARQSQREAETKISSLEAELQKMRVEMAGMKRDAEHYSRQEHVELEKRYRELTDLLMEAERSRVARRSASAWEEDADIKALEPLPLHHRHMATANQQLQKAAKLLDSGAVRATRFLWRHPVARVSLLFYLVFVHLFLMYLMHRLQDFASREGPTAMGGLANSDLP >OGLUM01G34710.1 pep chromosome:ALNU02000000:1:33807469:33810206:-1 gene:OGLUM01G34710 transcript:OGLUM01G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYTARLVDEEVELEAHTEVDEQQQQEEARSVRCECCGMAEDCTPGYVRRVRARFEGRLVCGLCAEAVSERRRCEPALTVGEAVESHASLCDRFNRTVRLNPTLSLARSMRDIARTNCLSRHRSARADACAAGGASKQIGRVESCTMPYVIVMVIILALYIVLVTICFKGMTVWPGSYWNNHLNHALVLTYPLRAMPVLRDIYIEKFAMNPATYRLEIC >OGLUM01G34720.1 pep chromosome:ALNU02000000:1:33816843:33817675:-1 gene:OGLUM01G34720 transcript:OGLUM01G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSSASEEESAGLKAPTDARVIRQRYEGDGPILSDDALLPADPGAAAGAEAEQQQQQDEARSVRCECCGVAEDCTPTYIGRVRERFDGRWVCGICAEAVSELRRRDPALAVREAVASHAALCAEFNATVRVNPALCLVRGMRDIVRISCRGRSGDSASPSAAPGGGAGARIGRTRSCALPYV >OGLUM01G34730.1 pep chromosome:ALNU02000000:1:33822324:33824325:1 gene:OGLUM01G34730 transcript:OGLUM01G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTRKSFISFEPDYARFMHHHMKNASCTSFHSLTYTTRMGDTPGYEQKVYVVCFYHSVNYRVFQGNTLQQLLLRSVHSEHWGTPGYWSITLANAWGSLDALVGRLRTAFDEHGGHPEANLFGARIVRLYLREVCDSQAKVRGIAYEKKRRKRPPTSAAPIARSLAAASCRLPRARPPPLPPRSTPPAHHFPKHFHTFLQFSFIYFQISLHLN >OGLUM01G34750.1 pep chromosome:ALNU02000000:1:33838469:33840142:-1 gene:OGLUM01G34750 transcript:OGLUM01G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YEV5] MEVTAEFGGAYYGGAAGREKKALQQGCGDHFAVDDLLVLPYDEEDETTREGEATGGKEEAAGFGNASADSSTITALDSCSNSFGLADGDFPGELCEPYDQLAELEWLSNYMNEGDDAFATEDLQKLQLISGIPSGGFSTASVPSAQVQAASAAASMAVQPGGFLPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSVHAFPIKKPSKPAKKKDAPAPPAQAQLSSVPVHSGGSAPAAAAGEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMVSKHSNSHRKVLELRRQKEMHQQTPHHHQPQVAAAGGVGSLMHMQSSMLFDGVSPVVSGDDFLIHHHLRTDFRPPI >OGLUM01G34760.1 pep chromosome:ALNU02000000:1:33849824:33850198:-1 gene:OGLUM01G34760 transcript:OGLUM01G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSKRACAPMPMEVSSPGNQPIAPPGNQPVTQPLPPQFVVPSSFASTYRPGALFPAVTTQSMAPSSAPCWFTTLQQPGMTGSSTQGPWLFSTGIGPSTENAEHPDIHALYDTVPLFSIFLVV >OGLUM01G34770.1 pep chromosome:ALNU02000000:1:33861685:33869840:1 gene:OGLUM01G34770 transcript:OGLUM01G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nuclear pore complex protein, Nucleoporin Nup85-like (InterPro:IPR011502); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Ot /.../karyotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32910) TAIR;Acc:AT4G32910] MPGMLMDGGGAIVPFSGEPGHAVAAAPPVRNIRHGVAPPISRVYVSFSSGNLLQVACLRPPNPEGGGDRGAEEVSGGRVVEVNLGGGGSGPGGADAEEIDEAEMRRIEYGSVPAFALLQSRKNALVDGSGMSRLPSVSEHAEWWQYVLEYSKTIGSLLGNPDSLSAYMIDDPKMILKVKEKPTSLKAAWELLEIFFVDKQLQTWLPERLVDWLADYDSLLTKTENTIYRTLINFQKKLINLQVVEDDPDYWSGLSAALSVGWLDIVVNMLRFHGSYQLDQMDSRETENGLVEAVAVLVSTMPRMRPDLPSGKLGQCCKTRPDFIKALEKWRGQVSKLECSAFWIQCGHQKTRDGLKSLLHIMLGNIKNLTASTSHWLELFASHLLYIRPFTVGFEGMHQLAQKCIQLKPSADNSGLTGLLTGILSENTEVVLAECTKNFGPWMVTHAMELLTADNDYADMMLHEERPNFGGISIEELHRLVYAQVLCSHALTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRVVLKTLEICRLYELENVSTNIMKIAGIYHWKHGRKGTGVYWFQQANDKVRLDRIAQQLFEHIGKSVTDDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLQMAQEGRTGESVRQTVEFLIQLMRNPSTPQRFWLPLLHDSVRLLNCKPCPLLNVAETTLLLNKLQELSLAKLRPDFSNIHLPSHALNSVRLALASNLARAILEEP >OGLUM01G34780.1 pep chromosome:ALNU02000000:1:33879133:33879924:1 gene:OGLUM01G34780 transcript:OGLUM01G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGAQRNQVLVARTADAAHRRRARLGVLAAALTEEAQLDAAAALRQLGLVDGAVAGLVDHLPMESEARTTAAAITAMMMAATAEEVRGDSRCRQQGPPPAAAISWVFAWTQTRLVLPAWLGVGRGLQDACDKGHTDELRAMYKEWPFFQSTVDLIEMVWPRRTRPWRSTTTTLRKLIESRLTYLNPMNMLQVEVLRHHDNRKLRDALLITINGIAAGIRNTG >OGLUM01G34790.1 pep chromosome:ALNU02000000:1:33886508:33888207:-1 gene:OGLUM01G34790 transcript:OGLUM01G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGRVLCLTETIKGSIQVDPDTLDTVGKFQYTDKLGGLIHSAHPIVTDTEFWTLIPDLIRPGYVVARMDAGSNERQFVGRVDCRGGPAPGWVHSFPVTEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGKIVASVEVPPFVTFHFINAYEETDEEGRVTAIIADCCEHNANTAILDKLRLHNLRSSSGQDVLPDARVGRFRIPLDGSQFGELETALDPEEHGRGMDMCSINPAHVGREYRYAYACGARRPCNFPNTLTKVDLVERTAKNWHEEGSVPSEPFFVPRPGATEEDDGVAISMVSAKDGSGYALVLDGKTFEEVARAKFPYGLPYGLHCCWVPRNRNSK >OGLUM01G34800.1 pep chromosome:ALNU02000000:1:33925374:33927220:-1 gene:OGLUM01G34800 transcript:OGLUM01G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGNGLILYHILGLASCIALVYFSLGEVDLRDALPSLPFSGGASRAAAASLPFVERRGKRLFLDGRPFYINGWNSYWLMDLAVEPNTRPRVSSMFRTAVSMGLTVCRTWAFNDGSYNALQLSPGHFDERVFKALDRVVAEASEHGVRLILSLANNLDAYGGKRQYVRWAWEEGVGLTASNDSFFFDPAIRDYFKVYLKTLLTRKNHLTGLEYRDDPTILAWELMNEPRCTSDPSGDTLQRWMEEMSAYVKSIDKKHLLTVGTEGFYGPTSSQEKLNINPGEWFPNNYGADFIRNSKIQDIDFASVHVYPDNWLQHASLDEKLKFMTRWITAHVEDGDGELEKPVLVTEFGLSHQVEGFEDAHRDVLYRAVYDIVHGSARRGGAAGGALVWQLAAEGMEEYHDGFSIVPSERPSMMRLIKEQSCRLAAVRYGEEGARKVLKTVCA >OGLUM01G34810.1 pep chromosome:ALNU02000000:1:33927788:33928570:-1 gene:OGLUM01G34810 transcript:OGLUM01G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNMELEPVNVGYYDGRDGALAGVDKRGARMTKPSATSSGMAWTVEDLLTIATGDELCCGAWIVEDLLNVEDVAAGDELRHEAWTLEDASTDEDLQRGAWTTEDSLTLDY >OGLUM01G34820.1 pep chromosome:ALNU02000000:1:33935528:33935707:1 gene:OGLUM01G34820 transcript:OGLUM01G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGIPGIVPMKVRPDTAPGWHFRRGAGMESAWWHVEAEPKSGALAALLSEWSRGAAR >OGLUM01G34830.1 pep chromosome:ALNU02000000:1:33949054:33956187:1 gene:OGLUM01G34830 transcript:OGLUM01G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDGEMSLSNLVLGFFEEAEREQRWPENGDDDDEGSSGSGAAESKAFWQNQHSQLHEALAKTSQVESRIREDTEEAIRKMRAAGAVCSCARRAAAGDCRSCTLRHVDERLRDAGYNSAICKSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARASAEYRSLVTALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQSKWLGTPERVAAAAAAPVAVWSPEKQTKFRASMLSFDFARAAVEVA >OGLUM01G34840.1 pep chromosome:ALNU02000000:1:33952243:33955255:-1 gene:OGLUM01G34840 transcript:OGLUM01G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEDYSWVRRTRFSHSVVRSKSGREQFGAFVEQFNRGAARRQRGPGSGFMLHGLNLEPRARLPATTSANSSVPRTTGSSNAQPSSETKPASSSSDAKPEQHEKSLNLEPRTRLSATTSANSSVPWTTGSSNAQPLSETKTASSSSDAKPEQQEKPRDHQPSQEVSTKQDDKAENDTKATATSGPLEFSFHPDEQTLRLQRACSSPVPFPSNKNMLVADAAARSSSLKVAGEGPKPKPKPKQRARSPPLRRDVPELFKEAKSSSKRFSTPPPRRKPSSPPAPSRSPPHAFATARAHGKPKHKKDFSANGRAKVAALEVLEKWTVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPEDEEDAELAAQLEKQFNTEVTTLSRLNHPNVIKLIGACSSPPVFCVITEFLSGGSLRTFLHKQEHKSLPLEKIISIGLDIANGIGYIHSQGVVHRDVKPENIIFDSEFCAKIVDFGISCEEAECDPLANDTGTFRWMAPEMMKHKPYGRKVDVYSFGLILWEMFTGSVPYEDLNPFQAAFAVFDKNERPVIPSSCPAPLRLLIEQCWASQPDKRPEFWQIVQILDKFKAVLDKDGTLDNMPCLNLQGTHDHKNWLAHWVQKLKHTHHDLSGPPPPKLL >OGLUM01G34850.1 pep chromosome:ALNU02000000:1:33955386:33956202:-1 gene:OGLUM01G34850 transcript:OGLUM01G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSEQSSSAQDWRFLYVIEIANVAPVQ >OGLUM01G34860.1 pep chromosome:ALNU02000000:1:33967910:33977615:1 gene:OGLUM01G34860 transcript:OGLUM01G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >OGLUM01G34860.2 pep chromosome:ALNU02000000:1:33967910:33977615:1 gene:OGLUM01G34860 transcript:OGLUM01G34860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQTAATSTFFANPHVKHLPGPFLRPSPHYGALVHLPSFRNKTPISIAMAASPSPPPLQELTITRPDDWHLHLREGDVLAAVLPHSAMHFGRAIVMPNLKPPVTTTARALEYREEILRALPPGSNFVPLMTLYLTDNTSPEEIKLAKKSGVVFAVKLYPSGATTNSQDGVTDIFGKCLPVLEEMARQEMPLLVHGEVTDQHVDTFDREKVFIEKILAPLVQRLPQLKIVMEHITTMDAVNFVESCKEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAIVSAVTSGSKQYFLGTDSAPHDKRRKECSCGCAGIYSAPVALSLYAKVFEQAGALDKLEAFTSFNGPDFYGLPRNTSKIVLRKSAWKVPDTYSYSSGEIVPMFTGNTLEWLPSDQLEE >OGLUM01G34860.3 pep chromosome:ALNU02000000:1:33973367:33977615:1 gene:OGLUM01G34860 transcript:OGLUM01G34860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >OGLUM01G34860.4 pep chromosome:ALNU02000000:1:33973367:33977615:1 gene:OGLUM01G34860 transcript:OGLUM01G34860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >OGLUM01G34860.5 pep chromosome:ALNU02000000:1:33973367:33977615:1 gene:OGLUM01G34860 transcript:OGLUM01G34860.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidin 4 [Source:Projected from Arabidopsis thaliana (AT4G22930) TAIR;Acc:AT4G22930] MQAQRASQRRDVFHTNFLTPPIVKECMLAIEKLADIKAVAQGGYPQGERGAQVLVDPELVDYLTSTLEKVGKVGVSCTQIPLLALEYEPPRTKSFKTVESSLRVDALGSAGFKISRTKLASLISAGDVRVNWSPVSKNGVTLKAGDVVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >OGLUM01G34870.1 pep chromosome:ALNU02000000:1:33971169:33972332:-1 gene:OGLUM01G34870 transcript:OGLUM01G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLRRLLLGATPADALLTGRLPFSTSSRRTPHRFRRSRRSPNPAPPSPEAVSAAIATLPSRLTPPLLSYSLSSVSDARLLLPVLTHSLRLPTFRPDPGPFLVAIKRLAAADLYADFDRTCALAFSLLPSLPSPGPFLRTALYFYCQFRKIGKAFHVYTLMRASADPAARPSADTYHALFTALLSRGGGDTMVHYMYMDTVSALFRQMLEEGVPPDTRALNVLVKGYAQSLHLNDALRVFHQMRPVYGCEPDALTYSYLVHGLSEQGRTRNAREVFDEMLAKGLAPTEPACNAFVSVLAMAGEAGEAERVMWDMARAGRVVDDVTRRAVVEELWRSGRRDDAERVVREMEERGIVGGAERRALLRSLHDEFGDDDLDVDDRWQNTR >OGLUM01G34880.1 pep chromosome:ALNU02000000:1:33983724:33984419:-1 gene:OGLUM01G34880 transcript:OGLUM01G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAKQLLPMPQQDPNSPSSSTSSSSSSSTSPSHPHHRAPLPPSPRPVPRTIETTPFPTTFVQADTASFKQVVQMLTGAEQPSKNAATAATAAAGNSSAAGIGGGQGANGPCRPKKPAFKLYERRSSLKNLKMIAPLAMGALPSPTGRKVGTPEILSPSVLDFPSLKLSPVTPLTGEPFNRSPASSSEDAERAAISERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPSE >OGLUM01G34890.1 pep chromosome:ALNU02000000:1:33992893:33997230:-1 gene:OGLUM01G34890 transcript:OGLUM01G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin related protein 5A [Source:Projected from Arabidopsis thaliana (AT1G53140) TAIR;Acc:AT1G53140] MAANAFSSPATGRTPNPKVAPSPSTRRAADSAAAASAAAAAASDSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALDPRCRFQEEDSEEYGSPMVLATAIADLIKQRTEAHLRKIQAAVSPKPIVMRAEYAYCPNLTIIDTPGFVLKAKKGEPESTPEEILSMVKSLASPPHRLLLFLQQSSVEWCSSLWLDAIRDIDPTFRRTMIVISKFDNRLKEFTESWEVDSYLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGPYIGFSCLKKYLESELQKRYKEAAPATLALLEQRCSEVSMDLSRLDSKLQATSDVCQLRRSAMLHAANICTHLRSLLDGAADPAPELWGKTTEEEQMHSGIGSWPGINVPVKPPNSSLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERCRYQDSKYHQNVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYENDFLSGVGSVANSMHRFNHFPGVTSFDLSDSGSALEEAQENVPPKDRQHMTPPAKGNESKEVLRESQLTVPETPSPDLPVDMNGGKKKDNGNLNDGGARKRHARMAAYANRNHHNNVIGGDDLGSKSGSSYSSICSISAQYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDRFMDMFVAPGAVDAIQNERQSLLKRQKILLSCLNEFKNISRTL >OGLUM01G34900.1 pep chromosome:ALNU02000000:1:34002612:34003430:-1 gene:OGLUM01G34900 transcript:OGLUM01G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRRWPYAAWFMAVLGLVAVFSSSEAYVFYAGGRDGWVVDPAESFNHWAERNRFQENDTIVYVRRVSWLAVFLHDDEVAGSVLQVTEGDFDTCSTGNPVQRLEDVAAGRSVFRFDRSGPFFFISGDEDRCQKGQKLYIIVMAVRPTKPFEAPEPAGAAGPVSSRSWSWQAFPPAGATTPPPLPPSWASAPEHAQAPGKSSLGGSGGGEMSRSSSLGAPPPTSGAAGLAGVVASVVVGVLGALLMF >OGLUM01G34910.1 pep chromosome:ALNU02000000:1:34005032:34006171:-1 gene:OGLUM01G34910 transcript:OGLUM01G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLLRDASPSRLQRTRFSWKQMRGAVHPPSRTSSSPPRRSALITSVPAVLALAFLLILAAGVFLYSGTTTTPSRAVTSAGRVNEARGGGHALLSPTVGSIGGARAIWELPAAPARAVLFVAHGCHCRPENFWPPSPRCPGCVGLPEDVAIMERALRRRFAVLALASARECWSMGKEVSAAKRGIRSWATENGLADLPVAALGASSGGYFVSRLAAEMKLAAVVLMIAEGSFGPGGAPAGYPPAMFLHMPKDQRRAALVERNSKMLRSNGVEVNELRSLELPLTPTMLSDRIPGLNRGLSERIWRVFTEDGFVDERGFMRKDGRATPWKDAVVKRGFWEEVAGLADHIQEELNLAYGYHEMTSLHTDEMFDWIEEHLS >OGLUM01G34920.1 pep chromosome:ALNU02000000:1:34020984:34021412:-1 gene:OGLUM01G34920 transcript:OGLUM01G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELQEADVLWPAEHHRDYERCRHHHHAAQSAVCPVAPLRPSSSSAPVRIPAPTTTTFAGARRGYNEDDGTRTDYSAGAGASSRIVPPHVYVAAARRGSEGRTVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLGGGPDEY >OGLUM01G34930.1 pep chromosome:ALNU02000000:1:34024261:34024689:-1 gene:OGLUM01G34930 transcript:OGLUM01G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADILWPDTAAVSSQEGVPSSGMRYELQAASCCSASSSGASLFGPCSRSEEFLSGASSTAGASNDDEEEELMEADVLWPETAPQPDEQPRWGASGYHGWSRGDLGLAGRLAAAKREGWRPAASSPIDIPAKVAARCR >OGLUM01G34940.1 pep chromosome:ALNU02000000:1:34027815:34029777:1 gene:OGLUM01G34940 transcript:OGLUM01G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGVSCRPVGVSFRRRPRILHCPSQRLRAGRSPSKTGQRARLVQRLAMERVSCVPLLRRLLLLAAAGLAGAEPQVPCYFVFGDSLVDNGNNNNIASMARANYPPYGVDFPGGATGRFSNGLTTADAISRLLGFDDYIPPYAGATSEQLLTGVNFASAAAGIRDDTGQQLGERISFSAQLQNYQAAVRQLVSILGGEDAAANRLSQCIFTVGMGSNDYLNNYFMPAFYPTSRQYTPEQYADVLINQYAQQLRTLYNYGARKVAVFGVGQVGCSPNELAQNSRNGVTCIERINSAVRMFNRRVVVLVNQFNRLLPGALFTYINCYGIFESIMRTPVEHGLAVTNRGCCGVGRNNGQVTCLPYQAPCANRDEYLFWDAFHPTEAANIFVGRRAYSAAMRSDVYPVDLSTLAQL >OGLUM01G34950.1 pep chromosome:ALNU02000000:1:34029959:34033376:-1 gene:OGLUM01G34950 transcript:OGLUM01G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSTPTAAATPRFKLGKQSSMAPDRGGAGESSEEGSSEAVGVMGFQLMYMAHEGDVEGIQELLDAGADPNFRDSDGRTAMHIAACEGQAEVVELLLQRGADAVAEDQWGSTPLADALHYQNHDVIKILEKHGSKLKIAPMHVKNVREVPEYEISPNELDFTNGNGISKGTFRKATWRGILVAVKKLDDDLIMDENKVQAFRDELDVLQLIRHPNVVQFLGAVTQSSPMMIVMEFMPKGDLRKHLSRKGALEPSYAVKLALDIARGMNYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPFYDKKNNEIEKAHNSKERPPFRAPPKHYAYGLRELIEQCWSENPASRPDFRTIIERLSYIQNEISQRNRWKVKPLKCFLSFEGMWKKDRNEGSTTRSSRSSRSYF >OGLUM01G34960.1 pep chromosome:ALNU02000000:1:34030026:34036887:1 gene:OGLUM01G34960 transcript:OGLUM01G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLRKHLRGFTCSRQGHSQRLLELHTYLQHICHANYQCRKKSGEKGEGRSTSRQPREGRRNRVGKKRVGGWLSWQHLHQQTPRIQFAVGKKKGTNLSLDVSAQWAVGPSNRRKFFRSQ >OGLUM01G34970.1 pep chromosome:ALNU02000000:1:34039878:34042264:1 gene:OGLUM01G34970 transcript:OGLUM01G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVPTVEPLVLAHVIHDVLDPFRPTMPLRITYNDRLLLAGAELKPSATVHKPRVDIGGTDLRVFYTLVLVDPDAPSPSNPSLGEYLHCLSGIHRHPEVMVVDIFWVGQSSCDATSHLTWSLTCGPVGGGDHMMVIDIPGTTGVNFVIPKNNIKDAAINEPKRQDLMLYERPELRYGIHRMVFVLFRQLGRGTVFAPEMRHNFHCRSFAQQYHLDIVAATYFNCQREAGSVEEGSGPRVLNGQLETIDCCMAFTKWNFEITCTIVLSAP >OGLUM01G34980.1 pep chromosome:ALNU02000000:1:34045998:34049709:1 gene:OGLUM01G34980 transcript:OGLUM01G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G29690) TAIR;Acc:AT1G29690] MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGTGRLLMLDESRARDLTINGGVLRGVPPDVQVEEGHGILERIRLVPGPPTDEPVVCSFPKMAECFNRKAGLLETTVPLGSFNSLFSFTGSWKNDEAATKSLAIDGYSVLLFRVKITSGELFLHESVKRAIPHSWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSELEFRNYVKEIGSERFSDGDSKLNATPIHYSEKDMTVIFRRRGGCDLVQNFNDWIKTVQSAPDVIGMTFLPIVSLVGDMPGKKHLAHAIELYLKYKPQIEELQYFLDFQVQLVWAPVPPGIAGQHRKEPVCPSLQFSLMGPKLFVSTEQISVGRRPVTGLKLCLEGAKQNRLAIHLQHLGSLPKIFVPHWDSHITIGPPKWQGPEEQDSRWFEPIKWRNFAHVSTAPIEYTETSITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSLVHRTDEASSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGKIVVRAKYSLLNY >OGLUM01G34990.1 pep chromosome:ALNU02000000:1:34052117:34056122:-1 gene:OGLUM01G34990 transcript:OGLUM01G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLSHPQALSARFSSPPVAAVTLCCVGLHGAATTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQVRSPLRLDPSTLTAVNNIGAIRFAAWWAIVYCYFLYNWHWTFFLYPDSLKHCLTCRVRPHQIVLRTSRTGMRAGIDASGMALAFPIVPLTRFGDRGCCAGAAA >OGLUM01G34990.2 pep chromosome:ALNU02000000:1:34054504:34056122:-1 gene:OGLUM01G34990 transcript:OGLUM01G34990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLSHPQALSARFSSPPVAAVTLCCVGLHGAATTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQVRSPLRLDPSTLTAVNNIGAIRFAAWWAIVYCYFLYNWHWTFFLYPDSLKHIFAWSN >OGLUM01G34990.3 pep chromosome:ALNU02000000:1:34055427:34056122:-1 gene:OGLUM01G34990 transcript:OGLUM01G34990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLSHPQALSARFSSPPVAAVTLCCVGLHGAATTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQMAIDLILPLSQPSTTSGRFVLLPGGLLFTAVCLLLNEAASKYCSKLKVVVVWCDEEARKLMIFCTIGTGHSFCIQIH >OGLUM01G35000.1 pep chromosome:ALNU02000000:1:34056080:34056274:1 gene:OGLUM01G35000 transcript:OGLUM01G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGEAVAGETPSPHPNPTLRHPVPKSPCSTGRGVMEGAPREEEGTGEREGKAVVGEEKPGR >OGLUM01G35010.1 pep chromosome:ALNU02000000:1:34056612:34069357:1 gene:OGLUM01G35010 transcript:OGLUM01G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASVVVFNISDELNFGLENLASLSLAVNLIIYFMTVMHIGLTDSSNLLTNYMGTSYMVAVLISVFADTFIGRYKTVIISSVIELVGLLILTLQAHSNKMKPPYCFFPFDPTCQTVSGDGRTHLYVGLYLVAIGSAGIKAALPAHCADQFDEKHPTEKLQMSSFFNWLLLSLCAGGAISVTVFVWIQNYKGWDKGFGAATGVMGLALLVFIAGLPGYRISVVQGSTALLEILQVDRNVHVEHRNDCPVTVYVAAIRNRNMKLPENPDELYEISKSKAPPDTDFMAHRDKPFRFLDKAAIVQAPTDEAPSPWRQCRVTQVEHAKTVLAMVPIFCSAIIMSTCLAQLQTFSIQQGVTMDRTIGTFKMPPASLPIIPLIVLVFAVPIYERGFVPFARRITGHPNGIPHLQRVGVGLVLSIVSMAIAAVVEVRRKMVAARHGMLDANPMLGKQLPISCFWLAPQFTVFGVADMFTFIGLLEFFYSQAPPALKSMSSSFLWCPMSLGYFLSTIIVKAVNAATKGATASGGWLAGNNINRNHLDLFFWLLAVLSFLNFFNYLFWASWYKYKPQQSAHVPAEHKLGSADRESVKRQCPMAPSARARHQLSLPLRRTSASATYGACRLPQPTVPLVSCSMRANLTASRPTKPTGCMRVAYVTALRKTTTSSSYARSDRMASSNEKPLPTPISAAAGGSGGNAPPGRPTTVDSMLLDKGAAMLQALRPVKHIKQHVCTFALYAHDPRRQVETHHFVSRLNQDVLQCAVYDADDKHARLIGVEYIVSRKIFDSLPAEEQRLWHSHAHEIKAGLWVSPHVPGMLEKAELEKMAGTFGKFWCTWQVDRGDRLPLGAPALMVSPQDDPAADVRPDLVRNRDDKYRYSTTELRAARADVAVPAEPRPGQADYWLRHRKGFAVDVVPHEMKCHAPFPPLKTDLLSKGSHIDLLRRRR >OGLUM01G35020.1 pep chromosome:ALNU02000000:1:34069674:34077054:1 gene:OGLUM01G35020 transcript:OGLUM01G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVTDDDAAAAGAPSAAPRRARAARRKAARGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVREPCLNFLSPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCTRRDLVNSLGHTRKVKPLLTQTNSESSAM >OGLUM01G35020.2 pep chromosome:ALNU02000000:1:34069674:34073125:1 gene:OGLUM01G35020 transcript:OGLUM01G35020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTIRSISITVTDDDAAAAGAPSAAPRRARAARRKAARGLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGASPDGPSPHVSSSSLGRLDPTTRLVHGVREPCLNFLSPRSIEDLVFPGGTKLDSVVKRIIYKSDDNDYDTYHSEANSTYLLQHAEATRFNLFTGFQTLPEREESFKVNETVNVHCGFYSDNGGFKISDIDMRYMRSCKVVVSTCAFGGGDDLYQPIGMVNSSIGKVCYVAFWDEVTLSTQESEGKVVDGNGMIGRWRIIVVRSLPFVDQRLNGKIPKMLTHRLFPEARYSIWVDSKYQFRRDPIGVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRKDGMPDEKRLHGLKALSEASVIVRELAPVTNHFMCAWFNEVVRFTSRDQLSFPYVLWRLNMPGINMFTVCSFEHAF >OGLUM01G35030.1 pep chromosome:ALNU02000000:1:34077748:34080203:1 gene:OGLUM01G35030 transcript:OGLUM01G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGSLPPFLSKTYEMVDDPSTDAVVGWTPAGTSFVVANQPEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIKGQRHRLKYIHRRKPIFSHSSHSQGAGPLTDNERKDYEEEIERLKSDNAALSSELQNNTLKKLNMEKRMQALEEKLFVVEDQQRSLISYVREIVKAPGFLSSFVQQQDHHRKKRRLPIPISFHEDANTQENQIMPCDLTNSPAQTFYRESFDKMESSLNSLENFLREASEEFGNDISYDDGVPGPSSTVVLTELHSPGESDPRVSSPPTRMRTSSAGAGDSHSSRDVAESTSCAESPPIPQMHSRVDTRAKVSEIDVNSEPAVTETGPSRDQPAEEPPAVTPGANDGFWQQFLTEQPGSSDAHQEAQSERRDGGNKVDEMKSGDRQHLWWGKRNVEQITEKLGHLTSTEKT >OGLUM01G35040.1 pep chromosome:ALNU02000000:1:34081286:34084688:-1 gene:OGLUM01G35040 transcript:OGLUM01G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYTNLLDLAEGNFAALGPGGGGGGRRRSGSFGLKRMSRVMTVPGTLSELDGEDDSEHAATNSVASDVPSSVAGDRVIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVFFVGSLRAEIPVADQEEVSQALLDRFRCAPVFLPDPLNERFYHRFCKRHLWPLFHYMLPFSSSASPSPSSSSSSSSPSSSSGSGRFDRGAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGVHMGQLKTVLSLPDREWRVSELQQQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIHESCKRINGEFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGSDSTSEVNGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEQEKQLRHEKHYRYVSTHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNMDSIVMAYERSESRAIFLDYDGTLVPQTSISRTPSAEVLRIINTLCSDRRNKVFLVSGRRRDKLGEWFSSCPDLGIAAEHGYFLRWTRDKEWQTCTQTSDFGWMEMAKPVMNLYTEATDGSYIDPKESALVWHHQDADPGFGSSQAKELLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAEKILVSMKERGKQADFVLCIGDDRSDEDMFENIADTIKKGMVATNTSLFACTVGQKPSKAKFYLDDTFEVVTMLSALADATEPEPETDLTDEFDELAVSVSSVDIDDEQTPSDKLIGG >OGLUM01G35050.1 pep chromosome:ALNU02000000:1:34098616:34099590:1 gene:OGLUM01G35050 transcript:OGLUM01G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGLRSSRHNQREKQQRTQEEEHKHEQQEDKGNKSRAAFLSFSPLAWLSKLTAKNNAAAAKPKPAAPADKSAVAATGGFPSCFFKGASSSTSTSVSLSSSAASQSSQADSSPADHQAPRRLSVGNDGDTAEAAAARQLYHRRRHYSVGGDRDLQTLRNLIPFSRAASPIPVPAPFVPALKTTPPLLPSDTDEEKRPRSRRRRRRSGGGGRRSFSGRTPGARVAAAVRVRSPRRVASAAAAAVSELERFAVVRRTSDPQREFRASMVEMIASKRIGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNPARVAAVAPPRS >OGLUM01G35060.1 pep chromosome:ALNU02000000:1:34101988:34107255:1 gene:OGLUM01G35060 transcript:OGLUM01G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRYYFLRVAPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVVLDDDDMENQGNSG >OGLUM01G35060.2 pep chromosome:ALNU02000000:1:34101988:34107582:1 gene:OGLUM01G35060 transcript:OGLUM01G35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRYYFLRVAPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVVLDDDDMENQGNSG >OGLUM01G35060.3 pep chromosome:ALNU02000000:1:34101988:34107547:1 gene:OGLUM01G35060 transcript:OGLUM01G35060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRYYFLRVAPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVVLDDDDMENQGNSG >OGLUM01G35060.4 pep chromosome:ALNU02000000:1:34101988:34107582:1 gene:OGLUM01G35060 transcript:OGLUM01G35060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCVVVENGHPVPKEEDAPVVAVERLPEGAGKPREEGSRGQRKQGGIRREPSFSRWCKDPSAMSNAPPGGASPASAASDDDSEEFELPLLPSDSGGGGGSHLPMDIEAGAAARSDDLPISPWLIAKVIALIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRVIVWFQHRGLEGAASAMTWRYYFLRVAPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFLFRLEKPSFNLLGIILIVSFGVLLTVAKETEFNLWGFVFIMLAAVMSGFRWCMTQILLQKEEYGLRNPFTLMSYVTPVMAITTAILSIAMDPWHDVRASHFFDNSTHIIRSSLLMLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDTFTWLKGLGLGIIIFGVSLFNLYKYHRFKKGHRNKNCDKNPQTSSGASKYVVLDDDDMENQGNSG >OGLUM01G35070.1 pep chromosome:ALNU02000000:1:34110928:34114640:1 gene:OGLUM01G35070 transcript:OGLUM01G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGYRAEDDYDYLFKTVLIGDSGVGKSNLLSRFTKNEFCLESKSTIGVEFATRSIQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVARWLKELRDHTDPSIVVMLVGNKSDLRHLVAVSTEDGKEYAEAESLYFMETSALDATNVENAFAEVLTQIYQTVSKKTVEASDDGSNAPIKGEKINVKDDVSALKRIGCCSN >OGLUM01G35080.1 pep chromosome:ALNU02000000:1:34116627:34118363:-1 gene:OGLUM01G35080 transcript:OGLUM01G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYTFMCRAPPHTDPLLFFPPFSSLLFFSSSVRDTALSLWVGGVIITKATKGARRDIIYSLGKRWISFSRFGLFIRSWSVCAPGVVVVMAAGEEVMDRSTSAEDGYCSAGTDSPRAESVDEQGAAEESSPRGGQKRELPSPSASPSSPLPPAAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSYAPLLPPEEWERELQGDDALFAGLGELPECAVVFGRRRELGLAATAPCS >OGLUM01G35080.2 pep chromosome:ALNU02000000:1:34116627:34117821:-1 gene:OGLUM01G35080 transcript:OGLUM01G35080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEVMDRSTSAEDGYCSAGTDSPRAESVDEQGAAEESSPRGGQKRELPSPSASPSSPLPPAAKRSRRSVEKRVVSVPIAECGDRPKGAGEGPPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYSFEHNHPWPQPKSSSCHASKSSPRSTAPKPEPAADGQQPEPAENESSASAELEVPEPEPEQESEPVVKQEEEQKEEQKAVVEPAAVTTTVAPAPAVEEEDENFDFGWIDQYHPTWHRSYAPLLPPEEWERELQGDDALFAGLGELPECAVVFGRRRELGLAATAPCS >OGLUM01G35090.1 pep chromosome:ALNU02000000:1:34139261:34145469:1 gene:OGLUM01G35090 transcript:OGLUM01G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G18780) TAIR;Acc:AT4G18780] MMESGVPPCAACGDDAHAACRACSYALCKACLDEDAAEGRTTCARCGGEYGAPDPAHGQGAVVEEEVEESHEPAAGGVRERVTMASQLSDHQDEGVHARTMSTHARTISSVSGVGSELNDESGKPIWKNRVESWKEKKKEKKASAKKAAAKAQAPPVEEQIMDEKDLTDAYEPLSRIIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFGFSWILDQFPKWCPINRETYVDRLIARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARRWVPFCKKYFIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPSVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSVCSWCCCCCPKKKAEKSEKEMHRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWRSIYCMPIRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTSILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLLWVKIDPFIGSSETTTTNSCANFDC >OGLUM01G35100.1 pep chromosome:ALNU02000000:1:34170977:34172242:-1 gene:OGLUM01G35100 transcript:OGLUM01G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTVLATHDLLLLLLLLVAISFAGAAPLDPEQLVALRALGLRPHRLDPCDDAAGAVGVVAASCDAGVPFRRVTSLVMANCSATTSVSAGALEALAPSLRALSFSDCPAAPPRLLPPEQLAAGLRAFSCVASLHRLSAVWLSHLENLTELTVADTPLATGSPSELAVVVSHMGHLTSLTLSNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLSAITHLNLSSNDLNGNIPTSIGDLISLTTIDLSNNSISGRIPDIVSTLPELEVLNLGSNRLNGSIPQFLSEMRGLKELNLEGNDFDGMVPFTAKFVSRLRVFRAAGNPKLCYNRSVLSAEIAIGVAPCDKYGFPVMAPPATARSERSADYDDGAKDGDADEGADARGGPSAAVLGVAIGLSCLAFAVILLVCLCKVCR >OGLUM01G35110.1 pep chromosome:ALNU02000000:1:34187124:34190842:-1 gene:OGLUM01G35110 transcript:OGLUM01G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYYKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGESIDSICDGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSSSMDVGQVGFHNTRMVRVVIVEKRVNEIINRLNKTRVERRPDLKAEKDASNAAEKAERKMQLKEKRRREEMERLEKERRAEIRSYKGLMVAEKMTSNRQIASAGNSMQEMEDDFV >OGLUM01G35120.1 pep chromosome:ALNU02000000:1:34196428:34199594:-1 gene:OGLUM01G35120 transcript:OGLUM01G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAPSAPVTPAAPPPQTPPVTPPPVTAPPPVSPPPVTPPPVTPPPVSPPPVSPPPVTPPPVSPPPVTPPPVSPPPVTPPTPVAPPPVPPSPPPPTPTPTPVTPSPPPPVTPSPPPPVASPPPPDVPTAPPPSNNPPSPPPSPSNVPASPPPPRISLSPPPPPSTPTQSGASSGSKSSNNGTVVAVGVAVAAVVVLGLAAGLIYFFVSKRRRRRQHPPAPHHPGYPPFPAEFYDPHRPPSQQQSHALSPSPSSTPPLLLQPHSFVSSGGASEAASAVPGIAMMGGAFGYDELAAAADGFSESNLLGQGGFGQVYKGTVRGQEVAIKKLRSGSGQGEREFQAEVEIISRVHHKNLVSLVGYCIYGEQRLLVYEYVPNKTLEFHLHGSGRPALDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYTFEPKVADFGLAKYQATEQTAVSTRVMGTFGYLAPEYAATGKVNDRSDVFSFGVMLLELITGKKPIMVSHGDQPDTLVSWARPLLVRAVEEENFEELVDPRLENNYDAYDMGRLIACAAAAVRHTARSRPRMSQIVRYLEGELAAEDLNAGVTPGQSAMQRTSGGTTDQMKRLRKMAFGSATGTGTVSEYTSSEFSEPTSEYGLNPSTEYTTSAAGGDTGEVTVDVQMTAGASGEAAGTERLSRRTTARRGGRV >OGLUM01G35130.1 pep chromosome:ALNU02000000:1:34209238:34212129:-1 gene:OGLUM01G35130 transcript:OGLUM01G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEVHKNKWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFLLIAGHTCLVMNGDTSIEATAQKKNRAVNLYHWLASALPVSDQVYLVNATLA >OGLUM01G35140.1 pep chromosome:ALNU02000000:1:34214120:34218633:1 gene:OGLUM01G35140 transcript:OGLUM01G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQIPGVPDSARGGANRRGGGRRWDAQGNHGHHLGDATAARDRCGCGGGWVRRRRSPGTRHTGEAAKPRPTTGSRSTGGARRSTHEGDRWLGWVVEVGLGTAWG >OGLUM01G35150.1 pep chromosome:ALNU02000000:1:34241957:34242871:1 gene:OGLUM01G35150 transcript:OGLUM01G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRQGRARAAAAARPSPYRGGWLSRRCRSSGADTAEYDANGTSLTDDALAATFTRLPNAADVVRCAATCRRWASVVAKEANALSRALPLLPGRALGFFHKEQDAAAVATTRKRKRRAIIVDYSTPPYFVPTAFGSRLLGYNLPSASALPLGVQTDALGLLDLSLSRPVASRNGRLVLELQSEEHVNDGKLNLCVCNPMTGDVAVLPPLSGKDRPRMYACTLLTDADIDQPPPSANFFRVLIVYNRDRFTAFRSYSSDTGSWSMEAKKTPGPKLTNWDLGKLGHGIVLHAVAYWPLRRTTLAV >OGLUM01G35160.1 pep chromosome:ALNU02000000:1:34242918:34243355:1 gene:OGLUM01G35160 transcript:OGLUM01G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGIINTIQQFRLLGVTPERKLCFIDAANSSGYVGLASMVFETTGDDMCGGAGEWIKSADASKLRWFCEKSCTLLFTLGKGSSSPWTFALNLGTKKIEKLTTGVDCNGWRNFVGYEMDAAAYLMSIARR >OGLUM01G35170.1 pep chromosome:ALNU02000000:1:34248091:34252886:1 gene:OGLUM01G35170 transcript:OGLUM01G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYACNLSVIRECSFAQSGIMRKGFSMYGLIGDKPYPSMRVDLCHQTNDEDEEDTRSHHEALLDRTKNKPGIRAVDVRRRCCPGVQLGSRQKFVILVLLLSFVVTIAFAILIWIGRGENPIDSSLLKRVYLDVFSVVVLVLGGALACYGAILFSKMSKVRSETGSSEKRKVASLATVSLICFSSSAILALVTNVPVLVYWYSADEYIINNAIILFMYYFIGSSIPSGFVLWVMKDIPHRQTVERPTQSRVVTLFRDRPSPTQDPQWRTAVTSSNKALKSSPI >OGLUM01G35180.1 pep chromosome:ALNU02000000:1:34254450:34254902:1 gene:OGLUM01G35180 transcript:OGLUM01G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGTTTTTTTELTAARHPAANVVSGGSSGCLPSSWPSLSGAGGTGRRRGSAVVRSLQLVVRDVSPAAGWCGHRAWRRLLRRLAQETRCICSSSSPSGAASSRPITFGYDAASYAKNFDDGRRPAAHYAALAPAPAAGAANAAAHEPAGR >OGLUM01G35190.1 pep chromosome:ALNU02000000:1:34257561:34260221:-1 gene:OGLUM01G35190 transcript:OGLUM01G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLAPPPPPPLAGELEVAGAAVGGKKEEGEGEGEEGGVCGGEAVVVAAADAEVEGHPYDFHVSGPRNLPPPNWREIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDEKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDLILIRPSGAPRAVLALRGTLLQKPTIKRDLQDDLRFLVWESLKGSVRYIGALEALKTAVERFGSANVSVAGHSLGAGFALQVCKELAKQGVFVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPLTEEALPDSTKEEGSAKKKLRADKKWVPHLYVNNSDYICCHYNAPNCSTTTTTTTTTDGASDEQRQQRKASEIAGDVVAKLFVTSKGPQKFLEAHGLEQWWSDGMELQLAVYDSKLIYRQLKSLYTATAPSPPAK >OGLUM01G35200.1 pep chromosome:ALNU02000000:1:34272581:34273900:-1 gene:OGLUM01G35200 transcript:OGLUM01G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQQQQANPDEVVLGQETGGARVAILNRPRQLNVISDRVVYLLAQFLESWEKDEDAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTAVALVNGLVMGGGAAMVAPLKFAVVTEKTVCNTSP >OGLUM01G35210.1 pep chromosome:ALNU02000000:1:34275218:34276363:-1 gene:OGLUM01G35210 transcript:OGLUM01G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASGPPWMTSSPTRRGFFPDDLDNFTAPPTHRGFSPATPPHLPHQGGAEAGRGRRGQGLVKLLNELYQQLLFRKSS >OGLUM01G35220.1 pep chromosome:ALNU02000000:1:34285574:34290116:1 gene:OGLUM01G35220 transcript:OGLUM01G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPRKRRRRRRGGGGGHDYLSGLPDDVILAILSRLTTRQAVTLSALSRRFRVLPSQFGRVDSALVADPALPLPSLPARPSFIRRLSFAPQTRRFSSSAFGRLLDAAADRGLSELAVRLPRSAFLPQNLLSIRSLTVVSLDSCALPRWCPAACPGLRTLKLHHVAIPQRMISVILKAAPVLETLEMVYCMGFAGSCSMESSTVRNLLFKSALEQREVTVKMAGLRTITLYTRPKVQSVRLDPAPEIRKAYLHIARPRVKLQFRIRPFLDAGTGLTCLTLRGMAIKLLSSEYEDTPNLAVQFEDLRILSVSLDFSNERELIFLLKLLESCPNLQQLTLSAVDAKDDTALPNFADHEERLSKLSCLTKSLEQLKFLGFRPQRYQKELFIFLLTQATNLKKMAVEFPKDQEAAVRRILSVRKAPTQKKTTKYKQFYLELEYPVEQNCS >OGLUM01G35230.1 pep chromosome:ALNU02000000:1:34288153:34290231:-1 gene:OGLUM01G35230 transcript:OGLUM01G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGLATHFVPSEKLEELEKCLLNLNTGDESAVRAAIEEFSTDVQPDEDSILNKLPTINKCFSAETIEDIIKAFESEGSIDGNQWIATVLKGMRRSSPTSLKMTLRSIREGRKQSLPECLKKEFRLTMNTLRSVVTGDVYEGIRALSIDKDNAPKWSPATLEEVKNEDIDRLFEPFSSEKELQVPSDDSNRWSGKFEHTVYGRTSE >OGLUM01G35240.1 pep chromosome:ALNU02000000:1:34294983:34296451:-1 gene:OGLUM01G35240 transcript:OGLUM01G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:A0A0D9YF15] MGAFRFHQYQVVGRGLPTPTDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHKSDIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OGLUM01G35250.1 pep chromosome:ALNU02000000:1:34297316:34299699:-1 gene:OGLUM01G35250 transcript:OGLUM01G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C substrate, heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G42390) TAIR;Acc:AT2G42390] MTIQPPSRRQVSSSAPATLILLHLLAGAAGAAPPLVGVSPQDEAYFAPAVIACRDGSGSFPKRRLNDGYCDCSDGTDEPGTSACPDGRFYCRNAGDTPRLLFSSVVNDKICDCCDGSDEYESGIRCPNTCRNINDVRKDDDVGINRKGVMKDDGVGMNIKDVAEDDHHDRKRTLDIEDLIQKLKGLKMAVVIEIGLVICTFAVCFARRRTRTRRRHYILKR >OGLUM01G35260.1 pep chromosome:ALNU02000000:1:34303294:34303892:1 gene:OGLUM01G35260 transcript:OGLUM01G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLGFSAGAGVGADRLAAAPALQAAGALPPRVDVDVSLSLARAANGQPSSYLPLNENDSLDMVLFDVLREASAVAALSSSSSSSPELGARTTAPEAAAAYDRAAFRMRGAKALLNFPPAVAGDGARRGAAAAAKQVGMSDGECVI >OGLUM01G35270.1 pep chromosome:ALNU02000000:1:34305351:34310834:-1 gene:OGLUM01G35270 transcript:OGLUM01G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT2G04560) TAIR;Acc:AT2G04560] MLSLRIAAASRLRGLLGGGAATGARTRAFSYGRVVDAAARDGELRVFVVAGEVSGDSLASRLMASLRALSPVPVRFAGVGGELMRNKGLQSLFPMEEISIMGLWELLPHIYNIKRKIEDTADAAVLFQPHAVVTVDSKGFSFRLLKQLKCRYNQVARPLHVHYVAPSFWAWKDGERRLAKLHNFVDHLLCILPFEEEICRLNGLPATYVGHPLLDDAIGLNMEKELSFVNSMHQRSGEDFRQEHEISPDSTIITILPGSRMQEVARMLPIFLQTVQHLSHTFNELSLVIPVAPHRDVRVYVDNVVRSGPFPVVLIPGETLKERYDAFNASRAALCTSGTAVMELMFAKLPCVVAYRAHFITECLIHLRKKIDFISLPNILLNSPIVPEILFGACTAENLAAKLSEVICNDEARQLQVESAEQLLEMLYEPIKQRGGLFQEELHNSSLPSNIYSPSTIAALTVLYTDNHQQAVHQN >OGLUM01G35280.1 pep chromosome:ALNU02000000:1:34309176:34323346:1 gene:OGLUM01G35280 transcript:OGLUM01G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT2G31060) TAIR;Acc:AT2G31060] MATGMLLRGLRSAASRTSPVFPTSASSPAPYVSPLLRRLYSAAAASAAASPALGPGGALDPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLEFTKSPPDNAKNMSALLDSILQHVPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVVKLMKKKGTSMVIVEAAGAGDIISMAGLAAPAIGHTVSNSDILTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTDRGERLEPIEEVTVEVDEEHVGFVMETLTHRKGEVMDMGPVPGTTGRTRIFLTCPSRGLVGFKGIFSSFTRGTGFMHRAFQAYAKYRGQLGNVRKGVLVSVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLDINPVRTKELTNIRAPGKDENVRLSPPRLVTPKVIRLRKKYLDATKLNKVEEKILGGGGGKLKKKKKIDVISMYSLRTKERHMVEMWTNLLKCAWTT >OGLUM01G35290.1 pep chromosome:ALNU02000000:1:34321118:34324167:-1 gene:OGLUM01G35290 transcript:OGLUM01G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRNFSTPEEIAAGTSGRPRTFKKNLAEEEKEEEEDDIEESEEEESEDESEGKAKHKGTEGLIQIENPNLVKAKNIKAKEVDLGKTTELSRREREEIEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKAEARK >OGLUM01G35300.1 pep chromosome:ALNU02000000:1:34324346:34330554:1 gene:OGLUM01G35300 transcript:OGLUM01G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGGAAENRVRRDRLRECVHLVPVFHPFPATVSRLEKAEGSPRERIWASGMQQFNFKGWACMLTNAWLGQPQKPVWSRPNHNHDKSHAHVLSQPRRPPGALSTHNTIIPKSPYT >OGLUM01G35310.1 pep chromosome:ALNU02000000:1:34327882:34332161:-1 gene:OGLUM01G35310 transcript:OGLUM01G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPAAGRSSAGAARHQQFRARAKTRVDDLQEMFSGLQSARKEARSTDAAVLEAQLHQMLREWRAELSQGNNRELSDPPSETLRLLQLAAAEEEEDDATSKLVEQQQQHPPSANQAHGHPQAQGGQDMKPEPPEEAVASPADLTVPQQPQSPGQGVLASGGGMLAPAAAAVFHDQMYYVNQELTVEDFLYDDNYKMYLPGYNSDVLNNLESTGQLEYPQFNLPQELPPNAYLDTSNCGQNAGDVFLHMSDLLNTMSPVPAAFLRPKCALWDCPRPAQGSERWQDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPVCEGAATAKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNSYEWHLYEYEINDCDAFALYRLEFKSSDAKKTAKSKLACNPLNEIQQQMVRLSADSPVENKRSARSRTKANPNDINSNIYLVQNTTVQGSIPNAYQAVSQPDQMTYLNGNVVYGPHLPYGYSTERSDFYWSSNDGA >OGLUM01G35320.1 pep chromosome:ALNU02000000:1:34334224:34340010:1 gene:OGLUM01G35320 transcript:OGLUM01G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15090) TAIR;Acc:AT3G15090] MWWRAARARRRIAGARAASTSAAAAGEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPGDVLVRARAVSINPLDLRMRSGYGRSIFEPVLPLIIGRDISGEVAATGTSVSSFTIGQEVFGALHPTAIRGTYTDYAILSQDELTSKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCSVSATCGTKSIEQVLAAGAEKAIDYTAEDTESAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPAATAVLLKKQMQYRYSHGIDYWWTYMRADSEGLHEIQRLSGAGKLQIPVEKTFPISKVREAHEAKEKRLVPGKVVLEFD >OGLUM01G35330.1 pep chromosome:ALNU02000000:1:34340195:34345173:-1 gene:OGLUM01G35330 transcript:OGLUM01G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYVNPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMARLSQKAPDATTNLANKPETARPPLLLLASLSPSSPRKSQLGERSATNSPTRAASPPRRRNPQRERLPPIIRTPPPRLLPRRSASAHGEEASREREGEGGVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRIRRETGPSELPRLHHCHSDAGSFGGSGSSSKGKASAAHGRCCLASPVETNPGQQEFSVVL >OGLUM01G35330.2 pep chromosome:ALNU02000000:1:34340703:34345173:-1 gene:OGLUM01G35330 transcript:OGLUM01G35330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYVNPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMARLSQKAPDATTNLANKPETARPPLLLLASLSPSSPRKSQLGERSATNSPTRAASPPRRRNPQRERLPPIIRTPPPRLLPRRSASAHGEEASREREGEGGVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSAKPENWFFQNSYFSLTSYAREVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMQAASAAAAAAAKAKHQQPTAAAA >OGLUM01G35330.3 pep chromosome:ALNU02000000:1:34340703:34345173:-1 gene:OGLUM01G35330 transcript:OGLUM01G35330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPAASGWLRPSATARLCEAGFFTDYVNPATTRICIGRRRSGLQVASSNLGRCKIAHVKSGDADGYPTTEDLSIDEETLQRNLQTAIQEEDYSRAAKIRDDLRILHEDTKASLLAANTRFYNAFKNGDLAAMYSLWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCGADYEFPLNIDLKNVEVHVHGDLGYVTCLEVVKTKGRTWGKQVATNVFEKVDGTWRMARLSQKAPDATTNLANKPETARPPLLLLASLSPSSPRKSQLGERSATNSPTRAASPPRRRNPQRERLPPIIRTPPPRLLPRRSASAHGEEASREREGEGGVALRREVMVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGLAQVNHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVAGTAIIYEVQRSARAEARKEEIRKQEIEARKQRIEELASEVQMMKKRISEIERQQDERRALPNSRGSTTATPMQAASAAAAAAAKAKHQQPTAAAA >OGLUM01G35340.1 pep chromosome:ALNU02000000:1:34346262:34347627:1 gene:OGLUM01G35340 transcript:OGLUM01G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSNSDLAADELLRAQAELWNHIFAYTKSMSLRCAVELGIPDAVHRRGGAVTVPELVAELALPRSREPFLRRLMRLLAHGGIFDAAAGAEDAYGLTAASRLLVSAPGGAGQGLSPFARAMLHPIIVSPSISLASWFRAAAADDDDEGADAPRVPFAAVHGGRELWAVAKDDPGFGAAFNDAMACDGHHHGGAQLFRGITSLVDVGGGSGGAARAIAAAFPHVRCTVLELPQVVATVPPGDGGIEFVAGDMFDHVPKADAVLLKWILHGWGDEECVQILRRCREAVPAREDAGRVIVMDLVVGSSSSLGDGARDTETQLLWDVMMMGVVGSPERDEREFRKIFHDAGFSGYKILHVLGIRSVIEVYP >OGLUM01G35350.1 pep chromosome:ALNU02000000:1:34348325:34352361:-1 gene:OGLUM01G35350 transcript:OGLUM01G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARFARCTSGARRGKSLITSVGSGRAAGSAGEEAGVTGSDSPGLLVGGCGAGARGWRWQDDGAATATARSRECAGEVANCGKKRMRGRHAAAAVAWAGERAEREERRQRNGGG >OGLUM01G35360.1 pep chromosome:ALNU02000000:1:34352901:34358013:-1 gene:OGLUM01G35360 transcript:OGLUM01G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YF29] MALPSFYRPPWPSERGGEQRATDCWAGSPAAGGGRARATAMGIDLNNTASGGEEDAPAPAPVCRDLWHACAGPVVSLPRRGSAVVYLPQGHLSAAGAGGGIRGEVAVALPPHVACRVVDVELCADAATDEVYARLALRAEGEVFERNLHGGGIEREDDMEDGDEERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDHKQLRPSQELVAKDLHGAKWRFRHIYRGQPRRHLLTTGWSSFVNKKKLVSGDAVLFLRGDDGELRLGVRRATQLKNEAIFKAFSSESSKMRTLSAVADSLKHGSVFHICYNPRATASEYVVPYWKFVKSFNHPVCIGMRFKFHFESEDVNERRSGMIAGVSEVDPIRWPGSKWRSLLVRWEDATDCNSQNRVSPWEIEIVGGSISVAHSLSASSSKRTKLCPQGNLDVPALYGNGRPDSVETEKFPRVLQGQELMGSRTHRVTCSPQSIDITKSKSFDAWRFLTDTRSCMLGSSTSRLPVQYSGYTHQSVSFGESIGFPEVLQGQEISQTVPPFQGMLPDACSAKSRYELKNYVCTPATMNGLSSANEGYCLSLSTVPPSPPSSLMLYQTGVPQLELASKNNDKSGNDSQPALRQHKLLSETSWDQFKIGKASTPGNATKPGNGGREVDRTSCRLFGFSLTEKIIPTDKDGEKEVSYETDCQNPRMLDLFGYNCSTPGALHALCAAPLGI >OGLUM01G35370.1 pep chromosome:ALNU02000000:1:34362983:34368124:1 gene:OGLUM01G35370 transcript:OGLUM01G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRWLNYYSTSSAPPPLEAVIVGVDDDNGDLLDDGGGGLHMSQSMAMEIPGLDARRRRDSSNGLHARRRRNGLDTMARRARCSTAVAGSLHDSGATQTAGMDGLPGNSAGITGRQNVTATEAPRRNGRYNTPDKPTRVPITIAHSGAMHARTLSPQSPPLHALASGAF >OGLUM01G35380.1 pep chromosome:ALNU02000000:1:34368343:34369383:1 gene:OGLUM01G35380 transcript:OGLUM01G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPRHLFFLFVLAFLAAGAADAWPAWGNGRMFFSKATRPEAVELDKVAVATPAAAAAPDATNSNRASDEFSRPSSGGSHNRGYGLYGRPEESYPEAYFRRGVHHDADKLTTTSAAAATAEQEKEEEATPAGDDDAWLGYPADGSGRGRPRPYPRGQQQQTTTTNAAVATAEQEDAAPARDGTGLGYSEDRSGRGRPMSYARMRGGQQQQQQPQQQYGMSDTRLYQNGRYGYDVNTGKYGYGRESNPVRTRPEEFNGGRKFGGNAAGGQEYANGNYQEEFGVGHRAGEQVRRYGNGNVAGQEYENGNDHEEFGIGQRAGVQTGRRYDNAAAGYYDANGQYIP >OGLUM01G35390.1 pep chromosome:ALNU02000000:1:34374498:34379670:1 gene:OGLUM01G35390 transcript:OGLUM01G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMGTQIVPCSLRAVNRMQPVSSPMRHSAILVGRAAGPAPAPAPAAARPFWTTVLELSTLATSVWPPVPGLSWSTLTNTGFLFAAAAMGTEKRTLESSNG >OGLUM01G35400.1 pep chromosome:ALNU02000000:1:34374514:34387125:-1 gene:OGLUM01G35400 transcript:OGLUM01G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAGSGEQTVVQAAYNIIPIQDVVMHGDHPSLQVPEVRAAVEALSHASDFPAPPLARVWDPHRADIFDWLGATFGFQADNVRNQREHLVLLLANAQLRAAPRFPKDHPIDVLHLTVARGIRRKLLKNYTSWCAYLGQKRHFRVPSGGGGGRRTGAATGNDVRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVVEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLRFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELGLLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYVISLVEQLLLKDKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDNDVSFYKQVRRLHTILTSRDSMDDVPKNPEARRRITFFSNSLFMNMPRAPTVQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWKNFLERMQREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGVKQLASFGSVQYENDVYPMNGGLSQRPRRRLERGTSTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKKNDALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAAQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAALTRGTIGASMGNLGLLVQLGALVEHNNNTVLFSVPMAAAAKRKPVFVKVDQLKPGTGGHTLVAKVLSSKTVVQKGRAAAGAGAGAGPAARPTRIAECLIGDETGCILFTARNEQVDLMKADSTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVEGWDAMATMEIEASDTAHQGKQTKIPSEPEVLNSEISRVPQDETGSSGGQPPRERSRSGSGCGSRGGAADGRGGGDSRSKERSRGGGGGHCVGAGAQCGGATPAEDGGETLKPYG >OGLUM01G35400.2 pep chromosome:ALNU02000000:1:34387135:34393680:-1 gene:OGLUM01G35400 transcript:OGLUM01G35400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVEILVLYSSLILVLVQQDFHDSRLGRPFGKAYEQESYGKEDVLFAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSMGELRSDVLRDETDQRLKSLEKHLHEVHRSIQILRDKQELAETQKELAKFQLTHDTSKKKEDMPTPSFPEQKTLEEKADAPGQQLAIVLPHQVNSSLAPRASQPVQQYKDQTVQQPPSSSVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQVQPEVQYLPVRPSATQDVPVHASSQQSQAANQTQPQSFPPYQQQWPQQSSQPAPAPVSQPQPTFSQPFPPPVQQPQLSNTQQFPPQPMQQPQLSNTQQFAPQPVQQPNAQQFPPPPVQPQQSNPQLPPQAMQPQQHPPVQNQMRPQTPPNYPHYQPHQSLNPPPETLPGSMAMQGPYNTVAPAAGSRSEVPYSYGGPGMPPPQHNMQRQQLPPPSQGSFGPPSKGGYAGPPQYAPQGSSHGYNTAYGYPPSGPSAAQAPQMPPAPGNVGMSHPGSHQMMRGHPYGEMIEKAITMGYPREQVMNVIQRMTESGQPMDFNTLLDRLNEAGSGAPPRIEEQLSQAETPRRRRPTHPLAA >OGLUM01G35400.3 pep chromosome:ALNU02000000:1:34374514:34379295:-1 gene:OGLUM01G35400 transcript:OGLUM01G35400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAKRKPVFVKVDQLKPGTGGHTLVAKVLSSKTVVQKGRAAAGAGAGAGPAARPTRIAECLIGDETGCILFTARNEQVDLMKADSTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVEGWDAMATMEIEASDTAHQGKQTKIPSEPEVLNSEISRVPQDETGSSGGQPPRERSRSGSGCGSRGGAADGRGGGDSRSKERSRGGGGGHCVGAGAQCGGATPAEDGGETLKPYG >OGLUM01G35400.4 pep chromosome:ALNU02000000:1:34379625:34387125:-1 gene:OGLUM01G35400 transcript:OGLUM01G35400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAGSGEQTVVQAAYNIIPIQDVVMHGDHPSLQVPEVRAAVEALSHASDFPAPPLARVWDPHRADIFDWLGATFGFQADNVRNQREHLVLLLANAQLRAAPRFPKDHPIDVLHLTVARGIRRKLLKNYTSWCAYLGQKRHFRVPSGGGGGRRTGAATGNDVRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVVEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLRFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELGLLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYVISLVEQLLLKDKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDNDVSFYKQVRRLHTILTSRDSMDDVPKNPEARRRITFFSNSLFMNMPRAPTVQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWKNFLERMQREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGVKQLASFGSVQYENDVYPMNGGLSQRPRRRLERGTSTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKKNDALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAAQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAVIP >OGLUM01G35400.5 pep chromosome:ALNU02000000:1:34380500:34387125:-1 gene:OGLUM01G35400 transcript:OGLUM01G35400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRNRRAAAAAAAAGSGEQTVVQAAYNIIPIQDVVMHGDHPSLQVPEVRAAVEALSHASDFPAPPLARVWDPHRADIFDWLGATFGFQADNVRNQREHLVLLLANAQLRAAPRFPKDHPIDVLHLTVARGIRRKLLKNYTSWCAYLGQKRHFRVPSGGGGGRRTGAATGNDVRMDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLHHVVEQSIDIETGRPAMPAVCGEDAFLIRVVTPIYNVLKNEVEASRNGTKPHSAWRNYDDVNEYFWSRRVFKRLRWPLDPSRSFFVEPGKTGRIGKTGFVEQRSFWNVYRSFDRVWVMHILFFQAAMIVAWDGKTPWVSLRFRDIQVRVLSVFITWGGLRFVQAMLDAGTQYSLVSRETKTVAVRMVLKVLVAAGWTITFSVLYKRMWDQRWRDRRWSFAANTRVLNYLEAAAVFVIPQVLAIVLFIIPWIRNFLEKTNWKILYVLTWWFQTRTFVGRGLREGLIDNIKYSIFWVCLLVSKFSFSYFLQIKPMVGPTKVIFKLHDIKRNWFEFMPHTERLAVIILWLPVIIIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDTVHGGIRSKFYDAINRLKLRYGFGRPYRKIEANEVEAKRFALVWNEIIQTFREEDIISDKELGLLELPAVVWRIRVVRWPCLLLKNELLLALSQAAELVADDRTHWNKICNNEYRRCAVIEAYDSIRHLLLEIIKERTNEHIIVNQLFLAFDGAMEYGKFTEEYRLTLLPQIHKYVISLVEQLLLKDKDQIKIVRTLQDLYDLAVHDFPKIKKDFEQLRREGLALSRPTESQLLFQDAIKCPDDNDVSFYKQVRRLHTILTSRDSMDDVPKNPEARRRITFFSNSLFMNMPRAPTVQRMMAFSVLTPYYNEDVLYNKDQLRRENEDGISILFYLQKIYEDDWKNFLERMQREGMASDDGIWAGKFQDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDNASEVEITEGVKQLASFGSVQYENDVYPMNGGLSQRPRRRLERGTSTVSQLFKGQEDGAAIMKYTYVVACQIYGNQKKAKDQRAEDILTLMKKNDALRVAYVDEVHPEIGDTQYYSVLVKFDPVLQREVEIYRIRLPGQLKLGEGKPENQNHAIIFTRGDAAQTIDMNQDNYFEEALKMRNLLEQYDYYHGSQKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDIYRLGHRLDFFRSLSVFYTTVGFYFNTMMVVLTVYTFVWGRLYLALSGLEAGIQGSANATNNKALGAVLNQQFVIQLGLFTALPMIIENSLEQGFLPAVWDFFTMQMMFSSVFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIVLTVYAAHSVIARDTLVYIIMMISSWFLVVSWIMAPFAFNPSGFDWLKTVYDFDDFMNWIWYPGSIFSKAEHSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANESRSIAVYLLSWICVAVIFGIFVLMSYARDKYAAKQHLYYRVIQSGVIILAVLVLIIFLKFTKFQIIDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWASVVSVARLYEILLGVFVMAPVAFFSWLPGFQEMQTRVLFNEAFSRGLQISRILAGKKTIAHYP >OGLUM01G35410.1 pep chromosome:ALNU02000000:1:34398220:34398489:-1 gene:OGLUM01G35410 transcript:OGLUM01G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSRVCIVLCLVLVVVVGLAATQAEAARALAEYAAPPGGDYDDDVAGGGGGGGFGIRGRRRPGRWNVRSLQGGKREVPGGPDPQHHY >OGLUM01G35420.1 pep chromosome:ALNU02000000:1:34400831:34402822:-1 gene:OGLUM01G35420 transcript:OGLUM01G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58590) TAIR;Acc:AT3G58590] MPSPSPSAPPSASLFNSLIASRARAGRAAEGFALLARMLAAGVAPTAFTFAPILSSPSAGARCAAQLHPHILKSGLLHSDPYSGTSLVGFFGRNGRFDDALRLFGEMPVRSVVTWNCLISSFAQHGRACDAVIWFRALVRSGNSVSDGSLVAVLPAFGTPEQVHGLVKKIAMDSFSTVANSLLNSYCTHSSTSLAENLFSEFMFRDVVSWNTMIIAFARRSSPQRALEFFWMMEGWSVLPNEITFSSVIYACTSINGHEHGKSIHAKVIKHNLNTRPFVNTSLFDFYSKCGCTKDAHKVLEEAPEDSTTRWNGLISCHSDTDVPTSFFILREMLRSGVMPNEVSLSSLLKDPSVFDLLQIHSLVTRLGYDGYDYVSSAIISSYASHEMVSDALAYGGMLDPDSCAVSMNVLAGVYNRVRMYDEAKKLLLHQGCNDTVSWSILITACARNGDYAEALKFFELMRILGHHFDSYVSVSLLSICTKSNSLVLGRLLHGLIIKTNSGCLDTYVHNMLLDMYAKCGRIEECLKAFKEMEDRNIISWTAIISGLALNGFSRKALAWFKAMEEDGFKPDKVAITAVLSACRHGGLVHEGMNIFRHMKSEYSIEPEMEHYICVVDMLCKCGHLKEAEVVIRGMPFQPSAVVWRTFLQGCQTYGMIDTQVLS >OGLUM01G35430.1 pep chromosome:ALNU02000000:1:34408249:34408536:-1 gene:OGLUM01G35430 transcript:OGLUM01G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTYLAVFAFCAILMLSAVARSASARALRERAPEEAAVVAGRAGVAGMMKVPAAAAGEAAGRRSGGGAVVVVGGAAAHESKRLSPGGPDPQHH >OGLUM01G35440.1 pep chromosome:ALNU02000000:1:34410213:34428717:-1 gene:OGLUM01G35440 transcript:OGLUM01G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESRKRAASTANAKSSSLGEDFGNDFLSSWKLPKSGNDTIDFDVESVPKNSKKFSFDNLDDFGLDVAFDKLSSFKMGMSDLDFSGPLKKKVKPNNSNGNDLSEGIKGTEKDNFSFSFDFNELGKFNLDANLGIEENGMSKFVEKVNPVSSEGDKDPRKGLSVKGSDVLGGNISKEQKQTHDACTLRPTHLRSFSPARMDQNKVDLLSTDTHEEKSNETHPSRAAVNKPSQNLPCSSTPGEDPTHVTTTAVAENCREAPLVELSKVHISRGNNDSGQSVSSQFMNTSTTCPSISRKLTAQSDSQNDQNEIVGESACLNAQSPDNQRFRGTSMKLLKKTSCEKKKAEKGTSGPKSLSSSMQRDMRNVKPASLNEAGSLSLPPQSSIVKASRPPQLTSETTLNQLSSANNMVKKMNTHSTELKRESTQANARSERPKIASSKTFCKPALHELLTTSMNAKDHKNSKLRLESPSTGNVSTLNAPSSPAHSNGHETVASRSLLGSTNVFDAGKGTPKADNRPLSQLKAAKITKAGTISSKSDLLLEKDMMETSGRKGSPVTTSNNPKSYGEGKYVLPSPSMMQKTPKESASDPKAPAMLKHIMKSPAVRTSPETVSELGNKTIPGSGTPKARMDNAIASAISCEMGEISELELPALLENDVNVQKAEACRKELEDLCISLKRKHEEAKELAVRAIVNNNTMLMLNHPIINVRLKWSDCASPRKNTGTWKILGRAEEADRMMDLAEKRKIIHSSQASASSPPGGIGGGSCGVGGGSTDDSWAAAAAALPTVLGWRR >OGLUM01G35450.1 pep chromosome:ALNU02000000:1:34428543:34439440:1 gene:OGLUM01G35450 transcript:OGLUM01G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGADGGGGGCTHQDAAEPRKQQDERRRPARSRSAEAEAAAAAAAAGKLTTEANYTSKWRRELKLEEDKNQLSKGLDPWTSNPTASASTLHYLLQEKERAQQAHEQLQIYQQQQGFGSFLQHRIRQPASRGPGGGGGGGDGGGSSGESTPVDALATAFGAGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLMRNAKAAIDELPDRAEAPPPPAAASTEQPEATEQATSTSYGFGNTTGGTMTSAASAAAGSFLPHSLGADRVSDSVKSLFPSSSTASGTASAGHDEYRGSPPDLLSRTTSNQQPQELCLTLQSNQHQIFSHVSSNHHGMISSAGVPGWPDHSQRMQAWHAPENSTGDGRGGGNGDGYMFAMPSRQGLDQSQLFSHGEPLQSSGRGWASARAWLDPLAVAAIHHQPSTMAAGQVGFGHLVGGAGGGGGFMGFLAPAAQRLEGEEEHGSEVIR >OGLUM01G35460.1 pep chromosome:ALNU02000000:1:34471838:34472146:1 gene:OGLUM01G35460 transcript:OGLUM01G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGWGHVFAAAGGRARGRQAEAAADGWTRATVASDTGRSSPPGVRVTGGCDRRPGMRVTGGGGRDSTTGGAWRSPMVWRDGDGRRRQAMRGGVAPRTGVGG >OGLUM01G35470.1 pep chromosome:ALNU02000000:1:34473881:34474156:-1 gene:OGLUM01G35470 transcript:OGLUM01G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVYLDGSSAVEQRPVIHADGEVLLVPRAARARVVHVGVVVADVADSTRQRDGSLYTRHSYRAGPAPSTMPHYTSAMTPSTPASRRSRVL >OGLUM01G35480.1 pep chromosome:ALNU02000000:1:34477416:34480006:1 gene:OGLUM01G35480 transcript:OGLUM01G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKIPVAAPGHHQHWASAGGAGDAAWADEFAEFAASRRGAHRRSLSDSVAFVEVAPAGCGAGGEFDRLDDDQLMSMFPDEGGSSAPGSDNGGSDSDGGGDKHAAAQSDDGQHAAGEPTQEQAAATSPTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYQQQNTKLSGGLAADHAHVHGGPPPVRAEKELMS >OGLUM01G35490.1 pep chromosome:ALNU02000000:1:34481860:34482411:-1 gene:OGLUM01G35490 transcript:OGLUM01G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHEEQRPRRRQQQQQEGGGEETVVEVPEMDGELLVELLEASLAAEEDEEAVAQRKQQLGFFTADVGDGWDGQELMNSIHPHQEEEGCEDCGLDDILSDFDGGGYPPASSPPYLSEFWMEEMDHATAGPFAVAGECPGEEWYMDGMAMEWEDGRSYYSFHYPSYGADASCTDQLYSSPLWE >OGLUM01G35500.1 pep chromosome:ALNU02000000:1:34485265:34492138:-1 gene:OGLUM01G35500 transcript:OGLUM01G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQDPAADAVAAEPLTVAETDDQPPPPAPPLEAEGVVVAEEDPLPHPPLEVVEEDVAPVVAEAGAAAVPMEPSEAGAGGVVLTDELCDRIVKQVEYYFSDENLPTDEFLIKFVKKNKQGFVPIGVIASFRRMKKLSQDLSIIEAALRTSSKLVVSPDGKRVRRLHPLPNNELNDVKKRIVVVENLPSDFSMESIHAKFETVGKIAKITIHDQHSVGESATIKNHDIMLSNKVHALVEYETAEAAEKAAITLNDGSNWRTGMKVRLAKRTVGSGKHNQSSKENQAIQGQPSKVEQQMVSEKNGGTDSVESAFDNENVNSNISHEDMHQHQKVNAKGGRKGRYKSQGRGQIQQNTSGQGHVSSPATSGSDHVNKPIPGPRMPDGTRGFTMGRGLGFHEGHSGRNKALVMPARRQEQKQDQSTHWFRSGVAMRSNHVATTRGVAGGMHAVFGSWPEETKPVSRIRIRPKESAKARGLATALRHLTHIVQNGGRIVAARLPGRRSPRLVYEAVTGMQMKGALPAECWRRRSNLRFFAQADRIQIAVTRARPKQAMCSTRPYSSGDGNLAA >OGLUM01G35510.1 pep chromosome:ALNU02000000:1:34500433:34524373:1 gene:OGLUM01G35510 transcript:OGLUM01G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVGLVDVSLSPHNIVVHSHMAVLVRTLKHSKVAYPQCPNEMHWMYNRKTWTCFLSYSTELEVLAVKFWHRRFNYGTVYLCNRAFNWEGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKDTVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVCFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYNLTFTLGSAGDSCQPPMAPPASLQRVALDRNIMPRSSRMNLWPHCFPCFDDGDRSGNRFSTVCNFPDDLLPSLGATAHQPPKLRKYLVSPYDPRYKVWETFLIILVVYSAWICPLEFAFLRYLPSAPFVVDDVVNGFFAVDIMLTFFVPFVDKKSYLLVNDPKKIAVRYLSSWFVFDVCSTVPFHSISLLFNEHGHDLGFKFLNVLRLWRLRRVSSMFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPNFREDGLWIRYVTAMYWSITTLTTTGYGDLHAENAREMLFGICYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFRDVVQAASEFAARNQLPQQIEEQMLNHICLRYKTDGLKQQETLDVLPKAMRSSISHYLFFRVVQGAYLFKGVSSRFIQQLVTEMQAEYFAPKEDIILQNDSPSDLYLLVSGAVVYGRAAEGELLGEIGVLCNKPQSFTFRTTKLSQILRISRTKLLGIIQENREDGDIIRSNLQQVNV >OGLUM01G35510.2 pep chromosome:ALNU02000000:1:34500433:34526760:1 gene:OGLUM01G35510 transcript:OGLUM01G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRVGLVDVSLSPHNIVVHSHMAVLVRTLKHSKVAYPQCPNEMHWMYNRKTWTCFLSYSTELEVLAVKFWHRRFNYGTVYLCNRAFNWEGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISAGQHQGGMILIVPQGDHAVRLGNDASIGQVVQVEKGSEYAITFSAARTCAQLESLNVSVLGGASQTVDLQTLYNIEGWDAYALAFQATDEQASLEFRNPGMEDDPTCGPILDNVAIKKLFTPDKPKDTVVSNGDFEEGPWMFPNTSFGVLLPTNLDEQTSALPGWMIESNRAVCFVDSDQYTVPQGKRAIELLSGKEGIISQMVETTPQKEYNLTFTLGSAGDSCQPPMAVMAFAGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >OGLUM01G35510.3 pep chromosome:ALNU02000000:1:34524114:34526760:1 gene:OGLUM01G35510 transcript:OGLUM01G35510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSCGGTGDQAQNFHYSPMGNATSQAANVTFTARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNGAAGLKASIGLLLGIVSIVSLMLF >OGLUM01G35520.1 pep chromosome:ALNU02000000:1:34524956:34525498:-1 gene:OGLUM01G35520 transcript:OGLUM01G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFRRRGDDPDDVYGTVARVLSYIHYTLPSPPVSATTRLCALTPHDVVDRISTLPDELLSKVVSRLPVKDVARTTAGARYGALCRSRRMSSPPRRTAAGSPPIHRPLRRRPAPWPAWPVPPSSLTSGPVDGCPIAWCSLPEPPHHLLGPSSSTSYALSRCKQKRRHRGCGIGRLRHQP >OGLUM01G35530.1 pep chromosome:ALNU02000000:1:34532106:34534923:1 gene:OGLUM01G35530 transcript:OGLUM01G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDLHRARSLGSQITSGPACLPACDGKYHGVVRVWPIWIQGYGVHANSRRMASFVHALHIGSGYQEFLPASFSMDRTIFFVRILILIQKLNDPNMVSTLMVLSRSVCNHLPKVRAYAGTISLEPSPNSLPFIVLHNHMYPETMEYV >OGLUM01G35540.1 pep chromosome:ALNU02000000:1:34537386:34539308:-1 gene:OGLUM01G35540 transcript:OGLUM01G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDASRGGRRDRATLIAADVAFFFSATPWPSASRRRXRASGRISVSIRGAGVSASPADLAAALGLPLGPAALGPEVDASVFRSEEAIAAVRGFLRDRVLLGGGGGGGRELPGEAAVALRLVEEGKAYAVDWCRLFWELLKMDLVSGKPRRYAPHLLRLIEYQRPELFAEVDGSSPLGKRRKSAAFSRQCQWEDEKETDLIDAECGDSRTQAAEAEVERSSQSIGDLEEMPVFGERKQFNAVDLVGYKSSIVGLGEWIHGVNEGNAELGSQKSLPSEIEVSGCEMGGNAAAGLTAKDQSSDDSSLLSLLRTMDEQDDSSSHQNVISGAKPQPGPNQQSIIEIEDEEDDDDDQVGVGHVPPNIQNGHFGLNNYFVQQRATEGFQNDQTLPSFLACTQQIKACMDDNFLDKMKALMDARAANQRMINMITQKDYMIAATKRDILEDLGARHVMISQFEHDIELMRLTIQQYRKLFENTSAAYLEYRNRMSREEGDGSSLEVIGIADETEQFVRMQQLDIYQRLNKFQKLWLTKYSDLVGHLTRVAECMTYLSNGLQRLKDSTTIPDLNNGKPQL >OGLUM01G35550.1 pep chromosome:ALNU02000000:1:34549750:34550679:1 gene:OGLUM01G35550 transcript:OGLUM01G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSAVPGRVSDPGSAWFGGGERSSSAGPGRNVRLIATAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAEAAALATANAGAPPKQVGLDPSAIAALPTAAYGKVAGGDAAGGTTECAICLGAMQEADADVLVEYCLTNFYSTLL >OGLUM01G35560.1 pep chromosome:ALNU02000000:1:34555895:34558616:1 gene:OGLUM01G35560 transcript:OGLUM01G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGPPAVDHIPLLRSPDPGDVFSGVPVVDLGSPGAARAVVDACERYGFFKVVNHGVATDTMDKAESEAVRFFSQTQPDKDRSGPAYPFGYGSKRIGFNGDMGWLEYLLLALDDASLADACTVPSCAVFRAALNEYISGVRKLAVRVMEAMSEGLGIAQADALSALVTAEGSDQVFRVNHYPPCRALQGLGCSVTGFGEHTDPQLVSVLRSNGTSGLQIALRDGQWVSVPSDRDSFFVNVGDSLQVLTNGRFKSVKHRVVANSLKSRVSFIYFGGPPLAQRIAPLPQLLGEGEQSLYKEFTWDEYKKAAYKSRLGDNRLAQFEKK >OGLUM01G35570.1 pep chromosome:ALNU02000000:1:34560844:34561686:1 gene:OGLUM01G35570 transcript:OGLUM01G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAVLPTLAQVAKREGRYLAWQQKRYMAEEERPLKTTVPPARAAAIQLGYHSRSKGGASGLYDPQSSLRGPRGIKEERAKIVSNMVDCVPAVRWAATLELSRSLAWLTA >OGLUM01G35580.1 pep chromosome:ALNU02000000:1:34568164:34573935:1 gene:OGLUM01G35580 transcript:OGLUM01G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQNSRGPHQLMPAPPTDLPLSPPVSGETDRARSRPRSAALALALPRGRDFKEFWESRFGGKKEQEPEQNGHANGVANGSVRKRTSDLAVYEQFEQQARQTEVRAAAIRDGNADAIQKPLLPSFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARHAMFEELLPSTTSKLEVPYDTLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEARDELVPEEELPEVGPLKPEDIEVALRNTRPSAHLHAHRYEKFNQDYGSQILGQEQA >OGLUM01G35590.1 pep chromosome:ALNU02000000:1:34572647:34575602:-1 gene:OGLUM01G35590 transcript:OGLUM01G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGS domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G30070) TAIR;Acc:AT1G30070] MSADELRLDLEELRRLEGLAKRPRVLSALANEIRAVDAKLAKATEPQAPQAVAAGSPPVVAAAAAPAPAAAAGVSYVTLGSFSWDQDAEKIKIYVFLEGVEQDKVETTFKPMSVDIKFHDVKGKNYRCAIPKLHKEIVPEKCKVLVKPTKIIVTLYKASKGNWLDLHFKEDKFKPSMAKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWSDARSGKTADSVRGLP >OGLUM01G35600.1 pep chromosome:ALNU02000000:1:34576216:34576948:-1 gene:OGLUM01G35600 transcript:OGLUM01G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADLPGFSRPSESGERSRHPVERERDGRCPATTTMTCCGREEGATTSADVGGDNDADACPATTTTCCIGERRDQRRAGGRRQRRRRREAAAGERRREVPAGGRRQRRRRLNREPNTPFSLLLRISCKAATFKC >OGLUM01G35610.1 pep chromosome:ALNU02000000:1:34591068:34592894:-1 gene:OGLUM01G35610 transcript:OGLUM01G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVWLTTPATAPAPRTGYRSPRVVPRLFQVRLCASPRALTPVQPVRHQPENQRDPRNVRLGVRCVAWRSQGVPAAFKFEITGAVASWEAGSFSFRRYLRGATFACLFHEPVFPAFALIVGRDRRTRREDRDQGRPGGRTEQLAPAAGLASSRRSMPRSRGSELPQRASPRAPLHLKTTACSDANGAHHRTVVDRSSPKLADRHSPRSPLHEKKRAGTRVAELETKLGKVQDELKKLREQLATAEAAKKDAQVALEEAKKRVGTKGSPASAAAASPRSPSPVAVETPKKTEQQLKVAEPPAEEESSINSPATDVFEVVRTESGDKENQSAAGAEDGEEVSCGIKAALAEKELEEEETKKMIEEESIDATAIDSGEKENPEVAELKAKLMAKDMEVAILTADNAELKKQVGEAAEAAKKAEEEAAAKASLVEQDLKERAAREARMGEQLRASEAARETLEAEMRRLRVQTEQWRKAAEAAAAVIGGDAHFVGHNGNGWGSPATMPDDCDDEGFGGKRKGAGIRMLGDLWKKKGSK >OGLUM01G35620.1 pep chromosome:ALNU02000000:1:34602426:34606427:-1 gene:OGLUM01G35620 transcript:OGLUM01G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MSTWAITRGSCGSGLATGIARCPHPRRLRQRRSAPPEPLTVGLTFHPTLKLSCPVGRRDCVAVVRLRPSSRSRTLRPAENEAAAAHGFTEASDGGNCSSPLTRLHDVFCEVRAACIRLWIGKGCHYASFKVQYFSGMWCQGPEAKISSCVENSQENRNRFQVSKACRMCEVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMFGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFSAAAAVAGFVIL >OGLUM01G35620.2 pep chromosome:ALNU02000000:1:34602368:34606427:-1 gene:OGLUM01G35620 transcript:OGLUM01G35620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MSTWAITRGSCGSGLATGIARCPHPRRLRQRRSAPPEPLTVGLTFHPTLKLSCPVGRRDCVAVVRLRPSSRSRTLRPAENEAAAAHGFTEASDGGNCSSPLTRLHDVFCEVRAACIRLWIGKGCHYASFKVQYFSGMWCQGPEAKISSCVENSQENRNRFQVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMFGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFRQSGY >OGLUM01G35620.3 pep chromosome:ALNU02000000:1:34602426:34606427:-1 gene:OGLUM01G35620 transcript:OGLUM01G35620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21190) TAIR;Acc:AT4G21190] MSTWAITRGSCGSGLATGIARCPHPRRLRQRRSAPPEPLTVGLTFHPTLKLSCPVGRRDCVAVVRLRPSSRSRTLRPAENEAAAAHGFTEASDGGNCSSPLTRLHDVFCEVRAACIRLWIGKGCHYASFKVQYFSGMWCQGPEAKISSCVENSQENRNRFQVKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRVEEAEELYGKIFSRYLEGLPRTFFMRMISLYYRLESYQKMFEIFADMEELGVRPDGSIIRMFGEVFQKLGMLDKYVKLKKKYPPPKWEYRHIKGKRIRVKVYPKDEIEEPMRNPGTDEVEEEENMDVDSELEEAASAGLDRNVLDEAACGDLEFSAAAAVAGFVIL >OGLUM01G35630.1 pep chromosome:ALNU02000000:1:34605879:34613959:1 gene:OGLUM01G35630 transcript:OGLUM01G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNFYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSELIFEEATKSNILGLPFDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGFQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >OGLUM01G35630.2 pep chromosome:ALNU02000000:1:34605879:34612658:1 gene:OGLUM01G35630 transcript:OGLUM01G35630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNFYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSELIFEEATKSNILGLPFDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGFQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKSYSLQAIPILL >OGLUM01G35630.3 pep chromosome:ALNU02000000:1:34605879:34613959:1 gene:OGLUM01G35630 transcript:OGLUM01G35630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNFYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSELIFEEATKSNILGLPFVIFVLHDLIVVSLQFDMNVTNRASYVQDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGFQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >OGLUM01G35630.4 pep chromosome:ALNU02000000:1:34605879:34611721:1 gene:OGLUM01G35630 transcript:OGLUM01G35630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNFYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSELIFEEATKSNILGLPFDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGFQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >OGLUM01G35630.5 pep chromosome:ALNU02000000:1:34605879:34611721:1 gene:OGLUM01G35630 transcript:OGLUM01G35630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Y-family DNA polymerase H [Source:Projected from Arabidopsis thaliana (AT5G44740) TAIR;Acc:AT5G44740] MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARKFGVKRSMRGDEAKMVCPSINLVQVPVARDKADLNFYRSAGSEVVAILSTKGKCERASIDEVYLDLTDAAKEMLLESPPELSELIFEEATKSNILGLPFVIFVLHDLIVVSLQFDMNVTNRASYVQDVSNREDSVRAWLCRADADYQDKLLSCGAIIVAQLRVKVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSAVQDFLVSLPIKKMKQLGGKLGSSLQDDLGVNTVGDLLSFTEDKLQEYYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNNASVKTWLDRLCEELSERIQSDLNQNKRIAQTLTLYARACKKNKSDSIKKFPSKSCPLRYGTVKIQEDAMKLFESGLHDFLGFQNTKWSITSLSVSASKIFDIPIGTSSILRYIKGPNSTVSPANLDCSSLPEDPSLGNKLYIAPNHEEHCEPSLSEKEDYGNNSNLAKQCQIKEEKKVSKKLTEVKIILGPESSSEPNKAEEHKAAQYVDRNKFNTAGSNSASSSTWMFNVEDIDPAVVEELPPEIQREIHGWIRPPKQSSSKTRGSTISSYFQPAKRSG >OGLUM01G35640.1 pep chromosome:ALNU02000000:1:34612459:34615594:-1 gene:OGLUM01G35640 transcript:OGLUM01G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPRVSAVIFDLDGTLLDTERATRDVLNEFLAAYGKVPDKEKEERRLGQMYRESTTGIIADYGLPLTVEEYAVAIYPLYLKRWQKAKPLPGVERLVKHLHRNGVPLALASNSVRRNVDHKLLKLKDWKDCFSVILGGDQVPRGKPSPDIFLEAAKGLGVNPSSCLVIEDSLVGVQGARASGAKVVAVPSLQSQRQHYSIADSILYSLLDFHPELWGLPPFEDRIQGALPIEQLFLNAQIGHAISKSLHMVIAGDCTYEYVPDQISGIFFGWAKPEAGGVSRSVISIGWDFSLRTVERVMENISQALAITDEDQAIARDALDLPVFSEHAKL >OGLUM01G35650.1 pep chromosome:ALNU02000000:1:34616487:34617161:-1 gene:OGLUM01G35650 transcript:OGLUM01G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06330) TAIR;Acc:AT1G06330] MTIVEMSVHMDCAGCEKKIRKAIQRMEGVDDVEIDMERQKVTVNGNVEQKKVLKAVRRTGRRAVLWPHPYTGGGVVAGGAVHVLAQQQNYHQYHPGAGVQAHAAHAARPTSSYNYYKHGYDDSRLYGGYYHHGANSAVVGTRATDYFSDENPQSCSVM >OGLUM01G35660.1 pep chromosome:ALNU02000000:1:34622356:34622751:-1 gene:OGLUM01G35660 transcript:OGLUM01G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIFCYSFTMTSKSMASLEQEATDSSESGARRGGDMLATVQESVQSFLGAVRDKITEPSSGGASAKAKGFAADKTEGMLCALAGLATARKGERNESTWQQGDDARRCGAEKAEEARGQSAQHEPSSKEK >OGLUM01G35670.1 pep chromosome:ALNU02000000:1:34626813:34627432:-1 gene:OGLUM01G35670 transcript:OGLUM01G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGGFKLFGKVIMQCGVSEGTQDKAQGFVVAREKVEPEEEEEEEQRVPAAATSGQRASIKREAADRDEEQRQGGGDAAGQPTQRRLQDSAEARAAAAAPLPCPRCRSRDTKFCYFNNYNVNQPRHFCKACHRYWTAGGALRNVPIGAGRRKNRPLGPLAVAHHHHHHRAAAGFVLGFPNPSSPTSPSPVYTDRWPVTPDRPF >OGLUM01G35680.1 pep chromosome:ALNU02000000:1:34635547:34640923:-1 gene:OGLUM01G35680 transcript:OGLUM01G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCAKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADVLDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >OGLUM01G35680.2 pep chromosome:ALNU02000000:1:34635547:34640923:-1 gene:OGLUM01G35680 transcript:OGLUM01G35680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAADKGTSIDAQLRILAPKKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKVDPKQLDAIGNVLTRLDPGDSIVMTKSFSHMLILANLAEEVQIAYRRRIKLKKGDFADENSATTESNFEETLKRLVGELKKSPHEVFDALKNQTIDLVLTAHPTQSVRRSLLQKHGRIRSCLTKLYAKDITPDEKQELDEALQREIQAAFRTDEIRRAPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYAQIEDLMFELSMWRCSDELRVKADQLHRCAKKNTTKHYIEFWKQVPPSEPYRVILSNVRDKLYNTRERARHLLASGFSEIPDEATFTDVEQFLEPLELCYRSLCACGDNSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITQYLGIGSYREWSEEKRQEWLLSELNGKRPLFGPDLPQTDEIADVLDTFHVIAELPYDSFGAYVISMATAPSDVLAVELLQRECHVKKPLRVVPLFEKLADLEAAPAALARLFSVDWYRNRIDGKQEVMIGYSDSGKDAGRFSAAWELYKAQEELIKVAKQFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMHPPISPKPEWRALMDEMAAVATKEYRSIVFQEARFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLQILQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWPFGARLRANYEETKQLLLQVAGHKDLLEGDPYLRQRLRIRDSYITALNVCQACTLKRIRDPGFHVSPRAHLSKDIMDSGKPAAELVKLNTTSEYGPGLEDTLILTMKGIAAGMQNTG >OGLUM01G35690.1 pep chromosome:ALNU02000000:1:34655839:34661171:-1 gene:OGLUM01G35690 transcript:OGLUM01G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YF75] MERDTSSSDVSASHVGRARQRRRPTEATADGNRTNGPALLVNDQNKYKSMLIRTYSTVWMIGGFVLIVYMGHLYIWAMVVVIQIFMAKELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLFTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKTYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGMFWFLLPASLIVINDIAAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFVLANVMGRFQWLTCPRKVRYHFLWNVIDLSTGWLRCDPGPMFKPEHYSLGEWVPKGFPWKEVVLLPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISPQNYSVELILEQILRNLTFEEQKFLYQQLGEIYHERQLMQS >OGLUM01G35700.1 pep chromosome:ALNU02000000:1:34665032:34673211:1 gene:OGLUM01G35700 transcript:OGLUM01G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQLRIAYSFSVPLSHDEARSLFAFVAPYVNEAKETKSSSSLPQKKGRLKNATKPSITIFNDLGGETRPLSHDEARSLFAFVAPF >OGLUM01G35710.1 pep chromosome:ALNU02000000:1:34681046:34681633:-1 gene:OGLUM01G35710 transcript:OGLUM01G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIRCCIACILPCGALDVVRIVHSNGRVEEISGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGGIVVQKPVILPPNAELQKGKIYFLMPVMAPPEKEKEKEKAKAAQAQAPAAARRRRRRKETADEAAGGRAASNAAAAPPTRVGSEGEKERLLANERYLSEIMKEKASTARDRRRGRVAVWRPHLESITEDDL >OGLUM01G35720.1 pep chromosome:ALNU02000000:1:34682875:34683309:1 gene:OGLUM01G35720 transcript:OGLUM01G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGIMEKLSKERMTSFGRYPYKKEHVPVILDSIFQSELNKMHKAVPSITISIYSIK >OGLUM01G35730.1 pep chromosome:ALNU02000000:1:34692683:34693229:1 gene:OGLUM01G35730 transcript:OGLUM01G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADKAARCKQGSIILEVGPYASGHIMCHPFVLSMSNRFGHLWILGSSTIAKAIDPDVLNSNNGNRGFLCLNQANQ >OGLUM01G35740.1 pep chromosome:ALNU02000000:1:34718363:34719474:-1 gene:OGLUM01G35740 transcript:OGLUM01G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAEADEVGGDSCSGQGRRQRHTEATSTVDKDEGSAAADADVRDDGGTALDLDAPTQATASSTGASLAMGGPTSSSHALWPWTHMVIYSMIVVMRYRVSVRKKKY >OGLUM01G35750.1 pep chromosome:ALNU02000000:1:34720599:34723685:1 gene:OGLUM01G35750 transcript:OGLUM01G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADRLMAEGGKRIDLGAPLRSVRHADALPYYKADLNSGPVRHPGAVPFVWEQRPGQPKSVRTRRAPPSPTTASHPQPLEHGVEDEIDGSPYHDALGEHYVGILHGVDASPACSRTGAPAPAPARDEKRAQVAEAAVLQAKKEMTEKQVVSVAAVLRKGDDDDDDEERFSDALDTLSRTESFTVNCSVSGLSGMPEPTSRAAAGAEAGARGIMMDRFLPAAQAVAIGSPQYTFRKAGAASATSNSGRELARAAGSNASGSSGDDPGRRTPVQLPYQHLPPNYLSCSYPRREEQEDEDDDDDYDVHSTRGFASKGCGLLPSLCVKSSLLLLNPMPAMKRGKPRGNGRVREFTSKGRGRGAPSPLARSSQNKHLGCASNGQSWEDVYKHKLEQKYVRPGEDGRSKLTSESNQLTFWSDSQAGNGSSPFHHSAGGGMSPYYRDVVLSSSSKADESFGTGVKEDKMSSSNGSSSLGRDHDRGSLLGSDRSSLKGSSSISSGLDRPVHVESMDHHGDIDSETSHSVLLLDSRTSLDAGGCGSQLGEQIVGKNPIGKGEDNDPLTERVSEVTECTLLAPSEKLRSVNLDDGKTSGHLEDSSVSKRDMPLQSLLPLPVPRSPSESWLSRTLPSVTSKPPVPSFLGIQLQSKKQTPWASIQPKENNVKPPRPRQIRFADVVERPNSLDAEI >OGLUM01G35760.1 pep chromosome:ALNU02000000:1:34730431:34731648:1 gene:OGLUM01G35760 transcript:OGLUM01G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEPHRVDASLAPNPSRRQPLLRPGKSTAFKREERRKRKERKQQERLALELERWEPLGAPPRPAAAAASPSRAALPDTPWVCDPPPEPEPSAAWGCGWGPPAAEPPREPPVPAAVSPQAAAVEACRAFFGEHVDHDDGDDEDEEEEEGNVARFFQELLEKDAGLRGFYEAEREKGRFLCLVCEGIGARAGKRFAGCAALVQHAGSVARAGRRMAHRAFADAVGRLLGWSAGRTTALQTDSDNAGMCDEDIHCEDVPQSAEMEMCPHQ >OGLUM01G35770.1 pep chromosome:ALNU02000000:1:34732244:34734487:-1 gene:OGLUM01G35770 transcript:OGLUM01G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRRSSSSSSAPSSRPARRPARTNARVSPDVSSELSPLAGEEGAGEERWSALVPDLLADILRRVEAGSERWPPRRDVVACASVCRRWRDVAVAVVQPPLESGKITFPSSLKQPGPRDAPMQCFIKRNKKNSTFFLYLGLTQAELTDDGKFLLAARRCRRGLHKEYAITINSDGLFHGSQSCVGNLKSNFTGTKFTIRDWQPPYEGAKAFSSRSGCWFGNKHRCPLVSTGDVEVGEVSYKYSLLRPRGPRRMSCSVQCPVLEGTAVDPQDGKRLSNSIPSSLVLNSKVPSWHEHLQCWCLNFHGRVMVASVKNFQLIAPVEPGEPSDETVVLQIGKIDDDVFTMDYRQPLSAFQAFAICLSNFGTKLA >OGLUM01G35780.1 pep chromosome:ALNU02000000:1:34737991:34738875:1 gene:OGLUM01G35780 transcript:OGLUM01G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARHVACYQRWIAGQEAGLGELEASSANAAAGRATDGELRAVVERCMRGYAEYVSTRRALAREDGAALFAPPWCTSFENSVLWIGGCRPSLTIRLLYSLSGEGLEEHIEEFISGRGALGAARGMGLLGITARQLELVNDLHRRTLRDEDALSDRLATLQEDVADRPLLPIVRERATAAAAALGAGASCDGLATRTRLVVATPAGAVDHEVDAAIARYKAGLGRLLEEADELRMSTAQTLVTEILTPRQAVETLVAAKQLHLTVRSWSRRGEAAVTQPARSPVTLPPAPSSNP >OGLUM01G35790.1 pep chromosome:ALNU02000000:1:34739645:34739957:-1 gene:OGLUM01G35790 transcript:OGLUM01G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHECGPTCKTDKEPVAKVRHAGLRRPSGLRTLSLQGYMTRLQQIWHHSAVSQPVPRAAWRRAARRDCLVARANY >OGLUM01G35800.1 pep chromosome:ALNU02000000:1:34739964:34740473:-1 gene:OGLUM01G35800 transcript:OGLUM01G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGDGALERMVRWERRRASSAARATEGVSMGAGEGKVGGADLAAGGNEVGSVDSVQERGRIDLSHCGGGGGARSPSPLRWMTMAVPSSSPYPPSDGGDDNGSFLPSPYLRLSQAADAAPLSSGDLAGDDRRPLAWGLWGHRTGPCPLWRCSPLSGSVGRWKQGSVGGR >OGLUM01G35810.1 pep chromosome:ALNU02000000:1:34764969:34769630:1 gene:OGLUM01G35810 transcript:OGLUM01G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIRERGRREGERGAGSPVAGRWERRWEMVFPSNTHPGRVVEGGGRERDGRNGVDKSSAPAIREGGGGGLSLVAGEACHGRSERREELSELGLTKKDGALAGYLKGEKAVVQVGLEEEDEERNTAPTGGGEEIGAGSMSQLAQRGDRDTCHTGPTLSERKR >OGLUM01G35820.1 pep chromosome:ALNU02000000:1:34770477:34771910:-1 gene:OGLUM01G35820 transcript:OGLUM01G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YF88] METTSQDSQVIMGRYKLGRLLGRGTFAKVYKAYKLATGEAVAIKVFDKEAVQRSGTVEQVKREVDVMRRVHHRHVIRLHEVMATRSRIYFVMEYASGGELFTRLSRSPRFPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVTDFGLSALDGGLRGDGLLHTTCGTPAYVAPEVLLKRGYDGAKADIWSCGVILFVLLAGYLPFNETNLVILYRNITESNYRCPPWFSVEARKLLARLLDPNPKTRITISKIMDRPWFQQATCPLGDMSLVASAPSVLLARKEASQQHDDEEDDGFAREKKKRSKVIMSSPVIDVRPSSMNAFDIISRSRGLDLSKMFDAEERRSEARFSTRETTTAIVSKLEEIAEAGRFSFKLKEKGRVELEGSQDGRKGALAIEAEIFKVAPEVHVVEVRKTGGDSPDFRDFYKQELKPSLGDMVWAWQGGDSPPPPPLVPAAGRRPITKRS >OGLUM01G35830.1 pep chromosome:ALNU02000000:1:34803158:34806834:-1 gene:OGLUM01G35830 transcript:OGLUM01G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YF89] MATVSPARREPTPQAVRASPMPSAAAALVRRGGGGSGGTVLGKYELGRVLGQGSFAKVYQARHLETDECVAIKVLDKEKAVKGGMVHLVKREINVLRRVRHPNIVQLFEVMASKTKIYFVMEYVRGGELFSRVSKGRLREDTARRYFQQLVSAVDFCHARGVFHRDLKPENLLVDENGDLKVSDFGLAAGPDQFDPDGLLHTFCGTPAYVAPEVLRRRGYDGAKADIWSCGVILFALMAGYLPFHDHNIMVLYRKIYKGEFRCPRWFSKDFTRLITRLLDANPKTRITVPEIIESDWFKKGYKPVKFYIEDDKLYNLSDDVLNLEPADPVPPPLGLAPPVPPPPQGDDPDGSGSESDSSVVSCPATLSTGESQRVRGSLPRPASLNAFDIISFSKGFNLSGLFEERGNEIRFVSGEPMSDIVKKLEEIAKVKSFTVRRKDWRVSIEGTREGVKGPLTIGAEIFELTPSLVVVEVKRKAGDNEEYEDFCNMELKPGMQHLVHQMLPAPNGTPVIEKVERSSSLQAPLTLKLIGTEGSMS >OGLUM01G35840.1 pep chromosome:ALNU02000000:1:34828443:34839336:1 gene:OGLUM01G35840 transcript:OGLUM01G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWIKIPFPLQWGAPTFSAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >OGLUM01G35840.2 pep chromosome:ALNU02000000:1:34834803:34839336:1 gene:OGLUM01G35840 transcript:OGLUM01G35840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILGFQHYILALGTAVMIPAVLVPMMGGSDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVVPIMAIIQDSSLAAIPDDHERFLQTMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPLGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNVQIRDIPILERFSLFICIALVWAYAQILTAGGAYKHSPEVTQINCRTDRANLISSAPWFVSAYFPIEFVISLMCQGLAPGLRSLFHYNGAHQLSVPVNPLGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYSMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLEVKNAAKDRGMPWWVPFRSFKGDARSEEFYSLPFNLNRFFPPS >OGLUM01G35850.1 pep chromosome:ALNU02000000:1:34840632:34842032:1 gene:OGLUM01G35850 transcript:OGLUM01G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTGSGKISNTETPTVSSFTTGREHPAIESVPLVPDPSGFRELVQAGSFARHVDMDAFTHPSVL >OGLUM01G35860.1 pep chromosome:ALNU02000000:1:34844590:34847459:1 gene:OGLUM01G35860 transcript:OGLUM01G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQARHFLIIKHVPLPNYAPPLPRGFFLPRQCGRSIEPPGSWITGTNNNHHHLGINHFNPPLFVPSRGSLCVGVLQRQSWIIIVCFCTRRSRPQKAASFTEAGHGGVDRLMAASGGGSLLERRSSVRRSQSMVSEEGRGTPADEDLGGGGTLKIGAVLDKDSAAPKSRLAKDTGEHGGGGPSEMELMKEKFAKLLLGEDMSGSGKGVPSALAVSNAITNLAASVFGEQRKLEPMAPDRKGRWKKEVGWLLSVADHIVEFVAKKQVLDNGVEMEVMGTQQRRDLQANIPALRKIDTMLLDYLDNFKDRNEFWYVKRDSCSDSDEQRSDEKWWIPIVKVPPGGLSPASRGWLQHQKELVNQVLKAAMAINANCLMEMAIPESYLESLPKNGRASLGDALYRIITDVEFDPDVFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSAWGSAVSQEKREQFEERAQTLLLIIKHRYPGIPQSTLDIAKIQENRDVGFAILESYSRVLESLAFNVMSRIEDVLNADDHAREKAKKEAPPAPAMANDAAEHHHQQAGEVDAPCKMTGSPNGRTLLDFMDDWNGDADRPSPTAPEPAAQEDGRLMKLPNIMTNLKQTYMDNLFGAHRSPPGRH >OGLUM01G35870.1 pep chromosome:ALNU02000000:1:34850384:34853392:-1 gene:OGLUM01G35870 transcript:OGLUM01G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein (TIR-NBS class) [Source:Projected from Arabidopsis thaliana (AT4G23440) TAIR;Acc:AT4G23440] MELQQESSDAGTLFSAPSRNLSSSSSAFVSANQSPFFTPRCLSARVSDHAHPENNSSLSGTVLKISDILSSDTLLKREQLPSATVGLFPSDASPPPSICTSSNFDTPAIVYNNPSFISTFSDPCQGSSSATSTGVRSTRKEKHKRQWGLYRKSSSSQPTTSATSVNRLRSFDVYIGFHGRKASLLRFTNWLRAELEIHGISCFASDRSRCRSSHSHDTIERIMNASTYGVVILTRKSFGNPYTIEELRNFFGKKNLIPIFFDLGAADCLARDIIEKRGELWERHGGELWMLYGGMEQEWRESVDALSRVSDVQLEANDGNWRHCILQTIIVLATKLGRRSVVDRVNRWRGRVEKEEFPFPRNADFVGRKKELSELELILFGDVSGDGEREYFEIKTKQRRKGLVIGRSVNNYEQVNTDDGKGKEPVLWKETKENIEMQRLGSPPRHGRPSRTKNDGRYGRKRRCRKILYGKGIACISGESGIGKTDLVLEYAYRFSQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVITDLLPHFGGETHFIITTRLPRVMNLEPMKLSYLSGAEAMSLMKGGVKDYPLVEIDALKAIEEKLGRLTLGLGIVGSILSELPITPSRLLDTLSRTLPIRDCSWNERDAISLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVCGWFAPSAVPIHMLALAAHKVPKKHRRGPRWRKWWRTLTCGLATSRMKRSEAEAAAMLMRFGIARCSTKPEYVQFHDLIRLYARKRGGTRTAQAVVQSIYLRGSIKHSSEHLWAACFMFFGFGSDPFLVEPRPSELIFFVKQIVVPLAINTFITYSRCNAALELLRLCTEALERAADSMLSHAGKWRETPLSCFRPTQSEAQYTYLWQELALLKASVLETRAKLMLRGGQYDTGDDLIRKAIFILTSICGEHHPNTVSARETLSKLTRLLTNVQLS >OGLUM01G35880.1 pep chromosome:ALNU02000000:1:34857277:34861613:-1 gene:OGLUM01G35880 transcript:OGLUM01G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase 3 [Source:Projected from Arabidopsis thaliana (AT5G11520) TAIR;Acc:AT5G11520] MPSANVRGAQPSADRRLSTLVRHLLPSSARTATTTSTSSSAADADSSLQAFPTMASSSVFAGLAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLADFNKLSAKLIFGADSPAIQENRVATVQCLSGTGSLRVGGEFIARHYHERTIYIPQPTWGNHPKVFTLAGLTVRSYRYYDPATRGLDFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTLDQWEQIRQLMRSKALLPFFDSAYQGFASGSLDQDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPIHGASIVATILKDSAMFNEWTVELKGMADRIISMRQQLFDALKTRETPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSGRTIPHLADAIHAAVTKLK >OGLUM01G35890.1 pep chromosome:ALNU02000000:1:34864611:34868021:-1 gene:OGLUM01G35890 transcript:OGLUM01G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain [Source:Projected from Arabidopsis thaliana (AT1G79840) TAIR;Acc:AT1G79840] MGTNRPRPRTKDFFAAPALSLTLAGVFGRKNGPAASGGDGVEEGDEEVQAAGEAAVEISSENAGPGCSQSQSGGGSGEDGGHDDDDGEGSNKKRRRKNYHRHTAEQIRIMEALFKESPHPDERQRQQVSKQLGLSARQVKFWFQNRRTQIKAVQERHENSLLKSELEKLQDEHRAMRELAKKPSRCLNCGVVATSSDAAAAATAADTREQRLRLENAKLKAEVCMPPPRSRARPFRCATLQDTDSGELAMLNLFQIERLRGTPGKSAADGVASPPCSASAGAMQTNSRSPPLHDHDGGFLRHDDDKPRILKLATRALDELVGMCSSGEPVWVRGVETGRDILNYDEYVRLFRRDHGGSGDQMAGWTVEASRECGLVYLETMQLVHTFMDVDKWKDLFPTMISKAATLEMISNREDDGRDGVLQLMYAELQTLTPMVPTRELYFARYCKKLAAERWAIVDVSFDESETGVHASSAVRCWKNPSGCLIEEQNNGRCKMTWVEHTRCRRCTVAPLYRAVTASGVAFGARRWVAALQLQCERMVFAVATNVPTRDSTGVSTLAGRRSVLKLAHRMTSSLCRTTGGSRDMAWRRAPKGGSGGGGDDDIWLTSRENAGDDPGEPQGLIARVNLAKGKDRTNCVTAYAARPEEEEERGGKWVLQDVCTNPCESTIAYAAIDAAALQPVIAGHDSSGVHLLPCGFISVMPDGLESKPAVITASRRGGEASGAGSLVTVAFQVPASPSAAAATLSPDSVEAVTVLVSSTLRNIRKALGCDSFEEEF >OGLUM01G35900.1 pep chromosome:ALNU02000000:1:34868500:34871363:-1 gene:OGLUM01G35900 transcript:OGLUM01G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHANSHNGVNQLSYRTVACYY >OGLUM01G35900.2 pep chromosome:ALNU02000000:1:34869057:34871363:-1 gene:OGLUM01G35900 transcript:OGLUM01G35900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAGVDGVAGRRQQEEASGAAPFGRSSSLIGAAGFDGALRELKDLRSQLHQAADCCEKAFLDTEKKKLILESTKGYICDAIVAVIDHLGTVSSKLEQQLQEKIEITQTEKKLNFLKQRLLTCEQYAITLKLLTVRGDNDAIQYHRRYLSQSTGGTKEENGANSRKDDVKFVEYNSPTIPGAIRTFKPYDIQSTIGRERSVATTDSESPTTDAKSSFSFRAEDVPIVLAEHRKKANHGSNILSFLRKGRRHA >OGLUM01G35910.1 pep chromosome:ALNU02000000:1:34871926:34876737:1 gene:OGLUM01G35910 transcript:OGLUM01G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein matc /.../photosystem I P subunit (TAIR:AT2G46820.2); Has 291 Blast hits to 291 proteins in 50 species: Archae - 0; Bacteria - 90; Metazoa - 0; Fungi - 0; Plants - 200; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52220) TAIR;Acc:AT1G52220] MASALAVARPAALVPRGGSKSITGNLPMLPAVPSTRFVSGRMRSRNVVAAKAAQDSSEPSSGSVVKYVQSSFSTPEDLFALAGIGFAGIAALWASINLVEVIDKLPVLPLLFELIGILVAWLFIYQNLLFKPDREKFLNNIKSSVSRVLGQ >OGLUM01G35920.1 pep chromosome:ALNU02000000:1:34875113:34880304:-1 gene:OGLUM01G35920 transcript:OGLUM01G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEQQGGGGPPPPPRAPVPAASSQPPIKKLVRQLDFTSAALAGNPAMAAAAAAVSRALQPRAVPVGFPQPQQLRAHLPVGVPQQLHPRGLPVMRSHHVVHVPLPRPAVAVAVPVPQVRPAQPQPVPRPPVAVPLKPESPKPPRARLYEGKDGTPTKKKCCNCKHSKCLKLYCECFASGVYCDGCNCSNCFNNVKNETARREAIDATLERNPDAFRPKIGSSPHANRNNMEAAGDLPLVGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERKALFQGDHKNSIHMQQAANAAVNGAIGAAAFSSPSTSRKRKHIDPSLDHSAKENGAHRTNHVPQKNGMPSDGPLPINQPVHPPTLGPFKVTYRPLLADIVQPGDVKELCKLLVVVSGNAAKAYAGKKSQEDKVVEKEDEMGGKREGEIGGSLASTNHDREENNKDPNNQKASADDPSTGGTRAGKASLEESKHDCNDDQKSNRPMSPGTLALMCDEQDTMFMTSQNVVPQQPAPVNQNQSELYAEQERCVLTEFRDCLHKLVTFGRMKEEKFSMAIKSEVPAHPMQANGVSRIPYSKEVDVPVVKTFLQSSSRHPAAGNSATGHLDKKPKHENT >OGLUM01G35930.1 pep chromosome:ALNU02000000:1:34884041:34893650:1 gene:OGLUM01G35930 transcript:OGLUM01G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G16170) TAIR;Acc:AT3G16170] MRAQAWVRGAVARLMTPFNCPAAHASSSPYHLLLLPSSSYSLLSRLRRHFAFSSSRSSSPAYNTTQNTTSTFMEVVQEVLKHGSTQGVRAAIRSDQKSYNLVQLIASALDVYNILRNKNVPQLSFSMTQNGSTGSSVKGINGTGFLGGARIGIVAKPSPEFVAGIFGTWLSGGVAVPLALSYPEAELLHVMNDSDISLILSTKEHQDIMENISTKCSAHCSLLPSVTSIPVNIDCQEPSSTEVTSSISSLIAEIDSSKEIRGDDPALILYTSGTTGKPKGVVHTHKGIVSQVEFMPKFSVRGIWQRWRESYPNNGSKNDEAITVFTGVRFYAIYFWFFRFMLNYYLSLNCSRHYGYSILLDYQVPTMYTRLLQGYDGMDPEQQSASSFAAKQLRLMMCGSSALPSPLMKRLIGCSLSWHCLTHCMVHVKKVQLANRFRVLRTFLSQAKIIMEDGAETTSEVGELCIRSPSLFKEYWRKPEVTAESFIDGGFFKTGDTVTVDDEGYFIILGRTNADIMKVGGYKLSALEIESVLLQHEIVLECAVLGLPDEAYGEIICAIIVPKEDSKKRAELDSKPALTLEALTSWSKDKLAPYKVNKKELKKLLGA >OGLUM01G35940.1 pep chromosome:ALNU02000000:1:34891141:34894971:-1 gene:OGLUM01G35940 transcript:OGLUM01G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQEGDVKSCEASKVKWKGGFVALPFIIAQVFFKAKAKGGFRALPFIISNEILEKVAGFGLNINFITYLNMQYHLSHANAGSLLFVWGAVSNFAPIPGAVIADMYLGRFIVVAIGSIACFVGMVFLWLSAMIPGARPPPCDMRASPELCAPPEARHMAWLLAGFVFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQVYFNAYYGSIGVAFMVAVTVVVYVQDNLGWKVGLAVPTCLMLLSAASFLLGSGLYIKDRGSKRMFAGIGAAVAAAVRNRRAWLPAKTEDGVYHHLKDCKLTVPTDRLRFLNKACMISNTKEDKSGSGGADGISDRGRVCTVDQVEQLKSAIRVMPIWSSTIFLAQAMNQYFAVPQADAMDRRVGAGGFRVPSGTFAVFNMLTMSLWSGCYDRWTAPALRRLTGNPRGLTMKQRIGGGLVFGTAAMAAAAVVEAARRRQALGGGGMSAFWLVPQYALAGLAEAFGVIGVIEFFYTELPKSMASFSMALLYMALGAGSLVGSLIIKLVHEVSGRGGRTSWLAEDLNAGRYDYYYWLLAGLGAVNFVYFLWCGWAYGEEGQNVEWEDEGEGETTIA >OGLUM01G35950.1 pep chromosome:ALNU02000000:1:34896624:34898638:-1 gene:OGLUM01G35950 transcript:OGLUM01G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAMEEALSKKTTSKGGLRTIPFIISNEVFEKVATFGLHANMILYLTERYHMTAATGTVVLYFWNALSNFLPIFGAVLSDSCLGRFRVIALGSVVSLAGMCLLWLTAILPADRRTPECEARRDDCQLVPWQLPLLFASFVLMSVGSGGIRPCALAFGADQLDRRDNSARNVRTLQTFFNWYYTVLGLSIVLASTVIVYIQQAKGWVIGFAVPVVLMLTALTLLLLGSPFYLKAEADRSVLVGLVQVLVAGYRKRRGPLPPETADASRFHNRAGYKPRTPSNRLRWLNRACALGDNPDKEVNPDDGSARDPWTLCTVQQVEDVKAAVRVLPIWSTGFMPGVIIGQQMFPVLQAKTMERRVGGLEIPAASFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGVTLRQRMGIGLALFAVAMAVAARTEAARRAEALAEGLRDYGPQSGRAVRMSAMRLVPQHCITGLAEALNLIGQIEFYYSEFPKTMSSIGVSLLALGMGFGSVAGSAIVGAINAGTRSGGGRDSWLSSNLNRGHYDYYYLVLAALCVANLAYFVWCGWAYGEEGQNRVTAEEEAVEDTKTKEEQQQKL >OGLUM01G35960.1 pep chromosome:ALNU02000000:1:34912634:34914350:1 gene:OGLUM01G35960 transcript:OGLUM01G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNALLSPFWNINAHSIVYITQGRARFKLSTTMERQCLMESQIAGRNSIFRALPNDVLANAYRISREEARRLKHNRGVESGVFTPSHAYRSFQDIMTASL >OGLUM01G35970.1 pep chromosome:ALNU02000000:1:34917177:34918156:1 gene:OGLUM01G35970 transcript:OGLUM01G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFA5] MEQSAGQRSSVATNATVDLEVLDCTVCCHPLKPPVLQCGVGHVICSSCHGKLPDKNRCHVCAMDTAYNRCFAVEQILRSILVPCRNAGYGCDAKTAYHDSDSHEDGCPHAPCFCPELGCGFAGATSSLPAHFTGGHGWPLATEFRRARAFDLQVQEGKRVLRDVDGGHLFLVDVAPAGPAGLAGAVLLLDPHAGAKVKPKFECHVAFHCRATGWRSSSEFPVRSTALAGGSLPADCYAFVVPRVAHPPATASIIVSVYDVSKKRPRNGDIRQHLKSRVN >OGLUM01G35980.1 pep chromosome:ALNU02000000:1:34918178:34922656:-1 gene:OGLUM01G35980 transcript:OGLUM01G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSEAPVGVFAIGPSTALGRALALRVLLCGSLGRLRHRLAAALRAALPVAAGWLHPRDNTRGILLAVCAVALLLRVRGRGGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEESVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNHHADGGIAGPSNKNHHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSFSVDCEAETITSKSEGSSLFDIGMEIRPTILSTESSMYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKEFSYFSQTADTVIFSESCETGNIMKSGGFNLSEIMATTFIAEADAPISRRDEVEQHNGSPVETFLDTETVQVGPCSSTLEGNNTNNSEEMQEY >OGLUM01G35980.2 pep chromosome:ALNU02000000:1:34918834:34922656:-1 gene:OGLUM01G35980 transcript:OGLUM01G35980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISSEAPVGVFAIGPSTALGRALALRVLLCGSLGRLRHRLAAALRAALPVAAGWLHPRDNTRGILLAVCAVALLLRVRGRGGGRAGVRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLDRETPRRATDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNSELHKGRLQVPKLIKEYIEEVSTQLKMVCNSDSDDLPLEEKLAFMHETRHAFGRTALLLSGGASLGCFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHDIRHLQTLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGETVPFHAPFLLGLEESVGATTRRWRDGSLESDLPMKQLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQILELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELVLDECVALLNHMRRLKRSAERAAASQGHGPTIRLCPSRRIPSWNLIARENSSGSLEEEFLISPRTNHHADGGIAGPSNKNHHVQQNVHDGSDSESESIDLNSWTRSGGPLMRTASANKFVSFVQNLEIDTEFRTISSREDRTDVVTPNANFLVSQAIGRESVDNSAMPITPDRSLGNSGYDPHDSNVPRSPFGCSTSIMVSEGDLLQPEKIENGILFNVVRRDTLLTPTGGVELQGTSQEPDVETIQTECLDDASDDDDVGLNAGDEAAIDPRANMSSQNTQHQGSSLENINLSFSVDCEAETITSKSEGSSLFDIGMEIRPTILSTESSMYERSSAKIGLRTVHAEFISNPGAGKGEVDSGAANKEFSYFSQTADTVIFSESCETGNIMK >OGLUM01G35980.3 pep chromosome:ALNU02000000:1:34918362:34918756:-1 gene:OGLUM01G35980 transcript:OGLUM01G35980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFIAEADAPISRRDEVEQHNGSPVETFLDTETVQVGPCSSTLEGNNTNNSEEMQVSELGKEVAELDEIPRK >OGLUM01G35990.1 pep chromosome:ALNU02000000:1:34922980:34935255:-1 gene:OGLUM01G35990 transcript:OGLUM01G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVSASDAAAATDYSSSAGDPSSAPPPPARKPLGLIKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRGRGASLPASTARTNTSGRSPDQPEAEPVQIVAAMRSRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVARAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCENCGGSGLVMKKDYYVRCQGCAQKITYRLHVCVWFDSRTTIQDIRRAPDARFSSVPGSRRAHPDLA >OGLUM01G35990.2 pep chromosome:ALNU02000000:1:34924076:34935255:-1 gene:OGLUM01G35990 transcript:OGLUM01G35990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVARSRLLVPLLPRISARSFSAASPASEPAAAAAAVSASDAAAATDYSSSAGDPSSAPPPPARKPLGLIKGGVLAVVAAAFGATGYVSYAYSLDEIDQRTREFRKNSKQPIRDDLSGFEKFQAMAYSAAMKVPVAAIEFYLDTRSQIEDQIRGFSEPSSDKLLPDLLPQEQHVFTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGKFYEIVVYSDQLSMYVDPVIERLDPKGCVRHRLSRVATKYENGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVEIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGRDIPAEFIERSKEHQRGRGASLPASTARTNTSGRSPDQPEAEPVQIVAAMRSRAFAPPCLPGSGPLPGLAVPGRGDLFPAAGSVVGRRAAPAPAGRGAVARAVDGPSAAAAVAAAADVPPPQITWQIVVGAVAGVTPFVVAGVEFGKRIIAQKKCENCGGSGLVMKKDYYVRCQGCGGFLPWQSWRRFFTG >OGLUM01G36000.1 pep chromosome:ALNU02000000:1:34929637:34931631:1 gene:OGLUM01G36000 transcript:OGLUM01G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINRPIVFFTVCLFLLCNGSLAQQLLGQSTSQWQSSRRGSPRECRFDRLQAFEPIRSVRSQAGTTEFFDVSNEQFQCTGVSVVRRVIEPRGLLLPHYTNGASLVYIIQGRGITGPTFPGCPESYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDLNNGANQLDPRQRDFLLAGNKRNPQAYRREVEERSQNIFSGFSTELLSEALGVSSQVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQEQGQVQSRERYQEGQYQQSQYGSGCSNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNTQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELHRGQLLIIPQHYAVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPNDVLANAYRISREEAQRLKHNRGDEFGAFTPIQYKSYQDVYNAAESS >OGLUM01G36010.1 pep chromosome:ALNU02000000:1:34944915:34945250:-1 gene:OGLUM01G36010 transcript:OGLUM01G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNKLGEVMWEHRLQAAAVVALVAATVVSISAIGPRLGAVVSFFWPLLVSTGFFLVAVAVLLRISPPPSSTIDESGKELIDFVAGCRPEHHPPEAAEAAVDVPPEPEI >OGLUM01G36020.1 pep chromosome:ALNU02000000:1:34947902:34950072:-1 gene:OGLUM01G36020 transcript:OGLUM01G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRQGPPKHQNRYAWKPNLGQKINETEPGGRFRPLSEITGVCQRCKDQIDWKRRYGKYKPIVEPAKCQKCGKRNVRQAYHNVCTACSKDLGICAKCCTCVKALVGRDLSEQESERKELEEAIRGARERERRTLLRLMNKGGGESGPSVPKIADRSREGDIFPAASLDEYAEQARQQDDSDEEEARDFVED >OGLUM01G36030.1 pep chromosome:ALNU02000000:1:34950453:34954848:1 gene:OGLUM01G36030 transcript:OGLUM01G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLLQFQSLLAQQALRLRAAPRPKPQPNPHHRFLHAPSSPAAASPSRLPLWRSTGSLLPAGSLELFSLQRRRSSGWFPSSSMFLSGVSWAKWLPSADGAVLMLVGANVGVFMLWHLADPSFMRRHFMISLDNFKSGRLHTLLTNAFSHAESGHLISNMIGLYFFGSSISNMFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRTPALGASAAANAIILLDIFLYPKKLVYLYFFIPIPAAIMGAILIGADLLRVKRQGQVSGTSHLGGALIAALAWARIRKGWI >OGLUM01G36030.2 pep chromosome:ALNU02000000:1:34950453:34954848:1 gene:OGLUM01G36030 transcript:OGLUM01G36030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRRLLQFQSLLAQQALRLRAAPRPKPQPNPHHRFLHAPSSPAAASPSRLPLWRSTGSLLPAGSLELFSLQRRRSSGWFPSSSMFLSGVSWAKWLPSADGAVLMLVGANVGVFMLWHLADPSFMRRHFMISLDNFKSGRLHTLLTNAFSHAESGHLISNMIGLYFFGSSISNMFGPAFLLKLYVAGALAGSAFFLLEKAFLAPRRQFYGGWDNSRTPALGAILIGADLLRVKRQGQVSGTSHLGGALIAALAWARIRKGWI >OGLUM01G36040.1 pep chromosome:ALNU02000000:1:34963431:34964321:-1 gene:OGLUM01G36040 transcript:OGLUM01G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGSNQAAAAAAAAAAAEEEAAELARKHTAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHLCMGHHHHLPSAMPLMHHHGHHHHADDDKYHVAAAAAGGGGGGGGDDVDGGGGGGAAHIVGRFPAGGYHRFMGLNNPLGMVNSAAGAAMPFHYAGESWNNGSVQDSGAGSPQVAAAAAHHTSPFPSLLSLAPGPHHQLVFYSSEAEQFTVDNLGSQGLSLSSARAFHDQTGS >OGLUM01G36060.1 pep chromosome:ALNU02000000:1:34989617:34990030:-1 gene:OGLUM01G36060 transcript:OGLUM01G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASAAGAGAGKSLFQTFRKFFKKPWEITGPCASPEYRSALPGALEYRHRCPATLTKDTMAVVPTSEPETVYDIKYYTRDRRRDRPPVRRTLLRKPDLERYMAAKQFDPAKDFPVPYVNTAVEEDYDAVGGGYQK >OGLUM01G36080.1 pep chromosome:ALNU02000000:1:34993116:35007562:1 gene:OGLUM01G36080 transcript:OGLUM01G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAELIKQFSNITLGEEQELCDIEHALKALRKKILTLDFENSMRVHDPQNSFQYLEVLYKIRQLTERLGSLHPGGEAKEHNELIVYAGDLFDMAMARLEEEFVYLLTHYKQPIEQGLVSYRSTEDGSVDDFSSSSFNEEQCDGKTTQTETTGGSGYFATDLIQHGALSAVKSIANFMFLSEYDKECSQAYISTRQSAVDENLGSLRIDKLSMEELLSTNWTKLSSLIKRWNRAMKVFVQVYLTSEKRLSNHVFGELSESTADLCFYEISLSSVMQLLTFYESVAIGPPKPEKLFRLLDMYEVLNDLLPEVEFLFQEGCDDIVLTEYNEVLLQLGESVRKTITEFKYAVQSYTSSNAMARGEVHPLTKYVMNYIKALTAYSKTLDSLLKDTDRRCQHFSTDIQSMANQCPHFTVSALHLQSVTAILEENLEAGSRLYRDDRLRNIFMMNNIYYMVQKVKNSELKIFLGDDWIRVHNRKFQQQAMSYERASWSQVLSFLSDDGLCAAGDGASRKIIKEKFKNFNLSFEDAYRTQTGWSIPDDQLREDVRISISLKIIQAYRTFTGRYYSRLDGTRHLERYIKYKPEDLEKLLLDLFEGAQKTL >OGLUM01G36090.1 pep chromosome:ALNU02000000:1:35006077:35009120:-1 gene:OGLUM01G36090 transcript:OGLUM01G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLLLLLLLLGMSLKGSEGAWCVCRPDVAEAALQKALDYACGHGADCAPVTPSGSCYSPNNVAAHCSYAANSYFQRNSQAKGATCDFGGAATLSSTDPSSGTCKYPATARDKHRNRHGGCRHRHRYKHEHEHEHFFPGLCNCSHGSGGCARGCSLLGEIGVDARRGVAVVGVRAMRKLCPNLDRDDSLDTVLEVPIPDEMLINAPGTDKRRGAGGANMRAWLKNQAFDRATVGGPANATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIMQQYIAATGGQASLQGLQSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKCPEVWYFELIMAGHKMSAGSDGKVAWRQSAAENSHASRGPPRPLRRSLQVDRTLLAAAPPLPSLSTSFDITMQGLDPRSIANLFSDAVCIGEKIITGEECFILKLEASAATLRARSAAAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETTMESTISDYRHIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNLYGLTTDYFLPPSDLKKDIDEKRAG >OGLUM01G36100.1 pep chromosome:ALNU02000000:1:35010520:35013880:-1 gene:OGLUM01G36100 transcript:OGLUM01G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKLYGSTLSWNVTRCVAVLEEAGAEYEIVPLDFSKGEHKAPDHLARNPFGQVPALQDGDLFLWESRAICKYVCRKNKPELLKDGDLKESAMVDVWLEVESNQYTPALNPILFQCLIRPMMFGAPPDEKVVEENLEKLKKVLEVYEARLTKCKYLAGDYISVADLSHVAGTVCLGATPHASVLDAYPHVKAWWTDLMARPSSQKVASLMKPPA >OGLUM01G36110.1 pep chromosome:ALNU02000000:1:35026422:35026784:1 gene:OGLUM01G36110 transcript:OGLUM01G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSSVGVCTLLVAVASLQLLLVVAVAASAETAPAMPDEEFLGRLCDQQQGATRRRLPWCQQLHARRRHGGGGVGVGVGVGKRRRVPMPPPSRAGEEIDARYGVSKRVVPSGPNPLHN >OGLUM01G36120.1 pep chromosome:ALNU02000000:1:35028511:35032152:1 gene:OGLUM01G36120 transcript:OGLUM01G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF155) [Source:Projected from Arabidopsis thaliana (AT1G69380) TAIR;Acc:AT1G69380] MGRLRACTRLRRLLATRPPHPAPPPPPPRTTTTGQTLPRFSARAFSSASAVAVAVPHEARDSGLGNSAYWAWIRAAAESAPAPPPQQEEEDEGLARCIPVKAYFLSTSIDLKSLQADHGSDVVPPSTRSLNYIALRYSEFPQEIMDIGVKDNRFCYRYVVVFQYGSAVLFNIADHEAEHYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIIASVLGQSIALDHYIRQVDDMVEEFTEINRVMEMTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNSNYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLIIEIVISLYEIIKDSSMMS >OGLUM01G36130.1 pep chromosome:ALNU02000000:1:35032808:35035539:-1 gene:OGLUM01G36130 transcript:OGLUM01G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKVVSSYRAASPAPVGMARGGGGPASRVEFGPSSRRARLAATNNSVTPVTKEEKQRIDQSEILTLDNIRTSLVRQEDSIIFSLLERAQFCYNADIYDKNAFHVDGFDGSLVEFMVRETEKLHQQVGRYKSPDEHPFFPEDLPEPLLPPLQYPKVLHPIADSININKEIWKMYFDELLPRLVKEGSDGNYGSSALCDTICLQALSKRIHYGKFVAEAKFQESPEAYMPAIIAQDRDQLMHLLTYETVERAIEHRVEAKAKIFGQEVDLGAEDNGAPPVYKIRPSLVAELYSYRIMPLTKEVQVAYLLRRLD >OGLUM01G36140.1 pep chromosome:ALNU02000000:1:35038679:35042139:-1 gene:OGLUM01G36140 transcript:OGLUM01G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSPHGSCFRPACLAVDDLRLFYKINSITCGAYSWRWCVKKLHMRTNRRQMGTTVRTNPKWLFGGDGRSSSNARLERSESANEDILIFYFQLDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKAINLLHVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVEYAFRLGQKVRHKVHGYRAVICGMDPVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEISEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >OGLUM01G36150.1 pep chromosome:ALNU02000000:1:35041967:35050446:1 gene:OGLUM01G36150 transcript:OGLUM01G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLDQECIDCRLSTWVKLTVQEPANGHVYPLHPATSCRGGPGTRDGEEGAVGADVDVEQDGVVAGHGSSSSKSDGGGRRRRGLTTMPRRGSQRPREQQQQPPPSPARLPPNRPIFNPKLWDPSNGVRRQQYQKYNIFWTQKLCKMAYNDIPTQNGAVLTIHKQTVTSQQTWKVLSRKDAEKLEAMASKLMEAVSSLGPPRAGVGSDEEPCIPAPWTTTKLESSPKPPISDKPSTSTTGPVGSPGRLLQSIPTTFPAAPPWDMACRSCAHADRKLAASMLSSSPLFVMAAPPAPSKLLKRSREETRERRSAREYRV >OGLUM01G36160.1 pep chromosome:ALNU02000000:1:35046438:35050651:-1 gene:OGLUM01G36160 transcript:OGLUM01G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G26640) TAIR;Acc:AT1G26640] MGRSGRRSTGPAFLGNPEVPVATEQHQIRLSLPRPWPARGRGEEAPMAEEAAQEQQTDPAASRSVRCIVKLGGAAITNKGELESIDAASLRSACAQLRQAMSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMGGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRNLESVDASQIMQSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSR >OGLUM01G36160.2 pep chromosome:ALNU02000000:1:35046440:35050651:-1 gene:OGLUM01G36160 transcript:OGLUM01G36160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G26640) TAIR;Acc:AT1G26640] MGRSGRRSTGPAFLGNPEVPVATEQHQIRLSLPRPWPARGRGEEAPMAEEAAQEQQTDPAASRSVRCIVKLGGAAITNKGELESIDAASLRSACAQLRQAMSHGGAAGKVVGMDWSRRPGDPTGPVVDVEGLSEMGGLGLDSNFVVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRNLESVDASQIMQSLHVGFVPVLHGDAVLDELLDCTILSGDVIIRHLAQLLSPKYVVFLTDVHGVYDRPPSDPNAVLLREIAVDENGSWSIVKPALKGNKKGVEISVAAHDTTGGMETKILEAAAIARLGVDVYITKVGTEHSLRALKGDTSSEDWLGTVIRSSR >OGLUM01G36170.1 pep chromosome:ALNU02000000:1:35050691:35054384:1 gene:OGLUM01G36170 transcript:OGLUM01G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDDGSRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKVMCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >OGLUM01G36170.2 pep chromosome:ALNU02000000:1:35051263:35054384:1 gene:OGLUM01G36170 transcript:OGLUM01G36170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52905) TAIR;Acc:AT3G52905] MRLLKADQLFRKVIDDGSRKQSRLLGLDVGSKYVGLAVSDDKNRIALPLSVLSRTKTNISLMADDFVTLVSKYSLAGFVVGYPFNLQGQSSPNALQVRLLVGELCKTGKLDDMSYTYWDENFTSKVMCVEALLHPLKLHDPVETKTMTDKFAAVCILQRYLDNMNRELRSADNSGKQGDT >OGLUM01G36180.1 pep chromosome:ALNU02000000:1:35099335:35107582:1 gene:OGLUM01G36180 transcript:OGLUM01G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAEAGSKAAAVAGKAVAACERDAEKLEFIEEMTRGFDAVQERVLAAILARNNGAEYLRRHGMEGRTDREAFKARVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELDRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLPARPVLTSYYKSDHFKHRPFDPYNVYTSPTAAILCTDAFQSMYAQMLCGLVARAEVLRVGAVFASGLLRAIRFLQLHWRELAHDIRTGTLSAKVTEPSIRDAVAEVLAAPDAELAAFVEAECGKDKWEGIITRMWPNTKYLDVIVTGAMAQYIPTLKFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELMPHDPDAPPLPRDAPPPRLVDLADAEVGREYELVITTYAGLCRYRVGDILQVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASALLSPYGASIVEYTSQADATTIPGHYVVYWELMVREGGAWPPPAKEEGCGVFERCCLEMEEALNAVYRQGRNGEAIGPLEIRVVRAGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVISKHFSPACPKYSPHKK >OGLUM01G36190.1 pep chromosome:ALNU02000000:1:35104201:35110373:-1 gene:OGLUM01G36190 transcript:OGLUM01G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKYTTDGSWSFRKDEPLIPSLPATEHGSSNTKIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTADWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >OGLUM01G36190.2 pep chromosome:ALNU02000000:1:35104201:35110373:-1 gene:OGLUM01G36190 transcript:OGLUM01G36190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGLPPPLRPSTAPPPPSVTRALHAINTCTTAAALAPIRGAILGDRALLRSTAVVSAFFLACGRLRHLDPALSLFACHPRPHVFVFNSLLRSLGRAPARSPLPLFRHFLRCRGVRPNRFTFPLLLTSLSSLADLRAVHCQVVKSGFGPDLHVRNALLARYADCDPDLAHAEQMFDEMPRPEVVAWTTMITSYRNRGRTFHALATFRRMLAAHVAPNRVTMVAALGACAAHCAVDTGIWIHEYVQKQGWEMDVVLGTALVDMYGKCGKVSDGMHVFSKMAKRNVYTWNSIIGALALAQDGKTALQWFSRMQNDGVQPDEVTLICVLCACAHAGFVDIGRKIFNLAIQGEYGFQPGIKHFGCMVDLLSRSGHLDDAFRVVETMPSQPNAVIWGLLLRGCRARGDSWLSEHVTMRLVELEPENASHYVLLSNLYAETGRWQEAQGILHWMKKKGLRKDAGWSLRMLEDKSKKYTTDGCLLEYNMAPPTPRLVVPIDVSKKPWEQKVPLHNRWHPDIPPVADVTEGELFRVEMVDWTGGRVSDDNSADDIKFLDLTITHYLSGPLRIVDAEGVPAAPGDLLAVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSAELLNIWNEREKILAETNHESIKICEVLHQRPLANLPTPENCLLGKIQEGTADWQKIANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVDGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPIGPTPLHVNPIFDIGPVEPRFSDWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDVKPKRLSGKQGPKLRRLPDVLRCSSDGHLPVTQDPSGTKAP >OGLUM01G36200.1 pep chromosome:ALNU02000000:1:35111201:35116726:-1 gene:OGLUM01G36200 transcript:OGLUM01G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G48540) TAIR;Acc:AT3G48540] MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPSKREGYISWDDYFMAIAFLSAKRSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFQEPRNGEPSMNAASIFS >OGLUM01G36200.2 pep chromosome:ALNU02000000:1:35113070:35116726:-1 gene:OGLUM01G36200 transcript:OGLUM01G36200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G48540) TAIR;Acc:AT3G48540] MASARDLAVASISAAVGAAAAAAALRFLSSYGASSAKQRSPPTPCAEHLAVNGCAAERPPVQSPFDPSKREGYISWDDYFMAIAFLSAKRSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDNKLPWAKKSAKGDPLETKYPSSVELTGSGSRGI >OGLUM01G36200.3 pep chromosome:ALNU02000000:1:35111203:35112558:-1 gene:OGLUM01G36200 transcript:OGLUM01G36200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G48540) TAIR;Acc:AT3G48540] MWQSFGEYERFIHSWHVADEYLVALVVTSVYSPDAAAAVEEPGNRLLASSRAALAAGGGGHGWVPLSHHEQASKTPSRGYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSDYVYVASHKLLSMAGVKVRKHQPQMSQIPIKFQEPRNGEPSMNAASIFS >OGLUM01G36210.1 pep chromosome:ALNU02000000:1:35117984:35118508:-1 gene:OGLUM01G36210 transcript:OGLUM01G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPLIILLFLPLLSLLSHRAAHRGGEVGGGVVMGSGLEEARCWHLRAVVLAVEGAAGRRLHAAEAKLGLAADEGINEAELRLVEDRVVDNDPTVAGAKAVAPFREERGQPLVERGGDPRGQQPCVVAIPPAARGCLALDLKSMKKTTNNISYMIKKGDLRSKSIKKQQPVILT >OGLUM01G36220.1 pep chromosome:ALNU02000000:1:35119118:35130359:1 gene:OGLUM01G36220 transcript:OGLUM01G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVEPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSVQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMVISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPTECLIALILPKETTAEAT >OGLUM01G36220.2 pep chromosome:ALNU02000000:1:35118942:35130359:1 gene:OGLUM01G36220 transcript:OGLUM01G36220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT1G27750) TAIR;Acc:AT1G27750] MASSAEPPPKKRRLVEVHVPSPAPSPSSSSAPAPASPRSPVPPPPGVPPPPPPPPPQTLAAAASPQPEEAVRKRRNREELRGLFECYRRIRLCVERKDARLLPELEQVYLSLIASSRGCTSVQRILADLIPQYASYCPTALEAATKVSINMYKWNLAIVTRGEDVDGVAYQTARACILGLTDICSTASCEAPKSSVLTGICSAVYITVLTFFISTFDGKDIYHIGSRKLLNLQDPVELLDILKQDSGGDNQQADDCLVELRALSLLCIFLLFPENILDACFALIASAEDVNGEGLYFLKQLTCHLNSGIITDALDVQGDGVSQCTGMDVDHPSTKESVDSNLSSHSVGVSGSSMLQPNECYMTMVISRHPSLKGWILLRYKKLCDSCRTDVVSEVSSCLNVLGSLTQLEDNKSHMGSESSVLDKIDENSGGYLQPNKLACSYEQRMLLKTDIADRYGGKTVQNKNAQMVHTDEVKTEKLADVKLDVCKQGNVISDAGHQAARLDMHTPKSTHDSAGGAATLTSPGQNFGKAKHSYSEPSDLYGAHFSRDVISVSKELWIGSLGNSAAESLVRSKFEEFGPLANFLFYPPKNFALVEYGNIMHAVHAYGCMQGSSVWGGGLQIRYLDRLIGSKGFASGIAVGESRHIYVAKVKNKKDKDEVFDELKAAGLKRPCSFTDISSENALLLEFETATDAAAAKAHIRRQLHPDVCSQDKNTSGHELFVRNIDNSVPDMEFINAFSRFGEVIRWQFNKLDGNCLIDYRSPNAAATAKSHLHGARFGLKSISVESRSVHDKTLSPSVPMLAPSVSDSSHHEIRNPRVSGYNAGFTVPTERPIYGPSAPRAPQGILPCPPVSAHRGPVIPPPPIQTSFVRPVYPGPGSPWENTTPNPSFSHASPRMMPGSSFRMNPPAPLPFIPSSVTPLTQFPGGSAQQSEKRPPPPPPPPNVAPPPFTRQDIPPPPPSPPPLPITQPPSVPPPPNSPPPLQPATDPSDSQKQRSHPRWQGSLSKSGLHYCSIYASRIELDACRYEHAVSEPTGWPSRLDVTKRTDYQHVKTTFSNTPPSKREVCRLLPCSNGDQKGFRDFISYLKQRECAGVIKIPSVKPLWSRLLFILPPTPEACGMLELPPHPTECLIALILPKETTAEAT >OGLUM01G36230.1 pep chromosome:ALNU02000000:1:35128030:35129394:-1 gene:OGLUM01G36230 transcript:OGLUM01G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEAVRDETAMAMRLLGHLARAPRGGGGDKNLAVSPLSLHAALALLGAGARGETLDQIVAFLGPAGGPAHAALASHVALCSLADDSGPGDDRGGPNVRFANGVWVDAALRLKAAYARVVADKYRAEARPVSFRDKLEEARREINEWFESATAGRIKDFLPKDAVDRATPAVLGNALYFKGDWESKFDARSTSDDVFYLPDGGHVSAPFMSSGKWQYIACRAGYKVLRLPYARGGRGRGRDTGRLFSMYIYLPDERHGLPDMLRKLCSDPAALIESSAALTEKVPVGAFMVPRFTLSYKTNAAETLRQLGLRLPFEYPGADLSEMVESSPEAEKIVVSAVYHESFVEVNEEGTEAAAATAVVMTLGCAAPSAPVHAVDFVADHPFMFLIKEDLTGVVVFAGQVTNPSSST >OGLUM01G36240.1 pep chromosome:ALNU02000000:1:35130266:35132703:-1 gene:OGLUM01G36240 transcript:OGLUM01G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPKRVLPHGSPRGSPMATRRRDAEADENVRAWSENPGRLGAVAEWTASLERKKVLGERNGGGDGSGEAASPPPFFSQAKPATSPPSLSCRGEGPYDPKTNYTTPRPEFLRYNPEKRREILLRLEREAEDESSSATSATPTPTPSECVSSGSSVRGGEAELDRADAKEEEIEIPSPRGLDRADAEEEEIEIPSPRGGWARRLLLLLVAAACSCCYIYCMSSSPFPTSQMGLDFAGTTGSVHDASAHQVGSLELRAPTEMMGSHHVFEEATDQTVPNGSENAAQLYGPMGGSRKNFMAIAAMGLADSCPNVPFGEFTCQIGDRAVEDVQNSKEDFQLSELMVATSENAEQLGEVVSLNENVTADSIGSTYTAAMVEGESGLVHQEEAGEDHSQHSQQLASMEKTIEQENNEVGYDGEGLENDRLDQATELLEYENPAAAAKAIVAMVKSLWLSIKLHLMEILACFSVAAFAIAAAMLKCFQRSPKGASVSTRRLEQSPLAPNPRLPVLPSPQSVLQPVQLTVPKVEPPVNLKIPTVSPLHKPDLFVSFREQVPLPEPIPVSSVNLNNAVQFPLPKQIDSGNRPQKVHQDDAGSARIPDSYSVGRRDIDSSRPPVVALLGEFSLVDASSSRGSSRKGSNEHAGDVAVQEPSVTLRKDVVKMQKETTAIKSPSARKTKKEENAAKVEKKDVTTPTPLRRSNRLLNRVTSP >OGLUM01G36250.1 pep chromosome:ALNU02000000:1:35137147:35137812:-1 gene:OGLUM01G36250 transcript:OGLUM01G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEVSKPSRRLSPKGSFKLSLPSLLACGQCKATAVSPPESPTGVGARSFSSSASSSAGTSRGRERDRLAELREIFRHFDRDMDGRISGDELREFFASMGDDSGAAAAAAAAMGLDGAAGGGESGGGGGGGLMLAFEDFVRIVERKGGEEEEREDLRRAFGAFEAVKGSGRITPRGLQRVLSQLGDEASVAECEAMISAYDDDGDGELDFHDFHRMMSQD >OGLUM01G36260.1 pep chromosome:ALNU02000000:1:35144103:35146506:1 gene:OGLUM01G36260 transcript:OGLUM01G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQVQHESRINVGEATHVSKDEMGANTMFATSRLNSNNKVGPELAYSSGVASSASDSSTAAPSPCYLCHKIPLFFAPEPAALHVFGLAGRYVFGSVKRETYLSQEGPRSGRTPNRIAESLPVRVVNDFGLRLRVVTNQGPIKPRPPRPIDAIVFASIETRNRLRGFDRSLCCSAPPETYVFLPRARETIVLRANIIKMSSEQQASAGQPVLCASGCGFYGNPATLDMCSVCYRQHCLLNGATMATGPSSSVAAASAATVATGAVTSDSCSVPSAEVNGAAFSSKNNPEPATVVEKKAPANRCASCKKKVGLLGFACRCGATYCGTHRYPEKHACGFDFKGAGRDAIARANPLIKGEKLADKI >OGLUM01G36270.1 pep chromosome:ALNU02000000:1:35146604:35147186:1 gene:OGLUM01G36270 transcript:OGLUM01G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHVDAAPESCFAPSAGAKGAASFSKNPESSSLLARRWGCRGHLLRNAPIPEKHACGFDFKGASRDAIARANPLIKGEKLTNKI >OGLUM01G36280.1 pep chromosome:ALNU02000000:1:35147789:35149883:-1 gene:OGLUM01G36280 transcript:OGLUM01G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFE4] MAPPAGTEAAGAGHRKNWRGESGNLWRIAGPVILTEIFQFLIGFVTAAFVGHIGKVELAAVSVVNGVVEGLAFGLLLGMGSALETLCGQAVGAGQPRMLGVYLQRSWVICLATSLALLPLYLLASPALRLLRQSAAISSVAGRYARWCAPQLFAYAVNFPMQKFYQAQSRVWAVTAISAAVLAAHALLNWLVVARLGHGVVGAALVGDVSWWLLNAAQFAYLVGGSFPEAWSGFSRKAFTSLGGFVKLSLSSAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVAVGFNAAVSVRVANELGANHPKAAKFSVIVAVVTSAAVGLVFTLVALVARKQLPRLFTDDDVLVRDTAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSSVAFVNIGCYYLVGLPIAAVFGFRLSLNATGIWVGMLIGTILQAVILLVILYRTKWQKEAMLAEERIKVWGGGVELPTIQEAS >OGLUM01G36290.1 pep chromosome:ALNU02000000:1:35153886:35156145:-1 gene:OGLUM01G36290 transcript:OGLUM01G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVGESSATAVDGGGGAKDSGSFECNICLELAQDPVVTLCGHLFCWPCLYEWLHVHAHSRECPVCKAGLEEEKLVPLYGRGKASTDPRSRSVAVVQIPSRPAGQRPATAPQPDHHHDHLPHHDPWFMGGAGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQAAAYGPAAGFPYGYGHSFHGWHGHGFPHQAPQGQHVDVFLKLVIH >OGLUM01G36300.1 pep chromosome:ALNU02000000:1:35174061:35174609:-1 gene:OGLUM01G36300 transcript:OGLUM01G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGGSGGGGCLGAPFRALSRACDSACDLYVRGMSGCAGRVPAAMEAVAVGRGFGRPATATATMRLRGLSSRDVDDLVRAASRQRRVAAEPAAGAAKKVGHYREAPAGKKGPFEAAAAAVPAPRKKGAAMGTIAEDAPCEFVADTTLKATPPVRRGASVDGLAARAGGFGAIKVGTEAFAR >OGLUM01G36310.1 pep chromosome:ALNU02000000:1:35182728:35184316:1 gene:OGLUM01G36310 transcript:OGLUM01G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDPRGRRPRHHAAVNEVLSTLAAANSTLADVQRRLDADFRAAYPDHANPVKLVARLKRIQEEVAAVKGLCRDLLTQKQELIDAMRTSLAVQRSAVQRLLASSGLPPMSEEDAATDANLNQIIDEWTAHVGPDTGDDKDEDTNQIFFAAVV >OGLUM01G36320.1 pep chromosome:ALNU02000000:1:35187622:35191827:1 gene:OGLUM01G36320 transcript:OGLUM01G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSSHSDEDGDDLEASSGDDHRDEQPDTPSGGGGGVKGDLSELTDSLTRGLWGVASFLAPPPAPAAEAAETATGAVGEGEGEDGARSPRIAGIRSDLAEIGGRVRSGISLLSNANAVAEISKIASSLLPFGPGEDDDDDDDAEAVGVTEEVVEFVRHISTHPETWLDFPLFVNDRHADDFELSDTQYGHALAIERVVPSLSYLRTELCSTNMSEACFWKIYFVLLHSKLSKQDAELLSTPQILKAREVLLQSSPTKKRLGPDDGSSQNWNVASTKGDNSGMSEAPSLEEATSVPMNVVEADKHPISVAEVEIIDKSVVEEELVVKNETKSIPSDSEKANLHITSDDDDKEVEDWLKDVAPVSSKTGNVNSAGQEEDISFSDLEDREDD >OGLUM01G36330.1 pep chromosome:ALNU02000000:1:35192438:35195394:1 gene:OGLUM01G36330 transcript:OGLUM01G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAETTVAVTTGGEGEIVRGRKLLSHGKVFVGGVPLGTSESELRAHFSRFGTVAFVGAPKNKQTGAARAFAFVQFVNPDDAAAAIAAGPDRNVIRGTTMDVKLAQPKPSAGGPQLSPGDQKRKIFVGGLPVSTTEKKLKEYFNKFGEVNRAIVVTDLNTKMPRGFGFIQFASEESTARALKKDRHFLCGQWVEVSLAMPKQQNAASGTSKLSVQARPFYPTTSSNFATAANYSDVVNIVPVVTPMNCVVSNAFNPHIGFEVPGMILSDGVSNSVGANYSYQNPYLGGGGVQPQGSVVYLQAAHYYSGVMM >OGLUM01G36340.1 pep chromosome:ALNU02000000:1:35255666:35263530:1 gene:OGLUM01G36340 transcript:OGLUM01G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGDRGESRRFKEDAAVEIPVVRGGMHRLLLAAACSGDCEGLSFLLSGDGNSLAHPTTMKPRKKFLKLIQVRNGSSPSDIEECTNIPSMAAELLLEGVTVDGNTALHVVATHGNGPSFLKCAKVIHLSAKHLLFQPNNNGDTPLHCAVRAGNPQMVSQLVDLATEANGVNVVKDLLRKENNLKETVLHQAVCIGDNHMVKLLLTYDSELARFPREGTSPLYLAILLEKNVIAQTLYDMSERNILSYAGPNGQNALHAAVFRGKDGKIMVAVMASSSRDAVETLVADGMHPLLLASVCSGDWRGLNYLLNRHEAQNDSSVVNPSKEFLDQVEVYNSTSCCNNGKLQTLPVSGDEEQGVDRPPVLSADAALLLKGLTTEGNTALHLAATYGNLTCATIIFEKDADLLFDKVNLKRDTPLHCAARAGKSEMVSHLIDLAIDYGTSKGIDDEKIVKDLLRKENDSKETALHEAVRAGDNQMVTLLMTYDPELAIFPKEGTSALYLSILLEKDIIAKTLYGMSQGNVLSYSGPDGQNALHVAVLRSKGNHQV >OGLUM01G36350.1 pep chromosome:ALNU02000000:1:35266475:35268301:-1 gene:OGLUM01G36350 transcript:OGLUM01G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENLLNWRNDLAGQADKDGRTPLHFAASLIFGLISSSPLVPVLQANPFQLSDIVLYACRNKSLAKVLNVQDKHGNTAIHVAVHHGLMYNFCCLLRNRKDNTIAFICSGLATINLMYSGVPMVNLPFRRRHFNISLLLVFSSVTSLGAAFALGMLLVLSPVAQLTAAAVCFMMMAASLYLFTEPLNGARVAAAVYFRMGNQALLLIARVLLGQTLLIFWPCVIIFGWAAISTKYGRK >OGLUM01G36360.1 pep chromosome:ALNU02000000:1:35273059:35289801:-1 gene:OGLUM01G36360 transcript:OGLUM01G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSGREDEVKWGNALGFIILPFFIGMHKDPLDYVRKAKKVVDRKKSSLEVVFTHLAAEVILKLFGLKTVNDVLVGITYSALSRYYYRKSGDKDTDEDIQVILKLFGLKVNLSFIVLSPHQQLDPYIIILAGNAIITLFLLTSTGLVHKTIDFRILQV >OGLUM01G36370.1 pep chromosome:ALNU02000000:1:35304063:35304494:1 gene:OGLUM01G36370 transcript:OGLUM01G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLAQPKPSAGSPQLSPGDQKRKIFVGGLPVSVTEHQLKEYFSKFGEVNRAIVVTDLNTKMPWGFGFIQFASEESAARALKKDRHFLCSQWVEVSLAMPKQQNSASGTSKLSLQARRFIQRHNQTSPLSLIIPMSLILSLW >OGLUM01G36380.1 pep chromosome:ALNU02000000:1:35304500:35309942:1 gene:OGLUM01G36380 transcript:OGLUM01G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCVVSNTFNPHIGFEAPGMILSDGGSNAVSANYSYQNPYLGGGGIPPQGSAMYLQAAHYYSGCGPHSASCFPREAETPAPPLLSHGKVFVGWVPLGTSEAELRAQFSQFGTVAFVGAPKNKRFFVNPDDAAAAIAAGPNRNVQFNEVKEYFSKFNEVDREILVTDLNTKMPRWFASEESAARALKKDRHFLYGQWVEVSLAMPKQQNATSGTSKLPVQAHPFYPATSSNFTAAANYPSVVNIVHVVTPMNCVVSNTFNPHIGFEVPGMKLSDGVTNVVTANYSYQYPYLGGGEVPPQNSAMYLQATHYYSDS >OGLUM01G36390.1 pep chromosome:ALNU02000000:1:35313634:35317260:-1 gene:OGLUM01G36390 transcript:OGLUM01G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWGGEGRGGSGTPVVVKMESPERVAAGRTDSDDAAAAPPGESPALRARFHGFLRAFLLLSVLLLAVDVAAHAQGWHAVVPDLLAVEGLFAAAYASWLRVRLEYLAPGLQFLANACVVLFLIQSADRLILCLGCLWIKLKGIKPVPKASGGGGGGKGSDDVEAGADEFPMVLVQIPMCNEKEVYQQSIGAVCNLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLYINDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGVWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKQPKQQRVGSAPNLDSLAKESHPKKDSKKKKHNRIYQKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >OGLUM01G36400.1 pep chromosome:ALNU02000000:1:35322839:35327432:-1 gene:OGLUM01G36400 transcript:OGLUM01G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSAGGVGGGGGGGGGSGGAAAGDDAIWSKLREAGFDEESLKRRDKAALIAYISRLESEIYQYQHNLGLVLMERKELTSKHEQLRAASESAEIMHKRERAAQQSALAEARKKEENLKKSLGIQKECVANLEKALHDMRGETAETKVSYESKLAEALQLMEAAHKKFDEAEEKLLLAKSLEAESIRTHNAALRSLHDIDDREDQLRRDRISCELENEAKEKEISLQRKSLNDMKKILHEKEEVLLKEQALLNQRDENILERLAYVTHSEKRVEEEKNILEAERKVLLEEKYKLELKMEAIVSREEALIQKESLLDKRESELLILQETIASKERAEIERLNQEQAIALERRKHDFESEMANKQMSFDAAMEATRNALHQRECALSEQESVVVQRSQNLDLQLAELASKEKALAGRSDELKEEEEKLLLHREAIHNELQKEREEIQRIKSDLEKEKAFFEEEKREAIQAQQDLAITQADRDELLTLQMKLKEEIDSLRAQKRELMADADRLQAEKERFEIEWELIDEKKEELQKEAIRIAEERRAITEYLKNESDIIKQEKDNLRVQFKSNSETLSREHKEFTSKMQQEHASWLSKIQQERQDLKRDIDIQRVELLNSAKARQMEIDSYLREREEEFEQKKAKELEHINSQKEMINTKLEHVAVELQKLEDERKEATLERERREQELSEIKGTIEALNNQREKLQEQRKLLHSDREAITVQIQQLNVLEELKIDSENKQLSLLQHDKSKLGSDINVKDNHHDNSHSSPKQRFGRKLDLSPVSTPISWVRKCAQVIFKRSPEKSASHDQFVQNGVPKKVGDSVDVEDVNLDFAKVGQKRLNHLVSCDQTEVLEPKRKHRRSTIQKVNGGEITSNCPSALEEKCSKNEHDEAPLGLSNTCKEHEYGDKGPENLTKLGEPASSVDVPYVNGIVDNSDSVQEEPSVEATVSATETSNVDGPEDNNDSDEEDEEEEEEKTSSAKKLWRFLIT >OGLUM01G36410.1 pep chromosome:ALNU02000000:1:35329596:35332637:-1 gene:OGLUM01G36410 transcript:OGLUM01G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine carboxypeptidase S28 family protein [Source:Projected from Arabidopsis thaliana (AT5G65760) TAIR;Acc:AT5G65760] MRPLPLLLLILAAAASALARAPPRFPVPHARPRRGVVGAEEAVRGYDYEMRYFRQRLDHFSFLEEEGEEGDGFFQQRYLVGRGGGWAGAGGPIFFYCGNEGDIAWFAANSGLVWEAATRFAALVVFAEANLSSHLAPVSVFFLGGALIVRDPIPFTCSLQHRYYGESMPFGSKDKAYNNSKSLAYLTAEQALADYAVLLTDLKKNLSSEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDVVPSTIFYDLVSNDFKRESLSCFQTIKDSWKALDAQGNGQDGLLKLSKTFHLCKTIKNTGELSDWLSSAYSYLAMVDYPMPADFMMPLPGNPIKELCTKIDNQPDGTSILERIYAGVNVYYNYTGTVDCFDLNDDPHGMDGWDWQACTEMVMPMSYSEDSMFPADKFNYTSYEKDCINSFGVEPRPQWITTEFGGHNISLVLERFGSNIIFFNGLLDPWSGGGVLKNISESVVAIIAPLGAHHIDLRPASKDGPDWLVRLRESELGIISGWLSDYYGARRGALLQRAAPIPWTLLHHS >OGLUM01G36420.1 pep chromosome:ALNU02000000:1:35332997:35333746:1 gene:OGLUM01G36420 transcript:OGLUM01G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGGRDDGDDTGAASTSPNSVANQSSSSSEPEPVPFPVHRLARYSKSIDKAIKVSVLINLLSWSLEQRMLSPEAAAHATADGLVGVVRCHAVDSGVTFYGMEGIGRSFEEELLKPFDRQLIAFRGGLPSRGEKVPVSAGEAARIRALHAAFVERDAELWTLAHVTLAEDLAASRGGDASAASIPRMVERLRQVRRLFEAVLVPLRSLKSIFDDLVSSSRSPPADADVLGGATCDTPVSNAGSCVPNV >OGLUM01G36430.1 pep chromosome:ALNU02000000:1:35343529:35346784:1 gene:OGLUM01G36430 transcript:OGLUM01G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARWRILALPLALLLAVGSSPGLVRQASSSAAAKPPVPKAISDLREAIVKGLGFQSEELKVSGFDVRDALVGQAVAYEFDIEVGRKVVPVRLLEDVNRWDFVDLPIFRSQADADDTALAEIRRGKSGKRAFDPTLPPFQLAGPMELWIQDGDDVRLALPHDVEAGTLKKVVLSDGAVVTVKGAKAVSLRLPLELPLPLNRTTYKGRLSSLISIAQTLRGAARSNQKPLLSLRIEGPTSLSSTPSMSPNDRLKLKRLAPGQVELSSRAIPAVTDDDGDGSHAAGLWPLLSLNGSDGSLQGFEELLASVLGKKAGEKGTFKLLKARASAQTYVKMGFAVEKRIADGEVNWSNFPEWKTKPKKLRAHYEVLARVEGGQVIPERIAQVQPFEADEAMSESVLTGNVSMSKTEVVHPPPVYFTL >OGLUM01G36440.1 pep chromosome:ALNU02000000:1:35346882:35357642:1 gene:OGLUM01G36440 transcript:OGLUM01G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPLARSAQPPPSGPRFSGRAQRRRGSNEATACGKGRSRAGRAASPDVVATKPPPLHDEEEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVTNISKEQNQKRSFDDAVTGITHIIVRFAAVVSSSAFGKKIFPSSLRLYSNNYTQSCILYHVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANSRQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFCKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >OGLUM01G36440.2 pep chromosome:ALNU02000000:1:35346882:35357642:1 gene:OGLUM01G36440 transcript:OGLUM01G36440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPLARSAQPPPSGPRFSGRAQRRRGSNEATACGKGRSRAGRAASPDVVATKPPPLHDEEEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRRFAAVVSSSAFGKKIFPSSLRLYSNNYTQSCILYHVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANSRQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFCKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >OGLUM01G36440.3 pep chromosome:ALNU02000000:1:35346882:35357642:1 gene:OGLUM01G36440 transcript:OGLUM01G36440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSGVAARLNLVFMGRPLARSAQPPPSGPRFSGRAQRRRGSNEATACGKGRSRAGRAASPDVVATKPPPLHDEEEEEGGRMVRRGRKGKDAAAGAGGTGGRGGGAGRGGGRGGSGGGGVREATLVRVSKVLEDFQASDAQVYKFEPGISKQERAAIHEMCRKMGMISKSSGNGERRCLSVYKRKQNQGLETEEGPSHLGFSVEARNVLQDLFMHYPPDDAELNGHTVRNSSDKAVKIQWKPDGAFCRPALRKPDILKKVEMLASKIVQDRSKLPISSYKDAISSTLENHQVPQYILDHMWGKGESCKIVCTQPRRISAISVAERISAERGESVGDTVGYKIRLESKGGKNSSIMFCTNGVLLRLLIGRVTNISKEQNQKRSFDDAVTGITHIIVVLMSATIDAERFSNYFSGCPFIQVPGFTHPVKTFYLEDVLSILQSVGDNHLDPTTDDLKQSSLLTDDYKSSMDEAINLALDNDEFDPLLELISAEQNQEIFNYQHSETGVTPLMVLAGKGQVGDICMLLSFGVDCSTRDHDGKSALDWAEQGNQQEVCEVIKKHMECGSAKLTEENELLNKYLATINPEHIDTVLIERLLRKICVDSNEGAILVFLPGWEDINQTRERLLASPFFQDSSKFLVLSLHSMIPSSEQKKVFKRPPAGSRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHSSWVSKANSRQRQGRAGRCQPGTCYHLYSRFRAASLLEYQIPEIKRMPIEELCLQVKLLDPNCRIADFLRKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTSKMLLFGILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGYSDQLAVVAAMDCWRRAKDRGQEAQFCSKYFVSSNTMNMLSNMRKQLQNELAQRGFVPADASACSLNARDPGIIRAVLMAGAYPMVGRLLPPRKNTRRAVIETASGAKVRLHPHSCNFNLSFCKTSGNPLVIYDEITRGDGGMYIKNSSVVGSYPLIILATEMVVAPPEDDDSDEEDGDSSEDETEKVTLGQHKEIMSSPDNSVSVVIDRWLRFDATALDVAQIYCLRERLASAILFKVKHPQDVLPPDLGATMYAIACILSYDGLPAMITSDDVATSQGSNQSSAESSRFSQGRRVGYIPPGGFLMSLLSDKPLNAPHFQKSFNHPDGASGHIRSSRTSVGRFDQSRHPQRNNSGPGSSAARTFKRQRNGAQ >OGLUM01G36450.1 pep chromosome:ALNU02000000:1:35362693:35367124:1 gene:OGLUM01G36450 transcript:OGLUM01G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARSAVVVIAMEPSSSITIASSSSYLSNGSSPCSVSLAPPGAGAVAAQAAPVAAGEGGGGGGGGGGGGGGGGSSSVEVVSLNRLSANLERLLLDSDLDCSDADVDVADGGPPVPVHRCILAARSTFFYNLFAARGRGGDGAAGGSGGGGGGGGERTGGRPRYKMEELVPGGRVGRDAFLSLLGYLYTGKLRPAPDDVVSCADPMCPHDSCPPAIRFNVEQMYAAWAFKITELISLFQRRLLNFVDKTLVEDVLPILQVAFHSELTPVLEKCIRRIARSNLDNVSLDKELPPEVAVQIKEIRQKSQPNEGDTVISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAAAYCDSKVVSELLDLRLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGAAVSQLTADGQSAMSICRRLTRLKDYNTKMEQGQESNKDRLCIDILDREMIRKPMAVEDSVTSPLLADDLHMKLLYLENRVAFARLFFPAEAKVAMQIAQADTTPEFGIVPAASTSGKLKEVDLNETPVTQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKFLEDDLPDSPDALDLQNGTSDEQNVKRMRFCELKEDVRKAFSKDRADNSMFSILSSSSSSSPPPKVAKK >OGLUM01G36460.1 pep chromosome:ALNU02000000:1:35367143:35367945:-1 gene:OGLUM01G36460 transcript:OGLUM01G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHAMDASSLLWSQCRRVLITHQTTQAITFDAMENALFRKATELHGAISSTMEETKALVEAAADDDPTEIARGSGDDVHTSARVMVDCVVGYLYAMIDVRRHRIPEASHQEEIQAVPGHGPEWRLHRRLFQRFLGARAVLPIVEVWPLSLEQQVLAIEEIRVGVHETYKAQKLWKVPSPELRGRLRKTITERVVSGYREYLLEHPELKRLVSRGNSNTPADLEEMLAELFEG >OGLUM01G36470.1 pep chromosome:ALNU02000000:1:35376851:35380914:-1 gene:OGLUM01G36470 transcript:OGLUM01G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLSTTSVVAAKAYKYRAESLVKDYLLADCYVSYTAVLGGILMCKMVYDITHLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAMFITLMSVYLVFFSNLFSDELDGPVTVRSSNLSNFTLGVSLGYFIADLAMLSWAYPSLGGMEYVLHHLLSIISLVYAIYSEEGQLYTYMVLISETTTPGINLRWFLDTVGMKRSKAYLVNGVTMFVAWLVKQMRTFSCILIFAVPTILLVMNTVWFVKILRGLKKTLAKRQ >OGLUM01G36480.1 pep chromosome:ALNU02000000:1:35381786:35383807:-1 gene:OGLUM01G36480 transcript:OGLUM01G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPTPAAAAPAPAVAVSEAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEAARLRQKLADKDRLAAELADRAASLEQALRDSDARLRAALDDNAKLAKERDSLAHTSKKLARDLAKLETFKRHLMQSLGDDNPPIQETVDIRTCEQSVAKASSWKDGVAHSRHHHPVSSLADGSTEIESVNQEVARPFEQKLSVTHISPRLTSDPAAKTRTAATSPRRYSTAVSPKLAASATSPRLEGHMAMQPWLPSSKMSSAANSPPRSHSISGRTTRVDGKEFFRQARNRLSYEQFAAFLANIKELNAHRQSREETLQKADEIFGSENKDLFMSFQSLLSRSLS >OGLUM01G36490.1 pep chromosome:ALNU02000000:1:35385609:35385980:1 gene:OGLUM01G36490 transcript:OGLUM01G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSQFSSPKHTLPGSITRAAAGHSSGVVAEMKTGYVPVLVGKSGGGGGGEAATRFLVPVGLLNDPCMEALLELAADEMGYGQEGVLSIPCDADFFRRVVTAIPSANKANLICSPNSQTDC >OGLUM01G36500.1 pep chromosome:ALNU02000000:1:35394781:35404475:1 gene:OGLUM01G36500 transcript:OGLUM01G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPELTSKDGNDTRKPSDRALPVVSGDPFQQKALQSSMFGATEVTDKMPKGDKKRKRTKMSLKSTDCLSSKHKRLHLEMKAHDSTSNGILCSDDRSRVQQGSSIMPVVNEDDVQTRRRKCYVIAGKTPFLSVPAKVPFAEAGNAYAVSKFPSLLSFEEMIKGDCLKLLDLDNDADEERYRRAMQRTLSPDLPIILPQATKAPTHEKSHHLSDMMPNAFEYERDCPSSGANATDLEMRPNLLGVEGPAIQKLIQSTGKLGHNRIDCHDNVKQLRANDNDKSNSVVNISCSTKLDNVPTKRSLSCILHEDQAQNVVASPTDVPSNTSNSYPNSTLDLQHSHKEASNENSSNQIHSSSISDSGQQNIVGGCKTKAAESTDLNLNSIIGLRHGDKRSPMCFVGLVSMKKRNIIRMFRYWETLIAEARETSEEAFVDTPLFERISSEPLLLLEEKVALIISLLLWDICRVITADPVLDGNFASSVFALTVKSYMETRWAFLKSNQLDVPVSLIEDFLVKREVVVCNKTGHVISDVDRYSLLDDETGIQVSTEPATIDQFISACALLASICVKVERMDIVLEVSYKVLLMGKSNLSWTLLAIHIIGSMCGDKFLSKSSNFLMTTIRLVVLLLEAKNNSLCLLSSYVQSNRPAVFPTCAHCLFDVVDSVSVDGFISSLLDELHLCSQQWNSCSNTNKIIARCSPHLGSSGLEVNCGEPCYISKQVKLSEDGHNHTAGRDLCYFAEITSLLELFGNYMSCEWTYNNVVVRLLKILESCTCEEYSAALLILLSQLGRFFVDDVGYEQRAVSDLRNHLSVLMRTKVSNSRNMPVQLSAIGALLSLLPLAFDKIVAHSGQLPDLYVLQGRQISEWFCQLSKEHQSIACSFFS >OGLUM01G36510.1 pep chromosome:ALNU02000000:1:35409666:35416723:1 gene:OGLUM01G36510 transcript:OGLUM01G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHNSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIHRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGLSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLTTSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPAKKTSKISGLSSSGCTANNWQRVSNWLSSNLGIIAG >OGLUM01G36510.2 pep chromosome:ALNU02000000:1:35409666:35416723:1 gene:OGLUM01G36510 transcript:OGLUM01G36510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHNSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIHRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGLSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLIELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPAKKTSKISGLSSSGCTANNWQRVSNWLSSNLGIIAG >OGLUM01G36510.3 pep chromosome:ALNU02000000:1:35409666:35416018:1 gene:OGLUM01G36510 transcript:OGLUM01G36510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESGGGGGGASSSSPAAAGNFDAGQYAFFGKEPLEGLELSCLEDGGGDGNGGGFSGPEDGLYRLSSVGEEIDNLSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRGSSLTVDWAEDAEFPNWVDQDILEGEELQESKRWWSQSRSLGQQGDAKPLSRTSSYPQQPLQHRASEPIVAPQSPPFTSFPPPGARSPYTAQGLTRHGSIPSVGAGLQMGSPSMSLPSSSYHMAGLSHGLPYGGSVSFGSPNLPGSNPIQNDWPNQANPYAVDQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHLFYQHHSPELTGRFDSISNVPSLRDKRSRSGRGKHSTRFSQPLTDTGSQNGDSGGLKFRSKYMSSEEIESILRMQHSASHNSDPYVVDYYHQACIAKKGASSRQKANFAPTSMKDLPSKSRSSSDHHAYLQVDALGRVSFSSIHRPRSLLEVDLPSSGDGSHDQKSSLRPLEKEPMLAARVTVEDAICLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLATSLQLVDPLGLSKSSHSSGLAPKDDLVFLRIVSLPKGRKLLSRYLRLLTPGSELTRIICMAIFRHLRYLFGGLPSDSSAAETTVALAKTVSSCVLHMELGALSACLAAVVCSSEQPPLRPLASSAGDGASLIIKSVLDRATELLTDHHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIKQMFVMQSPCSVTGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGINPTGANGGYITSESVPG >OGLUM01G36520.1 pep chromosome:ALNU02000000:1:35416784:35420310:1 gene:OGLUM01G36520 transcript:OGLUM01G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFH2] MMQSSLARPLPRPPIRPACGNPVCRSRPGSVSVARCRAEAAPPAPAPAARRAAGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFAQTVRALKELKPGILVECLTSDFRGDLEAVSALANSGLDVFAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCKEGMITKSSIMLGLGETDEEVKQAMIDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNNKPKLPASS >OGLUM01G36530.1 pep chromosome:ALNU02000000:1:35427151:35432986:1 gene:OGLUM01G36530 transcript:OGLUM01G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAWRREQWAVAWLSVAVLGAALVGAAGAFEEGTAVYIVTMKQAPVFHKRLDLERFGSSRVAGGGGGDTPSTSILMKPRHGPAQPMNYGSYLVRLQNSLLKRTLRGERYIKLYSYRYLINGFAVVITPQQAERLSMMKEVANVMLDFSVRTATTHTPEFLGLPQGAWVQEGGPQCAGQGVVVGLIDTGIDPTHPSFADDLITDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDHASPSDSDGHGTHTASIAAGNHGIPVVVAGHHFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDREYNNYVVLGNNLTITGVGLAPGTDGDSMFTLVAAPHALKNNVASPTEMSLGECQDSSHLDEDLIRGKILVCSYSIRFVLGLSSVKQALDTAKNVSAAGVIFYLDPFVIGFQLNPTPMDMPGLIIPSSDDSKVFLNYYNESLVRDETSNKIVSFGAIAKILGGQNPNYGISAPKVMFYSARGPDPEDNSLANADILKPNLIAPGSSIWGAWSSLGLDSAEFAGESFAIISGTSMAAPHVAGLAALVKQKFPYFSPAAIGSALSTTTSLSDREGNPIMAQRTYGNPNSTQSPATPFDMGNGFVNATAALDPGLIFDSSYDDFFSFLCGINGSAPVVMNYTGNSCSSSAMTGADLNLPSITIAVLNQSRTITRTVTNVASDERYTVSYSAPYGVAVSASPAQFFIPSGQRQQVTFVVNATMNGTSASFGSVGFYGDKGHRVMIPFSVISKVVHGS >OGLUM01G36540.1 pep chromosome:ALNU02000000:1:35438591:35441281:1 gene:OGLUM01G36540 transcript:OGLUM01G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFVLLLLLLLAVAACVARGQGGGNSSSAAAPAPAAGAGPFVPRDDILLDCGATGKGNDTDGRVWSGDAGSKYAPASLGSASAAGQDPSVPQVPYLTARVSAAPFTYSFPLGAGRKFLRLHFYPANYSSRDAADARFSVSVPAANVTLLSNFSAYQTATALNFAYIVREFSVNVTTPTMELTFTPEKGHPNAYAFVNGIEVVSSPDLFDISTPNLVTGDGNNQPFPIDAGTALQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYVFGAAFGVSYPKDDNVTIAYPSNVPEYVAPVDVYATARSMGPDKNVNLAYNLTWIMQVDAGFTYLVRLHFCEIQYPITMINQRVFNIYINNQTAFQGADVIAWTNNNGIGSPVYQDFVVTTVGSGAMDLWVALYPDVQAKPQYYDAILNGLEVFKLPLSNGSLAGLNPVPTVEPSLDGGAVKKSSVGPIVGGVIGGLVVLALGYCCFMICKRRSRVGKDTGMSDGHSGWLPLSLYGNSHSSGSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLLLGVGGFGKVYRGEIDGGVTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTKNAPLTWRQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDEGIDRPSMGDVLWNLEFALQMQESAEDSGSIGCGMSDEGTPLVMPGKKDPNDPSIESSTTTTTTTSISMGDQSVASIDSDGLTPSAVFSQIMNPKGR >OGLUM01G36550.1 pep chromosome:ALNU02000000:1:35442909:35448443:1 gene:OGLUM01G36550 transcript:OGLUM01G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDE >OGLUM01G36550.2 pep chromosome:ALNU02000000:1:35442909:35448443:1 gene:OGLUM01G36550 transcript:OGLUM01G36550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 12 [Source:Projected from Arabidopsis thaliana (AT2G34640) TAIR;Acc:AT2G34640] MASCSRTWLLPGMAPQATAQTVPRPLQSLKVFAGLPHRRRVLFSGVSSRTRRGRIRSVKDDSLHFDPSKIEAPPYSSYFDSTSGQLEPASGARASIPGQEYWPEGTASRVRAARAPAPVGESAGTPSFGKKPGSRRKGYKEQVASATAGRGTETSGDEGESVVAIEASSDETLEETKDSLDEYVVYEMPKEENLSEYEMDKMMGRPHPFVDPQKAMSVGEPKSSEELWWNWRRKSEENEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDHPTRTEAALAKARRHLFKEERLEAEQRRLEEIGPIAYYSEWVEAYKNKDTSREAVQKHFEETGEDENTQLITMFQHQTAGEFRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVHDPDEVADYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEEMEDINQDITYLPEVRDPMATAVDIGEHSYNEDSDDDEEDADKVVAQPESLEDDEDDGDDAEDAEGKVSRNWSVLKTTGQAENPKEKSKKDQLSLKEAIADSENLTDFLMDFEEDE >OGLUM01G36560.1 pep chromosome:ALNU02000000:1:35446010:35469666:-1 gene:OGLUM01G36560 transcript:OGLUM01G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGADTDTVLRKRQLTVRTTSSNGGNGFRVGGGKGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAQFARYPRFRSIQVTDEDGGNPRWVRTTLNVDDHIIYPELDMDAVAADPDKAVEDYVASLSTKPMDESRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSAADPARLPAMPPLPTRTGAIYARPRPPASAGALAFAAWLWSFVALAWHTVVDVASFFATTMLRNNFVSILLIITIFVAVDARIAHAKPAHYTTLSLASATQLSRGPHEMDAGAGAATALRKRQLTVRTTSSNGGNGFRVGDGGGGGSKGAAAATPPEPVSPSARLVEDFFIVVVIGIATPVNDPVARAGIAAQLARYPRFRSIQVTDEDGGNPRWVRTTVNLDDHIIYPELDMDAVAADPDKAVEDYVASLSTKPMDELPAMPPLPTRAGAIYARPRPPASAGALAFAAWLWSFVALAWHTVVDVASFFATTMFLKDPHTLFKRVKHGEFQRKRIVHRGLSLDDVKLVKNAMNCADKGCSFEPRKASHEGLRHYLIIAHMHNTPITTDLPFSILSIITIIFIILLEDTVKKNKYD >OGLUM01G36560.2 pep chromosome:ALNU02000000:1:35448337:35469666:-1 gene:OGLUM01G36560 transcript:OGLUM01G36560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAGADTDTVLRKRQLTVRTTSSNGGNGFRVGGGKGAAAATPPEPVSPSARLLEDFFIVVVIGIATPVNDPVARAGIAAQFARYPRFRSIQVTDEDGGNPRWVRTTLNLDMDAVAADPDKAVEDYVASLSTKPMDELPAMPPLPTRAGAIYARPRPPASAGALAFAAWLWSFVALAWHTVVDVASFFATTMFLKDPHTLFKRVKHGEFQRKRIVHRGLSLDDVKLVKNAMNCIKDAALSLGKHHTKA >OGLUM01G36570.1 pep chromosome:ALNU02000000:1:35477112:35478713:-1 gene:OGLUM01G36570 transcript:OGLUM01G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSHCHTINGGAPRNGAIPAVETTTSTPAASDTALLLDADEFRRLGHQVVDFIADYYAGLGDYPVHPSVTPGFLRRHLPADAPSRPEPEAFAAALRDVRDLILPGVTHWQSPRHFAHFPASSSTVGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGRALHLPESLLFAGGGGGTILGTSCEAILCALVAARDRKLAEIGARRIGDLVVYCSDQTHFAFRKAARIAGIPREHCREIPTCRDDVFALSPTALHAAMQADVDAGLVPLFLCATVGTTQTTAVDPVRELCAVAARHGGMWVHVDAAYAGSALVCPEFRDVIAGAEAVDSLSMNAHKWLLANNDCCAVWVAAPSALVAALGTEQEYILRDAAAEGHDVVDYKDWGTTLTRRFRALKVWLVLRCYGVEGLRSHVRSHVAMAAAFEAMVRGDARFEVVAPRRFALVCFRLRSPPERLGVGVGVGGEKAANELNRRLLEEVNAASSGPYMSSAMVGGVYMLRCAIGSTLTEERHVREAWKVVQERATSILRKRG >OGLUM01G36580.1 pep chromosome:ALNU02000000:1:35484707:35487629:-1 gene:OGLUM01G36580 transcript:OGLUM01G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHEGGEEEGLGDQFERLPDEVLLDVFGRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVISDDPPPSSAGSAQAAAAAEGVPPAARGRGALAHLARLVLGGIVRPIQALGQILSPAAATVSRRSAAPPAAPAPAAADVSHHSPSEVLRSFKELRRLHIELPTGELGIEDGVLLKWKADFGSTLGSCVILGASSVSSKPPPPPAAPPPTAADSSAASPDSSREPDELGNIPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHSTLESLDLTDADGQGVLTMDKRQLQELRVRPVSASGNSHRTLMPALSMRLWYAPHIELPGGQLLKGATLVAIRPSEDVLREGGGIEVAGPTGASWILDAFEEPYRTAAQVLLKRRTYKRQLQRALHFGEDMMGRY >OGLUM01G36590.1 pep chromosome:ALNU02000000:1:35489757:35492905:-1 gene:OGLUM01G36590 transcript:OGLUM01G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRVALVSRPRHRLRVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMLSYSQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >OGLUM01G36590.2 pep chromosome:ALNU02000000:1:35489757:35492905:-1 gene:OGLUM01G36590 transcript:OGLUM01G36590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G33460) TAIR;Acc:AT4G33460] MAHAFAGGTSAICRCPHDPGRVALVSRPRHRLRVLASSLPTPAIEGQGVELSVTTRRGRVLPVLKDCSLRVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSSGTVYINRPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLSLDEVRSRVSQSLDAVGMFTNILHPTQRPIQTLSGGQKQRVAIAGALAEASKMGVIKAVRKSVGAGGEVSALWVTHRLEELRYADGAIYMEDGQTIIQGDVSTISKYIKKKQARYFGHFEL >OGLUM01G36600.1 pep chromosome:ALNU02000000:1:35494696:35495160:1 gene:OGLUM01G36600 transcript:OGLUM01G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMSPPAAGAAAQGGMGAMKSMRYTHMTFFWGKNSEVLFTMWPGTRGGMYALALIFVFALAVIVEFLGSRRADACLAALARRAPAAGGLARAAVHTVRVGVAYLLMLALMSFNGGGFLVAVAGHAAGFLAFRAGLCGGPAQVEEDRKNDPACC >OGLUM01G36610.1 pep chromosome:ALNU02000000:1:35497686:35498138:1 gene:OGLUM01G36610 transcript:OGLUM01G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGRHGMAMAMVPAAAGGAGRRKRYMHMTFYWGKNSEILFTGWPGASGGMYALALAAVFALAVLLEFLGSPRVQESSSLGRRRRRATAAAVHAVRVGLAYLLMLALMSFNVGVLLAAVAGHAAGFLAFRAGLCGGGYKKGELAPAACC >OGLUM01G36620.1 pep chromosome:ALNU02000000:1:35506516:35507199:-1 gene:OGLUM01G36620 transcript:OGLUM01G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVGEEYCSAEERVLTLLAPLPASFSSTHAAAAELLRVDGSFPRRCCRVVAPKAESGGEAAVVAEIRRKVDEGARVVMGRDVFVLRVGAGFDAAFAMAIVLVLDQIAGDEADGNAGEETNRAMIW >OGLUM01G36630.1 pep chromosome:ALNU02000000:1:35514559:35516503:-1 gene:OGLUM01G36630 transcript:OGLUM01G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G32605) TAIR;Acc:AT4G32605] MFRRAAASTFFRHALSTSPYSSSAAAAAAAASSSAVNSIILRSLKEHFLEVSKMTPPPKVSPPKPYTIVKGALDQASGPVLRRGYGDAGEEISISVARLANIMPPGADYDSDDDDGGGGGVSESISQLFLHVDISRPGSSKSLQFLCGLYPDAVGIHSVCLRPKTAESGTAGLAGKGGDGYQGRIFQELDENVRDAFHHYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVGTLISEPKSE >OGLUM01G36640.1 pep chromosome:ALNU02000000:1:35529727:35531900:1 gene:OGLUM01G36640 transcript:OGLUM01G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRWHDDGDDGGRGLGDIPDLAGGGGGGDGERCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTDEMKSGSLSLGFPTNEPFAFPGLRNDIEALEKGLFGSIGSFLDDAERMTNDFLKSFGVPSINERESSPFDGQPTGRHIGGQPAGRHIEEGTAKDTKQNDYAEFSSKITDV >OGLUM01G36650.1 pep chromosome:ALNU02000000:1:35532635:35533929:-1 gene:OGLUM01G36650 transcript:OGLUM01G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSCGGYTRVQQRRRQHVVGTLAATAHGGSESGMWELQQRVGAATTTRRCGRNGNTVLVTLSA >OGLUM01G36660.1 pep chromosome:ALNU02000000:1:35538490:35556034:-1 gene:OGLUM01G36660 transcript:OGLUM01G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKEAAHHFSTRESDWGFTSFMHLGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLALSRQEMTEAFIVSFHFIVNNQHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTISLV >OGLUM01G36660.2 pep chromosome:ALNU02000000:1:35538490:35556034:-1 gene:OGLUM01G36660 transcript:OGLUM01G36660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKEAAHHFSTRESDWGFTSFMHLGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVELSYSDAEFRLFEVYKNKIRKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTISLV >OGLUM01G36660.3 pep chromosome:ALNU02000000:1:35538490:35556034:-1 gene:OGLUM01G36660 transcript:OGLUM01G36660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPRAPELLQQDQDEEMLVPDQDVVVEGPQPMEDSGSTVENEQVPETSTSRFTWTIEDFSNHRKLYSDVFVVGGHKWRVLVFPTGNSVQSLSMYLDIADANEQPHGWSKYAQFSLAVINQLDSKYSLRKEAAHHFSTRESDWGFTSFMHLGDLYDPTKGYIVNDKCIIEAEVAVRKIVDFWNYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHSDNSVSTKELTKSFGWDTVDSFLQHDVQELNRVLCEKLENKMKGTTVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLALDVKGCSDVYASFDKYVEVERLEGDNKYQSEKHGLQDAKKGMLFIDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDKDDGKYLSPEADRRVRNLYTLHSVLVHSGGGHGGHYYAFIRPALSDIWYKFDDERVTKEDMKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDREKIICNLDENDIPEHLKIRLRKENEEREYKKKEKAEAHMFTALKVARDSDFAEQIGKHIYFDLVDYDNIQSLRAPKHLTINQAKVDLSKEFGIPVQSQRFWLWAKRQNHTFRPVRPLTLQEEASSIGHLTDPSNKSLNSELKLFLEVALGQENHPISVPPKTKDDILIFFKLYDPEKEELRYVGKLLVKASGKPADIVQKLQEMAGFQSDEDIELYEEVMFEPSVMCEPININDSFLSSQLEDGDIICYQKRCSPEKLDHYRCADVPSFFEYIQNRQVVHFRLLENPKDDDFTLELSKRFTYDDVVEKVANQLGLDDPSKLRLTQHLPYSQMPKSHYIKYRGLDHLSDMLRNGNQMSDILYYEILDIPLPVLQDLITLRVAFYHATNNEVSSHFIRLPKGSTMSDLIEDMKSKVYQPSEKISVNEFNGLLCVEEVPEEEKNAGVRDRLHIDYYGEPFFFLIRDGETLSDIKVRIQKKLLVSDEQFAKWKFAYIAHNRLAGEYFQDSDIVLSRFQKDVYGPWEQCLGLEHSDVTPKRSCLSNQTISLV >OGLUM01G36670.1 pep chromosome:ALNU02000000:1:35559237:35559863:-1 gene:OGLUM01G36670 transcript:OGLUM01G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLVEDEDRRRDCLDGHPHIQCAVTVILMAICLALPVYGCWASIYGYKTPDFWVKVPGIEGLERGPSALAAPVFNVTLRVDNEATRRPFCTSRASAAVSYAGVQLGHVDLPGGFCVPGQVVSSVPIVATSDGVGIPGELYERMESQRRRRERVSLEVEVRMEDCCGRLPVMLWCTAVVHGQPQGPFLCKVAPMLKDGEPRPPRLYY >OGLUM01G36680.1 pep chromosome:ALNU02000000:1:35560200:35560889:-1 gene:OGLUM01G36680 transcript:OGLUM01G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGEDLDLEAAAAAAPYHALQGDLREHDEASGRRCSQACLLWTLGLLGLTMFLSTFAFFSTTTRPAATPTLGGRYYHDHDAFSVSIAGYEGIDPGSAGAAVSPAFRVTLGTVNGACVDRAAVTVLYSGVALGWAHAEPRDCAAGRRERDVEVVARGQGVGLSERLRGRMASEWHSTGALVLDIDVKVFDEVTSPAYAARHVPDRLILCKVTLDEQGSDSSACPWFELL >OGLUM01G36690.1 pep chromosome:ALNU02000000:1:35564017:35564643:-1 gene:OGLUM01G36690 transcript:OGLUM01G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGIALDDDGHGDCLDRRPCLRYFLLATFLATLLLSLMAYGQWNSMFRDHDLDAFSVRLAGYEGIDLGRPAATVSPEFRVTLGTANGACVDSAAVTVLYSGVALGWARAEPRDCAAGRLERDVEVVARGQGVGLSDRLRARMASEWRRSSGALELDVDVKIFDEDTYPVHATYHIPDRVMLCKVRTDGRGSESPSCPWFSPITLAFA >OGLUM01G36700.1 pep chromosome:ALNU02000000:1:35564875:35571528:-1 gene:OGLUM01G36700 transcript:OGLUM01G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G62710) TAIR;Acc:AT3G62710] MTPVYPVQRCALTTGHHSEQSAAGADAGRIAPLRVSLLRGMICPKISLACVLLLLWFTSTGDAAYMKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGGSAKQLLLKYGQQAFRTPLLHVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRPGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIDWSYPDFGPPVAVLPRSVVVAGLVDSSRAAQLAPANPVSTGGKLARSDDYHRRFVFARIGALDSMVRRCRRCFDWS >OGLUM01G36700.2 pep chromosome:ALNU02000000:1:35564875:35570177:-1 gene:OGLUM01G36700 transcript:OGLUM01G36700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G62710) TAIR;Acc:AT3G62710] MKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGGSAKQLLLKYGQQAFRTPLLHVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRPGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIDWSYPDFGPPVAVLPRSVVVAGLVDSSRAAQLAPANPVSTGGKLARSDDYHRRFVFARIGALDSMVRRCRRCFDWS >OGLUM01G36700.3 pep chromosome:ALNU02000000:1:35564875:35570177:-1 gene:OGLUM01G36700 transcript:OGLUM01G36700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G62710) TAIR;Acc:AT3G62710] MKYLDPKQPTNTRIKDLISRMTLAEKIGQMTQIERGVASADVMKNYFIGSVLSGGGSVPAPQATPAVWVNMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNIGLGATRHVDPDLVRRIGEATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRVVQQMTDIILGLQGDIPINHTKGVPYIAGKDKVAACAKHFVGDGGTHNGINENNTITDEHGLLGIHMPPYYDSIIKGVATVMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPDANYTYSVQAGINAGIDMVMVPFNYTQYIDDVTSLVKKGIINMSRIDDAVRPGSHASNLGYQCGGWSIEWIGGSGDITVGTTILEAIKSTVADSTHVVYSENPDESFMKNNDFSFAIVVVGERTYAETTGDDPELTILDPGTDTIRTVCSTAKCAVVIISGRPVVIEPYLPMMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDLHYDPLFPFGFGLTINSSQPGFSGADRLRDRNGGMVYVVLSLVLSVILMHASGIDWSYPDFGPPVAVLPRSVVVAGLVDSSRAAQLAPANPVSTGGKLARSDDYHRRFVFARIGALDSMVRRCRRCFDWS >OGLUM01G36710.1 pep chromosome:ALNU02000000:1:35565239:35565856:1 gene:OGLUM01G36710 transcript:OGLUM01G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDDHGNCLDRRPCLRYFLLATFIATLLSLIAYGQWNSMYGYRKPDFWVKVPGIEGLERAGAAAAAPVFNVTLRVDNEATRRAFCAGRASAAVSYAGVQLAHADLPGGFCVPGQAVGSVPIVATSDGVGIPGELYERMESQRRRRERVSLEVEVRMEDCCGRLPVTLWCTAVLHGQPEGPFLCPFAYMAKGGEPRPCLSCGC >OGLUM01G36720.1 pep chromosome:ALNU02000000:1:35572310:35576904:-1 gene:OGLUM01G36720 transcript:OGLUM01G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSRLQELCQQRRWAPPEYTHRCAGLAHAPLFGATVSVNGVEFRTPEDAARSAKEAHNIAAKAAFDHLSSLPLPPPPPPSENQSSYKSQLQIYAQKKGKLWPSYQTIREGPGHASRFKSVVTVDGKAFESPEYFHTVKEAESAAAKLALMSLPQEASSSEQVPVQPLSYKNLLQELAQKHGFSLPVYSTTSDGSVQDGSFQGEPANTKKQAEMNAARVAFQHFEDRRKNALSSTVLRGPHLGQGTVHISAGQVKIAEPVFSVPQASTATSHSATGATDRDYHSLGSTNPLPIAKSTNCADVHIQPCEFKDEKPAFPEPKTVLEVMDSSPELTPLEDAYSAPVASTSTVSSSGCGSDPLASASTVNSTGCGSVPLASASTVSSSGCGCSLLTNRVQVYPRRPDLVLPEGATAEENYALGTLR >OGLUM01G36730.1 pep chromosome:ALNU02000000:1:35577009:35582382:-1 gene:OGLUM01G36730 transcript:OGLUM01G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPGAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPLCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRRQFVCEYAGELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHSPLQESGGDEDESFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPMPPLPMPHPPGSSKRRGAVERPASGAGLQAEEASSAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGVGGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQLGFGSHTIKVLEVLIDEISWAQSKSSAGDQRKALLRREG >OGLUM01G36730.2 pep chromosome:ALNU02000000:1:35577009:35582382:-1 gene:OGLUM01G36730 transcript:OGLUM01G36730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPGAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPLCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRRQFVCEYAGELLTTEEARRRQGLYDELASVEISSKEKSSPSVMVMLDLGRMACRAFALGMHSPLQESGGDEDESFDKEDSWREWRTQQKNNLVPLQSFIQSTLPFPLSLAFVSSVQQPQLMPMPPLPMPHVPFALALQPPGSSKRRGAVERPASGAGLQAEEASSAGPGAPCGACKFLRRRCVPGCVFAPHFSGCGGSGVGAGVGGRGRERGAAEFAAVHRVFGASNVAKLLSRVPAALRRDAARTVCYEAQARIADPVYGSVGTILALQHQVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDSVGQRQLGFGSHTIKVLEVLIDEISWAQSKSSAGDQRKALLRREG >OGLUM01G36730.3 pep chromosome:ALNU02000000:1:35577009:35582382:-1 gene:OGLUM01G36730 transcript:OGLUM01G36730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSATPGAVGELAELVLPWLPPQDLAAAASASRALRAAASSVSAGRAADAAHGLEPHPIPFDNLVDGKPYAYFLYTPFSLTPSSASASPRRAQPWGRTWARPPGPTWPRSDLGGFPSSGCACAQGACGGARGCPCADPEAEAVGLGSEAGMGSLRECGDGCACGPLCGNRRTQLGVTVRLRVVRHREKGWGLHAAEVLRRRQFVCEYAGELLTTEEARRRQGLYDELASVSLLQGQLSVLESQLFNLRVALASAHPDTPPQHFVVLQPAHSAASTPNQVVVNYDDLPHAVDFMDAEPAALRGLESLQLSQPLQREEDEGHRDMNLFSDKATTKCRGFGSHTIKVLEVLIDEISWAQSKSSAGDQRKALLRREG >OGLUM01G36740.1 pep chromosome:ALNU02000000:1:35583509:35586777:-1 gene:OGLUM01G36740 transcript:OGLUM01G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKNLETARADRSVWLMKCPTVVSRAWQEAATAAASSSSSSDAAAGANSNSNANPNPVVAKFKMEMAQTGNGNTPKSYSLNMFKDFVPMCVFSESNQGKLSCEGKVGHKFDMEPHSDNLVNYGKLCRERTQKSMIKNRKLMVLANDNGMSMRPLPGLVGLMSSGPKQKEKKPLPVKPSDMKRTRRDRRELENILFKLFERQPNWSLKNLMQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTEDADATAT >OGLUM01G36750.1 pep chromosome:ALNU02000000:1:35592368:35595280:1 gene:OGLUM01G36750 transcript:OGLUM01G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRHENGSFDAELEIGFKFLVESYVSHVEMEKPKYIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVSSLSDRCYRIYGPPIPVLENTYGQGR >OGLUM01G36760.1 pep chromosome:ALNU02000000:1:35595391:35596823:-1 gene:OGLUM01G36760 transcript:OGLUM01G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSGARLRIPTWCTMPHGSWLLQTCSPSAALASLAVVTTSLLIMGYASSSFFLGAPAYEYDDVVEAAAAVPRRGPGYPPVLAYYISGGHGDSVRMTRLLKAVYHPRNRYLLHLDAGAGAYERARLAGYARSERAFLEYGNVHVVGKGDPVDGRGPSAVAAVLRGAAVLLRVGAEWDWLVTLGASDYPLVTPDDLLYAFSSVRRGLSFIDHRMDSGGAEAVVVDQNLLQSTNAEISFSSGQRAKPDAFELFRGSPRPILSRDFVEYCVVAPDNLPRTLLLYFSNSLSPMEFYFQTVMANSAQFRNSTVNHNLRHTVAQDGGAPTSQGADGQQASRYDAMVGSGAAFAGAFSDDDDALLQRIDEEVLGRPLDGVTPGEWCVADGEEGTDNECSVGGDIDVVRHGAKGRKLATLVVDLVGA >OGLUM01G36770.1 pep chromosome:ALNU02000000:1:35599030:35606558:1 gene:OGLUM01G36770 transcript:OGLUM01G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVLVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDANASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >OGLUM01G36770.2 pep chromosome:ALNU02000000:1:35599030:35606556:1 gene:OGLUM01G36770 transcript:OGLUM01G36770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVRQARLKAKKVEDLAAQDPAENLVAVAPTVAGRRGRGRGGRGGGRATGRGRAGGRGRGVLVIDLDPDQPCEVLPGAAIGGVAAGGPHHIEELANKVVKMDGGSAEKIGGGEDDANASPVPDKVQVGHSPQYKVERKLGKGGFGQVYVGRRVSGGTDRTGADAIEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGIPWVHYKGRQGDYYVLVMDILGPSLWDVWNSVGQTMTPSMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKETPNGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLESVTNMRFDEEPNYAKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNDDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGSRWGVVMSRNSGFSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICYGRTVS >OGLUM01G36780.1 pep chromosome:ALNU02000000:1:35607625:35630914:1 gene:OGLUM01G36780 transcript:OGLUM01G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTAPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSISALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >OGLUM01G36780.2 pep chromosome:ALNU02000000:1:35607625:35630914:1 gene:OGLUM01G36780 transcript:OGLUM01G36780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTAPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSISALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQSIDLSSYEAFLADENDLGEGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >OGLUM01G36780.3 pep chromosome:ALNU02000000:1:35607625:35630914:1 gene:OGLUM01G36780 transcript:OGLUM01G36780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQLANCSNAQLRNMALESLDHSICSVVGSEKFQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTAPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSISALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >OGLUM01G36780.4 pep chromosome:ALNU02000000:1:35607625:35630914:1 gene:OGLUM01G36780 transcript:OGLUM01G36780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27970) TAIR;Acc:AT5G27970] MAFMAALEADLRALSAEARRRHPSVKDAAEHAILKELMGSCSSQVQCTCLECFCKKPKLRSLSSPMEIAQNEDILRMFLVACSVKSVKLSVIGLSCLQKLISHDAVASSALKDILTTLKDHAEMTDEIVQLKTLQTILIIFQSHLQPESEVNMSQALDICLHLLESNRSSDSVRKSLGRNSVEPTVREKLSNVGKLGLRLLEDLTALAAGGSATWLRVYSLHRTFALDILEFVLSTYVSVFRALLPYQQLEGEAGEPAFRRLVLRLVAHVIRLYSSSLVTESEGFCIEAHTLRLLFQTFDMNPTNTNVVENIVRALALVVATIQASDLSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGEACGVLRAIEPLNSFLASLCKFTINNPNEGEKRSIVLSPGSKKVEMLVDQRDSIILTPKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRAIHSPHASTQEVSASVSRLSRDTSGQYSDFHILSSLNSQGISSAPHHFQEEKLLKESETVSFEYAVLSPLVILYSSNKNIDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTDINISLTAVGLLWTATDFVVKGLISKSAEKANGMDEEAESGGTVKEEALSSSEKDIKQSPLKSVVDYNKLFFSVFSVLQKLGADDRPEVRNSAVRTLFQTLSTHGQKLSKTMWEDCLWIYVFPMHLLRLEMSGKERNLELEQGKLFICLSTTGWVLLLDFVKNGILNGSKEVALAAINCLQTFVGSNCSKGNLESSYVKSVLDIYELVLQTAPNYKNDSADKVKQEVLRGLGDLYVQAQSLFNDEMYLRLMAVMHLMIKSSMNPTDYDNELGSISALQRVERSHLNNSSTKMDNAVGCGWGIMFIEKLVPIVVNLFLEAPQNERCMNTRRDNPKGTLWRVSAECFNRVVVDEVTHDSADCKSGMSSYKFSRARFWKEVADVYETFLVGSCGRVLSSDVPSVDSVTADETLEMAVLTVFGDNVLKLQKDAPVEVLQRLVNCLDHCASRTGSLPLQTVGLLYIGDKLMCYSIRNQQREGPLPSVRIDETICVLQELARLIINMETANALSMPLYLKEALEKNESHGRAHLLALLPTFSELVVSREARVRELVQVLLRLIASELGLQRLT >OGLUM01G36790.1 pep chromosome:ALNU02000000:1:35636716:35641030:1 gene:OGLUM01G36790 transcript:OGLUM01G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFL2] MVLAQLGGSISRALAQMSNATVIDEKVLSDCLNEISRALLQSDVQFKMVRDMQSNIKRIVNLETLAAGTNKRRIIQQAVFTELCNMLDPGKPSFTPKKGKPCVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGTFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDGELDSTNPKLMTESRILRIARGSGRPVRDVVDMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMSGLQSLMKQMGSKEMGGMFGGMGGDK >OGLUM01G36800.1 pep chromosome:ALNU02000000:1:35641139:35642583:-1 gene:OGLUM01G36800 transcript:OGLUM01G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGDVDGAAGALRRFVREAGGCAVVDGGLATELEAHGADLHDELWSASCLVSAPHLIRKVHLDYLDAGANIITSASYQATIQGFQARGLSRERSEALLRRSVHIAQEARTIFAEGWSKGPYANHRSSPRRPVLVSASIGSYGAYLADGSEYTGDYGISVTKETLKSFHRRRLQVLADAGPDLIAFETIPNKLEAQAYAELLEENDVRIPAWFFFTSKDGHSAASGDPITECAAVADACARVGAVGVNCTAPRLVHGLILSIRKVTSKPVVVYPNSRETYVAETKEWVESEGGASLTDFVSCVGKWRQAGAALVGGCCRTSPATVRAISWALRESDDAVGGDGDRDDFPAVAVL >OGLUM01G36810.1 pep chromosome:ALNU02000000:1:35645283:35651524:-1 gene:OGLUM01G36810 transcript:OGLUM01G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRTPLSEPDVGISRFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLILGPREGDILEHGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKESPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >OGLUM01G36810.2 pep chromosome:ALNU02000000:1:35645283:35651524:-1 gene:OGLUM01G36810 transcript:OGLUM01G36810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRTPLSEPDVGISRFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAEHGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKESPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVAHQKTLNI >OGLUM01G36810.3 pep chromosome:ALNU02000000:1:35645219:35651524:-1 gene:OGLUM01G36810 transcript:OGLUM01G36810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRHLLSPLLSPLAYPKPPSSRLAPRRVASHRTVAPPPAARRTPLSEPDVGISRFASALPGFRGALKQRYSDFVVHEVALDGSLVRLTSFDLPDGECVDAKEGGMDEAQALESFRLLCGDADCEALRGFLERVSEGGDSDVSPIILSADADKTHRSEVHDFFKRNFEALLTDTVEHSDGIQRCIRVRLKPGRRERRDVDGRGRNRKGTGSSGWRDDKPFDSRGSIIWPDHLGKFIRFHLYKENKDTQEALGKIGKMLGLQPRSFGFAGTKDKRAVTTQQVTVFKVQASRLVALNSKLIGIKVGDFSYVKEGLALGQLMGNRFTITLRSVVTESEDVIKAALDGLITNGFINYYGLQRFGSGSVPNHQVGAALLRGEWRNAVQLILGPREGDILEHGDIGTALRNFPRYLITERAIRLKKYPGNYLQALMAIPRTLRLMYVHSYQSYLWNHAASMRVQKYGISRVVEGDLVYKKESPFEQGALKATSEDDGQTMSSEMNACCETLPEEMIQSVKIADSEDLSKSLYTFEDVVLPLPGSETLFPGNEVAGIYHEIAMKDGINLRESVHGVEDLITYTDEKIPLVETDLDVLSKTKPLEVNELLSDGISSCTSHDSGLEASLDASESINGASLVVAEAKSIGSSDMLEKLAIKLAFTLPASSYATMAIRELMKTSTSVFLQFSDHFFDFSKLKLSYEQISSVFCVVNIFLSFWLHTGCSSENIKHLNLRPFALFMKLISDLKDMDV >OGLUM01G36820.1 pep chromosome:ALNU02000000:1:35653518:35658687:1 gene:OGLUM01G36820 transcript:OGLUM01G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: N-terminal protein myristoylation; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Dymeclin (InterPro:I /.../42); Has 395 Blast hits to 389 proteins in 117 species: Archae - 0; Bacteria - 0; Metazoa - 262; Fungi - 21; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G04200) TAIR;Acc:AT1G04200] MGAAPSTPRLGAVAGAAASPGAAEQMFAALVGGKAYPISSEFWRQLLELPLTQQWPRDRVLQACHAFAQNNYHTKHLAKILIHLVWCLQECMAESSHAAYRKAINAAYISSIFLKFIIENAKTDNWQELSLEIDKDEKGLENFPSEQSVEYFLMRGVLNYIGSVDVSLESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVSSVVQKLLLNFVKRPRIPLNSSHPAFSDDGGPGVLQRVGSVAANFVLLPYYTFNYLVSSNAEGASSQLADNSLLVLLILIHYRKCITMSESFPSSNVYTSDLNTNVKDAPAFHDNPYYKALSNAKDSQYDRADVEGNAQNGLVVRLSFASLFDALGTCLKDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIVLLILSQDSTFNASVHKLVLPSVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYTKLAELKNDKAIKVISDQIEADNISDDTSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAILHRQEVFQPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRVVLSRG >OGLUM01G36830.1 pep chromosome:ALNU02000000:1:35660447:35665461:-1 gene:OGLUM01G36830 transcript:OGLUM01G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELHSMLLAMARTFPRTLEALVVNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLLVLTKGQ >OGLUM01G36830.2 pep chromosome:ALNU02000000:1:35660447:35665461:-1 gene:OGLUM01G36830 transcript:OGLUM01G36830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVESLASPRPESSRSSPLPFPSLLPLLPFLLPSVVDTTPCYVLPVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESCDQVYLAQVSILNTENKERCSLEVLKEDIQEPTFLRGKPFSSINIWMNRAHLRSSTHYDPHHNLLCVVAGCKKVTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELHSMLLAMARTFPRTLEALVVNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLLVLTKGQ >OGLUM01G36830.3 pep chromosome:ALNU02000000:1:35660447:35665461:-1 gene:OGLUM01G36830 transcript:OGLUM01G36830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESLTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELHSMLLAMARTFPRTLEALVVNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLLVLTKGQ >OGLUM01G36830.4 pep chromosome:ALNU02000000:1:35660447:35665461:-1 gene:OGLUM01G36830 transcript:OGLUM01G36830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19840) TAIR;Acc:AT5G19840] MRAEDDDAGGGEFVGARLDAGLRAARFASPPSADEFAAAVEPRNAPAVESLASPRPESSRSSPLPFPSLLPLLPFLLPSVVDTTPCYVLPVFRGVVKDWTASSRWDPRRGGLDYLREKVGPDVDVEAMMSSTGHVLVPFSKFMNSCKSYLRCPNASSDSPKAQEILKEPASSEEGCSSSLESLTLWPPSSSPYLYPMPVYGEASNHSSVSIEEPDYSRYTRARYMKEYSERVILNCGDALFIPEGWYHQVDSDDLTIAINFWWKSRIMNEILVDKEMNKMVQENSVSCSKGTTVCQPTDEESGGPEQLKQKQVNSDSSDKKDSPLQTLEPSTLQALYELISLVHNSAEMVSQNEITEPTSQDAYCKQSNGSKKIAADDSSLLEKDPIAKIILPLKPLELHSMLLAMARTFPRTLEALVVNMLGPIGAEILTRKFDEMDQQATKEQQSEFYKTFYSVFDDQYAAMDALLNGKEMFSFQVFQSVLRQYIGVTLAGLLVLTKGQ >OGLUM01G36840.1 pep chromosome:ALNU02000000:1:35670981:35671385:1 gene:OGLUM01G36840 transcript:OGLUM01G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKGGVSHEMARATVQAHSFSERKKGSRRKHTCAAARVPYVFSGSAGKARAKASCRMPIKLNQASVRTSMHIDLPAPRGQDTRKDTRSTLHAFDQPGNDARRDERLRTARDEAPTYAARRRVSLPRPPALDQP >OGLUM01G36850.1 pep chromosome:ALNU02000000:1:35675714:35676733:1 gene:OGLUM01G36850 transcript:OGLUM01G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVANWSGPWIDAIDVVRSASHARRLARFFLPSEIGRAPGPPRVTPPVHATILHNRIAGLAARTPVRATDPYTNQS >OGLUM01G36860.1 pep chromosome:ALNU02000000:1:35677095:35677384:1 gene:OGLUM01G36860 transcript:OGLUM01G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGLGGFRAAAPGAQATDFGRLAQANSAPELHQARRGGRSLTVATKHSQISLRHVSGQATCCQFFLMHYRSS >OGLUM01G36870.1 pep chromosome:ALNU02000000:1:35680165:35686543:-1 gene:OGLUM01G36870 transcript:OGLUM01G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKPVSACSASPSSPLGAPAAAAPPPLPRPCSAHRGRRRHHRSGLALICIAMEQLRLCDCLPPPRHVSLSASLPAPRGRPRSATAGPALLLLRAAAANPASIRTASALFLGIMCIGGTTAVVAGIATVVIPGLYPHQHDE >OGLUM01G36880.1 pep chromosome:ALNU02000000:1:35681737:35684463:1 gene:OGLUM01G36880 transcript:OGLUM01G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMGNNNVTGQQVSEETTQGFDRTIEHLDGSPSLNSTNDKGKDGTKDLDKSKLLKDPVITNGGNEQMVSIKGDSFIKNKDLDDKNRGSLQDHSLTQDNDQSCHLPSTQDDEPLSNKEIETVTNLPETTASVSITIEDTTSNKDSSTLVEKIKLVHKTTERSEEINIGNSQSLLKENMEGPLEDEESGMRDDLIGEDKAEKLDQGQTAVSIIENLLMPMQGGSTSSTETITTDYLDADDSDIKEVVIENEPTGKGNSLYVRPADDTNLKTFKNDRARIPDEKEDISEISQRATVETGIGSCEVIDEGKKTHGLKDQNKDTCGALDIGEVVSKFQSSLTDTSATDAIELEKHELNKRGDDVAGEISDSLTRTEEHNAIERTHTEQERGAKDAAVKDPADNSDEEKKSDCTHDIVSLVEVNGKKFTGLDSFLSYQLSTVNEEKVQTEVREGLFRPSSPLQLIEDFHKRDLKVDSPHNNEETIISTYEVETTDIHDTLAVSQFDKPQQMLLEEPEVVKFENGSILSCMQLVEKSSKTDTFFPHGSKQEKDSASTTAIGLTSESNLEKVMVKVDFPAESNQKKIIADTDKASQEGYLLQIPASRRDASEETPLLKMVENTSSFSFSNEQHSKVVECIPMTSISMMQVKDDADEEYEKSPLLSPREQEGENFMVPNHSVRNKKPLQSLTTGESVCMQSPLKEQEVPNNSTMVSSPRSTRKQKPRSSIFASCMCCATATN >OGLUM01G36890.1 pep chromosome:ALNU02000000:1:35687293:35697608:1 gene:OGLUM01G36890 transcript:OGLUM01G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTELAIRNRPRVWTHITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENFDESHVIKSSVQAQSSSVILISHPVASQTEEPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >OGLUM01G36890.2 pep chromosome:ALNU02000000:1:35687293:35697608:1 gene:OGLUM01G36890 transcript:OGLUM01G36890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MPTSGPDAMQPALRRRRRRQGFLLPFACLSAALIAAALLPGAAFADGVTPSEARRLRDEVKDMFYHAFDGYMQHAFPLDELRPLSCQGEDSLGGYALTLIDSLDTLALLGDKERFDAAVEWVGKNVRFDINKTVSVFETNIRILGGLLSAHLIASDYATGMRIQSYDDQLLHLAADLAQRLLPAFDTPTGIPFGSITSTAGGGTLTLEFGVLSRLTNDSVYERVTKNSVRGIWARRSKLDLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGAKCPCGYCHISDVETHQQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPATPQIALLDEHCLYAGAFCNGTAGHGYGTGDSSNKHQNANSVPFDDSRTPSDQSLSNILSTTRGYIKGVCPGLTHAQKLGISYSAEEDNSIQQNSGSSENFDESHVIKSSVQAQSSSVILISHPVASQTEEPTSGRSQYNANIVATAESVGTDTAGASSHPEDLVKETESVSEQKEINDKEIASQGSQPTEGRYLT >OGLUM01G36890.3 pep chromosome:ALNU02000000:1:35697052:35697646:1 gene:OGLUM01G36890 transcript:OGLUM01G36890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT5G43710) TAIR;Acc:AT5G43710] MRPNTPSRIHPSRLLLSPRLSPVPSVDILSSTSAIAGAAVAAIASSDAPAPLTAAAVAIPSGPARTARATTEVAAAALTVAMAVALPRSLAGCFAAAGEWCVTRVCVARVGGVSLLRRYMSKLSARSIWRGHESPGATGIG >OGLUM01G36900.1 pep chromosome:ALNU02000000:1:35696982:35697465:-1 gene:OGLUM01G36900 transcript:OGLUM01G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAAAATSVVARAVLAGPLGLPQMRARRSERVRCNYSKEAATPAAAVKGAGASLLAMAATAAPAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSDLEEDEESGGLSL >OGLUM01G36910.1 pep chromosome:ALNU02000000:1:35707738:35709445:1 gene:OGLUM01G36910 transcript:OGLUM01G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDDDVEHPFYYFVHDDAAAGVDDHEELLASLGFLLPPPPPPPPPPQPDQGRSAFAAYQSTAAMASSSSSSESLSRRYHSSASNVHRRMHRFLRSIYDDAGDDAGATAEMQPAEGEQQQASPSGGSARFRHIMRERLRRERLSQGYADLQAILPTGASSSKGGKNTIVAAAANYIRELEGRKGWLCAQNELLERTTPKPGAGMVVKVRAESELGSTVDVFEAVLRRLKAMDELQVTAIQSWFGAGGMWMDVAVESKEKED >OGLUM01G36920.1 pep chromosome:ALNU02000000:1:35719613:35743655:1 gene:OGLUM01G36920 transcript:OGLUM01G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQGPQHHLLLDTYQFDRHINLPDAFIEHHTGALLPLSIVAAALSFYPDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIAGGIYFFDDGFIQDPRLREMDGITWPHGGALLPGTRRIELLPPSPVVVAAPTSIACVAVVASIRAATPLLMSRNVAYRLSLNGRTSPVTSHRWNSPPLGDVVVLHPSSQAPARRLAAPQPATTSATAAARLSRYCAGAESPRQTLRASARAVVGEIANHLPCEFDCVHFAAVCRSWRASLEQQAALPLPPALPVLILPLAERPAVSCVLSNCAIHPAVLPEWPHDARYIGAYDDGWVILSIAPPRDSRPHIDLPTEFIAQQDGAPGQEWQLPFSIVAATLSSQPDVDGCVFAGIISVDPVPRGQRTIGFWRASDRVIPHLFQTHNPPWDVEDVPSISSPKGSTSCHGPDFHGGDPVDWEMRAFEHIGREYDQYVEARYLVESREDLLMVVRCSPYPGQPTSEFRVFRMAQAGPDDVFPYQHYVWLELPSLEGRMLFVGRGCSRSYDADQYPGFEGGVYFFDDDIQDPAMLPLGVATLFSFNDCGKWTKNTCLHGGALLPGDRLHPPRWKRSSDLKKEEASSTPTMGLQRLLSIQRNQQRRRRKILARNESAASANKQKGCSIPDLPEDIWRHIHSLMPMRDAARAACLSHSFLCSWRCYPNLTFNKYVFRPKAFTYGGDISHRIDSILGNHSGIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKAELLLGETLQMKNLSTRHPNVVCYARSELPSSMPNIDTLALSFYDEVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFDRCRTMRNSILREARRALVAIGRYIEDKIANRLPCVYDRVHLAGVCRPWRESLERLPPLHPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLIDDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCRDCGKWTGKPALQVDRCFPDSVRAFLEKPLNETLLKENVATSRAPLLRRASQLRLGVRRAALGNFQELLDIANRLPCVYDRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQPAFSCVLSDGATHPFFVPEWIRHACYFGSYDGGRAFGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRPRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGYFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLVLLKSY >OGLUM01G36920.2 pep chromosome:ALNU02000000:1:35719613:35743655:1 gene:OGLUM01G36920 transcript:OGLUM01G36920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQGPQHHLLLDTYQFDRHINLPDAFIEHHTGALLPLSIVAAALSFYPDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIAGGIYFFDDGFIQDPRLREMDGITWPHGGALLPGTRRIELLPPSPVVVAAPTSIACVAVVASIRAATPLLMSRNVAYRLSLNGRTSPVTSHRWNSPPLGDVVVLHPSSQAPARRLAAPQPATTSATAAARLSRYCAGAESPRQTLRASARAVVGEIANHLPCEFDCVHFAAVCRSWRASLEQQAALPLPPALPVLILPLAERPAVSCVLSNCAIHPAVLPEWPHDARYIGAYDDGWVILSIAPPRDSRPHIDLPTEFIAQQDGAPGQEWQLPFSIVAATLSSQPDVDGCVFAGIISVDPVPRGQRTIGFWRASDRVIPHLFQTHNPPWDVEDVPSISSPKGSTSCHGPDFHGGDPVDWEMRAFEHIGREYDQYVEARYLVESREDLLMVVRCSPYPGQPTSEFRVFRMAQAGPDDVFPYQHYVWLELPSLEGRMLFVGRGCSRSYDADQYPGFEGGVYFFDDDIQDPAMLPLGVATLFSFNDCGKWTKNTCLHGGALLPGDRLHPPRLMNRLLQRINKRVAQSQTFQRIDSILGNHSGIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKAELLLGETLQMKNLSTRHPNVVCYARSELPSSMPNIDTLALSFYDEVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFDRCRTMRNSILREARRALVAIGRYIEDKIANRLPCVYDRVHLAGVCRPWRESLERLPPLHPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLIDDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCRDCGKWTGKPALQVDRCFPDSVRAFLEKPLNETLLKENVATSRAPLLRRASQLRLGVRRAALGNFQELLDIANRLPCVYDRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQPAFSCVLSDGATHPFFVPEWIRHACYFGSYDGGRAFGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRPRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGYFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLVLLKSY >OGLUM01G36920.3 pep chromosome:ALNU02000000:1:35719613:35736934:1 gene:OGLUM01G36920 transcript:OGLUM01G36920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPWANLIGALVREIATRLPCKFDRVHFAAVCRSWRESLEQLAPLPRDYATHPAVVPDWVRYARYIGAYDDGWVFLSTAPPQGPQHHLLLDTYQFDRHINLPDAFIEHHTGALLPLSIVAAALSFYPDVDGRCVFAGIINVGPVPQGRPMIAFWRIFDPVVPGLFSGPLNPRREWDAVDVVHHHGAFHFLTQGEHIIVAKPEFHYWCPVPAMPQVDWEFRCFPSNRRGYNQQHVKARYLVKSREDLLMVVRCSPHPGQPTSAFKVFRMVPSQRARCRQYIWQRVPSLGGRMLFVGRGCSRSYEADHYPAGIAGGIYFFDDGFIQDPRLREMDGITWPHGGALLPGTRRIELLPPSPVVVAAPTSIACVAVVASIRAATPLLMSRNVAYRLSLNGRTSPVTSHRWNSPPLGDVVVLHPSSQAPARRLAAPQPATTSATAAARLSRYCAGAESPRQTLRASARAVVGEIANHLPCEFDCVHFAAVCRSWRASLEQQAALPLPPALPVLILPLAERPAVSCVLSNCAIHPAVLPEWPHDARYIGAYDDGWVILSIAPPRDSRPHIDLPTEFIAQQDGAPGQEWQLPFSIVAATLSSQPDVDGCVFAGIISVDPVPRGQRTIGFWRASDRVIPHLFQTHNPPWDVEDVPSISSPKGSTSCHGPDFHGGDPVDWEMRAFEHIGREYDQYVEARYLVESREDLLMVVRCSPYPGQPTSEFRVFRMAQAGPDDVFPYQHYVWLELPSLEGRMLFVGRGCSRSYDADQYPGFEGGVYFFDDDIQDPAMLPLGVATLFSFNDCGKWTKNTCLHGGALLPGDRLHPPRLMNRLLQRINKRVAQSQTFQRISGVIYIP >OGLUM01G36920.4 pep chromosome:ALNU02000000:1:35731903:35743655:1 gene:OGLUM01G36920 transcript:OGLUM01G36920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLALLACLIPFYVPGGAILTSPSISIGVKTLKLELSGTAYHNLDNWLQVAVTPGIEELTLMRFSCLRVHGCVRLKLIESKVPNLSTLDLSGKAELLLGETLQMKNLSTRHPNVVCYARSELPSSMPNIDTLALSFYDEVTNDPMKHESILGHSSKSHLRQMAEDHHCHLKNVEITGFSSAKSLVELTCYILKNSVSLECLTLDTLYPYDFRCSDERFDRCRTMRNSILREARRALVAIGRYIEDKIANRLPCVYDRVHLAGVCRPWRESLERLPPLHPPPKLPYLILPLAEQPAFSCVLSGGATHPFFVPERIRHACYFGSYDGGWAFLSTLHPQANRVYFLANLAGTSGTFNLPNRIQLEREALELAPEEKPLLHRLVIYTATLSSDPFMDGCVVAGLIDDQAPIPGYRRQKIAFWQIEDRVVVGYFYMGEACWDAVDVVRHNGAFHFLTKGEDIIVGNPVFAEAGAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGEFTSAFKVFRMAQPEEDDDAPSLDGRTMLFVGRPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYDADQYPGFEGGIYFFDHRIAGQLGDAPAQYPCRDCGKWTGKPALQVDRCFPDSVRAFLEKPLNETLLKENVATSRAPLLRRASQLRLGVRRAALGNFQELLDIANRLPCVYDRVHLAGVCRPWREHLERLPPLLPPPKLPYLILPLAEQPAFSCVLSDGATHPFFVPEWIRHACYFGSYDGGRAFGYRDYFLTNLHQTPNTFVLPVWIQLDREEPVLRPRQKRPRPRDPLFINAATLSSDPFMDGCVVAGFVNNCAPVPGHHRQKIAFWRIDDKVVIGYFFMEDACWDAVDVVRHNGAFHFLTNGQHIVVGNPGFDDEANAPPQVQWEYRCFSSQGRGYDGQHVVARYLVESSGELLMVVRCSPRPGESTSAFKVFRMAQPEEDDDGDGDAPLLDGRTMLFVGDPCSRSDGDVVRYIWRELPSLEGRMLFVGRGCSRSYNTDQYPGFEGGVYFFDHRIPGQGGGAPALYPCRDCGKWTGKPALQVELCFPEQDPSNYSSQVWLLNGSVRASLVLLKSY >OGLUM01G36930.1 pep chromosome:ALNU02000000:1:35721916:35724202:-1 gene:OGLUM01G36930 transcript:OGLUM01G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRYSFELRHAIRLQRPGDLASSLLRHCHRTHLGVVQSIAHGAHQAFLPPRRRFPRRRDVDGHRSQVLPTERHGVSRENILVLPTDLPAQAAATRFASTQAGDGDDRALALSVCLGDSAPAQYRESLAAAVADVVAGCGAASRRAGAWLDGCYCYLAADTNTTTSPSGGEFHRWLVTGDVLPFSDNLYATFLDMSNGVAARMLATTATQAIDVGAATTTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPICFREARREEQGEGVGIVVSDDCVLRFDMTSSPAPRTSNTCT >OGLUM01G36940.1 pep chromosome:ALNU02000000:1:35726758:35727239:-1 gene:OGLUM01G36940 transcript:OGLUM01G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPRAPVVSLRLRADTACRRAEAWLWLISVARARADAVAHMPLFLQAGKTGTPGTATQEFDDGANTGLAGRVLAQCAAGRAPADCVQCLQDSARSMPRCCWNTRGLGESVAVVLSDDCVLQFTMHLAYLRRQALVELSVRLSSVPLEQQR >OGLUM01G36950.1 pep chromosome:ALNU02000000:1:35744943:35750831:-1 gene:OGLUM01G36950 transcript:OGLUM01G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAASSSSSSSRDRLTISRPTEKDDVVEEKRDGSDVTAAVPRLAVYGTGRVHEIERFSHYVAKQIGIEDVSECPHLCALAYGYLKKTEGYEQNLLAFFHNKINSDALLVLLIEELDKCILGYFSFHWKFATEVITQVLTAEQPRRKLKTMVLEATRKMRFERVSRKLKVTRLFSTLVEELKVIGVTCNDGQLRDADADVMVPAAHRDRSPVLLLMGGGMGAGKSTVLKQIMKGLFWSGAAAHAVVVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSIDAAASLLVTALNEGRDVIMDGTLSWEPFVLQTIAMARSVHRQRYRMGVGYKVAADGNATEQYWEPVEGAPVPSGRRPYRIELVGIICDAYLAVVRGIRRAIISGRAVRVSSQLKSHKRFAGSFRKYCDLVDSASLYSTNTIAGAKLIGWKDVGSRLLVDVEEIGLLDRVSRINEEANGVHELYPDGHPTDGAGSVWEDLVSSPARAAIQRELREAILDSEACFPSP >OGLUM01G36960.1 pep chromosome:ALNU02000000:1:35752686:35757517:-1 gene:OGLUM01G36960 transcript:OGLUM01G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMRYSPRSVSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDVSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSFGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAVGRIQMDSYADRGAFQNQMGIFPLRAERNQNGFHGSSSNAATGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHLDELKAWWSAAMKSGWKLPSQELNTKLRERELLRF >OGLUM01G36960.2 pep chromosome:ALNU02000000:1:35752686:35757517:-1 gene:OGLUM01G36960 transcript:OGLUM01G36960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEGIWWHSGAGVNAVIIFGMRSVSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGESGHIIDATVEDAEKMPSQLRTRSDSSARVFMSSPSRIRNTDAQQSTSIRSHGQDSKYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDVSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSFGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAVGRIQMDSYADRGAFQNQMGIFPLRAERNQNGFHGSSSNAATGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHLDELKAWWSAAMKSGWKLPSQELNTKLRERELLRF >OGLUM01G36960.3 pep chromosome:ALNU02000000:1:35752686:35757646:-1 gene:OGLUM01G36960 transcript:OGLUM01G36960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSSSCAEYCGGMDVMNEGKETSDNFCVDKLEKEDEVGSCPTRYCNDTSHSLGSASRKEVMPIIAEKQAFCATTVHDERSWAANAWRARLVKAISQKDSVLPKNADNIHSTSAFGSIGNTENMPGSSQDQAMQEKHKDGLIVARCESVSAVNPVARCELASGVNPLARHESTSGCNPRKLEKGKEKLIYDMSNCVSNTNEGDVSNESIESCPSTKAPKRKHGQFSAAEMTSGNKRCRREDNESSCSGLFHKNDSSFFNWMSTLTNGVKVFDETTAVPLNQKFSAATGEEFPTNPVPLQNNCGVPLQSFGFNSLFQSLYSQNVMITSRNTCHQSESSYTANRLTLGFKSSKPVSMGRETLNVATETLAVGRIQMDSYADRGAFQNQMGIFPLRAERNQNGFHGSSSNAATGHKDDFSESLWVSRLLPKTPMKVMDTTRCDEETDFCSANPKGLGDSSSPQDFNVEKELNNSQYFTSKGSDNETTSSKCAAPQDENKPSETMASIFAKRLDALRHANTSAVHVAITCDHGTPKGRNHKTSSFVVSYNSHDEQESGQKTHKSSGGEGRIVLWTGDKGKEQLSPGNDKELGEKVLSKHENQNCEGSSDGKVVPPKCNLETNTYIEEIDRKRLQNKGAPNSMENQPDNKQMVPYGIVPNDVYDEASVVFGALQRLRLSRSDIIRWMRSPVMHTTLDGFFLRLRFGKWEEALGGTGYHVARINGVLDKNRLSVTIRNSTCQVDSRFVSNHDFHLDELKAWWSAAMKSGWKLPSQELNTKLRERELLRF >OGLUM01G36970.1 pep chromosome:ALNU02000000:1:35757810:35758246:-1 gene:OGLUM01G36970 transcript:OGLUM01G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQIQGQDNQHRNGQNGGKKPADQLIVTPIPVSLPYHGQLPPGSRIGRPSFPPSPTPRGRRIPAQSYTRVAVALVVVVAAASAASVRGRRKVADPKKKKTERKRVAASELVSQAASTWLNHRLAFRGRGARMQA >OGLUM01G36980.1 pep chromosome:ALNU02000000:1:35758801:35761793:1 gene:OGLUM01G36980 transcript:OGLUM01G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGIAAEVVVTVDRHREQELAQPWPSSARWRGKRRGRRCVTGGNAMRLLRGDEKEEPANYTTFRLADRGGVAVPGRPA >OGLUM01G36990.1 pep chromosome:ALNU02000000:1:35761844:35766426:1 gene:OGLUM01G36990 transcript:OGLUM01G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTFSVSASAVLAGLSLSLFLPSAPACLAAAIADRDLGSARCGDPAAACRNSGSTPATFHQSKELEYSNCEELSILFKDGYKDANLTEIVSHTVIGVARVDLDAAAVHRCGLLLLGHQICPPLQITGTVPFLLKARPCPGELICKTHKSEISSLAFCRLHQKLAGAQSMCERCSDSLVENNDDRTDEPTMAATLPDSNQGLYSHDTRICSCCAQHYTQQRPTMFSRMITELEPAEAVCSPKICTDYSILHQVDKSLDKDICHQSDHSIHDRYSVLQMTSGSEDEAPCADDGKISHHHKTNCMEEDLNEDATAEKFAASSTELVRPLEMNVPMETDVGDSYDISSPYVLVDDHPDSIIGEGQMEAEDASLEKQTCQHDPLAVKEESGLTDVNVSQVPVASSVESPQNLGYNEACHGASESTIDPCSSQSTTLEQNIAVSEHNSTIDDLEGHRSEITVTSSREFHQKSALVDDDPVNFRDDHVSQVNSSSEAVDEAEDYAKEAEQTCDMVTHEAALKDPSNTNSKDPTAKGFVEEAPISPQAIRPNSEVFQGLNVIEEHPQTSATIGERRPSLSTQISMNEAYKLAIGAKSSLPSPTLTDVILGKDSSSSVNEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDDLIVQNITNRISIERNASGLESLEGSTVSEMEGESATERLRRQIDLDRKSIHLLCKELEEERNASAIAASQALAMITRLQDDKAAMQMEALHYQRMMEEQAEYDSDALAKANELLAQREQQIEELEAELENYRMQFAGGPTEKQSNQVSFNEENIAETLLDETDLEAPAITTPSGINSLVSFEEERAYIADCLTKLEQKLQSYSNNSTNIHLSNSDVIEDYLSNKMHVVDDGSLQCQESSREAQEPVFLAKEAHSSTASRKTDLSTLQEEISNLNKRLKTLEGDRNFIEHSINSLRNGKEGVMFIQEIASNLRELRAIAGSK >OGLUM01G37000.1 pep chromosome:ALNU02000000:1:35766879:35776847:-1 gene:OGLUM01G37000 transcript:OGLUM01G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDRAGKKEKHIGARRNLVSAPLAENINSPIQNITHPPPFFFSLLPFRLAAAAAAAAVESAAAAAAADAAALSARHPRPAYRLPTQSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRSKLCKLIEDKMRWSSFRAFWLAIDQSTRHRMSTEKNDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGSSGEVNKVSIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTIENKSLSCSSESATMINAQGKRNNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMSSTSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTTQTYRNAIIASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTRSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAESTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >OGLUM01G37000.2 pep chromosome:ALNU02000000:1:35766879:35776847:-1 gene:OGLUM01G37000 transcript:OGLUM01G37000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDRAGKKEKHIGARRNLVSAPLAENINSPIQNITHPPPFFFSLLPFRLAAAAAAAAVESAAAAAAADAAALSARHPRPAYRLPTQSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRSKLCKLIEDKMRWSSFRAFWLAIDQSTRHRMSTEKNDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKDGSSGEVNKVSIEREERRLTELGQKIIETFALSHIFSGIEVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTIENKSLSCSSESATMINAQGKRNNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMSSTSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTTQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTRSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAESTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >OGLUM01G37000.3 pep chromosome:ALNU02000000:1:35766879:35776847:-1 gene:OGLUM01G37000 transcript:OGLUM01G37000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDRAGKKEKHIGARRNLVSAPLAENINSPIQNITHPPPFFFSLLPFRLAAAAAAAAVESAAAAAAADAAALSARHPRPAYRLPTQSGSVRFYDYLMEHDMTVEDFIRTNGLGASGLIETNNQGVSTSSVSDCRSCEHVENGSPSTAPPFWDSDGEDDDPGPRPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRSKLCKLIEDKMRWSSFRAFWLAIDQSTRHRMSTEKNDVILKIIVKHFFVEKEVTSTLVMDSLYTGLKALECQSKSKSKKGIIDSVDFVELPVPMVHVDVDMFVLAGDVIALLERAALEPLPCQPVSPKDDKCSQSRTKVAYQEAVALKRQEELIREEEEAWLLENEMKGKRGSTTEKDKRAKKKQAKQKKNNRKVKDKDREEKCDSNFPERSQDENTIHDREDSKQAGQISMKVDTSEEGASDVSDNLDGSIEIQKKHSTIENKSLSCSSESATMINAQGKRNNLLESKDQISRNRGKARSRSTSNMNITEDVDDLPSSTTSSDRNTSGCGPAPKLDQETVLLTLKDRLRKLGQRLHEKEIEGRKLLQAHLEKKAAAESATGSSSSLSSNSLEETPEVLKSPDQSSVTISDADINASPSKFGASKEVTPVTPTTILSTEPVPTVASTLSKDEPVLCEDHVSCSTPQIDTPITSNPPQVDKTVTLPSGMLLVGHAIQAPSRSPAPQVDRVSKAIAAPTKSPAPQVDKVSIAVPTPSKSPATQGEKVAKAILVPPKSLAPQVGKVAKTIPTPKQPAPLVDKVTSLDPVSKQMSSTSNSEAREAILPKKAAVLSVSQTPAISRPSSAPLFQVPRSTLPPTPAVQVPPMLSRSMTLAGRSRNEPSPSVPSYTTQTYRNAIIGKSNLDTASASLDHSTSFGQNVALSQPLSSYASAASAMVPPVGRNGQLPGKQGFMFGQGKSEAIDNWNPWKGDSNANKYMWKDDSPYHQMTKGDAHTRSWRDNSYQQAGCSGTGEQGEFGGLQYRQFQREIPTNLVSYQLPGPVGEEFPHLDIINDLLEEEQSSGSMAESTLHGYHTLGLPYSSRGNLVDSEVTSISSSGRLNLADHYYDEGYPMAYDRLNALYRLREGQNSTLDAYSNGRMDSITSKPWLHNFSNPAVNLGVNPNGFSQQMGNYTNLGSGRVNGEHLYRHANGQW >OGLUM01G37010.1 pep chromosome:ALNU02000000:1:35781770:35785703:-1 gene:OGLUM01G37010 transcript:OGLUM01G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 5 [Source:Projected from Arabidopsis thaliana (AT1G75450) TAIR;Acc:AT1G75450] MAWCLVFMVFLIYCLISTVGLPVAPADEAAMQLGGVGGGRLSVEPSDVMEASLDFGRLTSAEPLAVFHPRGAGDVAALVKAAYGSASGIRVSARGHGHSISGQAQAAGGVVVDMSHGWRAEAAERTLPVYSPALGGHYIDVWGGELWIDVLNWTLAHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSESNNPDLFFGALGGLGQLGIITRARIALEPAPHRVRWIRALYSNFTEFTADQERLISLQHGGRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDSTAVTVDQDVEALLGELNFIPGTVFTTDLPYVDFLDRVHKAELKLRGKGMWEVPHPWLNLFVPASRIADFDRGVFRGVLGSRTAGGPILIYPMNRHKWDPRSSVVTPEEDVFYLVAFLRSAVPGSTDPAQSLEALERQNREILEFCDEAGIGAKQYLPNHKAQREWEAHFGARWARFARLKAEFDPRAMLATGQGIFDSPPLLAES >OGLUM01G37020.1 pep chromosome:ALNU02000000:1:35804219:35812342:-1 gene:OGLUM01G37020 transcript:OGLUM01G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLELPVDYTVDVDKRKAIFSFVLEGNALKAIDLTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTPFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANRPAYSSLERVIQQATVVRQYLQQEVGKSFKGFNGKNPILVGPWGGLGGTLWDDGVHSTVRQIVITHGAAIDSIKIEYDLKGKSVWSEKHGGDGGTKTDQVKLDYPQEILTSVSGYYGSLGGCIVVRSLTFGSNLSKYGPFGSEEGTPFSLPVAVTGKVIGFHGKSGWFLDSIGCHIKKEKNATPSSNAPSALRSITRPHDKNGNRYADSNAGYDMVLAVRDRGDSYSVLTSNNPKEQYPNQSQDATLWNKMVSLPSFYSDNGTMTISTPVRFGPWGGNGGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGSSGAARAEKVVFDFPSEILTHITGYFSSTMIMGSTVIKSLTFHTTKKSHGPFGDETGTFFSSCLTEGRIVGFHGRDGWYIDSIGVHVLEGKVLSQRADRALTETSPSRHADMLAVAQREIGDEVTYGVVKEPIPVGPGPWGGEGGKPWDDGVYTGVKQIYIMRADFIGSVQIEYDRSGQSIWSTRHGNGGQITHRIKLDYPHEVLNCIYGYYNTCQDEGPRVLRSITLVSNRGKYGPFGEEVGTYFSSATTEGKVVGFHGRSGLYLDAIGVHMQHWLGDRNRTAAPSSNKYYISKYLF >OGLUM01G37030.1 pep chromosome:ALNU02000000:1:35848953:35849876:1 gene:OGLUM01G37030 transcript:OGLUM01G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKLSSLLLVAQLLLVVVGGASAIVARGTQLYTTGYFPQIDCSPAPMATSTGSNGTAFRANLLTLLASIPDQAAPTGFASMQAGAGGRAPGGDDDDRAFARGACLGDSTPSQCRDCLAAAVIDVDEGCGADTRRAGAWLSGCYLAYADTDATSPRESAFHRWFFDGDILPFSDNLDPTFLDLANGAVSALAAAAANRSGSGRTMLAATQEFDDGANTGLAGSVLAQCAAGRAPADCVQCLQDSARAMPRCCWNAWGLGESVAVALSYDCVLQFTMHLASPAASLGGGALRPFALAVGAAALAIIM >OGLUM01G37040.1 pep chromosome:ALNU02000000:1:35850144:35855575:1 gene:OGLUM01G37040 transcript:OGLUM01G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAAAVADVVAGCGARAGAWLDGCYRSYLAAYAADTNTTTSPSPAGGEFHRWVVTGDVLPFSDNLYATFLDMSNGVAARMLAIDVGAATTRTGLAGRVVAQCAAGVAPADCVQCLEGAAREMPRCFREARREEQGEGVGVVVSDDCVLRFDMTSSPAPRTSDTCDGTCKLLALAFGVALGIILSFTFNLQ >OGLUM01G37050.1 pep chromosome:ALNU02000000:1:35856496:35860068:-1 gene:OGLUM01G37050 transcript:OGLUM01G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16405) TAIR;Acc:AT2G16405] MEATAGGSTASAAACPPAPSRPTDPDFLSCVLQPPTPSSSSRPDDDYAALRRLLLRRKPPSALQHRMEWRCNGKGYVAYRNFLLRRIDGGAASSCASTPSNSGRWAPSPAYAAFSEADSWSSSKDLRRNSGPLLRNLSISSKQSDPERHVRFAEPAYSFVGMHCIFDDCKASVTILKFGRASSDLLTYGASDGSLTVCQVSDPPTVLQKLIGHSKDITDFDFSSNNQYIASCSMDKTMRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANREINAINFSTGRIVSKLTFDDAVTALDVDHTGQLIFAGDAQGCIYTVSVNSHTGSLSRTHKNKSNKSKSPVTTIQYRTFSLVARCPVLLSCVQNGNLFFFSIATDSKGYLTLICSLKLASPVQSIRASFCPLLSLEKGEFIVTGSEDANVYFYDLTRPKNSCVNKLQGHGSPVIGVAWNHGENLLASSDSDGTVIVWKRA >OGLUM01G37060.1 pep chromosome:ALNU02000000:1:35860353:35865586:1 gene:OGLUM01G37060 transcript:OGLUM01G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSRSKVVAVGRDISGKLITTDGMLISDSNGSEDTEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLMGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMSKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCFSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQENVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGIPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFKYMC >OGLUM01G37060.2 pep chromosome:ALNU02000000:1:35861302:35865586:1 gene:OGLUM01G37060 transcript:OGLUM01G37060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNVHEVLPNHVMKYLSRSKVVAVGRDISGKLITTDGMLISDSNGSEDTEEFMLSTCKISEGWEGGALFDSDGNLIGMNLLFLMGRSLFLPISIIIERLEYFRTSYRRRKFFALATKLKAIRVGGRLSIEMSKSLLEDVCDEDQFECLDSMGYPMPSISMANDGMVLVNTFEETFGDLYDEGVWSELSKNVSSRISRNVVSLASFNGETRYFACTGFFIEWNGCTSILTSASLVRKSGDRSKIVENLRVANVVLILFCDPCFSKIEVLLPNKKRTEGTLEHCNLHYNVAIVSVKDFRALCPANLHHEQENVCEDVLAVGRCFESDILMAASGHLVGWSGTLDCRMLRYANFKITKAGIGGPLIDFDGRYVGINFFDDIVGIPFLSCTVILHVLSRFDEERTINKVGNGDTSSGVLDWTMTGDRSVRPNSWPVPKPFWCHPDDLPRNETRTRHKYGYYNGQKFKYMC >OGLUM01G37070.1 pep chromosome:ALNU02000000:1:35866345:35869725:-1 gene:OGLUM01G37070 transcript:OGLUM01G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase 10 [Source:Projected from Arabidopsis thaliana (AT2G16430) UniProtKB/Swiss-Prot;Acc:Q9SIV9] MVDRIGAAWWCACAVGMLVVGACLAGETSEYRRQLGSAVDMPLDADVFRAPPGRNAPQQVHITQGNHDGTAMIISWVTTIEPGSSTVLYGTSEDNLNFSADGKHTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFRTPPKSGPDVPYTFGLIGDLGQSYDSNITLAHYESNSKAQAVLFVGDLCYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSYRYPTPYKASGSTAPFWYSVKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLLHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRISNVAYNIVNGQCTPVHDQSAPVYITIGDGGNQEGLATNMTAPQPGYSAFRESSFGHAILDIKNRTHAYYTWHRNQDGNAVAADSMWFTNRYWQPTDESLDDSQ >OGLUM01G37080.1 pep chromosome:ALNU02000000:1:35873172:35875237:-1 gene:OGLUM01G37080 transcript:OGLUM01G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPDPIGEGLAMEAMVEAAGPECIVPGQQTPLRLLGLKVWPLDIEMKFLEPFGRELHSMKKFMDKSCSVMDSSMSNK >OGLUM01G37090.1 pep chromosome:ALNU02000000:1:35878470:35881037:1 gene:OGLUM01G37090 transcript:OGLUM01G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAAPTVPYRTTAPPLPGSDGHEDGSSGGMVLLDRWCLIADLPNASTGVGTTSTGLRIQATFHPARPPLLSRFCVHCPGLDFRRVVPKIIASDADLVLLCIPVNPDSIANARGLDYFVYRPRAHRLDLLPNPHPVYLHGSMTALLSRQDGAWYAVAALGLGPPVYKGGAPVSWDFYLHLYRSTSSSKGWTSKRLSVTEFIRDKFIPIPASVDRLYHETGKTIMVGGEGGTVAWVDLWRGIFLCDVLDEFPALRDIPLPCPARSNRDLFLIQYDPSYFRDVTISRNRDSIKYIEMEMWSPKEPMNTTALLFLMWIACSITQGNPR >OGLUM01G37100.1 pep chromosome:ALNU02000000:1:35911873:35920895:1 gene:OGLUM01G37100 transcript:OGLUM01G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT5G16300) TAIR;Acc:AT5G16300] MPAAAVSGGGAADAEELFRTRRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDAVSGNLSRISDSLASLAPPPEAPPAASPSPSGGRVRLYASAARAKYLVDTPEHIWGRLDEGLLLEAAGRYVRAQVVHGVLSRDAAAAARFPLLAHQAQLVEAFRPQIAQRARERLADRRLSVAAHADALAAAASIDAPSLTPTQALQLFLSSRRAWISQALTTLASDLTSYSSVLCDVAKIVRVTLGHVGQLFVLALNDLPLFFKTVLDLPPPSQLFGGIPDPVEETRLWKEHWDQLEATMVLLEPDAVARTCTDWLKGCCDEIFGVIAGGQRLVDAIESGEGLGSVQRLVREALDGREGLEGTLEQWLKSVFGSEIESPWDQIRGLILKEGKDIFEDWMEEAFVQRMKDIVHLEFGSLDDSVNIKKSIDGIGANADPKDAGDFMVYLRKVSTGGGVWFSESKIKKGGILAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKTILEDLLSFVDSHNSAPRLKELVPYLQEKCYKTISGILNGLEAELGKLSASLRTKKGESNMLAASVIVERSLFIGRLMFALRYHSSHVPLILGSPRQWVKEAGGAAFMRLSSPSPRHSRASFDTAMPFTPRRHTQSSPRSPGRQFSDNPRRQTIAAAASLFGADDSSNPRLDELDKTLQALCIAAHGLWITWLSTELSQLLSYDLNKDDSLSLSTPLRGWEVTVIKQEESTEGPLEMQIALPSMPSLYIISFLYQACLEIHKIGGHILDKSILQNFAWDLLQKVIDIYESFLVSIESGKSLVSEKGVLQILLDLRFIGDVLSGGKSSSTKTTETQRTHDSSPSAIAKTSFRRKQSQLQADSATIEPINKLINKFSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKANTDSNIMRCSQVPRFKYLPISAPALSSRAHKSSLQSTSDDSTSRSPWKSYSNGERSTASEFDDNVSLGGAAPLLKSFVTQVGSKFGENTSRWGSIISDGQVGKLSDILPGPAAGFFSSFTSGARYDP >OGLUM01G37110.1 pep chromosome:ALNU02000000:1:35928224:35934227:1 gene:OGLUM01G37110 transcript:OGLUM01G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPEFAAAAVAVAVVAALVLAAGAGAAPLPAALRLERALPHKGVAVEHLRERDRARHGRRGLLGGGGGGVAGVVDFPVEGSANPFMVGLYFTRVKLGSPPKEYFVQIDTGSDILWVACSPCTGCPSSSGLNIQLEFFNPDTSSTSSKIPCSDDRCTAALQTGEAVCQTSDNSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKTDRAVDGIFGFGQHQLSVVSQLNSLGVSPKVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLESIVVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVNAITAAVSPSVRSLVSKGNQCFVTSSSVDSSFPTVSLYFMGGVAMTVKPENYLLQQASIDNNVLWCIGWQRNQGQQITILGDLVLKDKIFVYDLANMRMGWTDYDCSTSVNVTTSSGKNQYVNTGQFDVNGASPRPPYSGLVPAVAVAVALIFGGFSRR >OGLUM01G37120.1 pep chromosome:ALNU02000000:1:35934930:35941545:1 gene:OGLUM01G37120 transcript:OGLUM01G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G29630) TAIR;Acc:AT1G29630] MGIQGLLPQLKSIMAPIGVEALKGQTVAVDTYSWLHKGALSCGDRLCKGLPTTRHIEYCMHRVNMLRHHGVKPILVFDGGHLPMKGDQETKRERSRKENLERAKEHESAGNSRAAFECYQKAVDITPRIAFELIQVLKQEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFHITRLQRCRELDLNGFTMQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKGHEKVIKHLRYSAVSVPPQYEENFRKAIWAFQFQRVYDPVTEDITVAKGIAQGNIDPITKEPFEGKTESSTLAFDKVHLNRESSAPSNGKKKLDLPVQRNAKRKFRAPKVTPKQQVLNGSLPSPRIEDSGTPDSIEDTSLPSNNIQVSQCSSEHFSSGTPLDDSINTASQCSSERVRCDIPRDDSASVSPQCSHDIGSDPAEDPDIEGNKVKANFCNRSTIPTGSFLEGTLPGISDPFLDSHNTEPSRAAPRYAEKNNVVSANRNITVRSSYFKTVNKRVCTNQGEDECHDEDNCETGNYTLPGDQQRSSGGILKRRKFSDPQNFEDGMFQPTSPHESPPVADQGCDSDSHDGINTNSEGKFGCNVAHVNKYSGIAEKSMDKFAALISSFRYAGSRASGLRAPLKDVKNTLPVRSVLRPPEQRFGCTAKKTTRVPIQSRFSSDATNSTDLPDLSTFAYRPTTASAHSDQGKITSKATDAAAGPPDLRTFAYAPTRSTTSRFDQSENTRKAMCTADSPPDLSTFEYKPMKSAARRSDGSKFSVAALKAARPTSRS >OGLUM01G37130.1 pep chromosome:ALNU02000000:1:35940770:35962617:-1 gene:OGLUM01G37130 transcript:OGLUM01G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRNRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKTHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNSNGEEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKLPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSYPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAQDKSDMVPSGAAFVLENAEDSLAFLFVASCQVEIELIQLQHADLLTFLDPTVTPPSGSREAAMAAMAAAAERKVTYPVAEAASMRVRAMGPYAPSRDLSSITPHPGGSPATITSDGSEAAAAAADGAGTGAGGASSSSASNSGGPGPWPRPRRRRFAGGSERRNLHQMSSLSKTTIFSSDVVLSVALLDPAVGKEQLLIMKTEGIRKSKHAQIRKLAGASTIL >OGLUM01G37130.2 pep chromosome:ALNU02000000:1:35944906:35962617:-1 gene:OGLUM01G37130 transcript:OGLUM01G37130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRNRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKTHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNSNGEEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLAQLTKEITAQVKLLSDNEDEEAVTDSDSPSYPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKKYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAQDKSDMVPSGAAFVLENAEDSLAFLFVASCRFQTTLSKA >OGLUM01G37130.3 pep chromosome:ALNU02000000:1:35944906:35962617:-1 gene:OGLUM01G37130 transcript:OGLUM01G37130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRNDGCDGGGKIKIGVCVMEKKVWCSPMEQILERLRAFGEFEIIIFGDKIILEDPIESWPLCDCLIAFYSAGYPLEKAEKYAALRRPFLVNELDPQYLLHDRSKVYEHLKLFGVPVPTYAVVRREYPNQELKYFVEQDDFIEIHGKRFCKPFVEKPIDGDDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPTEKQIARNICQAFGQAVCGFDLLRCDLGEARSYVCDVNGWSFVKSSHKYYDDAACILRKMFLDDKAPHISSTIPANLPWKVSEPVQPFDAVRNRERGTVGISRQSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKTHAEAKLKSALQLQDLLDATRILVPRARSGRESDSDAEIEHAEKLRQVRAVLEELKPSNWVHIPKSNSNGEEEYPIEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGSIEIDEAKARLHNIILSSKIANGETMEFPWMVDGAGVPPNAANLLTNLKLAHLKQPNVKIITSIMVTNKLPLSQLSNQAQLTKEITAQVKLLSDNEDEEAVTDSDSPSYPYDQAKALGKTAIDMDRIASGLPCGSESFLLMFARWKKLERDLYNERKNILDRYDLLHNSHLKLNGLSDLFRVSQSLADGVIPNEYGINAKQKLKIGSKIARRLLGKILIDLHNTRREVAAAGGESNACHDPTIVPSSKRKDRGYYGDESHIHSLMNVLRYCNFDESMDGEESLVCKNALDNLFKTRELDYMSYIVLRMFENTEVSLEDPKRFRIEMTYSRGADISSLQSEHGKDSLLPDDHTMKIMEPERLQEVGSYLTLDKFDKMVRPFAMPAEDFPPAAPSQSLAQDKSDMVPSGAAFVLENAEDSLAFLFVASCRFQTTLSKA >OGLUM01G37140.1 pep chromosome:ALNU02000000:1:35944263:35947734:1 gene:OGLUM01G37140 transcript:OGLUM01G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox protein 54 [Source:Projected from Arabidopsis thaliana (AT1G27050) TAIR;Acc:AT1G27050] MTRHRRGKRGRASPDPPAKRRRRGRGHGPGPPELEADELEAPPAPVPAPSAAAAAASEPSLVMVAGLPPGCGVMELKSRLGAYGPIARTRIDAASATGYVTFRSAAAAMAAIAASLDPDGGVTVGSKKVLVVQASEAPNDLRSTAQANPVGENTHDATKRNASESSAFSSTKAAPEGTYKAREIVAYDDLF >OGLUM01G37150.1 pep chromosome:ALNU02000000:1:35965943:35969740:-1 gene:OGLUM01G37150 transcript:OGLUM01G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G27060) TAIR;Acc:AT1G27060] MEKAAAAAVTAAAAAAAEEEEEEEEAVWAWSWGAGTDGQLGNGGFQDHHLPQPLLLPPRCRGRVSFVAGGGAHAIALTSNGEVFTWGRGTHGQLGHGDVENIPHPKLVKFFENYMITCVSAGWNHSGFATDSGQLFMCGDGSFGQLGAGDNHPRNLPFEVAYFTTKHVVRLALGMRHSLVLLKDNSVYGFGSARRGQIGRCARNQKFYDVPRIIDGFSDCKIGNIYANGDHSAALDESGQLYIWGRALIGEHDDDQPRPVFPSLSISQVALGWHHALVLSESSSSTLVKVPCVDGEQVVHIAAGTEHSASVTDKGTVFTWGWGEHGQLGLGDTCDQVTPQRVNIGDKRPYSSASVNVYCGSGFTIAVNSGLESD >OGLUM01G37160.1 pep chromosome:ALNU02000000:1:35974478:35979358:1 gene:OGLUM01G37160 transcript:OGLUM01G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLLTAAHAGWWLGWNLEAPNTARSIITQLQPEAPWVLQWAAGDGAAAVRGSAAEAAGAELPRRMGQRYRAVHREAQAAVRCRQRGGGTGTRCYHRPPARSRARTPHRLGVCCRCFSEPSSVRLAMPHYESVGKVLVSALILILQLKLYQTGPTSENLVPRRPGLAGAPPRNTRPQRQRGRNRVPEFNVPFVPGGNARIFDPRALLWNQEASRDGIREYTKKLNGKHADSEVQFKTEFSRCQAT >OGLUM01G37170.1 pep chromosome:ALNU02000000:1:35983819:35986307:1 gene:OGLUM01G37170 transcript:OGLUM01G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGGSQKGGGGGGGEARRINVVYFLSRGGRTDHPHLFRVNHHRAGVRLRDVKRWLSELRGQDMADNFSWSYKRKYKAGYIWQDLMMDDDLITPISDNEYVLKGCDVRRATESPKEKSSSLAEEPKKLNGQEVKVACDQKQVEEVTTTMAPDSDERSPKKPPPADQDSPVGEGGEPASRSTAPLKKDLPRGLREERKKQQREVVKVVSKAVVVPAPAPEQKVKGAASGRISSHPPPAVGSARRMHLAQLLHNLLTCGAADADDTALRPVLRHGAAGADDDGGDWPRTPVCPGIDGCGLRVGKKVKVRRGGKDKAKPKRDGGKRDGGDSHKPASLPRCSQCGKEFKPQELHSHMQSCRVFREKMRSSTSSRVSVDRGRTSAAARTEHRRTRSKGAAAAPGDTSDRPSAVLLLRDS >OGLUM01G37180.1 pep chromosome:ALNU02000000:1:35990026:35990232:-1 gene:OGLUM01G37180 transcript:OGLUM01G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLKSKIVAVAVAAVVVVASSLVGTASAADAPAPAPTSGATATAAAAPAFAAVSVAAAALGGYLFC >OGLUM01G37190.1 pep chromosome:ALNU02000000:1:35991791:35992451:-1 gene:OGLUM01G37190 transcript:OGLUM01G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRCVARGGQERNYRSKSRAVCETLPKFYDDAPYIPPPTCLSLVFSMCSSSGSSSGCSHGRRRGSSRLLRSCFGINVRCRRRARRFVRRMGWLRSLLSPLRRLWCHMNAVQRKKRGIYILYDDVKSCPCEDVHVLWSILVESHGLPPPTPMTMPAPAPALRPTR >OGLUM01G37200.1 pep chromosome:ALNU02000000:1:35993943:35994191:1 gene:OGLUM01G37200 transcript:OGLUM01G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPFSLPYRRRCSISPSPSRIAGTAPCRRVLDGGTAATTTVLSSPLPVDLDGGATVRAILLSFSPLPPSRRRAPEDRALG >OGLUM01G37210.1 pep chromosome:ALNU02000000:1:35999213:36006702:1 gene:OGLUM01G37210 transcript:OGLUM01G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRFFMLAMLQYLKRLATYILSKGALFVVLIVLVLAPGILLAVTDGLHKKHVQEFLNYARFVLWWVSLGVASATAAISLLLSNLEKGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHIAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >OGLUM01G37210.2 pep chromosome:ALNU02000000:1:35999213:36006702:1 gene:OGLUM01G37210 transcript:OGLUM01G37210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVAAAAAATPPRGSSKAGGGVISEIREKHKLELENLTLTKHPFRTLRSGLHTFVLYLGPHIALFTIKAVQCGRIDLKTAPYDTIQLKQGPSWLDKKCSDFGPPVYQASAHSVRIPVFELLPQVQLEAVLWGIGTALGELPPYFISRAARLSGSEPEAVKELDAAASDEHGPIASTLNRTKRWLLSHSQHLNFITILILASVPNPLFDLAGIMCGQFGIPFWEFFFATLIGKAIIKTHIQTLFIISLCNNQLLYLMEKELIWIFGHIPGFSASLPSVIAKLHIAKDKYLSTPTSATSSSKMEDTQWNFSFTLVWNTVVWLVLVNFFIKIVTSTAQEYLKKQQDIEMELITDSSPQSQSKTN >OGLUM01G37210.3 pep chromosome:ALNU02000000:1:35999837:36000515:1 gene:OGLUM01G37210 transcript:OGLUM01G37210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHPNLPWEIEIAMRSVEYLLERLASNAKRLLLHPRPNLPLNCTVLHSPHTLTQRAHLQLVLRIASSTSRALHLRCAHTLQYSATRTALIPSSCHWWGGAHHDRRGVGPASRVSARVRVIFPHNLCHNGKQRPRFAPPKSKRKFPTPHPPPSPPPPPPVSAGADINTSRSTGNRRAASTTHHKLAAGVADGGSSRSGVRSG >OGLUM01G37220.1 pep chromosome:ALNU02000000:1:36008098:36042633:1 gene:OGLUM01G37220 transcript:OGLUM01G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLKPRHVTLSVLQARARHSAVEKHKPDGDTNEFIVLKLDEIRGAKDPTCLASNLTPENYWRIARRAEEGAEAVEAESGERADMAAAAAAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSWETLETKPKERGLDIRQELLKFYENYSTNLMHLVVYGKESLDCIQSFVERLFSDIKNTDQGSFKCPSQPLSEEHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKEVIYRKIFQTKPNLHDSSEIGRPRGGGEESRSESGGGEQAAMSAAAAASNVEFIRSRSDKRGYRRVVLPNALECLLISDSDTDKAPACMEVGVGSFNDPEGLEGLAHFLETTTFFFDVNAANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFNIGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERMFSDIKNTDQRSFKCPRWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYISLILKDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEVVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >OGLUM01G37220.2 pep chromosome:ALNU02000000:1:36008098:36042633:1 gene:OGLUM01G37220 transcript:OGLUM01G37220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLKPRHVTLSVLQARARHSAVEKHKPDGDTNEFIVLKLDEIRGAKDPTCLASNLTPENYWRIARRAEEGAEAVEAESGERADMAAAAAAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSWETLETKPKERGLDIRQELLKFYENYSTNLMHLVVYGKESLDCIQSFVERLFSDIKNTDQGSFKCPSQPLSEEHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKEVIYRKIFQTKPNLHDSSEIGRPRGGGEESRSESGGGEQAAMSAAAAASNVEFIRSRSDKRGYRRVVLPNALECLLISDSDTDKAPACMEVGVGSFNDPEGLEGLAHFLETTTFFFDVNAANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFNIGSCETLETKPKERGLDIRQELLKFYENYSANLMHLVVYGKESLDCIQSFVERMFSDIKNTDQRSFKCPRWAMNLSAGEGSDSAQYSFFSISMRLTDAGHEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYISLILKDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEVVIQSTVKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >OGLUM01G37220.3 pep chromosome:ALNU02000000:1:36008098:36042633:1 gene:OGLUM01G37220 transcript:OGLUM01G37220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLKPRHVTLSVLQARARHSAVEKHKPDGDTNEFIVLKLDEIRGAKDPTCLASNLTPENYWRIARRAEEGAEAVEAESGERADMAAAAAAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSWETLETKPKERGLDIRQELLKFYENYSTNLMHLVVYGKESLDCIQSFVERLFSDIKNTDQGSFKCPSQPLSEEHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIFDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYISLILKDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEVVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >OGLUM01G37220.4 pep chromosome:ALNU02000000:1:36008098:36042633:1 gene:OGLUM01G37220 transcript:OGLUM01G37220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLKPRHVTLSVLQARARHSAVEKHKPDGDTNEFIVLKLDEIRGAKDPTCLASNLTPENYWRIARRAEEGAEAVEAESGERADMAAAAAAPAESNVEFIRARSDKREYRRVVLPNALECLLISDSETDKAAACMEVGVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEQDYTKYITEHGGSCNAYTSSETTNFYFDVNVANFEEALDRFAQFFIKPLMSQDAVLREIKAVDSGSWETLETKPKERGLDIRQELLKFYENYSTNLMHLVVYGKESLDCIQSFVERLFSDIKNTDQGSFKCPSQPLSEEHMQLVIKAIPISEGDYLKISWPVTPNIHFYKEEHMEDIIGLVFKYILLLKENGIHEWIYDELVAINETEFHYQDKVHPISYVTDIVTTMRSFPQEEWLVGASLPSKYSPNRINMILDELSAERVRILWESKEFEGTTDSVEPWYCTAYSVENVTPSMIQQWIQKAPTEKLCIPKPNIFIPKDLSLKEAHEKVKFPAILRKTPLSRLWYKPDMLFSTPKVHIVIDFHCPLTSHSPEAVISTSLFVDLLADYLNAYAYDAQIAGLFYSIYRTSAGFQVSVGGYNDKMRILLDAIMKHISNFEVKPNRFCALKETAVKDYQNFKFSQPYSQASYYISLILKDQKWPLAEKLEALSKLEPDSLAKFMPHLLSKTFLECYIHGNIEPNEATSIVQEIEDTIFNTPKSVFKSMSPSQYLIRRVITLENELKCYYQIEGLNQKNENSSVVQYIQVHLDDALSNIKLQLFALIARQPAANQLRTIEQLGYIADLYVRSDRGVRALEVVIQSTVKDPSYLDARVDEFFKMFENKIHELSDKDFKRYVKSLIDSKLEKSKNLWEESDFYWAEIEAGTLQFDRGRSEVSLLRELKKEEFIEFFDQYIRIGAPQRKTLSVQVFGGKHLAEFKKAIAEADAPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >OGLUM01G37230.1 pep chromosome:ALNU02000000:1:36042706:36042936:-1 gene:OGLUM01G37230 transcript:OGLUM01G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHPGLPPLPPGCEVKRRRAPPVARVGSPPPVPRREQPHQAQPHHYCVCSPTAHRGSFRCRWHRRGYYEWGASRRR >OGLUM01G37240.1 pep chromosome:ALNU02000000:1:36044856:36046946:1 gene:OGLUM01G37240 transcript:OGLUM01G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAAAAAPFLLLVALLLLLPSPAAAFSFTYNFTSADTAPSGIAFQGDAFFNKFIRLTRDERIGPITSSAGRAFFSRPVPLCDPVSRRRASFSTAFSFSIAAPDPSAASGDGLAFFLSPFPSVLPNSSAGGLLGLFNSSSRGGAAAAHPRPLVAVEFDTYKNEWDPSDDHVGVDLGGIVSAATVDWPTSMKDGRRAHARVAYDGQAKNLTVALSYGDAAAAAALTDPVLWYAVDLMEYLPDAVAVGFSAATGEAAELHQVLYWEFTSSIDTKEETVILWVVLGLCGLLLVLVAAGVLWFVSQWRKAGELADGDIDDEMGYDELADEEFFVESGPRRFRYSDLAAATKNFSDERKLGQGGFGAVYRGFLKELGLAVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDRHLYGGGGGSKKAGGAAPPLSWPTRYNVALGLASALLYLHEECPQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPHTTVLAGTLGYLAPECVITGRASRESDVYSFGVVALEIACGRRPAELDEEDPSKARLVPWVWELYGKRAILEAADQRLNGKFDLEQMERLMVVGLWCAHPDHAHRPSIRQALNVLKFEAPLPSLPPKMPVPSYFPPPDLVAPVSVEGTSSTDGPGVSECGSSGSNAGGGSGINDRLLDP >OGLUM01G37250.1 pep chromosome:ALNU02000000:1:36047794:36058011:-1 gene:OGLUM01G37250 transcript:OGLUM01G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAAAADFDGGFGGAGEDNLSMPLGDFMAFLDNEDWKEQQHEGNQGLEMPVDSTSSENAFQNHEEIFENKENWSNYSHTDPSHSQMDVMVELNNGGESFDHSEDTSYRLLSNDFLENSRNGNPEMHLPMDALNHAKTVDEEIVPPYEDYTNGLYYDSGCDMFAEQSGLSEVKCEGTGPMLGNSEQEGNHFMSVPMFDHSAVIPDIPYTELNIGDVPGSMQNGNGSCLTVQGEYLQGEYQEYPQPDYGSFDMANEIVLHDLPQNNQSYELEQLPQNICESSSMQVGSPDQYCDDTSLSDYYMDDVSSIESMSSEQNRSEDICFRSESSTDSSPVPSSRNSTTEDADKYFGDAPKHLQNSMFPVSTQHQHSFMNSSDPMHPTFHKKYDIPRNGSSSILGNSSRNCFSLDSNRDSDLCILEGSRSLASGHVLPPQGLQHNFQQSVCANPNLPRFGGRYRPHEERMTLRLALQDISQPKSEANPPDGVLAVPLLRHQKIALSWMVQKERNGSSCSGGILADDQGLGKTVSTISLILTERSPVPSSAVKQEPCEAVTLDDDDEDDDAEPHLKKPALAHLADTCKPEATSSTIKTENPIANVKARPAAGTLVVCPTSVLRQWADELRNKVTSKANLTFLVYHGSNRTKDPNDLTKYDVVLTTYSIVSMEVPKQSSPDSDDEEKGKPDRYGAPVGSSGSKKRKTSSSKKNKSGSTPESKLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAEYKKFCFMIKTPISRNPITGYKKLQVVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERAFYNTLEAESREQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPHLVRGHESTSSWMSSLEMAKKLPVERQQSLLVCLQSCSAICALCNDAPEDAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNSTSLFSRGTLECALSRSTCEFLSDDSCEDMVQGKQPRFDSSYASSKVRAALDILLSLPKLDLTHMSDDKNKIVHPDKINGNSTPSEYADTKITEKAIVFSQWTRMLDLVEVHLKSSHLSYRRLDGTMSVAARDRAVKDFNTNPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVSSAFGEDKSGAHQTRLTVEDLNYLWNFKVSMVPALHKAQLAS >OGLUM01G37260.1 pep chromosome:ALNU02000000:1:36062568:36062981:1 gene:OGLUM01G37260 transcript:OGLUM01G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAASHELVVPVAEIPEMRTLDVGSSDVPTSRVSDSTVVGDGRHSEAARHQVWREHDLQHYHILSKERMEGNLLDGEGIHEAGNLVVVEGKPVVPDDDAVLCRHGREDDGEALVKSNGNLGGHTPGGDVLVVEADE >OGLUM01G37270.1 pep chromosome:ALNU02000000:1:36062676:36063103:-1 gene:OGLUM01G37270 transcript:OGLUM01G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLTVRLTGEEGYTRAVCAASRIILPVVSASLLVRLDYQHVSTWCVSSQISIALHQSLAIILSPVTAKHCIVIGHNWFAFYDDEVARLVNALTVEEIPFHSFLGKNVVVLKVVFTPNLMPSGFTVAAITNHG >OGLUM01G37280.1 pep chromosome:ALNU02000000:1:36065315:36066151:-1 gene:OGLUM01G37280 transcript:OGLUM01G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSKKRRKQLASAAVFSRRCKPPAEARDPPPPPPLPEEEKVKEVLSETPSAKVRAEAKPVANVAVLEEPEAEKQAPKPSADADVTVSDLGSCMSLATDDRSEAASESSVATSSVTGPERSPGKPARRRRPVSVSGELAHAISRRDRAAAAAYGVRSRSARASASPPPRREQRDRSVRRSPSPAAKRTPEQRRAASPAPSLQRKPHVPVRPSPRRVQEAPPSPLEPPPPPPPQPEEDAMTADGEPSIADAASAGGDGEGKESLDNPLVSLECFIFL >OGLUM01G37290.1 pep chromosome:ALNU02000000:1:36067788:36071494:1 gene:OGLUM01G37290 transcript:OGLUM01G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGDERNIEPNEATSIVQEIEDIIFNTPNSVFKSMSPSQYLIKRVIMLENELKCYHQIEGLNQKNENSSVVQYIQKEACEDAKHVCIQVHLDDALSNIKLQLFALIASQPAFNQLRTVEQLGYIAGLSLRSDCGVWALEVVIQSTVKDPSHVDARIDEFFKMFESKIHELSDKDFKRNVKSLVDSKLEKFKNLWEESHFYWGEIEAGTLKFDRVESEVALLRELKKEEFIEFFDQHIRVGAPQRKTVSVQVFGGEHLAEFKKAIAETDTPKTYRITDIFGFKRSRPLYRSLKGGPGRITMD >OGLUM01G37310.1 pep chromosome:ALNU02000000:1:36086666:36094674:1 gene:OGLUM01G37310 transcript:OGLUM01G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFT5] MDPEKRGYRLPVEAVTGYFLEACIAQVYNSLTWKPKLEEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVVI >OGLUM01G37310.2 pep chromosome:ALNU02000000:1:36086666:36094674:1 gene:OGLUM01G37310 transcript:OGLUM01G37310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFT5] MDPEKRGYRLQEFVAHDAEVRSLSIGKKSSRVFITGGSDRKVNLWAIGKQTPLLSLSGHTGSVEAVEFDTAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRSSCTSVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIRTIRFTPDGRWVVTGGEDNIVKVWDLTAGKLLHDFKFHSGQIRCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEATGVRSTVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHECRVGVWVADISLIGPYALGVLPKANFFAELVHSLDDNPSKTIDTTAKSIPALATTHPKNLYKVKETGTVAESGIRGSNLTPASMDKTKRDKSGATPRRPDSSFKSSIQSSTPMRRMKAADSPYTNRKTVERNFAQRDASLASRTGTANNSSTVKKGHLAESVSVKDIYTTPQTVSVPVVMPRDILEDKTAGSISGGIRGRAAVADDFHAPVHSRKLSVNSFVGDSVNSTKSMLTDPDVCSEGFSGLKFSFGLTPYYKKEEYDNVDKVDSMDKGDNTQMTEKLDRTVSLEHQLQSNDTSESPCSTTETTKVKYVRGVAVPLGKTKSLVERWEKREATNVECSPPTGSCGDRAVRSDGPSSFSAEPSQAYEKDLSTIDEAMIPINLMQNHDEFINAVKSRLTKLEMMRHVFDQNGIKGAIAAVAKLPDNAVQADVVSTLKGKLDLFNLDIFLSFLPVLAGLLTSKAERHAIVSLELLLDLIKIFGPVIRSTLSAHSAVGVDIQAEQRRGGQSAQLAQELNLSLQDLVVI >OGLUM01G37320.1 pep chromosome:ALNU02000000:1:36095121:36100832:1 gene:OGLUM01G37320 transcript:OGLUM01G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFT7] MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPGFYNDRGATVDIPLDSTKDMKKKEKELQAKEAELNKRESELRRREEAASRAGIVIEEKNWPPFFPIIHHDISNEIPIHLQRMQYLAFSSLLGLAACLFWNIIATTAAWIKGAGVMIWLLAIIYFISGVPGAYVLWTESALKFGWFFLFYLIFRAVLTVFAPFQIHILFCIWSAVAPPFPFKGKSLAGILPAIDVIGNNAIVGIFYFIGFGLFCLESLLSVVVIQQVYMYFRGSGKAAEMKREAARGAMRSAF >OGLUM01G37330.1 pep chromosome:ALNU02000000:1:36101013:36103800:-1 gene:OGLUM01G37330 transcript:OGLUM01G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKLGSKPEIFVLEGLTWRCTTELESDVVVEVGEMSFYLHKQFPLLSRSGVLQRMISEYQAPQEDGGGGGGMCTLQLDDIPGGAKAFELAARFCYDVKIELNAHNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHIVSRCITALASKACASDAAAWSTGHAAASASAVAVAAKNASYDALWNGIGSGGTPRGGGGGGAAGAAAGCSGMDWWYEDVSFLSLPMFKRLIQAMEGKGMRPESIAGAIMFYAGRFLPGLKRNTSFSNASFGGDCGAGSRSITPRAANVSAPSEGDQRYFLEEIVALLPAKKGVASTRFLLGMLRTAMLLHASPLCRENLERRIGAQLEDACLDDLLVPNLGYTVETLYDIDCVQRILDYFMSSTDGLGTGYTSPAVVEEGSQLGAPHAGSPSSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAMDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSGNAAAGGDGAPQPHPGGSAIVPKGAAAAGQSDADAEADEGKGKELPAEAITDVKARVSELEKECMSMKQEIRRLGKPRRSWSLLTRKCGFGTKVQQAQPTMSGK >OGLUM01G37340.1 pep chromosome:ALNU02000000:1:36110333:36114617:-1 gene:OGLUM01G37340 transcript:OGLUM01G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGGGAALFSEEELRDVSGVRRCEDFVEVTCGCTSHRYGDAVGRLRVYASGDLEVSCECTPGCREDKLTPSAFEKHSGRETAGKWRNTVWVMVQGEKVPLSKTALLKYYSLSHKSANGSNKGRNGRLSHRDEFIHCTECGKERRFRLRSKEECRIYHDALAKPNWTCADLTTDRVTCGDEEERASRKVLRGCSRSTSCTGCVKCVCFGCEICRFTDCGCQTCVDFYHNSKE >OGLUM01G37350.1 pep chromosome:ALNU02000000:1:36124211:36131176:1 gene:OGLUM01G37350 transcript:OGLUM01G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALAALGWVVSPIITKFINRASTYLGKDMVRELQELEATILPQFQLVIEAAEKSPHKGKIERWLRKLKAAFYDTEDVLDELEYDILKREAEKGAQASLMVASSNSIPKPLHAASNKMSNLRPKNRKLISKLEELKEILVEAKAFHDQLGIQAGNSTELMVTAPIRPNTTTSFSSSNVVGRDEDRDRIIDILCKPVNAGGSMARWYSSLAIVGVGGMGKTTLAQHVYNDERVAQYFDARMWVCISRKLDVHRHTQEIIESAGKGECPRIGNLDTLQCKLRDILQESEKFLLVFDDVWFDESKSETEWDWEQLLAPIASLQRGSKILVTSRRNALPAVLDCKKIFPLESLKDTDFLTIFKSHAFSGAETSDPWLREKLEIAKKISRRLGQSPLAAKAVGSQLSRKKDIATWRAALKNGNLSETRKALLWSYEKLDPRLQRCFLYCSLFPKGHQYEIDELVHLWVAEGLVDSCYQNNIMEDIGRDYFNEMVSGSFFQPVYKTYVGTRYIMHDLLHDLAEALYKEDCFRLDDDKVKEIPSTVWHLSVCVRSMTLHKQSICKLHHLRTVICIDPLTDDGTDIFNEVVRKLKKLRVLYLSFYNTTNLPESIAELNHLRYLNIIKTFISELPRSLCTLYHLQLLQLNNKVKSLPHRL >OGLUM01G37360.1 pep chromosome:ALNU02000000:1:36134163:36140469:1 gene:OGLUM01G37360 transcript:OGLUM01G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGVMAHLRYVCLKVLPSDLVIEAAEKRLQCGRLSERAWNLVEVRFLQEITWGGGTGHCRFTASSSRWLAASGSCTSVVHGGGGVGRLMFGSNTNLCEAPHQRFDFELVIEAAEKGNYRVKLDRWLQELKQAFYNAEDLLDEHEYNILKCKAKNKDSLAEDSTQVHGSSISNILEQPMHAVSSRMSNLWPEDRKILRQLNELKTILEKAKEFRELIHIPAGNSLEGPSVPTTIVPVVTSLLPPRVFGRDKDRDRIIHLLTKPMATVSSSVGYSGLAIIAHGGAGKSTLVQYVYNDKTVQEHFDVRMWVCISRKLDVHRHTREIIESAENGQCPRVDNLDTLQCKLRDILQKSEKFLLVLDDVWFDEFNNETEWDQLLDSLVSQKEGSRVLVTSRQDVLPAALRCKDVVRLENMEDTEFLALFKHHAFSGTEIKNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRNKDINLWKSALNIENLSEPMKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLINSHSRGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHDLAESLTKEDCFRLEDDGVKEIPTTVRHLSVRVESMKFHKQSIFKLHYLRTVICIDPLMDDGDDVFNQVLKNLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFEDRIDELINAALPQIPYIGKLTLLQHIDGFFVQKQKGYELHQLGNMNELGGNLRVMNLENVSGKDEASESKLHQKTHLRGLHLSWNDVYGMDVSHLEILEGLRPPSQLEDLTIEGYKSAMYPSWLLDGSYFENLESFTLANCCGIGSLPPNTEIFRHCLTLTLENVPNMKTLSFLPEGLTSLSIVGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEADSDSDIRRTLLSEHSSMKKLTELMDTDISGNLQTIESALKIERDEALVKEDIIKVWLCCHEERMRFIYSRKVGLPFVPPSGLCELNLSSCSITDGALAICLGGLTSLRNLFLTEIMTLTTLPPEEVLQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYNCVLSADFFCGDWPHLDDILLSGCRSSSSLHVGDLTSLESFSLYHFPDLCMLEGLSSLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMLCAEGFVVPEFLSLESCQEPSVSFEESANFTSVKCLRLCNCEMRSPPGNMKCLSSLTKLDIYDCPNISSIPDLPRAAEHLKEKAGQRLRISAGRNSDELSETPQDWHIYVSLLPSTDPPPPFIRFLCH >OGLUM01G37360.2 pep chromosome:ALNU02000000:1:36134163:36140469:1 gene:OGLUM01G37360 transcript:OGLUM01G37360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGVMAHLRYVCLKVLPSDLVIEAAEKRLQCGRLSERAWNLVEVRFLQEITWGGGTGHCRFTASSSRWLAASGSHTCAHTHTQKDKKTVSEVFLLSSSQKKNLYIPICSYALLLLIHLFTAAPVLFMAEVVLAGLCLAATPICVKLLINASTYLDVDMTHELHELETTIIHTEKGNYRVKLDRWLQELKQAFYNAEDLLDEHEYNILKCKAKNKDSLAEDSTQVHGSSISNILEQPMHAVSSRMSNLWPEDRKILRQLNELKTILEKAKEFRELIHIPAGNSLEGPSVPTTIVPVVTSLLPPRVFGRDKDRDRIIHLLTKPMATVSSSVGYSGLAIIAHGGAGKSTLVQYVYNDKTVQEHFDVRMWVCISRKLDVHRHTREIIESAENGQCPRVDNLDTLQCKLRDILQKSEKFLLVLDDVWFDEFNNETEWDQLLDSLVSQKEGSRVLVTSRQDVLPAALRCKDVVRLENMEDTEFLALFKHHAFSGTEIKNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRNKDINLWKSALNIENLSEPMKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLINSHSRGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHDLAESLTKEDCFRLEDDGVKEIPTTVLKNLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFEDRIDELINAALPQIPYIGKLTLLQHIDGFFVQKQKGYELHQLGNMNELGGNLRVMNLENVSGKDEASESKLHQKTHLRGLHLSWNDVYGMDVSHLEILEGLRPPSQLEDLTIEGYKSAMYPSWLLDGSYFENLESFTLANCCGIGSLPPNTEIFRHCLTLTLENVPNMKTLSFLPEGLTSLSIVGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEADSDSDIRRTLLSEHSSMKKLTELMDTDISGNLQTIESALKIERDEALVKEDIIKVWLCCHEERMRFIYSRKVGLPFVPPSGLCELNLSSCSITDGALAICLGGLTSLRNLFLTEIMTLTTLPPEEVLQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYNCVLSADFFCGDWPHLDDILLSGCRSSSSLHVGDLTSLESFSLYHFPDLCMLEGLSSLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMLCAEGFVVPEFLSLESCQEPSVSFEESANFTSVKCLRLCNCEMRSPPGNMKCLSSLTKLDIYDCPNISSIPDLPSSLQHICIWGCELLKESCRAPEGESWPKIAHIRWKEFR >OGLUM01G37360.3 pep chromosome:ALNU02000000:1:36134163:36139793:1 gene:OGLUM01G37360 transcript:OGLUM01G37360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHGVMAHLRYVCLKVLPSDLVIEAAEKRLQCGRLSERAWNLVEVRFLQEITWGGGTGHCRFTASSSRWLAASGSCTSVVHGGGGVGRLMFGSNTNLCEAPHQRFDFELVIEAAEKGNYRVKLDRWLQELKQAFYNAEDLLDEHEYNILKCKAKNKDSLAEDSTQVHGSSISNILEQPMHAVSSRMSNLWPEDRKILRQLNELKTILEKAKEFRELIHIPAGNSLEGPSVPTTIVPVVTSLLPPRVFGRDKDRDRIIHLLTKPMATVSSSVGYSGLAIIAHGGAGKSTLVQYVYNDKTVQEHFDVRMWVCISRKLDVHRHTREIIESAENGQCPRVDNLDTLQCKLRDILQKSEKFLLVLDDVWFDEFNNETEWDQLLDSLVSQKEGSRVLVTSRQDVLPAALRCKDVVRLENMEDTEFLALFKHHAFSGTEIKNPQLRGRLEKIAEKIVKRLGHSPLAARTVGSQLSRNKDINLWKSALNIENLSEPMKALLWSYNKLDSRLQRCFLYCSLFPKGHKYKIKEMVDLWVAEGLINSHSRGDKRIEDVGRDYFNEMVSGSFFQPVSERYMGTWYIMHDLLHDLAESLTKEDCFRLEDDGVKEIPTTVRHLSVRVESMKFHKQSIFKLHYLRTVICIDPLMDDGDDVFNQVLKNLKKLRVLYLSFYNSSRLPECIGELKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNKKVKCLPDKLCNLSKLRRLEAFEDRIDELINAALPQIPYIGKLTLLQHIDGFFVQKQKGYELHQLGNMNELGGNLRVMNLENVSGKDEASESKLHQKTHLRGLHLSWNDVYGMDVSHLEILEGLRPPSQLEDLTIEGYKSAMYPSWLLDGSYFENLESFTLANCCGIGSLPPNTEIFRHCLTLTLENVPNMKTLSFLPEGLTSLSIVGCPLLVFTTNNDELEHHDYRESITRANNLETQLVLIWEADSDSDIRRTLLSEHSSMKKLTELMDTDISGNLQTIESALKIERDEALVKEDIIKVWLCCHEERMRFIYSRKVGLPFVPPSGLCELNLSSCSITDGALAICLGGLTSLRNLFLTEIMTLTTLPPEEVLQHLGNLRYLVIRSCWCLRSFGGLRSATSLSEIRLFSCPSLQLARGAEFMPMSLEKLCVYNCVLSADFFCGDWPHLDDILLSGCRSSSSLHVGDLTSLESFSLYHFPDLCMLEGLSSLQLHHVHLIDVPKLTTESISQFRVQRSLYISSSVMLNHMLCAEGFVVPEFLSLESCQEPSVSFEESANFTSVKCLRLCNCEMRSPPGNMKCLSSLTKLDIYDCPNISSIPDLPSSLQHICIWGCELLKESCRAPEGESWPKIAHIRWKEFR >OGLUM01G37370.1 pep chromosome:ALNU02000000:1:36167572:36171711:-1 gene:OGLUM01G37370 transcript:OGLUM01G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHRATAKVRVNGAPAEATLFCADPPGADDGGGGEGAELRWRCAGGAAAERVLSLDADVLGVEARGKEVVVKAFVLPADAAARSVSCAAGAGKGGGGRRRRRRDYVFEMAAGEDAAAAWCDRMRGSLDSLGRPKRLFILVNPFGGKKCGKKIYEAEIKPLFEAAGVNVTMQETRYQGHAREVASSLDLARYDGIVCVSGDGVLVEVVNGILQRMDWEEAIKIPIGVVPAGTGNGMAKSLLHSASETYSVPNAVFAIIRGHRQSLDVCTIVQGRKKFFSVLNMTWGLVADIDIESEKYRWMGSARFDFYALVRIMNLRKYYGSIQIVPAPGYEAYGDVVKQAENCTIECQEQNGKSVCSYRGPSVEFQGSEWRSLDGPFVSIWINNVPWAAESIMAAPGAKFSDGYMDAVIVRDCPKADLLALLMKMGDGSHVKSPYVTYLKVRCLRLSPGQLVENPKRGGIIDVDGEAIARGEGTYGKNQKQDVMGYGPSIQMTVHRALATMYCPK >OGLUM01G37380.1 pep chromosome:ALNU02000000:1:36173684:36175848:-1 gene:OGLUM01G37380 transcript:OGLUM01G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSSGSQGHNVNGGQKKVQHASPLTLNNGSKHRPLTPMRRCRGVACVVIILSTAFTLIVFIAPITTFLVRLVSVHYSRKVTSVLFGMWLSLWPFLFEKINKTNVVFSGESVLPKKRVLLFANHRTEVDWMYLWDLALRKGYLGYIKYILKSSLMKLPVFSWAFHIFEFIPVERKWEIDEAIIQNKLSAFKDPRDPLWLAVFPEGTDYTEKKCIKSQEYASEHGLPILKNVLLPKTKGFLCCLQELKSSLDAVYDVTIAYKHRLPDFLDIIYGTDPSEVHIHIRTVKLCDIPTSEDEVTDWMIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTLECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >OGLUM01G37380.2 pep chromosome:ALNU02000000:1:36173684:36174999:-1 gene:OGLUM01G37380 transcript:OGLUM01G37380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIERFRQKDQLLSDFFMQGHFPDEGTEGDVSTLECLANFIAIVSSTGFFLYLSLFSSVWFKVYVLLSCAYLTFVTYFSIQPPQLICSSEGGTHAKKVL >OGLUM01G37390.1 pep chromosome:ALNU02000000:1:36186797:36191575:-1 gene:OGLUM01G37390 transcript:OGLUM01G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHSATASSSSAAASSAHGVGVVQWLWLEEQERKPPPKRGGGKRRWAWAPLEPRRAGWWAREWDRTYLLACAAGLMVDPLFLYAVSVSGPLMCVFLDGWFAAAVTVLRCTVDAMHAWNLLMRLRAAVRPPEEDDGADEEVAAERGAGGNGGGPAPAQVARPVSRKGLMLDMFVILPVMQVIVWVAAPAMIRAGSTTAVMTVLLVSFLFEYLPKIYHAVRLLRRMQNTYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLKEQCAQGGSGCAPGALACAAPLYYGGAVGGVGADRLAWALDASARGTCLDSGDNYQYGAYKWTVMLVANPSRLEKILLPIFWGLMTLSTFGNLASTTEWLEIVFNIITITGGLILVTMLIGNIKVFLNAATSKKQAMQTRLRGVEWWMKRKKLPQSFRHRVRQHERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLVFPKGEIIVREGDPVQRMLFIVRGHLQSSQVLRTGATSCCTLGPGNFSGDELLSWCMRRPFLERLPASSSTLVTMESTEAFGLEAADVKYVTQHFRYTFTNDRVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNPNQDDLV >OGLUM01G37400.1 pep chromosome:ALNU02000000:1:36193198:36193779:-1 gene:OGLUM01G37400 transcript:OGLUM01G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSFKDSSGSPKSDSARVTAREKVKMNHGHKRAVTANADIGFMDYVSSKCLSAAELSSDGPCKTPLLKIMKTCAFTSALRSKPRVNKSASVKCAADMVENSSEFSNESGLRCLLLEKQEVFMYFDNDACSKKLHGWTGALIDNCRGRNIKLDMGVLCN >OGLUM01G37410.1 pep chromosome:ALNU02000000:1:36196469:36196969:-1 gene:OGLUM01G37410 transcript:OGLUM01G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTATGLLVAFLFVAAVAIADGRSLSVAHEKFHGDGGDHHYVVPENATTPLPLICTGVHGVEAGETCDSISRRFHAGLGRAPFFRLVSLNPNINCRELFVGQWVCIQGLLPV >OGLUM01G37420.1 pep chromosome:ALNU02000000:1:36203473:36203858:-1 gene:OGLUM01G37420 transcript:OGLUM01G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGAAAIAIIASLLVAVTLADARLTAHPGYVVVEDVKAPVPALTCNKVHGVQASETCFSVTQSAGLTQDQFLAFNPNINCAKVFVGQWVCLDAAAA >OGLUM01G37430.1 pep chromosome:ALNU02000000:1:36205610:36207664:1 gene:OGLUM01G37430 transcript:OGLUM01G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALVTSFPHAIPKPHHHLHAAHHAHLAAAATRPEAPSASSPNPANARLRRLIARDDLAEAARLVDRSTSRGDAPDVYLCTKLIRNLCRRGRTSDAARVLRAAERSGTAVDVFAYNTLVAGYCRYGQLDAARRLIASMPVAPDAYTYTPIIRGLCDRGRVGEALSLLDDMLHRGCQPSVVTYTVLLEAVCKSTGFGQAMEVLDEMRAKGCTPNIVTYNVIINGMCREGRVDDAREFLNRLSSYGFQPDTVSYTTVLKGLCAAKRWEDVEELFAEMMENNCMPNEVTFDMLVRFFCRGGMVERAIQVLEQMSGHGCAANTTLCNIVINTICKQGRVDDAFQFLNNMGSYGCSPDTISYTTVLKGLCRAERWEDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEQATMLIEQMSEHGCEVNIVTYNALVNGFCVQGRVDSALELFYSMPCKPNTITYTTLLTGLCNAERLDAAAELLAEMLQKDCAPNVVTFNVLVSFFCQKGLMDEAIELVEQMMEHGCTPNLITYNTLLDGITKDCNSEEALELLHGLVSNGVSPDIVTYSSIIGVLSREDRVEEAIKMFHIVQDLGMRPKAVIYNKILLALCKRCDTDGAIDFFAYMVSNGCMPNELTYITLIEGLVNEDFLKETRDLLHELCSRGVLNKNLLEEWRPKFSNQTVHLP >OGLUM01G37440.1 pep chromosome:ALNU02000000:1:36209734:36214172:-1 gene:OGLUM01G37440 transcript:OGLUM01G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFV2] MKNNLVKNNMLKEFYIPTYIFVPESPVEKVSQIPSCPVIVFINTKSGGQLGHDLIVTYRKLLNTSQVFDLLEEAPDKVLHKLYGNMERLMRDGDTVAAEIRRRLRLIVAGGDGTAGWLLGVVSDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDEKSVLSFLQSVRQAKEMKIDSWHIVMKMESPKSSTCDPIAPLDLPHSLHAFHRVPNNPQDKEYSCTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLSNQKTYLKLACTQGWFCASLCHPMSRNIAHLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSERKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVQFKFHKGATDHAYMRLDGEPWNQPLPKDDGKVLVEISHAGQVKMLATKNCIAKGIHEALAMSTVHPESSSSSDDTDDDDDFAEERKNFGAALSFRYMDDATKE >OGLUM01G37450.1 pep chromosome:ALNU02000000:1:36217346:36220167:1 gene:OGLUM01G37450 transcript:OGLUM01G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLASFGLRAADPQMPHRHIVSTRRRCLVRIHIVCTKMTEVVARIHSQGIPEGMIPIVGHTVFKASRLSIVRLYLGTARILVKLDEN >OGLUM01G37460.1 pep chromosome:ALNU02000000:1:36218677:36221188:-1 gene:OGLUM01G37460 transcript:OGLUM01G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT1G27410) TAIR;Acc:AT1G27410] MPLFPSSPKGQNSNRRRARELRWEGVGPAMDEGLVSVDKFSGGSQAYFLTHLHQDHTRGLGAAGGWRHGPLYCSPVTARLLPTRFPGVDASLLRPLAPGASASLSLSSPSTGRAVSVVVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELRCKRARAAKKALLDALAGDTVDVLYLDNTYCHPSLSFPPRPIVAEQIVNIIRAHPDHEIIIGVDTLGKEDLLLHISRALQTKVIWVWPQRLQTIHLLGIDDNQEIFTTQTSLTRIRAVPRYSLTIESLDALNTVCPTIGIMPSGIPWLWKNSKGKAKSGVKSPAKSIRCKGLDEGAIEMDYDPLSPPKLFEKDSYSLPYSEHACFAELENFMLIVRPSTVIGIVSTSFCYVNPRHHFSHLCADNVYSDKTPEKNKGKDISVLTPKKKAKWFKDSER >OGLUM01G37470.1 pep chromosome:ALNU02000000:1:36221100:36227060:1 gene:OGLUM01G37470 transcript:OGLUM01G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPTPSHRSSRARRRLLFWPFGEEGKRGMCGKRRRQSSRYPINRHPTAESNNEANIKVGVQSN >OGLUM01G37480.1 pep chromosome:ALNU02000000:1:36223921:36224736:-1 gene:OGLUM01G37480 transcript:OGLUM01G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPSSPSAGGGDVSASSPTLPPVRLAAAQAASAAAIHPTSPRYFFSSLAGTNASPHRRIAIAVDLSDESAYAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVSDDADGEVAPAASAEELQKKREEDFDAFTSTKAEDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGADGEAAGPTDELHTVPEDEPVYHDAPDVQKGLPFYIAQFNRY >OGLUM01G37490.1 pep chromosome:ALNU02000000:1:36227312:36230588:1 gene:OGLUM01G37490 transcript:OGLUM01G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKKKTKKRKDEEIKSNVRSEEEVQKSKPKQGMKPILEYKQKVSLETEGTKEKHKMKENAGTISNAESNENSNSMTQGTDIHQLQDIRGSLKEPEKDKTETKHIQERSSTITQNSGITATQIPQSPASLHDELNMLNSQATPVKYK >OGLUM01G37510.1 pep chromosome:ALNU02000000:1:36245214:36247684:1 gene:OGLUM01G37510 transcript:OGLUM01G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:frataxin homolog [Source:Projected from Arabidopsis thaliana (AT4G03240) TAIR;Acc:AT4G03240] MASRKLVLGLTAARRLRSRAPVLVSSSPLLEASTSTSAATAAATRGSGLGPWGLFLSSRALSSIRPVSLSAGDAPGSSAADHRSILPEDEYHKLADETIHDLLEKLEEYGDSLQMDGFDIDYGNQVLTLRLGELGTYVVNKQAPNRQIWLSSPVSGPSRFDWDASTNCWIYRRTGANLVELLEKEIGELCGTPVELS >OGLUM01G37520.1 pep chromosome:ALNU02000000:1:36247727:36248062:-1 gene:OGLUM01G37520 transcript:OGLUM01G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAADKGTGVQFEDFLPSMARKLGVEGLIEELCKGFELLMDPGAGKITFRSLKRNAAMLGLGELRDDELSEMMREGDLDGDGALDQMEFCVLMVRLSPELMQDESHRAFQC >OGLUM01G37530.1 pep chromosome:ALNU02000000:1:36250552:36270919:1 gene:OGLUM01G37530 transcript:OGLUM01G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVSSSSPRRRRRRRMGWGGGSAASWALKAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMELVMDPARLPHFTIWWRDNTFWTSGQWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLVEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEDRRMAMFGTRSYSVFGDILDAFLILLVLSTCCLSSTITTDSLLPNKQISDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGMVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLAEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATWQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRITIIEGIAPGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFVEFETLDFTNVGTPLTSFVMHGNYGKKEGGPSSLIHPYITHVQSISTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQATGMSTRAPRSLLPLLFVAAAVAFFSRAATAADVIGQAGFITGNQTLVSSGGVFELGFFVPNGATDGRTYLGIWYASIPGQTVVWVANRQDPVVNVPAVARLSADGRLVIVDAKNTTVWSSPAPARNVTAAGATARLQDDGNLVVSSGSPGSVAWQSFDYPTDTLLPGMKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPTMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFVADATAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGCVLTANLTCGAGDGFWTVNRMKLPAATNATVYAGMTLDQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYPGVVQDVYIRLAQSEVDALNAAANSEHPSNSAVIAVIATISGVLLLGAVGGWWFWRNRLRRRRNETAAAAAGGGDDVLPFRVRNQQLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGLFSMKSDVYSFGVLVLEIVSGRRNRGFYEAELDLNLLRYSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITEIECSWSCALLAPHPYAERGEGAEEATRGSSSSSPSPLRSRSSSTKGPSSRIRCMNPSKNKEDDGDALPARRQRRARTSDGKWLLSPSPLASLAARRRVRIGAGGSEAEN >OGLUM01G37530.2 pep chromosome:ALNU02000000:1:36250552:36270919:1 gene:OGLUM01G37530 transcript:OGLUM01G37530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVSSSSPRRRRRRRMGWGGGSAASWALKAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMELVMDPARLPHFTIWWRDNTFWTSGQWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLVEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEDRRMAMFGTRSYSVFGDILDAFLILLVLSTCCLSSTITTDSLLPNKQISDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGMVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLAEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATAEKQRHNTMSQSLIMLQGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRITIIEGIAPGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFVLLLEIVSGIRNAGFHQRGNSLNLLCYAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQATGMSTRAPRSLLPLLFVAAAVAFFSRAATAADVIGQAGFITGNQTLVSSGGVFELGFFVPNGATDGRTYLGIWYASIPGQTVVWVANRQDPVVNVPAVARLSADGRLVIVDAKNTTVWSSPAPARNVTAAGATARLQDDGNLVVSSGSPGSVAWQSFDYPTDTLLPGMKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPTMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFVADATAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGCVLTANLTCGAGDGFWTVNRMKLPAATNATVYAGMTLDQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYPGVVQDVYIRLAQSEVDALNAAANSEHPSNSAVIAVIATISGVLLLGAVGGWWFWRNRLRRRRNETAAAAAGGGDDVLPFRVRNQQLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGLFSMKSDVYSFGVLVLEIVSGRRNRGFYEAELDLNLLRYSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITEIECSWSCALLAPHPYAERGEGAEEATRGSSSSSPSPLRSRSSSTKGPSSRIRCMNPSKNKEDDGDALPARRQRRARTSDGKWLLSPSPLASLAARRRVRIGAGGSEAEN >OGLUM01G37530.3 pep chromosome:ALNU02000000:1:36250552:36260843:1 gene:OGLUM01G37530 transcript:OGLUM01G37530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVSSSSPRRRRRRRMGWGGGSAASWALKAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMELVMDPARLPHFTIWWRDNTFWTSGQWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGLVEFKNEVLLIAKLQHVNLVRLIGCCIQGEEKILIYEYMPNKSLDFFLFEKSRRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEDRRMAMFGTRSYSVFGDILDAFLILLVLSTCCLSSTITTDSLLPNKQISDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGMVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLAEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATCITQCHNL >OGLUM01G37530.4 pep chromosome:ALNU02000000:1:36250552:36260843:1 gene:OGLUM01G37530 transcript:OGLUM01G37530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLVSSSSPRRRRRRRMGWGGGSAASWALKAAMMRTKSSCRKVITLLMIALWLAGCLGRDSISVNESLSDGRTIVSMKNVFVLGFFSPGASNHRYIGIWHNSLGNKTIIWVGNRNEPLSDTSGVLMFDSNGNLVIVHGGRSLIVAYGQGAKDMKATILDSGNLVLSSTANSSGYIWQSFDSPTDTWLPEMKIGLKTTNQTLISWRSNDYPAMGDYKLGMELVMDPARLPHFTIWWRDNTFWTSGQWNGDMFSLIPELKFFTTVPISFKCSSNSTNDISCTYSANPSDMLTRIVLNSTGSLSIMQFDSLAQSWILLWRQPSTCEIPNLCGAFGVCNNNAVPKCNCTGGFVPQNIIAYSNGYTREGCIRQTKLQCSSDKFLEIPNVRIPDNRKKMPAVVGPSDCKLACLRNCSCTAYAYSQLEGCSLWYGDLMNLQDGYDIDGAGTLCLRLAASEVESGGNSGSGHKMLWMAGVIPFVALLSFCSLSCLLWRRRRQNKGKEDLHAHRSLTTLDMDSAVKLWESEEAGSQFVLFSFSQIAKSTNNFSAPNKLGEGGFGPVYKGNLPDGQDIAVKRLATNSGQGRTALDWAKRIHIIEGIAHGLLYLHKHSRLRIIHRDLKASNILLDIDMNPKISDFGMARIFGSKETQANTNRVVGTYGYMAPEYAMQGIFSVKSDVFSFGVLLLEIVSGTRNAGSNRRGRSLNLLGHAWELWREDRWFDLVDPTTRDSCPEHRVLRCVHVGLMCVQENAADRPTMNDVISMLTSESITLPDPKQPAFLSIVLPTEMDAHDESVSQNAITITDLEDRRMAMFGTRSYSVFGDILDAFLILLVLSTCCLSSTITTDSLLPNKQISDGQTIVSANETFTLGFFSPGTSTYRYVGIWYNHVPNRTVVWVANRNNPVLDTSGILMFDTSGNLVILDGRGSSFTVAYGSGAKDTEATILDSGNLVLRSVSNRSRVRWQSFDYPTDTWLQGMNLGFVGAQNQLLTSWRSSDDPAIGDYSFGMDPNEKGDFFIWERGNVYWKSGLWNGQSYNFTESESMSFLYVSNDARTTLSYSSIPASGMVSGLCLGAGQREAAKHIVHVELLASVPEIKTGKTVANAQKDLIQEMGLDGLAEIPGEDDKCSLWYGNIMNLREGESGDAVGTFYLRLAASELESRGTPVVLIAATVSSVAFLIFASLIFLWMWRQKSKAKGVDTDSAIKLWESEETGSHFTSFCFSEIADATCITQCHNL >OGLUM01G37530.5 pep chromosome:ALNU02000000:1:36260846:36270919:1 gene:OGLUM01G37530 transcript:OGLUM01G37530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGNLPEGQEIAVKRLAAHSGQGLLEFKNEIMLIAKLQHRNLVRLLGCCIQGEEKILIYEYMPNKKQSRREMLDWATRITIIEGIAPGLLYLHKHSRFRIIHRDLKASNILLDIDMNPKISDFVLLLEIVSGIRNAGFHQRGNSLNLLCYAWELWKEGRWSELADPSIYNACPEHKESPINRPTMTEIISALDNESTTLPEPKQPAFVSAGIWTEAGVHGGTHSINGMTISDTQATGMSTRAPRSLLPLLFVAAAVAFFSRAATAADVIGQAGFITGNQTLVSSGGVFELGFFVPNGATDGRTYLGIWYASIPGQTVVWVANRQDPVVNVPAVARLSADGRLVIVDAKNTTVWSSPAPARNVTAAGATARLQDDGNLVVSSGSPGSVAWQSFDYPTDTLLPGMKLGVDVKNGITRNMTSWTSSSDPSPGSYTFKLVPGGLPEFFLFRGPTMIYGSGPWNGAELTGVPDLKSQDFAFTVVSSPDETYYSYSILNPSLLSRFVADATAGQVQRFVWINGAWSSFWYYPTDPCDGYAKCGAFGYCDTSTPTLCSCLPGFQPRSPQQWGLRDASGGCVLTANLTCGAGDGFWTVNRMKLPAATNATVYAGMTLDQCRQVCLGNCSCRAYAAANVSGGVSRGCVIWAVDLLDMRQYPGVVQDVYIRLAQSEVDALNAAANSEHPSNSAVIAVIATISGVLLLGAVGGWWFWRNRLRRRRNETAAAAAGGGDDVLPFRVRNQQLDVKRECDEKDLDLPLLDLKAIVAATDDFAASNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDDERMLVYEYMHNQSLDTFIFDEGKRKLLRWSKRFEIIVGVARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTAYTRKVIGTYGYMSPEYAMDGLFSMKSDVYSFGVLVLEIVSGRRNRGFYEAELDLNLLRYSWLLWKEGRSVDLLDQLLGGSFDYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATLPEPNEPGVNIGRHASDTESSETLTVNGVTITEIECSWSCALLAPHPYAERGEGAEEATRGSSSSSPSPLRSRSSSTKGPSSRIRCMNPSKNKEDDGDALPARRQRRARTSDGKWLLSPSPLASLAARRRVRIGAGGSEAEN >OGLUM01G37540.1 pep chromosome:ALNU02000000:1:36278990:36279778:1 gene:OGLUM01G37540 transcript:OGLUM01G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRSGVPKHPTQASQDSGDSNLVGNLVRMKRKNLPQQCVCAVLNSSKNQGGRQSMGQSRGCNLTRAITARPEDHRFICLPTTGARQVCRHYSPPASLPAAAAAAAQIIGLDQPSRGLESRLSCFASRVSETGHGLMGLT >OGLUM01G37550.1 pep chromosome:ALNU02000000:1:36279823:36283204:1 gene:OGLUM01G37550 transcript:OGLUM01G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGREKDRSVVVVVEDLGTATKDTVNPSAKSTRRYPLLSWTTILALIALVGVYIFSVSLKQNGMLLGLKQTDMIEKEREKLCQDPSIPVTEIPYVHYPTPDTYSRKECACTPVRFFAILSMQRSGSGWIETLLNSHENISSNGEIFSIKERRSNITSITKTLDKLYNLDWLSSAAKNECTAAVGLKWMLNQGLVQHHEEVVEYFNRRGVSAIFLLRRNTLQRYVSVLANAHDSKAKQINGTHKSHVHSEREAQILAQFKPEIDTKKLIADLKKSDKLAADALLYFKKTRHIILYYEDVVSNDTKLMDVLDFLRLPKRKLSSRHVKIHTKLLRDHIDNWAEVNSTLMGTQYESFLNG >OGLUM01G37560.1 pep chromosome:ALNU02000000:1:36283757:36309491:-1 gene:OGLUM01G37560 transcript:OGLUM01G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGAVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGSVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTENYGLLQRSTWVEAAGTWNLYWYAPKDQCDAVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVKHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLVITMTIWNFQSLIWGQLQLLLMGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMKGSFDSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDAITLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >OGLUM01G37560.2 pep chromosome:ALNU02000000:1:36283757:36309491:-1 gene:OGLUM01G37560 transcript:OGLUM01G37560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGAVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGSVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTENYGLLQRSTWVEAAGTWNLYWYAPKDQCDAVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVKHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLGLTSKSNNARVIIAIVVSISSATFLSVLAGFLVWTRKKKRARKTGNGHGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMKGSFDSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDAITLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >OGLUM01G37560.3 pep chromosome:ALNU02000000:1:36283757:36307266:-1 gene:OGLUM01G37560 transcript:OGLUM01G37560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGLPDLAASTKIRGPCTPQERSVAVVLIGLTSKSNNARVIIAIVVSISSATFLSVLAGFLVWTRKKKRARKTGNGHGKLEDGQEIAVKTLSKTSVQGLDEFKNEVMLIAKLQHRNLVRLLGFSISGQERILVYEYMANKSLDYFLFEKSNSVLLDWQARYRIIEGITRGLLYLHQDSRYRIIHRDLKASNVLLDKEMTPKISDFGMARMFGSEETEINTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLLLEIISGRRNRGVYSYSNHLNLLGHAWSLWNEGKSLELADETMKGSFDSDEVLKCIRVGLLCVQENPDDRPLMSQVLLMLATTDAITLPTPKQPGFAARRILMETDTSSSKPDCSIFDSATVTILEGR >OGLUM01G37560.4 pep chromosome:ALNU02000000:1:36307844:36309491:-1 gene:OGLUM01G37560 transcript:OGLUM01G37560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSLCCYLLLFVVVVVLTGSCRARDTVVPGRPLAANETLVSGGDANFVLGFFTPPGANSTYVGVWYNKVSVRTVVWVANREDPLPGDVADNPDATLSVSPTGTLAIVAGNSTVVWSVTPAAKLASPTARIMDSGNLVIADGAGGAVAWQGFDYPTDTLLPEMRLGVDYVKGRNRTLTAWKSPSDPSPGSVVMAMDTSGDPQVFIWNGAEKVWRSGPWDGVQFTGVPDTVTYSGFTFSFINNAKEVTYSFQVHNVSIISRLGLNSTENYGLLQRSTWVEAAGTWNLYWYAPKDQCDAVSPCGANGVCDTNNLPVCSCLRGFTPKSPEAWALRDGRAGCVRSTPLDCQNGTDGFVAVKHAKVPDTERSVVDLGLSLEQCRKACLMNCSCTAYASANVSGGGRGHGAGTGCVMWTTGLTDLRVYPEFGQDLFVRLAAADLGTPSHSTRTVYYALLHTIFSMCLVFVLVPSKSDSTKVRRRPDIWAS >OGLUM01G37570.1 pep chromosome:ALNU02000000:1:36287076:36291815:1 gene:OGLUM01G37570 transcript:OGLUM01G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YFX1] MRRRRALALLLVTMAFFSRPVIAVDRIGLTASIIGKSTLESAKGVFKLGFFTPPGGKGTYLGIWYANIQSDLTVVWVANRQHPVINAPGVVTLSANGLVIVDAQNTTVWSSPVPAGAITAGATARLHDDGNFAVSSDGSDSQSVVLWQSFDYPTDTLLPGMKLGEDRKKGITRNITSWSSPTDPSPGKYTFKLVLGGLPEFFLFDNSKTTPIYASGPWNGEILTGVPGLKSQQAKGDFTFTVLSSPEETYFNYSISNRNPSFLTRFIVDGTEGKLQRIWSSDDGKSWINNKNSYPIDPCDNYGSCGAFGYCVYTEGQPQQCNCLPGFQSLSAQGSFQDTSKGCARITNLTCGDGDGFWRVNRMKLPDATKATVHAGMTLDQCKQECLRNCSCNAYAAADVSGGVNRGCVIWTVGLMDMRKYPEEFVQDLYIRLPQSQIDALNAPARRRRLIKNVVIAVVTTICGILGVVGCCCLWRDKMRWKRHSRIGKSSKAGDIPFRVRKNPASSPARDQWFDENNTSVEDDLDLPLFDLEMIFDATDRFAANNKIGEGGFGPVYLGRLEDGQEVAVKRLSRRSVQGVVEFKNEVKLIAKLQHRNLVRLLGCCIDDNERVLVYEYMHNKSLDTFIFDEGNRKLLSWNKRFEIILGIARGLLYLHEDSRFRIIHRDLKASNVLLDRNMVPKVSDFGIARMFEGDQTTAYTGKVIGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVAGRRNRGFCESELNLNLLRYAWMLWKEGKSMNLLDELIGDIFDDIEVLRCIHVALLCVEVEPKNRPLMSSVVMMLASENATLPQPNEPGLNIGKITSDTESSHGLTSNSVTITTIEAR >OGLUM01G37580.1 pep chromosome:ALNU02000000:1:36320966:36325276:1 gene:OGLUM01G37580 transcript:OGLUM01G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKVYVVYYSMYGHVAKLAEEIKKGASSIEGVEAKIWQVPETLHEEVLGKMGAPPKPDVPTITPQELTEADGILFGFPTRFGMMAAQMKAFFDATGGLWSEQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKMFNMDEVQGGSPYGAGTFAADGSRWPTEMELEHAFHQGKYFAGIAKKLKGSA >OGLUM01G37590.1 pep chromosome:ALNU02000000:1:36329190:36331105:-1 gene:OGLUM01G37590 transcript:OGLUM01G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEWWSASQRSHGTSACSAAPSPLTADRVSCGWTSPAAAAAAESTSSITFQDPSRSSAAHHQPLSDAASSLGDPHMVDWTQAFLSGRSDASFQAVLQDDMAASTRPFRAQPTAADEAVMTNPFRDMGVGQGLLLDQASAPLHGLSFDAGEPAVAPATHSITTSFGDYQHSASYDAAAAVMQFSQTPRAPSLPAAAQMQFLSGSYQLPFGGAPPLPSQLLLQAMQPKPSCSSNANTLLAKSNSGSAQQICSSAGRKSVSDSPAAAKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHEQVASLSSPYLKNGNPLQHFQQKGSESTKDAEQPKPDLRSRGLCLVPVASTYTVASETVPEFWHPTFGGTFR >OGLUM01G37600.1 pep chromosome:ALNU02000000:1:36345621:36346390:1 gene:OGLUM01G37600 transcript:OGLUM01G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVANYDMDTHTIAMCDMRFDTVQNQSARVQVLNLTRTLAFTTNYFLVADDVSINSEVFMITSSISRYVGSVFWR >OGLUM01G37610.1 pep chromosome:ALNU02000000:1:36364851:36367411:1 gene:OGLUM01G37610 transcript:OGLUM01G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPTAKTAPAYGYAPGAHAEALEFIEHVTVNAGQVQRRVLGEILAQNAPAEYLRRYGIPGSPDVVDAFRRLVPLVTYEGLQPDILRIANGDTSPIFSGKPISEFLTSSGTSGGERKLMPTIADEMNRRSLLYSLLMPVMSQSVSGLDKGKAMYLLFVKAESRTPGGLAARPVLTSYYRSRQFLDRPRDPYTSYTSPDEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIHFLEKHWARLCHDIRTGELDPEITDRVVRDAVGRVLRADPALADAIEDECARASWEGIIRRLWPRTKYIDVIVTGTMSQYIPTLEFYGGGLPLTCTMYASSECYFGLNLNPMCKPSDVAYTLIPTMCYYEFLPVNCNNATDEASHRDLVDLVEVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNKAPMFSFVRRQNVALSVDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRAGSTAVPASVFEECCLSVEEALNSVYRQGRACDRSIGPLEIRVVAEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGKYFSPKCPKWSPGNKQWNKSKDLVGKGDA >OGLUM01G37620.1 pep chromosome:ALNU02000000:1:36373647:36374363:-1 gene:OGLUM01G37620 transcript:OGLUM01G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHVRRLLNRVSIALAAVATAALLQLFRHSSSSCFVGSPAYSSLSLAPFPRTSCDAASRRVVDPNLRLAKLRSSPRWRRRSAALSTSVFPRLRRLRLLRRSSRVLCVAAGAGQAVDALHVAGVGDATGVDLVDFPPLVRRADPHNLPFFDGAFDVVLSDEPMALTGALFPSRFAAEAERTVRWGGAIALAIERHIDLSTVASLFKKSRVAAAWNATLDGSAATMVILRKNSNNTKQH >OGLUM01G37630.1 pep chromosome:ALNU02000000:1:36374512:36379391:1 gene:OGLUM01G37630 transcript:OGLUM01G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASRAQRLSRIFSSSSPSVRPPKPGQVKEAPKPAPTKKAPAPGAEANPNLRRNAIDDIIKGLLRERDPDKLVSGFIAASSTHPRFRARHRVYDVAVSRLATFGRLDGVEAIIDAQKPFLETSKEGFAARLIRLYGHASMASHAAATFHDLPPQLKSTMTFNSLLAAYVEAGEFEALAAAFKEIPVSNPSVVPSVYSYNILLQALCKVPDLSAALDTMTLMEKSGISPDLITFNTLLNGFYNHGDMDGAEKVWEMITERNMVPDAKSYNAKLRGLVAQGRIEDAVAVVEKMEKDGPKPDTISYNELIRGYCKDGRLEEAKKLFEDMVENGYVANRGTYHTLIPCLVKAGELDYALKCCHEIYGKNLRVDCFVLQEVVTALVTASRVEDATKIVELGWNNSYPRRILNIPHATEKNKEESISEEEEEPENA >OGLUM01G37640.1 pep chromosome:ALNU02000000:1:36386131:36388179:-1 gene:OGLUM01G37640 transcript:OGLUM01G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRRRASAVAGGRAEDEKAASAPAAAAPAPTVWFALKRSLHCRSEPSEVHVPRPKAVAAAAAGGGGHLSAIVTKRAAPRSGCSRSIANLRDVIHGSKRHPERPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLAAAAAGGGVLASPHEADGDGAVVSSFVGTLRPGTPGPGWSHGLQYSGSCRGSMRCTPPRSPNVLLDSRDAAAAGVTAHRASCEDAVKSCGKGGGGLSCHRCGEQFSKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLARFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGGAAGSSSLCASDKCAVCRIIRHGFSAKKEGKAGVGVFTTSTSGRAYESIEASAGAVVGGDDPAATRKALLVCRVIAGRVHKPLENLKEFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKA >OGLUM01G37650.1 pep chromosome:ALNU02000000:1:36426108:36426470:1 gene:OGLUM01G37650 transcript:OGLUM01G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERGRHSGAAAEAGDRRAKCGTVKDEQRASGGRPVKLTIRLVLLWSGKRPPPPDVREKAAMARERERHGRAAAEAGDCGVEGGAVEGKGAAAAATMAGKTGRHGGAPVELLYLTLRVL >OGLUM01G37660.1 pep chromosome:ALNU02000000:1:36434401:36435282:-1 gene:OGLUM01G37660 transcript:OGLUM01G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMKAAICIAAAVSLIHVVSAADYTIGSAAGGWGGEYKAWVASQTFSPGDTLTFKYSSYHNVVEVTKDDYEACSATSPVSADSSGSTTIVLTTPGKRYFICGAPGHCQSGMKLVVDVADRPAPATPSPPPLLPPSPRHKRRTAPAPMPLPPAQAPVWSPAPAPAATQRRHSGHKKHRSRHLPPKPAPAMAPTVQSVEADFPAAAFAPMSSPPPPPPMSSDASAVVRQKWSDVIVGLVALGLVVLGV >OGLUM01G37670.1 pep chromosome:ALNU02000000:1:36437203:36437581:1 gene:OGLUM01G37670 transcript:OGLUM01G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREGRMHGWVYAHKLVDPMDPDGAGGKAKRRVVHEITKQTANGGFVRVSRKPTNHSKYTGRDPYEAYTKRKTCKGRNKFKHDEIKMYYLDVEGLDDDDEYEEPYTTVGSSP >OGLUM01G37680.1 pep chromosome:ALNU02000000:1:36441381:36441941:1 gene:OGLUM01G37680 transcript:OGLUM01G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRRHSPPFIRLSMPVVLRHCSHPLLRYLQVPACAMLLWLHRSNAGDEVSCTRYQGGIRYVSRLWYVVPGTRRGHTFTK >OGLUM01G37690.1 pep chromosome:ALNU02000000:1:36441989:36444024:-1 gene:OGLUM01G37690 transcript:OGLUM01G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPIAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQAATQQNPVIMRY >OGLUM01G37690.2 pep chromosome:ALNU02000000:1:36442219:36444024:-1 gene:OGLUM01G37690 transcript:OGLUM01G37690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGTVLACILSFLAAAFSSAGGVGGGSLYVPILNIVAGLSLKTATAFSTFMVTGGTLSNVLYTLIVLRGHEKGGHQPLIDYDIAVVSQPCLLLGVSVGVICNVMFPEWLITALFAVFLASATFKTYGTGMKRWRAETAAARRMLEGGSSLGDGAGEALLGQKDGDGHRRQCVDLMVLVTIWLCFFVIHLFIGGEGAKGVFDIEPCGVTYWLITIAQIPIAVAFTACIVHQKRKSHAQNSQEFDQAISVKSKLESLPVYVFPVAALLTGVMSGLFGIGGGLLLNPVLLQIGVPPKTASSTTMFMVLFCASMSMVQFIILGVDGIVTALVYAITCFVASIVGLVVIQGAIRKSGRVSLIVFMVAAILALSVVVIACSGAVRVWVQYTSGQYMGFKMPC >OGLUM01G37700.1 pep chromosome:ALNU02000000:1:36446999:36449997:-1 gene:OGLUM01G37700 transcript:OGLUM01G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTSQKQALEGQNTPHRAKPRRAKKMMTNTKLFPLVAAICISFLSVACASNSTSSHPSSSSSLLTKPPQWREHLLLADSSSHVGLGLNTVAAWLLSFLAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLLCTGCGRRAAAVIDYDIALLFQPCLLLGVSIGVVCNVMFPEWLITALFALFLAFCTTKTLRAGLRIWSSESRGATLAVAAATAHGREEPLLLPHGTDAGNGGGARGDAGFPWKDVSVLVMVWLCFFVLHVFIGDKHGKGMIRIKPCGVAYWLITLSQVPFAVAFTAYIIYAKRKKQVLHNQEDGKANPESTKMDTLPTLLFPLAAFVTGALSGLFGIGGGLLLNPVLLQIGIPPQTAAATSSFMVLFCASMSMVQFILLGMQGIGEASVYAGICFVASVVGAVVIERAIRKSGRVSLIVFLVTGIMAVSTVIITFFGALDVWAQYTSGAYMGFKLPC >OGLUM01G37710.1 pep chromosome:ALNU02000000:1:36452137:36456701:-1 gene:OGLUM01G37710 transcript:OGLUM01G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) F [Source:Projected from Arabidopsis thaliana (AT5G54730) TAIR;Acc:AT5G54730] MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGMHFQVMGDGALEADNTGIISGELEIEKLQTRNIESRSKNLIPVFESLHTSRFQQTRVSTPDSNKYGLLQRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >OGLUM01G37710.2 pep chromosome:ALNU02000000:1:36452137:36456701:-1 gene:OGLUM01G37710 transcript:OGLUM01G37710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) F [Source:Projected from Arabidopsis thaliana (AT5G54730) TAIR;Acc:AT5G54730] MRSGAQAPRGGGGGGAFSKRTLSGYMRIMSSGASTAASSLLSVGASLVNSIASHDEDGSRDQVQWAGFDKLECGGDMLRQVLLLAYRSGFQVWDVEHANDVRQLESRHDGTVSFMQLLKKPIASTNSEDKFADERPLLALACDGTSTGSLISNDGNGPVPNGANGTLHNIGHENHPTVLRFYSLRVHDYVHTIKFRSTVYSIRCSPRVVAVSQANQIHCFDAATLEREYTILTSHIVPPISSYGPLALGPRWIAYSGNPVPVPDTGRVTPQLLNLSPLVPQPGSNGSVVAYYAKESSKQLASGIITLGDVGYKKLSKYCSDLIPSSNNVINQRNSGFKANGATINGHTDSEYAGMVIVRDIVSKSMVVQFRAHTSPISALCFDPSGTLLVTASIHGRNINVFRILPSSHGSSSEAGPNGTCVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHFFAISPYCGSTSFHYNENNLAENSYAVDSSVNHTAHWSQNSAPSLSLNQKILSVTGPPVTLSVVSRIRNGGNLLKGAVHGAAAFATGVSSPISGAIASTFHNCKGAVKNSDGSSPCMKYHLLVFSPSGSIIQYVLHRSAEQDPGIDFPSSAIPYGSQRETDTRFIIEALQKWDVCHKRNRRDAAESFVYSDFDIGENSKLFQKVAKKGTSVYPSNGTAVEKQKLGDENHNFYISESELQTHVVPTPLWSRSGVSTDKRQKSGFSEDGRLSCRSSSSSLDCMSEGPISTDDNGFGQCLVEDSGGAVNKNPNVNPRSELVNNTQSLNTEAQLGFVNNKEDCEDREQLPDL >OGLUM01G37730.1 pep chromosome:ALNU02000000:1:36466771:36472985:1 gene:OGLUM01G37730 transcript:OGLUM01G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAADRGGYRGIDTWETQEIPLEDEIFGALQTLHLGRPVPAPLPNHWRLGFNHSACAPAADGERWRGAHPSPGLRAVVRRRRVGAAERATGAVARVRALARDSERNRRCFVSVGTGRMLTAAFESLAAAALRPGSWPRLARWVLDLVAIAKHGSLPRRLNVVLVVIIKALIYPQVTKAAMVATTHLVSSDKRVATRVASTGLIPTLIEALVDADKSVSEKALAVFDAVLTSEEGRASARGHALAMPVLVKKMFRVSDVATELCRRHRSLGSVKGGAGEPVRTVRRKPTMGTGR >OGLUM01G37740.1 pep chromosome:ALNU02000000:1:36473319:36473504:-1 gene:OGLUM01G37740 transcript:OGLUM01G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARAAWRRARPVRPAVGEGRARWIGGPRADDLDTVSSAGCGGDGDDHGLSLAFAGGGAGY >OGLUM01G37750.1 pep chromosome:ALNU02000000:1:36474391:36478454:1 gene:OGLUM01G37750 transcript:OGLUM01G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLVRREADRGAAPEFVAVDIGGEAETAGAEAEPKKMESSFAGKGLERERSGDANPSTTGVLAVYEKQVVPVHVDGSPKEQFHPSTPTAGGAKRRRTGRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGRMTGGQADGQ >OGLUM01G37760.1 pep chromosome:ALNU02000000:1:36486237:36500465:1 gene:OGLUM01G37760 transcript:OGLUM01G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRPKDDHLVLVHGACIGGWTYFKVATRLRSAGYRVTAPDLGASGVDPRPLREVPTFRDYTAPLLGLLGSLPPGEKVVLVGHSLGGINVALAAELFPDKIAAAVFLCAFMPDHTSRPSHVLEKFIERKWLDWMDTEFKPQDAEGKLPTSMLFGPQIAQERLMQLCSPEDVTLAGSLLRVSSMFVEDLQKQQPFTEGRYGSVRKVYVVVNQDLAIPEGFQRWMIGNSPVDEVKEIDAADHLVMLSRPDELARCLADIAESYA >OGLUM01G37770.1 pep chromosome:ALNU02000000:1:36503427:36504948:1 gene:OGLUM01G37770 transcript:OGLUM01G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTF2-like [Source:Projected from Arabidopsis thaliana (AT1G11570) TAIR;Acc:AT1G11570] MDGQGKEGSSNGANAGGGGGWSDQCDVVARAFVEYYYQTFDTNRAALAALYGQTSMLSFEGHMVGGAEEIGRKLLGLPFEQCRHAVCTVDCQPTPSFPGGILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >OGLUM01G37780.1 pep chromosome:ALNU02000000:1:36509074:36513726:1 gene:OGLUM01G37780 transcript:OGLUM01G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylesterase PCR A [Source:Projected from Arabidopsis thaliana (AT1G11580) TAIR;Acc:AT1G11580] MRRQRDEPLLSSPSHRNAYPCRLLSFTLLSLATVLCLCAGAAFLLLSPTATNLCASSPDPASCQAIVADAVLASPHAQPSRPAHVLRAILATSLDRHDAAAEAVAGMRRRASDPRHRAALEDCVQLMGLARDRLADAAGAPDDGDGDDARTWLSAVLTDHVTCLDGLDDGPLRDSVGAHLEPLKSLASASLAVLSAAGRGARGVLAEAVDRFPSWLPARDRTLLDAGAGAVQADVVVAKDGSGKYTTIKEAVDGAPDGGKSRYVIYVKKGVYKENLEVGKKKRELMIVGDGMDQTVITGSCNVVDGSTTFNSATLALSGDGIILQDLKVENTAGAEKQQAVALRVSADRAVINRCRLDGYQDTLYAHQLRQFYRDCAVSGTVDFVFGNAAAVLQGCVLTARRPAQAQKNAVTAQGRTDPNQNTGTSIHRCRVVPAPDLAPAAKQFPTFLGRPWKEYSRTVYMLSYLDSHVDPRGWLEWNGADFALKTLFYGEYQNQGPGAGTAGRVNWPGYHVITDQSVAMQFTVGQFIQGGNWLKATGVNYNEGL >OGLUM01G37790.1 pep chromosome:ALNU02000000:1:36513974:36516703:-1 gene:OGLUM01G37790 transcript:OGLUM01G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVASIVDAVFRPLKDYFARTFGYVMSCGDYIDLLGHEMDELKSKRDDVKRLVDVAERRGMEATSQVKWWLECVSRLEDAAARIEEEYQARLRLPPEQAPGLRATYHLSQRADEMFAEAANLKEKGAFHKVADELVQVRFEEMPSAAVVGMDAVLQRLHACVRHGDVGIVGIYGMAGVGKTALLNKYNNDFLINSPDINVAINIEVGKEFSLDDIQKIIGDRLGVSWENRTPRERAGMLYRVLTKMNFVLLLDDLWEPLNFQMIGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWEPAWELFREKVGEHLMFSSMEIQEQAKALAMKCGGLPLALITVGRAMASKRTEKEWKHAITVLKVAPWQLLGMEMDVLMPLKNSYDSLPSDKLRLCLLYCSLFPEEFSISKEWIIGYCIGEGFIDDLYTDMDEIYNKGHDLLGVLKIACLLEKGDDEDHISMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYERPNCPLLKTLMLQVNPALDKICDGFFQFMPSLRVLDLSHTSIHELPSGISSLVELQYLDLYNTNIKSLPRELGALVTLRFLLLSHMPLDLIPGGVISSLTMLQVLYMDLSYGDWKVDAAGNGVEFLELESLRRLKILDITIQSLEALERLSLSNRLASSTRNLLIKTCASLTKVELPSSRLWKNMTGLKRVWIASCNNLAEVIIDGNTETDHMYRLPDVISQSRGDHYSNDEQPILPNLQNIILQALHKVKIIYKSGCVQNITSLYIWYCHGLEELITLSDDEQGTAANSSEQAAKICRDITPFPNLKELYLHGLANCRALCSTTCFLRFPLLGNLKIVDCPKLKKLELSAGNLNAVQCTREWWDALEWDDAEVKASYDPLFRPLH >OGLUM01G37800.1 pep chromosome:ALNU02000000:1:36523701:36525524:1 gene:OGLUM01G37800 transcript:OGLUM01G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRVQRMQCWVVVACVVASVSTTASAFVFKAGGTGEWRVPDQQANANVSAYNQWAEHTRFRVGDAIAFSYQPGNDSVLLVDKSSYDACNTNTPIDTFADGNTVFTFTRSGPYYFISGNKDNCNRNEKLIVVVMGERAANGTAPAPALAPSAGTTSPNSPPSPPPPPSGIEISPTPEQSINAAARPRAAGIAGAAGLAIGTLFYALV >OGLUM01G37810.1 pep chromosome:ALNU02000000:1:36527338:36533487:1 gene:OGLUM01G37810 transcript:OGLUM01G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNQQHNEGNESFVALMNGVAGDGTATLPNDGEQSMSIPARELFAAIEADSGLLPVNSSNTNEKRKRRLQRLTGKQSEVLEGFFSICGHPDDGQKRHLSETTGLGLDQVKFWFQNKRTQVKTMCWKEENYKLSVENEILRDENRRVKIAHCTAICLTCRNSSVQNQLAVEMERLMGQSEWLQQEIARSNGTPPAANLAFQLNSSADYVFSGQHDQQMIAELAKNAMHALIILAESHVALWFPVPGCSYEVLNKMAYDQAYPGDNSANAIGFKTEATRAVSMVMMDYKSVVDFLMDPYNYRTFFPEVISGAVTNRIYTWPTSDGYNGVIQLMTVEMMFPSPLVPARKCTFLRYCNVLNEGLVVVIDVSLDDGSIFSKCRKMPSGFLIQSIRPNSCKVTAIEHVLADDTGVHELYQPCMNGLVFGARRWVATMARQSARMRDVHHNKTAPQVSTKGRKNLMKLADDLLASFAGGITATGGGTWTVVIGAGTEKDIRVAYRRTTEGSSSYNAILSVSASLRLPLPMRKTFDLLRNLTHRCKWDVLVHGSVVKEEVTIARGVGNDDTVTVLHCKRAGREDRGRTMILQNNGYDASGSFMVYSQIDSELMNTMVLSPSDLPPGRGGPSLYPTGFSLLPDVEAAQDSSGIALGEVGGTLMTMGFQIPVKLASGDRMYSRSAASAIRLMTDTIALVKKTLMNEHSGIYGVSPFHP >OGLUM01G37820.1 pep chromosome:ALNU02000000:1:36534523:36539143:-1 gene:OGLUM01G37820 transcript:OGLUM01G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDRAASAAAAGESSSAGAMAVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDITDAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAESNRFVMICLFYRLFGGMCQKPDKLLPRIVAPFLQNARNVDIVKLVGKGSNTLTANLSETPMRALLRLRRRLPLPINTRAFSPSSPSPAPHEIPTVYSFLQPSVFAPRPKPQPPPPPPPTPPAHKTLPVGDAVALEDELLAAVSEDRSDDAWLAFRSLASASLSPSPPAAAALVSHLAAAHHHRLGLKRAFAAAVFLLEKSPHADPVPEAALQAVFTSLAAAGSAAPALALVRALLRCGLRLPGFPAWGSPLVELTRADTGAFVAFLKVFDEACKQMVAEEKSPSAAAAMRPDLAACNAVLGGCCRLLGSVTEAERVLEIMSVIGVSPDVDSFGCLAFLYAWRDIPSRVDELDKLLDALGFSKKIFFKNLISGYLKSCSFESVSSVILRVVEERRVGDSNAFDLESYTEVAQCFVDNGRIRELAQLIIKAQETESLQQSLAVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQRTAEAAQLVSEISAAGLQLDAGSYDALIDASMTAHDFLSAFSLFKEMREARLPDLRTSYLTIMTGLTENNRPELMASFLDTVVDDPRIEIATHDWNSIIHAFCKVGRLEDARRTYRRMVFLRYEPNNQTYLSLINGYVSAEKYFSVLILWTEVRRKGADFNHELIDAFLYALVKGGFFDMAMQVIEKARELKIFLDKWRHKQAFMETHKKLKVAKLRKRNFRKMEALIAFKNWAGLNA >OGLUM01G37830.1 pep chromosome:ALNU02000000:1:36539780:36541711:-1 gene:OGLUM01G37830 transcript:OGLUM01G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDTDLISVLPGEVLQHILSFSRIRAIVRMRRLSRRWRRVIECLQFICLDCRDFKHWKVEKFARFVDNLLLIRSKVDLHTFQLYWFHYLPLNCNDLRKWILYAVKHNVKVLDVELDMYDKTTLPSRIFTCRSVEELSLQMGEAPDEDLEHVGLVLPDIIQLPSLKKLTLSDVEVDQLSLNQFIGRSPNLEDLHLINSATYLDLIASKVLKRLTLDGFMHGPKRFTISAPHLVHFECQGCALQDVSWGEQPSLESAHIDTWGKKYDGESEFIGVLLSAKTLALFGSDVKVMLEKELPACPVFERLTTLEIGNWCLTEDFYAVLRFLQLSPRLGELTLMQKELPHAAGKGAETDAMPIDGMTFQCPLLETVIIQCSKGDDGIDKLVNVLAANGINPKKIQVTFYEDIEEMERPENRRIIEEREKELCEEKPRMGRRKPLC >OGLUM01G37840.1 pep chromosome:ALNU02000000:1:36547982:36550899:-1 gene:OGLUM01G37840 transcript:OGLUM01G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cardiolipin synthase [Source:Projected from Arabidopsis thaliana (AT4G04870) TAIR;Acc:AT4G04870] MAFLKTLNPLLRRSPTPIPNPRSLLSLDAFLTASSPAAASHATAPAPFAAAAHHHVPIRSGGPLFLSSPPWILSQSATPLTAAAAALRARLRRARALAGGGAQAVADAIGWEPRQISRGESEVAEVVTGGERFLNLPNLVSIGRMASGPVIGWMIVNEWYLPAFGTLALSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAIAMVEKDLLHPGLVGLVVVRDLLLVGGAVYKRASSLGWKWNSWSDFVNLDAIHREKVKPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITVLSWLVASTTIASTVGYGIKYRQIRPRR >OGLUM01G37850.1 pep chromosome:ALNU02000000:1:36552432:36554510:1 gene:OGLUM01G37850 transcript:OGLUM01G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLTSAMKRCCNGKTLLRGARTCRCSSASAIGSSGVRGKEEASTSATDSEPDKKRWRKKRFWRKKKKKAKKDHYGDAAATEHGSERASCRRYENDAVADLVNDISSKSDVCNVYAAEGILRITHQNIPSMVLTYRQLCNATDSFSPNNLLGEGGFGRVYRGHLEEINEIVAVKQLDKDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDMDQRILVYECMRNGSLEDHLLGTYGRHSQRWIAMSSINPLIDRACVRSDLPPKAKPLPWQTRMKIAVGAAKGIEYLHEVANPPVIYRDLKTSNILLDEDFNSKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLEIITGRRAIDTSRPTHEQVLVQWAAPLVKDKKRFVRLADPLLEEKFPLKGLYQALAIASMCLQEDASNRPMISDVVAALSFLAEQKYHPQDGPDQAARKSRDRDCSNPPRKTDMVSEIKADDEIKHR >OGLUM01G37860.1 pep chromosome:ALNU02000000:1:36555805:36557705:-1 gene:OGLUM01G37860 transcript:OGLUM01G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQLITRPATFSLSSPSPSSPGASFCLKPRRGAVGRVSCTSSPAEPDASRLDRRDVLLGLGAAGASATAAGILLSFPRRAGADPVATPDISSCGPPDQLPPSANVLTCCPPPSSASPVDFAPPADASSSPLRTRPAAHSAGADYVAKFNRAIAAMKALPADDPRSFAAQASVHCAYCDGSYSPDGFPGLDLQVHNSWLFMPFHRCYLYFFERILGSLIGDPTFAIPFWNWDAPDGMSMPAMYTDQSSPLFDPRRNGRHVPPKLIDLDYNGREPRFTDNQQVDHNLRVMYRQMISLSPTPSLFFGSPYRAGDDPNQGPGPVENIPHGPVHIWCGDPEQPAGEDMGNFYSAGRDPLFYAHHANIDRMWAVWKGLDPRRHTDLTDPDWLDASFLFYDEDPKLVRIRVRDVLDMDRLRYRYQDVPTPWTSARPVVTTQRVRSATSSLLTPTARAAGAKEAARFPVTLDSPTRVTVKRPVSARRSRAESKLAKEEVLIIDGIQVDMDVAVKFDVFVNAGEDHAAVGPGGRELAGSFVNVPHRHKHDKRGRAIKTTLRLALNEQLEDLGAEGDDSVVVTLVPRQGKGKVKIGSVKIEIMD >OGLUM01G37870.1 pep chromosome:ALNU02000000:1:36560911:36562083:1 gene:OGLUM01G37870 transcript:OGLUM01G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRLLLRRRLSTATAPPPTPGSILNPSSPSTPLTSRQKSRLAISLLKSTPSPPPDQILSICRAAALSPDSHLDRVALSLAASKLSSAPDSVRDLASSLLTPHHAPHAIALFGQAGLLPDAVSTFKSSPSTRSLNALLFACLVSGNHAEAARVFQTFPDAYSVKPNTDTFNAIIKSFAESGTTRSFYSVLDEMCKKGVKPNATTFTTAIAGFYKEERFDDVGKVIELMKKHGCGESLPVFNVRVQGLCKLGRSGDAKALLNEMVKKGTKPSWLTYNHLIHGFCKEGDLEEAKRLYKEMAKKGLVGDSSFYYMLIYYLCKGGDFDTAVGVYNEIAARNWVPCFSTMKMLVNGLAGSSRVDEAKGIIEKMKEKFPDKAEGWKEVEDALPQ >OGLUM01G37890.1 pep chromosome:ALNU02000000:1:36565134:36565535:-1 gene:OGLUM01G37890 transcript:OGLUM01G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMRVDAVVSSEGEDVRKETTLGQDEPGRRRRRRLRGPGRRERAATLVTTTPVAGAPRHLRRFIRLLVIAAVLEEVDRTGWGRARCGIFGRCTMRASNSLATAALSNWLAVVAS >OGLUM01G37900.1 pep chromosome:ALNU02000000:1:36567825:36571980:-1 gene:OGLUM01G37900 transcript:OGLUM01G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANERCRVALFVLIVCTFAYAAVYTSLPAVSVNPCAQTLSRALLAVTGLDPYVVSCAADDGVSTPLLSDGGHDKINAGRVGGPIVTDLLQCRKPEGPDFPEDLQCCPPMPTSEPIDFTLPDPSEPLRTRRPAHVAGAEYMAKYKRAIALMKALPRSDPRSFYQQANIHCAYCTGASCHPELAVQVHFSWLFFPFHRAYIYFFERIAGKLLGDPGFAVPFWSWDVPEGMRMPLQFANASSPLYEPMRNPWHAPPKLVDLDYTMDVVENNYTDDEQIKHNLWIMYKQMIRSAPLASLFHGQPFRAGETDKPGAGTVKLQPHNLMHAWVGDLLSYPNAEDMGAYYAAGRDPIFYTHHANIDRLWDAKTLRTLIGSTPHAFLFYDEEARLVRITARDVLDMDKLRYTYHGVGLPWLDARPPTTPNVKYRVKNRIEKPVMFPVTLDNVVTAEVRRPLILWRQPKRATQEEVLVVEHIQTDGICKFDVFVNAREHKKIKPCGREMVGSFLCLRHHNTQNNVTRRGDLGAEQDESVTVTLVPRHGKVRIGGVRIEYNGM >OGLUM01G37910.1 pep chromosome:ALNU02000000:1:36576480:36583091:-1 gene:OGLUM01G37910 transcript:OGLUM01G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGATNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >OGLUM01G37910.2 pep chromosome:ALNU02000000:1:36576480:36583091:-1 gene:OGLUM01G37910 transcript:OGLUM01G37910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKTNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >OGLUM01G37910.3 pep chromosome:ALNU02000000:1:36576480:36581082:-1 gene:OGLUM01G37910 transcript:OGLUM01G37910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAYNLKLLAALSALVLLLAASRSFLPGLLEAALRAPVLPRDLLPLLPWPVAQPLLRRLALRGAADLLPSFVGVAREPGDGGARAAEWKGACFYDNRAWMEFHNGTDGGLGGGTLHLETNKAHSWTCIDLYVFATPYRVTWDYYFVGREHTLDFKEWESEAEYEYVKRKGVSIFLMPSGTIGTLRALWDVFPLFTNTQWGENSNLAFLKKHMGATFEERPKPWVSELNVDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSEGKLWVGESGHENEQGEDIIAILPWEEWWDFEVKKDDSNPQIALLPLHPDLRAKFNETAAWEYAKSMDGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTMWNKLQPEYAANMWKEALNKRLGTKGLDLPEIIVESEKRGMTFDKLLTVPEQDKWVYTDGQSASCVAYVLMMYKEAGLFDPIASSIEVTEFTIKDAYSLNFFENNMTRLPVWCNKDDSVKLPFCQIKGRYRMELPGYNTMQPYPHMNERCPSLPPNYNRPRNC >OGLUM01G37910.4 pep chromosome:ALNU02000000:1:36581268:36583091:-1 gene:OGLUM01G37910 transcript:OGLUM01G37910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNTLIAAALLLLVAASLAAALLYSAGPRDVDGLVGALPRATLPFSPMDVLPLLPRTAAMAALRALRGVSDIFPVFVGAASDRPGAVGSRLEWKGACFYENEAWLVLHNDSGSKYGGGTLHIKSWKLASNKGLLEETTD >OGLUM01G37920.1 pep chromosome:ALNU02000000:1:36585495:36585833:-1 gene:OGLUM01G37920 transcript:OGLUM01G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGASQRAVESWADGDEWEDEAAASSSSEDDGHRERMENVAEVTIRITKRQLHELMERKGAGNGHGKISLRSTQQLLADIMNSGEVHHHDQHREAHWKPSLQSIPEAVES >OGLUM01G37930.1 pep chromosome:ALNU02000000:1:36588706:36589026:-1 gene:OGLUM01G37930 transcript:OGLUM01G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFKSQRAAASWADDGEWEDEEEQQQQQHLHEMAAVEKMERVEVKIRVTRRQLQELLEKAAGEGKGRPVEKVLAEMISSGKVCYEQEAAGWRPSLQSIPEADES >OGLUM01G37940.1 pep chromosome:ALNU02000000:1:36592948:36593475:-1 gene:OGLUM01G37940 transcript:OGLUM01G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATDPGYLETIKRLPPFRIGHTKIISNTYSDTGSKAAQQQQRNQPRKRKEDHLDHLCAYMGNCAVTQHAVSWADDGEWELPESGEEGTAPPRSGAHMTEVTIRITKRQLQELVDKRAAAAGGGHGYHVYRKSRRSAAELLADIMNAGEVYHQHYRVAHWKPALQSIPEAAMES >OGLUM01G37950.1 pep chromosome:ALNU02000000:1:36596790:36599368:1 gene:OGLUM01G37950 transcript:OGLUM01G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGLPRAWQTATLDRRSIVWRRGRRTACRRVAQPMAAEAGSARGEGGAVEEAGLAREARPAVEEAISVRGGAAGGCGAVFSARSGGRSGANLLLSCVLALPGCNIDKVLLEAHVVP >OGLUM01G37960.1 pep chromosome:ALNU02000000:1:36602552:36607632:1 gene:OGLUM01G37960 transcript:OGLUM01G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREPEMEEARENGGVGGSVLPLASLISPTGNEPYMVQWSMNNLIKTQRKVYFSQVQISELEGKIIGLYFAANWYPKCEVFTPALTAAYHQLKEHGAGFEVIFVSCDENRLSFERFHRAMPWPAVPFGDIGCKKRLSERFQVEGIPRLVVLAPNGEVVQPDAVELVHRYGDRAFPFTSARVAELEADEQRKFASQTLEKIFSVNGKDYVNGSQEQVPISSLVGKTVGLYFSAHRCAPCIKFTAKLAAIYSNLKGKAEDFEIIYIPMDKEEDGYLRSCSDMPWLALPYDDGASSGALARYFDVREIPTLVVVGPDGKTVTREGRNLVNLYFDMAFPFTDEQIRLLQEMEDEDAKGYPPSLRHTGHRHELSIVSDKSGGGPYICCECDEQGLGWAYQCIACGYEIHLRCGRDMEGRAE >OGLUM01G37970.1 pep chromosome:ALNU02000000:1:36605671:36606432:-1 gene:OGLUM01G37970 transcript:OGLUM01G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQLPSAFDPFAEANAEDSSVGAGSKDYVHVRIQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQIAFI >OGLUM01G37980.1 pep chromosome:ALNU02000000:1:36630211:36633728:1 gene:OGLUM01G37980 transcript:OGLUM01G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYCQQRLASVLLLCFWMLFIRAHGSRKLYITYLGDRKHAHADDVVASHHDTLSSVLGSKEESLSSIIYNYKHGFSGFAAMLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVAYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLVLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANRIASYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATMLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAIYHAEIQSPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNEKKSVRIPIAVRVTIQDFYADVA >OGLUM01G37980.2 pep chromosome:ALNU02000000:1:36630617:36633728:1 gene:OGLUM01G37980 transcript:OGLUM01G37980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEQAEQLAELPEVISVQRSRRYKTTTTRSWDFLGLNYQNPSELLRRSNYGEDIIIGVVDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAIHDGVDVLSLSLGTLENSFGALHAVQKGITVAYAATNFGPAPQVVRNTAPWVITVAASKIDRSFPTVITLGDKRQIVGQSMYYYEGNNSSGSSFRLLAYGGLCTKDDLNGTDVKGRIVLCISIEISPLVLFPLALKTVLGAGASGLIFAQYTTDLLGITTACNGTACVLVDLESANRIASYISEASSPMAKIEPARTITGEGVLAPKVAAFSSRGPSVDYPDIIKPDIAAPGSNILAAMKDHYQLGTGTSMATPHVAGVVALLKALHPDWSPAAIKSAIVTTASVTDERGMPILAEGVPRKIADPFDYGGGNINPNRAADPGLIYDIDPSDYNKFFGCIIKTSVSCNATMLPGYHLNLPSIALPDLRNPTTVSRTVTNVGEVNAIYHAEIQSPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNEKKSVRIPIAVRVTIQDFYADVA >OGLUM01G37990.1 pep chromosome:ALNU02000000:1:36655168:36658690:1 gene:OGLUM01G37990 transcript:OGLUM01G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSCQHRLVSLLLLCFWMLFIRAHGSRKRISVTESMHAQTTLLLLTMIRSRAFLEGDLAPSVTQFNYFIFCTLSPCFAQTVPWRTICTFKFNKDESLSSIIYNYKHGFSGFAAMLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNYGQEIIIGVIDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFQTVITLGDKTQIVGQSMYSEGKNSSGSTFKLLVDGGLCTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAGGSGLIFAQYTTDILDVTKNCNGTACVLVDLDTAQLISSYISDTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKPDVAAPGSNILAAVKNGYKLESGTSMATPHVAGIVALLKALHPDWSPAAIKSAVVTTASVTDERGMPILAEGVPRKIADPFDYGSGNINPNRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDQTTVSRTVTNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >OGLUM01G37990.2 pep chromosome:ALNU02000000:1:36655168:36658690:1 gene:OGLUM01G37990 transcript:OGLUM01G37990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSCQHRLVSLLLLCFWMLFIRAHGSRKLYIAYLGDRKHARPDDVVASHHDTLSSVLGSKDESLSSIIYNYKHGFSGFAAMLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNYGQEIIIGVIDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFQTVITLGDKTQIVGQSMYSEGKNSSGSTFKLLVDGGLCTDNDLNGTDIKGRVVLCTSLGIPPLMLFPVALKNVLDAGGSGLIFAQYTTDILDVTKNCNGTACVLVDLDTAQLISSYISDTSSPVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKPDVAAPGSNILAAVKNGYKLESGTSMATPHVAGIVALLKALHPDWSPAAIKSAVVTTASVTDERGMPILAEGVPRKIADPFDYGSGNINPNRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDQTTVSRTVTNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >OGLUM01G37990.3 pep chromosome:ALNU02000000:1:36655168:36658690:1 gene:OGLUM01G37990 transcript:OGLUM01G37990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHSCQHRLVSLLLLCFWMLFIRAHGSRKLYIAYLGDRKHARPDDVVASHHDTLSSVLGSKDESLSSIIYNYKHGFSGFAAMLTAEQAEQLAELPEVISVQRSRRYRTATTRSWDFLGLDYQKPSELLRRSNYGQEIIIGVIDTGIWPESRSFSDEGYGPVPARWKGVCQVGEGWGSNNCSRKIIGARFYHAGVDEDDLKIDYLSPRDVNGHGTHTASTAAGSVVEAVSFHGLAAGTARGGAPRARIAVYKSVWGRGGAGSGNSATVLAAIDDAMHDGVDVLSLSLEVQENSFGALHAVQKGITVVYAAGNSGPVPQVVGNTAPWVITVAASKIDRSFQTVITLGDKTQIVVGGANENHLSPHVAKIEPPRTVTGEGILAPKVAAFSSRGPSVDYPDIIKPDVAAPGSNILAAVKNGYKLESGTSMATPHVAGIVALLKALHPDWSPAAIKSAVVTTASVTDERGMPILAEGVPRKIADPFDYGSGNINPNRAADPGLIYDIDPTDYNKFFACTIKTSASCNATMLPRYHLNLPSIAVPDLRDQTTVSRTVTNVGEVNAVYHAEIQCPPGVKMVVEPSVLVFDAANKVHTFKVSFSPLWKLQGDYTFGSLTWHNDKKSVRIPIAVRITIQDFYADVA >OGLUM01G37990.4 pep chromosome:ALNU02000000:1:36658539:36660643:1 gene:OGLUM01G37990 transcript:OGLUM01G37990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEEDKSRSVLVRITFLHSPSIGTAAEGDETGRGGTNSGRPAASGGRRLGACAELAPSGEGLGPGGRVFVVTSSIIGLAGSDAGNLGTAFAGGRPPTMTEATTAGQSWVAARRRRRAGVGFDDPVHG >OGLUM01G38000.1 pep chromosome:ALNU02000000:1:36657235:36660389:-1 gene:OGLUM01G38000 transcript:OGLUM01G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPSSSLLCRFRLPRRPSRLTASEESIEDKNRWLNNHFNSRWALDFSVLHQAVTEATRPLCQGPQEHRQCRCSFL >OGLUM01G38000.2 pep chromosome:ALNU02000000:1:36657422:36660389:-1 gene:OGLUM01G38000 transcript:OGLUM01G38000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGDPSSSLLCRFRLPRRPSRLTASEESIEDKNRWLNNHFNSRWALDFSVLHQAVTEATRPLGNQLMDLSRRMLPLLAPVSLHP >OGLUM01G38010.1 pep chromosome:ALNU02000000:1:36664024:36677253:1 gene:OGLUM01G38010 transcript:OGLUM01G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYLQSAARTWSFICAGKYPIDHPYANPPYLKFFCLTLIRAHGPRRLYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAANGITVVYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDMPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADINKRSESSDVLGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQAENLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNKSKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYDIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNATKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >OGLUM01G38010.2 pep chromosome:ALNU02000000:1:36664024:36677253:1 gene:OGLUM01G38010 transcript:OGLUM01G38010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAYLQSAARTWSFICAGKYPIDHPYANPPYLKFFCLTLIRAHGPRRLYIAYLGEKKHDDPTLVTGSHHDMLSSIIGSKEEAKASITYSYKHGFSGFAAMLTEDQAEDLAELPEVISITPNQKHELMTTRSWDFLGLKNEPPSELLQRSNYGEDIIIGIIDTGIWPESKSFHDHGYDAIPSRWKGVCQLGEAWGPSNCSRKIIGARYYAAGLDKANFKKNYMSARDNNGHGTHTASTAAGVVVEGVNLHGLGAGVARGGAPRARLAVYKVGWEEGGAGGSYLATAAVLAALDDAIHDGVDILSLSLGVDENSFGALHAVQNGITVVYAGGNRGPRPQVLYNTAPWVITVAASKIDRSFPTAITLGNKQTLVGQSLYYKLKNNTESRFESLVNGGNCSREALNGTSINGKVVLCIELTFGPIGRIFEDVFAGVIQGGASGLIFAFYTTDVLLSTEDCKGIACVFVDNEIGYQVATYIGSERLPTVKIEPASSITGNQVPAPKVAIFSSRGPSIKYPTVLKPDIAAPGVNILAAKEDAYVFNSGTSMAAPHVAGVVALLKALHPHWSHAALKSAIVTTASTKDEYDMPILAEALPRKVADPFDYGGGNINPIGAADPGLIYDIDPKDYNKFFACQIKKYEICNITTLPAYHLNLPSISIPDLRHPINVRRAVTNVGEVDAVYQSSIESPLGVKMTIEPPVLVFNASKKVHAFKICITPLWKVQGGYTFGSLTWYNEHHTARIPIAVRITIQDFYADINKRSESSDVLGIYGSRKLYIAYLGEKKYDDPTLVTASHHDMLTSVLGSKEEALASIAYSYKHGFSGFAAMLTEEQAENLADLPEVISVTPNKQHELLTTRSWDFLGLNYQPPNKLLQRSKYGEDVIIGMIDTGIWPESRSFSDHGYGPIPSRWKGVCQLGQAWGPTNCSRKIIGARYYAAGIEKADFKKNYMSARDMIGHGTHTASIAAGAVVDGVSVHGLATGVARGGAPRARLAVYKVIWNTGNSLQLASAGVLAALDDAIHDGVDILSLSIHADEDSFGALHAVQKGITIVYAGGNDGPRPQVIFNTAPWVITAAASKIDRSFPTTITLGNKQTLVGQSLYYKLNNKSKSGFQPLVNGGDCSKGALNGTTINGSIVLCIEITYGPILNFVNTVFENVFSGGASGLIFGLYTTDMLLRTEDCQGIPCVLVDIDIGSQVATYIGSQSMPVAKIEPAHSITGKEVLAPKVAIFSSRGPSTRYPTVLKPDIAAPGVNILAAKEDGYAFNSGTSMAAPHVAGVIALLKALHPDWSHAALKSAIVTSASTKDEYGMPILAEALPRKVADPFDYGGGNINPNGAADPGLIYDIDPMDYNKFFACKIKKHEICNITTLPAYHLNLPSISIPELRHPIKVRRAVTNVGEVDAVYQSAIQSPLGVKIDVEPPTLVFNATKKVNTFKVSMRPLWKVQGEYTFGSLTWYNEHHTVRIPIAVRITIRDFYADVA >OGLUM01G38020.1 pep chromosome:ALNU02000000:1:36664929:36669104:-1 gene:OGLUM01G38020 transcript:OGLUM01G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTGKCKRHMPTSRSKAAPLRPKSGPTATGRRRKRFPPPRPCPSLRRLRRQDKSKKLSAH >OGLUM01G38030.1 pep chromosome:ALNU02000000:1:36673196:36677214:-1 gene:OGLUM01G38030 transcript:OGLUM01G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPARRARGAPPRATPVARPWTLTPSTTAPAAMDAVCVPCPIMSRADIVGVRPTVAIMGFRDVHSEKQLGKEE >OGLUM01G38030.2 pep chromosome:ALNU02000000:1:36672927:36677214:-1 gene:OGLUM01G38030 transcript:OGLUM01G38030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSYGRRRRRRRAAGLSNYGSNQASHAGGFASSHGRIPNSTAGTDCSPPPARRARGAPPRATPVARPWTLTPSTTAPAAMDAVCVPCPIMSRADIVGVRPTVAIMGFSSPARFSACSSVSIAAKPEKPCL >OGLUM01G38040.1 pep chromosome:ALNU02000000:1:36692960:36693202:1 gene:OGLUM01G38040 transcript:OGLUM01G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSMFLPVCALVLVMLCVCVASHMDEDERRGGARAYMGGHGRPVGIRPRTSGSPRGLSGGTWAACAGSSLLAAAAMLM >OGLUM01G38050.1 pep chromosome:ALNU02000000:1:36694960:36697781:1 gene:OGLUM01G38050 transcript:OGLUM01G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G15290) TAIR;Acc:AT3G15290] MATQATAREIAVVGVIGAGQMGSGIAQLAAAAGCGVLLLDSDTAALSRAVDSISSSLRRLVAKGQLSQASCEHSIEQIKCVSSVQELRDADLVIEAIVESEDIKKKLFVELDKITKPSAILASNTSSISITRLASATNRPCQVIGMHFFNPPPIMKLIEIIRGADTSEEVFTKVKSFSERLGKTVICSQDYPGFIVNRILMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDSKYSPCPLLVQYVDAGRLGKKRGQGVYSYRTRSSSIKPRSSL >OGLUM01G38060.1 pep chromosome:ALNU02000000:1:36699420:36699665:1 gene:OGLUM01G38060 transcript:OGLUM01G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSSLLPVCALVLAMLCVASLMDVTEGRRGGGGRAYIGGGGVGARGSATRTSGSPRGLSGGTWAACAGSSLLAAAAMLL >OGLUM01G38070.1 pep chromosome:ALNU02000000:1:36702919:36705009:-1 gene:OGLUM01G38070 transcript:OGLUM01G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58830) TAIR;Acc:AT3G58830] MLSPPPVPFLPPTTSRAPPLLPPPRATNPNSADPTTTTAAGMGAAAWWRRSLGQRFNPAGVAAVAAVAASEPRLALPHVSVQDIRWLDWGELRRAGFRGVVFDKDNTLTAPYAPGLWPPLAAAFDQCRAAFPPGSLAVYSNSAGLKQYDPDGLEATAIEAVIQGVHKPGGEAKEIESYFGCSASNLVLVGDRYFTDVVYGNRNGFLTVLTEPLNFANESYIVRQVRKFEAYLISYWYRKGHRPIKHPLLPDARRIVKFDPYNDPMAPAA >OGLUM01G38080.1 pep chromosome:ALNU02000000:1:36705308:36706161:-1 gene:OGLUM01G38080 transcript:OGLUM01G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDPWLPTMRRDPWLRDAPLAAFWRSDTAERPMATGSHGHRFPSSYSYSTLGRRKGMRTTRRRGKACACTQGSTGVAAGRIAALHADHIQANLDREGRKKSKQIQIGEEEEQGNPGRCRGPPPPAICRQPPRPVSCSPCRGREAATGGGIAVPCSPLAARCRCRRFDASGRVGRGCLPASGTREEAEETRRRERREENGGREEGGGGGRLGGGGEMGDDGEKKKREEAAEEKRVIWGFFIWGYFGPRHLLWAIVPSPGCFRF >OGLUM01G38090.1 pep chromosome:ALNU02000000:1:36710436:36715116:-1 gene:OGLUM01G38090 transcript:OGLUM01G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLSSSPSSRPRDHVETNGMAGASKAAAGSGSGGGGGSGGGVPLPPRGGSAAAAAAKRAADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLEKPYISCRPTLSIRHLVQLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLELLYALKTQG >OGLUM01G38090.2 pep chromosome:ALNU02000000:1:36710436:36717845:-1 gene:OGLUM01G38090 transcript:OGLUM01G38090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRRGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKEFNLYLVLLPLDEQSMPNLEKPYISCRPTLSIRHLVQLSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLELLYALKTQG >OGLUM01G38090.3 pep chromosome:ALNU02000000:1:36710436:36717845:-1 gene:OGLUM01G38090 transcript:OGLUM01G38090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRRGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKELSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLELLYALKTQG >OGLUM01G38090.4 pep chromosome:ALNU02000000:1:36710436:36715116:-1 gene:OGLUM01G38090 transcript:OGLUM01G38090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRRLSSSPSSRPRDHVETNGMAGASKAAAGSGSGGGGGSGGGVPLPPRGGSAAAAAAKRAADPQPQREGDSDAEFGGGVDGDSESSQSDGDMDENNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFSEEERSRNKKIQATIEETIRRQSEAVGKKRSTAKATATVFARKYRRNMRTRGRGKTIAPDIAPTGSDNEDREEGNAIDTTKESSSADDRSSDLMPKRGRKRPASRASPARTIGSSDHGFEENDELIGGKESFTTSPLRGEMLAWGKNGTRSQTRHGSVGGSNGRMAKGGRVAKLVDHLRTTDDMDKELSRQVEELDIFMRIDHCNGSVTTQDCTTGVAKMRLSDGLERIREDKLLSELHPSFTSHHGDLELLYALKTQG >OGLUM01G38090.5 pep chromosome:ALNU02000000:1:36715387:36717845:-1 gene:OGLUM01G38090 transcript:OGLUM01G38090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPLQPADSDDSDGHVPVGRAASSRRGGGGVSHESDGEDAARRAREPPRDQRDGDPDEGDGGGGDGSGGGSDSESSLNGAGDKDDQSDWKHPCLCPSTQAETGD >OGLUM01G38100.1 pep chromosome:ALNU02000000:1:36719024:36719458:-1 gene:OGLUM01G38100 transcript:OGLUM01G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRWGTGCGAGERRAWLPWRRGVASCAPHHRGRVDWWVWAAVLRQLRPLLPAHLHLVSPRQRHHRGTDPLAAAAGSGEEAAVTCPIYVKPFPSELAVSDHLVGCLVVAGGARPYAATYLAGDPLAFVMEVVKKLLGWAPHILF >OGLUM01G38110.1 pep chromosome:ALNU02000000:1:36733106:36733813:1 gene:OGLUM01G38110 transcript:OGLUM01G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATVEKVAVAPPTGLGLGVGGGVGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQSMVDCGGSPSSNSTVDTGGGGVQTPMRAMPLPPTLDLDLFHRAAAVTAVAGTGVRFPFRGYPVARPATHPYFFYEQAAAAAAAAAGYRMMKLAPPVTVAAVAQSDSDSSSVVDLAPSPPAVTANKAAAFDLDLNRPPPVEN >OGLUM01G38120.1 pep chromosome:ALNU02000000:1:36736197:36739753:1 gene:OGLUM01G38120 transcript:OGLUM01G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGIDWDVRARRGHRLALLTGWGPAHASSSSAEERRMAWPGQWRTRKGAFSRVLSLNLEFHSTNKASTILRLNLEAEASVSKSSEEPSFEESEARVGGRVGRGLAAGGGRLHVGWGMATGGVAVRRAARQAVACGSAGGEAGGGSGAHGSVMFSSLFFFENL >OGLUM01G38130.1 pep chromosome:ALNU02000000:1:36744204:36745099:1 gene:OGLUM01G38130 transcript:OGLUM01G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLTWAAAGGRRAGEDKHLSKWTDADVDEFIASDPVYSPQPKAMRESRKSALGGALVGGAHLGGIALKYSKAPHDIITNRDLSNQPAAAVLRVRRGGEVGVRRRRHDEEKNALQTAVAYNAEADAWVPLPDMATERDEARGLYVGGVFVVVGGGGDGARSGSHDGAASAVHALLLAAASTMYGVGGGGGFNAPSTAFARRPQPGG >OGLUM01G38140.1 pep chromosome:ALNU02000000:1:36755747:36756132:1 gene:OGLUM01G38140 transcript:OGLUM01G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTPTPTLARPTPRDLIRTPTPTHFPPLAVSPLNFLVLRRLLFMRTRPKAGRCVQSKGPHGGWQVGRRRWAQKCGTNALLTVWGLGIG >OGLUM01G38150.1 pep chromosome:ALNU02000000:1:36757063:36759933:1 gene:OGLUM01G38150 transcript:OGLUM01G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAPVRMECFIGCFKEWEGGNIEKICTSSFFVQLKWCLMTAHKNLTLALCQALLLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPLCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERLLPPSGRANLCPAVPATVPARSGVRATDPDAPDDVFNLLTVPFSTKQGIEGVIR >OGLUM01G38150.2 pep chromosome:ALNU02000000:1:36757063:36759933:1 gene:OGLUM01G38150 transcript:OGLUM01G38150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAPVRMECFIGCFKEWEVKNVTSNPIVLGGNIEKICTSSFFVQLKWCLMTAHKNLTLALCQALLLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPLCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERLLPPSGRANLCPAVPATVPARSGVRATDPDAPDDVFNLLTVPFSTKQGIEGVIR >OGLUM01G38150.3 pep chromosome:ALNU02000000:1:36757063:36760055:1 gene:OGLUM01G38150 transcript:OGLUM01G38150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAAPVRMECFIGCFKEWEASPLFSRLIGGEHRKDMHLFLLCTAEVVPYDSSYGQKNLTLALCQALLLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPLCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERLLPPSGRANLCPAVPATVPARSGVRATDPDAPDDVFNLLTVPFSTKQVTK >OGLUM01G38150.4 pep chromosome:ALNU02000000:1:36756516:36759933:1 gene:OGLUM01G38150 transcript:OGLUM01G38150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSPSVSASSHSYASLRPLLHQGCFKEWEVKNVTSNPIVLKNLTLALCQALLLLSNLHINIVPSFGDMGALGIDLCRRSRLRWPHGDGLHASGLADGRDVQPLCWPHAVVLLRHRPRERLHHAAVGVAHSPWASSPPAPDLATGGPDLPHTTPAACSRVRRAPLGLLRAPSRRHERLLPPSGRANLCPAVPATVPARSGVRATDPDAPDDVFNLLTVPFSTKQGIEGVIR >OGLUM01G38160.1 pep chromosome:ALNU02000000:1:36764350:36768456:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVRRIHTGLGYQ >OGLUM01G38160.2 pep chromosome:ALNU02000000:1:36764350:36768456:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVRRIHTGLGYQ >OGLUM01G38160.3 pep chromosome:ALNU02000000:1:36764350:36768456:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVRRIHTGLGYQ >OGLUM01G38160.4 pep chromosome:ALNU02000000:1:36764350:36768456:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVLLVSLLNCLYPALPSYHIQVNCSFSLHMVHPKLPEYMLCGMSYFLRARVDDIAICMTVPFGYMPRMASVCTPSLRSNTICLEGLHYSR >OGLUM01G38160.5 pep chromosome:ALNU02000000:1:36764350:36768223:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVRRIHTGLGYQ >OGLUM01G38160.6 pep chromosome:ALNU02000000:1:36764350:36766208:1 gene:OGLUM01G38160 transcript:OGLUM01G38160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAECSEKLQFLKASASASMAYSIVQFPVKWQSIKYKLQKLCSNFQPEILNVPGDDDSCNEHVILVQFLQTAMATVRRIHTGLGYQ >OGLUM01G38170.1 pep chromosome:ALNU02000000:1:36770565:36772956:1 gene:OGLUM01G38170 transcript:OGLUM01G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRLVAASLGQDEPGVSDTAVAPEGEGDSEPPASSDGAAGDIAASAEQPEASPEDLEDIRQVKRVLELLQKNRDMTFGEVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >OGLUM01G38170.2 pep chromosome:ALNU02000000:1:36770623:36772956:1 gene:OGLUM01G38170 transcript:OGLUM01G38170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a chloroplast protein that induces tolerance to multiple environmental stresses and reduces photooxidative damage. [Source:Projected from Arabidopsis thaliana (AT5G44650) TAIR;Acc:AT5G44650] MALLSPPSPPPPLPPLRRRPASPTLLAVATRPSSLLSLPHCHCGLPLPSTANARAYSRSSRRRRLVAASLGQDEPGVSDTAVKLTIMIEDPRDIERKRLLGIEDPDEITRDDLADALVEVNEGRIPENRVALQLLAKEMTEWPDLEMEAPKKKSKPGKSVYAKATDTGIDPETAAKRLNIDWDSAADLDDEEEEDDETEVPSAVGYSALYLLTAFPVIIGISVVLILFYNSLQ >OGLUM01G38180.1 pep chromosome:ALNU02000000:1:36793421:36794746:1 gene:OGLUM01G38180 transcript:OGLUM01G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANRRAHMSSLRLLPEKPTRSALSSTPQRRAGNGGGEAGTDGVKCTALCLYLPRLLKKKRAAVQPATMSAAPTSAQKAKAKAKKEVPSAPRVSSRWPSSLPRAVSAGAAGRTSSASSQLREASASASASFSFSHWSRSQASRVRPHGAAPGPFSFPSSPASASSGTSTPKLAHGGILTELLGLLYSSSHEGLHYLQRYLQLYPPKDAITIRRKNILYYRRYASTGSSKSSRS >OGLUM01G38190.1 pep chromosome:ALNU02000000:1:36795086:36795664:-1 gene:OGLUM01G38190 transcript:OGLUM01G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTPDADPGRDTFTCGTLFMCLNLRGLFKKKPEEVGKSRRQSQEQDQDQAAAVDAETEQEPQYVPAPAPIRAASFEKLERSPPYSGSNIAFDLLVEPELGEDRGARQVLAYCPSPCFDLPAGLMMRAGERCDAPGTAGFVLDGCPTKGALKKVASCLPPDVAGGDGEARPPHLVRFLSTSGSTAPANGGLP >OGLUM01G38200.1 pep chromosome:ALNU02000000:1:36796085:36798238:-1 gene:OGLUM01G38200 transcript:OGLUM01G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMAGMVALWNEWEIRVLVLSSLALQVFLLFSAVIRKRNVSAVLGLLLWLAYLLADSIAIYALGYLSQTRVPRGVDVRSFRNTHRIQAFWAPFLLLHLGGQDTITAFSIEDNELWKRHLLSLLSQVALAMYVFAKSRPGADILAPAVFMFLSGILKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEPERRGGGVTAAAIAEESVPYTTIITDARRFFVTFKRLFVNLILSFQDRTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCVTLLSTSAACLLFNLLDKDRYESHDTRVDIFITNLLFGGALCLEVYAIGMMLISYWTYAALQGCNCRTLSHLLFKSIKYFRPESRPKWSNLMAQHNLISYCLHDRATLLTKVITMVGLKGHWDSWMHIQHIDVLPELKTLVFRELKDKAVSIVDNAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFYYDIDGSDGDAKLTEYVGISRTVSNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKIFFEREMALPDERAAAAMVLEVNAEIAPRDVKGDRSKSVLFDACRLAKSLLELQPGKRWRLIRVVWVEILCYAASKCRSNFHAKQLSNGGELLTVVWFLMAHLGMGEQYRIEAGHARAKLIVEKN >OGLUM01G38210.1 pep chromosome:ALNU02000000:1:36798559:36799752:1 gene:OGLUM01G38210 transcript:OGLUM01G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKYVDSLAEEEAAAELPFRAHFYDVKAELEKAAISSTNADELRQCLYELNDLLAECRMLASRTNTRPGCFSPSEAWRSNRVKTRVIAVKRRVLRCVESDSSGNAAALEEEDSAAAGFSRWTTSWLEEGTVHGFDQQLAELESMAFGAECGAGGLTGVGIVGMGGVGKTALAQLVFNSPRARRRFFPRIWVCLSRTACAGADVRKEVLQSMLMALGLEEEVILSMDGGNGLGEMVFAVHEQLKGKRYLVVFDDVWNVDGWYADVVGRRNASPTGEEWGERLAFGLPKERGGVVVVTSRLEQAAETMVGKSSLHRVQPLADGESCWAIFMDAFSKERRPADVTTVNNMKDEIIDTCGGLPSAAKTLGDIFARSLSSPASTSSQELSKNR >OGLUM01G38220.1 pep chromosome:ALNU02000000:1:36800007:36801890:-1 gene:OGLUM01G38220 transcript:OGLUM01G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQERALDEVVSPFLMQLSKARLLFLKLDEDSSFLEIKHLFQNIEKEAYEVENILQRVSRWENEIIDDFGGIARYLDDIVEEESHLNSICLKLQIVSAEMSNLKDRMKLPLHVPVIKPSVPPLLPASSPAKLLPANLSKQIKYLCLRGISRITALPASIGELSNLMILDLHACHNLERLTESITSLQMLTHLDVSECYLLEGMPRGIGLLTELQVLKGFVIGGSTSNYNCRVAELVRLEKLNKLSVYIGSKVAVTGDELNELENIKGLRSLTITWAVSVLSKGKDQQASVATAMLTSLSLPPNLKKLDLRCFPGKKIPSWLSPSKLLGLKKLYFTGGMLNTFGDGSTSEMWKVEILRLKFLDDLEVEWTQLHEAFPNLTFLEVFRCSKLESFPCDKDGVWMNCDKQEVR >OGLUM01G38230.1 pep chromosome:ALNU02000000:1:36803812:36811594:1 gene:OGLUM01G38230 transcript:OGLUM01G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIQDVLRLLVRPEVGSILIEGIGGLGKTWAAKAAYQAARANNLFDEYIWISLSINCSLRQCIDKITACLSCEIREDLSVQRTTTMIKEYLTKRKFLLVLDNAYFTEENILEHMGIPHPRQQNIGSKVIVTTRTRRTAGAMWPDGPDTVIMPQPLTYEESYNLLCTKIGKDVGSSYTLDLINNCYGIPLSVILLAGVLCDVPSQDTLNELVRNACVTLGSKVSVFHTMQRLVKFAYHQLPDANARHCFLYCLLFPEDQGIPVNNLIRFWVMDGLITQSIEFHEASCIGKEILDVLLKRCMLYMDGNDHVRMHDVIRETVSGFGKVNGYREQHDFKFGNPARKLECLAKLSTRVSLMSTEMKYLDGSVRCFWLTSLFLRGNRHLKYISEELFCHMRMLGILDLSFTGIKILPRSISCLTRLRILLLMGCDHLEEIQHIASLARLEVLDASSCRSLRSIESGSFDHMMLLKLLDLSTTSIKCLPSLPASRELCHLLLQNCPYLGSENTIKSDGILSDTELIRFPYGVSKTGAIQNLQLGRIGDLSDLMAMLWLPCGLTFQLCDMFNMGVLFSDNEDSKTFVYASDTYFFHSLKKDSPLWLNGFQRFQIIISPLKDDQALDTDAQLMKADFIFRSSYFKTKHFTHSIDLDKFLEINGTFDVPSETEGILGHAELVSLKRLATTRSSDLNITSMEAVRELWIENCSQLESLLSVDEIEILSAWGNLHNLWISNLERLSSLLEGVKDVVSFSCLKHLLIDCCPNLKWIFPSMVCLPNLETMHVKFCDILERVFEDDSVLGDDALPRLQSLELWELPELSCICGGTLPSLKNLKVRSCAKLRKIPVGVDENSPFVTTIGETFWWDCLIWDDESIKRWILFRKWGPMLPYLATEG >OGLUM01G38240.1 pep chromosome:ALNU02000000:1:36820088:36824101:1 gene:OGLUM01G38240 transcript:OGLUM01G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSNVKSAGVAQIDGRPVLQPAGNRVATPEAARPLKKSLQKSLSMPASLDNAAAATTCAASPENTRAADFVRAAAASLLPPPTPASVSAKATRVSGAKVAAARTAAAAAAMGGLDRSRKPAKKGGAAVLPVVTFAGLEAYEPAGSIAAAQREHVAMAQAQRKMRIAHYGRTASFSRVEGKVSATATGAAELVAGAVTGHDEKRCSFITPYSDPLYVAYHDEEWGVPVRDDELLFEMLTLSGVQVGADWTSILKRRHVYREAFSGFNVDAVAKYTEKQMASLSAEFGLDLGTIRGAVNNACRISEVRRDFGSFSKYVWAFVNNKPLSPSYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRVCSSSA >OGLUM01G38250.1 pep chromosome:ALNU02000000:1:36831684:36834708:1 gene:OGLUM01G38250 transcript:OGLUM01G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLLDRDSSGTQPTGANIRRALAQLVGDARPGDFLFFHYSGHGTRLPAETGQNDDTGYDECIVPSDMNLITDQDFRELVQKVPNGCLFTIVSDSCHSGGLLDSAKEQIGNSTKQNQTQSREREEPSDSGSGFRSFLKETVRDVFESEGIHLPRSRHSQSHYEGEDQDESYAQPTDGHTKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFNIFGDDASPKVKKFMKVMLGKFQQGQSGEQGGLMGMVGSLAQEFLKVKLEGNEEEAFKPAIEQEVHSVDEVYAGTKPWAPNNGILISGCQSNQTSADATTPQGSSYGALSNAIQTILADKRGNVSNKDLVMKARSLLAKQGYTQQPGLYCSDDHVHVSFIC >OGLUM01G38260.1 pep chromosome:ALNU02000000:1:36836253:36836447:-1 gene:OGLUM01G38260 transcript:OGLUM01G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSLHAFVVFFVVQVCLLVVMASSSSWTVQGRPVALESVPACCFYHPDCCQAAGADPAIADP >OGLUM01G38270.1 pep chromosome:ALNU02000000:1:36838070:36838279:-1 gene:OGLUM01G38270 transcript:OGLUM01G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNLRVFVVLLVVQVCLLALLATPWTVHARSATAVFPANCPCPRFAECCRAAATGRHGQLATKSNP >OGLUM01G38280.1 pep chromosome:ALNU02000000:1:36840371:36840580:-1 gene:OGLUM01G38280 transcript:OGLUM01G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGHLRVFAVFLVVQVYLLAMMAAPWTVQAGPVVSLLSNVCCSMHIAGCCPAIAGGGGGSGDAAKAKP >OGLUM01G38290.1 pep chromosome:ALNU02000000:1:36842986:36845989:1 gene:OGLUM01G38290 transcript:OGLUM01G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG66] MKKEKKFEKKVFYKGPKLSRNFNPIFQEMIRCSQSQILSLSPKKSSLSLLPALHDCTQLLPMASVAATTFPSMARPAARGIVAGAAASTVPLPRAGVASPCPTARSLGFAARGTDPRLAIHVSSRRRAASASAGSRLARAVATMAKKSVGDLAAADLEGKRVLLRADLNVPLDASQNITDDTRVRAAIPTIKHLIGNGAKVILCSHLGRPKGITPKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKSVSVLPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTNYLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGFPVGASLVEDDKLELATSLLAKAKEKGVSLMLPTDVILADKFAPEANCQVVSASAIPDGWMGLDIGPDSVAAFSSALETTQTVIWNGPMGVFEFEKFAVGTEAIAKKLAELSGKGVTTIIGGKDSVAAVEKVGVANVMSHISTGDGASLELLEGKELPGVVALDEA >OGLUM01G38300.1 pep chromosome:ALNU02000000:1:36846567:36849665:-1 gene:OGLUM01G38300 transcript:OGLUM01G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELATHDLAALGAADLVRVSASIPRAAPRTFALLTAGLVFPLSAAVLVHSLFTHPIILRLQGANGDYSSSDSAQWLKLFAYQFLYLILLFTLSLLSTAAAVFTVASLYAAKPASIASSLAALPPILPRLLRTFLWVSLLMLAYHLVFALTVLLLLLLFAPSSSPPSLPFVLILVVVVFVFLAIHVYISALWHLASVISVLEPLCGLAAMTKSKQLLQGRTRTAGVLVVSYFAACGVTAVLFRAAVVKGRGEEGSLGLSLPGRVLAGAVLVSVLVCVNLLGLLVQSVFYYACKAFHNQQIDRSALYEHLGGYLGEYVPLKSNIQMENLDA >OGLUM01G38310.1 pep chromosome:ALNU02000000:1:36852177:36853499:1 gene:OGLUM01G38310 transcript:OGLUM01G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQHLAAGELVTALRGASCPSSALRLYSLIRIHARPSDPALFAWRPAVLALKPLSAAASLPLLSHFHAHLIRSNLLAYPHVASSLLRGYSLLSPGHARHLFDQIPPSTCNLVVVNVMLGSLCRSSDLASARAFFDGIPDKDVVSWSTMLACYFSHSRVAEGLAFFRTMTFTTQLAADYVMLVTVLTGCASAGLLPVSCRAIHGYIVRRGITFTMHLGTALIDCYAKASRLDYASRVFCRVPLRNVMHWTAMICGSAAHLGSEKAIQLFEEMCRSGVQPNEMTFTAVLSACGQAGLVDQGRRFFKLMVDTYGFEPTIHHYGCIVDLYAKAGKLEDAYEVIKTMRMEPNIIIWTSLLAACKKFKNFYIAVEGIEKVLSMEISEENGGLYALISDLYAMGGQWEDVLRVRSLMEERNVWKIRGSSSIKVGEPQDFTFPAVS >OGLUM01G38320.1 pep chromosome:ALNU02000000:1:36855526:36864184:1 gene:OGLUM01G38320 transcript:OGLUM01G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG69] MARLLLLLVLIGGICAAAAASSPLLPAAETMRVSFAGKSEFRTVNRKPLGTCLDPSPYLEISVSTGGAPLPDEAFLNVTVSGVRRPDRSHWLAMITPSNSSVLGCPLNGVNYIETGDLASLPLLCHYPVKAQYLTSDPGYLGCKASACQKRRASGTCKVRTCAATLAFHVINFRTDVEFVLFSGGFATPCVLKRSGALPFANPAKPLHGHLSSVDSKATSMRLTWVSGDARPQQVQYGTGKTATSVATTFTHKDMCSVAVLPSPAKDFGWHDPGYIHSALMTGLQPSQSYNYRYGRTPPAAGSGELSFVVFGDMGKAPLDPSVEHYIQPGSTSVAKAVAAEMQTGKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYAGSGSVYPTPDSGGECGVPYESYFPMPASGRDKPWYSIEQGSVHFVVMSTEHEWSEKSEQYNWMEMDLSSVDRSRTPWVIFIGHRPMYSSSSGIPPSVDPNFVSSVEPLLLNHKVDLVFFGHVHNYERTCAVYQGNCKGMPKKDAKGVDTYDNSNYAAPVHAVVGAGGFNLDGFPKIGLHSWSLSRISEFGYARVHATKTDMLVQFVNSNTSAVQDQFRIVKGAR >OGLUM01G38330.1 pep chromosome:ALNU02000000:1:36865964:36869182:1 gene:OGLUM01G38330 transcript:OGLUM01G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLFAVCFVILSFAMAAAAARPATSSTADAPATSGDCSSDVQDLMANCQDYVMFPADPKIDPSQACCAAVQRANMPCVCNKVIPEVEQLICMDKVVYVVAFCKKPFQPGSNCGSYRVPASLA >OGLUM01G38340.1 pep chromosome:ALNU02000000:1:36870983:36871413:1 gene:OGLUM01G38340 transcript:OGLUM01G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLYGLFWVMALVLAMVAGTKSDEGCSRDLQDLIMECQKYVMNPANPKIEPSNACCSVIQKANVPCLCSKVTKEIEKIVCMEKVVYVADYCKKPLQPGSKCGSYTIPSLQQ >OGLUM01G38350.1 pep chromosome:ALNU02000000:1:36879771:36880564:1 gene:OGLUM01G38350 transcript:OGLUM01G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLHWEARRGMREPTTPPWGALPIVVPFLDPKSLAAASCVCTSWSAAFGADGLWFPSALGLLHLLGGAEARGPHSSPHRRRLFGLFHAASHGQQVKPPRLPSTTSPSPSTSSGPAATPSSPSQLPPETPDPRTFGVDTSDRNAALLPGERWSVRLTAVRAGAGLAPTAFVMVYAENKEMPAPPHHRHSLAWGAPHTWLQRARHEHSGGGGGDHGEHRGEPDYRPRFVSIDDGLRYLQQFLL >OGLUM01G38360.1 pep chromosome:ALNU02000000:1:36880774:36884561:1 gene:OGLUM01G38360 transcript:OGLUM01G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWAQLCRSHFPSALGLLHLLDADGGADARGPHHPTRPHTATSPGNRALQPPGLAIDIEADSNNVLSFAVTARDAGPKNSVFQFGVDTGDMNEAVGRAERWRVRLTAVLARVEPGFAPAAFMMIDPVPEELEIPKADEIGMGVCVYAGLPAPGGCDDWGDAIPEARMEIKVTGEKRFVQEVNFGVTLLTSDSDSDGRLIDMLACAAVEADGSVSRARKRPRIGVSAEPARPTAPMLGSEPPWLVLRLVGPFLDAESLAAASCVSTACREAFTAEDLWSKLLRSQYPSALGLLPMQGNGDDASGRSSSPYRRLFALFRSASARRRALPPPRLALDDVTFAIDIFAASGENTLSFVVAARDAIAKTGRFQFEVDLTGRNAAVGRGEFWSVRWTALRVGLIGFAPLAVEMMDAKAPAARARALFGGATGETWATGCLPAPGCGGATVEAEVVFEVSGEERLLEKVRFGVMAQCRYVSIDDGLSYLQHFLL >OGLUM01G38370.1 pep chromosome:ALNU02000000:1:36885509:36899142:1 gene:OGLUM01G38370 transcript:OGLUM01G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVQVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLRFVFFSFPQLRAFAQPRNLVCPRCWMSAIRASSHRLQTRTVALTRTKMSSMAGLGASQHGYPPRSHEPWTKLVHRERLPEWFAYNPKTMRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >OGLUM01G38370.2 pep chromosome:ALNU02000000:1:36885509:36899142:1 gene:OGLUM01G38370 transcript:OGLUM01G38370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRGGSLFRLYGCGCGLPSANFSPSKLALIRLSLMMAETRATYSRRAASKNTDIKKDDEHVLEKEDVAESKLEIEQLRNDPDRLQSMTVKELREITRMMGIPVKGNKKDLVSALMDSLGKVGTSSVEKIGVSEVPSKRKGASVVVEQNIESSEVISETPSKRSRAKNKGTAEESSGANVKQSKTSVQKKKLVVQGASVDHEEPWTVLVHKKPQPAWIPYNPKVMRSPSLSKDTKALKILSWNEKDVQVIKEGLLEGYTHSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDTEGRVVTVEFNDFYLLTAYVPNSGDGLKRLTYRVTEWDPSLGNYMKDLEKSKPVILTGDLNCAHQEIDIHDPAGNRRSAGFTIEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNARKTNKGWRLDYFLVSESIAERVHDSYIIPDISASDHSPLGLADKNCGINKDKDVKHGRLGSFSAWISSPKS >OGLUM01G38370.3 pep chromosome:ALNU02000000:1:36893633:36899142:1 gene:OGLUM01G38370 transcript:OGLUM01G38370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPLSHDTKCMKILSWNINGLHDVVTTKGFSARDLAQRENFDEKDVEKFKNLIADYDSYWSCSVSRLGYSGTAVISRVKPISVQYGIGIREHDHEGRVITLEFDGFYLVNAYVPNSGRFLRRLNYRVNNWDPCFSNYVKILEKSKPVIVAGDLNCARQSIDIHNPPAKTKSAGFTIEERESFETNFSSKGLVDTFRKQHPNAVGYTFWGENQRITNKGWRLDYFLASESITDKVHDSYILPDVSFSDHSPIGLVLKL >OGLUM01G38380.1 pep chromosome:ALNU02000000:1:36896623:36898739:-1 gene:OGLUM01G38380 transcript:OGLUM01G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRSGYRDRGGGEQGRYWRPPRSHGNGNFSVPLWEKKFCTDACAIPWGKLCETKKLMSLYKNVVDWDDSAALETFNDAKARFCAVYHGQPCDIPLPDPNMYIDMVNQDEHVDPELVADLEKSRRSYPKRDNTAPDGWDSFIFTDKPVPATGWGDGETSNTPGQQYPTNWNNHVKQPTEANCMQSSVNWDNYVSQPPQATVQQSSGNWDMYVKQQDQANNWEAPTMPGTWDMKGDSLDAWKRDSGWGSAAIDSWDNHRENCYVPDSQGWSYGHWKRRNNESSRRNSRGRDRVGPISSKAMKPKYHSEERNGANNGWRHCRVRNNMQYSYENPGCNQSLAM >OGLUM01G38390.1 pep chromosome:ALNU02000000:1:36916479:36918682:-1 gene:OGLUM01G38390 transcript:OGLUM01G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLPINRIKDRRRTGGGRRGVGKEIQFSDSFCYLCCRALQKIGEMDAVLVTAAIFGLLLCGCSVSGVEGIGVNYGMIGNNLPSPDKVIALYRASNITDIRLFHPDTTVLAALRGSGLGVVLGTLNEDLARLATDASFAASWVQSYVQPFAGAVRFRYINAGNEVIPGDEAASVLPAMRNLQSALRAAGLGVPVTTVVATSVLGSSYPPSQGAFSEAALPTVAPIVSFLASSGTPLLVNVYPYFAYSADPSSVRLDYALLSPSTSAAVTDGGVTYTNMFDAILDAVYAALEKAGGQGLEVVVSETGWPSGGGGAGASVENAAAYSNNLVRHVGRGTPRRPGKAVETYIFAMFNENQKPEGVEQNFGLFHPDMSAVYHVDFSA >OGLUM01G38400.1 pep chromosome:ALNU02000000:1:36919317:36922515:-1 gene:OGLUM01G38400 transcript:OGLUM01G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG79] MGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLDMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >OGLUM01G38400.2 pep chromosome:ALNU02000000:1:36919319:36922477:-1 gene:OGLUM01G38400 transcript:OGLUM01G38400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG79] MGGAEDAPRAAAANGHGNGATVEEKLDELRRLLGKADGDPLRIVGVGAGAWGSVFCALMQDAYGHLRDKVQVRIWRRPGRAVDRATAEHLFEVINAREDVLRRLIRRCAYLKYVEGRLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTDTREVFGEIGRYWKERITAPIILSLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLDMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALYKILIKRELPPDSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDAKA >OGLUM01G38410.1 pep chromosome:ALNU02000000:1:36924126:36926982:-1 gene:OGLUM01G38410 transcript:OGLUM01G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: mucin-related (TAIR:AT2G02880.1); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G62270) TAIR;Acc:AT5G62270] MLALRKTLLHGRLPAAPPAAAAAAIASRLPALLRRLSSSPGDGQGGDEWGSSWSTGITKEHFDGSDAAVGRPVTSPSKPVSPELAAVRAMDEEDEIFRAMERDNREAKAYVDSWGDRMRETCELLKQVREPGSRGSYLKDSEKQEMYRLHKEDPETYTVERLAKDFRVMRQRVHAILWLKEMEEEEARKLGKPLDDSVEVLLDSCPEFFNSHDREFHVASLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLYEEFVQRLQFNKKKVAGEVKCHKYSRRRPDDGWTYMVEKLGAQSKRGSGGGWKFASLPDGSSRPLNDMEKMYVKRETPKRRRRIMAPFK >OGLUM01G38420.1 pep chromosome:ALNU02000000:1:36927758:36930023:1 gene:OGLUM01G38420 transcript:OGLUM01G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPIPSHLLFSHPEISHGFDELLKNTTTCTHSHTCNPPGPSVAMHTHTCLHTHIQVMASGENNVEEELRKTRKPLGNREAVRKYREKKKAHAAFLEEEVKKLRTTNQQLLRRLQGHISLEAEVVRLRALLFDIRGKIDAEIGTFPFQKQCSFGSVTCTDHSPCFNTSTEVAVREESSRPTIVDCGIDGTGIISHELDIPEMVNSVDVIPSFVNSASLTE >OGLUM01G38430.1 pep chromosome:ALNU02000000:1:36934539:36936283:1 gene:OGLUM01G38430 transcript:OGLUM01G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAKQSVVREFALAHRPPPPIPIHPASDCGARVAVAHPAASAASTRCQIEPRIGVMPADVQFVGQDITCPNCNDGFIQEISEIGGSLNTYGIFDPSFDERRDRSFGMVEAMSDLMRQRMAEMGRNRVLDFHGTRGASSHQGRRPTVRPMLIFGSNAPDRVSSSSEEADILLRQGRRIGADRPNFSRFLVGPSLEALFEQLLLHNNRQGPPPAPQSAIDSMPVVKINLRHLRDDPHCPVCTDKFEVGTEAREMPCKHLYHAECIIPWLVQHNSCPVCRHPLPSSSHRSGSTRSSSTHSNEAVSHGVARSDADPVPVARSDDSRNHEMHGSFSFLWPFDSPTPDSSSYTHEGGVGEPTVHDDAGQMTYSEWHYDY >OGLUM01G38440.1 pep chromosome:ALNU02000000:1:36936716:36942542:1 gene:OGLUM01G38440 transcript:OGLUM01G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-(cytidine 5'-phospho)-2-C-methyl-D-erithritol kinase [Source:Projected from Arabidopsis thaliana (AT2G26930) TAIR;Acc:AT2G26930] MACSTHLLSQSLYPLNRANPAAARGHLRFQASPSVRLGSGTSRRRALGLRVAASAEQGRRQVEVEYDLQAKFNKLADQIDQNAGITRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSKSKDRLSTNVAGVPVDESNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCIASEKELQEWSGEIGSDIPFFFSQGAAYCTGRGEIVEDIRNPLPANLPMVLVKPPEACSTAEVYKRLRLEHTSQTDPLVLLKEITENGISQDACVNDLEPPAFEVLPSLKRLKKRIIAANRGDYDAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDTFVSEACFLTRNENEWYREPISSKITSEEDLPPEVASVSD >OGLUM01G38450.1 pep chromosome:ALNU02000000:1:36942492:36942920:-1 gene:OGLUM01G38450 transcript:OGLUM01G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEARAESPAAPVVVPAAASPEKRVLSGDAGREEERPEPKRRRACVAALDSVPCAAPPLVDGDGSSFSFQHARGGFVVLETTPKFGSFNPPAAAAVGPKPAPPAGAGQGSPEEEGGPAREEAEAKDGNSQLVGPGVQGQKT >OGLUM01G38460.1 pep chromosome:ALNU02000000:1:36948040:36948945:1 gene:OGLUM01G38460 transcript:OGLUM01G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTRNTRQSFESSSGGGGGRTSIDEGRGVRDGGGGRVAAARGSGVNTGILDEHVLSLVFRSINWDPQAVCTAACVSRRMRAVAERVLWRELCISRAPRMVASLAGAGAGGAAPPPGRIVGGWPALAKMLFFCCGAAGPGVPGHFTRMSRFSKTSGRSFLSRRCRSDLLYVSDPCEHAVAGAGDDLGAYRGVFRGFMRSRTRACLVGRQAALDPRVRCPYCGARVWSMVAAGMVPRTAWRRLGCLEGRLEYYVCVSGHLHGNCWLARLTSSEGEHDAGSGSDSDASTQGGGSDDDGHVAL >OGLUM01G38470.1 pep chromosome:ALNU02000000:1:36954942:36957243:-1 gene:OGLUM01G38470 transcript:OGLUM01G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG87] MITGSEVYQVVEAMAPLYTAAALGYGSVRWLKAFSNEQCAGINHFVALYAVPVLIFDMVSTNNVYKMNGRLIAADTLQKAVLLLGLMAWALWERSRARRAGAKAKAAVSSPLQWVITCFSVASLPNTIIMGVPLLNGMYGPVSKDLMKQIVVMQFCIWYNVIIFLYEYMAARRSASAPPPASSEGSAKISPSSPVKAAAAAADTNGNAVAADRPQEVAVNIEITEMAASTARDGVSGETTAAAKEVSSGEVAPVEEEEASAPAPSMKHVIWMAVKKLLQIPNTYASFLGLIWSLIAFKCGFSMPKIVEDSLFTIRTTAVGLSMFSSGTFIARQSRFVPCGYKIASFSMVIKFLIGPVVMLFASLVIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >OGLUM01G38480.1 pep chromosome:ALNU02000000:1:36962659:36963744:-1 gene:OGLUM01G38480 transcript:OGLUM01G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKEGGGGAGGEGGMSDSVIRKVLVSYMYVAVWIFLSFTVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVELPSSPAMTPQLYTSSVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDARGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVIPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGERKSDNQA >OGLUM01G38490.1 pep chromosome:ALNU02000000:1:36966440:36966742:1 gene:OGLUM01G38490 transcript:OGLUM01G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEEAARVLASSSSMGSLVTIAKHGSLPGRLNAVLAIKEVVSRDGAFVDLADDKVDEVVDALLVIIKALIRLQATKAAMVATYHLASSDERVAARVAY >OGLUM01G38500.1 pep chromosome:ALNU02000000:1:36972956:36974969:1 gene:OGLUM01G38500 transcript:OGLUM01G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG90] MRKYRVAGLVAALLVLHSLATPSAQAEAHRAGGEGEEKMSSDGGPVVGGVEPVGNENDLHLVDLARFAVTEHNKKANSLLEFEKLVSVKQQVVAGTLYYFTIEVKEGDAKKLYEAKVWEKPWMDFKELQEFKPVDASANA >OGLUM01G38510.1 pep chromosome:ALNU02000000:1:36983443:36985241:-1 gene:OGLUM01G38510 transcript:OGLUM01G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YG91] MASSPCGGFLEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALLLERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMQMAWTSHVQAPHGHGAEAPAAAAAVDPSGREWFLGSLFVIIATLAWASLFILQAHTLKKYSAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAGMGSFILAEKIYLGGVLGAVLIVVGLYSVLWGKHKETQEQDAAMMELPVASKGNDAEFTAATVVGEDDDDDDDDEADCKKANGVKSSSSNEQGASAV >OGLUM01G38520.1 pep chromosome:ALNU02000000:1:36991792:36992076:1 gene:OGLUM01G38520 transcript:OGLUM01G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPLDTVMALHPHPWVPAGNQAALALADGHSSSRSGQTKPTGHQRQFAAVHARSGDDALIGGPRVNDPTRQGLIRRDANEWGMDRREEEAGD >OGLUM01G38530.1 pep chromosome:ALNU02000000:1:36993912:36995132:1 gene:OGLUM01G38530 transcript:OGLUM01G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSSSSTSLFLLLSILPLLYFLCQRNDPKKQPHAHGLKSYPVVGIVPHFTKNKDRFLEFTTEIMKRSPTQTMSFKALGLTGGGVITANPANVEYTLKTNFGNYPKGELAVSMVVDFLGHGIFNSDGEQWQWQRKAASYEFNKRSLRNSVVDTVRSEVVERLLPLRERAERDGRTLDVQDVLERFAFDNICQVAFDEDPACLAEDSMASPQSAEFMRAFNDAQIAVRDRFMSPVKSLWRFKRLFNMEPERRMREALATIHGFAERIVRERRERGKAGLARSDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWVLSGRPDVEDKIVREIHAVRRASGSTSDATFSFDELRDMQYLHAAITESMRLYPPVAMDTHSCKEDDFLPDGTFVGKGWLVTY >OGLUM01G38540.1 pep chromosome:ALNU02000000:1:36995142:37023872:1 gene:OGLUM01G38540 transcript:OGLUM01G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEDIWGADCEEFRPERWLDEVGAFRPESPFKYPVFHAGPRMCLGKEMADIQMKSIVASVLERFSLQYAGGEGHPGLVLSVTLRMKGGLPMQRRRQHRSGFVAGRRGEDGSIACDREGIRRWRQRGRRRRALGKAAMTTVVTATMAVTLTMEFSSSSTSLFLLLSILPLLYFLCQRHDPKKQPHAHGLKSYPVVGTLPHFAKNKDRFLEFITEIMKRSPTHTLSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYDDESLRDVVTNFLIAGRDSTSSALTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTSVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPIFHAGPRTCLGKEMAYIQMKSIVACVLEQFSLRYAGGEGHPGFVLWSTLRMEGGLPMQHLRQSQLTSRKAKAPNHLTVAMELSPISASLLLILILLAFLPLLYFLYMHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTEIMKRSPTNTMSYKALGLTGGVITANPANVEHILKTNFDNYPKGKLTVSILEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEKAGLDGRTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERRMREALATIHGFAELIVRERRERGEAGLARGDDFLSRFAASGEHSDESLRDVATNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGTFVGKGWLVMYSAYAMGRMEDIWGADCEEYRPERWLDEAGVFRPESTFKYPVFNAGPRICIGKEMAYIQMKSIVACVLEKFSLRYSSDANERPRSVLSLTLRMKGGLPMKVTIRDRCDVSRGCSTWSPRGRCGKRSRATIQLHRVDHSASTTTRASAKWSPTSSSRARGGQDRSEIQAVCSASSAEDPNGATYTTSWASSSSYATFVGKGWLVIYYAYAMRYVEDIRGSDCEEFRLEQWMNKAGVF >OGLUM01G38540.2 pep chromosome:ALNU02000000:1:36995142:37016395:1 gene:OGLUM01G38540 transcript:OGLUM01G38540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEDIWGADCEEFRPERWLDEVGAFRPESPFKYPVFHAGPRMCLGKEMADIQMKSIVASVLERFSLQYAGGEGHPGLVLSVTLRMKGGLPMQRRRQHRSGFVAGRRGEDGSIACDREGIRRWRQRGRRRRALGKAAMTTVVTATMAVTLTMEFSSSSTSLFLLLSILPLLYFLCQRHDPKKQPHAHGLKSYPVVGTLPHFAKNKDRFLEFITEIMKRSPTHTLSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWQWQRKAASYDDESLRDVVTNFLIAGRDSTSSALTWFFWLVSSRPDVEDKIVHEIRAVRSASSSGGTSSATFSFDELRDMHYLHAAITESMRLYPPVHLDTHSCKEDDFLPDGTSVGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESPFKYPIFHAGPRTCLGKEMAYIQMKSIVACVLEQFSLRYAGGEGHPGFVLWSTLRMEGGLPMQHLRQSQLTSRKAKAPNHLTVAMELSPISASLLLILILLAFLPLLYFLYMHQDPKKKPRIHGLKSYPVVGTLPHIIKNKHRFLKWSTEIMKRSPTNTMSYKALGLTGGVITANPANVEHILKTNFDNYPKGKLTVSILEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEIVKRLLPLLEKAGLDGRTLDLQDVLERFAFDNICRVAFGEDPACLTKERMAAPQSAEFMRAFNDAQNAILARFNSPAKSLWRVKKLFNMEPERRMREALATIHGFAELIVRERRERGEAGLARGDDFLSRFAASGEHSDESLRDVATNFVLAGRDTTSSALTWFFWIVSGRPDVEDRVVREIRAVRASSGSTDATFSFDELREMHYLHAAITESMRLYPPVAIDTHSCKEDDFLPDGTFVGKGWLVTYCAYAMARVEDIWGTDCEEFRPERWLDEAGVFRPESSFKYPVFHGGPRMCLGKEIAYIQMKSIVSCVFDRFTLRYTGGEGHPGLVTSLALRMEGGLPMQVLLTNRGQAVSC >OGLUM01G38540.3 pep chromosome:ALNU02000000:1:37016776:37023872:1 gene:OGLUM01G38540 transcript:OGLUM01G38540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEWAGKALTRRCDVSRGCSTWSPRGRCGKRSRATIQLHRVDHSASTTTRASAKWSPTSSSRARGGQDRSEIQAVCSASSAEDPNGATYTTSWASSSSYATFVGKGWLVIYYAYAMRYVEDIRGSDCEEFRLEQWMNKAGVF >OGLUM01G38550.1 pep chromosome:ALNU02000000:1:37008463:37016334:-1 gene:OGLUM01G38550 transcript:OGLUM01G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASEVTSPGCPSPPVYRSAISLPRHILGPPWNTGYLNELSGRNTPASSSHRSGLNSSQSVPQMSSTLAMAYAHSSKLNVASVLPLDARTARISRTTLSSTSGRPETIQKNQVSADDVVSRPARTKLVATSRRLSSLCSPLAANRDRKSSPRASPASPLSLRSRTISSAKPWIVASASRIRLSGSMLKSFLTRHSDLAGELNRAKMAFCASLNARMNSALWGAAILSLVRHAGSSPKATRQMLSNANRSSTSCKSRVRPSSPAFSSNGSSLLTISNRTVSTTKFLSERLLNS >OGLUM01G38560.1 pep chromosome:ALNU02000000:1:37028914:37048711:-1 gene:OGLUM01G38560 transcript:OGLUM01G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRIHNNWCKSFTRCPTDLRGV >OGLUM01G38560.2 pep chromosome:ALNU02000000:1:37047096:37048711:-1 gene:OGLUM01G38560 transcript:OGLUM01G38560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L34, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G29070) UniProtKB/Swiss-Prot;Acc:Q9LP37] MALALVSPMAALSLHSGRISAAAIGGGLRSRRASPMGAAATPFLRSSFVSSSSTSSASASPAALSAAVSASLTFTSASSFAGSSLGIEFSYNRVTTGRSRILQIRAGKAALCMTKRNRSRKSLARTHGFRRRMRTTAGRKVLKRRRAKGRRVLCTKTNSPTGKKRMF >OGLUM01G38570.1 pep chromosome:ALNU02000000:1:37029355:37038402:1 gene:OGLUM01G38570 transcript:OGLUM01G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSSTSASLLLILLLTLVYFLYLHQDPKRKPRTHGLKSYPVVGTLPHFINNKDRFLEWSTGVMKRSPTHTMSFKELGLTGGVITANPANVEHILKANFGNYPKGELAVSLLEDFLGHGIFNSDGEQWLWQRKAASYEFNKRSLRNFVVDTVRFEVVERLLPLLEYAGRHGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMAAPQSAEFMRAFNDAQNAILDRKQPHAHGLKSYPVVGTLPHFVKNRDRFLEWSTGVMKRSPTHTISFKGLGLTAGAITANPANVEHGSSPWPRTFLAAASSAPTVSSGCGSGRPPFNKRSLRNFVVDSVRFEVVERLLPLLEQAAGRDGRTLDVQDVLERFAFDNICRVAFDEDPACLTEESMATPQSAEFMRAFNDAQNTILSRFLSPAKSLWRAKRLFNMEPERRMREAINTVHTYTERIVRERREREEAGLARRDDFLSRFAAGGEHSDESLRDVVTNFLMAGRDSTSSALTWFFWLLSDRPDVEGKIVHEIRAVRSASISGGMGSTTFSLDELRDMHYLHAAITESMRLYPPVPIKTRTCKEEDSLPDGTFVGKGWHVTYCAYAMGRMEDIWGADCEEFRPERWLDEAGVFRPESPFKYPIYIPRWAKDVHR >OGLUM01G38570.2 pep chromosome:ALNU02000000:1:37038407:37046484:1 gene:OGLUM01G38570 transcript:OGLUM01G38570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIQMKSIVASEGHPGLVLSLTLRMEGGLPMKVTSRDFDELREMHYLHAAITEAMRLYPPVAMDSHCCQNDDVLPDGTFVGKGWQVTYSAYAMARLEELWGADCEEFRPERWLDEDGVFRPESPFKYPVFHGGPRMCLGKEMAYIQMKSIAACVFERFSFRFVGGEGRPGLVFSVTLRMEGGLPMRVKKRRDSVC >OGLUM01G38580.1 pep chromosome:ALNU02000000:1:37048969:37050333:1 gene:OGLUM01G38580 transcript:OGLUM01G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRPLLGRGALITSSAHAAAALLLVAFLFLTLHNLPISLSPPTAALTPTTSHLEQQDQASCDTTSTLDCADPQLFHLMMRRAIDAFPDVHFNRFGRPVPGDPPSSSCDMAWRARSTASANYKDYRRFSVARDPVTCAYSVTSIGEYHSGPLARKPRRGGTNATAPPPPPALSRSQFAAGKYLSYLGGGDRCKPMPHYLRSLLCSIAEARYLNRTLVLDLSVCLAAAYAGGMPEEGKRLAFYIDIEHLQSVVGIVEHKRFWEDWDKWGAQGQLGVRIIEDSRVAPTKFSKSRDPLIVRKFGDVEPGNYWYNVCEGEAEHVLRPPQGAIRTAPSLMDIVDGIISRMQVDFDSVHAGGNDGNLRRRIEESLNGGGRQVYVAGEGINVVLLDALKAKYSSVHYLDAFEELWARDSKWFLEMKRLNGGVPVEFDGYMRELVDREVFLKGKKKVEVLV >OGLUM01G38590.1 pep chromosome:ALNU02000000:1:37051383:37058560:1 gene:OGLUM01G38590 transcript:OGLUM01G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLSPLLRALRPSTSCSGRSSATHYNSAAAVVAAGGAAPRPAPLAAVVSHRRELLLGAALGAAFLKAPLPAEAREVEVGAVLPPAASNPGFVFFRATSKDTPALRAGNVQPYEFILPPTWKQTRVANILSGNYCQPKCAEPWVEVKFEDDKQGKVQVVASPLIRLTNRPNATIEDIGSPERLIASLGPFVTGNTFDSDELVDTSVEKIDGQTYYSYVLETPLALTGSHNLAKATAKGNTVVLFVASASDKQWQSSEKVLKTIVDSFKFHQEDTNSSRDSPIEIIMASTAVSRHVVAVPYPGRGHINPMLAACRLLAAADGELTVTVVVTEEWHGLLASAGVPATLPPAGRVRLATIPNVIPSEHGRGADPAGFFEAVDSKMGVAVEQLLDRLERRPDAIVADTYLAWGVPAGAVRGIPVCSLWTMAATFFWALYNIHLWPPVDDREGEQELSRKSLEQYVPGCSSVRLSDVKIFRSWERSMKLTTEAFVNVRKAQCVLFTSFYELEPCAMDRITQAVPFPVYPVGPSISDMPLDGGAGKIDDEEHRAWLDAQPERSVLYVSFGSVVSMWPSQLEEVAVALRDSAVRFFWVARDSASAGDLRRIAGGNGLVVPWCDQLGVLCHRSVGGFLSHCGWNSLLEAVFAGVPLLALPVVWDQVVDARVVADEWRIGVNLSEQRREEDDGGGVVVGRDAIRAAAARLMDPDDGESREMRRRAALLREACRGAVQDGGSSRRSLNGFVKDLADGRLNFQ >OGLUM01G38600.1 pep chromosome:ALNU02000000:1:37051656:37052685:-1 gene:OGLUM01G38600 transcript:OGLUM01G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAALAAPIASISPSSAGRVTAAVAGLRSARMASSPVAQPVGEAVVLRPNQMFRSVLRQRRRLVVRSSSSDETNSTTEEKTPFGYTRKDVLLIGVGVTLLGYGLKYGLEVTSLLLGFDFIIKYTSLTNMYAFPFTSLPQLNTAGNAVQLIIVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLTEAELQVLLEQVEEEKQRLTPVRDQGVTFTRKTEDQANAS >OGLUM01G38610.1 pep chromosome:ALNU02000000:1:37059579:37062805:1 gene:OGLUM01G38610 transcript:OGLUM01G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSAAAAAAAAGDEPCRCHVVAVPFPGRGHVNAMMNLSRLLAARGAATVTFVVTEEWLGLISSSSAPPGVRLRAIPNVIPSEHGRAADHAGFLDAVGARMEAPFERLLDRLRLEEEEETAAPVAAFVADFYVPWVVGVGNRRGVPVCSLFPMAAVFFSAYYHFDSLPSWLAKPPHQPVAGATTDNPDQRLEHYISSLASSSIMLSDLKPLIHSERTVEYILACISSIRKAQCLLFTTIYELEASVIDSLESLVTCPVYPIGPCIPYMTLENEHTKSNGEAPGRIDYFAWLDCQPENSVLYVSLGSFVSVSSSQLDEIALGLATSEVRFLWILREQSTRVRELVGNTNKGMILPWCDQLKVLCHPSVGGFLTHCGMNLTLEAVFAGVPMLTLPLFFDQPIDGRLIVEEWKIGVNLRDSTDKDRLIRREEIARAVKRLMASEEAERKQ >OGLUM01G38620.1 pep chromosome:ALNU02000000:1:37063074:37065875:-1 gene:OGLUM01G38620 transcript:OGLUM01G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLTVAIRSPPDRWSGRSPSAPRGGRGLFAAAAAPELAGAAVAAALDGGEEGSRAARLHSFFASVISGIFGQGEEEEEGEMATRSQNVAAAPQPPQNRGNVAALGKQKAVVAGRPDAKNRRALGEIGNVMNVRLPEGKPLQQAPAGRTANFGAQLLKNAQANAAANKQNAVAPAAVARPAQRQARKAPVKPAPPPPEHVIEISSDSDQSMRQQSEGSASSVRKCSRKKVINTLTSVLTARSKVACGITDKPREVIEDIDKLDGDNELAVVDYIEDIYKFYKVAENECRPCDYIDTQVEINSKMRAILADWIIEVHHKFELMPETLYLSMYVIDRYLSMQQVQRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILAMEKGILNKLQWNLTVPTAYVFIMRYLKAGASADNKSDKEMEHMAFFFAELALMQYGLVASLPSKVAASAVYAARLTLKKSPLWTDTLKHHTGFTESQLLDSAKLLVTSHSTAPESKLRVVYKKYSSEQLGGVALRSPAVELCK >OGLUM01G38630.1 pep chromosome:ALNU02000000:1:37067108:37073352:-1 gene:OGLUM01G38630 transcript:OGLUM01G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMGPINPAAGLERYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >OGLUM01G38630.2 pep chromosome:ALNU02000000:1:37067110:37072772:-1 gene:OGLUM01G38630 transcript:OGLUM01G38630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYRLPLIHECVRIGVLQMTRVIHDSGEGMQKEALAMVSSDVNFPKGHFPDYKIGPNNQIIDPEEIHEAVPLKEIVAKETAQLLEQRRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLKGRVAGRNRDDADEAISLVEALAVQLTQREGELIYEKAEVKKLASFLKQATEDARKVAEEERALALAEIEKARTAIAIVEKGLQEHDAASSSREKEEIEGLRKEVREARRIKMLHQPSKVMDMEFELKALRTLIAEKNQLCNQLMKELAMIKRLEEDSSDLYDLEGSDILGSQFCIIPRFDDAPDISSCPTQWYRVISGGNRNLILGATKPTYAPEPFDVGRLLQAEIVLNAEKVTIQTMGPINPAAGLERYVESLMKRADVEFNVVVTQMNGNDYSSNSVHAFHIGKMRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAATAVFWQARKGLSYTLAFETDRDRNAAIMLARKFASNCNITLTGPGDEVHAGS >OGLUM01G38640.1 pep chromosome:ALNU02000000:1:37076922:37079238:-1 gene:OGLUM01G38640 transcript:OGLUM01G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLVTEEVMVGRLVRIRRTVMQMLRDRGYLVVEHELAMGRRDFLRKYGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMKAENVSRAVLVLQQNLTPFARSFLQELEPKIHLEIFQEAELLINIKEHVLVPEHQVLNNEEKKTLLERYTLKETQLPRIQITDPIARYYGLRRGQVVKIIRPSETAGRYVTYRYVV >OGLUM01G38650.1 pep chromosome:ALNU02000000:1:37080843:37084966:1 gene:OGLUM01G38650 transcript:OGLUM01G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGB0] MPAACCAAYGYLMHTPFGLTLPGLSTHPSISRSLAADRIAWLDHHNSAKMREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEQQEADDM >OGLUM01G38660.1 pep chromosome:ALNU02000000:1:37089105:37092086:-1 gene:OGLUM01G38660 transcript:OGLUM01G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLIQCCFRQLMRLPLPSLPMQNVYGKLRIWKLITSLFAFSSTPELIFGAALLYYFRVFERQIGSNKYSVFIVFSTAVSVLLQILSLAYLKGPSLNPLTSGPYGLIFASYIPFFFDIPITTKFRIFGLRLSDKSFIYLAGLQLLFSSGWRSIIPGLSGILAGLLYRLNIFGIRRLKLPDSMTSLLSRFSCPFLNNSYQRLPVTENDGNIPSNQTRQTEASIYTGVHPTTEDPMESSIATLVSMGFDRGSAIRALALTNYDVNLASNILLEAQSM >OGLUM01G38670.1 pep chromosome:ALNU02000000:1:37094895:37097513:-1 gene:OGLUM01G38670 transcript:OGLUM01G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLLISTLPAFTLMLVAPAATHKHCKLVRELGLVALLLARELLHHSASAACAWGGEGGGSSNKERERERGVRIMPSKAKPEPALAAREEGEEGTEAELNAAALPLLDLPELALERVLEELEPPSLAAMACVCVALRDRCSADTLWGRHVNRKWGRVLGAAARKEWEAELAARRSSGALPRPARRRSLADSLACAWPFSWITCRWLKGNAVAAEPAAATPSPLPSPATDTVAAWYRAVECGEFSFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEESGVQWSRVRSPPVSTPAHDLHASGCLGDLRPGDHFEIQWRKNKDFPYGWWYGVVGHQETCNANEHLCRCHEDDMVVLEFKHYAAGSRWRQTTVSRKDHREKGDETDGFYGGIRKLQTSDEISTWRKFWPVDVLN >OGLUM01G38680.1 pep chromosome:ALNU02000000:1:37097597:37098125:-1 gene:OGLUM01G38680 transcript:OGLUM01G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVITSKQAMTMFSSPWSILVDDYETTSAATVGSFWVGAAVAVAGAKKAEEGSGIGERRREARRGRGGRQGEAGIIRLQSCRRHLVATVPAFRGRVGPRPILPPLPLSPAQSTHAALSFVAPPPPPPPPPRAPAPHRIAPATHHSTTTNNNSKITRGCSSAGAGAGARRPR >OGLUM01G38690.1 pep chromosome:ALNU02000000:1:37104620:37104949:1 gene:OGLUM01G38690 transcript:OGLUM01G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALVTANADEGGSGGGRGRGRQRLRAAAVVDGVVDADEGGGGRGHGRRRQRRSRRTQTRVASRQQRSWHMISESYLFLQLYVQMSTLRLSVAYRECLLPVMPSMFRF >OGLUM01G38700.1 pep chromosome:ALNU02000000:1:37106469:37111396:1 gene:OGLUM01G38700 transcript:OGLUM01G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQCDSHSKIYGGTEREKCTRKQYINSSKSIPNMSLAVTNWMALATVCTGVGSGAEKPSATSLQWSSGGACGKRCEEEEATRGLEKRQTGDGAGIRQRERERERFGED >OGLUM01G38710.1 pep chromosome:ALNU02000000:1:37112868:37113686:1 gene:OGLUM01G38710 transcript:OGLUM01G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLRPPPTPRTPLGGAATTPDQLPPPSPHTPRPAITLTAPPSKKKRRGGAAARSLRAIRAVRALFRSLPILAPACRFHGAIRAPGGASRAHDGHVSGASRTTGTLFGYRKARVTLAVQETPGSVPILLLELAMQTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAMRREPTEGDLTVMQLLRTVSVGAGVLPTDVMGGDAGAEVQDAGDLAYMRARFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >OGLUM01G38720.1 pep chromosome:ALNU02000000:1:37154317:37154988:1 gene:OGLUM01G38720 transcript:OGLUM01G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVVLVPSLFGVVTKKGGGKSVPNAWQSLVELIYDFVLNLVNEQIGGNVKQKFFPRISVTFTFSLFRNPQGDLGPLFIVLALTGLELGVAILQAHVSTISICIYLNDAINLHQNE >OGLUM01G38730.1 pep chromosome:ALNU02000000:1:37159439:37170643:1 gene:OGLUM01G38730 transcript:OGLUM01G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRGKAERSDADDGRAAGGRVGVAGRGGSSAKCGQGRRWWCRMWRLSQGSQMRWEGATPESSPWGGQGRCNAGAGEEVVGQVGNGARGKWQWQRKAASYEFNKRSLRNFVVDTVRSEVVERLLPLLERAERDGRTLDVQDVLERFAFDNICHVAFDEDPACLAEDSMASPQSAKFMRAFSDAQNAVMDRFMSPVKSRWRFKRLFNMEPERQMREALATIHGFAERIVRERRERGEAGLARSDDFLSRFAASGDHSDESLRDVVTNFLIAGRDTTSTALTWFFWLLSGRPDVEDKIVREIHAVRRASGGTGDPTFNLDELRDMQYLHAAITESMRLYPPVAMDSHSCKEDDFLPDGTFVGKGWFVSYSAYAMARMEDIWGADCEEFRPERWLDEAGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSIVASVLERFSLRYAGGEGHPGFVLWLTLRMKGGLPMQVTTRAPLTHSLSRAMKFSSTSTPLFILLLPFLPLLYFLYLYQDTKKQPAGSNGLKSYPVVGTLPHFAKNRHRFLEWSTDVMKRSPTHTMTFKALGLTGGVITANVANVEHILKTNFSNYPKGELSVSLLEDLLGHGIFNSDGEQWLWQRKAASYEFNQRSLRSFVVDTVRFEVVERLLPLLEWARRDGRTLDVQDVLERFAFDNICHVVFDEDPACLAEDSMVSSQSAEFIRACSDAQNAIIARFMSPVKSLWRVKRLFNLDPERRMRDALTTIHGYADRIVRERRARGEAGLARSDDFLSRFAAGGEHSDESLRDVVTNFLIAGRDSTSSALTCAEFMRAFNDAQNAILDRFNSPAKSLWRIKKLFNMEPERRMRDSLATIHGYAERIVRERRERREAGLERRDDFLSRFAASGEHRDESLRDVVTNFILAGRDTTSSALTWFFWLLSGRQDVEDKIVREIRAVRQSSAGSEGTRGATFSLDELRDMQYLHAAVTESMRLYPPVPFDTHSCKEEEFLPDGTFAGKGWLVTYCAYAMGRVEDIWGADCEEFRPERWLDEAGAFRPESTFKYPVFHAEPRMCLGKEMAYIQMKSIVACVLEQFSLRYAGDAKGHPGLVVALTLRMEGGLPMKTTVLRTEETEWHGTKEIRALMAYSESSTNWMAYPKKLSLTSSVPLLLLLLFPLLCFLCLRHGSTRKQPRADGLKAYPIVGILPHFVRNQHRLLEWSAGVVARCPTHTMSFNFKGFGLIAGAITGNPANVEHIVKTNFQNYSKGGYVVSVMEDFLGHGIFNSDGDQWLWQRKAASYEFNKRSLRNFVVDTVRSEVVDRLLPLLTRAERDGRTLDVQDVLERFAFDNVCCVAFDEDPACLTEEGMGTNARTEFLRAFNDAQNILMARFMSPVEWAWRAKRLLDLEPERRMREALATIHGYADRIVRERRERGAAGLARQDDFLSRFAATGEHSDESLRDVVTNFDVTERDT >OGLUM01G38740.1 pep chromosome:ALNU02000000:1:37177488:37177814:1 gene:OGLUM01G38740 transcript:OGLUM01G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRFCWLIDDGGAAPVDRMRREFGLDGRHDGMDRSRIGARHEKRWLLCAEDRLYLRPWTWYGRVLALKESKYVEARWLVKWSSRMALASLRANRKCLATPCTISHG >OGLUM01G38750.1 pep chromosome:ALNU02000000:1:37181761:37183023:1 gene:OGLUM01G38750 transcript:OGLUM01G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLQFRIRRRGYEPITRRGDEEEAAAPAYEAAMFEEEGLEPDELEARGDRLMARGHRRTSSWWFTSFKHDTAFAIAEDFRMAGLSYVLAKNWRKAAAAFGNEAIQRLKRRSPPADLVAAVALLASARCYRKILDNADEGEVAAIKPALQKAVSLFAKNNDMRSAATCCKELAEFHEEQRELHAAVHCFLQAKDYYGSGPNPNEQGVRYCRAIASLVSCRIRLLEGAAARANPV >OGLUM01G38760.1 pep chromosome:ALNU02000000:1:37188160:37191193:1 gene:OGLUM01G38760 transcript:OGLUM01G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQEELLHLLVIRMLELKACSQLGVPSVRPLDPGRSDEHLRLVLVRGLDGDAEVSFLESVFVPFADGEVPLAAIEEIEHAGMVLLPDAATASSVLKDSNMWWDSLGLTLSSVSGSALWMSSETASPREPSVENEDSVTSEEQALHDETSKTTTASTSISSRTSFRRGNAQKLMSFLNVKTSLEEAASIHAILEEDGIFLESVFFPLAYSKLLSAVTEKVEETGRATLSNAATKSSILRNVEVSGTEETLARPGTISTCSDISEEETPQDKIAEPTMSLATMDPRIALRRGSDQKLASVNLRMALKEAASHAHLISNDPSTNCILEDAADDLVQVLLLLPSGLQKLVIREIEKSVDSKLFDDIREQTKRKDCSPRNFLAVKGRKEKEKAVNLSKDRMIWAIQKIVVPVALCFVMSLLRVYVISAEEIEVPPQYTNYAVANLVLNSASKIIFFAIKEFPTISDLYVWAHLASFVVDLFSAFFLWRRRGYHDPDVPDG >OGLUM01G38770.1 pep chromosome:ALNU02000000:1:37194612:37195839:-1 gene:OGLUM01G38770 transcript:OGLUM01G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQARRELHGVWSYVSRPFIVAARARFYFHKAAETFVLANSWRKAAAAHHEHAVCCMKIGRSGRLRAAFALFEAGKCYMKVLEPDDEEMTSRTVSDLEKSLRMFVLENELVMAAEVCVELANLYAMLKQWQKVGEYREKAAEFHAKTSDALFDTTTI >OGLUM01G38780.1 pep chromosome:ALNU02000000:1:37196665:37198603:-1 gene:OGLUM01G38780 transcript:OGLUM01G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKKTKKNTEGINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLVIVANNCPPLRKSEIEYYAMLGKVSVYHFNGNNVDLGTACGKYYQVCCLSVVDPGDSDIIKQLPESH >OGLUM01G38790.1 pep chromosome:ALNU02000000:1:37205091:37206134:-1 gene:OGLUM01G38790 transcript:OGLUM01G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAFTAAKFLPATAPTHLDSSPRLSPPRAGSLSFSPLSSSSSALLLRLRSPSPSGPSGPGGRLPPPPRSYGGGGGSGDAADSGGSGGGILGIFLAGWAARVAADPQFPFKVLMEELVGVSACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAGASAAASAAASGLPSHMFEAGAYSLGSRVATLLSKGATFAAVGFAAGLAGTAISNGLISLRKRMDPAFETPNKAPPTLLNAATWAIHMGVSSNLRYQTLNGVEYLLANAAPPSVFKVSVVALRCINNVLGGMSFVLLARLTGSQKSDAPAASATAEEKERLIAVGNDIAADAVGAGRDGEGK >OGLUM01G38800.1 pep chromosome:ALNU02000000:1:37207394:37208539:-1 gene:OGLUM01G38800 transcript:OGLUM01G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MKVPKKVQTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCEHKLDPVETVRPFLLR >OGLUM01G38800.2 pep chromosome:ALNU02000000:1:37207394:37210738:-1 gene:OGLUM01G38800 transcript:OGLUM01G38800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MEAAVSQRLGEEVGEGDEPGQCQSTAAAAAAGERKRRPPRSSRVSLVPIFSNGRRKGGRTRRRPLRRTNHTLAFRDISPQAPVHIIIIPKVKDGLSRLSKAEERHVEVMGHLLYAAKTIAKQENLDDGFRIVINDGPNGCEHKLDPVETVRPFLLR >OGLUM01G38800.3 pep chromosome:ALNU02000000:1:37208772:37210738:-1 gene:OGLUM01G38800 transcript:OGLUM01G38800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MEAAVSQRLGEEVGEGDEPGQCQSTAAAAAAGERKRRPPRSSRVSLVPIFSNGRRKGGRTRRRPLRRTNHTPISLPCSFDKILRKEIPSQVVYEDEKFAFQNRGLKGGAGENHQGGAAQQRHYHREPFQN >OGLUM01G38800.4 pep chromosome:ALNU02000000:1:37208772:37210738:-1 gene:OGLUM01G38800 transcript:OGLUM01G38800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS triad family protein 3 [Source:Projected from Arabidopsis thaliana (AT3G56490) TAIR;Acc:AT3G56490] MEAAVSQRLGEEVGEGDEPGQCQSTAAAAAAGERKRRPPRSSRVSLVPIFSNGRRKGGRTRRRPLRRTNHFAFQNRGLKGGAGENHQGGAAQQRHYHREPFQN >OGLUM01G38810.1 pep chromosome:ALNU02000000:1:37212131:37214764:-1 gene:OGLUM01G38810 transcript:OGLUM01G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTMASKAGGGGVARRGGGRMRSLGRQGSMYSLTLDEVQSQLGEPLHSMNLDELLRSVFPDGLAIADGAGAGAGATTSSQQHQPGSGLLRQGSITMPPELSKKTVDEVWKGIQAAPKRNAETGGGGGGRRRRERQPTLGEVTLEDFLVKAGVVTQGSLKELSDVGNVDPVGRGVTATGTVDLAPGSHWIEQYKQQIASTDAHHHGQQGVQGAYFPNRLVPQPLNVGPGAILEPSYSDGQTSSGMIGGMSDSQTPGRKRGMSGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENVRLKRQKELDELICAVPVPEPKYQLRRTSSADF >OGLUM01G38820.1 pep chromosome:ALNU02000000:1:37221264:37224045:1 gene:OGLUM01G38820 transcript:OGLUM01G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMRKKKKAFAVAAATTLLSPPRSSSSSSSTASSCIVPPRTESGKKKSKHRKRAKDGTGGDDDAAAVAAAPRKGSSIYKGVARHRGSGKYEAHLWDKQGWNPNQTRKRGRQGAYDTEEAAARTYDLAALKIWGSDHVLNFPIDTYRKELERMQRMTREEYLATLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRAVGKKYLYLGTFDTQEEAATAYDLAAIQLRGRSAVTNFDASCYTYTDHLPPPPPPPPPQPPSVCKTEPELEPPQPAAPPGSESLLRPKMEPCDDWEPPAICPSLRDADDADHAIAEILPALCMDRADFEARYPARRARDAAADGWSTSSDDVAAASVDDDVLRSLPDDVGFVDDVESLFLDAPGPAAAAAAAAMPDDVERAVQRAPSAASRRANAAAVSYAINSLASGRWWY >OGLUM01G38830.1 pep chromosome:ALNU02000000:1:37226016:37227671:-1 gene:OGLUM01G38830 transcript:OGLUM01G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRDNLRIRPEMEGFDSSTQVLIVGDLAVLQLTRHLQNSEQEAHASIFKSEVTVCVRQEAPLKVKKWKNIEKAFPGTLSSIWTLLKGKFPEISLDDYECVIAQVERQYNIRRYNLYRTYRTTKVRPIHVAPEDWQWLIDNLWSDEQFQRSKQNSINKSKQEMKSLVGTKSIVQIASELRNPETGAWPSAVDVWSAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSRGVGIGAVNQGAQERYRIHARAEAADQRASDAQNQAAALAEEVERLTEANNQLRDELRFQREELNSQKKTVEEQSVDMERLMDQKLEETMNRMMARMGAAGAASSIPSSSTSTPTN >OGLUM01G38840.1 pep chromosome:ALNU02000000:1:37237065:37241192:1 gene:OGLUM01G38840 transcript:OGLUM01G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLEWLSNNIASKA >OGLUM01G38850.1 pep chromosome:ALNU02000000:1:37241069:37243882:-1 gene:OGLUM01G38850 transcript:OGLUM01G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT5G04920) TAIR;Acc:AT5G04920] MSAAAADWLPAADVTAAGRPVLTAGEVERHLVAQGDVEPEESPRLGPLRARLLVLTSHRLIFLHEPSRSARALPLAAVVHAYPPHRKHGHNPLRSIFSSSSSSSSHHPRVRLQISLPPSRSEVVAVVVSKGEADVFYGRLLEAVRARAWEVVAAVAAAPAGGSSAAQGAPAEEDLAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLADYIRTPLEKAGGMMALVDVYCLYNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDPQNMYMPKTHGVYHTWISDTAASQ >OGLUM01G38850.2 pep chromosome:ALNU02000000:1:37241069:37243882:-1 gene:OGLUM01G38850 transcript:OGLUM01G38850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EAP30/Vps36 family protein [Source:Projected from Arabidopsis thaliana (AT5G04920) TAIR;Acc:AT5G04920] MSAAAADWLPAADVTAAGRPVLTAGEVERHLVAQGDVEPEESPRLGPLRARLLVLTSHRLIFLHEPSRSARALPLAAVVHAYPPHRKHGHNPLRSIFSSSSSSSSHHPRVRLQISLPPSRSEVVAVVVSKGEADVFYGRLLEAVRARAWEVVAAVAAAPAGGSSAAQGAPAEEDLAIRMPVVGVSGILRMEQEAWESAGQNLQDAFQDLNALMSKAKEMMELAEKMRQKLLTNSSAQSNSNDEEMGSKQDMQDWLLSVGIVSPVTKETAGALYHQQLSRQLISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQTKTHSDDEVFARISSLAQKEDALQKGISPSDAAFTLGIAPALAKEHLLNAESIGILCRDVSPDGLRFYINLFNEIDPQNMYMPKTHGVYHTWISDTAASQ >OGLUM01G38860.1 pep chromosome:ALNU02000000:1:37245855:37255959:-1 gene:OGLUM01G38860 transcript:OGLUM01G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSKHNRRSNLLLILFFLLIIMGAAAAAGFFFVLLFLSVQGGAVGYTRSDFPRDFAFGAATSAYQYEGAAAEDGRSPTIWDTWEGAVNQEGLKYYNNVIDELAKRGIQPHIMLCHLDLPQALEDEYDGWLSPRIVDDFTAYADVCFREFGDRVLHWTTLAEPNIAALGGYDTGVLSPGHCSDPFGLTECTVGNSTVEPYIAAHNMILTHAAVVRLYREKYQALQKGIVGINMFSLWSYPLTNSIADLQAAQRYKDFSQVQTELIKGAIDFIGINHYYSAYVNYRPLVEVYKTDPPTEKYEPTEYPNDPKGLQLALEYLRESYGDFPFYIEENGKGSTNDSLDDPDRVDYIKGYIGGVLDAIRNGVDVRGYFVWSFVDVFELLEGYQSRFGLYRVDFDDGARPRRARRSARWYSDFLKGKKDPVLIAPQ >OGLUM01G38870.1 pep chromosome:ALNU02000000:1:37256136:37262277:-1 gene:OGLUM01G38870 transcript:OGLUM01G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPSGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINTPLRICKQLKDTRISRTDDYPQVMKKTIGSRLPSFSKVQTELVKGTLDFIGVNHYFSLYVSDLPLAKGVRDFIADRSVSCRASKTDPSSGQQAPTQSMGDPHGLQLMLQHLKESYGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >OGLUM01G38870.2 pep chromosome:ALNU02000000:1:37256136:37262277:-1 gene:OGLUM01G38870 transcript:OGLUM01G38870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPSGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINTPLRICKQLKDTRISRTDDYPQVMKKTIGSRLPSFSKVQTELVKGTLDFIGVNHYFSLYVSDLPLAKGVRDFIADRSVSCRASKTDPSSGQQAPTQSMGDPHGLQLMLQHLKESYGDLPIYVQENGKLKLDLLPVFSGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >OGLUM01G38870.3 pep chromosome:ALNU02000000:1:37256136:37262277:-1 gene:OGLUM01G38870 transcript:OGLUM01G38870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASFFCALLFISVQHGVLGGYTRNDFPADFVFGAATSAYQYEGAAAEDGRGASIWDTFTHAGKMKDKSTGDVASDGYHKYKGDVKLMTETGLEAYRFSISWSRLIPSGRGAVNQQGLKYYNNIIDELTKRGIQVHVMLYHLDLPQALEDEYAGWLSPRIVEDFTAYADVCFREFGDRVSHWTILAEPNVAALGGYDTGEFAPGRCSDPFGVTKCTVGNSSVEPYVAAHNMILTHAAVVRLYREKYQTLQKGIVGINVLSLWSYPLTDSTADLQAAQRYKDFTKVQTELVKGTLDFIGVNHYFSLYVSDLPLAKGVRDFIADRSVSCRASKTDPSSGQQAPTQSMGDPHGLQLMLQHLKESYGKASSNDSLDDTDRVDYIKGYIEGVLNATRNGVNARGYFAWSFVDMFELLSGYQTRYGLYRVDFDDAALPRRAKRSARWYRDFLKSKRQPLQIAQQ >OGLUM01G38880.1 pep chromosome:ALNU02000000:1:37263888:37274891:1 gene:OGLUM01G38880 transcript:OGLUM01G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRREEERNEKIIRGLMKLPPNRKCINCNSVGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMAKFTTQEVRSLEQGGNQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQCSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDFPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQETLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDCLMLNSAQGSFPPRNPFE >OGLUM01G38880.2 pep chromosome:ALNU02000000:1:37263888:37274948:1 gene:OGLUM01G38880 transcript:OGLUM01G38880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWRSWVQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQCSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDFPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQETLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDCLMLNSAQGSFPPRNPFE >OGLUM01G38880.3 pep chromosome:ALNU02000000:1:37263888:37275422:1 gene:OGLUM01G38880 transcript:OGLUM01G38880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWRSWVQRARDIYLKDWDWQRMRLPDNSNPDRIREFIRAVYVDKKYAGGKSTDKPVNDSESVKSSENDTRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGSFLYSPGRLRDQMHEDRFANESTGSRFSDFSASSTGDIRNDVLSPSSQDTGYSSPSIHHSRNVSSENPPSHRHPNATSQIDFNGVRRSQRTGSSGSFGSFDGSSASNKSVDSGALPDAPTEKPVNSAVNRQSVAPPMAHSAQLYASQSNINSSVSQTAPTRESVQHGRVHMVSVAKPPVSTQPTTSTNQDFFDQSMQHPVNSAAPIDLFAGFNQQAPSVPHRAVDVGSHSVPKETLHDVVVQKAVASSPPVQAEAPSTSHPVHHDLLSLSPLQEPSISSTPPSIDLFAGFDQQLPPTTSVQQSQPAEPSISSTPQSIDLFAGFDQQLPPTTSVQQSQQAAPLVADEGWAFFLDTPQHVSPTSISNVQAQVATAIAAFPPSESLAKGTNQSMLPTSPPNALIPQSYPLMMDQCSLNAEEVKTPVSKETSQPWNAFGASTGNTPNDSFTFNTISQVAPNHFNVPSVPHAEARGPQDFPSSEPERLTPGDITPGFNVSPGDMAGPSFRGPLQQQLDIVPSQPAKSTNPFDMAFESDVEASNMFMDLTSLQETLPNPHVNTDYSNLTESWIPHNSNMPYISSGPQGGLSYMATQVQDCLMLNSAQGSFPPRNPFE >OGLUM01G38890.1 pep chromosome:ALNU02000000:1:37277267:37279418:1 gene:OGLUM01G38890 transcript:OGLUM01G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTVRLHTAVAAVAVAVAVAMGMAAAQMSPAGAPAPAGGISPACMDAVLNMSDCLTYVMNGSTARKPDEPCCPELAGLLESKPVCLCQLLAGGASSYDISVDYKRAMALPGICGLAAPPVTACALLGVPVPMAPSASPMAGLGPSTEPQMPEKSPSASPSESSNHAPGRFTALAAVVLAVAAAGMV >OGLUM01G38900.1 pep chromosome:ALNU02000000:1:37279561:37285300:1 gene:OGLUM01G38900 transcript:OGLUM01G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGIQNMSTPPTVRRDALDRCGHMCRCQLLLDHVRPAHAPARSRLGFVRLFVGTRSFDADAEAAASSALDSAGAPKRRPGLHHPVADAGRLTADGGNSTCSHAAEAAHRLDTHLKAINR >OGLUM01G38910.1 pep chromosome:ALNU02000000:1:37279959:37286008:-1 gene:OGLUM01G38910 transcript:OGLUM01G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFHKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWCGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGNNKGKVRTVGVQAVCGLSSM >OGLUM01G38910.2 pep chromosome:ALNU02000000:1:37281471:37286008:-1 gene:OGLUM01G38910 transcript:OGLUM01G38910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFHKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRGVRKIPEKILEHDKVIWFGDLNYRIALSYADTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWCGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGNNKGKGTTLLAHMP >OGLUM01G38910.3 pep chromosome:ALNU02000000:1:37281471:37286008:-1 gene:OGLUM01G38910 transcript:OGLUM01G38910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPEVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFHKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIACKQMVGIFVMVWVKKELVQHIGHLRTSCIGRGILGCLGNKGCISVSMTLHQTSFCFICSHLASGQKEGDEFRRNSDVLEILRLTLFSRICRRDTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWCGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGNNKGKGTTLLAHMP >OGLUM01G38910.4 pep chromosome:ALNU02000000:1:37281471:37286008:-1 gene:OGLUM01G38910 transcript:OGLUM01G38910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHSSAASDLLPGSPVKGMRLSHFLAQTPNNTTTEPVRIFVATWNVGGKAPTAELNLDDFLPPDDHSDIYEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPAETNANVFQNEPSPSVDSSVSRASSSLDTSFSDLAKTSSSSTIFHKSNLKSIRKSFMPVHRKRLKACNCPVEMAKSSYRDACFGCPKAYAYEIDSSEEDEREEKKGQSRDSNGSVRSEVISPPTARDELKYNLIAWMHISEHDTAPDKLLFHLQPFGFSKVIWFGDLNYRIALSYADTKKFLMENNWDALFERDQLKIERDAGRVFKGWNEGKIFFAPTYKYSYNSDAYASETATSNKKRRTPAWCDRILWCGDGILQLSYYRGESRFSDHRPVCGTFIVEVEVLNRKAKMRPSNANMKIGAEELLPQGNNKGKGTTLLAHMP >OGLUM01G38920.1 pep chromosome:ALNU02000000:1:37285883:37286128:1 gene:OGLUM01G38920 transcript:OGLUM01G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAPQPDSQERAPFLLPPPLLPSTRGSRQEVGGGRGMHGHWGEGEGDPARLRDEDPLSPGGEGEGEGEGGGDSCNGSRE >OGLUM01G38930.1 pep chromosome:ALNU02000000:1:37286613:37290632:1 gene:OGLUM01G38930 transcript:OGLUM01G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHQLKPGEAASLPRHLLEAHVVALVRRCCPGLLALRAAHARLIRLRLPRLTAAFALSKLLASSSSSSCGAAPSYARNLFDQIPEPTAFCYNSLIRALSSAAGAAPAADTVLVYRRMLRAGSPLPNSFTLAFALKACSVVPALGEGRQLHSQAFRRGLEPSPYVQTGLLNLYAKCEEVALARTVFDGMVGDKNLAAWSAMIGGYSRVGMVNEALGLFREMQAADVNPDEVTMVSVISACAKAGALDLGRWVHAFIDRKGITVDLELSTALIDMYAKCGLIERAKGVFDAMAERDTKAWSAMIVGLAIHGLVEDALKLFSRMLELKVRPNNVTFIGVLSACAHSGLVNEGRRYWSTMQELGIKPSMENYGCMVDLFCRSSLLDEAYSFVTGMAIPPNSVIWRTLLVASKNSNRFDIVQSASKKLLELEPCNPENYVLLSNLYASNSQWDRVSYMRKKMKENNVNVVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLLLREIADRVVRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPHVIRVVKNLRFCPDCHEVAKIVSKSYDREIIVRDRVRFHRFVEGSCSCKDFWHGSWLPALTGNATTMSIVGVYSTARQIFR >OGLUM01G38940.1 pep chromosome:ALNU02000000:1:37290900:37297003:-1 gene:OGLUM01G38940 transcript:OGLUM01G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDLPDELWARVLELGAGAASSALGFRDLCALAIASRRLRRLSLHPSLWSALLSRDFPTQSSQPSSASSSSSQQQLHPKSVYKTKFERHKVRMAEARRRVVFEAEGRVLACRRRLTQLEESLQEEGEKMKAAAQELDNLERVRRASVALNVWQPQVVRGRQKQLVQQCTVPVDSRLSDVNMELKVCKQQIATYKNIYNKEKEKLNEYEEALKRAIYHPLQSSHTSSIANEPQAKRKKRNCGRSAVLEQQDDIHLIKLLPLTFGLKRYAQAVGLVGGGDCWFFEERENPLLNLELSLWMGGSLVDSSAEGVSSSLCLCHSTSEKAGFEHSSCASSGDGNCEAGIDFGQDDLVVNEIGMAIAEVMHVCSDHDDDEGTDSGEDFDENEGPLSLESDSTDDVVDIDNELVISPTFSSCNASESSINKSDSGNSSINGTPPLVSAMKGSRAKLGIVTSLSVSWAPDVYDPPVTSGSHTVKGHQRSSRKSHYKYKPAKSSSSRSSSGSKKDRKHSRHSSSSSSSSNHKRDRKPSYRNTVGGVSVGSSSSSRNTDASAAQYRDLYSSSGGNRIDIAVPQYSRLSPLVPSESATYRNVYNSTSGSRTDPTVPHCSKLSPLVTSESASLAGTVPVLKTLEPIKRSSSCCKEQPLSFLSRQFVAAKYKGMFSLWSHNQLAS >OGLUM01G38950.1 pep chromosome:ALNU02000000:1:37298378:37301510:-1 gene:OGLUM01G38950 transcript:OGLUM01G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase 26 [Source:Projected from Arabidopsis thaliana (AT3G03630) TAIR;Acc:AT3G03630] MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >OGLUM01G38950.2 pep chromosome:ALNU02000000:1:37298378:37301510:-1 gene:OGLUM01G38950 transcript:OGLUM01G38950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase 26 [Source:Projected from Arabidopsis thaliana (AT3G03630) TAIR;Acc:AT3G03630] MAMAMASPPACPFLLSLSAGATAISTVSAAYGSVSAPRAPLFLLASPRPVPPRRPLAAGSSSPKAAAPAAVEIPEEYVDDVDAVNIAQDVTQLIGKTPMVYLNNVVDGCVANIAAKLEYMGPCRSVKDSATSHSCLFRIGLSMINDAEEKGLIFPNKTILVEPTTGNTGIGLASVAAARGYKLIATVPSSIDVERRVLLRAFGAEIVLTDPNKGLKGALDKAEEIVSKTPNAYMFQQFNNSANSPEIWEDTLGTVDILVASIGTGGTITGTGRYLKMMNKDIKVIGVEPAETSVISGDNAGYIPSILDVQLLDEVVKVTTTEAVDVARELALKEGLLVGISSGAATVAAINVAKRPENAGKLIAVIFPSFGERYISSILFRPIYDSVRRMRKR >OGLUM01G38960.1 pep chromosome:ALNU02000000:1:37303319:37306231:-1 gene:OGLUM01G38960 transcript:OGLUM01G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGF3] MDLLHGESVQTSVAIAVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVARFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYMSVKGPKGRFRYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDITKVHLVYANVTHDDILLKEELDNMAKTYPDRFKIYYVLNQPPEVWNGGVGFVSQDMIKAHLPAPAEDIQILRCGPPPMNKAMAAHLDELGYTKEMQFQF >OGLUM01G38970.1 pep chromosome:ALNU02000000:1:37314043:37314699:-1 gene:OGLUM01G38970 transcript:OGLUM01G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCRSLIEFLRAFEHHRRAADSAAAAGCSSSSSRSRRGGSSLTALCDHSPMAAVDAVVLLAVVAALGFLVVPYAKMALLEMGALLHPAASCLSAAAFAGAAVAVAAAVLAWELVGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGHPAPAARSALLAAAGAHPVELGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >OGLUM01G38980.1 pep chromosome:ALNU02000000:1:37323909:37325072:-1 gene:OGLUM01G38980 transcript:OGLUM01G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEQVSQRKALLLVLVILCGLLLLLPLVASVPLSRSVSLRNHQASVSALEAPVQVVAAAAEERDLDEVAARMVIEVNDYPGSGANNRHDPKSPGRA >OGLUM01G38990.1 pep chromosome:ALNU02000000:1:37327033:37334567:1 gene:OGLUM01G38990 transcript:OGLUM01G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGASKLLSSLLLTSSPLRLRPSAGAFALFLSPPASRRHLLLSSPAPLRTLSTASASAAAGGASSDSYSSGSCHSPFPEWSRLVDRLSAAGYGARAPSPADELDLDPECGLSSDAEAAVSSFLAFARDRPDLLRSLPRKDVEVLVANAAPALFKDGEASELRLRQYLAGEGSDVTQSERAETIDIVRYLLSYAYGSPVSSLKDKELTDSAVRNILAEFVSFSGFPQTSSYAESTARQNTLGSRPPGQNIEMKRGDWICTRCSFMNFARNARCLECNEHRPKKMLTGGEWECPQCVYYNYGRNMSCLRCSCKRPGTIPPNPAGAGLDGVAQFLNTSIVGKSEIERKLAENDQKAERWLNKVSQLDDSADLSSLAADEDFPEIMPMRKGVNKFVVSTRKTPLERRLANSQYSSNNSPQDGSSDSKISKTLDRILGRSTSTSVQNNQSGDGDVNTSSNKTTSNLGGIDPVPFVPLSADQFAKPQNSFGDGQSDTQISTEADSMAKSQMDSMERRDDKRSFDTTEEWSKKVAELSNVKDFPSEISDQDFPEIMPMRKGENRFVISKKKDRSLTSPQYKRRSVLEHADNSNFIPFVPFPPDYFAKKDKPVENSSDAGIVPEGPPSAEKLPETKYSSGNLGNIQNSSQVMGSQAANNMNNENRNGNYPHQNLSTSGYGYGESITYQHQPQSQGMVGRSGGASETGTRNANNNQGSFSESRDRSTYNRGSHSAQPPYKSGYGNNNNAWSSNNNGSNNAWSSTRDYDNGGRSDNNPYYNSSTWSDNSTYSNNAAWSSNSSYNSNGAQSSNSSYNSNSAWSNNSNNSWSGSYSDNGGTGSGSSASRPNQTAGYSSYGESANRGYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRHLVTMLAEVVWWPNLIAVQDGHGCTVCSSDFFVSLLLVVTQQSISLWLEGTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGATQRGAKGPKIGGGGGKR >OGLUM01G39000.1 pep chromosome:ALNU02000000:1:37335000:37338606:-1 gene:OGLUM01G39000 transcript:OGLUM01G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSPRFLGRTSPPVDAIAARRLVALLLEHQDRRRQLLQIHSQLIAHQVFDRRPTPWHALLKAYSHGPHPQDALQLFRHARWHAADDTYAFTFALKACAGLGWPRCCMQLHGLVVRKGFEFQTYVHTALVNVYILCGCLADARMAFEEMPVKNAVSWNVVITGFAGWGEVEYARLLFERMPCRNVVSWSGMIDGYTRACRPVEAVALFRRMMAEGISPSEITVLAVVPALSNVGKIVIGEALHGYCEKEGLVWDVRVGNSLIDLYAKIGSIQNSLRVFDEMLDRRNLVSWTSIISGFAMHGLSVKAVELFADMRRAGIRPNRITFLSVLHACSHGGLVEQGVAFFKSMIYEYNINPDVKHFGCIIDMLGRAGRLREAEQIIRDFPVEVNATVWRTLLGCCSKYGEVEMGERTMKKILALEREFGGDFVVLSNMLTELRRFSDAEIVRKLVDQRNSVKSFFNIVRMEAIKRLHAYYIVSGLYNCHYAMSKVLRSYAILQPDLVFAHKVFDQIEAPTTFLWNILIRGLAQSDAPADAIAFYKKAQGGGMVPDNLTFPFILKACARINALNEGEQMHNHITKLGLLSDIFVSNSLIHLYAACGNLCYARSVFDEMVVKDVVSWNSLICGYSQCNRFKDILALFKLMQNEGVKADKVTMVKVVSACTRLGDYSMADYMVRYIEDYCIEVDVYLGNTLVDYFGRRGQLQSAEKVFFNMKVRNIVTMNAMIAAYAKGQDIVSARKIFDQIPKKDLFSWSSMISGYSQANHFSDALEIFRQMQRAKVKPDAIVIASVVSSCAHLGALDLGKWVHEYVRRNNIKADTIMENSLIDMYMKCGSAKEALQVFKEMKEKNTLSWNSIIIGLANNGFEKESLNLFQAMLTEGFRPNGVTFLGVLIACANAKLVEEGLDHFESMKRLYSLEPQMKHYGCVVDLLGRAGQLEKALRFITEMPIDPDAVVWRILLGSCNTHGDVAIAEIVTKKLNELEPSNSGNYTLLSNAYASAHRWSEAMNVRQCMADTDVRKSPGCSAVEAA >OGLUM01G39010.1 pep chromosome:ALNU02000000:1:37338978:37340564:1 gene:OGLUM01G39010 transcript:OGLUM01G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15010) TAIR;Acc:AT5G15010] MLRSLLARAIPRSSAAAATTRGFTDPRSFPLLFREGSRLSTSQGGIGNGGGGGGNGGDGEEDDPFSFADLQKLPPDVARDVEAVVGAAEGFHADAARARGLLERCGAAASEPVVVAVLARLRNSCAAAHAAFRWASAQPGYAPGRHACHSMLAILAKHRRFDDARALLDQMRRSSLASPAAVMLLIRRYCAARDVAGAVAAFRALPSLGFRPGVTEFHGLLTALCRYKNVQDAEHLLLSSEKEFPFETKSFNIVLNGWCNMVRSVREVKRFWNAMEIKGIKRDVVSYGSMISCFSKAGSLDTVMKLFNRMKEAGVIPDRKIYNAVVYALAKGRCVNEAKALVRSMEEKGVAPDTATFNSLIRPLCKARQVQEARKMLDDMLGRGLSPSVRTFHALLDVARSPIEVFDLLDKMKELQCDPEMDTFIMLIRKFCRWRQHDSVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEESAKYYEEMKAKGFPPEKKTEEMIQAWLSGRELAKASASVGSRGGSVSLRSHPRK >OGLUM01G39020.1 pep chromosome:ALNU02000000:1:37342164:37343310:-1 gene:OGLUM01G39020 transcript:OGLUM01G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVGGSGRRDAEAELNLPPGFRFHPTDEELVVHYLCRKVARQPLPVPIIAEVDLYKLDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPVAPKGSARTVGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGGKKGSQKLDEWVLCRLYNKKNNWEKVKLEQQDVASVAAAAPRNHHHQNGEVMDAAAADTMSDSFQTHDSDIDNASAGLRHGGCGGGGFGDVAPPRNGFVTVKEDNDWFTGLNFDELQPPYMMNLQHMQMQMVNPAAAGHDGGYLQSISSPQMKMWQTILPPF >OGLUM01G39030.1 pep chromosome:ALNU02000000:1:37358803:37388343:-1 gene:OGLUM01G39030 transcript:OGLUM01G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MEAEAEAGRPRPAMSAEDERLLMEAKWLPWDERLRHKSWKVRRDANVDLAALCDSIADPKDARLREFGPLFQNSVADCNVSVREKALDAPARFPAGGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALSKFGPKVVPPKKVLKMLPQLLDHPDRNVRASSKGLTLELCWWIGKEPVKAILFEKIRDMMKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKNDGCDFSKWYEPKTTPTPYLKQDLLNDLYAVVHGLKEDNTEIKASLISARAQIDELMTAHNAVTDRRRKLKEKDCSACKLSARVVELEEEIQFLLSIIVGFVRSVGRRRRRRRRWWWWWWWRRRPAMSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSREKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVVFCIETSNKATVLKLHKEYVPICMEMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >OGLUM01G39030.2 pep chromosome:ALNU02000000:1:37358803:37387879:-1 gene:OGLUM01G39030 transcript:OGLUM01G39030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MAYAAVYAKGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALSKFGPKVVPPKKVLKMLPQLLDHPDRNVRASSKGLTLELCWWIGKEPVKAILFEKIRDMMKATKWSERRDATELTKLSSTKRIATGDFEDICPTPKKNDGCDFSKWYEPKTTPTPYLKQDLLNDLYAVVHGLKEDNTEIKASLISARAQIDELMTAHNAVTDRRRKLKEKDCSACKLSARVVELEEEIQFLLSIIVGFVRSVGRRRRRRRRWWWWWWWRRRPAMSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEESMEKAVKNKVAKAVVPAIDVMFQALSEFGAKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKAILFEKMRDTMKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSREKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVVFCIETSNKATVLKLHKEYVPICMEMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >OGLUM01G39030.3 pep chromosome:ALNU02000000:1:37358803:37387879:-1 gene:OGLUM01G39030 transcript:OGLUM01G39030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MAYAAVYAKGICDAIVAKCLTGRPRIVEKAQAALLLWVGLDAAEVFVESMEKAVKNKMAKAVVPAIDVMFQALSKFGPKVVPPKKVLKMLPQLLDHPDRNVRASSKGLTLELCWWIGKEPKKELEAELANVSGIAKPTRKIRSEQEKELEEEVVPEAAGTNNSEEAVPEAPMEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASTKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRTHFSGNSREKLKEKKPTMTEALSQTLQAMHKSGCITLLDVIEDVRVAVKNKVPLVRSLTLNWVVFCIETSNKATVLKLHKEYVPICMEMVGMKPLERSLEKLDDVRKKKLSDMIGSASDTTSGTVAASNTGVGTSAREVMDSSSMRRSAASMLSGKKPVQAVPATKKSGPAKSATAKKTDGGPQSKASAAPVIEDVEPSEMSLEEIEEKLSSVVKTETISQLKSTVWKERLEAISMLKQEVESLTELDKSAELLVRLLCAVPGWSEKNVQVQQQVIEVITYIASTVNRFPKRCVVLCLLGISERVADIKTRGHAMKCLTAFCEAVGPGFVFERLYKIMKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDTIDFCKDIGLQSSAAATRNATIKLIGVLHKFVGPDIKGFLSDVKPALLSTLDAEYEKNPFEGTASAPKRTVRAADAVSSASSGTSDGLPREDISAKITPTLLKNLGSPDWKLRLESIDAVSKIVEEAHKRIQPTGTVELFTALRARLYDSNKNLVMATLSTIGGLASAMGPAVEKSSKGILADVLKCLGDNKKHMRECTLTALDLWVAAAQLDKMVPYITVTLGDQKTGSEGRKDLFDWLSKHVSNMSDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQDVVAKNLKDLPSPTLAIVAERLKLSTVHEGFSDSVKMVTTSMSLPSKAGSKNNKHGPNDRGSNVSKARGIPARSSVTMISSQDSIQSQALFNIKDSNKEERERRVLVRKFKFEEPRREQIDELKIELFRHFREDVSLRLWNSDFKRQIDGIELLQKALPSSRKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDVLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYILEGLRSKNNRTRIECVDIIGYFMDHHGTEVSGLLKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMDKRREGRPGDARAALRRSVRENGSDIAEQSGEAVSRSMAGSMISRENFGYSDAHMVPRQMATATPGPADWREALDIVALGLPEQSVEGMKVICHELTQAVDPESSVLDDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLINLLRPLDPSRWPSPTPPESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGTMGQTHWGDAGSNNPNPSTHSTDAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAPIPSPKFAPSPVHTKSINNKTDCNEDDAGGDTHPFRGQGEIDNRLQTTNLQTDRYQSSGTLDALRERMKSIQAAAVGANFDGVQARPLPSMNGNTLHGGTRLDADPQTQNIIPPMDERALSGLQARMERLKSGSMEPL >OGLUM01G39030.4 pep chromosome:ALNU02000000:1:37387907:37388343:-1 gene:OGLUM01G39030 transcript:OGLUM01G39030.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35630) TAIR;Acc:AT2G35630] MEAEAEAGRPRPAMSAEDERLLMEAKWLPWDERLRHKSWKVRRDANVDLAALCDSIADPKDARLREFGPLFQNSVADCNVSVREKALDAPARFPAGVRCC >OGLUM01G39040.1 pep chromosome:ALNU02000000:1:37390973:37399767:-1 gene:OGLUM01G39040 transcript:OGLUM01G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTHATSVLVAAWDEMVLGVWAGPFGLWERHTSPRREVADGARGEAETGGGGVEWRGVEPNPRRKCTALRATAGRARAEIRERRAAHRVGRVFHYVGITAACAGCNHRTKIRASQGQ >OGLUM01G39040.2 pep chromosome:ALNU02000000:1:37390933:37399767:-1 gene:OGLUM01G39040 transcript:OGLUM01G39040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTHATSVLVAAWDEMVLGVWAGPFGLWERHTSPRREVADGARGEAETGGGGVEWRGVEPNPRRKCTALRATAGRARAEIRERRAAHRLLKDSESFELCQQEAKIL >OGLUM01G39050.1 pep chromosome:ALNU02000000:1:37391629:37398970:1 gene:OGLUM01G39050 transcript:OGLUM01G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKNSLESSYIRLSCLISYFLCLVASLKEGDAIWHLLEFVHCTVLFDKNNQQILLSSGVNLICVEGDTVWYDGGDAVAATSVHALVSALQALMKNWQNEPQSWMGSTDPCTSWDGISCSNGRVTEMDLSNNLNLGGPLPPSIVNLKQLTTLFTGDIPEQIGALRQLTFLALNSNKFTGGIPPTLGLLSKLFWLDLSDNQLSGKIPVSSGSNPGLDQLVNAEHLIFDNNNFTGPIPGSLGRVSSIQIIRLDHNQFSGPVPGSIANLSRLMELSLASNQLNGTVPDLTSANALTYVDLSNNNFMSSPAPRWFSTLTSLTTLFMDSDHLTGTIPSALFSFPQLQQISLAKNSFSGELNMSSNISSLLRVVNLTNNQIFNAEVDPSYTGSLILSGNLICFNNISFCTLKQKQQVPYSTNLGPCGAISCPTDQSANPVASQNCACASPFQGLMIFRAPAFSDVTSPKSFQPLEFTLVQNLSLAPGSVAISNVEFSPGEPLTFTVKVFPESGTSFNHSEVIRISSSLVNQTYKAPAYFGPYSFIASTYFASPSGKRSSMGKGAIIGIAVAGFLLLVGLILVAMYALRQKKIAKEAVERTTNPFASWGQGGKDNGDVPQLKGARYFAFEELKRCTNNFSETQEIGSGGYGKVYKGMLANGQMAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVSLVGFCYEQGEQMLVYEYIPNGTLRENLKGKGGTHLDWKKRLQIAVGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTRKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELITSRQPIEKGTYIVREIRTAIDQYDQEYYGLKSLIDPTIRDSAKMVGFRRFVQLAMECVEESAADRPTMNDVVKELEIIIQNEGAQLLNSASLSAQQFGYAKGRDPDPYGDHVPINDDSSSGAFDYNSQVNLSGMEKDAQDCSWRSQRTSIIHELTNLPIIHRDAKSTNILLDDNLKAKVADFGLSKLVADTKKDASNYDYYGLQGIIDLAIDDAAICYKA >OGLUM01G39060.1 pep chromosome:ALNU02000000:1:37399992:37402648:1 gene:OGLUM01G39060 transcript:OGLUM01G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMRASAALLLVAAAVLAAVRAEDPYHFFDWKVTYGTRTIMDVAQKVMLINDMFPGPTINCSSNNNIVVNVFNQLDHPLLFNWHGIQQRKNSWMDGMPGTNCPIQPGTNWTYKWQPKDQIGTFFYFPSMGMQRAAGGYGIITVHSRLLIPVPFDEPAGDYPVLVGDWYTKDHTVLAKNLDAGKSIGRPAGLVINGKNEKDASNPPMYTMEAGKVYRFRVCNVGIKTSLNVRIQGHSLKLVEMEGSHTVQNSYDSLDVHVAQCVSFLVTADQKPGDYLLVASTRFLKEYSAITAIVRYNGSNTPASPKLPEGPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLCTSKGKVDGKERFALNGVSHVDDAQTPLKLAEYFNASSGVFEYNLIGDVPPATTVPQKLAPNVISAEFRTFIEVVFENPEKSIDSFHINGYAFFAAGMGPGIWTPECRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNMWERYYLGAQLYVSVVSPARSLRDEYNMPEIALRCGKVVGLPMPPSYLPA >OGLUM01G39070.1 pep chromosome:ALNU02000000:1:37408200:37410645:-1 gene:OGLUM01G39070 transcript:OGLUM01G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWRFSRSLLRAAATTTTATAACASASTAGKHAASRRWVTPRELQRCWYASLPAAAAAVPGKDGEAEVTAEEARRLMRLANVEALKRKLGDGEVIPYAELLRACEEAGAARTRAEAAALAGALDEAGVVLLFRDKVYLQPDKIVDLVRKAMPLALTPEDDPRKEELKKLQTQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERLFESRQKKLIQRQNFNLDRYLELQRRCKGPLEKMCGTNQTPNPDMAHLHELSVNK >OGLUM01G39080.1 pep chromosome:ALNU02000000:1:37414771:37418653:-1 gene:OGLUM01G39080 transcript:OGLUM01G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVRQEQPSWTRKKTQPARSTSTEAKANDEERRDHRRGSLPIPISSRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGQEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAEQLYTIL >OGLUM01G39080.2 pep chromosome:ALNU02000000:1:37415033:37418653:-1 gene:OGLUM01G39080 transcript:OGLUM01G39080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMVRQEQPSWTRKKTQPARSTSTEAKANDEERRDHRRGSLPIPISSRGGMDGNFGSEERILWPASVLAGIAMCAAVYDITQKVSSHCFKGYDNLSPMKKVEWNNRGFSTFHALVAAVVSFYLVVISDLFHSNIIIDRNSWLSDAMFGVSIGYFLTDLVMILWYFPSLGGQEYLLHHGLSMYAICLALLSGKAHMYILMVLFTEATTPFVNLRWYLEVAGKKTHNLYLYNGLALFVGWLVARVILFIYFFTHMYFHFDQVKSIFPLGFYSILTVPPALAVMNLFWFWKIFKGMLKTLSKRRQQSENGKAE >OGLUM01G39090.1 pep chromosome:ALNU02000000:1:37422540:37423233:-1 gene:OGLUM01G39090 transcript:OGLUM01G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEMGTRRRSNSEKQQEKYYFAPISINRRTLPHGEPALQLARTTISGIAALRDMTRERRNSSNGHGDLSSLFAGSNDNGPVPPMVASSALGTIRHARPQEG >OGLUM01G39100.1 pep chromosome:ALNU02000000:1:37424025:37427142:1 gene:OGLUM01G39100 transcript:OGLUM01G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRPSTTPKSPGDRPRKQHDVEIDPPSDLFLPPFGPSLDLVATTTISPETNTGKTQSRGAGEGPPCVRAACVRADVPCAKMASTVPALIADDLPTNVTSQITDAARPKTTNSVVCYSPMMITTNGIWQGVNPLEFSLPLFILQVAVIVVTTRLLVVLLKPFRQPRVIAEILAGVVLGPSVMGQVEVWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDLDVIRRSGKKALFVAVAGMALPFCIGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLNTELGRIAMSAAIVNDMCAWILLALAIAISEVNSTALSSLWVLLAGVLFVLFCFYVVRPGMWWLIRRIPEGEVVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPGGQLGVALIEKLEDFVTGLLLPLFFAISGLRTNISKIRDPITVGLLVLVFTMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLICVHTTRNVPSVLSLLELSNPTKRSPIFIYALHLVELTGRASNMLAAAAASASKQNRSSSSSTLPPVTEHIFNAFENYERHTGGISIQTLAAVSPYQTMHDDVSVLAEDKHVSLIVVPFHKQQTVDGAMEPINPSIRGFNESLLSTSPCSVAILVDRGLSAAAARMAALHRVALFFFGGPDDREALAYAWRMVEHPGVALTVVRFVPPDYRVRSYSNTNYRSVASDADPRSIGMDTEGKTELQMDEEYLGDFRTRNIGNDAISYSDKVVANSEETVSAIRNMDDSLHELYIVGRRPGEAGSPMTASLEDWMECPELGPIGDMLVSSDFSMSVSVLVVQQYVVAAAAPAPATTAPAGNADPVRQYVSNANQRPSAAYRTSAASTANSRWSGGGTVGF >OGLUM01G39110.1 pep chromosome:ALNU02000000:1:37431081:37432344:1 gene:OGLUM01G39110 transcript:OGLUM01G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSAYQGVLSTTTVSQAFRPLVSPLPHGRLGGDDLTGARLPFPPPLPRRRPSGRRQSHAATRTAVAGLCPRAQAWEGDLLGGGGTGKIRAARQDLAVEQPAAGLSGAAAVSGGGGSGVEALAAAAEETARSAPGSGGTATGGGVWWRGWRRRRGRKGDGVGEVNLAAPAADPAPGGLAVATVVAMTARATTAGRLRRVSSELDDGDKVWEDDEMAVGMEGQQRLLWWRRRWQGDGVGEANLAAPAADPAPEESGGRGDGGDEFAATAARATTVGSLRRVPSELDDGEKGREDGEMAAGWKGSSGCGGGDRHHGRGRHGNLGWLAGGVADGCIRAARQCLEEGSEAGLA >OGLUM01G39120.1 pep chromosome:ALNU02000000:1:37432358:37436664:1 gene:OGLUM01G39120 transcript:OGLUM01G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGSAREAPAAEIEAGLAREARPAVEEATSARGRSCRWMWAVFVARRLAGGGAPVQLCFYSKSTHPKPRVSPRVTDPGPTRSYFYGGALQASQAGEGR >OGLUM01G39130.1 pep chromosome:ALNU02000000:1:37436979:37437620:1 gene:OGLUM01G39130 transcript:OGLUM01G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNVVPVAAAAGPAGGERRGEEEEEAAALTVWRKSLLFNCKGFTVFDAKGNLAYRVDSYDTESGDEVVLMDAAGAPAFTVRRKRQLSLQGEQWLVFAGEADGRRPPVYAVRRTGRGGGKSLARVTPCAGAAAAGASAAYEVEGSYARRCCVVYDGERRAVAEVRPKEAVGTDVFRLVVQPGVGVSLAMAVVVALDQMFGKPSLLRSWSS >OGLUM01G39140.1 pep chromosome:ALNU02000000:1:37439244:37439732:-1 gene:OGLUM01G39140 transcript:OGLUM01G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVTWERRDSIVTVQSGPSPIPSLGLDIPSRYSQRRRDFSPGFSRFSNGKSPPRRTNAPLRCCVGRAAKGPGVLGAKPLELECAIRPKALSLSSRTSTSVYFLLALHKTGAPVFITGGTVAVGQIWRLGSGQPTLLLLWAGRYILGLVGSNVGHAAHVW >OGLUM01G39150.1 pep chromosome:ALNU02000000:1:37439692:37443888:1 gene:OGLUM01G39150 transcript:OGLUM01G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDLLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKANDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGVRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >OGLUM01G39150.2 pep chromosome:ALNU02000000:1:37439669:37443888:1 gene:OGLUM01G39150 transcript:OGLUM01G39150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGFSWTLPDHPKLPKGKTVALVVLDGWGEANADKYNCIHVAQTPVMDLLKNGAPERWRLVKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKECFDKGTLHLIGLLSDGGVHSRLDQVQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLESDLSQLRDKGIDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFQNAVEAVKTLRAETKANDQYLPPFVIVDESGKSVGPVVDGDAVVTFNFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDIGITFNVKPNMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKIILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPLLDKNGGIQILTSHTLQPVPVAIGGPGLHPGVKFRSDIQTPGLANVAATVMNFHGFEAPADYEPTLIEVVDN >OGLUM01G39160.1 pep chromosome:ALNU02000000:1:37444180:37447707:-1 gene:OGLUM01G39160 transcript:OGLUM01G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRVSANPRPSCVRRVLARKRRRPEAAANSARKLQRREISALPCRAFSASTTRERFRNIQLQEEFDTHDPKEMGSLLPYLMKRSEIIEIVGASDIIFALSQSGVCAAFSRVSNQRICFLNGRPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGKPDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYTISDKNVQEIKISPGIMLLIYSRKKACIPLDILSIEDGKRLKSFKHLLHRNKKVDFIEQFNEKLLIKQEGENLQILDVRNFQSIEVSRSEFVTPSAFIFLYEMQLFLTFRSRSVSVWNFRGELVTSFEDHMLWHPDCNTNSIYITSNQDLIISYCKADPNDPSSEENACSINISEILTGKCLAKIKAGNLNKQRVSKFQSTPSEALGDITALYYDEEREEIYTGNRLGLVHVWSN >OGLUM01G39170.1 pep chromosome:ALNU02000000:1:37457869:37464880:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >OGLUM01G39170.2 pep chromosome:ALNU02000000:1:37457869:37465811:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >OGLUM01G39170.3 pep chromosome:ALNU02000000:1:37457869:37466044:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLSLLF >OGLUM01G39170.4 pep chromosome:ALNU02000000:1:37460119:37465162:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTVIHIGIGNIGNIPLVLIAALCRDPTNPFGDSDKCNEDGNAYISFGQWVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >OGLUM01G39170.5 pep chromosome:ALNU02000000:1:37460119:37465162:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTGILEIYLWFSLQHYVEIPPILLVTLINVMKMGMRISHLVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >OGLUM01G39170.6 pep chromosome:ALNU02000000:1:37460119:37465162:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTGILEIYLWFSLQHYVEIPPILLVTLINVMKMGMRISHLVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILNVHKIKISPDIPEKDSTMTCTLASKPWRQPEGWVPDIHPRTYMNAKIKIFACKLMPAIWEPADLKF >OGLUM01G39170.7 pep chromosome:ALNU02000000:1:37460119:37466044:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.7 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSLMEALATAAQGGTVGTSVFDMLKYAVLPIAKVFTVCFMGFLMASKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTGILEIYLWFSLQHYVEIPPILLVTLINVMKMGMRISHLVGAIIVYTYVFKMLAPPPGESFDSAEEDILPIKASGDNVVPEKGKYPTSTRTSTVPENEPLLSSEGDKNVSTSLGSKIMGIVRSMVKFLKDKQLLQPPIIASVFAIAIGVVPVLKNFVLTDDAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSNRLGVRTTVAIIFARLILVPLAGVGIIVLVDKLGFIPKDDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILCYTAQERP >OGLUM01G39170.8 pep chromosome:ALNU02000000:1:37457869:37460684:1 gene:OGLUM01G39170 transcript:OGLUM01G39170.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFSDVLVRNDDKVMCLYKRRKEDQGATRALSPSSRARSRRRRRLVLGHARDLETMGVAYEYAGEVSRSAASGAPAGVVYSSADLEAEKLELEVA >OGLUM01G39180.1 pep chromosome:ALNU02000000:1:37466858:37470768:1 gene:OGLUM01G39180 transcript:OGLUM01G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISVEFCIISARGLGRRSTLLKPQWFSVAWIDPNSKYCTKIDASGNSDVNWGTKFSLSVDEHDMSMQQMELTVEVYRREPVFLREHLQGTAVIQMKEYFDKFSQGKDPSGVTEETSSFQLRRKKSDKPHGFVDISIRICKEENNRATFSGSQEGLKYPDQVGITLAIEDGPVYNYPPMPSSHFKGYTQDVDHYSNSMPVTAATRPGQSPPGSNYSYQPTMVPPILPHPTSNPSFFTPQYPTRGPVPQTYINVPPRMGGQNNTPNFEMGLGAGALAAGTMIFGENLLSGQSLNTGLDGASLSISNDAPF >OGLUM01G39190.1 pep chromosome:ALNU02000000:1:37469445:37471283:-1 gene:OGLUM01G39190 transcript:OGLUM01G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14730) TAIR;Acc:AT3G14730] MAALRVPLRAILATPRAAGPRLLLPLHAHLLVSGRLAASPAALTSLVSLYARAAAPALHRHVAPLLLDRPSPPPLPCFNAGLSLPHPLALAVFRGLRHAYSPDAFSFPPLVSSAPSPPHLLALHALALRCGLAHDLFCASAMLRSCLRFGLADHARRLFGELPRRDVVVWNAMVNGFARLGCFGHAVECFRMMREDGEVEISSFTVTGILSVCTATADLGHGAAVHGLVVKSAFDQEVSVCNALVDLYGKCHKVDDAAMVFEGMADIVKDLFSWNSMLSALHYSADHAGTMKLFSRMRRVAVWPDAVTVAAVLPACAQTAALQVGREVHGYIVTSGLACHGALDSFACNALVDMYAKSGALDEARRIFDRMQQRDVASWNIMIDGYASHGHGKEALELFCQMTEVERFLPDEITLLGALSACSHSGLVEEGKNFLKRMKEEFSLEPQLEHYACVTDMLGRAGRLEEARKVVEDAGDVGVGAWRTYLAACRMHGDKERAQEAARMLMTTEQSESGGWVLLANTYGWEGNFDELEEVRGEMKRRGVQKAAPGCSWVEVGGDDRGSGAVMHAFVSGDRGHPEADMIYEMLHALISWMRDCSHLSNTTPLYSVEHS >OGLUM01G39200.1 pep chromosome:ALNU02000000:1:37477964:37481677:1 gene:OGLUM01G39200 transcript:OGLUM01G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALTNAEKCFSPARAMSPLPLVRPPPSPGAAGQYLAELLQEQQKIGPFVQVLPICGRLLNQEIMRMSAIVSHLGVREHDRLPIASPNQMHPLPQVPNFCGNGFNPWTGTLPEKNGFPRGTMGWEGAAHDPSYIVKKIVRLEVPTDAYPHFNFIGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDPIKASLFVTFKEEQLKGRPGYEHLSDPTHILIEAELPADVIDTRLAQAQEILEDLLKPVEESQDFLKRQQLRELAVLNSTYREDSPHQNGSASPFSNGSTKLGKQ >OGLUM01G39210.1 pep chromosome:ALNU02000000:1:37488187:37491767:1 gene:OGLUM01G39210 transcript:OGLUM01G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGGSSAQYCSYKDTATFLLLPPAYCLSLYLISCSASSSSSLPQPRSPPTHRARRRRDGRWLRRRPVPKSRAIASRRLAGLRHRSPESVVVFELVPRGAVAAWSEGPVPPRAEGRGPSPPPRATALPCAVPLVVLEPLRRRRPPGLLLLVLLVIDAPIGTASWSSKPSSGLSPIGRRHLFRILSIRISAAVAVWRNICFARFSCVSISFYYFPEREREREREREREREREGSFHFAAAPKDTTFPIPFRLPVEAWSGTRPRRPPARRWTTGAEGKEGSGT >OGLUM01G39210.2 pep chromosome:ALNU02000000:1:37488187:37492013:1 gene:OGLUM01G39210 transcript:OGLUM01G39210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPGGSSAQYCSYKDTATFLLLPPAYCLSLYLISCSASSSSSLPQPRSPPTHRARRRRDGRWLRRRPVPKSRAIASRRLAGLRHRSPESVVVFELVPRGAVAAWSEGPVPPRAEGRGPSPPPRATALPCAVPLVVLEPLRRRRPPGLLLLVLLVIDAPIGTASWSSKPSSGLSPIGRRHLFRILSIRISAAVAVWRNICFARFSCVSISFYYFPEREREREREREREREREGSFHFAAAPKDTTFPIPFRLPVEAWSGTRPRRPPARRWTTGAEGKEGSGT >OGLUM01G39220.1 pep chromosome:ALNU02000000:1:37494134:37495195:1 gene:OGLUM01G39220 transcript:OGLUM01G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLTTSAMDGLASVSSLQHLTASEANLATHSGELEEDIRSSITPAISPDWCSGTYWGPLNNGGDMSWHGSIDIRYWRKP >OGLUM01G39230.1 pep chromosome:ALNU02000000:1:37494382:37506058:-1 gene:OGLUM01G39230 transcript:OGLUM01G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMASFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVAELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDLSWNQLTGSIPTNKLASNVTTISIEGNHIDGAVPSTIWSNITFVGNRSLVVDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >OGLUM01G39230.2 pep chromosome:ALNU02000000:1:37494382:37506058:-1 gene:OGLUM01G39230 transcript:OGLUM01G39230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMASFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVAELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDLSWNQLTGSIPTNKLASNVTTMDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >OGLUM01G39230.3 pep chromosome:ALNU02000000:1:37494382:37506058:-1 gene:OGLUM01G39230 transcript:OGLUM01G39230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHGLKSCSSSSSICPPAPRGLSLWVKVRCSLSPAFPEFVGEGNLRPGASSAGAPRSGGCCKSLFGLERPVGLRLIADMASFRGFRRAVIVVVLCICHVNVVRGQSTDPAEVNALRAIKGRLIDPMNNLKNWNSGDPCTSSWKGVFCDNIPINNYLHVAELQLFKMNLSGTLAPEIGLLSQLKTLDFMWNNLTGNIPKEIGNIHTLRLITLNGNQLSGSLPDEIGYLQNLNRLQIDQNEISGPIPKSFANLTSMRHLQADNNNFSGSSIPAAYENIPTLLKLDFQNNSLGNIPAAFEPPEEVTILLYGNPVCTNSTPARAARLCQPTSVTEAPSGQGSQVSINCSPCPTDKNYEYNPSSPLPCFCAVPLGVGFRLKSPGISDFRPYKEDFQKNLAHLLVLADYQIYMERYIWEVGPRLNMHLKLFPNNTNLFNTSEVVRLRHLLAGWEITLSNVFGPYELLNFTLGSYEDEFPTVASSGLKRGALAVLSRYSVKVDGVRCFTFDEMAAATNDFTDSAQVGQGGYGKVYKGNLTDGTAVAIKRAHEGSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKSRRPLNFSQRIHIALGAAKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVDGTMPAHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVLLELLTGMKPIQHGKNIVREVNTAYQSGEIAGVIDERISSSSSPECVARLASLAVKCCKDETDARPSMADVVRELDAIRSALPEGEELLPEYGDQSATSTSLTATGPLSSSSTTGALFISSGSGGHANSKSGIPSGTVAPR >OGLUM01G39240.1 pep chromosome:ALNU02000000:1:37513570:37518517:1 gene:OGLUM01G39240 transcript:OGLUM01G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVAEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSRPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPSTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTGSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRNSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKKMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLNGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >OGLUM01G39240.2 pep chromosome:ALNU02000000:1:37513697:37518517:1 gene:OGLUM01G39240 transcript:OGLUM01G39240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLDTEIGKHDYDWLLTPPGSPHAPILEVAEKAPSSDVPKRITTRSSSTTRASRLSIPETENGHSTVPTRPARSNSVSRPSIQSTLISSNNRSSVVNASISSVCSRPTTPSKRTSTLSSSKPSVPASRQVPTRPSTPTKTRPSTPVKPRPSTPVKPRPSTPNKTRPSTPVKTRSSVPNTMSTSTIAKTTSAQNSRPSTPTSRPRIMSNSSSSTGSAASRPSSSSGRTSTISRTSSSTSTVPSVSRSSSRSSTPTRQPITRSSAPLAGHSPSVGRIFGSNNITSIGRPVTSNGRNSAPSSAPSSRPSSPNSRARAPVRPLDIPDFPSETPPNLRTKLPQRPLSAGRARPGVGLGPKSAPNAEQVRSAPVKKMTVPAITRSKFPDAPSRVSSLTNGHQSRQSEGSAVEGQHTKPLRSITGTDNGFGRTISRKSLDMAIKHMDIRQNLGGIRGASLFPPSIRSAGAKVRSARMSDPAHLSLNGDCHFTDNGSINGHFSGDSNGTLSNNGGSSTGSPDRESIGTKETLSELDLYTTARYEALLLREDITNTNWLHNVDDKSDQSPVFDHRFEPLPEPFGPL >OGLUM01G39240.3 pep chromosome:ALNU02000000:1:37513570:37516197:1 gene:OGLUM01G39240 transcript:OGLUM01G39240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPSPLPIAMRHIEPDTGENFTARLTRIPLLPSSSLPASSRCLPLSLARAAHRHKQSPGGLGHGSFWKLPRRREEGGGGERRGGGLTYSLTAVVPSPAPPGIPIPSRGAPLRREASSYRIDLVWGVLGV >OGLUM01G39240.4 pep chromosome:ALNU02000000:1:37513570:37516197:1 gene:OGLUM01G39240 transcript:OGLUM01G39240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPSPLPIAMRHIEPDTGENFTARLTRIPLLPSSSLPASSRCLPLSLARAAHRHKQSPGGLGHGSFWKLPRRREEGGGGERRGGGLTYSLTAVVPSPAPPGIPIPSRGAPLRREASSYRIDLVWGVLGV >OGLUM01G39240.5 pep chromosome:ALNU02000000:1:37513570:37516546:1 gene:OGLUM01G39240 transcript:OGLUM01G39240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSPSPLPIAMRHIEPDTGENFTARLTRIPLLPSSSLPASSRCLPLSLARAAHRHKQSPGGLGHGSFWKLPRRREEGGGGERRGGGLTYSLTAVVPSPAPPGIPIPSRGAPLRREASSYRIDLVWGVLGV >OGLUM01G39250.1 pep chromosome:ALNU02000000:1:37522338:37527411:1 gene:OGLUM01G39250 transcript:OGLUM01G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHILTAFLVVSLLFACIPPAKSADLNSDKQALLAFAASLPHGRKLNWSSAAPVCTSWVGVTCTPDNSRVQTLRLPAVGLFGPLPSDTLGKLDALEVLSLRSNRITVDLPPEVGSIPSLHSLYLQHNNLSGIIPTSLTSTLTFLDLSYNTFDGEIPLRVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQRFPANSFLGNAFLCGFPLQPCPGTAPSPSPSPTSPSPGKAKKGFWKRIRTGVIIALAAAGGVLLLILIVLLLICIFKRKKSTEPTTASSSKGKTVAGGRGENPKEEYSSGVQEAERNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVGKKDFEQQMEIVGRVGQHQNVVPLRAYYYSKDEKLLVYDYIPSGSLAVVLHGNKATGKAPLDWETRVKISLGVARGIAHLHAEGGGKFIHGNLKSSNILLSQNLDGCVSEFGLAQLMTIPPAPARLVGYRAPEVLETKKPTQKSDVYSFGVLVLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNIEDEMVQMLQVAMTCVAAPPDQRPKMDEVIRRIVEIRNSYSGSRTPPEEKQKDESAAP >OGLUM01G39260.1 pep chromosome:ALNU02000000:1:37527894:37539273:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWPSLAESAIRRRRTDHHLLAGAFLFPAASPVSVSPGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.2 pep chromosome:ALNU02000000:1:37527894:37539144:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTDTTPSAADSRRRTSKFAHWWNMTQILWMASFMKFLCGSRILTIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.3 pep chromosome:ALNU02000000:1:37527894:37538221:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.4 pep chromosome:ALNU02000000:1:37527894:37539144:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTDTTPSAADSRRRTSKFAHWWNMTQILWMASFMKFLCGSRILTIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.5 pep chromosome:ALNU02000000:1:37527894:37538221:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKPHVDFGLKLLGADVMAIPILYSFVQETIKKQVASIASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.6 pep chromosome:ALNU02000000:1:37527894:37538221:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39260.7 pep chromosome:ALNU02000000:1:37527894:37538221:-1 gene:OGLUM01G39260 transcript:OGLUM01G39260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVNMLIDFSGFCFGFSAGIVIGYFLFIYFQPTEVKDVKVCPLVEYDSNSLDGILHEIPLWVKNPDCDRIDWVSRFLEMMWPYLNKAICKTAQDIANPIIAENKEKYKIDSIEFETLTLGSLPPTFQGMKAYVTEEQELIMEPSLKWAANPNVTVVVKAYGLNATIQVVDLQVFASPRITLKPLVATIPCFAKILVSLMEKETIKKQVASMYLWPKTLEVPIMDPSKASKRPVGILLVKVLRAQNLQKKDLLGKSDPYVKLTMSDDKLPSKKTTVKRGNLNPEWNEDFKFVVTDPETQELEIKVFDWEQAWNSFVLLFFSCAMLPKTADLVLFVGKHDKMGMNKILLKELPPEETKVTTYNLLKTMDPYDIHNEKSRGQITLEMTYKPFKEDDIEKDVQGTDVVGKAPDGSPAGGGLLYVVVHEAQDLEGKHHTNPYARIIFKGNEKKTKVIKKNRDPRWEEEIEFVCEEPPANDKLHVEVLSKPPKKGLIHGKETLGYIDINLADVISNKRINETYHLIDSKTVGIVIGYFLFIYFQPTDVKNVKVRPLVEYDSDSLDDTLPEIPLWLKNPDYDRLDWLNRFLELMWPYLNKAICRIAQDVANPIIAKNKEKYKIDYIKFETFTLGSLPPTFQGVKVQVTNEQELVMEPSLKWAGNPNITVVVKAYGLKATIQVVDMQVFVLPRITLKPLVSSFPCFANILVSLMEKPHVDFGLKLLGADVMAIPVLYKFVQETIMDQVASMFLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLREKGPLGKRDPYVKLKMSGSKLPSKKTAVKHSNLNPEWNQEFKFVIRDPETQELDINFGKDEKLGMCKISLKKLTPGTEVITDNLIKTMEPNGIQNEKSAGEITLELTYKPFKEGNVQKEDPGGLLYVVVHEAKELEGKCNTNPYVKLTFKGVEKKTKVVKENRNPRWKEEFEFECEETPANDKLHVEVLGTKKALIRNKESLGHIDISLADVIINKRIIEIYDLINSKRGQIQIEFQWKSS >OGLUM01G39270.1 pep chromosome:ALNU02000000:1:37541878:37545255:1 gene:OGLUM01G39270 transcript:OGLUM01G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >OGLUM01G39270.2 pep chromosome:ALNU02000000:1:37543264:37545255:1 gene:OGLUM01G39270 transcript:OGLUM01G39270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >OGLUM01G39280.1 pep chromosome:ALNU02000000:1:37565258:37568092:1 gene:OGLUM01G39280 transcript:OGLUM01G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKQGHIMASKRILKELKDLQKDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYETTARSWTQKYAMG >OGLUM01G39290.1 pep chromosome:ALNU02000000:1:37569619:37572301:1 gene:OGLUM01G39290 transcript:OGLUM01G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEQVKRRFGRCPYCRAMIYQDPNAIIYYCSKCRTPIRGKNPEPTDDAEYALSQLEILSADTASVFSDDPDTLSRTSSVAYGGGEQPPVRTSSAPYAAFDRGSVRAGSRSGEQSGEERGGSPMHSRVSELRPTSRRTRRPMSGDMGAFRDDGSSYGSDNDVPTSAAASYRRRSSPLTSQELEASSSSMGSSGYQPSGVSSSSMGSSSVYEPSGAARSPLTDPAFQRDLLQALDNLRRVIAAVEQPYGVDAHLQQAGMPPKSASCNDAATGGSGGGGGAYAAAVTRRNSRLMRRLESQLVQALPRDGLRRDRSTSSSSSASSSRPGGDRARAAGRKHHCRAVLGGTPFVVCDKCSEILQLPAAVSANRAARLECGGCGETLSIKLPAAAAAASGSTDRPKKIFSAPQPAVRRLDDDDAGEEHASARSNLSGDQRWPASPAEGPLHRMLGYSTVSSVFRSRRYGEQH >OGLUM01G39300.1 pep chromosome:ALNU02000000:1:37572575:37574515:1 gene:OGLUM01G39300 transcript:OGLUM01G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11460) TAIR;Acc:AT3G11460] MATEPSTSAPASPAAVAGGDDSLEPWSARVRTLTRLGRHREALALLRHGDPSPPPHALALPAAVISCAKLHLASGVAQIHALAAKRGLLPSSDAYLLSALLSSYSRLRLLPLARQLLDELPLASTPPATARTAFNSLISGCALHGVPAGCFSLFRLMRVAAGVRFDAVTLLALVPVAPLGIVPQLHALAARSGLAANTSVANCLVSVYARGGGGGASLARQVFEEMPRASRDLVSWNAVISAHAQNGLAVEAVELYRRMRGPEGGGVEPDAVTLVGVLSSCAHTGARRVGLDVERYVRDTIPGFRDNLPLCNALINFHARCGSLAQAQELFDEMPERSVVSWTALIIGYGMHGQGDIAVDLFETMVSEATAPDSVAMVGLLSACSHAGMYDEGRKYFSTMERDYCLRPKLEHYTCMVDLLGRAGRLDEARELIASMPMAADGAVWGALLGACKIHKHVEMGEEAFERVVSLEPANVGYYVLMANIYADAGQLDGVAKVRAAMRRRGLRKEPGCSYVEHKGKVHLFMADDHSHPQARRIYELVVELERMVKEKTGGDVVEERAEKAVAEAAAVPLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKTVSELTNRAFLVRDASRFHRFENGACSCRDYW >OGLUM01G39310.1 pep chromosome:ALNU02000000:1:37575297:37585058:-1 gene:OGLUM01G39310 transcript:OGLUM01G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVSDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPFKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >OGLUM01G39310.2 pep chromosome:ALNU02000000:1:37575297:37585058:-1 gene:OGLUM01G39310 transcript:OGLUM01G39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT2G40730) TAIR;Acc:AT2G40730] MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTEAEVSDGPAMKHTIYIVTEPVTPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCVTSVVVTQTLDWKLHAFDVLSEFDANNEASNSPMLQFEWLVGTQYKPMELTKSDWVSIRKSPPWAIDSWGLGCLIYELFSGAKLTRTEDLRNTASIPKSLLPDYQRLLSSAPSRRMNPFKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASALEFGSAAAPALVVLLKMGSWLPADQFSAKVLPTIVKLFASNDRAIRVSLLQHIDQFGESLTAQTVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKVDEEPAIRTNTTILLGNIANYMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHEKLTMGDNSAAEATGIQLKPGNAGLLGWAMSSLTQKGKGSDHGPVSSANASNSQISATSSVTSDNRSSTVAYAPSTSSSLDQTAPASARSSVDGWGEIENDNTQEENGSDKEGWDDVDPFDEKPPPSLLSNIQAAQKRPVAQPKQPVSNSSRLNQPKVPKPEEDPLWGSIAAPAPKNASKSSDIKPSTSHNDDDDLWGSIAAPPPKSAGKPLKPPAAANSDDLWGAIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >OGLUM01G39320.1 pep chromosome:ALNU02000000:1:37588710:37590376:-1 gene:OGLUM01G39320 transcript:OGLUM01G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFVERLLVGLLAAAVVAIAVSKLRGRKLRLPPGPTPVPVFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTGKVVQRHRAGWEAEAAAVLMMYNNVYRIMFDRRFESADDPLFLRLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICEEVKETRLKLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVADDAVVDGVGDRGAGVNHGEIQEKLRRELDTVLGPGRQITEPDTHRLPYLQAVVKETLRLRMAIPLLVPHMNLRDAELAGYGIPAESKVLVNAWYLANDPGRWRRPEEFRPERFLEEERHVEANGNDFRYLPSGAGRRSCPGIVLALPILGVTIGRLVQNFELLPPPGQDRVDTTEKGGQFSLHILKHSTIVAKPRAF >OGLUM01G39330.1 pep chromosome:ALNU02000000:1:37591500:37616720:1 gene:OGLUM01G39330 transcript:OGLUM01G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQFWLRVLYGATLTEDVTSDIPFLALNDTVQARGSTRTVDGVRIQPIWIISDVAWHTSGWAKIKRKESPRDLQPSNPSHIRYAGKTARRRGADGVVGRKAMARTRLEEGNPCGAGDEGDRSGAAHRPRQPPQEGGGAAPSSASIKAGKISAGDAGDQRSLSRCRFFQSLLLVLANPAAVAFTEDARCPWPGGRQRGTRLVLTLPDPAATAVTNSARKK >OGLUM01G39340.1 pep chromosome:ALNU02000000:1:37616943:37618341:-1 gene:OGLUM01G39340 transcript:OGLUM01G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAEGQEAALAEEVVTCAGDGVRRRSNWWLRLAGEVGSAGSPRRRRWCRLAEGVAAGNRPTRVGLAGDVEDGRRVMAAQREVMSVEAKPGEVAARGDWPAGAPVQKRANMIKAQVARCRCRRGLVNGQCDSALAGATLNLIKGKPHVILGAGTGSPGENASGKWQHA >OGLUM01G39350.1 pep chromosome:ALNU02000000:1:37627877:37631847:1 gene:OGLUM01G39350 transcript:OGLUM01G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRSDNMDSSSECSRGAHKRLLQDSRSYDQENAMKKVCIGTRTEYTYAPYHDGYQWRKYGQKMIRGNSFPRCYYRCTYHQDHGCPASKHVEQHNSEDPPLFRVIYTNEHTCGTSNSASDYMASSMQIQQIADASLRKAQAAERLRKAEVETPRLMHSPPPRCSGGYNMAMKEEKDVIVSSLLTVIRGCHIAESAGNNSAAALPANRPPPAVARSDHHSCSYAISPELLPASDDLTLDFILDSVLDPHWVEPLDLAWFKESTHTG >OGLUM01G39360.1 pep chromosome:ALNU02000000:1:37659648:37671615:1 gene:OGLUM01G39360 transcript:OGLUM01G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYGQKNIKDSAFPRCSYREDRQCLASKLVQQENDDDPPLYRVTYTYEHTCNTTPVPTPDVVAEQPPPGAAGDAYLLRFGSSAGGGGGGGAHQQQTERERQQQNTARRRPFMMLSFDSSSSHQLHEQPHAFPPDGQLPATAAAASPSSFTAAEALAAPPPAPPLTTTMNDGGDLFSTWDALRYGLDYDHGHLGNHGLLLKLESNNTHLKVLCTLAAQDNVHKTGEHSVQYTTRVPQVSAQIKMAFGQDTIEQLYRELAGGRRLSAKLQALLEGPLDSRGQKEAVDVSRELGRVFMVSLYMLKPCSNSSRRPEGVTRTAPETRTDDSICLHTPARVKRVRSEEVLVRNGREEVVTRTEIITPSPYKDGYQWRKYGQKNIQDSNYLSRERSCAAKKQVQQRDAGEPPMFLVTYLNEHTCQQPQAVPGTPNTAGSSPTTTSRQRQSSSSPPAEMLDLTMNGAGLFSRLLLPHAVGGGGSAAEEEAAIVTCLAAVISGGGAAAAPPPLIWPTSAPEAAFVASAAGHSPSAADESVADEAAAAQMADMDYCFGQYDQSTFGAAAAADHRVLIGDDGDVQRVVAARIADTVWPRYTRDTSAWETAGTSSMRGSID >OGLUM01G39380.1 pep chromosome:ALNU02000000:1:37686267:37688427:-1 gene:OGLUM01G39380 transcript:OGLUM01G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQSRLAAAASGGGGSGISGSGGISRLSGGAGEEHEAVVRELTRGHELTARLRAEALRALRGQGQAEATATFILGEVSHAFTVCLSIMASASPSASPPQPDETPPADSAVSPPPPPRAAREDNVPRKRLLTASPYDDGYQWRKYGQKKINNTNFPRSYYRCSYHRERRCPAQKHVQQRDGDDVPALHVVVYTHEHTCLQGAPAELPDAATNGGAAAAASPDYFPAGGETPSSLRRLRGVGGGGLQPQFVDHRAAMEERERQVLVSSLARVLQGRQCYDDDDTDVASLGAVHARAPAAAAPVAASSSSSGPVDAAGEELDVMDYDMTDTLFWGPFGTDSNSYDGNLTSTRCFDLIN >OGLUM01G39390.1 pep chromosome:ALNU02000000:1:37702679:37706051:1 gene:OGLUM01G39390 transcript:OGLUM01G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSSSAVVLELMTMGYQSAAYLGELLRAASPAQAGDEQQELAAEILRCCDRVIAKLSRGGATGATTGKKRKAAESAAAAAMTSSSLPVTPTKRRARGAEAVREVRSGTTADGFIWRKYGQKEINGCKHPRLYYRCAFRGQGCLATRRVQQSQSQDDPAAAFVIAYYGEHTCGGNAAAAAACRDGELMPPAVINSGASSFAAAWNMASREPASSLAVERRSCDGDAPSETSQGWSPSFSSEVELDVVGFDLAGADSSASPVWEFLNGSFDWESVINSL >OGLUM01G39400.1 pep chromosome:ALNU02000000:1:37706554:37707435:-1 gene:OGLUM01G39400 transcript:OGLUM01G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSESGDDKEKGKGEMKPEEAQEVWKEMLKQFKDEAQRMHGLTLQAYGVYSQRAREVLLEASEKLKIHADKAQKDLSVIATEVGEEGQEYLKLAAQNSPDSIKDINETFNSLGKLKISEYEDYHVGIPFGTFLTVGGFLNFMLTGRTSAIRFGIILGFALLAMGISSLRSQRAGGRRPRLLLKGQAG >OGLUM01G39410.1 pep chromosome:ALNU02000000:1:37716106:37721042:-1 gene:OGLUM01G39410 transcript:OGLUM01G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40660) TAIR;Acc:AT2G40660] MAAAAADFAASKRAMAYALCKHLSLDPNTISSTSIEESDIATLFSHIVKSSEDEVLKWVEFSSNFVGKCGEQHVLLGNLNQDLSQKSVLLGNGFKPSVADIVVFATIQVFVSHLGENELQKYPHVLRWMDYIQNIVDFGTTVQKVNLTKSVFDPPSHPKKADKGDTDPSSKKVVSGQKNVGKSDANVYSKKAAPENKAPENKANPTPAKNNKPSGDKKKAQEKSAGKPNEGVADKAPQKTAEKDSECNVSILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKFFSPEELVNRHVVLITNVKPGKLRDVMSAGLVLCASNQDHTVVEPLIPPEGAKPGERISFAGFDGKPEDVLNPKKKQLDKITPDLHTDGNGIATYRGVPFTTSAGPCRSSVPNASIK >OGLUM01G39420.1 pep chromosome:ALNU02000000:1:37735084:37745955:-1 gene:OGLUM01G39420 transcript:OGLUM01G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPSHEETGFRNTAEGMGRSGGHGSPPPWRPSTRCHDENSVYANLLSNIGFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLFSCIGLLGVDSRQRKILPSPYISSSQCDLERTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKTHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINKLPKHICIQHLISSQKAATKICWVALRITFIVYARAQIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPLNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPHITAFAGLQYLNMSSNSFAGQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLLSLRIFDVSHNLLSGDLPNSRFFDNIPEAFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >OGLUM01G39420.2 pep chromosome:ALNU02000000:1:37735084:37745955:-1 gene:OGLUM01G39420 transcript:OGLUM01G39420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPSHEETGFRNTAEGMGRSGGHGSPPPWRPSTRCHDENSVYANLLSNIGFNTGLEVCKAVGQSYNSLQNSVPMSNKFSCLHFPSHAAIWNTVLGMIIAWVGDKQQLYRNNILGINFSRTSYMHNASLFSCIGLLGVDSRQRKILPSPYISSSQCDLERTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKTHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINKLPKHICIQHLISSQKAATGADYTNAKYDCLEQSYRHLHVDVDLRRDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLLSLRIFDVSHNLLSGDLPNSRFFDNIPEAFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >OGLUM01G39420.3 pep chromosome:ALNU02000000:1:37735084:37745955:-1 gene:OGLUM01G39420 transcript:OGLUM01G39420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPSHEETGFRNTAEGMGRSGGHGSPPPWRPSSCIGLLGVDSRQRKILPSPYISSSQCDLERTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKTHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINKLPKHICIQHLISSQKAATKICWVALRITFIVYARAQIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPLNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPHITAFAGLQYLNMSSNSFAGQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLLSLRIFDVSHNLLSGDLPNSRFFDNIPEAFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >OGLUM01G39420.4 pep chromosome:ALNU02000000:1:37735084:37745955:-1 gene:OGLUM01G39420 transcript:OGLUM01G39420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSLRRSALLQLPCGCFAETRVVLDEKTEHLQLPSHEETGFRNTAEGMGRSGGHGSPPPWRPSTSTRPLGRGLVKILALLKEEDANGPTCDCHDDKTHCQEWIIFLSKLPEIIVFYFCVSCGGTLGQQSATFSELCIAVVEFFVKRKQKMITVPFRNTFPETFVDWVYHIRADAISSINKLPKHICIQHLISSQKAATKICWVALRITFIVYARAQIILMQNMIVWSKATGICMWTWISEAQGPPSPLLSASLTAPPLHHLHLLSKNLPCRRKWTRRRCDPSSPLRSPASSSSAREMAAASPLARLLAALLAVAAAATAATALTDDVLALVVFKTGVADPMGRLAAWTEDDDRPCSWPGVGCDARAGRVTSLSLPGASLSGRLPRALLRLDALASLSLPLNNLSGPVLPGLLAALPRLRSLDLSSNRLAAPVPAELFAQCRSIRALSLARNELSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPGSSSLRAVDLSRNLLAGEIPADVGEAALLKSLDVGHNLFTGGLPESLRRLSALRFLGVGGNALAGEVPSWIGEMWALERLDLSGNRFSGAIPDAIAKCKKMVEADLSRNALAGELPWWVFGLPLQRVSVAGNKLYGWVKVPADAALALRALDLSSNGFSGGIPPHITAFAGLQYLNMSSNSFAGQLPAGIGGMRLLEVLDVSANRLDGGVPPEIGGAVALRELRLGRNSFTGHIPSQIGNCSSLVALDLSHNNLTGSIPSTVGNLTSLEVVDLSKNKLNGTLPVELSNLLSLRIFDVSHNLLSGDLPNSRFFDNIPEAFLSDNQGLCSSRKNNSCIAIMPKPIVLNPNSSTNPLSQATPTAPSSMHHKKIILSVSTLIAIAGGGTIIIGVIIISVLNRRARATTSRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKLLSKVRHHNVVALRGFYWTSSLQLLIYDYLPGGNLHKHLHECTEDNSLSWMERFDIILGVARGLTHLHQRGIIHYNLKSSNVLLDSNGEPRVGDYGLAKLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSALEEGRLEDCMDPRLCGEFPMEEALPIIKLGLVCTSQVPSNRPDMGEVVNILELVRSPQDSLEDELV >OGLUM01G39430.1 pep chromosome:ALNU02000000:1:37747285:37747788:1 gene:OGLUM01G39430 transcript:OGLUM01G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARQLDGGGIAMEIVEEEDAGATRLGRLSLSLDLNGGGGGAFRPSTLLDEYERLAIEAQLDRAVLRRSYSEPSPSRLAVVAPQDKQEAPPGAAGRRAKEEKLGAGRAPARRSWLLEALKRLLCWLGIGGAWGGGRRRGEEPAAPCPPAPPPRMQLLDYLTTTSTT >OGLUM01G39440.1 pep chromosome:ALNU02000000:1:37749296:37752161:-1 gene:OGLUM01G39440 transcript:OGLUM01G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08760) TAIR;Acc:AT3G08760] MMGCFTVLRSKKKKPLALTKKSVDARESTSSRLPEPEAHVPSLQSAPPSFRNKAKIHQSEKKASYSRARVLSAPSSLIVVDQDGLPYAEFDDQDDSRGKGGSIKGHRFSNPLPLPLPSPEGKSLRNFGSFKAINASGPLDASGPLPLPPKKCDGLKNFSYEELSSACQWFSGDQCVSESLTSTSYKASFRDDFTDPKTIEAIVSRLLSSTQSLKEFKTQVNTLASLQHPNLCKLIGFHAREESNERMLVYERLHHGSLDKLLFGRSDGRFMDWSARLKVALGAARGLAFLHDEGPFQAMYNDFSTSNIQIDKDFTAKLSGYGCVGFNTEEEISNASVAAANLSVETLEKGVLTPKSNVWCFGVVLLELITGRKNLDVRSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILRCLNKDPSERPTMRAVVESLASVQDIKVPCRYPLQEPSAAPRKVMLKSISLNGIIHHHPVVTFSPSPPSRNQHLLSPRSSTSALLPPRTSCALDDPRVSSIKKSPSPILRRSGVEGF >OGLUM01G39450.1 pep chromosome:ALNU02000000:1:37764622:37765428:1 gene:OGLUM01G39450 transcript:OGLUM01G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKSRCSRTVKDETSHRVCQTPGQLGTNPRTGVANTARATGDHHESYANWQLGDAVAMLEVEEEDPERLMMTSADDEVVDARTVMKTTKAAKLRSERTTQLQLHVDAAARSPPVINAEKGASQHCNNQWRCGPQAAALRPEGGERSGTPKLDGVDACSMTIGCRSRCSRALERVIKLNMREAEL >OGLUM01G39450.2 pep chromosome:ALNU02000000:1:37764063:37764616:1 gene:OGLUM01G39450 transcript:OGLUM01G39450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCAVVDVAKAKSARELWSMKPRSQEADVESGRGRTGGASWTKVHEDALETKMVTQSWQS >OGLUM01G39460.1 pep chromosome:ALNU02000000:1:37768297:37769423:-1 gene:OGLUM01G39460 transcript:OGLUM01G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWWRKQWQPCLPANSSLCNYLVWLPSPQQPVIESIWQASCNRVQSRPPKGSTCRVENMKNGDAHGSAAVLAILILLASSM >OGLUM01G39470.1 pep chromosome:ALNU02000000:1:37771506:37772151:-1 gene:OGLUM01G39470 transcript:OGLUM01G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHTKSMSWYMGTPASPSPGSAGEAQHALSSGGGGGSDASFDTNMVCAICLGEFADGEKVRVLPRCGHGFHVRCVDTWLVSHDSCPTCRGSVLHGATTKHKPTAAAAAAGSRRPGSEVDAPAAAAVTVVIA >OGLUM01G39480.1 pep chromosome:ALNU02000000:1:37779794:37780242:-1 gene:OGLUM01G39480 transcript:OGLUM01G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGN6] MAPRCATLAVVVVLVAAVVAPPTAVRAAISCSAVYNTLMPCLPYVQAGGTVPRACCGGIQSLLAAANNTPDRRTICGCLKNVANGASGGPYITRAAALPSKCNVSLPYKISTSVNCNAIN >OGLUM01G39490.1 pep chromosome:ALNU02000000:1:37784816:37785091:-1 gene:OGLUM01G39490 transcript:OGLUM01G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSECSTQCSDGKGTTATAAAATTILPLPLSPSASMAGLRAYGAETSAMAIHLSFSPLSPSKRRASEGGAPGRGRGCQRGLGCAECGRA >OGLUM01G39500.1 pep chromosome:ALNU02000000:1:37788750:37789040:-1 gene:OGLUM01G39500 transcript:OGLUM01G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGVESVEERPRGGELDAREVELDEPMADGEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRQQWRSGELLAGALLRAAVAVLD >OGLUM01G39510.1 pep chromosome:ALNU02000000:1:37794731:37796964:1 gene:OGLUM01G39510 transcript:OGLUM01G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSALLLLFSAFCFLARRAAADYGSWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTVLFNDGAACGSCYELRCDNDGQWCLPGSVTVTATNLCPPNYALPNDDGGWCNPPRPHFDMAEPAFLQIGVYRAGIVPVSYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGPGDVQSVSIKGSSTGWQPMSRNWGQNWQSNSYLDGQSLSFQVAVSDGRTVTSNNVVPAGWQFGQTFEGGQF >OGLUM01G39520.1 pep chromosome:ALNU02000000:1:37798152:37802618:1 gene:OGLUM01G39520 transcript:OGLUM01G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFVFGVRPCQNHAAGGGGGGRRETWPRVRCRASRPDGVPGTGDIITLLSLRSPLRRAPQPPVAPTGRLRPSPRSSFHRRSPRLALAYLGAIDHPLEPTSLAYFALKIPRTYLVCLHFAEILMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETIFFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFEVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >OGLUM01G39520.2 pep chromosome:ALNU02000000:1:37798152:37802618:1 gene:OGLUM01G39520 transcript:OGLUM01G39520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGRMAGTAAALGLSVVSSVSIVVCNKALMSTLGFVFATTLTSWHLLVTFCSLHVALQMKLFENKDLDPKTIIGFGILNGISIGLLNLSLGFNSIGFYQVTKLAIIPCTVSLETIFFRKTFSRRIQMALAVLLFGVGVATVTDLQLNRLGSLLSLFAVLTTCISQIMTNFIQKKFEVSSTQLLYQSCPYQALTLFIIGPFLDGFLTNQNVFAFKYTSEILVFIVLSCLISVSVNFSTFLVIGKTSPVTYQVVGHLKTCIILGFGYVLFNDPFSWRNILGILLALLGMILYSFFCLMENKQKAPELSAPFFHTKVKGGEAGTLLLVQNGSAKVADGVVTEGPMWRSNRDLDA >OGLUM01G39530.1 pep chromosome:ALNU02000000:1:37803204:37805505:1 gene:OGLUM01G39530 transcript:OGLUM01G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGP2] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPQGPRPGGGAPAPGGAAAPAPNVART >OGLUM01G39540.1 pep chromosome:ALNU02000000:1:37806000:37808909:1 gene:OGLUM01G39540 transcript:OGLUM01G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSRAPRRPRSGAFRVGGAVDAGEVRAAVEAAEAVFRAPEEVKRELGRWFRRRDRVAGEEFYWFRPATASSDDDRVLDAALPGSTYQVFREKMEIVASKMEDLAQCVMRVLSDNARNPEDSALSTGAASILCLTLYNCNKLKTHWSEFGSTNPPNSYALSIHLSGRYQEICLRNQSGSTFFSLPAGSMLVTIGKQIQEWSNGEFKNAVGEILFELTDEPNPFISLELLYSPGHLRLPDVGRHARCIDHPKTVSFRDQILVALVLLVFFYLFWR >OGLUM01G39550.1 pep chromosome:ALNU02000000:1:37817527:37818666:-1 gene:OGLUM01G39550 transcript:OGLUM01G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHKFRLSDMIPNAWFFKLRDMRAARGGAGAGGGGASHGGVVTQSSVAVSRAGRACRPLPNTPRHGALSLPHRASYYYTPRAGDLLLGSPLHPKCSDTQFPPLQLSPPRKSRRRHRRRSVKLAPSVSGSSVLSSPVSTGCRCGRKPELVVVEAPDTPPCRRDKFVGYNDDDDDEEEEEVEFKKPTVAVAACDELDGKVITSATDIIIDLRTEKRPDKVLPPIVTKPARRELDGCDLEEKHIDVVRRASAKKPTPLLEQSKPRRSVSSARRLKTRANTPRIVAKKSKPPPPPPPAAARSPAPTTKPPLAESFAVVKSSRDPRRDFRESMEEMIAENGIRTAADLEDLLACYLSLNAAEYHDLIVDVFEHIWANLADIKM >OGLUM01G39560.1 pep chromosome:ALNU02000000:1:37829332:37834664:1 gene:OGLUM01G39560 transcript:OGLUM01G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAITLSHSQSASFGHHHHHHQTMPSSFRPSTASTRSVKVYAKEDEEKGSKQSLFGSITEALDFSQVRSEKDAELLYEAREATKDGGRMTKEQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKKDTRGEPRQVDKLGRYAHVACLENPKPTNIFAKLFAR >OGLUM01G39570.1 pep chromosome:ALNU02000000:1:37829758:37832740:-1 gene:OGLUM01G39570 transcript:OGLUM01G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGASWGGHGSRPVVRSLAMESATEKVRRTPSSCLLLRISDICKVRSVGVAPTVREKPKADGSATGESSEDGGAHLKVHPHHVSDHESVSECSSARCEEAFVERLLDAISGLKLSYVNLQQALVPYDPEEITIADERFTSELQETAGLKDLYVNMNKWRNPMYQCYVGSRIQEQQKLAVELQAGMCKRDSEIVCLRAELDELERKNMELEEKIGQSALQKEGSFAIGMGVSTDMFMELFELSTKSIHDFAKLVVRWMKLSRWNLGNLTSPIDNSVVYDKRSHKKYAVEAYFACMMLMGHKEEYLSLDVFDYVMSFSDPFDALMKAPDSCFGRFCREKYLAILPPSMEDSFFGNLDHRSFVENGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFYVKGGTEFRSKHMECVPSKITKEGDKVSVGFTVMPGFKIGCTVIRCRVYLSMEAFGVRMHGSLVAAARLRGARQAC >OGLUM01G39570.2 pep chromosome:ALNU02000000:1:37831065:37832740:-1 gene:OGLUM01G39570 transcript:OGLUM01G39570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGASWGGHGSRPVVRSLAMESATEKVRRTPSSCLLLRISDICKVRSVGVAPTVREKPKADGSATGESSEDGGAHLKVHPHHVSDHESVSECSSARCEEAFVERLLDAISGLKLSYVNLQQALVPYDPEEITIADERFTSELQETAGLKDLYVNMNKWRNPMYQCYVGSRIQEQQKLAVELQAGMCKRDSEIVCLRAELDELERKNMELEEKIGQSALQKEGSFAIGMGVSTDMFMELFELSTKSIHDFAKLVVRWMKLSRWNLGNLTSPIDNSVVYDKRSHKKYAVEAYFACMMLMGHKEEYLSLDVFDYVMSFSDPFDALMKAPDSCFGRFCREKYLAILPPSMEDSFFGNLDHRSFVENGGHPRTPFYQAFVTMSRYVWASLTVARSLNPRAEMFYVKGGTEFRSKHMECVPSKITKEGDKVSVGFTVMPGFKIGCTVIRCRVYLSMVNERNF >OGLUM01G39580.1 pep chromosome:ALNU02000000:1:37839131:37839310:-1 gene:OGLUM01G39580 transcript:OGLUM01G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFPDSVKMLHSKQGLTILNWCGAIVTTDNAACIASGDLVFQSKPNCFPGNEGSQPI >OGLUM01G39590.1 pep chromosome:ALNU02000000:1:37840392:37842434:-1 gene:OGLUM01G39590 transcript:OGLUM01G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGP9] MAGNPAAAAPSSSGSSSVFLPPPSPSDGELLRSLHRLARDLSAVDTPAPFLRAAFASISRRSKLLAAAFDDLLLCGAAGELPRSASLCLREVLLVLQRFKAIVADCSARSRMRLLLESDEMEAELRELNHDLATLLDLLPVVELGLADDVLDVLALASRQCRRCSPAPESEEALKASVLSLIQEIEREIVPERERLEEILVEVSINDPASCSEEIESLEQEIGDRASEKWTASMIALVGLLRYAKCVLFSATPRPSDSNSKADVEAEDGEPPVPPSDFRCPISLDLMRDPVVVASGQTYDRESIDRWFSSGKSTCPKTGQVLANLELVSNKALKNLISKWCRENGVAMEACEASKSEQAQAVAANKAALEAARMTASFLVKKLSVSFSPDAANRVVHEIRLLSKSGSENRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHADGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHSYRRRLGRNQSVVEKLVHLVRTGPTSTKKDALAALLTLAGERENVGKLVDAGVAEVALSAISKEETAAAVLAALAKRGGAEAIVNIDGAVARLVAEMRRGTDWARENATAALVLLCRRLGAPAVTQVMAVPGVEWAIWELMSIGTERARRKAASLGRICRRWAAASAADGERGGGCPVATVVPPAMMAS >OGLUM01G39600.1 pep chromosome:ALNU02000000:1:37856536:37856820:-1 gene:OGLUM01G39600 transcript:OGLUM01G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFGVNLRKEFGRQCFTTVGFMKAWQEFDHYDEPRPHCAVTSSKWDLRTALVSSALQTIDIVRVKLDSLCRGELNHSNVPYSRF >OGLUM01G39610.1 pep chromosome:ALNU02000000:1:37857245:37858618:-1 gene:OGLUM01G39610 transcript:OGLUM01G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNTLGQRRRGRSTTGAPTPCLPLSLSVVAREEETEREKEAGRKEEETRKEKTLTAGPTAHYQIAAVGTLRLPWRHSHDLKPRRRTVGRKTRHHLILRSKCCSSHPKPRHWSEPHYSLDLHSTSHTSFQALCDSSRSAATHGCRVTLFHPANLHKLPNSLAIVLLNYRTGSSIGIQGADVDPDGYAEATGNIKAQGKT >OGLUM01G39620.1 pep chromosome:ALNU02000000:1:37863844:37864323:1 gene:OGLUM01G39620 transcript:OGLUM01G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAERDATATGTGRVDDRSGTNSSGQNWRTNSSHLGRANGVTTLLAHLPCSPSGDSGGLIDGGGNRLGSSGGDRLERRRQAPRRR >OGLUM01G39630.1 pep chromosome:ALNU02000000:1:37864409:37864915:1 gene:OGLUM01G39630 transcript:OGLUM01G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATAEGSTVADPAMGRAAPMEDGSGDGGRLGGDGGDKLGSGGVEGLGGTGSCGGEGGGGGSDDREGCADGSGGGPTVRRRPRSSRRRRPIASRPFVGPKVDAITCRIASLRDRSSVAEMEVRAEAAAAIVVANGVFSGGKRRPSHPSLIPSTKQKTGIVLFYKPNT >OGLUM01G39640.1 pep chromosome:ALNU02000000:1:37873902:37876023:1 gene:OGLUM01G39640 transcript:OGLUM01G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGRWRRSGSSMLKLVVALCFFAVAISLCCVCFSSGCGGSGCGGFRRRAVLLRLDFRTRATAYFGANQDHSSSGRRWRRLLAEGPGSYPPRCTAKCGACVPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >OGLUM01G39650.1 pep chromosome:ALNU02000000:1:37879164:37885355:1 gene:OGLUM01G39650 transcript:OGLUM01G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGQ6] MDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEISIIYVKQVNASTGNHNDHWLPPDSVPSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTCRDLTVLIAVAGAMLVATDTILVVIANRDNAVFAVLFVPVLLRHGCVHRSIDGGAAAELAARRQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMAVCAMPLALLPEEKRKALVGIIGSLRHVLLASLALMAVVVSVEFLDGFVVLSVWPEAVALVLYYAAQLCSGRQPGGGVGSRPWIEFVFRIVATAGFTLMTGLYAAFLGTNHYSVYLKAAMLVLLMAVLSSLSRLANPVYMPEIDGALLEFCVAGVALAFPAVSLLVACGSEATQEEEVMPSPRSMLSSAGSGEEQ >OGLUM01G39650.2 pep chromosome:ALNU02000000:1:37879164:37885355:1 gene:OGLUM01G39650 transcript:OGLUM01G39650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGQ6] MDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEISIIYVKQVNASTGNHNDHWLPPDSVPSTGRDEEEGSSHHGNGSGAARENPENPLPDLALAKSESDRIKAFYQTVLSAIVVFIVAALSGYKDMKPLYSTTNHKKVHLSNLLVIEGFCMIATFICAAVLMMYEFYTCRDLTVLIAVAGAMLVATDTILVVIANRDNAVFAVLFVPVLLLVGMAVYTGASMEEQPPNSPHDGRYDEATKSTFDLATVSTMAALGLQGTIVFGYLKTPEKKSEHDDPPLDLAVCYIASTVCLTVMAVCAMPLALLPEEKRKALVGIIGSLRHVLLASLALMAVVVSVEFLDGFVVLSVWPEAVALVLYYAAQLCSGRQPGGGVGSRPWIEFVFRIVATAGFTLMTGLYAAFLGTNHYSVYLKAAMLVLLMAVLSSLSRLANPVYMPEIDGALLEFCVAGVALAFPAVSLLVACGSEATQEEEVMPSPRSMLSSAGSGEEQ >OGLUM01G39650.3 pep chromosome:ALNU02000000:1:37877831:37885355:1 gene:OGLUM01G39650 transcript:OGLUM01G39650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGQ6] MMDGRSILMGRYEVGKQLGQGTFAKVYYARNLTTGQAVAIKMINKDKVMKVGLMEQIKREISIMRLVKHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKIAKEGKLSEDSARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDDNENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADVWSCGVILFVLVAGYLPFHDPNLIEMYRKICRADFRCPRYFSAELKDLIHKILDSDPSTRISIPRIKRSTWYRKPVEINAKNSEAATTNSISSGVATTSGSAECSTSEENQGSLSLPNLNAFDIISLSTGFNLSGFFEDTHGHQEERFTTRQPVTTVLGKLKELAKRLKLKVKKKDNGVLRLAAPKEGKKGFLELDAEIFEVTPSFLLVELKKTNGDTMEYRKLVKEDIRPALKDIVWVWQGDEHLNSQSILQGEQQQSPLPPELPQDQLQPSLPQQEKQDMPEPPLLPQVPQEEVQTSIPAEQTKN >OGLUM01G39650.4 pep chromosome:ALNU02000000:1:37877925:37879067:1 gene:OGLUM01G39650 transcript:OGLUM01G39650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGQ6] MGQAASDIYLSKAYASAAQPPPESHPEGSSHKSSAPTVRKKSESAGQAEQHKLERRKLPRRRGSGVHLLSARSCLIGSDGFVCGSLPVFVQFLIRQRTWECRKMCLHDRKLIFRANKIPAWIKPMLLDLHVNVLHSDTVLLFRVLKHSRLKDSKFVDHHIDDDG >OGLUM01G39660.1 pep chromosome:ALNU02000000:1:37890081:37892082:1 gene:OGLUM01G39660 transcript:OGLUM01G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFYVVNPATRQWVQLPPPPRPDIGIMHLDNLYLDFDPTLSSHFEVFQIPYVDVFRHRSELNPAIEGIEWPPSTCVLHVFSTRTRQWEERSFVREGEAAGSLAIIRRDFPNFLHNAVYWRGVLYVRCQTNFVMRISLSDGKYRIIKPPVEIERYEESNIYMRLSQKRVYCTFFDDPDIIYILDESYGKMEWVQKNAISCLVIHAFQQTDGPWTLQDINYHEYPDAYGHDNSEAIEEQNFDWDSDNDNFVNTTDERNLRYGRITFLGFHPYKEVVFSSHSWS >OGLUM01G39670.1 pep chromosome:ALNU02000000:1:37894840:37897939:1 gene:OGLUM01G39670 transcript:OGLUM01G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTEEDSDSFQDDSEELQMQVTKKTLKRVISLAETFIAIATGILAAAFSAGKDVHLHRHVLAAGGCFLVVTYLSALLLIYMKLFLSDHRRLRRWHVRSLQLLCVTSGASLVATNSLLLVLIGEGNGLLSLNLLPVQGIVGVLAYHATPTEGSARDEAFEAQVKSARKVALFAAATAFAVQTTLVFGAFSNAALQAMGGRRLDLSVSFLASALSVFLVVATCMPLGFRNQGARDKVLSIVRYLKKGVMAVLAVTAVTLGQEFLGGAAALALFPEITVAAMYYAVSMPADEAAAAADRKMEVLPTVVVATFGFGMLGAAYAALFGTPEYDLYTKALAFTLLTAVVSSLGRVAGPLCNAQSDKSSAAWVTFLSSILPIVEMLVAVPLAAKVMVDFLAVPGNG >OGLUM01G39680.1 pep chromosome:ALNU02000000:1:37898997:37899671:1 gene:OGLUM01G39680 transcript:OGLUM01G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNAAEVARPKSPLRGILPQSPLRIKQDGKFYERLLAKERSAASRSFRHYWAAEPGSVPFVWESQPGTPKVDVSRMVAGAVPAITPPPSYLLRHGGKAGAAAPAAPRRRPQGKGKAKTGGKTRYRFKRIKIGFLAGIFRRIALGHVWRRSAASVQVSSLSSRWLFSSAATAPEKAEHLDHDTPAPPPPPRPNTELSTRARARPSLWMLRFRGFRSWSRDDGWA >OGLUM01G39690.1 pep chromosome:ALNU02000000:1:37907911:37909565:1 gene:OGLUM01G39690 transcript:OGLUM01G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERERLDEIGKKIKREPDTAGVVVAAVAGTGTGTATPIEHRVPHRLGLGGAVNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVGEAERADAASSLVYEANLRLRDPVYGCMGAISMLQQQVNALEAELEAVRAEIFKRRYRQAGAATGLMDDVQVHITAGFAAPPPPPSMHARDVVSVADAGGQGQELAGAPVISSASPPVYPAGQPSTSTTDYSSLNTSEHAAYFG >OGLUM01G39700.1 pep chromosome:ALNU02000000:1:37953257:37957183:-1 gene:OGLUM01G39700 transcript:OGLUM01G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFLLTALAGNGICWINTVSYLLCINNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVAANANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLVAINRSTPSSPLAGKRRLRRLAPYAQIKLLFMLLHGSACCPEMVRWRDALIARCSVVIVVDGCLCWWR >OGLUM01G39700.2 pep chromosome:ALNU02000000:1:37955223:37957183:-1 gene:OGLUM01G39700 transcript:OGLUM01G39700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAHWLSLVGSIWLQTINGPNSDFPVYSSQLKELKSISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWVVAFVGAAFGLVGYGIQYMFLDSSGLRYWHLFLLTALAGNGICWINTVSYLLCINNFASSSRVAVSLATSYLGLSAKVYTSLAETFPGLANSKTKTYLLLNAVVPLFVTVMVAPSLRVFDLKSAAASSDAAFLVMFAITLATGACAVVGSIGSTANGLSSKEHMISLGVLLATPILIPVGLKIRETLTKIRETQRENRIHDLGTDESESVESVVVIDVAANANAEVAKEEDAVVKKPQEEVGGLRLLKSPDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGIGQTSTLVSLSSSFGFFGRLLPAFMDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNQRDFFLYLATAVIGTCTGAITSVAVSATRELFGTKNFGVNHNVVVANIPVGSLCFGYFAAFLYQREAGARGTLTCSGAGCYRETFAIWGTTCAVGTLLCAALYARSRNFAGRLPVRIPSCLARLANLV >OGLUM01G39710.1 pep chromosome:ALNU02000000:1:37961074:37964234:-1 gene:OGLUM01G39710 transcript:OGLUM01G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPRCTLSVLLPVALLLCAAIASPDFPLGKNFTVPLYYQQPADLAVTTTTVLNASHGAPLRPGVAAAISVVAGTGGLEGLSMCSLVVLLGNVTVWASDHDGGRFLVRGFCRLELTVDGDLRLTDAAGTVGWSSATAGRRAKVLRLTRSGNLRLLDAKNQYVWQSFDKPADKLLRGQRIGVPSYLTAPVTMISSAFFSLELKERSITANLNVGIKRYTYWELTPRHNRSVAFAEMDVLGLRLLDRQRRPVAQISPAIEAQVSFLALGEDGNLGMYFYDSHDMKFGPSYEALGFCELPLACGLRGVCSAAGECDDFSTYGVHPAPAAHRHSACNANTVADRHYMAVMEGVTTAIRPASPPTANVTMRQCADSCLCDCSCAAALYVLAAVADHGGACSRYEMTAGAREVIGGGHRHNYLYLVKAPRTRDSEHEHGDDDGAVNRVLTRILIGFGTLDVIGLCALTWLCAYYCIYLRDIPVLDDKDDEEADDEGGEAGRRGDAVSQSPPTNSEPVIELN >OGLUM01G39720.1 pep chromosome:ALNU02000000:1:37969182:37973226:-1 gene:OGLUM01G39720 transcript:OGLUM01G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGAVVAPAAGAPARAAPRVEKATSHLLMGPDWAVNLEICDIINADVWQTKDVVKAVKKRLQNKDPKVQFYALTLLETMMKNCGEYVQLEVAEQHVLQEMVKIIQKKNDMLVRDKILLLLDSWQEAFGGPGSKYPQYHFAYLEVKRIGAVFPRRPIDAPPIFTPPATHTSQSYGSPRYEAGSLNEIMSSDVETLSLGDLNNIRNVTELLCDMAVKDEIITDLVSQCRSNQQKLMQFVSSTGNEQLLKQGLEINDRLQNIISKYDIMASSTHLAVEAPPADNVEAPKEDPAEKPSAPPISTLEEEEEEDEFTRLAQRKNKSVMTSDDSLSSTGDLALVPIDMESSESSSSVASNALVPVDPALVSSSPQTKEQDMIDLLSLTLCSPTHEASTDSSTQGPNGPQQPAVTDGQYNPSGVPQYPSNHQSHPINQEYIPQNRNYVAPWAQSGQYYPAPPWAAPPSVNSNPFQSATYQEQPPPVGSVSSTYSAPSASYTSPSMAYVPPSASLPMQWNGSTTSNGLSATQAQMNGNQQPPGSSAAASKPYYIPDNLFSDLIDLKGLSGGNKMGVPTSMGSANGGQPMIGGKK >OGLUM01G39730.1 pep chromosome:ALNU02000000:1:37985344:37987898:1 gene:OGLUM01G39730 transcript:OGLUM01G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58490) TAIR;Acc:AT5G58490] MWPSCTTLANPTSSRNVPNTGHPVSFHHGARVRPSAPSRADCGERSGEMAATGDSSGALVCVTGGSGFIGSWLVRLLLDRGYTVHATVKNLHRDYTEPYAGSSRSPVFSSLVEDEGETRHLQALDGADTRLRLFQMDLLDPASITPAVDGAHGVFHLASPLTLHPTQDPEATNSPFTIAQLQLQYCLHTCTNAVLSGELLKPAVSGTLNVLRAAKDCGVARVMLMASQVAIVPNPEWPADKVIDDDSWADVELLKKHQHWYNVSKTLAEKAAWDFAAKEGLQLVALNPGLVLGPMLMPSPTSSLHMLLQILGGQRFDIDDFYIGCVDVRDVAQSAVVIYENTSAQGRHLCIESIERLIDFHDKLAELYPEFPIHRIQEDKQGWVVRSKAASKKLIDLGVHFIPFDKTIRDSVDCLRSKGYI >OGLUM01G39740.1 pep chromosome:ALNU02000000:1:37988513:37990760:1 gene:OGLUM01G39740 transcript:OGLUM01G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYYETLCPFCSGFVVNDLARIFRDGLSPVVDLRLVPFGNGRVSPDGSITCQHGEEECQLNAIEACVIRLWPDAEQHFPFINCIEHLALTQKWNAWQSCFQETGLASQPVMDCYNSGYGTQLQLQYAAETNALQPPHQFVPWVTVNGRPLGDDYTNFEAYICRAYDGELPEACRGKHLAIAQQTRASRGGKRNPQKLAVLLAFCIAVWF >OGLUM01G39750.1 pep chromosome:ALNU02000000:1:37991149:37996429:1 gene:OGLUM01G39750 transcript:OGLUM01G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVSVFLFLLLSSLFLLLSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCARCRVHWYADGKYFKPLLICGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSNISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >OGLUM01G39750.2 pep chromosome:ALNU02000000:1:37991149:37996429:1 gene:OGLUM01G39750 transcript:OGLUM01G39750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSALSFLSDRKRPIVVSVFLFLLLSSLFLLLSPAPAALPFFSFPSSHLSSSSSSPIAATPPPLTPVSVPANASSPETPVDASGGSNAGATAPTRDAPQPDRSRSTPPAAVGVRGSAGTNGTSRGVSAGGDGAGAAAAAAAGVAVPSWEVCEVGKGVVAADYIPCLDNVKAVKALKSLRHMEHRERHCPTAPRPRCLVPLPTGYRSPLPWPRSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKAGVTKYIRFIQQIMPNIEWGTHTRTVLDVGCGVASFGGYLLDRNVITMSVAPKDEHEAQIQFALERGIPALLAVIGTQKLPFPDNSFDVIHCGKPLLELNRVLRPGGYYIWSATPVYRRGKRDEDDWNAMVTLTKSICWRTVVKSKDVNRIGVVIYQKPTSNSCYFERKQNEPPLCPSREGSHSPWYAPLDSCLLLPAVSSSGEGNSWPISWPERLNIKYSNISDNASTQFSQEKFDSDTKHWKDLVSEVYFNEFAVNWSTVRNVMDMNAGFGGFAASLIHKPLWVMNVVPFDHPEALPIIFNRGLIGVYHDWCESFNTYPRTYDLVHMSYLLQGLTNRCDIIEVAAEIDRILRPGKWFVLQDTEQVIRKMDPVLRSLHYRTAIVKQQFLVATKGFWRPYSAGSESR >OGLUM01G39760.1 pep chromosome:ALNU02000000:1:38012811:38013449:-1 gene:OGLUM01G39760 transcript:OGLUM01G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGPGPSSAAAGGAPAVAAAPQPPAQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLRYLDQFGKTKVHASGCAFYGQPSPPGPCPCPLRQAWGSLDALIGRLRAAYEESGGTPESNPFAARAVRIYLREVRDSQAKARGIPYEKKKRKRSQAAQPAGVEPSGSSSAAAAAAGGGDAGSGGGAAATTTAQPGGSGTAPSAS >OGLUM01G39770.1 pep chromosome:ALNU02000000:1:38016290:38018726:1 gene:OGLUM01G39770 transcript:OGLUM01G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRSPKPEPRTSHENLGNDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >OGLUM01G39770.2 pep chromosome:ALNU02000000:1:38016290:38018255:1 gene:OGLUM01G39770 transcript:OGLUM01G39770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 10 growth stages; BEST Arabidopsis thaliana protein match is: Thioredoxin superfamily pr /.../(TAIR:AT2G31840.1); Has 114 Blast hits to 112 proteins in 39 species: Archae - 2; Bacteria - 0; Metazoa - 17; Fungi - 6; Plants - 67; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28590) TAIR;Acc:AT4G28590] MLRLPTLLPLKPSPPTTGLNPIHGRRHGHHPSRLLASSAPPPPPPRSPKPEPRTSHENLGNDTPDFPTTKPRKPRRGRRSEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKEELSDEEGKEGTGEEEGELKAVVEEEDPSWPLDADIGWGIRASEYFDKHSIKNVTVDGVEIDWEREVEEGWVKEINCLEWESFAFHPSPLIVLVFERYNRAADNWKFLQELEKAAKVYWNSKDRLPPRVIEVVGHMLNLIEFQTVKVDMNIERDLAFALQVKECPQLLFLRGNKILYREKELRTADELVQMIAHFYYNAKRPSCVNPEAIAPPC >OGLUM01G39780.1 pep chromosome:ALNU02000000:1:38019372:38021236:-1 gene:OGLUM01G39780 transcript:OGLUM01G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKPASPSPPPSTAVVPAANTAAAADKPAVEQLLEVAEDEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQQHAPASFPRSRGDRFLVLSSLFAAHLDSSASSSAATGGTTAIRLRVFFGGPYLLRLAAEAGDAAAVRLILRRQPHLLPLLEPEAAVPDGAEQWAPLHAAAARGDTGEVRRLGPDSMGARDREGRTALHVAAAAGEAEAAAALVDMGADAAATDARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLQSLESLLSKRAGVRGRDQYGLTALHLAAIKGHCDVIALLAGSGCMDIECEDVEGHRPLHLAVEGGSAEAVELLLDMGAEVHAATRRGATPLQMAVTMGYEAIALLLRGRGADEAAAPALCIASSSSSSISCA >OGLUM01G39790.1 pep chromosome:ALNU02000000:1:38025112:38026256:1 gene:OGLUM01G39790 transcript:OGLUM01G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTWTVTSCHRPQTTVVPGWQDHPRRMAATRRGLRGRAVASMVRMGMTRIGWSVAACLHVGVDGKSEIQGMMKEARDMKLKKANRDCNGISHILANKARCKSLTNFWPDGSCNFISHLVCYG >OGLUM01G39800.1 pep chromosome:ALNU02000000:1:38028190:38029458:-1 gene:OGLUM01G39800 transcript:OGLUM01G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFFLLVLLLCTPKLSQATTVTWQLPNLPLGVPVLENRASKQWHIDRRAREDKKRARREGDLGMKRAGRNRAAKPRLSNAPRPRFSTPPRLDSAEERVTKFGHTRSRFVGQR >OGLUM01G39810.1 pep chromosome:ALNU02000000:1:38035049:38035816:1 gene:OGLUM01G39810 transcript:OGLUM01G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPWVKTRPSSPSSAAASPSPSTALAAAAASPRLSFSSPSLKDLQALLLSDHSPSPTPPQLPPNTAPCSPSVRVFHRVRVAASALRALRTLQAPHAAAAEADRRVVLYFTSLHVVRSTYEDCRAVRAILRGLRASVDERDLAMDPRYLQELGALLPRARGVTLPQVFVGGRHLGGAEEVRCLHESGELRRVVAGAGATAFAACSRCGGERYVLCGSCNGSHKRYSLKGGGGFRTCAGCNENGLVRCPDCSPPAV >OGLUM01G39820.1 pep chromosome:ALNU02000000:1:38039093:38039495:-1 gene:OGLUM01G39820 transcript:OGLUM01G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLHCCWLAALPFELVTNSSAFRLEKDCPVLRCALVLLIVASSEVWSVQGEDCWNVDNVHYLVCTHTHKCRETCQDHGNVDGRCKWGFSHLWPICECLPPNFQ >OGLUM01G39830.1 pep chromosome:ALNU02000000:1:38040346:38041506:-1 gene:OGLUM01G39830 transcript:OGLUM01G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEIVTRRDKEGLRCNGADGEKLPQLLDSPLPTPRRSCASADAASVRCRREASPLRTQVPFSWESSPGVPKRSNACMHMAQEIMPPPKPPPGRWTQCPGSNWCGYGNSTAASSDDDDASFSDALDRVSTPDQRVGSFDRITSKRFEDIFLGRATSFVNDRSSRRAPAEASLATPSSSSGRGPKHWRRRTTRRDHDGQQPTARQSNDHPVQVQLLPRININGRDEQMSPRACGLMVFFPWSAKPAVCGFRSPPAQYAPSPLASASNPSSSQSRRFVTLRDAMQEENKTGSGGRDLPRPRGEKRSREEWQAASRGWGVSSLLDASKKYCTDARKALSKLSIGLGTDSGSGSGSPRVGSRERKCGKQDPSSTMSAMAAKLTQLRTSRN >OGLUM01G39840.1 pep chromosome:ALNU02000000:1:38042042:38047071:1 gene:OGLUM01G39840 transcript:OGLUM01G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLSCGVGQQLGMASTVAINLIGAQAGIISKLRNCDITSYSGLKARSSISFESRSSFLGQNASLRSSISPRIVPKANSGSHISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTNEETEELTRRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALESLKPELKASIEKGIEFVHKQQAAATS >OGLUM01G39850.1 pep chromosome:ALNU02000000:1:38045322:38047900:-1 gene:OGLUM01G39850 transcript:OGLUM01G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVGDPRDGPSTERAFEGQPVPPWTEQVTLRAVVASVALGVALSSVMMNLVFTSGIIPSLNISAGLLGFFLLKAWTRLLDQLGSPGRPFTRQENAVVQTCVVACASMTYSGGFGSYLLAMDRKTAEKTSTGDDSSASVSEPEFGRMMAFFFLVSFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHTPHGARQAKRQVSLVLRSSLASLFWSIFQWFYTGGPNCGFTSFPTFGLSAFNRGFYISLNGTYVGIGMISPHLINVSMLFGSIISWGIMWPYIRSKRGIWYDADLQETNLKSFSGYKVFCAIAMILGDGIFQLVAISLRTIHTVRHHQVAAETLRSFSDVDAMPRPVMSFDDRRRTQVFLREHIPSTFAISGYVVLATVSTVVIPLMYGQVRYYHVAAAYAFAPVLAFCNAYGTGVAETNFSAQYNKLVILMFASWIGIKNGGIVGSLVICGIVSSIVSTASDFMSDFKTSYLTLTSPRATLVSQVIGTAMGCVVNPAVFTVFHHFYEMNPNKTYQAPLAKIYRGIAVLGAGGLELPKYCLAISATFFVLALAVCAMREVAAHGKWRAEPYIPSVTGMAVSFLLVPAVSIDMCIGSLIVFLWNHNDKLGSQVFGPVLASGLICGDGLFSIPYALLARYDVTPPICIRFLGRVQNDKLDAFLASKAKAG >OGLUM01G39860.1 pep chromosome:ALNU02000000:1:38048484:38056530:1 gene:OGLUM01G39860 transcript:OGLUM01G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLRSLSRISRRGCVGGAGPSPFHHSRLPYSPFSTAAADAVERRGFAGLGPTAKGEKARVVVLGTGWAGSRLMKDIDTTGYEVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFLLARCTAVDPDAHTIDCETVTEGEKDTLKPWKFKVAYDKLVFACGAEASTFGIRGVTDHAIFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKRRLLHCVVVGGGPTGVEFSGELSDFIIRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLTKSGVRLVRGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGPSSFVKSLPFPKSPGGRIGVDEWLRVPSARDVFAIGDCSGFLESTGKDVLPALAQVAERQGKYLAHLLNHVMKAGGGHANCEIDVDLGPAFVYKHLGSMATVGRYKALVDLRQSKESKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >OGLUM01G39870.1 pep chromosome:ALNU02000000:1:38048951:38051747:-1 gene:OGLUM01G39870 transcript:OGLUM01G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSTPLFSPCCAAATAKLGAACPSSYGSRRRPCTRRGRLSVVAVQTGPQKPSPSSSSQAVTESETLQNLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVQPTWSDNVYEPVDLQSICYYSAPKTKPKLNSLDEVDPELLNTFDRLGIPLSEQKRLANVAVDAVIDSTSIATTHREELMKKGVIFCSISEAIREYPDLVKRYLGSVVPPADNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEQAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELLGDDTVGEFYSVALTKDYQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQINSGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCISSRVEHEASTSKIGEDQLFYFQQRGIDHEKAVAAMIGGFCRAVFENLPYEFAHEMDALMNLKLEGSVG >OGLUM01G39880.1 pep chromosome:ALNU02000000:1:38058855:38060540:-1 gene:OGLUM01G39880 transcript:OGLUM01G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEAAGSSRRMDLNLYLGLPRAPRPRRSDLGSDLALSTPMPSSPSSSAASVDAPPPPPELSHPPYSPSHADLSPPLQEVYSLYNPDDPPASETHLPPYAPPPAPVVSELPDDLEFGLHPPPPLVRASELLGWEDRPSSSTASSSFLPDTAARYWRLLEQTGSRWLRARRFRSDLPPLSSEAYPAGRDAAAVPVLQHEPMNDTVEHNKVAADGAEVGASEESEEQGRSAATFECNICFDMASEPVVTSCGHLFCWPCLYQWLNVYSNHKECPVCKGEVTEANITPIYGRGNSCLDAEKAVEGGKQTGPTIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGLLSSWRRLLDQQIMNTASRFEGPPESAVQEMVDTAHAQHTSRLSRLASRMRARRLLREADNPNRPDGGSTAPDSGLIRNNASDPSRNGPSSLLPDGIDWLRGLTLLGYEDTERFASAMSDFRRITGPSQYGASASSSNPPNLESTFDRTHVVAAPSADQASNSSTAAVIQGDAGISESAGEPSNAGSSRSLRRRGRSSALGSLDADGGGLQRNKRRRIN >OGLUM01G39890.1 pep chromosome:ALNU02000000:1:38062223:38066605:-1 gene:OGLUM01G39890 transcript:OGLUM01G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSCDVCKEAPSKYKCPACRTPYCSVACFKNHKDKFCQKTIPLEEVSKSSLQDEISRNSRSLEEATNCPNDKDQTPSLLSDTTCPTQSPNTLHSAKSLEVEDPSWLVDKNGLRSLAESNEIRDALKDCKLQQMLLKIDGSAEPEKILDIVSPQQ >OGLUM01G39900.1 pep chromosome:ALNU02000000:1:38069103:38070867:-1 gene:OGLUM01G39900 transcript:OGLUM01G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSAAASSMSGANGGGGGGSMFPGPPPPTPSNHHHALPSSGAAGGGTDAALSTILQRLLLSSPAPILRSPLSSRSRAPPSLPPLVSLGSSGTIRLDAAADVGYFHLEGHGVPSQLPSSALAELSLVDASARRASNLLTLGFTEEDQQEADGAEDPALVFDVDDEGEMGALPAAAAEYARRMRDVGMQVVAMMSGCPEVGFGEAPFAEGRRKARCLMWVSKVAAGEAAPPAAGKAKAYPYVVGIHCQWEASGKEAAPASWVMDDGGEWTAVGARDGALLVTIGDIAQVWSNGKLKKVRGMARPVSSAPGAGHGAEADRLSVTVLITLPLDSVISPLVPVTDAGEEGGDDEVDGAGDDGDGWRFHSVLLEDLAWRVYNGRLQFKDPLVRYRI >OGLUM01G39910.1 pep chromosome:ALNU02000000:1:38075225:38077004:1 gene:OGLUM01G39910 transcript:OGLUM01G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLAMDRTSKKKLGKQRKEIEEFQKFQNQVGIDRLPEVGGSRTWTGYKKGKLGMGRLATAGCSEGGSEVRQDWLRRRQGRRKGIGKRQIIGSGTSKHTC >OGLUM01G39920.1 pep chromosome:ALNU02000000:1:38081160:38088865:1 gene:OGLUM01G39920 transcript:OGLUM01G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGKSWEEHDEVVRIEAYRRVLTTWASWVNDNIDPARTSVFFMSISPLHISPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRAAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPHLV >OGLUM01G39920.2 pep chromosome:ALNU02000000:1:38081160:38084217:1 gene:OGLUM01G39920 transcript:OGLUM01G39920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRKFSQAGGGGGGGVFDPFGTKQAVSSLRKGGRLPVYVAGVFFVIFVIIMYGEDIRSLTLDPIARAGTTPARIVEPVVTEERHVARVNPPRREVSSAEKAAALPLDVDERPKLATPTPTEAAKEVPKVEKIRKPKKPKTTKKKPRKPRPAKKTVAAAAGGLLGVPETCDLSKGEWVFDNTSYPLYREEQCEFLTSQVTCMRNGRRDDTYQKWRWQPKDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAMSPGKKYVTWEDQRVVFHAVEYNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADAIAAHAQNWRGVDYLVFNTYIWWMNTLNMKIMRPGGKSWEEHDEVVRIEAYRRVLTTWASWVNDNIDPARTSVFFMSISPLHISGRPVSAGHARPSRFPWAKRTVRAFEAGPGQ >OGLUM01G39920.3 pep chromosome:ALNU02000000:1:38088431:38088998:1 gene:OGLUM01G39920 transcript:OGLUM01G39920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRQVGHWTRHTSTRPFHLSSCSPRIAHFTATIAHFSALPDPLPPPPHRAADCLPKPSTTRCPATNISPPPSSNTTAPASLPAPHRPPDPPPTVGLPSPPAISLEPANSSPSSSPSHPTPGH >OGLUM01G39920.4 pep chromosome:ALNU02000000:1:38084708:38088865:1 gene:OGLUM01G39920 transcript:OGLUM01G39920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTLEHPEVWGNPGGIRCAKETMPLLNWHGPIWLGTDWDMFHAAANVSRAAAPRVPITFVDVTTMSERRKDGHTSVHTIRQGKVLTPEQQADPGTYADCIHWCLPGVPDIWNLILYTRIMSRPHLV >OGLUM01G39930.1 pep chromosome:ALNU02000000:1:38092415:38092984:-1 gene:OGLUM01G39930 transcript:OGLUM01G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQDASSQALGLRWRYGDVDDGNFAVRGRAVPLLVALLFVLVCFVAVSLYLRWACHCHRYGRDTTPMPATTSSGFSSSHAAATAPGSASSVTGLDDATIASMPVALYRAVASAAGDGDDGGAAQCSICLGEFEEGEKVKALPLCGHGFHPECVDAWLRSRPSCPLCRSSLLPAAATTKPDVAGSDAV >OGLUM01G39940.1 pep chromosome:ALNU02000000:1:38094078:38110355:1 gene:OGLUM01G39940 transcript:OGLUM01G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAQSEAMGIANRAEIDDLRWAQRHFNPTSPDPRRQRRATRAAWKGKPSEPINQGTGVTSTRSSSNVVVSRPPDRPAPWPGARDAIALHGFKYPPNTSLYKLASHERGSIS >OGLUM01G39950.1 pep chromosome:ALNU02000000:1:38110370:38111041:1 gene:OGLUM01G39950 transcript:OGLUM01G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYHYENMHDPRGFPIHPQPYHLHPTAGGLGEGRMRGGGRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVTLHQAALVQHEEGCQHADVAAAFAAADADLALELNHRHGGAGDDDAGMTTLEMAPMQEAVGYGDGPAHQMMQQALDPAGQLMMGGAHQLPPLPCCVFVQETDPSCYSVCNVHGEESGAQGSY >OGLUM01G39960.1 pep chromosome:ALNU02000000:1:38118904:38123023:1 gene:OGLUM01G39960 transcript:OGLUM01G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCL-2-associated athanogene 4 [Source:Projected from Arabidopsis thaliana (AT3G51780) TAIR;Acc:AT3G51780] MMSGVGGGRSGGRDAEGEWEVRPGGMLVQRRDGDTGPAVRLRVSHGASFRDVAVPAHSTFGKLKRLPGKCTTNCRSRGQADGLWSCSFNYNILLDCGKQMYLGELKGVLTQATGVEPERQRLFFRGKEKSDNEFLHTAGVKDGAKLLLLEKPAPANVEQRAEPVIMDESMMKACEAVGRVRAEVDRLSAKVCDLEKSVFAGRKVEDKDFVVLTELLMMELLKLDGIEAEGEARAQRKAEVRRVQGLVETLDKLKARNANPFSDQNKSVSVTTQWETFDNGMGSLNAPPPRVSSTQINTDWEQFD >OGLUM01G39970.1 pep chromosome:ALNU02000000:1:38123003:38125236:-1 gene:OGLUM01G39970 transcript:OGLUM01G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGU6] MASPTRPGPYMPRPPAVPEWLNTGDNGWQLAAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWGKAGAALTEGFLVARASVPATAHYGKDGALESPRTEPFYPEASMVLFQFELAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVCAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNITASIAVLNTNVSAAASLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWAAILMGICGGSLPWFSMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGFLTGLFALPDLTAVHTHIPGARGAFYGGGIAQVGKQIAGALFVVVWNVVATTVILLGVGLVVPLRMPDEQLKIGDDAAHGEEAYALWGDGERFDVTRHEGARGGAWGAAVVDEAMDHRLVGMGARGVTIQL >OGLUM01G39980.1 pep chromosome:ALNU02000000:1:38128876:38129419:-1 gene:OGLUM01G39980 transcript:OGLUM01G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPQPGPYMPDLPAVPAWLNKGDTAWQLVAATFVGIQSMPGLVVIYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGDRLLPFWAKAGPALTQDFLRQE >OGLUM01G39990.1 pep chromosome:ALNU02000000:1:38131300:38134457:-1 gene:OGLUM01G39990 transcript:OGLUM01G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLISAHLLSLPSSAPRSRPQSRPPLSPPAAAAAASCSFDLPRPRRLVADGSRRKGTMAAAIPPEASGLAHDLGSAAVTAGVALALLRFFEELAKRGVFEQKLNRKLVHITIGMVFLLFWPLFSSGSYAPVLAAVAPGINIIRMLLLGLGVMKNEAMLLLLLLQGTSQGSTVLCYHYNFCHFYILENLSNRYSPYLQLMCWGRRLGQEKLPYNPNKSYAGSIAMALAGFMASIGYMHYFQSFGFIEESWSLAFGFLVVSVTAALVESHPISTHLDDNLTVPLTSFLVGYETV >OGLUM01G40000.1 pep chromosome:ALNU02000000:1:38136052:38138398:1 gene:OGLUM01G40000 transcript:OGLUM01G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPTLAALLFSLAAIHGIVVVAVDAAAAAVPRGGSARRIPAVFAFGDSTLDAGNNNRLVTAVRADHPPYGQDFPGGAPTGRFCDGKIMSDFLVEALGIKGLLPAYHSGSEVLSDADAATGVSFASGGSGLDDRTATNAGVATMASQIADFSELVGRMGAGKAGEVVNKSLFLVSAGTNDMIMNYYLLPSKYTLDQYHALLIGKLRSYIQSLYNLGARRLLVAGLPPVGCLPVQMTLAALRQPPRPQGCIAEQNAEAEKYNAKLRKMLTKFQSTSPGAKAVYADIYTPLTDMVDHPQKYGFAETGKGCCGTGLLEMGPLCTDLMPTCTTPAQFMFWDSVHPTQATYKAVADHFLRTNMLQFDD >OGLUM01G40010.1 pep chromosome:ALNU02000000:1:38138267:38144166:-1 gene:OGLUM01G40010 transcript:OGLUM01G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPFAMASVHHKCVVHVAAATADFSSHCLAAAAARFPSEFELRELIGNRLPDSQFGKNLEKSDLGSVGQIPSPPAGVERYGSVAGVREEYQGSNSGQWPAPGLLALPLSCRRFIILSNRDEMSK >OGLUM01G40020.1 pep chromosome:ALNU02000000:1:38145947:38149400:-1 gene:OGLUM01G40020 transcript:OGLUM01G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLVLLGLPILLFCSDLVTLFGPEQLPTPQPDLPPHPSPDAASDAVQPDDIAADAAASAQIAEPQVDGPASGTTVELKFCASCSYRGNAVTVKKMLETSFPGIHVVLENYPPPFPKRALSKAVPFLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGQLNPPPPPXQRAVFPATAHYGSDGTLETPRTEPFYAEAALVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTATVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGNKSFVRSKPQHTHTVHGSKSSRTFCMDAGLVHTWSAMLMGMFAGSVPWFTMMILHKKSTFLMKVDDTLAVFHTHAVAGILGGVLTGLLATPELCALDCPIPNMRGVFYGSGIGQLGKQLGGALFVTVWNLIVTSAILLCIGLFIPLRMSDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGAGGAGREDTMEQRLTNMGARGVTIQL >OGLUM01G40030.1 pep chromosome:ALNU02000000:1:38156079:38162199:1 gene:OGLUM01G40030 transcript:OGLUM01G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKSSHFPASAGKKKPHQARNGGAGGGGGGGGGGGGGGGGAGQKRLPVLGEEGCELIGGIDDKYALDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSASIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEELSCIFCYVEFLHFGLRLSKGWHKPFFVEISISNANPGQMFQKMLKIWFDACWSLIQNSEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKAMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGKGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELREALVDDGAGDSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLVNE >OGLUM01G40040.1 pep chromosome:ALNU02000000:1:38171635:38176527:1 gene:OGLUM01G40040 transcript:OGLUM01G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCMQEWPEPVVRVQALADSGLEAIPRCYVKPPCDRPAPEADDASSGASIPVVDLGNGGDDEGGQLAEAVAAACRGWGFFQVVNHGVRPELMRAAREAWHGFFRLPLQEKQKYANSPRTYEGYGSRLGVEKGAILDWGDYYFLVLSPDAAKSPAKYWPANPGICKEVSEEYGREVIKLCERLMRLLSASLGLDETRFQEAFGGADCGAGLRANYYPRCPQPDLTLGLSAHSDPGILTVLLADDHVRGLQVRRRDGHWVTVQPLPDAFIVNVGDQIEILSNSMYKSVEHRVIVNAEEERISLALFYNPRGDVPVAPAPELVTPERPSLYYRPMTFDEYRVYVRKNGPKGKAQLEALKGQSITQNNE >OGLUM01G40050.1 pep chromosome:ALNU02000000:1:38188203:38193450:1 gene:OGLUM01G40050 transcript:OGLUM01G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSLSLSLSSDFFCASSKLPSSPRSRPPSVTRSADQGRLLASRLRLASLPSPNADATAYLTRRATRLRGAANRMAAPGLDEVMAFLTDHGFAGAASALRDDVLARAASAAGDAGSDSDAALDPQLPPLRLPASTSGGGGAPAAPPASPGSSSDSASSSAFVSMRSSPSGMLNPYGVWSPRHSDTSSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNGGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVVLECHLPRDSGEGDERSELNVVEKELQMLSSFGTSFDADAFTSPGLVHDITDNAKLDDSIEKNMKNSSDKHLTEGYSIEPFPESSVDDTFEFGHIGPLNTDAQNTTAAKAEEEDPETNNMRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEYHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLQHHLQCPDSEFVDFLSYLLQINPRRRPTASEALQHQWLSFAY >OGLUM01G40050.2 pep chromosome:ALNU02000000:1:38188203:38193450:1 gene:OGLUM01G40050 transcript:OGLUM01G40050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSLSLSLSSDFFCASSKLPSSPRSRPPSVTRSADQGRLLASRLRLASLPSPNADATAYLTRRATRLRGAANRMAAPGLDEVMAFLTDHGFAGAASALRDDVLARAASAAGDAGSDSDAALDPQLPPLRLPASTSGGGGAPAAPPASPGSSSDSASSSAFVSMRSSPSGMLNPYGVWSPRHSDTSSSEMEFGTARQYDATDFFFQEGWLYDDHLFHSKSELDDDNGEDKEEDKFVLGVHDGSGRIEMGVLSAGDDHRHEHVGNDGCEGCAEVYTCSSPLCGCCGEGLKNGGLEVVKDSSSTVYGRYQIIDDQTEILDECGMDGFQLKHPADVVLECHLPRDSGEGDERSELNVVEKELQMLSSFGTSFDADAFTSPGLVHDITDNAKLDDSIEKNMKNSSDKHLTEGYSIEPFPESSVDDTFEFGHIGPLNTDAQNTTAAKAEEEDPETNVDLALANFHREYEVFELRIVHRKNRTGFEVSKDFPIVINSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGTDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEYHVLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEAYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVPIMLAQMIGIIGPIDMEMLALGEETQKYFTDDYDLFTKNEETDQFEYLIPEKSSLQHHLQCPDSEFVDFLSYLLQINPRRRPTASEALQHQWLSFAY >OGLUM01G40060.1 pep chromosome:ALNU02000000:1:38193834:38195831:1 gene:OGLUM01G40060 transcript:OGLUM01G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTAAVAVAVAAATALPPPSSSSSHRRLPATAKSVWVNPSLPSSHPFHRRKPAEPQHQRQDHVPDLPALLAALSAARTASDLAAAVSPHRPVSPRLLGTLLSRLPDARRGVALLDLLAPDLPASALLIPYNLLLRSACRAGMLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRGAAGIKPDLKAYNAAIAAYCKSDLLRDANRLLLHDMPSDGVAPDAESYSPILAALARRERHLAAVSLFTHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWSMRRAGVAPSVVTYNTMIRVYGDAGLFGEAVHLFGLMRSAASDGSDRGGSVIKPNVVTYNTMIAIYGKSQEDEKAGSLVQDMQANGIQPNAITYSTILSIWVKAGKLDRAGKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQSKRLLHDLKDSEDVPKETAIKILANAGRVEEAAWLFRRAADAGDVRDTSVYRMMIDLFAKSRRHRSVIEVFDEMRKAGSLPDSETIAITMNAHGKLKEFDKAAALYRAMREEGCVFSDRVHFQMVSLLGAQKDFDALEALLGELSDDPSIDKRELYLVAAGVYERACKFDESSQIISQIRSPNAIGVQKFR >OGLUM01G40070.1 pep chromosome:ALNU02000000:1:38196452:38198756:-1 gene:OGLUM01G40070 transcript:OGLUM01G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVYSPTAAAAAQQQQRGKVAAQAWQAVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPADSEQSPSVAFMPLRSEIPADTAPAPTPPPESLQRLTVVLDLDETLVSAYESSSLPAIVRTQAVEAGLHCFDMECISTEKDVEGRNKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFKLRLYRPSTVTTEYREHVKDLSCVSKDFCRVVIVDNNPFSFILQPLNGIPCVPFSAGQHNDDQLMEVIFPLLKHLSIQRDVRPALYERFHMPEWFQKHGIPQTDQAV >OGLUM01G40080.1 pep chromosome:ALNU02000000:1:38204155:38207957:1 gene:OGLUM01G40080 transcript:OGLUM01G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARACCFRNLSVPQFPAASLASPSRAHVRSRIGGAYVRLERRVDAMEDAFCTGHMHARGPCHTKTVSGFGRRASLWEWDTATMQAIHPGRSCRLGRFCGRDVVSSRCLARLHRSSGSSNGGGGAVRCAKAAARSAQAMEAVKKDEEARVVALRAVEATPESFAPFGQVIAATPDGDEFGPHDAQLDLTRGIPRFYIMRLEKRPLEFWTITHHASVTQCLGSIGGEDWYLGVAKPSIVEDGAHEQGGDGRKPVQSRAGHYYLPPDPAEVCVFRVSGAKFLKLHIGTWHAGPQFKADAVDFYNLELSNTNIVDHTTHDFKKDDGVTFVIED >OGLUM01G40090.1 pep chromosome:ALNU02000000:1:38208365:38211493:1 gene:OGLUM01G40090 transcript:OGLUM01G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYPSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYEEDEPAAAALPACDGPDDLRTTSSGSSGSASSQKNYVIKINALQPSSDDSDAVAFTL >OGLUM01G40090.2 pep chromosome:ALNU02000000:1:38208365:38210750:1 gene:OGLUM01G40090 transcript:OGLUM01G40090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLDSYPSIKDVTYSCGYCGYALNLSSSTRNTANIGSKYGKQIKKGVVSFFAVDESRFTQADEVTCVPYFHSRRSWGLFRRRSRLLCRKCGGRIGSAYEEDEPAAAALPACDGPDDLRTTSSGSSGSASSQKNYVIKINALQPSSDDSDAVAFTL >OGLUM01G40100.1 pep chromosome:ALNU02000000:1:38210363:38213147:-1 gene:OGLUM01G40100 transcript:OGLUM01G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGW1] MAHKAAALVLLLVSVSVAAAASGDQESDRIRELPGQPAKVRFSQYSGYVTVNQAHGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGKTLYLNPNSWNKAANLLFLESPAGVGFSYSNKTLDLYVAGDAKTASDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEQNKGIQNPIINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDNTYHNLKKTCLLESSEHPSPECLKNLNLASSEEGNIDPYSLYTKPCNNTASLKLGLGGRYPWLSRAYDPCTERYSSIYYNRPEVQIAMHANTTGIQYSWKTCSDIVGSYWADSPKSMLPIYQELIAAGIRIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQAQAMRYHYTGLEKHLYYSDTSCRIHPCQLNSHLKQNDRVNYDNHIKC >OGLUM01G40110.1 pep chromosome:ALNU02000000:1:38214899:38215885:-1 gene:OGLUM01G40110 transcript:OGLUM01G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSRAAACGALIFPTTASAAPVSRSVSVDQRVSHRRRKAVAVAAVPHASSGGALLERPAFDQSQLDTLPVTQEGGDTGRMRDRRGSGSDDSYKVLLIDDARHTEKLVEKALPQVVPSVTAEAARQLFHASRQKGAALVIVAVKEHAEFYAQIMVRQGLRSAIEPESDLAS >OGLUM01G40120.1 pep chromosome:ALNU02000000:1:38222710:38230083:-1 gene:OGLUM01G40120 transcript:OGLUM01G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGW3] MSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFELLFAFIEAISLGNRENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGLGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREGSPPKFSQRNRLVTYNYQVV >OGLUM01G40120.2 pep chromosome:ALNU02000000:1:38222710:38230083:-1 gene:OGLUM01G40120 transcript:OGLUM01G40120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9YGW3] MSRIRIEGLLAAFPKLVGSGKQHTYVETENVRYVYQPIEALYLLLITNKQSNILEDLDTLRLLSKLIPEYAPSLDEEGVCKAAFELLFAFIEAISLGNRENVTVAQVKQYCEMESHEEKLHKLVMQSKINETKDVMRRKVTEIEKSKTDRGKPDKGGFGSLRTPNSFSDMGIRGGGLGGDPIFGDMDSFTHKAKGRPSAPAPASASTKVPGGMKLSKAQKTNQFLESLKAEGEVILEDTQPSATQSRSSYIPPSDPITVTIEEKLNVTVKRDGGVSNFDIQGTLALQILNDTDGFIQLQIENQDVPGLNFKTHPNINKELFNSQQIVGAKDPNRPFPSGQNETPLVKWRIQELNESSLPLAVNCWPSVSGNETYVNIEYEASEMYDSRNSVLEWSIILVDQSNRSGSLEFTVPAADPSTFFPISVGFSASNTFSDLKVTAIRPLREGSPPKFSQRNRLVTYNYQVV >OGLUM01G40130.1 pep chromosome:ALNU02000000:1:38231860:38235319:-1 gene:OGLUM01G40130 transcript:OGLUM01G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEGRWAVAWEGGRGGAARVRFLGGFSWARKVSGFRAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRAPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPSSLKDVPVS >OGLUM01G40130.2 pep chromosome:ALNU02000000:1:38231860:38234378:-1 gene:OGLUM01G40130 transcript:OGLUM01G40130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSAVKKVFSSSDPDGREAKIEKADKSRSRRKWPFGKSKKSDPWTSTVAVPTSTAPPPQPPPPPPTHPIQPQPEEIKDVKAVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTTATTAVPKSPVSSKDELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMTRVQTQIYSRRVKMEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQWKNSGRTITPTFTDQGNPNWGWSWMERWMTSRPWESRVISDKDPKDHYSTKNPSTSASRTYVPRAISIQRPATPNKSSRPPSRQSPSTPPSRAPSVTGKIRPASPRDSWLYKEDDLRSITSIRSERPRRQSTGGASVRDDASLTSTPALPSYMQSTESARAKSRYRSLLTDRFEVPERVPLVHSSIKKRLSFPVADKPNGEHADKLMERGRRHSDPPKVDPSSLKDVPVS >OGLUM01G40130.3 pep chromosome:ALNU02000000:1:38234405:38235974:-1 gene:OGLUM01G40130 transcript:OGLUM01G40130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPHGAVPARTSNGKKNPPLTCGPPPRRPVPSRPRRGGAHLSWTSSSWGDSLAFRLHKRRQRHASPLSRCGEPRKRRERTARRRRGARSPPAAAARGGPHAVRGGRGGAHVTAGGEEEEEEEEEGGVVVVRGGGGRDRESESILRAADKSQSGGAFRRGEERGGTVGGGLGGRQGRGGSSFS >OGLUM01G40130.4 pep chromosome:ALNU02000000:1:38234405:38235974:-1 gene:OGLUM01G40130 transcript:OGLUM01G40130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPHGAVPARTSNGKKNPPLTCGPPPRRPVPSRPRRGGAHLSWTSSSWGDSLAFRLHKRRQRHASPLSRCGEPRKRRERTARRRRGARSPPAAAARGGPHAVRGGRGGAHVTAGGEEEEEEEEEGGVVVVRGGGGRDRESESILRAADKSQSGGAFRRGEESFS >OGLUM01G40140.1 pep chromosome:ALNU02000000:1:38236607:38236798:1 gene:OGLUM01G40140 transcript:OGLUM01G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLASVLGALARAECQFGQPSPAAFSPRRAAAFSPVRERKALPLPATCSFVDCHSEIEGAMD >OGLUM01G40150.1 pep chromosome:ALNU02000000:1:38242364:38242740:1 gene:OGLUM01G40150 transcript:OGLUM01G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGRAPGSAWIMLPPDRMDADVVARRLGDSIRPVVWFVLYHGLNSSFVSSGILTEKAGDVCYEMGWPKTEKGPGLRNGPKCLNHAD >OGLUM01G40160.1 pep chromosome:ALNU02000000:1:38243036:38246745:-1 gene:OGLUM01G40160 transcript:OGLUM01G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAKIRNGSLEHPTRTSSQGATKTSRTARPAGPDSAADRPSTKSPPAGRSPKVERRMTMSAEREKRRPPTKLSELESQLSQLQDELKKAKEQLLSTEHSKRRALQEAEDARAQAAAASAQVRDSEAQLAELSSAEESRLLELRRLSQERDRSWQSELEAMQKQHAADSAALVAAMGEVHRLRVQLAAAARADRKQDVVEAMATIDELRVKLKASEEAEAQARALHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKVKALEEEIAETSAAKAGERCNCSASASASEVAELRSELESTEARFQEERILSTVETQCAYELMDQIKMESDSRHGKLAAALESTKSEVIFLKASLFDKDSELRRALDANDKLQSETRTDNELKEQLQGALLENGQLKRELQQHTSEKKASAKATDAADAAAEAAKKGEMEAELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVDRSESLEGGGGGGGGGGKYAGLWDELDDDAAARKNGNVLKRISGMWKK >OGLUM01G40170.1 pep chromosome:ALNU02000000:1:38254521:38265936:1 gene:OGLUM01G40170 transcript:OGLUM01G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGDLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >OGLUM01G40170.2 pep chromosome:ALNU02000000:1:38254521:38265936:1 gene:OGLUM01G40170 transcript:OGLUM01G40170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MGVFDRLPPMDHLRSEKMCFVQLIIPAESARLAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCSEMSRKLRFFNDQINKAGVKSSVRPAMQPDIDLEELEAKLREHENDLLEMNTNSEKLLQTYNELLEFKMVLSKAGGILASSHNHAAPAERELDEHIYDKEMDDGNAYLLEQGVHLGASENSGVKFVSGIILKSKAMAFERMLFRATRGNMFFNQAPAGEPVTDPISGEEVEKTVFVVFFSGDQAKAKILKICGSFGASCYPVPEEMVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQRMNVERKPAVMHILLGSLKSVIPILLEWTQVGVEVDLSYPF >OGLUM01G40170.3 pep chromosome:ALNU02000000:1:38258193:38265936:1 gene:OGLUM01G40170 transcript:OGLUM01G40170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar proton ATPase A1 [Source:Projected from Arabidopsis thaliana (AT2G28520) TAIR;Acc:AT2G28520] MVKQRQIFREVSGRLADLEATLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDVLQRATLHSNSQVGIIFHEMDTIDSPPTYFQTDKFTNAFQEIVDAYGIARYEEANPAVYSVITFPFLFAVMFGDWGHGICLLLGACVLILREKKLSSQKLGSFMEMAFGGRYVILLMALFSIYCGLIYNEFFSVPFHIFGKSAYECREKTCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVTQMNLGIVLSYFDAKFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSQADLYHVMIYMFLDPSGDLGENQLFWGQKELQILLLLMAIVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARSRHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLVLAWGYDNLVVKLVGLVIFSFATAFILLGMESLSAFLHALRLHWVEFMNKFYHGDGYKFRPFSFALLADDED >OGLUM01G40180.1 pep chromosome:ALNU02000000:1:38273603:38275336:1 gene:OGLUM01G40180 transcript:OGLUM01G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVSLFITLLCTLMSAHYIAGSQVNYLKDNGLPLLHVDPSSAAIVNKSTKTQQPDEGAYYVFNAGYEMNSQYYYGIEVTTDVYGLSLDGEQNSGILVSIANKGDDQSSTNALVIGWHVYPRLNGDAHAHFFVRWTIDGYRKTGCYNLDCPGYVPEAGISIVPGVAIDTVSEPGGIKHIIIFKIFKDGAGDWLLHCGWDSEPYLIGRFPASLFTTLRNKANYMKVAGYAVARTTHLAPMGSGYLPNNPKAASFSNVQLIDQDGQTSKIPQDLPATQTFPSIYSVSPINFEGKFTYGGPLE >OGLUM01G40190.1 pep chromosome:ALNU02000000:1:38278337:38279634:-1 gene:OGLUM01G40190 transcript:OGLUM01G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDLGFLEGGAGMADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >OGLUM01G40190.2 pep chromosome:ALNU02000000:1:38278337:38279615:-1 gene:OGLUM01G40190 transcript:OGLUM01G40190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGHDESGSPPRSGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGEDLLFAMGTLGFEEYVDPLKIYLHKYREGDSKLSSKAGDGSVKKDTIGPHSGASSSSAQGMVGAYTQGMGYMQPQVT >OGLUM01G40200.1 pep chromosome:ALNU02000000:1:38288775:38293535:1 gene:OGLUM01G40200 transcript:OGLUM01G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT2G20280) TAIR;Acc:AT2G20280] MPPKKAAPSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVASKGAEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIAIEDEIEDQRKKVKTTTPMTTDLFMEWKRKKAEEREAGLAALRAERAKNDRMSGRELFMADSSVFVDDAEAYDVYERQEESEANEEPSNKNQDEGPSSSTSNGKEVEESDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >OGLUM01G40210.1 pep chromosome:ALNU02000000:1:38297162:38297401:-1 gene:OGLUM01G40210 transcript:OGLUM01G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSHAPVKRCLSKAPSGNPLPRRGQVKESMGKQIVAAAAAVATAAALACEKTGGGGAGSGDKKGSGRPAPVVGAKKK >OGLUM01G40220.1 pep chromosome:ALNU02000000:1:38306392:38307025:-1 gene:OGLUM01G40220 transcript:OGLUM01G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAQTNATWLKTSAINQWCLALAHSISNSPSQPLQQTHKPRNHHHHHHQQQQQLRGEEKSKLQQLTSNGVQLSLQQLQLQQGEEASAEEGAAQAADRQGPGQPRGARKEQGSQLQEMSVVVPRRQELGATAQHLSSSACKLMEVLVYYGAYGLRLREYLASSAIALFLSEEIVRERLGGVFLAVSCLVW >OGLUM01G40230.1 pep chromosome:ALNU02000000:1:38309555:38318197:-1 gene:OGLUM01G40230 transcript:OGLUM01G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSLPFIASEHKRDAYGFAVRPQHLQRYREYANIYKEEEEERSERWKNFLDSQAEYDESSGEDQDAKVSPSAEDEEAGKKAEDGRSKLSDEQKVKQQRPHKIQIWSEIRPSLGHIGEMMSLRVKKKQSSADKENAANELQSANNEEIKPSEDSDDEFYDVEKVDPNQEGPVADSADADSGMNVDANQEGHYPWKEELECLVRDGLPMALRGELWQAFVGIGARRVKGYYESLLAADDERENSKGSDSPTMEGKPKGSPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWALTGIMDDYFDGYFSEEMIECQVDQLVLEELVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFDGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVDEARLQELRNKHRPSVISSMEQRAKGLRVWRDTNGLASKLYNFKRDPEPLVSLSTEQLSDLTETSSGSTDDMYSGLTVNTEIDSLPDPKDQVVWLKVELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSDLRQALLDKQEQEQAMLQVLMRVEQEQKVTEDARIFAEQDAAAQKYAAHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDASPGQVNQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNISDESTNGNLNSNTEQMVDTPKKDDEKQGDSPQEGEQRVDTPRRDSEHRLDTPETTIKLEEQLEEIKLD >OGLUM01G40240.1 pep chromosome:ALNU02000000:1:38342391:38349918:1 gene:OGLUM01G40240 transcript:OGLUM01G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >OGLUM01G40240.2 pep chromosome:ALNU02000000:1:38342391:38350247:1 gene:OGLUM01G40240 transcript:OGLUM01G40240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPYDHQSPHAQHPSGLPRPPGAGAGAAAGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVGGMRPGGGRMTRMQSSAQVGLRGLRFLDKTSGGKEGWKSVERRFDEMNRNGRLPKESFGKCIGMGDSKEFAGELFVALARRRNLEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAAPEKMKRTTSSLARTMIPSRYRSPLKRHVSRTVDFVHENWKRIWLVALWLAVNVGLFAYKFEQYERRAAFQVMGHCVCVAKGAAEVLKLNMALILLPVCRNTLTTLRSTALSHVIPFDDNINFHKVIAATIAAATAVHTLAHVTCDFPRLINCPSDKFMATLGPNFGYRQPTYADLLESAPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYVLLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSIVKAAIYPGNVLSLHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVTSKKATLSRLETTVVADAQTEDTRFPKVLIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHNNIIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHKNSRIGVFYCGSPTLTKQLKDLSKEFSQTTTTRFHFHKENF >OGLUM01G40250.1 pep chromosome:ALNU02000000:1:38347311:38350567:-1 gene:OGLUM01G40250 transcript:OGLUM01G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAAAQYLPPKSAPHLPCDPVGCAVQSPTSQRDCLRRSPLAVSASPETAATARPPLVGAVPPSPATTFAGAPIVLRGCCQIQAVRIYPRPSRRRVRVCATRRRRARSLTRERGGGGVPTEPSEFREHSSPQGERKPEVMEEIHGMQESKTASVIKVAREPAIIINGVPDLPPDVASESQPEPRKVDKYDSESNWYSVVYQDGDQEDLEWKEVEEIMLPLDITIPLKTLISDKFALQNTAPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >OGLUM01G40250.2 pep chromosome:ALNU02000000:1:38347311:38350567:-1 gene:OGLUM01G40250 transcript:OGLUM01G40250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAAAQYLPPKSAPHLPCDPVGCAVQSPTSQRDCLRRSPLAVSASPETAATARPPLVGAVPPSPATTFAGAPIVLRGCCQIQAVRIYPRPSRRRVRVCATRRRRARSLTRERGGGGVPTEPSEFREHSSPQGERKPEVMEEIHGMQESKTASVIKVAREPAIIINGVPDLPPDVASESQPEPSNAAEPPVDHRFGEFLEGRKVRKPFGDKHFVGKVDKYDSESNWYSVVYQDGDQEDLEWKEVEEIMLPLDITIPLKTLISDKFALQNTAPVFKPKVGRPRKVYAITDGNTNNAMEDQMMTGAANEHQSNNLLALVPASTSNDAYVNASSQPRKRGRPRKDATMYPRKDATIPANTQPKRRGRPPKNRNLSGNAQSAECTPQNSVLIRNAQTVRAEKLAKAERLKRENMHAQGAPPGTQFF >OGLUM01G40260.1 pep chromosome:ALNU02000000:1:38357146:38358362:-1 gene:OGLUM01G40260 transcript:OGLUM01G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHSSSASSAASYGSDVSFMNHSPAAALPAVPTQIPRVAGGYLDGNVSGGLPHFGGAVSSSSSSPPSYSSSLPSSYYNNIQRSISSHSLPHHLQLTDHFGGAFFSSSSSSSHQLPLPPPLSSSPSSSSGDLFEFTSPCPVRRVFSTGDLQGMNGSSPPRPLPSGESCGQDGSGPFSQKVGRYSAEERKERIERYRVKRHQRNFNKKITYACRKTLADSRPRVKGRFARNGEADAEGDEREAFDASYSYENASYSYDYSAAGYSDYRSGSNSSSVNSCYNYNRKDGAATAASVVGGSDNGEWWWRAPGATTAEAERQRQAGFDVDEEIWATLGDMLSVNLAS >OGLUM01G40270.1 pep chromosome:ALNU02000000:1:38362897:38365680:-1 gene:OGLUM01G40270 transcript:OGLUM01G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSESGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDNEIRSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPSLENKNIAETEEYMKICEHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQAGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >OGLUM01G40270.2 pep chromosome:ALNU02000000:1:38362899:38365680:-1 gene:OGLUM01G40270 transcript:OGLUM01G40270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAASNAYSWWWASHIRTTQSKWLDNNVQEMEIRVKAMIKLIDIEADTFARKADLYFKSRPDLINHVEETYRSYQALADRYDRVSGELHKSNHTIATAFPEQVQLSLQDDNGDGFPKGITGININRGTSAAPKRTQTHKKISSKMSKDKAQEEIERLQKKILVLQTEKEFFKSSYESSLNKYLSIERQAAEMQEEVWSLQETFSTSAVIEDNEARALMAAQALISCEDKLASLHCEQKRSYEETTMEIQRVIDAKKKITIFKSECGYPDDQKDLPNHQDIEFSSIPSSIEDSDLIMKDCKLELQELSQKVKQKFESSSEASAVHLAGQVDEIVDKVISLEIAASSQNAQINRMKNEADELQKRLDSLEDEKAALIEDSSKLSERLKQVEEVLQTIQRIGKSVHSESGNIHQQLTEVDDSLNDFVKKLDAHSTDEIVNSSQDDSGIACKSKDEGLLDALDDSSKAHKEEPDETLGWQQLDLNGLEDKDKILLKDYASILRNYKDTQKQLLEIEKRNREYHLEAMSEMNELKSSSATKDNEIRSLRRMLSSLQTKLNNSLPQRFVESEESSEANASPSLENKNIAETEEYMKICEHEEPHDPSLEDKFRAEISRILEENLDFWLRFSTSYHYMQKFQKSFDKAKAEMDKLTDAKAQEGSDAVPSCQSARKQESAVLEKKLRGLSTDLQVWLEKNVLLQGELESRFSLLCSIEEEISKITALGQTDEAHFTPFQAAKFQGEVSSMKQENSKVTKELQAGMDHVRSLQVEVGRALLKLRENIELSIGRRNRTQHSFRSLSMKAGVPLRTFLFGSKPKKASLFSCMGPVMPKPVADMRAGPFG >OGLUM01G40280.1 pep chromosome:ALNU02000000:1:38370276:38370601:1 gene:OGLUM01G40280 transcript:OGLUM01G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM01G40290.1 pep chromosome:ALNU02000000:1:38374859:38384789:1 gene:OGLUM01G40290 transcript:OGLUM01G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYSGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >OGLUM01G40290.2 pep chromosome:ALNU02000000:1:38374986:38384789:1 gene:OGLUM01G40290 transcript:OGLUM01G40290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYSGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >OGLUM01G40290.3 pep chromosome:ALNU02000000:1:38374859:38384789:1 gene:OGLUM01G40290 transcript:OGLUM01G40290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYSGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >OGLUM01G40290.4 pep chromosome:ALNU02000000:1:38374859:38384789:1 gene:OGLUM01G40290 transcript:OGLUM01G40290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >OGLUM01G40290.5 pep chromosome:ALNU02000000:1:38374859:38384789:1 gene:OGLUM01G40290 transcript:OGLUM01G40290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGGEAAAGSDAKPTAGTGMEQPSPSPYQPSASDHHLWSSSTGAPWNYSMNNSNQNTVYYDPQRDVSVPGSTENVTSGATHVVQSAMGITGATDSYAPYSNSVQPGYNAPQYPNYYYNCPQSTNESSVQQGVDQSSGAAYQPLTSFQNSGSYVGPTSNTYYNAGAHQTAPGYATSNNYYQSNSWTGGSSGDNHVQSYQSYTPSDTNAAQSSSSLPNNSYHYHQQYNQWPYYYDQSAPSSGGPAVAVSSVSDANTASVSSGYVYPSTQPPPPGTTWRSDAGATAVPPPQGSATSDALRVNKIQIPTNPRIAPTLPMAMPKVEKRNLEADSSKKPAYVSVAVQKNDVKAAQDGHEAVTQGSIPVSLRTYVGRNVSRCKDDAQRSAVQNILKEIITKATADGILHTKNWDIEPLVPLPENITSTNLTSSAKDLSPFSFSTSRRSPSRRAKSRWEPVVEEKVANKVELISKESAKTNTYNSSETTKRAGRSWDIGKFLQSRQAPLSQYNQRPSKKKRIGGNSSLTENGNVSSDSDKEQDLTKYYANAITIANSPEEKKRREHRSKRFERSQGAASSKSRSSVPDKDGTSNTYARRSMPMLLSRSNGDDVSFAVEDLDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEDVLEKALHMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVMVYETHARLALQSGDLPEFNQCQSQLKRLYAEGIKGCHFEFSAYNLLCVMLHSNNKRDLLSSMASLPKEAKQDRTVKHALAVHSAVSSGNYVLFFKLYKTAPDLNSCLMDLYVERMRFEAIKCISKSYRPTLPVKYAAQVLGFMAIDEVCEAKRADGLEECEEWLKAHGAVLSVDNNNGELQIDTKVSSTSLYMPEPDNAVSHGDASLAVDDFLARTS >OGLUM01G40300.1 pep chromosome:ALNU02000000:1:38394602:38396851:1 gene:OGLUM01G40300 transcript:OGLUM01G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVHDRLPFLATPPPAPARGRNPPLAEMLRLVGAATVDSDAAAAAADEEANALSLPLPRGGVTPPPPGGRTIQFRLAFTSLTYSVRAARRARPGGGDGGGGFRLPLQNRCDRVTAAAPDAHSSRARVLLDGITGEAREGEILAVMGASGSGKSTLIDALANRISRDALKGSVTLNGEPLTGNVIKSISAYVMQDDLLFPMLTVAETLSFAAEFRLPRALPAAKKRTRVLELIEQLGLRAAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVQVLRNIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSAIPAYFAEFGYPVPDDENRAEFALDLIREFESLPAGTGQLVSFNKTWQVMHAARHNPNDDPWAPTMSLKEAISASISRGKLVSGSDVAGEAASMHTYANPFWVEMKVLTKRSAINTRRMPELFLIRLGAVVITGAILATVFYKLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLHERYIFLRETAYGAYRRTSYVLSNAIVSFPPLVVLSLAFAFTTFFAVGLAGGVSGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPFEGVLQNEFGRGGECYVRGTQMFDNSPLAVLPDAVKTRVLASIGTALGVKIGPNTCVMTGHNVLREAAVTQLGKWECLLVTAAWGFFFRLLFYFSLVLGSKNKRR >OGLUM01G40310.1 pep chromosome:ALNU02000000:1:38400052:38402998:-1 gene:OGLUM01G40310 transcript:OGLUM01G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVELSDSNPDGGEDPVAMVYSFFAVCYGVFLIAWLHRTLARGCSTARPVHDVMSGLLAALMLHCLTAAAHDGRYTSVVAGTARGWNVRCLALRLVKNAMLFPVVALIGAGWSLPEPFVQARELNVLTVQVYMAIATTLSGDGGVAWTWGHAFVLVQLACCVAVLMPMGRAIRALRKEADTDDKAARRLGKLALFRQLYLAVAVYLYHTWMAVFILKLLVGASSGYRWASVAVDEAAALAFYLFMFCMFSPAEEDIQLEEYTEELIQGGRALAGSKRRSLIKTKGCWLRRWRCIPREQHKEGSTSGGGGGDGAADEDSVQLHKRWIPLPADAPRGKLHEVVLGEEEESSTAFIESRFVPPTKLGPSLGVLIASLRFSVGVGGADSDNGENRSSNIQQVLHRHERPAPPRFLTLRRALGGRRRCSGR >OGLUM01G40320.1 pep chromosome:ALNU02000000:1:38405035:38406384:-1 gene:OGLUM01G40320 transcript:OGLUM01G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAARALLLLIAVAGALLRPAAAEIKQESFKDDSRASILFEKFGFSRRGFVSIAITGARTSSKLAKAEPDQFGFFLLSDEALFEAIYEQPPPTDLNPNPEPNPGCVLSSPYVKPLFSFADLDGNGNYKKTFPVTQPDEYSLFFANCAPETAVTMEVRTDMYNTNLDGSKDYLSVGQAPVPAIYAFFTVCYLVFLAVWLYVTLYRNRLSAHRIHHLMSGLLAARMLYCISAAEDQHYIRIAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMVVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYLFMFYMFRPAERNQYFALDEDEEEAAELALREEEFEL >OGLUM01G40330.1 pep chromosome:ALNU02000000:1:38414414:38415508:1 gene:OGLUM01G40330 transcript:OGLUM01G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGPQSHDQPLQEEDYIDMDLSSPAAAEAVTASARASLLCYSTAMAASPQNSREFEFHMSAPVDKWEPAASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNASPANSCYVSGELNAEHYFHECTSTGIGAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYIKTIFATKAGNPDGKTGTPSANELSNAQFKSWRKNPFGQIRSNRYIASPISNSVTLGGRLKEDECGHRRSFSSVIIRYSSSNKTSSASSSSCSSSNSSSSILSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKRSQQLASVRKSASDAGFRFMSSSASRIAAESEDPDDIIEICGR >OGLUM01G40340.1 pep chromosome:ALNU02000000:1:38418571:38421672:-1 gene:OGLUM01G40340 transcript:OGLUM01G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIHEEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >OGLUM01G40340.2 pep chromosome:ALNU02000000:1:38418571:38422330:-1 gene:OGLUM01G40340 transcript:OGLUM01G40340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAEEGGGTAAAAAVKVMTVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAGALRRALDEAAAAVATGEGREALEEVRRAVAAEPNEAGETPLVAAAERGHLEVVRELLRHLDAEGVAAKNRSGYDALHVAAREGRHAVVQEMLLHNRLLAKTFGPANTSPLISAATRGHTEVVKLLLELDDFGLVEMAKDNGKNSLHFAARQGHVEIVKALLEKDPQLARRNDKKGQTALHMAVKGTNCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVAVLLRLPDTHVNALTRDHKTAYDIAEALPLCEESSEIKDILSQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNANNGVAVVVQAASFRIFFIFNAIALFTSLAVVVVQITVVRGETKSERKVVEVINKLMWLASVCTTISFIASCYIVLGRHFQWAALLVSLIGGITMAGVLGTMTYYVVKSKRMRKIRKKEKMSRRSGSSSWYDNTELSETELNQVYAL >OGLUM01G40340.3 pep chromosome:ALNU02000000:1:38421723:38422330:-1 gene:OGLUM01G40340 transcript:OGLUM01G40340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDRSCIGYLRSVIAGSVDTHTGHECTITNHAAGSRYRLAIVLLLLLRPHLSFLVPSSFAAGRAPLKAAPRPKPYLGNPTARL >OGLUM01G40350.1 pep chromosome:ALNU02000000:1:38423119:38424685:1 gene:OGLUM01G40350 transcript:OGLUM01G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH02] MEPPQLDGQCGGEPPASVEGVVFMPYATADSSLRAMADDGDGSLREGCRRREPLWIVFDVSGTIHLSTGLRVSSYKTIDGRGQRVTLSGKGLQLRECEHVIVCNLEVEGCRGHDADAVAVKPGSRHVWIDRCGLRGCGDGLLDVTRGSTDVTVSRCRFSAHDKAVLIGGSAGHVEDRAIRVTIHHCLFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVESQIVSQGNIYEAGEKKKVFKYMIEQAADRDQSSTGFIRSEGDLFLNGAEECTAQDSEAAADELWDFKIQELDFYQSCSVQPASMALKELLECFTGWQPVPLPEDTCLEEETDSAPADTTA >OGLUM01G40360.1 pep chromosome:ALNU02000000:1:38426398:38428667:1 gene:OGLUM01G40360 transcript:OGLUM01G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDEEFFVNSRGNKLFTCRWTPHKQEPKALIFICHGIAAECSVSMRDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTKKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKISDDMRPHPIVVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQVRSNPYIYRGKLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >OGLUM01G40360.2 pep chromosome:ALNU02000000:1:38426398:38428667:1 gene:OGLUM01G40360 transcript:OGLUM01G40360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDQYSENIKYDEEFFVNSRGNKLFTCRWTPHKQEPKALIFICHGIAAECSVSMRDTAARLVRAGYAVYGIDHEGHGRSSGQRCYIPNFGDIVSDCANFFTKKPENREKKRFLYGISMGGGVALLLHRKEPTYWDGAVLLAPMCKISDDMRPHPIVVSALKMVCAVAPSWRIIPTPDIIDKVCKDPEMRKQLPLKTCHELLMVSLDIEKNLHEVTLPFLVLHGGDDIVTDPSVSKLLFEEASGRDKTFKLYPGMWHALTAELPDDVERVYSDIISWLDERSDCAGSVPETF >OGLUM01G40370.1 pep chromosome:ALNU02000000:1:38430220:38433892:1 gene:OGLUM01G40370 transcript:OGLUM01G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLHKSSPTHAPAAAHAPASKASKASRPGPRSWVGYLLREQRLLFVLLGALIASSFFLLRPYLFSLSPSSHVPDRRPLFSFASHTSSASGVPPGFRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKDNVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >OGLUM01G40370.2 pep chromosome:ALNU02000000:1:38430327:38433890:1 gene:OGLUM01G40370 transcript:OGLUM01G40370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSCSRWTGSITSRAPRPLCTTSTTPSRRSYPSRPGSAHTFDLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >OGLUM01G40370.3 pep chromosome:ALNU02000000:1:38430338:38433892:1 gene:OGLUM01G40370 transcript:OGLUM01G40370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCNLLCYFHYLQYNHVLHLYIIKSGFLNNYYKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGDGKQTRSFQYVSDLVAGLMALMEGDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKHLLRWEPKVSLREGLPLMVKDFRQRILDE >OGLUM01G40380.1 pep chromosome:ALNU02000000:1:38434047:38434796:-1 gene:OGLUM01G40380 transcript:OGLUM01G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH08] MSPLDYLNLNFDCLNKHTSQKNHTSKLQSLVYESKLRAEMQAQTRRRILAQSCVALALLLTTVHTAAGRRPASHKPTLPSHGSDQTMTLYTTVATPAEAAGVPSSQHPVFAGHGPIGHHSGGWLRVLTRPGALQPGAAAVVDERFHGKKEFGMPLAGKLQGVLVTGLEGDDDSRIVAVTALFSGDGEEDSIRFFGVHRDDQEESHIAVVGGTGRYDGATGFAVVRAADAHKAGRNVSSNSVLSFRVHLK >OGLUM01G40390.1 pep chromosome:ALNU02000000:1:38435807:38441652:1 gene:OGLUM01G40390 transcript:OGLUM01G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH09] MRIEEVQSAAKKQRIATHTHIKGLGLDANGAAIGLASGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTSGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEFDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQLLSPASVVAKANGREKISKADLEEVSGLYLDAKSSARLLQEQQERYIT >OGLUM01G40400.1 pep chromosome:ALNU02000000:1:38441740:38442374:-1 gene:OGLUM01G40400 transcript:OGLUM01G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITARKNRSNVDHRFSMDSPAKTRASRSRVQCCDCQRGAVHLERRRAVREQQGGISLYDSINVGMIKNRHGNVIIIRKKKQGPANSDAGTDAPMCPSA >OGLUM01G40410.1 pep chromosome:ALNU02000000:1:38445231:38446768:1 gene:OGLUM01G40410 transcript:OGLUM01G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGGGGDSSPASYIRLVQHLIEKCICYNMNKEECMETLEKHANIKPVITSTVWKELEKENSEFFATYKKGQGEEPVESKSSSSSQEAAGSKRSGGDDD >OGLUM01G40420.1 pep chromosome:ALNU02000000:1:38450115:38450432:-1 gene:OGLUM01G40420 transcript:OGLUM01G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRGHGFGRGLAVAVAATVLLLLPLPSISSLQLQAVASSSAAPATTATATATDKSKLPFMPVPTEPFPPSPPHGHRLPVVPPPKPKSANREAAAAAAALTSTP >OGLUM01G40430.1 pep chromosome:ALNU02000000:1:38451135:38455178:-1 gene:OGLUM01G40430 transcript:OGLUM01G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEEGEELLLLSAVEAGSFGGGGDGGGAGAAAEKSWRLNFDGFRPPEVQQERRPPRGLHHHCLGVLSQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNIANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLLSDGDEFSLTKEQEKWVVDIMLAVTLVKLALVLYCRTFTNEIVKAYAQDHFFDVITNMIGLVAALLATYIEGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEK >OGLUM01G40440.1 pep chromosome:ALNU02000000:1:38459088:38462819:-1 gene:OGLUM01G40440 transcript:OGLUM01G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 1 [Source:Projected from Arabidopsis thaliana (AT3G53570) TAIR;Acc:AT3G53570] MEAQWLAEYPHQGADKRPRKRPRLAWDVAPPLFQPPKAIPMLYCGQELINGNFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLEHQETVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNSYRAFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVTIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYSCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFRRGLRLDWPEGAASRESLKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPDARLKAREALQHPFFTRCHRRCGY >OGLUM01G40450.1 pep chromosome:ALNU02000000:1:38471673:38480725:1 gene:OGLUM01G40450 transcript:OGLUM01G40450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFQQRLAGRFRDPTTPHWSSLRKRGGVELLDFLGSGVRPLLGVERNPEEGSSMEDAVLDNEEGKDTMERRMIGMEVVRWRGGGQRAFDSGASRVKTTKHGAR >OGLUM01G40460.1 pep chromosome:ALNU02000000:1:38480737:38481111:1 gene:OGLUM01G40460 transcript:OGLUM01G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGDECGGDGGWGRSRLRGGLGKTSPPVQCAVAAAAEGDDLVGSGGLRRRRRMGKTLSPAAADGNDAGSDRRMGTTSAPAAADGEAPAVAGEDLASGSVHGSGGGRVRSGGGRGRRCKERAAH >OGLUM01G40470.1 pep chromosome:ALNU02000000:1:38482690:38483343:-1 gene:OGLUM01G40470 transcript:OGLUM01G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSRSMWDMQEFVGSVDTARVLMLLAQQSQHGLLGGGGFAAGAQPVVVRGGAHDRVFECKTCNRQFPTFQALGGHRASHKRPRQQQQHALGGGAGADDAGLCLGRQPTPPRPQPAKPRVHECPVCGLEFPIGQALGGHMRRHRAEAEAAATTTTTTTKNGDVGKAAAVKACDGGGVCLDLNLTPSENRAKCRNVVGLGAGGQGVHKALAMLDCFL >OGLUM01G40480.1 pep chromosome:ALNU02000000:1:38487335:38487610:-1 gene:OGLUM01G40480 transcript:OGLUM01G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRAGRHQRRASQSVFVLPENFASLEDVAADVVGGGGAEQRKPAAAADASSEQQPARMQAGRHRRAMSMAVAARDLEMITEDIASYKYGA >OGLUM01G40490.1 pep chromosome:ALNU02000000:1:38518561:38522900:1 gene:OGLUM01G40490 transcript:OGLUM01G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGQEKKLNYPAVAVSATLNAIKTSRSEDTVLLLKLSDLYPKRKNPLHSPPFSSSVLVLVSRTRSLLPSAIASLAQQSATNPPANRGIAPIPSPILQIRFPEMESCPSVKNILVLDSEGKRVAVKYYSDDWPSLSSKQAFEKSVFAKTQKTSARTEAEIVMFDSYIVVYKFIQDLHFFVTGGDEENELILASVLQGFSEAIDCLLRNKVHRRAALENLDLIFLCLDEVVDGGIVLETDAKAILEKVSGHGLEGSGSLTEQKLSSALATAREHFARSIFS >OGLUM01G40500.1 pep chromosome:ALNU02000000:1:38520215:38520433:-1 gene:OGLUM01G40500 transcript:OGLUM01G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIKHRERRDWRKEITISGKRICSIGEGMGAMPRLAGGFVADCCASEAMAEGRRERVRETRTRTEEEKGGE >OGLUM01G40520.1 pep chromosome:ALNU02000000:1:38526495:38538263:1 gene:OGLUM01G40520 transcript:OGLUM01G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGSSDSSLMQHIVSGHGSRISSLTELENLEVVTADDGGGGDGNTVTVHGDKAPVDGKGEAATPAKRADVSGGQECGVVRVEDVQRVETPAAEITNAMKDTEIVKNKDQERGGSEREEEETSMSLF >OGLUM01G40530.1 pep chromosome:ALNU02000000:1:38541607:38542110:1 gene:OGLUM01G40530 transcript:OGLUM01G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRVHASALLSLSSPAASASQPTSSSSTPAGRRALAAEGVFECKTCSKRFPSFQALGGHRTSHTRLQAKLLSDPAAAAAAAAERDRARVHECAVCGVEFSMGQALGGHMRRHRGETGTTTVVLADADDSGGATVPQPPEPMPDLNYPPLEDAGDGSEPELLNLLV >OGLUM01G40540.1 pep chromosome:ALNU02000000:1:38542979:38545265:-1 gene:OGLUM01G40540 transcript:OGLUM01G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAGSRGKGEQQRLPWREAQQEARSPDMAPPRPPRPRPGPARAAVVYYLSRNGHLEHPHFMEVAVASPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIYPVAGREYVLKGTERLHPIQLPLLDAAAASSCSSGSQETATSSPPGWENGTGEARQKKGAGINTSELCEYRVYKAEDPAAAAADAATQTEDGYRSSRGGHQRHAQRAAAAAAQEELSREETSPPTASTSPETLEALIKADGRVMAAVTGGGRTRASSVLMQLISCGSVSVKSTLASPVMARTAAHYRPRPPRPPTLASTTTEIPNYRQKIVEDKEYFSGSLVETKRSSPADTSQDIAVLRRSSSYNADRVQKVEPSTEAVDMHDRCIPRRPRGKKDGGAYLISGGNAQYGSKRHGG >OGLUM01G40550.1 pep chromosome:ALNU02000000:1:38547648:38550311:1 gene:OGLUM01G40550 transcript:OGLUM01G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDVVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAPMAYIEFVDRENELREAKPATPQPPQRSPLDPWAKSRASQQWAGPKISEGSRKEGL >OGLUM01G40560.1 pep chromosome:ALNU02000000:1:38551944:38553314:1 gene:OGLUM01G40560 transcript:OGLUM01G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42450) TAIR;Acc:AT5G42450] MPHRDVVSATAAIGALTRSGRHRDAVALFSGILGDGIVPNEFTFGTILQSASALRDLRVGAQLHACVAKLGLCSNVFVGSALVDHYAKMGSVREAQGALQDTREPNVVSYTALIAGFLKNGMSGDAARLFRCMPERNVISWNAMIGGSSKAGLNEEAVNLFLEMCREGVRPNESTFPCLLTSVANAGALGVGRSIHASAIKFLGKLDVFAGNSLVSFYARCGSLDDSVLAFKKIKNKNVVSWNALICGYAQNGRGEEALDAFRRMKATGLKPDRVTLLGLLFGCNHAGLVDEGYSLFRTAEMEQPGVLRPEHYACVVDLFSRAKRFDDAKRFLENLPFEPGIGFWKSLIGGCQIHWNRELAKSVAKRIHALDPKDTSSYILLSNVYSAAGSWQDVSMIRREIKEKGLKRITGCSWIEVQNQVHVFFNGDRRHPKSDDIYMMLESCLNSEEDEDCLV >OGLUM01G40570.1 pep chromosome:ALNU02000000:1:38554467:38554886:-1 gene:OGLUM01G40570 transcript:OGLUM01G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH26] MAPKAEKKPAEKKPVEEKAEKKPKAEKRVPGAKEGGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OGLUM01G40580.1 pep chromosome:ALNU02000000:1:38557964:38563423:-1 gene:OGLUM01G40580 transcript:OGLUM01G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATISQASLLLQKQLKDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPTVRFTSEMWHPNVYPDGRVCISILHPPGDDPNGYELASERWTPVHTVESIVLSIISMLSGPNDESPANIEAAKEWREKRDDFKKKVRRLVRKSQEML >OGLUM01G40590.1 pep chromosome:ALNU02000000:1:38577899:38578098:1 gene:OGLUM01G40590 transcript:OGLUM01G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFDFGDLDDMEAHNKREVMASGVGIKCKRSGGGGGFVLKPDRRKGRILNWEEEGDREG >OGLUM01G40600.1 pep chromosome:ALNU02000000:1:38578587:38597382:1 gene:OGLUM01G40600 transcript:OGLUM01G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGSNIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLSADDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >OGLUM01G40610.1 pep chromosome:ALNU02000000:1:38582386:38583129:-1 gene:OGLUM01G40610 transcript:OGLUM01G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28790) TAIR;Acc:AT2G28790] MASAKLLLLVATSLLSCGVILADYAPMTLTIVNNCPYPVWPGIQANSGHDVLEGGGFFLPALSHRSFAAPAHPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPATLAQVSLHHGNDQTSYGVSVVDGFNVGLSVTPHEGRGNCPVLACRKNLTETCPSELQLRTPAGSVVACKSGCEAFRTDELCCRNMYNSPRTCRSSKYSEFFKRECPQAFTYAHDSPSLTHECAAPRELKVIFCH >OGLUM01G40620.1 pep chromosome:ALNU02000000:1:38595323:38596888:-1 gene:OGLUM01G40620 transcript:OGLUM01G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLVTTLSMENGGGGGGGGHHSLCTLLSMDPAGHLDDRAVGVMVQPRIGDGAGRAHAVSLSGAHPPDINQPWQTDLCDMLDVSLGPQIQVYDAEALAVLSSAPKAGNRKAAKRGDSIWGAWFFFTFYFKPLLADKCKGKVTRDANGVSGFDKTDLRLDMFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLASITEVDRRRWVELTGRELNFAIPQEACDFGTWRTMPNTEIELDRPHPVMKGNVHQHPKKLLNGSGLNLSSPSNHSGEDGMDLSPVSSKRRKEVFPHAMDEECFLPLNSCGERTQQDVEMHSVVQPSWQHEFSGVMKKARGPVTAAKSIYEDDQGYLIMVSLPFVDQQKVKVSWRNSLTHGIVKILCVSTAQTPYIRRHDRVFKLADPMPEHCPHGEFVREIPLATRIPEDAKLEAYFDEAAAVLEIMVPKRGNEPEEHEVRVSMRPPHLAANDLLLT >OGLUM01G40630.1 pep chromosome:ALNU02000000:1:38608413:38610396:-1 gene:OGLUM01G40630 transcript:OGLUM01G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTLGGGAGGRAGGFSDPPSPLSPPLSPASAAAAALANARWTPTKEQIAVLEGLYRQGLRTPTAEQIQQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQQSFDYFSKLFRRPPPLPVLHRPLARPFPLAMAPTAMPPPPPPPATTTTAACNAGGVMFRTPSLMPVATNNASYYPQQQTPLLYPGMEVCPHDKSTAQPPATTTMYLQAPPSSAHLAAAAGRGAAEAEGHGRRGGGAGGRETLQLFPLQPTFVLPDHKPRRAGSACAAVSPTTPSASASFSWESESSDSPSSEAPPFYDFFGVHSGGR >OGLUM01G40640.1 pep chromosome:ALNU02000000:1:38645036:38646934:-1 gene:OGLUM01G40640 transcript:OGLUM01G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH33] MVFSCADSRVCPTLTFGLQPGEAFTVRNIASMVPAYDKRGQCSIGSAIEYAVVVLKVECIIVIGHSCCGGIKELLSLKEDRPNTFHFVDDWVKIGLAAKKVERENMLLPFDDQCTVLEKEAVNVSLRNLQSYPFVKERLQKGTLKLLGARYDFVYGSFEMWDL >OGLUM01G40650.1 pep chromosome:ALNU02000000:1:38667733:38675786:-1 gene:OGLUM01G40650 transcript:OGLUM01G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH34] MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMSGCLCLPRYKKAPNGTPSPPPPAAAAEAEAAAAAAATKPSIDSSSSPSLLTNNHPPPSKMDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >OGLUM01G40650.2 pep chromosome:ALNU02000000:1:38667733:38675786:-1 gene:OGLUM01G40650 transcript:OGLUM01G40650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH34] MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPVAPAAMDAAVDRLKDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >OGLUM01G40650.3 pep chromosome:ALNU02000000:1:38667733:38675786:-1 gene:OGLUM01G40650 transcript:OGLUM01G40650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH34] MSTAAAAAAAQSWCFATVTPRSRATVVASLASPSPSSSSSSSSNSSNLPAPFRPRLIRNTPVFAAPDGFAKFKTEFYDKKPELFEPLKAGQAPKYMVFSCADSRVCPSVTMGLEPGEAFTVRNIANMVPAYCKIKHAGVGSAIEYAVCALKVELIVVIGHSRCGGIKALLSLKDGAPDSFHFVEDWVRTGFPAKKKVQTEHASLPFDDQCAILEKEAVNQSLENLKTYPFVKEGIANGTLKLVGGHYDFVSGNLDLWEP >OGLUM01G40660.1 pep chromosome:ALNU02000000:1:38681717:38682184:-1 gene:OGLUM01G40660 transcript:OGLUM01G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDYDAAFTEEADGAIAALQDTGGDGLATKQLLERYHASPLAHTILAQWYFARADQQKPTNHLRRAAWMAPRCLHIAFALALVLIEMGSFDEADMVCAHSLLVPDLTDPVHKFISPKEQVDAIITSKAPEYRLGRGAIWAF >OGLUM01G40670.1 pep chromosome:ALNU02000000:1:38682478:38695579:1 gene:OGLUM01G40670 transcript:OGLUM01G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWCRLSCGLPGSRRRARWCGLPGGELRDAVRRVVCGDRVWDTGAIWKSGASNKGPIEAGDGLESGLCPGSKASERRERVLLRHLYIVPLRLWLAVTDYQIYAGAGHGNFGACWVFGLSPDKTLSPPPDQG >OGLUM01G40680.1 pep chromosome:ALNU02000000:1:38690113:38690859:-1 gene:OGLUM01G40680 transcript:OGLUM01G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPRDRTVEEAAAFSGDESDGEFEFPFVSRETDAGGVADELFADGRIRAFYPVFGRVLDDVAVTPAAAAAEERRPPLGRLFLEEGRNSSVGSTSSSSSSTDIAELDGVSPDSYCVWVPGSSPASSPSRPPRKSGSTGSIARWRRISELVIGRSHSDGKEKFRFLSAPSSPARDHAKPKPTTKGGAAAATKLHTELDTIAAGHRLSYSPNHKAHGGATRRTFLPYRQDLMGIFANVNGLSRTHHRPF >OGLUM01G40690.1 pep chromosome:ALNU02000000:1:38712246:38720524:1 gene:OGLUM01G40690 transcript:OGLUM01G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMQAEVEEMRAALLHGHGGGAAAAGWRPSAGDADVKRAAGGDGGAAGPRTVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDLDKLREMEMFGEDGRDGVWTVMANVTDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTVSAPNSVPNLKDPRNYYTYLCYVFPNAVTEVTNTEPQTSGPEKFVQLSCRVYICMYMAFGTARGGTHASDFQKDFKHMASLEAKAAEQVIAACVRTESVRKCIFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTVCPALVTGPGFRRRNSTASIAYLKGARAMLADGLLATASVETVAEAHVRVYETMGDNTAGGRYICYDHVVQRPEEFAELERQLGIPRRAAAAAAAAQDSGDRPARFELCRQKLARLMSTRRRCTYDDYYSVAFD >OGLUM01G40700.1 pep chromosome:ALNU02000000:1:38718930:38722120:-1 gene:OGLUM01G40700 transcript:OGLUM01G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIRDDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDFINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >OGLUM01G40710.1 pep chromosome:ALNU02000000:1:38723240:38724177:-1 gene:OGLUM01G40710 transcript:OGLUM01G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARPYRFPVPAEGGEPTRRRSAAQSCGTCGASAVASCVALCCCPCAVVGCLTLALVKAPARPVATPRKTNRVWDLDDGQLQEWRPAGADGVVRAERKQDRREPGRTGGAASAAPPGDASIDAISAVGEGTGRGRPRVDAAEKTWVEIYQLGHWGFGRLSFSQPQVIRGDAGGNDGVAASRQ >OGLUM01G40720.1 pep chromosome:ALNU02000000:1:38732090:38734300:-1 gene:OGLUM01G40720 transcript:OGLUM01G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH43] MAPPQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVNFVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVIRKMRSAGTTDKKK >OGLUM01G40730.1 pep chromosome:ALNU02000000:1:38737982:38738641:-1 gene:OGLUM01G40730 transcript:OGLUM01G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEDGWPLGLGAMNARAGVTRSVDLSGSASFSTAFTSSHCASSSFTSCDFDTESAWSLSRRGGGGAGGGMTLASLIGLVDAMESRSRRRRSARATSKSGKVRALLLSLCLRSHLENGRAAPSLGQFLEMERRASSSSL >OGLUM01G40740.1 pep chromosome:ALNU02000000:1:38745232:38753168:-1 gene:OGLUM01G40740 transcript:OGLUM01G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan family protein [Source:Projected from Arabidopsis thaliana (AT3G46550) TAIR;Acc:AT3G46550] MRGGAGALPVPGGLALGVVLLLVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAARSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLAQLPASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPAPFPGSSATVLGAVTAVPYNLSVLAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADSESSSALSTARAVNWWCIRLVLLNLHLLLLPLVEWPCHAAARSAHEVTCFRESGIFRVSEIRTEIKDDDYKRVITKRMPASH >OGLUM01G40740.2 pep chromosome:ALNU02000000:1:38742747:38753168:-1 gene:OGLUM01G40740 transcript:OGLUM01G40740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fasciclin-like arabinogalactan family protein [Source:Projected from Arabidopsis thaliana (AT3G46550) TAIR;Acc:AT3G46550] MRGGAGALPVPGGLALGVVLLLVLAVLPSRAAGVNVTAALAAFPSFADFARLLESSPVAGELAARSSLTLLAVPNNNLPRSPSAFAAASGADIADVLRYHVLLEYLSPSDLAQLPASGKLVTTLFQTTGRAPSDLGAVNLTVGGNSTVVVRSPAPFPGSSATVLGAVTAVPYNLSVLAVGGLIVPSGLDVAASDSRPAGGVNITHVLADARGFNVAASMLEASGVADEFTADERGAGITVFVPTDDAFADLPATDRLQSLPADRKATVLRFHVLHSYYPLGSLESIVNPVQPTLATERFEAGQFTLNITRVNGSVAIDTGIVQASITRTVFDQNPVAVFAVSKVLLPKEMFGRGGADSDVMAPPPDAMAPDAAENVRTPPTRLSSPPALRGGADSESSSALSTARAVNWWCIREYSARLFLASQGESTGVTGESVEEVGEPEKKGFHLHFFTFSLRRCQC >OGLUM01G40750.1 pep chromosome:ALNU02000000:1:38743251:38746777:1 gene:OGLUM01G40750 transcript:OGLUM01G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSPFSFHELRRQASSYLTDKIRSARLALTDVTPTQLMTEEATSGDASPPNVKTMSLIARQAFEIDEYVRISDILHKRFARFDRRQWREAYKALLLLEHLLTHGPRSVALEFQRDREVIEQMVSFQHIDEKGFNWGMTVKSKSERVLRLLERGPFLEDERERARKIAHEIKGFGSFNLSSARASGSAAAALRAAAMEHQCYGRSNSRYEGRWRREACVDDGDKENLLVVSMAEAEAEAAAEEPHHYHHPFYGFGQQRPEAMLLLSQ >OGLUM01G40760.1 pep chromosome:ALNU02000000:1:38754903:38760052:-1 gene:OGLUM01G40760 transcript:OGLUM01G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGAPSPSAPARHLLLSAAAAAAASVAGAGAGAAGAVRGGGAVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNITYDLPESNGYIYVEANGGLNQQRASICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKNFSSRMAAIDYTVCVHSEVFVTTQGGNFPHFLLGHRRYIYGGHSKTIKPDKRRLAVLFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKSIQAR >OGLUM01G40760.2 pep chromosome:ALNU02000000:1:38754903:38760052:-1 gene:OGLUM01G40760 transcript:OGLUM01G40760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGAPSPSAPARHLLLSAAAAAAASVAGAGAGAAGAVRGGGAVRRAARVVLAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSLYRSPQLYARLRADMDADNATDALATVWRHAYKGGIWRPCINNITYDLPESNGYIYVEANGGLNQQRASICNAVAVAGFLNATLVIPNFHYHSIWRDPSKFSDIYDEEHFVQRLKNDVRVVDKVPEFIMERFGHNLSNVFNFKIKAWSSIRYYKEAVLPKLIEERLIRISPFANRLSFDAPSAVQRLRCLANFEALKFSKPITTLSDILVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGEKEKKELDAARERGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKSEKNMAPLLEMFPLLQTKETLASDEELAPFKTIKPDKRRLAVLFDSPRIGWKSLKRQLVNMRTHSDAKGIEMKRANESIYTFPCPDCMCRSNKSEHSKSIQAR >OGLUM01G40770.1 pep chromosome:ALNU02000000:1:38766464:38767488:1 gene:OGLUM01G40770 transcript:OGLUM01G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGVAPNEVTYTALMHGYFIHGQRENGLSLFEEMRIEEGWSRAKPLHLKLLDRRVAWQAVGYRQAIGNDAEGQYSSEINIITYSLLVDGYGKAGKMSNALHFFSLMKAASFQPRRELIQGSEVDHGNEAEGFGSKFRKEAEALLDDMVRAGLQTSEPICQFTECQGKIERFY >OGLUM01G40780.1 pep chromosome:ALNU02000000:1:38770736:38777816:1 gene:OGLUM01G40780 transcript:OGLUM01G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIAECFPYRTEVQCLHRWQKVLNPELIKGPWTQEEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNLVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQPLTSREASVVDGSAVTLVAQGLESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAEHAVQLENLTKNFAHTTNLDVT >OGLUM01G40780.2 pep chromosome:ALNU02000000:1:38771840:38777816:1 gene:OGLUM01G40780 transcript:OGLUM01G40780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAMVEQEGCVENRQPLAASSSSVSDGSSYGGGGGGLAQMSPPVSSSANSISGLRRTSGPIRRAKGGWTPEEDETLRKAVEAYKGRNWKKIEQRYNACIDGKRFLILNLSKEDDQIIDLVKKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPEIRKDAWTTEEEQALINAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLRKKQDMYNTSNNLVVPKLLVHDKFKDKPKLMAMEGHLDLNKAPIINSKDQPGTAHRSNCSGFLSRSSLPTAQPLTSREASVVDGSAVTLVAQGLESDSVRGKGLEIDSVHEKGLEVNSAPDHTGNSWTIQLEAAPSKGEAELSLKNEARSLGPLCYQIPNMEDVVPVSSSLFSDHLTGNHTSEHCGDDILSPAGCTTPPPTKGKLTSQLSVDSILKSAANSFPGTPSILKRRKRDKSTPVSASEMKISGSNTDRFYTPMGMEPATATPESFKTTSFLSLGSLDGSVKSFDVSPQYRARSKRMALTKTVEKQLDFSSDGLDTCGSEILNSSCNNSQSTLSITEAPKLKEKEHAEHAVQLENLTKNFAHTTNLDVT >OGLUM01G40790.1 pep chromosome:ALNU02000000:1:38778460:38781888:1 gene:OGLUM01G40790 transcript:OGLUM01G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKFFVGGNWKCNGTGEDVKKIVTVLNEAEVPSEDVVEVVVSPPFVFLPQVKGLLRPDFSVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALMGESSDFVADKVAYALSQGIKVIACIGETLEQREAGTTMEVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLVTNVSPAVAESTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFVDIIKSATVKSSA >OGLUM01G40800.1 pep chromosome:ALNU02000000:1:38784597:38786461:1 gene:OGLUM01G40800 transcript:OGLUM01G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT3G55470) TAIR;Acc:AT3G55470] MAGSGVLEVHLVDAKGLTGNDFLGEIGKIDPYVVVQYRSQERKSSVARDQGKNPSWNEVFKFQINSTAATGQHKLFLRLMDHDTFSRDDFLGEATINVTDLISLGMEHGTWEMSESKHRVVLADKTYHGEIRVSLTFTASAKAQDHAEQVGGWAHSFRQ >OGLUM01G40810.1 pep chromosome:ALNU02000000:1:38788363:38795215:-1 gene:OGLUM01G40810 transcript:OGLUM01G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT5G02250) TAIR;Acc:AT5G02250] MAVRAAGGCSTAAAATLAFFRLRPLGRAVRPDSARAGWHFSLYGGCRGRQVHGLVDSVLEELRSQRRGRVSAKIGLQGTKELSDNKIDKRTLQKGLLLEFQKDSERFLLAVVERPDGKKNWKVTDQNGILSSIKPQQVTYVIPGIINYNHSRIDEFIKKAQDLLDPTVLECAWMELSENDKSVTVEEFAEIVYGSKESLESYCAHFLLSRDIVYFVKVESRDSSVYQPRPPAQVEELLRRKLAKEAAEKEMEEFVQLIKSAKALPLDAKPSKDSWLMEEKVKRKIESLQAYAVDACDDEQRRTAGNILKAMGFSKTSSAALKILINIGYFPVHVNLDLYRYDVRIRYTEEVLSAAEELLVDCPDSDKDIRKDLSTLKVYAIDVDEADEACFCSFCHCIYPKRLLDDALSAARLPDGRIKVWIHVADPTSLVQPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGRGCKSVTVSVILQPDGSKIVENYICVVHSIAEYSIENSIIKPTYMLTYESATELLYMNLEEEEELRILQEAASLRAQWRRSQGSIDTAMIEPRIKVANPDDPEPNINLYVEDQSNPAMQLVSEMMILCGEAVAAFGSDNNIALPYRGHPQSNTAVSAFTHLPEGPTRSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQIKAFLRGESPPYSAGDLEGMTFIASMHVKVARRLHSNNLRYWLLEYLRRQQKGKKYKALILKFIKDRLATLLVIEVGIQATAVVSTGKVGDEVSVVVEAAHPRDDILSVTEITEV >OGLUM01G40820.1 pep chromosome:ALNU02000000:1:38807012:38811997:1 gene:OGLUM01G40820 transcript:OGLUM01G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLICLQLHAAITPIWLESPSCSIRQTVKVSTTTTNVPVINNPVYGHGPPPAHSSRPRRPLALVPRTFPTAQPLSVNPPASHLRGRGPGAGKVSTLRLRERIASVLIVGERLGPGQPAPLENTGPPPFPSPLGSLISPFVSLVSLPPQQMVMDAGVHDVCTMLPGSKRDAHLPLPIYPQIAAANGFATAEEFDPLLFLSPDAVCGGGGGDYLNIVSAQPISAASTNGASPPRDVSVSASAASSAAAQHDDSEAFSDIVLGYINRMLMAEDIDEKFEHYPVNADDLLAAEKPFLEILADQSPYSGGSSVESPDGSSAANSCNSLSPCNCSSSSDGLGAVPQTPVLEFPTAAFSQTPQLYGDLIPTGGMVESGGAWPYDPTEFYQLQTKPNQQALQFRRGFQEASKFLPDESKLVIDVDKLYSGDEGSRFLGEVRQEKKLVKVKTETSDVESAGHRGKKHFYGDDLDAEEGRCSKHSAQGIDTDHLVRDLMDKVLLCNGETCSKGVKELREALQHDVAKHSGGGHGKGSSHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRSATELLKQIRQHAHANGDGDQRLAHCFANGLEARLAGTGSQIYKNYTITRLPCTDVLKAYQLYLAACPFKKISHYFANQTILNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSNRPGGPPKLRITGIDTPQPGFRPAERTEETGRYLSDYAQTFNVPFEFQAIASRFEAVRMEDLHIEEDEVLIVNCMFKFKNLMDESVVAESPRNMALKTIRKMNPHVFIHGVVNGSYNAPFFVTRFREALFHYSAIFDMLETNIPKDNEQRLLIESALFSREAINVISCEGLERMERPETYKQWQVRNQRAGFKQLPLNQDMMKRAREKVRCYHKDFIIDEDNRWLLQGWKGRILFALSTWKPDNRSSS >OGLUM01G40830.1 pep chromosome:ALNU02000000:1:38814977:38817653:-1 gene:OGLUM01G40830 transcript:OGLUM01G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH57] MAISSALPSPLLLAASLLLLIVQAQGITRHYEFNVQMANATRLCNTKSMVTVNGQCPGPELVAREGDRVVIRVTNNVAHNISLHWHGVRQVRTGWADGPAYITQCPIQTGQSYVYNFTVAGQRGTLWWHAHISWLRATVYGALVILPKLGVPYPFPAPHKEVPVIFGEWWNADTEEVVNQAVQTGGGPNVSDAFTINGLPGPLYNCSAQDTFKLKVKPGKTYMLRLINAALNEELFFAVANHTLTVVEVDAVYVKPFTVDTLVISPGQTTNVLLTAKPYYPGANFYMSAAPYSTARPGTFGNTTVAGILEYENPAMSPSAASFVKGLPLFKPTLPQLNDTDFVTNFTDKLRSLATPEYPAAVPQSVDKRFFFTVGLGTLPCPANMTCQGPNNTQMAASMNNVSFVLPARALLQSHFTGLSSGVYAPDFPVAPLSPFNYTGTPPNNTNVKTGTKLLVLRYNTSVELVMQDTSILGIESHPLHLHGFNFFVIGQGFGNYDAVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEAHTTWGLRMAWLVLDGSHPNQKLLPPPSDLPKC >OGLUM01G40840.1 pep chromosome:ALNU02000000:1:38822763:38825122:-1 gene:OGLUM01G40840 transcript:OGLUM01G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH58] MAISYLLRSSILAVAALLLFSVNLAKGDIREYQFDVQTTNVTRLCSSKSIVTVNGQFPGPTVFAREGDLVVIRVINHSPYNMSIHWHGIRQLRSGWADGPAYITQCPIQPGGSYVYKYTITGQRGTLWWHAHISWLRATVYGPIIILPKAGVPYPFPAPDKEVPVVFGEWWKADTEAVISQATQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVEAGKTYMLRLINAALNDELFFSIAGHTLTVVDVDAVYVKPFTVDTLLITPGQTTNVLLTTKPSYPGATFYMLAAPYSTAMSGTFDNTTVAGILEYEDPSSHSTAAFNKNLPVLRPTLPQINDTSFVSNYTAKLRSLATAEYPANVPQQVDTRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPSTALLQSHYTGRSNGVYASNFPAMPLSPFNYTGTPPNNTNVSNGTRLVVLPYGASVELVMQGTSVLGAESHPFHLHGFNFFVVGQGFGNFDPVNDPAKYNLVDPVERNTVGVPAAGWVAIRFLADNPGVWFMHCHLEVHVSWGLKMAWVVQDGSLPNQKILPPPSDLPKC >OGLUM01G40850.1 pep chromosome:ALNU02000000:1:38827822:38832879:-1 gene:OGLUM01G40850 transcript:OGLUM01G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSLSRALVRSARSSRQRQGSLLGGHGGLRASSPPLPCGELGFLRSYVTSVIGNRAAVATGAGKGGDWRFLLASRQFRRLFSDKSKKNHGKHSEEENKGKGDESDKSDSKKQSSSGDQWNFEESIKQFKDMIAPLFLFGLLLLSASASSSEQEISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSPSIDRIQDSDIHITTSHLPGIESPSSYKYYFNIGSVDSFEEKLQEAQKALEIDPHYYVPITYTTEAKWFEEVMKYVPTVLIIGLIYLLGKRIQNGFTVGGGPGKGGRSIFSIGKVQVTKLDKNSKNKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCSPSIVFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEGTLITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLQVTIVPRGTAALGFAQYVPNDNLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFEMSKPYSSQTASIIDTEVREWVAKAYEKTVELIKQHKDQVAQIAELLLEKEVLHQDDLVQVLGERPFKTLEPTNYDRFKQRFQDEDSNRNAELSNADGASSLGEAVAS >OGLUM01G40860.1 pep chromosome:ALNU02000000:1:38833772:38847652:-1 gene:OGLUM01G40860 transcript:OGLUM01G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADGYKWRKYGQKSIKNNPHPRSYYKCTSSRCSAKKHVEKSTDDPEMLIVTYEGSHHHGPQPLFPPHIAQPPPPTSVVGFSAASGAGPPPSSPAAAARKRKNYVRAAFSPTTSEDDGDGAGRLRPEWPQDDGTSCDVAELRRRGDAEHAAPRRVATDRSCDDGGGGGSTSASSSVARADAATALSSDSPPTIWSCLDWPWSQETLFL >OGLUM01G40870.1 pep chromosome:ALNU02000000:1:38848333:38887286:1 gene:OGLUM01G40870 transcript:OGLUM01G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLRLIPTPATVPRPHGVVVVKRETLYQPVSGESPPGANLQLGSRLLRLEAHMQFSPHIDDSFYESRSRSSFTTVTHNALEDNP >OGLUM01G40880.1 pep chromosome:ALNU02000000:1:38876663:38885018:-1 gene:OGLUM01G40880 transcript:OGLUM01G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAACEADAAEAVVAGHLVDAKAPSTTITGLSSGEGGPDHIIPRVILISCLFLVFSPPLLLRNSCEPLALLWTIAFLISAYLFFLISTLSRTIRPSTVFLHISYGVLLADAAGTVAGPSVGFAVMHLATGWTAGLLGYAYADHLQRIGTETAAMRVDPPTFLTEEEETSFKTDRGGRAAGFEFSDMLIGLLRRALELQDGHSSAAGESPTATTTSDD >OGLUM01G40890.1 pep chromosome:ALNU02000000:1:38886681:38886980:-1 gene:OGLUM01G40890 transcript:OGLUM01G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSLFPSSHQSFRLPHPLPDLLLSTAPDDAAADAVLAHLADRGYGRDLRLVAELRIERTDSAVAFFAPCAAASGGKNRQCLVPPSSAGHRSTIAFLA >OGLUM01G40900.1 pep chromosome:ALNU02000000:1:38909594:38913209:-1 gene:OGLUM01G40900 transcript:OGLUM01G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAADGEADAEAVDVHLADAKTPPTITSDDKTPSTTVAGDKITVTGAETEDLVRGEAIYDTSDVASDSSFPEGPSGVRPPPARERDSVDDDRSGSAMIRSGGPRATSLSDEGSDLIGARVCFISILFLACSPPLLRRRHSGEPLAHLWTIALLMSAYLFFLISILSRTMRPTTVFVHISYGVLLAISAGTFAGPIAGFAVMHLATGWTAGLLGYAFAEHLQHIGKEKTAMRMAPPTFSTEEEKSSFEIHRSGVVTFFAVFSMLVATAMALLVKVPPRDLSLLVINLSVLEGTAIYCWAVFVAKFALFEALVTVDQLGYMMFYIGAYLLVSFLVCLMSYLVLAGDAIVGAMFFWFLMMAMAGLIGYMLSVRAQYNQMMAIAADGEADAEVDAKTPSTITGEKTPSIVTGDETEDFLRGDAIDDTTHVASDSSSPDDNPSDIRPPSRDSDGDHSGSATISPASRSGRGRRVTTVYNEGSNRIRARIILISVPFLFLVCSPPLLRRHSGDPLALLWTIALLMCTHLFFLISSLSRTMRPSTVFFRVSYGVLVALAADTFAGPDAGFAVMHLATGWTAGLLGYAYAEHLQHIGKETTAKNMAAPTFLTEEEKSSFKVHRRSVAAFFTLLSLAVATAGALLVKMPPPALSLLVTILSILEGIAIYCWAIFTAKFLLFEAFVSVHQLGYMLCYIGPYLLLSSILCVPLSCLVLAGDAIGAMFFWFVMMAIAGLLGYMLSVRVQYNKMVLPRLPVEQSRDEDGLQQVWS >OGLUM01G40910.1 pep chromosome:ALNU02000000:1:38915096:38921656:1 gene:OGLUM01G40910 transcript:OGLUM01G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial Rho GTPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH65] MALAAAPANLVGKQSLRVVVIGDPGTGKSSLVVSAATERFPGDVPRVLPPTRLPVDYFADRIPITIVDTSSSPEQRSKLIAECQSADVVVLTYACDQPATLERLSSFWFPELRRLQLEAPVIVVGCKLDLRGEEQGTLDAVMAPIMVAFREIETCIECSALRQILVYEVFYYAQKAVLYPTAPLFDQEAQMLKLRCMRALKRIFILCDHDRDGAFSDVELNDFQVICFNAPLQPNEIIGVKRTIQEKLTEGVNENGLTLTGFLFLHTLIIGNGKLETTWTVLRKFGYDNELKLRDDLIPAIKRAPDQTLELTSQVIDFLRGIFNMFDTDNDGALQSAEINDLFSTAPENPWSSHLYENCAENNVLGGLSFEGFISKWTLMTLIHPSNSFANLIYVGYPGDFDSAFTTTRKRRVDRKKKQTQRNVFQCYVFGPRHAGKTALLQSFLKRQPSDAPPVNGEQFAANTVELPDGTRKTLVMREISEGDVGPLLSDKESLAPCDVAVIVYDSGDEVSWQRARELLVQVATRGKNTGYEVPCLIVAAKDDLDQSPLALQDSTRVSHDMGIETPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTHRQYRQLLNRSLTVVSVGAAVAVVGVAAYRVYAARKNASS >OGLUM01G40920.1 pep chromosome:ALNU02000000:1:38942126:38942356:1 gene:OGLUM01G40920 transcript:OGLUM01G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVKPCRLYKKRSSSSGGGKVAMCVRSGGDGGAGKSRPSFTCRCVRLVKEQRARFYIMRRCVTMLVCWHEYQ >OGLUM01G40930.1 pep chromosome:ALNU02000000:1:38943562:38947005:1 gene:OGLUM01G40930 transcript:OGLUM01G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH68] MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >OGLUM01G40930.2 pep chromosome:ALNU02000000:1:38943562:38947005:1 gene:OGLUM01G40930 transcript:OGLUM01G40930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH68] MHSRRTPPPPPPTIHAQWPSQARRAQLRLPALHWLQHTSSHSSRIHRATRRRRSFSGRRRITHREFSPPKEDQGSLLEIKTVMSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >OGLUM01G40930.3 pep chromosome:ALNU02000000:1:38944390:38947005:1 gene:OGLUM01G40930 transcript:OGLUM01G40930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH68] MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >OGLUM01G40930.4 pep chromosome:ALNU02000000:1:38943562:38947005:1 gene:OGLUM01G40930 transcript:OGLUM01G40930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH68] MHSRRTPPPPPPTIHAQWPSQARRAQLRLPALHWLQHTSSHSSRIHRATRRRRSFSGRRRITHREFSPPKEDQGSLLEIKTVMSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVNG >OGLUM01G40930.5 pep chromosome:ALNU02000000:1:38944618:38947005:1 gene:OGLUM01G40930 transcript:OGLUM01G40930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH68] MSETIDLTGDRCILKTVIRRAKDDATAPSDSLPIVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEGTVIKAWDIAVKTMKVGEVAKITCKPEYAYGAAGSPPEIPPDATLTFEVELIACRPRKGSSVESVSEEKARLEELKKQREIAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >OGLUM01G40940.1 pep chromosome:ALNU02000000:1:38947986:38950957:-1 gene:OGLUM01G40940 transcript:OGLUM01G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH74] MEGVRNVPIISLPVLIIVVSARDDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >OGLUM01G40940.2 pep chromosome:ALNU02000000:1:38947986:38951537:-1 gene:OGLUM01G40940 transcript:OGLUM01G40940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH74] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >OGLUM01G40940.3 pep chromosome:ALNU02000000:1:38947986:38951537:-1 gene:OGLUM01G40940 transcript:OGLUM01G40940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH74] MAGRPGYVTVPILSVLAAIGYVYYTAVFLAIPAWLGLATAAGVANAVAFTALAAACVATYAVAVSRDPGRVPPAFLPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMVLTCSNSVEFNDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >OGLUM01G40940.4 pep chromosome:ALNU02000000:1:38947986:38950957:-1 gene:OGLUM01G40940 transcript:OGLUM01G40940.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH74] MEGGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMVLTCSNSVEFNDHHCIWINNCVGHENYKIFLVFVLYAVVASLYSLVLVIGGAVHSLPKNEQLGSDSSRTSIYHEGVRAMWLAEKAGNLYHHPYDLGVYENLVSVLGPNALCWLCPISRNTGNGIRFRTSYDIPLSTPPI >OGLUM01G40950.1 pep chromosome:ALNU02000000:1:38953459:38953740:1 gene:OGLUM01G40950 transcript:OGLUM01G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAEGLAAAAAAAAAAASAASTGGGYACGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRGPPKNGYFQPPDLEALFALAPRRQASSCA >OGLUM01G40960.1 pep chromosome:ALNU02000000:1:38957297:38959800:1 gene:OGLUM01G40960 transcript:OGLUM01G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YH77] MGTPRGLRNAGSSSSACRFLAAFAVLLALPTLTAGLTRHYTFNVQMTNVTRLCVTKSIPTVNGQFPGPKLVVREGDRLVVKVHNHMNYNVSFHWHGILQLRNGWADGPSYITQCPIQGGGSYVYDFTVTGQRGTLWWHAHFSWLRVHLYGPLVILPKRGEGFPFPRPYKELPPIMFGEWFNADTEAVINQALQTGAGPNISDAYTFNGLPGPTYNCSSKDTYKVKVQPGRTYLLRLINSALNDELFFGIANHTLTVVEADANYVKPFTAKTLVISPGQTMNLLLTTAPNPGSPAYAMAIAPYTNTQGTFDNTTAVAVLEYAPTRASATGNNNLPLPPLPRYNDTNAVANFSSKFRSLATARYPARVPRAVDRHVLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFVRPRVALLEAHYQRRYAGVLMANFPTAPPHPFNYTGTPPNNTFVTHGTRVVPLAFNTSVELVLQGTSIQGAESHPLHMHGFNFFVVGQGFGNYDPVNDPANYNLVDPVERNTVSVPTGGWVAVRFLADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPSQKMLPPPSDLPKC >OGLUM01G40970.1 pep chromosome:ALNU02000000:1:38966291:38967598:-1 gene:OGLUM01G40970 transcript:OGLUM01G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPALPVCLLLLLLLLLAVPRPTAAAAAAAATRPLLFELRARQVPAGALRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGGGGGGGGRSALSFRPRASLTFASVPCGSAQCRSRDLPSPPACDGASKQCRVSLSYADGSSSDGALATEVFTVGQGPPLRAAFGCMATAFDTSPDGVATAGLLGMNRGALSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPAMPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFSRQTKPWLPALNDPNFAFQEAFDTCFRVPQGRAPPARLPAVTLLFNGAQMTVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPITAYVIGHHHQMNVWVEYDLERGRVGLAPIRCDVASERLGLML >OGLUM01G40980.1 pep chromosome:ALNU02000000:1:38972074:38972781:-1 gene:OGLUM01G40980 transcript:OGLUM01G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSTAGGIGMDASPIWCFMCSRLHRPDGLSTCPRRAPRAALEEIVEVMDAGEFLQACALRRAPVAAAVSSTRQQLPTVTVRDAGRTCAVCLDDLEPGGSAVVTPCDHAYHPQCIAPWLEAHDTCPLCRRESGLQVVEVEVQVDGMVLSSPDGLVLCELMMPGARSEYRLGRRVAGRIFAVRVVDGTGKLVRGGVLRRLGSACHRFAAAAGNLLSLRYRDCVIPNNDLLLGVQC >OGLUM01G40990.1 pep chromosome:ALNU02000000:1:38976829:38984798:1 gene:OGLUM01G40990 transcript:OGLUM01G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISASAVAMRGEMGGGGGGGDEGELEDELDALLGTGGAGTRRRGEDAGERERELNMFRSGSAPPTIEGSLNAISGLLRGGGEAAVTVAAIPDAETLNGHGGLLSEDELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVLPEETGHEPTVGRPVFSQNVGFDQEEAARNDVGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNLGRRTPASEHPSRAVSRNSFLDNQELLNSADSQYSMHNDILEAQRAVGNVQNVSGLPSINASASQTFASVLGSSISRNATPDSHYVPRVPSPGLPPVGVRINSNEKKLNCSSSFNTASSKAVEPDDILAAISNMNMSKDGTLGDSNSISQSKFQREISDHQNISLDPKALQVNKNQHSLMLEADTDYLGIPPISQPSNPSFSDINKNVSGLANIRNSTNTRIDGHAEMQRSSTLSTRSYQKSPTSSNASPGGSPAQHQNIDNINSAFLNYGLGGYPLSPGLPSMMMNCMGSGNMPPLFESAAAASAIASLGADSRNLGNNILSSPTLSLSDVHNLGRTGNQTATGLLSPLSDPFYVQYLKATQYAAQGTANCSDPSLERGFMGSQYGDLTAVQKAYIEALLQQQKQYGMPLGKSTTSNHGYYGNLAFGMGMAYPGSPLGSPVASPSGPGSPLRLSERNMRFPSNLRNLGGWNSDPSGYMNDNFPSSLLDEFKSNKARSFELAEIASHVVEFSADQYGSRFIQQKLETATVEEKDMVFKEIMPQALSLMTDVFGNYVVQKFFEHGSAAQRRELADQLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDSIQFIISTFYGQVVPLSTHPYGCRVIQRVLEHCTDPKTQEIVMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPAEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLSALKKYTYGKHIVARVEKLVAAGERRIGLQAQYPS >OGLUM01G41000.1 pep chromosome:ALNU02000000:1:38984884:38987626:1 gene:OGLUM01G41000 transcript:OGLUM01G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARGGRPHDADAPLPSSSSSSSSSSSSSSSPSRRMVDAAAASGDACGRVTEWETGLPAPGEMTPVSHQLVPPALAAAFGIDLAAVGVLLPSPSVDSPVSHLFFPVDEDDDEDEEGEGEGGNDDAPAAAAAGGGGGRCGKKARMVWTPELHHRFVEAVAHLGEKGAVPKAIVRLMNVDGLTRENVASHLQKYRLYLKRTRVAATPPPSPPPPPPPPPPLPPAMYVPCFAAKPPLDAANRSDSPPSRTSDATTKQNQVKPIKERAVSNAA >OGLUM01G41010.1 pep chromosome:ALNU02000000:1:38995092:38996507:-1 gene:OGLUM01G41010 transcript:OGLUM01G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMPVDLRLPSGPQAALGILAFEAAAAMSKLLSLHRSLSEQEVSRLRSDTMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGIDFGGVYASLKAGAPDARLDPLVAKGLRVKAKKMERLVASTARLCSEMEALDELEASERKLAFRGWNRLSGPIPMQPVAPSAAGDSPGADSLQQDLKAQRIKVRRLKEESLWNQSYEKAVGLMARAACAVFSRICTIFGAFVPGLPLPLPSAATDSVQTRLSKLLNPRAVRAKASSGPITRRDGPSRVHPPVISSSCPIIGLRPSGQKAAIDWRKLLDAPASTVGGAGLDQQYANVIVSAEQLLQMEAEGRQEEANAERAEMYEMLPAKLRAAVRSKLRDWWRDPGPLDAGLAQGWKDAVDRIMAWLGPMARDTVQWQAERNMDRTRRFDGGARVYALQTLRWADKEKAEAALVEVLVALSCVCWYEERRRGSVRLL >OGLUM01G41020.1 pep chromosome:ALNU02000000:1:39005574:39008347:1 gene:OGLUM01G41020 transcript:OGLUM01G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGKEALASNKDKERDEALSCREGDNLGFSCRLATVVESMVDRRYWWVWNLDDNWNLTGKVWWGGSVTLTTVGGGGAARITQSSRANCAACCGGRGNSETLTVIRVEA >OGLUM01G41030.1 pep chromosome:ALNU02000000:1:39008852:39009206:1 gene:OGLUM01G41030 transcript:OGLUM01G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCDSGGKTRRRPERRRQELAPATRGGGYDGWFRKGKGRVNWENEFASSRQTRWCKGFARNTPVREIDDGRWWFDMRRERETELTARLWKKRGGFTGYL >OGLUM01G41040.1 pep chromosome:ALNU02000000:1:39032949:39034776:-1 gene:OGLUM01G41040 transcript:OGLUM01G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWWRRGWLRLLLHYAENPMRTRGAGSICCSSRLVLGDFQLGGFPHRIAALSDWCLSHIAFCFGGREEGIFASLSYFSLSIRSPGFITGMPVQFSCGLQLASLPHIASSFGGREGGIFAFVSYCSLRNDMEPSRFVRICPRKRPHGTW >OGLUM01G41040.2 pep chromosome:ALNU02000000:1:39032949:39034776:-1 gene:OGLUM01G41040 transcript:OGLUM01G41040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWWRRGWLRLLLHYAENPMRTRGGCGLQLASLPHIASSFGGREGGIFAFVSYCSLRNDMEPSRFVRICPRKRPHGTW >OGLUM01G41040.3 pep chromosome:ALNU02000000:1:39033844:39034776:-1 gene:OGLUM01G41040 transcript:OGLUM01G41040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWWRRGWLRLLLHYAENPMRTRGAGSICCSSRLVLGDFQLGGFPHRIVSCGPTVPAVLALDFPIASSRFPHCSADVVPAILVLTVFSLFYAFQAALSDWCLSHIAFCFGGREEGIFASLSYFSLRLRAPIGVSPTHRIFFWGEGGGHLCLRQLLLAKVFSTRSHCFITGMAIEFITYVWSCGIWIN >OGLUM01G41050.1 pep chromosome:ALNU02000000:1:39038730:39042728:1 gene:OGLUM01G41050 transcript:OGLUM01G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTSSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKPDRPDELERIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERTDDDECLILASDGLWDVVTNEMACEVVRACFHNNGPPAPAARPSGVPSSAEAAETENGGAASVKGISKAESSDKACSDAAMLLTKLALARRSADNVSVVVVDLRRGL >OGLUM01G41050.2 pep chromosome:ALNU02000000:1:39038730:39042728:1 gene:OGLUM01G41050 transcript:OGLUM01G41050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEEAMSPPATATAAVAAAVSASAAAAVSSAIDRRRRRMEMRRIRIASDLELQAGEDGRPGKRQRLARTASGAPRPDEDSASERPSCGRTEEFPRYGVTAVCGRRREMEDAVSIRPDFLPASGKFHFYGVFDGHGCSHVATTCQDRMHEIVAEEHNKGASGEVAPWRDVMEKSFARMDGEVGNRASTSSDDEPACPCEQQTPSRRDHAGSTAVVAVVSPTQVVVANAGDSRAVISRAGVPVALSVDHKVRICSHHRAG >OGLUM01G41060.1 pep chromosome:ALNU02000000:1:39048518:39054671:1 gene:OGLUM01G41060 transcript:OGLUM01G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYSNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEEMTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSIGTLSGDVISTYTGQFRRIEENPSWMARSYSLFVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYHALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHRVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >OGLUM01G41060.2 pep chromosome:ALNU02000000:1:39049956:39054671:1 gene:OGLUM01G41060 transcript:OGLUM01G41060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCILMDFSMTEHINYDVVEQCVKNILSLIKPVEDDRRKRLSAIQELSNSIPKVAALRGAVFKPFGSFVSNLYSNSGDLDISVQLPNNSIISKKKKQYVLRELMRVLQNRGVAGYVQFIPFARVPVLQYVSNTFGISCDISVNNYPGRIKSKIFCWISSLDVRFGDMVLLIKEWAKAQNINDPKTGTLNSYSLCLLVLFHFQTCEPAILPPLKEIYEGNIEEGIAEMTVYDEEHLDEVCSENLAKFQSKNKERRNESSLCHLLATFFHKFSSIGTLSGDVISTYTGQFRRIEENPSWMARSYSLFVEDPIERPDNAARAVGLKGLERIAGAFTAANRKFASLQHAKRNDLLEMLCTPAVGSKLGIRVSQEVMANSCTNTPRRNRHHGRPTEQVSARPSDNQNHRGAREFTVGRQVHNPPRARQSTGAYHALNSQHVIPALSQPAGIYQTVGPYQSNGHPQVYTRDVQTVGHQNYRHPMGHTTQLQTVEPYQSNNSQPQVHATSIQAPRQYQNYTRQRPARNYNHRVLHATATETTARNYNHQLLHAAATETTARNYNHQSLHATATETEGSYRNQQQRQHTSGRQTNRNAVNTRYVPVVGRPQNVACESRAQDSSGRGTWQQREPRAGSTYQNR >OGLUM01G41070.1 pep chromosome:ALNU02000000:1:39055214:39061063:1 gene:OGLUM01G41070 transcript:OGLUM01G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWRVPNYDVLEKCTEDILSLIKPVEGDRNKRIYAIQELADTIYSAGALRGASVKPFGSFVSQLYAKSGDLDVSVELFNALNLPISKRKKQDTLREVRRALQKRGIARHMEFIPNARVPVLQYVSNQYGISCDISISNYPGRIKSKIFYWINTLDDRFGDMVLLTCEPAILPPLKEIYEGNIMEDISGRAYYNEKHLDEVCSINIERFRRQNMGQRNQSSLSHLLASFFHKFFRIDALSDKVISTYTGRLERIQDNPRWMDKSYSLFVEDPFEKPDNAARAVGSFEFQDIVNAFSNASNKFVSDAHALTDRNGLLSLLCTPDVGSKLGGRASASRYTNTLAYNTQRQKTVHHQNQNNQQVYAAGRQTGGQYQNTQRSKENTSYRHSNWRAATTWHEPVCGRFYNGEWQ >OGLUM01G41080.1 pep chromosome:ALNU02000000:1:39064658:39070028:-1 gene:OGLUM01G41080 transcript:OGLUM01G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77260) TAIR;Acc:AT1G77260] MKPLGAHGADLLCGPRVLRAAALAFACAAAFLVGYHWSDTSPRLLFFSSTASSSSSPLLSTGSPSVAVSPNANLSFDPSLIPTPAASAPPASPTANASPPPSLPPPPPPLRPPPPPARLGIVGEDGAMRDDFDVGGGGANDTDLAATDEALPQEPTDAGPAVGSRVRIGRFPVCPESMREYIPCLDNEEEIRRLPSTERGERFERHCPAKDKGLSCLVPAPKGYKAPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWISKAKDKFRFPGGGTQFIHGANQYLDQISQMVPDIAFGSHTRVALDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMAAAFATHRLLYPSQAFDLIHCSRCRINWTHDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPGVKPALCDPDDNPDDVWYVNLKACISRLPENGDGPTPFPWPARLMEPPKRLEGVEMDAHSSKKELFKAETKFWDDIVEGYIRVFKWRKFKLRNVLDMRAGFGGFAAALINRKLDCWVMNVVPVSEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFSLFSKEQKRCNISTILLEMDRILRPGGRAYIRDLKQVVQDAKEITTAMGWRSIMRDTAEGPYASRKVLMCDKPMVR >OGLUM01G41090.1 pep chromosome:ALNU02000000:1:39075715:39081516:1 gene:OGLUM01G41090 transcript:OGLUM01G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQGNSITVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >OGLUM01G41090.2 pep chromosome:ALNU02000000:1:39075715:39081516:1 gene:OGLUM01G41090 transcript:OGLUM01G41090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRGGGGGGGVGVVGAGGEEQMEMEEDGAGLRARVAAGRKERVVLMWGYLPGVSPQRSPLLGPVPVRLPAAAGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEAFPLPSDVAIVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVISDQSSGGTLEKDERQSAIAADQVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSTKHAPESSTSSDENLSAPPCVVTFNTGVKIMAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCIESALYSKDRPAAMKGNKSAEAQISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTDDVLSPTCVSSILGVKMQDIGAGLWHTTVPKLVDASSLENKNARAVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDAYHPLNVSCGWWHTLVLAESPT >OGLUM01G41100.1 pep chromosome:ALNU02000000:1:39082770:39086603:-1 gene:OGLUM01G41100 transcript:OGLUM01G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit A [Source:Projected from Arabidopsis thaliana (AT1G17440) TAIR;Acc:AT1G17440] MADPPSAAATASPQPDQLAAAAVSTPQNPNPNPLLSPQIPPSPTVSDLSAISSPQLDPSAAGGGAMDYPPRPPQMQAPSPGQAAAGAGGFGQIHRSGSGSRLAAVGQLPQYAAAAARMYGSQVNFSGGGGQVGQQQQQQQQLAARAAMLSQGQIGMLQGQGNAASAAHYGLQSQMMAQPRQKGMVQGAQFNTANAAQALQGMQSMGVMGGMRGNGTIPYNQQRFAHAQAQLRPQQTSQQGTLSPQKVVGQGLTRTASIAALNPQLPGSSTNGPMAQMSLPQKQQQAAWLKQMQSSLGSPVSPQQFQHQQRMLLIHQLQQQSGLNQHQIAQTQQQHPHLNTQLLQQQHILQQLQQQQQSPRISASGSQKSMNLTGSQPGTPLSGGTMTGGSASQGAEVTNQLLGKRKIQDLVSQVDPLGKVDPEVEDLLLEIADDFIDSVTAFACTLAKHRKSSVLEAKDVLLHLEKNWHLSVPGFLREDKNPQRHPVKVSVDPQQPECDAAGIRSTGNKLVINNSVANHQTRPPVAEPSPMPTMGPLSKVPRF >OGLUM01G41110.1 pep chromosome:ALNU02000000:1:39088412:39088672:1 gene:OGLUM01G41110 transcript:OGLUM01G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIPFIFKAVAQYKEGGHVSLSDMISDKPSPASYVLLPGDSDGRHADDKTQPLRLQASTGSEEEVTTCTARASHLRCSTLRRRA >OGLUM01G41120.1 pep chromosome:ALNU02000000:1:39092522:39094968:1 gene:OGLUM01G41120 transcript:OGLUM01G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALKEVGVTEVVLAINYRPEVMLNFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLVDGSGEPFFVLNSDVISEYPFAELIKFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGMVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAKLFAMVLPGFWMDVGQPRDYITGLRLYLDSLRKRSANRLATGAHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVGDEVYTNGGVVLPHKEIKSSILKPEIVM >OGLUM01G41130.1 pep chromosome:ALNU02000000:1:39094015:39097819:-1 gene:OGLUM01G41130 transcript:OGLUM01G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHA0] MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAVYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLHSAVLLSLFVVGDWVTAILSFAASCSAAGVVVLFDRDIYACRNPQLPCGRFELAIACAFLSWAFSATSALFSECE >OGLUM01G41130.2 pep chromosome:ALNU02000000:1:39095396:39097819:-1 gene:OGLUM01G41130 transcript:OGLUM01G41130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHA0] MRELAGSPGTWSGLSLRVGQLVFAAASVCATASALGFAVYTAFCYLIASMGLQALWSLGLACLDCYALKFKKDLHSAVLLSLFVVGDWVTAILSFAASCSAAGVVVLFDRDIYACRNPQLPCGRFELAIACAFLSWAFSATSALVMFWLLASL >OGLUM01G41140.1 pep chromosome:ALNU02000000:1:39099761:39103851:1 gene:OGLUM01G41140 transcript:OGLUM01G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >OGLUM01G41140.2 pep chromosome:ALNU02000000:1:39099615:39103851:1 gene:OGLUM01G41140 transcript:OGLUM01G41140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGDAVYAAVKAGYRHIDCARMYKNENEVGIALKKLFEEGVVKREDLFITSKLWCDCHAPEDVPESLDKTLSDLQLEYLDLYLIHWPFRVKKGSGISNTEDYIPPDIPSTWGAMEKLYDSGKSRAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQSTGVHLSAYSPLGSPGSTWMNSNVLKESVIISIAEKLGKTPAQVALHWNIQMGHSVLPKSVTEERIKQNIDVYDWSIPEDLLVKFSEIKQVRLLRGDVIVNPHSVYKTHEELWDGEI >OGLUM01G41150.1 pep chromosome:ALNU02000000:1:39106972:39110815:1 gene:OGLUM01G41150 transcript:OGLUM01G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEFIGHSEPRRDPALANLKATYHLTSHLPGQQWRTRVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNSNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >OGLUM01G41150.2 pep chromosome:ALNU02000000:1:39106969:39110815:1 gene:OGLUM01G41150 transcript:OGLUM01G41150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCASAYNNEKEVGLALKKLFEEGVVKREDLFITSKLWCDHHAPEDVPEALDATLNDLQLEYLDLYLIHWPFRTKKGSSIGKPESYLPPDIPSTWAAMEKLYDSGKSRAIGVSNFSSKKLGDLLAAARVPPAVDQVECHPGWQQMKLHNFCQSTGIHLSAYSPLGSPGSTFMNSNVLKEPIIISIAEKLGKTPAQVALRWNIQMGHSVLPKSVSEERIKQNLDVYDWSIPEDLLAKFSEIKQVRLLRGNFIVNPQSVYKTHEELWDGEI >OGLUM01G41160.1 pep chromosome:ALNU02000000:1:39111316:39114154:1 gene:OGLUM01G41160 transcript:OGLUM01G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGTGVVADVVSAAVKAGYRHIDCAPLYKNEQEVIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHTSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVDLRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPEELLSKSEEMDLFTPRVSTKPTRSFLMEKSKRMEITYVKQNVPLL >OGLUM01G41160.2 pep chromosome:ALNU02000000:1:39111316:39113669:1 gene:OGLUM01G41160 transcript:OGLUM01G41160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHFTLNTGARIPSVGLGTYKAGTGVVADVVSAAVKAGYRHIDCAPLYKNEQEVIGGALKKLFDDGVVKREDLFITSKIWCSDLAPEDVPLAMDSTLKDLQLDYVDLYLIKKGTELSPENFVKPDIPSTWRAMEQLYDSGKARAIGVSNFSSKKLGDLLCVARVPPAVDQVECHPGWQQAKLRAFCHTSGVHLSAYAPLGRMKGIAVDSVLPSVAEMLGRTPAQVDLRWGLQQGQSVLPKSVSEARLKENMDLFGWSIPEELGDGFVHPESVYKTYEELFDGEI >OGLUM01G41170.1 pep chromosome:ALNU02000000:1:39113177:39116410:-1 gene:OGLUM01G41170 transcript:OGLUM01G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHA7] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSIFAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLATKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEELGTQFLRNRPTKQIHVLLQPRLTDALGKHTLTLLKTPAQINLSRSSS >OGLUM01G41170.2 pep chromosome:ALNU02000000:1:39114204:39116410:-1 gene:OGLUM01G41170 transcript:OGLUM01G41170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHA7] MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLRKPVSIFAAFSTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLATKMRPPLRPVIRGRPSSKRSIHICGRPRWVFVVLFSVVSCFLWMTSCSLLTVLWALIVGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEF >OGLUM01G41180.1 pep chromosome:ALNU02000000:1:39124424:39137006:1 gene:OGLUM01G41180 transcript:OGLUM01G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGIGGAGLVAAVAKADVENTDSTRGFVKDVKRIIIKVGTAVVTGPNGRLAMGRLGALCEQVKQLNFEGYEVILVTSGAVGVGRQRLKYRKLVNSSFADLQNPQMDMDGKACAAVGQSVLMAIYDTLFSQLDVTSSQLLVTDRDFMDPSFGNQLRETVNSLLDLKVIPVFNENDAISTRRQPYEDSSGIFWDNDSLARLLAQELKADLLIMLSDVEGLYSGPPSDPQSKIIHTYVHEQHGKLISFGEKSRVGRGGMQAKVAAAFTASSKGIPVVIASGFAIDSIIKVMRGEKIGTLFHREANQWGCSKEATAREMAVAARDCSRHLQKLSSEERKKILLDIADALEANEDLITSENQADLDLAQDIGYDKSLVARMTIKPGKIKSLAGSIREIADMEDPISHTLKRTEVAKDLVFEKTYCPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITGAIPDVVGKKLIGLVKNKDEIADLLKKYSVQLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLVHKDLNRTEGLDDLLVELEKEGVVIYGGPVAHDTLKLPKVDSFHHEYNSMACTLEFVDDVQSAIDHINRYGSAHTDCIITTDGKAAETFLQQVDSAAVFHNASTRFCDGARFGLGAEVKWLVKELL >OGLUM01G41190.1 pep chromosome:ALNU02000000:1:39134579:39136561:-1 gene:OGLUM01G41190 transcript:OGLUM01G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46790) TAIR;Acc:AT3G46790] MSAPAPASPPASLFHLSRPAAGPRARPRVSRSRCVASLASQPPTPPPANANHLVQTLCASGRLARAAALLHGLPAPTQRTYESVLLAAARAGDAALAAAVHRRLEADPVFRSDPFLSTRLIDAYASLGELPAARQVFDEAPVKSIFVWNALLKALALADHGEEALARLADMGRLRVPVDSYSYAHGLKACIAASTSHVPASALVREIHAHAVRRGYGLHTHVATTLIDCYAKLGSVSYAESVFATMPERNVVSWTAMIGCYAKNERPGDAILLFQEMVASDENLVPNSVTIVCILHAFAGVNAHGQGKLLHAYILRRGFDSLVSVLNALMAMYMKCGCLEAGRHIFDLIGHRKDVVSWNTLISGYGMHGFGHEAVQVFEDMTQMGVSPNIITFISVLGACSHAGLVDEGKRLFESMVDYNVTPRAEHYACMVDLLGRAGHLDEAVELIQGMHIRPSPQVWGSLLGACRIHRHVEYAEMACSQLFDLEPRNAGNYVLLADIYARAKLHSEVGVLKDLLEEHALEKVPGCSWIEVKKRLHMFVSVDNKNPQIEELQALIGEFVTQMKNDGYVPDTGAVLYDIEEEEKEKILLGHSEKLAVAFGLINTGRGEVIRITKNLRLCEDCHSVTKFISKYAEREIIVRDVNRFHHFRDGICSCGDYW >OGLUM01G41200.1 pep chromosome:ALNU02000000:1:39139350:39143243:-1 gene:OGLUM01G41200 transcript:OGLUM01G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGYGGGQGGGAEHHHHHHGHAGHLLLHHHPQHVAGVAVAAAAAAAGGQMYHVPQHSRREKLRFPPDAGDSPPPHGHGHGHAPQQQQQYGSWPPPPAFYSYASSSSSYSPHSPTLAQAQLVAHGLAPPLPQIPTQNFSLSLSSASSNPPPPQAQPRRQLGGLAQATGPFGPFTGYAAVLGRSRFLGPAEKLFEEICDVGGAASHVDRTISDEGLLDADPMDGVDHDVVDHDLGGADRAAADAGPISGAEQQWKKTKLISMMEEVCKRYRQYYQQVQAVMASFETVAGFSNAAPFAALALRAMAKHFKCLKSMILNQLRNTSNKVAVKDGLNKEIAVFGLAGGSSGGAGLQRGNSASAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQMHKHSVVDKGQHSVHHQAQHSSQCSGNPSVPSDSHPGQSSSITRNHNTAASQGFPDELSQMSQSIQGQVSFAYNGLTSQHNIASPHHQHQQVGGVGIGGGNGGVSLTLGLHQNNRVCIAEPLPAALPANLAHRFGLEEVSDAYVMSSFGGQDRHFGKEIGGHLLHDFVG >OGLUM01G41210.1 pep chromosome:ALNU02000000:1:39164386:39165596:-1 gene:OGLUM01G41210 transcript:OGLUM01G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIASADSRPTGGASAGHGSRASAGSSSTGRSTAGRSSATMCSSSYGGSTVAAHAGGKGERGRRIYARERGRFGDIGGEIAAGGTARRSHASAMRHALVSPRRAVPIAPKNRWPIWLLPASVDVVRWRRRPHLRLSTSPPSPASADAATVVRLRLSASPLSSAYICRRRHRQMHLSAPSPSPASVGAAAVVRLRPPTPPPSPASAGVLIPLWPPPSPSCRRHRLHPLPWLSSTAAAAVVVAASAATVALVYPGRRRCHRLHPQPWLSSIAAAAVVVAAFAAAVTIIHPGHCHHVVPITCFSLGRGPHQPRPWPRRRGR >OGLUM01G41220.1 pep chromosome:ALNU02000000:1:39166849:39168608:-1 gene:OGLUM01G41220 transcript:OGLUM01G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHFVCLHDIAVMIPVIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVFDITKRQTFDNVQRWLRELRDHADANIVVMMVGNKSDLNHLRSVPEEDGHAFSEKEGLSFLETSALEAINVEKAFHTVLSEIHQIVSKKALAAQESASTNGRSMQGTTINVAEAPAATKELQNQIG >OGLUM01G41230.1 pep chromosome:ALNU02000000:1:39177372:39181986:1 gene:OGLUM01G41230 transcript:OGLUM01G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNPLPQQESEPHVEGNKSPAPTSIADDATNTTEDDSALRALLYPQSLEAKGKEDIYSIFYKGQNGTAQAGTADGKSQWTPPKSRTVYTKDNKQSNQYDSVDTSCFGSSVNYGGRDYYGISGHKQSTESNDYKADKKDPSTDSHGDWWQGSFYY >OGLUM01G41240.1 pep chromosome:ALNU02000000:1:39181635:39182962:-1 gene:OGLUM01G41240 transcript:OGLUM01G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGRCVWFLLVVAVVVAAGVETVRGAGECGRVPVDQVALKLAPCAAATQNPRAAVLPNCCAQFAKFFEIQRVHVYNASDLHFSSLLGSGAKIREYKEHILRSKRARPN >OGLUM01G41250.1 pep chromosome:ALNU02000000:1:39183807:39187942:-1 gene:OGLUM01G41250 transcript:OGLUM01G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEEEREARSEAAFTDSADGSSSSSDAASADEWPVTLAAPPRKTAACGRVPGAEVVDSSKPHAQKRRAPSSEMEMMKERFAKLLLGEDMSGSGKGVCTALAIANAITNLCATIFGQLWRLEPLPPEKKAMWRREMGWLLCVSDHIVELVMTSRPRSDLYINLPALRKLDHMLIEILDSFRDPEFWYVEQGICAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLRDKARKQLQHKRDCANQILKAALAINSNALAEMEVPESYLESLPKNGRATLGDIIYRYITSDHFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRRIAAKPASVLGRATSGRSSWGMVKDMIIDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNRDVGKSILESYSRVLESLASNIVARIDDLLNIDELNRHAEHFPQGDADCRIACNKAAVPPYQVPASGTPFVTAYATPSFSPAQLASPSKKERSPLGAGRRSYSNRGFGAKKALAIDLVNPEVMGVIISGGKMIDVSTTTEL >OGLUM01G41260.1 pep chromosome:ALNU02000000:1:39194379:39194585:1 gene:OGLUM01G41260 transcript:OGLUM01G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF 3339) [Source:Projected from Arabidopsis thaliana (AT5G40960) TAIR;Acc:AT5G40960] MKDWAPAIIASALFALLCPGGILQMPGRNRPVDFMNMKTSLASIVVHAVIYGLLLMLFLVILEAHLYI >OGLUM01G41270.1 pep chromosome:ALNU02000000:1:39194662:39196255:-1 gene:OGLUM01G41270 transcript:OGLUM01G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAGGERRIGVAMDFSPSSKKALQWAADNLLRKGDTLVLLHIRHHGRDEAKNVLWSHTGSPLIPLEELMETAVRQRYDIPSDEEVFDMLNAVSREKELSVVLKMYWGEPREKVCEAVGELNLESLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKAKIKGSRRPQRLSGPEVI >OGLUM01G41280.1 pep chromosome:ALNU02000000:1:39196540:39199527:1 gene:OGLUM01G41280 transcript:OGLUM01G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKAPGDQTRGALAGHAVRRSQGRGFGYGHPLPSVVGLPVAEAKRRIKQCRPDVYIEVLSEKLGCDIGEVAFHILNYFGSYRPIIGF >OGLUM01G41290.1 pep chromosome:ALNU02000000:1:39200679:39201924:1 gene:OGLUM01G41290 transcript:OGLUM01G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSASRFGITHRSSERRRAGAERQWRLGGYRLGAGTAGRRGCASRPGLGHAGDSARVAARGTVVAVGRLGLGSSGGKGGEIARERGEEITVSNNQNNATEIETSTGSRLPGRKRLLWSPIIFGVTTVKDCSVQYATRSAFSKCRGIIKAPEDEMTAYFQHGLVHAKRWIGYRFAVSSGRFGGLAGDCGTSRVQGASGFRKQLDLTTNFATQSVIESTVSAGATAGRSTKMEYSKLDLVQEWRFRLNSHLTATRGFTFS >OGLUM01G41300.1 pep chromosome:ALNU02000000:1:39214878:39217617:1 gene:OGLUM01G41300 transcript:OGLUM01G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCTPGAAEAELWLPDEFLDDDFFTVEEKAAVAAKSESDEEDGLDGLARRMADLLAGEGGKGTGSKVEVMAGSPQSTLCGLAASGEDSPNGGASQVSSPPSSPLEQPPTDPWDVLSEAAGQVARLRMNSIPVPQKPHAHAGHGRFVPPARNPSPPVQAQKTAGAFQFAPNNNMLTQRQVQVAHFHLLKQRQLLKQQREQQLAAAAAAAWGTHRAGVGAPLGLNSSGWPPLQKAHQQASSAAGMRAVFLSPPGGKPERTGTGVFIPRQAGAPAEPKKKPSCSTVLLPARVVQALNLNVDDLGARPCFPGGFVLDHDALVSRSNAMLTTQKRVQHHLHAATAAPPTLAAAREVNLPQEWTY >OGLUM01G41310.1 pep chromosome:ALNU02000000:1:39227381:39232660:1 gene:OGLUM01G41310 transcript:OGLUM01G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIAREATKLWRKVCAEIAVELQLLFEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYVSESVFTFIFLSFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKMATLPPPHNVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRLIKILAWLMAIIQSLLIIASRKHYSVDVVVAWYTVNLVIFFIDNKLQEMPDRTNGSSLLPVTAKDKDGRTKEELHKLLNGNTVDSTDRRQRVQMNGKHGEDINHTLSDATPNGT >OGLUM01G41320.1 pep chromosome:ALNU02000000:1:39235298:39237536:-1 gene:OGLUM01G41320 transcript:OGLUM01G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDGEQALTIAPAAAVDSSAEPARHVGGGGGGGGGLAEESSDEDKGSQRFSDAEDHSWRSHSRQGSVALEDFISTCASVRSGAGASAGDADADGGGRRKSCVSECSLGDVDLEAGLAEISKASPDTAERNCRICHLGLESAAAESGSGMFLGCSCKDDLSCAHKQCAETWFKIRGNRICEICGSTACNVVGIGDAEFIEQWNESSNTAASQTPASEPRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >OGLUM01G41330.1 pep chromosome:ALNU02000000:1:39244115:39245566:-1 gene:OGLUM01G41330 transcript:OGLUM01G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAASPPPPPPNPPAADSAHSSPASMTDETHGAGAHPDESQPHRSSSSSSSPPVAVDGETRVPLPVRSLPQPSHPSPPPSGEDEDDVVITGQIAVGDAAGAAMEERVKGPWSSDEDTLLSNLVEKLGPRNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIMAAHAIHGNKWAAIAKLLVGRTDNAIKNHWNSTLRRRHCTGGRCTQGGVMKRAIPERPRSVSEEPWPLGNLSSLNVREAMEAPAQTVSESYVGAWQIGDQSCKTEVVDPPYLARPVAKVGAFKPYNVGPAQSSQKEMLSFATKFDSNLHPFKPENGVCKFVDPTSFAAEVPNKCGHGCCSSHGQLRKNSLLGPEFNEFEDHPPISDSSFASLVSEISSIAWMKSGLQSSDTLARSVPPA >OGLUM01G41340.1 pep chromosome:ALNU02000000:1:39253494:39272119:1 gene:OGLUM01G41340 transcript:OGLUM01G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKFHTHLGLYTLESYTQPKPQLKLLTPWADGPSMVTQCPIQPNSSYTYRFNVTGQEGTLWWHAHSSFLRATVYGALIIRPRNGSAYPFPAPDQEVPIVLGEWWSRNVVDIESDAVSSGQLPRESDAFTVNGVTGELYQCANETFTVDVQPNTTVLLRVINAGLNTHLFFKVAGHAFTVVAVDACYTANYTTDTLVLAPGHTVDALMVTNASAGSYYMAVQAYDSLSPTTMAVTDDTTATAIVRYNTTSTKKNATPVMPTMPQSSDSATANAFYFGLRGPPSPSAPAVPTKVDVNMTIELGLGQLPCDSTQSSCSGKSVAAAMNGVSFRLPSQMSLLEAQFNRTPGVYTADFPDAPQPSGTPMVEGTKVRRLKYNSTVEIVLQNPTAFPSENHPIHLHGFNFFVLAQGLGNFTPGNVSGYNLVDPVSRNTLAVPTGGWAVIRFVANNPGMWFFHCHLDAHVPMGLGMVFAVDNGTTPDSFLPPPPADLPKRKINKGIYRTPAMASAAMLVPLVLVLCTAAASAAVVEHTFKVGGTKITQLCMNSVIYTANQQLPGPTIEVTEGDTLVVHAVNDSPHGVYQLRSGWNDGANKITQCPIQPSGNFTYRFNITGQEGTLWWHAHSSLLRATIYGALIIKPRNGPSGYPFPEPYEEIPILLGEWWNRNVDDVENDGYLTGLGPQISDALTINGMPGDQNRCKGSAMYEVEVEYGKTCLLRIINAAVNVELFFKVAGHTFTVVAADASYTKPYATDVIVIAPGQTVDALMNTTASPGRYYMAAHVFDSKTVAVPFDQSTATGIVKYKGVPNYAPAAMPSLPPHDDVVTAGRFYWSLTGLARPSDPGVPTTVDHNMVVTFGLDQAPCAPNQTKCSGFALVAAMNRNSFQFPDQKVSLLEALYKGVPGVYSEDFPDFPPPMQGFRKATAVKKVKYNDVVEVVLQSEQYSSTLGTENHPIHLHGFDFYLLAQGLGRFNPSMKSKYNLVDPQVRNTVAVPAGGWAVIRFMANNPGEPAPKVQPMNSRKQQNTVRQRL >OGLUM01G41350.1 pep chromosome:ALNU02000000:1:39272420:39273464:1 gene:OGLUM01G41350 transcript:OGLUM01G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G37970) TAIR;Acc:AT2G37970] MGMVLGKITVETPKHEVLHTGAGYEVRKYPPCVVAEVTYDPAEMKGDCDGGFTVLGNYIGALGNPQNTKPEKIDMTAPVITSGEPESIAMTAPVITSGEPEPVAMTAPVITAEERGQGKGQMTMQFLLPSKYSKVEEAPRPTDERVVLRQVGERKYGVVRFSGLTGDKVVKEKAEWLKAALEKDGFTVKGPFVLARYNPPFTLPPLRTNEVMVPVELAVEIEFGPY >OGLUM01G41360.1 pep chromosome:ALNU02000000:1:39273616:39279802:1 gene:OGLUM01G41360 transcript:OGLUM01G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >OGLUM01G41360.2 pep chromosome:ALNU02000000:1:39273616:39279231:1 gene:OGLUM01G41360 transcript:OGLUM01G41360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >OGLUM01G41360.3 pep chromosome:ALNU02000000:1:39273616:39276108:1 gene:OGLUM01G41360 transcript:OGLUM01G41360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G54090) TAIR;Acc:AT3G54090] MAMAASPFLILPSLFPKPTILAARIHPSIFRGRHIRCSPNGAAVPESPEPAPRRGRRKSPSPSPPKAKTTRRRTKKDTQESDSEGEEEPPKRRGRRTRKSKQEAEQEAAEKEDEVRAASPGTEDSKRAVQDEDGEAEATGSDSEDGEDSPYDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDIYSTWLHLQWEPPEFARAPGSAASNVAIALTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIRFDDGAATATARMKVGFRDREDGSGGTRLVAETVKSAAEDSLSKAEINVDVLKEARVFHFNSEVLLTPSMESTLFRAIELSKKFGSKIFFDLNLPLPLWRSRDETKELINKAWNEADIIEVSRDELEFLLDHEYYQYKRANPPQYYLDGFHLTRNWPQYYHYTPEEIAPIWHDGIKLLLVTYGTLRIHYYTPKFHGCVIGTEDALITPYTTDRTGSGDAVVAAAIRKLTSCPEMYEDQDTLERNLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >OGLUM01G41370.1 pep chromosome:ALNU02000000:1:39276802:39280373:-1 gene:OGLUM01G41370 transcript:OGLUM01G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPSVTSSSSSSSPVLSAAHRRRLNDVERDAFDYGGPCDVDVDHDDDDGGGGVRRGHGAGVAGVRALFSSARRSKRASVIIDQAWLRNVVACLLGLTVVAGLVLSSHRVSGAGGGRLVQRMDLGDGEVMGWTEENLTAVARQSPDTPTLNWHRRVGTYSLRGLDVTMCVRKRSIELREKFMASVHGLQMKIWMTPDSEGYGKCIERPKKHDRMNSATAGYIIVNANGGLNQMRLGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKKTLEGDISIVDSLPLAYKGLKLYMRAPTSWAKASYYRAFSRTLKKAKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRFHKEIEELSTALVDRLRNGSNHYIALHLRYEKDMLSFTGCSHNLTHKEADELREMRLNVRHWKEKEINSRERRLQGRCPMTPREVALFLKAMGYPSSTKIYIVAGEIYGGHSMDSLKAEYPNIYTHYSLATVDELEPFKLYQNRLAALDYNVAVQSDVFVYTYDGNMAKAVQGHRRFEGFQKTINPDRQKLVGLIDKLDEGTLTWNEFQSEVKIHHENRLGGPYQRLSGRSPRQEEYFYANPLPGCLCKRMQRIK >OGLUM01G41380.1 pep chromosome:ALNU02000000:1:39285320:39287223:-1 gene:OGLUM01G41380 transcript:OGLUM01G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHD1] MDASDQGCRLLGAQRSLHGLLGGGAMADVVLWRRKEAAGWSLAAVAASWVLFYCLPGYTLLSFVSSVLMIVLAVLFVWAKAARLLNRPPPPVPLIKISEDSMSKAAAAVGNILHKALQGFENIAHGKDSSLFYKMASVLLLISIVGRVTDLITLVYACLVLALTIPALVDKYEENIAKFLNQASANIQACGQAWKAYKSKIKN >OGLUM01G41390.1 pep chromosome:ALNU02000000:1:39289444:39293549:-1 gene:OGLUM01G41390 transcript:OGLUM01G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Josephin family protein [Source:Projected from Arabidopsis thaliana (AT3G54130) TAIR;Acc:AT3G54130] MEEAAAASNGGLLYHEVQEGKLCAVHCVNTTLQGPFFSEFDLSALAVDLDQRERQVMSEGAAGAATTAAGDFLAEGEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPDAGSCLFDPELETAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDARRITSSCNQVQTPTQQAGVSLVADQSEEMSEMDMIAAQQEEADLNAAIAASLMDTGGPFANYAAHEESRSQDAFAIESTSGEMSKAGNLEELGANKSETSEPNSDNIESASGSNPKQNTPSLEGKESIKED >OGLUM01G41400.1 pep chromosome:ALNU02000000:1:39300323:39301132:1 gene:OGLUM01G41400 transcript:OGLUM01G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDDALYARCLVTLYLISPITVFLLRFVSAPYGKLSRPGWGPAVPAALAWFLMESPTLCLPPLVLSAAASSSALRAAALLPAALYALHYVNRTLVHPLRLLRLRRAPAPVPILVAAFAFGFNLLNAYVQARSWALDAAAPHSTATATATPEAVARCLVGLALFAWGMRTNIAADKALLRLKEAGKGYQIPRGGLFDVVTCPNYFGEAVEWLGYALVAWTPAAWAFFLYTCSNLGPRARDHRRWYVGKFGDKYPASRKAFVPYIY >OGLUM01G41410.1 pep chromosome:ALNU02000000:1:39301967:39307741:-1 gene:OGLUM01G41410 transcript:OGLUM01G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-glucan phosphorylase 2 [Source:Projected from Arabidopsis thaliana (AT3G46970) TAIR;Acc:AT3G46970] MPESNGAACGAAEKVKPAASPASEEPAAIAGNISFHAQYSPHFSPLAFGPEQAFYSTAESVRDHLVQRWNETYLHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEALVGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQCITKEGQEEIAEDWLEKFSPWEIVRHDIVYPIRFFGHVEILPDGSRKWVGGEVLNALAYDVPIPGYKTKNAISLRLWDAKASAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQYFLCSASLQDIFFRFKERKADRVSGKWSEFPAKVAVQLNDTHPTLAIPELMRLLMDVEGLGWDEAWDITNKTIAYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFKEMVISTRKEMEGKIDSMRILDNSNPQKPVVRMANLCVVSAHTVNGVAELHSNILKEELFADYLSIWPNKFQNKTNGITPRRWLRFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWASAKLASKKRLAKHVLDVTGVTIDPNSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKGMTAEERQKVTPRTVMIGGKAFATYTNAKRIVKLVNDVGAVVNNDPDVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGQENFFLFGAKADQVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGTYDYAPLLDSLEGNSGFGRGDYFLVGYDFPSYIDAQAQVDEAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWGITASPVS >OGLUM01G41420.1 pep chromosome:ALNU02000000:1:39311889:39323602:1 gene:OGLUM01G41420 transcript:OGLUM01G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMTTRSALQSARASPSIAATFTLSDVTNSANTAWASAPPPGEAPGSDVAGGGGLDRRRGGAKGSGCAGGRRPCGSHVGGGSALPRTRVGRRRRRPETKGGAERGRSERAKGSSWPGGAIPSFVLSSGEGEAGGVSGSDG >OGLUM01G41430.1 pep chromosome:ALNU02000000:1:39316217:39319238:-1 gene:OGLUM01G41430 transcript:OGLUM01G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKSQESRQQVAQALIHPDKEAMTVKRSHGKNNAHFRKFGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVTALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >OGLUM01G41430.2 pep chromosome:ALNU02000000:1:39316217:39319238:-1 gene:OGLUM01G41430 transcript:OGLUM01G41430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEGDAQAVLLLVNPKSRKDMSSPQTMAMIFLLVQWFSVVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVTALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >OGLUM01G41430.3 pep chromosome:ALNU02000000:1:39316217:39319238:-1 gene:OGLUM01G41430 transcript:OGLUM01G41430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGRRGASSTSQGRESTSFNHEFQSDPGFSWTSTYAARSPPKVSKNGKSSRSFWEVVDTITGGCTSCFAPRQSKIKEGHVKPSNDGHDISISSIVSRISSASSTSTNTSRQRGDDSQKKSWQEQCSFQEICMATSNFSEQNRIGLGNFGTVYKGKLRDGSIIAVKRATKNMYDRHLSEEFRSEIQTLSKVEHLNLVKFLGYLEHEDERLILVEYVNNGSLREHLDGLRGEPLEFSQRLNIAIDIVHAVSYLHGYTDHPIIHRDIKSSNILLTDQLRAKVADFGFARLAPDNTEATHVSTMVKGTAGYVDPEYMRTNQLTDRSDVYSFGVLLVELLTGRRPIERGRGRHQRLTTQWALRKCRDGDAVVAMDARMRRTSAVVAAMEKVTALAAECTAPDRAARPAMRRCAEVLWSIRRDFQHEQQRATAAAAAGARGKRHDGSTYGPSITSLKE >OGLUM01G41440.1 pep chromosome:ALNU02000000:1:39320550:39323473:-1 gene:OGLUM01G41440 transcript:OGLUM01G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >OGLUM01G41440.2 pep chromosome:ALNU02000000:1:39320550:39327846:-1 gene:OGLUM01G41440 transcript:OGLUM01G41440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAKTSTRPRVNRAPLHRLRQPKTTTNRQASHRRSHVKNATDSAVRSWSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >OGLUM01G41440.3 pep chromosome:ALNU02000000:1:39320550:39323473:-1 gene:OGLUM01G41440 transcript:OGLUM01G41440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;3 [Source:Projected from Arabidopsis thaliana (AT3G46980) TAIR;Acc:AT3G46980] MAPPGQLLPLARSLLPLSAPPFVSGRRRRLPTLVLGRALPPPTWLPHGRLPPAHPLPFAPPRRLSRPPPPATSLPGASPGGGAEAQAVLAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLVSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAARSLWLFLSTRVLLGMAEGVALPSMNNMVLRWFPRTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRAGIFGPFVIFGLFGFLWVLVWISAISGTPGENAQISAHELDYITRGQKLVKTQSGGERLRKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGVVSDRLIQNGTSITLTRKIMQTIGFVGPGVALLGLNAAKSPVIASAWLTIAVGLKSFGHSGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSTLFWDIFATGERVDFDGTG >OGLUM01G41450.1 pep chromosome:ALNU02000000:1:39323881:39324225:1 gene:OGLUM01G41450 transcript:OGLUM01G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAAADTAETDQADLKPVKAEPGTGPGLITITVTSQTFADVYFAIKPRVKLRRVMDLYCGKHSLDPKTVKFIDDDGRFVRSEQTPEEVGLQDGSTISLAIDQQGGACICEN >OGLUM01G41460.1 pep chromosome:ALNU02000000:1:39328843:39330492:-1 gene:OGLUM01G41460 transcript:OGLUM01G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDEIMPVVEPVIVVDTSGEIETEHNVVAREGKAMKDHMDFESSQSKKPPTKDLKESFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAGRMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPMMSVLEDTPATIVERSDGQIPVEDDSDIDPPGGSLQTRDYYLDSSTRRRRSLERSSSVRRPSFEVTEPKPAPTTIANGKESPLGGSEFYHFHHAEDLLDRGFSSNSLIEDISASLEAALSGPGSAKKPRRWRKAWSLWGFIHRRASGRRSGGPSDIADRSFSEAWPDLRVRGYNPKMQRCNSNLSARSSFSSNSGGLGSSRRSHVDVNGSSARRREEHVLERNRSARYSPPGRVDNGMLRFYLTPMRSGGGGGAY >OGLUM01G41470.1 pep chromosome:ALNU02000000:1:39339737:39348411:-1 gene:OGLUM01G41470 transcript:OGLUM01G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAAAVPDPRDPATVSSPVLSCDRAGGSSKLGARGEAAATPAAAAAGRGDLAPMVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNAPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELMKQRKRRMKINLKAPVLPRGIVYISWNGPHIFL >OGLUM01G41470.2 pep chromosome:ALNU02000000:1:39339557:39348411:-1 gene:OGLUM01G41470 transcript:OGLUM01G41470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAAAVPDPRDPATVSSPVLSCDRAGGSSKLGARGEAAATPAAAAAGRGDLAPMVGMYQQQLVEDPFPLSGGYCSDQPRHAPASASTSTSTSSSAAVAASPLAQAHGAGEPRRLFEAFMGEILLPLRGEGGANGGGVGRGPGGVGDLGELLRWTREVAANPVAARPVPAEVKARKRQVLALRRARYLRMEDVANADELPSFFKKRKYRSHNNHAERQKGKGSMNMPTRKSERLAKRMKLMTSVLLTQRKKIGVGEHFQAEVPDWTEPPSDELARYKNAPNISKMLGTRIWPPEGQVLQTDKKIAGQGRMESCNCSYPGSFFCRQHHTDAARDQLRCELGRAFTEWRFDSMGEEVSKMWTREEQLKFNALERLVPVMDHKTFWAVASKHLASKTRIELVRYYLNVFLMRRVLSQCRLNLLEIDSDEDETEEEEDEDQSESTSTSQRTQDAQNVKSIS >OGLUM01G41480.1 pep chromosome:ALNU02000000:1:39346360:39347200:1 gene:OGLUM01G41480 transcript:OGLUM01G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARCNELNKGYWRGATVFVQSTTSWSFLGPLTCRRHQKKIDINRIEEFQRNYGGFEPNAAKFMCLVVSDAQYSTHSHLETQALEINLSRIGGKVEEAKRPRASDGPDKDASDLMGFALDHGRR >OGLUM01G41490.1 pep chromosome:ALNU02000000:1:39349964:39368236:1 gene:OGLUM01G41490 transcript:OGLUM01G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPAKLDPDPPPIHRLLELIKSEPDATAALAHLELLVSTRPAFPPPQPLLFHLLRRLATSSPSHLPRLLNLLPRLRHCPRFSESAALVVLSAFSRAIMPDAALDAFRALPSILGCNPGIRSHNALLDAFVRARRFSDADAFFASLSHGAFGRRIAPNLQTYNIVLRSLCARGDLDRAVTLFDSLRRRQVAPDRITYSTLMCGLAKQDRLDHALDLLDEMPRSRVQPDVVCYNALLGGCFKAGEFEKVMRVWDKLVKDPGARPNLATYNVMLDGLCKFGRFKEVGEVWERMVANNLQPDVITYGILIHGLCRSGDVDGAARVYSEIIKTGLVIDAAMYNSLVKGFCQAGRVQEAWKFWDSAGFAGLRNLRTYNIMIKGLFDSGMVDEAIELWDLLEKDVACIPDTVTFGTLIHGLCQNGFANKAFTIFEEARVSGKQLDVFSYSSMINGLCNVGRLVDAVKVYEKMDKDGCKPNSHIYNALISGFCQVYRTSDAVRIYSKMADNGCSPTVITYNTLIDGLCKAEKYQEASSVAREMVENGFTPDITTYGSLIRGLFSDKKIDDALSIWKQILYKGLKVDVMMHNILIHGLCSAGKVDEALHVFSDMKEKKNCPPNLVTYNTLMDGLYETGYIDKAATLWTSITEDGLEPDIISYNTRIKGLCSCDRIHEGIQLLDEVLSRGIIPTVITWNILVRAVIKYGPIQV >OGLUM01G41490.2 pep chromosome:ALNU02000000:1:39363965:39368236:1 gene:OGLUM01G41490 transcript:OGLUM01G41490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MPPYETARAEPASNNKQRPISGETAAGSSRSGPDPMGGEVPEPRRLNRVLSFDDWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDSLRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGVLESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >OGLUM01G41490.3 pep chromosome:ALNU02000000:1:39364143:39368236:1 gene:OGLUM01G41490 transcript:OGLUM01G41490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09060) TAIR;Acc:AT3G09060] MGGEVPEPRRLNRVLSFDDWVPDEALHLVMGHVEDPRDREAASRVCRRWHRIDALTRKHVTVAFCYAARPARLRERFPRLESLSLKGKPRAAMYGLIPDDWGAYAAPWIDELAAPLECLKALHLRRMTVTDADIAALVRARGHMLQELKLDKCIGFSTDSLRLVARSCRSLRTLFLEECHITDKGGEWLHELAVNNSVLVTLNFYMTELKVAPADLELLAKNCKSLISLKMSECDLSDLISFFQTANALQDFAGGAFYEVGELTKYEKVKFPPRLCFLGLTYMGTNEMPVIFPFSMKLKKLDLQYTFLTTEDHCQIIAKCPNLLILEVRNVIGDRGLEVVGDTCKKLRRLRIERGDDDPGLQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGVLESIGTFCKNLYDFRLVLLDRERQVTDLPLDNGVCALLRNCTKLRRFALYLRPGGLSDDGLSYIGQYSGNIQYMLLGNVGESDHGLIRFAVGCTNLQKLELRSCCFSERALSLAVLQMPSLRYIWVQGYRASQTGLDLLLMARPFWNIEFTPPSPESFNHMTEDGEPCVDSHAQVLAYYSLAGRRSDCPQWVIPLHPA >OGLUM01G41500.1 pep chromosome:ALNU02000000:1:39353503:39355685:-1 gene:OGLUM01G41500 transcript:OGLUM01G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNATAAAAVACSSQSCTTRSSPYRCALLKRKRSCIRACSSEDDGSDASSSLGGDKRQQEVLAKIAMLQAQKVRITSFLDERSAYLTKFAKDADTEFDLIGQNAMKELDEVGDQIMERLDSKMQAFEETAEVQRQEIEMNERVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKELTVKPIPKLEAQKVKDIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDISSPEAEKKGGKEE >OGLUM01G41510.1 pep chromosome:ALNU02000000:1:39373640:39382925:1 gene:OGLUM01G41510 transcript:OGLUM01G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELQGVEHVVGLTVASSTEGDDSGSHGRGPKRTRHKWCRQGHPLARQSRSTRRNEATPPGRAPSAHCGGALQGSSDERRGPQPRAMVTSRFDLPHCGGRSRITRPHHRTGGGAPLRHPPPLWCVANLQARGRRGRPHGVTATLSRARLPLIRKSDGTWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >OGLUM01G41510.2 pep chromosome:ALNU02000000:1:39374400:39382925:1 gene:OGLUM01G41510 transcript:OGLUM01G41510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCVREAVEDVLAFPAPVWRKWLQASGSISLANSAGPIVPKGMPIVRRTYILAAGLLLGIRAVGITDYLEITIPLAYLSVAWLQPVVSIASEHGNAECSNTTKETSFQSGMSRICSATSIIQWNKQMSSMRCQYILNLNICTKARADMTPQKRDGVDDAFTLPAVPNGRANAGKERTTASTGTFLHLARTKVAGAQHKAAVAPVPLYTHPVPRDGHRCHLPGRRRAKRILK >OGLUM01G41520.1 pep chromosome:ALNU02000000:1:39381099:39382114:-1 gene:OGLUM01G41520 transcript:OGLUM01G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAEALREVLPLPYFPGQPCWYLQERRGAEAWSAEENKVFERALAQVDLDSPNRWEMVAAMLPRKTVIDVVNHYRDLENDVGSIEAGLVPFPHYSSSLSPASSGFTLQDWDGSDGGFRRGCYLKRGRAPDQERKKGVPWTEEEHKSFLMGLKKYGRGDWRNISRYFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPEEDTSNPSPSPPSVLTTASDQLGSLVDTKPVPPPPSLGAQRHFMSPLPGALGVSHHPYGNVKLEPNASFLAGGGTGPGLDDAILLQMQCGHL >OGLUM01G41530.1 pep chromosome:ALNU02000000:1:39388065:39389048:1 gene:OGLUM01G41530 transcript:OGLUM01G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT1G07310) TAIR;Acc:AT1G07310] MASPSPSSSPLHPQHQHQHPLPPHPQPQYQSPPPPMPPPPPAAPLKAIDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDVGGCKPAWNERVVLPLPPHLSPHDPSLLLSLDVFHSKPSDSPKPLVGSARSPLRDLLFSTNPNPSPDSPASALITLPLLRPSGRPQGKLRIRLALRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPPPQYGSEQYYRSGGYYSAPPPPPQYEYTAGPSAPVEYNRQYDPRAGSGSGNGRYGVGTGIAVGTVTGALGGLAIDEGVKYKEEKSADRVEDKVVPAGRDDDSRGYREY >OGLUM01G41540.1 pep chromosome:ALNU02000000:1:39420990:39422441:1 gene:OGLUM01G41540 transcript:OGLUM01G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLSAVAMVGAASAASPIVTKSLVGVDAPASGITVVSRQDVRPDGASAVGDLTLSVSDLPMLSCHYIQKGLFFPSPDVPMASLVSLLMSSLSRALAIFPTLAGRLVTLPDDRVVIRCNDAGVEFRHAVAPNLSLDDFIVPDADVPTKLTKDLFPMDRTVSYDGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAALCRGASPKLPDFRRSFFGESTAVLRFPGGVGPAVTFDADAPLRERVFHFSADAIRELKAITNRRPSGGQDVEVYGKMAHDRKNPEGLSAISSFQSLCAQIWLSVTRARQRLAPDATSTFRMAVNCRHRLRPAISPVYFGNAIQSAATTATVAELASNDLRWAAAKLNASLAAYDDGAIRRAAAAWQGAPRCFPLGNPDGAVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPARAGDGSVDIEVCLAPDTMAALLRDSEFMQFVS >OGLUM01G41550.1 pep chromosome:ALNU02000000:1:39436811:39437023:1 gene:OGLUM01G41550 transcript:OGLUM01G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRWRLAVADTGEAEMMASPTAGARQVGGRPVAVNVGGGGGRRWPTQEKGTRQPLLPRARDGRKREGGR >OGLUM01G41560.1 pep chromosome:ALNU02000000:1:39437047:39453665:1 gene:OGLUM01G41560 transcript:OGLUM01G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAGAAAAPASISSVLSSAASSCPPRPPPSIPPSASSPPFPPSAFLRGPSLIQNKPRRHRPMTATAPPSPTTALVGSGSSSRLRPRRPPPPRPPSCERVGQRLGEGVGSPAASAHKEERGGEASLSARPPPVGPIPAAPAPLPSPTASAPPASSPTGLLPRRRRRRGSGQAGGPTGRGGRGGPAASGGDDAGAGEQVGDGGEMPMARYWRRSSVGTGSGTTAADGGHGIASPARITLQRQTC >OGLUM01G41570.1 pep chromosome:ALNU02000000:1:39454865:39455281:1 gene:OGLUM01G41570 transcript:OGLUM01G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKGSECTRITPETTGGGKPTISLQASSWSSRMEPSDPDRWSRLARGACGSRLLRSARWTATRFYRRARASVARAFRPASTKKGPASCAASRSPDCTPARNSSRRHSLAPVVADDSHKSEAVEECIRFMNSSSRKYR >OGLUM01G41580.1 pep chromosome:ALNU02000000:1:39460570:39461281:-1 gene:OGLUM01G41580 transcript:OGLUM01G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSNDDDGRAAAHEGDADLVLQPPESKREARSYGHHHRLMTCYVRDVVETEAMSERPTREVETLELFPLKSYDLEVDKVRYVRGGGGEQCREISFFDVAAGRDPPLELRLCSFGL >OGLUM01G41590.1 pep chromosome:ALNU02000000:1:39470638:39472275:1 gene:OGLUM01G41590 transcript:OGLUM01G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 86, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G58860) TAIR;Acc:AT5G58860] MATDGGVLQLHPYAMAAAAVALASAYMVWFWALSRRLSGPRMWPLVGSLPSVVLNRARVHDWIADNLRATGDAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQASFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWANRSIKYRLWRILDDHCNAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLPENPFANAFDEATEATMQRFLFPSLLWRIKKAFGVGSERSLRDSLAVVDRHMTETIAARKATPSDDLLSRFMKKRDSKGKAFPEDVLQWIALNFVLAGRDTSSVALSWFFWTLMQRRDVERKVVLEIASVLRETRGDDTARWTEEPLNFDELERLVYLKAALTETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAITYSIYSVGRMESIWGKDCAEFRPERWLSADGSRFEPVKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRNSVELVPGHKVEQKMSLTLFMKNGLRVHVKPRDIASYVEPSEPAPPQGSLVIPTTTAAAA >OGLUM01G41600.1 pep chromosome:ALNU02000000:1:39475059:39482698:-1 gene:OGLUM01G41600 transcript:OGLUM01G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRRRPTNLHSESGEGKAFGYEKFLFGGFTLNLQVFSNQFARLLRLVLIVMLVQPRPGIYVAQQSCHNCDIINTNTQLKQISVQLKVAKTPPEHQKQRRLLNETLKFAFVHILPQRICSAARPLSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVVVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKNSSLVPAALKEIGRLARETSELVLAVPARSTAALAFPFFLPFFQPEAYLVTSRKYSAVPKNQLRGPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCRGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >OGLUM01G41600.2 pep chromosome:ALNU02000000:1:39475059:39481854:-1 gene:OGLUM01G41600 transcript:OGLUM01G41600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQHEHTFTLNLQVFSNQFARLLRLVLIVMLVQPRPGIYVAQQSCHNCDIINTNTQLKQISVQLKVAKTPPEHQKQRRLLNETLKFAFVHILPQRICSAARPLSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVVVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKNSSLVPAALKEIGRLARETSELVLAVPARSTAALAFPFFLPFFQPEAYLVTSRKYSAVPKNQLRGPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCRGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >OGLUM01G41600.3 pep chromosome:ALNU02000000:1:39475059:39482698:-1 gene:OGLUM01G41600 transcript:OGLUM01G41600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRRRPTNLHSESGEIHPEPASFQINTNTQLKQISVQLKVAKTPPEHQKQRRLLNETLKFAFVHILPQRICSAARPLSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVVVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLRGPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCRGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >OGLUM01G41600.4 pep chromosome:ALNU02000000:1:39475059:39481854:-1 gene:OGLUM01G41600 transcript:OGLUM01G41600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQHEHTFTLNLQVFSNQFARLLRLVLIVMLVQPRPGIYVAQQSCHNCDIINTNTQLKQISVQLKVAKTPPEHQKQRRLLNETLKFAFVHILPQRICSAARPLSLQLYTVSASPSHVTTNLGYINSLSRCSTPLPHHQRAPSGRIASCHLLVRSIETPGTTTMVSRRFKPVEECSSDGRSEQTVAADFDGTLVRSRSAFPYYLLVALEAGSVLRAVVLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRNIEMVARSVLPKFYAEDVHPESWRVFNSFGKRYIITASPRIMVEHFAKTFLGADKVVGTELEVGKNGKATGFMVKPGVVVGDHKRQAVVKELRDAVPDVGLGDRETDFDFMSICKEAYLVTSRKYSAVPKNQLRGPLILHDGRLVQRPTPLVALVTFLWMPFGFALALLRVYVNLPLPERIVFYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKLMDPYFFFMNPRPTYEITFLNQLPKELTCRGGKSPIEVANYIQKTLSGQLGFECTAITRKEKYSILAGTDGRVPSKNKEKEKN >OGLUM01G41610.1 pep chromosome:ALNU02000000:1:39502346:39505116:1 gene:OGLUM01G41610 transcript:OGLUM01G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVAAVTSSPCAATTSSSSSLSPSSSAAATTSRRHGVLGGVRLSRGQSSLASWSVGMTRRRAGGHQMARRALSASIDSIGSDGGDDEEFLRRIQELAVGLHPGAAGCGWPASVERSASSVGLPLSLRMLKRKKQQQQQQGRWDERLIDCAGESARGAVGRAFSSMVLIIRELQSFTLQMRQALFYEDLQRVLARVHAEMHASFVWLFQHIFSGTPALMVSVMLLLANFTVYSMGDSVAAAATLPPPQPPAATVAMVDTQHAEQSHSHQRFDHASLKTLSIGRTASVGGNSGGGGKVRPVAGATGDGRSDEWSNRQSGAVLPQDASQGTPGAGAEEAVPVSEAMAVEETEDELVIWKRIADEATRMQASVRAEALMDPDTLGQLVAPVEAKLDTEDTAEFAATEERYERAVSEEPDNSLLLSNFAQFLYTVQRDHDRAEHYFKRAVRAEPADAEAMGRYATFLWKARNDLAAAEETYQEAIAAEPSNSHHAAAYAHFLWNTGGDDTCYPLD >OGLUM01G41620.1 pep chromosome:ALNU02000000:1:39531029:39537480:1 gene:OGLUM01G41620 transcript:OGLUM01G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRSATASASTAGTASPTAIATPRSAKRRLTSPRRAAGSPDASQFTSPHKSPNVGIVGTPKLLSASPRSSRKRLYGDFVAAEKPKWNPRGESPESHFSRAQSSDWDLTKEFICSADPAQMQVVKEALHVATVPSCGLVCRDHEQSRVLEFCKGCVEQERAGSLYVCGCPGTGKTLSINKVKESVARWADETGMETPDALSINCTSLAKTHEIFSKILAKFQTRKKATCKLSPLQQLQTMFSHKESAPRRMLLVVVDEMDYLITRDRAVLHDLFMLTTYQFSRCILIGIANAIDLADRFLPKLESLNCKPLVVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAASGDMRKALGVCRSAVEVFEARLQESSDQEFGLVTCITNKVVPVKEITFVHVYIDVTFDHMDIALSKAFKSPVVDSILCLPQHQQMVLCALANTFHHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVMLQINSSDITFAFKKDVWTEEDDRMLIEAHKTYGNRWSVIARCLPGRSENAVKNHWNATKRSLKSKRRMKKKSVQVVNSPPGQLSPLEEYIRSQYPSAVETTPPPPAVPAPPSDVIVHGAGSVSAGPTVATQEPTGTNPSEMGIYLGLGNPAGPTTQQLAAMNLNMSLAPDLNAYNDQREGYYLPFVPQGNLHYGMHVPAPPVQQQQQQGISVDQGLHSSCLSLYHPFPGTHPVSLDFGCQSSNHANAGGYYSEAGPSSGSGSGDPDDVDVIQMASRQFLMPSEAEVTLDLTRFK >OGLUM01G41630.1 pep chromosome:ALNU02000000:1:39541444:39542868:-1 gene:OGLUM01G41630 transcript:OGLUM01G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKLSPVSPVRPEDKQRASSSSSSGAAAAPLRVQDDTAVEEYERYLRLPELARLWKDRCCPEWADEGLVKPALQALEITFRFISVALSDPRGYASRRELARRLEALAAREVELVAALCEGEQCPPLAELSASKGVLPRERSASEVWKIPGSAAAVVCQVSEASLLPRLAAWDKSETVAARIKYAIESQMQGCVFTLGLGEPNLAGKPVLEYDRVVRPHELHALKAKIAPEPKTGYRNKENEALFTIHQILESWLCAASQLLTRLNNRIEARNWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAIRAAPGSDASSCFRSRALLHVANATRDLKKRVPCVLGVEVDPNGGPRVQEAAMRLFHSRRRGEGEEAGKVELLQVFQAVEAAVRRFFFAYRQLVAAVMGTAESSTNRALFSPAEEMDPLAQMFLEPPYFPSLDAAKTFLADYWVRRMAGDGDSASSRRS >OGLUM01G41640.1 pep chromosome:ALNU02000000:1:39551973:39552372:1 gene:OGLUM01G41640 transcript:OGLUM01G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVKRILLLSSPLNCPGWLLLSRQAPVAFEPLDRKAGRALGISFSSSSYPSMTRSNRAYRLATHAWLGPGPFSVESSSACICKPGPMPFDD >OGLUM01G41650.1 pep chromosome:ALNU02000000:1:39575618:39576095:-1 gene:OGLUM01G41650 transcript:OGLUM01G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIESHPRTRPDRIYDGRRWLWSRAEVVIGGGAAAAAARAGLQWRWRR >OGLUM01G41660.1 pep chromosome:ALNU02000000:1:39664467:39667328:1 gene:OGLUM01G41660 transcript:OGLUM01G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMANEETPNYQVKKGGRIPPPRSSLIYPFMSMGPAAGEGCGLCGADGGGCCCRHRHDDDGFPFVFPPSACQGIGAPAPPVHEFQFFGNDGGGDDGESVAWLFDDYPPPSPVAAAAGMHHRQPPYDGVVAPPSLFRRNTGAGGLTFDVSLGGRPDLDAGLGLGGGGGRHAEAAASATIMSYCGSTFTDAASSMPKEMVATMADVGESLNPNTVVGAMVEREAKLMKYKEKRKKRCYEKQIRYASRKAYAEMRPRVRGRFAKEPDQEAVAPPSTYVDPSRLELGQWFR >OGLUM01G41670.1 pep chromosome:ALNU02000000:1:39674394:39683445:1 gene:OGLUM01G41670 transcript:OGLUM01G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHH1] MDGSMGYERKHIARIWRYNSLLPSLCSSPEEAKYIIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPIPGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTLGGLLKTKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQIFRSIDSGSVKGFPKLVQEAESQNLVCAKNLQIDKSIHNAYVKAIRSAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIIAEALQKEGLDDTHPQDYLNFYCLGKREVSNDVSTTSQSNENSPQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGTHSSLPNALTT >OGLUM01G41670.2 pep chromosome:ALNU02000000:1:39674394:39683445:1 gene:OGLUM01G41670 transcript:OGLUM01G41670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHH1] MDGSMGYERKHIARIWRYNSLLPSLCSSPEEAKYIIVEAKCLPNMDLMTERMRKCFTGYGACSTECGKSDPHTDVRKIITSDPYVSVCLSGATVAQTRVIANSENPKWDEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASVPVENITPGDTVSGWFPIPGQYSNPMKASPELHLSIQYKPIEQNPLYKDGVGSDGCQSIGVPNAYFPLRKGGMVTLYQDAHIPDDFCPKIEIDGGRVYEQNKCWEDICHAIAEAHHLIYIIGWSLYHPVKLVRESTKPVPNGSPPTLGGLLKTKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMHTHDEEARKFFRHSGVHCVLAPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQVIGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFQVNKSGPRQPWHDLHCKIEGPAAYDILTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKINRMSWIVSPSADELNARVCEQDDPENWHVQNLVCAKNLQIDKSIHNAYVKAIRSAQHYIYIENQYFIGSSYYWSSNRSAGAENLIPIELAIKIARKIKARERFAAYIVIPMWPEGNPTTAAMQEILFWQGQTMSMMYKIIAEALQKEGLDDTHPQDYLNFYCLGKREVSNDVSTTSQSNENSPQVYGYRMSLWAEHLGTVEECFRWPHSVECVRQVNEMAEENWARYVSPEMVNMRGHLMRYPINVERDGRVGPVHGYECFPDVGGKVLGTHSSLPNALTT >OGLUM01G41680.1 pep chromosome:ALNU02000000:1:39686158:39689725:-1 gene:OGLUM01G41680 transcript:OGLUM01G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21350) TAIR;Acc:AT1G21350] MSLATAAAGAQPFVRSSSSAAAASSSRPLLAVAAARHRRPHGSLAAAAAAARRRRRRPLLQVRAARTESTAVSVGFRAPQFELPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPREIDAVEALWS >OGLUM01G41680.2 pep chromosome:ALNU02000000:1:39686382:39689725:-1 gene:OGLUM01G41680 transcript:OGLUM01G41680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21350) TAIR;Acc:AT1G21350] MSLATAAAGAQPFVRSSSSAAAASSSRPLLAVAAARHRRPHGSLAAAAAAARRRRRRPLLQVRAARTESTAVSVGFRAPQFELPEPLTGKLWTLDDFEGNPALLVMFVCNHCPFVKHLKKDIAKLTSFYMEKGLAAVAISSNSIVTHPQDGPDYIAEEAKLYKYSFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVPKPSVGCSIKWHP >OGLUM01G41690.1 pep chromosome:ALNU02000000:1:39714086:39716248:1 gene:OGLUM01G41690 transcript:OGLUM01G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERREGREKKMSHLQVIMSLAMLFGGCCFIGHRASHSPSGDSGGYRTCLKTSTQEERKR >OGLUM01G41700.1 pep chromosome:ALNU02000000:1:39716714:39717784:1 gene:OGLUM01G41700 transcript:OGLUM01G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAVMMSLIVKDGDEDDVTVAAFGRLESEVEVEFSTAKPKAVAAQPGSTLERRNNQPELHCEIEEEGGDNEWVPACFGRSRGVAGEGDGDVGQHSNKIGDAGKGKR >OGLUM01G41710.1 pep chromosome:ALNU02000000:1:39717851:39724013:1 gene:OGLUM01G41710 transcript:OGLUM01G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQALLRILRTLGSTAHLTQAHARLLAAGLAASPRLLPALVAAAFSAHSPCYAAAXXXXXXXXXXXXADALAAYAAMRAQGVPPNGFTFTFLLRACALLGLPRPCGCVHGQIVRCGFGSDVFVQNALMDVYHRCGGGGGVGAARQVFDEMVDRDVVSWNSIVGVYMSSGDATGAMGFFEAMPERNVVSWNTVVAGFARMGDMVTARAVFDRMPSRNAVSWNLMISGYATSGDVEAARSVFDRMDQKDVVSWTAMVSAYAKIGDLDTANELFDHMPVKNLVSWNAMITGYNHNSRYDEALRTFQLMMLEGRFRPDEATLVSVVSACAQLGSVEYCNWISSFIGKSNIHLTVALGNALIDMFAKCGDVGRAQSIFYKMETRCIITWTTMISGFAFNGLCRDALLVYNNMCREGVQLDDTVFIAALAACAHGGLLQEGWSIFNEMVERYNIQPRKEHYGCMVDLLGRAELIEYVSKKITELEPFNSSYQVLVSNCSALEGRWDGVIDARTSMRNWGIEKVPGSSSIQVGSEVHEFLAKDTRHKRRKEIYETVDVTWVKGIFLLFYNCIRKIIEVLITSWEQGQPLDKLVVTTHKSHHHPGVYRNQRSPHKALIRSILAYWDTRNTWNHFHLVHSETVSYSATQSQAKNGRFFHCPALSPLLPKAGAGGMKLGGCCLEGPRIRWWLNYPGVHSEI >OGLUM01G41720.1 pep chromosome:ALNU02000000:1:39782165:39785395:1 gene:OGLUM01G41720 transcript:OGLUM01G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAQRHHRGRRRGAAGSAARTPRGWCCSFAGVPQSPDLRPFPPSLAPPATAASSSPAPGGGAGRNKLPPKSPSISSFHSSPTSSRLAGLGGLIDPRRILSPGRVSPIDLDDSAPPLPLPLPLPPPPVTPAAETVVVPAETSAAVAPLVVALAEADAAGDEALDLRLFLRGRDGRTCVVMELDSGVLCDSSAFFAAMAPPRGPAGDGGGSGRRIEVDGVDNVEAFRAAVELMYQPDPLRWLAAAGVSRSIDVLEVSSSIMFERGVKLCLSYIEAVPWNENEEEKLKNLFARCTFDEAISQDVLARLRPHSWSSSEDLAVHLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDMAGLNRESLYSICYACLNSLVDLYDEATEATNHTAQALVIKGSKPFIERISQQTENLNWLLDILVNIDMAEEFVELWAKQDRLIRIHEQASPMMRYELSRISASVFIALGKGKVQCRGELRSLLFYGWFSPMLLDFGWLQRCSKGLDVRSLEENLGQALLTLPLKQQQCLFEEWFQCFASKGSECPNLTRAFQVWWRRSFVRSSVEVNLVTSK >OGLUM01G41730.1 pep chromosome:ALNU02000000:1:39787035:39789647:1 gene:OGLUM01G41730 transcript:OGLUM01G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLSATLLRLLPCSRHRYAGGGRHEQTAFGGFYIIDEESGAGSETWCGNKSQVKLFSDLARFQQRSPFYSGKSRK >OGLUM01G41740.1 pep chromosome:ALNU02000000:1:39792993:39793581:1 gene:OGLUM01G41740 transcript:OGLUM01G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMIGTSLWLRMCHGQFCQLCRHFGTLLLLFGFIYIEKALMGPYIKYRLKKSIEDTQLQMIWVNWIHGNANMPIRKNASAMQHIGYVLLEFREIRTN >OGLUM01G41750.1 pep chromosome:ALNU02000000:1:39793604:39799192:-1 gene:OGLUM01G41750 transcript:OGLUM01G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g46050, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G46050) UniProtKB/Swiss-Prot;Acc:O82363] MRRAAALLRLLRSGASAGVRGRPACAAVHPLAVKSGSGSDARVATALADAYAKSGLVDRARRVFDETPLRDQVLWNVMVSCYSSHGLVRECWDVFGSMRRSGFPGDGFTFSALLSVRASSSSCYDHANLLLVLGSSVHGIVIRLGLHLDVVVATALLDMYAKCGQVAEASRVFDAMVLRNTVSWNAIIVCYGKHDRGKEAFDLFVSMMRHGFCPDELTLASLLSSCADMAAANEATQLHAYTVRRGLQDFLQVGNALIMAYGKNGFVQEAKRTFGMIHNPDLVTWSSMVSSFAYLGLAKSAIDLFDRMLQQGIRADGIAFLGVLSACSHAGLIEDGFKYFLLMTRDYKIDPTPQHLACLVDLLGRAGRIRDAYEFLVNMSCDANVDVIGAFLGACRMRGNIESAKWAASRLFSLKPDDPINYLLISNTYAAAGDWNELAKVRSVMRNMCGNKVPGCSWIEIGGIVQTFVSNDMMLHQSREMQRMMELLVSLVEQDCNGQSKITDGRRHLQSFVIDTQVYI >OGLUM01G41760.1 pep chromosome:ALNU02000000:1:39802598:39810382:1 gene:OGLUM01G41760 transcript:OGLUM01G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAGDLPSHRQVTSSIPRCCHTLSHSSACPRPYGQINLFGSHRSSWVALLAFVITVVAAPFCLLVSLTMVASSSELDRWNARNLSGDSDQSQLDPVWIEDLKLSIPQQYGPRMLVINSQDLKSLKVCNVFLMSSSKPHFLFIQQVFNGWQFSQLATTAVDDCQCSPYVGDSILKLFQNNFNSATCSLLILYQPHEIDRSEHMDDLAHTTNQCDLEFNYLVSIHYSGVCITSHVICRFNNIHCFLIQYLSYSKKVKRLVLKLECCCS >OGLUM01G41770.1 pep chromosome:ALNU02000000:1:39813030:39816156:1 gene:OGLUM01G41770 transcript:OGLUM01G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTARQFLASAAELGSGRRRCGGGGACDMREDGGVEALMQCQRVSNLLIAASFLSIPLELFYFATCADLSEVKCAVLHFCAFIVLCGATHLLAAFTHAHPHSAPLLRALTAAKVLAAVASSAAAVSLLTFIPKLLRIKVRESLLRDKASRLHRDLGLVRRREEATSRAVRELTGRIRASPPDAHAILRTTALQLADALGLHACAVWMPAAGRPHDLVLVHHLTSRPDDAADLLLEVGDACTVAADDPDVVDVMASKVAKVLEPDSALAMASSVGAAPAGPVAAIRIPILRVSIYDGGGTPEVTEASYAILVLLLPPHDAAGGWSSHDLEIVQVVADQAAVALSHAAVLEESRSMRDRFAEQHRALMQAKHRTAMATRAFSSIQSAMCHAMRRPVHSIVGLVSMLQHPEADTMRPEQRLAVDAIARTSNLLSAIMDEVTVNRQHLSVQRKPFSLHALIKEAISVAGCLSHCGGAGFLHQPECALPEWVVGDERRVFHLLLDMVGTLLNRCNTESGACRLSFSVRICNVGEERYSLDWIPMRPTFSGCNVCVKFKVGIERSRSCAIERSLPCELPRRSAATTSSQMGHIFSVYCNKIVQMMNGNMWSASDSEGVGESVTLILQFKLQQGHVEASPPYIPHLNGLRVLLADDDAMNRGVTKKILERLGCQVMSAPSGAHCLSLMASAEASFQLVVLDLDDRAVPSAAMDGFEVALRIRELRNSCWLLIVVAVAAGVVATDDGGAVQELCQRAGINGLVQKPVTLPALGAQLCRVLQDN >OGLUM01G41780.1 pep chromosome:ALNU02000000:1:39817117:39817362:1 gene:OGLUM01G41780 transcript:OGLUM01G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVRMSCRLGTMVALVLVGVVLAAILQEATVDAASSSSDSPAAKSGTGYLDYSNLKSKLPPPGVAITKRPCIAKEKCRG >OGLUM01G41790.1 pep chromosome:ALNU02000000:1:39826591:39830399:1 gene:OGLUM01G41790 transcript:OGLUM01G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPASDAAAAAGEEEEDAAWADALDFDDSGFLRRGPASSPSHLRSPRPDDPAPAASLAQGAARGLRSPPHLPSSGPAARGHGHGHATDPDFSLAPWLHALGSLGEARAWKRQEIAAIRGDRALYRAHLVVGVVTSCAPNRLGDLFLSLKDPSGTVGASVHQKVFTKEDNMVVSVGSVIVLKNVAVFRPSHKGCYLNITKENLEMLVPKDFCFPSKQVFSSSPSESQHPVKCQETWGSSCQGDNCIRKTGVETYGQTTANAVRDSTLRMDKGSAQGVGNHLDIRMKEKDINPSNNNTPSCNANQQFQKTSCDSGKHQGIELQTLMQRLGSRHISNRNGEEHHQQTSNVPENPNTRCSRSTLGGCSVMSRTGNSIAASSDEKLSQPLEGERVHPNSKKQRGDAVLPDNVMSSTNIETYGLANNLNIGLDDVAHLVEHASIKKPNEHQQKDFITGTLGIVLPTQENSSVRNSDATTVSASLHSQPNKMASVTEWTDDQLSELFADY >OGLUM01G41800.1 pep chromosome:ALNU02000000:1:39831434:39834502:-1 gene:OGLUM01G41800 transcript:OGLUM01G41800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G08455) TAIR;Acc:AT4G08455] MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLHFLRLPSPSLDASSLSHSDLLLHAIPADAPARPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKNLKAYCEKFLTSKVSNDNAITHYAFAHRHSAKQLLETSLAAIMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKETDSSSRKG >OGLUM01G41810.1 pep chromosome:ALNU02000000:1:39835919:39837967:-1 gene:OGLUM01G41810 transcript:OGLUM01G41810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDGGDYRASEGGQDKDTSHSLLPQLGLARGNDGRGHHGTSDGCCTRSATPWMEGVRGERGETGAGPTDG >OGLUM01G41820.1 pep chromosome:ALNU02000000:1:39842127:39846427:-1 gene:OGLUM01G41820 transcript:OGLUM01G41820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTKAEAVAGDGDGGKVVDDIEALADLRKEPAWKRFLSHIGPGFMVCLAYLDPGNMETDLQAGANHKYELLWVILIGLIFALIIQSLSANLGVVTGRHLAELCKTEYPVWVKTCLWLLAELAVIASDIPEVIGTGFAFNLLFHIPVWTGVLIAGSSTLLLLGLQRYGVRKLEVVVALLVFVMAGCFFVEMSIVKPPVNEVLQGLFIPRLSGPGATGDSIALLGALVMPHNLFLHSALVLSRNTPASAKGMKDACRFFLFESGIALFVALLVNIAIISVSGTVCNATNLSPEDAVKCSDLTLDSSSFLLRNVLGKSSATVYGVALLASGQSSTITGTYAGQYVMQGFLDIKMKQWLRNLMTRSIAIVPSLIVSIIGGSSGAGRLIVIASMILSFELPFALIPLLKFSSSSNKMGENKNSIYIVGFSWVLGFIIIGINVYFLSTKLVGWILHNALPTFANVLIGIVLFPLMLLYVAAVIYLTFRKDTVKFVSRRELQAGDDTEKAQVATGVADEDSKEPPV >OGLUM01G41830.1 pep chromosome:ALNU02000000:1:39879787:39902866:1 gene:OGLUM01G41830 transcript:OGLUM01G41830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKWPARVVRETFLSYFESKAHTRCSPSTILAAKDSPLLFADAEMNQFMPVLLGTAAQGSQLGCLGRACKSLRCIPVAVDDRHLTFTEVLGNWSFGDYFKEEAIGFAWELLTKVYELEVDRIFVTYFGGDEKSGLAPDLESKHIWLKYLPSDKVLPLVDKFNKEAGGILMPSSAKHVGTGLNFEQLTSILQKKKSKFDTDILMPTIDSIRQCTGNEIQPYSGKFGPDDINEVDLAYRIVADHIRTSSFAIADGSQPEIEIAKNMLRRAIYFGHQNLKAKQQFLTILVSAFIESMSGDFPELLHNEKKIKDIIAEEEITFAKDKKKFKKKDSKRRKKEKNNTEQNVVVTRPPKVSYKTRTIDFFGRPTHIIHQHENGPCGNVLLLRSEIGLFLNKTEVMEDDLLSRIISHLKRCRKMQFELHEGFQYSEFQHKVLSVAKNLWREVCINVTFKSTDGFVFSPEYALFDYLEIPVFHGWLVDQDSELASAIATSSYDELNLEVGEYISQKEAMGIKGRGDREEDEQLLRAIKLSMGDNSISDFLQGPQLTAYGLSCLHKDLEEKKPCVLFWNNHWSTVIKFEEELYILASDSSFLSSESGAVWQKLEDVNGGGSFVDSSFTPIKYAGEGASFCSDQARQIQSNQESADPQWWPQGLGGKNEWDHTGRNAPAGKENTVNPDIPIPCHEDLSAVEVVTEEQLPPAEITDVHSSSSTPEVARSDQLKDAAASQTPECSIQAVPYSTPISSPQPLGRQIIAEEERAQLLFGSFGCCDLKYWPSYPTVVCNSDVMAKSVPTVSNKRGFSSFVDKPLYISKASSEHRAQQSAFTNRFLEFLRGFRLGNTEEPYYKGTAASMVFLDLPMMDVKFDHIKIFDNELALMICHDFERSRLDLNYAAKSFIMDFRSQLEGMFMKKFENFDNIIVRIDGLPKIDRLMSLEAFVKLPRNHFVEPRTLFATGSSLTVASGTRVGRIIATGVLQEILKAHASRNSWNGSFKRKNILVRNGCYSEISMPFHPSLIIT >OGLUM01G41830.2 pep chromosome:ALNU02000000:1:39879787:39902866:1 gene:OGLUM01G41830 transcript:OGLUM01G41830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKWPARVVRETFLSYFESKAHTRCSPSTILAAKDSPLLFADAEMNQFMPVLLGTAAQGSQLGCLGRACKSLRCIPVAVDDRHLTFTEVLGNWSFGDYFKEEAIGFAWELLTKVYELEVDRIFVTYFGGDEKSGLAPDLESKHIWLKYLPSDKVLPLVDKFNKEAGGILMPSSAKHVGTGLNFEQLTSILQKKKSKFDTDILMPTIDSIRQCTGNEIQPYSGKFGPDDINEVDLAYRIVADHIRTSSFAIADGSQPEIEIAKNMLRRAIYFGHQNLKAKQQFLTILVSAFIESMSGDFPELLHNEKKIKDIIAEEEITFAKDKKKFKKKDSKRRKKEKNNTEQNVVVTRPPKVSYKTRTIDFFGRPTHIIHQHENGPCGNVLLLRSEIGLFLNKTEVMEDDLLSRIISHLKRCRKMQFELHEGFQYSEFQHKVLSVAKNLWREVCINVTFKSTDGFVFSPEYALFDYLEIPVFHGWLVDQDSELASAIATSSYDELNLEVGEYISQKEAMGIKGRVEQISDFLQGPQLTAYGLSCLHKDLEEKKPCVLFWNNHWSTVIKFEEELYILASDSSFLSSESGAVWQKLEDVNGGGSFVDSSFTPIKYAGEGASFCSDQARQIQSNQESADPQWWPQGLGGKNEWDHTGRNAPAGKENTVNPDIPIPCHEDLSAVEVVTEEQLPPAEITDVHSSSSTPEVARSDQLKDAAASQTPECSIQAVPYSTPISSPQPLGRQIIAEEERAQLLFGSFGCCDLKYWPSYPTVVCNSDVMAKSVPTVSNKRGFSSFVDKPLYISKASSEHRAQQSAFTNRFLEFLRGFRLGNTEEPYYKGTAASMVFLDLPMMDVKFDHIKIFDNELALMICHDFERSRLDLNYAAKSFIMDFRSQLEGMFMKKFENFDNIIVRIDGLPKIDRLMSLEAFVKLPRNHFVEPRTLFATGSSLTVASGTRVGRIIATGVLQEILKAHASRNSWNGSFKRKNILVRNGCYSEISMPFHPSLIIT >OGLUM01G41840.1 pep chromosome:ALNU02000000:1:39903423:39906215:-1 gene:OGLUM01G41840 transcript:OGLUM01G41840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNDSEVAIVSHGDGEYAVAALAFAGTFLSVNKDFHLHLYHGGKQQQGEWVSKLLTLEDQLRDKLVPLPKAAAEYRFYQETRKTIVIGGERGTVGWVDLWRGIIFCDVLDDHPVLRDMPLPLPASGNWDRLLKQTDPNYIRDVTVSLCRDSIKYIELEIVETGETHTTVQPTESYQEWVRRKPRYTSSVVLRRGWKATIWTMPIPVASWEHWRRDCHLNVKDLGINVRDPSHLKLLSKLSGCGHSKAALRSVAMVFPTISMDDDHVYFFSIAGSTDKLEAVVTVDVRNKKIQGVAELDVRKYYFGMPTYIASEMSTYLKKVTTGTGEVAHGQTESAAVEPSEAHLSTALQFIN >OGLUM01G41850.1 pep chromosome:ALNU02000000:1:39945791:39946332:1 gene:OGLUM01G41850 transcript:OGLUM01G41850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYRVHVYDSMDKEESTFDKIFEVTDKAWARFRALDKGSWKEKLGRRFNIPCAKYDQGNNLCGYNSRFSNRDNESGTKDEITGTKIRSLVLVGVTNRD >OGLUM01G41860.1 pep chromosome:ALNU02000000:1:39949441:39951050:1 gene:OGLUM01G41860 transcript:OGLUM01G41860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVLCKRLTPLFLAAHASSSSVTCAGAAAAARTGMALMKNNPMKPPFSDSINGAKRPFSSTSTKNTDPFVSSERGLCSYIFLFVNMTFISSVLTVREC >OGLUM01G41870.1 pep chromosome:ALNU02000000:1:39965419:39973084:1 gene:OGLUM01G41870 transcript:OGLUM01G41870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERESSERGSISWRASAAHDQDAKKLDADDQLLMKEPAWKRFLAHVGPGFMVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKIFLWLLAELAVIAADIPEVIGTAFAFNILFHIPVWVGVLITGTSTLLLLGLQKYGVRKLEFLISMLVFVMAACFFGELSIVKPPAKEVMKGLFIPRLNGDGATADAIALLGALVMPHNLFLHSALVLSRKTPASVRGIKDGCRFFLYESGFALFVALLINIAVVSVSGTACSSGNLSQEDADKCANLSLDTSSFLLKNVLGKSSAIVYGVALLASGQSSTITGTYAGQYIMQGFLDIRMRKWLRNLMTRTIAIAPSLIVSIIGGSRGAGRLIIIASMILSFELPFALIPLLKFSSSKSKMGPHKNSIYIIVFSWFLGLLIIGINMYFLSTSFVGWLIHNDLPKYANVLVGAAVFPFMLVYIVAVVYLTIRKDSVVTFVADSSLAAVVDAEKADAGDLAVEDDEPLPYRDDLADIPLPR >OGLUM01G41880.1 pep chromosome:ALNU02000000:1:39980183:39981163:-1 gene:OGLUM01G41880 transcript:OGLUM01G41880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGLQLLHMENKQEDGKVLSYLVAKILWLALLLKKYGELVALTAHFDTCESTLYNKGCDGLERTKLDKNMHETMEVQSWPHVGITKMPRHLTQCQMQAQAQISDNVAFE >OGLUM01G41890.1 pep chromosome:ALNU02000000:1:40011485:40011799:1 gene:OGLUM01G41890 transcript:OGLUM01G41890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVTVTHGQETDGGGDDTTMCVQERAAAASNGDAEARSNTAEIDGASAAQIDRVAEVRGLIDHDSRSNFADDAMKDQATAHHQFSSDDENLEDCMNEEND >OGLUM01G41900.1 pep chromosome:ALNU02000000:1:40057779:40068756:1 gene:OGLUM01G41900 transcript:OGLUM01G41900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPREQAEEAIVADSNGKEEEVGVMGVSAGEHGADDHHGGGGKFSMKNLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQLFYGFMGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQAEGITHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSASAMYWAFGDELLTHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPAPSLAQSPVPLPHH >OGLUM01G41910.1 pep chromosome:ALNU02000000:1:40065919:40071537:-1 gene:OGLUM01G41910 transcript:OGLUM01G41910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPAPSATTTATLFSQQRTTPASASAPSTQTQSILSFGVSAVAASTSTTITSTSQATSSAVQASSTGPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >OGLUM01G41910.2 pep chromosome:ALNU02000000:1:40065919:40072932:-1 gene:OGLUM01G41910 transcript:OGLUM01G41910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSFGSSAAPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >OGLUM01G41910.3 pep chromosome:ALNU02000000:1:40065919:40072932:-1 gene:OGLUM01G41910 transcript:OGLUM01G41910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSFGSSAAPTTTAITPVASQAPKLPSEIVGKNVEQIIRDWNNELQDRTAKFRKHATAISEWDRRILQNRNVLIRLEAEVAKVVETQTSLERQLELIETHQKEVDKALQSMEEEAERVFQDERLLLREDEAASARDTMFEQSETVENELQHMTEQVKSIIQTLNATQPPPIQGAEFETADSMTPFDVAVRILDNQLRSLMWIDEKANEFSSRIQRLPNNSAAAERDSGMPRFWLS >OGLUM01G41920.1 pep chromosome:ALNU02000000:1:40071536:40072828:1 gene:OGLUM01G41920 transcript:OGLUM01G41920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVDALVTVVVVAVVAAADDDDGTTVKLKPPAEEAGAGTENNDPDVAGEAVAADGVDALVAEAEANAGAEAEDEPNGKLREVADELAAALVLENNEGAGAACEVAKEKPVDGADAGVVGAAELLFVKEKAGAEDAEENKAGAVLAVVVVADDGVKPNDGAEAAVAGDDEKPKDAVVVAVVAGDEAVVVLKSGAEVVDPNSAEPMPAPNPRAGEEAEVVVVLDAAAPVFNPKPKDGVEAAAVAAVVVPDAAEPKPKPVAAPEKRLGAEAADAAAPNRPGAAAAAEVAPKGLGAVAAEEAPPNKLGVVAGEEVAPPKMLGVDAADDAAPNTLGVVAVAGVEDAPKMDGADAAAAVDWPNEKPVDPKPHGDGDEEVAGAAAEAAGADPNREEPKVGAAAEEEEEEKREEPKAGAEAGAGEEAAAAAAAAAG >OGLUM01G41930.1 pep chromosome:ALNU02000000:1:40076687:40077163:1 gene:OGLUM01G41930 transcript:OGLUM01G41930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLWRAVMGAGASSADTDTTGGVEFWRSPERAGWLTKQGEYIKTWRRRWFVLKQGRLFWFKDSGVTRASVPRGVIPVATCLTVKGAEDTLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSGRPAASVAADGDK >OGLUM01G41940.1 pep chromosome:ALNU02000000:1:40081008:40083687:1 gene:OGLUM01G41940 transcript:OGLUM01G41940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAVVCRGGGLRAPARRGGSDSSTTRGGGVAASPAPATTARRRLLLVASLGEPLITAQPLSSSLGDGAAVHETLARSDSVIPSLKPSHCVDHSVQVDADEETGSTKTLPPPDDAHDIFYPPVPTKTVHVKFVLQKRCAFGQRFLVVGDVAALGLWNPAKAAALDWSEDHVWTVKKELPAERSIEFKFLLQDRSGHVEWQHGRNRILHVADTSNTLIVCEDWDEAKNQQVSEEIGDADGIFSGSDGVFQEDELQLGEEQETNKGVTVGVDDAKSALVTYIYREMMGANDAIQPQLALDKHHKIPDELSGEANMAAQDGNHTATAAAASGFAGSNGEDAILHKEGDPVENNRLGLASIFFNDMAWTRKALQQLLRSLGFQIGTRKT >OGLUM01G41950.1 pep chromosome:ALNU02000000:1:40081995:40090981:-1 gene:OGLUM01G41950 transcript:OGLUM01G41950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETGFPGPGHVIEENAGQPQPIILYWIAFLVQDGILTVASSKTRSCGCSSSVIAILCCHVCLPTKLIWNLVLKLIFLEDAIAS >OGLUM01G41950.2 pep chromosome:ALNU02000000:1:40081995:40090981:-1 gene:OGLUM01G41950 transcript:OGLUM01G41950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELVRDAAAALIFHFSVLINCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETGFPGPGHVIEENAGQPQPIILYWIAFLVQDGILTVASSKTRSCGCSSSVIAILCCHVCLPTKLIWNLVLKLIFLEDAIAS >OGLUM01G41950.3 pep chromosome:ALNU02000000:1:40082825:40090981:-1 gene:OGLUM01G41950 transcript:OGLUM01G41950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >OGLUM01G41950.4 pep chromosome:ALNU02000000:1:40082825:40090981:-1 gene:OGLUM01G41950 transcript:OGLUM01G41950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxyl-terminal domain (ctd) phosphatase-like 2 [Source:Projected from Arabidopsis thaliana (AT5G01270) TAIR;Acc:AT5G01270] MATPRAPPQAAVPGGGAGAAAGGVTMRMFHGDVFLGEAEVFPMKQGAEGSLPFPSNEIRISHLSPTSERCPPLAILQTIAPFSVRCKLQAKLMPPHPSLHRLYLTCFNEYKSAVVVVGDEELHLVAMPSKVEKVPCFWCCSVRSGIYAASVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDIEDDPVRIAGMSAEIKRYIEDRELLKEFIDTDTVTDNGRIVGTQKEEVLPISGGQERVLRPVIRLPERNAILTRINPEVRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEANLISSHNLAERVVCVKSGSKKCLQNVFKDKGCHPKMAMVIDDRLQVWDEKDQPRVHVVPAYTPYYAPQAEMANAVPVLCVARNVACNVRGGFFREFDENLLRKVFELMYENELLDLPYAPDVGDYLVCEDTNFAPNNKDVAPIPEGMSGAEVGKRLNGLAYPRDQKQIPSSTRLSDDDGVALRGIPGGTNIQSNGGSLATTPSLFVTVLQEIGRLCESRVEFRSTVSSGKNMQFSVEVLFSNEKIGIGIGKTRDEAQVLAAEKALQNLESNYLSFMAPVAGVLNKDVNKPPGSGNGFLEDITLSEDISMEEPSGSTLKEQDHSKALDRLSSVISLIRELVRDAAAALIFHFSVLINCLEDQHVVFRDQVRDSGSALNGEYHFQAELGGQILGRGIGLNKDFAKLQAAEEALKTLKTTTDPQIKKHLRPMQQLNFPLYPTQRHRETV >OGLUM01G41960.1 pep chromosome:ALNU02000000:1:40093868:40095117:-1 gene:OGLUM01G41960 transcript:OGLUM01G41960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHK8] MRQAANTMLPSHSPAATHQLPTSPRRSTRPAPPPPCPCPRAGAAPAAESLLPGCLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGIRALTAFAFSNENWSRPKVEVDFLMRLFERVIHDSVAEFLREGIRLRVIGDSSRLPVSLQKIAREAEETTRNNSQLDLTLAISYSGRRDIVQACRKLAQKVQSKALAAEDIDEALFTDELETSCAADEFPYPDLLIRTSGELRLSNFLLWQSAYSELFFTNTLWPDFGEADYLEALCSFQSRDRRFGVRKL >OGLUM01G41970.1 pep chromosome:ALNU02000000:1:40099202:40102610:-1 gene:OGLUM01G41970 transcript:OGLUM01G41970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTVRTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLILSAAEKGIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVSSNTSIYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPSVGHFYHMLVEMWQINPLAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSIMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >OGLUM01G41970.2 pep chromosome:ALNU02000000:1:40099202:40102610:-1 gene:OGLUM01G41970 transcript:OGLUM01G41970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTVRTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVSSNTSIYVVDTLGELRMLYRVTPIAVIGGSFLPGLAGHNISEAAAVGCAVMTGPSVGHFYHMLVEMWQINPLAVKQVKGEYELLEALKQLLGDSRALEACQRAAKDAFSIMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >OGLUM01G41970.3 pep chromosome:ALNU02000000:1:40099202:40102610:-1 gene:OGLUM01G41970 transcript:OGLUM01G41970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTVRTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQFAPLDCPDAIESFIGYWKPNLILLMESELWPNLILSAAEKGIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVKGEYELLEALKQLLGDSRALEACQRAAKDAFSIMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >OGLUM01G41970.4 pep chromosome:ALNU02000000:1:40099202:40102610:-1 gene:OGLUM01G41970 transcript:OGLUM01G41970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDO transferase A [Source:Projected from Arabidopsis thaliana (AT5G03770) TAIR;Acc:AT5G03770] MRTVRTPASAARGGRALYELYRAASRAAAPAALLWRRLRGLEHPSRWPERLGRPSVARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPILLTTTTLSSFEVMKDLLPDGVIYQIAVVLLNARMSLKSFNRWSLPLGLQLVSLMLSKLSLVIPLSTIQAVRFQLLHAPPQIIHFAGDLKYAVGDIAAGEKEVAAIEDLQQQFSNRPIWMAASIHKGEDEIILRVHDELTRAYPTLLLILVPRHPEDSKNVSQTLKKQKVNFVLRSTREVVKGEYELLEALKQLLGDSRALEACQRAAKDAFSIMSDGVVNRVWNLVHPFTIGSQTDTCDSFSSS >OGLUM01G41980.1 pep chromosome:ALNU02000000:1:40103098:40112099:-1 gene:OGLUM01G41980 transcript:OGLUM01G41980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPKAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGSVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANV >OGLUM01G41980.2 pep chromosome:ALNU02000000:1:40103098:40104356:-1 gene:OGLUM01G41980 transcript:OGLUM01G41980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MHAGPGWGRFYIGPIQFLVCFGAVVACTLLAGQSMKAIYLIANPGGTIKLYVFVAIFGVFMMILAQMPSFHSLRHVNLISLVLCLAYSFCAVAACIYLGSSKGAPEKDYSIAGANTRDRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFKGLCLCYAVVVTTFFSVAISGYWAFGNQSQGTLLSNFMVGGRAVIPEWLLLIIELFTLLQLSAVAVVYLQPTNEVLEGLLSDPKAGQYAARNVAPRVLSRTAAVALGTTIAAMVPFFGDMNALIGAFGFLPLDFAVPAVFYNVTFKPSKKGSVFWLNTTIAVVFSALAVVASVAAVRQIILDANSYKLFANV >OGLUM01G41980.3 pep chromosome:ALNU02000000:1:40105181:40112099:-1 gene:OGLUM01G41980 transcript:OGLUM01G41980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G08230) TAIR;Acc:AT1G08230] MGAPSREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFASLGWAAGLICLVIGAAVTFYSYNLISLVLEHHAQQGRRQLRFRDMATDILAFMSNGYGHTISDR >OGLUM01G41990.1 pep chromosome:ALNU02000000:1:40121964:40127395:1 gene:OGLUM01G41990 transcript:OGLUM01G41990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleobase-ascorbate transporter 12 [Source:Projected from Arabidopsis thaliana (AT2G27810) TAIR;Acc:AT2G27810] MSSSSGAARRARPGPWPPAPPPQPQAQPLSWAKRTGFQSRVSGESVAIASASSSGQVSLPRPAEAPSDLESGPPARPNSALPPPPAAAAANAEAKPQPPPPPPPARTRRRDSDGGRPNGQAAAAPLPQLLEEEDDGAPERPKYELRDSPGVFPIAVYGFQHYISMLGSIILIPLLMVPAMGGSPDDMAAVVSTVLLVSGMTTLLHTFCGTRLPLVQGPSFVYLAPALAIIYSPEFFGLNHNNFKHIMKHLQGAIIIGGAFQVLLGYTGLMSLFLRLINPVVISPTVAAVGLSFFSYGFTKVGSCIEMGLLQLLIVVMFALYLRKVKLFGYRVFLIYAVPLALGITWAIAFVLTATGVYSYRGCDANIPASNNVSAYCRKHVLRMKSCRVDISHALRSSPWLRFPYPLQWGTPIFSWKMGLVMCVASVIASVDSVGSYHASSLFVATRPPTAGVVSRGIGVEGVSTVLAGLWGTGVGSATITENVHTIAVTKMGNRRAVGFGAIVLILLSFVGKVGAFIASIPDVLVAALLCFMWAMLCALGLSNLRYSAKGSSRNSIVVGLALFLSLSVPSYFQQYRLQPNSNSSVPTYFQPYIVASHGPIHTGSSGVNYILNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAARRESAVMKDYELPFKIGHAFRWVKCVGL >OGLUM01G42000.1 pep chromosome:ALNU02000000:1:40128422:40131194:-1 gene:OGLUM01G42000 transcript:OGLUM01G42000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCAERHTYAATSLAARLGERVSVRAVACMADSTAMTVDFLRARLLSERSVSRAAKERADQLAKRVAELEEQVQAVTAQRRQAERAATEVLGILESHGFGGNLSDVLDSGSDRDGEEDDDPRDARSDGDTAGSRGEEQPPAQCEAAEDALSGTAEPGGGLSWKGRSVSPRKARQLKQKHRRSYFYLLSSDPSPKYRMGQSCRKNKRKELSNGKSTAPEEQRGDVEEIAWSQKGQQDGSDCTDDGQADMDGEVAGGQYVIRYEKDGEMERVLERQAELIGQYEAEEEAQRQWEKQFNENRSSAKVHVEAENKACQIENGWEQSKEHSRLADQAVHCNEEAKPGVKNHPSASNNRSAGLLLNGSLPESPQDTSGQEAAADQRDSHEELHGHCHAQSQGSSNVAGTMTGKNQEQGNENPDGCSSYCDIKAPSDGSPSMSDATLNSKVSDWSSSRFHDHGDNQVDAGPDQQPTSNMDIECVLQALQLARISLSQKLSKPVPPSQVTLALPAPGDDEHSEDDGYSPVDDEFNSARDELCSSSQSPDQEILALPAPEDYHDRENLPANDDATISLTEEQTSSSPHRQEILALPAPVDDYHREIVDDIKIPICIAGLFRLPTDSFPKDEMLSTCNKYGGSELNLRPTAAAPQNAFVSNTADRVTVAPSEIKDDHGFSTRPCYDPHSSGLLSVPTSGRCSTPSSDFTIRGASFLSGIPGLAEDFRKGRPLADADLLRLHYF >OGLUM01G42010.1 pep chromosome:ALNU02000000:1:40132103:40133561:-1 gene:OGLUM01G42010 transcript:OGLUM01G42010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPGGWELIEPTIRELDAKMREAENDTHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >OGLUM01G42020.1 pep chromosome:ALNU02000000:1:40152352:40156269:-1 gene:OGLUM01G42020 transcript:OGLUM01G42020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITWNQVSKDQGADQENIHCQLPKWKIHPKTTEVKSLLLLILFPAVVSCDIAIAYPMPTVNPVESTPRKGRGRPRKKPVEIKGLSGIKLTEDLSTALSPIALTCMEPKKKRGRPRKYPAPSNSKHLPGTDTELGNDSVCQPGSIDFGLGPTENTGSGANITHAAVDAASPVPLSGQRGREQPEKEVIHIENSMQSGQSDIGSMLPTCILPESGNKSNSTGPRRRGRPRKKPFPSTTSCVFVPGTETPKKGSTLTNSNNLVVLAKSNCDILANDIGRLSCAIENSVHLSVGTSHAATPAQGICLAKCKEESSAKKGRGRPRKQPISTECGCSKACRGEEQKTQTIPKSSDNASLVENCKKESCPGKGRVQDKKKSVSNERSSVVLSVEAQNMDGSSASTAYTSCCTPACNFENAETNQAVSVPSENSAQVIDELKDTEVARFKESTKDDNMIYSAEKTLSRVPKDISLPRVVLCLAHNGKVAWDVKWKPPSANQSEHKSCLGFLAVLLGNGSLEVWEVPSPSMIQKIYSSSSKEGTDPRFLKLKPVFSSAKVKCGNRQSIPLTVDWSPSHDMILAGCHDGTVALWKFSANLSFQGSKPFMCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFWDLRDPYRHLWELTTAPRAVISLQWLKDARGVVISLEDGTLKFVSLSRIANDVPVTGRPFVGTKTQGVSTYQLSEYLIWSVHASEITGAFHLMSNHSRCQVSEYWL >OGLUM01G42030.1 pep chromosome:ALNU02000000:1:40154425:40159406:1 gene:OGLUM01G42030 transcript:OGLUM01G42030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWRERGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRGAMDPLNSLQPSSSSKIYSAQQRTGKGKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDMQILVWSPSTPAFTEMPLQEDDGKRQTDFVVDDDNWSD >OGLUM01G42030.2 pep chromosome:ALNU02000000:1:40154425:40159406:1 gene:OGLUM01G42030 transcript:OGLUM01G42030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWRERGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRGSFELFTTFIFFKDLLCTAEDRQGQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDMQILVWSPSTPAFTEMPLQEDDGKRQTDFVVDDDNWSD >OGLUM01G42030.3 pep chromosome:ALNU02000000:1:40154425:40159406:1 gene:OGLUM01G42030 transcript:OGLUM01G42030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWRERGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRGAMDPLNSLQPSSSSKIYSAQQRTGKGKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >OGLUM01G42030.4 pep chromosome:ALNU02000000:1:40154425:40159406:1 gene:OGLUM01G42030 transcript:OGLUM01G42030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWRERGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQRRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRGAMDPLNSLQPSSSSKIYSAQQRTGKGKKQSHKLHKSQIPGHGHIQQRLHPGLSSSQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >OGLUM01G42030.5 pep chromosome:ALNU02000000:1:40154425:40159406:1 gene:OGLUM01G42030 transcript:OGLUM01G42030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRWRERGELGARRFETAARARRTASLALSNRKEFTTPHNGAINSLQVDLTERRYLLSGASDGSAAIFDVQNATEYEAGFIAKHRSILLVDKQHENGHKFVVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVHLCDIASGAFTHTLSGHRGSFELFTTFIFFKDLLCTAEDRQGQNCATAHYGAVTGLRTTTDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDMQILVWSPSTPAGATFITTVQYFILQFHPKYHFDKDS >OGLUM01G42040.1 pep chromosome:ALNU02000000:1:40165322:40165687:1 gene:OGLUM01G42040 transcript:OGLUM01G42040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTARGRTQVPQLTDDKDQDNRTRVASAGDGDSDHLEERELRERAIAEFQRLVEYTFRLTHTPEAFVPVGWTAGLDRPESTPRLVPGDPIGTDAQVRTSPSCRKKKSCRLRSDGDGWAL >OGLUM01G42050.1 pep chromosome:ALNU02000000:1:40166857:40167908:-1 gene:OGLUM01G42050 transcript:OGLUM01G42050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLVAPVSRASAEQRACRSGIQVDRDSDTIGAYVCNPATRRWASLTQPATPWPRRHDGAFIAFDPAVSQTRRLACGVVGRTPTLDLLIPESSSGEDELAPSPEERTLLLRVFSVSHAAAASASQVVGCSCQVRLRRRAPTTRRRELPCSPREGERERVKEGKRRRR >OGLUM01G42060.1 pep chromosome:ALNU02000000:1:40172394:40176741:-1 gene:OGLUM01G42060 transcript:OGLUM01G42060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPS >OGLUM01G42060.2 pep chromosome:ALNU02000000:1:40172394:40176303:-1 gene:OGLUM01G42060 transcript:OGLUM01G42060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPEATGAPPQTDGEEVKDGVEVCVFDESPEVFSRAVHAISELTTGEHEPNFPDAEVERLASSITFLREWRHFSYEPKNVSFTCDAKSAPSRVDTHKINLPQFSSASVPQITHLDNGKAKTDSSDFVIFAGGNVWALDWCPRLCDRPHSPINCEYLAVAAHPPGSSYHKIGMPLIGRGIIQVWCLLAPSEEGHPHQSLVACNKYNPSNQPKQRGRPRKTITTSDDHLEPS >OGLUM01G42070.1 pep chromosome:ALNU02000000:1:40185138:40186712:-1 gene:OGLUM01G42070 transcript:OGLUM01G42070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEAEALHGAVEALAGSLQPHVATAFFVFSACTVALAALLAIVRLRLPWWCDCTVCEAYLTASWAGEFDNLCDWYAHLLRTSPAQTVHVHVLRNVLTANPVTVDHVLRARFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAARVVASELRCRLIPLLHSASREGNGKVLDLQDVFRRFAFDSICKISFGLDPGCLELSMPVSTLVEAFDTASTLSARRATVPMQIIWRLKRFLNVGDERKLRDAVRLVDALAAEVIRQRRKLGGAATGSDLLSRFMGSIDDDKYLRDIVVSFMLAGRDTIASALTAFFLLLSDHPEVATAIRDEVARVTGDGNRTMAATFDKLKDMHYVHAAMYESMRLFPPVQFDSKFAAGDDTLPDGTVVAKGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQAGVRVCIGKELALMEMKAVIVAVVRSFDIEAIARSSRRPKFAPGLTATFAGGLPVRVRRRRARASGHNPPI >OGLUM01G42080.1 pep chromosome:ALNU02000000:1:40205236:40207045:-1 gene:OGLUM01G42080 transcript:OGLUM01G42080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLDSPAVLKATNVQSGDMASVDVDAGGSGNRLLSKRSIHELVAQIDPSEKLDPEVEDALIDIAEDFVESVATFACSLAKHRKSSILEAKDVLLHAERSWNITLPGFSGDEIKLYKKPHVNDIHRERLTLIKKSMASESNAKGSAAQAAANQKNQTPKPPATGSP >OGLUM01G42090.1 pep chromosome:ALNU02000000:1:40207410:40209570:-1 gene:OGLUM01G42090 transcript:OGLUM01G42090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPPAQPDAAAAAPAAPPTSTSASAPSSAPQPNPTPSASTAAPPTPDTTLAPAPNPTPAPVQTLETPAPSPASARPPVPRMRPPYTHLASPITMSSSPATGAASSSSASAPAATSASSSAMPRGGVALGLPAHPRAPQTPVGYTGFVPPPTLAAQFGSMHRGPDQPPPSSTQPRQPSPGIQNIGTVGSINTSQVRPGAISSLPQQTRPNFPSSTAPSPSDSQIAKNPHPSFGKTTIYGFFPLDAFTANSAKCICST >OGLUM01G42100.1 pep chromosome:ALNU02000000:1:40209661:40213326:1 gene:OGLUM01G42100 transcript:OGLUM01G42100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27590) TAIR;Acc:AT2G27590] MGKSGKKTESHRQGRGRRASRFRGGGGGGDEDGDELPSSAYDAPPPHHEDSDGDDTDEAAAEDEHEGGVDGGDQEQREVGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTALLSAEWLRTDARRTAVGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPNVARLVKQKICDAMQDLHVNNDNDSLASNICDQLDPASPKCSANSTMSDLVLPARDIICAFNYSCCCLHRRKDLVLYFRHAFNALSKRGGIFVMDVYGGTSSERKLRLQRRFPSFTYFWEQEEFDIISHQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSSGNAKEYNANRDVKYEELQHFNQADAWNAYVVGVANI >OGLUM01G42110.1 pep chromosome:ALNU02000000:1:40215523:40216704:1 gene:OGLUM01G42110 transcript:OGLUM01G42110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPLRRPFAVLLFVVLCAAASFPSVLRRSVGPAPVLAPLPPLDPARLNATLLRLAAADPSEAPLRRDVDDLLEGRLPASSARARAWRLRGDRLHLHLRHHQFPVYRRGHHPDHDHDPLLHPLPRQELLLDPSLRRALRSWHRLRRHDPGVLRNLPSLLSLPGRIPSCAVVGNSGILLGASHGALIDSHAAVFRLNNARISGFTANVGAKTNLSFINSNVLHLCARRPNCFCHPYGDGVPILLYICQAAHFLDVASCNASSRSLHAASISVTDPRLDVLCARIVKYYSLRRFVAETGRAAEEWSSTRDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKAADAKHHYHSNQKAELDLHDYKAEYAFYRDLADRPEVVPFLNDAGIAVPPVVFYH >OGLUM01G42120.1 pep chromosome:ALNU02000000:1:40224958:40225293:1 gene:OGLUM01G42120 transcript:OGLUM01G42120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATHEHGGGDGDDGGGNDGDNDDGVVTTNPRGRLGRQPAGLLTTTGDDSREASPSSSSSRSSFSSFLSLASSPLPPSSSSAALAPSTVRERGTRPPRASAWHNMSDEELL >OGLUM01G42130.1 pep chromosome:ALNU02000000:1:40228318:40229906:-1 gene:OGLUM01G42130 transcript:OGLUM01G42130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRTCSAMEGEVVAASPFFEWLKPPLRPASSSSWSSSFSSSSSMASRDQETVVPGEDGGGEIQEDHKSGMTCLPLLSMLEEGNSKRHEHPVKEEIMSSAHAAGVVEPGVELNIGLPVTGSSAQEVTMEEDDEEEDDDDVGEEEMDEWKPMHGGCKVEGDEEQYGEAVASVEGSSSITAVGDMFGGVGAESGVAMSSRYWIPTPAQILVGPVQFICHVCNKTFNRYNNMQMHMWGHGREYRKGPESLKGTQTLAMLKLPCYCCAAGCKNNVAHPRARPLKDFRTLQTHYKRKHGAKPFRCRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGAHHLPVAESAAAAATTPADKDRIISFQR >OGLUM01G42140.1 pep chromosome:ALNU02000000:1:40246346:40250547:1 gene:OGLUM01G42140 transcript:OGLUM01G42140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >OGLUM01G42140.2 pep chromosome:ALNU02000000:1:40246346:40250547:1 gene:OGLUM01G42140 transcript:OGLUM01G42140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSKLVLITAVALLGWAYKVARPPPPPILGGPGGPPVSSPRVQLKDGRHLAYREAGVGREIAKYKIIFSHGFASTKESDFPVSQELAEELGIYLLYFDRAGYGDSDANPKRGLKSDATDVEELADKLQLGEKFYVVGTSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPMPASVSASAYRKLDVGDRRTFWIAHHMPWLFYAWFNQKWFRISPIVEGKPEAFTEKDWEILAEIQRTGQLDRGRATKQGAYHSLCRDATILFGAWEFDPTAIENPFPNGDGVVSIWQGREDKIVRVEAQRYVAEKLPWVRYHEHPEGGHLFMCADGLGDKIVRELLLGEEPRDL >OGLUM01G42150.1 pep chromosome:ALNU02000000:1:40267380:40269187:1 gene:OGLUM01G42150 transcript:OGLUM01G42150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADTMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAEKTVLLTKKQMLVEKMMEQSKEKMNANRGGSQLRRSGSCMW >OGLUM01G42150.2 pep chromosome:ALNU02000000:1:40267380:40269187:1 gene:OGLUM01G42150 transcript:OGLUM01G42150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEMSKNVKVTDDQEVTSQERDQSGGTKVGGEEEIAPLARQSSILSLTLEELQNSLCEPGRNFGSMNMDEFVANIWNAEEFQATTGGCKGAMEEAKVVDSGSGSGDAGGSGLCRQGSFSLPLPLCQKTVEEVWAEINQAPAHTSAPASALQPHAGSGGVAANDRQVTLGEMTLEDFLVKAGVVRGSFTGQAAMGSGMVNGPVNPMQQGQGGPMMFPVGPVNAMYPVMGDGMGYPGGYNGMAIVPPPPPAQGAMVVVSPGSSDGMSAMTHADTMNCIGNGMMIENGTRKRPHREDGCAEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNYLKQENARLKEAELVEKMMEQSKEKMNANRGGSQLRRSGSCMW >OGLUM01G42160.1 pep chromosome:ALNU02000000:1:40270305:40277613:1 gene:OGLUM01G42160 transcript:OGLUM01G42160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >OGLUM01G42160.2 pep chromosome:ALNU02000000:1:40270082:40277613:1 gene:OGLUM01G42160 transcript:OGLUM01G42160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >OGLUM01G42160.3 pep chromosome:ALNU02000000:1:40270305:40275176:1 gene:OGLUM01G42160 transcript:OGLUM01G42160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGISRLIGLKAAVFLSVAYFFHRLDMTLLSFPLIYASVIALLVSIASHPSIDLPLLLGKGSNGSFPVWSWIMFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVYVGGWPSSVERLPPGEPAVIDCTCELPRSSTISENSYLCVATWDTRAPQPSQIESAVRWALRKRSQNKAVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIREKRPSISMNSLHRKSLEEWAKHLLTPSKRSGESDMSIFKPVQEPLEVWNNSVPVGLTLSVPMKFLLQEKKESMPAMFICFSDSRRDIARISVLDITHQMIKSTIHPMNTSIIHRLSNDSLEISSSESYSRGREDQRLLRARRRR >OGLUM01G42170.1 pep chromosome:ALNU02000000:1:40274490:40281368:-1 gene:OGLUM01G42170 transcript:OGLUM01G42170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >OGLUM01G42170.2 pep chromosome:ALNU02000000:1:40274490:40281368:-1 gene:OGLUM01G42170 transcript:OGLUM01G42170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQGLFLGGCSAAGDMSSGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >OGLUM01G42170.3 pep chromosome:ALNU02000000:1:40274490:40281368:-1 gene:OGLUM01G42170 transcript:OGLUM01G42170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKTERRLAQNREAARKSRLRKKAYVQNLETSRVRLQQIEQELQRARSQQQLQPASLQLADEGVPRSSHHGAVKSFAGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >OGLUM01G42170.4 pep chromosome:ALNU02000000:1:40274490:40281368:-1 gene:OGLUM01G42170 transcript:OGLUM01G42170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYKEWIELVVIMVQGEESSWRMAASTHHERAIPLNQALAYGVQAHASPSVAAAPPASFLDFQPAAAAAAYFGELEEALIHGANAGGVVDPGMIRADVHSKSAAAAATAGYLAARPPTLEIFPSWPMRQQQQLHSGNSQSVGSTTDSSSAQNTMPQMELVSPASIRASSEHQHQQQQPGQEVMMVTTDDYSYKPGLAAASPSFQQQHQLQHHQQQQLHGGGDHDKRKHGSTRKDGKSVDAKVGYVHNRTEISAKQRGCEEEQAEKKGLCAKPRDQQGQASADRARTPKSTVTGAAMFDMEYARWLDDDSKRLTDLRGGLQAHLLDTNLGLIVEECMQHYDELFQLKAALARSDVFHLLTGTWATPAERCFLWMGGFRPSDLLKILIQQLDPLTEQQMLGIYSLQQSSEQAEEALAQGLQQLHQSLADTVAAGTLNDGPGVPNYMSLMAIALDKLASLESFYQQVTNLANVQADNLRQQTLHQLRRILTTRQAARCFLSIGEYYRRLRALSNLWSSRPRENFIGTESVSPTGTELQPMHNQPQQNQYSGF >OGLUM01G42180.1 pep chromosome:ALNU02000000:1:40288649:40289902:-1 gene:OGLUM01G42180 transcript:OGLUM01G42180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67190) TAIR;Acc:AT1G67190] MEHLPVEVIGNILAHLSAARDVMVASGVCRKWRTACRKHLHSLSFNSDDFPRDMTTRQLEIVITQTIFQTMGLQCLSIHIDRIHEFSAAPVIAWLMYTRETLRSLSYNVRTNPNVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIESLALDFLEVVTSDPQSTMELTSHTLKSLFAKSVGVDKIILDTDNLEVLNLNALNLDLFELIGKGTLKHLKIDDVSVTHMDIGESTDHLEVVDVSNFTIVRPKLYSMISRASNLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >OGLUM01G42190.1 pep chromosome:ALNU02000000:1:40291183:40291404:1 gene:OGLUM01G42190 transcript:OGLUM01G42190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWRGGVAAGGKRPPGPALPRRSHGHGRWSGLVAVVAVWIGGARKRGRRAMGWERTRALQLQSIATSGEVFR >OGLUM01G42200.1 pep chromosome:ALNU02000000:1:40294297:40297804:1 gene:OGLUM01G42200 transcript:OGLUM01G42200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGRKTTALRTEETEWHGMKKIRAPMAYSETHSRRHFALRFRRRRAAATFLVAEQCARTAGAMSKLQSDALREAISQITSESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKSILKSSYIIVSKRGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >OGLUM01G42200.2 pep chromosome:ALNU02000000:1:40294297:40297804:1 gene:OGLUM01G42200 transcript:OGLUM01G42200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGRKTTALRTEETEWHGMKKIRAPMAYSETHSRRHFALRFRRRRAAATFLVAEQCARTAGAMSKLQSDALREAISQITSESREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVIRVF >OGLUM01G42210.1 pep chromosome:ALNU02000000:1:40298818:40300308:1 gene:OGLUM01G42210 transcript:OGLUM01G42210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALTPMQLIGTVFVALLATCHAGGIAVYWGQNDGEASLAETCASGNYEFVIIAFLPKFGKGQTPRVDLASHCDPASGGCTGQSKDIRACQRRGVKVLLSIGGGDGSYGLSSPGDARQVAMYLWNNFLGGSSSSRPLGDAVLDGIDFDIELGGAKFWDDLARDLKSLGRSGGRRVVLSAAPQCPFPDEWDGGAISTGLFDAVWVQFYNNPECQFSAGRGAFMDAWRKWESVPAGRLFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGIMLWSKYYDDQTGYSSAIKSHV >OGLUM01G42220.1 pep chromosome:ALNU02000000:1:40302678:40303568:1 gene:OGLUM01G42220 transcript:OGLUM01G42220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIMVVVALAGLAAGARAGDIAIYWGQNGNEGTLAQTCATGNYRFVIVAFLPVFGKGQTPVLNLAGHCDPASNGCTGVGADIKSCQSLGIKVMFSIGGGVGNYGLSSRDDAKQVAAYLWNNYLGGTSPSRPLGDAVMDGIDFDIESGGGMYWDDLARYLKAYSRQGSSKKPVYLTAAPQCPFPDASLGVALSTGLFDYVWVQFYNNPPCQYSSSNGVGNLASAWKQWTSIPAGRVFLGLPAAAEAAGSGFVETSDLVSKVLPVVKKSPKYGGIMLWSRYYDGLTGYSDKVKSSV >OGLUM01G42230.1 pep chromosome:ALNU02000000:1:40304257:40305513:1 gene:OGLUM01G42230 transcript:OGLUM01G42230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHQ3] MAVSPGQQPPIRYLDTAPKGSARSMATMPAPVATCFVPATSGVRCRAFSTPITNYSARGVVADPPKLLSRPGNLQLTSGGARFSGRFRASAAAVHKVKLIGPDGAESELEVPEDTYVLDAAEEAGLELPYSCRAGSCSTCAGKLASGEVDQSDGSFLADEQIEQGYVLTCISYPKSDCVIYTHKEEEVH >OGLUM01G42240.1 pep chromosome:ALNU02000000:1:40311942:40312659:-1 gene:OGLUM01G42240 transcript:OGLUM01G42240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRSSFHVSSTCRLRGIRVKTKICGGGCSRAVAATANGRADAASRRSGHEAPSGRSYGSSSSRRRDGSRHAHAHRDAFAAAAAYLDYIVDNADEFGGTRWAITKFSWDVKYAGVQILAARIRRDSNKHLALT >OGLUM01G42250.1 pep chromosome:ALNU02000000:1:40323400:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGSRPIACVRPDSKETENLY >OGLUM01G42250.2 pep chromosome:ALNU02000000:1:40323400:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGSRPIACVRPDSKETENLY >OGLUM01G42250.3 pep chromosome:ALNU02000000:1:40323556:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPDIGGEKQKYRTEKKSERPREEEEREGEAQSANGALLDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAMTSGMGSRPIACVRPDSKETENLY >OGLUM01G42250.4 pep chromosome:ALNU02000000:1:40323400:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAVRTASLTLLLLVLPLLSSFSC >OGLUM01G42250.5 pep chromosome:ALNU02000000:1:40323556:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPDIGGEKQKYRTEKKSERPREEEEREGEAQSANGALLDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAVRTASLTLLLLVLPLLSSFSC >OGLUM01G42250.6 pep chromosome:ALNU02000000:1:40323556:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMIISPMRERDPWKQIKPWERTSYAVTRRAEHRRRPPRGPIPRREEGEGRCINSNVTTLPDIGGEKQKYRTEKKSERPREEEEREGEAQSANGALRPRLLASARPPAPAPFLSCPTRRITPLSFLHTYHAAEKESPTTERMIGGGD >OGLUM01G42250.7 pep chromosome:ALNU02000000:1:40323547:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVRASSLLLGLLLQLLSSVDVVSAAQKFGINYGQIANNLPDPTQVAGLLQSLNVNKVKLYDADPKVLMAFANTGVEFIIAIGNENLQSMAGNPGAARQWVTQHVQPFLPATRITCITVGNEVFSGNDTGMMASLLPAMKAIYAAVGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAQYIQPLLDFHGQTNSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLSYDNMLYAQIDAVYAAMKAMGHTDIGVRISETGWPSKGDEDEAGATVENAAAYNGNLMQRIAMNQGTPLKPNVPIDVFVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINTGAGGVSGRTGPFDPYSAQMFSSASRLAVRTASLTLLLLVLPLLSSFSC >OGLUM01G42250.8 pep chromosome:ALNU02000000:1:40326085:40328143:1 gene:OGLUM01G42250 transcript:OGLUM01G42250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHPKGRSKDERASQKARQYDVWDGGSCPTRRITPLSFLHTYHAAEKESPTTERMIGGGD >OGLUM01G42260.1 pep chromosome:ALNU02000000:1:40329391:40330281:-1 gene:OGLUM01G42260 transcript:OGLUM01G42260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLESLVCGVAGAGAGDRKVSCETVIAAGESGDASPPPPPPPDPDFPPESITIPIGDEVAFSELNPIYDRDDSTKGSTNPKSAAGASSNPIPAKSRSNSTRIAGAPAAATTFFGLPASIRPAFTRRRPSQGRILPDKRSGSRGGGGGGSSRRGDGEEEPRSPKVSCIGKVLSDRERYGRSRGRRWWRGLVAVLLCGGGCSCQGGGRRHARKKVALDEDHHDGDDDKQAGIAAMRRFKSGRRTASWVEEAIAAAEAAGEEEQQQENDAAADDDEKKQEVERYEPTTLNSGPHDR >OGLUM01G42270.1 pep chromosome:ALNU02000000:1:40333294:40333680:-1 gene:OGLUM01G42270 transcript:OGLUM01G42270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGEDAKATRQPEQQPEAAAAEAGVAAYGGGVIAKLEEQWRKTKEHAETYPYVWGSYILVYGGLAAYLTWRWRKLRRTEDRVRGLQARLRQLAAAEESQAASTPPPPPQQPPLSGPGKPTSGP >OGLUM01G42280.1 pep chromosome:ALNU02000000:1:40334621:40335442:1 gene:OGLUM01G42280 transcript:OGLUM01G42280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANQKQQKASIGRRAWRLLRLAVLWVRKGSAVHSLCLFSNLRRAGVGLGVVGGGGRSERLRYGEPEYSIEETPSARVLCLIPCIAPAVPDTPGFYGDEDRYFFCRWDTEPECSSVGCYDYIENDVLKTEQIVQVVRPTDQLDAEKCRIFGVGHGARGDVTILPTLVINNVQYRDLFCYDYNGEPSECGGVEDESFPDGAMDEQLLELSMPTPASARRSAR >OGLUM01G42290.1 pep chromosome:ALNU02000000:1:40335600:40335872:1 gene:OGLUM01G42290 transcript:OGLUM01G42290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPVTRRMRRRRRRSRGGRRRPPGEAGHTPSMRRWRRRTLATVLTRLPPPALRASAPLRFAGRCSAPLAAACSVRTPRGEEKKERGNTG >OGLUM01G42300.1 pep chromosome:ALNU02000000:1:40336521:40336915:1 gene:OGLUM01G42300 transcript:OGLUM01G42300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRIWIARWLDARRVAPVFIPTVPDFLRLRKCAVSVSIELRRRPLHAAAQAEHVGSVRHAGRCHTDTAFALLLPGAVVPEPGLVERLVIVRSTLGRVAMN >OGLUM01G42310.1 pep chromosome:ALNU02000000:1:40337807:40342790:1 gene:OGLUM01G42310 transcript:OGLUM01G42310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >OGLUM01G42310.2 pep chromosome:ALNU02000000:1:40337807:40342839:1 gene:OGLUM01G42310 transcript:OGLUM01G42310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSPSPRRRGRPTSPSGSPPLDRTPSAKAAGGGATTTVSPYALARSPSVSAAEADGDDGVVRVYGSDGCPVAWRVRVALLYKAAAPVHFTPSEAAPLGRPVLRLSASDPELCGTADELLRHVDARFEGKPLVTPPERPARVSAAAAAAEEVAELVRLQHRSAERHLEGVAAKVAEMVKKGAKKAGKGAKVVVVEGAEVRRLGKWYGDAMEVMLEHARMEETVLFPDIQRASFPGVLDKANEQHGRHLPMMNGIKEDIKTLLTLELGSSLFQEVLVNLSVRLKALQDHTKEHFKEEERELLPRLEGVRRMQREEGNVSDKSNTAWASEAMGTMEMTHSKLFPFFMTGLLPQEAMQYLDLVCRCTKNTRHLVSMLRSLAERLEDANPAIIHNNPTKLYEHLLVKSP >OGLUM01G42320.1 pep chromosome:ALNU02000000:1:40341268:40344999:-1 gene:OGLUM01G42320 transcript:OGLUM01G42320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATVGGGGGEPEAAAEWRARAVGGMEYGWCRAVPGGTGTTLLALRLARGAEAAVAAATVQAALRAILDAHPVLRARLRGSASGSPTLAFPSAAAPPPPPLALELLPVPESAPDFPSLLEHELNRNPWTAAAATATASEHEPDAPPVLFATLYELPPPAGGGSALFVRIHTAACDRAASASLVRELLAQLAGDGAAAAAASEPEDAAVRASLEERIPQRDSWKPFWARGLDMVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDRDETTRLLDACKQNGVKLCAAMASATLLAARQSKLQLASNQQETYSIATLINCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKNNKKHLTDIADLNFLIRSASDLSMETKCLAAPYFPPTFQFHAQNSKGDRAV >OGLUM01G42320.2 pep chromosome:ALNU02000000:1:40342901:40344999:-1 gene:OGLUM01G42320 transcript:OGLUM01G42320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATVGGGGGEPEAAAEWRARAVGGMEYGWCRAVPGGTGTTLLALRLARGAEAAVAAATVQAALRAILDAHPVLRARLRGSASGSPTLAFPSAAAPPPPPLALELLPVPESAPDFPSLLEHELNRNPWTAAAATATASEHEPDAPPVLFATLYELPPPAGGGSALFVRIHTAACDRAASASLVRELLAQLAGDGAAAAAASEPEDAAVRASLEERIPQRDSWKPFWARGLDMVGYSINGLRTSTLPFEVTGTERSTQMLRLGFDRDETTRLLDACKQNGVKLCAAMASATLLAARQSKLQLASNQQETYSIATLINCRKFLEPALDDHNVGFYHSAITNTHAIHGGEELWELAKRCQDSYTNAKNNKKHLTDIADLNFLITKGSYISTE >OGLUM01G42330.1 pep chromosome:ALNU02000000:1:40345922:40348160:-1 gene:OGLUM01G42330 transcript:OGLUM01G42330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKYSLFPCCSGRAAAARCFLHARACITVPVAAVAAAARQPNNPCMWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMTPNFLSAAKI >OGLUM01G42330.2 pep chromosome:ALNU02000000:1:40345922:40348160:-1 gene:OGLUM01G42330 transcript:OGLUM01G42330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQLWVLDSVPGQVETDNSDGEVERVLQTLASLPSSLPSRKWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMTPNFLSAAKI >OGLUM01G42330.3 pep chromosome:ALNU02000000:1:40345592:40347295:-1 gene:OGLUM01G42330 transcript:OGLUM01G42330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MVKLNGFCKRWQVFLHRFHRASRAAAARCFLHARACITVPVAAVAAAARQPNNPCMWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDADPPLILCTR >OGLUM01G42330.4 pep chromosome:ALNU02000000:1:40345922:40347295:-1 gene:OGLUM01G42330 transcript:OGLUM01G42330.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MVKLNGFCKRWQVFLHRFHRASRAAAARCFLHARACITVPVAAVAAAARQPNNPCMWVVDHMINLGFSKSLSEWIGSNLKKDNEHVTWAFDLQAAIDMFNSYRERSYWTLLENPPKGLDIAIVQAELSDRWLSDDVQRLKALSRRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMTPNFLSAAKI >OGLUM01G42330.5 pep chromosome:ALNU02000000:1:40347311:40348160:-1 gene:OGLUM01G42330 transcript:OGLUM01G42330.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52570) TAIR;Acc:AT3G52570] MAASLRASSSSLRSRLLSSSSAAWSPWSHVLSSSVHSEASNQTETLAFDEIQLSPEKPSTATAFVLHGLLGSGRNWRSFSRALASELRDRSPSDEWRMVLVDLRNHGRSAGIKGLRPPHDMSTAARDLADLVKARGWAWPDVVVGHSMGGKVALDFAESCSRGDYGESADLPKQNSFGCLILFLVK >OGLUM01G42340.1 pep chromosome:ALNU02000000:1:40348621:40351817:1 gene:OGLUM01G42340 transcript:OGLUM01G42340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRQLLVHRLSCSGLPASRCKTSCWSSGERLPGLKSRVRLLAVAIKPPRAAAGKDEIVRADDDDDGVSLGTVKLPANIDIARFETLLFQWGNSLCQGATLPLPVPLRVDKVEGGVRLGFMAVDDGVTQVLVYIDCLVSPATAASGPVFRAIRNGPMKDQEPPGEPRIMRSLLEALQKCIQYAQV >OGLUM01G42350.1 pep chromosome:ALNU02000000:1:40348822:40355414:-1 gene:OGLUM01G42350 transcript:OGLUM01G42350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGVLPRLEAWAPGAAGWRLGRGCSPTVSSVSNRAMSMLAGSFTVPRLTPSSSSSARTISSFPAAARGGLMATANNLTLDFKPGSLSPLLQQLVLQREAGRPLQESLWTSSCLTTAMAAFCLRGFGLRSGVESQFTELS >OGLUM01G42350.2 pep chromosome:ALNU02000000:1:40349985:40355414:-1 gene:OGLUM01G42350 transcript:OGLUM01G42350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sorting nexin 1 [Source:Projected from Arabidopsis thaliana (AT5G06140) TAIR;Acc:AT5G06140] MGTGVQAYISYRVITKTNLPDFEGQEKIVIRRYSDFEWLHDRLAEKYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFVNRIASHPELKQSGDLKIFLQADEEKMDRERSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESSPEYEKLKNYIFELENHLAEAQKQAFRLVKRHRELGQSLADFGKAIKLLGACEGDSLEKVFSEVGSKSEMLSVKLQREADNLLFNFEEPLKDYVRAVQSIKATMVDRANAFRQHHDLFQQKEYKGVNLEKLKFVNPDKFSELEAELTADSEEATKRFEHIVAVMNEELARFQEQKTADIGFAFHEFAKGQAKLAKDIADAWRGVLPRLEACSTS >OGLUM01G42360.1 pep chromosome:ALNU02000000:1:40373972:40375602:-1 gene:OGLUM01G42360 transcript:OGLUM01G42360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAHVSTPTSSSIPTSDPPLGVLSDITNLSAIELRRKRARERYALLSVEDKEARNKKAREKRKQKKEESQEGNQSSTTVKAGDASLGVLSDITNLSAAELRRKRARERYALLSVEDKEARNKKAREKRQQKKEDSQGDNQSATTADGIETRQPMITPRRLSFTVRNSVAHYDDIENNEGPLSCIVQRASQDSLKLDFVRDKSGFAHARPDQVMSCAQLHCNYSFRYYHMSELQQQLS >OGLUM01G42370.1 pep chromosome:ALNU02000000:1:40381729:40384936:1 gene:OGLUM01G42370 transcript:OGLUM01G42370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAAKKALRVLKALVKLQALVRGFLVRRQAAAMLQSMQALIRAQATVRAHCTGAGAAANLPHIHHAPFWPRRSLQERCATDDTRSKHGVAAYSRRLSASIESSSYGYDRSPKIVEVDIGRPKSRSSSSRQASSPLLDAGCASGGEEWCANSMSSLLPCYLPGGAAAPPPRIAVPTSRHFPDYDWCTLEKARPAMVQSTPRYAHAPPKQRP >OGLUM01G42370.2 pep chromosome:ALNU02000000:1:40384337:40385194:1 gene:OGLUM01G42370 transcript:OGLUM01G42370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRHKNSPSSERFLGSFLPSAAAGDQPGSAAFELDEDDLFASGAGSPERPQPSRRPLILSAVRAANPSPLPRLRRPPEGILDALPERRSPFSPPPSSSSNSSTTASPAAAAAAPPRLIPTIPRPAAALAPHIPQSAPVNVPVAQFRRLSVEALMDKAEDDDDDDEEMLPPHEMVARARARDSPMTTFSVLEGAGRTLKGRDLRQH >OGLUM01G42380.1 pep chromosome:ALNU02000000:1:40394955:40397174:1 gene:OGLUM01G42380 transcript:OGLUM01G42380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGRRAPGFRFYPTEEELICFYLRNKLDGLRDDIERVIPVFDVYSVDPLQLSEIHHEMLGGGGEEGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSADRRPIGMKKTMVFYRGRAPSGTKTAWKMNEYRAFHYPDASSASASSAGAAAPPNHLPPQLRSEFSLCRLYTRSGGIRQFDRRPLAGGGDENPGPSMAAAAASPEENDGSGCSMQQLELMDQGGAVDPDWDQWDDLATLTALLYWPRD >OGLUM01G42390.1 pep chromosome:ALNU02000000:1:40431339:40431776:1 gene:OGLUM01G42390 transcript:OGLUM01G42390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDGKNRTLQEHSSAAAHRIARFGETNPQKAGEKPGETPRFQSECAVHLAAVPRHMAVCIPAGPASDVGGIGATGIPKSRPPETKHRPLVNRPENICCCYGGLPVKAIQAVYTVHGLMPCIGLKCSGSMLCPRMTTALLWESTI >OGLUM01G42400.1 pep chromosome:ALNU02000000:1:40431871:40434558:1 gene:OGLUM01G42400 transcript:OGLUM01G42400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGAAAGGGPVARRPWSKVEDKVFESALVMCPEDVPGRWALVAAQLPGRTPQEALEHYQVLVADIDLIMRGAVDAPGSWDDNDGNDRRGGGGKPRGEERRRGVPWSEDEHRLFLEGLDRYGRGDWRNISRFSVRTRTPTQVASHAQKYFIRQANAGARDSKRKSIHDITTP >OGLUM01G42410.1 pep chromosome:ALNU02000000:1:40435099:40437967:-1 gene:OGLUM01G42410 transcript:OGLUM01G42410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGANARRRRRLVDRGSDRLAFITGQAQSLPSDPSPDSPLYTVDAASLQRSERQLNEVGIGDDIFNYITQLQKSESGVQPTSEAHLETHKEKHQGNESDLQKLKTSNVVPEIQPVNEKAFQRHSEETLRKKNSHDRSASTQPMREMETRPRYVPPNQSNQSDSAGWSVETLKEILNFAPHEITQAISATEYNRFLASVIIGFLVVLSNWGLDVGGTITKVLVATRPILFLIVTNITIVFTLLMENKDPNVRGRPAGSNLGSADNLGQMLEIGLLLQKALSALLIDCSVCAVIMICFI >OGLUM01G42420.1 pep chromosome:ALNU02000000:1:40440244:40440558:-1 gene:OGLUM01G42420 transcript:OGLUM01G42420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPPPAASGLPSDDTHELGGDAVDDDEDDSLRGDVFAPLTPPLSPPGRTVADGPPPPPPRALDRLISLRHSSLELLPLFLLILAASTNTTSDHCTQLRQQH >OGLUM01G42430.1 pep chromosome:ALNU02000000:1:40440305:40440694:1 gene:OGLUM01G42430 transcript:OGLUM01G42430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGKSSKLECLRLMSLSRARGGGGGGPSATVRPGGDSGGVNGANTSPRRLSSSSSSTASPPSSCVSSEGSPDAAGGGAGPPMVLAGCPRCMMYVMLSREDPRCPRCHSAVLLDFNDDDQRRPRQRR >OGLUM01G42440.1 pep chromosome:ALNU02000000:1:40456037:40456684:-1 gene:OGLUM01G42440 transcript:OGLUM01G42440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTSMNAANMAACVSLPLARRYHPSISSSQPQLPTPCATTISSMDTRKSTYGSSDSNATATPPMKEASPAASDDFSSSMELVADAPGSKRSRSEERRPRTMASSAVSAASAPADASDAVERLSEQSCRAEEVVKEDSAESRKMEAMVLEPGGGGGAVAARKDCVLGFLQDGHTHGGAVAGAGGGDGEVSLALLWKKELKASLPMTIGTVWVSR >OGLUM01G42450.1 pep chromosome:ALNU02000000:1:40456062:40456796:1 gene:OGLUM01G42450 transcript:OGLUM01G42450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGRLALSSFFHNKARDTSPSPPPAPATAPPWVWPSCKNPRTQSFRAATAPPPPPGSRTIASIFLDSAESSFTTSSARHDCSDSLSTASEASAGAEAADTADDAIVRGLRSSDRLLFDPGASATSSILEEKSSDAAGEASFIGGVAVAFESEDPYVDFRVSMEEMVVAHGVGNWGWLEEMLGWYLRANGKDTHAAILAAFIDVIVAIADPALASCSSHRRSSTCTITEESSLEVAEKQAKLAV >OGLUM01G42460.1 pep chromosome:ALNU02000000:1:40468789:40469475:-1 gene:OGLUM01G42460 transcript:OGLUM01G42460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSRRGSFSLRQPPVVDIGCNCRRPKLFSIFSSSSSSSFRRGGSKPKSPNASSTSTTTAFTATTGGAGTATSTDSSWGPASFTTNSLFEEPAAAQQEQEQLETRRRRRQQRRRRRRAGATSFARGGDVGGHDDEQQQLQEQAPYRRVAKESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFSPPSPCQF >OGLUM01G42470.1 pep chromosome:ALNU02000000:1:40475459:40475914:1 gene:OGLUM01G42470 transcript:OGLUM01G42470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARMVLALALTAGVFVALLSLLVTVLVRRWWRRREAVASSRGFVLFGICFNDKESQQLRMVRPSLERNRRWPSRERQPGEAEDDDQEPDQCELERWKKMFGGPARSLSTIDEGTEKGTTPITTPAFCSPAASPDRRDARSLQTMSIAV >OGLUM01G42480.1 pep chromosome:ALNU02000000:1:40482461:40483171:-1 gene:OGLUM01G42480 transcript:OGLUM01G42480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNHEHKVDHLDQPFYGPPVLPPVEPPSAAAARRRCVADPHALCCRAIHVLTIVVIAVGVVALVLWLVSLPNALKAYVDSAELTRFELGGSDGAKRGQLLRYNLTVAVSIRNPNRDQAVLYRRLEAVVLYGGERFGYVDFPRTRQGRKSTMVIRPSFVGQGVLAGAAAFGREKEEGFFNINVKLHMRVRLKVMVFVDSVEYRPDVDCYIRVPDPSNATAVAMGFTATRCRVDDFM >OGLUM01G42490.1 pep chromosome:ALNU02000000:1:40485908:40486636:-1 gene:OGLUM01G42490 transcript:OGLUM01G42490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYGKPQPQPQPPLNDAYYGPPIPPPPAAAYYGAAAPPPAPRRSGAHRLFCCLFRVLAVAVIALGTAVLVLWLIYRPSGVKAYADTAALSRFDLTNGGSLLVYNLTVGMRVRNPNRFGINFRSVDAQASYDGDRFGYAPLQPFYVGRKSDARFDVTLSGSAAIDDRDVERTYRRETAQGSYEVKVRVYARQGFKVRGFRLNNKSKFTCTLNLPAPSSGNGTASGTPTTVFTRKQPKCDVDY >OGLUM01G42500.1 pep chromosome:ALNU02000000:1:40487004:40493360:1 gene:OGLUM01G42500 transcript:OGLUM01G42500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPYMGIICTHIELRLASSFIIPLKLLANQIAVSRGDTLSFPKGFRTTASSSSLLCCSRSAESSRSPSRASARCLKDLTSRISFVGLASSLNPLLIAVVVEFTSGTVRNFTTSEQAVFELMVLVSEILGLGAAQSGSL >OGLUM01G42510.1 pep chromosome:ALNU02000000:1:40489627:40490292:-1 gene:OGLUM01G42510 transcript:OGLUM01G42510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSGQELHVEHGGGDPPPYPQAQPAALHPQRRRPSAFRVLVRAFIAACTVVVAVAVLVWLIYRPRAIQVAVDAATLSRFALNTTSNPRPVLSFNLTAGLTIRNPSRRTAVYYDVLSADGFYRGLRFGAAALPLSYQGGRRADAVCAVLVGSSGVVSWDAGAFGEDNHTGVFPVNLWVLGAVRYKYGGLMTTSATMLSARCPLALKLVEASSRVECTVISF >OGLUM01G42520.1 pep chromosome:ALNU02000000:1:40491114:40496412:-1 gene:OGLUM01G42520 transcript:OGLUM01G42520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPPCYSPSMKSLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADISHPIHSLEKPITSSRSSQKSMSGSQCSSISGSDIDSIQSSERNTSGPSTSSNNTIDTEGAEATDHVSVKNCSRSDDVKSNKETVGPELERQDSSKSIHVDQRPRKEIKQPKIIKKILTTLREESKLRQNNSPIRASRVKLNSPSNREQLSDDSKHSSDISSSSKSSEVTSRESAKVICEPVKRAQASPPLKHLSPIVEHSPKAKIKQDEPLQPDPAKQAMEDVDAAVGKVKNRTPPSYSRRLSIPPRRPLGAESPLHADTKRAHNKVIKEHAKSPCRPVHGPDNDIIEPPGFPMAPPSPLGGVQMKVGNARAKSAPPRAVSIKEDSSDCSSSTIAYAENTELSEPSKQDSSAQLVSSCKCSIPDAAIQKHDLTAMPSSELNTTNFQKSMASNDDVCENLALEPSSDISEQVSIFKDNVPCSKISQSTANAIVQNDEDKFTVQELLSSVADIAPFVSTKNFALEKGSPPIQSLERTSSPHLIPPIEDVIHVIRHSSFRVCGEQAVAENAELGVQSSDVGKLLNVVREEVDSRSIPSNNLMPHRLPDCAAPKPNISETNTISSKTACSDVVKFLTVPEVNSTTTAINNGFKEEASPTKEILDVKSFRQRAEALEGLLELSADLLQHNRLEELAVVLKPFGKDKVSPRETAIWLAKSFKGMMNDEASRSSM >OGLUM01G42530.1 pep chromosome:ALNU02000000:1:40496475:40497225:-1 gene:OGLUM01G42530 transcript:OGLUM01G42530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYISRSFPHPYGDFKNPKATHTGTKTRSQRRGKSNRIAQRRRRATGCSLQRERSQVRGSRGLVGERGDAPSRCPTAGDRGVAGFGGDPAVERRRRRRRSRSTPIVANVGGESREIASGVELLPVGDVEIGHWSTGD >OGLUM01G42540.1 pep chromosome:ALNU02000000:1:40499078:40499941:-1 gene:OGLUM01G42540 transcript:OGLUM01G42540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVLTDMTEHTEILSPLLLEQ >OGLUM01G42550.1 pep chromosome:ALNU02000000:1:40517514:40521263:-1 gene:OGLUM01G42550 transcript:OGLUM01G42550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHV0] MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSTIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEAAVSRPKSKL >OGLUM01G42550.2 pep chromosome:ALNU02000000:1:40517514:40521179:-1 gene:OGLUM01G42550 transcript:OGLUM01G42550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHV0] MADRLELQGRHGKSRVRVSRVWRRPAAAGGHVIVEWNVAVSVVSDCLPSYTSDDNSTIVATDSIKNTVYVKAKECTEIVSMEEFAVILGRHFTSLYPQVSEATVTIAERPWERVVVDGKPHSHGFKLGVEKHVTEVIVKKSGNLLINSGIQGYSLLKTTQSGFEKFVRDRYTLLPDTRERIVATEVTAWWRYPFEHVSQIPSKSFCFTQRYQDVKKVLADTFFGPPDVGVYSPSVQNTLYLMAKEVLNRFPDIASVQLRMPNLHFIPVNLGNKENPGLVKFADDVYLPTDEPHGTIEAAVSRPKSKL >OGLUM01G42560.1 pep chromosome:ALNU02000000:1:40527894:40528691:-1 gene:OGLUM01G42560 transcript:OGLUM01G42560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGNPWYGPDRVIYLRPLSGEFPGYYG >OGLUM01G42570.1 pep chromosome:ALNU02000000:1:40529590:40531011:-1 gene:OGLUM01G42570 transcript:OGLUM01G42570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGVGGSMHVVMLPWLAFGHILPFAEFAKRVARQGHRVTLFSTPRNTRRLIDVPPSLAGRIRVVDIPLPRVEHLPEHAEATIDLPSNDLRPYLRRAYDEAFSRELSRLLQETGPSRPDWVLADYAAYWAPAAAARHGVPCAFLSLFGAAALCFFGPAETLQGRGPYAKTEPAHLTAVPEYVPFPTTVAFRGYEARELFKPSLIPDESGVSESYRFSQSIEGCQLVAVRSNQEFEPEWLELLGELYQKPVIPIGMFPPPPPQDVAGHEETLRWLDRQEPNSVVYAAFGSEVKLTAEQLQRIALGLEASGLPFIWAFRAPPDAGDGDGLPGGFKERVNGRGVVCRGWVPQVKFLAHASVGGFLTHAGWNSIAEGLANGVRLVLLPLMFEQGLNARQLAEKKVAVEVARDEDDGSFAANDIVDALRRVMVGEEGDEFGVKVKELAKVFGDDEVNDRYVRDFLKCLSEYKMQRQG >OGLUM01G42580.1 pep chromosome:ALNU02000000:1:40533434:40534706:1 gene:OGLUM01G42580 transcript:OGLUM01G42580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEDDDDVDDAVGEVVNDGDGLARRRSDPRLSAFFTLENPGMAYENRPVNMSANLPLVVEPSAVVIAVSTPSGPTATEGIGAADAPALGCSGGGGGDEGGGRRSKQSAVLICSNPSQTLIHTPMPLPKSTRPSVTASPELIKAQTAEFAIEISISSELKRTTVLHPPQKRRRLPPNRIVSCNT >OGLUM01G42590.1 pep chromosome:ALNU02000000:1:40535496:40542075:1 gene:OGLUM01G42590 transcript:OGLUM01G42590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPLRDSLRRLCTDVGWSYAVFWRATRAADSQRLKLVWGDGHYERAAGAPSISGFEAMDLLLKEKAAALRSGTGRGGGGGEGHAADGAAGHSHDRVDALVHKAMAQQVHVVGEGVIGQAALTGLHRWIVHDIVDECEEEDEVLLEMKGQFCEGIQVMEEAAFIDHVRSLFQQLGSSTAVVPCGSFVQDSIMRTPFHKSLGVPTSSHSEDLAGGGNTYNDDMINHQFRHQKSPASTIQSFNPVQQFYAGPTFCRPVTIASRCDLFQPDHGSTFTLNSQSEDNRSTALLKNSVSHSKTSNDAFSHAFNPLNEPNVSISGRRECVSIEQHGSCRNGEMEITIGRTASSSCTGKTNIINKVDDLLSQDCLVGCQASNATSVNRKFQTLSIVDNTKLQDGSYAIPHAALVDSTRYSDCFQSLLGTIQGSSSSNSNAIHVDTSHNAVHGKSNFCPLGDRNAANSSDLAELLASPIPLELTGGNDLFDVLQLQQKPNGSNNSEVNNRQSMPYGSEQAVKSLIGCVDDDFTGLITEADPDQLLDAIVSKIITGHKQNVDTSASCSTTVAGFDRPLHSDCHLYTTGPSSGPIFCNFASVAPVAIKTEGPAAGSRQSSSSIDKSAGCSQTQESYKSQIRLWVENNHSVGSDSLSTGQASDSLSTGQCKRSDEIGKSNRKRSRPGESARPRPKDRQMIQDRIKELREIVPNSAKCSIDTLLEKTIKHMLFLQNVAKHADKLKGSGEPKIVSHEEGLLLKDNFEGGATWAFEVGTRSMTCPIIVEDLNPPRQMLVEMLCKERGIFLEIADQIRGLGLTILKGVMEVRKDKIWARFAVEANKDVTRMEIFLSLVHLLEPSTGSSILSAGVENTSLPRDSFFPSSIPATGFSNCL >OGLUM01G42600.1 pep chromosome:ALNU02000000:1:40542375:40543589:-1 gene:OGLUM01G42600 transcript:OGLUM01G42600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHV6] MASAAAEVPSYFVCPISLQLMRDPVTLPTGISYDRAAIARWLAAPGARRTCPVTRQPLEHGLELTPNHTLRRLIQSWAASVSPGSAVDEEVAALRPVSSDEFASLLSDAAAAQVGALRRLRELAAECEDSRAMLESQGGVFDVLSRVVTSGSACSTAREEAVGVLASLRIPEQELIGVSTRHGNLAESLTAVLRSSNLQSRAHAVQLVRTLADAVVPAWVIGLNAELLAEVVGVVRDRVSARATKASLHALAALCPYGRHRVKIVGAGAVAALVELLLDEPERRVCELALAVLDRLCTCAEGRAELVAHAAGVAVVGKKGLRVSEAASERAVRVLRSVARHAATPAVLQEMAQCGVVGKLCLALRSEQCGVKTKEKAHEVLKLHSRVWRASPCLSPSFLALYPS >OGLUM01G42610.1 pep chromosome:ALNU02000000:1:40550540:40551529:-1 gene:OGLUM01G42610 transcript:OGLUM01G42610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHHEAMLPYAPRPPSLLVDRRYKQGAEAVPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSSSARSAADAVSSGRDAAFGHRFPGPVRPDMVLEGMVGNPANPGQAMPDVAAAADGSTIDLAMLYAKFLNHPPTDAGLGAVTPESGGHVDEAFDTFSASSDPSPGILAAASAQFDPSQDGFGEWSSPASGNDPTSTATTATTSMLCTDASVQAALGELNFAMDQSCFDSLGLPTDVAGAGSLSSWCSIVPSLSTWEEPKYDSLDSFPDDAMSLHECMIGAPDHDWSVDCQGLEALYMP >OGLUM01G42620.1 pep chromosome:ALNU02000000:1:40578448:40580243:1 gene:OGLUM01G42620 transcript:OGLUM01G42620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISSVTRATHPHPVSCHDAATACSPPAEAAAAPPSTARLAFFHAALYLLALARGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYHFFNSCGYAISNSALSYVEDSVSWTLGFAACLAMTAVYLPVFLLGTGTYRAEQPVHGGGATLARLAESSSLAARAWTARAFGRKDAICTERLLAKEEVEHGKGLFVKLLPIWLTSIVFAAVVSQQSTLFTKQGSTMDRRVGGIVVPAAALNCVVSFTMITLVPVYDRAVVPLARRFTGHPAGVTTLQRVGAGMATSCLAMVVAALVEARRLRAASDASLVDRPGATVPMGVWWLVPQYLLVGLAKVFGDIGLDEFFYDQAPDGLRSVGLAMSLSVLGVGNYVSGVLPKTIKGKR >OGLUM01G42630.1 pep chromosome:ALNU02000000:1:40588188:40588829:1 gene:OGLUM01G42630 transcript:OGLUM01G42630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEQLQQHARRLLSNGRVVAAAAAAGASPGPAGRVLEGGAAAAARRPAPFSSLDATVITVLSLLLCVLVVGLVLHAIARCAFRVTRRVCYGQEPPGDHGDEAAAERCARVARKKPGRAIAEKIPAIVCPAGGLDRLAGCGSTECAICLAEFAQGHRVRVLPRCGHGFHARCIDRWLTARQTCPTCRREPFAAAAAVQLQVYPDAAGGQHETP >OGLUM01G42640.1 pep chromosome:ALNU02000000:1:40594097:40597765:1 gene:OGLUM01G42640 transcript:OGLUM01G42640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPMNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGFTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDTARSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPGSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >OGLUM01G42650.1 pep chromosome:ALNU02000000:1:40598242:40598652:1 gene:OGLUM01G42650 transcript:OGLUM01G42650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM01G42660.1 pep chromosome:ALNU02000000:1:40598994:40602980:1 gene:OGLUM01G42660 transcript:OGLUM01G42660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKPSSSGGGASSSGGGGGSGGPEAVVLANATDVSHFGFFQRGAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKAFGDSWKAATKDATDAAQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLQRGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >OGLUM01G42670.1 pep chromosome:ALNU02000000:1:40603680:40607197:1 gene:OGLUM01G42670 transcript:OGLUM01G42670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNTCHLSQFLVSEIEIGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNFILINNSEFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >OGLUM01G42670.2 pep chromosome:ALNU02000000:1:40603680:40607197:1 gene:OGLUM01G42670 transcript:OGLUM01G42670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEADAYRTDLMTITRYVLNEQSRNPEARGDLTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQASVPGNTCHLSQFLVSEIEIGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKENVTLEDVLQPGKNMVAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTAKFVEKCKFPKDGSSPKSLRYIGSMVADVHRTLLYGGVFLYPADKKSPNGKLRYTLSLLSASHFAYGTSNFILINNSEFTKSWNYSVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPIFLGSFEDVEEIKGLYAAQAK >OGLUM01G42680.1 pep chromosome:ALNU02000000:1:40606974:40608326:-1 gene:OGLUM01G42680 transcript:OGLUM01G42680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDLDTGADAPAVFNVVVEISKGSKVKYELDKKTGFIMVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQFIEHLGQ >OGLUM01G42680.2 pep chromosome:ALNU02000000:1:40606974:40608326:-1 gene:OGLUM01G42680 transcript:OGLUM01G42680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEADGGEGAKPKRPAPRLNERILSSLSRRSVAAHPWHDLDTGCGDLQGKQGEVRARQENGVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGCFLRARAIGLMPMIDQGEKDDKIIAVCVDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVNEVLPVTAARDAIQYSMDLYAQFIEHLGQ >OGLUM01G42690.1 pep chromosome:ALNU02000000:1:40608499:40610428:-1 gene:OGLUM01G42690 transcript:OGLUM01G42690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLTSPWIPIPRHIPRQHRSVSGCSESRSRHFPVHGRCSKLWTTPCSIFPKRTDRHNINRAKSQNLATKRAISSVAGFRLPRRQLSIRRNGNGNGNGKGKAVASEEKSA >OGLUM01G42700.1 pep chromosome:ALNU02000000:1:40610511:40613381:-1 gene:OGLUM01G42700 transcript:OGLUM01G42700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRRSNKGEGETAGAIPSRLPPSLNPQKNTDSKRQRRRRDARAMGVTKEDVEAAITSSLSPSSLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKAPQA >OGLUM01G42710.1 pep chromosome:ALNU02000000:1:40614429:40621279:1 gene:OGLUM01G42710 transcript:OGLUM01G42710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEDGAWPPLGAWPRGFDLYGWAYSEGLHRHHDEDTLWRVCSLAPSPPLPALNVSRQITGTLTDLTLRAIMGECGFRWREEFLETLGEAQKKATRFGVADLFPSSRLLPAVGSRSANK >OGLUM01G42720.1 pep chromosome:ALNU02000000:1:40625259:40629386:1 gene:OGLUM01G42720 transcript:OGLUM01G42720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHX0] MSFRSIVRDVRDGFGSLSRRSFEVTLASLYGLTGHHKGKTQSSLDELDDSPAIIPESRWASLPPELLREVIRRLEADESTWPSRRNVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSIKQPGPRDGMIQCYIKRNRSKSTYHLYLCLSNVVTAEGGKFVLAAKRHRKTTCTEYTISMVSGNISRSSRTNIGKLRSNFLGTKFIIYDTQPPYNGAVVPHVGRTSKRFNSTKVSPKVPSVTYNIAQVSYELNVLGTRGPRRMRCMMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTTSFSQSFRSTTSFSKSIMDPSMDFSSARFSDINGSIMGGDDNGEIKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLVAAPSPPPAGAPTPSQPGPADPEKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OGLUM01G42730.1 pep chromosome:ALNU02000000:1:40631434:40631715:1 gene:OGLUM01G42730 transcript:OGLUM01G42730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRAAIGAGGLPRTAIAGGTGSQPVAAWEGSAGGTGGQRPGGPHGRQRGWRPPGRRGRRISRAARMATPRAAIGAGGLPRTASAGGTGGHP >OGLUM01G42740.1 pep chromosome:ALNU02000000:1:40633102:40649715:1 gene:OGLUM01G42740 transcript:OGLUM01G42740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARAFGLVGKAWKRTPASTPPRRRSDLPALLRRLHSRSAQPEIFREERPQLQNNSGGAPPRLDLISEQNWSKQCYNVNTVTSADSAVDSIRDTVEGSFDQRFATLKSIGEDRVKDSELELLLKKKSAPVCYVWCDPTPFMRISQGIITTLSVNKMVKSGCKVKILMADWIAQMNRNIGGNLSEMRTIGLYNIEMWKAAGMALDRVEIVWLSDEISRLADEYWPLAIDVARKTTVDIWLLGMDQHEANLLAREYCKRVKRESLVFVIDSFTNESVQYTFSVLQVDISRKIKHAFCPPKLAEGNPCLEYIKYIILPWYGKFEVVQEKEDGGNKTFLSMEELTADYVSGVLHPGDMKLALANSLIKILQPVYDHFKSNAEAKKALQGIEEYRILQGGLMIRCPYFGRREILDLKIIGHLEPFQSCGSRQDGFVKACALGTFCSAKVWDYWKIGRFTLQMRSRKKAYESSPYISGRTKTRQTRKGQVNAAGSSCSAYLASGCRVYKIEIAMEGEMLSKGKESLLIPINAEVAVARELCKCIDIYDQDIHVRSLRTLWYPSSFSFAQCMPQVNESGSMLAIAEGSQLSIWDLRTSNNGGCVHRISGPIGGIIYSVCSSPSGPIAVGGTDRTVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDESFIYVQGVDYEITCGLWKGNERAFSFRGDSNWLGFSKCANTDVVAGWCESGSVFVADVRQDCLSVIG >OGLUM01G42750.1 pep chromosome:ALNU02000000:1:40646467:40649021:-1 gene:OGLUM01G42750 transcript:OGLUM01G42750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVMASSSPSHTASDLARFAAGRGGGGSAGLGSMNVEEILRGIYADMPTPALPLVGGDRPMSPLPAPDVAAAPRTAEEVWKEITGAGVAAAAGGVVPPAAAAAAAPAVVAGAGAGAGAEMTLEDFLAREGAVKEDEAVVTDPSAAKGQVVMGFLNGAEVTGGVTGGRSRKRHLMDPMDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAKMFKEQEEQHQKRLKELKEMVVPVIIRKTSARDLRRTNSMEW >OGLUM01G42760.1 pep chromosome:ALNU02000000:1:40660206:40671274:1 gene:OGLUM01G42760 transcript:OGLUM01G42760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43620) TAIR;Acc:AT1G43620] MGSSGEAVAEWGKEGEGGLRHRWRAEAVGASSSFAEGMGEFVLRSMDARFSGSADADGFPSSRHPGFGHSKSTTATSDCSKGQEHVFVRSYSDRLLKCDLTLDMLSENEKIKIIENLVKIQNDGTLEVDVKRSALIASELSEIDAFGSLSRDIVEAAPGLSKSVPKLKIVILVVGTRGDEFGHYVRLATHANFRTFVKSAGIDFYPLGDMTKNKGFCLAGPTEISVQRKQLKEIIFSVLPACTEPDLDTGLPFRAQAIIANPPALGHLHIAEALGVPLHIFFTFPWTPTNEFPHPLARMPQSATYRLSYLILDLVIWWGTRGFINEFRKKLKLAPIAYFSTYHGSISHLPTGYMWSPHLMPKPNDWGPLVDVVGYCFLNLGTKYQPPQELSQWLQQGPKPIYIGFGSMPLGDEKKVTSVILDALRETGQRGIISRGWGDLGSFSEVPVDVFILEDCPHDWLFPRCAAVVHHGGAGTTAAGLVAGCPTTIVPFFGDQFFWGERIHAQGVGPAPIPIAELTVEALSNAIRFMLDPEVKSRTMELAIAIGNEDGVAAAVDSFQRHLPAELPLAPPPTDVKEEQLDFFQWFSQALEKCCFPFNP >OGLUM01G42770.1 pep chromosome:ALNU02000000:1:40672139:40674866:1 gene:OGLUM01G42770 transcript:OGLUM01G42770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHX5] MSHNHGDTIPLHPSSAQSDMDEIESLIYAAPSATVLPARPPSPPRASIPVSTSPAPLPAPAKPSLPGASVPIIVPQAPPASVSVPIASDGFGPPPNTLTEPVWDTVKRDLARIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVILKIIVVTVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYISVGFLIIAID >OGLUM01G42780.1 pep chromosome:ALNU02000000:1:40675782:40680450:1 gene:OGLUM01G42780 transcript:OGLUM01G42780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEISESELLQVVQPFGTVAKLVMLRAKNQALVQMEDLASAVNVIQYYNTIQPSVRGRNVYLQYSSHQELTTDQSSHGRNPDQAFKPSYNISHVKVQYKLMVLYILSELQVHYNNDRSRDFTNPSLPTEQRSRSSQPSYNDPSSLFGFQQPGDPYAQMSKAAMIAAAFGGTLPPGVSGINDRCTLLVSNLNTDKIDEDKLFNLFSMYGNIVRIKILKNKPDHALIQMADGLQAELAVLYLKGAMLFGKKLEVNYSKYPTVTADPDARDYSTSHLNRFNSNVVKNYRHCCAPTKMIHISALPQDITEDTIHSLVGEHGTIANSRLFETNGKTQALVLFESVEEATEALVEKHASKLDRTNIRISFSQMQNI >OGLUM01G42790.1 pep chromosome:ALNU02000000:1:40680674:40683333:-1 gene:OGLUM01G42790 transcript:OGLUM01G42790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYAKLGIDIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGNEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASLEPTPRKSQNIRLNRIMT >OGLUM01G42800.1 pep chromosome:ALNU02000000:1:40685397:40687864:-1 gene:OGLUM01G42800 transcript:OGLUM01G42800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGASELMSGYYQAQEMSTMVSALARVVAGGGGGYYQAQEMSTMVSALARVVAGGGGDGDQWAWSSPSPSPSSSAAAAAARGVQERRREEQAMHELAGYACGGAPSPEFAGSEQSSDTQSASAATMDEHHSPVGGGGNAEGPDTPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLYPAAATTTTAAPPPAPVAAASPSAAIYPGASQSAEYLRYQMLLQGRLTTATPNQGTLLPFYGGGGGGGSMTNPYGGGGGGAMSGFLGSYYSFPTPSVSVATVPSSTSSAPGNYYSSHGGSHQSMSAAEEWNWENALVYPATAASWSESSYHHHPPPPHTQ >OGLUM01G42810.1 pep chromosome:ALNU02000000:1:40708987:40714411:-1 gene:OGLUM01G42810 transcript:OGLUM01G42810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHX9] MAQPQPQSQRQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARHLRHQFPAYNAGYAILAIAIVLAIYVLSLLSITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMVNGVPVKVKYCETCMVFRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEARAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNSRNEAVSNSKLISKTDAQVPTVEDEVQHPSRGERSRSSDLASEGITTSAPAHLVVNAEDIGIITDMLPSQPDSELPFECWSCRCSTGIL >OGLUM01G42810.2 pep chromosome:ALNU02000000:1:40709680:40714411:-1 gene:OGLUM01G42810 transcript:OGLUM01G42810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHX9] MAQPQPQSQRQRVYEAWKGNNRFFFGGRLIFGPDAKSLLFSVALIFVPVAVFCAFVARHLRHQFPAYNAGYAILAIAIVLAIYVLSLLSITAAQDPGIVPRASHPPEEEFHYDNLSLADTPGRLVFPRVKDVMVNGVPVKVKYCETCMVFRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSASILCIYVFAMSALYIKILMDGDYPTVWKALKHSPASLALLIYCFICLWFVGGLTGFHTYLISTNQTTYENFRYRADGRPNAYDRGCMNNFLEVFYTKVPPSKHKFREPIQEEARAPPANRAVEREEEPVGARTKVEDDLDIGGDLLKISQRHNYDGIDIEMGGGDRNSRNEAVSNSKLISKTDAQVPTVEDEVQHPSRGERSRSSDLASEGITTSAPVSEPAFPFRREAL >OGLUM01G42820.1 pep chromosome:ALNU02000000:1:40718480:40728355:-1 gene:OGLUM01G42820 transcript:OGLUM01G42820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G67100) TAIR;Acc:AT5G67100] MDEGSADAGASGRRSRARGSEAVARSAALERLRSIRDGGARAAAAVQVRIEAPIYDTVAEEDYAALVARRRKDAGAFIVDDDGLGYADDGREEDWTHRTIHSSSDEGSDGEDGAPRKRKQPRPQSKRPPQQSAAAASLSAAAAMMGKQRLSSMFTSSVFRKPGSDRGRDSSLAADSIVDDVIAEFAPDDNDREERRRRVGRVCAPAPAPTTTAHIKAENVAVDTAMAFRSDNVFEAHEVSDHGNDMDMELKPDVEMEPKLDTPLGASAELANNSNSLEEPKQEANGEVKIEKVHRLNAKIKTEDSRNGDMASATAGWMKICGDGDNAGGEGAVAANSNTSVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVVVKNMQRCIYAIPSSSIFPRDTISRLEKNSTTSDSSPSLRASLHELASGLKSEIADKLSDFNVSNFAMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPALPTDLRGQHFHALLGTNNSALELLLIKRKIKGPSWLSISKFVACPATQRVSWCKFEVTVDSPKDISVLMTSTTLEVPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRSEDWQKRGMLSHFTVMRKLEGSIFPIGLSKESSDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVPSNMWSKIGRLRRSVMPRLTKGNTLYGSGASLGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKERKEVSPHDIPPMFQSSGALLKLVEYGETDACLALELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHARKFIVPDKFARSKEFNSTKRKMNPDTEAARPDEADPSIDDEGHHVDQGKTKKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVDRSADGNVPNLPASKTTGVLPELLKSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKGIAGKVIQERDSMHSGERPVNISALMQVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGSCDDVIESIHSSLMQVQEQMRGGQTELEKYIITKSLTKAPEDYPDAKNQPHVALRLKQNGYSGCSAGDTVPYIICSQQDSESTHSGGIAQRARHPEELKRNPDKWMIDIDYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESDNQDTSSTLLSVIDDEDERYRGCEPLRLSCPSCSTTFDCPPVSSLIIGSSSGNVSNPNEGNDASINFWRRMRCPRCPDDTDESRVSPAVLANQMKRQADSFINLYYKGLLMCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGHLVRQYTEADLYRQLSYFCYVVDATRCLEKLDQKARLPFEKEFAALSQTINLALMEVQKIRDRCAFGWVQLKDLAISI >OGLUM01G42830.1 pep chromosome:ALNU02000000:1:40729545:40731005:1 gene:OGLUM01G42830 transcript:OGLUM01G42830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRITVLAIALLVLILSPQMAVQGKPAAGNTASPRPKQQQLGNFFKKHGSDMAGLFPRHRNGGSSGSYSGQAVPADGGENGGGGQSQDPATNTGMYVLSFSVGTPPQVVTGVLDITSDFVWMQCSACATCGADAPAATSAPPFYAFLSSTIREVRCANRGCQRLVPQTCSADDSPCGYSYVYGGGAANTTAGLLAVDAFAFATVRADGVVFGCAVATEGDIGGVIGLGRGELSLVSQLQIGRFSYYLAPDDAVDVGSFILFLDDAKPRTSRAVSTPLVANRASRSLYYVELAGIRVDGEDLAIPRGTFDLQADGSGGVVLSITIPVTFLDAGAYKVVRQAMASKIGLRAADGSELGLDLCYTSESLATAKVPSMALVFAGGAVMELEMGNYFYMDSTTGLECLTILPSPAGDGSLLGSLIQVGTHMIYDISGSRLVFESLEQAPPPPSGSSRQSSRRRSSSAPPPLTSPAVVVIHLMLVVVYMFL >OGLUM01G42840.1 pep chromosome:ALNU02000000:1:40733947:40735413:1 gene:OGLUM01G42840 transcript:OGLUM01G42840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSHAHALAFLVLVVLMPHMASPGKLPRFTMGPRTNKQLRDFFKNHGSDMADLVPSGQGGHEQGGGSSSNGQSQQGGGDSGGGGGGGNQAPATNAGMYVFSYGIGTPPQQVSGALDISSDLVWTACGATAPFNPVRSTTVADVPCTDDACQQFAPQTCGAGASECAYTYMYGGGAANTTGLLGTEAFTFGDTRIDGVVFGCGLKNVGDFSGVSGVIGLGRGNLSLVSQLQVDRFSYHFAPDDSVDTQSFILFGDDATPQTSHTLSTRLLASDANPSLYYVELAGIQVDGKDLAIPSGTFDLRNKDGSGGVFLSITDLVTVLEEAAYKPLRQAVASKIGLPAVNGSALGLDLCYTGESLAKAKVPSMALVFAGGAVMELELGNYFYMDSTTGLACLTILPSSAGDGSVLGSLIQVGTHMMYDINGSKLVFESLAQAAAPPPSGSSQQTSSKTNQQAGGRRSASAPPPLISPAVFVIHFMLVVVYMFL >OGLUM01G42850.1 pep chromosome:ALNU02000000:1:40735889:40736161:-1 gene:OGLUM01G42850 transcript:OGLUM01G42850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGRKRNTRALERKRNTAAMRRRNTVAADRDFRVTRKRNTTDRKRTCTRSYVFTLPPQFSAWYYTRGRIGTARYQTLPAVGSSQIQRS >OGLUM01G42860.1 pep chromosome:ALNU02000000:1:40739148:40746256:1 gene:OGLUM01G42860 transcript:OGLUM01G42860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASHARAPLLLLLLMCSCLLLSPVAADRAAYIVHMDKSAMPAHHSDHREWYSATVATLTPGAPRGGRGGPRIVYTYDEALHGFAATLSASELGALRLAPGFVSAYPDRRADVLHDTTHSTEFLRLSPFGGLWPAARFGEGVIIGVIDTGVWPESASFDDGGMPPVPSRWRGECEAGQDFTPDMCNRKLIGARYFNRGLVAANPTVTVSMNSTRDTLGHGTHTSSTAGGSPAPCASFFGYGRGTARGVAPRAHVAMYKAMWPEGRYASDVLAAMDAAIADGVDVISISSGFDGVPLYEDPVAIAAFAAIERGILVSASAGNDGPRLGTLHNGIPWLLTVAAGTVDRQMFAGSIYLGDDTRSTITGITRYPENAWIKDMNLVYNDTISACNSSTSLATLAQSIVVCYDTGILLDQMRTAAEAGVSAAIFISNTTLITQSEMTFPAIVVNPSDAASLLSYINSSARPTATIKFQQTIIGTRPAPVVAAYSSRGPSRSYEGVLKPDIMAPGDSILAAWAPVAPLAQVGSTALGSDFAVESGTSMACPHAAGVAALLRAAHPDWSPAMIKSAMMTTATAVDNTFRPIGDAGHGDAAASPLAIGAGQVDPNAAMDPGLVYDAGPEDFVELLCSTNFTAAQIMAITRSKAYNCSFSTNDMNYPSFIAVFGANDPSGDMRFSRTVTNVGAGAATYRAFSVSPSNVEVTVSPETLVFTEVGQTASFLVDLNLTAPTGGEPAFGAVIWADVSGKYEVRTPYVLRTYVRARYTYMAASLAIVAVVVALCVAAAASAETATYIVHMDKSAMPSGGGGGNGSTSLESWYAATLRAAAPGASMIYVYRNAMSGFAARLSAEQHARLSRSPGFLSSYLDAPVTRRDTTHTPEFLGVSGAGGLWETASYGDGVIVGVVDTGVWPESGSYRDDGLPPVPARWKGYCESGTRFDGAKACNRKLIGARKFSAGLAAALGRRNITIAVNSPRDTDGHGTHTSSTAAGSPVPGASYFGYAPGVARGMAPRARVAVYKVLFDEGGYTTDIVAAIDQAIADGVDVLSISLGLNNRPLHTDPVAIGSFAAMQHGIFVSTSAGNDGPGLSVLHNGAPWALTVAAGTVDREFSGIVELGDGTTVIGESLYAGSPPITQSTPLVYLDSCDNFTAIRRNRDKIVLCDAQASSFALQVAVQFVQDANAAGGLFLTNDPFRLLFEQFTFPGALLSPHDGPAILRYIQRSGAPTAKIAFRATLLNTKPAPEAAAYSSRGPAVSCPTVLKPDIMAPGSLVLASWAESVAVVGNMTSPFNIISGTSMATPHAAGVAALLRAVHPEWSPAAIRSAMMTTAATLDNTGRSINDMARAGHAATPLAMGSGHIDPNRAADPGLVYDAVPGDYVELMCAMGYNLSDIRAVTQWPTYAVNCSGASSPDLNYPSFIAYFDRRSAAAAAETKTFVRVVTNVGAGAARYRAKVKGNLGGLAVSVTPSRLVFGKKGETQKYTLVLRGKIKGADKVLHGSLTWVDDAGKYTVRSPIVATTLSSTRL >OGLUM01G42870.1 pep chromosome:ALNU02000000:1:40747592:40753120:-1 gene:OGLUM01G42870 transcript:OGLUM01G42870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRAFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >OGLUM01G42870.2 pep chromosome:ALNU02000000:1:40747592:40753120:-1 gene:OGLUM01G42870 transcript:OGLUM01G42870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF639) [Source:Projected from Arabidopsis thaliana (AT1G71240) TAIR;Acc:AT1G71240] MLQLRLQPPRFTPLPRRRVAGGGHHRRRRAAAPPPPLALRSQWRIPDVDADAVQERVRSWLSRARGAIADAANAAREKGRSKEEAEGRKKRRKEALEEQALVAVPEITVERRVGRGWLSLDAVVTIEQFARLNGLTGRKVQRIFEALAPSHVQNDARNLVEYCCFRYLSRDNSDLHPSLKELAFQRLIFMTMLAWEDPYGEDDDTESSLDNYSILGRLVGEDAFVRIAPAVAGVADVSTAHYLFRALVGSEKGLSLDIWTTYLGELLKVHHGRQTHKSGDHFLSDEQVLCIGSSRKRPVLKWEENTAWPGHLTLTNKALYFEAIGLTSTNKPLKLDLTDRNSRVEKAKVGPFGSRLFDSAVSVSSGSVSNEWTLEFVDFGGEMRRDVWLAFISEIISVYRFINEYGPRDDDPAIHHVYGAHRGKKRAVSSAANSIARLQSLQFIRRLYEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLVTNSKAASQRASQWHRPSEDSSSAHAHIFDIDGSVYLRKWMTSPSWTSSHSANFWRNSSVKHGVILSKSLVVADKNLVEKAMVACKEKSKIVERTQATIVAATIEGIPSNIDLFKELILPFAIVSEKFYKLKRWENPRTTACFLLVVYTIIFRNMLSYVLPFSLMMLALSMLALKGLKEQGRLGRSFGKVTIKDQPPSNTIQKIIALKEAMASVENYLQNLNLSLLKMRTIFLAGQPEVTTQVALVLLASSAVLLVVPFKYVLAFFMFDQFTRELEFRREMVKAFMSFMKERWESIHAAPVVVLPYEDGGEQHNKTLPPKSTQQTQSGSVQSTDTYMNLSNGTYTLDI >OGLUM01G42880.1 pep chromosome:ALNU02000000:1:40753866:40754203:-1 gene:OGLUM01G42880 transcript:OGLUM01G42880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGAGALCHAIVFLFTTAEWLETLACTKASAEMSLLMGMLPAKAVLATTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDG >OGLUM01G42890.1 pep chromosome:ALNU02000000:1:40756077:40759735:1 gene:OGLUM01G42890 transcript:OGLUM01G42890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRAQPVSAAVAPVTGRRKGAAASRKWMVVPAVGEERRVEFGKHQIMKMTGLPGRDLRVLDPVLSYPSTILGRDRAIVVRLQGVKAIITATEVLVPDHDDVLLASFLLDLRSRLSLPDAAPSTNPAAADRGNGTEQGDQGSVPGLAISGADNAKIPPFEFKVLEVCLEHACKDLESQTRSLEKEAYPALDKLGSKVSTLNLDHVRNLKSRMVDLSGRVQKIRDELEHLLDDDMDMSEMYLTRKLSFQGLSGSLSRADSHKYASVDHDDDREEEDHDDETESGRESSVYVKPDIEELEMLLEAYFVQIDGTLNTLYHIREYADDTEDYINIMLDEKQNQLLQMGVMLTTATVVVTAGIVVVSLFGMNIHIDLMKDPETPEMVRISNMHFWETTFGTVAGCIAIYLLAIYAGRKSKILQ >OGLUM01G42900.1 pep chromosome:ALNU02000000:1:40758664:40773709:-1 gene:OGLUM01G42900 transcript:OGLUM01G42900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPEQVVREVGKRLAQPRLGKDALVKLLKASSVASLVSSCLNRSKSTALPFPVERCFDFRAFELVRGVLCCQLERYLLQVLQAESALSELSQSSSLREALHPLSKSLVQTTLLTHRDKDVKLLVAVCFIEVMRVLAPDPPFSDEIFKEIFRLFISVFADLAETSSPYLPRRILILENVAALRCSVIMLDVGCQDLVLDMVRIFFSAVKQGLQQSVCQAMLSIMTQILNEKVTQPLLDVILRNLVKEDKGASHKLAVDIIQNCAEKLEPVLRTFLSSCIFNKDVPANETRKQHHKIILEMFQCAPQMLFAVIPHLTHELLSDRVDIRLEAVHLIGRLLVLSNLRFAQENQLIFKEFLKRFSDKSAEVRIAAIDAAKVCYMAISSGNEAEDILTSLAGRLLDFDDKVRIRAVAAVCDMAKSNLNSFPAKVSVRKHVMLKLLDLYRDYCKKCSKGIATVNFHYEQIPAQLLTLCFDKDSEIFRPQNMELILAEELFPSSLSPKERAIHWVEFFSYFKPQHIKALHIIFSLKRRLQLEMQAYLSLRAKKEEPSDEIQKKFCASFRNMSVAFADASNVEECLKNLHQLKDNNIFKDLTELSYEGSSFATVQSIRDLFLKRIGNKHPLYNFCKVLSVKCSHSIFNWEMIYAILEVLFSHRNELTNHVEAACDLLLLVSKVFPSLFQGSEEYLIKLFSEESVLINEKTLEMLAHLAKSGCHLSIDFSDDVYPLLEQKCIEGTRAESKYAVAAIDSLIQSPNDEKFARLCELMSFCYRARRFCEPYISQQTSKILDKMKKVVAALDDNYNVPTLLQSLGLILEHSPSMYKLYDKKIVNFVQDILCSTEIYCLKTLVKSCLPRSTVRDRIEHFLKILLDIILEKFKAITLCPLVVMLRTLIEMDDEHGHNTSSVPILMGIFRAIQMAGDLAEAEDLAECGITHECCQGDLISDSFVKRILGAHGPVHPDDTKCSDNAERVSTEVAPDKEARSSLSNIVGQNASCHDKGKRNKKQDQTTNHSLEKEKVSSCGSAGSKLSSPASLGLAKEADSIDSISLLENQNRPESRSSTGETRASETDHNYSNRRETVMKDTGTVLVGRRIRLWSARDMCYICGTVETYDQSNGFHKLSNYLCMIVSKIIYENGDKELVRLECQKWEFISDTVSTVKDIPNSHPRCCSFKRVRGKGSADSQNKRQEMLLPGSSIVCDPDEDGDIDDNFVKQPFSNNRTGVAGLKKNSKRALDSSNAQTSSGLTAFNPVDNVRCTRSRKVQLRFAIDKGNTGLLLRFVLDRPLEKVAQPFSIEMERDRSIIIAPTPYEINKNASHPYTTYQLRHCPAKSQPGCRTRNLTLSFLCWEASLQDLALPPSVDRQEVYGDAAGDRAEGGLPKVHVGYPHHLGGLRVFHQVDVDVHAEQAHHHDARGDHHRRRRQHHAHLQQLVLLLVQHYVDVILRVVRVFTDLCSMSIGKCQGGNETSSNAWNLLVVSDASA >OGLUM01G42910.1 pep chromosome:ALNU02000000:1:40774589:40775809:-1 gene:OGLUM01G42910 transcript:OGLUM01G42910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YHZ1] MVRRYNERLREFLRSGVRGRSGGIAAVVVDAPSIEALDVARELGIPAYSFFASNASALAVFLHLPWFRARAASFEELGDAPLIVPGVPPMPASHLMPELLEDPESETYRATVSMLRATLDADGILVNTFASLEPRAVGALGDPLFLPATGGGEPRRRVPPVYCVGPLVVGHDDDDERKENTRHECLAWLDEQPDRSVVFLCFGGTGAVTHSAEQMREIAAGLENSGHRFMWVVRAPRGGGDDLDALLPDGFLERTRTSGRGLVVERWAPQADVLRHRSTGAFVTHCGWNSASEGITARVPMLCWPLYAEQRMNKVFMVEEMGVGVEVAGWHWQRGELVMAEEIEGKIRLVMESEEGERLRSSVAAHGEAAAVAWRKDGGAGAGSSRAALRRFLSDVGGRELRSVET >OGLUM01G42920.1 pep chromosome:ALNU02000000:1:40778717:40781163:-1 gene:OGLUM01G42920 transcript:OGLUM01G42920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >OGLUM01G42920.2 pep chromosome:ALNU02000000:1:40778719:40781163:-1 gene:OGLUM01G42920 transcript:OGLUM01G42920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Plant nuclear matrix 1 (InterPro:IPR010604); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT5G17620) TAIR;Acc:AT5G17620] MASKQMEEIQRKLAVLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENSRIQHLAEIANFLGITPSVDTEAIQGRGSYDERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVLLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDITELELKLSEYTKKMSNLQLMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNMIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLSNLRSLRDSYAAMAAGSLSASNEPSSVTKIISDCESALTFLNNSLSILSTSVAREQGETLNSQ >OGLUM01G42930.1 pep chromosome:ALNU02000000:1:40782116:40789872:1 gene:OGLUM01G42930 transcript:OGLUM01G42930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein [Source:Projected from Arabidopsis thaliana (AT1G21790) TAIR;Acc:AT1G21790] MPASTAWAARLAEGGEEGTESIVRCGSPLATTTTSPMPPPPHRGGAGGGGGGRDTSAFFAATLVLWAVSVGFEIGARGRRELAPVAAGFAFFQAANAAVRAAVSRDPLFVNTAVSLLHSSLTSASVIFVLVNRWHNKDLKNMFEHEELFGGGWVGAYSALCFSCGYFAYDQLDMLRYRLYSGRIPGILMHHLILLICFTLALYRNVTINYLILTLVCELHSVFLHIRKLRRMAGFRDYNRKIVKLEWVLNWTTFVSARVACHILITYKLIIDAHKFDSGIELPLALFGMAGMNLLNIFLGLDLLKAYTLERNQQTHQD >OGLUM01G42930.2 pep chromosome:ALNU02000000:1:40784436:40789872:1 gene:OGLUM01G42930 transcript:OGLUM01G42930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAM, LAG1 and CLN8 (TLC) lipid-sensing domain containing protein [Source:Projected from Arabidopsis thaliana (AT1G21790) TAIR;Acc:AT1G21790] MAQSMLVSGANGTVAAASTSRLQPVRPTPFSRLVLSQPSSSLGRAVSVKTVALFGRSKTKAAPARKAEPKPKFKTEDGIFGTSGGIGFTKENELFVGRVAMLGFAASILGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGSFVDDQPVTGLDKAVIAPGKGFRSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVVFFFIAAINPGTGKFVSDDDEE >OGLUM01G42940.1 pep chromosome:ALNU02000000:1:40799424:40805483:1 gene:OGLUM01G42940 transcript:OGLUM01G42940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPKNIRKTIQRIMSVQYKIPDYVHISAECKQLIARIFVNNPLRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNSVPSFSDQTSEEIMKVVQEARTMPKSSRTGYWSDAGSDEEEEKEEEERPEENEEEEEDEYDKRVKEVHASGELRMSSLRI >OGLUM01G42950.1 pep chromosome:ALNU02000000:1:40802314:40809959:-1 gene:OGLUM01G42950 transcript:OGLUM01G42950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSADPRRPPPRPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANDDQAIINPEQKSEIRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVFVGQMYRASFGGNGKAAGANKPDADYDITFHTFGTGT >OGLUM01G42950.2 pep chromosome:ALNU02000000:1:40804478:40809959:-1 gene:OGLUM01G42950 transcript:OGLUM01G42950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDGGEASPSGGGGGEGSADPRRPPPRPQLTKSRTISGSAASAFDRWGTSNSSSSILVRRSSTAPLPPGAAPRGLLTVAVDEPSYAAPNGGAAMLDRDWCYPSFLGPHASRPRPPRSQQQTPTTTAAAAADSRSPTPAAPPQTASVSQREEEKSLASVVKRPMLLDERRSLSPPPPQQRAPRFDLSPYLVLMLVVTVISFSLAIWQWMKATVLQEKIRSCCSVSTVDCKTTTEAFKINGQHGSDFINSADWNLASCSRMLVFAIPVFLVKYIDQLRRRNTDSIRLRSTEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEVNHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIGKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKIASKAGKILMNPDNDYVLQEGDEVLVIAEDDDTYVPASLPQVRKGFLPNIPTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKERERKLTDGGMDIYGLTNIKLVHKEGNAVIRRHLESLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPSKELKSPLRYNGFCHSSWIREMQHASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRSAEFYLYEQEELSFFDIMVRARERDEVVIGYRLANDDQAIINPEQKSEIRKWSLDDVFVVISKAGNATYFVKTTVMRSNPVFVGQMYRASFGGNGKAAVFQ >OGLUM01G42960.1 pep chromosome:ALNU02000000:1:40811158:40827309:1 gene:OGLUM01G42960 transcript:OGLUM01G42960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYGPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSGLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESGGVELWSRKTQLHPRSSFSRSSSANSTPKMMDLDRLAQLRLQKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMVGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTNIHSQSPAKSEVLGVGIYFLMIDRRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCTGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >OGLUM01G42960.2 pep chromosome:ALNU02000000:1:40811158:40827309:1 gene:OGLUM01G42960 transcript:OGLUM01G42960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYGPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSGLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESGGVELWSRKTQLHPRSSFSRSSSANSTPKMMDLDRLAQLRLQKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMVGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTNIHSQSPAKSEVLGVGIYFLMIDRRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCTGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >OGLUM01G42960.3 pep chromosome:ALNU02000000:1:40811158:40827309:1 gene:OGLUM01G42960 transcript:OGLUM01G42960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRHYGPMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSDFIAAMLIRATGHRLNITRNRSLNSLELTEAVSNSGLAPLAAFGYVMSTHLTLYPAILIVPIILLLGYGPDTPPAKVFRLKISSASKTEVPDNDRFSTSRDVQQFMWKPVFYFVLWMFFWSCYVLLLSSMILNKVDGLQEMFEKTYGFILTVKDLSPNIGVLWSFFLIVINMNIVFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSGTGNANFYFATGLAYTCIQTVLVVESGGVELWSRKTQLHPRSSFSRSSSANSTPKMMDLDRLAQLRLQKLHHRYLRMEACPVNGATAVRTGPASAPNTDSHKPKAPNTIEPSTQKALKKFGEKERRAGDESSRTLAMVGEAGGDPRAWLAVDETAAAFLSRSLSARPPILLPPPLHRAPLRPGNVVEIAGPSNSGKSQLLLTNIHSQSPAKSEVLGVGIYFLMIDRRTLSLQSMTETVVQKLRNFLQLQPVLVMATKAPIYGEGFTGNDFQRGTSKQMLEDSTMRCTGQEEEKNISYREFMPSVWQSFVTHRIKLQDLGQEAELFSGQENKELPLRTSEWMQPSLNTKDKFSITDDGVILIH >OGLUM01G42970.1 pep chromosome:ALNU02000000:1:40832072:40837840:-1 gene:OGLUM01G42970 transcript:OGLUM01G42970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI02] MASSGAPLCSSALVLLPCLFVIAMAALESGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPENSEHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNARTAIWSTNISNFTSNSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGKLGRNKLTGVSTRLVAWKARNDPAPGVFSLELDPNGTSQYLLQWNITQQYWTSGNWTGRIFTGVPEMTQTGSYPNSLYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANNWMLFWLQPKAQCDVYSLCGPSSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMANVRLPSDAESAVATGIDQCEQACLSNCSCTAYSYNGSCSLWYRDLINLQDVSVIGSQGSSAVLIRLAASELSGQKQKHTKKLITFSVLASGAVLLMMAVLVVILRRRMVKATTRVEGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMPNGSLDKHLFGSNQHVLSWNTRYKIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDFSRVLTTSRGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGAAVDGLLPLLAASTLGGGGGGRDELVSAVVDGRVGVNADMGEVERACRVACWCIQDDEKARPAMATVVQVLEGLVEIGVPPVPRSLQILADLANQSNNLQFFSDLPSNTTMRGARRMPATKPTVVGRRNMKWEEDADDHTGDDNGMVSLIFLNGGVGWCSMAIWSAMPSSIVVRRLLPTAR >OGLUM01G42970.2 pep chromosome:ALNU02000000:1:40828773:40837840:-1 gene:OGLUM01G42970 transcript:OGLUM01G42970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI02] MASSGAPLCSSALVLLPCLFVIAMAALESGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPENSEHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNARTAIWSTNISNFTSNSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGKLGRNKLTGVSTRLVAWKARNDPAPGVFSLELDPNGTSQYLLQWNITQQYWTSGNWTGRIFTGVPEMTQTGSYPNSLYTFDYVNGENESYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNGANNWMLFWLQPKAQCDVYSLCGPSSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMANVRLPSDAESAVATGIDQCEQACLSNCSCTAYSYNGSCSLWYRDLINLQDVSVIGSQGSSAVLIRLAASELSGQKQKHTKKLITFSVLASGAVLLMMAVLVVILRRRMVKATTRVEGSLISFTYRDLKSVTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFRQGEKQFRSEVSTIGNIQHVNLIRLLGFCSEKTRRLLVYEYMPNGSLDKHLFGSNQHVLSWNTRYKIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDFSRVLTTSRGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQEQGGAAVDGLLPLLAASTLGGGGGGRDELVSAVVDGRVGVNADMGEVERACRVACWCIQDDEKARPAMATVVQVLEGLVEIGVPPIPRSLQFLAELADQSNYLQFFSDLLPSN >OGLUM01G42970.3 pep chromosome:ALNU02000000:1:40832072:40837840:-1 gene:OGLUM01G42970 transcript:OGLUM01G42970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI02] MASSGAPLCSSALVLLPCLFVIAMAALESGVVVLADAADTVAADRPLSGRQRPLVSNRGKFALGFFQPAAHLALRSTTMRGARRMPATKPTVVGRRNMKWEEDADDHTGDDNGMVSLIFLNGGVGWCSMAIWSAMPSSIVVRRLLPTAR >OGLUM01G42970.4 pep chromosome:ALNU02000000:1:40828773:40832029:-1 gene:OGLUM01G42970 transcript:OGLUM01G42970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI02] MAPPCSSALVLPCLLVIAMAALQSAVVFADTVTAKRPLSGSQSALVSKRRKFALGFFQPENSQHWYLGIWYNQISKHTPVWVANRGTPISNPDTSQLTIATDGNMVLLDNSTTAIWSTNISKIASNSTVGVILDTGNLVLADESNTSIIHWQSFDHFGNTWLPGGKLGRNNKLAGVSTRLVAWKARNDPSPGVFSLELDPNGTSQYLLEWSITQQYWTSGNWTGRIFANVPEMTGCYPSSTYTFDYVNGENESESYFVYDLKDESVLTRFFLSEMGQIQFLTWIYAAKDWMPFWSQPKVKCDVYSLCGPFSVCTENALTSCSCLRGFSEQNVGEWLQGDHTSGCRRNVELQCSSNASVMGRIDGFYTMANVRLPSNAESVVVIGNDQCEQACLRSCSCTAYSYNGSCSLWHGDLINLQDVSAISSQGSSTVLIRLAASELSGQKQKNTKNLITIAIVATSVLVLMIAALFFIFRRRMVKETTRVEGSLIAFTYRDLKSVTKNFSEKLGGGAFGLVFKGSLPDATVVAVKKLEGFRQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDNKKHVLSWNTRYQIALGIARGLDYLHEKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWIAGTAVTAKADVFSYGMTLLEIVSGRRNVQGRRRRQEQQDDGGAAADRPFPLVAAGRLVGGGGGRREELVSAVVDCRLGGDADMGEVERACRVACWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQFLAELADQSNYLQFFSDLLPSN >OGLUM01G42980.1 pep chromosome:ALNU02000000:1:40841808:40846753:-1 gene:OGLUM01G42980 transcript:OGLUM01G42980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATAVMAATGDAAAVGGGERIEGGEGHRDGKGSTALEKAGEAVVRKAGGTERGGAVPDDDDDDGDMFEEEDARSSSLAAASSDLLLESGHHHHHQLAAHPSMANPRIAAASLPPPPSCRPHRSPSSCSSPGSAGDTRPRPCTPTYRAFFPCAIVEKMKTAGRADGATAAASSHPTAGHRGLVAPPGCPWRWTSRGDRPGLRRSASSITDAAHPIPAADREGRRSWRSSSSSEARGSSSGFPRPSSASFSRLLGRSVPELAHAATPPPSPASSSPPPRHRLPMPSSPPAGRPAGLPVRRERERGGKERKGVAGLLTWRTDTWGPPHREQIDSIPGWKKPSANLPRLDTSSLWLPDNGRSAATVSAASANTTALCSVAMAMTNRQGGTRAEEQGGAPELAIVPRSPERRDMERTAVNRRQTF >OGLUM01G42990.1 pep chromosome:ALNU02000000:1:40841843:40851827:1 gene:OGLUM01G42990 transcript:OGLUM01G42990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI07] MSLRSGDRGTMASSGAPPCSSALVPPCLFVIAMATLQSAVVFADAADTVAADRPLSGSQRLLVSSRGKFALGFFQPENSIHWYIGIWYNQISKHTPVWVANRGSPISNPDTSQLTIATDGNMVLLDNSRTAIWSTNISKIASNSTVGVILDTGNLVLADASNTSIIHWQSFEHFGNTWLPGGKLGRNKLAGVSTALVAWKARNDPAPGVFSLELDPNGTSQYLLEWNSTQQYWTSGNWTGRIFTGVPEMTPTGIYPNSLYTFDYVNGENGSYFVYDLKDDSVLTRFVLGEMGQIQFLTWMNSANNWMLFWSQPKAQCDVYSLCGPFSVCTENAMASCSCLRGFGEQNVGEWLQGDHTSGCRRNVELQCSSNGSVVGRSTDRFYTMANVRLPSDAESAVATGIDQCEQACLSNCSCTAYSYNGSCSLWHGDLINLQDVSAIGSQGSNAVLIRLAASELSSQKQKHAKKLITIAIVATIVAALMVAALVVILRRRMVKGTTQVEGSLISFTYRDLKSMTKNFSEKLGGGAFGSVFKGSLPDATMVAVKKLEGFHQGEKQFRAEVSTIGNIQHVNLIRLLGFCSEKSRRLLVYEYMPNGSLDKQLFDGRKHVLSWDTRYQIALGIARGLDYLHDKCRDCIIHCDIKPENILLDGSFAPKVADFGLAKLMGRDISRVLTTARGTVGYIAPEWLAGTAVTAKADVFSYGMTLLEIVSRRRNVERREDGTADILPLLAASRLVGGVGDGRREELVSAVVDGRLGGDADMGEAERACRVAFWCIQDDENARPAMATVVQVLEGLVEIGVPPIPRSLQLLADESNYLQFFSDLLPSK >OGLUM01G43000.1 pep chromosome:ALNU02000000:1:40852831:40859861:1 gene:OGLUM01G43000 transcript:OGLUM01G43000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCMNLCWFDLQIGKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDVTPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVDAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >OGLUM01G43000.2 pep chromosome:ALNU02000000:1:40852831:40859861:1 gene:OGLUM01G43000 transcript:OGLUM01G43000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSCMNLCWFDLQIGKSRSIREFDHHATCMVAKYETVDTYYRRCSSASYVGNVSVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDVTPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVDAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >OGLUM01G43000.3 pep chromosome:ALNU02000000:1:40852831:40859861:1 gene:OGLUM01G43000 transcript:OGLUM01G43000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAKPRYFDILEDLWHQDCSCLQKEWRCRQLYTVHDGGTIALDWLLATDSKDSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYIHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDVTPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVDAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >OGLUM01G43000.4 pep chromosome:ALNU02000000:1:40852831:40859861:1 gene:OGLUM01G43000 transcript:OGLUM01G43000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGGGGGAGGESAGELLLRAAAMVPAEHYALAALAVVSVLAYGFLELHFLGDLLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVHDGGTIALDWLLATDSKDSDGILSEDASAPLVVIVPGLTSDSAAAYVKHMAYSMATKGCNTVVSNHRGLGGVSITSDCLYNAGWTEDLREVINYIHHKYPKAPMLCVGTSIGANIVVKYLGEEGENTPVAGAASICSPWDLVVGDRFISRKLVQRFYDKALAFGLKGYAKLHEPVLVRLANWEVPLLCVNALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTAGRLWWVGAVSEFLFALLDSKYMHQQKAQDHILHSSLESSIDKSPYVNVMEDGMIAPVTDDGPCDDVTPSHQVNDIKQDNGDFTQQNEHTREVDDKNITEVDAMPSQSPEQSAGQQVEEHYVGKFHEAIAPVKRSINQLTRYQGKSVWLLAYIAFVTSWPLLGSLAFIAFRKKFRNNLLAKWLRR >OGLUM01G43000.5 pep chromosome:ALNU02000000:1:40852831:40854981:1 gene:OGLUM01G43000 transcript:OGLUM01G43000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAGGGGGAGGESAGELLLRAAAMVPAEHYALAALAVVSVLAYGFLELHFLGDLLRGFRGGRVELTFHPASEIYHRVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRSAALMNE >OGLUM01G43010.1 pep chromosome:ALNU02000000:1:40862796:40874495:1 gene:OGLUM01G43010 transcript:OGLUM01G43010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G22250) TAIR;Acc:AT2G22250] MKSCGKTHRNRRRKRRKRKKKPTKSRSNSHSDQPTPPTYRSRRWMHKSTTPARFEPIQHKLPTAATHAAELSATTLPSMAASTSATSFSTPTKPASSSSSSSSPNSVCFARASGRCRMASVVVRAEAVDATISPTVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPHVIAEAGMNAIKDGYTRYTPNAGTLELRKAICNKLQEENGISYSPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMATLAGATPVILPTSISENFLLRPELLASKINEKSRLLILCSPSNPTGSVYPKELLEEIADIVKKYPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYLAAPKHFVAACGKIQSQFTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVKSFKELPGVKISEPQGAFYLFIDFSSYYGSEVEGFGTIKDSESLCMFLLEKAQVALVPGDAFGDDKCIRMSYAAALSTLQTAMEKIKEAVALIKPRVAA >OGLUM01G43020.1 pep chromosome:ALNU02000000:1:40864684:40864911:-1 gene:OGLUM01G43020 transcript:OGLUM01G43020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDRIGGGHGGGEGGGGGGGGGERRSRRRARGNTSRVTVVANGETISYFFVGKNTNLRRGPWEYEILGSGSSDF >OGLUM01G43030.1 pep chromosome:ALNU02000000:1:40879651:40880118:1 gene:OGLUM01G43030 transcript:OGLUM01G43030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAVTDALLLPRSEGAVAGAVDFRGRPASRASTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINLWSGVATMLPLLVACVADAWLGRYRTIVLASLLFVVVSSHFPPFH >OGLUM01G43040.1 pep chromosome:ALNU02000000:1:40895777:40898229:1 gene:OGLUM01G43040 transcript:OGLUM01G43040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRSKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSTFPVSRAGHVAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGGECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTARAASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDGDAGDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLALSLVAVVLSALVETRRLRVAAGVGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDGATARRAGGSWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFARVYTYKKKGSDADGNGDYRGVDDDGICV >OGLUM01G43040.2 pep chromosome:ALNU02000000:1:40895777:40898943:1 gene:OGLUM01G43040 transcript:OGLUM01G43040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRSKLVSHLLDSGMADTVAGAVDYRGRPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWLGRYRAIVLASVIFVLSMGTLSMSSTFPVSRAGHVAVFYVALYMVALGEGAHKPCAQAFAADQFDEKDGGECAARSSFFNWWYFGMCAGTAVTTMVSSYVQDNVGWGLGFGIPCIVIVVSLAAFLLGTRSYRFYTARAASPVARVAKAFLTLIKSWRSNRRTNPASGGKGDGDGDAGDLVEEVKSVFRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGRSFNVPPAALQTFISVSIVVFIPVYDRLFVPLARRYTGRPSGITMLQRVGAGLALSLVAVVLSALVETRRLRVAAGVGMADAPKARLPMSLWWMVPQYVLVGVADVFAMIGLQEFFYDQVPDAVRSLGLALFLSIFGVGHLLSSLLISVIDGATARRAGGSWFANNLNRAHLDYFYWLLAGLCAVELVAFFLFARVYTYKKKGSDADGNGDYRGVDDDGICV >OGLUM01G43050.1 pep chromosome:ALNU02000000:1:40906680:40907308:1 gene:OGLUM01G43050 transcript:OGLUM01G43050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLLAHSDEPCDAGSKPDADSDGHRGGWRAARFLIAVGFNGVQSNLVMYLAGPMGMSTAAAAAGANAWAGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLVS >OGLUM01G43060.1 pep chromosome:ALNU02000000:1:40919931:40920921:1 gene:OGLUM01G43060 transcript:OGLUM01G43060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEQCALLPEAEPSTGSKSGGRGGWPAAFFLLAAVFAERVGFNGVQGNLIMYLTGQLGMSTAAAAAGVNAWGGTAFMLPLLGALAADSWIGRHRAVVASGVLYLLVVTMVNKLFGVGQSLGMLTVSSMVAPPQATMVCQDTAAVCSSPAAAPAGRVAFCYVALYLLALGQGFHRPCVQAMGADQFSESNPGGLASRSSFFNWINFAVSCGYVLSTAGISYVQDKVSWGIGFGACWAMMLVSLFVFLLGTGTYRPEQPRTFAETRRGDAMDDTAR >OGLUM01G43070.1 pep chromosome:ALNU02000000:1:40920972:40921716:1 gene:OGLUM01G43070 transcript:OGLUM01G43070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRRSLPTPPRGHGDDKGIVARLLPIWMTTVMYAVVYSQVATLFTKQGSTMDRRIVIGTGGGGGVLLVPPAALQSLVSFAVMVTIPAYDRALVPLARRVTKHPSGITTLRRVGTGMVTACLAMAVAALVEAARLRAARDAGLLDEPGVAVPMSVWWLAPQFVLLGVATTFTMVGLEEFFYDQVPDELRSVGVAACMSVVGVGSYASGMLVSAIDWATRSRGESWFSDNLNLL >OGLUM01G43080.1 pep chromosome:ALNU02000000:1:40928823:40929047:1 gene:OGLUM01G43080 transcript:OGLUM01G43080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVLGVGNYVSGVLVSVIDTATRSGGESWFSDDLNRAHLDYFYWILAAFAALEVVVFVYIAKRYIYKNKGEP >OGLUM01G43090.1 pep chromosome:ALNU02000000:1:40931058:40931675:1 gene:OGLUM01G43090 transcript:OGLUM01G43090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIGARGGLVVPPAALQSIVSVIFLTFVPVYDRALVPLARRFTGHPAGITTLQRVGVGMAMSCLTMAVAALVEAKRLRAASDAGLIDRPDATVPMGVWWLVPQYALVGLSKVFGIIGLDEFFYDQVPDDLRSVGLAMSLSVRGVGSYASGVLVSAIDCATRSGGESWFSDNLNRAHLDYFYWILAALAALEVAVFVYIAKRYV >OGLUM01G43100.1 pep chromosome:ALNU02000000:1:40932078:40968300:1 gene:OGLUM01G43100 transcript:OGLUM01G43100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNIESSSKKKKKRRLKVSKHLSATLHHSGRGATLQSLTVLDMESGGILPPSETCAGSGSPDGRGGWRAARFLIAVGFLERIGFNGVQGNLVMYLSGPMGMSTAAAAAGANAWGGTVLVLTLVGALAADSRLGRYRAIVAAGVLHLLSLGMLTISSVMQPTHQHPVSCHDAAAACSPPPPPSPSLGRLVFFHAALYLLALAQGFHNPCSEAFGADQFAPPSDPGARASRSSYFNWYNFSSSCGYAISNTAMSYVEDNVSWTVGFAACLATTAVYLPVFLLGTAAYRAEQPVDGAPLALLAKKSLSATRVWTARVFPRKDAICTERLLLAKEEEVEHGKGFVVKLLPIWVTSIVFAAVISQQVTLFTKQGSTMDRRVAVGGGVFVLPPAALQDVISATMLTVLPAYDRALVPLARRFTGHPAGITTLQRVGAGMATCCLHMVVAALVEAKRLRAASDAGLPADATVPMSVWWLVPQYALVGLSKVFGVIGLQEFFYDQVPDDLRSVGLAMSLSAQGVGSYASSALVSAIDWATTRRGGESWFSDDINRAHLDYFYWLLAALAALDVAVFVYIAKRYEQRRAMILLFFYIYIYLKNAMESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGMQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANASRSSYFNWFHFSTTWGYAVATTLLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGAPLARLAETSAAAARAWTKRVFCRKDAICTERLLSKEVVDGKGFLVNDITLFTKQGSTMNRNVGGGLVVPPAALQFAISVTIVTLLPVYDRALVPLARRLTRHPAGITTLQRVGAGMAMSGLAMAVAALVEAKRLRAASDAGLVDRPDATVPMSVWWLVPQFVLLGTSKVFGLIGLEEFFYDQVPVELRSVGLAVSLSVLGVGSYASGVLVSAIDWVTRGGGESWFSDNLNRAHLDYFYWILAALAALEVAIFVYIAKRYLFLQILYLFFYGQAADALRSVGLAMSVSAQGVGSYASGALVSAIDWATRRGGDSWFSDDINRAHLDYFYWILTALVALEVAVFVYISKRYVYKNKAEVSENLQSFTEKRKKISERDGDRATMDAAAEPLLPPPASAVDHLGRPASRRTSGRWLAALFIIGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNLGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVLSVLGLAAYLHFSRVYVHKKKGISGWLYNLWTVKVMAVINNIKKHQSAMAMEAEALLPEPEPESPPLLAVDHLGGPASRGSSGRWPAAFFLIGAEVGERFAYSGIMGNLVIYLTGPLRQPTAAAAAAVNVWMGTSMLLPLLGSAVADSWLGRYRTIVCASLLYILGLGMITVSSVLAPEESSESSNLAAHVAFFYFSLYILALAQGGHKPCAQALGADQFDENDPGELASRSSFFNWWFFASYGGNTVTVPILNYVQESVSWQLGFAIPCIAMAVSLAIFLIGTRSYRFYPPKSKGNPFGEVAEWIRRWIASSCSKLPDSSDELLPSSSSEGDVSNSSSEFVPNEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASTLDRRVGSLVLPAASNGALFNATIMVFLPIYDRIFIPVARRYTKNPSGITTLQRIGVGLVLSIITMIVAAMVEMRRLRIARDFGLVDKPEAVVPMSFLWIVPQNILAAISDMFAVIGLQEFFYGEAPESLRSFSMALFLSIIGVGNFISSFIVYAIDRVTSSFGDSWFSNNPNRGHVDYFYLLITVLNALSLACFLYFAQMYEHRKKRDSGCAQRLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVTHIGWDSPTGSAASPSWMNDMKGSPDYSSLNNFGPSTGTSWTSQDFDHPQDISPFGLYVENAGKEANPPHPDIPKPPRKSRRKKSKNNSPTASSRSSRSRSKRSFSSTADTVVDNSIQNEVRIV >OGLUM01G43100.2 pep chromosome:ALNU02000000:1:40941988:40968300:1 gene:OGLUM01G43100 transcript:OGLUM01G43100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMGKIRRSMESGRLLAHSDEPSSAASKHGDGRGGWRAALFIIAVGFLERVGFYGMQGNLMMYLTGLMGMSMAAAAAAANAWGGTVMVLTLVGALAADSHLGRYRAVVAAGVLYLLSLGMLTVSSTLQPKHPRPASCNGGATACLPPPSPTSRLAFFYAALYLLALAQGFHKPCSEAIGADQFADDADPGANASRSSYFNWFHFSTTWGYAVATTLLSYVEDNVSWTVGFAVCWATMVLYLAVFLLGTGTYRATAERPIDGAPLARLAETSAAAARAWTKRVFCRKDAICTERLLSKEVVDGKGFLVNDITLFTKQGSTMNRNVGGGLVVPPAALQFAISVTIVTLLPVYDRALVPLARRLTRHPAGITTLQRVGAGMAMSGLAMAVAALVEAKRLRAASDAGLVDRPDATVPMSVWWLVPQFVLLGTSKVFGLIGLEEFFYDQVPVELRSVGLAVSLSVLGVGSYASGVLVSAIDWVTRGGGESWFSDNLNRAHLDYFYWILAALAALEVAIFVYIAKRYLFLQILYLFFYGQAADALRSVGLAMSVSAQGVGSYASGALVSAIDWATRRGGDSWFSDDINRAHLDYFYWILTALVALEVAVFVYISKRYVYKNKAEVSENLQSFTEKRKKISERDGDRATMDAAAEPLLPPPASAVDHLGRPASRRTSGRWLAALFIIGVEISERFAFGGISGNLITYLTGPLGQSTASAAAAINAWNGAALLLPLLGAAVADSWLGRYRTIICASLLYILGLGMLTLSPVLVPHQQAESGDNADNNASSSMDIHVAFFYLSLYIVAFAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGIYGGNVITVSILNYIQDNLGWQLGFGIPCIAMSLSLAVFLLGTKSYRFYPLRSNTSLFDQVGKSLLAKIRWWCASWCSKSSGDLHCTQASSSQGDHNDAEKACFPDEATAVLKLFPIGATCLIYAIVFAQWITLFTKQASTLDRWIGKVQIPAAALQSLISVSIVISVPIYDRILVPLTRRYSKNPRGITTLQRIGIGLIISVILMVVAALVETRRLMVARDFGLVDNPEATIPMSFWWVVPQFILTGLADMFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSMTGDSWFSDNLNRGHLDYFYWLLAVLSVLGLAAYLHFSRVYVHKKKGISGWLYNLWTVKVMAVINNIKKHQSAMAMEAEALLPEPEPESPPLLAVDHLGGPASRGSSGRWPAAFFLIGAEVGERFAYSGIMGNLVIYLTGPLRQPTAAAAAAVNVWMGTSMLLPLLGSAVADSWLGRYRTIVCASLLYILGLGMITVSSVLAPEESSESSNLAAHVAFFYFSLYILALAQGGHKPCAQALGADQFDENDPGELASRSSFFNWWFFASYGGNTVTVPILNYVQESVSWQLGFAIPCIAMAVSLAIFLIGTRSYRFYPPKSKGNPFGEVAEWIRRWIASSCSKLPDSSDELLPSSSSEGDVSNSSSEFVPNEAAELVKLFPIWASSLIYAAVMAQCITFFTKQASTLDRRVGSLVLPAASNGALFNATIMVFLPIYDRIFIPVARRYTKNPSGITTLQRIGVGLVLSIITMIVAAMVEMRRLRIARDFGLVDKPEAVVPMSFLWIVPQNILAAISDMFAVIGLQEFFYGEAPESLRSFSMALFLSIIGVGNFISSFIVYAIDRVTSSFGDSWFSNNPNRGHVDYFYLLITVLNALSLACFLYFAQMYEHRKKRDSGCAQRLPMAYKMKGVFKGLKVISQIFVVKEHQMEIGYPTDVKHVTHIGWDSPTGSAASPSWMNDMKGSPDYSSLNNFGPSTGTSWTSQDFDHPQDISPFGLYVENAGKEANPPHPDIPKPPRKSRRKKSKNNSPTASSRSSRSRSKRSFSSTADTVVDNSIQNEVRIV >OGLUM01G43110.1 pep chromosome:ALNU02000000:1:40937712:40939011:-1 gene:OGLUM01G43110 transcript:OGLUM01G43110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDKLDLLLRRIEEYELRREEADRRTRADIRSLIDVVEACTLEVGKKAKDLSASIKKEQSKKMQKFWDLTFQGMLLNYYQTRVEKWNMRIICMVISESESCLESNYIGLNAERDLLYVIADEKGCKRVKEMEEDANSIHDEKYTFELVSAEVHIKLLGIIVGKQFITVPLSRITQEDFAWSKKLSRLKLLALFFFNNVLLSNTKYLEQPWDPRGFNSSAWGQAEFKERGLLDTLLGCTTAGPLRKSRPKQTQEEQYITQ >OGLUM01G43120.1 pep chromosome:ALNU02000000:1:40969505:40976795:-1 gene:OGLUM01G43120 transcript:OGLUM01G43120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease 3 [Source:Projected from Arabidopsis thaliana (AT1G75660) TAIR;Acc:AT1G75660] MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKQVKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGEAIVPKKPYQFLNIWTLREYLEYEFRMQNPPFPIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDACTPDLNKVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVARFQGSRLASGPAPSPYEQNGSDKNNGGKNSRARKAARVSSSGSSIAAAIVEAENDLEAQERENKEDLKTMLKDALREKSDVFNSENPEEDKIKLGEPGWRERYYEEKFGARTPGQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLSGLGQLNITFELGSPFKPFDQLMGVFPAASSHALPVQYRQLMTDANSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSIMFNMLFVNGSHPLSPYIYSLNSKFGHLPDRERNEIKEKIDPSSSGGMNGYISLCSGDPCPPVFRSPVDGLEDIMDNQVICTIYKLPDSHKHIARPPVGVIIPKKTVEATDLKPPPVLWHEDSGRRPHDNNNRRPYENSNRQNPAGAISGRQLGEAAHRLVVNSLNARSGGQYNTSSMPYQTIMNGMPYPNGIPPRMEQPAPGWHVPGDLPNGQVPPAYASSSGHYQNDRSGPSQYGRDNHGRYPYARDNHHDSRGRVPPYHQSGGNPYQSHSAPSAGPGRYAQPPPYAGGYGRSYQPAPYGGGQQWQQQQQQPYGSYAGSGPYGGGAPPARPNSRPQQSQNRYNTLDRNSNRRPPPGHGRH >OGLUM01G43130.1 pep chromosome:ALNU02000000:1:40977906:40981265:-1 gene:OGLUM01G43130 transcript:OGLUM01G43130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGDDDMERDFAARLRLAPSPASPTAAAGGGGGGIAFRAPQEQFTVGDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIVRKGRLSEDEARFYAAEIVDILEYLHSLGLIHRDVKPENLLLTSDGHIKIADFGSVKPTKDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPPTFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDLKIPEYFSDDARDLIDKLLDVDPSKRPGAGPDGYVSLKKHPFFRGIDWKNIRSTRAPKLAMEANANEDEDSQDSSWLSHMGSAPVNQHVSPVGNDGASSSSEVRSHISRLASIDSFDSRWQDFLEPGESVVLISKLKKINKLTNKKVQLILTDKPQLICVDPGKMVTKGNIMWSDDPSELNVQVSNSSHFRICTPKKVSSFEDAKQRAWQWKKAIEDLQRCQKN >OGLUM01G43140.1 pep chromosome:ALNU02000000:1:40987128:40988774:-1 gene:OGLUM01G43140 transcript:OGLUM01G43140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI28] MAAAAAATASSGLIRCATGGAPAHGHHQVFRCSAAKPSPLALRHRAGRPAPLQAFPEYDRVTPFDYDGEVDGGDGDDHPREECGVFGVVGDPDATSLCYLGLQKLQHRGEEGAGIAAAGDDGTIKLERGLGLVGDVFGDPARLGKLPGQAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFSTSSDTEVIQHLIATSLSRPLLARICDACERLAGAYSLLFLTADKLLAVRDPFGFRPLVMGRRANGAIVFASETCALDLIDATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRNAYGRALAEESPAPTADVVIPVPDSGFYAALGFSQTSGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRAIGCDSLAFLSLDKLHTIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >OGLUM01G43150.1 pep chromosome:ALNU02000000:1:41007092:41013827:1 gene:OGLUM01G43150 transcript:OGLUM01G43150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI29] MSPDATGEAGGGGGGGGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLLRAGAAGSRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLQRVGVLGCVLCIVGSTVIILHAPQERTPSSVDEIWHLAIQPDFLCYATAAVAVSLFLMIYCAPRYGQMNIMVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFAVISITCITVQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQSASKIASEICGFLTVLAGTLVLHSTREPDQTLSADLYAPLPPKIYWHIQGNGDIGKQKEDDSLPCDIITVMRQDYFV >OGLUM01G43160.1 pep chromosome:ALNU02000000:1:41007218:41007827:-1 gene:OGLUM01G43160 transcript:OGLUM01G43160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLLVTIPTHQSGSKRYPYPPTPEISNKTNEGHDACDMKSTRILDTKVRISIQQPEKDLAIAPAREPAAPARRSPFFLTMKLTPMKAEEATARSEPLRLAANRSPPPPPPPPASPVASGLICGVLRRRVAGGGGASEAKPPLGSRSDLGSDEARFDRRRGGRSGAGPRRRGKKKWKKVSVAGGRDGGF >OGLUM01G43170.1 pep chromosome:ALNU02000000:1:41010550:41016977:-1 gene:OGLUM01G43170 transcript:OGLUM01G43170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWLYKGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSQSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSDSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGAIFAFPVPSAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >OGLUM01G43170.2 pep chromosome:ALNU02000000:1:41010550:41016977:-1 gene:OGLUM01G43170 transcript:OGLUM01G43170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSELQCVGRLEVAAPPPARYLRVGSLPVPTDSPASLPALLPSSSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPMDAKSTEGSRYGSGLANQNLSRKCEALAVSGLAEYGDEIDVVAPTDILKQIFKIPYSKAQVSIAVNRIGDTLILNTGPDVDEGEKIFRRQSNHPKGSDPSMFLNFAMHSVRAEACDCPPSHQPSKEKQTASAILRGPFGQREGPLDSPSSSSFSTSPYLDQNISKSRKTSHGARESLYWGARENKQKVKGSDPVKKTTHVGDKPRCDVQESEKSRRVGNNGFRKVCFWQFHNFHMLLGSDLLIFSNEKYMAVSLHLWDVSRQVTPLNWLEAWLDNIMASVPELAICYHQNGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLQDNCKQDPGAYWGAEEDVIQLYDLSILPQNHTAGDHRSTCGPMSSLMKKGRKESLFSLGTLLYRVAHRMSLSKVPSNRAKCAKFFKKCLDFLSEQDHLVVRAYAHEQFARLILRCYEELELTSESFLLESEVTLTDLDESPDLSLENLPSKQNEVLTEISEEPATLDGMLECSQSGSSQASNSLVDPGHVDISPVSSATKGDVTVDSLVMCQSGTQVSRTIADAISSKLAAIHHVSQAIKSLRWNRQLQNTQDDCVGNADTIWEKPVDFSLCRCGDIDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLRRTLKVVELACLVYGSMPKNLEGEQFISSMSDSSLSVEDGDLKANLVLDEADYFKNAKCFNYDVSAGQLPPNYLFWVKAWMLVGDVYAEYHRLRGQQAPVLPEQKPDGEVRMSNEVAMEVKRLKRKLGKDKQNCGTCSLINCSCQSDRANSGSSASSSSSEASTLYGRKKNKKSSGRNFHSQSRETKENPSTQDSMGDSESKQQSVNGACFEKRSVSNVEIDTNNYTMENQSRNNDGVPDKSKEDVSSVRVGGIFKFLGGPEPGDVEYNLHSAIHCYDAAKGAIFAFPVPSAEKSTILKKRGWAFNELGCHRLESRNLGNAEIAFADAIKAFQEVADHTNVILINCNLGHGRRALAEQFVSRIDEFQKYDFPQDAYMQSFKSAKSEYFQAINYYTAAKRQLTYADNEVDKVLYNEVYTQYAHTHLRLGMLLARESFLTDSYEGGFVDESSNRTVLEISASDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRFLDLIDKEVKQKNEDKYRQKAKWYGSLAEKNWQRALEFYGPKTHSTMFLNILMAQSALSVNLSDSFHSSVMLENALVHLLDGRHVVEANDEYSNDLDLDIKPKFWSQLQRLLKSMLAAARPAASVGQANASNSRGDTAKLKEMYRLSLKSTSLGQLHALHKIWVS >OGLUM01G43180.1 pep chromosome:ALNU02000000:1:41018913:41025794:-1 gene:OGLUM01G43180 transcript:OGLUM01G43180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMEVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >OGLUM01G43180.2 pep chromosome:ALNU02000000:1:41018913:41025794:-1 gene:OGLUM01G43180 transcript:OGLUM01G43180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKGSAVDKSPSDTTLGPDRVVRGHERGGAGVGVGKEERKTVAREAAAKRIQEQQQQQRQQPASVQEAPASRAPIDARELPWDGVPNLARLPSQKSGMGVAKASAAKAKVSSILGRASTVGLGKAVEVLDTLGSSMTNLNINSGFGSGTTTKGNKISILAFEVANTIVKGCNLMRALSKESIKHLKEVVLHSEGVQNLISKDMDELLKISAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKFASERTPQHHLKEEAESVMQQLVTCVQCTAELYHEMHALDRFEQDYQRKQQEEDGSSVHQRGENLNILKQEVKSQRKHVKSLQKKSLWSKNLEEVMEKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTVKSSLRSKVNSFVVNEEVTAAQIKAEMEKTLRWLVPIANNTTKAHHGFGWVGEWANTGSEVNCKPTGQMDLTRIETLYHADKEKTETHILELVAWLHHLISRSKSANGERSPIKSPVRSPTQRGHTITLSPNKASSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFETKSRHSKQSRLSKSNSHSPSSGNMKELLSIRRMLPVIDFEIDRTKAMDVIDRVDNLKSTVRT >OGLUM01G43190.1 pep chromosome:ALNU02000000:1:41030151:41030914:1 gene:OGLUM01G43190 transcript:OGLUM01G43190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTAPCAARSASRCVAWHIALLFLWTQTKSKTIEQGVGLVSWFGVQTIDRFEITDDQNIFLLANTCDGEDRRYARDRQRITSPVFWRLGGMEIAGGMLTRRRRMLYRVVASPVLPIPSEGVMDVLGYDMVLRVKH >OGLUM01G43200.1 pep chromosome:ALNU02000000:1:41034613:41038335:-1 gene:OGLUM01G43200 transcript:OGLUM01G43200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLVPRAAASFLGAPPLLTTQAGPLLAARTGPPNPSRLRLRLSPWRLLRSRRGLSCSADAAKRCGDDDAEEDGEQRVAGGGGSRPVVDRRQRSRGDAAMGSGELLAIPGVGPRNLRKLVDKGFDGFFGKSNEKMVEFLQSSVGIIHKNHAESITLFIKESVDEELKGTDSPNVSKNKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQEKESSSGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGQGPGVLSVSQVPVHMEGSLPPDIRERVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKEDPSAESSGGDKSTNKQIMLPHRGGLWVPEGNPLPGSALNSLDFRRAMSSFLSA >OGLUM01G43210.1 pep chromosome:ALNU02000000:1:41049968:41052133:1 gene:OGLUM01G43210 transcript:OGLUM01G43210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGRAATLLRNFARPSEGTPGHQVLHQYWVSRHLASRTAVKSHTHAVGSSQWREEKKTNQHNNTEKLRQVNHPKKKKNSSLRLYQHAAVAGSLARSLAAHIYARLASPLPLLLLLVQNQREALVAHNQLDTAARRTNARRGHGEVAMLREGAHEQGGVDEGGGPAADRLHQGARRGLLAVAAQGGGAPPLRQELPPPLDELPPPRPQARQLHRRRRRAHHQAPRPSRQQVVVDCGAAAGEDGQRDQELLEHAHQAQAPEPGHRPADAPAGQRREQRRRGERADHDGQHRRLPVPCAGGGAAAAQATQPGARRGAEQCELRQVRGVPAVGGRPQQQRRQLGRAAVPRPQPRPRPRPVHEPAELTAQDAGRRVVHDRVAPPSPPAAEDHLPLLPPRRPQRRRLQLQGGRAIAGRPTRVPVSQATGGGPVHIAQ >OGLUM01G43220.1 pep chromosome:ALNU02000000:1:41067098:41070282:1 gene:OGLUM01G43220 transcript:OGLUM01G43220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAPPSRASPPIRSASPPPPPPASFASLFPFLPFQLATASPQREKRRQQSKRTQSWPRTHTDSLALLLPLYLSSLPRRLLPNPPNPNPPPHSLSISCSPPFASAFFGGEMAEEPQPEAAPAAVAATTEVAVAEKAPVEAEKEKKVEEETPAVEAEAKEEKKDEAAAAAAGGDEAGAIEGTGSFKEESNLVADLPDPEKKALDEFKQLIAAALAACEFNLPPPPPPPKAKVEAAVEETKAEESKAEEEPKAEEPAKEEEPKAEVAAAAAAPPEAGTEEPKAEASSEEAKTEEPKAEAAADEPAKEESKAEAAPAEEAKPTEPEPEEKTVVVTEEEAATKTVEAIEETVVPAAAAPAAAATEEAAAPEPEVQAAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLRSAVLWRKRFGIESLLDADLALPELDSVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVALLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFIFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIGWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTINNPASKKKKLLYRSKVKSTSESV >OGLUM01G43230.1 pep chromosome:ALNU02000000:1:41072762:41076255:1 gene:OGLUM01G43230 transcript:OGLUM01G43230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHSLVLVRPANACRIAAVNHARRIGNQKTRTPTLPRCPHAYAIHSAVGGLSSVIVDGIPPIRRVWFMLRAAAAAAVSVLRQFNTMPDLCNVQRAYEQIQCYVV >OGLUM01G43240.1 pep chromosome:ALNU02000000:1:41073369:41077347:-1 gene:OGLUM01G43240 transcript:OGLUM01G43240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDLKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNISEDARVELESERAPNRTFAVPENMPK >OGLUM01G43240.2 pep chromosome:ALNU02000000:1:41075324:41077347:-1 gene:OGLUM01G43240 transcript:OGLUM01G43240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G34380) TAIR;Acc:AT1G34380] MPPPAVSTAPVAPVVASSSSAAAAAPRRPRSLRLRVTPYASVSSSSSPSTLAVSIPPPPPARHSRKQLVGRDGAPAKPAKPRVFFLDVNPLCFRGSQRSLGAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRPRGPGTGADSRVIDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGFRVVIGSPDKDFKQLISEDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFIGDEADGVPGIQHLVPGFGRKTAVKLLKKHGSLENLLNTAAVRTVGKDYAQDALVKHADYLRKNYEVLSLKRDLKVQLDDRWLSTRDSCNDSSVLSDFILKFNEGQNIRGN >OGLUM01G43250.1 pep chromosome:ALNU02000000:1:41080896:41085378:1 gene:OGLUM01G43250 transcript:OGLUM01G43250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRPESSDLSLGFHSLGHARGHAVTGPLRLFDDMEDAKPERSVGGGGGGGGGGGEEEDGEEGGDQHFSLLGHALCVKRPRRALYGGGGGGGAGGGGGGGEASSCSSSSSSLHPAKRQATAERGADLEARRGAVRAWGNQALAEADPDVHALMELERDRQVRGIELIASENFVCRAVLEALGSHLTNKYSEGHPGARYYGGNQHIDGIERLCHERALAAFGLDPACWGVNVQPYSCTSANLAVYTGLLLPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKLEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRRGKNLRRRTGSFSQADENDYDFEDRINFAVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIIQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRNLGLTGKNFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEVIADFLIRATQIASNLMKEHGKMQKEFLRGLQNNKDIIELRNQVENFASQFAMPGFDV >OGLUM01G43260.1 pep chromosome:ALNU02000000:1:41087539:41088650:-1 gene:OGLUM01G43260 transcript:OGLUM01G43260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFFTSLARGLDDLSSGGGLSSLPALLRAAALLRGLHSQLTLLVGQLHLPPGGRWLDEYMDETARLWEACLAVKVGLAAVERYCAAASCAAAAMDDWLQDPSPLSTRQVMRAISASRREAMAAEEENRALSEARIAPLSLQLDERLLLRAADARLSGFNGFRGLLYALHNASSLLLLVLASGAVSCAAAAAGPYSADGAADAGGFVASIAMLQQRMAEEADADGGAGAARGGIRMYEFRCARAAVEAAREEVERAVAAGPRKQQCEDGDGGVKDKVDELKAWLDVLRTGTDGLVCQLDDFLDDIVEGRKELSDLCSH >OGLUM01G43270.1 pep chromosome:ALNU02000000:1:41101097:41105597:1 gene:OGLUM01G43270 transcript:OGLUM01G43270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDDTSGAKHAMMWALTHVANKGDFLTLLHVLPYAGAGRGEETPSLANSLGTLCKACRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQSKPSHFCWLSCILRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >OGLUM01G43280.1 pep chromosome:ALNU02000000:1:41105020:41109656:-1 gene:OGLUM01G43280 transcript:OGLUM01G43280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT4G06599) TAIR;Acc:AT4G06599] MAEASSSSAAAVPPLDPEAIAAVAEAAPPEEMTLVAKWKGNDYTVRVVGDDTLGELKRRICEVTGVLPKRQKLLYPKFKLNECKDSELISSIPFKPNVKINMIGTVEDEIFVDQEDDPEILNDYEIGQNEVTAIKDKDVYKQKLKRRASQYKIKILNPCRKGKKLLVLDIDYTLFDHRSAAENPIELMRPYLHEFLSAAYSEYDIMIWSATSMKWVEMKMDQLGVLGNPNYKITALMDHLAMITVQSENLSEKKTFDCKPLGVIWAQFPEYNETNTIMFDDLRRNFVMNPQNGLVIKPYKRTHSNRGTDQELVKLTQYLLTIAELEDLSKLDHSAWESFTEENAKRRRHR >OGLUM01G43290.1 pep chromosome:ALNU02000000:1:41112121:41119515:1 gene:OGLUM01G43290 transcript:OGLUM01G43290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) TAIR;Acc:AT5G63810] MAGASSSSSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITNVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPESEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAAKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTVSLSDEGFGEDLCPGVTKTLAIEADCS >OGLUM01G43290.2 pep chromosome:ALNU02000000:1:41112121:41119515:1 gene:OGLUM01G43290 transcript:OGLUM01G43290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 10 [Source:Projected from Arabidopsis thaliana (AT5G63810) TAIR;Acc:AT5G63810] MAGASSSSSLRRLLLLLLPLIPLLGATTAAAAGANSSVTYDQRSLIISGRRRLLISTSIHYPRSVPEMWPKLVAEAKDGGADCVETYVFWNGHEPAQGQYYFEERFDLVRFAKIVKDAGLYMILRIGPFVAAEWTFGGVPVWLHYVPGTVFRTNNEPFKSHMKRFTTYIVDMMKKEQFFASQGGHIILAQVENEYGDMEQAYGAGAKPYAMWAASMALAQNTGVPWIMCQQYDAPDPVINTCNSFYCDQFKPNSPTKPKFWTENWPGWFQTFGESNPHRPPEDVAFSVARFFGKGGSLQNYYVYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRLPKWAHLRDLHKSIKLGEHTLLYGNSSFVSLGPQQEADVYTDQSGGCVAFLSNVDSEKDKVVTFQSRSYDLPAWSVSILPDCKNVAFNTAKVRSQTLMMDMVPANLESSKVDGWSIFREKYGIWGNIDLVRNGFVDHINTTKDSTDYLWYTTSFDVDGSHLAGGNHVLHIESKGHAVQAFLNNELIGSAYGNGSKSNFSVEMPVNLRAGKNKLSLLSMTVGLQNGGPMYEWAGAGITNVKISGMENRIIDLSSNKWEYKIGLEGEYYSLFKADKGKDIRWMPESEPPKNQPMTWYKVNVDVPQGDDPVGLDMQSMGKGLAWLNGNAIGRYWPRISPVSDRCTSSCDYRGTFSPNKCRRGCGQPTQRWYHVPRSWFHPSGNTLVIFEEKGGDPTKITFSRRTVASVCSFVSEHYPSIDLESWDRNTQNDGRDAAKVQLSCPKGKSISSVKFASFGNPSGTCRSYQQGSCHHPNSISVVEKACLNMNGCTVSLSDEGFGEDLCPGVTKTLAIEADCS >OGLUM01G43300.1 pep chromosome:ALNU02000000:1:41127266:41129683:1 gene:OGLUM01G43300 transcript:OGLUM01G43300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYHRILNIPRETSPQEIRAAYKSLVKKWHPDKHPPSSKPEAEARFKAITEAYEALLDQQENRAVFGVCCNDGRAGEKAMACGVVGGGGAHIARTRSDDFGARMAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLDCTLEELCHGCKKEVKFTRDVVTKNGSIVKKEVSQMVLVKPGWKKGNKITFEGMGDERPGCLPADAVFVISEKKHPVFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSCSFQDEIICPGYEKIIKGEGMPIADQKGARGDLRVKFEIAFPKQLTDEQRDGLAQILRGCAWD >OGLUM01G43310.1 pep chromosome:ALNU02000000:1:41130632:41131889:-1 gene:OGLUM01G43310 transcript:OGLUM01G43310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAMADEFKIVLTRKERFLYLAKAVVFLVIFALGVVAGLWTATGPRRCCSTYTNILFPSTTVYRYRGGGGGSFSEFVAPTRLMHDMTDEQLFWRATMAPASSGGAYPFQRVPKVAFMFLAGRGILPLAPLWERFFRGHEGLFSIYVHAPPGMVLNVSDDSPFYGRQIPSQETSWGSITLMDAEKRLLANALLDFSNDRFVLLSESCIPVQSFPVAYGYLTGSRHSFVEVYYHKGKTCRGRYSRRMEPDITLPQWRKGSQWFELRRDLAVAALTDARYYPLFRRHCRPSCYPDEHYLPTFVAMLHGADNSNRTVTYVDWSRGGAHPATYTAGDVTPELILSIRRSEVPCMYNSRPTTACFLFARKFSADALEPLLNISSTVMQY >OGLUM01G43320.1 pep chromosome:ALNU02000000:1:41135850:41139805:1 gene:OGLUM01G43320 transcript:OGLUM01G43320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI50] MAVGTESGSGGVAESAPSTPMSAMTTTPISARSVRWESDMPDIEEGGGGLERPLLRRRGTNTTSQMAIVGANVCPIESLDYELVENEVYKQDWRSRGKLQIFHYQILKWVLALLVGLIVGLIGFFNNIAVENIAGFKLLLTTNLMLQNRYKAAFLWFISCNAMLAAAAAALCAYFGPAAAGSGIPEVKAYLNGVDAPSILAPSTLFVKIVGSIFGVSAGFVLGKEGPMVHTGACVASFLGQGGSRKYGFTWNWLRYFKNDLDRRDLITCGAAAGVTAAFRAPVGGVLFALEEATSWWRSALLWRTFSTTAVAAMVLRSLIEYCRSGNCGLFGKGGLIMFDVSSQVTSYTTMDLAAVVLLAIVGGLLGALFNFLLNRILRVYSYINEKGAPYKIILTVVISLVTSCCSFGLPWLTACTPCPPELAASGHCPTIGRSGNFKNFRCPPGQYNAMASLFLNTNDDAIRNLFSGGTESEFGVPMLLAFFTAVYSLGLVTYGVAVPSGLFIPVILSGASFGRLLGKLLGALTGLDTGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLLLPLIMLVLLVSKTVADCFNKGVYEQMVRMKGLPYLEAHAEPCMRSLVAGDVVSAPLIAFSSVESVGTVVDTLRRTGHNGFPVIEDAPFAPEPELCGLVLRSHLLVLLRAKTFTADRVKTGAAEVFRKLAPFDFAKPGSGKGLTVDDLDLTEEEMAMYVDLHPIANRSPYTVVENMSLAKAAVLFRQLGLRHMCVVPRTPGRPPVVGILTRHDFMPGYIRGLFPNVLRE >OGLUM01G43330.1 pep chromosome:ALNU02000000:1:41140668:41141753:-1 gene:OGLUM01G43330 transcript:OGLUM01G43330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIGEDMLTEILVRLPYKSLARFQCVATSWRRLISGDYLRRRLPLITSGVLYQEGRGGGGSGGEGRRRQAYTYACASGGGDHGGGVAEADMGFFPGHETSTIIDGCNGMLLYYASHPAAAFHVVNPTTRRWARLPPPRGKTLLSVLAFDPSASPHYRVVCFTGWLPRGASVEVFDSEGGAWHDHELDFGLDTDAMSATMHYFDGAIHVLAYSGHVVRIDLGTMACAVTALPAPVSCRARAGHCRGRLRYASSEGTRLKFWELKNAATSEWALKHELGVNDLVAGEASGACQAVTFLFMAFHPDREMVYLWTPWKLVAFDMEKRRVEEEWEVGPEKEKEGAHLIQIWLFPFSRHLANSLA >OGLUM01G43340.1 pep chromosome:ALNU02000000:1:41143693:41147904:-1 gene:OGLUM01G43340 transcript:OGLUM01G43340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPQNPPPIHRHAAIPPPSPSPAQYRTSPPRRWGFVPCPVLFLCVGRGGGREGKSVRSRRALLKRKAAAAAKEEEEEAGVGVATAAAAGRRRRRRLYGFSVSLVVACWVVLLLLNPLVGHGNGQRDEGIFADEGSSDPSFDSVEPTLSEGSVDSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGVDALPKDNVDQGENFPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTQLKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGAHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLESSKDDFAKEIEGILSWKLVASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRGYPGMVGELVPDGK >OGLUM01G43340.2 pep chromosome:ALNU02000000:1:41143693:41147657:-1 gene:OGLUM01G43340 transcript:OGLUM01G43340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSRRALLKRKAAAAAKEEEEEAGVGVATAAAAGRRRRRRLYGFSVSLVVACWVVLLLLNPLVGHGNGQRDEGIFADEGSSDPSFDSVEPTLSEGSVDSVVQQENGENHALPGDSCAKPDENHVLSEETLLEKDQLCSNDEAQGDGVDALPKDNVDQGENFPRTDDDSVVHPEGEVESEGVPRPARLSRVVPPGLDEFKTRAIAERGKGVPSGQPGNVIHRREPSGKLYNYASAAKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNLKEFEMLSSLNYPTDSWETLGRFTVANAKIAQNFTFPEPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKRLEPDDKMKEPVDQQTQLKEPTEGKESSHEPLDEDEFELEDDKLNGDSSKNGAHDQVTETRPIQAGRIPGDTVLKVLMQKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDTKDALLEKIKLELKHLESSKDDFAKEIEGILSWKLVASSQLNQLLLDNVIIRSELERFREKQADLENRSFAVIFLSFVFGCLAIAKLSIGMIFNTCRLYNFEKFDRGYPGMVGELVPDGK >OGLUM01G43350.1 pep chromosome:ALNU02000000:1:41148123:41153328:1 gene:OGLUM01G43350 transcript:OGLUM01G43350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNPTRTVYIGNLDEKVTERILYEILIQPGRVVDLCIPRDKETSCPKGYAFAEYETEEIAQYAVQLFSGLVRLYGKTLKFAISGQDKPSSNGNNPVMPKLNPVPLPKQPQFVHHSDMHVLHTPADPMHYQLDPCIATEGSAMVWRLCFECCWPWSSEATNVVSVLLGTKEGNLPREEAMKMESPGVQPAAAGEEEGSGGVFFCVAVTSRGRTDRLSYFQAEGDGDDAEEVARATAALCLDHAPEHHHWHHHTVVGRRTFAFLAGDDGRTYFAVADPTPGSAETVRFLQRVRDAFGSCGGGGATRRRNQRDDAVDAVVWQFVRALRASAGRGTAALFPGDDSRGGGDASSADGDKDDEEEEDDRGGEAMAVAADGARRRTRRSWWRYSKVVIGVELVLFLVLFVVWMIVCKGFNCVQR >OGLUM01G43360.1 pep chromosome:ALNU02000000:1:41155140:41156274:-1 gene:OGLUM01G43360 transcript:OGLUM01G43360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKAHRCVSVRDSPAERRGVAHVVGSTRRSGGVVSSPKIKRVIISFSSSVAAERLLHATPRPATTPDVSDSIPSCARHRSPMDRHSRARRGDRPALACRRRPHSGQSGSRSVGRPAGSGSSKLAGNGWIHELAGLPSLTRQIRLVTTTPPLAADADAEAQLFGIDRRM >OGLUM01G43370.1 pep chromosome:ALNU02000000:1:41158677:41160421:-1 gene:OGLUM01G43370 transcript:OGLUM01G43370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGRMDGGRWGRTAIPGSNGKQCGVGPTTRRIIAGADLEGEEEGNQDLSTWSKWDYVPYRTVNVTILETTAAGIYSHAKHVEYMIDDSVCEDD >OGLUM01G43380.1 pep chromosome:ALNU02000000:1:41162675:41172372:1 gene:OGLUM01G43380 transcript:OGLUM01G43380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSVIGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGARSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYSPDYSYPTNYYNIYGGAQYPFYGAAAAAAAAAAGMVTGSSPFYPYFQFGQSGGTTTNYASGQGYNLQYPQMFHFSTVASTAAAVTGFAQQYGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >OGLUM01G43390.1 pep chromosome:ALNU02000000:1:41173704:41175578:-1 gene:OGLUM01G43390 transcript:OGLUM01G43390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion binding [Source:Projected from Arabidopsis thaliana (AT1G71310) TAIR;Acc:AT1G71310] MASGALARLLLGRRAAATPLLARPFAAKARASRRPQEPAFPSEDEDDFAGGEVAAPAPTEGISKPLAEVLRELGKRVPEALVKTRVEDGFSLKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVIYRVTLHGTDAEIYREATGTSSADDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >OGLUM01G43400.1 pep chromosome:ALNU02000000:1:41193751:41215992:1 gene:OGLUM01G43400 transcript:OGLUM01G43400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELEMQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKELSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKLLDESVHEKNEMEHRLLNCSSLSDERTPSDDQKLIKLLQEELRNYEKEVDEARRLKSSHTNVELLKEKILEEQGRRERAEMELSKLQEIEAKAQKLELELASCTALLSNIPDVSSFGDIPQKIADLQKQALTNLNKVGEVTSQLKELKVALEFADLSKQQAEGEATLAKERAESATREIKRLELLLAAISEERDRLRKDHAVSKSRDGDDASSKFCAFIILNGMHNISCEVTIQNMESDLSRMEKVVTELESTIRDQRELISQQHTELNLMNEKLSIESRKAKSLERDGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADVGTVVDVNIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILHSVYAQSDDEKLEFDYESGSTNIVVNDYTSQHEIAQQTLSTSERQPQPEKKPPRTRPPLPGKAVAALCVASFVVGLLLSGRVAVPLLPPGSSSPASNYKTSFSTGYENKRAKLGESNPTDIMNEVSRTHHAIQSLDKAVSSLEMELAVERARSSAAVGAGTAVSSLGPQKAFVVIGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKEKGIVIRFVIGRSGAAAAGDGPLDRAVDAEDAENKDFLRLDHVEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHVNLGMLTSRLAKYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPDCEWKKRAGNVCVASFDWSCSGVCKSVDRMKHIHRACGEGQGAVWSVAT >OGLUM01G43400.2 pep chromosome:ALNU02000000:1:41193751:41197846:1 gene:OGLUM01G43400 transcript:OGLUM01G43400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMVCTYHCRQMVKSEFMVALDTAEKQVQEYRATLDDMEERLSKSEDERATCQDKLNYVEQELAATKGRESAMQERLLKEVGDFQERYCDQIKKIGELEMQLKKEIDSRIAAESSSASAKESVKELEGNLQRLSENSEREKKALKKELSYLQDDTKLSISKLNAELERMRLRAQNSEDEAKLLNEQLEDLKKLLDESVHEKNEMEHRLLNCSSLSDERTPSDDQKLIKLLQEELRNYLEKNRHADTYTRDKLIPKLEKNTDTQKLEKMIPVWAEEGALLLGVRRAGFWLGLLGVVAASRSVAGEVSHASARDTEPGSSLGESLLGVVAGGAGEVSRVRGEGRSAPAQSSCGVAERRRRGIARDTELGSGWGRSE >OGLUM01G43410.1 pep chromosome:ALNU02000000:1:41230941:41235338:1 gene:OGLUM01G43410 transcript:OGLUM01G43410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSRPLKRARTRVEARDFAGFPPAGDGGAAGTFREAVRGFLARYARLLPLPSIFSPAAAAAPPHLLTWRVSLRVGEERDEEGGGGAVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDNNQVCGKRHSCCLRCGTPTVAGESRCLLCNFDMDGEELEECGYMHLDDNTHLLHAVVHANGYGHLLRVNGREGGSRCLTGRDIMSFWDRLCKVLHVRKVTVMDISKKHGMEYRLLHAITSGHPWYGEWGYKFGAGSFALTSDTYQEAVDTLSGIQLALYFSHRQPIRTPLQNTIALYWALSDRQLVTVRDLFRFIMHLLHQARKKNETSKPTTDEHKEVASNVLCKWTKEDIDRAETAMLKVLRVVQPGQWVSWRALRGAASKAVDSQELLDYSLRGLGGKLMDDGHFIAVRCNAETSAIEYRLEDNSNQSVDAAAFGPSVDHLLHDLKFLYNALLNPETMLASQPEVIGASSHSAAAKILDCKQFIKHYDQHTPRAPLNPFLLSVRCSIELLDHPKDYTAPPVELVLLPASATLAELKIQATRAFQETYLMFQSFQVEQLPDFPNFSDTTLVKHVLGSSQLVRVRGRCTGDNRRIVQFRMERGLENWTVDCTCGAKDDDGERMLACDVCGVWQHTRCSGISDFDDVPEKFICRKCASPRRGKGRGGGGGNGGSRMDVSAAGRCKDEIGSSVGGAGKFGRMATVG >OGLUM01G43420.1 pep chromosome:ALNU02000000:1:41234079:41238007:-1 gene:OGLUM01G43420 transcript:OGLUM01G43420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRWGGFFLVCSAACFELATLRPGVRPAGSSSVQRSHPFCYHHCHLHHHDPFLCVD >OGLUM01G43420.2 pep chromosome:ALNU02000000:1:41234328:41238007:-1 gene:OGLUM01G43420 transcript:OGLUM01G43420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAILPGAFRLAEGACLPRLARSDEAAGGRAMRSPWRWGGFFLVCSAACFELATLRPELSVLNNSEKGLLPRRID >OGLUM01G43430.1 pep chromosome:ALNU02000000:1:41246855:41247289:-1 gene:OGLUM01G43430 transcript:OGLUM01G43430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYCNLTSSPPVASGAVEAETKWEGVAVGAATLVRNFSSASQRFRPVERSRSTAGGNGGGLQAVVRRAFSMRRQPSSFADGYWRIHDDMDGDDAAGEHQEQAAFQDGEQKRREEQDHATGKKEKITKKKGRIFKACKKLLGF >OGLUM01G43440.1 pep chromosome:ALNU02000000:1:41249266:41250344:-1 gene:OGLUM01G43440 transcript:OGLUM01G43440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSGEAHQDGCREETCWLQPARAQEAAEAGSNEREVEAVARGPVAGASAAAGRRRSGGRGRRGVDDAQGLRHVDGRHLVRVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >OGLUM01G43440.2 pep chromosome:ALNU02000000:1:41249266:41249892:-1 gene:OGLUM01G43440 transcript:OGLUM01G43440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACREETCWLQPARAQEAAEAGSNEREVEAVARGPVAGASAAAGRRRSGGRGRRGVDDAQGLRHVDGRHLVRVETVLQAADLSVLMPGQRYPTYLAQPAPLPPAPCPREGVHWPPHDHDVHHSYMPP >OGLUM01G43450.1 pep chromosome:ALNU02000000:1:41259482:41266330:1 gene:OGLUM01G43450 transcript:OGLUM01G43450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTYGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYIHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >OGLUM01G43450.2 pep chromosome:ALNU02000000:1:41261139:41266330:1 gene:OGLUM01G43450 transcript:OGLUM01G43450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTNVRFILFRYTVLTSNNTMLPQQLQIYLCFILLSLKFGISASLPLETDALLDIKSHLEDPQNYLGNWDESHSPCQFYGVTCDQTYGGVIGISLSNASLSGTISSSFSLLSQLRTLELGANSISGTIPAALANCTNLQVLNLSTNSLTGQLPDLSTFINLQVLDLSTNDFSGPFPAWVGKLSGLTELGLGENNFNEGDVPESIGKLKNLTWLFLGQCNLRGELPVSIFDLVSLGTLDFSRNQIIGVFPIAISNLRNLWKIELYQNNLTGEIPPELAHLTLLSEFDVSQNQLSGILPKEIANLKKLKIFHIYRNNFSGVLPEGLGDLEFLESFSTYENQFSGKFPANLGRFSPLNAIDISENYFSGEFPRFLCQNNKLQFLLALDNNFSGEFPSSYSSCKTLQRFRISQNQFTGRIHSGIWGLPNAVIIDVANNKFVGGISSDIGISASLNQLYIHNNVFSGELPMELGKLSLLQKLVAFNNRFSGQIPAQIGSLKQLSFLHLEQNALEGSIPPDIGMCNSLVDLNLADNSLTGTIPDTLASLFTLNSLNLSHNMISGEIPEGLQYLKLSYVDFSHNNLSGPVPPALLMIAGDDAFSENDGLCIAGVSEGWRQNATNLRYCPWNDNHQNFSQRRLFVVLIIVTSLVVLLSGLACLRYENYKLEQFHSKGDIESGDDSDSKWVLESFHPPELDPEEICNLDVDNLIGCGGTGKVYRLELSKGRGVVAVKQLWKRDDAKVMRTEINTLGKIRHRNILKLHAFLTGGESNFLVYEYVVNGNLYDAIRREFKAGQPELDWEKRYRIAVGTAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKVTEKSDVYSFGIVLLELLTGRSPSDQQFDGELDIVSWVSSHLANQNPAAVLDPKVSSHASEDMTKVLNIAILCTVQLPSERPTMREVVKMLIDIDSISANGKAKNKNDKK >OGLUM01G43460.1 pep chromosome:ALNU02000000:1:41265903:41281738:-1 gene:OGLUM01G43460 transcript:OGLUM01G43460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNAAPEDVESGEHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGTRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMVAIRRSDCIHRNGAGAAARCDNTSATVLMLAFSIVQVVLSQFPGLEHITWLSVVAAVMSFAYSFIGLGLSVAEWVSHGGHLSGRIQGATAASSSKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKPSPPENKTMKKAAMYGIGATTIFYISVGCAGYAAFGSDAPGNILTVSGMGPFWLVDIANMCLILHLIGAYQVYAQPIFATMERWISSRWPEAKFINSAYTVNVPLIQRGSVTVAPYKLVLRTVVVIATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQEKITRGGRWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVATPFKTVS >OGLUM01G43470.1 pep chromosome:ALNU02000000:1:41296269:41300199:-1 gene:OGLUM01G43470 transcript:OGLUM01G43470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVERKEVAVDDDGRVRTGTVWTATTHAITAVIGSGVLALPWSVAQMGWVLGPIALVVCAYITYYTAVLLCDCYRTPDPVHGKRNYTYMDVVRSCLGPRDVVVCGIAQYAILWGAMVGYTITTATSIMSVVRTNCHHYKGPDATCGSSGTMYMVLFGLAEVVLSQCPSLEGVTLISVVAAVMSFTYSFVGLFLSAAKVASHGAAHGTLLGVRVGAGGVTASTKAWHFLQALGNIAFAYTYSMLLIEIQDTVKSPPSENVTMKRASLYGIGVTTVFYVSIGCVGYAAFGNAAPGNVLTGFLEPFWLVDIANVAVVIHLVGAYQVYAQPVFACYEKWLASRWPESAFFHREYAVPLGGGRAVRFTLCKLVLRTAFVAVTTVVSLVLPFFNAVLGLLGAVAFWPLTVYFPVTMYMAQAKVQRGSQKWVALQALNVGALVVSLLAAVGSVADMAQRLRHVTIFQTQL >OGLUM01G43480.1 pep chromosome:ALNU02000000:1:41301975:41305094:-1 gene:OGLUM01G43480 transcript:OGLUM01G43480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRQSKQPSPAAAVHARATTTPTPTPGEDEQQGSALEAERRGRRTTTTRRAAEGAMDTFFLSHGAPTLSIDDTIAAQGFFKSWLPAAVAGAELPRAILVVSGHWEAAAPTVNVIRGNNDTIHDFYGFPKAMYKLKYPAPGAPDLAMKTKELLEQAGFGPVKEDHSRGLDHGAWVPLMFMYPEANVPVCQLSLQSGRDGAYHYELGRALAPLRDDGVLVLGSGSATHNLRRMGPEGTPVPQWAAEFDGWLQEALLGGRHDDVKRYEEKAPHGRVAHPSPDHFLPLHVALGAAGEGAKAELIHRSWSNASLSYASYRFTTAKN >OGLUM01G43490.1 pep chromosome:ALNU02000000:1:41307600:41308412:-1 gene:OGLUM01G43490 transcript:OGLUM01G43490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQHFFRSWLPAAVAGAQPPRAILIVSGHWETATPTVNVVRGNNDTIHDFEGYGFPKSMFQLEYPAPGAPDVAKKAKELLEQAGFGRALAPLRDDGVLILGSGNATHNLSCMAPVAEGTPVPQWAAEFDGWLQEALLAGGRHDDVKQYEEKAPHGKMAHPSPDHFLPLHVALGAAG >OGLUM01G43500.1 pep chromosome:ALNU02000000:1:41311446:41312039:1 gene:OGLUM01G43500 transcript:OGLUM01G43500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI73] MAAAGSSKTANLLLCLTMISFVQLAQSSPSGDKGIKGFHGKKLSFTLYQQETINKTSYMVVGGVAGAGVSETTTPFGTVYVFRDDLTVRAERSSRVAGVVEGTSVTTSFDGLRSLSLGKITLDHRGHRGSVSVLGGTHNTRPSDCPVVGGTGDFAYAVGYVRTSPVNLRARGSSVTFKVEFHLYWPPYAHYAPTSKH >OGLUM01G43510.1 pep chromosome:ALNU02000000:1:41314842:41315525:1 gene:OGLUM01G43510 transcript:OGLUM01G43510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI74] MAATNTAMRLVLCLLVMIICSTHRLLHPQPQLAATAASSSSSPFSSRSKIGKDIIDKTVSFTLYQQETMNRTGYVVVPGVDAPAPAPARVVVSDAGEPSADRQPFGSMYVFRDNLTVRADSSSRVAGVAEGTSISTSFDGEDGRRSVSLAKITLHHRGYRGSVSILGGTPNITRPSVYPVVGGTGDFLYAVGYVRSSPVDTRGRTRTTYKMELRLYWPPHAYFAPIP >OGLUM01G43520.1 pep chromosome:ALNU02000000:1:41317746:41322451:1 gene:OGLUM01G43520 transcript:OGLUM01G43520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLFGCRSSLSFIVWSLVGVALIVCFFSVVRQADTRQNHIYFRHLSATRELEEIEEEHFRLPPPHKVNPRAVKRRGPRKAPKVIDQYLDESSAVHALFFPDERSAVNPTKGGNDSMYFYPGRVWLDTDGHAIQAHGGGILYDHITAKYYWYGENKDGLTYQTHPKSTYRVDIIGVSCYSSKNLWSWTNEGIVLPGEPTNVTHDLHKSKVLERPKVIYNDHTGQYVMWVHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTIFKDDDGSAYLFYSSRDNTELHVSPLTKDYLNITVAMRRILIRRHREAPAVFKLQGTYYMITSGCSGWAPNRALAHAAESIMGPWETLGNPCVGGNRFFRLTTFLSQSTFVLPLPGLPGTFIFMADRWNPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPAWSRVSIYWHRKWRLPESWKGYT >OGLUM01G43530.1 pep chromosome:ALNU02000000:1:41321346:41323566:-1 gene:OGLUM01G43530 transcript:OGLUM01G43530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G56570) TAIR;Acc:AT3G56570] MEASASTSTARRLRAFRRWMRDHGVVCSDALRLDAAEDGGGGVYVRALAALREGDLVATIPRGACLTPRTSGAAEAIEAAELGGPLALAVAVMYERARGAESPWDAYLRLIPEREPVPLVWPADEAERLLAGTELDKIVKQDRQFICEDWKECIEPLILSGELEVDPDDFSLENYFSAKSLLSSRSFRIDSYHGSGMVPLADLFNHKTGGEHVHFTSVLEALDSDSEDGEDPNNASADEQSTIENSADIPSGDDDEDLEMIVVRDVNEGEEIFNTYGTMGNAALLHRYGFTEMDNPYDIVNIDLALVTKWCSSKYSRRYARARVSLWHNLGYSGCTSQDADYFEISYDGEPQLELLILLYIISLKSDAYDKLASVAHDLIGDDEVDSISSVLKVVRVTSSNQHPDIGGLEKLPDVKKLLLNESVCSALVSLADMRESLYGSNTLEDDRQKLQACSSVNERNLYHSLVLRVSERTILHKLKKHASSWSKTKKRKQL >OGLUM01G43550.1 pep chromosome:ALNU02000000:1:41337874:41340512:1 gene:OGLUM01G43550 transcript:OGLUM01G43550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQERAMKHVMEIGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATAEHPGGDYEYIDVLVAVGHGADTSSASRLIVDVDFRSQFQLARPAPWYAHLSSRLPPVFVGPPEKLRQAVALLCMAAQRSLRESGLHVPPWRRPSYVQAKWLPCRGVQASALPPGGESAAAANGGDGPRAVVQWSVGKERRRRGGGHRKSGLSVELSDSGAGESSTILSPWPIAAFARRKEKKEGLAKSKHSRSLNWASMAERTLYLAESSTGPLWIMSPKSYY >OGLUM01G43560.1 pep chromosome:ALNU02000000:1:41362531:41374598:1 gene:OGLUM01G43560 transcript:OGLUM01G43560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI79] MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLQDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >OGLUM01G43560.2 pep chromosome:ALNU02000000:1:41363310:41374598:1 gene:OGLUM01G43560 transcript:OGLUM01G43560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI79] MGSLETTNTRYRPAGAADDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYDHQMQSSSRFVSGWIWDKTIPDPRYVSSLGVQWEDVYKTVENLNDGERKLKVGLLNFNSTEIGSWTQLLPDSDFSIIRLEHAKESITWQTLYPEWIDEEEETEIPSCPSLQDPIFPRGTHFDVVAVKLPCTRAGGWSRDVARLHLQLSAAKVAVTASRGNRGIHVLFVTDCFPIPNLFSCKNLVKHEGNAWMYKPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDFVILVDETISNHHRKGLEAAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKVKKTRLFGADPPILYVLHYLGLKPWLCFRDYDCNWNNPILREFASDVAHARWWKVHDKMPKKLQHYCLLRSRQKAGLEWDRRQAEKANFTDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESKNSTKENPVPATPTASLTSS >OGLUM01G43570.1 pep chromosome:ALNU02000000:1:41379666:41380702:-1 gene:OGLUM01G43570 transcript:OGLUM01G43570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI80] MSPPPLRLLLFLLLLHLPSSLSSRHHHHAPSPSKPAPASYAAPLAVLLACNATRFQPACVSTLSNASADASTPDLLAATLSALRARIPPAVSTARSVLAASSNVNLTNAATNCLTFLSLSTHRLLPPPSTSSPSLLSASTALLHLYDCWSAYKYVNFSRTISDAMAYLDDTIAVNSNYISMLAALQRYGDDTFRWAPPQTERDGYWPPAAAGSAADEDALGVPKGLPPNVTVCGAGCHYKTVGEAVAAAPDYGDEMFVVHVKEGVYKETVNVPWEKTNVVVVGDGMGKTVITGDLNADTPGVSTFNTATVAGTAYVFSLRWYT >OGLUM01G43580.1 pep chromosome:ALNU02000000:1:41383635:41385727:-1 gene:OGLUM01G43580 transcript:OGLUM01G43580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGSFSRSTSARLTARGGVGSPRVSAAAAAHRKWWWWAPSGPSFECAALAFFLSSVALVLSCALYLYLFRYQGRGHAVAEFAGDNLESCDVFDGSWVPDRRYPLYNSSDCPFVERGFNCLANGRKDTGYLKWRWKPRGCDLPRFSARDVLERLRGKRVVFVGDSMSRTQWESFICMLMAGVENPKTVYEVNGNQISKTIRFLGVRFASFNLNVEFFRSVFLVQQSPAPRSSPKRVRAILKLDKMDNISRKWENADVLIFNSGHWWTPSKLFDMGCYFEAGGLLKLGTSINSAFKMALETWASWVKEKVDLKRTHVFFRTYEPSHWSGSNQKVCEVTEFPTAEAKGDDRSEFGDILAGVVVNMSVPATILNVTLMGAFRSDAHIVIGAFLESQMLGMN >OGLUM01G43590.1 pep chromosome:ALNU02000000:1:41392719:41393390:-1 gene:OGLUM01G43590 transcript:OGLUM01G43590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YI82] MARDLTISNTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRQFYTRCRVSGTVDFVFGNSATVLRDTALIVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLRGCVVNGSDDYMALYREKPDVHHVYLGRPWKEYSRTVYVGCTLSEIVQPRGWMAWNGDFALKTLYYGEYESAGPGGDGASGSRIGWSSQVPRDHVDVYSVASFIQGDKWIPKIH >OGLUM01G43600.1 pep chromosome:ALNU02000000:1:41398739:41400229:1 gene:OGLUM01G43600 transcript:OGLUM01G43600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant stearoyl-acyl-carrier-protein desaturase family protein [Source:Projected from Arabidopsis thaliana (AT1G43800) TAIR;Acc:AT1G43800] MQVVGTVRVSGCGAVVAPSRRQCRVSAAVLTAAETATATRRRVTHSMPPEKAEVFRSLEGWARSSLLPLLKPVEECWQPTDFLPDSSSEMFEHQVHELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLARAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLRLDPDGAMLAIADMMHKRITMPAHLMHDGRDMNLFDHFAAVAQRLNVYTARDYADIVEFLVKRWKLETLETGLSGEGRRARDFVCGLAKRMRRAAERAEDRAKKDEQRKVKFSWIYDREVIV >OGLUM01G43610.1 pep chromosome:ALNU02000000:1:41400985:41402631:-1 gene:OGLUM01G43610 transcript:OGLUM01G43610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRARQLHALYLTRGCRDPDMWANLAKVYASNSFLREAAIIYSKLLPDRTHHLPLVIVLLKATASRADPVLGRALHAEAVKSANAHDRLVGTTLVSVYCKCGLLADARRVFDGMPDRNAVTCNAMLAGYAAAGDMVSAEALFAGMGSRTPVTWATLIRGFAGKGDMAETRRWFEAMPLVMQNVVTWTVAVQAYVAAGDMETARELFNKMPARNAFVWSSMVTGNFKAGNADEAQAVFDKIPVRNLVNWNALIAGYAKMGCCEKALEAFHSMLKDKIKPDEFTMAGVLSACAQLGSLEQARKVHNFIIQHRIRKNQFVMNGLVDMFAKCGDLAFARNIFDNMQWRNIECWNSMISALSSHGQNIEAIRLFSKMECSEQKPNEITLLAVLGACTHGGFVDEGLRIFSKFDIYGVAAAVEHYGCLVDLLGRAGRLREAYEIVKNMLVEPNEVIWGSLLGACRVHGDAEMSELVSSEIHQLHSRRVSTNDAEYILLSNIMASSERWEQAERMRRKMALHGVGKTPGCSSVELEITEHQVCAGSGTLSQLH >OGLUM01G43620.1 pep chromosome:ALNU02000000:1:41403102:41411503:-1 gene:OGLUM01G43620 transcript:OGLUM01G43620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARGGRRGRGRGRRGGRGGAASRARGENVVDVEPIKLGDDDEKVDPQTKSPNEEITSAEVCEVETSEASPLGAPEEDEGKPGSTRNLKRLRKTITIDSAAAEEHGAESDDEEFDVLATASCQELAPCRRSKRLRCKPTRKRSKPTGKRDLGGKESEDDVFANSSSSSQDDAGKLASRRSKRLRERLTSDYFDGDVGEDKDTLGSSSDDYGIVTILNYCMISDMLPMTIVRLPAEDQNAFGTDWAPEIFHMLMMIATPMIIARRLSHAGERQSAYRRSGKLMMFQRRVTLKLRLACCRLLHQVPGNVLGAKAMQPAVKVTEVLTSKKIQRLVGHRRILQEADFQYQFLVKWQSLSHHHDCWVPLEWLHVSDPLRVQSYLNKNCLPKEVYSEDQRKLEWFEVDRAIACRRKFHHEGLCDVLATFQDNQDFDGYEFLVKWKGLDYCEATWEPCCTDGVQQAVSMLVRRHKNASKRVNISQTCLDGSKIEEVHCGALYDYQLQGLQWLIDNFKTRRSVILADEMGLGKTVQVVCFLYHIIKESLTASPALILAPKSILLQWEKEFCQWASDLNVIVYQGDRDSRKCIQVHEMYSSDGKPLFDALVTSYEFVQIDKAVLQKFKWSTIVIDEAHRMKKLDCNLAACLKRYCSEFRLLLTGTPLQNNIMELFSLLHYIDPDEFSDPKADGLFSPIESGRDLTMDEKVARIHDILKPRMLRRMKSDVLTDSMPVKKWVEVPCALADSQRELYINILERNYSKLNSAIRNGRKLSLNNILMELRKCCNHPYLFPGLEVGQQATEDVFLSLIASSGKLQLLHKLLPRLKERGNRVLIFSQMTRMLDILEDFLCSLGYKYARIDGQTSLSARQESIKEYKNIDSETFIFLMSTRAGGMGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITNCSVEEKILQKSKQKLAIENMLMNSSKKPSADELQSILLHGAKTIVDRKISATSIHYDNEAIENLLKLDPSTGEKCSSDDNGYLGSIVSFAHGVEDEAPSSPKVEDLKVLKPATPKVDLGRGKRQRKVVNYADEVENEDSDDMYAPEGSSTSESSSSSSDDETDSALQLQIVTVPDIDAD >OGLUM01G43630.1 pep chromosome:ALNU02000000:1:41412844:41414409:-1 gene:OGLUM01G43630 transcript:OGLUM01G43630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRAALGAVKDRTSVGLARVGGADEVAADLAVAIVKATAHGESVPGDERHVQEILTLTCYSRARVAACVSAVSRRLGRTRAWAVAVKALALVHRLLADGDPAYEQEVFLATRRGRRMLDVSHRFPHRSSRSRATWDFHGFVRAYAAYLDDRLKHRMKGRVASQGKWCSDGRRDGFPDITDGSYEVGEAVAEVWALVPRDTPATGTTTTEELVSKAQHLKHILQRFIGCRPTGKARTNKVVAAALHRLVKESAVMYRELTEVMAMLADRFAELETPGCVRVHSIFTSIAKLFDELDEFYSWCRSATICRPSEIPEVERVAQKKLDLMDEFIRDRQPASSRWWRCTPPAPSSPLAPIASNGDNGSKASPAEPAPAGALVVVDDHMADFLNLGEESTPLSTEEQDRDLTLSLFGDDPATPAPKWETFDDDQCDDWETALVQSASKFAATQSATVLALPPPPGATSGEVADPFAASLAVPPPTYVQMMDMQARQRLLANEQMMWQQFETQQMAAWSYSSLL >OGLUM01G43640.1 pep chromosome:ALNU02000000:1:41427602:41435380:1 gene:OGLUM01G43640 transcript:OGLUM01G43640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIKKRSTEDFSGVPYNMTLLNCLLSACSSYSPCCRHGQDGCDMWAQEAVVQAHAASRSSVCSSKKWVRNTIRESILRRYGLPFVSPNNILVTTINGTGSVIEAIYVVIFLIFAERKARLKMMGLLGLVTSIFTMVVLVSLLALHGQGRKLFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIAIPNGCGSFLGLMQLILYAIYRNHKGATPAAAAGKGDAADEVEDAKKAAAAVEMADAKTNKVVADDADADADGKSADDKVASQV >OGLUM01G43640.2 pep chromosome:ALNU02000000:1:41427602:41435763:1 gene:OGLUM01G43640 transcript:OGLUM01G43640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMPRGLPFAVDTWGPSSRRRRHRFLTHAHRDHLVAAGGAADSGECPGAVYATRLTLDLALRHFPRLERGEFVEMEVGKTVVVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGSILHTGDCRLTPDCVQNLPLKYIAKKGKENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEEILIEVSRTFGSKIYVDKRRNSDCFRALSLMAPEIITEDPSCRFQILGFQNLYDKACTKIEEARASLQPEPLFIRPSTQWYAHCAQSQKPSLTEAVLDGCGVWHICFSIHSSRDELEQALELLQPQWVISTTPPCFAMELSYVKKKCFKTCLTADDPLWKIFKNPLRKSVSSPSTLLDSDTHTNEDHSISVDDDHDHSASPSGEECTDFDICTLELKFMPSPPVQEPDITLFGRARFGSEEIDIMREELCNQRIAVEEARAYSTAYLICDGSSEVETCPNSRTDFVIVQASKSQQSYSGYEDEDPSCQCAASPRQLESRSILSLPIGECSLSPVADNPKKSEVVIESESTNHAESSNLCMVRRGYSGSEDDCQRAASPRQLEKLSIRSSPIGECSLSPVADKPEKSEVVIESESTNLAESSNLFMVRGEETTDCERGTLCVIGSSKCLNASLKRLYRSRNVPVPRPLPSLVGLLESTKRMKMQPGSDGSSLNSWHTLPRR >OGLUM01G43650.1 pep chromosome:ALNU02000000:1:41436464:41438413:-1 gene:OGLUM01G43650 transcript:OGLUM01G43650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCARECROW-like 1 [Source:Projected from Arabidopsis thaliana (AT1G21450) TAIR;Acc:AT1G21450] MSFIRRADPSTTYADNLYIHKFGTPNSNFAARRYASDTQLFRYGPEPYNPENSFYNQQASPMPYMVTADGHSPSSADNSCSDVAKDSPLVSNVSQQNSQSISDNQSSELEVEFDEDDIRMKLQELEHALLDDSDDILYEISQAGSINDEWADPMKNVILPNSPKESESSISCAGSNNGEPRTPKQLLFDCATALSDYNVDEAQAIITDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGKGIYKALSCKEPPTLYQLSAMQILFEICPCFRFGFMAANYAILEACKGEDRVHIIDFDINQGSQYITLIQFLKNNANKPRHLRITGVDDPETVQRTVGGLKVIGQRLEKLAEDCGVSFEFRAVGANIGDVTPAMLDCRPGEALVVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFQTRFREVYDYYAALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFSSNVISGIRSLLKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >OGLUM01G43660.1 pep chromosome:ALNU02000000:1:41438481:41440122:-1 gene:OGLUM01G43660 transcript:OGLUM01G43660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTWLPTRYPQPTKPNQPTHLFSSLLFFPFSFLPSCSLSFPFPFSASPPRLLRSSSSSSPAALLCVLPEASYPLLLYLFNIH >OGLUM01G43670.1 pep chromosome:ALNU02000000:1:41444193:41444789:1 gene:OGLUM01G43670 transcript:OGLUM01G43670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRCHHHPHHPTAGIEMSSAAELPSAGVAAAGGDCGELRRLHGVPSGGGAGVERRPASGATETRVWRRRPAGRAGRGRARRTPPRVPPAAAAVVSSIFAAVELSPGAAAARRRSATPSAPAASTRSRCSSFSQRIYPMVNEDAQYGDELRAVCPPDAEETAWVHLDHGTPGELDSQCYVQERARREGAGYSTRITGR >OGLUM01G43680.1 pep chromosome:ALNU02000000:1:41444915:41445154:-1 gene:OGLUM01G43680 transcript:OGLUM01G43680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGFSCTCDGGGVEGGRSGAGGGGAAAADGRGRRAEPADNDATTRELGGICVEHSPAGCRGNRQGATDEDWLDCG >OGLUM01G43690.1 pep chromosome:ALNU02000000:1:41446033:41450213:-1 gene:OGLUM01G43690 transcript:OGLUM01G43690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLYESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >OGLUM01G43690.2 pep chromosome:ALNU02000000:1:41446035:41449119:-1 gene:OGLUM01G43690 transcript:OGLUM01G43690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVFGASDYPKKKKRMDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLYESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >OGLUM01G43690.3 pep chromosome:ALNU02000000:1:41446035:41448656:-1 gene:OGLUM01G43690 transcript:OGLUM01G43690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQLMSGELDNSFNALMVSGEGESGQAHHEGTGTTLLGWKDLPMELLLRILSMAGDDRMVIVGSGVCTGWRDTLEWGVTNLSLSWCQAHMNDLVMSLAQKFTKLQVLSLRQIKPQLEDSAVEAVANNCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALAYLSSQCKNLKCLNLCGCVRAVSDRALQAIACNCGQLQSLNLGWCDSVTDKGVTSLASGCPELRALDLYESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRRVRSKGRSWDAAARKNAGAGADGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACAHHPHRHGRAILSNHAY >OGLUM01G43700.1 pep chromosome:ALNU02000000:1:41453776:41457634:-1 gene:OGLUM01G43700 transcript:OGLUM01G43700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGGAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >OGLUM01G43700.2 pep chromosome:ALNU02000000:1:41453776:41457634:-1 gene:OGLUM01G43700 transcript:OGLUM01G43700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGGAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGVLHAITNPMGYQTKACIDSFAGTNLRLLSDQVKAKAEFYQNKLRHDVEELSKVGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSVLTKSSPVSYFASLSYHEMHETSSVVGSSMSYLTPSMSAMSLTTIDGTDSLSNGKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFGKSLVDVQKVIYIVKMKDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >OGLUM01G43700.3 pep chromosome:ALNU02000000:1:41453776:41457634:-1 gene:OGLUM01G43700 transcript:OGLUM01G43700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYSVIAAGDDHPTPASSVAAEAADGGAAAGGGAAVQPAALPPLLPPPQMVVLALDATRDHREEEIRMALRAVVTRGDILRAGGDSLLVLGINVTLKVSPGSPAKFVIINEVKSSKAAWVVLDRHFRRDFKYLEKHIACKVAVFQDNLVVQPLKIIRTIPPSKSMGEVKALQHLAVSLDLRSETLDTDTHSKGVEGNMFYHYDSSERPVLCAGCGLKSVLYIKESMKFPFSEIQAATSEFSNENLLGEGGFGHVYKGQLKDGQVIAAKVRKEASSQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNKSLEWHLFDKDANLLEWHKRHAIALGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKASNASIHTRILGQSGYLAPEYAEYGIVSVRTDVYAFGIVLFQLISGRKVLDECGGQCTHILQWAEPLVESLALHELIDERIAETYDTYGLYHLARAAYLCVRTNPEQRPSMGEVVRLIESENEHIRDLSRQFIPHFTK >OGLUM01G43710.1 pep chromosome:ALNU02000000:1:41457733:41458074:-1 gene:OGLUM01G43710 transcript:OGLUM01G43710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVAGPCPADGNGKSSSSPLLSAASSRRLRRRSRSRPRARERDNRNLLRVAAAGALGRTPRVAIAREMPHHISRPGAFEMPPAPAASRAAAAGCTPRCREYTPPRAAASAY >OGLUM01G43720.1 pep chromosome:ALNU02000000:1:41458714:41462608:1 gene:OGLUM01G43720 transcript:OGLUM01G43720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAGRGRNGATDEALREWRHHGSKFYDTFTVSGLRVDAIQPGRVLCSFTVPPRLTNARSKRMHGGAVASLVDLVGSAVFFAGGSPKTGVTVEITVSYLDAARANEEIEMEAKVLGIGETTGCVTVKVRRKGAGEVLAHGRITMYLAVSSKL >OGLUM01G43720.2 pep chromosome:ALNU02000000:1:41461310:41462608:1 gene:OGLUM01G43720 transcript:OGLUM01G43720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRMLTTRKMSEECKEQTHAWGRGGVSGGPGGVCRVLRRRLAEDGGHRRDHRLLPRRSPCKRGDRDGGEGSGHRRDDRVRHREGEEEGRRRGAGARPDHHVSRCLE >OGLUM01G43730.1 pep chromosome:ALNU02000000:1:41469976:41476379:1 gene:OGLUM01G43730 transcript:OGLUM01G43730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIA2] MATEASTSAAAGAGGGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQEREIDSVAEVWALATEPVTVLAATFVLIFRFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWMFTIVVVACILTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPTLPIRIPKHDEDGYAAEGIPLRSAAEGLPLRSPRAAE >OGLUM01G43740.1 pep chromosome:ALNU02000000:1:41476857:41477804:-1 gene:OGLUM01G43740 transcript:OGLUM01G43740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases, acting on NADH or NADPH, quinone or similar compound as acceptor [Source:Projected from Arabidopsis thaliana (AT5G58260) TAIR;Acc:AT5G58260] MWSAAAARTVTPLPAASPLQQHQQRRVAWARVGNGRAASTMAARAGLWDFVGGDLVKPDMGRWLDDVEKHKSLAIYPPHEGGYEGRYLSRLSYQGYYFLDLSARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVMEAKVLSKAELQFLAILPDIRPKVRVIAECGNWRKFVWKPLKQIAGLEPDPDAEE >OGLUM01G43750.1 pep chromosome:ALNU02000000:1:41480882:41483601:-1 gene:OGLUM01G43750 transcript:OGLUM01G43750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENVVGTYYYPPSAAAMDGVELGHAAAGSKLFDDDGRPRRNGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMVLFSLVTYYTSSLLSDCYRSGDPVTGKRNYTYMDAVNANLSGFKVKICGFLQYANIVGVAIGYTIAASISMLAIGRANCFHRKGHGDPCNISSVPYMIVFGVAEVFFSQIPDFDQISWLSMLAAVMSFTYSVIGLSLGIVQVVANGGLKGSLTGISIGVVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESAVMKRATVVSVAVTTVFYMLCGSMGYAAFGDDAPGNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWATQRWPESPYITGEVELRLSPSSRRCRVNLFRSTWRTAFVVATTVVSMLLPFFNDVVGFLGALGFWPLTVYFPVEMYVVQKKVPRWSTRWVCLQMLSVGCLVISIAAAAGSIAGVMSDLKVYRPFKGY >OGLUM01G43760.1 pep chromosome:ALNU02000000:1:41492918:41495042:-1 gene:OGLUM01G43760 transcript:OGLUM01G43760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT5G20240) TAIR;Acc:AT5G20240] MGRGKIEIKRIENSTNRQVTFSKRRSGILKKAREISVLCDAEVGVVIFSSAGKLYDYCSPKTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDNMQIELRHLKGEDLNSLQPKELIMIEEALDNGIVNVNDKLMDHWERHVRTDKMLEDENKLLAFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >OGLUM01G43770.1 pep chromosome:ALNU02000000:1:41497644:41503969:1 gene:OGLUM01G43770 transcript:OGLUM01G43770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLRTKHDGYFVNKGKLEQIEAGTSANVAPKKRRRKDSSSGYIENNQVAPADYPSIGNMPGKSAARSGAHVGKKLTSSNIGSYGEYYHDDNRVVKNKTGAGVHKRKSMDFAMGSDTAAYTKISSKDMPYASSELNKAAGLQPTDYTHRSKIAEAYDYAYSAYRDRDTSMQLDFQQKRAYTGENRDPSNKIHRKEKHGMGEFSGMATTGALYSGQVMQPITSRDGSGTKPKGTRLERAIRDLQKIAAEYRPPTIDINEVDPNGQVAVKRRLPPEVKQKLAKVARLSANHGKIQEHELMDRLMGIVGHLVQRRTLRRNMKEMVESGLSAKQEKADKFQRVKMEINEMIKSRVAAKAKVNEHHSGSADDFQIANDEKRYLKGKSVMDAALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPEGSMDNVGIKDAINRSKERRRSLYNQQKVRNEERMKRKRLAAAAKLQDGYPVVMQSALIQQVAQPPITNPVATYPVTDQGSKRFDRVREISASANPDDINRNTGEMKKKKRKPESDLVDTQANAMKGPSQHVEKNKPPKRADEAVETVLCLPFYDQQPS >OGLUM01G43780.1 pep chromosome:ALNU02000000:1:41507488:41508841:-1 gene:OGLUM01G43780 transcript:OGLUM01G43780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVGCGSWLEVATTRSDNGGVEVRLLAPLLTAMWLGGLLVPWKQQSGAEALLGEGDAAWTTRARQHGLEVWMRCHTQKPKLASQAHGREAELAGGGSISRSGSREEDPSEHNPDTGDMVGLREGTGSWCSTGGGDGLAPWLDVELHQSS >OGLUM01G43790.1 pep chromosome:ALNU02000000:1:41515610:41516137:1 gene:OGLUM01G43790 transcript:OGLUM01G43790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGARALIELSQGTPGSFCKVCNEVEKPNKRFLICAHSLCPYKFYHIRCLRYEQIASSEQQGNEYWYCPSCLCRVCKVDRDDEQIILCDGCDEGYHLYCLIPPLTLVPEGEWHCSSCIVQEEKETKRRLHGKDIATNVSMLETDGFAELEAANVLMLLKNSSTDGEIVVSPVSQ >OGLUM01G43800.1 pep chromosome:ALNU02000000:1:41539094:41544916:1 gene:OGLUM01G43800 transcript:OGLUM01G43800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWQCNCMGGIDSTIMSVQWQPPPSSPVVGPPTALVFSPVTNTPPSCPDSSPCTHTHSHSHTLSTHSRSTQRAFLISNLMVAEHPTPPQPHQPPPMDSTAGSGIAAPAAAAVCDLRMEPKIPEPFVWPNGDARPASAAELDMPVVDVGVLRDGDAEGLRRAAAQVAAACATHGFFQVSGHGVDAALARAALDGASDFFRLPLAEKRRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDRAAAPVVADYFSSTLGPDFAPMGRVYQKYCEEMKELSLTIMELLELSLGVERGYYREFFADSSSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGEWRPVSPVPGAMVINIGDTFMVNHLLFSSPLFSSASKQQNK >OGLUM01G43810.1 pep chromosome:ALNU02000000:1:41544094:41549034:-1 gene:OGLUM01G43810 transcript:OGLUM01G43810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRGSKMDGRRSSPSSSLCTTTTVVVFVALCLVGAWMMTSSTIFPLEITSNKKAAVKEQPARVNYGASEEAAAASGNSAEGVDRFGDTDNNDNAVPEEPNNTVPSEEEKFSENTMEKPVESSEEKAPPKEKEESKDTFDDANGKTEQSSAKEDGDSESGSGQSDGSKNGDDEGKSEEKKDDEQSDGERKDDQEEKAEGSGSKDTTDQPQIEETVDESGEKGQGAKSNEVFPDGAQSELLKESNTENGSFKTQDAESKNEKESQAASNSSDDETTYNWKLCNNNAGTDYIPCLDNEKAIKKLRTTKHYEHRERHCPVEPPTCVVPLPEGYKRPVEWPTSRDKVWYSNVPHTKLAEYKGHQNWVKVSGDHLLFPGGGTQFKNGALHYIDTIQQALPDIAWGKRSRVILDVGCGVASFGGYMFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSSLTKAMCWKMVNKTKDKLNQVGMAIYQKPMDNSCYEKRPENSPPLCKETDDADAAWNVPLQACMHKLPAGQSVRGSKWPETWPQRLEKTPYWIDDSHVGVYGKPGNEDFEADYAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPTDSADTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLLPVFAEVDRILRPEGKLIVRDNAETINELQGMVKSLQWEVRMTYTKGNEGLLCVQKSMWRPKEIEASM >OGLUM01G43820.1 pep chromosome:ALNU02000000:1:41558026:41559675:-1 gene:OGLUM01G43820 transcript:OGLUM01G43820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDLQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGSPKPVAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGGLEKPPAAAVAAAGMVSSGGGVERKPMVGVNAAVSSPPEQKPVVAGPAFPDLAAYYDRPSDSMPRLHADSSCSEQVLSPEFACEVQSQPKISEWERTFATAGPINPAASILDPAGSGGLGGLGGGGSDPLLQDILMYWGKPF >OGLUM01G43830.1 pep chromosome:ALNU02000000:1:41567474:41574975:1 gene:OGLUM01G43830 transcript:OGLUM01G43830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIB2] MDSVSLSLLDSISNFRVLSSSNASKTELVKKYCQTMDGILDHLEVALNRAFPQITPDGELSKVLEELGATINEATELVGGWNQMMSKIYFVIQADSIIAKMQIYVFELCQIVNSLMQIESMHLEDLEHDSCGKISDVIREASRALAGEVMPNSEEFGKIQTTLSLSTNQELLMEYVALVKVKTKGNHEDNKEMDDINDIVELVNHMLDKHVEEKQTRSIDGVTIPADFCCPLSLELMSDPVIVASGQTYEHVFIRKWFDLGYNICPKTRQILGHTKLIPNFTVKQLIENWCEVHGIMLPDPVKLLSLCFPVSLNITDGSASADKSGSPEHCQLVAALHPKAQCASDDSHHYNLIHENSDSDDRVSSFGDTDDSEPDSLRLSTETTAANKSLLDEKTDRSDGLKQLRDNGFQVSDEEQYLERNGKSHISSHHQLEVDGENVRVQASSDINASEVMEDDPVTTCSKVSDNPPRLGGVRSRNQPNWWRQSNKTIPRIGLSSSTDSKPDFSGNDAKVRNLIEELKSDSAEVQRSATGELRILSRHSLENRIAIANCGAIPFLVSLLHSTDPSTQENAVTILLNLSLDDNNKIAIASAEAIEPLIFVLQVGNPEAKANSAATLFSLSVIEENKIKIGRSGAIEPLVDLLGEGTPQGKKDAATALFNLSIFHEHKTRIVQAGAVNHLVELMDPAAGMVDKAVAVLANLATVHDGRNAIAQAGGIRVLVEVVELGSARSKENAAAALLQLCTNSNRFCTLVLQEGVVPPLVALSQSGTARAREKAQVLLSYFRNQRHVRVGRG >OGLUM01G43840.1 pep chromosome:ALNU02000000:1:41571830:41582703:-1 gene:OGLUM01G43840 transcript:OGLUM01G43840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSDEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWEAIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVIKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDIILCVSNLWDDIKISMLSEEDIEEADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQGQVTDYLEVVEEETPEDASGQVADILEVVEEEPPEDASGQVADILELVEEETSEDASGQVASILEVIEEETPEAPGKDLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRHLHDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >OGLUM01G43840.2 pep chromosome:ALNU02000000:1:41571830:41582703:-1 gene:OGLUM01G43840 transcript:OGLUM01G43840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSDEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWEAIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVIKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDIILCVSNLWDDIKISMLSEEDIEEADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQGQVTDYLEVVEEETPEDASGQVADILEVVEEEPPEDASGQVASILEVIEEETPEAPGKDLCNGGIPGSGLQNKMHNAQDGGTAQGSDMCNGGNTSRHLHDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >OGLUM01G43840.3 pep chromosome:ALNU02000000:1:41571830:41582703:-1 gene:OGLUM01G43840 transcript:OGLUM01G43840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKQKVEDMAEELCFVCKDGGDIRVCDFKNCLKGYHPHCVGKEESFLDSDEQFICELHKCVSCKRNSEYHCLCCPSSSVCGECLGKVEFAQFRKQSQTKGLCNNCLKLALFVEKNSEANSDGETVEFRYTENYLVLFKDYWEAIKDNEGLALIDLQQANICLRTSLSCKQGRDSEKPPDEDYRADKNSLSVNDGAEQPFPVDVKVQPSEAKMSLKRKKSNKKTYVGWGSKELIEFLSCIGKDTTKPLDEFILTGVIKEYIQQKNLFKDRKRKSVICDDKLHSLFRKRKVKSNMILNLLEIHLAANAASEDDFLDDSEDDEGRIMRKRPCNTLKAAETSERDPKRNKKCFAALNHNNLKLIYLRRTLIMNLMGQDTFEQKVVGSLVRVKNDDNHYSYQMPKKHYQLGLVTGIRKSPQEYKIKDKRTDIILCVSNLWDDIKISMLSEEDIEEADLEEKAASIHVDIVNHWIDRELMRLEKEIERAHEKEVPEAIPDTEESKRDEFGVAASNHLEENKGATGHVPNSVKVLMEDSRGATGHIADSVKVLMEGLPGGATARVADVFNVDMAKSQGQVTDYLEVVEEETPEDASGQVADILEVVEEEPPEDASGQVASILEVIEEETPEDGGTAQGSDMCNGGNTSRHLHDRKSVIVIDSDSDEDEDPHPEQHEPERAAPRAAMDVVMAPTHGAPVAMNGTSAPTLPCAKRGKNGTTAPKGRVPAIAALHALQSMNAPGEHEYIWNYADPQGKVQGPFTMEHMRNWHRNRFFPPDFRVWRLGQTQNDSVLLTEAMGLKFSS >OGLUM01G43850.1 pep chromosome:ALNU02000000:1:41589640:41591490:-1 gene:OGLUM01G43850 transcript:OGLUM01G43850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEAADLNDWELLLASPIAADEAAAAETRDGGGDDEAGAIKYDYFELGSDVKYPERVSFSKEEEEEGEGEEEEGVASGNASWVEPDPDDLVFPGPDRAALWSDSSDDGERREEAEATEQLPVEAAAAEVEAGEGAVTKGGGAGAGVVRWWHLPMGVLRAWALRAARSVWSMPVAVALLGIAVLGRRLYRMRRQSKAVARVRLVLDEKVRPLAAFPAMPLPWKASQFKAQASRLNEYFPMARRAPIMKPLLPANGVTPWPVLGHI >OGLUM01G43860.1 pep chromosome:ALNU02000000:1:41593531:41595084:1 gene:OGLUM01G43860 transcript:OGLUM01G43860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGGKGLVACNAAISRCSRAGLHRRALALFTEMRARGLRADEYTLPPILNSAALLRAPPAAALHALLLRAGLASHLHVANALVDAYAKLSRLGAARAVFDEMPRRDVVTWTSLVTGLARAGSHGLAVRAYRDMVAAGVATDEFAVAAVLSSCAGSTALELGRSVHAAAVRSGHEPFLSVGNSLVSMYAKTGSLRDARTVFDAMRSRCAITWTALIVGYAQNGRGRESLAVYADMARSGCRPDYVTFVGLLFACSHAGLLDAGRAHFQSMQSEHGISPGPDHYACMVDLLGRAGRLGEAVDLLDRSPAAELDATVWKALLATCRTHRNAALAERAAGMVWRLDPTDAVPYVMLSNLYSRARRWGDVARVRALMRSRGISKEPGCSWVVVAGVTHVFHAGDRDHQRAAEIYRKAEEMAARIRARGHVPDTEWALQDEAPEGREMGLAHHGERLAVAFGLLAVPAAAPIRVYKNLRVCGDCHAAIKMVAEVYGREIILRDSNCFHHMKDGSCSCGDYW >OGLUM01G43870.1 pep chromosome:ALNU02000000:1:41595324:41598292:-1 gene:OGLUM01G43870 transcript:OGLUM01G43870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G22850) TAIR;Acc:AT1G22850] MRQPHLLSPQQLSPSPVLSSHFSPPAPGGSPAWRRGRHHHHRRAFHPPLSSLRDPDKATLRKASPNVPFRLGGGGGGGGQGSGSSKDRRPAADQEEEEEEEAAEDKGDGGAGAITGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSITGTVIVSISGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNSQLLTLGIGLLFTAIAATYVTRLAKDAVKEIDE >OGLUM01G43880.1 pep chromosome:ALNU02000000:1:41601514:41604315:1 gene:OGLUM01G43880 transcript:OGLUM01G43880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSDAPPGDAAAGEKIFRTKCAYCHAVDKAAGHKHGPNLNGLFGRQSGTAPGFSYPSGDKIVPVIWEENTLYDYLLTPKKYTPAKMGFNGLKQPQDRADLIAYLKNATA >OGLUM01G43890.1 pep chromosome:ALNU02000000:1:41606486:41607679:-1 gene:OGLUM01G43890 transcript:OGLUM01G43890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTREAADAFGVATITLFAIFAVLGLFCIFQSIYFRFRIRRGAFIPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKRKLFSSILLQKGVCDAYILSNLGFAEPSIFFAFAFLLHGSLQKRELGTLNQRWNWKTIGYMLVFCVPVFFVQALLVFVGPTFVKDENNTHGRKKIAKYFIRTSMPVGDTNICTYPLFGTIFLGLIDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLFFLPIRVLLLGFSVLPQPGDVAFEVIIFLSFLMMISCTTVGVLLLVYYPVADSLALREIGQREITEMVPYDDYYYEGASLVANQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGVDEIGFSSRSGAHIGSPSPSGSSPSAAMPMLPLKEVPRY >OGLUM01G43900.1 pep chromosome:ALNU02000000:1:41613330:41618121:-1 gene:OGLUM01G43900 transcript:OGLUM01G43900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLLASGRQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNVEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAISGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSNSPLDRTSVSKRPDSLKLGMSINQKAKTDNDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTERARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVGIVKKAGTPNASKSINMGTKRTGRTFESGQTLCWVKNYGPANCWQTEIKLCQPSSTETIEFQYWRTSTANLYKAKKERCSSAISSIYIEESNDFAHWKRKGEEFKSTPTTTSTASAFTDDEQTKWQ >OGLUM01G43900.2 pep chromosome:ALNU02000000:1:41613330:41618121:-1 gene:OGLUM01G43900 transcript:OGLUM01G43900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLLLASGRQGVSVSQKIDHGSISFGRFELESLSWEKWSVFSDDKRHEEFGKFNGLVAQKKAYFEEYYRKIRELKAQQQNQQTELILEYSGDGSDSSQTGEYTQGAELETPTGSGTIVDDYVEQGAHETTSEQGLTCYDDHENENFNVEFSSSNISSSAVGLQQTGRDARENVHGDDSAGKMDLEQQNAISGHSLGTAYEVVRAPKRIIEKDSRLRYAPKIVPKSVKTSSNSPLDRTSVSKRPDSLKLGMSINQKAKTDNDRLLRGPNVAPHKMSGSTERNKLTTKQTGVRRPSSASSQRPSVGERHRIARESIKKPADVSTPRRPSTAERHPVTTERARKQADVDTPRRPSTSERRAVNKGSADMTTTHRPSTGERRSVTRESVLKMDVRTPSKTRPTMTQLKGATTTVGIVKKAGTPNASKSINMGTKSNIRELEEPSKLCQPSSTETIEFQYWRTSTANLYKAKKERCSSAISSIYIEESNDFAHWKRKGEEFKSTPTTTSTASAFTDDEQTKWQ >OGLUM01G43910.1 pep chromosome:ALNU02000000:1:41627266:41630066:-1 gene:OGLUM01G43910 transcript:OGLUM01G43910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVEEEEEEEEEEAEASRKKGERGLGEGECGAPSSKKRDPELELRMKQKAAEWHRKAREETLKEIAKEMAKYPNEDWSDTLGVKAREYREDWEYRWSAIFGPYDTISPIPPMRYTHRKDDPMPRHISVRHTLQIISVKIKGIRGGLQWPINVFGLIAARDTIDHNRIMIFNRTRDNCQTITKEDRYLLLTGPTRAFVVSDPVYFEAPLKVKGSVESEDKDLSFLAVPLTGASDRGETRLVNREYTSRLSTLELTFGFVVESLEASISVRIIDGSWQDGFRGAFTAHTPSLKDNKVLLLDSGYCEMVPVTADRMIKLSRHVVSVEGEGDLTVSVLALGTDNVIEDEKDFTPKEAGMSQSSLDVGFCKLEVIVNWSLLSLLPDGYT >OGLUM01G43920.1 pep chromosome:ALNU02000000:1:41630486:41635662:1 gene:OGLUM01G43920 transcript:OGLUM01G43920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10030) TAIR;Acc:AT4G10030] MAASAAPRVLSAEPRRRDPVAVGVPPWRLSPGSRVRAASRARQENVCRGQLISTNIKSRPLLCPPCRCAQMALANTRIAYQPDVQKHSGVLAYELVQGSLVQWNSFMDKSVPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLIVQLRLTPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWALDATPGKVRAGGDGEDHPAELIESLRRMPMQVSSKQEVVDALVKEQFSVDVARWVATNLRRSSPLGSLSSSSFSWIFDLNGISDMYKSYEETNLWGIVENVPRGVHINFLKAERSLHRWALDDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRIEATIRGMQN >OGLUM01G43930.1 pep chromosome:ALNU02000000:1:41636297:41638768:1 gene:OGLUM01G43930 transcript:OGLUM01G43930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIC5] MADNPPLIMLLAFLFSALLLLSPAHGGSRLQRGESLSVERASDILVSSNGVFAFGFYNLSSTVFTVSVWFAASAGRTVAWTANRDRPVHGAGSKLTLRRDGRLVLADYDGTPVWQTNSSSGAAAAAELTDSGNLVVTSHGGDVLWQSFDYPTDTLLPGQPVTATARLSTTDVLHPTSHYALRFDDRYLLSLAYDGPDISNIYWPDPDASSWANGRISYNASRRGVLDDAGRFLASDNTTFVASDTGAAAGGVTWRRLTLDHDGNLRLYSLRDADGGWSVSWMAFSQPCGIHGLCGWNGLCVYTPRPACSCPPGYVPADAGDRGKGCRPTFNLTCGGGGGRPEMGFARLPQTDFWGSDLNLFSSISVDGCKAACLELCNCVAFEYKDDVSDCYLKSALFNGKTYPGYPGTVYLKLPANLVAESDTYTAAPAAAAAVNLACDAARTEEVLLSFPAASPDTSSWRYYYGFLSAFFAVELCFIAFGWWFTARSRPATSEQWAAEEGYRVVTDHFRRFTYGELRKATKNFKDVIGHGRYGSVYRGVLAGDGDDRAVAVKKLKAATPQRGDDEFETEVSVIGRINHMNLVRIRGVCSERHRRRRLLVYEYVDNGSLATWLFGAKETLNWNQRYNIAVGVAKGLAYLHHECLDWIIHCDVKPENILLDEDFEPKISDFGLAKMQQRRDLDDPASFSIRGTRGYMAPEWVSSLPITEKVDVYSYGIVLLELVRGARIADLATDSVGDAEIAMRQLVWKIREGLKIGDRTWVISLVDRRLNGSFVYSQVALMLEVATSCLEKERNQRPSMNDVVKKFYTSDKKVEFIGEMSS >OGLUM01G43940.1 pep chromosome:ALNU02000000:1:41639387:41640432:-1 gene:OGLUM01G43940 transcript:OGLUM01G43940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSSTSAHAGERPPAPAPFGPLPVLVYDHGLDPASRRHTTTHVVVPELTSNDYHVTPHGWVFLSEPAAAGTTRRTRLWNPTTGESVELPRMEQPLPANWKCYLSDDPTAASCVVLVLAMSEPSLLYCHVGATAGGGWVAHDYDIGDVGLPPSYAPPRRQFISQIAAVNGRFYFADTGKLGVLEFTPSPEFSYLDYPHIEFPEGSNFAKSFLVASHGELFDVYVFFKGFTPEILAVRVCAIDLGGAGDERPAFREVGDLGDRALLLGDANAALLCSASAHGVKGNRVYFMHNILEEPDGGPLRVYGLGDGRMETVRPCPGVSELMCKPFWVMPSAT >OGLUM01G43950.1 pep chromosome:ALNU02000000:1:41644327:41645403:1 gene:OGLUM01G43950 transcript:OGLUM01G43950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAECGGGGGGEEEERCRYRGVRRRRWGKWVSEIRVPGTRERLWLGSYATPEAAAVAHDTAVYFLRGGAGDGGGGGATLNFPERAAAT >OGLUM01G43960.1 pep chromosome:ALNU02000000:1:41646338:41648904:-1 gene:OGLUM01G43960 transcript:OGLUM01G43960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALTPNSGGSSCLPARRTPPPLAAAGEAGSLAAGPGRWCSWRRRQPAERWPKLAVSASGRKSKGGRDEGGGDEPKKNKAASSSSSGKGDASAPSGDVSNNELQSNDTMYVPGNLSYWRDVRASFVVPKVQTVDAHTLPQAATDAPVYCLPRKWAHSIPMPESGCVLVAAEELDGNGTFERTVILLLRLGSRDAYDGPFGVILNRPLYTKMKHVNPSFRNQATPFSDCSLFFGGPVDMSIFLMRTTDDRPIKGFEEVSPGVCFGFRTDLEKASALLKSGAVKPEDLNFYVGYSAWDYDQLLSEIDQGYWHVTSCSSGLISDSLATDPSCLWTEILKLMGGQYAELSQKPKEDGS >OGLUM01G43970.1 pep chromosome:ALNU02000000:1:41655663:41659704:1 gene:OGLUM01G43970 transcript:OGLUM01G43970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKSLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEAERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYPPAPPVSMLAAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >OGLUM01G43970.2 pep chromosome:ALNU02000000:1:41656577:41659704:1 gene:OGLUM01G43970 transcript:OGLUM01G43970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09960) TAIR;Acc:AT4G09960] MGRGKIEIKRIENKTSRQVTFCKRRNGLLKKAYELAILCDAEIALIVFSSRGRLYEFSNVNSTRSTIERYKKASASTSGSAPVIDVNSHQYFQQEAAKMRHQIQTLQNANRHLIGESIGNMTAKELKSLENRLEKGISRIRSKKHELLFSEIEYMQKREADLQNENMFLRAKVAEAERAEHDDQQAAEDDEMAPAPAVGGGSSSGTELEALPATFDTREYYPPAPPVSMLAAAAAAAAAAQYSSDHHQTALHLGYFKVDSGKGGLL >OGLUM01G43980.1 pep chromosome:ALNU02000000:1:41663717:41669440:1 gene:OGLUM01G43980 transcript:OGLUM01G43980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIPPPSPLQYSPSPVHSSPHPLSSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNHNFVDPERIEHGSPLRLPGLPVNGQPMDLEGWSGMQTENMRVLQASSMGWNGPPAITGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIIDTRLNQAVTILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >OGLUM01G43990.1 pep chromosome:ALNU02000000:1:41670313:41672388:-1 gene:OGLUM01G43990 transcript:OGLUM01G43990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G10330) TAIR;Acc:AT4G10330] MAFGGRRGSSRWGLPVVRSEALGKLGPSFGIGAGCGVGVCFGLIGGAGIGAGFPGLQLGLGAGAGCGIGIGFGYGFGKGIAYDENGRYSNIRRSFQNSRNLPYDEQFDILFDEMMESTRKLIKATSKEIDKWRRM >OGLUM01G44000.1 pep chromosome:ALNU02000000:1:41672786:41678600:-1 gene:OGLUM01G44000 transcript:OGLUM01G44000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MFRTFSKLREAAAPLTAAAVRRCCSGGGGGGGGRIRAEANCPRCAAHMSVQFSLQPLPTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFADRIRRMISERPPDDFPMPPPPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMVHETGVFI >OGLUM01G44000.2 pep chromosome:ALNU02000000:1:41672786:41678600:-1 gene:OGLUM01G44000 transcript:OGLUM01G44000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT5G49840) TAIR;Acc:AT5G49840] MFRTFSKLREAAAPLTAAAVRRCCSGGGGGGGGRIRAEANCPRCAAHMSVQFSLQPLPTPPPAAAAGGGGGDGSQSHHHDGASVCPACSAAFLFRAHRIEPLRGAFLEIPPGVGGEDEDAAREGFADRIRRMISERPPDDFPMPPPPPPPLPPMQHVPPRQRRTPRRRLREDGGGGGGGSSGDSSGGEGTSAAPKREWWGGATLGEELPTPREMCRRLDEFVIGQGKAKKVLSVAVYNHYKRIYNATVQKGCSTNSGWLDAASDDQNNIEIDKSNVLLMGPTGSGEDVESILQKLLVAAEYNVQAAQQGIVYIDEVDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRNESIQNLMLSQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSEVTDPMVTSSLLESVESGDLARYGLIPEFIGRLPILVSLTALNEDQLVQVLTEPKNSLSRQYRKMFSLNKVKLHFTDGALRIVAKKAIARNTGARGLRAILESLLLEAMYEIPDEKTGSERVDAVVVDEEAIGSIDRPGCGAKILRGDGALEQYITNTNMKNSMVHETGVFI >OGLUM01G44010.1 pep chromosome:ALNU02000000:1:41680295:41680525:1 gene:OGLUM01G44010 transcript:OGLUM01G44010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGAALLLLVCAASLRCSTAPKKLLSGGVSIEEPRAGGGGGGEECDMFNPLYASRDCPFLDVGFRCSDNGRPDE >OGLUM01G44020.1 pep chromosome:ALNU02000000:1:41686760:41692471:1 gene:OGLUM01G44020 transcript:OGLUM01G44020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVGIKRSAAAVATQTISVPPPDARFAVREAVRATIASPPVEAPPAAAGKAPAPAPAVEGFLCLEEVDGRRWSYVVDGGQGKGKGRGRGRSGAAVPMGASVRAVPLQSPLPPAEHFFGGAMGVFTTRTLLSSVGVSQSKVTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELATAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLWSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPIAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPAKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARKQMNSRRSDPYGNPCNMDFMAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCPARP >OGLUM01G44030.1 pep chromosome:ALNU02000000:1:41692818:41696816:1 gene:OGLUM01G44030 transcript:OGLUM01G44030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MWTAQIDQRALIYDLLSPPFRAGRRHHPEPLPFAVYLPLPEMELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >OGLUM01G44030.2 pep chromosome:ALNU02000000:1:41692960:41696816:1 gene:OGLUM01G44030 transcript:OGLUM01G44030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MWTAQIDQRALIYDLLSPPFRAGRRHHPEPLPFAVYLPLPEMELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGKDRLRASPCRAASPSRMLRPALRYRTGAMALNSRSSGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >OGLUM01G44030.3 pep chromosome:ALNU02000000:1:41692818:41696816:1 gene:OGLUM01G44030 transcript:OGLUM01G44030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MWTAQIDQRALIYDLLSPPFRAGRRHHPEPLPFAVYLPLPEMELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >OGLUM01G44030.4 pep chromosome:ALNU02000000:1:41692960:41696816:1 gene:OGLUM01G44030 transcript:OGLUM01G44030.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02500) TAIR;Acc:AT2G02500] MWTAQIDQRALIYDLLSPPFRAGRRHHPEPLPFAVYLPLPEMELRLCLRLHAPPASAPTTHPPPPLSPSPNLALRRLRTGKDRLRASPCRAASPSRMLRPALRYRTGAMALNSRSSGGSCAVAPRRHARKWGSAVCAAKADGAQGEAVKERSVSVVLLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEVKEVVVVCDPDYKDIFEGSIENVQIPIKFALPGKERQDSVYNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLEDAIVHGAAVLGVPVKATIKEADSNSFVVKTLDRKTLWEMQTPQVMRPSLLRDGFELVKRDGLEVTDDVSIVEYLKHSVYITEGSYTNIKVTTPDDLLLAERLMNEK >OGLUM01G44040.1 pep chromosome:ALNU02000000:1:41696470:41702696:-1 gene:OGLUM01G44040 transcript:OGLUM01G44040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G33330) TAIR;Acc:AT1G33330] MARALLRITRSYCPLSPSPRLPPPLHHRGAAPPLRFRFLSLSSSSAPSSSAAATANSSDGPGEKGGGGGGWDDYLGMSDDELMGQCEMGTFKASGPGGQHRNKRESAVRLRHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRKPINLEDYTPPVELLQILPLKSTIRGKDIGPQIGPNNSKFAPGMQALLDLLFAVEGSVSEAAKLLGLSTGAVSRLILSDDSLRAAANELRAAKGLKPLR >OGLUM01G44050.1 pep chromosome:ALNU02000000:1:41705068:41706276:-1 gene:OGLUM01G44050 transcript:OGLUM01G44050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVVEFNKSEISKEGEGNNTNTNIENLTFANKYPKRRKITTPTPITFIYYEISKEGKDNNTNTNVTYSDIMQQEQFAVAEISRISDTKTLMEKN >OGLUM01G44060.1 pep chromosome:ALNU02000000:1:41711252:41716015:-1 gene:OGLUM01G44060 transcript:OGLUM01G44060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGGGGGGGGGGGGGGGAPYATRTAEEVFRDLRGRRAGMIKALTTDVEKFYKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDREARRRLFNMINNLPTIFEVVTGAAKKQAKEKTPNSSSKSNKPSSKVQSKAESRSKSKLSAPKDEEGSGDDEGEEEEDDHDNTLCGTCGTNDGKDEFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARA >OGLUM01G44070.1 pep chromosome:ALNU02000000:1:41719293:41725238:1 gene:OGLUM01G44070 transcript:OGLUM01G44070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIIRRHGGGRILRRSREEKRRLSEGGGGASQQPQGPAEDDYCPVIGSKINMDGISDQEVANAYSFVFSFDEALRSIKLEEGRLLIHIADLYEMKRSLDDKITSLKAAVKSSPSADIFRRIKSTRTKNNETQEEIYSDKSLLAKTRLKLVKLEARHRASTLIVSQDESPRSWRISIDEVAVVADSDGDADVNAEQLLHLLEHVGAIGENTPTGCGGTKYEPLIGSDPKAIIRRYRQLLGMVPHHDREVEGSELKHADDAPPLNTDGDHWHYRRRSREDEAAPFPPSSIRGSPIRRNISIQIPSPGTRDEFGTHGGRRQLRPCQKYKSGRIASRRRRGAEREQLPLENTSKDTHKLAAPAAAPDGTRPPTDMTQNSKSSAVSFVTKPLAAASARGSGTTPAMT >OGLUM01G44080.1 pep chromosome:ALNU02000000:1:41728305:41728598:1 gene:OGLUM01G44080 transcript:OGLUM01G44080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGMSSKRAEELEQLIQRMEQAAARRRKTQPAGSKRKVPEPDTFCPTRWLPTPESLRESEEKHQAFMRGAREVIREADEALESIALQQRGDSCDEG >OGLUM01G44090.1 pep chromosome:ALNU02000000:1:41776063:41778597:1 gene:OGLUM01G44090 transcript:OGLUM01G44090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRRGRAAGGEGEAAAVVVMSRYDNNGHAAAAAAAAVAGGGGNKAAGEVDGHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELITFLDLYRYDPWELPAMAAIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPADTDRYHKTEISLCRVYKRTGIDDGHGHVSTARSSAHSRGGGAAPVQDNKQGSSSTSTPTPPPTPSKLHLLSSECTSPPAIVTDHAAMAAHKAPSPRHHQQQQQQLHAAKPCGGYLQNSSMASAAGGDQQQQFQQDFAAALYQQYSKNTSGAFASTYSLLNLVNAASMGSSAAAIDELSSLVGHGTPSYINPAAGSHNYSQFLHLPTTPSSHQPTPAPLGTTTAAAAATLPMSLAAFSDRIWDWNNPIPEAGGRDYSTSTGFK >OGLUM01G44100.1 pep chromosome:ALNU02000000:1:41783917:41788255:-1 gene:OGLUM01G44100 transcript:OGLUM01G44100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGEMSVSNPLRFQGFPCNVGKRNVFIAARSSGLGRSRIAQQCFQQHHICYPGVRRASVPNVRMLPAPGALVSRGVDSSLVPKTGNASEAGVIQLYRIPYLQESETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALTPEKLATLQWLLAETYEPDKLQAQSFLEEISRSPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGNGPLDESQLNDFAALVHDRMTECVYPNKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPKTLFQLVKSPLKANPDNNSVIGFKDNSSAIKGYPANQLRPTIPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRMEGSYAPWEDPSFSYPSNLASPLQILVDASDGASDYGNKFGEPLIQGFTRNFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTIDGCGKIVLIDSAAMEHAKINGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSLVSEPLDIAPGVTIMDALKRVLSLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPAKGLLNPKAMARLAVGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGNDGVLLHIDLGKGKRRLGGSALAQAFDQIGNDCPDIDDVPYLKKAFETVQELLSQRLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSGLLQALFAEELGLLLEVHLKDLSVVKQKLEAGGISANVIGQVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAIIREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEEYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGSSLGSGGDMSQPRFIHNESGRFECRFTSVSIGTSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENVLASVVNSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQLEKCGPSPWLRMFQNAREWCS >OGLUM01G44110.1 pep chromosome:ALNU02000000:1:41792184:41796905:1 gene:OGLUM01G44110 transcript:OGLUM01G44110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIE8] MARAEAAAALEFTPTWIVAAICSLIVLISLAAERCLHYLGKTLKRKNQKALFEALLKVKEELMLLGFISLLLTVSQGILQKTCVPPKWTNYLLPCRKMEDQSKQRGPSEAHFVAAGVLGHLGRRLLADGGTGADHCQNKGKVPLLSLEALHQLHIFIFVLAITHVIFSALTMLLGGAKIHQWKHWENDIQKDVAQNAPKKVTHVHQFEFIRERFKGIGKDSIILSWLHSFVKQFSGSVTKSDYITMRLGFIQTHCRANPKFDFHRYMVRALEADFKKVVGISWYLWIFVMIFLLLNVNGWHTYFWISFVPLLLLLAVGTKLEHVITQLAHEVAEKHSAIEGDLVVNPSDEHFWFGRPKVILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFILTRLIIGAIVQILCSYSTLPIYAIVTQMGSFFKKEIFDEHVQQGLVGWAQKAKKRKGLKESNGAMAGAGSTNFSSQPSSMLQMVRRAAASEEGSSNGGDMRTDQ >OGLUM01G44120.1 pep chromosome:ALNU02000000:1:41796631:41800109:-1 gene:OGLUM01G44120 transcript:OGLUM01G44120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine kinases;ATP binding;catalytics [Source:Projected from Arabidopsis thaliana (AT3G51270) TAIR;Acc:AT3G51270] MLTFRPRILGFSPPAHASPLLSLPPNPHPPPLDSNRRRPAARRLLCALTSQPDPCACGAAEQQPQQRQEEEEAMKLDVNALRYLSKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLAHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLVVRLAEHGLIHCDFNEFNIMIDDDEKVTMIDFPQMVSVKHRNAQMFFDRDIECIYKFFRKRFHLSSEKCEEQDGSDIDDDENSRPSFLSVQKAAGSLDKELAASGFTRKEQVEMDKYIDQNAEEESSDDDSTSEQDNEDGDDVAVKIGSLKIAEQDSAEVPDCTLASKDSNEPETFAKENETSTSCSGENNSINPSPSSNGDAKEPTESQDNDDSDDDSSDDPDGEDDDALAKQLNKQRKRAIAAAHGRRRPISSRNAYKYKGKGTMNSKIERQACKW >OGLUM01G44130.1 pep chromosome:ALNU02000000:1:41801827:41802267:1 gene:OGLUM01G44130 transcript:OGLUM01G44130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIRSSEPEFWFLIPSEEAAVAVAAHRLVVMDQRRSGSAHRPKRRHMAAAEEEEHRRPGTSSRSRITPTPPTQTQTAPGYFTVELVMAFVCVTASLVLLPLVLPPLPPPPSLLLVVPVCLLAVLVAMAFVPLDAQSNVVGSSCL >OGLUM01G44140.1 pep chromosome:ALNU02000000:1:41814336:41817080:-1 gene:OGLUM01G44140 transcript:OGLUM01G44140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDRLKLVNLKISQRDEEQCSRKKALAMEAMSAFAPPPPPTQLMVPVVGYGGGGGGGAGEGTTTAVRGSYGPVIAMLAVLAVLAAAAVAVGRLCFGRRVHLGQAAAGHDLEAWVERTCGPCVGARIFSTAGGAKEEGGEASAAPAEPPPPPPPAAAAEGTERGEDSGIVSGGS >OGLUM01G44150.1 pep chromosome:ALNU02000000:1:41817265:41817594:-1 gene:OGLUM01G44150 transcript:OGLUM01G44150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFIYKAIKERRSRTYSLCSSDMSAARRFGRVGEEEEDVVAWEEQKQWAVDGGKFAGGEREMTAHRRHRSLEELAGEVGASPQWRQQGGLARGRSARIFSCISGM >OGLUM01G44160.1 pep chromosome:ALNU02000000:1:41822325:41826717:1 gene:OGLUM01G44160 transcript:OGLUM01G44160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIF3] MPPKSKAAAAAAAAAAAQPVAVEDLFTTLHRHIEAGEFPQAVKVADQVLAAAPGDEDAVRCKVVAHIKSDAIEKALAAIRAAERLPIDLSYCKAYCYYRQNKLQEALDLLKGQEETSAILQLESQIYYRLGRMNDCMNSYEKLQKFKVESMDLKINIIAALVAAGRASEVQATMKAQKVDLSSRALRDTRSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVDEYGDDDIEYELAPVSAQLAYVHQLQGQTQEAMETYNNITSRNLADPSSLAVATTNLISLKGTRDAADSLRKLSRLIEKSTAPNQLQLIESLESKLSPRQKEALYSAHVLLLLHANKIDQAHEFVSGLLGMFQDSTFPVLLQAAVHVREKKVQKAEEVLNQYAEKHPENSKGVLLSLAQIAASANHFQIAADSLSKIVDIQHMPATVATLVALKERLGDSNGASSVLDSAIQWWKNSMTEDNKLDVFMREAATFKLNHGRDEEACQLYEDLVKSFGSAEALAGLVATSARTNLEKAEQYEKKLKPLPGLKGINVESLEKTSGARPFEAPKPMEQDVTDEVKKHKAKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAQVRGAQGAVSRDGTSSASASSSSKANQATKAPAAAPEPPKGSNKSRKKKSRS >OGLUM01G44170.1 pep chromosome:ALNU02000000:1:41827034:41828272:-1 gene:OGLUM01G44170 transcript:OGLUM01G44170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEIHPGGGGGGGYYDSGSETEDDDDRYVFQSRRDDEEEEEEEEGVNPASKRRRLEDILAETRGALPLPSPTPSSSGSEGTISDDHGDGIAGAAAAADAPVARVAFPCHVCSKEFGSRKAVHGHMRVHQADKDKEKEPSLHLALGWTSTGKRGANGNARAVTVAFAPMEQAVGDDDGDARAIVLAPAAQPQPQPMVVAEAANPPNQVADDNHRLPVPAAAPYVGAAAAPARRRARPKRNAGQGGPYRCSYPGCKGEYRTHQGLGGHVAGHINREKQAAAAAQGGSGGGLGGGARPEGNHPCKTCGKEFSTGVALGGHMRKHYDPKKKKKHAGLVLTLSVAPPTPAPAPSIAGAALPPAEVKADVDEHEAEQVPMAPVSPPAEVRGNIVRIFGVDIEKPADEEEQEGGSDV >OGLUM01G44180.1 pep chromosome:ALNU02000000:1:41831009:41835564:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCTHACTACTRACRTHGWGHHHPSSPPPHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGRSSVSILSSVGHPPSLSLAAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGSKWKWMQMVAPRKALQVVALERPNLEQSKPIDEELMKRLKEGTTKANWKKKPLHITRAVPLDAIPGFLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRVLLFQLPKSLPLPRISGAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHIAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFLFLIFF >OGLUM01G44180.2 pep chromosome:ALNU02000000:1:41831009:41833143:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSSKKGPPGRRTRKKLKFKPKVPPQKPRKSVPEKPNLEQSKPIDEELMKRLKEGTTKANWKKKPLHITRAVPLDAIPGFLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRVLLFQLPKSLPLPRISGAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHIAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFLFLIFF >OGLUM01G44180.3 pep chromosome:ALNU02000000:1:41831009:41835564:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCTHACTACTRACRTHGWGHHHPSSPPPHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGRSSVSILSSVGHPPSLSLAAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGSKWKWMQMVAPRKALQVVALERPNLEQSKPIDEELMKRLKKKPLHITRAVPLDAIPGFLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRVLLFQLPKSLPLPRISGAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHIAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFLFLIFF >OGLUM01G44180.4 pep chromosome:ALNU02000000:1:41831009:41833139:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVAPRKALQVVALERPNLEQSKPIDEELMKRLKEGTTKANWKKKPLHITRAVPLDAIPGFLYDDEDDDDDDDDEGDNNVELEEIRPSSTECESSIHPAEELNLLEQGDTRRVLLFQLPKSLPLPRISGAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHIAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFLFLIFF >OGLUM01G44180.5 pep chromosome:ALNU02000000:1:41831009:41835564:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCTHACTACTRACRTHGWGHHHPSSPPPHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGRSSVSILSSVGHPPSLSLAAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGSKWKWMQMVAPRKALQVVALERPNLEQSKPIDEELMKRLKKKPLHITRAVPLDAIPGNFLKASLAPLLWIIAQYIPQESHKNEQGDTRRVLLFQLPKSLPLPRISGAVERNGKARDKEVKEGSNLKELPQGYLGKMVVYKSGKIKMKLGDVMFDVNPGEECRMAQHVAAINTKEKHCCLLGEIESRHVVVTPDVDSLLLNDNRDLTKAFIEMSFPTGLPKRHIAQGPGRYTHTRPYDGPIKAAGTSTGLTQAMVPLLKPPLKPCPSMKTGGFFLFLIFF >OGLUM01G44180.6 pep chromosome:ALNU02000000:1:41834163:41835564:-1 gene:OGLUM01G44180 transcript:OGLUM01G44180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCTHACTACTRACRTHGWGHHHPSSPPPHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGRSSVSILSSVGHPPSLSLAAIALFHWFALSVKPFGFAGSLLRPSLSSTLSVELSGNHT >OGLUM01G44190.1 pep chromosome:ALNU02000000:1:41849450:41853124:1 gene:OGLUM01G44190 transcript:OGLUM01G44190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQFRRLLPKVAEINTGY >OGLUM01G44190.2 pep chromosome:ALNU02000000:1:41849450:41853124:1 gene:OGLUM01G44190 transcript:OGLUM01G44190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQ >OGLUM01G44190.3 pep chromosome:ALNU02000000:1:41849450:41853124:1 gene:OGLUM01G44190 transcript:OGLUM01G44190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSVPAPSGSVITIASASASAAANTAACGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVAIISILQRNLRQLQQDLARAKFELSKYQQAAAAAAAASASTGTNNGPHSMAEFIGNAVPNGAQSFINVGHSAALASVGGAAACFGQEQQFSAVHMLSRSYEGEPIARLGGNGGYEFGYSTSMAGGGHMSGLGALGGAPFLKSGIAGSDERQGAGQLLTRKLKFPKFWKLKR >OGLUM01G44200.1 pep chromosome:ALNU02000000:1:41858423:41861660:-1 gene:OGLUM01G44200 transcript:OGLUM01G44200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25480) TAIR;Acc:AT3G25480] MPLAGMALLQLREHCSLLPNPLRPARNPRKNRLLPPNSAKVPGFSRISIHGAPPAPEAAILEERVEVDVDVEAAVVPWRGAFLFPVAAAVVASWPLPSLAAEAGGKVSLESIVVAIDDFNNRNPFFVAGAVFVWLVAIPLVQEYFKKYKAVSAIDAFRKLRDEPGAQLLDIRRGKSVRFMASPNLRLVEKSAVQVEFDEEDEEGFVKEVLARFPDPANTVVCVLDNFDGNSMKVAELLFNNGFKEAYAIKGGLRGPEGWQAIQEKYLPPSVHVVPRKKSKESEDSDVSADGTDDQLEVNGKLLATPNSSVVNTGNGAEDSTEKPNGSTSAIKHASRRPLSPYPNYPDLKPPSSPTPSKPER >OGLUM01G44210.1 pep chromosome:ALNU02000000:1:41862088:41866081:-1 gene:OGLUM01G44210 transcript:OGLUM01G44210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIG5] MAAARGGRRRRPSSSSCGCPFLMMLISCLLWLHREAAPSLAADTVTVGRPLSGGQVLVSRGGKFALGFFQPDNSSQRWYMGIWYHKIPDHTKVWVANRRAPLSDPDTSRLAISADGNMVLLDRARSPVWSTNVTTGVAANSTVGVILDTGNLVLADASNTSVVLWQSFDHFGDTWLPGGRLGRNKLTGEVTRLVGWKGYDDPTPGMFSLELDPGGASQYVMSWNGSSRLYWSSGNWTGGMFSSVPEMMASNADPLSLYTFNYVDGENESYFFYDVKGEVVLTRFVVDVTGQIKFMTWVDSAAQWVLFWSEPKAQCDVYSICGPFGVCAEDALPACSCLRGFHARQPRRWLQGDHTAGCARSTALQCGGGGGAQPAAQKTKSDRFFVMPNVNLPTDGVTAASASARDCELACLGNCSCTAYSYNGSCSLWHGDLISLRDTTGAGNGGGRSISIRLAASEFSGNGNTKKLIIGLVVAGVAAAVILAVVVTVLVRRSRRLKALRRVEGSLTAFTYRDLQVATKSFSEKLGGGAFGSVFKGSLPADGTPVAVKKLEGVRQGEKQFRAEVSTIGTIQHVNLIRLLGFCTERTRRLLVYEHMPNRSLDRHLFGHGGGVLSWEARYQIALGVARGLDYLHEKCRDCIIHCDIKPENILLDDAFAAKVADFGLAKLMGRDFSRVLTTMRGTVGYLAPEWITGTAITTKADVFSYGMMLFEIISGRRNVEQGQDGAVDFFPATAARLLFDGDLKGAVDGRLAGNADMGEVERACKVACWCVQDSEATRPSMGMVVQVLEGLVDVNAPPMPRSFKVLGDPSNYVKFFSALPSIS >OGLUM01G44220.1 pep chromosome:ALNU02000000:1:41871455:41875403:-1 gene:OGLUM01G44220 transcript:OGLUM01G44220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKASSPNTPSACFALDAPAGKVRCSLGFVEPNRIRRFAMDEGRGREEEAAFGGMQHLFGEGPRESKLRGKQIGGDTLARFGGHTSRGAVMSSCRCIFLLMVCGGFAEKLGWERKDAKERNEEQGL >OGLUM01G44230.1 pep chromosome:ALNU02000000:1:41873655:41874302:1 gene:OGLUM01G44230 transcript:OGLUM01G44230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDQHLFGKSNLTLSSSTRYQIAVGIAKGLAYLHEGCRDCIIHCDIKPQNILINESLAPKVADFGLSKLIGHDFSRVLTSMRGTLGYLAPEWLNGQAITSKADVFSYGMMLFEIISGKRNIEHGASTSSSMLIAEEIPKGGEVHRLFDPELVGDANPEELARVFKVACWCIQNHPDCRPSMREIIQILEGLKPVETPPVPRYLKLLADGQE >OGLUM01G44240.1 pep chromosome:ALNU02000000:1:41877419:41880192:1 gene:OGLUM01G44240 transcript:OGLUM01G44240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIG8] MAPRLSACLVFLLILVLSLQESPLHAADTLTAEQPLSADQKLISQDGKFALGFFQPAAGGSSSRWYIGIWYNKIPVQTVVWVANRDKPITDPTSSNLTILNDGNIVLLVNHSESPVWSTNIVNNTIASSPVVVLLDSGNLVVRHESNTSEVLWQSFDDFTDTWLPGNKLSRNKKTGVIKRMISWKDRADPAPGMFSIQLDPSGATQYILLWNSSSVYWASGNWTGNTYTGVPELSPTNSDPNSAYTFQFVDNDQETYFNYTVKNDAQLTRGVIDVSGHFQAWVWADAAQAWQLFFAQPKAKCSVYGMCGTYSKCSENAELSCSCLKGFSESYPNSWRLGDQTAGCRRNLPLQCGNNGSVKAKQDRFFMISSVKLPDMAHTRDVTNVHNCELTCLKNCSCSAYSYNGTCLVWYNGLINLQDNMGELSNSIFIRLSASELPQSGKMKWWIVGIIIGGLVLSSGVSILYFLGRRRTIGINRDDGKLITFKYNELQFLTRNFSERLGVGSFGSVYKGILPDATTLAVKKLEGLRQGEKQFRAEVSTIGNIQHINLIRLLGFCSEGAKRLLVYEYMPNGSLDHHLFQNNSAISSWKRRYQIAIGIAKGLAYLHDGCRDCIIHCDIKPQNILLDMSFTPKVADFGMAKLLGRDFSRVLTSIRGTIGYLAPEWISGESITTKADVFSYGMMLFEIISRKRNLTQTETRTEIFFPVLVARKLVQGEVLTLLDSELVDDVNLEELERACKVACWCIQDDESSRPTMAEVLQMLEGLVDIEVPPAPRYLQVLAEGAASKT >OGLUM01G44250.1 pep chromosome:ALNU02000000:1:41889258:41890584:1 gene:OGLUM01G44250 transcript:OGLUM01G44250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTSDQGREQHIKFAVTILINHGRNANYMQRLTEPSDPAPGMFSLQMDPSGANQYTLLWNNSIEYWASGNWTGDSFTGVPEMSPASAYPNSAYTFQFIDNDQEVSFMYNVTDDALLTRNVIDMSGQTQAWVWVDAARAWVLYFSQPKLMCGVYGICGAYSKCSSNAVLSCTCLKGFSESPRNGNPGNQTAGCRRNVPLQCGHGDSAKVKNQEGFYMIGGAHLPDKAQGTDAANVHSC >OGLUM01G44260.1 pep chromosome:ALNU02000000:1:41893983:41894824:1 gene:OGLUM01G44260 transcript:OGLUM01G44260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLGGARPTSQPDPEADNNISGRPDFVDSFVQDMRPVPATAAVAIIPETERGRTRTRATSPDHCTVYMPEAPPEMKYFARCFAYAYITAPAAGADGAAARADDDRAAATIRDAIHAVLPGLQFPAQPRRRQKQPFALVGDAAASAAAGGGASVKLVREGETSNCFRVRLDSVAHVALRHYPKDQRNHGDIERRCASFGHLLEVDPACYAAPDLSTVRVVVKNGSPREIPREIRIRYASDFRFWHVVPVQILKVWDKSLSFDANGEYVPIYTPAGAA >OGLUM01G44270.1 pep chromosome:ALNU02000000:1:41899746:41900564:-1 gene:OGLUM01G44270 transcript:OGLUM01G44270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPNNITGRPYFVDVLQSIGHPIPATAVPDITLPVPPRERRTTRPESETVYLNFTLSPEMLYFSRRFAYAYITRTTAPADADDAATTIRDAIHAVLPGLQLDLLPPSYGAHKTVRFLTPDDREAAMEKQPFALGGGGEVKLVREGETSNVERVSLECVVHAALLDYPKEQRNEGDIGRNCGSFGLLMEVDPACYAAPDMSPVRIVVNNKSPSEIPREIRIRYANDRIPPSIVSRHVVPVQILGVWVWDESQSQYVDANGEKYVAMYNHAP >OGLUM01G44280.1 pep chromosome:ALNU02000000:1:41903997:41910968:1 gene:OGLUM01G44280 transcript:OGLUM01G44280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIH2] METCSCWPSSSSVQFQLRLLVVALFLCLHGRALHATDTLTVSRPLTGDQKLVSERGKFALGFFQPKARAKQILASDRCAASFLLSLLSPMSYSHPYMHDASRRRPCRFRFRRGPPATAHLMERAIELEDLLASVVWVANREKPVSDPSSSSLSILDDGNIILSHSNSTVWSTNSTNTGSSPMVAVLLDTGNLVIRQESNASSVLWQSFDDITDTWLPGNKLSLNKVTGVPERMISWKNLGDPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSIYIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVFYSLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSGVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSESSDDMNLGELDRACKVACWCVQDSESSRPTMGEIVQILEGLVDVEMPPVPRYLQVLGQGVKSYEISSEMNQASN >OGLUM01G44280.2 pep chromosome:ALNU02000000:1:41903997:41911579:1 gene:OGLUM01G44280 transcript:OGLUM01G44280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIH2] METCSCWPSSSSVQFQLRLLVVALFLCLHGRALHATDTLTVSRPLTGDQKLVSERGKFALGFFQPKAGGSTGKWYVGIWYNKISVQTVVWVANREKPVSDPSSSSLSILDDGNIILSHSNSTVWSTNSTNTGSSPMVAVLLDTGNLVIRQESNASSVLWQSFDDITDTWLPGNKLSLNKVTGVPERMISWKNLGDPAPGMFSVEIDPDGSNQYIISWNKSVVYWGTGNWTGSSFPNMPELSPANTYPNTPYTYKFVNNDKETYFTYNVTDDRVLSRHAIGVSGQTQSLVWVESAQAWVLYFSQPKANCGVYGLCGVNSKCSGSALSSCSCLKGFSIRDPNSWNLGDQTAGCRRNVMLQCGSKSSAGGQQDRFYTIGSVKLPDKSQSIEATSIHSCKLACLSNCSCTAYSYNGTCSLWHSELMNLQDSTDGTMDSIYIRLAASELPNSRTKKWWIIGIIAGGFATLGLVVIVFYSLHGRRRISSMNHTDGSLITFKYSDLQILTKNFSERLGVGSFGSVFKGALPDTTAMAVKKLEGVRQGEKQFRAEVSTIGTIHHINLIQLLGFCSEGAKRLLVYEYMPNGSLDHHLFGSSGVSLSWSTRYQIAAGIAKGLAYLHEKCRDCIIHCDIKPQNILLDSSFTPKVADFGMAKLLGRDFSRVLTSMRGTIGYLAPEWISGEAITTKADVFSYGMMLFEIISGKRNGMHGGSFFPVLVARELVEGELHKLFGSESSDDMNLGELDRACKVACWCVQDSESSRPTMGEIVQILEGLVDVEMPPVPRYLQVLGQGVKSYEISSEMNQASN >OGLUM01G44290.1 pep chromosome:ALNU02000000:1:41912744:41916497:1 gene:OGLUM01G44290 transcript:OGLUM01G44290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48390) TAIR;Acc:AT5G48390] MKISELSPEYRQPPPHAGLIADLSKAVSDVESFAASATAPEKLAADLRRILTSLASAASSSSFTEALSVQIWRLGTRLWNAVVDRANSAALAGGPAALAVEAEIRQAAPELLLLAGIPDGVPSAAAKVASFFHRSGLAWLDLGRVDLASACFEKATPLVSAAATEDRGVLLELNLARARAASDAGDQALAVALLSRSKPLAAASPEGAKSLAQGYLSIGEATLAAKHSNPAVEASTLFTEALDLCEKAASPSSSSPRTPPYGGATPKTPNLEGLKRRCLRFLALERLQAQDYEGVLRCIRVSRASMGLEEEHPSIGVMAMRAWIGSGNMAEADKELERLMANALATENLCVSAAEAYLAAAGPEAARKVLIALAARCRAGGAAAAVRVVKQVIDGGGGGIGRARAIAELVSDERVVALFDGPGNTHERGTMHALLWNCGTEHFRAKNYDTSADLIERSMLYVSRDEESRSRRADCFRVLSICHIALQHLDRALEFVNEAYKVEPNIKCAFLKVKINLQKGEEDEAFKQMKTMVGCVDFNPEFLTLTAHEAMSCKSFGVAVASLSYLLGLYSAERPMPMPEVAVLRNLIELLSREPGTEAEILKYSRRAKQRMADLGVESFFGSGIVGGRELNWFADLSWNMGLRASKEKKYNFGAEFFELAAEFFSSSNAECDENRSKVCKALIMAVTIMLNAEELNNSPLSDSDIKKGVEMLSRAGKLLPLISPSVPVASDQLEANNFLYLHTFNSYQLMGRMGTPAHPQQLQLIKNFASSKACTPANLLTLGVTASKGALPNMLAAEFSLKACIATALASQSPNYRVISCALRKLACLAGLQDLNGSKSDAAYDVFQQAYQIVVGLKEGEYPVEEGQWLVATAWNMSCLPLRLHQAKVARKWMKMGLDLARHLEGMKERIASMQTTFENLERVSGDEPDECSQEEAPKASISGSMSQPVLV >OGLUM01G44300.1 pep chromosome:ALNU02000000:1:41916225:41921993:-1 gene:OGLUM01G44300 transcript:OGLUM01G44300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIH5] MKRRISYHLANMAQALSLGLLLAFLAIQSCIAIELTDHIDLWPMPTSVSHGTQRLYVSKDITMSMEGSTYPDGKGILKDAFQRVVDLMKLNHVVDGANPSSFVLTGVNVVVHSPEDELKFRVDESYNLSVPTAGYPLRVQIEAQTVFGALHALQTFSQLCYFDFTSKLIELISAPWRISDTPRFPYRGLLIDTSRHYLPVTNVLHWHIVDAQSFPIEIPSYPKLWNGSYSFSERYTTSDAVDIVRYAENRGVNVMAEIDVPGHALSWGVGYPSLWPSDSCKEPLDVSNNFTFGVIDGILSDFSKVFKFKFVHLGGDEVNTSCWTATPHIKKWLDDNQMNVSDAYRYFVLRSQKLAISHGYDVINWEETFNNFGDKLDRRTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDATWEGFYTNEPLKGIDDPEQQSLVIGGEVCMWGEQIDASDIEQTIWPRAAAAAERLWTPIEKIAEDPRLVTSRLARFRCLLNQRGVAAAPVAGYGRTAPYEPGPCNHKN >OGLUM01G44310.1 pep chromosome:ALNU02000000:1:41925250:41927012:1 gene:OGLUM01G44310 transcript:OGLUM01G44310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAMLSLLVHLHAALLFVPEPAGAGAVYSVVRYGARGDGASDSTRPFLRAWADACRSPRPATVYVPPGRYLLGRATFVGPCSSRAVAFSIAGTVVAPAGYAWDGATAGQWITFESVVGLTVSGGTLDGRGDALWACKKQQPRGHCPTGASSLTISNARDVVVEGVRSVSSELFHVVVLQSRGVTVRRVTVEAPADSPNTDGIHIHKSTNVAVYDAAIRTGDDCVSVGPGNSNLWIERVACGPGHGISIGSLGKQQGMAVEAVQNVTVKTTWFTGTTNGLRIKTWGNSKRGFVRGVTFSDSTMAGVGNPIIIDQHYCPDGGCSGAARGSSSGIKISEVEYADVRGSSATPVAVSFDCSRSNPCSGIRLRDVRLTYQGKSGRLQAAGAVSSCRNAQGTASGLVVPPSCL >OGLUM01G44320.1 pep chromosome:ALNU02000000:1:41931216:41943477:1 gene:OGLUM01G44320 transcript:OGLUM01G44320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFQPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKQVDRARPPPGAWPRGGFDLHGRTVRGSIGATVGILFGGCARSAREEQVARLVGAIAASAGTKREQADHRDAGRPDVAHHHGGVRLPVKKVTRFSVADLFLSLRLLYAVGSRSGGCWRELAGAAAAYATESRGAGTVRCPNESLSTSAMADGAKLLLWLLLLSSSPWCFCSELDVQCLETFYRSVIDSNGILQSSWTVVDNGIRQSSWTFVDNGTDGYICKFTGVECWHPDENRFFRSNTSQHLKYDIPEHTQPSAQPIQRKRWRLRLHRINDESSIGAAVGFVVGFVVAFYFPHWFVFSKRLHPYIFQIWSIPSGNLSANLRRT >OGLUM01G44320.2 pep chromosome:ALNU02000000:1:41931216:41946305:1 gene:OGLUM01G44320 transcript:OGLUM01G44320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFQPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKQVDRARPPPGAWPRGGFDLHGRTVRGSIGATVGILFGGCARSAREEQVARLVGAIAASAGTKREQADHRDAGRPDVAHHHGGVRLPVKKVTRFSVADLFLSLRLLYAVGSRSGGCWRELAGAAAAPSMAVCHGVTRRRHGHTGI >OGLUM01G44320.3 pep chromosome:ALNU02000000:1:41931216:41942473:1 gene:OGLUM01G44320 transcript:OGLUM01G44320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEAAPMARNRKVVLREYIAGRPPREDDMVLVDGGTVALRVPEAAAPAPAVLVKNLYLSCDPYMRGRMRDFHGSYIPPFQPGSVIEGLGVARVVDSTHPGFSAGDIVSGMTGWEEYSLIDRPEQLSKIQQSDIPLSYHLGLLGMPGFTAYAGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKDKFGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRTHGRIAVCGMVSQNALTDPVGIHNIFCLVPKRIRMQGFIQSDHLHMFPQFVSDMAKHYRDGKIVYVEDMSIGLENAPAALVGLFSGKNVGKQVDRARPPPGAWPRGGFDLHGRTVRGSIGATVGILFGGCARSAREEQVARLVGAIAASAGTKREQADHRDAGRPDVAHHHGGVRLPVKKVTRFSVADLFLSLRLLYAVGSRSGGCWRELAGAAAAYATESRGAGTVRCPNESLVCWSKAALWHLYT >OGLUM01G44330.1 pep chromosome:ALNU02000000:1:41933762:41939954:-1 gene:OGLUM01G44330 transcript:OGLUM01G44330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNIRSASIPVICLLTFSAGGGSDGADEAGDLLLPSGTSAPAEEYPHRGADGAPHCTPMEVEAPPGPGTRRRPSSILDFGHDASSRTTRRFVPGAGTAHSTAPARRSPRAMESRKPPPSVLVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRITGIE >OGLUM01G44330.2 pep chromosome:ALNU02000000:1:41934154:41939954:-1 gene:OGLUM01G44330 transcript:OGLUM01G44330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNIRSASIPVICLLTFSAGGGSDGADEAGDLLLPSGTSAPAEEYPHRGADGAPHCTPMEVEAPPGPGTRRRPSSILDFGHDASSRTTRRFVPGAGTAHSTAPARRSPRAMESRKPPPSVLVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRIRVGRTD >OGLUM01G44330.3 pep chromosome:ALNU02000000:1:41934154:41937389:-1 gene:OGLUM01G44330 transcript:OGLUM01G44330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKPPPSVLVDNHVVPGDVVLDLAEMTNQTIKLGAGLRQDCDTIQATSAGRLRLSKPNKYWVESSQKRYIPSVEDTVLGVVVDTKPDNFLVDIKGPNLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANSIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPSPSNVIVVSNAIIKSESLSGIGQRIRVGRTD >OGLUM01G44340.1 pep chromosome:ALNU02000000:1:41947760:41961070:-1 gene:OGLUM01G44340 transcript:OGLUM01G44340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQVTRQSFMIHWHSDQEPCQRVIRLDKNHSCFIGTLIKNHVNEFHFSSTHFRPYSQVALLVVWLHYCTAMIFMQDFLRRFQLNAFLMLDFSLILRIYPGRGTCGLYSMELFIFRNKFVDDIEVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVFIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >OGLUM01G44340.2 pep chromosome:ALNU02000000:1:41947760:41961070:-1 gene:OGLUM01G44340 transcript:OGLUM01G44340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVFIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDILCSSEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >OGLUM01G44340.3 pep chromosome:ALNU02000000:1:41947760:41961070:-1 gene:OGLUM01G44340 transcript:OGLUM01G44340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSGKLRPPFRLLLLLLAAAVARSVDGVELTLLTGAREKGAVCLDGSPPGYHLQRGFGSGEHSWFIHLQGGAWCNTIEDCSKRKMSAYGSSKFMRAVEFNGILSNDQQLNSDFYNWNRVFIRYCDGASFSGDGEAQDQDGSTLHFRGLRIWEAVINELMGKGLATAKQAILSGCSAGGLAALLHCNDFYARFSKEVSAKCLPDAGFFLDIEDLSGERHMWSVFNGTVHLQVVKDKKDWGLFIDSCFTHCQTPFDISWNSQASPVLGNKTVAEAIGDWYFERSYEVKEIDCEYPEIDHPVHHPPRTCSVHTRAAATGEMPILPRRRYAEPLLLLLLAAVARSTAAAPDVVELILLTGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLIYLEGGAWCDTIESCSNRKTTELGSSKLMEAQEFEGILSNNQTVNSDFYNWNKVFIRYCDGASFSGNAEAQDQDGSTLHFRGLRIWQAVLDELMEKGLASAKQALLSGCSAGGLATLLHCNDFHARFPKEVSAKCLPDAGIFLDIEDLSGKRLMWSVFNGTVQLQNVSEVLPKDCLAKKDRTECFLATELVKSITAPTLIVNSAYDSWQIRDTLAPVGSYPGQSWLNCTNDIGNCNSTQMEVLNGFRKKFVDGVKVVKDKKDWGLFIDSCFMHCQTKYSISWSSQFSPVLGNMTIAKAVGDWYFERSKTVKEIDCDSKLRSPVLPRRRLAEPFLLLLLLLLLAAVARPTAAADVVELTLLAGAQEKGAVCLDGSPPGYHLQRGFGSGEHSWLVFLEGGAWCNSIESCSRRKMGVYGSSKFMKAAEFNGILSNDQQLNSDFYNWNKVAIRYCDGASFSGDAEAQDKDGSTLHFRGLRIWEAVVDELMGKGLATAKQAILSGCSAGGLAALLHCNDFHARFPKEVSAKCLPDAGFFLDVEDLSGERHMWSVFNGTVHLQNVREVLSKDCLTKKDPTECFFPAELVKSITAPTLILNSAYDSWQMDPFLDSHGPVAKLTFGIAVPHKSKNKFVDDVEIVKDKKDWGLFIDSCFTHCQTPFNISWSSQASPVLGSKTVAEAVGDWYFERSYEVKEIDCEYPCNPTCSSQLPT >OGLUM01G44350.1 pep chromosome:ALNU02000000:1:41964859:41969391:1 gene:OGLUM01G44350 transcript:OGLUM01G44350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGMRVALHRQVSSGSLKESGELRRQSSLESPRTGRAATRFLFGRQSSMDPNRRRGRSQSPVGLAEDLTVPDNLDATMQLLFLACHGDAAGVEALLRGGVDVNSINLDGRTALHIASCEGHPDVVRAVADAKCYGHTEVYNLLKARGAKIPRNRRTPMMVSNPGDVPEYELNPSELQFKKGDEVVKGVYQVAKWNGTKVHVKILDRECYCDQEVINSFRHELTVLEKVRHPNVVQFVGAVTQNIPMMIISEYLPNGDLSSCIPRKGKLHGQKVLKYGLEIARGMTYLHQCKPDPIIHCDLKPKNIFLDSGGQLKIAGFGLTRLSKISPGRVKLADHESMVDSFSHYTAPELYRNEIFDANVDAFSFGFILYEMVEGTHTVHGKSSEESGHTIRYDGMRPSLKNKLRGYPPDFKALIEECWDTQGNDTCGLSCMNAFLCTYHCEANASLSVKKPELALTRLEEDDTTYRQICAGAAAVRAMEEGRGGDPPSSSSSSAAAAGVAIGYPFLEPQQGARLRRRRTISPFKKYFSRGFAIGMEIAFIVFGTIVHFPVWARIFLIISLSILPFSAFSITFQEY >OGLUM01G44360.1 pep chromosome:ALNU02000000:1:41980175:41983600:1 gene:OGLUM01G44360 transcript:OGLUM01G44360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRACPDLGGDNLDLEGRGSEERREGGQVGNDESSQNPIPFQPSSPRRRAAPSSPAAGEGAADEAGWSRSRGLHSIVSQSLPERAPRSRSRRCGGPALAMVGGNI >OGLUM01G44360.2 pep chromosome:ALNU02000000:1:41980281:41983600:1 gene:OGLUM01G44360 transcript:OGLUM01G44360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAGAVAEPRRGGVALSRYAGQVGNDESSQNPIPFQPSSPRRRAAPSSPAAGEGAADEAGWSRSRGLHSIVSQSLPERAPRSRSRRCGGPALAMVGGNI >OGLUM01G44370.1 pep chromosome:ALNU02000000:1:41983890:41987523:1 gene:OGLUM01G44370 transcript:OGLUM01G44370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDVRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHVCRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >OGLUM01G44370.2 pep chromosome:ALNU02000000:1:41983890:41987072:1 gene:OGLUM01G44370 transcript:OGLUM01G44370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDVRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHVCRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >OGLUM01G44370.3 pep chromosome:ALNU02000000:1:41983889:41987072:1 gene:OGLUM01G44370 transcript:OGLUM01G44370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB and TAZ domain protein 3 [Source:Projected from Arabidopsis thaliana (AT1G05690) TAIR;Acc:AT1G05690] MACLELDSSQFLLNGDGNVIGSPFDIQLECNSFTGSKAVQDHSRYTLPSQCTNAPDPPPLPGTSYGTHRTSRNAKACRCVPEEIQDFWDRMFFEAYQYDVRVLTEDGNEIMSHSCVVGIKSPVLRAMLEEAKVQGGIRHILIPGVPSEAVHVFIRFLYSSRFEQYQMKRYVLHLLVLSHVFSVPSLKRVCINQLETSLLSPENVVDILQLARLCDAPRLSLVCTRMIIGDFKAITQTEGWRVMRQANPSLEQELLESLVEEDTKRQERARRLEENKVYLQLHEAMEALIHVCRDGCRTIGPRDQTLKSSQAVCRFPACKGIELLLRHFSACKMRVPGGCANCKRIWQLLELHSRMCSAPETCHVPLCRHFKEKMQHLSRKEEAKWNLLVSKVLESKATTSSISERRKFPSLKT >OGLUM01G44380.1 pep chromosome:ALNU02000000:1:41986075:41988089:-1 gene:OGLUM01G44380 transcript:OGLUM01G44380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVDGRVVVGGHPPVQRGGGEPPAAAGYPLPKEPPRRRRRRPSTFASCRIFATLAQPPGTRILHAEKWRRSSSMPLQAGNLQTA >OGLUM01G44390.1 pep chromosome:ALNU02000000:1:41989130:41990419:-1 gene:OGLUM01G44390 transcript:OGLUM01G44390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDRTVRQWRHLLLVLCFVGLSSLLLVSSQTTSDSCTAALSLGTLISFNTTGLNCFQAWSSQGFILRFGKDASSAGSNTVWNFVLSAPDSGGYIAVGFSPNGKMVGGSAVAGWVTPGAAGTARQYYLGGTTSSLCPPDQGKLSLSRGAAAPTIVSKGSRLYLAFQLSGQPLTNVIYAVGPAGTLPGPSGLLAQHKDMAAGTISLSGGTSGGGGGTPATGGGGDGDEGHEGHEGGGEGKGKSDQSGGVGGESGSDGNGGRSTTTTASASSSGSASGRVFCAQWTKCSLVVQMLVYFVLLSGTVFL >OGLUM01G44400.1 pep chromosome:ALNU02000000:1:41993744:41994260:-1 gene:OGLUM01G44400 transcript:OGLUM01G44400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTAVIGDGYEYDGVVVGHPVIQFGDGAPPAVAGYPPPPPPPAATLQRGRPEQRCSSRCGPCETFTATFMVVVAVESLTYFLLAMILHKFPSPITAMLLSPVTLLALVGSFACCLAVSGCCDDRLDDGQNSGNRQVQDSIV >OGLUM01G44410.1 pep chromosome:ALNU02000000:1:42023147:42026369:1 gene:OGLUM01G44410 transcript:OGLUM01G44410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIFHVIILLCNIVSSWTCFGQLWNNTSNKYQMLSVLSVHGVSGSFDASMIHFVTFNFKRRNAYK >OGLUM01G44420.1 pep chromosome:ALNU02000000:1:42026638:42030863:1 gene:OGLUM01G44420 transcript:OGLUM01G44420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRNLLLLPLLLALVAAAASAAGDERAVLEVSGSPEGVVWVVQLSDLHFSVHHPDRAYDFRRYVGPALAMVNPALVLITGDLTDGKSKDLLTMKQNEMEWIEYRSKLKDVIESSKLPRSIFYDLRGNHDSFGVPSPGGDHDFYQKYSINAILRRHGRVQSITLENSGWKHLFVGFDSTMEIGLRGPTNLFGHPTDKQLIELDQSLSQWETDFNKAQVTKIAFGHFPMSFSTLTESGKSIKDVFLKHSLAAYLCGHLHTRFGKNLKRYYHRSTEESPLSEHYYQFNMHQGYEIHSDKESCSKEAVHAEEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRATASRDFKCQVMGASTFDTVRALVFSRHEIVSVSVKIYDSRSGHLDVVFDSEMKRVNANETRGDMYLVPWNWRAFADPSPNRYWLQIEVMDITGDASVSQLRPFSVNGFASKVSWTWKEFFVMGIQWALVYHPALWCVLALIFTLLLVPRASILLFKDQFTYKYMRPNGSQRVLLKYLIGGFIWLFVEFSRVTIVWSLLLVYMIYLLVFPWLFGHPITEDSNLASMTFRGWILGKSNSGNEVFHAGTPDVMVIVLPHLCFVVLPTIVILAAMAAERTAYREQYLSQSGKKKDDHYQKSRRQKEHDNFWNSRWTRKFLFLLCLAVLWKHWKHCRALVKAYAMNPVIHSPVHFFFIPGLIAFAIYKTSSL >OGLUM01G44430.1 pep chromosome:ALNU02000000:1:42038379:42041023:-1 gene:OGLUM01G44430 transcript:OGLUM01G44430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMEMLEAWKYCDAVMLLVRDIQCSLIAISIVLVAEI >OGLUM01G44430.2 pep chromosome:ALNU02000000:1:42038379:42041023:-1 gene:OGLUM01G44430 transcript:OGLUM01G44430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSELVVSFGEMLIDFVPTVAGVSLAEAPAFVKAPGGAPANVAIAVARLGGGAAFVGKLGDDEFGRMLAAILRDNGVDDGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTHAELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYARDFRGAVPSYKVQQVDTTGAGDAFVGALLRRIVQDPSSLQDQKKLEEAIKFANACGAITATKKGAIPSLPTEVEVLKLMEMLEAWKYCDAVMLLVRDIQCSLIAISIVLVAEI >OGLUM01G44440.1 pep chromosome:ALNU02000000:1:42044391:42051266:1 gene:OGLUM01G44440 transcript:OGLUM01G44440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:selenoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G05720) TAIR;Acc:AT1G05720] MGRSVYVAAAVALVLTSCSVLCLGAERFGARECEELGFTGLALCSDCNALAEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEIVGFLEEDKDDFPYVEARYVYGSPPKLIMLDDKGDQKETIRIDNWKREHIRQFLKEKVKPVKSDS >OGLUM01G44450.1 pep chromosome:ALNU02000000:1:42047381:42050866:-1 gene:OGLUM01G44450 transcript:OGLUM01G44450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTEKVAGDGCSGGGGGGGEGQVEVEVGVGMGMDGKGMIECRICQEEGDEGAMDSPCACTGTLKFAHRKCIQRWCDKKGNITCEICNQVYSPNYVLPPTKCCSAEIDMDLRQSWVGRIDPHDSHFLAIAIAEQQLLQAEFDDCVSSNSSGATCCRTVVLILMLLLLVRHVVVFVRDVSMLQDATVLFSPVLVMLFNTGGEDRFRGHLNLAGSIYSKQLNTITERREEKLVKK >OGLUM01G44460.1 pep chromosome:ALNU02000000:1:42054195:42056117:-1 gene:OGLUM01G44460 transcript:OGLUM01G44460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAATLPKLRFRPKCHGHFQAIYPVHVHIKNCNACKCQIPTHGLLVSSARSRPVLPVSAVGSGGGSSVAEDERKSGLSLQNAKTSVVSRDDETINVRVDLPGKATQKVFDEALTSLARDAPPVPGFRKSKGGRTSNIPSSILLQMLGKSRVTKFVLQEILSITVGDFVKKENLQVNPEIKTTQSEEELESSFTPGSSFSFSVVLQLEKPESDETSENSESDEASEPSS >OGLUM01G44470.1 pep chromosome:ALNU02000000:1:42060257:42062656:-1 gene:OGLUM01G44470 transcript:OGLUM01G44470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLHRDLLLAVVLAAIAAASLAGRADAARPLPPSPALPSPSSSSALEAAKAAVSTLMEMLPSGPSAGSTYADARRLVTSGAYWRERRTVADGEKLDICWRLPLISRQCQIVRVRLRPGTHLSSFGRTSARLRCVRKGMGSNGHWR >OGLUM01G44470.2 pep chromosome:ALNU02000000:1:42057456:42060249:-1 gene:OGLUM01G44470 transcript:OGLUM01G44470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARATWREKAQGGWRCEELDRHGPTAAHCCTWRRFDRTQGGLRQERRMVADREKLKESLDPGLPCGRRCQGRGGGAGQHRGGMTRERKWKGSTITRGIRRGRLSASILITSFYLTVILDAHPPLITVLLFVPVTVLVIVAVCACAGLAIFCFEEGQIEENRRLQNSPV >OGLUM01G44480.1 pep chromosome:ALNU02000000:1:42064364:42068440:-1 gene:OGLUM01G44480 transcript:OGLUM01G44480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 4 [Source:Projected from Arabidopsis thaliana (AT1G65260) TAIR;Acc:AT1G65260] MEIRAPPTSLRLAPPPPASASFRRTALRTSFLNGSVSLRLIQVRQSNVNRFKCNGIRSNLLDRFSRVVKSYANAVLSSFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASDDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLKAQLDQQKGVVENLVSNTRVLESKIAEAKQKKDTLKARAQSAKTSTKVSEMLGNVNTSGALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKKEISGSSSKGELPPGRTAVSNSGAARPFRDIEIENELNELRKKANEY >OGLUM01G44490.1 pep chromosome:ALNU02000000:1:42069173:42070970:1 gene:OGLUM01G44490 transcript:OGLUM01G44490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIMRQWRSLLLLLLCVVGSSSLLLVSSQTSSSSSDSCTAALAVGDLIPFNTTGLNCFQAWSSQDFILRFGQDASAGSNVWNFVLSAPDAGGYISVGFSPNGGMVGSSAVAGWVASGGVGTARQYYLGGTSSRSCPPGQGKLSLSTGAAAPTIVSQGSRLYLAFQFSGQPRTDLVYAVGPAGSLPGTNGFLAQHQDMTRGTITLPTGTSGGGGGGSTSTGGGGGGDSDDGNEGGGGEGKGKHKHSGGDGDGDEGKGGRRTSPSSSSSATASGAAGGLSSKRRHGVLAVVSWGAMIPAGVAMARFMKRFEPLWFYAHAGVQGLGFVVGAVAIVAGFRLDGEDDVGAHKAVGVAVLVCACLQVMAVLARPIKEAKARKYWNWYHHYVGRAAVVLGVGNVFYGMSLAKEGDEWSYVYGIFVGVCAVAYLVLEEWRRRH >OGLUM01G44500.1 pep chromosome:ALNU02000000:1:42077504:42079265:1 gene:OGLUM01G44500 transcript:OGLUM01G44500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSRCSSVINGALPLLVVVVICGLSPVARSQSSDSCSTPASLAAGVSKLIPFDTSNLTCFDAWSSENFIVRYTSSGSTWSFVLSAPDKGGYVAVGFSQDGAMVGSSAVAGWSSGNGVGGVAKQYKLGGTSSRSCPPDQGALSLVAKNTLVVAQSSRIYVAFQFTAPQPTPYLIYAVGPSNTNPSGNGDYLAQHRVYTSAAVNYAAGTTSSAGGAADTKKWHGAMAGLGWGVLMPVGIALARYFKKHDPFWFYAHISVQGVGFVLGVAGVVAGFKLNDDVPGGDTHQAIGITVLVLGCLQVLAFLARPDKSSKVRRYWNWYHHNVGRAAVACAAANIFIGLNIAHEGNAARAGYGIFLVVLALVAVFLEVKLWRSRRSG >OGLUM01G44510.1 pep chromosome:ALNU02000000:1:42082112:42083306:1 gene:OGLUM01G44510 transcript:OGLUM01G44510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 13 [Source:Projected from Arabidopsis thaliana (AT3G59520) TAIR;Acc:AT3G59520] MGKPLIYEILEKPASSSVIGICSLIWFFIQKRNIGYGDVGLSYEAAMEGGQYWRIITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQKFKVEYFRRVTAVGYSCVVFGWMTILATKQPSSKLNLFGVLSLPISFAPFESLIFTSIMVPQASFIGHLSGIIVGYSIAWGLVHGMNNYWAITMFGWIVLVFVLSLKRTGSMDLRFIEIEPVTDPSLPSVGVVASRNGRTLQMDVLPRRGVADIV >OGLUM01G44520.1 pep chromosome:ALNU02000000:1:42084174:42087974:-1 gene:OGLUM01G44520 transcript:OGLUM01G44520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSHRSSLVLSGEPPAMGSRSGGGHRLLLRFIALSSLLLIAAGEVIFEERFEDGWESHWVKSDWKRSEGKAGTFKHTAGRYSGDPDDKGIQTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPQYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYNRRHRDHWDDDYHDEL >OGLUM01G44520.2 pep chromosome:ALNU02000000:1:42084174:42087974:-1 gene:OGLUM01G44520 transcript:OGLUM01G44520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAALPSHRSSLVLSGEPPAMGSRSGGGHRLLLRFIALSSLLLIAAGEVIFEERFEGAKGKLERSNTRQEDILEILMTKTTLDARHFAISAKIPEFSNKGRTLVLQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLQCETDKLTHVYTFILRPDASYSILVDNRERESGSMYTDWDILPPRKIKDVHAKKPKDWDDREYIEDPDAVKPEGYDSIPKEIPDPKDKKPDTWDDDDDGIWKPRMIPNPQYKGPWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLKYIGIEVWQVKAGSVFDNILICDDPEYARKAAEETWGANREAEKEAFEEAEKERKAREDKEAERAREEGERRRRERGDRHRGRDYKDRYNRRHRDHWDDDYHDEL >OGLUM01G44530.1 pep chromosome:ALNU02000000:1:42099979:42105770:1 gene:OGLUM01G44530 transcript:OGLUM01G44530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRGGGGAAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPPAAAAAASLPAPPPPPPAVSARERERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPVPPDRSRAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAALLSRQRVEHVGNGGGGRHVAVTATPARSVVSSYSTATTAAAAAARPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGAGTAAAPPSVSSRVATPAASVAGGGRHRPTRSSVSEFSVDSAVSMPAGIGRRTFK >OGLUM01G44530.2 pep chromosome:ALNU02000000:1:42099979:42105771:1 gene:OGLUM01G44530 transcript:OGLUM01G44530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRGGGGAAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPPAAAAAASLPAPPPPPPAVSARERERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPVPPDRSRAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAALLSRQRVEHVGNGGGGRHVAVTATPARSVVSSYSTATTAAAAAARPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGAGTAAAPPSVSSRVATPAASVAGGGRHRPTRSSVSEFSVDSAVSMPAGIGRRTFK >OGLUM01G44530.3 pep chromosome:ALNU02000000:1:42101946:42105770:1 gene:OGLUM01G44530 transcript:OGLUM01G44530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGWITALKKAFTSGPKDKPTNGQLVAQYSHQHRGGGGAAAARDKKRWGFGRSRQHAEPSPAGALINIPLYREPSSIEKILVDAEMEQQHRQYRAQYQITPAKPATVTAPPAAAAAASLPAPPPPPPAVSARERERQRRRDVDDDKPAIVLPLPPPSPPPLIRRFDHDREQQQKLQQMQQQTRAETEWRRPQAQRRRAARPRGPVPPDRSRAAAVAIQSAFRGYMARRNYRSLRGLIRLQGVVRGPSVRRQTAHAMRCMQMLVRVQSQVRASRVEAMERRNRHHHAAMLRDAARWRAASQDGGIWEDSLLSRDEMDARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRNPWWWTPIERRHEAEAALLSRQRVEHVGNGGGGRHVAVTATPARSVVSSYSTATTAAAAAARPRATRPAKVASSYGGGGSIRDDESLTSCPAFGGALPNYMTPTMSASAKARARAQMLRQQQEKQAQAAQEKPRFSFGLGQSIGSWAKSPFWKGAGTAAAPPSVSSRVATPAASVAGGGRHRPTRSSVSEFSVDSAVSMPAGIGRRTFK >OGLUM01G44540.1 pep chromosome:ALNU02000000:1:42108769:42114972:1 gene:OGLUM01G44540 transcript:OGLUM01G44540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKLLGVVHSEPANNVDAELRDDLGQFRMQVDGPVVLNQSAELEPSDSMAIDDVLAQASSQPVLEKQSPPALMDTIVEVQKQLKRKRASSGPVLAAADKDALVAGCRQELEGLLEYYKEVSGHRMQFEVGNLSTNAAIGCLLEESSLGLSKLVDEIYEKLKGMEGVSATSVRSSVLLIGQRMMYGKSSPDADVLEDESETALWCWEVRDLKVIPLRNRGPLSTRRTARKKIHERITAIYSTLSVLEAPGAEAQVNDMRKASLKLSKALNLEGIKSLVERATEKSNIERGAKNIGSTAKEPMQEMVKSNNDTGIIENVDDSQLQKNTSTNEKDTQKAQKQVEKELKQKEKEEARMRKQQKKQQEEALREQKRREKEEAEMKKQQRKQEEEAQKEQKRREKEEAETRKQQKKQQEEAEKEQKRREKEAVQLKKQLAIQKQASMMERFFKNKKDSEKLEKSGGKDSGVQTTDPCTTNKEVVPLVTSIIDSSFSQKENWALEDLRRLQISGWQKLSSYNRSSRWGIRNKPKKEAFKELKLQKASDNMLEEILSPNEDTCHNLSQENEPDKPANDIDMLPAVELQFHGTNHANPLPTRSIKRKLLQFDKSNRPAYYGTWRKKSAVVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDNDEIMEEDSKITDEESEDSFFVPDGYLSDNEGIQIESLLDDKDEASSSPPDQCAEVEEFRALLRQQKVLNTLTEQALRKSQPLVISNLTHEKAELLTAGDLKGTSKIEQLCLQVLSMRICPGGATIDSPVIDSSSANAEETNQLNVKSSPAAASAIPDTDLAEIVKVIGSCRDGINKLVESLHQKFPNVSKSQLKNKVREISEFVDNRWQVKKEVLSKLGLSSSPASSKKPKSIATYFSKRCLPPEEAILASPELRLTSKTTQNVNGGTDIPQIHLLPSSQ >OGLUM01G44550.1 pep chromosome:ALNU02000000:1:42114401:42114901:-1 gene:OGLUM01G44550 transcript:OGLUM01G44550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGSHTHRAFLLCNYALLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTTPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDDDAAVILKMVGGLGTAIFVLEWAALALAFSLRLDDDDGAGDYDNKNWAAASYHV >OGLUM01G44560.1 pep chromosome:ALNU02000000:1:42116284:42120865:-1 gene:OGLUM01G44560 transcript:OGLUM01G44560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRAGQATRRGPTRAGKKPKNPRAPIRAIPASAITKSAVAPSPLVPDQQTASSTQHATPRLAAPRRDDTRQPNGWQAGSSELLMPLPLPLTPRPPAAMLLPVCSAAPTCSPLCPVTSSVAHVVGAPALRGRVVEVSALRSYADPLVARPVPDRPLLADSSILSPYSASPDDIVRGLAAAEVPSADATAAGDALCCGGVEPATLTAVSDLAATTADAAVTDAAERALLDAPVPTTFPVDASDAEAAFARLIDSLGKKIFQAEDALTEGYDKLRLSAYQSLGAWRKSVDGAVGGLTASVDATKKQAAGGVTDASGALQDKVAGAGAVAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLTYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKRLLKGGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEGIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADGLVSEA >OGLUM01G44560.2 pep chromosome:ALNU02000000:1:42116286:42120865:-1 gene:OGLUM01G44560 transcript:OGLUM01G44560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRAGQATRRGPTRAGKKPKNPRAPIRAIPASAITKSAVAPSPLVPDQQTASSTQHATPRLAAPRRDDTRQPNGWQAGSSELLMPLPLPLTPRPPAAMLLPVCSAAPTCSPLCPVTSSVAHVVGAPALRGRVVEVSALRSYADPLVARPVPDRPLLADSSILSPYSASPDDIVRGLAAAEVPSADATAAGDALCCGGVEPATLTAVSDLAATTADAAVTDAAERALLDAPVPTTFPVDASDAEAAFARLIDSLGKKIFQAEDALTEGYDKLRLSAYQSLGAWRKSVDGAVGGLTASVDATKKQAAGGVTDASGALQDKVAGAGAVAVDVLRKAIVAAEDSLGSAATSIGYYYGSTKSSLPPNVKDLLNSSEEKASLVLKPIGGALQQVYVVVEGIEKNVGLDPSDPIVQLAVLLGGSTTIGLTYWLFAYGGYSGDLSPESTLELLRNDDKADLRVKDGIPDLRRAARSKYATAASPEIKGSVKRLLKGGRDVDDALLAVIIRNLKLVKGDSKVIIMDANGSRSKAIARLLKKLGVQRPYLVKGGFQSWAKNLRVKELKPETALTVINEDAEEILEGIKPTPTLVLGSLLGLSAAAYALLEWETTLQYIGVLSLGLTIYLRFSTYEGSEDLEQDLKLLLSPLRVGAEAFSWAAKKLEPSKIGLATSPSTTAVQDRVLQAAAKHESQPSDAEADGLVSEA >OGLUM01G44570.1 pep chromosome:ALNU02000000:1:42122633:42149511:1 gene:OGLUM01G44570 transcript:OGLUM01G44570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMLACKFIWAGNEETFPFPAPQTEAHQAAESNPSRRSEPSDPYPAPHPSARCAPATRGSWAAAAINPNHSFSHLSLLLAPPPPPPPQRSSNSRRATWSSCLHCPKLEFPWALYTLDEV >OGLUM01G44580.1 pep chromosome:ALNU02000000:1:42150600:42153187:-1 gene:OGLUM01G44580 transcript:OGLUM01G44580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGSGSGHAATPVRYVALPPPRGVRDGGGWNVLVPESMASEWTVAHVRGVVRVASRGGGAPEVSVDMAALNGRRRGDDDPDLHLRPERGGGRDALTPVRYVALLLPRGVRDGGWNILVPEAMASEWTVVHVPAAAGVVRAASRGGGAPEVSVDMAALQAALNGGVAERGGGGGAGGPCYVPVVFVLNTSKEAEKKEHQARISLLMLSTFAVYLLYAMQHISKDTFLTVELLQFVGHIVMWAVASRVSRSTNRSEPRLVPRSFITVTKKNA >OGLUM01G44590.1 pep chromosome:ALNU02000000:1:42154501:42157037:-1 gene:OGLUM01G44590 transcript:OGLUM01G44590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAQDRATGQLVALKKTRLEMDEEGIPPTALREISILRLLSQSLYVVRLLSVEQATKNGKPVLYLVFEFLDTDLKKFVDAYRKGPNPRPLPTNVIKSFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTGVDIWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTDLRDWHEFPQWKPQILERQVPSLEPEGVDLLSKMLQYNPANRISAKAAMEHPYFDSLDKSQF >OGLUM01G44600.1 pep chromosome:ALNU02000000:1:42159508:42163372:1 gene:OGLUM01G44600 transcript:OGLUM01G44600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFFQGTTDGGSVDTDAVKARRSLLGKVEPIIRSVIKSGGGYEARMWLCSTVSSIHLLNPYGQRDLFLNLLGMKNSKRDVAARLLWMIFDKKPKKAGSIIAKKCQMLEDFFQGNPKRIMQWFGHFAVTGELTHKKGARALSQFAFVNRDICWEELEWKGKHGQSPAVVATKPHYFRDLDVLQTVENFLEYVPDFWSSEELADSVKDGEILQIDTEYFVDQFVYLMYEENSRDAWQLVDEFLMDEQFSSLSQHLLIHLDEQRLLNFLRALGKLIKLNSQCKEMVFPCCWLEVLLSAHSDHISLDELVLLNCVISKGRQLWRLMNDEEQEEEQGQMQELLKSTNQLTDADHFALMKGFVDTELPDALKWIGIQSWLVFCELSKGCKSADSCEHLFTCNRIEFRKADDYPLVQNDGNSIALDSDDEDLIRGSHKRRKRDRKRKRRRYDSDEDDLDQLLELGTSNRRGIESHHGCWYLSTDGFSASWDIADIPDHLSSHYLKTWLKFMHFWTC >OGLUM01G44610.1 pep chromosome:ALNU02000000:1:42164107:42166569:-1 gene:OGLUM01G44610 transcript:OGLUM01G44610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATARKAPRMVAIWAVVVVVVVVAADLFGAAVARSASARHAVGKKQREFDYFALALQWPGTICASTRHCCAINGCCRSEPLQTFTIHGLWPDYDDGTWPACCRHTSFDMDKILPLKPTLEKYWPSLYCSSSSTCFSGKGPFWAHEKHGTCSSPVVKDELEYFTTALDLYFKYNVTEMLASGGIHVSNGKQYALTDVIDAIKCAFGASPQIVCKKGSVEELRLCFDKDLKPLDCLTTTATNENVSKKKYCPRYITLPTYDPIVHANSTREIITVESEVYGYLYTS >OGLUM01G44620.1 pep chromosome:ALNU02000000:1:42167592:42170234:-1 gene:OGLUM01G44620 transcript:OGLUM01G44620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRSHPLKFFTIHGLWPQYSYGGWPSCCRPTTFDGNKISRLKTILEEYWPSLYCGSFSTCFGGKRPFWVHEVAIKTHGTCGYPEIQDEYDYFSTALYLYSKYNVTKAMRKAHIYPRGGRKYLVSHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCIVEGENSVRRNHCPRYVTLPSYKPHAFGNSTEGISNQVNVEHQSYE >OGLUM01G44620.2 pep chromosome:ALNU02000000:1:42167592:42170234:-1 gene:OGLUM01G44620 transcript:OGLUM01G44620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRQRLVISLSALCLAVAVMSGALLPPRASAAGGVGRKRRWAGFDYYVLALQWPGTVCRQTSHCCSSNGCCRWAVAAVQLWGMAILLQANHIRRQQDLEVEDDTRGVLAVLVLRLFLNLLWWEKAILETHGTCGYPEIQDEYDYFSTALYLYSKYNVTKAMRKAHIYPRGGRKYLVSHIVSAIEFSFGAMPSIVCKNGSVQELRLCFHKDYQPRDCIVEGENSVRRNHCPRYVTLPSYKPHAFGNSTEGISNQVNVEHQSYE >OGLUM01G44630.1 pep chromosome:ALNU02000000:1:42170881:42173893:1 gene:OGLUM01G44630 transcript:OGLUM01G44630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTRWKRKEPNLKKAKLGPKLSPYNEAGLWGPLSTKETKEARSHDSIVFSFPPPLPPLPLSSLATSPPREINMVVAPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMPMLPRNRLRDDRDHKLRIFSGNEHPFHDRPLEPFVMPPRQVREMRPRARRALIRAQKKEQDRAAAASTKDEENAKNAKSEITA >OGLUM01G44640.1 pep chromosome:ALNU02000000:1:42174745:42178247:1 gene:OGLUM01G44640 transcript:OGLUM01G44640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02650) TAIR;Acc:AT3G02650] MWRRSGARALLLLRSSIRRTLPPPPPSPLRALTRAPPPPRPLSRFLSSSPEPLPDAAGGAASAPSDPADAGEDGLASDPTEAGEDNLASLWEEDAGDADDVFAADASPDPADAVVDEVLAARVRAVVESTPEDQIPSALADMVVDFNEPLLAAILVSAAESCSGKKLLLLFKSAGKNNPAAKSLANLEIVVDKIADSDGIDKMDVYLLWDLVKEMGAVPGSVNTQVLNKLLAMFWKLEKSKAALEAFDMFSELGCAPDGDSYYLAIQAAGRKSMVDAAWRVCEGMVGSGCFPDGEKVGDIVTFFCKKKKVKEAHSVYMAAKEKKVQALTSALTFLVSALARNDETINTALELLEEYQGESLKDAGQSYAAVIHGLCKTNNVEDAKKVLTRMVNLGPAPGSAVFNFVITALSKNGEMEDAKGLMRVMENQGICPDIYTYSVIMGGYAKGGMMDEAHALLRDAKKIHPRLSRVTYHTLIRGYCKMEEFEKALECLKEMKEDGMEPNMDEYNKLIQSLCLKALDWRTAENLLKEMEDGGLCLKGTTRSLIAAVKELEMDELQEGIMH >OGLUM01G44650.1 pep chromosome:ALNU02000000:1:42178577:42184707:1 gene:OGLUM01G44650 transcript:OGLUM01G44650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 42 [Source:Projected from Arabidopsis thaliana (AT5G36890) TAIR;Acc:AT5G36890] MWGHLPGRQSLRGGSHRLVPVPPTPVAAHVGSLPLFISTKSSSRLVAGRNHARRDSPAEPRVAHAAEIASSSPPPPGGMGSTGRDAEVTRGDFPDGFVFGVATSAYQIEGARREGGKGDNIWDVFTENKERILDGSSGEVAVDHYHRYKEDIELMASLGFRAYRFSISWPRIFPDGLGKNVNEQGVAFYNDLINFMIEKGIEPYATLYHWDLPHNLQQTVGGWLSDKIVEYFALYAEACFANFGDRVKHWITINEPLQTAVNGYGIGHFAPGGCEGETARCYLAAHYQILAHAAAVDVYRRKFKAVQGGEVGLVVDCEWAEPFSEKTEDQVAAERRLDFQLGWYLDPIYFGDYPESMRQRLGDDLPTFSEKDKEFIRNKIDFVGINHYTSRFIAHHQDPEDIYFYRVQQVERIEKWNTGEKIGERAASEWLFIVPWGLRKLLNYAAKRYGNPVIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASARWFSRFLKGDDAENKADMN >OGLUM01G44660.1 pep chromosome:ALNU02000000:1:42187900:42191552:-1 gene:OGLUM01G44660 transcript:OGLUM01G44660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIM7] MPSLRRFLELVVVGIVVCGVNGGSDGLEVAVARRQLHQPFFPDQSSSPPTPAPPGPAPPFFPALPVPPPPPATAGQEQPTYPALVLPNTGAGGAAATAAPDGGGGGGGGARKSKSSASKLVPAIVLPLLTVAVLGLSIAFFFTHRRGNAARGGGGGGGCVGGGDAKFLHPERASLFARDEFGGSGGAAAPPAAAMDYRYVGNAGIGRMDEKSSETTSSGDEASRSTGGSPELRPLPPLLARQCGPMGARSPGSGVGGFASPSSGDEEFYSPQGSSKMSTSHRTLAAAVEAAVAARDRSKSPSPGSIVSTPSYPSSPGATMSPAPASPPLFSSPGQSGRRSVKSRSDSVRTFGQPPAPPPPPPFAPTLPPPPPPRRKPPSPSPPSSPLIENTSALRSTTTTDTTIPRNPFVQPPPPPTHTHGPPPPPPPPPPPPVGYWESRVRKPGTGTSKETRSPALSPPPQAASFKSGLPTDAFPGRLADNADHTAAGGGGGGGDKSEETTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANSAPPKEPATRRPVLPTPKTDNKVLDPKKSQNIAILLRALNVSKEQVCDALCEGNTENFGAELLETLLKMAPTKEEEIKLREFKEETSPIKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVNYLKKSFETLETACDELRNSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTFLHFVVQEIIRTEGSRLSASNQSTPRTQANPLRDELECKKLGLQVVAGLGNELSNVKKAAAMDSDVLSSYVSKLAGGIEKITEVLRLNEEVKSREDAWRFHDSMQKFLKRADDDIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGISDDESSATSASSP >OGLUM01G44670.1 pep chromosome:ALNU02000000:1:42199405:42208647:-1 gene:OGLUM01G44670 transcript:OGLUM01G44670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion 1 protein 4 [Source:Projected from Arabidopsis thaliana (AT5G16270) TAIR;Acc:AT5G16270] MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPEVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDIDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGNSSHIGLDLEEELMLNKDQSIQLEADDGIIIQGRSAVHPTDMEVDDNQNKDESAEGYNMEDGPSSHNKLNPLSADGLVGNSLPNWHTYNVQTPDLNDMLLNNDGNAGPSASYYQPSPFPCDEPASPEFVSAQAPATPGLMEETVPSRVHESPVLSPQRKASPSSNDETAKTAENANDLVGAETTELALTKPVQIESSGPVQEIDSLSQQCATEELPPQAETSNLEATMDKSMINTDGVAASGEATAAKETTEVSLVENSLELCADGPTEPVIENQTQFNDGSVDVQGGGQHAPHAIVASADSQVNVEASTQEMASNDIPSDLPTLEFPEREKMLSAPDVELYQANDLGQVTAEKGTTESDGSNKVGSLTSRKRHLEDSLPALESATTEKLSSRPRGKRTIDGIPDDDDLLASILVGRRTPGLRLDSTPIPPKTSSLKRPRLTSKTTTPKRKVQMDDAMVIHADTIRQQLISTEDIRRIRKKAPCTRSEIWMIEKGMCKELNDLQYRTYEIVAHPTIHNMEIHVRLDMSQTVADGSNDVGTSGAKDSGNHQDHVVLPDGAESDAMHLEATDAADARTDFDSHMPSDKQVNNVEVVTEQLIDNEKETAVVENATTNMGDSAQVDSLDKEYLQDVPADLQRSTNTDTPLFVLDDMPGPDVVLDSSDPVSAQAVDDMKGELSDIVHDNVNAFDNKDMPTSEITVLEFTQNASGFPQPTEDENVLSAMGENSGLQENHVGSVMDLDNMGHDFSLKECSDFGSAIQGVDTDFLMYDDEVDCDEANDDEPNPEEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLLSGKTRKEASRMFFETLVLTTKDFISVDQPNSFDFVSVKPGPKLLKSDF >OGLUM01G44680.1 pep chromosome:ALNU02000000:1:42224596:42225275:1 gene:OGLUM01G44680 transcript:OGLUM01G44680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLMLPRQYDAAAAPRRTTVTIDDGEAALLERLLRRMEEGGGAAAAGDQTRASDQRCFSVKAGCRFVRGFGAMASLILVVVPTHWRFE >OGLUM01G44690.1 pep chromosome:ALNU02000000:1:42227287:42228977:-1 gene:OGLUM01G44690 transcript:OGLUM01G44690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRLLRSAALLARAARLARAAATSTGRAVTAEHLSEVVASAAGDRGFPSGALRQAALALARSSAPEARPRAAAEVVRAAAMVFRAAQEAGSPGVAEVAGDLAHAAHDCVRALVESGPAAERPRCLLRLWRRKNRHNKNAAGEADLEAPLLHPHERPYSSSSPIGASLSEIIELSQSERDFINYGMFGALAIFPYLTRTGGLKSAYSPLSPSTFHIIFCTWWICVGLDVLCGNRGRAMMKNILAFILAFYARASARLAILGVSLLVILYSHLELVPNEIYTLYILLGAATCMHLLVWAMDYMSRAPGDAAD >OGLUM01G44700.1 pep chromosome:ALNU02000000:1:42229953:42235721:-1 gene:OGLUM01G44700 transcript:OGLUM01G44700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDENLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPESSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKLNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >OGLUM01G44700.2 pep chromosome:ALNU02000000:1:42229953:42235721:-1 gene:OGLUM01G44700 transcript:OGLUM01G44700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05960) TAIR;Acc:AT1G05960] MGVMSRRVLPACSSLCYFCPSLRARSRQPVKRYKKIIAEIYQLPPDGEPNDRRIGKLCDYVSRNPTRIPKITEYLEERCYKDLRHENFTLAKVVPCIYRKLLCSCKDHTPLLATSTLSIIRTLLDQRMNDDLRVLGCLMLVDFLNGQVDSTHMFNLEGLIPKLCQISQELREDDKGFRLRCAALQALASMVQYMGDHSHISMELDEVVSVIVSCYEVNQTLSIKEVVRLQDDDDLVINGSLTGLPVSGQNSAKVASDTMSASENPAHWARVCLRNMASIAKEATTVRRVLDPLFRLFDSHNYWSPENGIAFSILQEMQALMDKSGQNGHLLLSFTIKHIDHKSVAKKPAKQTSILKVASLLAKHAKLKASVTIASATSDLIKHLRKCMHCAVESPNAQNDVDKWNSALYVALEECLVQLTEKVGDVGPVLDMVGVMLENLSCTATIARTTISSVFRTAFPEALFHQLLLAMMHPDKKTRVGSHRVLSTIIAPSLLCPWSGISFPIPVKGNDSQSITLLALSAFSSEAVMDEVRIKSRTHEQLQNNVKPETVVGSENGYTHTEPNSRKSPGLGIPLKDELTHFLPLKQNLKFMKLNSSQLVLLLSSIWSQAPLEDNSPANFEAMCHTYNIALLCSMTKSSSHAALVRCFQLAFSLRRMSLNQENGLQPSRRRCLYTMASAMLIFSAKVADIPQTIPLVKAAVPEKMVDPHLCLIDDCRLVISSPESSNSGIVYGSEEDESDARNFLSCVNKNDTQLKEIVISHFKEKFENLSEKLNGIEEQLLQEFSLDDSFPLSAPLFMETPHSCSMYAEKDDHCFDEEVIPCEMDDDDDIVFEHSGSQSDRKTSGSMASSDVLNVNQLIESVHETARQVANAPVSANLVPYDQMKSQCEALVMEKQQKMSSSARSEPETQSTRKERMRRSDSASSESDRSFRLPPASPYDKFMRAAGR >OGLUM01G44710.1 pep chromosome:ALNU02000000:1:42240910:42246122:1 gene:OGLUM01G44710 transcript:OGLUM01G44710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIN4] MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >OGLUM01G44710.2 pep chromosome:ALNU02000000:1:42240893:42246122:1 gene:OGLUM01G44710 transcript:OGLUM01G44710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIN4] MEKNCACPPPSKGNMITILSIDGGGVKGIIPAVILSFLESKLQELDGKDARIANYFDAIAGTSTGGLIAGMLAAPSLGNANQPCYAKDIVPFYLKHSPHIFPHRTGFFGWFFNILGIIKMAIGPKYDGKYLHRLINDLLGETRMKETLTNVVIPTFDVKCVKPIIFSTFKARRKPLKNACLADVCIGTSAAPTFLPAHYFETVDHTGASQSFNIIDGGMAANNPTLVTMGEITKQIKRKSEEFPKAEPLDYRKYLVISLGTGLPEHDIMFDARNVAKWGIFRWLVDKDTMPLLNMFFHASSDMADTLVADLFQAIGCSHQLLRIQDHNIPIGAISNDLSTKDHLQLLVKIGENLLKKPLSKEECEKNNIEPEPNLHGDHVTTYADMLTRFAKLLSDERKLRLHNMKLDAKP >OGLUM01G44720.1 pep chromosome:ALNU02000000:1:42247779:42250120:-1 gene:OGLUM01G44720 transcript:OGLUM01G44720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIVPPPRARRVDDAEEAAALLLEELSIRLMEEGSSAGGHPPPTSCSDHALRYFIRGFTTIVSLVMVAWLIYARYTTDDSELHDPAKLSFLIVLSLSLAAFGFCSTSETELQRLLD >OGLUM01G44730.1 pep chromosome:ALNU02000000:1:42257101:42260078:1 gene:OGLUM01G44730 transcript:OGLUM01G44730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTTTAAYFSSQPQLPATAAAKSGGRQQQRRRSSFVMLEAAAASGSGGGGGGAVGRTRSLTEEDLEELKGCLDLGFGFAYHEIPELCGTLPALELCYSMTRRFLDEQRAPGQEPESPATPPLPNWRISGPGKAFPSPRADFDSDNVLGLGLCEYILDYFLVVKVCDRFFLLFCWSCMI >OGLUM01G44740.1 pep chromosome:ALNU02000000:1:42262599:42268564:1 gene:OGLUM01G44740 transcript:OGLUM01G44740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVECRVCHAKVQVPMAAAAVSKAYDIHRSSVSSRQRALNVLLVSGDCVLAGLQPILVYMCKVDGKFKFSPVSVNFLTEITKIIFAIIMLCIQARRLKVGEKPFLTVSTFMQAARNNVLLAVPALFYAINNYMKFVMQLYFNPATVKMLGNLKVLVIAVLLKVIMRRRFSTIQWEALALLLIGISVNQLKSLPEGSSTLGLPVAAGAYLYTLFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLAISIVIISMHQYLSNQIKDEVPSSKIEMGDAHEHRSKESVVVNVSDSIATEAKHRHGTDERQPLLPV >OGLUM01G44750.1 pep chromosome:ALNU02000000:1:42269448:42271841:-1 gene:OGLUM01G44750 transcript:OGLUM01G44750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRRWICCNCQVNESDQLENGHAKVLTSNVDGVTKGLKDSATEKAQPQSSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPTPPAPPPALDDGV >OGLUM01G44750.2 pep chromosome:ALNU02000000:1:42269676:42271841:-1 gene:OGLUM01G44750 transcript:OGLUM01G44750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWRRWICCNCQVNESDQLENGHAKVLTSNVDGVTKGLKDSATEKAQPQSSALTINIPVLSLDELVEKTDDFGSSALIGEGSYGRVYYAVLDSGTKIAVKKLDSNENEPTSEFLTQVALVSRLKHENFVDMLGYCTEGNLRLVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKVADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCIDPRLNGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLTSKPTPPAPPPALDG >OGLUM01G44760.1 pep chromosome:ALNU02000000:1:42271856:42272961:-1 gene:OGLUM01G44760 transcript:OGLUM01G44760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYRPILPASSALPINCRVLRRVKTLRNRQHKKKKKRKPNSAIKPRLRRDEADQVKQRRPPPPPPPTTTTTTTKPPEAERSRDYKG >OGLUM01G44770.1 pep chromosome:ALNU02000000:1:42273190:42273453:-1 gene:OGLUM01G44770 transcript:OGLUM01G44770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVATPDAWRTHDWRKAVDYHATLLANGILSPTSRAICLGAVQEALAMRELSVSTAVAVARKRSPPLAIAGNDRRLPFPDSSVDFI >OGLUM01G44780.1 pep chromosome:ALNU02000000:1:42279531:42280149:1 gene:OGLUM01G44780 transcript:OGLUM01G44780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMATTNTAGEPEAFKYLKTSDSDERMEGSKKVSEDEEQALKVRFQEWMNKFNRNYKDEAEKAYRFEVFKSTVQYVEKFNAEQVKKYGCCKCTLGSNKFADLTAEEVSNKFCGKRSRQC >OGLUM01G44790.1 pep chromosome:ALNU02000000:1:42281194:42284057:-1 gene:OGLUM01G44790 transcript:OGLUM01G44790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43200) TAIR;Acc:AT2G43200] MPLSATDAAAAAVKPLPRALSLAGAAVAAATTSLILISVVVSRAHHSASSSSSSPPPSSSASTVAPLPAPPTPSPVPEHLHHHPPPPPPCRVPPPPGYRQPMAWPARRDRAWYANVELPPLAPAKLAGPPDPVRARGDWLVFPKGVGTYVEQLAGMVPLRGGEVRTALDVGCGVASFGDYLLNYGILTMSIDRRNRHKAQVQLALERGLPAMIGALGVRRLPYPTRSFDMVHCAGCLVPGNSHDELYMLEIDRLLRPGGYWVLAMPPISWKTQYDDLNRTAKGMPGEQLALEEIVKKLCWSKVSENGTIAVWRKPINHIQCEQDAKLLRYVNTSMCLTRLPRDIAGGAVEKWPERLTAIPPRIASGETKGMPIQTYKLDSLDWKKRVDFYRTYLNLSDGSYRNVMDMNAGFGGFAAAMSEYPVWVMNVVPANLTDNTLGIIYERGLIGTYMDWCESFSTYPRTYDVLHANGVFSLYMDTCGIPYIMLEMDRILRPGGAAIIRDAPDVVHKVKDAADRLHWHSEIVDTENGGLDPEKLLIVDNSLPFPDHPA >OGLUM01G44800.1 pep chromosome:ALNU02000000:1:42291584:42295762:-1 gene:OGLUM01G44800 transcript:OGLUM01G44800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MAGVTFNWIKTPLDIRRFHDFSSLSFRCRNTFGSIQPSWLTTDQEPSFSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDECKREPAPTSGTNGLIDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDKCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIMRMLFPRRKNVKPSTEDEC >OGLUM01G44800.2 pep chromosome:ALNU02000000:1:42291582:42296145:-1 gene:OGLUM01G44800 transcript:OGLUM01G44800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDKCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIMRMLFPRRKNVKPSTEDEC >OGLUM01G44800.3 pep chromosome:ALNU02000000:1:42291584:42295762:-1 gene:OGLUM01G44800 transcript:OGLUM01G44800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MAGVTFNWIKTPLDIRRFHDFSSLSFRCRNTFGSIQPSWLTTDQEPSFSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDKCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIMRMLFPRRKNVKPSTEDEC >OGLUM01G44800.4 pep chromosome:ALNU02000000:1:42291582:42296145:-1 gene:OGLUM01G44800 transcript:OGLUM01G44800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MPKYFWINPTFLADNRPGTIFFQNYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDKCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIMRMLFPRRKNVKPSTEDEC >OGLUM01G44800.5 pep chromosome:ALNU02000000:1:42291584:42295762:-1 gene:OGLUM01G44800 transcript:OGLUM01G44800.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59300) TAIR;Acc:AT3G59300] MAGVTFNWIKTPLDIRRFHDFSSLSFRCRNTFGSIQPSWLTTDQEPSFSKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERPKKKDLSLTDVETARTVVEANSKGLLVFPARVHNEPHGHVAWSDFQYIVDDYGDIFFQVPDSENILEDDAANNPVTVLIGMDGPIIGETSVVTSDFSDYMDVENFIDMPDENDSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDKHREKMDSPSNGVSIVGYLRPAFIEEESYLRSLFHGECNGDGYSSDWRDDDKSRFDFTNVGSSTDSTIYKLEIMTVELFSIYGKQLMIDPQDFQDAEPDILANSASEIINRIKENDDKCAMALRSLCHRKKGLTVEEASLISIDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIMRMLFPRRKNVKPSTEDEC >OGLUM01G44810.1 pep chromosome:ALNU02000000:1:42298750:42300637:-1 gene:OGLUM01G44810 transcript:OGLUM01G44810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSMRGQPKGIPWLVLAMLIATFAMLTEGATSLSPPSLSLTPTYAPVIKVIGKVYCYRCFNEAHPEESHGKEHLKGAMVKVTCQANDQALVGFGYTQDNGKYSVSITGLPLSSTYGADSCKVELHSAPGGSDCNVPIELNLSGLSVYSKSNEEVVLQANQVMAFASQKTFGFCSKPHIQPPIFPYNSPPPSPYQYPSPPFNYKSPPLPNQFSPPPFNKFPPPSHQYPSPPQSSYHSPPPYQYTPPNSYQAPPTSYNHPPPPYGYNSPIPPTNKYLPPPYYFNSPPPQYQHSPPANSYVSPPLAHQYPPPPYKSPPIPPYYFNSPPANHYSPPPYNFGSSPPTYQYSPPLLPKTPKYLPPKVPLEMSPPAHATSPQPLVHYSPPPPLQHAGISSTTPSVNSYQSPPPVNQLS >OGLUM01G44820.1 pep chromosome:ALNU02000000:1:42302880:42306562:-1 gene:OGLUM01G44820 transcript:OGLUM01G44820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNWLAFSLSPQDQLPPSQANSTLISAAATTTTAGDSSAGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGISFSEQHHHGGKGGVIPSSAAACYASSGSSVGYLYPPPSSSSLQFADSVMVATSSPVVAHDGVSGGGMVSAAAAAAASGNGGIGLSMIKNWLRSQPAPQPAQALSLSMNMAGTTTAQGGGAMALLAGAGERGRTTPASESLSTSAHGATTATMAGGRKEINEEGSGSAGAVVAVGSESGGSGAVVEAGAAAAAARKSVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVNNYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSAALPVGTAAKRLKDAEAAAAYDVGRIASHLGGDGAYAAHYGHHHHSAAAAWPTIAFQAAAAPPPHAAGLYHPYAQPLRGWCKQEQDHAVIAAAHSLQDLHHLNLGAAAAAHDFFSQAMQQQHGLGSIDNASLEHSTGSNSVVYNGDNGGGGGGYIMAPMSAASATATAVASSHDHGGDGGKQVQMGYDSYLVGADAYGGGGAGRMPSWAMTPASAPAATSSSDMTGVCHGAQLFSVWNDT >OGLUM01G44830.1 pep chromosome:ALNU02000000:1:42317685:42326546:1 gene:OGLUM01G44830 transcript:OGLUM01G44830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVATIVQCSTGSDVLRWRSFYASHDIAWRAHYREVFDHGIREVLCCLGRVKYSSVLEEDDICVVAKLLGDLMAYRASGTGHLELIAGFSLLQKFKSSTVVLKEQAEAPQDLIKEAVLFHPFAEAAYTGPLLDFGRNPFIFPCVWLNRQGVMTPWTRARRPVLEGDNWWRGHATAFLKYVSVPPEVLIKGRVSQARREAAYFVVVLHDLKTLVIAIRGTETPEDVITDGLCRECSLTVDDLDGLINSDQLPLQVKDAVISSFPHHGHAGMVESARELYAKLEGLPIHQDKPDAVPAGFLSSLLGAGCECHGYNIEIVGHSLGGSVAALLGIRLYGRFPKLHVYAYGAAPCVDYVIAEACSQFVTSIVHNDEFSARLSMNSIIRLRAAAVRALSKDALPTSTKVGKLVAGIVRTKGYNRNVADHRQSTGALQIVNEAKLRNDRIHGNNLMHTIRGGVFLLGKAISCLVNTPKYRVSSTAAINYELGSRSRMNILGDRGNCMAASCSVLDVPHFGEPSNACGNGKSSEDDLNECSREFRLPHSNDGTKLSIDPNDLRTISLPEGQSPEVYLPGLVIHLVPVKNHTSPFQKTPVTRHKSRKNKSYKAFIANRQDFMDLVVTPRMFLDHLPWRCHYAMQRVIETRKRDMLIHDSSTRDDTV >OGLUM01G44840.1 pep chromosome:ALNU02000000:1:42338629:42339942:1 gene:OGLUM01G44840 transcript:OGLUM01G44840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRQCAAACRTGGGGGVVRCRAVAAAGGAVAVRDAVVAPVARRGAARKTAETVAGMWREVQGCGDWEGMLEPAPHPVLRGEVARYGELVGACYKAFDLDPASRRYLNCKYGRERMLEEVGMGGAGYEVTRYIYAAADVSVPTMEPSTSGRGRWIGYVAVSTDEMSRRLGRRDVLVSFRGTVTPAEWMANFMSSLEAARLDPCDPRPDVKVESGFLSLYTSADKTCRFGGAGSCREQLLREVSRLVAAYSGGGEDVSVTLAGHSMGSALALLSAYDLAELGLNRAAPVTVFSFGGPRVGNAAFKARCDELGVKALRVTNVHDPITKLPGVFLNEATAGVLRPWRHSCYTHVGVELPLDFFKVGDLASVHDLATYISLLRGADKKQPAAAAADAGGVLAKVMDFVGRRRGGGGGGALPWHDAAMIQMGGLVQTLGLI >OGLUM01G44850.1 pep chromosome:ALNU02000000:1:42357233:42358555:1 gene:OGLUM01G44850 transcript:OGLUM01G44850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPTTLELPSTMPPAAAAAAATRHRHARPAGHLRCAADAVSTAPAERTAGRVIATSSRTASASAASGSVARVWRKVQGSGDWDGMLSPLHPVLRGEVARYGELVGACYAALEEDPSSPRYMNCKYGKLRMLEDAGVAGAGYEVTRYIYSSPDAAVPGMEASTSGRASWVGYVAVSTDETTRRLGRRDVLVSFRGTVTPAEWMANLRSSLVPASLAARGGGGGDVKVESGFLNVYTSADETRRFGCADSCRDQLLREVSRLFAASRSGGEDVSVTLAGHSMGGALALLLAYDLAELGVAGGAPVTVFSYGGPRVGNAAFKARCDELGVKVLRVANARDPVTKLPGVFLNEATTRSGPLAAMRGACYAHVGEELALDFVNLGDLASVHDLGSYVASLREGVVTDAEAATGGVLAMAMELVGRQWQSKDAARGMVQSTGLI >OGLUM01G44860.1 pep chromosome:ALNU02000000:1:42372857:42380875:1 gene:OGLUM01G44860 transcript:OGLUM01G44860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRMTAPHGGMPPPPMPAAGGLARYGSAPGSLLASIADSVIRGGGVGVVDQLHHHQHQHQLPPPPPPQQQQMVGRYFSAESSGLTSCESSCRTTTTTSTAAAADVGRHPLERAYGGSGEIHVDASSAAVPLFRHSSSPAGLLSRLMADPHGNGMAATRGMGGYSGGGGDAGAMAHRRLSSQWSFSRQDLPQISEMGGLIPDIGESIVTGGGGNSSSDGAGHGAQSSSFLSSRNFSMSSWDDTNSIMFSPPSSSKKARVAAAAAGDHGDDMVSSFSNIDSQFGLSKQSSLEMAGMDDFLQLQPDSVACRARAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDIAVTYIKELQGQVEKLKHDQANCTCSGKHDC >OGLUM01G44870.1 pep chromosome:ALNU02000000:1:42378662:42380996:-1 gene:OGLUM01G44870 transcript:OGLUM01G44870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKQSPSDSIQKELADELRSKVADEFVRRRGDTEWFLEGDFESYVRQMRKPHVWGGEPELLMCSHVLRMPITVYMYTKGSDSPRIIAEYGQEYGKDNPICVLYDGYGHYDALQPSLERNTDNRRLRYLRLFSRTVSRSSAT >OGLUM01G44880.1 pep chromosome:ALNU02000000:1:42383133:42386626:-1 gene:OGLUM01G44880 transcript:OGLUM01G44880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIQ7] MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTLDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANSESGTLDDSSCYDFDMNEGYRNLLLMLHEKTNLHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPVPQQAQQQQQQQQQAGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLFTHADTCLQEAQLLKKFNIRQLKKDMEAKPVKTMT >OGLUM01G44880.2 pep chromosome:ALNU02000000:1:42383133:42386626:-1 gene:OGLUM01G44880 transcript:OGLUM01G44880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIQ7] MDAMEAEEGPFLANDAKLHAGMYRAFHPAVSKLVAIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADCSRLYLAITAETVLLKFEKARTQLQESLRRVEGIVTEEIGCKIVEIIAELEEVVFTLDQSEKEAGDEVINLLQRNRKMNSSSDSGELEVFHMAALKLGITSSRAALTERRALKKLIEKARSDEDKRKEYVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMEDMYGPYGNGRAFSRQLSSIQSFNSRFGSFNSRLGSFNCRRSGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLSHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLALSDSEATGCVSLESFDSINVKSVKVVPLDNVRKEEPANSESGTLDDSSCYDFDMNEGYRNLLLMLHEKTNLHIQCRLVEQIRYLLKDDEEARIQMGSNGFAEALVQFLRNAVQDGNEKAQEIGAMALFNLAVNNNRNKGLLLSAGVIDQLEQMISNPRLSAPATALYLNLSCLPEAKNIIGSSQAVSFLVDRLFSHEARDTKGSSCKHDALYTLYNLSNHQASVPSLLSAGIVEALHSLLSESPASEGLGWTEKALAVLISLAATQAGRKDIMSTPGLISTLAMLLDTGEPTEQEQSVSCLLVMCSADDKCIAPVLQEGVVPSLVSISATGTGKGKEKSQKLLKLFREQRQRDGAQPVPQQAQQQQQQQQQAGETGNGTMVCRRDSKQLCKSKSRKLGRTLSSLWKNRSFSLFTHADTCLQEAQLLKKFNIRQLKKDMEAKPVKTMT >OGLUM01G44890.1 pep chromosome:ALNU02000000:1:42400706:42405332:-1 gene:OGLUM01G44890 transcript:OGLUM01G44890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLRRASLRRAVAAVAAAAAPCPESYKQGICGSTFHCRYFSSKAKKKTKSSGTDSGEENLSKKDLALHQAIDQITSAFGKGAIMWLGRSEGRREVPVVSTGSFSLDLALGTGGLPKGRVIEVYGPEASGKTTLALHVIAEAQKHGGYCAFVDAEHALDPALAESIGVNTSNLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQIRSKVTTFGGFGGPMEVTSGGNALKFYASVRMNIKRIGLVKKGEETIGSQVLVKIVKNKHAPPFKTAQFELEFGKGICRSSELIELGLKHKLVKKLGGAFYSFNEESYRGKDALKSYLNENESIAKELETNLRKLMETQAPKKQEDEGDFLSDLPEESLATETSSEEELAAVIEA >OGLUM01G44900.1 pep chromosome:ALNU02000000:1:42405949:42408664:1 gene:OGLUM01G44900 transcript:OGLUM01G44900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEWDDRKARGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >OGLUM01G44900.2 pep chromosome:ALNU02000000:1:42405949:42408664:1 gene:OGLUM01G44900 transcript:OGLUM01G44900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPLLAHLAPSSVAPAAFGARPARRRFVAERKTGMGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEWDDRKARGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >OGLUM01G44900.3 pep chromosome:ALNU02000000:1:42406109:42408664:1 gene:OGLUM01G44900 transcript:OGLUM01G44900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRSFDLSCSLRKEEENGTNLSPFVRKLFKEWDDRKARGLFHHDISSCETKVLPGEHNFVATLIEGRDQKKRPTQFGMNQVLQPFDSVKFNFTKVSPEEVIFTFKESQNDSVKYFDNVPHAVAASPTAILINVSPIGYCHVLLIPRIQDCLPQRVDKESFLLAMYVASEAKDPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKAPTEKLTTLGNGVSIIQLVQYPVSGFVFEGGACLEDLSDVVSKVCIFLQENNKPFNALISESGKRVFLLPQCYAEKQALGRASQEFLDMRINPAVWELSGHLVLKRRKDYDEASEATICRFLVEASLSESEFQELKSCILEFLSSAAPEE >OGLUM01G44910.1 pep chromosome:ALNU02000000:1:42414661:42423411:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGSPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQLHSWFKTTFTPATWVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSTAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGSAPLGREVSQRFATVFPSSTGPVATMAGPEELAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVGLCLTLSYMGIFFSGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >OGLUM01G44910.2 pep chromosome:ALNU02000000:1:42414661:42423411:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGSPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSTAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGSAPLGREVSQRFATVFPSSTGPVATMAGPEELAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVGLCLTLSYMGIFFSGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >OGLUM01G44910.3 pep chromosome:ALNU02000000:1:42416748:42423411:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSTAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGSAPLGREVSQRFATVFPSSTGPVATMAGPEELAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVVHLGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >OGLUM01G44910.4 pep chromosome:ALNU02000000:1:42416748:42423411:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSTAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGSAPLGREVSQRFATVFPSSTGPVATMAGPEELAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVAMWVILKQLQQRSLQTADGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >OGLUM01G44910.5 pep chromosome:ALNU02000000:1:42416748:42423411:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVGYVGDDEATAATVDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILQSHPGIADAAVIPYPDEEAGELPMAFIVRQPGSNITKEQVMDYVAKQVAPYKKVRRVAFVTAIPKSPAGKILRRELVQQALSMGCRVQTLSLSHSLALAIAVAGVCRGAAHRGFISGVSDPRHGHMSKRSPPPQRAHIPMAEQRWRPPYAYASSTAGGGGVDRRSRSGFCAATRTFHSLRSVGPLPPEELPLTVAAYAFSLLSSAPPLVVAGRGPALVDAATGIAVSYPAFVARVRFLAGGLWCSLGLRPGDVALVVSPSCLDVAVLYFALMSIGVVVSPANPASTADEYAHQVRLSRPAVAFVAPEVAARLPRHVSRVVIGSEVFDRLASASAAGGWAAPPAVAMKQSSTAALLYSSGTTGRVKAVAITHRNLIAQISAYNAIRETVAREAATDAGKGKPPPPSPPAAVTLFPLPLFHVMGFGLLTRTISSGETAVVMRRFDLAAAARAVERYRVTKLSAAPPVVVALTKSDEARRRDLSSLVAIVVGSAPLGREVSQRFATVFPSSTGPVATMAGPEELAAYGSVGRLAPRVQAKIVDTATGEALGPGRRGELWIRGPVGLCLTLSYMGIFFSGYVGDPEATAATITPDGWLKTGDLCYFNEDGYLYVVDRLKELIKYKGYQVPPAELEHILQSRPEIADAAVVPYPDEEAGQLPMAFVVRQPGAYLTEQQVMNCVAKHVAPYKKVRRVAFVNAIPKSPAGKILRRELVLQAMASTSRL >OGLUM01G44910.6 pep chromosome:ALNU02000000:1:42414661:42416742:1 gene:OGLUM01G44910 transcript:OGLUM01G44910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAPPPPPPPAGIDSRSGFCAATRIFHSTRAPGDLPPESLPMTAAAYAFSLLSSSTLPGSPALVDAATGIAISYPSFLAAVRSLAGGLWCSLGLRPGDVALVVAPSRLEVPVLDFALMSIGAVVSPANPVSTPEEYAHQVALSRPVVAFAAPEVAAKLPGHVRCVVIGSDEYGRLAASDGRRAAAPAAVAVKQSDTAAVLYSSGTTGRVKAVAITHRNLIALMSLHADNREKVAREAAEAGEEPPPPAVTLLPIPLFHVFGFMMVLRSVSMGETSVLMERFDFIAALRAIERYRVTLLPAAPPVLVAMVKYEEARRRDLSSLLVIGIGGAPLGREVAEQFASVFPNVELVQGYGLTESSGAVAATVGPEESKAYGSVGKLGSHLQAKIVDPSTGEALGPGQRGELWLLDG >OGLUM01G44920.1 pep chromosome:ALNU02000000:1:42424455:42428911:1 gene:OGLUM01G44920 transcript:OGLUM01G44920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSLAGGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCVVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGELVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFSEVLCLTSRIQTLEKPLLLLLKSPSNASNSHPPSNTASYRT >OGLUM01G44920.2 pep chromosome:ALNU02000000:1:42424455:42428477:1 gene:OGLUM01G44920 transcript:OGLUM01G44920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G24340) TAIR;Acc:AT1G24340] MPSIAGAGGGRLFSVNGAAAYWGSSRRRLLLLQRPLFSSLAGGGTGDDVTPHLPVVIVGAGPVGLVLSFLLTKFGIKCVVLEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILDFDKIISPISVAHFSQHKLVDLLLKKLDGIGFQTCLPGDIGSSTQDLVLENKILMGHECSSVQLTDKGILIGASFNEAGRMQERKIHCGLLLGADGARSTVRELAGIHMKGQRDLQKLVSVHFRSKDLGKYLSRERPGMLFFIFNPGAIGVLVAHDLEHGEFVLQIPFYPPQQMFEDFSTKICEEIIVKLVGWEPSDVQVLDIKPWVMHAEVAEKYIGCDNRIILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLQNGVASPSILQTYESERRPVAIFNTELSVENFKAAMSIPAALGLDPTVANSVHQVINSSLGSVIPRNLQKSVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGKSLQLQFPAEDLGFRYELGELVAEDCAETTYEAEKQKHSKRSREYIPSAKAGSRLPHMLMRALSTSNEGVFSTLDLVNGDKPEFALIIAPLKVSYELARAMLKIADELKLPTKICVIWPQGSVDAKVKGSRSELAPWTNYVDVEEVPRAPVNSWWEMCQITSKSVILVRPDEHIAWRTESDRVTDADSEVRRVFSEVLCLTSRIQ >OGLUM01G44930.1 pep chromosome:ALNU02000000:1:42428895:42431081:-1 gene:OGLUM01G44930 transcript:OGLUM01G44930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGDGGASSAGFSYFAVFHNYPLVAALLGFAVAQSIKFFVTRYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFGCALFATAAIFASVVLNQIVCELPSEHPLSETRPLRELLGHTPTQVVAGALLGSMLATAGQMFLVVSGSV >OGLUM01G44940.1 pep chromosome:ALNU02000000:1:42432400:42436818:-1 gene:OGLUM01G44940 transcript:OGLUM01G44940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G48500) TAIR;Acc:AT3G48500] MAATPATATFPHLGLRPKPLLRRRLRRIAVSVSPSGPDETPADDPPVIPSILVKNTEPEDVARRRSWVEHGWAPWEEIMTPEVAFARHSLNEGEEVPLQTPESQEAFRMLTPAYREKVESEPGYEERLFATRDTPEPLETSWAGELPLRLVPPRDWPPPGWEVDPGELEFIREAHREFTERLDMAAVAAKGVTHVDKVENAPDDLGLDRYKMFLKQYKEWVEANRDRLEEESYKFDQDYYPGRRKRGEDYKEDMLELPFFYPGQICRGRVISVHLYQGAFVDIGCVHDGWVPIKGNDWYWIRHHIRPGMEVHVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDYPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWLWHNAEQMILDHEEENPDKFKDTTYESTVDSSIVHEENRVQHTEGYFKDTLLKKEVVNIDIKELDLDAARAERQLIKKLRKEAEERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKAFGLPVDEPGRYDVDETEVYGKDYYDPSKPLYRYDYWGEPKNTEKTKLEREVELHNQQVIGDAKKWCEMSYDDYVRQKVRMEAAEARERQRKASEPQEEDEEYEDEMDLDLKKMMDPQAPHNRMQNSVGIGK >OGLUM01G44950.1 pep chromosome:ALNU02000000:1:42438051:42444290:-1 gene:OGLUM01G44950 transcript:OGLUM01G44950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSKANQQDQNQPLLVREDSDDSSTDRFSNSGWWSCLTFQWLNPIFEKGHKVRLELDHIPSVPQSDTANQSYALLQETLHKQKPEPMPMRRAIICAVWTPLIANGVFAGLNTIASYMGPFLITYLVELLSDKNPDKGHGHGYMLACLFFASKTVESLSQRQWYFGARRIGFRVRAALMVSIYQKSLLMKNSSTASGKIVNFLDVDVEKVSEFFWYVHGIWLLPLQISLALAILYRSLGAMASLSAVLATVLVMVSNTPLAKSQENLNMKIMEAKDSRIKAMAEAMKSMRILKLHAWETAYFDKLLKLRGVERGWLRKYLYTCSAIAFLFWASPTLVSVVTFGVCILVEMPLSAGTVLSAVATFRILQDPIYNLPELVSMVTQTKVSLDRIEEFIKEEHQGKPSRSDNNTRTKDLSMTGAMEIEPGVYGWEIDNSLKKTKFMLKIDRKLSISKGQKVAVCGPVGSGKSSLLYSIMGEIPRINGAETTVFGSRAYVAQSAWIQTGTIQDNVLFGKDMDRSFYEEVLHGCALDRDLELWANGDMTMVGERGMNLSGGQKQRIQLARALYSDSDVYLLDDPFSAVDAHTGAHLFKECLLRLMSSKTVIYVTHQLEFLRDADLVLVMKDGRIVQSGKYDDLVADRNGELSMQMAAHNQSLSQVTPAKAHVLTKNKSHKRRQTELTEIELDHNVIGRECEEERESGRVKWDIYRKFVNSAYGGALVPVILACQVLFQGLQICSNYWIAWAAERQEQVSREKMIGIFVLLSAGSSVFILGRAIVLSTIAIETAHQFFLGMTRSIFRAPINFFDSTPSSRILNRASTDQSTVDTDIPYRLAGLIFALIQLLSIIFIMSQIAWPIFILFIIIIAISTWYQSYYICSARELARMVGIRKAPVLHHFSETVSGAATIRCFNQGEKFFRKSLALIDDYSRITFHNSATIEWLCVRINFLFNLVFFVMLVILVSMPRNTIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSNITSEAPLVIEDCRPRESWPWCGTIQIDSLQVRYNLDMPMVLKGISCTIPGERKIGVVGRTGSGKSTLIHALFRIVEPSEGRILIDDVDISLLGVHDLRSRLSIIPQEPTLFQGTVRTNLDPLQQHLDTEIWEVLHKCRLEEIVREDSRLLDAPVVEDGGNWSVGQRQLVCLARVLLMKKKILVLDEATASVDTATDNIIQKTIRQETNNCTVITIAHRIPTVIDSDLVLVLGEGKILEFDSPENLLRDESSAFSKLVMEFVGRSS >OGLUM01G44960.1 pep chromosome:ALNU02000000:1:42451513:42458981:1 gene:OGLUM01G44960 transcript:OGLUM01G44960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT1G67690) TAIR;Acc:AT1G67690] MSLRRRERRVIAAAGAAALVAVGLNLAFSAVAAHRRRKRRELPGFTAQVNLSAADIKRLADRVVSKSKETYDAVAAVPLDKVSFSNVIAPLAELDAQQFPLVQACVLPRMVSPSDDVRRASAEAEKRLDSHFQQCRQREDVYRVIKAFTQKGERIGLEATRFVQCMVREFERNGAKLTQSKKTEMEKLKSHIDDLSLKYIQSLNDSTKFLLLNEEDLAGMPLEFLKELENTNGKWKVLLTSYHVTPILEHCKVGSTRKLIAVAYGQKGGKENIAILEKLVQLRHRLARLLGYPNYADYAIEPRMPRTSRKVLEFLEEMSEQLNGLANRELSVLKDLKMKEEGDAQFSVEDLLYYMKRAEELKVDLDIGEIKQFFPVDLVISGILKMFQDLFALRFEEMKDAETWHDTVRLFSVWDASSSDLLGYFFLDIFSREGKYAHTCVLALQNGCLCSNGTRKVPVAVLLSQCPKEFDGNSALLRFPEVVRIFHEFSHVVHHISNRATFSRFSGLQLEGDFAEIPSLLLENWCYENISLKMMSGFHQVMQDITKSITSEACQSLKRRRDIFAGLKLKQEILLCLVDQIIHTGENVNIDDLIKDLHPKVMLGIPLLEGNSPASCFPRIAIGYDAVCYSYIWSEVFAADLFASKFKDDLLNQHAGLRFRNMVLAPGGSKNPLDIISDYLGREPSLQAFIQSRTRNSL >OGLUM01G44970.1 pep chromosome:ALNU02000000:1:42459462:42461726:-1 gene:OGLUM01G44970 transcript:OGLUM01G44970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVGVKIYSVFFKLLLRHKLQSLAAAAAAAAAGGEDDAAAFGVSCRADEATAPSNPAFSAADGVASKDLHIDPNSSLSVRIFLPTPPPRPHSRRASEPPPATANGGSAPYRGYLPHAVSSPRAAASARRRLPIVVQFHGGGFVSGSSSSAANDAFCRRVAKMCDAIVVAVGYRLAPESRYPAAFDDGVRVLRWIAKQANLAMMSKVGGGVDTFGASTVEPWIAAHGDPARCVLLGVSCGANIANFVTRKAVEDGKLFDPIKVVAQVLMYPFFIGSVPTHSEIRLANSYFYDKSTCILAWRLFLSEKEFSLDHPAANPLAPDRGWPPLKCMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLDYKDTVHEFATLDVFLKTPQAQACAEDIAIWMKKYISLRGHEFSY >OGLUM01G44980.1 pep chromosome:ALNU02000000:1:42468036:42471669:1 gene:OGLUM01G44980 transcript:OGLUM01G44980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHMLSRMGAVNNFMQYTAYFGVSTNLVNYLKYRLHEGSKSAANDVTNWQGTGSITPLVAAYLADAFLGRYWTILLFMAISVLGYGVLAASAAAPALLHGGAAAFYAGLYLVALGSGLLVVMAPFGAGQFDEADEGERRRQSSFFNWFYLSLNFGSLVGGTVLVWVQTSVGWGIGYGVPAIFSALSVAVFLAGTAAYRRCQPPGGSPLSRIAQVVVAAARKHDVEVPADASLLHECCDAVDGMSAIQGSRRLVHTGQFRFLDKAAVETAGDKAEPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFVLQGDTLDPRIGGFKVPAAVLSVFDTLSVMLWVPLYDRAIVPLARRVTGHDRGFTQLARMGVGLVILTVAMLVAGTLEVARRRVIARHGLYGDDGDGGYLPLSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSSTSFALGNYASSAIVVVVARATARGGRLGWIPDNINRGHLDDFFWLLAVLCVANFAAYLLIARWYTYKKTVD >OGLUM01G44990.1 pep chromosome:ALNU02000000:1:42473011:42475812:1 gene:OGLUM01G44990 transcript:OGLUM01G44990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGVSTNLVNYLKYRLHEGSKSAANNVTNWEGTGSIAPLVAGYLADAFLGRYWTIVLSMVISAVGYGVLAASASVIRLESAALYAGMYLVALGGVLEPIMAPFGADQFDDGEDDQRGRRQSSFFNWFYLSLNCGSLVGGTVLVWVQTSVGWGVGYGVPAIFSALSVAVFLAGTATYRRDQPPGGSPLTRIAQVVVAAVRKFDVEIPSDSSMLYESDAVDGMPAIHGRRRLLHTGQFRFLDRATVKTAGEKAAQSPWRLCTVTQVEELKCVLRLLPVWATGIIYAAAYTQVTTTFILQGDTLDRSLGRFKVPAAALSIFHTLSVILWVALYDRAIVPLARRVTRHDGGFTQLARMGVGLDQAPDAMRSLCSALSSTSFALGDYASSALVVVAARRGGAPGWIPDDINRGHLDYFFWLLTALCVANFAAYLLIARWYTYKKTVD >OGLUM01G45000.1 pep chromosome:ALNU02000000:1:42492235:42492516:-1 gene:OGLUM01G45000 transcript:OGLUM01G45000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDNFGSPYQQAWPPAQTTVDVVGSVETCKQKVEALEAIETPARRRQRRFGKVRVRGLVVTVAVAVTVAEEGRS >OGLUM01G45000.2 pep chromosome:ALNU02000000:1:42491888:42492230:-1 gene:OGLUM01G45000 transcript:OGLUM01G45000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAQLLVACAEAVVCAATARRRQRCRPARPCTARRSSASHTSSCRSSWTGEHPPALGPASMAFCILRRARGRRDGVSGEAFRTCGSRTSWRTRARCKPSRERATTTWWTWA >OGLUM01G45010.1 pep chromosome:ALNU02000000:1:42501233:42501506:-1 gene:OGLUM01G45010 transcript:OGLUM01G45010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDKFSSSYQPSWPPAQATVDVVGSVETYAARQEEEKVEALEAMETPATAPLREGEGQGVGGDGGGGRDAGGGGEELTG >OGLUM01G45020.1 pep chromosome:ALNU02000000:1:42504819:42505541:-1 gene:OGLUM01G45020 transcript:OGLUM01G45020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSPQMHKTKKLVRVRKQVEVVLPVEVLPPLPPLAVICKHKEHVWITQDDYTFRLLDINLVLTLAAVGCAGLGFFLFPRNRALGMALAAAFFVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKDGRLAAVADIEGDTTFYLLTSRGIIPTGYDVVVHTAKPCVTCV >OGLUM01G45030.1 pep chromosome:ALNU02000000:1:42507221:42508033:1 gene:OGLUM01G45030 transcript:OGLUM01G45030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARASGKPARVRVTGVGARMDTMRAIGRELQAYAEGLGMYLEFRGINRGLESLHIDDLGVDADEAVAINSVLELHSVVKESRGALNSVLQTIRKLSPRAFVLVEQDAGHNGPFFLGRFMEALHYYAALFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGAARVERHERADQWRRRMSRAGFQSVPIKMAAKAREWLDENAGGGGYTVAEEKGCLVLGWKGKPVIAASCWKC >OGLUM01G45040.1 pep chromosome:ALNU02000000:1:42508737:42508964:1 gene:OGLUM01G45040 transcript:OGLUM01G45040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSPQMQKTKKLVRVRKKVEVVLPVEVLPPLPPSLAIICKHKVHEWITPEDYTFRLLDLNLVLTLAAIGCAG >OGLUM01G45050.1 pep chromosome:ALNU02000000:1:42509000:42509461:1 gene:OGLUM01G45050 transcript:OGLUM01G45050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAFYVGFFAHAKASMLLHWDVFPRFVLAILDRGRRLMAVACVAIVVVCVLRFGTQDGVRRATLFIWTVAMALAALTGWVLRVERRVSAAAEFVELDGDTSLWIIRKEGSLAAVADIEGDTTFYLLTYRGIIPTGYDVVVHTAKPCVTCV >OGLUM01G45060.1 pep chromosome:ALNU02000000:1:42516757:42517971:1 gene:OGLUM01G45060 transcript:OGLUM01G45060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGTDMTAALQALLDPTALSLGLPTPAINKEEYLAICLAALAGTRAKALVGVGRRAAGAGLQAVVPEARGGERRRAWRRRGLVATLRNIVRRPLAFPSASGSSGPADADACALIARLLAKDPAARCPRRRRRAAALVAVVQGGADNAATADDEGGLLRVQRGERGGGKEKKGEAGLLKWPPEMWGPRGFHADSSVTSNKTVSVR >OGLUM01G45070.1 pep chromosome:ALNU02000000:1:42520908:42522485:-1 gene:OGLUM01G45070 transcript:OGLUM01G45070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMVLVFHLLFVVDTEEHKSTLFVSVAGFVLDTVYLFLLGLRKSSQKFRCVGLFLMVISMFLFFVCSPILIYLIDLHFHVSKEQPLGALMYVMTVLTVITAGCSSLVGPVTATTRLHALSPHSISILYCSPSVFSSAARTPLTARGGGVLGVGAEVFLQPLDLGDTRMGIKEYDGSGDGAASGI >OGLUM01G45080.1 pep chromosome:ALNU02000000:1:42528207:42533142:-1 gene:OGLUM01G45080 transcript:OGLUM01G45080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVVRDVVEKQFGKSFDDIFECFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEKLMMVDIRNMQAFALFLQKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >OGLUM01G45080.2 pep chromosome:ALNU02000000:1:42528207:42533142:-1 gene:OGLUM01G45080 transcript:OGLUM01G45080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALAQLQDIGDRITDRLRPWSRSAEFWVRAADIYTSYKVCQLRAGFVKDEEEREAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPIDVKYDINFDLYSATKEMEKQICYEFDFVREAKAMERIREFLRVTNKKKPPVIVPRVIPEMVSREVLVMEFIEGTPIMNLGNEMAKRGIDPGGKIATMAKQKILTDLTLAYGQMILKDALLDYGQVKAMPEDLRLAYANLVIAMADDDFLRTKESFREIGIETWSIADNELEELFQLSLRMFDTRLPPGVTAMSPFAEDSSLNKVGVQSFPEELFSVLRTIQLLRGLTVGMGLRFSCAQQWKPIAEEALLNCKIKKAAEKFCQETVFE >OGLUM01G45090.1 pep chromosome:ALNU02000000:1:42533801:42536310:1 gene:OGLUM01G45090 transcript:OGLUM01G45090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAAVRRMHAAAAAAAAAQPPRLTKLALHPPRSVEVEFTDGSSFHLSAEFLRVHSPAADSKIISVSGEKVIFGRRYVGIMSAELVGNYGIRILFDDLHKTGIFTWDYLHHLGSKKFSLTRNYIRTLRKHGLSRDPQRRK >OGLUM01G45100.1 pep chromosome:ALNU02000000:1:42536119:42544649:-1 gene:OGLUM01G45100 transcript:OGLUM01G45100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKEICLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSIVFDNSDRSRSPLGYEDSPEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKEAALKTLEKKQNKVDEINKLLDEEILPALEKLRKERCQYMKWANGNADLDRLKRFCIAYEFVQAERVRDGALNDVKQIRAKIVELDESTEKLKSEIQEMDKNISNLAAEKEAKLGGEMKTLSEKVDKLSHALIKETSVMNNQEETIKSEEKGAEKILKNIEDIKRSIIERDTAVKNAEDGAADMKKRADDLTKELDESEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGEAESGLKQLTTKISHSEKELKDKKAQLVSKRDEATAAENELKAREKDLETVKASMQSVNYEEGQMEALQKDRSIELDAVQKLKDKIRALSGELANVHFNYRDPVKNFDRSKVKGVVARLIKIKDSSTATALEVAAGGRLYNVVVDTETTGKQLLQNGDLKRRVTIIPLNKIQTGTIPERVRQAARRLVGAENVTLALELVGYVEEVKNAMTYVFGSTFVCRNMESAKDVAFNREVGSTSVTLEGDIFQPSGLLTGGGGDLLRQLHELAKAEANLADHEKRLSVIEQKIAVLLPLQKKFTELKSQFELKSYDLSLFQNRVEQNEHHKLGELVKKLEQELQESKQELKAKQAQYEKSVSTVSELEKTIKTYGSEREGRLKALERKIKSLKSELQSMSKQLKAHESERERLIMEKDAVANELAMLEEQLTTSKAQIAAMTETLNRHQTKVASIKQDYDQAESELNIGRSKLKECDSQINSMAKEQQKLQQQLSDSNVERKKMENEVKRMEIEQKDCSSKVDKLVEKYSWIATEKQLFGKSGTDYDFVSCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKTVIEELDEKKKETLKVTWLKVNNTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTIHA >OGLUM01G45110.1 pep chromosome:ALNU02000000:1:42545803:42550045:-1 gene:OGLUM01G45110 transcript:OGLUM01G45110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAVVAALLLLWPSPVSSEAAAGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAADQLPSYRGLRIGHTLQVPSYSRHPRTGDWYPAINKPAGVVHWLKHSVEANNVDWVVILDADQIVRGPIIPWELGAEKGKPVAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRIGIDPLILHYGLPFKVGNWSFSKLEHHEDGIAYDCNRLFPPPPFPREVEMMESDPNVKRGLYLSIECINTLNEGLVLHHASVGCPKPQWSKYLSFLKSRRFSELTKPKHWNGQTIENRNIVQHVASLKEDHKLPKIHTLFSTECTPYFDWQTVGLMHSLRVSRQPGNITRLLSCSDEDLKNYKGHDLAPTHYVPSMNRHPLTGDWYPAINKPAAVLHWISHVETDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRRFAMLWLHKTEEVRADKAHYATNITGDIYSSGWISEMYGYSFAAAELGLHHIIRRDILIYPGYVPVPEVHYKVFHYGLRFGVGNWSFDKADWRNVDVVNTCWAKFPEPPDPTTVMKEDQAARERDLLSIACGRALNKALYWHHKSRDCPRPSPVDGASKKFQKVTASNDLGRGNTEQSFIHNIKQKSHIDVAKLKPIERVAATISSIHRARRLARSSKIWIIAVWAASVAVFLLVISMFFTDRRRSVSRSRISRSQKAHV >OGLUM01G45120.1 pep chromosome:ALNU02000000:1:42554279:42557813:-1 gene:OGLUM01G45120 transcript:OGLUM01G45120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 11 [Source:Projected from Arabidopsis thaliana (AT1G67710) TAIR;Acc:AT1G67710] MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEDQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHKRCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >OGLUM01G45120.2 pep chromosome:ALNU02000000:1:42554279:42557813:-1 gene:OGLUM01G45120 transcript:OGLUM01G45120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:response regulator 11 [Source:Projected from Arabidopsis thaliana (AT1G67710) TAIR;Acc:AT1G67710] MDATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLARVALDILRERKNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQILRNSFEGLDEKSLFMRSDSDTMRKRKDVDKDHADQESSDGNTVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGAARQDFSHKGTSENLNLRSSFQEQPSNIANGYPHASQNIQTQANMLDSQLEDTKSTVPLPVPDKKRTLASDAADSQNVTSASSLGGVLSFKSMPVNQDRKPSETMILECQAWTGGIPSKQFMQYPKHNHKRCDLLGDYSCLPKPDLEHPVGPSNLYAPPPLISMSCGMEGDARDFSDVKPAIMDCIKSLSPALTCTVDSVSVQLSDSVVTSIDGDLKSSGVDGLPSIKDCCLDQTNSQGSLRPSQEPSIIGSTELASLPEDLPSYPLHGVSLENIGLSSIDLLNYSDAMILSGLQSNWYDDLEFSSEMMDYPSIDECLFASS >OGLUM01G45130.1 pep chromosome:ALNU02000000:1:42567136:42567618:1 gene:OGLUM01G45130 transcript:OGLUM01G45130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTSYPPASAAPWIELSTTGLGYNTATGEHKVVRLFKKRNGGEYSCEVYTQGAGGWRRCVGRVPPCAANFLPALPPVFVHGYLYWLLRPAGPGEEPIHRILSFSMGAEQFGWVYVPPRARGCLPASTTSPTSTARCAPSSTTASSAASTGSSRGAARCS >OGLUM01G45140.1 pep chromosome:ALNU02000000:1:42569274:42572069:1 gene:OGLUM01G45140 transcript:OGLUM01G45140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAVGKAAPAKARLDIAENTIFQRNRGIWDAAAACTNERLLDAVDEILDLAEAQPFPAASAAAARLDSALRAAMSLMMDEFMCLRVWNASSHDLRLAVDRLAVGASANAMWQHTFPSTGDRSSSASTVGRASAGSPSSAPGDLVGFLDGEFLDELQLLCPASLLVLHEIAHRVIRAGYTKELVQKFTNSPCDVLDRFLSIFQGECSRRTTEDVIKRWSLATHLVGKALVVMQRQLYTHNSPGAFDALKDEYFLAITKNRILNLLKFADDFTSITSHEKLIYILGMYQALSEAAPGLLLMFTGPHKELVAERSEEILAKLAMSIRSMVASLIAKVRDDVSNTKNIVGVGVHPLTKYAVLCIERLAPHRDTLDLILASGGDDVASLSDLASRVVGSLEEKPVLPCDDDATAAATGSRHHLFHANNANFVLQSCKPLLGDEWAAARESVVERHVAGYAEACWAPVVACLEPAGRKPAAKVVAKFSAAFDRAYESQARCEVRDPALRDALRRAVSDKVVTAYGVYLKTHPKLEKKLRYTAGELGERLSELFEGEAAEHNK >OGLUM01G45150.1 pep chromosome:ALNU02000000:1:42572358:42574230:1 gene:OGLUM01G45150 transcript:OGLUM01G45150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAEYRLAVAEQVILGWHCARSSGADLAGIWDSDATCTNESLLSAVDEVLLLAEIHAFPMASAARRSMQDALDVAVSCLMEEFLRLKVWDASQMEVRLGVRFAVGKFSMPAADSGVSLSLAFPTTGSISTASTIDELYASGGSQSSRVGAVTMLLDGEFFDELDLISPASLSVLHQIALRVIRAGYTKELLHAFTNAPCDVLDRFLTILQMECAFETDHVSFEDAEWWTAEDMIKRWILATKLVAKALAVMQRQLQAQSCGAFDRFKNDYFMAIAKNSIFVLLRFANGFTTTEAPDKLVYVLEMYEALSNAAPGLLLLFTEQRVELVSRQVEVVLAKLARALRAMIGGLIARIRTADCPQTTGSAARGVGVHPLTRYAMTCVELLSPHRAALDLILANGAGESVTSLGSLVAVLVTSLERHLEEINPKLSNDDDDAAAAAAASRHLFLATNASYVARRAVDAGVEPLLGDGWAARRGSLIARYVASYVEACWAPVAACLETAGRKPVKVAAKFSSAFDEAYESQVHREIPDPALRDALRKAASEMVVPAYSAYLQNHPKLQKNVRHTAGELDRLLWELFEGEAAERNKLLL >OGLUM01G45160.1 pep chromosome:ALNU02000000:1:42580856:42584263:-1 gene:OGLUM01G45160 transcript:OGLUM01G45160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELIKVPKIEQEEGNADSHGKEKADVVHEEKTEKVKRRRKRVSDPQRKKACVDCTKRCIRIHGMASSSSEKARPTPTLPSFFKIMVGYFSENMDIPLPFARTITDMTGSNVYLEDAYGLRWRVRLYLHDDVLSFGHGWKNFVLDHDISVGEFLVFRQIARSVFTVQIFAISACERIHLCERNKRQSRKRKPGRKTGYPANNQMVKVSSKDVVKRRKKQRTDEQIYDLDPRQHDMPVRVCIDSGSELRCSESSVKELDAAPDKSHAVVQVPATECNADPSYNAAGMKTIKNLEAIGASSSTKDVTWDANKSEDYPSFSYPESSNVMTADKESERSHQDRPMQLYCELGLEDGNAETDNCENSNVLENAELRTPLAMMDLNEVGIDDIFLSADIYEFDSDFCSPEAFSVDVNTEGLVANGRTPGDCFGMPETSRCLENKQMTDVPRTSTDDGSIAVHGIDINALPSNTYPDIGQGNTYPDIDAAPDDCKKDKDVLHSECNKVAQKAHSSVKQDITKDGPRQIAAEIMSSGPKTCELTYVRKNSVQPGTSSVSQWNNSKGQESGGTKSCVVLAVAANSKKFCITIPPPDQTWLELPRRLPVLPRTKKQARKILILKDPSMRLWPVLYQCTPKFNGFIAGWADISRENNLREGDTCEFELCSNSELSFQVLVPNLQ >OGLUM01G45170.1 pep chromosome:ALNU02000000:1:42590832:42592512:-1 gene:OGLUM01G45170 transcript:OGLUM01G45170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAFAFGRYISPAADPCDCDLESKKEEKKILSPIRPTDEAVRGLSRARLITDASPIGQPGVWIIARFFQNNLAVIGTGALMGGWLWLATPADKSQQAWGAGDFGRSRWLRACFRSRNENFSVSHRIFDWIGRCFRTRMKKLIS >OGLUM01G45180.1 pep chromosome:ALNU02000000:1:42594203:42601003:1 gene:OGLUM01G45180 transcript:OGLUM01G45180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >OGLUM01G45180.2 pep chromosome:ALNU02000000:1:42593859:42601003:1 gene:OGLUM01G45180 transcript:OGLUM01G45180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGGRPLSLRGSLKALEADIHHANTLAHAIHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTNIERRASLKEFYAVIYPFLQQLEGNLMEKDCNEKGWCKEAASGGGGRKLYADDKDDEREDECGICLETCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVRHFHSFIDSLPLIVPDNLLLVYYDYLV >OGLUM01G45190.1 pep chromosome:ALNU02000000:1:42598780:42600662:-1 gene:OGLUM01G45190 transcript:OGLUM01G45190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YIV0] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFTTPGALQHLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTVEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLSIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVTEKVLAACYKALNEHHVLLEGSLLKPNMVTPGSESKKVSPQLIAEYTVRALQRTVPAAVPAIVFLSGGQSEEEATVNLNAMNKLSTKKPWALSFSFGRALQQSTLKAWGGKTENVVKAQKAFITRCKANSEATLGTYQGDAVLGEGASESLHVKDYKY >OGLUM01G45200.1 pep chromosome:ALNU02000000:1:42602696:42605850:-1 gene:OGLUM01G45200 transcript:OGLUM01G45200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTPTGEPPPSLSSSPMRQPSPVPPRPPALAPPQPPAPAPPQPPATAPPSLVPLLLLVPSSSSNEVLPTGENAHVLPMGEDAPMLRPSSSKEVVPVPIAVVAPSVGIQDGSSGPNQTQNQCALLPVTVTEASTPPPKAKAKGKNKAITALPDSPSMSTRSVN >OGLUM01G45210.1 pep chromosome:ALNU02000000:1:42606749:42611253:-1 gene:OGLUM01G45210 transcript:OGLUM01G45210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19880) TAIR;Acc:AT2G19880] MAAMEAAADAVLAAASRAFCGPAAVFIQIQGCLICLTLGLGWAVAALVRKKEIRRMRRRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGIVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVVVALRAPYSEIVKDASNSSCELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTVAQWHQPKKYDV >OGLUM01G45210.2 pep chromosome:ALNU02000000:1:42606749:42611253:-1 gene:OGLUM01G45210 transcript:OGLUM01G45210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G19880) TAIR;Acc:AT2G19880] MAAMEAAADAVLAAASRAFCGPAAVFIQIQGCLICLTLGLGWAVAALVRKKEIRRMRRRIVDGNSFAFLCDDVNELEHSVQEKLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKDDPAYHAVSRLIAEYKDKLEAKVVVAGLSTTCSQKIHNQLIGVEKMHKDSKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSIGFATGGKTFFLWGGCMMMHADDFRQDLYGIVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYVSKVNWMMNRALFASHCYLSWGFVWPYIMALVHVVVALRAPYSEIVKDASNSSCGQCMPFPLRSTKAVGYLFLVLTNARSSPCGLSSDFFVCSGLKLVCCLLICTLTELVSMWNLTKVEIQLCNMLSPEGPKVSLGSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERENKLKYTDLGGKHLYGKRTYPSKTSLLGYLSRTVAQWHQPKKYDV >OGLUM01G45220.1 pep chromosome:ALNU02000000:1:42618294:42626484:-1 gene:OGLUM01G45220 transcript:OGLUM01G45220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSALEYINQMFPTEASLSGVEPLMQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSESMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFTSLGTGKETEDATLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYKQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRAQLIDILNNLKEKPDVATLLLALQRTLEFEEELAEKFSGGVTTTRNKQSASDDENEDTGRNKIVSDIRKKYEKKLAVPNDEIGHDKDKQKDLSIPGAGFNFHGIISSCFEPYMSVYIELEEKSLVDQLDKLIQEEKWETEEGSQTYILSSSMQVFLVIRRSLNRCSALTKNETLFNLFQVFQRVLKAYASKLYARLPKGGTGIVAAATGTDGQIRTSDRDEKMICYIVNTAEYCHQTSGELAENVAKMINPHFADKVDISEVQDEFSAVIMKALMTLVHGLETKFDAEMVAMTRVPWSTLESVGDQSEYVNGISSILSSSIPVLGNLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPSLGKQSTAAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQTILEDFNKHSPAIKHPAVAPTVAPPVATSSAHHIAPVTTPTVSTTPSMATLTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >OGLUM01G45230.1 pep chromosome:ALNU02000000:1:42627970:42629418:-1 gene:OGLUM01G45230 transcript:OGLUM01G45230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPTIIPLRPNYSPPGDGAAFRREPATYSRFPPAAARNECDLTFGQERKREGMKGKPPISRKIIYNHRDFVSMGERFVALCFIFTMSPLIDATLFVRVVLDFVRREETRRDEMPRTKLVLKLIENEKKRKATFKNRRDGLKQKVSQFATLCGVEALLICVAPAVAGGEVTTWPPDRAAVLDLIARLRATPPEKIRQLHNTQSLLRDDLDKQQRLLLKVQKCGTDDVLTPWHCSLYDLSLDGLNALHDTLSETLDRAHRRMAALGGGHGHVHDDAASSSAFSVPAPAPHAVALPDNAFDFPFAPSNTGPVVGAHYFYPLHDTLPLPPPLPQQVPGQHPPCIAYQMPPPPCLAYQMPPPPPSLAAAPFDQCMSATGFMDSNPYATHIMHGGSTAAGLLDDHGQIFSAGAGYDDDDILGHGFGFAAGTGYDLDPRMATADVWPMNTLNNIPNDGGIGFQLQNDLKWMLPGGSNGSNLQGGFQI >OGLUM01G45240.1 pep chromosome:ALNU02000000:1:42632382:42633684:1 gene:OGLUM01G45240 transcript:OGLUM01G45240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMEPLLRPDPMSSVKLLLFPQAPSFPVGKITSASLEANDKKRRNLTICHSIGVALTECHCMELPYKNATEHASFNVELGAFGLARLVSNGRRSALPLDATDGELAAGLRPCIGVLFSRDTMLGMGDGAAAGREDAARRRAGVGVAPPGRRGGRPQAESAAEREGPERRRRWGQEGIPAAASASGPPPHTPPPSPSPSPHPPPQPRPPPLRLAPAAAEIVVVVVAAATSVGLRGRDAEQPGDSPCLLLRHLSHGPRPCPRHRARSPPPQPPRSEFAGGGREGEGAEEAQGGDELDGEARAEHLEVAGEAEEGRDVWPCARKGKSTTCGLLASNFSKVAFY >OGLUM01G45250.1 pep chromosome:ALNU02000000:1:42635152:42640642:-1 gene:OGLUM01G45250 transcript:OGLUM01G45250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT5G63200) TAIR;Acc:AT5G63200] MLADLNVDPPESDGEDQPPTPNPNVNPATAAVTAATVVAIDSSTRSSNDEGSLAKSVITTKEPDTVECEDADQHCQGASVAREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQPQKATAAYEKSSEILLQDEEEVRRPDLLSSVRIHHAQCILQTSMGDTFDEELESGELDEILVKMKSSVESDPRQAAVWNILGLVLLRSGQLQSAISILSSLTVVAPDYLDSLANLGVAYIQSGNLELATKCFQELVIKDQNHPAALVNYAALLLCKYGSFPAGSGGNVSAGSCLHQKEGLAVAKECLLAAVKADPKAASVWVNLANAYYMAGEHRNSKRCLEQAAKHEPSHMPARYAIAVHRIRDAVRSQCSDDQLLWASNEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEQINLSDVEERALYTLKQAIQEDPDDAVQWHQLGLYNICTTQFSRSVNFLKAAVARSPDCSYVWSNLGIALQLSDDSSCETVYKRALILSSSQQSYAILSNLGILYRQHGRYELARRMLLRSLELCPGHAPANNNLGLVSIAEGRYEDAISCFEKSLQSDPLLDAAKSNLAKVLALSKKQ >OGLUM01G45260.1 pep chromosome:ALNU02000000:1:42642349:42642534:-1 gene:OGLUM01G45260 transcript:OGLUM01G45260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMLACSRAAARDADAASSRTAVGTAPAGVTGSAPTCSRAAAGAEASPGAGLLREAAPDA >OGLUM01G45270.1 pep chromosome:ALNU02000000:1:42649484:42650779:1 gene:OGLUM01G45270 transcript:OGLUM01G45270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIPSPRRLFRSRSKSIGGAGGGVGADICAMVAEHEKIEWEVRPGGMLVQKRRAPEEQDDGSSSMSAHSGADAIVVRVSTGWQWHDVSIDSTATFGDLKVMLSLVTGLWPRDQRLLYKGKERDDGDHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIEV >OGLUM01G45280.1 pep chromosome:ALNU02000000:1:42652858:42658247:1 gene:OGLUM01G45280 transcript:OGLUM01G45280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPLPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGLQYAIICINQGGLLSKLEDPNYMSTAEILNVPDKILDAICLISICLIVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMIERRKYSAFLASQATSS >OGLUM01G45280.2 pep chromosome:ALNU02000000:1:42652858:42658247:1 gene:OGLUM01G45280 transcript:OGLUM01G45280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-S-related [Source:Projected from Arabidopsis thaliana (AT3G07180) TAIR;Acc:AT3G07180] MAVLAEIAGDESPLPLPPSEGGEASGAPSTSSPSDADGGGKPSPRTSKPGRKRLVLTASVLLSFLIGLPLLLKSTEIHRSPLPSDAIAALSRRLHSNPPSFPCGLHAVFLRSGRDPSEASVANRIEREISTQLVDLPDASTAGKISVSVTVESAGGCSSSSKVASPWRCGAVTTADLGRGDEVFDELLDSALGDGGGDGMRVYTVVFVDSDDLKRIVIGKHRHAWVVGKVDEAEVVSIIGKVFVKYFMNGGVEEGEASTVKREFMPVGSDGNIVLSFSLLNADPSDWVYDWEFENIGQRMLTPVIEALRPIANINIESQVLYHTPKSSYSYSDDKLGGNVLSVGDIPFFVNSNEWHLDTSISATGRSKVLQFVVYIPSARECPLYLQLPDGELSKTNAFISPMWGGVVIWNPPGCSFGSKPHGALDKMSSEELMETIEIFIGQLRQLFGLKSSYHTQSMDGVTKFITSPKGFAQWELDLLYRHHACSNLLSCLTTLESLSSLVQSLPRMIVMDEIGRQVELSLEAASLAQRNASLGIISATRARALAEDAFFHPSVMSISYASIEHYFAIYMPFFAPVSLHVLLAVMKELKRYMIERRKYSAFLASQATSS >OGLUM01G45290.1 pep chromosome:ALNU02000000:1:42659071:42666143:1 gene:OGLUM01G45290 transcript:OGLUM01G45290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSPPPAAPAAEPVPPWLRSLPVAPEFRPTAAEFADPVSYILKIEPAAAPYGICKVVPPLPPPPKKATFSNLSRSFAALHPDDRSPSFPTRHQQVGLCPRRTRPGLKPVWRSSHRYTLPQFESKAGATRKSLLADLNVPASRQLTPLDHEVLFWRASADRPIVVEYGSDMSGSGFSPCAAQPQPPPQQQPTARAAAHLGETAWNMRGVARSPGSLLRFMPEDVPGVTTPMLYVGMMFSWFAWHVEDHDLHSLNYMHLGAAKTWYGVPRDAALAFEDVVREHGYGGEVNPLETFATLGQKTTVMSPEVLVESGIPCCRLVQNAGEFVVTFPGSYHCGFSHGFNCGEASNIATPDWLRIAKEAAIRRASINRPPMVSHYQLLYDLALSMRFREPSNGEMETRSSRIKEKKKCEGEQLVKKMFIQNVIEDNELLSHLLNDGSSCIILPANAHDGPGLSTLRSTDQSNMNSRISHNLCSREEAPEASGCLSPNRNGDTRNCISSDTHNMEGDKGDIMSATGLLDQGLLSCVTCGILSFSCVAVLKPRDSTARYLMSADSNSINNQLSISGGSILADAPTNERNGVISRPYSEHCCNEIMSDDAEIDKNSALDLLAFAHGGQSDPEEDPLEKILKIAHGINKSQPNSSNNVGCVGTKLSSSSTERQERPSSQNAHCNGSSVISNGPKGVRTRNKYQLKMILSEGFQAKDIYSAKEKKVQSEPSSSKGDVKETIDVSGTENDVGCKSTTISVSEHRGSTKNMYSVKEKKVQSKPSSLKGTVKETVDVSGTENDARCKSITISVSEHRGSTPMTNSLAASIVKPDKDSSRMHVFCLEHAIEVEKQLHAIGGSNIMLICRPEYPKIEAEARLLGEEMGLVYDWKGIHFKEANMEDRQKIQEVLRDEEAIPTSSDWAVKLGINLYYSANLAKSPLYNKQMPYNRVIYRAFGCDSPNDSPVMFNTCERKQSHQKKIVVAGRWCGKVWMSKQVHPYLAHRVESQEAEEADRICSYHFDEKHKAEPVGNSSRVEASKRKSSSLTDVTESSNRRGEIPGEETNTKRPKHFQENNLRALETAAEVVVPSPAGTGLRVSSRIANRANKLKSKMEKEDVPSSRPKSNIKEKSSHASGQKSNVQEANANSASHLRAMPPKQKAEAEAKKQIRTPKPPKQAVEYSCDIEGCSMSFRTKRDLSLHKSDICPVKGCGKKFFSHKYLLQHRKVHTDDRPLTCPWEGCNMAFKWPWARTEHLRVHTGDRPYVCHEPGCAQTFRFVSDFSRHKRKTGHSVNKKKKAKS >OGLUM01G45300.1 pep chromosome:ALNU02000000:1:42667470:42668585:1 gene:OGLUM01G45300 transcript:OGLUM01G45300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVISSWRVLAVVAALMAMAAVELCAAIPFDERDLESDEALWDLYERWQEHHHVPRHHGEKHRRFGAFKDNVRYIHEHNKRGGRGYRLRLNRFGDMGRDEFRATFAGSHANDLRRDGLAAPPLPGFMYEGVRDLPRAVDWRRKGAVTGVKDQGKCGSCWAFSTVVSVEGINAIRTGRLVSLSEQELIDCDTADNSGCQGGLMENAFEYIKHSGGITTESAYPYRAANGTCDAVRARRAPLVVIDGHQNVPANSEAALAKAVANQPVSVAIDAGDQSFQFYSDGVFTGDCGTDLDHGVAVVGYGETNDGTEYWIVKNSWGTAWGEGGYIRMQRDSGYDGGLCGIAMEASYPVKFSPNRVTPRRALGAKETQ >OGLUM01G45310.1 pep chromosome:ALNU02000000:1:42681354:42684907:1 gene:OGLUM01G45310 transcript:OGLUM01G45310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGGSGVGGMQGAASNLLDAGAQAFYPAVGAPFPFQQLPHQLYCPQPPPPPYQVMPVPPPPPVGLPVPPLPATMAPQPGYCVPAAATVVDGPASRAVVLSLVPPHAPEDEIARAMAPFGAVRAVDASAVASEGVATVYFFDLRSAEHAVTGVREQHIRQQCRLGQLYAAAAAASSPTWPPPAWDWPHDDNRGLVLGQAVWAHFAAASTVPDDGASRGSLVVLNSLPAMSVFELREIFQAYGDVKDVRESALRPSNKFVEFFDTRDADRALHELNGKELFGRRLVVEYTRPSLPGPRRRGHVSHQPLAPTPPRLQAAWRPAPAPSQSAQPSSSDSGKAREGVVLLRRSSGKGSSGSQSKGGGNAGHERKSKGGKSAAAACSTAASALSSTATAPSKQSQKGGGGGGGGRGGSWRGQKSGWEARFLFKEPEAAAAAAGDAAASETHEPASCKDTRTTVMIRNIPNKYSQKLLLNMLDNHCILSNQQIEASCEDEAQPFSSYDFLYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVFNSRKICQVTYARVQGLDALKEHFKNSKFPCDSDEYLPVVFSPPRDGKLLTEPVPLVGRSPAPSSASGASSPPKSRAASVDPLAQELMTAPSSSGDGASSASSSNAHADEDDVHGETGGDRGDDAGLDLELQRLGYTD >OGLUM01G45320.1 pep chromosome:ALNU02000000:1:42696659:42699395:-1 gene:OGLUM01G45320 transcript:OGLUM01G45320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPMEIARQQELEGQRSENAGSINNGLLHRSEVTQEEHPLSLGKTTAWNQFFEYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILIIFAKLNAGIRYVQGMNEILAPLFFVFRNDPDDKNANFAEADSFFCFMELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >OGLUM01G45320.2 pep chromosome:ALNU02000000:1:42696659:42699395:-1 gene:OGLUM01G45320 transcript:OGLUM01G45320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPRSPPGAPPPPEFEISRQSRLLAALSKKVIDLDELRMLAAQGVPDGAAVRPTVWKLLLGYLPSDRALWEQELAKKRSQYAAFKEEFLSNPMEIARQQELEGQRSENAGSINNGLLHRSEVTQEEHPLSLGKTTAWNQFFEYSEIIEQIDRDVKRTHPDMHFFCGDSSFAKSNQNFAEADSFFCFMELLSGFRDNFCQKLDNSAVGIQGTLSKLSQLVAKYDGELQRHLEITTEINPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >OGLUM01G45330.1 pep chromosome:ALNU02000000:1:42702280:42709151:1 gene:OGLUM01G45330 transcript:OGLUM01G45330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGVRAAGDAAAAADVDVITSSGRRRIPAHSTVLASASPVLESILQRRLKKERDAAAAGGGGGKVRRAVVRIRGVTDDAAAAFVRLLYAGSSGDEEEIDEKSAAQMLVLAHAYRVPWLKWRCEGAIGSRLTAESVVDTMQLAALCDAPQLHLRCTRLLAKEFKAVEKTEAWRFLQENDPWLELDILQRLHDADLRRRKWRRKRAEQGVYVELSEAMDCLSHICTEGCTEVGPVGRAPAAAPCPAYATACRGLQLLIRHFSRCHRTSCPRCQRMWQLLRLHAALCDLPDGHCNTPLCMQFRRKEEEKAAAKAKAKAGDDDDKWGLLVKKVRVARAMSSLGKRRQMSCSQC >OGLUM01G45340.1 pep chromosome:ALNU02000000:1:42707597:42708981:-1 gene:OGLUM01G45340 transcript:OGLUM01G45340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVATYFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMEREQEIKRMQAELMAQAKESES >OGLUM01G45350.1 pep chromosome:ALNU02000000:1:42710155:42713403:-1 gene:OGLUM01G45350 transcript:OGLUM01G45350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSAAAGGGGGGRRKAAAAAAAASREWLVVPASGQARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTILGRERAIVVNLERVKAVITAAEVLLPNSKDPAFASFVCDLQARVLASSSDQAAEFTDMEGESSAVTSPFPALTSTTPNELEMTNKNSNVVGGMTHSNSMPTLTAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTRQEISETSSRVEVDDPSQLEVDRDEDYRSEADVSNGTFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYADPSNEEEKRASNMKFWETTLGTIAGCTVMYIVAMGWGKRSGLLQ >OGLUM01G45360.1 pep chromosome:ALNU02000000:1:42720730:42724783:1 gene:OGLUM01G45360 transcript:OGLUM01G45360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHLFTVPIHEALDTQMQRLDEGMFSRYNLGRRMLARGLVFGANAFVTALFPFMGDFVNLFGSFVLFPLTFMFPSMVVLKIKGKDEAGRWNRIWHWGIIVASSVLSVVTTAAAVRLIVHNASVYHFFADM >OGLUM01G45360.2 pep chromosome:ALNU02000000:1:42720730:42724783:1 gene:OGLUM01G45360 transcript:OGLUM01G45360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCACVRECVCISLIAYEMAASSLDAEAAAAGQQDKAGSGSYTTAATAHQIDTDSWQQVGVLLVVGFNCAYVLSFSNLMMAPLGWGWGIACLLFIGAASWYANWLLAGLHVIDGQRFIRYRDLMGFVFGRKMYYITWFLQFITLILGNMGFILLGGRALKAIHAEFSSSHSPARLQWFIAATGFVYFAFAYFVPTISAMRNWLATSAALTVAYDVALIVILIKDGKSNKQKDYNVHGTQAEKVFGAFGAIAAILVCNTSGLLPEIQSTLRKPVVSNMRRALLLQYTAGAAVYYGISVAGYWAYGAAVSEYLPDQLSGPSWATVLINATAFLQSIVSQHVHISYTTFFSSSPLMA >OGLUM01G45370.1 pep chromosome:ALNU02000000:1:42724520:42728378:-1 gene:OGLUM01G45370 transcript:OGLUM01G45370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSASPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >OGLUM01G45370.2 pep chromosome:ALNU02000000:1:42724520:42728378:-1 gene:OGLUM01G45370 transcript:OGLUM01G45370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGQSRSPRDGSGHGRYGHSPSFQQQWGGGGGGGGGYPYGQDAHGGGYYGAPAPQGGYAAPYPAYQQPPPPMPSPAATQPPARAGGASKPRLDRRYSRIADDYHSVDQVTDALAQAGLESSNLIVGIDFTKSNEWLGKFSFHGRSLHHISNAPNPYEQAISIIGQTLSKFDEDNLIPCFGFGDATTHDQDVFCFYPDLRPCNGFSEALSRYRELVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVRASELPLSIVLVGVGDGPWDMMKEFDDNIPSRAFDNFQFVNFSEIMNKNMPQSRKEAAFALSALMEIPQQYKATVELGILGRRSFKSPERVPLPPPGGSHDAYSYGSKSFSKPSTYPQSSTSSSSYPHYETAQSASPAAPSSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLESCPICRSPISTRIKLY >OGLUM01G45380.1 pep chromosome:ALNU02000000:1:42735324:42738882:1 gene:OGLUM01G45380 transcript:OGLUM01G45380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G36240) TAIR;Acc:AT2G36240] MAASRRLARKLPSLISKHQRLISPEIDVEQAAESPASSSSIPLDPSLPILPLAVSHLSPPSPLPALPSAHASTPAALLRILRRTRHHPRLAALDLHLLLAAASDSPAFRPDHRLTSLLAARLAESRRLPSLRRLLELVLCRPCPCTDDSIFACPELLPTFRKAILAFAASGDIPAASDVLASLRRAADSPLPAEFYNIILHALARLRRHEDTVRFYGEMTSTHRVHPDVYTFNILINSSCRAEGVDAAMRWFQEMRRWSCSPTGVSFNTLMRGFFREGRCKEGIKVAREMLQLGFGLSVASMEIMINGLCRGGEPLKAAEVFIEFLVDGVVPEGFDCLDLVESLCRVRNVEKAVEVVELILERNWVSCLGVPAGVTVLECLMKEGKLDKACQMMGRMVAAEIVPDTISCNYIFEALCEAGRTVDANRLRLQAKEKGFQADGFTYSIIVQGFGRQGIRKEGEAVLDEMLDAGYVPNIATYNRLLDGLHMGRSMQLQQKCSRHRNAAN >OGLUM01G45390.1 pep chromosome:ALNU02000000:1:42738771:42739734:-1 gene:OGLUM01G45390 transcript:OGLUM01G45390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAASRDTKTNASGMASRPATKPWQKHAPPRRPSYVPLWQRRVEEEEEKKKQRHAAAPPRAAAPEEQQQAEKTPIKMKRKPLAFCHILFYIHVLLLIIAVCLATVAVSLAYHPKSPRLRRRTSTKRGDSARRAISANLTVLAAISNPNTKIGIVLRYVRFDLYFEDSVVAAQAVWPAPVQVAPGGSVPRRVHLVVSSVSVTRQDAALWRNATAKGGRPVALRLAGRFRTQLNFDRWFFRYRYWVKPQCTLWLDPPPSGALRRSRC >OGLUM01G45400.1 pep chromosome:ALNU02000000:1:42740636:42742003:-1 gene:OGLUM01G45400 transcript:OGLUM01G45400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPAGGSEPRSRARASGTAAPTTRGPAPQTHAPPQERRQEEIVHGVRHAVAPGDGGAGTSARPDRRTRFVTQVTEVRQSPVHGDAVAGEEAALARRPGKKPSYLPARLRRAEEGSHGRTPWSAPAGHPAPPAAVPEEPQEPRLGPPGLKPAKILDTHKSIERTSTIRDEDDGVTYPAEPAPPGLTFPAARPPQDEPGFATAARHPRTSPGTPALPGRSSPSPWRPHNEPGSYASPSPSPEAPARTPAKSPMLRPRNDKKRRPVAFCFTACCILFWLLVIAVGAAVLVIFLIYHPQSPRMRVTSATLNAGYVDELGIVGGARALNADLTVLAAIYNPNTKLHVVLRYMQLDLYFQGSMIGTQAVWPAPLHEGPRGSVLRSVHLVVSEVTMTQEDVYEWQNATSKGGPVVLHLAGRFRARLIFGRWFKFNYWVSPQCTLWLDPPPSGALRRARCS >OGLUM01G45410.1 pep chromosome:ALNU02000000:1:42746534:42750056:1 gene:OGLUM01G45410 transcript:OGLUM01G45410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFVEVDGKRILLGTLSVEHRPQLSIDLEFFSLTFCLAVCTSDSPTEEGDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAAFPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >OGLUM01G45410.2 pep chromosome:ALNU02000000:1:42746534:42750056:1 gene:OGLUM01G45410 transcript:OGLUM01G45410.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTMGFWGVAVRPGETVMCDPPGEFYYHISQIALEPGELNENVQVFVEVDGKRILLGTLSVEHRDESDEEVPLAIPLFPNSDDDKIKEVQNSPSKFATLKSAAAAFPTPEAIVEERKNYGKSEADDDDSDEESDASGEDEYDDDEDMIDKQDSSDDDGDSSDEEETPSKNTKGTNRHVETPLNTPPQKRARLATPIMDSKAGTGKRSGYVHVATPYPAKQAKKTPVNNDMAKQSSGYVHVATPYPAKQAKKRTANNDMSEHSAGYACKPCNKTFNTSMGLEAHSKAKHTATK >OGLUM01G45420.1 pep chromosome:ALNU02000000:1:42751062:42764160:-1 gene:OGLUM01G45420 transcript:OGLUM01G45420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMNPLKRSLESSSQEHEAGKQKLQKRECQDFTPRRYQLDVYEVAMRRNTIAMLDTGAGKTMIAVMLIKEFGKINRTKNAGKVIIFLAPTVQLVAQQCEVIEIHTDFEVEQYYGAKGVDQWTGHGHDTTGVPTSFTQCFLNLGHGVSSHLDCEGQFCELENLLDAKIYTVSDREEIEFCVPSAKEMCRYYDSKPVCFEDLSEELGVLCSKYDALITELQNKRSDMYKDADDITKESKRRLSKSIAKICYCLDDVGLICASEATKICIERGQEKGWLKEVVDATDQQTDANGSHLFAENSALHMKFFEEALHLIDKRLQQGIDMLLNSESGCVEAAKTGYISPKLYELIQIFHSFSNSRHARCLIFVDRKITARVIDRTIKKIGHLAHFTVSFLTGGRSSVDALTPKMQKDTLDSFHSGKVNLLFTTDVAEEGIHVPECSCVIRFDLPRTTRSYVQSRGRARQEDSQYILMIERGNVKQNDLISAIVRSETSMVKIASSRESGNLSPGFVPNEEINEYHVGTTGAKVTADSSISIVYRYCEKLPQDKCYSPKPTFEFTHHDDGYVCTLALPPSAVLQILVGPKARNMHKAKQLVCLDACKKLHELGALDDHLCLSVEDPVPEIVSKNKGTGIGTTKRKELHGTTRIHAWSGNWVSKKTALKLQSYKMNFVCDQAGQIYSEFVLLIDATLPDEVATLEIDLYLHDKMVKTSVSSCGLLELDAQQMEQAKLFQGLLFNGLFGKLFTRSKVPNAPREFILNKEDTFVWNTASVYLLLPTNPSFDSNVCINWGVIDAAATAVKLMRRIYSENKRELLGIFDSDQNVGDLIHLANKSCKANSLKDMVVLAVHTGKIYTALDITELSGDSAFDGASDKKECKFRTFAEYFKKKYGIVLRHPSQPLLVLKPSHNPHNLLSSKFRDEGNVVENMSNGTPVVNKTSNRVHMPPELLIPLDLPVEILRSFYLFPALMYRIESLTLASQLRSEIGYSDSNISSFLILEAITTLRCSEDFSMERLELLGDSVLKYAVSCHLFLKFPNKDEGQLSSIRCHMICNATLYKLGIERNVQGYVRDAAFDPRRWLAPGQLSIRPSPCECPVKSEVVTDDIHIIDDKAIVLGKACDKGHRWMCSKTIADCVEAIIGAYYAGGGLRAAMAVLKWLGIGAEIEEDLIVQAILSASVQTYLPKDNVFEMLEAKLGYSFSVKGLLVEALTHPSQQELGAKYCYERLEFLGDAVLDILLTRYLFNSHKDTNEGELTDLRSASVNNENFAQVAVKHNFHHFLQHSSGLLLDQITEYVNRLEGSSMDKVELLSDGLPKGPKVLGDIVESIAGAILLDTKLDLDVVWGIFEPLLSPIVTPENLELPPYRELIEWCGKHGYFVGINCRDQGDTVVATLDVQLKEVLLVRQGFSKKRKDAKAHASSLLLKDLEEKGLIIPKNASKTEQFEKHCGSTNPFNNLHVDAMDTQTPKPTKEKNAADSRNISDPLLGKPLHVIVKTSKGGPRIALYELCKKLQWPMPTMESEKVQPSFSSVCSSPGGSSQKATPQAFAFASTITLHIPNADVISLTGDGRADKKSSQDSAALFLLYELQRRGIEVKPGKAVSFNVDDECVIHISQVALGETKKAGSENVVVSVKVDGKKTVIGNLSAKNHPQFMCDLFIGNDFELSHSSKTTSVFLCDEDENMSDICDIMGKHLGRPVAEEGKKDNSDDGMDLSSSDDDDDSLDSSSSDDVDDESGDEDDGSDELPAEMESSEEEDGSDGQDESSSSMVSTDEEDESDEEDEIPEKPESSKLTAAGSTLSSGKKSKTATHFGQKTGHLVVINHSGLIRRQDIQPSELLVACLTAAACESKMIL >OGLUM01G45430.1 pep chromosome:ALNU02000000:1:42766827:42773643:1 gene:OGLUM01G45430 transcript:OGLUM01G45430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGAPDLADFMNDWFFGTVGTRRSGGGAYDLTGDQSGGKRPSAPAEKKQQQQQGRSGGGGGSASKQTQEWLEEARRMVGAGSPGRKGVGSPSRQVPRFAAAAAAGTEPSPSLDRRDPMSRSARRHRQPGGIGDEILQRASISSSPPRADPFASAPASPAHSLPPNPPSSRRKSRFHDPSAPDAFSSSSAYRRAASSASTSPTSAAKPHHRRHASASSSSPAAVDVFDDGVARLNSFLRRQRAAVAELGSGGRPSSRSTKIVLSDASKSVSSIAAAICYAWMLASKEDAEAAVPVVNMRRGRMERCRQAAWLLHHAGVDASALLFADEVDMEGLMMDKRVSLVVVGQDVLKPNDKMGSVCTILTNNYCEDAYSLLQSLDIKKLLLAVLLDHNDHSFVEYLKNTYREPSTKGEGNSPLDQKHSVSASGSSQDAKKPNSNNQRPVHGNGGKTSDEAPRGKNKFFLAKWFGFGSK >OGLUM01G45440.1 pep chromosome:ALNU02000000:1:42771579:42772547:-1 gene:OGLUM01G45440 transcript:OGLUM01G45440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLFSVPSCFSSGEKLPDIPSSGTVAAAAAARQSAVTLVYRAEISGHRRLVTVTWCRNLLTHGLSVSIEGSAGNGKDKIGREYGEAAVAATAADGGGGGGKSCSACKVEMQPWHFWRKYGAKQFQVDGNAIDVVWDLRSARFSDEPEPLSDYYVAVVAGEEVVLLLGNLKKDAFRRTGSRPSLQDAVLVCKKEHVFSKKRFVTKARFSDRGKLHDISIECSSSNLTGGTDVDMAIKIDGCVSVLVRHLQWKFRGNECISINKLKVQVYWDAHDWLFGTGMRHALFIFKPEPPSPSPPGASSEFSTDEYSDFCLFLYAWKVE >OGLUM01G45450.1 pep chromosome:ALNU02000000:1:42774988:42791207:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAQKSKLPAKSAAVASAMQKAFVKEIEHYEKSKADDDNSERYSDSSVIGESTGDEDSSDDADDEGESSDEEETPAKEVESSDEEETPAKNTKEENEPVVTPLKTAKLEVPIMTKLGLILAREAKRTPIKNDTPKRSAGYVCNSCKK >OGLUM01G45450.2 pep chromosome:ALNU02000000:1:42774988:42780861:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWGVVIEPCNKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESNEEVPPGIRLYPKSDDNMIKEAQKSKLPAKSAAVASAMQKAFVKEIEHYEKSKADDDNSERYSDSSVIGESTGDEDSSDDADDEGESSDEEETPAKEVESSDEEETPAKNTKEENEPVVTPLKTAKLEVPIMTKLGLILAREAKRTPIKNDTPKRSAGYVCNSCKK >OGLUM01G45450.3 pep chromosome:ALNU02000000:1:42774988:42780861:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWGVVIEPCNKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESNEEVPPGIRLYPKSDDNMIKEAQKSKLPAKSAAVASAMQKAFVKEIEHYEKSKADDDNSERYSDSSVIGESTGDEDSSDDADDEGESSDEEETPAKENTKEENEPVVTPLKTAKLEVPIMTKLGLILAREAKRTPIKNDTPKRSAGYVCNSCKK >OGLUM01G45450.4 pep chromosome:ALNU02000000:1:42774988:42780861:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWGVVIEPCNKEIFCPEDTLYHLTSVALDEAYGLKDSNVKVFVEVNDEKFAIGTLSSKRHPHIKVDFCFKKNFQLFHTSLISKVAFCGYQVKNLGKFTDSEGDESNEEVPPDNMIKEAQKSKLPAKSAAVASAMQKAFVKEIEHYEKSKADDDNSERYSDSSVIGESTGDEDSSDDADDEGESSDEEETPAKEVESSDEEETPAKVWTNTSKRGSHLQAANPHPAKQAKRTPIKNDTPKRSAGYVCNSCKK >OGLUM01G45450.5 pep chromosome:ALNU02000000:1:42780892:42791207:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHAGADAGHANSLEEKGATSSRPNL >OGLUM01G45450.6 pep chromosome:ALNU02000000:1:42780892:42790595:-1 gene:OGLUM01G45450 transcript:OGLUM01G45450.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKFIKVGKKTFHKFTGLEELQHESRRETRAGATEHITSRSSPAHIYNSSQIRMVKHAGADAGHANSLEEKGATSSRPNL >OGLUM01G45460.1 pep chromosome:ALNU02000000:1:42799670:42800821:-1 gene:OGLUM01G45460 transcript:OGLUM01G45460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGGGGGGGGGKRRLYMVLDDSKHGYGFRRIDMDEYYFEADDSGDDSALERMTEYLPSRRCLRVKAPRRQAMLFKALGTKIIATHPSPTCAAIPAYDTRAQAVSAGPAWPPGEGDSTLPSCSTVFVPVGDRLYALDGGGDSGRAVSFQVLFPSGGDGWWSWSSVASAAPPPFDPSRITSYAAHPNGRAFFVSVARKDVPFFPALSRGWLWLHAGSTFCFDTESLEWTDYGCWMLPFQGQGLYDAELDAWVGICRHPDKPGRLCSSDVPAPRIRGRDSRWRVPSCKIGKDVLFCKDKERHRGVALQYMGSDSNFCLLECVEQPAATASRLMYVRMFRLKYGKDGGLRTATRGRWGRCFLLPPEASSFDVMDQKITAFLMCKT >OGLUM01G45470.1 pep chromosome:ALNU02000000:1:42803351:42806938:-1 gene:OGLUM01G45470 transcript:OGLUM01G45470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRHRPLLLSLFSPLDAGSCTGAARSGRRWWSEQWGVGMAEVGEKNEGEGARRHNHGWVFLFAPSSLAQFESPLRSLLRIKYATRNIVIGRSSRPGHCNKPFNFFS >OGLUM01G45470.2 pep chromosome:ALNU02000000:1:42803351:42806938:-1 gene:OGLUM01G45470 transcript:OGLUM01G45470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRRRHRPLLLSLFSPLDAGSCTGAARSGRRWWSEQWGVGMAEVGEKNEGEGARRHNHGWVFLFAPSSLAQFESPLRSLLSTWFQNTHVNGSKVQKTPSLSSLGHLNLPTWFMHDPLSVAALVAIVEAWSRRVRGCNFRRDGVLSHYITSLSPLSHSSSPIRAPAQRCGRNKPFNFFS >OGLUM01G45480.1 pep chromosome:ALNU02000000:1:42806955:42808751:1 gene:OGLUM01G45480 transcript:OGLUM01G45480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAGGGEDAAAAGARLRERPARGLWLGAGNKNHVLPVCTSCFTVFISTVYDCPNIQEEAIPAILVIFSLYPSKPIEWRGLGGWEGINLITPMGEFSLGNSYQITEQSLREERKRREKPFSPTALVLDRVCRPTAPVLSRVCRGKRSDEY >OGLUM01G45490.1 pep chromosome:ALNU02000000:1:42811248:42813553:1 gene:OGLUM01G45490 transcript:OGLUM01G45490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELLFWDLLGREKKKMKKRRELLKIRGNLTAESNHGRYMCMAVAPLKLKENSIAPWIIHRTDFLRKMHSHVICDLQEEGQRLDFYVEGKELISEVSVPGSESTLRISTEVCRAVLFKIIKRFQSMHSAGFSLGGFEQKILFVTSDFEVKIGGISRVKDFTKTRGSKDYKSIGKIAREVIFSSVTNLPVDIEQLLDLLTDNPMEQTALLGMHYSLLDPLTQVSEFLWWHKRLIHLKDINPEKFQRIMENIPTGNNWMSRAVENKYIRKVSLGIPKRRKGLVHTTEEVKPETTAASQGTGIEGVKPKTAVGQDTNIEDPKPETATPKCKYSEDSWGQSLLCRNSIEHLDR >OGLUM01G45500.1 pep chromosome:ALNU02000000:1:42814215:42818018:1 gene:OGLUM01G45500 transcript:OGLUM01G45500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLAILGRSSGLPRSNEGMRLLFSAVIGVMLGYLFGISFPTVNVTKPLLFREKRTDCILQLHFPSSIISYIEDKDSGITTQTLLNHAWTSANSKKRNNSESNSDEIPKIYVPTNPKGAEGLAPGIVVPETDLYLRRLWGEPSEDLTSQPRYLITFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTNDWDEFEWSKRAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLSVQHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRLGDQEVHKFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGTAENGRTPWEGVS >OGLUM01G45510.1 pep chromosome:ALNU02000000:1:42819134:42820345:-1 gene:OGLUM01G45510 transcript:OGLUM01G45510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRGNGGYQYGAYSYGYGYSKPQVNYHSQSSESIATVVTKMDEMSVHENAVQKMSSSFREEKVYEQQGGAGGDVQVCRNGGAAAGAVQKHTYEEKKEEEVYEESNHGGGGGGYARQHGAGGGQKHAAYRHEVLKGYESAGGGYAAARHNGAGAGGVKKQYSYREEEEYDAGGYARHNGGGVQKQYSSYRRDEEECDAAAGGGYGRHHGAGGGAVKQHATYKQHQAIEGVNGCGAGGYNYNRHQAVAVAGGGQHHYGGATAAAYGNASNKQHFTAAAAGHHSSGGHTQYHHQSYECEEEDSDEDDCEDDDESDDDDDDGHCPPSRQGSVHSYHQAAYQHEEKQHAGGRNHYHAYERHEEHGGGAQRYQKYESSTQVGYAGGGGGNGGCVRGNAKPCFRIMA >OGLUM01G45520.1 pep chromosome:ALNU02000000:1:42827539:42827847:-1 gene:OGLUM01G45520 transcript:OGLUM01G45520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEVDHCAEEMNRPPHAGGEHYAVRRESYEEVDEMARAGRGHHHGNGGGGGHLGYSGSRHGDAHLGGHREEHLVHGDEHRHGHDGGRQYDSCTGQYYG >OGLUM01G45530.1 pep chromosome:ALNU02000000:1:42830853:42831152:-1 gene:OGLUM01G45530 transcript:OGLUM01G45530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYQEVDYCSEEVRSVTPTGGFLGRGGVQQQHVVKETFQEIDRSGSGRHHHNHNHNHNHNHNHGNDYLMVRETKVEEDFNTCTGEFRERKQSFLLKSD >OGLUM01G45540.1 pep chromosome:ALNU02000000:1:42832717:42834802:-1 gene:OGLUM01G45540 transcript:OGLUM01G45540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D family [Source:Projected from Arabidopsis thaliana (AT1G07840) TAIR;Acc:AT1G07840] MDSGGTASPAGVAGDGAGGGGSVFRGDDAPKLLAALKEMKEGLDLVTGKVKALTRKVKKNQLPTADGIGYLEAKHHLLLSYCQDIVYYLLRKAKGLSVEGHPVVRSLVEIRLFLEKIRPIDKKMEYQIQKLTNAADSGAAQEKVLNAEAKSKDQPKDDEDLLKYRPNPDMMDTKIDPAGQDNDGIYRPPKFIAATMDDEDKRHKQASRKDKALVRMATESSYFKEIIDDAADRPEELKETAGDESREFTRYMRQRELQEKQEEELFTRAPLTKRDKQTEKRMRKELHGLRGLTDGFDLGINMFVDGDKDNDVGSTEPHFKSGGRRKHHKGKRKRH >OGLUM01G45550.1 pep chromosome:ALNU02000000:1:42835549:42840536:-1 gene:OGLUM01G45550 transcript:OGLUM01G45550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MRPITSLRHGPITRGESCVRDFSCVRATSRLPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLAPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFLFFGSGSSHALTSMEIDNVDIPGKNVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFDIVG >OGLUM01G45550.2 pep chromosome:ALNU02000000:1:42836469:42840536:-1 gene:OGLUM01G45550 transcript:OGLUM01G45550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MRPITSLRHGPITRGESCVRDFSCVRATSRLPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLAPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLGMFLFFGSGSSHALTSMEIDNVDIPGKNVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >OGLUM01G45550.3 pep chromosome:ALNU02000000:1:42835549:42840536:-1 gene:OGLUM01G45550 transcript:OGLUM01G45550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MRPITSLRHGPITRGESCVRDFSCVRATSRLPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLAPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFDIVG >OGLUM01G45550.4 pep chromosome:ALNU02000000:1:42835549:42840536:-1 gene:OGLUM01G45550 transcript:OGLUM01G45550.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MRPITSLRHGPITRGESCVRDFSCVRATSRLPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLAPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQAWLIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFDIVG >OGLUM01G45550.5 pep chromosome:ALNU02000000:1:42836469:42840536:-1 gene:OGLUM01G45550 transcript:OGLUM01G45550.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63120) TAIR;Acc:AT5G63120] MRPITSLRHGPITRGESCVRDFSCVRATSRLPPSPKDHDTWAIATDLARPHTLRILTHQNQTTGLLAPPHAAFLPDPNLPRRLPFPSSSSTPTAAAPPDSGEPSRARARTETYRTGDMNPYDLRYADPSSYRDRRSDLAGAPVLAASAPAAANPYAAAYAPAPAAPVAPAGGDFSRFGGRGRGGGAGGGGWGRGGGGGGGAGGYRGGGGRGGGRDALDSLSLPKPDFRSLIPFEKNFYVECPAVQAMSDMDVSQYRRQRDITVEGHDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDMIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQQESGKFGSYSRTRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEGGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIIRPDRQTLYWSATWPREVESLARQFLQNPYKVIIGSPDLKANHSIQQIIEVISEHEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKVTRQLRMDGWPALSIHGDKAQAERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINFDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHSNAKFSRNLVKILREAGQVVNPALESMAKSASSMGGGNFRSRGRGGFGNRSGSNSIPIRGRRPY >OGLUM01G45560.1 pep chromosome:ALNU02000000:1:42842739:42853350:1 gene:OGLUM01G45560 transcript:OGLUM01G45560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSARQFKIDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >OGLUM01G45560.2 pep chromosome:ALNU02000000:1:42842739:42848530:1 gene:OGLUM01G45560 transcript:OGLUM01G45560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MAAAGGLPASATLLLLVIAAVAVAPLASAVRPVSDAHRSAAAELFAASPDGSFGDLETTYEAVRTFQILGVEKDKGLIGKACKFAAEKLASSSSSPAKDLFHAARISGVLKCSVDSGVYDDVATRLKAVIKDTNSLLELYYSVGGLLSIKEQGHNVVLPDADNTFHAIKALSQSDGRWRYDTNSAESSTFAAGIALEALSAVISLADSEVDSSMIAVVKNDIVKLFDTIKSYDDGTFYFDEKHVDAAEYKGPITTSASVVRGVTSFAAVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIEVFVPLVLSLPSKVFSLTSKDQLKVEVTTVFGSAAPPLRVNLVQVLGSDSKVITTETKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEQEHETIYATGGTNTEAIFVTGLIKVDKAEIGISDNDAGTVESVQKIDLQKDTSVSLSANHLQKLRLSFQLSTPLGKTFKPHQVFLKLKHDESKVEHLFVVPGSARHDEVEDVYGEARLVIQ >OGLUM01G45560.3 pep chromosome:ALNU02000000:1:42848967:42853350:1 gene:OGLUM01G45560 transcript:OGLUM01G45560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribophorin II (RPN2) family protein [Source:Projected from Arabidopsis thaliana (AT4G21150) TAIR;Acc:AT4G21150] MPWHANCAGDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRALGHIELDLPEAPEKAPKPPAQAVDPFSKFGPKKEISHIFRSPEKRPPKELSFAFTGLTLLPIVGFLIGLMRLGVNLKNFPSLPAPAAFASLFHAGIGAVLLLYVLFWIKLDLFTTLKYLSFLGVFLVFVGHRALSYLSSTSAKQKTA >OGLUM01G45570.1 pep chromosome:ALNU02000000:1:42851113:42855687:-1 gene:OGLUM01G45570 transcript:OGLUM01G45570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASASRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKDGKHSELINKHDGLYSRLARRQNDALD >OGLUM01G45570.2 pep chromosome:ALNU02000000:1:42851113:42855687:-1 gene:OGLUM01G45570 transcript:OGLUM01G45570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASASRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQKRAAKFAQEFTASANNVIAVLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSRQLSHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKDGKHSELINKHDGLYSRLARRQNDALD >OGLUM01G45570.3 pep chromosome:ALNU02000000:1:42851113:42855687:-1 gene:OGLUM01G45570 transcript:OGLUM01G45570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 1 [Source:Projected from Arabidopsis thaliana (AT1G70610) TAIR;Acc:AT1G70610] MPTPAALLLTAATGSAALSVGVAAVGPRAPSLLLRSASASRRAPGRARPTRIRAAAAIGGEFGGLAQRRALAGEFIERLRNVLPGGSWWRLEDGEEAGGGRAEASGATAASALRRMWALVASDRWVVFVGFASLVGAALAEIAIPHLLAASIFSAQNGGAVFYRNAKLLVKRMREMLFDSILSQDIAFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQVSEKSSQICTGVHCKCQQLLIGGISIMAGKLTAEQLTKFILYAEWLILSTWWIGDNWSSLMQSVGASHRLQKLEGRIQYADVSFSYPSRPTVPILGGLNLTLHPNEVVAIVGLSGSGKSTVVNLLLRLYEPTDGQILVDGVPLSELDIRWFRERIGFVGQEPRLFRMDISSNIRYGCPREVSNEEVEWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILVLDEATSALDAESEHYVKDGKHSELINKHDGLYSRLARRQNDALD >OGLUM01G45580.1 pep chromosome:ALNU02000000:1:42862629:42866031:-1 gene:OGLUM01G45580 transcript:OGLUM01G45580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT3G24650) TAIR;Acc:AT3G24650] MDASAGSSAPHSHGNPGKQGGGGGRGKAPAAEIRGEAARDDVFFADDTFPLLPDFPCLSSPSSSTFSSSSSSNSSSAFTTAAGGGCGGEPSEPASAADGFGELADIDQLLDLASLSVPWEAEQPLFPDDVGMMIEDAMSGQPHQADDCTGDGDTKAVMEAAGGGDDAGDACMEGSDAPDDLPAFFMEWLTSNREYISADDLRSIRLRRSTIEAAAARLGGGRQGTMQLLKLILTWVQNHHLQKKRPRTAIDDGAASSDPQLPSPGANPGYEFPSGGQEMGSAAATSWMPYQAFTPPAAYGGDAMYPGAAGPFPFQQSCSKSSVVVSSQPFSPPTAAAAGDMHASGGGNMAWPQQFAPFPVSSTSSYTMPSVVPPPFTAGFPGQYSGGHAMCSPRLAGVEPSSTKEARKKRMARQRRLSCLQQQRSQQLNLSQIHISGHPQEPSPRAAHSAPVTPSSAGCRSWGIWPPAAQIIQNPLSNMSNPPPSTSKQPKPSPEKPKPKPQAAATAGAESLQRSTASEKRQAKTDKNLRFLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGVSIPMEDIGTSQVWNMRYRFWPNNKSRMYLLENTGDFVRSNELQEGDFIVIYSDIKSGKYLIRGVKVRRAAQEQGNSSGAVGKHKHGSPEKPGVSSNTKAAGGEDGTGGDDSAEAAAAAAAGKADGGGCKGKSPHGVRRSRQEAAAAASMSQMAVSI >OGLUM01G45590.1 pep chromosome:ALNU02000000:1:42869091:42876061:1 gene:OGLUM01G45590 transcript:OGLUM01G45590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVSFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNPNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRIDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIDGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >OGLUM01G45590.2 pep chromosome:ALNU02000000:1:42869091:42876061:1 gene:OGLUM01G45590 transcript:OGLUM01G45590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVSFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNPNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRIDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLLALESVRTEYANAQLECNAADERAKVLAAEVILLEDKALRLRSSELKLEKEIDGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >OGLUM01G45590.3 pep chromosome:ALNU02000000:1:42869091:42876061:1 gene:OGLUM01G45590 transcript:OGLUM01G45590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BLISTER [Source:Projected from Arabidopsis thaliana (AT3G23980) TAIR;Acc:AT3G23980] MASAQVSSSSAAAGAASSSSSRKKDLHAGKKLLAEYRKKKNKAAKKAAETADQATAPVPDTLENPPPNATSTNSGDKLVSDVDVNTTSTSSVPPVYENGPTSYSRGDEPLSNGPAPVNVPVSVSNPAPSHDVSSVGGSKIYGNLSFSDLVNGHNEDWRGDAARKREEHSPDKDVTLKSKLSAFGNSDEVSHSSDEVLLSQGRNPVLREVSDTEQSSSYSSGSLFGKLEGTQSQGYSANNDIFGRFRATSKDSSQADGLAYASSREYGSAFNNSWNTSNSTPVSFDKQDPFMSSGYPTTYNRSRPSFLDSIGVQRAPTTEVPYVEPAKASKPFGNPNSESSFFQPPNQQSAGSNDVDGSLKSGRQEYNNEKGSYGNSIRIDSFPSKDERNLHHSTQMFQNFTIPGKDDDFAALEQLIEDLTKEKFALQRTLEKSQELAQTLATDNSALTDKFNQQAQVISNLTSDMERLQNEIQAQLALRLRSSELKLEKEIDGLSSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLHSKLRNTSVTEKVNIIEKPSADKRDASTATEDLDTGESSSSETLTSAIDTVEDGETSVSRSNNVADFTYLEEVSSSIPHDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEIQTHRLELLSSQRMANENVLPKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >OGLUM01G45600.1 pep chromosome:ALNU02000000:1:42876519:42880828:-1 gene:OGLUM01G45600 transcript:OGLUM01G45600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF185) [Source:Projected from Arabidopsis thaliana (AT1G04900) TAIR;Acc:AT1G04900] MAPPHMASVPAALRRAATLARWYPAAMFSSGIVPENKPILVRDFVRSALYDPNHGYFSKRSGPVGVLDSSIRFNQLDGRSAYMQYLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNTMKYISVEISSSLAEKQLETVGEVRSHLSKFTVECRDATDRAGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKVNGSSQGSEVYKPLQDPLVSRCVEITGINEEKVSVRDKLSLAAKGVVSKVFPKPRRAWLPTGCLKILDTLHQALPSMSLIASDFSYLPDVSIPGDRAPLVSSKKDGRTLDHRNYLEAQGDADIFFPTDFWLLEKIDHDCSGFSKQQKNPGAFKPVKTRRTIILDSAAFMEEFGLPLKTRTKDGYNPLLDDFRNTKFYLSVPTHNKK >OGLUM01G45610.1 pep chromosome:ALNU02000000:1:42881120:42882103:1 gene:OGLUM01G45610 transcript:OGLUM01G45610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGGGGGGEAPTPVTGVAGDPRHEQRMRLVRKASELATRCAVPVALSGPAIGGVCEPLRWPSMDKARDINNRYKALPENGRRKISVGDAADIANQAATQQPQGVPAGGESASAAGVAAAFGAMTEEELRELLRSIDCSLAAASHAIQKAADEAEQKLSLQRAGTLMAVDSSSQDAVPPHAAPMDMGDEVQGAQPPPDRWFEEEREPVICSKKKPEMCSARPPPPPPANRNGVAYEAEQRRACALMVDSQEDAAPPPPPAASGNGVADDGEYINLGGYMIERNRFEAIWREHVIPPPQSLLPESLPDDDGEPLRLWSFDAGERVIL >OGLUM01G45620.1 pep chromosome:ALNU02000000:1:42898186:42902242:1 gene:OGLUM01G45620 transcript:OGLUM01G45620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGERETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDTAVVPYVPQQGIEGKTGGMKGKSRGRMKKGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKNKTFKPGEHRPVVYPEVLLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >OGLUM01G45620.2 pep chromosome:ALNU02000000:1:42898186:42902242:1 gene:OGLUM01G45620 transcript:OGLUM01G45620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGEEAESSAAGEQRRMPFARGGPVFVPFMVGPVSTVPEFMSSALHELQSLKDELGDPGDEFDEELCVDELRVLSEEELVERALREAMEEGWDSTALSQLEDQRIDGGMSASSTFGNGAITSSSSGERETSRSPTEDTASIPHVHGNNGKTRGVKGETRGRKRKNVTPTLNSSVETEIPGPSVDTAVVPYVPQQGIEGKTGGMKGKSRGRMKKGGNTTSNSLGENLEVVSHESVPPVEDLEVVSHDAAGADGDTKCGKGKKRGRHFDREVRAHILQGSYLTKAVKMAEIKAKQEEDKHAASLHSFSGDSVLAKVSKPSAEKVDVAKSLRYISTTWKNKTFKPGEHRPVVYPEVLLCVEVYEKRYGSVKSQEFLVLGSQLLTDLRDNIYCFKDKLMNVAKQHVHSGYFLIEDTFYNDTRRSTVDYSKPILDWIKNSRNEAEEKWDAITSGVLKKRQKDLLMGLNVSNVPDFKSAKMEKTRFSDLNFRLGAGYLYCHQGNCKHMIVIRDMRLIHPEDTQNQAEYPLMTFQMQRRLQKCSVCQIFHATKMTVDDKWTLNNPCYFCDKCYYLLHYKEDNSLLYHHTVYDYLQE >OGLUM01G45630.1 pep chromosome:ALNU02000000:1:42902673:42905153:1 gene:OGLUM01G45630 transcript:OGLUM01G45630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MERRPHVATRGRGAPRLILPPFHVPPRRPRHGPPMATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPELQRRLAPLHVVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >OGLUM01G45630.2 pep chromosome:ALNU02000000:1:42902968:42905153:1 gene:OGLUM01G45630 transcript:OGLUM01G45630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2358) [Source:Projected from Arabidopsis thaliana (AT1G65230) TAIR;Acc:AT1G65230] MATATATAAALFSSRTLSPSSSPRRRRRRGIPAAVTGFLSRRHAPELQRRLAPLHGEDLISPMRSRRAVQLALVDDSKEVETAAGDGAEERSQTDKLVDGMDFGELCNDFECISSPYVEATARQLARDILDLRDDNRAFTCYAVSVKYKDPVRTFVGREKYKRPLWITKALENPTVTVQEMSMQSTSNLTIKWTFRGKPKNPIFATIGGDLIVSVTSQFVLNQISGQVLEQVDSWDLSASSPPAQAYFWLSRRAFSTVEAGKDTIEAAKGTASRLSSKKDENLEVYPDPSGDPTKFFQRPDDGLNQDVYQIALFLAVLYFIVQFLRTTL >OGLUM01G45640.1 pep chromosome:ALNU02000000:1:42913269:42914442:1 gene:OGLUM01G45640 transcript:OGLUM01G45640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGGGGGGEARVTPAVAGEQRRAALEMRKERLVRKASSLATRCDVPVAVICPGVGAGGEPTWWPSKEEVWAISTRYKSLPEKDRRKHSVDNASYRENQAAAKQGPGGGGGELAMAAAQVDGIAAMPDVELLELLRSIDVSLAAASDTIQKAADEAEQSVSLERARAHAHAGALMVDSQEDAAPPPAASGNGVAYDGEHINLGGYMIEHNRFEAIWREHAIPPPQSLLPDDDGGEPLRLWSFDDGETVVLPDDDGGEPLRLWSFDDGETRWKKPLLGGDDQSSLDFCVDEILDKLMDFRSIL >OGLUM01G45650.1 pep chromosome:ALNU02000000:1:42936299:42937875:1 gene:OGLUM01G45650 transcript:OGLUM01G45650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGHSKLRAPEHHDHELTLTAGKESFRCDGCKEHGYHMRYVCKLGGCRGGFHLLEACAQHRFGDSYQDPFKRYSLYLPSPNIKDSGYIAENSKLVYVRVNGYAYVRDIGRLRTLLKRGRVLHPCCAALPKVIEAEGSVTKLRLTRKLRSPCCKCRHVKLGDRRHTWGYVSDGGGGAGVVQIHVACANDLFREEYEGARLQQQQRTRVERLKARLVNMLRGAATGGGGGVMILPQLPAGVPEESSPSPWTMDSPVVKALLWTICTVGAVITGNPVGISNFLLTL >OGLUM01G45660.1 pep chromosome:ALNU02000000:1:42940211:42942513:1 gene:OGLUM01G45660 transcript:OGLUM01G45660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSVSSSHGPASSPAISTCRPAACGRFPALLGGGVASQRRSLTVVSGPEKRAVIPVRSSGSDTATVGAEAEAVAVTGQVTEVNKDTFWPIVKSAGPKVVVLDMYTQWCGPCKVMAPKFQEMSEKDQDVVFLKLDCNQDNKSLAKELGIKVVPTFKILKDGKVVKEVTGAKLDELIQAIETVKSS >OGLUM01G45670.1 pep chromosome:ALNU02000000:1:42943481:42945082:-1 gene:OGLUM01G45670 transcript:OGLUM01G45670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQHGGDPRLDRLSRALASDHPPPAAAAVHAHLVRAHAGTPPPVIRSLLNRAIRRLSKPHPRAALRLLLLMPRLPVSPDHFSLPFALNAAASLRLLPLGASLHALALRLALLPGRLPVANALVDLYAKCDDLPAAHTALADIAAPDAVSFNSLLCAHARLASVPDAESLFAAMPSRTQVSWNAMVVVYVNAGDVSSARRVFDQMPTRDSTSWSVLIVGYCKCGSMRSAREVFDRMPAKNLVAWTAMINGYAQSGVPKESLALFREMEAAGIEPDAATMVGVISAASQIGSTELAGWVGSYVDKKRIERNDKVLTALVDMHAKCGNVDEALSAFREIAQPDAYPYTALISGLAAHGHAKLALQVFERMQAQSVWPDPITFVGVLTACSHAGLVDKGLDYWEAMVKHYGMERRADHYACVVDMLGRAGRLEEAFEMVQTMPMGPHPGALGALLSACKTHGNVEIAEIVANKLFELEPHNTGNYIMLSNIYAEKEQWEEAERIRSVMRTRLPFKQPGSSWVEDRQRERGRFPLRS >OGLUM01G45680.1 pep chromosome:ALNU02000000:1:42948401:42949005:-1 gene:OGLUM01G45680 transcript:OGLUM01G45680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSWWVVMLLMVVAAAGWGGVAAATAAEAAHEVLRAHGLPRGLLPAGIADFRHDEGSGRFEAALGESCTAQFEVGLRYNATVAGVISYGRIASLSGVSAQDLFLWFPVRGIRVDVPSSGVIYFDVGVVFKHFPLAVFEAPPPCTPDPLLLLTQVREDGSVAGGGAASQ >OGLUM01G45690.1 pep chromosome:ALNU02000000:1:42956384:42961750:1 gene:OGLUM01G45690 transcript:OGLUM01G45690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVENGGVVGGEVVAGKRGKTPQGWKCMPFIIATETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDVYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSVLYLSLGFRIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMTIIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPRDINQQGLMLYNPPSKGNRVFRLPLTSQFRFLNKGAIVMGDDINVDGSARNSWELCNVQQIEEVKCLIRIVPVCISGVLCFVALAQQFTYIILQTFTMDCHFGTHFEIPAGSVVSISLIALTLFIPIYDRILVPIARRFTGVESGITLLQRQGIGLAISPISMVVAGLVERKRRNSALSNGGISPMSVLWLAPQLVLMGIAEAFNAVGQIEFYNKQFPENMQTLAGSLFFCTIAGANYLSTALANIMRKVTTRDGHSSWLTDNINLGKLDYYFYFIALMGVLNLIYFLICSHFYQYKVMSLHSEESIKIPTKEEEATEIDIDTDAPSK >OGLUM01G45690.2 pep chromosome:ALNU02000000:1:42956384:42963456:1 gene:OGLUM01G45690 transcript:OGLUM01G45690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGAVENGGVVGGEVVAGKRGKTPQGWKCMPFIIATETFEKVATIGVVANLTVYLVKRFNIGQIEAANITNIFFGTLNFAPLLGAFISDVYLGRFKTLAYGCFASLLGMLGMTLSASLPALKPPICHEKTRLGGGCNSPSTLQLSVLYLSLGFRIIGGGAIRPCSLPFGVDQFDKTDEEGRKGLNSYYNWYYGTSTAALVLSMTIIIYIQNNVSWPIGFGIPTLLMFLAIIMLFLGTNLYVHVQPEGSIFAGIAQVLVASFKKRNLKLPCPRDINQQGLMLYNPPSKGNRVFRLPLTSQFRI >OGLUM01G45700.1 pep chromosome:ALNU02000000:1:42958517:42965689:-1 gene:OGLUM01G45700 transcript:OGLUM01G45700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAAAADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTVK >OGLUM01G45700.2 pep chromosome:ALNU02000000:1:42958517:42963023:-1 gene:OGLUM01G45700 transcript:OGLUM01G45700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNVRIMYDKNSGRSRGFGFVHFSNEYEAKCAKDAMDGKVMLGRPLRISFALDKVRGAPVVVPRLSTVK >OGLUM01G45700.3 pep chromosome:ALNU02000000:1:42963322:42965689:-1 gene:OGLUM01G45700 transcript:OGLUM01G45700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALLSRLRFAPLSHHHHRHLGRALSAAAAADPPPDESPPPPPHPPPPPTPPSNSKLFVAGLSWSADERSLTDAFSSFGTVTEDGVRGRCSMKRLGGGSGCSARARPRQMFDEMPVRDLVACSAAIYRHVKSGLFGEAVRLFVGMMRVGVSPNSFTLVGALIAAAGMGNLVLAECIHGWAMKSLLESNPFVRTALLDSYAKCGRPTKAWALFGEMRDPGIVTWNALISGLVHNDLFEEALLVFKRLLFSFGPVHNVVTMISIAQASAGCGDLGLCESAHAYSVKIGLDSDVSVTNSILGMYLSFGSLAIGREIFKKIAVNDVVSWTMMMGFLLEEAQAIEVIRMFVQMRSSGIVPDRVALVTVAQACAHLGDGRIGKLVHNEIVIRGFSGELPAVNSLITMYSKCEDLSSARLLFDGTMEKSLVSWTAMVSAYIENGYALEGMYLFAKMRHEGSFMIDSVTLVTLLLACYEVAKFELCIQLHAYCYKLGLCLYKPVLNTLIAVYGKCGYATLAHKVFDEMISRNAVSWNTMILSYGVNGQGEKAVSLFNEMEKSSEDQDSVTYLNTLLACSHSGLVDDGMLVFRRMVNDKGIIPCPEHVGCIVDMLARAGRLDEAAGVASLTHNKLGANAWKALMGGGHLHGDMEFTKVAAEKVLTTESFDYGHVVLLSNAYASSGKYRAAESVRSCYAKRITRKTLGLSSIEIVPYSRR >OGLUM01G45710.1 pep chromosome:ALNU02000000:1:42968557:42969860:-1 gene:OGLUM01G45710 transcript:OGLUM01G45710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKDKEKEHEQTASGRNPDVNDVEEEEEDEEGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPDRPDLVLPIPFVPDEKGYAFALKDGSTYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKVMLGTFSPQLEPYTYEGEEETTPAGMFARGSYSAKLKVFKLIKFSSDVLIEFVDDDGKCYLEMSYYFEIRKEWPTIQ >OGLUM01G45720.1 pep chromosome:ALNU02000000:1:42971096:42972848:1 gene:OGLUM01G45720 transcript:OGLUM01G45720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05600) TAIR;Acc:AT1G05600] MVATPVRWPRVLTPAHLAGAIRRQKSPLDAVHLYADAPRRYPRSSYRHNDAVHSSLLAAASASSSDLLPSLLRRILPSSPSADSLLAASIPHLPPAAAVSVFRSSLPSSLAPSWSRSFSALLRRLLSDGLLPEAARLFADFAGRPEVSLASEDLTSLITGLCRARRPELALQVLDEMSNQCLAPEKDAYRAIVPALCDAGMLDEATHVLYSMLWRVSQKGCDEDVVVYRALLVALCAAGRGEQAEIVLDKVIRKGLRSSGSRRSLRVPMLAGLSIEDAQEIIDQALVVRGGRTVASFEVMVIDLYDEGRLNEADNLFKEMGKKGFKPTIYMYEAKITSLCREGRLDEAVKVLEEELPKNDLVPTVTTYNLLMRGLCNSMQSMRALRYLGRMDKQLGCVARKETFSILISGLCSESRFIDAAQIMERMVKGHHRPEAGEFNNVIEGLCSAGRTYDALLWLEEMIDHGETPDVHVWSSLVSAALGLG >OGLUM01G45730.1 pep chromosome:ALNU02000000:1:42973436:42974869:-1 gene:OGLUM01G45730 transcript:OGLUM01G45730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKTSIALIANQQTRATTYKKRKAGLIKKAGELATLCDIPVAVVCAGPDGGAPTVWVSPEGGDAIERYRALPAEKRARHTHVAYLQEELDKERAKLARLRQKGRPGELDPPDAVLDGMSQDELQQLLASIDATLLATAKRREALGLLPGADDDADGGGRRRDADGTNSVGVHGYQHQEVHAPATCDPFHPYNAGVTLMQPGYNNAQYMGGHGAVDMSGYQLQMQVPGNGSNNHSRLAWGGFQPCNATFVQPVYGNLQCWDNNVVDGNGEPCDAIVPSAGDPYMDIAGNDVYGNQMQPAPAANGGWHDPGTWGYDGGEPCKAIVPSSGDPYMGIGVYGNQMQPAPAPAANGCWHNPAGTWGNDGEPCNAIVPSAGHPYIDIECDIDGNYIDTTVFDYQTTSTSDNFMDAPVQFIATGSDESIVTNVAGCDETEFSIDDLLQCSDASQHSSGLEELHYLSDLADGFDFGCNFDVLLD >OGLUM01G45740.1 pep chromosome:ALNU02000000:1:42975562:42976918:-1 gene:OGLUM01G45740 transcript:OGLUM01G45740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDDCKWRQIPAFGDWNIWDDMPVTQYFESGTFFFTAQAEKDEDLFKVPQFPANPYNYKKCVVRVKGEKENANTNANANAVRVRKGGRKQQYLNEQQKWKPKTAVDEDLYKISPKLICRVKKAKEVAEEFARRVPWRELHRLKNN >OGLUM01G45750.1 pep chromosome:ALNU02000000:1:42991499:42996666:-1 gene:OGLUM01G45750 transcript:OGLUM01G45750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVLYSERGSSGDCGDHQMAHLPQLGHFFPELIHGCWDESEMIGCGSPNWGSSRPCSWLARVIISIT >OGLUM01G45750.2 pep chromosome:ALNU02000000:1:42991048:42996666:-1 gene:OGLUM01G45750 transcript:OGLUM01G45750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVLYSERGSSGDCGDHQMAHLPQLGHVEEAPGTPHLDGICVARSASMFSRLRSMSLRSQRHWKSAFATALQHSFVGAFSALPTVQYDRHSATADRSTPHIDSPAAPPAAAAATTNATSTTALTLPAIVVVVVGDRIDQRLYASLAKCVVGVRRVYVVWYVAKMAIYGRLI >OGLUM01G45760.1 pep chromosome:ALNU02000000:1:42995160:42998248:1 gene:OGLUM01G45760 transcript:OGLUM01G45760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANACPTSTTSSLPLFFLFCFLLFSHARCNQGHHGSISDYGEQYPHQTLPEEHIHLQENIKVLNKERLPKYARRMLIGSTAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >OGLUM01G45770.1 pep chromosome:ALNU02000000:1:43000273:43002821:-1 gene:OGLUM01G45770 transcript:OGLUM01G45770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPPHALATLLSRLRACSSASHALQCHALLLTSGHLAASPARLSNLLLLALASASASASPSAADHADSVFAHLAEEASRHAFPWNTLVRLHAAASPRRSLLYFSRMRRAAVAPDAYTFPAVLKACGCAPGCRVGLVVHGEAVRTGLDADLFTRNALISFYCRIGDCRSGRKVFDHGVRDLVSWNSMVAGYVGCGEVDLAQDLFDEMRQRDAFSWATMIDGYGEMAGGVDRARELFDQMPDRDLVCWNSMIDGYARHGRMDEARVLFEEMPERNVISWSIVIDGYVRFGEPNEALEFFQRMLRCGIKPDRVAAVGAVAACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYVKCGRLDLAKLIFESMPKKSVVTWNVMIVGLGTHGYGLDAIKLFNQMETERAPMDDLSVLAVLTSCTHAGLVSEGLGIFYRMEKDLGLEPKVEHYGALIDLLGRAGRVDQARNTIETMPMEPTPELWGSLLASCRSHRCVELAELSVERLASLGADDSGVYVLLSNIYADEGMWDDVFRIRKLMSAEGMKKNIGRSVIEVDGQIHEFVNGVSSPDYYPASLVQEHEVLFWRFGTLAFFAKELGDCDKVENRTRLNHNFNPD >OGLUM01G45780.1 pep chromosome:ALNU02000000:1:43004008:43007455:1 gene:OGLUM01G45780 transcript:OGLUM01G45780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPAPSSSSPRRRGRGLAYLLVSVLLLASRVPGAAGADSEFEDGVSPKFPGCDNPFQKVKVTYWVDGDERSSLTGITARFGEVLPATGSDGDKRKAVVPAPKTGCAKSSAPLASSIAVAERGECTFLEKAKTAESGGAAALLLINDEDGQVLRVDLQKMVCTQNDTVPNIGIPVVMVSQSAGRKILSGMDGGAKVDILMYAPEKPSFDGAIPFLWLMAVGSVACASVWSFVVVGDEDKNAPTLGGEEAADSEIVELQTKTALVFIVTASLVLLFLFFFKSTWSAWLLVVLFCLSGLQGLHYVASTLIVRACDRCREAKVALPVLGNVTVVTLVILPLALIFVAVWAVHQNSPFAWVGQDLMGICMMILVLQVVHLPNIKVATALLVSAFMYDIFWVFISPFIFKKSVMITVARGSDEGPSLPMVLKMPKEFDTWNGYDMIGFGDILFPGLLVAFSFRYDRANGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMKSGQPALLYLVPSTLGTIVTLGAKRGELSQLWNAKV >OGLUM01G45790.1 pep chromosome:ALNU02000000:1:43009282:43013064:1 gene:OGLUM01G45790 transcript:OGLUM01G45790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPGRKAPAGAGGIRRWLSTVVVSVVALVLTLVVISLSVGSSLTGASLHEYLFVRPSDSSKLTDGNMNGTAVGVPLQEEVLQGGKEVPVEHGVQSGGVNSNETGKIDTKVQDPAVTDDTASVPDEGNLPVSSDSSDNLQKTNEGSCDLYHGHWVFDSSGPLYTNNSCPIITQMQNCQGNGRPDKDYENYRWKPEQCILPRFDGPKFLELMRGKTIAFVGDSVARNQMESLLCILWQVEAPVNRGSRRMSKWIFRSTSTIIVRIWSSWLVHRSTEAVGFAPKGIDKVFLDIPDETFMEFIPRFDVLVLSSGHWFAKRSAYILNGNVVGGQLWWPRKAGNMQINNVDAFGISVETCLTALATNPNFTGIAIVRTYSPDHYEGGAWNTGGSCTGKTKPLDVVVRNGFTDTMYGKQVSGFTKAVQNSGKHGSRLKLMDITEPFALRPDGHPGPYRNTDPNKKTQRGPDGRPPPQDCLHWCMPGPVDTWNEMLLETIRREFEGVRS >OGLUM01G45800.1 pep chromosome:ALNU02000000:1:43013679:43019744:1 gene:OGLUM01G45800 transcript:OGLUM01G45800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRPVAAFGGFTKKLKPASLPRGYLVQDAAGPPQTRNTTHTRELAFAL >OGLUM01G45800.2 pep chromosome:ALNU02000000:1:43013679:43017068:1 gene:OGLUM01G45800 transcript:OGLUM01G45800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRRESSYYA >OGLUM01G45800.3 pep chromosome:ALNU02000000:1:43013679:43019611:1 gene:OGLUM01G45800 transcript:OGLUM01G45800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRQVTGVQ >OGLUM01G45800.4 pep chromosome:ALNU02000000:1:43013679:43017068:1 gene:OGLUM01G45800 transcript:OGLUM01G45800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQSLAQLPPCLAVPLARVREAIVGGGSAGAVLGLRGDGRGGGHLCPASTGNLLYVFSRLAHPAATVAFTMKPQYLLRRESSYYA >OGLUM01G45810.1 pep chromosome:ALNU02000000:1:43018046:43018927:-1 gene:OGLUM01G45810 transcript:OGLUM01G45810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYIRLDDAVRARLRGDAGSSASSGSEHEASACLSGLVQAFLETEGAAAGEDGAGPASKGGEGYDSDDGDGPERAAAAAESVRELLDPPVEEDPFRVRLAAAVAAAMEAEPALRRYGAAFRRAVARRLRAAGYDAGVCKSRWEASGGITAGTYEYVDVVAPAARGQKSRYIVDADFRAGLEVARATAEYAVVVAAVPASVVVAREEAVGRAVRVAADAARRSLRSHGLHVPPWRKTRYMLAKWLGPYKRSTATSPSAAGAMPMPAAAAGMDVKCRAVGFFTPPPAAPAARIK >OGLUM01G45820.1 pep chromosome:ALNU02000000:1:43038396:43038866:1 gene:OGLUM01G45820 transcript:OGLUM01G45820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ44] MAARCPVGVASVLLLIVLVTVASPASGARSGGGGGIRELRGGGAGRRVGGRTEVRDVEGDREVQELGRFSVEEHNRRRRSRDCGDVRLEFGRVVAAQRQVVSGLKYYLRVAAAEEGAAGQNGGEPRVFDAVVVVKPWLESRTLLTFAPAADSPNES >OGLUM01G45830.1 pep chromosome:ALNU02000000:1:43042390:43052375:-1 gene:OGLUM01G45830 transcript:OGLUM01G45830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAIQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFSDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPVFIIHG >OGLUM01G45830.2 pep chromosome:ALNU02000000:1:43040629:43052375:-1 gene:OGLUM01G45830 transcript:OGLUM01G45830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAIQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFSDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRACNVVFSYSVSISLPTSNVVALADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPMYRGER >OGLUM01G45830.3 pep chromosome:ALNU02000000:1:43042390:43052375:-1 gene:OGLUM01G45830 transcript:OGLUM01G45830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRCGGGGGGAAAVEEHGAVRHHRPLTPRQQQQLRTVVESLRLDPLEVDEGARLEIARQSYRAGDYKAALEHCNAVYRANPRLLENLLLLGAVYYQLREFDMCIAKNEEAVAIQPNCPECFNSIANAWREKGDVDNAIQFYVHAIQLRPTFADAWTNLANAYTRKGNLSQAAECCHQALALNPHLADAYCNLGDVLKAQGLYREAYSHYLDALNIKPTFANAWNNIAGLLMQWGDFNKAAVYYKEAIKCNPAFSDAHLNLGNLYKVTGMRQDAIVCFQNAARAKPENAVAYGNLGNAYHEQGQLDLAILSYRQAIHCNSSYVEAYNNLGNALKDAGRNEEAISCYQTCLALQPSHPQALTNLGNVYMERNMMDIAASLYMATLTVTTGLSAPYNNLAMIYKQQGNCNHAITCFNEVLRIDPMAADCLVNRGNTFKEAGRITEAIQDYFHAVTIRPTMAEAHANLAAAYKDTGLLEASIISYKQALQLRQDFPEATCNLLHTLQCVCDWDDRAEKFVEMSSLPSVQPFHAIAYPIDSTLALEISRTYAAHYSLVASRFGLPTFTHSYPVPISNDGRTSRLRIGDFGNHPLSHLMGSIFGMHNQDTIEVFCYALSQDDGTEWRQRIRSEAEHFIDVSSMSSDMIAKVINEDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGADYIDYLVTDEFVSPLKFSHIYSEKLVHLPHCYFVNDYKQKNRDVLGPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSALWLLRFPAAGEMRLRACNVVFSYSVSISLPTSNVVALADAISKGVRADQIIFTDVAAKNEHIRRSALADLFLDTPLCNGHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGIGEEMVVNSLEEYEERAVSLAENPLKLETLTNKLKAVRMTCPLFDTARWVKNLERAYLHMWNLHCSGRHPQHFKVVVLFSEIVGLDSFKLQYPPCPVFIIHG >OGLUM01G45840.1 pep chromosome:ALNU02000000:1:43040708:43041154:1 gene:OGLUM01G45840 transcript:OGLUM01G45840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ48] MASKLYYAVAPLVLVLLLLAPLSSARLAAAAADDDDGQWPAGGGRGRKVGGRTDVEDVEGNREVQELGLFCVVEHNRRGGSATRGRGLVFSRVVAAQTQVVSGIKYYLRIAAQEADDELVFDAVVVVKAWVPSREMVSFVPAAELPGY >OGLUM01G45850.1 pep chromosome:ALNU02000000:1:43054749:43061848:-1 gene:OGLUM01G45850 transcript:OGLUM01G45850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILSPTKTILLADCSSKKAHAFNPRKGGGCKDLQQQQQQLVLLFHSFTVPPLFLALSRTRKAPAFTLSPLAFPHPRRSGIWWLLASRVSFAGLGRSWELGNSGGLVGFRGELRQIFEMNCGPPDQLPPATAPSCFLNLNWDQSMDAAAGGHLDPALSSMVSSPASNSTGALHGISPQPHYGGGTPLSSPPKLNLSMMGQFHHYAAPPQVGGGGGGGGGGGLPILENLMPMGHLDQFLADPGFAERAARLSGFDARGGGGGGGYGGAGPAQFGLPDAGAAGASKEMELGNTRDESSVSDPAPGGAEIPPKGASDGNARKRKASGKGKGKDSPMSTSAAKEDSSGKRCKSTEESNAAAEENSGKGKAAQSNSENGGGKKQGKDSSSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLAKDMHQSCSPLQSSHFPLETSGAPLPYINQPQQGNPLGCGLTNGMDNQGSMHPLDPAFCRPMGSHHPFLNGVSDAASQVGAFWQDDLQSVVQMDMGQGQEIATSSNSYNVLEGSENKLNFRICLSQKRVHMKIQDYLTASPADFFSTISMGIDNVMRYKKETALQQMRRSHGKKRGAKGETGTVHIHSHKQSIIKPCLRLLADNFYSRRDIQS >OGLUM01G45860.1 pep chromosome:ALNU02000000:1:43071675:43082505:-1 gene:OGLUM01G45860 transcript:OGLUM01G45860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNSPYYDTSSYGAGSGGGGGGGNRRSSSPPRGAGDKKETKTKDYQSYTSNNNNNGSDDDKDKNKHKITSSNKHKDDEKDRNNHSNYYGSSTGVVAGSGSYYGGGGGGGGYGGGNTSYGRSLSYARN >OGLUM01G45870.1 pep chromosome:ALNU02000000:1:43084951:43085751:-1 gene:OGLUM01G45870 transcript:OGLUM01G45870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWKKSSGKSSSSSSQYYAGSDSSSFAGGGGDDRYGRAGSGNGRSPWTTSEEYPRYTSDDDNRSGSGSDDDDDHGDRNRRRGKKSNKKKSSSSSSSYKYDDDGGERDRSYSNYGGYGNNEGYSSSAPSGYNPYNNGGGYGGPSYGNGGGYGGSTYGNGGGYGGSSYGNGGAIVAGGGGGSAPASYGYGSNSGWGAPAPPRQEGGSGSAPTYLNVIYMTQPGSSSSQNENSGERRDNGGGGGGRNGLFGPTFQAVGGYMDRRFGFD >OGLUM01G45880.1 pep chromosome:ALNU02000000:1:43089980:43092784:-1 gene:OGLUM01G45880 transcript:OGLUM01G45880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ52] MGKRQQQRRLVRLAVAAAVVVAAALILTASAKKSGDVTELQIGVKYKPESCTLQAHKGDKIKVHYRGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNISCRPH >OGLUM01G45880.2 pep chromosome:ALNU02000000:1:43089980:43092784:-1 gene:OGLUM01G45880 transcript:OGLUM01G45880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ52] MGKRQQQRRLVRLAVAAAVVVAAALILTASAKKSGDVTELQIGVKGSLTDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTTGGASNISCRPH >OGLUM01G45890.1 pep chromosome:ALNU02000000:1:43102369:43103025:-1 gene:OGLUM01G45890 transcript:OGLUM01G45890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNSSGRSFSGSSYSNSSTDTYGSGGRQQQQQQYEAAAAGDKTSAKRQPPPPSRLKKTSGKNDDAATAAVVGYAASGGGYGGSTKANAGANYGGGGGYGYNGGYNAGSVTLYGAGVGTPYYGGGGGGGYTGGGSVPYGGGGGVPAGYWTPQNGARSPLYINTREVHVYGGPHGPYDGGDGYGGGGGSGERRRSGGFFRPAFEAVGHFFDRRFGFHSSD >OGLUM01G45900.1 pep chromosome:ALNU02000000:1:43108019:43114189:-1 gene:OGLUM01G45900 transcript:OGLUM01G45900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin family protein [Source:Projected from Arabidopsis thaliana (AT1G31730) TAIR;Acc:AT1G31730] MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIISRELDHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVSLFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEDPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGNMYMVLGDIFRKGDTASNIGNAILYECICCISSIFPNAKMLDAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLYDVAEAHPTDDTVRAYAISAILKIFAFEIALGRKIDMLPECQSLIDELSASHSTDLQQRAYELQALLGLDKQAVENVMPADASCEDIEIDRNLSFLNGYVQQAFENGAAPYIPESERSGVVSVGNYKAQDQQETSAHALRFEAYELPPAASQASISPTTDLVPVPEPSYYKEDHQMSRSQPSGDSLSGEFGLKLRLDGVQKKWGRPAYSSSSTPSSSTSSQQATNGGVSSEVGGSTSSQARESTYGSKRQQATEVSAEKQRLAASLFGKADRKAQAGRKTAKESSSTEKVATANATPQPAKEQVIPSAPPPDLLDLGEPVSSSPPLADPFTQLEGLLGPASASPVVSETPASSTLKTPDLMSIFSDDVQTGVTSGSTEPSLGVNVVAAKKGPSLQDALQKDAAARQVGVTPTGNNPILFKDLLG >OGLUM01G45910.1 pep chromosome:ALNU02000000:1:43115489:43120276:-1 gene:OGLUM01G45910 transcript:OGLUM01G45910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41020) TAIR;Acc:AT2G41020] MDNSHEQPLPPGVGAWPPPPSIHPAKFQPNPQPYATPYGATPNNGSNHNAASYSAAAQPTMPFPNMDAGGAQSNQTAHEVSNHNDSAADIESAVQEAVLREQEHLLKMTAEHRAEMANKRGKPLHADNGNVEIGNGYGVPGGGAYYAANMSSDKPKDIADKAKGADDLPEFLRQRLRARGILKDEATNNSFTIKQNVDSPVGQIKAAQELPPGWVEAKDPTSGASYFYNQSTGTTQWDRPGAPLNTMQHQAPPSSSLPENWEEALDQSTGQKYYYNTKTQATQWEPPTAVNPGVAPHAPTNAAVEMAAQNTDIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTTHSSSNKNPGNVAAKDRSSAKPPFGKANRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVASHGKKRGMAPITKRGDGSDGLGEAD >OGLUM01G45920.1 pep chromosome:ALNU02000000:1:43120822:43121285:-1 gene:OGLUM01G45920 transcript:OGLUM01G45920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTLMGFVAVCFNPIGLRCTMGHSGSIGREHRRRGCGRRRWFGSFARLGSATAPEARVLRGGRRTRRRRQSTELPEQESSDGARSCGSSCFPSNLATPPGQIRGRPEAANGDPPAGYRQTR >OGLUM01G45930.1 pep chromosome:ALNU02000000:1:43124350:43127967:1 gene:OGLUM01G45930 transcript:OGLUM01G45930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANGAACCGGATGPGYATPLEAMEKGPREKLLYVTCVYNGTGINKPDYLGTVDVDPNSPTYSQVIHRLPVTHVGDELHHSGWNACSSCHGDPSASRRFLILPSLLSGRVYVVDTLKDPRAPALHKVVEAEDIAEKTGLGFPHTSHCLASGEIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDYWYQPRHKTMISSSWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGSTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAISIKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWAGGLLQKGSEVVYVTEDDKEEQYSVPQVKGHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGQDLVKKGSHMLQIDVDTEKGGLSINPNFFVDFGAEPEGPSLAHEMRYPGGDCTSDIWI >OGLUM01G45940.1 pep chromosome:ALNU02000000:1:43129965:43132990:1 gene:OGLUM01G45940 transcript:OGLUM01G45940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMAKLLITGLSTAITCSSAVAFKGAAVAVVLLLRLVQLPGQLGCLLLCAIREAVERAVAAAFAAAGDAVAAAANAAAGAWRDAAASNGTAAVALVQAAMGRPEALLAAAGEVAAFAWEVAKQTASNSTATFLDAALFVARHARAGGALPTKTLAASASVKPSGRPPSSPRPSSPPPPQQI >OGLUM01G45940.2 pep chromosome:ALNU02000000:1:43130805:43132740:1 gene:OGLUM01G45940 transcript:OGLUM01G45940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNPGYGGQY >OGLUM01G45940.3 pep chromosome:ALNU02000000:1:43130805:43132990:1 gene:OGLUM01G45940 transcript:OGLUM01G45940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNPGYGGQY >OGLUM01G45940.4 pep chromosome:ALNU02000000:1:43131077:43132990:1 gene:OGLUM01G45940 transcript:OGLUM01G45940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNPGYGGQY >OGLUM01G45940.5 pep chromosome:ALNU02000000:1:43131505:43132990:1 gene:OGLUM01G45940 transcript:OGLUM01G45940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNKLGSFLRHSGLTSSASAGSSPAMFNAARLMSTKLFVGGLSWNTNDDSLREAFTSFGDVTEARVINDRESGRSRGFGFVSFANGDDAKSAMDAMDGKELEGRSIRVNFANERPPGNRGGGGYGGGGGGYGNQGGYGDGNPGYGGQY >OGLUM01G45950.1 pep chromosome:ALNU02000000:1:43134579:43135963:1 gene:OGLUM01G45950 transcript:OGLUM01G45950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHHHHHHHHHHRLHLHLDPRHHHHVHIRFCPHHHGHITPHPLAPAPAPAPAAHRTTPAAPWPLHAGAGPNDGPQGEATNEAAALHLEQAEEVILVGEEEEEEEEEPVFVLTDEWAEFFAKSDAKRRLAKQQQKKNKGRKK >OGLUM01G45960.1 pep chromosome:ALNU02000000:1:43138324:43152210:-1 gene:OGLUM01G45960 transcript:OGLUM01G45960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDSEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELVQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNLMPSSSAQGKVSGVIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHEKSASEEQILSRPEKSKEKADDKYDRDPREKTDRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGSRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKISRREADQ >OGLUM01G45960.2 pep chromosome:ALNU02000000:1:43138324:43152210:-1 gene:OGLUM01G45960 transcript:OGLUM01G45960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDSEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELVQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNLMPSSSAQGKVSGVIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHEKSASEEQILSRPEKSKEKADDKYDRDPREKTDRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGSRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKISRREADQ >OGLUM01G45960.3 pep chromosome:ALNU02000000:1:43138324:43152210:-1 gene:OGLUM01G45960 transcript:OGLUM01G45960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO2 [Source:Projected from Arabidopsis thaliana (AT1G24706) TAIR;Acc:AT1G24706] MSPPLQAPDYKHVTEECLREWKGQPAAAAAFRVPDPVPMARFLYELCWAVVRGDLPPQKCRVALDSVEFVEEAQRGEVGSVLADIIAHLGQDVTISGEYRSRLVKMTKSFVESSLIAPRLLQERCEEEFLWEVELSKSKGQDLKAKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIGSDLACQNSSSVTMSIIKSLIGHFDLDPNRVFDIVLECFELYPDNTIFCQLIPLFPKSHAAQILGFKFQYYQRLDVNSTVPPGLFRIAALLVKSGFIDLDSLYAHLLPNDDEAFEHFDSFVTRKIDEVNIHFVLLKFMFLEIFAISRHCERELYASNIGKINLAATGKDLMDDEKQEITIDLYTALEMENDIIDERAPEIEKNQKLGLLLGFLSVHDWDHAQLLFERLARLNPVEHVEICDSLFRLIERSISSVYDIIFQTHYKMPRNPVYMMDTSPSSSQSFSVDPPKEFFQMLSACGPYLHRNTQLFQKVCRVLKAYHLSAKESIRTAGVMSPDSRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKDSEQNPIVLAARQTAKLDTRRLLKRLAKENLKQLGRMVAKLAHANPMTVEAYRDMITPVVDAFKYLTQLEFDILQYIVIERLAQGGREKLKDDGLNLSDWLQCLASFWGHLCKKHHSVELRSLFQYLVNQLKKDTGIELVVLEELVQQMANVQYTENMTEEQVDAMAGSETLRLQASSLFGSTRNSKVLTKSTNKLRDSLLPKEEPKLAIPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSTAVTPTTYVQLIPPLEDLINKYHIEPDVAFLIYRPVMRLFKSTNGGDTCWPLDDNEEGESVSCDDLILHPDTSHKSIMWSDLLSTVRNTFPAKTWNGLSPDLYATFWGLTLYDLHFPKDRYDAEIKKLHENLKQLEDNSDNSSIAISRRKKDKERIQDLLDKLNNESHKHQQHIASVLQRLGREKVKWLNSSPDALKINMEFFQRCIFPRCVFSMQDAVYCAMFVQTLHSLETPFFNTVNHIDVFICKTLQPMICCCTEYEAGRLGRFLHETLKMAYRWKSDESLYERECGNKPGFAVYIRYPNSQRVSYSQFVRVHWKWSGRITKVLNQCMESKEYMEIRNALIVLTKISSIFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGLLDLKQGPAKIVPGNQSADPLTAKDQSVRAKSIEGRHERSEAAMKPDAQQKKNALAANGSDNLMPSSSAQGKVSGVIRGSDEPPKLLSDEGVKVSKPTAESETRVPQKRVAHNAGKVSKHDMVKEDTKSGKSTNRGVTQQGSSVPVDKEVLSQAADGVQDTTSNSLAASNGNLHTAPRKVSASSQKSTLLATHTGGAANSSGESTDLIDSNMKQQKRFVPTEEQDRGTKRRKGESEGRDGDFTEHTDKDKNLDSRLVDKFRPMDHEKSASEEQILSRPEKSKEKADDKYDRDPREKTDRTERRRGEDIERPTDKSLERRERSIERMQERGTDRAPDKGREDRNKEERNKVKHAEPSIDRAHPSDERFRGQSLPPPPPLPASFVPQSVGSRRDEDTDRRGGSTRHNQRSSPRRDDKEKWHLEDNAASLQDDGKHRREEDIRDRKREDRDVSSSKVDDRDRDKSSIVKDESDPNSASKRRKIKRDQSGLEAGEYAPSAPQLPSHGTSSSQVFETRERERKGAISQHRISHADDLPRIHGKDSSKISRREADQ >OGLUM01G45970.1 pep chromosome:ALNU02000000:1:43158016:43159289:1 gene:OGLUM01G45970 transcript:OGLUM01G45970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCAGSSSSARRGRRVAAAEPPRRALRMRASSPVYYTSDDEDLPEIVLTPPAPAPPRTGTRSLRMHASPPDYGRRRTNRPRRVARTRSPPVVQEAEREPPAPQQPAAVVVERVFYMVGSPPDVITATGEGPGGRTVAGFRCRRLTSTRTLVDGEDAAARAAAISGARALVMCSCHGAPFTHAEFLLHAGGTDLGRNVTGYPWLGDEMELTPPGAGGPHL >OGLUM01G45980.1 pep chromosome:ALNU02000000:1:43163535:43171453:-1 gene:OGLUM01G45980 transcript:OGLUM01G45980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGSRGSGCILSPCCYAINCNIPNRPFGFCSFTPKDAVDCGLDRS >OGLUM01G45980.2 pep chromosome:ALNU02000000:1:43163535:43171453:-1 gene:OGLUM01G45980 transcript:OGLUM01G45980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGSRGSGCILSPCCYAINCNIPNRPFGFCSFTPKANLCIRYVLLMNLAGECRDAVDCGLDRS >OGLUM01G45980.3 pep chromosome:ALNU02000000:1:43170992:43171453:-1 gene:OGLUM01G45980 transcript:OGLUM01G45980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPTAPPSTFLFLLLFLLAASNCSATSQVGGAGEEVEVARMVPVVEGGGGFSAMVLNETRRRLGSFQLCAPCTCCGGGGGGSRGSGCILSPCCYAINCNIPNRPFGFCSFTPKSCDCLGCNL >OGLUM01G45990.1 pep chromosome:ALNU02000000:1:43164570:43167721:1 gene:OGLUM01G45990 transcript:OGLUM01G45990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWREGAGWCFCSGGGGGRSERVKAAIFSAKAAALAAVGGGHGGAGVLIHRNLLLTTHGNLPSAAAAEDAEALLGHARLAARLVPHRFFITSSILDLTIVGLDPAENETTLQAQQPHYLKTCCKPSLDHGSVVYLLGHTGKKELVIGEGKVVIGTDNLLKLSTDGVTWCPGSAGFDAQGNLAFMICDPMKLASSPTTRSSSTSSSSSHSSKKDHPMQFGVPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPADDENDDTSVSSQVTSKPKHQQASGSSANARISHDANPLVDLRANNEQGVSTPEIYESPRQSSCQGQKDAGSVQLLDINFPPSAPKTIFLPLPLKQMLSDENNADTSKPRNQSRDNGFPSGIIWHRNCEALSRDPPVAPLQDDCSSEGQSSSSPVELLEYRNEDHFSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYRRWSSPRMSTIQNGTLRKQHTLVPVRKSHSQNTSLPQRSHDYLSPTVSSAMKKRNSMEQQLPTKPRRSIAQTSPKWMF >OGLUM01G46000.1 pep chromosome:ALNU02000000:1:43173095:43173665:-1 gene:OGLUM01G46000 transcript:OGLUM01G46000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRERMARTSWESLTLTAAGVAATGEDLGDAATVLLPGANDIPDRRRDVDIVRGSEAAKEERQRVASAKTQLMTRSLRMEMGALSVEAETEGSLLRETAALRAQAEGVVEEERRGRGEEGGDEVVCAWTRLRRRGWGRRPARGTASRRPPEWASLTISLD >OGLUM01G46010.1 pep chromosome:ALNU02000000:1:43179324:43183365:-1 gene:OGLUM01G46010 transcript:OGLUM01G46010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGRASAPAVVTVTASAAAPTPPPPPPPPPSQLPATAAATDEPSHDPAALYGEGMWQQMTMSGSGAMQPGPYPERSGEPDCTYYLRTGLCRFGMSCRFNHPQDRNLAIASARMKGEYPERMGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPSEKECAYYLKTGQCKYGNTCKFHHPELFNAMASSRGSPIYPSVHSSATAGPPSYTGTMASWAFPRGSFIPSPRWQNPSNYAPMIVPQGLVQVPSWNSYTGQMMPVSSSESRLQSPGAQQTYGTSQQVDASAGNQGMLSPYRSSSYPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSMPTPDCVLSPVGLPLRPGEELCKFYSRYGICKFGANCKFDHPTMAPPMGVYAYGSASTNVPMVRRLLQSPSASAYTS >OGLUM01G46020.1 pep chromosome:ALNU02000000:1:43189080:43196245:1 gene:OGLUM01G46020 transcript:OGLUM01G46020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSFWLFILLVSFIPISAWAESRDISTLFTLRDSITEGKGFLRNWFDSETPPCSWSGITCIGHNVVAIDLSSVPLYAPFPLCIGAFQSLVRLNFSGCGFSGELPEALGNLQNLQYLDLSNNELTGPIPISLYNLKMLKEMVLDYNSLSGQLSPAIAQLQHLTKLSISMNSISGSLPPDLGSLKNLELLDIKMNTFNGSIPATFGNLSCLLHFDASQNNLTGSIFPGITSLTNLLTLDLSSNSFEGTIPREIGQLENLELLILGKNDLTGRIPQEIGSLKQLKLLHLEECQFTGKIPWSISGLSSLTELDISDNNFDAELPSSMGELGNLTQLIAKNAGLSGNMPKELGNCKKLTVINLSFNALIGPIPEEFADLEAIVSFFVEGNKLSGRVPDWIQKWKNARSIRLGQNKFSGPLPVLPLQHLLSFAAESNLLSGSIPSHICQANSLHSLLLHHNNLTGTIDEAFKGCTNLTELNLLDNHIHGEVPGYLAELPLVTLELSQNKFAGMLPAELWESKTLLEISLSNNEITGPIPESIGKLSVLQRLHIDNNLLEGPIPQSVGDLRNLTNLSLRGNRLSGIIPLALFNCRKLATLDLSYNNLTGNIPSAISHLTLLDSLILSSNQLSGSIPAEICVGFENEVHPDSEFLQHHGLLDLSYNQLTGQIPTSIKNCAMVMVLNLQGNLLNGTIPVELGELTNLTSINLSFNEFVGPMLPWSGPLVQLQGLILSNNHLDGSIPAKIGQILPKIAVLDLSSNALTGTLPQSLLCNNYLNHLDVSNNHLSGHIQFSCPEGKEYSSTLLFFNSSSNHFSGSLDESISNFTQLSTLDIHNNSLTGRLPSALSDLSSLNYLDLSSNNLYGAIPCGICNIFGLSFANFSGNYIDMYSLADCAAGGICSTNGTDHKALHPYHRVRRAITICAFTFVIIIVLVLLAVYLRRKLVRSRPLAFESASKAKATVEPTSTDELLGKKSREPLSINLATFEHALLRVTADDILKATENFSKVHIIGDGGFGTVYKAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADALEALGWPDRLKICLGSARGLAFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGLTMKSTTKGDVYSFGVVMLELLTGRPPTGQEEVQGGGNLVGWVRWMIARGKQNELFDPCLPVSSVWREQMARVLAIARDCTADEPFKRPTMLEVVKGLKMTHGMECGPLVVTVSRDM >OGLUM01G46030.1 pep chromosome:ALNU02000000:1:43202269:43202939:-1 gene:OGLUM01G46030 transcript:OGLUM01G46030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGKEQRRMDRFIVIPFSSTCRNGSSVDVVDGGKSGKKPQGGGGGGEGGGGAAENKPKGESLVARLLRGFKNLSQIFAVYEDDGEEEEEEEREMVIGLPTDVKHVAHIGWDGSTNTTTSLRSWNRAAPPSSSASAASTSSALPAPAPAPPPSQQQPPLPAFSMRQFELAMAAQAAATGTTTAS >OGLUM01G46040.1 pep chromosome:ALNU02000000:1:43208333:43209365:-1 gene:OGLUM01G46040 transcript:OGLUM01G46040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPELRRTMTLSEQLSAPDQAIRDFLKIPHDGLGGGDGEGGRIPAAAGASGGGGGVGGMINWKPLRDRLRLRRAASAWMSASPKPAASNSGGGGGGGATLSSSNSGSNHSNKYNYSPGEAAAAFSRTFSRTRSLRPTPTFSRATSTRLGATAFSSGSRRAAVDGGGHANEDDDEDHTDDDDDDDEEEQGKDDAPAAQIGTRRTRTTKATAAAAAAGATAAAAAAXXXXXXXXXXXXXXXXXGGGGGNGGGGGGGGGARKNGDGDDDEDDGEGREEEMVHVCCVCMVRHKGAAFIPCGHTFCRLCSRELWVSRGNCPLCNGFIQEILDIF >OGLUM01G46050.1 pep chromosome:ALNU02000000:1:43222037:43223870:-1 gene:OGLUM01G46050 transcript:OGLUM01G46050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWQSQEYDLQKDLLAEEAADPSSCLWSEANQNAGDAWTMFDEQTPIKHCTDIDFQFCDIGDIIVKDFDEGKETLQAKRRRMLQFCSDNVEMDCAMAADGLSENLQLNLGFSEMTPGDQCLLNCDGTEELPEEWLVDCSPQESEPQCPPEDMSVHLSSPAVAMEEANLSVHKNSSSREQGNTVQKNTVQARPTPLKAGKNIIRARKVKTSVVYPFELIKPCGFHGDVTLHDINQRIHAPPPYKIKHKIDEEQLSYQTSAISGKPVVHKTKIHTEGGKGSITITRTRG >OGLUM01G46060.1 pep chromosome:ALNU02000000:1:43224794:43227319:1 gene:OGLUM01G46060 transcript:OGLUM01G46060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNSILAEQEREGLDPRFALSTHPAMDRCKEQEIGAIRTETGREQRRERRGRRNWEMETGEEGVGTHEFRGGSNTKTDSQSPGTQRTNVHGTERTVSKAKQKHAASRS >OGLUM01G46070.1 pep chromosome:ALNU02000000:1:43227558:43229300:-1 gene:OGLUM01G46070 transcript:OGLUM01G46070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAGEDEKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >OGLUM01G46070.2 pep chromosome:ALNU02000000:1:43230425:43232163:-1 gene:OGLUM01G46070 transcript:OGLUM01G46070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVRPCTSTSTSTGSSSSPSSRQCHHSSSVIQRRAARASERACEMSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDMNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGCLPA >OGLUM01G46070.3 pep chromosome:ALNU02000000:1:43227558:43232163:-1 gene:OGLUM01G46070 transcript:OGLUM01G46070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVRPCTSTSTSTGSSSSPSSRQCHHSSSVIQRRAARASERACEMSAAGEEDKKPAGGEGGGAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDIKSIAFLFDGRRLNAEQTPDQLEMEDGDEIDAMLHQTGGSLPA >OGLUM01G46080.1 pep chromosome:ALNU02000000:1:43233591:43236334:1 gene:OGLUM01G46080 transcript:OGLUM01G46080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRRAVNLRWSSSETEVEAAIAVEGGCGVDLAMVGRALGLDPATVRLNGYFVSRGRGHVSSAVTWRALLDFFAARGLPTGDAPAAPVAVHGKPAPPPPPPPVSDCTINVCPKRKFGLVSDCTTEICPKRKFGLVSDFTTEVCPKRKFGLASDCTTEVCPKRKFGLVSDCTTEVCPKRKFGLYAGKSLKKSKNSEDGILSRTGADILSDEITLGLKRRLKLDDANPAKKMKQIECSTVNGAETQQPVKFSCSFINGHGKRSRDEEMITSFSCKRVR >OGLUM01G46090.1 pep chromosome:ALNU02000000:1:43237021:43239678:-1 gene:OGLUM01G46090 transcript:OGLUM01G46090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAASSSSSSSATMAAAAASAAEASSSGSPASSRNARHRQRKGVRLRMLRRRGRQPVEAERAPGDGGGGAVQEDLALPLGMSFAAVLAQVINTKYISGQRLHPDFLSKIYGDSSNSFIKNFEKSFSSTFRTLHLVNEIPVNERSHIPECSFKHDDSVAVDSLSSSDLQNQTNRIEHDLVNTVESQLVLFASDNQQLTHLRRSRSSPEADNRILNAIEKSVKEKARSNELKEFEIGLTMRKLQLKQSQLALSSHSHMLEKIKLSFGFQKASFKGEKFKTQMQETRDAEILRTLIDFLVSAVIVMSACFGYGTYIYSYQRITDVTSACSATSKGSKSWWMPNSVSNFSSGCHVIAVTRMCFGILMILAIAWLAFQRSSTTGSNMPITFNLILLGIICGFAGRFCTNTLGGDGNTWLMYWEVLCSIHLLGNLFPSLLYHVLHGPISVSHREQVVWLPYWVRRCLFYAAVGLILPALTGLLPFASLSDWKDHFVEEIKSIVIGDKIEA >OGLUM01G46100.1 pep chromosome:ALNU02000000:1:43240232:43240625:1 gene:OGLUM01G46100 transcript:OGLUM01G46100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPIPAQVGPYDYQPAVMCRCGAKAARWISWSVDNLGRRYYRCSNRGAGCDFFDWYEPATSSFLRELLNDLREAVLSLRREKNEL >OGLUM01G46110.1 pep chromosome:ALNU02000000:1:43253802:43257373:1 gene:OGLUM01G46110 transcript:OGLUM01G46110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ86] MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQMVAVAAPPATENADAAGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGEEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIVLDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICVQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHKGVPFVAGTQTINTLAFVFDGVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >OGLUM01G46110.2 pep chromosome:ALNU02000000:1:43253802:43257373:1 gene:OGLUM01G46110 transcript:OGLUM01G46110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJ86] MARSSSAPESMEADHQLTATVTAAASGDMPVTEQQQQQQMVAVAAPPATENADAAGGGGGGGGDNGDHLPPTATSLLGGARRTGLHLFVLNARSALRLDELGEEVLRIAVPASLALTADPLASLIDTAFIGRIGSVEIAAVGVAIAVFNQVMKVCIYPLVSVTTSFVAEEDAILSKGAAGDDDDDDDGHDAKGHGASAAAVADLEKQQVVGVDSAETNGAEVSTAAVRTTDDKKAAAAGVGVGKCRRRFVPSVTSALIVGAFLGLLQAVFLVAAGKPLLRIMGVKPGSPMMIPALRYLVVRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVTGDLANIVLDPILIFTCRFGVVGAAIAHVISQYLITLIMLCKLVRKVDVIPSSLKSLKFRRFLGCGFLLLARVVAVTFCVTLAASLAARHGATAMAAFQICVQVWLASSLLADGLAVAGQALLASAFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGAGVFTSDAAVISTIHKGVPVGVAAVTIPCLVLLSSHGGFVGIWIALAIYMSVRAFASTWRMGAARGPWKFLRK >OGLUM01G46120.1 pep chromosome:ALNU02000000:1:43258293:43259198:1 gene:OGLUM01G46120 transcript:OGLUM01G46120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVKDQPAGVGGIRRSRAVHAEAVLPVRAHAHAGADVGTDVGADARTSARTCASSSASADASADVGTDAGASADSRTSASTSTSTSADASADVRTDVSTDAGASASADSCTSASASTSADARTSASTDVSTDANASSSADASTSASADLRTNASTDLRTDASTDVRTNASACSNAGASTDLRTDASTDLRTYASADASTDAGASANADVSSDASADASTSASASTGAGAGAAVQAEAGLAGDADVGLDGRRVVAKQQKQRQHRHAQHRRPHLAGPRRHRRCFLLRRIGSFIELGLLLQS >OGLUM01G46130.1 pep chromosome:ALNU02000000:1:43259964:43267117:-1 gene:OGLUM01G46130 transcript:OGLUM01G46130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose phosphate synthase 3F [Source:Projected from Arabidopsis thaliana (AT1G04920) TAIR;Acc:AT1G04920] MAGNEWINGYLEAILDSGGAAGGGGGGGGGGGGGGGGGGGGGGGGGDPRSPAAGAASPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQACIDLSLSFSFFLVFMLELEGILRISARRKEQEQVRRETSEDLAEDLFEGEKADTVGELAQQDTPMKKKFQRNFSELTVSWSDENKEKKLYIVLISLHGLVRGDNMELGRDSDTGGQVKYVVELARALATMPGVYRVDLFTRQVSSPEVDWSYGEPTEMLTSGSTDGEGSGESAGAYIVRIPCGPRDKYLRKEALWPYLQEFVDGALAHILNMSKALGEQVSNGKVVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQIMKQGRMSKEEIDSTYKIMRRIEGEELALDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSSVVVPEDTSDGDDGKDFEIASPRSLPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLILIMGNRDDIDEMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHKQSDVPEIYRLTGKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPALNNGLLVDPHDQHAIADALLKLVADKNLWQECRKNGLRNIQLYSWPEHCRTYLTRIAGCRIRNPRWLMDTPADAAAEEEALEDSLMDVQDLSLRLSIDGERGSSMNDAPSSDPQDSVQRIMNKIKRSSPADTDGAKIPAEAAATATATATSGAMNKYPLLRRRRRLFVIAVDCYGDDGSASKRMLQVIQEVFRAVRSDSQMSRISGFALSTAMPLPETLKLLQLGKIPPTDFDALICGSGSEVYYPGTAQCVDAGGRLRPDQDYLLHINHRWSHDGAKQTIAKLAHDGSGTNVEPDVESCNPHCVSFFIKDPNKVRTIDEMRERMRMRGLRCHLMYCRNATRLQVVPLLASRSQALRYLFVRWGLSVGNMYLIVGEHGDTDHEEMLSGLHKTVIIRGVTEKGSEQLVRSSGSYQREDVVPSESPLIAFTKGDLKADEIMRALKEVTKAASGMDWQRPLCKTAYWFQSRVYTNMEEKEEMTMLSLGVGAASKHSISNRKFRLKEVTDHKFNLGDQDHNSGHVRKKLRLSEEQLTVLENMYEAGSNLDQALKQGLAEKLNIKPRQVEVWFQNRRARTKHKQIEEECKNLKRWLEGLNKENRRLKMELMRNAQKDCIKKGIQQS >OGLUM01G46140.1 pep chromosome:ALNU02000000:1:43273538:43278760:1 gene:OGLUM01G46140 transcript:OGLUM01G46140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDFARRVPKRRRTNKLLSQLLPDLNSFPAEGADDGGSPSSSVLVSHAQTSAVAVAGTSQHLVPAVVAGPHIGMSSCPIIVDDIDDDVVIYSASSFPQVRQQAPRTEPVVTIEDDSETTAGQAGDAVDEHVDILLSLTLGRYPRHGHQRSSNISTSPVIHIIDTPSNVLKLEFLQYTYQALPEPEKAVPKEPTFNCPVCMNELVEPSSTICGHIFCKQCIKASIQAQKKCPTCRRKLTMNNFHRVYLPSAE >OGLUM01G46150.1 pep chromosome:ALNU02000000:1:43284372:43289876:1 gene:OGLUM01G46150 transcript:OGLUM01G46150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRVVRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >OGLUM01G46150.2 pep chromosome:ALNU02000000:1:43285973:43289873:1 gene:OGLUM01G46150 transcript:OGLUM01G46150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSQVASVSDGLPQAQGPAAPNDSPRKRFSGVAPPAALIFFVLVFAGGAIVTLDHKENLSILQLRPREMVVSETETRAPTSEVQETETRAPTSEVQEAGTGAAAGGVQRAELAGSSICENQCRPSGSEPLPKGIVQDKSNFEMEPLGGNPERRAAVARPAKSLLAIPVGIKQKAVVDKLVSKFPGDRFTVMLFHYDGEMDGWRELGWSDRAIHVAAKDQTKWWFGKRFLHPDMVAEYEYIFLWDEDIEVDGFDPIRYLRVVRRERLEISQPALDHRSQIHHRLTLRARKGQVHRRFYKTRGGGRCDDNSTGPPCTGWVEMMVPVFSRAAWRCAWHMIQNDLIYAWGLDFKLGYCAGGDRRLAVGIVDSEYVLHRGIPTLGDGGGKPAAKRSSTATDRLAVRQRSYTELQIFNRRWKAAAEGDVCWTDPYP >OGLUM01G46160.1 pep chromosome:ALNU02000000:1:43290137:43296119:1 gene:OGLUM01G46160 transcript:OGLUM01G46160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G12830) TAIR;Acc:AT4G12830] MAPPLAAPRFHAAALPLRLRLRTRPQKLPCWRRAARPNDEDYYLIDAPESIGDGFSFSGGKYTDGPSKSDEWFAQGRMVKAHPVYGNKGKAKDPIFGLTMGAESQSSNDVFRWFCVEAGSSSNPPVLFIHGFPSQAYSYRNVLPVVSDNYHAIAFDWLGFGFSDKPQPDYGFDYTLDEYTSSLESLINAVAPDKLSIVVQGYFAPIVVKYANEHQDKLNHLILVNPPITDKHAKLPSTLACFSNFLLGEIFSQDPLRASDKALTSSGPYMMKEEDAMVYRRPYLVSGSSGFALNAISRAMKKDLKVYIESMRNILSSDSWKTKTTVCWGLRDRWLNYDGVEDFCGSANYKILELPMAGHHVQEDRGEELGKLVKRILSG >OGLUM01G46170.1 pep chromosome:ALNU02000000:1:43292994:43299778:-1 gene:OGLUM01G46170 transcript:OGLUM01G46170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G16530) TAIR;Acc:AT5G16530] MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAGAAAGTVVVAAAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLVILNIFSPGEDKRRVGVG >OGLUM01G46170.2 pep chromosome:ALNU02000000:1:43293315:43299778:-1 gene:OGLUM01G46170 transcript:OGLUM01G46170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G16530) TAIR;Acc:AT5G16530] MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIGAWARFMSKGGCAVSWSITSFSLSTLTNSLVVGVPMARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVDGAEAAAAAGKDVEAAGAGAAAGTVVVAAAAGKPSLWALVKVVAHKLARNPNTYASFVGITWACLANRLHIALPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKIIACGTSFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQASYLYRLDPCTSLSLPFLFLNSTRMHAYLTCFAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLEESVSFGNAP >OGLUM01G46180.1 pep chromosome:ALNU02000000:1:43304201:43308006:-1 gene:OGLUM01G46180 transcript:OGLUM01G46180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRHKSGGAKAKAEWHPAECSRGSGDFSPPPPRTPKPEVEIARAKHTPIPSLRASPRHPHRHLAPPPPPLSAAGASSMASRMALRPNDVTLRLTPPLAAAARRNRRAAAGGVRVYAVASGAVSTKVENKKPFAPPREVHVQVTHSMPPQKIEIFKSLDDWARDNILSHLKPVEKCWQPQDFLPDPASDGFHDEVKELRERAKEIPDDYFVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDFGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTAKDYADILEFLVSRWKISDLTGLSSEGNKAQDYLCTLAARIRRLDERAQSRAKKAGTLPFSWVYGREVQL >OGLUM01G46190.1 pep chromosome:ALNU02000000:1:43310510:43314193:-1 gene:OGLUM01G46190 transcript:OGLUM01G46190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase (CBS) family protein [Source:Projected from Arabidopsis thaliana (AT1G65320) TAIR;Acc:AT1G65320] MAAVFFHHVVGDLTVGKPEVVELHDTDTLDAAARAIAASPEGAVPVWRPRAAPDEPPSGARFLGMISALDIAAFVAASGVGDRAMAAVVGEVVQPNPGLLREVDPGTRLIDALDLMKQGVKRFLVRKNGAWRGISKRFSVLYNGKWLKNMEATSPTSASSSRELSSSTSSTYKFCCLSREDILRFLIGCLGALAPIPLSPISSLGAINPHYCHVDASVPAMEAIQKVPPDPSAVAVVETTPDGTRKILGDISAYKLWKCDYVAAAWALINLSAGQFVIGADDNESTPISAIPVPPISSSLVEEIGPGRSPRAKKFSSRSIGFLNSQAHQMAFGRMRSMYRGRSAPLMCKSTSSLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTDIFNAVTKSVYPAAS >OGLUM01G46200.1 pep chromosome:ALNU02000000:1:43324571:43327320:1 gene:OGLUM01G46200 transcript:OGLUM01G46200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASVHKDLGFPKKLFLASSPTKEKKAANGKGGGGGVSVDLKRKEQQQAAAAGVGVRSPGSGSKDEMFFDSRAWLDSDCEDDFYSVNGDFTPSRGSTPNYKPRTQTVMSNVFVPDNVQNSKSPEPSPTGRRKLAELLQEAMQNGSEDSTDASVPDISKNEKQQFQPVSAAVKPVSESSSTCSTEPTPIREAKNRKEKAWYTGRCCLPAFVHTLDLDEKRQKMTPGPCAV >OGLUM01G46210.1 pep chromosome:ALNU02000000:1:43328255:43330301:-1 gene:OGLUM01G46210 transcript:OGLUM01G46210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Transcription factor, enhancer of /.../w 2 (InterPro:IPR018783); Has 288 Blast hits to 288 proteins in 94 species: Archae - 0; Bacteria - 0; Metazoa - 197; Fungi - 20; Plants - 51; Viruses - 0; Other Eukaryotes - 20 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G27100) TAIR;Acc:AT3G27100] MEKEREPRNEAGSKQAGRGGESNPIMRASINRPPTPNREEDPQKELSLREIINVKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIQSFLMSSSSLW >OGLUM01G46220.1 pep chromosome:ALNU02000000:1:43332661:43340497:1 gene:OGLUM01G46220 transcript:OGLUM01G46220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27180) TAIR;Acc:AT3G27180] MPPPAGLLPWPAPSAARLAAPTRTRPPPRTRVRPPPPPAPPPPPPPRLEPVVVVAPSTTTATATLPPIITPTLSPSTSTCLECVHFNSCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLREWRCRAKLAIRGTPENPLIGLYQEGTHAVADIPECRAISELNIEPFDEDAGTGELRYVQMAVTTYNTSIPVAKRYEQGRVQVSLVWNSRDERSKNAEKLSLLSEIIFGHKWRHLIGENDLWERYGGVDISLDPYSFGQANTLSFNALLHTLHKYVPRGSTVVDLYSGAGVIGLSLAASKKCRSVKCVEINKLSKMSFEKSASRLPPNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVICALQKVALSERKAYKAKSTLTKVKDEKRPWILRAREAAVQVDSTPLEGSSETWPETLIYISCGWDSFKKIWKAGSDKIWYTFTCVINVGPEEFNTLQPGINLIPGRFRGHMLGGAQDEHSLCVNKGHSSEALNMHTPSSVAIPLD >OGLUM01G46230.1 pep chromosome:ALNU02000000:1:43340272:43347592:-1 gene:OGLUM01G46230 transcript:OGLUM01G46230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >OGLUM01G46230.2 pep chromosome:ALNU02000000:1:43340272:43347592:-1 gene:OGLUM01G46230 transcript:OGLUM01G46230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIYSSSAPPSTAAATAAAAAAAVGSSVIPIVNKLQDIFSQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPADAEADEWGEFLHLPGRRFYDFREIRREIQAETDREAGGNKGVSDKQIRLKIYSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMILSYIKHKTCIILAVSPANADLSNSDALQIARNADPDGIHYRLNPLDNSCSRTIGVITKLDIMDRGTDARNFLLGNVIPLRLGYVGVVNRSQQDIKSDLSIKEALAREESFFRNHPAYNGLAQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTTTAKELSFYGDPVESKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELCGGARIHYIFQSIYVKSLEDVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAGFIYDELVKMSHRCLAVELQQFPLLRRSMDEVIGRFLRDGLKPAQDMIAHIIEMEADYINTSHPNFIGGSKAVEQAQQQVRSSRLAAVARREGVDADKSQASDKTQKPRALLGRTGVNGVVTDHLQGLRPAAEAERPGSSGSGSTSFWGSISIFSSTSDDRTHSSAKDNSSNKSYTASTSHLEHSLSTIQLREPPVVLKPSESQSEQEALEIAITKLLLKSYYNIVRKNVEDFVPKAIMHFLVNHTKRELHNYLITKLYRDDLFADMLREPDEITIKRRQIRDTLKVLQQAYKTLDEIPLEADTVERGYSLDADATGLPRAHGLSSSFQDGSSPYSTPKQPRSRKSSHSGEQLPFNPDASGNGF >OGLUM01G46240.1 pep chromosome:ALNU02000000:1:43349777:43351788:1 gene:OGLUM01G46240 transcript:OGLUM01G46240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGGQQSALNQLVSFLLGVSAAAVLIFFFSSAGGGWSTTTDLSSWANGTVAATAKETNLTSTAAHVEEKANLTNSQAAAAEAAKEEEEKELEKLLAAVADEHKNIIMTSVNEAWAAPGSLLDLFLEGFRAGEGIARFVDHLLIVALDDGAFRRCRDVHPHCYRLAVAGRNFTDEKVFMSEDYLDLVWSKVKLQQRILELGYNFLFTDVDILWFRDPFEQMSMAAHMVTSSDFFVGGAYNPANFPNTGFLYVRSSRRAVGVMEAWRAARASYPGRHEQQVLNEIKRELVERRGVRIQFLDTAHVAGFCSNTRDFATLYTMHANCCVGLGAKLHDLRNLLEEWRAYRRMPDEQRRQGPVRWKVPGICIH >OGLUM01G46250.1 pep chromosome:ALNU02000000:1:43353822:43356517:1 gene:OGLUM01G46250 transcript:OGLUM01G46250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKGNLRRFFVFLFELWLAATLVLVLLCVLANTGGSPEMPAAAEVCNCSQIGIASSRISEEVTGTSGNSNESSFADLAELLPKVATDDRTVIITSVNEAFARPNSLLVLFRESFAAGEKIAHLLDHVLVVAVDPAAFHHCRAVHPHCYHLKVDTMNLSSANNFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDILWFRDPFRHIGVYADMTTSCDVFNGDGDDLSNWPNTGFYYVKSTNRTVEMLRRWRAARARYPPNHEQNIFNYIKHELAAGLGVRVRFLDTAVFGGFCQLFRNDMARACTMHANCCVGLGNKLHDLRSALDQWANYTSPAPPEGRKKKSGGGGGDRRAGWSVPAKCGTPDKRG >OGLUM01G46260.1 pep chromosome:ALNU02000000:1:43358134:43358394:1 gene:OGLUM01G46260 transcript:OGLUM01G46260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPPGLLALGNMSGHYYHHLTSFLLGALLPTVLLFFLASDRVSERLPTISSLGNGALVIGGRATAREGGDLTGVDGSATAPADKV >OGLUM01G46270.1 pep chromosome:ALNU02000000:1:43359435:43360295:1 gene:OGLUM01G46270 transcript:OGLUM01G46270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTVIITSVNDAWAAPGSLLDLFRDSFHNGDGIAHLLDHVLVVAVDAGGFRRCKAVHPHCYLLDVVVVSSGAGDAANLSSANRFMSRGYLELVWAKLSLQQRVLELGYSFLFTDVDVMWLRDPFRHITLYADVTISSDHFHGDASDVAGNSPNTGFYHVRPTNRTVEMLRRWRAARSRFPPASHDQNIFDGIKRELAGGELRVRIAFLDTAVFAGFCEYRPDAGKVCTVHANCCVGLENKVLDLKNVLADWKNYTAGLTSPEKKGANKFRWTFPAKCKASLKRH >OGLUM01G46280.1 pep chromosome:ALNU02000000:1:43364615:43366965:1 gene:OGLUM01G46280 transcript:OGLUM01G46280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLGGGGGMAMINRNHVVSFLAGAALPTLLLFFLASDRVSEQLAIVSSWGSGGSSSAAAADHDLRGAGGDAAPPPAQQEKFPGLPELLPKVAMEDRTVIITSVNEAWAAPGSLLDLYRDSFKNGEGIAHLLDHVLVVAVDPAGFRRCKAVHPHCYLLHVKSINLTSATRFMSREYLELVWTKLSLQQRDCDMVLFRDPFRHIAVYADMSTSSDDYSAARAPLDNPLNTGLYYVKATSRSVEMLRYWQAARPRFPGAHDQAVFGHIKHELVAKLRARIEPLDTLYFGGFCEYHDDLARAVTMHADCCVGLDTKVHDLTDIAADWKNYTGMSPEERKKGGFKWTYPTRCRNSIGWRKPVHP >OGLUM01G46290.1 pep chromosome:ALNU02000000:1:43368515:43369955:1 gene:OGLUM01G46290 transcript:OGLUM01G46290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAGMASSKNGLSPVVVFLLGAASATALIVFVFTSTASPAWPTPEATPATRQEKKAAAVACAPRAKGIDSETRRAARTNQTGGGDDDDEFARMVRRAAMEDRTVIMTSVNEAWAAPGSLMDSFLESFRVGENISHFVEHIVVVAMDEGALRRCRAIHPHCYLLLPEVAGLDLSGAKSYMTKDYLDLVWSKLKLQQRVLELGYNLLFTASSTHT >OGLUM01G46300.1 pep chromosome:ALNU02000000:1:43370873:43383669:1 gene:OGLUM01G46300 transcript:OGLUM01G46300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGSSSVRRPVAAARSRSGPEPDARRAAAAAAAAAAARRRGRGDHGPLRLMEVSPRNLLLVGTASVALLAVAFVVYTGVWQGKADGEVETPLRRVVRSVTPLDAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRARTPLSLIAGIMWIGAKNGQYFLRHVCQDSDELSTYGWTDHNGRDYGHQVLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLNAKTDGPSLSEDQESTTHLFFYIADEAGNSITMDSHIPSSRGHVLLASGSREEIGDWKVYLRSEENLEIHRAGFKSISMHNLSDLVQQALATNAMQSGNLNLPDMAEDSSNVIVYQVSMKRSAEVDIVFLSGAASENPMIEERINRLTGPVLSTRLESKQKDFEKRYDQIFNVNNKINPKELSVGVAALSNLLGGIGYFYGQSKIALPKGFTQKNGDKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLINADGWIPREQILGAEALSKVPDEFVLQYPSNGNPPTLFLALRDLASGIHANQFSDEESEKISTFLKRAYVRLSSWFQWFNSTQTGKYEGTFYWHGRDSMATRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCMCSIAEFLKTDSSLEKDYYKMSNQLSDFGILNKMHLDDKTGAYFDYGNHTEKVRLRWYEVRENDVMRRELLRETLQPPQLQLVPHVGYVSMFPFMMGAIPPESWVLEKQLDLISNSSILWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSGLHHYAHEDGPYKDRAKELYDELRSNLIRNIVKNYHETGFFWENYDQKNKGKGKGARSFTGWTSLVVLIMGESYPTLHR >OGLUM01G46310.1 pep chromosome:ALNU02000000:1:43385880:43390656:1 gene:OGLUM01G46310 transcript:OGLUM01G46310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPGGQEKVIAAAQHIVKSLANSKNAADDMIRILSGFDDRLTFMSDLFPPPPPAAAAVREPSVVEEVEEEEAGPDDAREDEVEEAAELVERWDSPEEGDRLVFDSAKDAGDYLDAAAVLVGARGARAEAALQAAMARLEDEFRHLLARGMSPLAGEDLHASLLRRLSLTVPSFASSASDLDCPSFASHTGDGDESGGAGGRASVSDEEISPYLISPDTVGALRGIADVMLRAGYGPELCQVYGEMRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVVRGLLAEERRICNQIFAADAEAEEDCFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALDEVLPELEGLFSGDARDFIKEEAVGILMRLGDAVRGTVAEFANAIQGETSRRALPGGEIHPLTRYVMNYVRLLADYSRSLNQLLEDWDTELENGGDNVNMTPLGQCVLILITHLQAKIEEKSKLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRQRRGQIRRYSTGYLRSSWTRVLACLRDDGLPQTMGSSSALKASLKERFKNFNLAFEELYKTQTTWKVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRNSARYIKYNPEDLENQRENAIEEVPKVARRLVHQLGDYVSESDEPIQVTMFICFSPELCLAFAMNSCAVSVLQICNSIDSVNIFFPKKTEGDHPNVLR >OGLUM01G46320.1 pep chromosome:ALNU02000000:1:43389482:43391084:-1 gene:OGLUM01G46320 transcript:OGLUM01G46320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARREVRTKEEQWRGEERVVESPLGSARWSPEAEIGMRVEDIWDSLDQPQLSDRDRLNSCFDAIPVASFPHTFDGAQVVEIPSDATLAEAVDILSRHRIITAPVRNVDAPDDASWIDRYIGVVEFAGIAVWLLHQSEAAAARADDLGADELAAKLGTVALEGAAAARAPDQQQSAEGAVAEAFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQAAVVHMLAECAGLHWFEDWGAKSLTELGLPMIRPSRLVKVRHDEPALKAFRLMRKRGVGGIPVVDHAGKPTGSIMIKDVKHLLASSDANRDYRTLTAQEFIANARQSSGEKQMNIVTCKKEESIKEIIFKLDAEKRQRIYVVDEQGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVFPLPQNSRV >OGLUM01G46330.1 pep chromosome:ALNU02000000:1:43391286:43395418:1 gene:OGLUM01G46330 transcript:OGLUM01G46330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGAMSDPERMFFFDLACQNAKVTYEQNPHDADNLTRWGGALLELSQMRNGPESLKCLEDAESKLEEALKIDPMKADALWCLGNAQTSHGFFTSDTVKANEFFEKATQCFQKAVDVAPELHMEIHRQMASQASQAASSTSNTRQSRKKKKDSDFWYDVFGWVVLGVGMVVWVGLAKSNAPPQAPR >OGLUM01G46340.1 pep chromosome:ALNU02000000:1:43403196:43406271:-1 gene:OGLUM01G46340 transcript:OGLUM01G46340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPFLLSCSVFQLARQVQLHVHGPMLNSEGFYEGIFREMERICVCVFAMVGPYSSYGLLQAKARIRSVCVADYVLIIHDDGKRFETVGILRFKKGGGVVCRMAEAFNFGNDGFSKGPETVDFAESNL >OGLUM01G46350.1 pep chromosome:ALNU02000000:1:43407949:43409366:-1 gene:OGLUM01G46350 transcript:OGLUM01G46350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQKRKRSSLPPQYATAGDCCGGGGGRRKRLAGGGPDYLDELPDDLVLAVLSKLAASASSPSDLLSVHLTCKRLNGLGRHDMVFAKASPASLAVKAASWSEPVQRFLKLCADAGNLEACYILGMIRFYCLGNRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALLPEAEPHPANLFMADWWASRGVQATAKKPGLEAPAAATGDSDGGGELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAGEAQ >OGLUM01G46360.1 pep chromosome:ALNU02000000:1:43426257:43427196:-1 gene:OGLUM01G46360 transcript:OGLUM01G46360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYTAILSFCSVSSYFATLNSSGRHCDTRKKSGLSTLSSLSTTKSLSGDNCSLVISSAKARSRSLYDHTSACCLPALLWISFTIASISLCAMCHCDAAAMWCWDQFLQSRHCWSQRQMSMTPRHCIVQS >OGLUM01G46370.1 pep chromosome:ALNU02000000:1:43426898:43427911:1 gene:OGLUM01G46370 transcript:OGLUM01G46370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQWHMAQREIDAMVKEIHSKAGRQHALVWSYKLRLLAFADEITKLQLSPDKLFVVLRLLRVLNPDFFLVSQCRPEEFSVAKYDDTLQKLRMAVYHMLRELKILIQTRASRRVPPGGGIHEVTRYVMNYIRLLLHHKTTLGLILGNDDRNKDNERMDSLDHIVQDLIICLESMLNKAPEAYESQGLQCFFLMNNLHFVVKQVEGSELISLLGQSWVQVHREFIEQYLKTYVDLSWGPAISCLSARTGMLGGCFSQPSSTVRFSLQFDSTYYNQECWKVEDPQLREKVRRAVCDKVILAYQAHLDKYMKAKRKHEWYTPELLKAQLMKLFEGRTE >OGLUM01G46380.1 pep chromosome:ALNU02000000:1:43428080:43428725:-1 gene:OGLUM01G46380 transcript:OGLUM01G46380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRKYGAAAALGEGVGLSVARTPPVATTIWRYPGRQQSTRLQSWLLRAQMQLVKIYLFIFQVLSDNVHK >OGLUM01G46390.1 pep chromosome:ALNU02000000:1:43447973:43448864:-1 gene:OGLUM01G46390 transcript:OGLUM01G46390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDEAAVVVAAPPPPQPECGAAAAVVGGEPGWSSPSPAASPEFEFWMVGKNPSSFQSPALLTADELFSDGIVLPLRTLQQVPSGEGDGEGEEGEGEGDAAAVESSDLPEAAAQRVAESGGPAPTPDLPAVTFKWKDIFKATGGGESKDRKKVERRVSSVGGNGELININIWPFSRSRSAGHSAAGAGTAAAGAALSRNKSNPNANVNANASNNAAAAAAAAATAPAAATAPGPAPARKINLEWRE >OGLUM01G46400.1 pep chromosome:ALNU02000000:1:43448946:43449149:-1 gene:OGLUM01G46400 transcript:OGLUM01G46400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSLRPGIKKRISISAISGGITQTKISERICIPFSFIKYASTHFLRLPFLSPLLSIPMASMASSC >OGLUM01G46410.1 pep chromosome:ALNU02000000:1:43453911:43454120:1 gene:OGLUM01G46410 transcript:OGLUM01G46410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGLAREAWPMEGGRIGARGPSSGGGRLGSRGAAGGGGGDLGVRRSYRWVWRGPTCRQRLSGGGASVR >OGLUM01G46420.1 pep chromosome:ALNU02000000:1:43469789:43475343:1 gene:OGLUM01G46420 transcript:OGLUM01G46420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDAKMPSWDLGTVVGPSGGGGGGGGGGGALDLKLGAPTSWKTTTTVSAASAAPAAVAPPPPPPASSSSSAAAAGKRARAGQGQQAAVPACSVEGCAADLSKCVRDYHRRHKVCEAHSKTAVVTVAGQQQRFCQQCSRFHLLGEFDEEKRSCRKRLDGHNKRRRKPQPDPLNPGNLFANHHGAARFTSYPQIFSTAASMSPQETKWPANVVKTEAADVFQEPYYHALHLNGAGAAAAASIFHHGGNKARKHHFPFLTADHGGGAAAASPLFGCQPFTITPSSESRSSSSSRHSNGKMFAHDGGLDNCALSLLSDNPTPTAQITIPQPLVAGGGQYGGGGGGDVSLTGLSYVRMAGKDTSILAKSATTTATTATTPTTTSAQLQYHGYYHHHVSADQGSSDAAIQALPFSSW >OGLUM01G46430.1 pep chromosome:ALNU02000000:1:43476173:43476427:-1 gene:OGLUM01G46430 transcript:OGLUM01G46430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLKNSARGGAKGKVVTVYSKYVKPQSSPSVSVTQAYSYQPNYSSSIDVAAAANGSYGGGNVDERATAYILAVRERFKKEWM >OGLUM01G46440.1 pep chromosome:ALNU02000000:1:43489165:43522799:1 gene:OGLUM01G46440 transcript:OGLUM01G46440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSSIEGTYDRYQQFSGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKGTTGRSIIDAEIGVLHSKALRENSTSTEGRETGPPLRSAVLMLAKQNGEGSRSRANSSGSRGQEEGSA >OGLUM01G46440.2 pep chromosome:ALNU02000000:1:43489165:43522799:1 gene:OGLUM01G46440 transcript:OGLUM01G46440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSSIEGTYDRYQQFSGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKTSTEGRETGPPLRSAVLMLAKQNGEGSRSRANSSGSRGQEEGSA >OGLUM01G46440.3 pep chromosome:ALNU02000000:1:43489165:43522799:1 gene:OGLUM01G46440 transcript:OGLUM01G46440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRVQLRRIEDKASRQVRFSKRRAGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSSSIEGTYDRYQQFSGARRDLNEGSTSINSDENASIHSRLRDITAWSLQNNADESDANQLEKLEKLLTNALRDTKSKKMLAKQNGEGSRSRANSSGSRGQEEGSA >OGLUM01G46450.1 pep chromosome:ALNU02000000:1:43522165:43524090:-1 gene:OGLUM01G46450 transcript:OGLUM01G46450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTKTRRQREGDARRHCRRRKVAAACRFIFSERLAGHPWGERMGGDGRNAGSDLHAPPIRGIWMQLFYFVIVVIVTSLMGRALAYTQIRSPGGRPRPNNHFYLIQQIRTWGNISTGS >OGLUM01G46450.2 pep chromosome:ALNU02000000:1:43523124:43524090:-1 gene:OGLUM01G46450 transcript:OGLUM01G46450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTKTRRQREGDARRHCRRRKVAAACRFIFSERLAGHPWGERMGGDGRNAGSDLHAPPIRKRDMDAALLLCYCCYCYLPYGPGPRIYADSFTRRPAPAQQSLLPDPTNQDMGKHQHRYHLYSSLY >OGLUM01G46450.3 pep chromosome:ALNU02000000:1:43523188:43524090:-1 gene:OGLUM01G46450 transcript:OGLUM01G46450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSTKTRRQREGDARRHCRRRKVAAACRFIFSERLAGHPWGERMGGDGRNAGSDLHAPPIRFVHQAAGPGPTITFT >OGLUM01G46460.1 pep chromosome:ALNU02000000:1:43535178:43535582:1 gene:OGLUM01G46460 transcript:OGLUM01G46460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTMYKEAAATCGDGEHYSRLIRELCALLAAIISPSSSSSTAAAAAAARSPGMSPAAAATMLLGASVALMLCGSVTFAIGLLLMPWVAGVALLFGLSAAVSTLSSGVFGKAAAAASSPASHASSDNKHVLVVA >OGLUM01G46470.1 pep chromosome:ALNU02000000:1:43539860:43540087:1 gene:OGLUM01G46470 transcript:OGLUM01G46470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAASTDWGPIIVAVILFVVLSPGLLFQLPARARVVEFGNMATSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >OGLUM01G46480.1 pep chromosome:ALNU02000000:1:43545335:43549703:1 gene:OGLUM01G46480 transcript:OGLUM01G46480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVGGAHSSRRSVSSSTGSRRRAGPTENGHHDAAAAAAGRRSSASISRASQQSMTGERTVKRLRLSKALTIPDHTTVYEACRRMAARRVDAVLLTDSNALLCGILTDKDITTRVIARELKLEETPVSKVMTRNPLFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKHWGTSVSGPNTFIETLRERMFRPSLSTIISENSKVVTVAPTDTVLTASKKMLEVKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQTPECATVDTPILDALHTMHDGKFLHLPVLDKDGNVVTVVDVLHITHAAIATVGNSAGSGSEATSAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIGRSAFYPASGLSNTFGFKVQDKQGRMHRFNCETSSLTELITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLVAAVDHARQIGWKSLKLHLDYAGVGRRKRGGGGTSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRAG >OGLUM01G46490.1 pep chromosome:ALNU02000000:1:43553982:43559919:1 gene:OGLUM01G46490 transcript:OGLUM01G46490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGFGTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVPAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGTSERLNRDTAVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRLVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRRNNKQVFRIEKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEKVEVSPASHVYGSGSHHMCWLSHNNKAMH >OGLUM01G46490.2 pep chromosome:ALNU02000000:1:43553982:43559919:1 gene:OGLUM01G46490 transcript:OGLUM01G46490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQGFGTHRLHQEVKSRWLKPKEVLQILQNHDRFIITHKTPHKPPSGAWFLFNRRVLRYFRNDGYEWRKKKNGKTIAEAHERLKVDNVDALNCYYAHADKNSTFQRRIYWMLDPAYDHIVFVHYRDVQEGSISVSALNDSSTSNQNGSGSRAEAQSSPGLTSELFAPCLNSCSPGSAEEVSSQIMAINNETNSVSQPDWVQHCNQAALRKLKVQLSLEDREDHDVDAKDIPSNSEPITVYGIQNEEPGTCRNLADVFSGLEFSKENHPEETGLPFSSTIDVLKNSDTWLEEDQIEAILHSASMIVTENQWFNIREVSPEWSYCSESTKVIIAGDFLRDPSHGSWAIVFGDVKVPAEIVQQGVIRCHTPCLDARKVTMYLIDENEKACSEARQFEFHNKPTKSVVCENRKPCREVHESELHQRPTESNNELLLLFNYAQLLFDGHVSEQFLKFGLPFPNLECGLQVSPSEIMKGTSERLNRDTAVNCVMEVLLNNKFEEWLFSKYEQNSEGNHFLPRQYHGVIHTIAALGYNWALKLLLNSGVLVNYRDANGWTALHWAARFGREETVVLLLDAGAAAGALSDPTAQDPAAKTPASVASAYGFKGLSAYLSEAELIAHLHSLESKENGSSGDQISRLVGRISDTSAHAQSGSDDQLALKESLGAMRYAVQAAGRIQTAFRIFSFRKKQQAGLQNRGNHIISIREVGAASHGMLEKAALSIQKNFRCWKKRKEFLKIRKNVIKIQARVRAHQQHNKYKELLRSVGILEKVMLRWYRKGVGLRGFHPGAIAMPIDEEDEDDIAKVFRKQRVETALNEAVSRVSSIIDSPVARQQYRRMLEMHKQNKDDDEKVEVSPASHVYGSGSHHMCWLSHNNKAMH >OGLUM01G46500.1 pep chromosome:ALNU02000000:1:43560907:43565957:-1 gene:OGLUM01G46500 transcript:OGLUM01G46500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G27320) TAIR;Acc:AT1G27320] MDEMSCGGGGGGARWKRARVAGMGEGKAVGGGGAAFLGLERVGMVVRMLPVPEKVSARARVVRGSLVAHFRGWRVVRETWWWVLLLWILAGSLGSFYLFLFMNAQSLDKRRDSLASMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQMTFARYAERTAFERPLTSGVAYAVRVTHGEREQFERQQGWAIKKMYSSSNKKQSSPGPGPGDAAVAEIREPAEEYAPVIFAQDAYKHVISFDMLSGNEDRDNILRARKSGKGVLTAPFKLLNNRLGVILTYTVYKYELPAYARPHERIQAAIGYLGGIFDIQALVEKLLKQLASQESIMVNVYDTTNESPISMYGDDTGSGMCHVSVLNFGDPSRKHEMHCRFEKKPPWPWLAITSSFGTLVIALLTGHIFQATVHRIAKVEDDFHKMSELKKRAEDADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELETVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSDQVPQILIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHVVEEVMSCLEVETGIQNTNTLSGYPVANRRRSWESIRLFNRELHSSEKSFAPITSDSISLVISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPHVGSTFTFTAVLMRAHCKGNDIKSSEFKGINALVVDHRPVRAKVTKYHLQRLGVKTELTAELNQFISKLNSGSLTAKLVLIDKETWLKESHCTPLLVNKLRNNDKPDSPKLFLLGSSASSPKGGSDTSREHNLNVIMKPLRASMLQVSLRRALGGVDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAITLLKPPHNFDACFMDIQMPEMDGFEATRRIRVMERDLNERIERGEAPPECASIQRWRTPILAMTADVIQATHEECLKSEMDGYVSKPFEGEQLYSEVARNEAQKVSRWNRPCSDL >OGLUM01G46510.1 pep chromosome:ALNU02000000:1:43611579:43615836:1 gene:OGLUM01G46510 transcript:OGLUM01G46510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDDAIRSKEIAERKFNENDIAGAKRFALKAKTLFDSLEGIDNMISALDIHIRAQTKIEGENDLYGILDISASDDDEKIKKQYRKLALQTHPDKNKFSGAESAFKLIQDAWDVLSDKDKKRSYDQKRFGGSSRVYQNGFAENANATPGSTMSSMNGFFWQNSGRHPSYATDTFWTYCDSCQMSFQYSREYVNRNLACSFCQTEFVAVETPPPTAPVYYNVTNLMDTSSNMDDPQGTGVPYSSNKIFDPVLQPVFGSVGGAHASRYPVQQTCKPARKEEVAEVNVARREEATKRKHEQASSSLGSSSSAAKVIHRRKAVTKEIEAEKRRCINNKSKVSGQKNNTNKVVGKSTSSAADGDSGPQMHPAKRKSASSIGTSGTKRRKMPSDHNSGNARTSFGKVFLQLETEIPGLKMEKMKLQIRDKLEEFKSRRANVENKGNVHVSLEKKKTWKWKKPATLFVYTRRNRKEHRKEPGVDAIGAGSSHKHLDGKYSCLDQVPSSDEGSCVMPVPEADFYTFGDHPETSFQNGQIWAAYDEEDGMPRYYALIQKVLSRHPFKVRLAFLKAKDCSEFVTSNWISYGYSKTCGDFIVGTPKNTDQLNTFSHVVTWEKGPGGIIRIFPRKGDIWALYQNWSPEWNTCTPDDTIYKYDLVQVLDSYNPSAGISVMPIVKVPGFVSVFTPLLDPTKSRTIPKKEMLRFSHQVPFHVLTGEEAKNSPKGCYELDPGSTPKELLQVVPQSDGV >OGLUM01G46520.1 pep chromosome:ALNU02000000:1:43616771:43619676:-1 gene:OGLUM01G46520 transcript:OGLUM01G46520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G27340) TAIR;Acc:AT1G27340] MGEVAALRQLVGEHGSIKDDHCRARTGYNSELLKIMEANQSPPRKRSRRDKNREKAPNSNSTEEMQQEIWSEFPGDLFETVVARLPVAAIFRFRTVCRNWYSMLGSESFSQQYSEVPQRLPWFYTITHENASNNVAMYDPSLKKWHHPSVPLAPAKIVIPVASAGGLVCLLDLSHRNFYICNPLTQSLKEIPRRSVQAWSRVAVGMVMNGGTSNEGYKVMWLGNDGNYEVYDSMKNMWSCPGTFPPSIKLPLALNFRSQPVAVGSMLYFMCAEPEGVLSYDVSTGIWRQFVIPLPLHLTDHTLAEFQGRVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLNKEWQKVPDCMLPCSRKKQWIACGTAFGPCPSALA >OGLUM01G46530.1 pep chromosome:ALNU02000000:1:43626192:43626410:1 gene:OGLUM01G46530 transcript:OGLUM01G46530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGVSIQQQEGINPQPAYFAFAVKPAIILAHNWGSSIHFIHTLNSDFIHTSSSSSSSFHLICFLEFWWP >OGLUM01G46540.1 pep chromosome:ALNU02000000:1:43626639:43630723:1 gene:OGLUM01G46540 transcript:OGLUM01G46540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein large subunit 27 [Source:Projected from Arabidopsis thaliana (AT5G40950) TAIR;Acc:AT5G40950] MGDPDPKKKSPRLPLHSHVITSPLCSHTLSSLSLLLATPPLLESAMASMAFTLVGAFKGMSLSSPCHSSSSASFLLADRVSLSVGGGVGMGVPMTMPVRRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPEVNADC >OGLUM01G46540.2 pep chromosome:ALNU02000000:1:43627310:43630723:1 gene:OGLUM01G46540 transcript:OGLUM01G46540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein large subunit 27 [Source:Projected from Arabidopsis thaliana (AT5G40950) TAIR;Acc:AT5G40950] MASMAFTLVGAFKGMSLSSPCHSSSSASFLLADRVSLSVGGGVGMGVPMTMPVRRLTIQMAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGAIIIRQRGTRVITILFLYLLVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGIVEVQLVLAAADESPEVNADC >OGLUM01G46550.1 pep chromosome:ALNU02000000:1:43633586:43634152:1 gene:OGLUM01G46550 transcript:OGLUM01G46550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPISIEKIRAMSKCSNCKRQHQMILPALAISMVATFVLLLLVTSPVWVPRLCSLMAFFFLTTLPDLAMAFLLSPKCLFVVGNLIVAFLVGESRLAPRGEPASSSVNEIHDEHVKRNAAISAKAVTAVVAIDQNAHVGELGEEEEEEEEEEEEEEGEEEELHQRVEDFIARVKKQRRMEDKSMFDTDR >OGLUM01G46560.1 pep chromosome:ALNU02000000:1:43636701:43641023:-1 gene:OGLUM01G46560 transcript:OGLUM01G46560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAISWVPRGAAKLVPVEAEPPTQEEIDEAIKAIAQHTEGGSDADEDADDGEENGNMEVDAAADEEEEEVDEVAQAKAAAKALAKGAVDDVADELKELNMDNYDEEEEGLEIFSSGQGDLYYASNELDPYLKNNDEDDDDEEIEDMTIKPTDLMVNLLEETEDGDLNMFVHHEVPLADFPLCTAWMDFNLKGGDKGNFVAVGTMDPAIEIWDLDIVDEVQPHMVLGGHSKKKKKAKKYKKGSHRSSVLGLAWNKEVRNVLASASADKTVKIWDVSVGKCAVTLEHHDDKVQSVAWSRQSPEVLLSGSFDKSVAMNDMKDGGQSCNKWSVEADVESLAWDPHNEHSFMVSLENGMVQAFDKRTASSNSNSGRPTFTLHAHEKAVSSISFSPSTPNGAIFSVSFSNDSPFLLASGGSKGKLKVWNTLTEPAVANKFGK >OGLUM01G46570.1 pep chromosome:ALNU02000000:1:43650895:43651854:1 gene:OGLUM01G46570 transcript:OGLUM01G46570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TEOSINTE BRANCHED 1, cycloidea, PCF (TCP)-domain family protein 20 [Source:Projected from Arabidopsis thaliana (AT3G27010) TAIR;Acc:AT3G27010] MDPKFPPPPPLNKTEPTTTTTNQQHHHDEQQQQHRLQIQVHPQQQEQQDGGGGGGKDQQQQQQQMQVVVAAAAGERRMQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSDGETVQWLLQQAEPAIVAATGTGTIPASALASVAPSLPSPNSALSRSHHHHHHMWAAAPPTASAGFAGAGFSGADSGVIGGIMQRMGIPAGIELQGGGAGGLGGGGGGGGGHIGFAPMFAGHAAAAAAMPGLELGLSQDGHIGVLAAQSLSQFYHQVGAAGQLQHQHQHHHQQQQQQQEDGEDDRDDGESDEESGQ >OGLUM01G46580.1 pep chromosome:ALNU02000000:1:43656826:43662829:-1 gene:OGLUM01G46580 transcript:OGLUM01G46580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERKMDRFAALGKDVLSLGIDDDRAAAAAIGFVDESKDQQHLDNSIPLSPQWLYAKPTDAKILGHGSLLDPSEKEVRMPEGAADKKERRRNVFDADSSLRWLEEERETSLPGRRERKKEVDRDMESRKNDRRSDNVSVRDGGDSRAPPSERWNDGSTRGSGNEGRRDGKWSSRWGPDDKEKDSRSEKKLDVEKDESHAEKQTFTGRLLPETDSRDKWRPRHRQESHSAGTATYRAAPGFGLEKGRAKESNVGFSAGRGRANPNSIPSFTRPSSAGPIGAPATHGKCASSAVTFRYPRGKLLDIYRQQKAMPSFDDVHCKLEEVPSVVLSSPVKPLAFVAPDTDEEAVREDIMKGKVISSEVANTTGMQRDRKKELEGFASGIDGKKDTSSVAFSGLGQEESSTLISEKDAFYDGGVISAGITFPSKDLTMEQNEFGLSGIREDAKINEVNSSADLDLGVKLPNDSSSLFLESPFEHIQQPPVLYQNNDMDTKASGQASYPEDLTLFYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLANSPDDSPFRPLFEVMPHLGQKPQPLPPVSHGETSESPDSLHNSFDDKVPASGSFGKNDQTSKRDSESYVLDLKRGEQEAAVQSHMSWLPSHETEKTTSNVDIRQQHIPESVSQDAEEILYTARPNSSTGQSLRDLENDRSDFQLASRDHRAAMGEANLPQHDVPRESELSPLGLLWSELEGMHPKQPLSSNVLGVNERRNPKPAAPKDIPPVSMRHGQLGRMNEAPVVRDEWPANFGRLDNVTDTNISGRLPQLEPDLNHLNMEEQMLLQQIRREQRQQEQLMARNNLEFPGPFPGQVFDSLHQHRQSMNQPVPEVEHLLRVQFELEQQQRRQQLQQEQHQRQLQQRQAQQLLQQQQQQQQQQQQQQQLILEQMLQQQLQASNFGPTNMVDQVLLREHVLNDLHHQPHHLQRQHDAAIEQLIQAKFGQGIHREHHNDLMDVLSRSNQRQMLPLEQQILLGLHHDQLQSQQLANALRQHAGREEERHLSGGWPMDESGHFIRSGTSPNQGHASRLGHFDLLQSLQRSSSVEQHEHLERSLSLHERLHRGGQGIHSLERSGSLPGGAPLPNLDVVNSLARHHGIGQLETHGDLYSLGQMPMVPSGVHPQQHRLQEQLSGNLARLDRHWSDANGQLQNTLMEPSRISQMQMEAQKQRRNVEMNLAVDNSHAWASLRNNERSTEQDLSDLFHQKLVQSQQSRGYPDVPVPASFGRKDPSSLFAQPAADNPLRSPADRLSFDDPLAERSLFAKAGQAGQEGAANLESLTSSIESSGKLGLRSSSGSMLDMQRGDFSGIMGGGASGNQLVGLANEVPRGKRQGSSASLVGDDTNFAEEAGSNWPEATMPKGGTHSLLKRPPSQHGSATQAVSTDISSTIRLKKAGHASSDDHKLESGVTSAAQPVDIPVSTNKEAGSFISPSGTSVDGPSFREMVKSTKKPALQQYDASESADGGPGGKGAKKKTKKGKQIDPSLLGFKVHSNRIMMGEIHRLDD >OGLUM01G46590.1 pep chromosome:ALNU02000000:1:43665439:43665696:1 gene:OGLUM01G46590 transcript:OGLUM01G46590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKAKPEEEIWLTGERREVYSCFRGATGGGGGGGGGGGQTASAWRWRGQSSAAPSCDAVASACGDDDGTGTARGHEHEEHPEE >OGLUM01G46600.1 pep chromosome:ALNU02000000:1:43668181:43674078:1 gene:OGLUM01G46600 transcript:OGLUM01G46600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPPDGMVVDNPLLAALIRAVYAIEVRSITREGRSGRPRVPAWLLFHHREITLYMATTVLLYIFGMVTALKEYELLVALSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDGNNVNRIPTGRMSALA >OGLUM01G46600.2 pep chromosome:ALNU02000000:1:43668181:43674078:1 gene:OGLUM01G46600 transcript:OGLUM01G46600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKPPTAGVRVFGGLRYAPPDGMVVDNPLLAALIRAVYTLYMATTVLLYIFGMVTALKEYELLVALSIIVVMQPFFILMWIAAPFLRTVAIVKYAMGLPDGNNVNRIPTGRMSALA >OGLUM01G46610.1 pep chromosome:ALNU02000000:1:43674600:43676536:-1 gene:OGLUM01G46610 transcript:OGLUM01G46610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGAKAPVPARKKAAAAAVNPLFEKRPKQFGIGGALPPRKDLHRFVRWPKAVRIQRQRRVLKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKSNFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >OGLUM01G46620.1 pep chromosome:ALNU02000000:1:43679319:43686027:1 gene:OGLUM01G46620 transcript:OGLUM01G46620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAKPDSPPAGVDPPPPKEEAKAEKEGEGEEPQSGGRKRGRRKKGEAGEAEKEKEKPPPATPTIERPSRERKTVERYSELAPRVTPAKKSPAILQGSGSKLKDIPNIQFKLSKRKADENLQSLHVLMYGRKSNVHFLKRNISQFSGFVWTDNQEKQRTRIKEKLDKFNKERLLDFCEILDIHVSRAATKKEEVSAKLLEFLESPCITRDVVLTDDKKGKKRGRRSKGNGQATAEGASDGKKRRKSRKQSTEAAKENDDEDDEGPAGSEDASMGEEDDEGSEAKDNAGSDEEPDEPPAKKKATDDKQTKKAKEKDATAKKASTRPAKGVSKPSQDTEEDDEPEVELESKKVVKKVSKSSKESDVTVDKTTKKASKSKKDEGKDGQNNNSGALNNKARKKDAAKTTNKNKGKGKGSTEAGAAPTTEELHAVVSDILKEVDFNTATLADILRQLGTHFKMDLMDRKSEVKHIIEEVINSMSDDEEGEEDNAEDDKDKNAKEENSNEDADGDEK >OGLUM01G46630.1 pep chromosome:ALNU02000000:1:43687146:43688919:1 gene:OGLUM01G46630 transcript:OGLUM01G46630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGDITRPDPAVLGELVYSVPGAKNVTKFKCGGFVLGLAINHCMFDGVGAMQFVNSWGETARGVPLSVPPALDRAAVTDAYMRSAVDYFEATRARPSLASTLLITAWSRLPFRAADFGWGPPAAYGPAALPEREVALFLSCAGEGGGVRVLLGLPAAAMAEFERLVDEVIF >OGLUM01G46640.1 pep chromosome:ALNU02000000:1:43712914:43713444:-1 gene:OGLUM01G46640 transcript:OGLUM01G46640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAAARSSTKGVRRTKSLISRTLERCKSGLSSGGGGRSAAAPVAGCFSVYVGPERERFVVRTEYANHPLFRRLLDDAEREYGYAAQGPLALPCAVDAFLDVLWQMERDGCGGGGDDDDDLSIGGGGAASPICGLPSCGSKGRAAAAGYRMLSPARMTSILSRSSPMATPSRKR >OGLUM01G46650.1 pep chromosome:ALNU02000000:1:43727936:43741641:-1 gene:OGLUM01G46650 transcript:OGLUM01G46650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCASAAGGWQPTHTEVSHLPSLASRSHIPPSPSSPPSPKPRNPLLNPRIFSPPNAGDGAVARFLTSGIWGILNAQARLHNMNAGFSPQILAQKLLKLNNSRQTPRERRVSLLYLANDIVQNSKKDSGRYVNEFWRVIPAALNDVFVNGDDFGRNVVQRLVDIWEERNIFGSHGQSLKEDYSRRFKEFKSKSRNSSGELLEKVISCYKHMLNAHVDDDTLMRKCQNALSFVDNLSNEYENNSILDCSNGSGFVEDLQEQHNILRDSIEQFKTSELLRGNLISCLKEALHEQEFKMERARSQIKEVQSRYKKADDLCQKLGIHVERQEQPNHGPKNSGSEMHGSFGPDSANASSFEKGQSSAVMYSQENGGEHEIPNGVFSSRATKDNIEQKLEEHSMNKRQKLQNDVYVSRPQSPPPPLPSDAFEQPPPPPEHPPPPESTSPPPPPTSDPPPVPPPPPTTGSFMPIPSAPFAGLPVPAGPMTAVPYNSYPVFPPMNYPMVNIPPPFPSAPNTPPGFQGLAGPFYGPPYPAPPPPPPPPMNRK >OGLUM01G46660.1 pep chromosome:ALNU02000000:1:43743080:43748272:-1 gene:OGLUM01G46660 transcript:OGLUM01G46660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRRRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAARRHRQATPLAAMLLPSCAAAAGAWPPRCAGQVALRHGLSGRIRQIRKRLDEISANRAAYNIEHTPSPAWVTHPSSAAATALAAWDDLEEYTVGFDKYSNMLKEQLIDDAVTGRAIVSIVGESSIGKTTLGRKVYQSPEVRNHFEIRTWTVLPPKCRPADVLRDIYRQASSQLRRSPSQQSADDGCGADAAVAACRSSGKDVGNLLFRNLTGRRYIVVVDGSIAASDWNSLRASLPDEGNGSRVVLITDMAGLEVVTYAAGPTMNSPIKLERLSPENTYEVFRRRVFGRGGDCPRQHRSRYYQKIFQITRGLPLSIVVLAGVLRSKEPPAEWDEVMSQLATAREPSLSSSKNGNSGRIMSLAFDDLPHHLKSCFLYFAAMRESATVDAQRLVRLWVAEGFVRPRRGSTMEEVGQGYLKELISRCMVQLVDKDEFGVVQTVVVHDRLHAFAQDEAQEASFIESHDSTDVLAPATVRRLAVQNSSERYVHLSNALPKLRSVVCDLVDGRNGGGGGGKCIQCTDLGFLHASKFLRVIDIRGLELKKLPNEIGSMIHIRYLGLQCGQLEKLPPSVSNLVNLQSLILKGRNAGHVLDVTAAFWRITTLRHVVAPFALPKVLGDLHSLQTLHGVQHLCWDTRGGGGNPLGTSTNLRSLELSGLLAKHAAALTAALESLDLLVHLMLDGESLPSTVFTIPSLRRLQSLKLRGSMDSPEGPGGDDDDDNESADDGVVRYIRPNLTRLSMWSTMVGQKFVDMLGELPSLAELTLMVAAFDGERLEFRDGGFRSLQKLKLGLPELEEWTVRAGAMASLARLTLFGCLKMRMLPEALAGIPELEEVVLYRMPIMVERIKKHGGEDHHKVKHVPVIQTIW >OGLUM01G46670.1 pep chromosome:ALNU02000000:1:43750391:43753348:1 gene:OGLUM01G46670 transcript:OGLUM01G46670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta(3,5),delta(2,4)-dienoyl-CoA isomerase 1 [Source:Projected from Arabidopsis thaliana (AT5G43280) TAIR;Acc:AT5G43280] MAAGGGGDRGSPEAELRRGFKTLAVTRPDPSAAVYEVRLNRPTRLNALSPDAFAEIPRAMALLDRAPAARAVVLSAAGPHFCAGIELGSPGSPATAPSGDPAPAAAEGLRRAILEMQAALTAIERCRKPVVAAVHGACIGGGVEVVAACDIRCCSKDATFVLREVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVTRVFNSKQELDAGVAKIAKEISEKSAWAVMGTKAVLLRSRDITVEQGLEHVATWNAAMLRSNDLEEAIQAFLEKRKPVFSKL >OGLUM01G46680.1 pep chromosome:ALNU02000000:1:43753962:43756480:-1 gene:OGLUM01G46680 transcript:OGLUM01G46680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJF4] MNGASSGGGGGAGAGGDGPLVYQRWKGNNVFVLQGRFIFGPDARSLYVTMFLIIAPASIFCLFVAKELMNNFSYGLGLPVMISAVVFTAYDLSLLLLTSGRDPGIIPRNAHPPEPEGLDGNAEVGSNQTPPMRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRNSEQITIWKAMAKTPASIALLVYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKDIFFSAIPASKNNFRARVPVPQEQGLRPRPTNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAADIGDLGAGLGNLLEDKDGRFRSASPDLSRDALAIGGLDDQGSSVMNPGRTSWGVEAGR >OGLUM01G46690.1 pep chromosome:ALNU02000000:1:43759490:43762670:1 gene:OGLUM01G46690 transcript:OGLUM01G46690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAASGGGGGYRMLPQAGLPIGFRFRPTDEELLLHYLRRKVMSRPLPADVIPVADLARLHPWDLPGEGDGERYFFHLPATSCWRRGGGGSRAGGGGGAWRASGKEKLVVAPRCGKRPVGAKRTLVFFRRGGARTDWAMHEYRLLPADDHPPEANDVWVVCRVFKKTTTLAHRRSPPSIRGAPRRRAAAADDDDMPSSPSSCVTDGGDAGEEGEESSSCSVVASNCP >OGLUM01G46700.1 pep chromosome:ALNU02000000:1:43769522:43770616:1 gene:OGLUM01G46700 transcript:OGLUM01G46700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASATDSAERRRPGRSPGHDGEQQQHQDGRRRGCKVAPEPKEEDGAAAAAFLASMPGSPSFRYYCQKSAIVADADGDGDECVRITETPQPIKNNGHGSSELSKSAPEASRWVRFRGLALAAWCSLFSRHSRRSAASAPSHPPPPPPAKSHQRFDAAAPAERSVLL >OGLUM01G46710.1 pep chromosome:ALNU02000000:1:43770827:43776258:-1 gene:OGLUM01G46710 transcript:OGLUM01G46710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50860) TAIR;Acc:AT5G50860] MGCILGKLAAAPGSSLFFPAAAAASGAGGGGGAGEVQLAAPQPEKIAAVQKDESGWPLWLSSAAGDALAGWAPRSADAFHKLEKRKDSNVQIGSGTYSNVYKAKEVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPNIVRLRGLVTSRLATAPSLYLVFDYMDHDLTGLAAAALAADQRFSLPQHAYGNVKCYMKQLLTGIEHCHNKGVLHRDIKSSNLLVSNDGILKIADFGLATSFDPDNKEQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVPTCLILLKHQVLHKYFRELHDLEMKLLVIQVEQLHKIFKLCGSPSDDYWEKMKFPHASFRTYERCIAEKFKDVAPSALSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKEIDVKLKYEKHKRKLRANGSVERQTTTRKPMSQNPGRRVFTPDVNNKPQAKPNIPRLVTSTSTTKLERFPPPHLDASIGFSLDSSADVSTEQFFTSSVVELKKMPSLIFGHMKSYLNSPKKNTHKAKPGLSMPPSAVLIGAFKPYSLGHPMEVRRKNRDQFRTKGRNSVGAVK >OGLUM01G46720.1 pep chromosome:ALNU02000000:1:43781311:43784010:-1 gene:OGLUM01G46720 transcript:OGLUM01G46720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 27 [Source:Projected from Arabidopsis thaliana (AT5G50870) TAIR;Acc:AT5G50870] MVDVSRVQKELTECNRDREVSGVSIALHDGSTISHLTGTIAGPQGTPYEGGTFVIDIRLPGGYPFEPPKMQFITKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSAPAPDDPQDAVVAQQYLRDYSTFSATARYWTEAFAKSSSTGMEEKVQKLVEMGFPEDMVRSVLKSVNGDENMALEKLCSG >OGLUM01G46730.1 pep chromosome:ALNU02000000:1:43786340:43787183:1 gene:OGLUM01G46730 transcript:OGLUM01G46730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEEEDGGGGGRRQLTAAAGISASSSGDQLLEKLKRELPIANKRSLVRFFQKRKERFGHGY >OGLUM01G46740.1 pep chromosome:ALNU02000000:1:43792206:43798448:1 gene:OGLUM01G46740 transcript:OGLUM01G46740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEVVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >OGLUM01G46740.2 pep chromosome:ALNU02000000:1:43792206:43798448:1 gene:OGLUM01G46740 transcript:OGLUM01G46740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >OGLUM01G46740.3 pep chromosome:ALNU02000000:1:43793448:43798448:1 gene:OGLUM01G46740 transcript:OGLUM01G46740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAICSEPWEDFAVASALTISRSTLIQITLSIGKYTATFQRLESRPSNPAQGAAPLASTNPSTNITDNSLSETYHLVSRPPPYDTDPRYARVQREGLVSRREKSINLTQEESLALRRNGSSSGIEHLAAQKKWSSTEPEGEYKVHRSESTKSLSAKSYNSSFAVVTSEDEDVCPTCLEEYTPDNPKIIAKCSHHYHLSCIYEWMERSDTCPICGKEMEFCETP >OGLUM01G46750.1 pep chromosome:ALNU02000000:1:43795667:43798187:-1 gene:OGLUM01G46750 transcript:OGLUM01G46750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G13420) TAIR;Acc:AT5G13420] MTGAVSKLAAPRPAAAAPLPPASVRSAFLASAPSPRRFSVSIAAGRARSPIIAMASAKEGNGAPTKRTTLHDLYDLQGQSPWYDNLCRPVTDLLPLIGSGVRGVTSNPSIFQKAISTSNAYDDQFKQLILAGKDAESAYWELVIKDIQDACKLFEPVYDQTDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIKEVIANGISVNVTLIFSIARYEAVIDAYIDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKTGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVDPLIGPDTVSTMPDQALLAFIDHGTVSRTIDANVSDAEGVYSALEKLGTDWDEVGKQLELEGVDSFKKAFDSLLVSLEEKGNSLKKTVSL >OGLUM01G46760.1 pep chromosome:ALNU02000000:1:43800787:43804107:-1 gene:OGLUM01G46760 transcript:OGLUM01G46760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRPCAGVASAVAAAVAVLLLAVSCFAAAATTTQKHGRMSGKGGDVLEDDPTGKLKVFVYEMPRKYNLNLLAKDSRCLQHMFAAEIFMHQFLLSSPVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAVRYVAATWPYWNRTDGADHFFLAPHDFGACFHYQEERAIERGILPVLRRATLVQTFGQRHHPCLQPGSITVPPYADPRKMEAHRISPATPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPATYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWGEISVFVAEEDVPRLDTILASVPLDEVIRKQRLLASPAMKQAVLFHQPARPGDAFHQILNGLARKLPHPKGVFLEPGEKGIDWDQGLENDLKPW >OGLUM01G46770.1 pep chromosome:ALNU02000000:1:43811218:43821303:-1 gene:OGLUM01G46770 transcript:OGLUM01G46770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSWRKKEGSLGKPPLTFSTHQTLLGRTGRGLERRGDTGAGKRPVGIKKMRRWVLAIAILAAAACFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >OGLUM01G46770.2 pep chromosome:ALNU02000000:1:43804688:43821075:-1 gene:OGLUM01G46770 transcript:OGLUM01G46770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAACFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPWAKIASPSTLPKAAVPRRSDGPMADRREANGKHGTTVLLKTKLSEKWRSRASRRRMRATQRREMGGGGFLVPAPPHMSEKCACEK >OGLUM01G46770.3 pep chromosome:ALNU02000000:1:43811218:43821075:-1 gene:OGLUM01G46770 transcript:OGLUM01G46770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAIAILAAAACFFLGAQAQEVRQGHQTERISGSAGDVLEDDPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >OGLUM01G46770.4 pep chromosome:ALNU02000000:1:43811216:43820353:-1 gene:OGLUM01G46770 transcript:OGLUM01G46770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGENVFLKPGERALNWTAGPLALADSSSFGSDTPQPSFQPPATAIIILASSPPSSPLPVFLSFLLLLDWPAASSILLGGFVEVGGWKGGEVGGAMAMRLSSAAVALALLLAATALEDVARGQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISSHWPYWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKDHVCLKEGSITIPPYAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVAEDDVPKLDTILTSIPMDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLGRKLPHPKSVYLDPGQKVLNWTQGPVGDLKPW >OGLUM01G46780.1 pep chromosome:ALNU02000000:1:43829652:43833721:1 gene:OGLUM01G46780 transcript:OGLUM01G46780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNRGGDAGEGEWLKVAELRARVEAQDPHAKEVDNLTLRRFLRARDHNVEKASAMLLKALRWRREAVPGGSVPEEKVQSDLDDDKVYMGGADRTGRPILLAFPAKHFSAKRDMPQFKSYCVYLLDSICARIPRGQEKFVCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALMIHVPYMFMKAWKMIYPFIDNVTRDKFVFVDDKSLQEVLHQEIDDSQIPDTLGGKLAPVSLKNNARINLD >OGLUM01G46790.1 pep chromosome:ALNU02000000:1:43839065:43849509:1 gene:OGLUM01G46790 transcript:OGLUM01G46790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SU(VAR)3-9 homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G13960) TAIR;Acc:AT5G13960] MRTSGGSVVINLSSQKARRRSAAISRAILLCSLARSSPPLLLLLPHCCAAAASAAAAFRIVAVDFAELQWMEVMDSVAVMEVSPVPKPPLEAALALRRSVRCSNRTRRPTYVEQEEPKESAGRRRGGKRKREEEKKEPVAQHAMKPVRMGDAASERKPSSEGKPMPAIAAEPVSCAGFARPAAEDDVLGNGKSAKLRVKETLRAFTSHYLHLVQEEQKRAQAVLQEGQKRPSKRPDLKAITKMQESNAVLYPEKIIGELPGVDVGDQFYSRAEMVVLGIHSHWLNGIDYMGMKYQGKEEYANLTFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLQRGNLALKNSKDNGNPIRVIRGHISKNSYTGKVYTYDGLYKMTGCRMEYKGTLVCDDISGGQENLPIPATNLVDDPPVPPTGFVYSKSLKIPKGIKIPSYCNGCDCEGDCANNKNCSCAQRNGSDLPYVSHKNIGRLVEPKAIVFECGANCSCNNNCVNRTSQKGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEEVDGLLQNNYIFDIDCLQTMKGLDGREKRAGSDMHLPSLHAENDSDPPAPEYCIDAGSIGNFARFINHSCEPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYVLDSVVGPDGNIVKLPCFCGAPYCRKRLY >OGLUM01G46800.1 pep chromosome:ALNU02000000:1:43850063:43851545:1 gene:OGLUM01G46800 transcript:OGLUM01G46800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAANATSPAAGAGTPRSRXGAGTPRSRLPRWTRHETLVLLQARRAMEHRGRRSPQPVRLKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEKNLAAVVSGAGDNAVAAGEGEGEAPPPPRLESFWDMRGEQRRARQLPSSFDREVYDALVGGHGAAPPSDFGEDLADGDGVDADELPPPPLMVMPISATVSAKRGGAASDKNSTSQHDGGGGGGLKDSEATYGAGVGGEEGTTTATATATTTSIGRQVIEALERGNRMLGDQLEAQRAAWDAEREQRVALLAAVDKLAGAVCRIADKL >OGLUM01G46810.1 pep chromosome:ALNU02000000:1:43853925:43856904:-1 gene:OGLUM01G46810 transcript:OGLUM01G46810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGEEDDRASRCKRITRESDATKNGAATKLQALRLVEDLSLPSVQVVVMSANMGCSHCRQRVTKVVSKMNGLLDYMVDFGKKEVTVRGTMVHTKKKRKQHKKKHEENKKGIAANWEKKSSSQSNDSARTLAWFLRCYSS >OGLUM01G46820.1 pep chromosome:ALNU02000000:1:43860289:43862570:-1 gene:OGLUM01G46820 transcript:OGLUM01G46820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEDYAGARTLLLETLQTNPRLDDAFEMLSVLEVLCAAAETRARRPGLGHGRGVDWYRILQVLPRDDAAKIDAQYRSIVRQVEPVRDDLPGAEAALRLVNDAYAVLSDPAKKVRYDSTVANVELWCEDILQTKGICAADRSTHDYPNAELGRLSSLEADCNAVAGISNNVPSYAQQTDRSCLDVGDCSNVASSSKTKRTDSCFLADDGFQLPDENHVDKKQKSVCEKDVHCVSSPHVDLDDRFTDPLDNREDELCSITQYDVHNFENDREIVNFAAGQIWAAYDWEKFPRRYARINKIVADKEHLYVSWFKPSPQSHDENRWFSASLPFVCGIFIADECKISVTCPTMLCHQISSDNWNHHLKVYPQEGEVWAIYSDWDIGWCNDPGMRKKSTFYVVEILNSYLKGSGCTVTQLVKVDGYRSVFQRHVRSGREQLLQVHIHNLLMFSHRIPSFRFTCDVGTVFELEHSAVPENLQYENTSASVAPLYPLQGLHDDSNGFHEAAATHLSNPSTSKMDLGNPQQGMMNYNNKLSPEHFVEGQIWAVYDAPDRMPRSYVRVIRVVSHTAVSVLKLEPHPMLNEEIHWVEDGLPVACGVFRAGSETACKEISEFSHPVECDWSSKRSFYRIFPKKGEIWAMYKNWKIAFSNADIDKCEPRMVEILSDYSDEIGVNVCRLTRVKGCLTFFQRVIVEDFHLTKLISRSEMLSFSHRVPAYVVIEIKDRDIPKGSWHLEPNALPLRNIY >OGLUM01G46830.1 pep chromosome:ALNU02000000:1:43864552:43865267:1 gene:OGLUM01G46830 transcript:OGLUM01G46830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MSGPVSVPRFGALSTLKKKRFSLSRRERLSSEYPWMSSMNKTRAENGIFNQWRNSSSPI >OGLUM01G46830.2 pep chromosome:ALNU02000000:1:43864456:43868964:1 gene:OGLUM01G46830 transcript:OGLUM01G46830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >OGLUM01G46830.3 pep chromosome:ALNU02000000:1:43864719:43868964:1 gene:OGLUM01G46830 transcript:OGLUM01G46830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13290) TAIR;Acc:AT5G13290] MEMMASKNPTKTLLLLLLLVFFLSLACSQPLHSEPMSTTTTATQPAPPPPPPQSKIPHAEAGGAARLRRIVLGVLFGSLTGFLLSLAFLYAIRVAILHAKYAPAIVRGPVSFTPQISPKNLHSALPSAQPLAHGPNGKYYKLVLDNDVTVAVKRLEASRPEASPSSMPNVSKSDMRRVQRQLELLARVRHQNVMGLKAYVREADRLSLAYDFVPGGSLEDVMKRVRSLQVNLNWDARNRIAIGVAKGLRYLHFECTPRILHCSLKPSNVMLDEGFEPRLADCGVSRLIASGSADPELASSLYSAPECYQSSRYTDKSDVYSFGMILGVLLTGRDPTDHFFSGETGRGGLARWLRHMQQSGDAKDALDSSVLGEEGEEDEMVMAVRVAIICLSDLPADRPSSDELVPMLTQLHSL >OGLUM01G46840.1 pep chromosome:ALNU02000000:1:43882375:43887409:1 gene:OGLUM01G46840 transcript:OGLUM01G46840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJH7] MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGSQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATDNEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGSVKRDDTRERFRAKIYHYCYCPSQLLPTL >OGLUM01G46840.2 pep chromosome:ALNU02000000:1:43882375:43887672:1 gene:OGLUM01G46840 transcript:OGLUM01G46840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJH7] MPPAAMAPPPPPQGSSTGDPLYDELWHACAGPLVTVPRVGDLVFYFPQGHIEQVEASMNQVADSQMRLYDLPSKLLCRVLNVELKAEQDTDEVYAQVMLMPEPEQNEMAVEKTTPTSGPVQARPPVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMDWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNVPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESVKNNYSVGMRFRMRFEGEEAPEQRFTGTIIGSENLDPVWPESSWRSLKVRWDEPSTIPRPDRVSPWKIEPASSPPVNPLPLSRVKRPRPNAPPASPESPILTKEAATKVDTDPAQAQRSQNSTVLQGQEQMTLRSNLTESNDSDVTAHKPMMWSPSPNAAKAHPLTFQQRPPMDNWMQLGRRETDFKDVRSGSQSFGDSPGFFMQNFDEAPNRLTSFKNQFQDQGSARHFSDPYYYVSPQPSLTVESSTQMHTDSKELHFWNGQSTVYGNSRDQPQNFRFEQNSSSWLNQSFARPEQPRVIRPHASIAPVELEKTEGSGFKIFGFKVDTTNAPNNHLSSPMAATHEPMLQTPSSLNQLQPVQTDCIPEVSVSTAGTATDNEKSGQQAQQSSKDVQSKTQVASTRSCTKVHKQGVALGRSVDLSKFSNYDELKAELDKMFEFDGELVSSNKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSNAPRKDDSSENEKGHLPMPNKSDN >OGLUM01G46850.1 pep chromosome:ALNU02000000:1:43891748:43895056:1 gene:OGLUM01G46850 transcript:OGLUM01G46850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDNRFPGSKSLRYIDLTLQNFFNRSDSKVVTVDASAAISGSMDWIQLPSPMFNFQASSVAHHWEISCFPLRGREVLCIDQDECCLIFDGDRRNIVTMPDLCHPKGCPISLFVDSTNVDDDDGDGTLFIMERVVKPESPRSSPSHSDQFEAVFYRTPSSVRSVSSTCKPLPPPSFVRDPKFCNTRTTINSYAVVSGGFEICISVEGAGTYCMDTVKHTWRHVGQWTLPFYGKVEYVPELKLWFGLSDKTNHLAAVDLSAMDDCFQRPELVRAWMELSPCQPGLRQVLHRKHFAGYYRDQIIDDPFVVLTGVDVVPDDASGDANGSMGEVQLRMIKYESKLASGAIERFTPTMALSRRFLNLMMDNRFPVSKSLRCIDLTHHCNLFNATPPNFFDGSKSTIIRVENRIQLPSPIFNFAAGFGEDWRMDCLPFLDRRVICADQSGRCFLFEADRSRVVMLPRLHGPKQVPISILIPCPEEESGEFDGGNLYIMDKMSRAGVSGTNQFEAFVYCHYRGSRIMKSWTCQLLPPPPYVYDRTYLGRWLEIRSYVVVDYGSKICISVKGVGTYCMDRRSLTWSHLGKWMLPFIGKVEYVPEVKLWVGICSSTHELAAADLSSMDSQPQLVGTCKEFEPPEEWKQCRDPQLVNLGSGKFCVTRFFRNQAPKGDSDDEFIGRNITVLTGVEVMQSACHGNGSSGEMELQMIPHRSRWYGGDTIGTVC >OGLUM01G46860.1 pep chromosome:ALNU02000000:1:43895863:43899859:-1 gene:OGLUM01G46860 transcript:OGLUM01G46860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQASAPIYMQNQELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEIEEEALHMS >OGLUM01G46860.2 pep chromosome:ALNU02000000:1:43895863:43899859:-1 gene:OGLUM01G46860 transcript:OGLUM01G46860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEIEEEALHMS >OGLUM01G46860.3 pep chromosome:ALNU02000000:1:43895863:43899859:-1 gene:OGLUM01G46860 transcript:OGLUM01G46860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADILEATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEIEEEALHMS >OGLUM01G46860.4 pep chromosome:ALNU02000000:1:43895863:43899859:-1 gene:OGLUM01G46860 transcript:OGLUM01G46860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRFAAAPLRLRLVSPAPPAIGGAGDGGRRGGGGAARIGVLGRARCRRRRGGGGRLEVAAAAADDSARCRAKGVGAAAAAAETLGGIGVGGGDQLSVVMKFGGSSVSSAARMREVAGLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIRVSEIEEWNLIKDLHIKTVEELALPRSVIHTMLDELEQLLKGIAMMKELTLRTTDYLVSFGECMSTRIFAAYLNKIGVKARQATYPAVAKRLHGDWIRDPAIPIVTGFLGKGWKSGAVTTLGRGGSDLTATTIDVDGVLTCDPNIYPNATTVPYLTFEEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLAKELDHVVEELEKIAVVHLLQQRAIISLIGNVRRSSLILEKAFQVLRKSGVNVQMISQGASKVNMSLIVHDSEAKQCIKALHQAFFEDDVLTEIEEEALHMS >OGLUM01G46870.1 pep chromosome:ALNU02000000:1:43901313:43904157:-1 gene:OGLUM01G46870 transcript:OGLUM01G46870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLGLLFSSLLFSERCETRRRARSGGEVAMDEAEAAAKMDELAGGGGGGGGGDWSYLAADALAAASFTAFPFHHHHHHHRDVLSVSTPSSLLLNMDAATAAAMFDFQAAFPSSSVPPPPPTTTAALPPFHDFASSNPFDDAPPPFLAPPGQKLGFLGPPGGAFGGGMGWDDDDEIEQSVDASSMGVSASLENAAPVAAGGGGGGGGGGGGGGGGGGGGRGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESAPSSSLTGPSSASFHPSTPTLQTFPGRVKEELCPTSFPSPSGQQATVEVRMREGHAVNIHMFCARRPGILMSTLRALDSLGLGIEQAVISCFNGFAMDVFRAEQCRDGPGLGPEEIKTVLLHSAGLQNAMRTDEMRLAI >OGLUM01G46880.1 pep chromosome:ALNU02000000:1:43909282:43910964:-1 gene:OGLUM01G46880 transcript:OGLUM01G46880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSFGVRGSEGSFRRECDLSGFCPWLSTTSIKAGTGRLHGEYGTRRRAARRPAVIGGCGCVDDSKHTVRAQELITSLAREEVKKNGFGFRAVTGSLGIDRSSMVMDAFSLAHSLAYTLKN >OGLUM01G46890.1 pep chromosome:ALNU02000000:1:43911068:43917695:-1 gene:OGLUM01G46890 transcript:OGLUM01G46890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAAGLGGGVSNVRRTQNVAARAAAARLAQVMASQSAAAAAGRDDDDDDDDYANDRPSPREVRLRAPRRGARQQRRLVARPHREISLPCGRNIVEPPPTVRSTSAGRPAVASRPTTTVVPPIKTSTTLRTPSPIPPVAVEPPVDRSRQKRFDTGHLNSRESTPKRDASALQDELDILQEENESVLEKLRLAEERCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARGSKDGKDGEVTTLKHELDCAKEEVVTAMEQLKEAETETKALRSMTQRMVLTQEEMEEVVLKRCWLSRYWGLAVQYGEDDAQRRNKLVRDMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKFLEAFDLSHEEAEDVSFKQAWLIYFWRRAKTHGIEEDIAEERLQFWIGRNAVAPTSHDAIDVERGLTELRKLGIEQQLWEGSRADIDEDSSAIENH >OGLUM01G46900.1 pep chromosome:ALNU02000000:1:43925927:43930765:1 gene:OGLUM01G46900 transcript:OGLUM01G46900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin chaperone subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G03760) TAIR;Acc:AT1G03760] MAAAARKGTATPLGAVFSPEETRRAVARVAEAVANRRAELGRLQGFSADNAALVSLVQRLPDEVSHDIMVPFGGAAFFPGRLIHTNELLVLLGEGYYVERSAKQTTEILHRRGMELEAQVEAMKATISDLEAEAKFFESTAAEASEGLIEIREEYDEDTEINSSTLDASSSASGMPDKDREYTQIMARLDELEMEENDAGSTSEEDGEDDEDDEDDEEDAGPSEDDKEDEEEENILIDDNDHHNASLGASFSGSGGNDQSHESAQLKSALKKPGGREILKSASFAPSASTPHSVFPGQTSTINPGIQLPLKKAVSFQDDNRHTVGPSKSLPLPQGPKHSSPILQVSSDNPTSHDRKIMSSGQKAFTGSIIEHDDNISTLQPSKSAALQNPASSSSRPVSRFKMQKGGR >OGLUM01G46910.1 pep chromosome:ALNU02000000:1:43931177:43937551:-1 gene:OGLUM01G46910 transcript:OGLUM01G46910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQDRGQQQQQVVVVVVAVAAAAAAMVPARSRLFHHDSSSSSSKRGGGNSSSSCTPQYCIATQLDAAAATAPARRRHHHHHQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPTAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >OGLUM01G46910.2 pep chromosome:ALNU02000000:1:43931177:43937551:-1 gene:OGLUM01G46910 transcript:OGLUM01G46910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQDRGQQQQQVVVVVVAVAAAAAAMVPARSRLFHHDSSSSSSKRGGGNSSSSCTPQYCIATQLDAAAATAPARRRHHHHHQQPAAARYQLAMAPPEDDAAAGTSSRGGGAGDDDDGDKDWLQLGLAAAAPTATAPTAAAAPSSSTPTAPELDVFAAKQYNGRPPLFPLPILRSYHHHHHHHHQYGHGGGRCPPPSFFPFARPLRSSGDLPGVMRIPKSYLRIKDSNMKVEVVMKYLAGKLGLTQSHLQVELTCRGQLLPPFLLVKNVRDSIWCSSLRPSCREDDDGDGDGEDDLVELHRRSPAAAATTDHVMALVYSTSSSCH >OGLUM01G46920.1 pep chromosome:ALNU02000000:1:43946829:43950986:-1 gene:OGLUM01G46920 transcript:OGLUM01G46920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKVPYVTAATTLFSFGLIFGFGHLRDSFRALLRLLFSSAAAAGSPAGCNPKGYAPICVGKEDFYIRRFFRRVQDCFGRPIASKPDAWFDVVERYSTDSNKTLHRTTKTSKCLNLASFNYLGFAAADEYCTPRVIESLKKYSASTCSSRVDGGNTQLHIELEELVARFVRKPSAILLAMGYATNSAIIPALIGKGGLIISDSLNHNSIVSGARASGATIRVFEHNNPAHLEKLLREQISGGQPRTHRAWKKILVIVEGIYSMEGELCKLPEIISVCKKYKVYTYMDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTLSKSFGSSGGYIAASKEIIQHLKLTCPSHIYGTSMSPPAVQQVISAMKVILGEDGTDRGAKKIAQIRDNSNFFRSELQKMGFEVLGDNDSPVMPFMVYNPAKMPAFSRECLKQNVAVVPVGFPATPLLLGRIRICISASHSREDLIKGLEVISNVGDLVGIKYLPVEQEETTSVEKPKKL >OGLUM01G46930.1 pep chromosome:ALNU02000000:1:43954387:43958907:-1 gene:OGLUM01G46930 transcript:OGLUM01G46930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVPFVTAVTTVFSYGVIFGFGHLRDWFRALLRSLFSGHSPAVAGANLKGYAPICGGQEDFYYRRFVRRVQDCFWRPIASKPDAWFDVVERYSNDSNKTLQRTTKTSRCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALIGKGGLIISDSLNHNSIVNGARGSGASVQVFQHNNPAHLEEVLREQIAGGQPRTHRRWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIEVILGEDGSDRGAKKLAQIRENSNFFRSELEKMGFEVLGDNDSPVMPIMLYNPAKMPAFSRECLRQKVAIVTVSFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYLPVEHEKTTSAEKLKKIQ >OGLUM01G46940.1 pep chromosome:ALNU02000000:1:43962171:43965806:-1 gene:OGLUM01G46940 transcript:OGLUM01G46940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDGDGVIRAPVTGDGDSSSAAAAAIIVVVVAVPRSFVAGGTLLYPSIILLINRRHLVAIDDLFLFFLLLLFHSPTSPRRSPWRRLQIPLHLLPSPPPSPPILPPPPPPASTTMVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDAGKSSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHVELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVIAVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIDHLKHICPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQHVAVVTVAFPATPLLLARARICISASHSREDLIKGLEVISKVGDLVGIKYFPVEHEKTASVEKLKKLQ >OGLUM01G46950.1 pep chromosome:ALNU02000000:1:43967682:43974899:1 gene:OGLUM01G46950 transcript:OGLUM01G46950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSARPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELQQLPAKQEASSRAQRLERDDEQQQQLGVRHFCVTVCHCKSSPSAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPVIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >OGLUM01G46950.2 pep chromosome:ALNU02000000:1:43967682:43974899:1 gene:OGLUM01G46950 transcript:OGLUM01G46950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSARPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATAATGAGAAAAAAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPVIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >OGLUM01G46950.3 pep chromosome:ALNU02000000:1:43967801:43974899:1 gene:OGLUM01G46950 transcript:OGLUM01G46950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEAGRKEKKEVVRLERESVIPIMKPKLIMKLAYLIEQQSDREEFLKLCKRIEYTIRAWYHLQFDDMMELFALFDPVHGAQKLQQQNFSTEEVDTLEQNFLTYFFQVMQKSNFNILSDDEVELAHSGQYLLNLPIKVDEAKLDNKLLSKYFKEHHHDNLPEFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRWFLEKTRLQKLFSRKKSARPKTDSKKNDDLVGEEEDKELYVERIRLETMNLSLRNLIGKVTIQEPTFEEVIVLYRRKSPKGQNDRSIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLVSSLEMPKADFWVVVAVLSALAGYCAKIYLSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMENGKATIEDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGIITRDSIGRICCLSLKRANEIIGATTEELYKCSSSYQLSKKRAAELSVWSEMMSSSSSLVSAISASPSATAKARLRHDDAATAATGAGAAAAAAARQLKTEHGGCCCCRSRGRAVVARAGPGPLTEIEPDLNEDPIDKWRTNGVSPDDFEYGVYDGHHTYNETQDKKGFWEDVSEWYQEAEPPQGFQALISWLFPPVIILGMAFNVPGEYLYIGAAVFVVVFCVIEMDKPDKPHNFEPEIYMMERSARDKLIADYNTMDIWDFNEKYGELWDFTVDRRDEIVKSS >OGLUM01G46960.1 pep chromosome:ALNU02000000:1:43974346:43977527:-1 gene:OGLUM01G46960 transcript:OGLUM01G46960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26700) TAIR;Acc:AT3G26700] MTPKYPSNLQYFSSGTAEELQTLRKVVHPVQLNQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGSSHAPGVKLEFKQRLSIAHGAAKGLNHLHSLTPPTVHMNFKTANVLVDEDLIPKVADAGIRSLLDRLGGVGPSSRTSYDPFLDPRMRESINFSIQSDVYGFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEVEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >OGLUM01G46960.2 pep chromosome:ALNU02000000:1:43974346:43977527:-1 gene:OGLUM01G46960 transcript:OGLUM01G46960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26700) TAIR;Acc:AT3G26700] MTPKYPSNLQYFSSGTAEELQTLRKVVHPVQLNQGARCMTLEELSSATRNFSNVNLIGHGMFGEVYKGLLQDGTIVAIKKRHSPPSHEFIHEVNYLSSIRHRNLVNLLGYCQENGMQMLVYEYVPNGSVSTHLHGDFSLCFPNTVTVFNKSIIGSSHAPGVKLEFKQRLSIAHGAAKGLNHLHSLTPPTVHMNFKTANVLVDEDLIPKVADAGIRSLLDRLGGVGPSSRTSYDPFLDPRMRESINFSIQSDVYGFGVFLVELLSGKRALSDQNIIRWVQNFQQSSDISAIADNRMASAYTSEGMREFLRLTSWCVNPTSEHRPSMNLVEVEINRIREQEMRMTTIMPESTPTVTLGSQLFTTSG >OGLUM01G46970.1 pep chromosome:ALNU02000000:1:43982721:43984308:-1 gene:OGLUM01G46970 transcript:OGLUM01G46970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEAARERREQRRREVTLLRAVPYEPHQRWWDGLAPPPPPPGRAVAVVTGANRGIGYEAARQLATHGLHVVLTSRDAARGRDAAEQIRAAAGKPGVSVEWRQLDVTDAASVEGFATWVERTHGGVHVLVNNAGVNFNRGADNSVEFAEQVIETNYFGTKRMIEAMMPLMITSPHGGRIVNVSSRLGRVNGRRNRIGDPSLRERLLNDDHLSEELINEMVMKFLEQTKQDNWSSGNEWPQMYTDYSISKLAVNAYTRLLARRLLDRPEGQKIYINCFCPGWVKTAMTGWEGNISAEEGADTGVWLALVPQEQATIGKFFAERREISF >OGLUM01G46980.1 pep chromosome:ALNU02000000:1:43985548:43985829:-1 gene:OGLUM01G46980 transcript:OGLUM01G46980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVGAAAAVLVLAAAAAAAAMAAEPPTDDGAVRVAAGLTKCVSGCGSKVTSCLLGCYGGGGGGAAAATAMPFCVIGCTSDVLSCATGCSTSL >OGLUM01G46990.1 pep chromosome:ALNU02000000:1:43989889:43991635:1 gene:OGLUM01G46990 transcript:OGLUM01G46990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQPRYSFSQPPHGPPRRDNVAAHRDAASASHACISPTHLLTHWSASSPPAPPVDAGAATTLSPLAAAGSMCGSLLHPRRLRFSVAPVAAAKPEAVVKPPGPAPPSPCVHGAEPLICAMIFAGTTGEAAATPVEELAKSLQGVELFDLRGKAVPVVDLWKDRNFLSCFGRLIVFETIDDGCLHVCALP >OGLUM01G47000.1 pep chromosome:ALNU02000000:1:43994557:43998141:1 gene:OGLUM01G47000 transcript:OGLUM01G47000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal P17.1 protein [Source:Projected from Arabidopsis thaliana (AT3G44020) TAIR;Acc:AT3G44020] MASLLCPAAAASYSSLLSSSFPSRRRPQPSAPLASPAPSSPPRPRSVAAAAAYGYGGDVLMRPFDTQTLLISAAVVSAVSLSLVLGLKGDPVPCERCAGNGGTKCVFCNDGKMKVENGVVECRVCKGAGLILCKKCSGSGYSRRL >OGLUM01G47010.1 pep chromosome:ALNU02000000:1:44001539:44004058:1 gene:OGLUM01G47010 transcript:OGLUM01G47010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFVESSSAAAASNSGREAAVLCAPRPRRVQVHPCSADLILGPPPFLLSSNNTNKQRERKSKEEEEEEEGRWEMFGGSPPARADNPLVHDPHFLLNQRPHAAAAAAAPELSIFDHRSTHHGHHPAYSSSSSFAPSFAPAVRIQGFDVAACRSSHGSGGGGRVLSARA >OGLUM01G47020.1 pep chromosome:ALNU02000000:1:44012367:44020655:-1 gene:OGLUM01G47020 transcript:OGLUM01G47020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRPNSASVSPFVLAAEASNGGARGGGGLPRRRPLRFPGGSWTTRPSPRIGGMETRIGGLASRSGDGMLEHVDWGSWAECIGQWGYSRSNNEQSMNA >OGLUM01G47030.1 pep chromosome:ALNU02000000:1:44015378:44019979:1 gene:OGLUM01G47030 transcript:OGLUM01G47030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJK4] MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPDPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELWNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >OGLUM01G47030.2 pep chromosome:ALNU02000000:1:44015378:44019979:1 gene:OGLUM01G47030 transcript:OGLUM01G47030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJK4] MTEPLHTSSNGGAERGPNAAFESEKTLQTTTRLQRFDSLHMEAGKIPGGQSHTAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGIKDTNDILGVMSLIIYTVVLLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSHYKLESPSNRVKRAHWIKEKMENSPNFKIILFLVTILATSMVIGDGVLTPCISVLSAVGGIKESAKSLTQGQIAGIAIAILIVLFLVQRFGTDKVGYSFGPIILTWFIFIAGTGVYNLFKHDTGVLKAFNPKYIVDYFERNGKQGWISLGGVILCITGTEAMFADLGHFNVRAIQIGFSVVLLPSVLLAYIGQAAYLRIYPEHVADTFYKSIPVAAAIIASQAMISGAFAIIAQSQILGCFPRVRVIHTSTKFHGQVYIPEINYVLMVLCVAVTAIFQTTDKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFSAILMFIMATWHYVHVHRYKYELWNKVSNNYVAELAVKQNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHSVLVIISIKYLPISKIETKERFLFRYVEPKEYRVFRCVVRYGYNDKVEDPAEFESLVIENLKQFIHEESLYSQSSHSLEGESIKEIGGVTDPTSEVQDAMSSRNNSDQHTTEPRNGCMDEIQSIHKEMGNGVVHLLGETNVVAEPNADFLKKIIVDYVYNFIRKNFRQPEKITCVPHNRLLRVGMTYEI >OGLUM01G47040.1 pep chromosome:ALNU02000000:1:44023600:44024298:-1 gene:OGLUM01G47040 transcript:OGLUM01G47040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAARRMWGYLRAVFFMVRKGVISKRRLLLGMQLAMRLKRRNRAVARSVASLLSHHHGGGGGGALRRRREYEFSCSNSPDVVHGGAASRRRPAYFPCLGAEDDVVDAGASATAAAALQRIEYYGYAAAAASSPAPSSPGFMVRDELLAPGDECSTPGLSPLLGTTGGYSVRVSNYSSEEEEDGDYGHADGGGGEEAVDDEAEEFIRRFYEQLRRQNHVALLPYMTESAA >OGLUM01G47050.1 pep chromosome:ALNU02000000:1:44030714:44034450:1 gene:OGLUM01G47050 transcript:OGLUM01G47050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISSGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFREFGDRVSHWITIDEPNVASIGSYDSGQLAPGHCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADMSVYYRGSKTDPPPGKEAPTSIGPDPQGLRLMVQYLQETYGNLPTYILENGKLVLFFLPYIFGFSSPYSSGYGSSNDTVHDNDRIDYLKSYIGSILTAVRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLKNREMDVQNELAMAAAESRAQQ >OGLUM01G47050.2 pep chromosome:ALNU02000000:1:44030714:44034450:1 gene:OGLUM01G47050 transcript:OGLUM01G47050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISSGIQVHIMLYQLDLPQVLEDEYDGWLSPRILEDFKAYADVCFREFGDRVSHWITIDEPNVASIGSYDSGQLAPGHCSDPFGIRKCTVGNSSVEPYIAVHNMLLAHASVTKLYREKYQVAGKGIIGISVYTFWAYPLTNSTVDLEATKRCQDFIVHWVLRPLVFGDYPQVMKNIVGSRLPSFTKAQSEDVKGSLDFIGMNHYYSLYVNDRPLGKGTRDFVADMSVYYRGSKTDPPPGYGSSNDTVHDNDRIDYLKSYIGSILTAVRNGANVKGYFVWSFVDVFEYLTGYGQSYGLYRVDFADESRPRQARLSARWYSGFLKNREMDVQNELAMAAAESRAQQ >OGLUM01G47060.1 pep chromosome:ALNU02000000:1:44034567:44035046:1 gene:OGLUM01G47060 transcript:OGLUM01G47060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQRQHQDEGDVASSASPPPDCHTSSTSWIRRPCRGSEHVRRSPHRSLEVEKVDGVEGREGAGGDERGGGDGRATEATMEVNGRPRMASKEAARAGEGDAGGGDGRRRTRRRRWGIRTAMEGAEKAVRPGEATVLEGKEMPMALEATEAEREKDR >OGLUM01G47070.1 pep chromosome:ALNU02000000:1:44040223:44041850:1 gene:OGLUM01G47070 transcript:OGLUM01G47070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVGKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDVMAKYFDDDLKLMVDTNLEAYRLSISWSRIIPNGRGDVNPKGLQYYNDIIDGLVKNGMPICINL >OGLUM01G47070.2 pep chromosome:ALNU02000000:1:44040223:44041850:1 gene:OGLUM01G47070 transcript:OGLUM01G47070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSQSKMILYIRLDVKVGKKNTYLRETKKISLLIHWPTFGHGQVFVYAWMKLEIKPPQPHVLTRMAAAIAVVYLSLLLLLLHGAAPAVLGYTRGDFPEDFVFGSATSSYQYEGGFDEDGRSPSNWDIFTHQDGRGDVNPKGLQYYNDIIDGLVKNGMPICINL >OGLUM01G47080.1 pep chromosome:ALNU02000000:1:44052075:44059608:1 gene:OGLUM01G47080 transcript:OGLUM01G47080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRFSDCTSESVLSRGRLEECRGRRRKGSSVSYLEKEACLGWALCVQEEDRIYFGALELEHKSRMAAASRARRWSLAGKTALVTGGTKGIGRAIVEELAGFGVRVHTCSRHDADLQDCLRRXXXXXXXXXXXXGGGAAALVTGSVCDVSVRGDREALVAAARAALGGRLDMLVNNAGQVVVGPAAETAPEEYARLMATNLESCFHLAQLAHPLLRDAVAGGGAAASVVNISSTAAFYAAPHLAVYSATKGGMNHLTRCLAAEWARDGVRVNAVAPGATRTDICDTSGVALGEETRRRLADAGAMDRVPIRRIGEPEEVAAAVVFLCMPAASYITGQVICVDGGRTLL >OGLUM01G47090.1 pep chromosome:ALNU02000000:1:44056141:44059062:-1 gene:OGLUM01G47090 transcript:OGLUM01G47090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1624) [Source:Projected from Arabidopsis thaliana (AT5G27730) TAIR;Acc:AT5G27730] MDGGGQGVARRHGAVAEEDPDRRRGDRSGKEGDDDGVDVDEKAPPPRRTSRRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWNGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGRAVRRVVLRTLKLLFWGILLQGGYSHAPDDLSYGVDMKHVRWCGILQRIALAYLVVAVLEIVTKNAKVQDQSSSGFSIFRMYFSQWIVACCILVIYLSLVYGIYVPDWDFRVSDVKNPNFGKILTVTCGTRGKLSPPCNAVGYIDRKVLGINHMYHRPAWRRHKDCTDDSPHEGPFKTDSPAWCYAPFEPEGLLSSLSAVLSTIIGVHYGHVLVHMKSHTDRLKQWSIMGITLLILGLTLHFSHAIPLNKQLYTFSYICVTAGAAGIVFCMFYFLVDILNLHYPFAPLKWTGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVAGLLHRARLYWKL >OGLUM01G47100.1 pep chromosome:ALNU02000000:1:44060572:44061689:-1 gene:OGLUM01G47100 transcript:OGLUM01G47100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15830) TAIR;Acc:AT4G15830] MALRALDNTLPMASAERPKKLPKLSAAAAAVPAASPEGKRKKNDENSAPKATAEQAVEYVRSEDLQPVPHPKAKAAGLVAELDSKDWIRACDALNDARRLAIHHPSLLNPILGKVMLAIVKTMKSPRSAVCKTSIMACTDVFDSFGNLLSSASDDAFDKLLLQLLLKASQDKRFVAEEAEKAMRAMAASMPPLPLLRKLKAYVHHANLRVRAKAAVAMSQCASRMDVATMKEFGMSAMLQVAAELLSDRLPEAREAARGMVNSMHAAFSKEAAAAAREEEEGAAAAAAASWESLCALSLPPISAQSVAKIVSQSQSQ >OGLUM01G47110.1 pep chromosome:ALNU02000000:1:44062571:44065530:-1 gene:OGLUM01G47110 transcript:OGLUM01G47110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05510) TAIR;Acc:AT3G05510] MAGGGGVPWGDRARVVGAQIRSRFRVGVAPVDRRWLWRRGDGRVASEAVRQWTERVRSLWQREKSADQISSSPGASQAAAAAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACHIFMHGLNSVQEILQIYGAEKLQQALQERPKGKPLLTVSNHVAAMDDPFVIASILPPSVMLEAQKLRWTLCASDRCFTNPILSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNNGGWVHIFPEGSRSKDGGKTIAPAKRGVGRLVMDADSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIDNSDETQHISRGILYDKATERIGQRLQELKAEVDRLAAEQKSELQHHHARDTVNYGTRLWQQVDWEAFGIESSMLSPEPSDVQEPLKKTKPILHLESEHAKPELHLEPEQAKPELHPEQCVPEAPSAAICSDIGVPLFFRHHTDPSELMGFAARGLLKNGRFMEEEGYRELQRQGTMNMFWGSQANNTVL >OGLUM01G47120.1 pep chromosome:ALNU02000000:1:44066249:44068943:-1 gene:OGLUM01G47120 transcript:OGLUM01G47120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTMTHSSSFLRLPATSSPHPPPADDASAAYAVVVLNQRLPRFAPLLWDRGILLLPCLDDALRSLFEVWCGLTDDDVVVCGVSWWVARAARLRVCADGGANRVFDGMPELLPAEDPDQVRMRSTAASTSVFFTMNSFLPILGCQRYKPDVIKGDMDSIRPEVKEYYSNLGAEIVDESHDQDTTDLHKCVSFITRNPPGSEESNLYILVLGALGGRFDHEMGNINVLYRFSNIRIVLLSDDCSIFLLPKTHSHEIHIERSIEGPHCGLIPMGSPSASTTTTGLRWNLDNTSMSYGGLISTSNIVEEETVRITSDSDLIWTISLRN >OGLUM01G47130.1 pep chromosome:ALNU02000000:1:44086585:44086902:1 gene:OGLUM01G47130 transcript:OGLUM01G47130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQKEYKILKKEGRYNVRAVVEVALSGEVYLIIDGASHKSEYRIIDAGGEVLAEIRRKQTDAGVVLGDDVLTLTVGPTADRLLVVGLVVVCGLLDRCI >OGLUM01G47140.1 pep chromosome:ALNU02000000:1:44087502:44087819:1 gene:OGLUM01G47140 transcript:OGLUM01G47140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQPWFRVQKEYKILKKEGRYNVRAVVEVALTGEVYRIIDGASHKSEYRIVGAGGEVLAEIRRKQTDAGVVLGDDVLSLTVGPTADRLLVVGLVVVCGLLDRCI >OGLUM01G47150.1 pep chromosome:ALNU02000000:1:44101927:44102959:-1 gene:OGLUM01G47150 transcript:OGLUM01G47150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIQPLPAASPSAEWHHGDGGGGGVQGKQAVYTVWMKSLVFNGHGCTVYGSDGRVAFRVDNYGCRGSRDVFFMDTAGNTLIGIQTKSFGMLKRWEASRHHGGEEETTTPWFRVQRGRGRGRGGAMATVTLHGGVGMAYRIDGCPSKSEYRISRGCGGGGGEVVAEVARKQTACGVVLGEDVLTLTVGPDADHLLVLGLVVVCGLISRAM >OGLUM01G47160.1 pep chromosome:ALNU02000000:1:44103826:44107038:1 gene:OGLUM01G47160 transcript:OGLUM01G47160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKLEAHGCAYRGFYFVRFQELPAFWEMSNPGDGIKWTYMPIISSIELSKSSSILIFISSMDKMLTRIINLILRKTDFFDTKEMAIAPPRIQPVSAHLHSSASPKDSDHQEKKQVCYTVWMKSLVFNGRGCTVYGQDGRAAYRVDNYACRRSREVFVMDSGGKTLLKLLKKVH >OGLUM01G47170.1 pep chromosome:ALNU02000000:1:44117824:44118096:1 gene:OGLUM01G47170 transcript:OGLUM01G47170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAWKRDRRAILAVELLLPPDGDDINCGLVEPKPRVVALSGRPVGTACNGSGGDDDSSGRRAARAGVDDEVEYNVCEGDGAGAGRVLTR >OGLUM01G47180.1 pep chromosome:ALNU02000000:1:44119593:44120015:1 gene:OGLUM01G47180 transcript:OGLUM01G47180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFALFLAATILVVSTAPHAHSYPAAAALHHQCIGVPTIGGENPLEYKEYIVLLRPWPDAATVGMDDDDGARRSWYLSFLPGNITAGGKPRLVRSYKHVVNSCTALLTKAEMDAVSKKPGFWRCFQNGIARLCLQAP >OGLUM01G47190.1 pep chromosome:ALNU02000000:1:44122133:44124500:1 gene:OGLUM01G47190 transcript:OGLUM01G47190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVSAGAASTPIHPIGIQGRTTKALHGCSVVIKSSTSVVGPYAWVGSGGGRNKLSILTIGEYHGTTMHLVEEQKLKLLHLFLLFY >OGLUM01G47200.1 pep chromosome:ALNU02000000:1:44125235:44130008:-1 gene:OGLUM01G47200 transcript:OGLUM01G47200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJM4] MVPPGNGNGAAAAAGGNDVILELSTPGDDWSHELQGDDVEANGGGNGDAPPRRTFSFGQAYKTRHRQPQVFTVWQTLMLGYQSLGIVYGDLGTSPLYVFPSVVLPDADATDFLGILSLIIWTLTLMSLVKYALIVLKADDHGEGGTFALYSLLRQHVNFKGNIPVPLTRLESDVHLKFHSKRRSRPSRLQLFLENSPKAQLAITIIVLIGTCMLIGDGALTPAISVLSAVQGIQSRSSHIKQKHVVGLSAVILVLLFLVQRFGTSRVSFTFSPIMLLWFASIAGIGVYNIVMHYPPVLKAVSPHYIYYYFAKNKRVGWEQLGAVILCITGAEAMFADMGHFNKSSIQVAFSTAVFPSLILAYSGQAAYLIKNPGDLSTAFYSSVPAPLFWPMFVVSTLAAIVASQSLISASYSIIRQSIALGCFPRTTVKHTSDKYEGQVYCPEINYVLMVVCVLITVGFQGGPEIGRAFGVAVIWVMLLTTTLMTVVMVVIWEVNGALAGGFFVFYLAIEGTYMTSLMTKVPQGGWVPFAITVAFLSVTLSWTYGRKKKREYEARHAVGDGEFAGIVSRSARVPGMCLFCTDLMDGVPPIVRHYAANTGSLRELLLFVTFRTLPVRTVLAGERFLVAREGARAGVYRCIAQYGYMDEQDMVGDDFVRAAVAALVEVAAAAAEADSGEEEAEMIGRAPASGVSYVIGRTVLRMRRRARNWPKRFVINELYRFLQKNFRSNVSTLKLDHAKTLQVGMIYEI >OGLUM01G47210.1 pep chromosome:ALNU02000000:1:44132651:44136683:-1 gene:OGLUM01G47210 transcript:OGLUM01G47210.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFISRPATHSRSSGKTLLLGPSPLPHPVSATTVGSKCFAKCVTKPGSSLSGSKSSCISRCVDHYIEATGIVSRALFSTTR >OGLUM01G47220.1 pep chromosome:ALNU02000000:1:44137863:44143506:1 gene:OGLUM01G47220 transcript:OGLUM01G47220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G15840) TAIR;Acc:AT4G15840] MAAPPVSGDGAAAAAPVVALGAAGAVGGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYRLHRLIISRSAYFRNMLHGPWREAGAPTVVLHIDDPNIDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALYALLAKVTLPDVEVSGNENLNLTSSSANSDHSMRKGKSPMNEAGEEQLMGSELQNLKLHDNTETISAHNTSDIPDMVIPQDSTAYSIEQNAEASRRKVNDFSTGGPSGESTSYQFNEDIWLSSDQTRNYLSKTSSSNGLVPTEWGKPNAPLWGGRVVGRRQVRCVRGSSSLSADEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCTNCCLTGNSCACKQAHVSSHHHYRQEHDRSSASGTVGSIYLTDAHGEGNAVFGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQPFANDESEPRVDYNGDISGDGLTALVNLSQESSASHHQTESIFETGIQVRYSGAASVSTPGGSSLQMQESKEHELGSNLETTENTTISLDMKTPLSHFPPFRFGVEFEDVHRLADSQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEPLDPLRKANMYVDHREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELSDLLQGGSLRIAAVVQLV >OGLUM01G47230.1 pep chromosome:ALNU02000000:1:44144626:44145078:1 gene:OGLUM01G47230 transcript:OGLUM01G47230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGRKMTTMLAIALLMAILFASLSGTEAIICKARSKMYRGKCRGNRNCAMICVHEEYTGGYCSKGVFSKCMCTKRCGGGGGGGGGGGGGGGGGGGDEPPSREARVHRSSPPLEPK >OGLUM01G47240.1 pep chromosome:ALNU02000000:1:44146618:44146953:-1 gene:OGLUM01G47240 transcript:OGLUM01G47240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRRAALAAAAVLLLLVVAAATAQAVEVAPYCVGEPGEEGVAGGGGEEAVAVAAAARRRLQGGGYISYDAMRRNAVPCSYRGASYYNCRPGGQANPYTRGCSAITQCRG >OGLUM01G47250.1 pep chromosome:ALNU02000000:1:44149447:44149959:-1 gene:OGLUM01G47250 transcript:OGLUM01G47250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRPVVNADGEVLLVRRATGARVAHVGVIVADVADSARQRDGSLYARHSYRAGPVPSTMPHHTSAMTPSTPASHHIRRSRALSPAASSSSFAAVTTPSCTPRHGAAPLDTINSNETLKRLRELGAYRQQASSPPGAVACCRVAARRWPPTPSSSPALPLRSSPHPSASC >OGLUM01G47260.1 pep chromosome:ALNU02000000:1:44149488:44169015:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MRERRRASVASGGRRRGSRPPRRVGRRPAAVQLGVVTAANELEDAAGLRARLRRMWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGLCSMAELPSSVWTRRRASPSPAPPARRRLARLPLHSAAPASIP >OGLUM01G47260.2 pep chromosome:ALNU02000000:1:44149488:44163130:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MRERRRASVASGGRRRGSRPPRRVGRRPAAVQLGVVTAANELEDAAGLRARLRRMWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGLCSMAELPSSVWTRRRASPSPAPPARRRLARLPLHSAAPASIP >OGLUM01G47260.3 pep chromosome:ALNU02000000:1:44149488:44166961:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MRERRRASVASGGRRRGSRPPRRVGRRPAAVQLGVVTAANELEDAAGLRARLRRMWWDAGVDGVMADVWWGIVEGTGPARYEWRAYREPSRCRAESATSATMTPTCATRAPVARRTRSTSPSALTTGLCSMAELPSSVWTRRRASPSPAPPARRRLARLPLHSAAPASIP >OGLUM01G47260.4 pep chromosome:ALNU02000000:1:44151884:44166451:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MAGVRLVDDHLTCVLSCGCARRTLVSRWKGRWRWLLLLWLLWPWLSLLAGLAQLRNEDEWFTSSSGEFLLSSGRSEEYGGLGRRQSTLYTDIPENPCAIEEIARSIAINGIDEQFTYKSGSKTQESRNK >OGLUM01G47260.5 pep chromosome:ALNU02000000:1:44151884:44166451:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MAGVRLVDDHLTCVLSCGCARRTLVSRWKGRWRWLLLLWLLWPWLSLLAGLAQLRNEDEWFTSSSGEFLLSSGRSEEYGGLGRRQLYTRFRCCWTDGLRDDGLAQAYYTTAQEFTYKSGSKTQESRNK >OGLUM01G47260.6 pep chromosome:ALNU02000000:1:44151884:44168065:1 gene:OGLUM01G47260 transcript:OGLUM01G47260.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJN2] MAGVRLVDDHLTCVLSCGCARRTLVSRWKGRWRWLLLLWLLWPWLSLLAGLAQLRNEDEWFTSSSGEFLLSSGRSEEYGGLGRRQSTLYTAVVAGTDGESTTSVAGRHGVGEISSKSVGRSPASRAD >OGLUM01G47270.1 pep chromosome:ALNU02000000:1:44150094:44150853:-1 gene:OGLUM01G47270 transcript:OGLUM01G47270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAPAPQEQKVEGESSDASLAVGGGFELPPACGGHSAAIGPADRHGLLLLLLRGGGRLRAPARVRIPLGGNWRGRPPWSPPPPLGGRRGIHGRRRRRGERRRQAVAVGAGESGGATPSSPADAAARCSLLFRWRPPGRRAADLVRPPRRHLAPWAKPNLYVHNQARPPESKKSAMFSLKLFMKSAYN >OGLUM01G47280.1 pep chromosome:ALNU02000000:1:44153693:44155296:-1 gene:OGLUM01G47280 transcript:OGLUM01G47280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSEPIECQVLVLRVSIHCEGCKKKVKKVLQHVPGVFRCDVDARSNKVIVTASRNMDANILVAKLRKSGKQAEPWPEEPKQQQPPPPPAESQSQETKNQSDESSKPSDQPAEKPGPDKAEGSAAEPNNPQPSPEPTKSTDETPKPNQEIQEPSNAKANTDANASGNASDETKEAAATGEQPSEPKWKAEQHRERPIDARVTMEYGGGSHVNYMPQPQPVPVMSYNVARPTASAAYYAAPPAPAPMSMPMPMARPGPSSQGYIDEEYSPSYYNRSSPYEPYYYPQPSPYRYQHYQQSSADDYYYGAPQQRSAFSPPRGAYGEMFNDENANSCSVM >OGLUM01G47290.1 pep chromosome:ALNU02000000:1:44161725:44162078:-1 gene:OGLUM01G47290 transcript:OGLUM01G47290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFRNGVVKLVENPPASANSGGGGGGGGGGGIRRKALLHMPTGEDFSQFGSVHMYDIVVKNRDAFRVIDV >OGLUM01G47300.1 pep chromosome:ALNU02000000:1:44166247:44168788:-1 gene:OGLUM01G47300 transcript:OGLUM01G47300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFLLKLLRAGSMVGTDAALLQELESRAVHTSPSQLSRPGYGRLTSRRSRPRRACQRPTSLTRRRFQPQPPSTPDKHMDFVGLLCCNTSLPNIYVASTSILEVEAIDKHYQQQKQDEKDLDTYWYEQTDLNPCTAQLHCPLQMDLES >OGLUM01G47310.1 pep chromosome:ALNU02000000:1:44174815:44176035:-1 gene:OGLUM01G47310 transcript:OGLUM01G47310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRKLRVYGMALSANVVRVATVLNEKGLDFDLVPVDLRTAAHKQPHFLALNPFGQIPVLQDGDEVLYESRAINRYIATKYKAEGADLLPAEASPAKLEVWLEVESHHFYPAISGLVFQLLIKPLLGGATDTAAVDEHAAALAQVLDVYDAHLAGSRYLAGNRFSLADANHMSYLLFLSKTPMAELVASRPHVKAWWDDISSRPAWKKTAAAIPFPSAA >OGLUM01G47320.1 pep chromosome:ALNU02000000:1:44178683:44183030:-1 gene:OGLUM01G47320 transcript:OGLUM01G47320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPHS >OGLUM01G47320.2 pep chromosome:ALNU02000000:1:44178949:44183030:-1 gene:OGLUM01G47320 transcript:OGLUM01G47320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62770) TAIR;Acc:AT3G62770] MATPPHDASPAPPNPNPVSDDPPPPPPVTETKPEPEPPLPTTSIDPTPSGDEESGDDSSSSVSSASSTSPTAAAAAAAAGGGGGGGGERAAPHPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLGAAGDNGVGGGGGGIGVVEMLFRCNILALVGGGDAPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGAQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGLTTNDKPLPAPDPDVPHISPSLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSSDQPQ >OGLUM01G47330.1 pep chromosome:ALNU02000000:1:44185608:44186684:-1 gene:OGLUM01G47330 transcript:OGLUM01G47330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRTLELTLISAKDLKDVNLLSKMEVYAVVSLSGDRRSRQRIATDRAGGRNPAWNAAPLRFTVPASGAGSLHVLLRAERALGDRDVGEVHIPLSELLSGAPDGAVPAKFVSYQVRKISSGKPQGVLNFSYKIGEVTQSGSYPGASPPVAYGQAPPAPAYPPSAAAAAAYPPQSTYPPPTAYPTAAKADGSAAAAYPPQSAYPPPGKGNEPSTAYPPPAGYPPATGSSKPAKAGEPVTAYPAAAGPSTAAPYGTAPPPQYGYGYPAQPPPPQAGYGYPPPPPQAGYGGGYGYPPQAGYGGYQQQAVKPAKKNNFGMGLGAGLLGGALGGLLIGDAISDASAYDAGYDAGFDDGGGFDF >OGLUM01G47340.1 pep chromosome:ALNU02000000:1:44190077:44195661:1 gene:OGLUM01G47340 transcript:OGLUM01G47340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPKWRHVKKKKEVFASSQGKQIRFLHYKAETPPQRQEPNRRLLPKRPKPPTSSRPFSPPPPPPPPTRRRPMGSEEEPSQMRRALVDALAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLRRDVYGPSKYTGLLQASKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSKTGCAATVGSYPFDLLRTILASQGEPKVYPDMRSAFLDIMKTRGFRGLYAGLTPTLVEIIPYAGLQFGSYDTFKRSMMTWNRYRYSHLNSGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSKAGVE >OGLUM01G47350.1 pep chromosome:ALNU02000000:1:44202572:44211508:1 gene:OGLUM01G47350 transcript:OGLUM01G47350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02560) TAIR;Acc:AT4G02560] MELVPFKPEAGALVESGGGAHGDSIPAMVAAQQELLHAQVDQLQLLVVAQCRLTGVNPLAQEMAAGALSIKIGKKPRDLLNPKAVKSMQSLFAMKDTIGKKETREISASFGVTVTQVREFFASQRTRVRKFVRLSREKALRIESSKAPDNVCSISTEQTPVDIEAHAQVIEPLRTLEPLEAQQISLPHLVVPQISLQLSVVPQSCAIPVAPTGVMQPTEAKTNPDPIQKETKQEEVAGVESEDKKFLESIFVLMRKENTFSGQVKLMESILQINNVTVLSWFLTMGGLAIVSTWLGQAVTEEQTTVILVVFKVLLHLPLHKALPAHMSTVLQTINRLRFYRTQDISSKARNLLSRLSKVLVRSQALKKSQKDLICKQRISEILRDESWKSEVDITEDILALTDDASESRMPEPRKTPLLLTASADESNKKSSLQTKSKEKRKVLLVEHPNRKAAGKNVNPVRSTSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDTSKVTEKPHMMEIQKPSGLVDSNVPLVPRTPLTSIIKQPVDPSPSTSKQSTLSPPDKPEIAVSSKLIVAAKENFIEKLDSKRVIWQIPPEVWIDPAWSLGAGENSKEFEVQTQRNRREKETFYASLKDIPLNPKGPWDVEMDFDDSLTPEIPIEQPPDADAMETDSVSTAPPNIVVPVVDKQIGSTSSVSPAVAAGANGAASEPDLELLAVLLKNPQLVFALTSNQGGTLPSEQTVALLDMLKQTGLGLSELVNSLANNSGVQKEPESGPEAIPASLPSPTPPKDLIARDGWSSEFPSQVRTQNLQHAHLPNRANAPPVASSVQQSFSNVVSSLPSQPYASASALPAQTRTNMTSLPQSMISVNPSTQHVAPMNNLLSRATVHQHTQSYFLTSDPVAVAVHHQPAVNKLAHEVQSISHPAVSHSSVAESHASYTSYTWQSSVATIAATGRNATPDRWAAPARTTNSFNAAPSNSNHVTYPNQNAYSNHSTQATTYNSYGSAPVSSHSLHPGQGLDRNGYTHAAEYQTTTARDALRRNSRSPELGAGAVYGSSSQGYVPEPSRQWNYGQQSYNPEPSSRQWSSGQQSYNTVTAEPSRQWSSGQQSYSNPAEPSRQWSSSAHAQPSYNPEPSRPWNSGHQSQNPEASRQWSHHHGKQERYNPTDGRNSYDQHWRR >OGLUM01G47360.1 pep chromosome:ALNU02000000:1:44212763:44215990:1 gene:OGLUM01G47360 transcript:OGLUM01G47360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT3G05410) TAIR;Acc:AT3G05410] MAMAAAARHQRHPLSRCGEGRRWRRGEVRCSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPQLGRSLRLDVLYPVGGFTRCLDSDNGFEFIYPASWVGDQTLLYRGAKRAELQRSLDPPPLANGRSPSRPRNISEPVAAFGPPGSSGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIAKTKRGADINATLLDAALREDPANNVKYYKLEFRVESPSFRRRNVAVCCAKDGKLYTLNAQAPESAWKAVRKEFLAMADSFSLVADV >OGLUM01G47370.1 pep chromosome:ALNU02000000:1:44217734:44223511:1 gene:OGLUM01G47370 transcript:OGLUM01G47370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMLRPPKLCPTRICLSPAGASATASRSGCEYSSKECTSSIRARWTPDAARSSAVTRCPSERSMVTTLYQHHAPWQRPWTRMKCLLLLLLPSISCKCLLFALLQVSDAVIVAMN >OGLUM01G47370.2 pep chromosome:ALNU02000000:1:44217734:44223252:1 gene:OGLUM01G47370 transcript:OGLUM01G47370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLSESSLGLEHMTAWSAPAISSTSTPGLNSSLGLEFMTAWSAPAISSTSVPGLIATIHRCISSVLELSALAITYTFFTDP >OGLUM01G47370.3 pep chromosome:ALNU02000000:1:44217734:44222962:1 gene:OGLUM01G47370 transcript:OGLUM01G47370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLSESSLGLEHMTAWSAPAISSTSTPGLNISSIIGTQDQTKQ >OGLUM01G47370.4 pep chromosome:ALNU02000000:1:44217734:44222962:1 gene:OGLUM01G47370 transcript:OGLUM01G47370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLLPPRLRQARRSHSHCVEERSRLVLERVHLVDACRVHAGRSEVECRNTVPLRAEHGDHLVPAPCSMAKAMDRDEMLATATAAAFHFLLVEAWSLVGPGTLMVAGLDAWGGQISSIIGTQDQTKQ >OGLUM01G47380.1 pep chromosome:ALNU02000000:1:44218427:44219481:-1 gene:OGLUM01G47380 transcript:OGLUM01G47380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGSSKHFIPVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDESRPLLDAVAVAPAGERLILVGHSFGGLSIALAMERFPDKIAVAVFAASSMPCVGKHMGIVRKLMRERAPKGLLMDSKMIPMNNKRGPGTAVTLGPNFLAEDLTLAKLLMTPGSQFQDDPMMKDDKLLTSANYGSVKRVCLIGMGDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >OGLUM01G47380.2 pep chromosome:ALNU02000000:1:44218427:44219481:-1 gene:OGLUM01G47380 transcript:OGLUM01G47380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGGGSSKHFIPVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHPARIDESRPLLDAVAVAPAGERLILVGHSFGGLSIALAMERFPDKIAVAVFAASANYGSVKRVCLIGMGDDIKELHRYLITLSPGTEVEEIAGADHNIMCSKPRELCDLLAKISSKYD >OGLUM01G47390.1 pep chromosome:ALNU02000000:1:44221258:44221479:-1 gene:OGLUM01G47390 transcript:OGLUM01G47390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDRLLTSANYGSVKRVCLMAMEDDLKEVHRYMITLSPGVEVEEIAGADHAVMCSRPRELSDLLAKIGSKYD >OGLUM01G47400.1 pep chromosome:ALNU02000000:1:44221649:44222264:-1 gene:OGLUM01G47400 transcript:OGLUM01G47400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSSKHFILVHGLCHGAWCWYKVVTMLRSEGHRVTALDLAASGVHLARIDEVHSFEEYSQPLLDAVAEAPAGERQILVGHSFGGLSIALAMERFPEKIAVAVFVAAALIREKAPKDMLLDSKMIPINNKQGPGTAILLGPNFLAEKGYPLSPAEAMN >OGLUM01G47410.1 pep chromosome:ALNU02000000:1:44223055:44228312:-1 gene:OGLUM01G47410 transcript:OGLUM01G47410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVALDHRACSKIPRFRMEDGGKHFVFVHGLGHGAWCWYREYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLAQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYE >OGLUM01G47410.2 pep chromosome:ALNU02000000:1:44223055:44229637:-1 gene:OGLUM01G47410 transcript:OGLUM01G47410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPNITSPEYSRPLLDAVAAAAAPGERLVLVGHSHGGLSVALAMERFPDKVAAAVFVAAAMPCVGKHMGFMRRTAPEGLLMDCEMVAINNSQGSGVAINLGPTFLAQKYYQQSPAEDLALAKMLVRPGNQFMDDPVMKDESLLTNGNYGSVKKVYVIAKADSSSTEEMQRWMVAMSPGTDVEEIAGADHAVMNSKPRELCDILIKIANKYE >OGLUM01G47420.1 pep chromosome:ALNU02000000:1:44230094:44233442:-1 gene:OGLUM01G47420 transcript:OGLUM01G47420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFELQQQPYYSKLLLGSPLEIANVDDSDLQLVAGVPSDPPPAPPTAVKKKKKRSLPGTPDPSAEVVALSPRTLLATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRGGAGGGGGGEPPRKRVYVCPEASCVHHSPSRALGDLTGIKKHFCRKHGEKKWKCDRCGKRYAVHSDWKAHSKVCGTREYKRDSFVTHRAFCDALAQENNKLAQPMNMAAVTSALQGQQQAHHPVADDDDAAGVKSPHLKMFPDVDNIVAAATAGNPLLPPPLSMAGCMLSSLAAPLSSPFLPGCKLGVDAARDAAMVFPPAPAPAGSAAAIMSATALLQKAAELGATTSTGCYGGVAFPAMGIAGGLDRLPAIGHHLAPYDDVVVPAALQGQTATQLVGFDLGGLLPGQLYGGGGGAMTRAIGSLMHGGDQHAGVVDRRRGEGVRVVDYMGVDDDDDHGCFDGVGPFGPHIGPWA >OGLUM01G47430.1 pep chromosome:ALNU02000000:1:44244102:44244960:-1 gene:OGLUM01G47430 transcript:OGLUM01G47430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGAHPARVDEVGTFEEYSRPLLDAVAAAAPGERLVLVGHSLGGLSLALAMERFPDKVAAAVFLAACMPAAGKHMGITLEEVRQRDLLLHARLAQLHHFSELDQTSFMRRIKPDFFMDSKTIVLNTNQEPRTAVLLGPKLLAEKLYNRSPPEDLTLATMLVRPGTNYIDDPIMKDETLLTEGNYGSVKRVFLVAMDDASSDEEMQRWTIDLSPGVEVEELAGVDHMAMCSKPRELCDLLLRIAAKYD >OGLUM01G47440.1 pep chromosome:ALNU02000000:1:44250418:44258999:-1 gene:OGLUM01G47440 transcript:OGLUM01G47440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHGDHHADGHRRQQQLQGEAADQAAAEIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLNKYRELEGDRAAAAATSRSGAGAAAGPDHPSSSSSAAAATAGHFMFNAMDRSTDSSRHRDLNFSGFNQLGRLQPMQGLPRASSSSTSASRDRDGGDGDGGGGGVTMTNGQDNLLPIANVGRIMKDGLPPQAKISKRAKETIQECATEFISFVTGEASERCRRERRKTVNGDDVCHAMRSLGLDHYADAMHRYLQRYREGEELAASLNSSSSAAAAAAAAGGSRGGGAIQIDVRAELSIFRSGNNQGRPNN >OGLUM01G47450.1 pep chromosome:ALNU02000000:1:44275931:44280345:1 gene:OGLUM01G47450 transcript:OGLUM01G47450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHLRFEDGWKILEQGIVKCSKLLEDCPGGRPTVNEYMNYYDCAYRMAVQKDQYCQEMYNSYKATHESCVCAMVLPHLMHKQGDLFWRELVKMWSNYCAMIRFTTGFLAYLDRCFVTHKKLPSLEDAAATSFFSPVFSYFNNEISDVLLTLIRQERDGCNVDMDLLMGIMRGICRSEVKTKLKSAVIQDTYLYYSRKSYEWIVQYPLQDYLAKVQETVQKETKRLIHYLCISEEEGSGLCLKAVSAPLMQAYENYTKEKHIGGQVLLQTYKTVEDDLLDRCSRLTIDNGLDNNSFSHME >OGLUM01G47460.1 pep chromosome:ALNU02000000:1:44280931:44282475:-1 gene:OGLUM01G47460 transcript:OGLUM01G47460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21420) TAIR;Acc:AT3G21420] MDSGAAAVCAEKASEIIKIGQVDDVQELQRRLCSATTVPERYIRDGDDRPDHAVVDDERAQERIPVIDVGELQRGGEDELDKLRLACEQWGFFQVVNHGVEEETMEEMEKAAREFFMLPLEEKEKYPMEPGGIQGYGHAFVFSDDQKLDWCNMLALGHIAAALGLAPARLNGMFGEAVQAVRMNFYPPCPRPELVLGLSPHSDGSAVTVLQQDAAFAGLQVLRGGGGWVAVQPVPGALVVNVGDTLEVLTNGRYKSVEHRAVASGEHDRMSVVTFYAPAYDVELGPLPELVADGEPRRYRTYNHGEYSRHYVTSRLQGKKTLEFAKI >OGLUM01G47470.1 pep chromosome:ALNU02000000:1:44284812:44293356:1 gene:OGLUM01G47470 transcript:OGLUM01G47470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJR2] MDAEAGVGGADQLPWRQHYMNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGRLRRYQDEQTVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSFLPNQQSADEELSTYYRNGFTPRHGSLPWLRRFMEKHKNARTVLLLIVLCGASMMIGDGILTPAISVLSSMSGLKVRATGLHDRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVVIWLFCIGGIGLYNIIHWNPRIYQALSPYYIVKFFRTTGCEAMFADLGHFTSASVRLAFITIIYPCLILQYMGQAAFLSKNILDMPTGFYDSIPGPIFWPVFVVATLAAVVGSQAVISATFSIVKQCHSLGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVAFRDITLIGNAYGVACMTVMFVTTFLMALIMIFVWQKNIIFALSFFLLFGSVEVVYLSSSLMKVTQGGWVPLVLALIFMSVMYIWHYGTRKKYQYDLQNKVSMRYILSLGPSLDVVRVPGIGLIYTELVTGVPNIFTHFTTNLPAFHEVLVFLCVKSVAVPYVSPDERYLVGRIGPRAYRMYRCIVRYGYKDVQRDDDNFENMLVMNIGKFIMMEAEDASSSASYDTANEGRMAVITTSDDYDSPLAVRDSNDLADSMTTRSTKSESLRSLQSSYEQESPNVSRRRRVRFELPEEDDMDQQVKDELSALVDAKHTGVTYVMGHVYIKARKNSSFFKRFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMAYQV >OGLUM01G47480.1 pep chromosome:ALNU02000000:1:44291047:44297199:-1 gene:OGLUM01G47480 transcript:OGLUM01G47480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT4G03200) TAIR;Acc:AT4G03200] MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSIGYSTCHWCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDMPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENCVSESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVQSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNANIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >OGLUM01G47480.2 pep chromosome:ALNU02000000:1:44291047:44297199:-1 gene:OGLUM01G47480 transcript:OGLUM01G47480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT4G03200) TAIR;Acc:AT4G03200] MAASAAFALLFSNLPQPLRRRRPPPPMLSTLRLLRRHHRRRRLAAASSAAMSSSGGASSSSSSGERHGVGRSPNRLAAEHSPYLLQHAYNPVDWYPWGEEAFEKARRKDVPIFLSSMKCHVMEVESFENDEIAKILNDGFVSIKVDREERPDVDKVYMTYVSALYGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTILRKVKEAWETKRDALEKTGNVVIKQLRDALSAKASSQDMPNDLAVVSVDNCVEKLAGSYDPKFGGYGSAPKFPRPVENCVSESQNIMKMITHTLQCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIANVYLDTFLITGDEYYSSVARDILDYLRRDMIGEEGEIYSAEDADSAEYDGAPRKREGAFYVWTNKEIEDTLGENSELFKNHYYVKSSGNCDLSRMSDPHDEFKGKNVLIERKQASLMASKCGKSVDEYAQILGDCRHKLFDVQSKRPRPHLDDKVIVSWNGLAISAFARASQILKSEPTGTRFCFPITGCNPEEYLGVAEKAARFIKEKLYDSSSNRLNHSYRNGPAKAPGFLDDYAFLINGLLDLYEYGGKIEWLMWAAHLQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSDGYKCNVEHLLAVFQTRLRELGIALPLMCCAADMLSVPSRKQVVLVGNKESTEFRDMVAAAFSTYDPNRTVIQIDPRNTEEMGFWESNNANIAQMARSSPPEKPAVAHVCQDFKCSPPVTSADALRVLLNKTVAAATSSAAA >OGLUM01G47490.1 pep chromosome:ALNU02000000:1:44298158:44303287:1 gene:OGLUM01G47490 transcript:OGLUM01G47490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGISQLLKKAFRPSSSSPLSSSWINHEESSGFTGLRALAILGVGASGLLSFATIASADEAEHGLEAPHYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFSNGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVYKSRKLVLDVVN >OGLUM01G47500.1 pep chromosome:ALNU02000000:1:44303420:44305534:-1 gene:OGLUM01G47500 transcript:OGLUM01G47500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTAVVAGIAAAVATLLVAAAVAAAWWWRVARRSRRNSDTGSSETPPTLVEWGRCGRTLSAPEYQGARQFSLEELAHATKNFSDANLVGAGSFGPVYKGLLLDGTVVAVKRRVASPRQDFVDEVKRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVCGHLYADTGKESMTRLEFKQRLSIAIGAAKGLNHLHSLVPPLIHKGFKTSNVLVDENFIAKVADAGIDRLLRGFDGAAPSHHPSSSCSSIYQDPEVHSLAQLSESSDVYSFGVFLLELITGKEAASLISSEPREPLVHWMESHFSSNNEVTDPRLGGSFTSEGMKELVGLTLQCVSTSARRRPKMRLIAAELDRILEKEMSLTTVMGDGTAIVTLGSQLFTS >OGLUM01G47510.1 pep chromosome:ALNU02000000:1:44310032:44311900:-1 gene:OGLUM01G47510 transcript:OGLUM01G47510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLARSKALALALSRADAAAPGPAAGVQWLRTLSSLPRDPAAAASPAPAPRQPAVGSPLGLSKIPGYEQTSRLSGTQVLPRWFSTGTSNGSSAQQEGATRKVMAFSPLEASIAKPRKGPLTSESWKVKQTELLTRSTYYMIPTLLLVSKNSISTSLLVASVFHQVYMFYKEILLDYVHHDITRKWVFIYFKILLIIMAKETVVYFDLF >OGLUM01G47520.1 pep chromosome:ALNU02000000:1:44314106:44314420:1 gene:OGLUM01G47520 transcript:OGLUM01G47520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVARLSSQRAVVIFGASNCFMCHVVKTLFSELGVSWAVHEVDKDPNGKDVERALAGMVGRTPPVPAVFIGGKLVGPTDQVMSLHLAGKLVPLLREAGALWL >OGLUM01G47530.1 pep chromosome:ALNU02000000:1:44319472:44324537:1 gene:OGLUM01G47530 transcript:OGLUM01G47530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLCFGSAQEGEAKKPGADSKDARKDGSADRGVSRVGSDVWVKAQDHFEVVLGWIFYVSADKSVNAQRIAHYHYSAPQKEKRTDYQLYNIFFLDKSRSHGGLDSKKDVVIQRDGNNQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLETGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPQGEQNLVAWARPLFKDRRKFPKMADPMLQGRFPMRGLYQALAVAAMCLQEQATTRPHIGDVVTALSYLASQTYDPNAPVQHSRSNSSTPRARNLAGWNEDRRSVRSPNHHSPDLRREAARSSRAEVSRTSSTGDSGRRSGLDDLDMTGSQMGSPAQTGRKRETPRTADRQRAIAEAKTWGENSRERKHPNGHGSFDSTNE >OGLUM01G47540.1 pep chromosome:ALNU02000000:1:44324240:44328265:-1 gene:OGLUM01G47540 transcript:OGLUM01G47540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGLLKAALLVCLIVLCSGREIQVIQRHPSTTIYNPKLAKTLVEYASAFNIEDVLQIYTADLTQLFTWTCARCGDLIEGFEMMDIIVDVENCLQAYVGFASDINAIVVVFRGTQENSIQNWIEDLLWKQLDLDYPGMPEAMVHRGFYSAYHNTTMRDGVVSGIQKTRKLFGDVPIMVTGHSMGGAMASFCALDLVVNYGLDGVKLMTFGQPRIGNAAFASFFKKYLPHAIRVTHGHDIVPHLPPYFSFFPQKTYHHFPREVWVHNVGLGSLVYSVEQICDDSGEDPSCCRSVSGSSVQDHIYYLGVSMHAEAWSSCRIVMDYSKLRYRMDINGNIVLSKHLGLSGDLEHSDQ >OGLUM01G47550.1 pep chromosome:ALNU02000000:1:44333410:44335509:1 gene:OGLUM01G47550 transcript:OGLUM01G47550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPALQRRTQAQRGGSLSPNSLINSRVPPTPPPHDHERGRAMPCRAVRNGCICMLSHLDWINPTVTLTSWILDKSNNQFRTNHGHHYQHIRIEFLIIADALKIKLDPPFDDTEMPSGERPGHINLRTCSFILL >OGLUM01G47560.1 pep chromosome:ALNU02000000:1:44336271:44338830:-1 gene:OGLUM01G47560 transcript:OGLUM01G47560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEAVATGNTVGSTGGRSSRRLFRRKSSVVAAASAAAPSHAPPPPSDESSAAAVVKESSVNEEVKGKGKATPKEKAIDEEKKDVEESTTAAAADEVVVKDTGVVIVEKTTTAAAAKATPAAVKKIVEEKKKEEAKNKQEAVTDDEKKEDVVVPMKEEFVKEKEKITDAKNDVASTENVAAVDEKIKAEEDKNKNKNDDEVVATATMEAAVEEEDDDEAALAEDDDDDDEQPNDDESITFAVAPVAEDDEGSVTFPGARARPVVANADEVHQEDDVTSVTSPTTAPATLENDTSSSAAAAFPAAVPAPVAGEEVGQLEQPSSSKSNNGEMEAVAGVDQAEEAKKETSNIEAINVEQEEIKVEEEEEKIIVSQETEEEEEKSAVISRDEITKVEVEREEIKVEHEEIIVSQETEEDQKSTAISRDEMKVEHEEIVVAAQKKTEGQSDGKQTIELKEATTTKTDEKGEEQVATEKKDDEQEQQATAAPIESPKQEQQATADPIEAPLN >OGLUM01G47570.1 pep chromosome:ALNU02000000:1:44340811:44342463:1 gene:OGLUM01G47570 transcript:OGLUM01G47570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPGPGGPGGGPGGWGPPGGGPGGWAPLPPAGPVFLGGPGPGPGSWGPGFGGFMGSCFYVICCCCLLQDCCGPLLGRPGPGGPSPPF >OGLUM01G47580.1 pep chromosome:ALNU02000000:1:44341994:44346099:-1 gene:OGLUM01G47580 transcript:OGLUM01G47580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDLAEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRSTCDFTVTMQAQRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPSYFSKESGRYIEESKLRVVLVSASHPFGEQPINGIPNTEAAVEVPSLKGTSNIKNEIQIAEKEVHSPLEEDPVVIPAPPYPVKEAPILREVPVHISPVRETPFLREVPAPVSPVKETPILREVPTPLKETPVILTESPPPPTDTSSITVESLHPFEQNLSSLKESPLEETLPKAAVVLSEQGAVNVQSRQLCHVTEDVQNLKSKLNGLELKLEEAEKMIIKLREESRTTTQERDKLQQEMMFLRKKGTPRNQVGFPLLFVVYVALLGTSLGYLLRL >OGLUM01G47590.1 pep chromosome:ALNU02000000:1:44351439:44367284:-1 gene:OGLUM01G47590 transcript:OGLUM01G47590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMPYAPMSLHLLLAVSLCVALASSSPWAAASANGNGNGKPLVAAITKDAATSLYTVPIKDGRPLVLDLAGALVWTSCAAAHPTLECHHHFCMHAHSYHPPGCPHNGYGRADVEDPFRCKCTAHPYNPFSGESATADLTRTRLSANATDGKNPLYPVSFAAVTSCAPDSLLAKLPAGAVGVAGLARTRLALQAQVARSQKVANKFALCLPSGGGGDGVAIFGGGPLFLLPPGRPDVAATLAGETPLHRNKDLPGYFISATKIAVNQEQVQLYTQEPLVVELCTRIPYTALRPDVYRAVVDAFARATAGRKRVTPPAAAAPPFELCYDSRELGSTRLGYAVPQIDLVLEGGKNWTVFGGNSMAQVSDNTACLAVVKVKGEKGSPPPPAAIIGGFQMENNLVVFDEEKQRLGFSGLLWGAFCTPLVVQLSSMVPYTELRPDVYAWDEILQWPKKVAPPVAPFELCYESRTIGSNRLGYAVPDININLEDGAAWYIFGGNSLVQVDDATACFAFVEMRPEKVGVKTKQKYSVMSTLRCYFSLFLSLILLALLPRTLASDAFQAPRPILVRITKDTSTSLYTMSIKTGSRLVLDLGGPLLWSTCLAAHSTVPCRSDVCAAAAVQDNPWNCSSSTDGRGGDGGGGRGLCACSAYPYNPLNGQCARGDVTTTPMLANVTDGVNPLYPVAFPVHAACAPGALLGSLPSGAVGVAGLSGAPLSLPSQVAASLKVERKFALCLPGGGGTGAAIFGGGPFHLLVVPEEFGMVSNGLSYISYLRNPKNGGFYLDVVGIAVNHRGADVTPDSLALDAGTGHGGVMLSTVAPYTALRPDIYRAVIEAIDAELRLIARAPPSWPFERCYQRSAMWWTRVGPPLATVDLMLRSGGNWTFFGSNMIVQVNEETLCFAIVEMGQTPAMDESPAVIIGGFQLEDNLLVFDLEKGRLGSTGLLYWIRTTCSNFNFSWGTPYKIALVLSLLAAPLLCALAAGDGQHRPPSKPILTRLAKDPSTSLYTASVKNGGGQFVLDLAGPLVWSTCPGKHRTIPCGAGVCAVANRNHRPNCPYTAAGSNGGDGGRCACSATAYNPASGQCGYGDLTTVQLSANATDGKNPLFQVWLSAVASCAPQPLLGSLPAGAAGVAGLSRAPLSLPLQVAGQLKVEKKFALCLPTAGDVGAAIFGGGPFWLQAAPPQQVSDRLRYTPLLKNPKNTAYYIGVTGVAVNSVQVLLPPGALSLSARQGTGGVAVSTATPYTALRSDIYRPVRDAFAAATAGLARAPAAGPFDLCYQKSALPPTRIGPYTASVDLMLAGGQNWTIVGASAVVEVSQEAACFAFVDMGAAAAPAVDHSPAVIIGGHQMEDNLVVFDLEKWQFGFSGLLLGTMTRCGNFDFSIGSQ >OGLUM01G47600.1 pep chromosome:ALNU02000000:1:44355503:44356738:1 gene:OGLUM01G47600 transcript:OGLUM01G47600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRRPTPSKPIVARLGKDAATSLYTVSVGGAPLVVDLAGPLLWSTCPPAHRTVPCNSSVCKVANWYRPPASCPYSDGGRPGSGDRGCACAAYPYNPVSGQCGRGDVAAVPLAANATDGKNPLFPVSFSAFASCAPGGLLASLPSGVAGVAGMSRLPLSLPSQVASSLKVERQFALCLPASGGGGDGAAIFGGGPFQLLAAPPVEIAEGLRRNPVPLLKNPKNGAYYLRITGIAVNLEAVPIPPRALDLDVRRGTGGVTLSTVAPYTTLRPDVYRALLGAFDAATSGIPRAAAVKPFELCYRASALGTTRLGFAVANIDLALGGGRNWTLPGGSSLVQVDEQTVCFAFLEMMGTSPALADSPAIVIGGFQMENDLLLFDLEKGTLGISSLLSGLRTGCGAFNFTMGSS >OGLUM01G47610.1 pep chromosome:ALNU02000000:1:44384370:44396920:-1 gene:OGLUM01G47610 transcript:OGLUM01G47610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVEIETICFVVSPVHAKAPRKLTMHDLVYDLAKIIAGDEVLVMDVNKPTTWDKANEHYCRHAQLVNYHKRTEIFKHIPCKIRTLYFRECPEMQLPRKAFSRSRYIRMLDLSRQSAEEQSTPSSPVLPSSICRLMLLGYLDVSGFPIISLPKSFHTLQNMQSLILSNCSLEILPANIGSLQKLCYLDLSSNSNLNKLPPSVTNLVELYFLNLSGCAKLEELPESIQNLNCLQQLDMSGCCALQKLPDKFGSLNKLLYITLASCSKLTELPNKLNLESLEHLNLSSCNELEALPGDFGNLSRLEVLNLSDCYKVKVLPETFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQYLNLTSCSKLQSLPQSFCKIHKLKHLNLSYCIKLENLPDLFGDLKLQVLELSGCFGLEDLPDSINEMTSLTLLDNSIGSNRLHDTYRAIRKRLNLPGYEEHVVHMIENGQISSIVELEQLHCRTLAVRHLEIVEELDDARRAKLRNLAKLRDLMLSWGPGGTVDADKGKMVLENLVPPRTLEKFSLRGLRGYLCKDFPNWVSGISSYLPYLIQLSLFNLPTCDSLPAFGQLPNLRNFGMDNMPSIRKIGKEFYGEEGNCKKLRVIRLERMDNLEEWWTTRSGKEDEEFLIPNLHYLHVVNCPKLSFLPYPPISMAWTLDGSNEVLPERGFGSLVSSTLPFAVTIKNCHFSPDRWGRLQHLATLEIFRVDGCSGLRTLPDIIQCFISLGDLGLRSWEDLETLPEWLGQLGCEGLEILPEWLGLLISLKKLLIIDCPKLIFLPESMKNLTSLIELHL >OGLUM01G47610.2 pep chromosome:ALNU02000000:1:44384370:44395920:-1 gene:OGLUM01G47610 transcript:OGLUM01G47610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPVHAKAPRKLTMHDLVYDLAKIIAGDEVLVMDVNKPTTWDKANEHYCRHAQLVNYHKRTEIFKHIPCKIRTLYFRECPEMQLPRKAFSRSRYIRMLDLSRQSAEEQSTPSSPVLPSSICRLMLLGYLDVSGFPIISLPKSFHTLQNMQSLILSNCSLEILPANIGSLQKLCYLDLSSNSNLNKLPPSVTNLVELYFLNLSGCAKLEELPESIQNLNCLQQLDMSGCCALQKLPDKFGSLNKLLYITLASCSKLTELPNKLNLESLEHLNLSSCNELEALPGDFGNLSRLEVLNLSDCYKVKVLPETFCQLKHLKDLNLSDCHGLKQLPECFGDLSELQYLNLTSCSKLQSLPQSFCKIHKLKHLNLSYCIKLENLPDLFGDLKLQVLELSGCFGLEDLPDSINEMTSLTLLDNSIGSNRLHDTYRAIRKRLNLPGYEEHVVHMIENGQISSIVELEQLHCRTLAVRHLEIVEELDDARRAKLRNLAKLRDLMLSWGPGGTVDADKGKMVLENLVPPRTLEKFSLRGLRGYLCKDFPNWVSGISSYLPYLIQLSLFNLPTCDSLPAFGQLPNLRNFGMDNMPSIRKIGKEFYGEEGNCKKLRVIRLERMDNLEEWWTTRSGKEDEEFLIPNLHYLHVVNCPKLSFLPYPPISMAWTLDGSNEVLPERGFGSLVSSTLPFAVTIKNCHFSPDRWGRLQHLATLEIFRVDGCSGLRTLPDIIQCFISLGDLGLRSWEDLETLPEWLGQLGCEGLEILPEWLGLLISLKKLLIIDCPKLIFLPESMKNLTSLIELHL >OGLUM01G47620.1 pep chromosome:ALNU02000000:1:44397478:44400529:-1 gene:OGLUM01G47620 transcript:OGLUM01G47620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLTGLIELQLVRCEVLEIRPEGLGLLISLKKFVAIDCPKLTFLPESMKNLTALVVLRLSGYKEMETSQELFGHLASLKCIEIHGFPNLTYLPESMKNLTSLEELWLRQFNSIPEWVGQFIYLEKFGIRDSPNLISLPKSIWNLTTLKELHILNCPRLVERCQGEDANKISHIPRIELDGKRFVPQQAVEESKVQASSPEIQALVAPITKDTKTGLHTLSMSNKKYLLDLSGQLLWSPCSPSHPTVPCSSGECAAASGAHKYCNNGGRTCTARPTNPVTGERAVGDLTLTDIVANATDGKTPTSEVTVRGVVSSCAPGSLLRSLPATAAGDAGLGCGGVSLPTQLYSKLSLKRQFTVCLPSTAAAPGVAFFGSGPYNLMPPTLFDASTVLSYTDLVRSPTNPSAYSIKLRGIAMNQEAVHLPPGVLARGGGVTLDTAAPYTVLRRDVYRPFVAAFAKATARIPRMPSVAPFELCFNSSALGFTRVGYAVAPIDLVTSGGRNWTVFGSNSLAQVAGDTACLAFVDGGRAARSAVTVGAFQMENNFLLFDEAASRLGFSGTLFFIRTTCGNFNFARN >OGLUM01G47630.1 pep chromosome:ALNU02000000:1:44411122:44442510:-1 gene:OGLUM01G47630 transcript:OGLUM01G47630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIASSVARQVASKLGDLAVEEATLLWRFKDDVNDMKEKMRDLVAVMQDADDTVRQVGKDGAVARRWLAKVKSVAYDVEDVLDEFDAAQLIRNHQSKKMTIARNMKNLRDKIVAIEKDCKMLNLVRHEPHAKGSRSNGTFTVSDDMEIGMLGRDAETEKIISLLLKTEAKEDISIIPIVGLGGLGKTTLAQAVFADKRVSVFDMKIWVYVSEDFDLLKIGKAIIRGANRSISLDNCNLQFVQDNLIKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIVTTRNGSVVQVLHTGCLANQRKICPVHEADHINLDVLSPDDCWKVMKQRIFGPDDDQSGLEEIGRQIAGRCGGLPLVANALGQVMSEQRTIEAWRDIRDRKIVLDFIVDNRRDTLERLLLSFYYMKPDYKMCFTCLASFLKGFVVDSDRLILQWSALGYIQARHTGQSCIDYLLGMSFLQISKSSSISPVHAKAPRKLTMHDLVYDLAKIIAADEVLVMDANKPTTWDKANELEELPESIHNLKCLQHLDISGCCALQKLPDKFGSLPKLSFVNLSGCSKLTKLPDSLNFVSLEHLILSDCHELEKLPEDLGNLYRLEVLDMSDCYRVQGGEAERRWLNKLKSVAYDVEDVLDELDAAQLIKNHQPKLKLFLSRNNPLLRKMTIAHNMKNLREKIVAIKNDSIKLHLVHREPVTEGSRGNETFADDSDMDIGMLGRDAETKKIISLLLNTEAKEDISIIPIVGLGGLGKTTLAQAVFADERVNVFDMRIWVYVSKEFDLLKIGKAIIRGANRSINLDNCNLQFVKDNLKKELANRRYLIVLDDLWEEYGENLEKLKQMLQHGGKGSKIIATTRSGSVVQVLYTGYLANERKVCPVPEPDHINLGVLSTDDCWMVMKRRVFGPDDEEIGLEQIGRQIASRCGGLPLVANALGQIMSEHRSIEAWTDIRDRKIALDFKADHQQDTLERLMLSYYYMKREFKMCFTYLAAFSKGFVMHTDRLIQQWRALGYIGASDDGQRCIHYLLGMSFLQISKSSLLVNYQKQSRIFKDLPSKVRTFHFRQCNGMQLPPKAFTQAKYIRILDMSGFSFEGHSTPRSIVMPSSIRKLMLLAYLDVSGLPIIALPKYFHTLQNMQTLILSNCSLETLPANMGNLHKLCCLDLSRNNDLTELPTSFENLLNLSLLNLSGCSKLEELPESVHNLKCLQQLDMSGCCALQKLPSKFGILSRLSFLQVLDLTGCYGLPDLPDSISGMTSLTMLDVDAGPDDVFIKAETIQECLNLPGIVEHDVHKLENGECSSVVELGRLCCRALHVQHLENVECLEDAMKAKLRDMTELRKLILSWGLDGTQNVDNNKLVLENLLPPRTLEKLGYDPGVAIFGGGPIYLGEGLPEFTTTLEYTPLVAKRDSPAYYVTANAVALEDTRLPLPRGALAAGGVALRTTVPFGQLRPDVFRPFVRDFEKGLNRSDAKVAAVAPFPLCYRASMLGITRNGYPVPAVTLMLAGGKNYTMTGNNLMVNAKGDTACLAFVEMKGAGDAGSPAVILGGFQMENMLLQFDSEKKRLGFARLPFYTSCSNFNFTKTQ >OGLUM01G47630.2 pep chromosome:ALNU02000000:1:44403559:44442510:-1 gene:OGLUM01G47630 transcript:OGLUM01G47630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGEMIASSVARQVASKLGDLAVEEATLLWRFKDDVDDMKEKMRDLEAMMQDADDKARWGGKDGAAKRRWLTKLKSVAYDVEDMLDELHAAQLIKNHQPKLKLHFSWSNPLLQTMTIAHNMKNLRDKISAIEKDGKMLNLVCHEPHAKGSTSNETFTVNDDMDIGMLGRDAEKEKIINLLLKTEAKEDISIIPIVGLGGLGKTTLAQAISADKRVNFFDMKIWVYVSEDFDLLKIGKAIIRGANKNINLDNCNLQFVQDNLIKELADRRYLIVLDDLWEEYGENLEKLKQMLQHGGKAFHGNSN >OGLUM01G47630.3 pep chromosome:ALNU02000000:1:44404660:44411069:-1 gene:OGLUM01G47630 transcript:OGLUM01G47630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMPYAHDVDDMKEKMRDLEAMMQDADDKARWGGKDGAAKRRWLTKLKSVAYDVEDMLDELHAAQLIKNHQPKLKLHFSWSNPLLQTMTIAHNMKNLRDKISAIEKDGKMLNLVCHEPHAKGSTSNETFTVNDDMDIGMLGRDAEKEKIINLLLKTEAKEDISIIPIVGLGGLGKTTLAQAISADKRVNFFDMKIWVYVSEDFDLLKIGKAIIRGANKNINLDNCNLQFVQDNLIKELADRRYLIVLDDLWEEYGENLEKLKQMLQHGGKALL >OGLUM01G47640.1 pep chromosome:ALNU02000000:1:44442701:44443630:-1 gene:OGLUM01G47640 transcript:OGLUM01G47640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAVWVGSAQAEVQRRRLSWCCARKAATHHPPSVPSHGLKQYFFLQRAYACKSCRCKKATGQETKRCKLCH >OGLUM01G47650.1 pep chromosome:ALNU02000000:1:44444595:44456627:1 gene:OGLUM01G47650 transcript:OGLUM01G47650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRATHRIMPRAPEPVHVLLLAAIAVQVFVRCTAQAASDQKPLVSRLAQDYNTSLYTISVNNGAPPLVVDLAGTLVWSTCPSTHATVPCQSAACDAVNRQQPPRCRYVDGGWFWAGREPGSRCACTAHPFNPVTGECSTGDLTTFAMSANTTNGTDLLYPESFTAVGACAPERLLASPSLPQSAAGVAGFSGTTPLSLPSQLAAQRRFGSTFALCLPAFATFGDTPVYLPNYDPSGPFDYTKMLRRTPFLTNPRRNGGYYLPVKRISVSWRGPGDIPVSLPAGALDLDVRTGRGGVVLSTTAPYAIMRPDVFRAFAKAFDTVVARDRYSSVARVAGEKPFELCYGGTGGFALMKRAGYDAPAITLELAGATGNWTILNGNYLVRGTCVGIVEMGPEGMPVDGEPAVVLGGMQLENILMVFDLDKRTLGFSRLLEWDLTNCYSASFLLSEVLIYNMANPPIKCLLPPAIVSLVLLLPCMVATGEQLQYKPLVVPLVRDTDTSFYTIPIKNGAPLVVDLAGTLVWSTCPSTHTTVSCLSGACGAANQQQPRRCRYVDGGWFWSGREPGSHCACTAHPFNPVTGECSTGDLTTFAMSANSTVNGTRMLHPEEFAAVGSCAPQRLLWSLPAGAAGVAGFSRRPLSLPSQLAAQRNFGNKFALCMSQFVAFGDAPVYLGMEGRGFVDYRDILPYTPLLTNPRIPGYYLPVKGISVSWSVPETPASLPAGALDLDARTGRGGVVLSTTTPYTVMRPDVFRAFAEAFDTAIIKRSKYTYSNVTRHPPVGPFKLCYNGAFPMRKRPASMDIPTIHLELDGATGTWSWFNGNYLVFAPGAALCVGVLEMGPGGMPVDGEPAMVVGVKQLDWNLLVFDLDKMLMWFSGDLAFRLAGCSYSSQIGCLMTLIPPATAIVSLVLLLSCLVATGDQQPAAYKPKLPLIVPLVRDTNTSLYTIAIKKDDAPLVVDLAGALVWSTCPSSHATVSCLSGACGAANQQQPRRCRYVDGGWFWSGREAGSRCACTAHPFNPVTGECSTGDLTSFAMSANTTSNGTKLLYPEAFATVGACAPERLLASLPAGAAGVAGFSRRPLSLPSQLAAQRSFGNKFALCLPGFAAFGDTPVYIGTEGLGIVNYTESLPYTPLLTNPRNPGYYLPVKGITVSWYGPDVTASLPAGALDMDARTGRGGVVLSTTTPYAVMRPDVFRAFAQAFDAAIDRPKFTNLRRVPAVEPFKLCYNGAFPFRKRPPTWDVPTVDLELAGATGIWRLFTENYMVQTPRGMCVGILEMEAGAGMPVDGEPAMVLGLKQLDTNLLVFDLDKMLLWFSGELSFRLTGCASPF >OGLUM01G47660.1 pep chromosome:ALNU02000000:1:44457958:44458423:1 gene:OGLUM01G47660 transcript:OGLUM01G47660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLRLCDNAFAGEIPDALRNCTALDVAYLNNNNLVGGVPRWLDALLNLTVLRLSHSSLSGRIPPSLANLTKIVQLEFDQNLLEGSIPDGLSRLPALRIRSQNSLTGEIPPGFFNMMSLRGLALANNAFRGELLADVGARRDQHIPPKF >OGLUM01G47670.1 pep chromosome:ALNU02000000:1:44459681:44460148:1 gene:OGLUM01G47670 transcript:OGLUM01G47670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARARGGRAFFFLAAFVLVLAAPAAALRTSAISAAPEYPRLPTGPGHGGGRHAAPAPAVVLPPALSPDIMPLLPSPGPDSDGSAEAPSDVMPTIPSSPSPPNPDALLPDSALAPFGSAPAVAAQSRAPPPSPTTVAAAWALPVAVGLVAMWLV >OGLUM01G47680.1 pep chromosome:ALNU02000000:1:44471307:44476404:1 gene:OGLUM01G47680 transcript:OGLUM01G47680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19220) TAIR;Acc:AT4G19220] MLRRTPRLLAAVNLTAAVHSTVALVQTASPLDPFLVRHLKARFHHAPADAHHLLDETPRRAGSIVRALGACRGASREEADGVAALHCAALKSGAVLDQPVRTSVLTAYARARDAGSALQVFDEATAPDLILWNAAISALTLNCRYGDAVVLFRRMVNMFGVFDSTSMVIMLSGASRARSLEHGIAFHGMALKRCLDTDLTFWNTLMDMYAKCGDFYSSEVVFQRMPYRETTSWNSMVSGSLFNGLAEISAYYFKEMVRSSFQADEVSLSCVLSACSHLKNLFSFGESVHSSVIKLGYEDTTSSVANSLITFYYELGFPEAAEEVFLSTSNKNLVTWNAMIKGLVENDRVNEAMCMFQEMRSKNQPDVATLVTIISACGNRGLLPEGKEVHGYIIKKGHIYEECSVGNGLLDLYMKCHDPSTARILFRTMPMRDLISWNTMISGYSRNDSLGEEAKAMFKGLLSEGLSCTLSTVVAVIPSCFCPQDLNFGKSVHSFILKYGFLTGVSAANSLIHMYICCGDSLAAFSLLESITPMSDIISWNTAIVGCVQNGLYGDALEAFQFMHSTLTLNPDSITLVSVLSVCGNLKLQSLGKSIHCMALKRLIEFNLRVKNALLTMYFRFGDTESAELIFSSLVGRNLCSWNCMISGFAQNNEGLRALQFYKKMEDFEPNEISIVGIICACTQLGDLRQGKNIHGHVVRFGLQTNVFISASLVDMYSKCGRLDISIRVFESSAEKSIACWNSMISAFGFHGLGLKSIEIFWKMNNSGVKATRSTFIALLSACSHSGLTDEGWKYYHLMIEHFGIIPTPEHHVCAVDMLGRAGRLQEAHKFVESLPSKQAHGVWGALLSACSKKSELKMGESIAKHLLCLEPENSGYYVTMSNLYAYQDMWSGAVQVREILQDQGLMKPRGRSIIG >OGLUM01G47690.1 pep chromosome:ALNU02000000:1:44480590:44486175:1 gene:OGLUM01G47690 transcript:OGLUM01G47690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGDKATAAAEAGGGAAATAAAGGGGGGGGGGAEEESVKLFVGQVPKHMTEAELLAMFQEVAIVDEVTVIKDKATKASRGCCFLICPSREEADKAVNAYHNKHTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSKYGNIKDLQILRGSQQTSKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQSSNMPSASPMQQSSLFGALQMGYMPQYNGFSYQPPGTYGLMQYPLSPMQNQATFPNMVQPVNQGNSIRGVNPELSPNSVPRSFNAMQLSSPYPPVPGVQYAGSYPGGLMNSRPFGNSFSSIKVPIVNASSPASSSPSSNPGGQIEGPPGANLFIYHIPQDYGDQDLSNAFQRFGRVLSAKVFVDKATGSSKCFGFVSYDSPASAQAAIGVMNGFQLGSKKLKVQLKRDNSKHSKPF >OGLUM01G47700.1 pep chromosome:ALNU02000000:1:44488774:44489307:-1 gene:OGLUM01G47700 transcript:OGLUM01G47700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSYLMSRRFSYRRLKKLPAAAPPDVPQQLQEQYYAAITAAAAGGGGGIGRRRRVRWRSFGDGGGGGRRMRPRLRISRLARVLRRRAAAVGGAVRASVAKVVRRLREGSPYVGDLFAGNYMFMQVTPSPTMAAATAAGFTKNGIVPYYHHGIIGGGKNSKLGTTCSPSVMYKVKFN >OGLUM01G47710.1 pep chromosome:ALNU02000000:1:44491591:44496089:-1 gene:OGLUM01G47710 transcript:OGLUM01G47710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAIGEGQHQQQRPPDGAGGGGGGRGGRGGGGGRGGSGRLGWWWCCCCGVGGVVRLKCVAALVLGVAVLLSAVFWLPPFARRGRGSEGPDPGAGFDADIVASFRLHKMVPELNGNASKLELDIYEEIGIPNSTVVVNSLQLVGSNWTNVIFSIVPYPKNLTLSSTGLSILRSYFMSFVVRQSTLQLTESLFGNSSSFEVLKFPGGITIIPPQTAFLPQKPHATFNFTLNFPIYKVQDRIDELKDQMKTGLLLNSYENLYIKLANLNGSTVDPPTIVETSIFLEVGNHQPSVPRMKQLAQTITNSSSGNLGLNHTVFGRVKQISLSSYLRHSLHSGGGSEAPSPAPMHHHGHHHHHHHHHGHEDSRHSAPAQAPVHYPVHEPRYGAPPPSRCPYGTDKPKNKAHVMPAPEPTANGHHFASPVALPPHSLSPRNPNVHSRSPIPSPPVLPEPPLPTVSFAHAHPPSEHTSRRDPAGLSALAPAPHSSNATRSLWRSIHWANIVPLVCILMSLL >OGLUM01G47720.1 pep chromosome:ALNU02000000:1:44501544:44504545:1 gene:OGLUM01G47720 transcript:OGLUM01G47720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAETQEELLRKHLEEQKIEGDEPILEDDDDDEDDDDDEDDKDDDVEGAGGDASGRSKQSRSEKKSRKAMQKLGMKTITGVSRVTIKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSNVISKAEPSAAAQDDEEVDESGVEPKDIELVMTQATVSRSRAVKALKAANGDIVTAIMELTN >OGLUM01G47730.1 pep chromosome:ALNU02000000:1:44507306:44516980:1 gene:OGLUM01G47730 transcript:OGLUM01G47730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJU2] MSFIRKKSMEFLKSFEVPAKNPSEEAQRRWRDAVGTLVKNRRRRFRMVPDLDKRSQAETQRRKIQEKLRVALFVQKAALQFIDAVRKTEHPLPELARQCGFSVSAEELASIVRGHDTKSLRFHNGVDGIARKVAVSLADGVKSDDAGLRAEVYGANQYTEKPPRTFWMFLWDASQDMTLLLLAFCAAVSVAIGLATEGWPSGMYDGVGIMLTILLVVMITAASDYKQSLQFRDLDKEKKKIDVQVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLFIDGYSFVVDESSLSGESEPVHVSTANRFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLLGKAGAPGGLLRWRMVDALAVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKIWASGAAQTMSNAKGFDQLTSSMSETFAKVLLEGVFHCSGSEVVRGKDGRHTIMGTPTETAILEFGLAVEKRARIEHTGAGKLKVEPFNSVKKTMAVVIASPSAGGRPRAFLKGASEVVLSRCSLVLDGTGNVEKLTDAKAKRVASAIDAFACEALRTLCLAYQDVDGGGGDIPGEGYTLIAVFGIKDPLRPGVREAVATCHAAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRNKDPDQMREIIPKIQVMARSLPLDKHTLVTNLRGMFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFISASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDAMMKRPPVGRGDNFITKVMWRNIVGQSIYQLVVLGVLLLRGKSLLQINGPQADSLLNTFVFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVVGVTAGFQVIMVELLGTFANTVHLSGKLWLTSVLIGSVGLVIGAILKCIPVESGSDASDRHDGYRPIPTGPSAV >OGLUM01G47740.1 pep chromosome:ALNU02000000:1:44514754:44516369:-1 gene:OGLUM01G47740 transcript:OGLUM01G47740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTTRCPKRPAPQLAVAPCAATAKRRRLAPPESPWASLNPDLLRLVAERALASDLLDYVRLRAVCAAWRSATACPRGRGITDPRFHPRRWMMFPEGHGLHPGHAKLRGFVRFFNLSTCALARAKLPLFQDHMVLDSVDGLLLLQRDHDTAIRLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNEEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRVAFAASGDLQWTLSKCDFGRPCWRTLSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPKDKLFGPCFLAECDSEILIIGHDSRPTSLDSQTMLLPFAYNDIGNYTHTSVYRISDLTSGRFSPVASIGDHALFIGPRTICVSSKALPTIFCGDTGGYIFHTPPTELFFTQYHLSSRTWSPLIDGSIGNSPPPRPYSLIHHILTCCYRKYWNKGLIFYRETTPSWRVKRNCRVGG >OGLUM01G47740.2 pep chromosome:ALNU02000000:1:44514146:44516369:-1 gene:OGLUM01G47740 transcript:OGLUM01G47740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPRTTRCPKRPAPQLAVAPCAATAKRRRLAPPESPWASLNPDLLRLVAERALASDLLDYVRLRAVCAAWRSATACPRGRGITDPRFHPRRWMMFPEGHGLHPGHAKLRGFVRFFNLSTCALARAKLPLFQDHMVLDSVDGLLLLQRDHDTAIRLLHPFTGDIADLPPLETLRPQMGNTTNSVLWNYNEEKHRIGFLRDVCASVSVNDTGSITVMLAFHLFNRVAFAASGDLQWTLSKCDFGRPCWRTLSYQGKLFMVKAKHDITGNSDILQIDPPNDQDAEGSPLPEKELAPKLVATIPKDKLFGPCFLAECDSEILIIGHDSRPTSLDSQTMLLPFAYNDIGNYTHTSVYRISDLTSGRFSPVASIGDHALFIGPRTICVSSKALPTIFCGDTGGYIFHTPPTELFFTQYHLSSRTWSPLIDGSIGNSPPPRPYSLIHHILTCCYRKYWNKGLIFYRETTPSWRVKRNCRVGMENV >OGLUM01G47750.1 pep chromosome:ALNU02000000:1:44517622:44522150:-1 gene:OGLUM01G47750 transcript:OGLUM01G47750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHGGCGSSTRAWPILECIDEDLGTNCLKKPEPFCGTDDLYQEYDIRPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFIDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFESVRES >OGLUM01G47750.2 pep chromosome:ALNU02000000:1:44517640:44522150:-1 gene:OGLUM01G47750 transcript:OGLUM01G47750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHGGCGSSTRAWPILECIDEDLGTNCLKKPEPFCGTDDLYQEYDIRPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKILIHFGLSVATTISEATHFVAEKFARTRNMLEAIAMGIPVVTPAWLECCREARSFIDEKRYILRDIKKEKELGFSMPVSLSRACKKPLLEGRRVLITPNAKPSKELLKSLVVTAHGKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFESVIISNGMNIKPCLITQLPTGGRGFYLAGIVFFQDKTV >OGLUM01G47750.3 pep chromosome:ALNU02000000:1:44517622:44522150:-1 gene:OGLUM01G47750 transcript:OGLUM01G47750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEPGDESQINAIDIVDRLLVEDDIETYQQISIDQTTRAKSASTLGSDIAQCLAKRARCSSPLKKAGNFDWVDTPTVDDCRTSIISMENTVDRANNQVKHGGCGSSTRAWPILECIDEDLGTNCLKKPEPFCGTDDLYQEYDIRPNTQMAAEAMEALFNASTVSYDVKENERPEDSVVKNMTKGTKVDKTCAVHSPIQKRKVNFLRHRSGVATEYKQIKVDDTVRENGESSVSHTNTSQTRKYTKQMAGKAKRNISSGITQRDIDHEVSEVITRSGTNDSNIPLSLDTDALIHPKRRRTYIFTSGSSKIEFIEAIKPTALRAKTTEVKQLSTANTVSVSDQDTTSGLRMSQHSSFADHEASAGSSYFNPLAETFTVGLEKQSIPEKKGHDSSLMPSVPLRELNGAGPQARTRTSETLKRVLKSPGSRELANLFRNEVSPVLQSSRRRRKHMSTVRVLLSQSMGNETLNDQTKVLERNAMSKMKNRSLMGAFVISCEQDYKICVPFIKNGFEVFESELVLNGIVTQKLEFESVRES >OGLUM01G47760.1 pep chromosome:ALNU02000000:1:44523278:44525287:-1 gene:OGLUM01G47760 transcript:OGLUM01G47760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAYDASSDEEDAGGEPPAAAAASPAPVPSSFGPRPRPPSPSTSVGAAPQPPPHSQNISSTSSSNISLPTPSLDLPDVADLFSSPSLPSRGSTSMMDSTSRKRESNGSAFKDPCSKFPRVQSGQSRGARIAAGNTLVPPQLSGRSNVVTEDMTKLFVARRKE >OGLUM01G47770.1 pep chromosome:ALNU02000000:1:44527109:44530817:1 gene:OGLUM01G47770 transcript:OGLUM01G47770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHETDKNIEIWKVKKLIKGLDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNRVPPNGLVLYTGTIVTDEGKEKKVTVDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLFGTLSGNSREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLIIAGSADFKTELSQSDMFDQRLQAKILKVVDVSYGGENGFNQAIEISAEELSNVKFIQEKKLIGKYFEEISQDTGKYVFSVDDTMSALEMGAVETLIVWENLDINRYVLKNSVTGETTVKHLNKAQETDQSNFRDKATSAELEVIEKTLLLEWFAENYRQFGCSLEFVTNKSQEGSQFVRGFGGIGGILRYQVEINAYEDLSDEEGEEKT >OGLUM01G47780.1 pep chromosome:ALNU02000000:1:44531935:44536767:1 gene:OGLUM01G47780 transcript:OGLUM01G47780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV0] MVGSVHVNGSVNGGNGTEERLDELRRLLGKSDGDLLKIVGIGAGAWGSVFAALLQDAYGRFREKVQIRIWRRAGRSVDRTTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPRIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELSTRDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >OGLUM01G47790.1 pep chromosome:ALNU02000000:1:44537736:44558509:1 gene:OGLUM01G47790 transcript:OGLUM01G47790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDNFEQLNTSRASIFGHSMGGHGALTIYLKNTDKYKSVSAFSPVVNPINCPWGQKAFSNYLGPAKSDWEEYDATCLIKKCNKISTPILIDQGEDDKFLAEQLLPRNFEEACKAVGAPLTLRMQPGYDHSYFFIATFIDDHIAHHSQFLKSA >OGLUM01G47790.2 pep chromosome:ALNU02000000:1:44537736:44540127:1 gene:OGLUM01G47790 transcript:OGLUM01G47790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:Projected from Arabidopsis thaliana (AT2G41530) TAIR;Acc:AT2G41530] MAAAAPSPPPVAALEQMSRTKMFGGHNLRFRHHSATLGCPMTFSVFLPPSPASDLPVLYWLSGLTCNDENFVTKAGAQRAAAAHGIALVAPDTSPRGLNIEGEADSWDFGVVVCSAENLYT >OGLUM01G47800.1 pep chromosome:ALNU02000000:1:44585380:44588771:1 gene:OGLUM01G47800 transcript:OGLUM01G47800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAMKPSIVHCLKLLMLLALGGVTMHVPDEDDVVASLGALRLDGHFSFDDAHAAARDFGNRCSLLPAAVLHPGSVSDVAATVRRVFQLGRSSPLTVAARGHGHSLLGQSQAAGGIVVKMESLAAAAARAVRVHGGASPHVDAPGGELWINVLHETLKHGLAPRSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGEVVTCSHEVNSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFETFTEDQEKLIASEKTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASQFQSDGRVLYCLELTMNFNHDEADIMEQVS >OGLUM01G47810.1 pep chromosome:ALNU02000000:1:44588889:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAARTNFL >OGLUM01G47810.2 pep chromosome:ALNU02000000:1:44590226:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKLSDDSLFQIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAKNFALYIGSDPTLNVTTFYLMRHPTE >OGLUM01G47810.3 pep chromosome:ALNU02000000:1:44590781:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKLSDDSLFQIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGNLLMFHFESS >OGLUM01G47810.4 pep chromosome:ALNU02000000:1:44590781:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGNLLMFHFESS >OGLUM01G47810.5 pep chromosome:ALNU02000000:1:44590721:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVHSSNRQQQGGPIYWTN >OGLUM01G47810.6 pep chromosome:ALNU02000000:1:44588350:44631395:-1 gene:OGLUM01G47810 transcript:OGLUM01G47810.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YJV5] MVVEMHAGLASDGGSKLKMLLTFVDALPSGSEEGVYYSIDLGGTNFRVLRVQVGAGSVIVNQKVEQQPIPEELTKGTSEGLFNFVALALKNFLEGEDDQDGKMALGFTFSFPVRQISVSSGSLIRWTKGFSIRDTVGRDVAQCLNEALANCGLNVRVTALVNDTVGTLALGHYYDEDTVAAVIIGSGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDILLDDETHNRNDQGFEKMISGMYLGEIARLVFHRMAQESDVFGDAADSLSNPFILSTPFLAAIREDDSPDLSEVRRILQEHLKIPDAPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGAASSGRGRGQPRRTVVAIEGGLYQGYPVFREYLDEALVEILGEEVARNVTLRVTEDGSGVGAALLAAVQEQSEPL >OGLUM01G47820.1 pep chromosome:ALNU02000000:1:44632438:44635189:1 gene:OGLUM01G47820 transcript:OGLUM01G47820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNHRAPPRRSLCCSASACLCCAVCVAFATIHGGARSRCSLLPGARRRERYAVLWEACPCIGYAGSGRLAAAAAVHGRAGRRAAEHERAHPGVRPAALPLRRHAHHRRPRRRRPRRALPTRDGVQQSDNGRERGLRARRRRRRRGGGRRAAGASGGEGGSSCCCCSAH >OGLUM01G47830.1 pep chromosome:ALNU02000000:1:44634473:44634733:-1 gene:OGLUM01G47830 transcript:OGLUM01G47830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRGWRAHRAWNDHFPFPTDLHILGSNSAAGDKTGCSTAATDAPPMLLRGGGPAAPAIPRSRLVSYRLHPRHVEEMQRQRQAGR >OGLUM01G47840.1 pep chromosome:ALNU02000000:1:44634796:44634975:-1 gene:OGLUM01G47840 transcript:OGLUM01G47840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSHFLHLTIFLSLPILFAASILHPRPAPTAAESKQVTVDHLWSAGSKNAGGSKSKRQ >OGLUM01G47850.1 pep chromosome:ALNU02000000:1:44635895:44637655:1 gene:OGLUM01G47850 transcript:OGLUM01G47850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVASVLTLALVLGVAAIPTVVQSIGVCYGVIGNNLPSPSDVVQLYKSNGIDSMRIYFRRSDILQALSGSSIALTMDVGNDQLGSLASDPSAAAAFVQNNIQAFPGVNFRYITVGNEVSGGDTQNILPAMQNMNSALSAAGLGNIKVSTSVSQGVTAGFPPSAGTFSASHMGPIAQYLASTGAPLLANVYPYFTYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASAGNAQTYNQNLINHVGQGTPKRPGRIETYIFAMFNENQKGGDETERHFGLFNPDQSPAYSINF >OGLUM01G47860.1 pep chromosome:ALNU02000000:1:44638998:44642271:1 gene:OGLUM01G47860 transcript:OGLUM01G47860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQGFAPVLAVALLLAAFPAAVQSIGVCYGVIGNNLPAASDVVKLYKSKGIDSMRIYFPRSDILQALTGSNIALTMDVANENLAAFAADATAAAAWVKQNVQAYPGVSFRYIAVGNEVTVDDTGNILPAMKNLNAALAAAGLGGVGVSTSVSQGVIANSYPPSNGVFNDDYMFDIVEYLASTGAPLLVNVYPYFAYVGDTKDISLNYATFQPGTTVTDDGSGLIYTSLFDAMVDSVYAALEDAGAPDVGVVVSETGWPSAGGFGASVSNAQTYNQKLISHVQGGTPKRPGVALETYVFAMFNENQKTGAETERHFGLFNPNKSPSYKIRFH >OGLUM01G47870.1 pep chromosome:ALNU02000000:1:44643320:44643706:1 gene:OGLUM01G47870 transcript:OGLUM01G47870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDGGFPHLVLLRVPAGLAARVLARHGVAVPGSLATLYAGARALDGAFFLASPGPDRCLQPPTPLLRLLRPRAAARCHSPSAVALTRRLPLLQPRSPTDAAPPPAPAAARPEAKRSPRCAHAEREEKK >OGLUM01G47880.1 pep chromosome:ALNU02000000:1:44658553:44659944:1 gene:OGLUM01G47880 transcript:OGLUM01G47880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQGVTSVLAVVLVIGAFVSIPTVQSIGVCYGVKGNNLPPRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSNLAASSSNAAAWVRDNVRPYYPAVNIKYIAVGNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLATTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTEKNFGLFYPNKSPVYPIRF >OGLUM01G47890.1 pep chromosome:ALNU02000000:1:44684290:44688613:1 gene:OGLUM01G47890 transcript:OGLUM01G47890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFDRINWQGVACALAVALFIGSLVSIPTVYTPGIKPCRTHTNTFDLHGCMRALIVDQHSTAVRSIGVCNGILGNNLPSPADVVKLYQSNGIAAMRIYSPHAATLRALAGTDIAVIVDEPAIDQFLTLSAASDWVQSNIKPYQGVNIRYIAVGNEVSGDATRSILPAMENLTKALSAAGFGKIKVSTAVKMDVLGTSSPPSGGEFSDAAVMAPIAKFLASNGSPLLANVYPYFAYKGGDVDLNFALFQPTTATVADDGRTYSNMFAAMVDAMYSALEKAGAPGVAVVVSESGWPSAGGSGASTDNARRYNQGLIDHVGMGTPKRAGAMEAYIFAMFNENQKDGDETERHYGLFNPDKSPAYPIKF >OGLUM01G47890.2 pep chromosome:ALNU02000000:1:44682982:44684343:1 gene:OGLUM01G47890 transcript:OGLUM01G47890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHGVAFILTLALVLGVLAVTPKVVQSIGVCYGVNGNNLPSPSDVVKLYQSKGIDSMRIYFPRSDILQALTGSNIALTMGVANENLSAFASDPSAVANWVKQNVQAYPGVNFRYIAVGNEVESGNTQNVLLAMQNMNSALSAAGLSNIKVSVSVSQKGVLAGYPPSNGMFSPEATSYMTPIAKYLASTGAPLMANVYPYFAYVGNLRAQIDDINYALFTSPGTVVPDGSKAYQNQFDAIVDTFYSALESAGAGSVPIVVSESGWPSAGGTAASASNAQTYNQNLIKHVGQGTPKRPGRIETYIFAMFNENDKRGDETERHFGLFNPDQSPAYTINF >OGLUM01G47900.1 pep chromosome:ALNU02000000:1:44697679:44699299:-1 gene:OGLUM01G47900 transcript:OGLUM01G47900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 16 [Source:Projected from Arabidopsis thaliana (AT3G10150) TAIR;Acc:AT3G10150] MRCWRRLAAAGALLAALCAALALAVAEHRRPDTTASSSSRRPLRFASGGGFKVALFADLHYGENAWTDWGPRQDAGSDRVMAAVLDAEKPDFVVYLGDLVTANNLGIPNASLYWDRAISPTRGRGIPWATVFGNHDDMPFEWPPEWFSPAGVPPLHCPPPSMSDSDCSFRGTPRLELMTSEVIRNGLSYSSNGPKDLWPAVSNYVLQVLSQKRDDPALLMYFLDSGGGSYPEVISSAQVQWFHSQSQFLNPNGRIPEIIFWHIPSTAYAKVAPKAKSEIRKPCVGSINREEVAPQEGEWGMMDALVKRASVKAIFVGHNHGLDWCCPHEKLWLCFARHTGYGGYGNWPRGARVIEISEQPFSIQSWIRMEDGTTHSDISLSS >OGLUM01G47910.1 pep chromosome:ALNU02000000:1:44704238:44705832:-1 gene:OGLUM01G47910 transcript:OGLUM01G47910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLRRESAGHGARRDVGVAQMRLTPAARTQTAPTTSGVEDAAAGELRRGAWSHGRRRICMVTETAQATSSSVGRGRRRILRGDGGGTGDELRRGGRSHRLRRGRWTWSPVSRSRRRTPSPATSSDVDRGWERMRLPATRFGFSDQLEYKEYIILLRLRQDAATIGMDDDDA >OGLUM01G47920.1 pep chromosome:ALNU02000000:1:44706064:44707203:-1 gene:OGLUM01G47920 transcript:OGLUM01G47920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVCFSDLPPEALDDIARRAGPLDNVVCSAVCRPWRRALKTTRLRTLEQPSRPYSVRLDQWSNGIELCPLRLTRERIVRIPSDGGAAPVTRIIGSSHGWLVTVDEECGLSLLEAVTGRAFPLPPITSSGSKKVARDLDQMGESMFQKAELVPGRRVGTFAVMLIHGGGNGLSFLRPGAKSWTALRFPKWMQHKYVDVVYHKGAFYTASREAAVTAWAPDASSSGLHATRVTEPRPEKCTWAALVESLGGGDLLMVSSVGAMDDEDHARHGYLRGSRRYEVSRYEEREEGRWLPVEDLGEVAILVGIGGRSLCVSTRGGRDALRNHLYFARPFVSFEYYDGHPREYRLPTATPGCGFVYVPGCSSSWFLPYVAPESHCN >OGLUM01G47930.1 pep chromosome:ALNU02000000:1:44708076:44708786:-1 gene:OGLUM01G47930 transcript:OGLUM01G47930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKAALAPGRRLGAFAVMLIHGGGFGLSFLRPDAKSWTAVRVPKRMQHKYTDVVFHRGAFYTASRDGEVAAWAPDASSSGLHAGRVSEPTQECTWAALVESVGGDDLLMVSSFVVEEGFAAHGQWYRLPRRRYAVSRYDGEREGTSSWLPVEDLGEAAILVGSSCSLCVSTRGFHDDLRNRLFFAWPSYESGKYYCFHPDEYRLPTATPGCTYLIVPHYGGSWFAPYVAPEFHWY >OGLUM01G47940.1 pep chromosome:ALNU02000000:1:44708791:44711391:-1 gene:OGLUM01G47940 transcript:OGLUM01G47940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVCFSDLPPEALDDIARRAGALNNVVCSAVCRPWRRALKTTRLGLLKQPNRPYSVNLELWCGSIELHPIRRCINGERTVRIANHDGAAPVTRIVGSSHGWLVTVDEDGGLSLLEAVTGRLYPLPPITSSGSKKVAKDLDQMGESMFQKAELVPGHRLGTFAVMLIHGGGFGMSFLRPGAKCWTAVRVPKWMKQKFVDVVFHQGAFYTPRRRGERLDAGRVSEPRQECAWVSLVVSIGGGDLLMVSRLHEREGAWLAVEDLGEAAILVGSSCSLSVSTRGSRDAFSDLPPEALDDIARRAGALNNAVCPAVCRPWRRALKTTRLRLLKRPSRPYSVRLDKWRNGTISLCPAVRLGCSSESTIYVPIAMDDGGDKLPTRIIGSSHGWLVTVDKECGLSLLEAFTGRVFPLPPITSSGSKKVAKELDQMG >OGLUM01G47950.1 pep chromosome:ALNU02000000:1:44719000:44720322:-1 gene:OGLUM01G47950 transcript:OGLUM01G47950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAADGIAALVDWSDLLPVILEDISQRVHGDDRAVFAAVCKSWRRAASAAGPRLSRHSLHLVALCSGANAVDFSSRHGDVVKTAYLGSGGARPHRIIGCSHGWLVVVDEACRASLLEPFTDGAQVPLPPVTSFDCEYFVTAVGGDGDGVPEYFAVDNHAYHHHLQGHRKIEWKPPKLVPVQSMRDEFFQKAAIAPGSHRKESYAAVMVSHSGGSGLAFARSGDDRWTSLPTQALTRYADVIWHNGAFYTLTRGDGAVEAWEPDGRALKPRLVTGPVMRWEFKRLVEFHSDTFHKPAFYEGARYLAKQADGGGGLLMVSTVAILDDSNALRARRFKVFDVDEDKGEWRARDDVGDAAVLVGINHGECVSTREYPCLKPNCVYYVVKSFAADFEEEEKGCSRYESGVCDVKTGVASRMSVFRRAAGGHPVWFVPSAVSRR >OGLUM01G47960.1 pep chromosome:ALNU02000000:1:44722022:44744543:-1 gene:OGLUM01G47960 transcript:OGLUM01G47960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSAKQGRGLGEHALFAPVFIAALFFPLVRRARRAAAIREYATAGSSPPACNSFACGGAFESSTTGGACSRRGGAPAPFACDCPAAQPCALPCPASMRALVASSALLRRSAARANLSGAGFCNGAPPVSSPLRRFPLQNWINDRFCWFLSKSCSMSTSVDVQLDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSRTRRTPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEAQATGIGISVQIIKNKLAPATLKEAGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLPNKAEAEKFLRENAAVADEICGTMRRQFFESLRLEIPSSVTIKASLQRCIFLHPDRVQVQQIKLVAAEDVYARRSALAIAAFASFPSGVRSIGVCYGMNGDGLPSRSDVVQLYKSNGIGAMRIYSADREALDALRGSGIDLALDVGDRNDVGQLAANADSWVQDNVKAYYPDVKIKYIVVGNELTGTGDAASILPAMQNVQAALASAGLADSIKVTTAIKMDTLAASSPPSAGVFTNPSVMEPIVRFLAGNGAPLLANVYPYFAYRDSQDIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVEKVSGGGSSVVDVVVSESGWPSDGGKGATVENARAYNQNLIDHVAQGTPKKPGQMEAYIFAMFNENQKNGDPTERNFGLFYPNKSPALQEATTGQMSQVESSLRMASSAASMLDMALLLAVFASILAGTAAVGVCWGMSGDNLPPASKVTEMLRENGFTVAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAAAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGAVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYNWGLFYPSTDRVYPISFHARI >OGLUM01G47960.2 pep chromosome:ALNU02000000:1:44732223:44744543:-1 gene:OGLUM01G47960 transcript:OGLUM01G47960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSAKQGRGLGEHALFAPVFIAALFFPLVRRARRAAAIREYATAGSSPPACNSFACGGAFESSTTGGACSRRGGAPAPFACDCPAAQPCALPCPASMRALVASSALLRRSAARANLSGAGFCNGAPPVSSPLRRFPLQNWINDRFCWFLSKSCSMSTSVDVQLDYETDPPLDGVKVLEKESTLSVAVSQLASDFDRESNLCLERFSRTRRTPVISTGSLKLDIALGIGGLPKGRMVELFGKEASGKTTLALHVVKEAQKKGGCCAYIDAENAFNPSVAEAIGVNVEKLLIAQPDSAENSLSIVNTLVGGSIDVVVVDSVAALIPRCELEGEIYMNSEDVQSRLMTRALRKIQHTLSRSETLIIFVNQVRTKLSSNQTPGIFKEVACGGNALGFYAAVRMRTSRRELRYNEAQATGIGISVQIIKNKLAPATLKEAGIDIRFGKGICYESEILELASSLGVIMKDDSGYWINGEFLPNKAEAEKFLRENAAVADEICGTMRRQFFESLRLEIPSSVTIKASLQRCIFLHPDRVQVQQIKLVAAEDVYARRSALAIAAFASFPSGVRSIGVCYGMNGDGLPSRSDVVQLYKSNGIGAMRIYSADREALDALRGSGIDLALDVGDRNDVGQLAANADSWVQDNVKAYYPDVKIKYIVVGNELTGTGDAASILPAMQNVQAALASAGLADSIKVTTAIKMDTLAASSPPSAGVFTNPSVMEPIVRFLAGNGAPLLANVYPYFAYRDSQDIDLSYALFQPSSTTVSDPNGGGLSYTNLFDAMVDAVRAAVEKVSGGGSSVVDVVVSESGWPSDGGKGATVENARAYNQNLIDHVAQGTPKKPGQMEVYVFAMFNENRKEGDATEKKFGLFNPDKTPSDVVQLYKSNGITDMRIYLPDVEAMNALRGTGIGLIVGVANDILIDLAANPASAASWVDANVKPFVPAVNIKYIAVGNEISGEPTQNILPVMQNINAALAAASITGVKASTAVKLDVVTNTFPPSAGVFAAPYMTAKPGEATEQNFGAFYPNKTAVYPINFQRQGVASMLTIALIIGAFASAPTTVQSIGVCYGVLGNNLPSRSEVVQLYKSKGINGMRIYYPDKEALNALRNSGIALILDVGDQLSYLAASSSNAAAWVRDNVKPYYPAVNIKYIAVSNEVEGGATNSILPAIRNVNSALASSGLGAIKASTAVKFDVISNSYPPSAGVFRDAYMKDIARYLASTGAPLLANVYPYFAYRGNPRDISLNYATFRPGTTVRDPNNGLTYTNLFDAMVDAVYAALEKAGAGNVKVVVSESGWPSAGGFGASVDNARAYNQGLIDHVGRGTPKRPGALEAYIFAMFNENQKNGDPTERNFGLFYPNKSPVYPIRF >OGLUM01G47960.3 pep chromosome:ALNU02000000:1:44722020:44732024:-1 gene:OGLUM01G47960 transcript:OGLUM01G47960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALQEATTGQMSQVESSLRMASSAASMLDMALLLAVFASILAGTAAVGVCWGMSGDNLPPASKVTEMLRENGFTVAYPTVLFRFVVVGNEVAGADTQLLVPAMENVHAALAAAGLGHIKVTTSISQATIGVHIPPSAGEFTDEAKPFMSYVIPFLERTHAPLLANLYPYFIYSYNPGGMDISFALFTASGAVVQDGEYGYQNQFDATVDALYTAVAKLGGENVRVVVSETGWPTAGGVGASVENAMTFNQNLVRHVRNGTPRHPGKKTETYVFAMFNENLKEAGVEQNWGLFYPSTDRVYPISFHARI >OGLUM01G47970.1 pep chromosome:ALNU02000000:1:44754524:44759968:-1 gene:OGLUM01G47970 transcript:OGLUM01G47970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWISLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGKYGVLVGWVGVVWVATVTAMSRSVQLAVAAGDQVDPDSLRLHQLGYKQELKRGLSALSNFAFSFANISVMMGVTTTYNTGLRYGGPVSMTLGWLVVAVFNCCVALSMAEICSAYPTSGGLYYWSAKLAGKQWASLASWVTGWFNVVGQWAAIASVDFSLAQLLQVIILLSTGGGNGGGYMASKYTVLAIYAFILILHGIINSLPIEWLSLFGHVGAIWNAAGIFVLTILIPAVAKDRPNIEFVFTHLNTENGMGIHDKAYILAVGLLMSQYSVIGYDTSAHMVEETKNADRSGPIGIITSVLFATVFGWIYLLALTSVVTDIPYLLSPSNDAGGYAIAQALYTAFHRRYGSGVGGIVCLGAVAVAVFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLGVAVAFVMALTSLGSQVAFQAMGSIATLGMYIAYALPVFFRVTTARRSFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >OGLUM01G47970.2 pep chromosome:ALNU02000000:1:44754524:44759968:-1 gene:OGLUM01G47970 transcript:OGLUM01G47970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVQLAMAAGDQAADDPDSLRLLQLGYKQELKRGLSTLSNFAFSFANISVLTGVTATYNTGLRYGGPVSMTLGWLVVALFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWASLASWVTGWFNIVGQWAAIASVDFSLAQLLQVIILLSTGGANGGGYMASKYVVLVICAVILILHGVINSLPIQWISLFGQIGAIWNAAGVFVLVILIPAVAKDRPSVEFVFTHLNTDNGMGIHSKAYILAVGLLMSQYSVLGYDTSAHMVEETKNADRSGPIGIITSVVCATVFGWIYLLALTTAVTDIPYLLSPGNDAGGYAIAQALYTAFHRRFGSGAGGIACLGAVAVAIFLCGIACVTSNSRMAYAFSRDGAMPLSRVWYRVNKHEVPLNVVWLAVAVAFFMALTSLGSQVAFQALGSIATLGISFVPGPFHLGRYGVVVGWAGVVWVATVTVLFSLPVAYPVANKETFNYTPVAVGGVLLLSVGAWVLRARFWFQGPITNVDTHC >OGLUM01G47980.1 pep chromosome:ALNU02000000:1:44760586:44776785:-1 gene:OGLUM01G47980 transcript:OGLUM01G47980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSVILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLSPDNDASGYAIAQALYTSFHRRYGTGAGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVESREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLVVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDARQGGQRVGTGEEAPAGAGRQRSGGRLSAGAGGIAGEGNLEVALLLQCVKPALRREGGNTQLATWTASTPLCLWRGLRSTIQMALSRSVEVTVPADPAGDGDRDKIRLRQLGYKQELKRGLSVLSNFAFSFSIISVMAGVTTTYSTGLRYGGPVSMTLGWLVVSAFNGCMALSMAEICSAYPTSGGLYYWSAKLAGNDWAPFASWITGWFNITGQWAATTSVDFALAQLVQVIVLLSTGGANGGGYMASNYVVLAIYGAMLVIHGAINSLPIQCLSWFGQLGAFWNAAGVFVLVALIPAVATERASVEFIFTHFNTENGMGIRDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >OGLUM01G47980.2 pep chromosome:ALNU02000000:1:44767726:44776785:-1 gene:OGLUM01G47980 transcript:OGLUM01G47980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVGVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSAILILHGLINSLPIRWLSWFGQLGAFWNVAGAFSLTILIPAVAKERVSPEFIFTHFNAENGAGIHDKAYILAAGLLMSQYSLIGYDTSAHIIEETKNADWSGPIGIITSVALSTMFGWIYLIALTSIMTDIPYLLNPSNDAGGYAIAQALYTSFHRRYGTGAGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVDSREVPLNVVWLSVAVAFVMVLTSLGSQVAFQAMVSIATLGLLIACALPVFFRVTTARRSFVRGPFHLGKYGVVVGWVGVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVLHARFWFQGPVTNVDTYNRHRGDIIEKSSEQTPTAMSRSGVVAMDAAGADADQARLHQLGYRQELKRGLSLVSNFAFSFSIISVLTGVTTTYGTGLRYGGPVSMTLGWLVVSAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWWACTTSVDFSLAQLLQVIILLATGGANGGGYMASKYVVLAIYSVILILHGLINSLPIHWLSWFGQLGAFWNVAGVFALTILIPSVAKERASPEFIFTHFNTENGMGIHQKAYILAVGLLMSQYSVIGYDTSAHMIEETKNADWSGPMGIITSVGLSTMFGWIYLVALTSIVVDIPYLLSPDNDASGYAIAQALYTSFHRRYGTGAGALACLGVIAVAVFLCGSACITSNSRMGYAFSRDGAMPLSRVWHRVESREVPLNVVWLSVAVAFVMALTSLGSQVAFQAMVSVTTLGLYIAYALPVFFRVTTARKSFVPGPFHLGRYGLVVGWMAVVWVATVTVLFSLPVAYPVAKETFNYTPVAVGGVLLLSLVAWVFHARFWFQGPVTNVDA >OGLUM01G47980.3 pep chromosome:ALNU02000000:1:44760586:44766072:-1 gene:OGLUM01G47980 transcript:OGLUM01G47980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINEIAYFSAGAGGIAGEGNLEVALLLQCVKPALRREGGNTQLATWTASTPLCLWRGLRSTIQMALSRSVEVTVPADPAGDGDRDKIRLRQLGYKQELKRGLSVLSNFAFSFSIISVMAGVTTTYSTGLRYGGPVSMTLGWLVVSAFNGCMALSMAEICSAYPTSGGLYYWSAKLAGNDWAPFASWITGWFNITGQWAATTSVDFALAQLVQVIVLLSTGGANGGGYMASNYVVLAIYGAMLVIHGAINSLPIQCLSWFGQLGAFWNAAGVFVLVALIPAVATERASVEFIFTHFNTENGMGIRDKAYILLIGLLMSQYAMAGYDTSAHMTEETKNADWSGPIGIVTSVALSTVFGWIYIVSLTSAVTDIPYLLSPDNDAGGNAVAQAFYTTFHRRYGSGLGGILCLGVVAVAVFLCGLACITSNSRMAYAFSRDGAMPFSKVWHRVNKQEVPINAVWLSVVVAFIMALTSLGSQVAFQAMVSIATIGLCISYALPIFFRVTTARGSFVPGPFHLGKYGIVVGWAAVLWVAAVTVLFSLPVAYPVAEETFNYTPVAVGGVLLLTVGAWALRARFWFQGPITNTNDGPL >OGLUM01G47990.1 pep chromosome:ALNU02000000:1:44777763:44781149:-1 gene:OGLUM01G47990 transcript:OGLUM01G47990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLVVLGIPWDVDTEGLREYMGKFGPLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLECEHVLGNRTLEVKIATPKEEMKSQGSKKATRIFVARIPQSVDESMFRRHFEAYGEITDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQDSHELDGTTVVVDRATPKDEEVRYPPSRGASQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGSKSSATKITGGGYYGSSQGMGNKKIFVGRLPQEANTEDLRHYFGKFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVADRVARRSHEILGHEVAIDTAAPLEGDSGGGYMEPMDLYGAYGSMRTYGRFCSGIDYDYGYGHSGSSSSRSRADWRYRPY >OGLUM01G48000.1 pep chromosome:ALNU02000000:1:44785002:44786300:1 gene:OGLUM01G48000 transcript:OGLUM01G48000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51930) TAIR;Acc:AT3G51930] MAMPLRDPTDRAAMSAPLLPRSAFVSSASFSSFSSVASFSSTSSSFSDPAVPSDVVEALPMSRDSFKALAVLRDHQGSVSSLSLCGEFLLSASTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTTRDYLGKIFRHASYVQTRRNHRRLWIEHADSISCLAVHDGVVYSGSWDKTLKVWRISDLKCLESIHAHDDAINAVAADSGTIYSASADGHVKAWGKGKAAHFLQGILISRDGVSWNALVASADAGARRVYAAGSDGHVVGWDRVGGRGSRWSLSCDVKAHDMAVLCLCVAGDLVFTGSADKTIGLWRWQTSGDGDGGAAAALARVGVIRGHEGPVKCIQASWCRVSNGCMVYSGGLDKSIRVWWVPNGWKANEQKQQQQENDTKDHKNCVFLR >OGLUM01G48010.1 pep chromosome:ALNU02000000:1:44788737:44791932:1 gene:OGLUM01G48010 transcript:OGLUM01G48010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMNLIREDEYGGGGVGFEPTEDELMLHFLRPQLRGFAPRVAGAVVEADPCGAAPWELLARHGRREEGINYCFYVRGEMGQQRSTGWMMAEYEITDPRCYRRADDGEEDDFWVLCHVRKSSRPSAAKISPAKPARRRKPTAAADVRAA >OGLUM01G48020.1 pep chromosome:ALNU02000000:1:44793640:44793849:-1 gene:OGLUM01G48020 transcript:OGLUM01G48020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYEITDPRCYRRTPTTARRTTSGSSALGRQDLPAKAARRRKPAAADGRPGCVIRTTVEATRVRFRRT >OGLUM01G48030.1 pep chromosome:ALNU02000000:1:44794250:44794735:-1 gene:OGLUM01G48030 transcript:OGLUM01G48030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIAQFLANTMSPLLVNVYPYFAYRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFYPDKTPVYPITFPPN >OGLUM01G48040.1 pep chromosome:ALNU02000000:1:44803520:44812077:-1 gene:OGLUM01G48040 transcript:OGLUM01G48040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVHGVCYGMNGDNLPSQSEVVQLYKSNGIGAMRIYSPDQKALDALRGSGIAVIIDVGGSGAVANLANNPSAAADWVRDNVQAYWPNVIIRYIAVGNELGPGDMGTILPAMQNVYDALVSAGLSNSIKVSTAVRMDVITDSMNMANN >OGLUM01G48050.1 pep chromosome:ALNU02000000:1:44816743:44818127:1 gene:OGLUM01G48050 transcript:OGLUM01G48050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHGVCYGVVGNNLPSRSEVVQLYKSKGISAMRIYYPDQEALAALRGSGIAVIVDVGDKGAVANLANNPSAAADWVRNNVQAYWPSVFIRYIAVGNELGPGDMGTILPAMQNVYNALVSAGLSNSIKVSTAVKMDVITNSFPPSHGVFRPDLQQFMVPIAQFLANTMSPLLVNVYPYFAHRDNPRDIPLNYATFQPGTTVRDNDSGLTYTNLFSAMVDAVYAALEKAGAPGVRVVVSESGWPSAGGFAANVENARNHNQGVIDNVKNGTPKRPGQLETYVFAMFNENQKPGDETERHFGLFNPDKTPVYPITFPPN >OGLUM01G48060.1 pep chromosome:ALNU02000000:1:44839873:44841607:-1 gene:OGLUM01G48060 transcript:OGLUM01G48060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAHVSTPTSSSIPTSDPSLGVLSDITNLSAIELRRKRARERYALLSVEDKEARNKKAREKRKQKKEESQEGNQSGTTVKAGDAPLGVLSDITNLSAAELRRKRARERYALLSVEDKEARNKKAREKRQQKKEDSQGDNHSATTADGIETRQPMITPRRLSFTVRNGVAHYDDIENNEGPLSCIVQRASQDSLKLDFVRDKSGFAHARPDQVMSCAQLHCNHSFRYHHMSELQQQLSGDYLVTKFAYSYFCPTLLNFRSKSP >OGLUM01G48070.1 pep chromosome:ALNU02000000:1:44841637:44844079:-1 gene:OGLUM01G48070 transcript:OGLUM01G48070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRSSHSRDPPTLCYPPRPRKKKNYLHLPRPARLRNTPPPSSPSHPPRRPAAGRPSAILAGRHSRSTSRRPAAVLTVHIATPRRCPRLPHPSRRPAARRPSAVLAGRHSRPTSRRPAAILAFPIRRPPSSPSSPAASCRHSGPPPSAASLAPSATGPASPSRANDRAGDSGFSRRCQILHAFLCFVLLYNIFCLIIWGVKRVKETLLCIFS >OGLUM01G48080.1 pep chromosome:ALNU02000000:1:44844189:44848809:-1 gene:OGLUM01G48080 transcript:OGLUM01G48080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSYLTMKRCTLSQGDDNFQVDKTLRYSGPDLPEDIWHHIHSLMPLRDAARAACVSRAFLCNWRCHPNLIFTEETLSLEQDARRKGGKARAFASKVDHILKNHSGIGVKRLKLVICYSGKINGINTPTVAAKFLHLKHLDICLDADKALPPEYDYLSLVSFLDASPVLETFILCVQQHDMKHECVSEDASHTRQMPEHKHSNLKNVMILGFCTAKSMVELTCHVLENATSLKSITLDTERLAVVAGAQINGVDAAAAAAAAAAASLAPCRRLPLRRRCTTSGDTRGHVQPPPPPGGAGAGNTCGQLIDARLTDEYEPPTPAPCRGGVRYGSRASAGGGWFRLWENLTALQEDPALSPCYADQLRLVYDPVAGDYRNVPGVDTRVVSFGSTRGFRSDDPA >OGLUM01G48090.1 pep chromosome:ALNU02000000:1:44849931:44852272:1 gene:OGLUM01G48090 transcript:OGLUM01G48090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVNGVCYGVLGDNLPSRSEVVQLLKSQGIGAMRIYYPDKEALDALRGSGIAVIVDVGDSGAVANLANNPSAAADWVRDNVEAYWPSVIIRYITVGNELPAGDMGLILPAMQNVHKALVSAGLSSSIKVSTAIKMDVVADTFPPSHGVFSSDVQQFMVPIARFLANTVSPLLVNVYPYVSYRENPRDISLNYATFQPGTTVRDDGSGLTYTNLFNAMVDAVYAALEKAGTPNVRVAVSETGWPSAGGFAATAENAMNHNQGVIDNVKNGTPKRPGPLETYVFAMFNENQQTGDETRRHFGLFNPDKTPAYPITPYPRPAVQSIGVCYGMVGNDLPSRSEVVQMYVSMGINRMRIYNPDREALDALRNSGIDLILDAGGFDTVSYLAASASNAASWVHDNISPYYPAVNIKYIAVGNEVVGGTTESILPAMRNVNSALAAAGIGGIKVSTAVKSDVIANSYPPSAGVFAYPYMNGVAQYLASTGAPLLANVYPYFAYAGNPREISLNYATFQPGTTVRDDGNGLTYTNLFDAMVDCIYAALEKAGAGNVRVVVSESGWPSAEGFGASMDNARAYNQGLIDHVGRGTPKRPGQMEAYIFAMFNENQKTGAATERHFGLFYPNRSPVYQIAFPN >OGLUM01G48100.1 pep chromosome:ALNU02000000:1:44852989:44864785:1 gene:OGLUM01G48100 transcript:OGLUM01G48100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDTTEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTSTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLGIVPEERMVGRLASQNAQGSRLRTGHYIMACCCDGNACVIIRFHTHPPPCYIVTSASARISLSTIRTCFTYVRTIWSSFYIRLDDTGLSTRGYFQYISR >OGLUM01G48100.2 pep chromosome:ALNU02000000:1:44852989:44864647:1 gene:OGLUM01G48100 transcript:OGLUM01G48100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARQLKVHLRENEAVAQCVLEKWRSMEEKPGGLKENLAHTLYKSYRNVCAAKEPIRSLKDLYQIKGVGKWVIRQLKGSFPESSPDLSPPESNAAGEKGKKAGGSKRYVPQKNSAAYAILITLHRETINGKSHMKKQELIDTTEASGLSQSAIGPDKSKAKPGAFASSQKDWYTGWSCMKTLTSKGLVAKSGNPAKYMITEEGKSTALECLSRSGLDDHAAPLVINSAPDTSNASHKLNNICMTSFVETSSGPSRAIGRPKTSIANPATKTSPEVTYLTSQESLNYNSDVRTADNCAEEIILSDSDSEELYTENYPLIGSEEFTERVAPPMLNASNSGKTSTNYRFSDCSASISPRSSEGIFEMQSSSTMGTAEFNMLDNDTVCMDNSILAMPPRRSSKNFLEDYEVVLILDDRENFGGRSRKTVDNIHSQFRVPVEIKHLPVGDGIWIARDRKLHTEYVLDFIVERKNVADLCSSITDNRYKDQKLRLKKCGLRKLIYLVEGDPNPLDTSERIKTACFTTEILEGFDVQRTPGYAETVRTYGNLTRSITEYYSTHFSTGANTSQVCPTYDEFTKKCDDLKKITVSDVFALQLMQVPQVTEEAALAVIGLYPTLFSLAKAYSMLDGDTHAQEKMLKNKSTLINAGASRNIFKLVWAEG >OGLUM01G48110.1 pep chromosome:ALNU02000000:1:44854983:44855819:-1 gene:OGLUM01G48110 transcript:OGLUM01G48110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAPYDFRYAPAAPGLPSGVFSDFTSRVRRLVERASERNGGKPVILATHSLGGLFAMVFLDRTPLLWRRRYIKHFVMLCLGVGGSPLNMWPLAASPIPSSSLVGSVLTYGNRSFASMFSLLSSPAVYGDTPLVITRAKNYSADDMPEFLSAAGFSDDEVALYRTRALPVTLHLRAPLVPLTSINGVGVPTIDKLVFWDGNFSTKPQVVNGDGDGQINLDTVLALQRLIGDDPDQGYFKSILIPNTTHKGVISDELALKRVVSEIVGANSIXSSPLNS >OGLUM01G48120.1 pep chromosome:ALNU02000000:1:44882513:44883840:1 gene:OGLUM01G48120 transcript:OGLUM01G48120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDAPFLFLTNGKDEWRSMQPSKPGKMGLGVLLVGPNGGPNKTVAFSIVSSWWWMAGAGIDRVTA >OGLUM01G48120.2 pep chromosome:ALNU02000000:1:44883429:44886399:1 gene:OGLUM01G48120 transcript:OGLUM01G48120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQGTGDFSVVVLGSDFAVDAGAALLNPADREEWHDCLPDLSAPADGEDFSDLEELQVVRVQGTDRAGRRIVRVVGRFFPAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRRVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >OGLUM01G48120.3 pep chromosome:ALNU02000000:1:44879427:44886399:1 gene:OGLUM01G48120 transcript:OGLUM01G48120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAGAAPVIGGDRLKKYVLHKLRTELPEGPFCLLYMHSTVQSDDNNPGMSILRRVYEDLPPEYKERLQILYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYVSRLEYLWGDIRKGEVEIPDFVIDHDKILEHRPLTDYGIEPDPLHLADMPAVGYSLGRYEDKWSPEDRWYSRNYM >OGLUM01G48120.4 pep chromosome:ALNU02000000:1:44879427:44881575:1 gene:OGLUM01G48120 transcript:OGLUM01G48120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNARAGAALARLMVQDEGSSSSVTSSPLHNFSNMPLHPAAAASPTPPWMVRELRSDERGLCLIHLLLNCAAAAAAGRLDAANAALEHIASLAAPDGDAMQRVAAAFAEALARRALRAWPGLCRALLLPRASPTPAEVAAARRHFLDLCPFLRLAGAAANQSILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTSVHEHKELLTQTAMALTKEAERLDVPFQFNPVVSRLDALDVESLRVKTGEALAICSSLQLHCLLASDDDAAAVAGGDKERRSPESGLSPSTSRADAFLGALWGLSPKVMVVAEQEASHNAAGLTERFVEALNYYAALFDCLEVGAARGSVERARVERWLLGEEIKNIVACDGGERRERHERLERWARRLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLCWQDRALFSVSAWRGRRFD >OGLUM01G48130.1 pep chromosome:ALNU02000000:1:44886619:44893634:-1 gene:OGLUM01G48130 transcript:OGLUM01G48130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMGSGSGASGPPAKGRAKPTQKKKIQRRRVTAAQFPAPPLRAPTSGDPGHRRVAPTAIQLASKPTFHIHAILLRLLLLLLLGSLAMAAPPQPVPAPAAASPEVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >OGLUM01G48130.2 pep chromosome:ALNU02000000:1:44886619:44890312:-1 gene:OGLUM01G48130 transcript:OGLUM01G48130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVTGLLGSGSGASGPPAKGRAKPTQKKKIQRRRVTAAQFPAPPLRAPTSGDPGHRRVAPTAIQLASKPTFHIHAILLRLLLLLLLGSLAMAAPPQPVPAPAAASPEVFRLGFIGPGNLAESIARGVAASGVLPATAIRTAPHRRPERAEAFSSIGAHILETNAQVVDDSDVIVISVKPQIVRQVLVELKPLLSEEKLLVSIAAGIKMEDLQGWSGHRRFIRVMPNTPSAVGQAASVMCLGEMATENDENRVRSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVNKTGKHPGQLKDMVTSPAGTTITGIQELEKGAFRGTLINAVVAATKRCRELSQS >OGLUM01G48130.3 pep chromosome:ALNU02000000:1:44890353:44893634:-1 gene:OGLUM01G48130 transcript:OGLUM01G48130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPVTDKKITELLTEANLLPLLISLLETNITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGSVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >OGLUM01G48130.4 pep chromosome:ALNU02000000:1:44890353:44893634:-1 gene:OGLUM01G48130 transcript:OGLUM01G48130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAGVDVEDLLVRVKNGADAELAEVAREVAALAEQGRLGEDDDEDGVLVPALLARLAAAGGAEARVRVMAALRRLARCVGCESKERLASIEALSSIVRSLSRDVDETREAIALLLDLSDIPQVRQRIGRIKGSIVMLVTLRNAHEPGTHDDAEKLLHMLSSNPQNVLLMAEAGYFRPLIHYLKEGSDMNKILMATAISKMFLSEPMKSSLGEDGAVEPLVEMFKSGNLEAKHSALGALLNLSSSLQNAEILINSGITGPLLQLLFSVTSVLMTLREPASAILAAIAQSERILLHKDVAPQMLSLLNLSSSVIQLHLLRALNSISGHTNAKRARAKIRQNGGVQLLLPFLTEKNIDIKIAALNFIFNLSKDASQELAEQIRDTHLNIFVKIISSPTSGNEKAAAIGILSNLPVTDKKITELLTEANLLPLLISLLETNITAPLTPLRTSLLEGIAGVLIRFTVPWDKKLQSLAVGHGVVPCLVKLLSEGSIKAKSKAATSLAQLSQNSLALRKTKLPRWLCVAPSAETYCLVHNSQCTVKSTFCLVKAGAVSPLIQILEDDNREADGSVLEALATLMQDEIWENGSKVIEKASGVHALLRIAEAGNSTSQEKAIWMLERIFRLEAHRERYGEIAQALLIDLAQKGDPILKPMIGKILAHLELLQTQSSYF >OGLUM01G48140.1 pep chromosome:ALNU02000000:1:44896446:44896935:-1 gene:OGLUM01G48140 transcript:OGLUM01G48140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSNNQPPPGYPTAAGAAEQGGKKSRRGSTKSRGDKGFIEGCIAALCCCWICEMCCD >OGLUM01G48150.1 pep chromosome:ALNU02000000:1:44908880:44911640:-1 gene:OGLUM01G48150 transcript:OGLUM01G48150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLKSLSMDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGAAADQAAAPPGALLLDHLSPRSPSGGASASSPRGAGGSAAAAAAAAAATPGAVIPVSSVSYEVFLLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKSMSRRSPFLSHHHHHHPHAAAAGIEASSAAELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDDALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAAMVMSREDAQTAAVNAAPIYGESPGGGGGGGVYNASGTSSSMVNLSLDNRMVYLNLGMDAQFGKMNDGGDGDDGGSRGPSSLFSPHGFP >OGLUM01G48160.1 pep chromosome:ALNU02000000:1:44940279:44946893:-1 gene:OGLUM01G48160 transcript:OGLUM01G48160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLYNDIGGTTVLSRKRDWPER >OGLUM01G48160.2 pep chromosome:ALNU02000000:1:44940279:44946893:-1 gene:OGLUM01G48160 transcript:OGLUM01G48160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLYNDIGGTTVLSRKRDWPER >OGLUM01G48160.3 pep chromosome:ALNU02000000:1:44942240:44946893:-1 gene:OGLUM01G48160 transcript:OGLUM01G48160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGTNWAKKSQDSSSISKQKQGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLWIGSQTPKWAIDALPPAKPSKAPFKQETFLS >OGLUM01G48160.4 pep chromosome:ALNU02000000:1:44942240:44946893:-1 gene:OGLUM01G48160 transcript:OGLUM01G48160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLARLLNNRILLPASSSPAAAFSKRTYARRTKPAPPTADAAAPAAVEGEGEEERGPGWQREKLPAEIPRPSTIAFQPRVANAVRLVGTVGAPVQLQRLPDGRFSAVSVLVQDRHADYPKFWIPIIFQDDLAQVAASHLQEKDHIYVSGQLTGDIPPTKLMDGQANIQVLAQMLSFVGGKAVQADSMVDEEEGFMQIVEAEKKVETKKFIPKYPPRTVSGYRNKDKLNKLWNDVVANPQDWTDNRPQKKNGSINAKYPDFKNNVSKEALWLDTAPKAVLEKLDDLVFSSDFSAAKKYRPFGGDKGNGELWQDLVDNPGKWWDNRSDKPSIKYPDFKHKENGTPLWIGSQTPKWAIDALPPAKPSKAPFKQETFLS >OGLUM01G48170.1 pep chromosome:ALNU02000000:1:44955169:44955783:1 gene:OGLUM01G48170 transcript:OGLUM01G48170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIQSFARKLSLPSPKRTWSSGGGSSKRDGGMSKNGSGVKRAISRSEASSFASASSESESSSDDALMARSTPRSVLPAEISRRELEAVLRRLGHGEPDDEELDAVAAIAAEAEAGGGEDELMEAFKVFDADGDGRITAEELRGVMVAILGGDGDGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMAATATATATADGPRSW >OGLUM01G48180.1 pep chromosome:ALNU02000000:1:44959931:44962605:-1 gene:OGLUM01G48180 transcript:OGLUM01G48180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochromobilin:ferredoxin oxidoreductase, chloroplast / phytochromobilin synthase (HY2) [Source:Projected from Arabidopsis thaliana (AT3G09150) TAIR;Acc:AT3G09150] MTGGMRWCSGWQEESCFAIGAGTDVANLFISIKRTQLLLATEEWLLAGLACSWGESMSSGGVGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQEKFKSIKLNDDNTVFNALSFSAPKIRLLRSLTIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTHAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNELLPWGGKITSESLKFFSPIVIWTIFESTEHNHHVLHSAFVWLELMDQAIKENNKATIARNQEEQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >OGLUM01G48180.2 pep chromosome:ALNU02000000:1:44959931:44962605:-1 gene:OGLUM01G48180 transcript:OGLUM01G48180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochromobilin:ferredoxin oxidoreductase, chloroplast / phytochromobilin synthase (HY2) [Source:Projected from Arabidopsis thaliana (AT3G09150) TAIR;Acc:AT3G09150] MTGGMRWCSGWQEESCFAIGAGTDVANLFISIKRTQLLLATEEWLLAGLACSWGESMSSGGVGGGSLGAGLPYHKFVSFALEETRLRTTLTPHPSQEKFKSIKLNDDNTVFNALSFSAPKIRLLRSLTIEKKNSYQVLDFAAFSEPEYDLPIFCANVFTTHAQSIVVLDLNPLYDTTVHKDYKDKYYRSIMPLVHKYNELLPWGGKITSESLKFFSPIVIWTIFESTEHNHHVLHSAFVDYYKARPDYFFKFSQKVWLELMDQAIKENNKATIARNQEEQHKYLTWRAEKDPGYPLLKKLIGESRAEDLVMEFLFEGVNTLGTKSFLDYFPEYARDDGSVNKKRSMIGKSFETRPWDANGEFIGDAEAQ >OGLUM01G48190.1 pep chromosome:ALNU02000000:1:44963936:44964493:-1 gene:OGLUM01G48190 transcript:OGLUM01G48190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKMPALFRRRSGSKSPPLPQADPASGGGSPAPTPEEEMERVFRKFDANGDGRISRSELGALFESLGHAATDDELARMMAEADADGDGFISLDEFAALNATASGDAAAVEEDLRHAFRVFDADGNGTISAAELARVLHGLGEKATVQQCRRMIEGVDQNGDGLISFEEFKVMMAGGGSFAKIA >OGLUM01G48200.1 pep chromosome:ALNU02000000:1:44974751:44975110:1 gene:OGLUM01G48200 transcript:OGLUM01G48200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSEPVKLIGAFGSPFVHRVEVALRLKGVPYELILEDMGNKSELLLAHNPVHKMVPVLLHGDRSAICESLVIVEYVDEAFDGPPLLPADPIRRAMARFWAHFLDENVTNNPFHPRFN >OGLUM01G48210.1 pep chromosome:ALNU02000000:1:44975399:44976147:1 gene:OGLUM01G48210 transcript:OGLUM01G48210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQCLKPLRPALFGEGEEQKKSMEETREGLTVAEAELRGKRFFGGSSIGLADIAGGGVLAHWVGVLQEVAGVSVLSDGDGEYPALRRIIKER >OGLUM01G48220.1 pep chromosome:ALNU02000000:1:44976545:44985256:1 gene:OGLUM01G48220 transcript:OGLUM01G48220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVKLIGAFASAYGHRAELIGAFGSPFVHRAEVALRLKGVAYEFIHEDLDNKSDLLLAKNPIHKKVPVLLHGDRAICESLVIVEYADEEGLLKETKENLALLEAQLHGKRFFAGDSVGYLDIVASGLAHWISVVEEVTGVSLMGGADEDDEYPALRRWAKEYTSDETVMQCLPSREHLAAFFAAKKDKLKMVAKAMLHQNNSQKHSEANMADPVKLIGAFGSPFVHRVEAALQLKGVAYELIHEDLENKSNLLLASNPVHKKVPVLLDGGRAICESLVIVEYVDDAFDGPPILPADPYDRATARFWAQFIDHKANLFMLLHHCVHCTLPLLLALWLDGEEQKGFLKETKENLSLLEAQLEGKRFFAGDDVGYLDVAAGGMAHWIGVLEEVTGVSVIGSEDDDEYPALQRWIKEYANIDAVKLSLPDREELVAFYTRNKDKYKMMFRAMLISAFGSPFGHRAEAALRLKGVQYELLLEDLRSKSDLLLAHNPVHKLVPVLLHSDGRSVAESLVVVQYVDDAFHGPPLLPADPYARAQARFWAQFIDDKFSRPFWLSFWMEDGEKKEAFVREAKENLRPLEAQLDGGNKRFFGGDAIGLVDIAASGLAHWVGVFEEVTGVSLVSEREFPALCRWSQRYVNDGAVRQCLPSRDELVALFTANKEAYTLLAKAKH >OGLUM01G48220.2 pep chromosome:ALNU02000000:1:44976545:44985256:1 gene:OGLUM01G48220 transcript:OGLUM01G48220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVKLIGAFASAYGHRAEAALRLKGVQYELLLEDLRSKSDLLLAHNPVHKLVPVLLHSDGRSVAESLVVVQYVDDAFHGPPLLPADPYARAQARFWAQFIDDKFSRPFWLSFWMEDGEKKEAFVREAKENLRPLEAQLDGGNKRFFGGDAIGLVDIAASGLAHWVGVFEEVTGVSLVSEREFPALCRWSQRYVNDGAVRQCLPSRDELVALFTANKEAYTLLAKAKH >OGLUM01G48230.1 pep chromosome:ALNU02000000:1:44985466:44986194:1 gene:OGLUM01G48230 transcript:OGLUM01G48230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPKPAPVKVIGTFDSPYSHRAEAALRLKGVPYELILEDLRNKSDLLLTHNPIHKKVPVLLHGDDDHRAAVCESLVVVEYVDEAFPGPPFLLPADPGLRAAARFWARFIDDKCTKPFWLALWSTDDGEVREGFAAEIKENLKLLEAQLKGRRFFGGDAIGYLDLAASGYAYWLEVLEEVAGVSLVTADEFPDLCRWAKEYAADDRIKACLPDRAKLLEHFTAMKEMFMATARSMAAK >OGLUM01G48240.1 pep chromosome:ALNU02000000:1:44986586:44986975:1 gene:OGLUM01G48240 transcript:OGLUM01G48240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELAGGHAVEVGGAGAEEEEEEEVVALSSSLSSAPGKKVGAAVEEARGLPRLVRYEVLVLVLQFLYSGQVSVAAPKSRPLRGCRARGCWHTRCGAAVDLLAAARCFGVEQRLGGCRGWCGWKAEHCFC >OGLUM01G48250.1 pep chromosome:ALNU02000000:1:44987054:44987610:-1 gene:OGLUM01G48250 transcript:OGLUM01G48250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFLSPLSHSSLLLQADRQRTRWHRWCCRGHTAEVGGAGAEEEEEEVVALSSSSSSAPGKKVGAAAAEARGFAAAGAFLYSRQASVAAPKSGQLPGCGARGCWHMRCGAAVDLALDTLAAARSFGVEQLALLVQIIKIPLPSLQFDLGICR >OGLUM01G48260.1 pep chromosome:ALNU02000000:1:44992866:44995007:1 gene:OGLUM01G48260 transcript:OGLUM01G48260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKQARKKPKDSLLGSNDGLPADHARGGAIVRTALPNYMRATSSSDARGGGREAAAATGAPPRRERGAARGKAMVLADGGAPFVSRATCSSAMRGLGRGLGLRGGAAHACPYSYCSFKCHAADADVVPLRTLVASRRRLIKTQQSMKLKGASPFRKPSNGGGAADGFFVEIYSGAAAATAPTVSSGASCSDLSTEDNDAAAREGEYAVFDHRSRGDDEDKARDSDGSVDGSCGSSDVISGGSVDLFVTKSRGGKQEIDGGKGIYLDQEAEDFDACKSDISEELDAKYEDGGVDASNGSSIDDISSAFGGMNFKDACPDPTGAASSQRKRWNIAKRTTSEQGEKMRLFNPRAPNFLPVEPDPEAEKVDLRHQMMGDRKNAEEWMVDYALRRAVNKLARAQKRKVEMLVQAFETVQQDDDKKSITLTKSSQPCR >OGLUM01G48270.1 pep chromosome:ALNU02000000:1:44996409:45000912:-1 gene:OGLUM01G48270 transcript:OGLUM01G48270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGAAATALRAVLGRAAKAAERSGRAAEAVRVVAVGKTKPVSMVRELYDAGHRCFGENYVQEFVTKAPQLPEDIRWHFIGHLQSNKVKSLLAAVPNLHMVEGVDNVKIANHLDRAVSSLGRDPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVNCKLEVCKAIDMPAEQFELSMGMSGDFEQALQVASSMAGSEDLSGLTASLGELHVESSALKDSEISHPGNAFDDDAIADDDVWDDVSDSPGHGSTLDREWAHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDNLKEKMFPNVQCRGQLQDLNNSVQEISAEDALQMFHESILRSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELTGDS >OGLUM01G48280.1 pep chromosome:ALNU02000000:1:45001080:45006842:1 gene:OGLUM01G48280 transcript:OGLUM01G48280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT3G54510) TAIR;Acc:AT3G54510] MDAEGLLASAAINLGLALVALSLFSLLKKQPGNAPVYLARRMAAGGGGGGLPLGHGRLTPSFRWIRAALRLSEDDVLRRHGLDALVVVRLFKFGLWVHFACLCFLSFYVVYLLHKEYKEMSSRRIAHLKYHRKRPDQFTILVRGIPLCPDHGTYGCYADHFFSKHYRTYQSYHIVHDIGNIEALQKLASSLEDKIKRKRETRRCNFWKWIWFKLTLEAIDTHKLEEKLKNVHHSIRLLQCENMLKRKELPVAFVSFKSQLDAAQAAEMQQHVNPLSLVTTYAPEPPDALWTNLAIPFFRIAIYKLGVFIAAFLLIVFFTIPVTAVQGIVQFEKIKIWFPPARAVELIPGLNSVVTGYLPSMILNGFIYLIPFAMLGMASFEGCIAKSQKEIKACNMVFYFLLGNVFFLSILSGSLLHQIGESFTHPKDIPSRLARAVSAQSDFFITYILTDGMSGFSLEVLQFGLLTWHFFKAHSIGHCEQPYLYGFPYYRVVPIVSLAVLIGLVYAVVAPLLLPILVIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHRYIFLSVTLMQITMLGLFGLKSKPGASFATVPLLVSTILFNEYCKVRFLPTFLRRPVQVAKENDDLNEAEGMREGDLDHAISAYKPPWMRPTNFSPDCSSVQPLIRSV >OGLUM01G48290.1 pep chromosome:ALNU02000000:1:45005611:45011805:-1 gene:OGLUM01G48290 transcript:OGLUM01G48290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13480) TAIR;Acc:AT5G13480] MMQQQQQPPPPPPPQHPPPPQAGGGGGGEFYRGPPPQPPMRQLSAASSTNIPGDYAAHQGHPPPQQNQPPYDAYGDNFGAKRMRKPVQRRTVDYTSTVVRYVQARMWQRDARDRPTVQPTPAAVLDMLPSVAYPDNPSTSFAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMIWSNNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGGKDYLVKLWDAKSGRELRSFHGHKNIVQCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHNKDVTALAWHPFHEEYFVSGSYDGAIFHWLVGHETPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATRFWCRNRPGDLTRDKYNSGQMQGYGDQHPAFAGRAMGGFQMPEPSTTPGPYNTGLSRNEGTIPGIGVAMPLDGSDHGEQRPSIPGLPPGQPPLPPGPHPSLLAGGQQQQYQQMQQQHPQFPRPPPPNMPQLQPPAHMLPHAQGSRAPLPQLPSMPGPSPVNPPLPPMPHPMAMQVQGSSNQMMPQMPQHLIGMNQTHQGPPSNMPPMGGFPNGMGNIQGGSSASGMQNFPMGGMYNRPQGQMPPQAQAQMTSIPGLSSYQPGMGNVGLPPPPPQHPPPRGSAPQ >OGLUM01G48300.1 pep chromosome:ALNU02000000:1:45013712:45017651:1 gene:OGLUM01G48300 transcript:OGLUM01G48300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDVLADSGGNAAVNMIYEAFMPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVEMGMVEFVGLIKVNVIRGTNLAVRDMMSSDPYVILNLGHQSMKTKVIKSSLNPVWNERILLSIPDPIPMLKLQVYDKDTFTTDDRMGEAEINIQPLVAAAKAYETSVVADTAQLNRWLAKEGIWIHKDSAISIIDGKVKQEVTVRLQNVERGHLEMELECVPLTQ >OGLUM01G48300.2 pep chromosome:ALNU02000000:1:45013712:45017651:1 gene:OGLUM01G48300 transcript:OGLUM01G48300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHKPVHQFVDSPKPQRSARRICGMATRIDANDHGNQQGGNPQGPVGTAERLEHLLNQPANKICADCGAPDPKWVSLTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDVLADSGGNAAVNMIYEAFMPENYMKPRQDCSSEGRNDFVRRKYELQQFLSNTQLTCYSQKSGKNHNRVQHSSSNRHGLGHAFRNSWRRKEHESKSVKKTVRLHCLKFTVPFRIYLQYLEFDILLS >OGLUM01G48310.1 pep chromosome:ALNU02000000:1:45018331:45022101:1 gene:OGLUM01G48310 transcript:OGLUM01G48310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIASLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMLMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISINPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWEAYQSSLS >OGLUM01G48310.2 pep chromosome:ALNU02000000:1:45018207:45022101:1 gene:OGLUM01G48310 transcript:OGLUM01G48310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAQESLILELHAIEAIKFGTFVLKSGITSPIYLDLRALVSHPGLLSSIASLLHTLPATRPYDLLCGVPYTALPIASVLSVHRSVPMLMRRKEAKAHGTAKSIEGAFRAGEAVLIIEDLVTSGASVLETAAPLRDQGLVVADAVVVVDREQGGRENLAANGITLHSLMTLTEVLAVLLKHGKVTEEKAREVRQFLDANRKVTVPGAAGAVKPKAVRKGFAERAGLAKNPMGKRLFEVMEAKQSNLCVAADVGTAKELLELAEKVGPEICMLKTHVDILSDFTPDFGAKLRSIAEKHNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIIPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAHGEYTAAAVKIAEQHSDFVIGFISINPASWSVAPSSPAFIHATPGVQMVSGGDALGQQYNTPHSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWEAYQSSLS >OGLUM01G48320.1 pep chromosome:ALNU02000000:1:45023601:45029317:1 gene:OGLUM01G48320 transcript:OGLUM01G48320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKHGKLGEEKALEVKRFLDANRKMAVPGLPVEPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >OGLUM01G48320.2 pep chromosome:ALNU02000000:1:45023237:45029317:1 gene:OGLUM01G48320 transcript:OGLUM01G48320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMESLILELHAIEAVKFGAFVLKSGITSPIYLDLRMLVAHPRLLSTVASLLGSLPATRPYDLLCGVPYTALPIASVLSAAASVPMLLRRYHVTPHAAAECLDGSFRAGDAVLIVEDLVTTGSSVLETVAPLREVGLVVADAVVVIDREQGGRENLAANGVALHSLMTLTEVLAVLVKHGKLGEEKALEVKRFLDANRKMAVPGLPVEPKVVRKAFSERAGLATNPMGRKLFELMEAKQSNLCVAADVGTATELLDLADKIGPEICMLKTHVDILSDFTPDFGHKLRSIAERHSFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNAHIVSGPGIVEGLKLKGLPKGRGLLLLSEMSSAGNLAHGDYTAAAVKIAEQHSDIVIGFISVNPASWSVTPSSPAFIHATPGVQLVAGGDSLGQQYNTPYSVINDRGSDIIIVGRGIIRANNPAETAREYRIQGWHAYQSSLS >OGLUM01G48330.1 pep chromosome:ALNU02000000:1:45027508:45029142:-1 gene:OGLUM01G48330 transcript:OGLUM01G48330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLAPLLLLLLLFLPALLLYLRRRPAAASRINNNHCPHPNPVLGNALPFLRNRHRFLDWATDLLAAAPTSTIEVRGALGLGSGVATANPAVVDHFLRASFPNYVKGARFAVPFEDLLGRGLFAADGLLWALQRKIASYSFSSRSLRRFSARVLRAHLHRRLVPLLDAAAGSGEAVDLQDVLGRFGFDNICNVAFGVESSTLLEGGDRRHEAFFAAFDAAVEISVARVFHPTTLVWRAMRLANVGSERRMRDAIRVIDEYVMAIVASEERLRLRRGEDEREHEQHLLSRFAASMEEEGGELAAMFGSPGAKRRFLRDVVVSFVMAGKDSTSSALTWLFWLLAANPRCERRVNEEVSSSRHADPRRADAGEDGDGDGYDELRRMHYLHAAISEAMRLYPPVPIDSRVAVAADALPDGTAVRAGWFADYSAYAMGRMPQLWGDGCREFRPERWLNDGGEFVAVDAARYPVFHAGPRACLGREMAYVQMKAVAAAVIRRFAVEPVQAPASMETPPACEVTTTLKMKGGLLVRIRKREDDAAQQKLT >OGLUM01G48340.1 pep chromosome:ALNU02000000:1:45029216:45036575:-1 gene:OGLUM01G48340 transcript:OGLUM01G48340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYLHLVLPAAAAAVVVAVVLLLSLWRRCQTTSNHRPQANPILGNLVAFLANGHRFLDWSTGLLAAAPASTMQVHGPLGLGYCGVATASPDAVEHMLRASFHNYVDKGDRVRDAFADLLGDGLFLANGRLWRLQRKLAASSFSPRLLRLFAGRVVLDQLRRRLLPFFDAAADARRVFDLQDVLKRFAFDNICSVAFGVDRDDSSPSSSSPSRLESGGDGRDDAFFAAFDDAVDISFGRILHPTTLAWKAMKLLDVGSERRLRQAIGVVDEYVTAIMESKQRCSDSEEDSDLLSRFTVAMMEEDGGNELGAMFDSPEAKRRFLRDTVKTFVLAGKDTTSSALTWLFWFLAANPECERRVYEEVTALRGDTAGDERDDGYEELKRMHYLHAAITETMRLYPPVPLASRVAAADDVLPDGTVVRAGWFADYSSYAMGRMPQLWGRDCGEFRPERWLDGGGGGGRFVAVDATRYPVFHAGPRSCLGKEMAYVQMKAVAAAVVRRFSVEVVPAAAANAPPSPPPHETAVTLRMKGGLRET >OGLUM01G48350.1 pep chromosome:ALNU02000000:1:45039401:45042458:-1 gene:OGLUM01G48350 transcript:OGLUM01G48350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YK34] MEAKIGRLVGTMGAFFSDGDNVPWCGRDIIAGCEREVAEAATEEHKNVSIMRLSWALVHSRNKDDVNRGIAMLQASLGGSKSPLEAREKLYLLAVGHYRNGDYPRSRQLLEHCLEIQPGWGQALSLKKTVEDKIAKDGVIGIGIATTAVGLLVGIAAAVARKN >OGLUM01G48360.1 pep chromosome:ALNU02000000:1:45050468:45051696:1 gene:OGLUM01G48360 transcript:OGLUM01G48360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILATFAVVFMALAATSLAGDPDMLQDVCVADYKSLKGPLRLNGFPCKRIENVTANDFFFDGLMKAGNTGNAVGSVVTAASVESLPGLNTMGVSMARIDYAPWGLNPPHTHPRATEIIFVVEGSLDVGFVTTANKLFTRTVCKGEVFVFPRGLVHFQKNNGNTPAFAIAALNSQLPGTQSIAAALFGAAPPLPSDTLARAFQVDGGMVEFIKSKFVPPKY >OGLUM01G48370.1 pep chromosome:ALNU02000000:1:45057521:45059000:1 gene:OGLUM01G48370 transcript:OGLUM01G48370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLPTVVLLASFAAVILSLAAPLLAGDPDMLQDICVADYKSLQGPLRVNGFPCKPEANVTAEDFFFPGLGKPADVYSGNPMGSAVTAATVERIPGLNTLGVSMARVDYAPWGGANPPHSHPRATEILFVADGLLEVGFVIGSRLITRVVPKGGVFVFPRGLLHYERSVGEKPAVAISAFDSQLPGTQAVGDALFGSSSPAVPTDVLARAFQVDGGVVENIKSKFQHK >OGLUM01G48380.1 pep chromosome:ALNU02000000:1:45059323:45065168:1 gene:OGLUM01G48380 transcript:OGLUM01G48380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G54460) TAIR;Acc:AT3G54460] MGGGDEEEVAGAVRRKLGGYLRAVVSVSSDVGVEALAPLSPCSLFACGGVSLAPIPDGGGATPRSKGRACGGGGVVRKLRALVSSRCVEVEGRVLRAVARRGREGGGGDGEVEARAVVLFDVYLPVSVWSGWQFPRSRAAAAAAAAVFKHVSCNWDARNALLAFNWTSPDNPHCDDQYIWNCTDCHVLGSEVHQIPSVLNNEKSFDLHEIFKTLPSVMVEKRMQITRITPDEAASGMGIWSVPDDVLYKVLVRLKPRDLIRVAAACHHLRNLSASIMPCMKLKLFPHQEAAVEWMLKREQNLQVLEHPLYKGLCTMDGFPYYINVTSGEISTGSAPTVHDFCGGMFCDEPGLGKTVTALSLILKTHGTLAVPPPGMNVMWCMHKPDKKYGYYELSASNSSNGNIFLSGSKKLRKDVIREDPCSSESLNNGGSVVSTRSSRKRGRLVNPDLNMIAAHPSGKSPMSAPTGAHSTPATHVLKITKNLKHVRKNLMKAYSDGSVGNKRKRDATSELSETWVQCDACRKWRRLLDGTALDSSTAWFCSMNPDSARQKCSIPEESWDLKRKITYLPGFHKKGTPPGNEQNASFFTNILKEHAALIDSETMKALLWLAKLSPKKHIEMEAVGLTRPVLDARANIGKGARPYYKIFQAFGLVRKVEKGITRWYYPSMLDDLAFDSAALGIALEKPLDLVRLYLSRATLIVVPANLIDHWTTQIQRHVSSDTLNVYVWGDHKKPSAHNLAWDYDIVITPFSRLSAEWGPKKRSVLKQIHWFRVILDEGHTLGSSLALTNKLQMAVSLVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHEEVYGQNYQSWDTGIHRPFEAQMEDGRSRLLQLLQRTMISARKQDLKNIPPCIKKITFLDFSEGHAKSYNELAVTIRRNILMADWNDPSHVESLLNPKQWKFRTTTIKNVRLSSCVAGHIKVAEAGQDIQETMDALMQLGLDPSSGEYQSIRYALLNGASCFRCRDWCRLPVVTPCRHLLCLDCVALDSEKCTLPGCGNHYEMQTPETRARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTTSSKVAYLVNKLRSLKAENIKHGYSRNMTNGACLSSQSSCQDHNNVEGRLPHTMPDKVIIFSQFLEHIHVIEQQLTIGGITYAGMYSPMPLGSKRSSLTKFKDDPACMALVMDGTAALGLDLSFVSYVFLMEPIWDRSMEEQVISRAHRMGATRPIFVETLAMRGTIEEQMLKLLQDSNACRQMVNKGTSSTDNEGGRPHRSLHDFAESSYLAQLSFVKGSDAAEKL >OGLUM01G48390.1 pep chromosome:ALNU02000000:1:45065259:45072391:-1 gene:OGLUM01G48390 transcript:OGLUM01G48390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELEIRSNTQLSRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSVGEQISNGPNGLLASNGPSSVRIKAGHPEVGKNGSRLPEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRTRCQSNIWTCLKSKHWASTAVCVDADDLTTSQPRAFIAGDRLEAAKEMSYRGGELNFIYLLS >OGLUM01G48390.2 pep chromosome:ALNU02000000:1:45065774:45072391:-1 gene:OGLUM01G48390 transcript:OGLUM01G48390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLAGGRAGPPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSQVSRIVPGQRTRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDIISSGATSPRTYTRRSSPLSSPFSSNDSVHKDGSDHYRLRSPFGSPPKNALDKAFSDVVLYAVPPKGFFPSDSNAGSVHSMSSGHSDNTNGHPRGIPMDAFRVSYSSAISSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGSSRVGSSSSAKMDYLVPKPLEFAVRLDVQNISCGGRHAALVTKQGEIYSWGEESGGRLGHGVDCDVAQPKLIDSLAHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVDGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRASLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDSRFVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGLLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDKNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGSDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDTNSSTKRGTVVQGFSETNEEELEIRSNTQLSRLSSMESFKNMDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRTSPPRSTTPTPTLGGLTSPRVIANDAKPTNDSLSHEVLNLRSQVENLTRKSHLLEVELERTTKQLKEAIVIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGVTKNSKLPPLSGFPMPSELSSMATESLGSPSSVGEQISNGPNGLLASNGPSSVRIKAGHPEVGKNGSRLPEAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRTRVYQHYNVRMVEKSASSIDNEIASR >OGLUM01G48400.1 pep chromosome:ALNU02000000:1:45091502:45095860:1 gene:OGLUM01G48400 transcript:OGLUM01G48400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVDAESRFHVLAVDDSLIDRKLIEMLLKNSSYQVTTVDSGSKALELLGLRDEGDDSSSSPSSSSPDHQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKQQLPMAAAAPDKPPHKPDEAAASAAAIAEAATAQTDGIISDCSCSGSSKRKAAAMEQEVISSPDQRTKPRLSSTSSGLAVET >OGLUM01G48410.1 pep chromosome:ALNU02000000:1:45097134:45105474:1 gene:OGLUM01G48410 transcript:OGLUM01G48410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT3G54440) TAIR;Acc:AT3G54440] MVTARWGPDSAVSSTFGTLVCLIHPNSIGSSRSLASPSPRPPPSPISIRRASLPPPSCCCFRRRRGGICACEKSEERGYKSLTIMAVASASALFSAKNLPHKPWEDPSFFRWRKREAHVPLRSHDTPEGALKYWHERRNVNYLNSDSAVWNDDAVRGALESAAFWSKGLPYVQTLIPSNWQMHGFDRPIYTNVTYPFTMNPPFVPNDNPTGCYRTVFRIPKEWKGRRILLHFEAVDSAFFAWVNGVPVGYSQDSRLPAEFEITDFCHPCDSEKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLVSKPQIFITDYFFKATLDEGFRVADIEVEVEIDSQKQDREHVSTLSIEATLYDNYGPADVLTSDMSAASVANLKLKPASRPKHCYGFHGYVLGGKVENPKLWSSEHPNLYTLVVVLKDSNGKLIECESCQVGIRNVVLAHKQMLVNGCPVVIRGVNRHEHHPRVGKTNLEACMIKDLVLMRQNNINAVRNSHYPQHPRWYELCDNFGLYVIDEANIETHGFDESSHFKHPTLEPFWASAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHSAMSGWIRGKDPTRPIHYEGGGSRTSSTDIVCPMYMRVWDILKIAQDPSENRPLILCEYSHAMGNSNGNIDAYWMAIDNTVGLQGGFIWDWVDQGLLKEDADGSKNWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKITMMDNMLKIENVHFFETTEALDFSWLLQGDGCALGSGSLNVPSIAPQSTHLINMESSPWFTIWSTCAVKEIFLSINVKLRYQTQWAKDGHILASAQICLPPKKGFVPHAIALSRSSLVSERVGDHVLISKSNAWQIKVNSISGTIDSWKVNNIELMSKGIHPCFWRTPTDNDKGGFYTKPYASRWREASLDNISFYSSQFSLKELPDQTVEISTIYYGLPGNQPKPDETSLSDESESVLFRVQMRGRIYDSGDVILDYEVSPKNDLPPLPRVGVVFNADKSLSRAKWYGRGPFECYPDRKAAAHVGVYESGVDDLHVPYIVPGECGGRADVRWVALQDADGFGLFASAYGESPPMQVSASYYGAAELDRATHNHKLVKGDDIEVHLDHKHMGLGGDDSWSPCVHEQYLLPPARYAFSVRLCPLLPSSSCHDIYHSQLPC >OGLUM01G48420.1 pep chromosome:ALNU02000000:1:45106320:45112330:1 gene:OGLUM01G48420 transcript:OGLUM01G48420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase family [Source:Projected from Arabidopsis thaliana (AT3G55850) TAIR;Acc:AT3G55850] MSTRSAFLAAGVAIAAAIFLLRPASDLSRDLGEAVADMILVNATIYTADPAMPFAEAMAELKGRHTMELNLSGNVVLPGFIDSHVHFIDGGLQVWLSRMDGHMGIANSLAMRMAGIDKNTNNPVGGTIMRTTEGEPTGLLVDAAMKLVFDVIPEVSIHERRDALLRASRHALMRGVTTVVDVGSYFPGKSEKQVWQDFTDIYEWAHSVETMIMRVCLFFPMPTWSRVYDLIHEKGRMLSQWIHLGGVKAFLDGSLGSSSALFYEHYKDDPRSYGLQLVDMDYLLNTTLELDKSGLQIAIHAIGDKANDMLLDMYEKVVDLNGMKDHRFRIEHAQHLAPGAAKRFGKHGIIASVQPDHILDDANSAGKKIGIERAERSSYSFRSLLDGGAHLAFGSDWPVSDINPLQAIRTAVSRKPVGWEVPWIPAERLSLDDSLKAHTISAAYACFLDHVLGSLSEGKYADFVILPCTSWDEFASDIPDHVLATYVNGKAGLSMIPLGDRLTVL >OGLUM01G48430.1 pep chromosome:ALNU02000000:1:45117887:45118543:1 gene:OGLUM01G48430 transcript:OGLUM01G48430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGLSPCMHLPATAAAGVKLVYWGGQTRMLDDDGGVCAVAGDVTAELPGDHVVCAADSFYVGLPIPVVSAGEELMAGRTYFVLPAERFSCFKVLTAAALASLSPAPSKKVSVAGPGQCPFEYVKGEGGATLIRVLPEFIEKVISCDENGGAHRRRKPSTTATATATELCSTPELKRHYALLMGRRNQPWSPRLETISECNKTRKLLLRTPARLLSSR >OGLUM01G48440.1 pep chromosome:ALNU02000000:1:45118593:45123741:-1 gene:OGLUM01G48440 transcript:OGLUM01G48440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVLESYN >OGLUM01G48440.2 pep chromosome:ALNU02000000:1:45120114:45123741:-1 gene:OGLUM01G48440 transcript:OGLUM01G48440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVK >OGLUM01G48440.3 pep chromosome:ALNU02000000:1:45121562:45123753:-1 gene:OGLUM01G48440 transcript:OGLUM01G48440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >OGLUM01G48440.4 pep chromosome:ALNU02000000:1:45121562:45123741:-1 gene:OGLUM01G48440 transcript:OGLUM01G48440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL >OGLUM01G48450.1 pep chromosome:ALNU02000000:1:45128097:45130382:1 gene:OGLUM01G48450 transcript:OGLUM01G48450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDEPTTCQRVNGDLPPLESSSASASDSGQSRAAAAAADDERRGGQMAGLRRLWGEWEIRVLLLSSLSLQVFLLFTGGLRKRNVAAWLHFMLWLAYLLADSIAIYALGNLSQNQKLCSNGPHGGGDMHLLVFWAPFLILHLGGQDTITAFAIEDNELWLRHLLSLVSQIALALYVYWKSRPSAAGLLVPAILMFVSGVVKYGERTWALKSASMSSLRSSMLTRPDPGPNYAKFMEEYHSSKEAGLHAEIVIVPERPPDDNIHVQEEHMEYGELVVKAHRFFHTFRRLFVDLILSFQDRTDSLAFFRRLQRDQAYKVVEIELLLMYESLHSKSSVIHGPTGRYLRIFTLAAPVLSLIVFSGTDKAPYKPVDVAVSYVLLGGAIFLEIYAILLMAISPWSFADLRKKDKCLPVASGVFRAVSYFLPEARPRWSNQMAQYNLIHYCLKDKPTWLTGALEKLEWDYNIRVKTIWDSVWYTHHIGVSMVLKQLVFKQLKEKANSTADPMSYRRFGDHRGQWFLHRMGCYQELGASVEVEFDESIILWHIATDLCFYDDDDDDGRDAGERKLKRWSSCCFCSCSDHAPTADDSHLNDVSHLPAASREISNYMLFLLVMRPFMLTASIGQIRFGDTCAETKNFFLRGDELGAARKAAEALTKVKTEINPREVKGDRSKSVLFDACRLAEQLRRLERRKRWRMVAGVWVEMLCYAAGKCRGNFHAKQLSQGGELLTVVWLLMAHFGMGDQYRVEAGHARAKLIIEN >OGLUM01G48460.1 pep chromosome:ALNU02000000:1:45131596:45132789:-1 gene:OGLUM01G48460 transcript:OGLUM01G48460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G12300) TAIR;Acc:AT5G12300] MESARFVYELDHGGEGEEQEEEEACFLDIYVHGARGIHNICIYAAQDVYAKLALTSSPDDAPALATRVAAGGGANPRFDERLPPLRVRRARLGTDVLKCEIWMRSCAKRLLDDQLLGFALVPLAAVAAADGARLAQDFSLSSTDLFHSPAGTIRLSLALHSGPPGDACPPPERAAAEPSITSEVVILEPAPPVDYARIEFPDLNAVKENDDMAVQYLPFLHLGDARAMDDDDCEMATSPREAKPASSDDGSKNASTSTTTAVSDDNRAVTRKARPDADDAATAPMSCRSPDTPTSNGGKPSSAAKEKGAAEDVFDSPPALRDIDMEAEQSAMQRQIMEMYMKSMQQFTESLSKMKLPMELDGDNGVVVQKEEKPEAEVKLRPKKDGARVFYGSRAFF >OGLUM01G48470.1 pep chromosome:ALNU02000000:1:45137669:45139852:-1 gene:OGLUM01G48470 transcript:OGLUM01G48470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAARPVIRVAAICGSLRKASYNGGLLRAAAEVCEESIPGLRVDHVDISGLPLLNTDLETADGGFPPAVEAFRDKVRQADCFLFGSPEYNYSIATPLKNALDWASRGQNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELAVKAFEQPPKFDSDGNLIDAQIRERIKQVLLSLQAFTLRLQKKD >OGLUM01G48480.1 pep chromosome:ALNU02000000:1:45141846:45145282:-1 gene:OGLUM01G48480 transcript:OGLUM01G48480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQASKSPPQSWVPEGSRSVTGSNQPEPLSVLMPLAAPGENSKAKAKTKTKAKAKIAPRKNTKDSAATTPLAQVKQKGNFIPVSPDSPAMSTRSKRKSLNFN >OGLUM01G48490.1 pep chromosome:ALNU02000000:1:45150856:45151641:1 gene:OGLUM01G48490 transcript:OGLUM01G48490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSSRAELIEASPALDLPSPPRKPRGRPLGSKNKPKPPVVVTRESEAAMRPVVLELGAGCEVAAAVAAFARRRRVGVSVLCGRGTVAAVTLRLPTSPPAAVKLHGRFEVLSLSGTVLPSAAGEGAAPPRFSVSLAGAGGQVIGGTLAGEMTAADGLVVVAATFGSAEVHRLPADEDDEATGSRGGEERRHPQQQQPQTVAATSAVDVGLLGYGGGVGVAGGASGGQVGRHQQQQQAEMVLWAQAPGSVGPAHPATSRY >OGLUM01G48500.1 pep chromosome:ALNU02000000:1:45160397:45162728:1 gene:OGLUM01G48500 transcript:OGLUM01G48500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAILFPKMEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDISDLPLLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKEMLLSLQAFALRLQGKPANSKHAA >OGLUM01G48500.2 pep chromosome:ALNU02000000:1:45160224:45162728:1 gene:OGLUM01G48500 transcript:OGLUM01G48500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSPKALRVAAISGSLRRGSANTGLIRAAKEICEESIPGMVIDHVDISDLPLLNTDMEVDGGFPPAVEAFRASVRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWADRAAAIVSASGGSGGSRSMYHIRQVGVFLDIHFINKPEVFIKAHQPPKKFDSDGNLIDPEIKEELKEMLLSLQAFALRLQGKPANSKHAA >OGLUM01G48510.1 pep chromosome:ALNU02000000:1:45163560:45163820:-1 gene:OGLUM01G48510 transcript:OGLUM01G48510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRRQPSRSLPLDFNVDMDDEPAAAAKGTAAHVDGGGGQPGRRNTTDDAKSQEAGNNGKKLPPSSATTGGGRPVASSEETGKKK >OGLUM01G48520.1 pep chromosome:ALNU02000000:1:45167852:45170532:1 gene:OGLUM01G48520 transcript:OGLUM01G48520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASASSSSSSSSSAESVPLPTTTLPIDKAAAVGGGGDRAVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPQCPQCKHPFDFLSVHRSLDGCIHDYMFEESVCLLLRATWFEPLIVEAHEEALDEEELYHIYQYDDGVEDDLDEEAYYMSRSPSIRIGNRRWGDNGYVRGGRKEARPVSRQSLNDTDAGPSRTPKKKDVSASGSGSVSKEVAGRRAKRALKREAADKAAAEKHLKHLQRLGLRKAPEATAEATPEVEPQLNE >OGLUM01G48530.1 pep chromosome:ALNU02000000:1:45180111:45181220:-1 gene:OGLUM01G48530 transcript:OGLUM01G48530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGMVVVTPAASFHHTHHHHHHHEAAAAAAAAAAAAADPIFPLLSAGPCVLDPDKSAASGSAIQFWQPPPQPPSSAAGGNPNPSSSAFPYLKKPLPMLDTGGGSSGSGGAATCQDCGNQAKKDCGHQRCRTCCKSRGFDCSTHVKSTWVPAARRRERQQLTGSASSPPATASAAAASKKPRLLTSQTTTSHTSTSNATTPRSFDTTSSHQDASFRESLPRQVRAPAVFRCVRVTSIDDGEDEYAYQATVTINGHVFKGFLYDQGVDDGRGLAATSNDDSTAGGVPNISELHLGGASISGNAMREGGSSMVHSDLYGGGGGSGGGPHILGGSSYGNTMN >OGLUM01G48540.1 pep chromosome:ALNU02000000:1:45218659:45219996:-1 gene:OGLUM01G48540 transcript:OGLUM01G48540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALPLLAFLSIFLTPTTAVSSSTLQLARSHSVTPNAGAPLSAWAASVAAQSAADTARIVSMLTSGAGPLTTRAKPKPKNRANPPVPIAPGRQILSIPNYIARAGLGTPAQTLLVAIDPSNDAAWVPCSACAGCAASSPSFSPMQSSTYRTVPCGSPQCAQVPSPSCPAGVGSSCGFNLTYAASTFQAVLGQDSLALENNVVVSYTFGCLRVVSGNSVPPQGLIGFGRGPLSFLSQTKDTYGSVFSYCLPNYRSSNFSGTLKLGPIGQPKRIKTTPLLYNPHRPSLYYINMIGIRVGSKVVQVPQSALAFNPVTGSGTIIDAGTMFTRLAAPVYAAVRDAFRGRVRTPVAPPLGGFDTCYNVTVSVPTVTFMFAGAVAVTLPEENVMIHSSSGGVACLAMAAGPSDGVNAALNVLASMQQQNQRVLFDVANGRVGFSRELCTA >OGLUM01G48550.1 pep chromosome:ALNU02000000:1:45222972:45227182:-1 gene:OGLUM01G48550 transcript:OGLUM01G48550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific phospholipase C2 [Source:Projected from Arabidopsis thaliana (AT2G26870) UniProtKB/Swiss-Prot;Acc:O81020] MAVRRRRPGPVAAAVLLLLAVATQAAASPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGGEWNPTNASDPSSGRVYFGEGAEYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGDNMTAAVMNGFSPDSVAVYRELVGEFAVFDRWFASVPSSTQPNRLFVHSATSGGATSNNPELLAKGYPQRTIFDNVHDAGLSFGVYYQDVPAVLFYRNLRKLKYLTKFHPFHGAFRDHAARGSLPNYAVVEQHYMDSKSHPANDDHPSHDVFQGQMLVKEVYETLRASPQWNQTLMVVTYDEHGGFYDHVPTPVTGVPSPDGIVGPPPYNFAFDRLGVRVPAIVISPWINKGTVVHGPNGSPTATSEYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQGRTEPRTDCPEQLPMPMRIRLTEANEEAKLSEFQQELVQLASVLNGDHQLSSLQDTIRDRMNVREGIAYMRGAVKRFFEAGMSAKRMGVDDEQIVKMRPSLTTRTSPAIEQP >OGLUM01G48560.1 pep chromosome:ALNU02000000:1:45233254:45233709:-1 gene:OGLUM01G48560 transcript:OGLUM01G48560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAASPCESSALFAAFDHDGDGRISAAELRLCMKATLGEEVSDEEAGQLVASVDADGDGLLCEAEFVRLVQAAEVEEEDERRGTGLREAFGMYEMEGEGCITPTSLRRMLRRLGSHQDIDDCRAMICRFDLNGDGVLSFDEFKIMMNA >OGLUM01G48570.1 pep chromosome:ALNU02000000:1:45237478:45237933:-1 gene:OGLUM01G48570 transcript:OGLUM01G48570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASDEFRRVFGSFDQDGDGKISATELRLCVKASLGEDMPDEEVQALMALADTDGDGLLDEEEFVRLVTEMEADGDEEEDDDDETCRCLREAFAMYEMEGRGCITPLSLKLMLSKLGTHLDVAECQAMICRFDMNGDGVLTFDEFKTMMMA >OGLUM01G48580.1 pep chromosome:ALNU02000000:1:45238915:45239355:1 gene:OGLUM01G48580 transcript:OGLUM01G48580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATAEFRRVFSAFDRDADGKISAAELRLCMKAALGEDMSAEEAEALVSSADTDGDGLLDEEEFTKLAVQLEMGDEEERCRGLMEAFRMYEMEGEGRITPASLKRMLSKLGSHQGIEECQTMICRFDLDGDGVISFEEFKIMMDA >OGLUM01G48590.1 pep chromosome:ALNU02000000:1:45240530:45240709:1 gene:OGLUM01G48590 transcript:OGLUM01G48590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGLVVGSPFCALAAKRVILPGPRLPFRRCRDGRCHLRLRRFSLLHVFKTKQHCSS >OGLUM01G48600.1 pep chromosome:ALNU02000000:1:45241632:45243253:1 gene:OGLUM01G48600 transcript:OGLUM01G48600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNPEARKDENRIQTALESEKDQSPRQAVVKSEKDQSQAAVKSEKADTEKLIQFMEKNYEKYVANVDSFEDFYHAIVELIDCTHIVNKFAIFRKFCEERGQVQYKIPSKKTLKEAYEKHHTEQGQLKREEFIKIGKEVIRRDSFTLGKATMDFIMYLFGAPLCALAAKRILPGLRWISDDVAIPLATSASVAYLIRTKQL >OGLUM01G48610.1 pep chromosome:ALNU02000000:1:45244226:45254128:1 gene:OGLUM01G48610 transcript:OGLUM01G48610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTAPPVSCRPPTARGRLLRLLPANGPAAAMELLPTVRRREAVRAAHVRRIEAAAWLGARRATRREDAAARCAAAGEVVGSAAGVGRSAGMEVAIATAAVVAMGTGNRVLYKLALVPLRDYPFFLAQLATFGYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALSAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAVFLDGTRTREKRRSIITTGMKSKNGGGGGSGGNTNGSHRRITAAAAINIIRTLLSILASPAAVDWTASSGRRLTGCSTLRPSYYIYGQQPVHFESSGKSEMPSTCGSLLWEVEDSGGPRGCGREAMTPTSIG >OGLUM01G48610.2 pep chromosome:ALNU02000000:1:45245429:45254100:1 gene:OGLUM01G48610 transcript:OGLUM01G48610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWCWGSTYVVVYFSILYLRHQAGIVTDEMLSLPQKPFLAVGLLEALSAASGMAAGAVLSGASIPILSQTYLVWQLLLSAIFLKRRYRINEITGCFLVTVGVIITVASGSSAGASLKGTGILWPLLMIISFFLQAADTVLKEIIFLNAAKKLKGGSVDLFVVNSYGSAYQLWGVPFHQLPTYIRDGTACFLNMGSLSSGCEGAPLLPLLFVLVNMGFNISLLHLLKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAVVLTAGLLLYSFPQAQKNPGNPSPAGIPS >OGLUM01G48610.3 pep chromosome:ALNU02000000:1:45253137:45254128:1 gene:OGLUM01G48610 transcript:OGLUM01G48610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQFLDGTRTREKRRSIITTGMKSKNGGGGGSGGNTNGSHRRITAAAAINIIRTLLSILASPAAVDWTASSGRRLTGCSTLRPSYYIYGQQPVHFESSGKSEMPSTCGSLLWEVEDSGGPRGCGREAMTPTSIG >OGLUM01G48620.1 pep chromosome:ALNU02000000:1:45269412:45269618:-1 gene:OGLUM01G48620 transcript:OGLUM01G48620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLLAAAAVLLLLLPLLLPPLPPPPSLLLLVPVVLLLALLSLAFLPNRDVVVYGQQPAADQFFFRQ >OGLUM01G48630.1 pep chromosome:ALNU02000000:1:45300221:45301210:-1 gene:OGLUM01G48630 transcript:OGLUM01G48630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08315) TAIR;Acc:AT1G08315] MEVKLHAARSLVGRLRGAAAVHDGAATAVAIAEIRHATKDDPDIRAPLADAGAVPFLAAQLTAPSAASEDAAAALLNISISARGQLMSAPGLLDALTAALRADEYCAAHHAAATVYSLLCVEANRPVVGARRPLLAALVSLLRAAPNTRATKDALKALFAVALHPPNRATLVGLGAVQALFALIMTDGRSGIMEDATAVVAQVAGCAESLDAFTRMSGLRILVDLVEQGGASTPRTRENAAAALLNLVVAGGERAVAEVIAVGGAEDAVRELAEDSTASARGKGKAESLLQALECGGARRREHRLADFLNGLVQSDPYISSPASASTHG >OGLUM01G48640.1 pep chromosome:ALNU02000000:1:45316894:45319686:1 gene:OGLUM01G48640 transcript:OGLUM01G48640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENIGKLDNTIKNLEVRKNEIQIRLRISEGKQETCNPEVTEWLQKVAAMETEVNEIKNVQRKRKQSFSYWSKYEIGMQAAKKLKEAEMLHEKGAFKEVSFEVPPYFVQEVPTIPSTEETECNLKEVLQYLKDDNVGILGIWGMGGVGKTTLLRKINNHFLGVTKENYGFDLVVYVVASTASGIGQLQADIAERIGLFLKPGCSINIRASFLLSFLRRKKFLLLIDDLWGYLDLAEAGIPYPNGLNKQKVVLATRSESVCGHMGAHKTIFMECLDQEKAWRLFKEKATEEVINSDVRIESLAKEVAEECGGLPLALATLGRAMSTKRTRHEWALALSYLKKSRIHEIPNMGNTSHIYTRLKLSYDYLQDKQIKECFLCCSLWPEGYSIWKVALIDCWMGMGLIEYDTIEEAYDKGHSIIEYLKNACLLEAGYLEDREVRIHDIIRDMALSISSGCVDQSMNWIVQAGVGIHNIGSRDIEKWRSARKISLMCNYISELPHAISCYNLQYLSLQQNFWLNVIPPSLFKCLSSVTYLDLSWIPIKELPEEIGALVELQCLKLNQTLIKSLPVAIGQLTKLKYLNLSYMDFLEKIPYGVIPNLSKLQVLDLYGSRYAGCEEGFHSRSHMDYDEFRIEELSCLTRELKALGITIKKVSTLKKLLDIHGSHMRLLGLYKLSGETSLALTIPDSVLVLNITDCSELKEFSVTNKPQCYGDHLPRLEFLTFWDLPRLEKISMGHIQNLRVLYVGKAHQLMDMSCILKLPHLEQLDVSFCNKMKQLVHIKNKINTEVQDEMPIQGFQRLRILQLNSLPSLENFCNFSLDLPSLEYFDVFACPKLRRLPFGHAIVKLKSVMGEKTWWDNLKWDDENSPLLLFPFFKASETRIASLRPELDTSVASSPKAFFTKRQPYLSSSIRYTSFLKSMFEAEEFSSL >OGLUM01G48650.1 pep chromosome:ALNU02000000:1:45325709:45331886:1 gene:OGLUM01G48650 transcript:OGLUM01G48650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKKLASSEGIQFARSDSRFRSLSPAPIPIPTAPSFRSLVDPVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLEMPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >OGLUM01G48650.2 pep chromosome:ALNU02000000:1:45325709:45331886:1 gene:OGLUM01G48650 transcript:OGLUM01G48650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDELPHKVSDERVNHDTVTSHESEIGSGSISTVSSTVSSVESEKAAYEFLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAEEWRRKYELEMAHKQQRKIKGYGSCANNELEKLASQLTLEMPASDQAGCCGNHGICSHEVLQDESPGPNPRSSHKLVSRKASFRLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESSPQTVLFITKPNSNSVHVLCAEMVRWLKEHKKINVVVEPRVSKELLTEDSYYNFIQTWDDDEEKKMLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELETEEPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPHNSRGQAWASFDGKDRKLLSPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPRD >OGLUM01G48660.1 pep chromosome:ALNU02000000:1:45332455:45336271:1 gene:OGLUM01G48660 transcript:OGLUM01G48660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G28040) TAIR;Acc:AT3G28040] MATPIALLLFVLVVAAAADSTMPMPVNEEVLGLVVFKSALSDPSGALATWTESDATPCGWAHVECDPATSRVLRLALDGLGLSGRMPRGLDRLAALQSLSVARNNLSGELPPGLSLLASLRSIDLSYNAFSGPLPGDVPLLASLRYLDLTGNAFSGPLPATFPATVRFLMLSGNQFSGPLPQGLSKSSFLLHLNLSGNQLSGSPDFGGALWPLSRLRALDLSRNQFSGTVTTGIANLHNLKTIDLSGNRFFGAVPSDIGLCPHLSTVDISSNAFDGQLPDSIAHLGSLVYFAASGNRFSGDVPAWVGDLAALQHLDFSDNALTGRLPDSLGKLKDLRYLSMSENQLSGAIPDAMSGCTKLAELHLRANNLSGSIPDALFDVGLETLDMSSNALSGVLPSGSTKLAETLQWLDLSVNQLTGGIPAEMALFMNLRYLNLSRNDLRTQLPPELGLLRNLTVLDLRSSGLYGTMPSDLCEAGSLAVLQLDGNSLAGPIPDNIGNCSSLYLLSLGHNSLTGPIPVGMSELKKLEILRLEYNNLSGEIPQQLGGIESLLAVNVSHNRLVGRLPASGVFQSLDASALEGNLGICSPLVTQPCRMNVAKPLVLDPNEYPHGGDGDNNLETSGRGPASPRKRRFLSVSAMVAICAAVFIILGVIVITLLNMSARRRAGDGGTSTPEKELESIVSSSTKSSKLATGKMVTFGPGNSLRSEDFVGGADALLSKATEIGRGVFGTVYRASVGEGRVVAIKKLATASIVESRDDFDREVRILGKARHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGNGNGDGAFPPLTWAERFRIVAGTARGLAHLHQSFRPPMIHYNVKPSNILLDEQCNPMVGDFGLARLLPKLDKHVMSSRFQGGMGYVAPELACQSLRINEKCDIYGFGVLILELVTGRRAVEYGDDDVVILIDQVRVLLDHGGGSNVLECVDPTIGDFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVAASSARIEAF >OGLUM01G48670.1 pep chromosome:ALNU02000000:1:45338522:45344839:1 gene:OGLUM01G48670 transcript:OGLUM01G48670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFHSAMPSSSSSLSGVSSQSPLLHLRPQLHHHASRLSALPFSRALPPPLLRLRIPRPLLPTPPLAFSHGGGGDNDDDDNNNGGGDGEGDGGDGDVPDNRREALFVLAQLGRKLESLPSDLAAAVEGGRVTGEIVRRFAEMEGSALLRWLLQFQGFRERLLADDLFLAKLAMECGVGVIAKTAAEYEKRRENFVKEIDIVIADVVMAIVADFMLVYLPAPTVSLQPPLATNAGHIANFFHNCPDNAFQIALAGRSYSLLQRLGAILRNGAKLFTVGTSASLIGTGVTNALIKARKAVDKELDDEVEDIPVLSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPILHNHKLLLSALCFAVRTGNTFLGSLLWVDYARWDYHAFYFHLWGLLTALCRSLLMYCLIKDSGGERQERARVVGGWAPWSRSRGRLVASLDFFFASRSHAARLVDLVTSLSPALVVASKQLASASHDTRHTFAVELCPVCRDDLVFLPKEASRDLGGLGPIVLCVKVTNALALLDTSTLRVVHLGIKEYDRCRLEPALTSRQLVEYVVLDVDHEPAAGDGVAYAQVARASDLGKNDTIFTVRTHLGHVLNAGDHALGYDLYGANVNNHDVESHGLPDAVLVKKIYEKGSRRKLQDGGGWKRDGDEMEEIAMGIGCIDLNPPDEKELAELLEDLTI >OGLUM01G48680.1 pep chromosome:ALNU02000000:1:45346514:45347746:1 gene:OGLUM01G48680 transcript:OGLUM01G48680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDWSMLRSDPIIRIGDCLLAADDFDCYDNLRLVCRMWRSGTNDPRTADFEDARFLPKKLAMLELDVNPGDHRAAAVATFVNLDTGRFLRKRVPGLRGCFLVAVTSAALVVLSDAAPPHGTRVLNPFTGKEEVREVAVTTSPLMVFVSWYQGRSVRWVDQDTEGFPDVMVYFPDNFMNLTPFAGEVYVTNRGSIVSTVLLTDDDEEEEEEGEQQQGVRSQPRAADTIEMIPIIPVPPPAVKLYAYFHHLVESAGELLLVSVLWRVHMVHKVDTVNKVFVPVRSLGNRSLFVSQARSFSVDADKFPTVEAGCVYVVEPGPATYERFHLADGRLEEAIPMVNRRRAAEGESCVLPLTLEQVMVTYCVDTENYSELEIALDTDDDEEFFLPEAQGHGSN >OGLUM01G48690.1 pep chromosome:ALNU02000000:1:45348481:45348666:-1 gene:OGLUM01G48690 transcript:OGLUM01G48690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVASWLASRCACAYADETTAENGAGVLSSAAATTLQLQPCSTCSAKLRRCRRVRHGY >OGLUM01G48700.1 pep chromosome:ALNU02000000:1:45349879:45350361:1 gene:OGLUM01G48700 transcript:OGLUM01G48700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGHRQHGLTARLAAAPRPACAVELLSPRRARSFRRVREAEAARLVRAVAASSPAWPLVNVVGGEHVAAMMTAVGGRCPRQEEYLEELGKVAKLAAGFNLVDLFPESRLVRAAQAAHEKIHSIMDAMVQDHLKAMGGAQRGSRRWRRRRRRRRRRREG >OGLUM01G48710.1 pep chromosome:ALNU02000000:1:45356447:45358381:1 gene:OGLUM01G48710 transcript:OGLUM01G48710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLAGIGDTAAFCTLLCLLLTLVVFKLKTATSSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRELSRRYGPVMLLRLGHVQTLVLSSPEAAREVMKTHDAAFATRAVTPTASILTYGARDIVFAPFSKHLRELRKLCTLELLSPRRVRSFRHVRDEEAARLARSVAAAAPAVVNVSEVVKIMANNIIMTAIIGDTCPQREEYLEALDKTMDLLNGFNLVDLFPGSRVARVLGARSLRETKRVHQKLHQITDTIIQGHGIKDDDSVGDGNIRETVGAHHHMHGHGHKCEDILDVLLRFHRDGGLGITLTKEIVSAVLFVRSFRCWLRDYIYNNNLGHVRTRKDSPGDGASTI >OGLUM01G48720.1 pep chromosome:ALNU02000000:1:45361716:45369058:1 gene:OGLUM01G48720 transcript:OGLUM01G48720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIMDSSTASYYTTLLCGALLLATVVFKLKKATASSRHNAGVNLPPGPWALPVIGSIHCLLGSLPHHAMRDLSRRHGPVVLLRLGHVHTLVLSSPEAAREVMKTHDVAFANRAVTPTASVISYGGRDIVFAPFGKHLRELRKLCALELLSPRRVRSFRHVREEEAARLARSVAAAASSASASSAVNVSELVKIMTNDITMRAIIGDRCPQREEYLEALDKAMDLLAGFNLVDLFPGSPLARVLGGRSLRTTKRVHQKLHQITDAIIQSHGIKDTVGDDIGAHHECEDILDDLFAGGSETTSTTILWAMSELMRSHRVMEQAKYEIRQVLQGKTMVSEADIEGRLHYLQLVIKETLRLHPPVPIVIPRLCSKPNSKIMGYDIPQGTSVLVNVSAIGRDEKIWKDANEFRPDRFKDDIVDFSGTDFRFIPGGSGRRMCPGLTFGVFNIEIALDHVMDMESKGRAVAGDDADGGGASERESTFSMSCCVFRKMGAWRQPLLRRLSLCDLALVHMNINQAKDEKFFSAGYETTTTATIWAISELINSPRAMEKAQSDIRKILGGKSIVEEANIEGQLHYFQMTIRLHPPVPLLLPRLWSEPCKIMGYDIPQETTIFVNAWTLGRNKKHWIDASEFRPERFEDGIVDFNGLDFRFLPCGAGRRICPGLMFELFDIELTLVNLLYHFNWRLPTEAYSNKLDMTEAHGITMHSRIDIWLEATPYSFCS >OGLUM01G48730.1 pep chromosome:ALNU02000000:1:45369699:45380878:1 gene:OGLUM01G48730 transcript:OGLUM01G48730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G23540) TAIR;Acc:AT4G23540] MKRKQKPAAADAAAEVDADEPSQAPLPLEAFSGDVCAALTARYGRSTAPQHRHLLASAAAIRSILVDDGLPVTPASYLPAAISALQAAGSADPTAASALASLLVILLPNIPSSPSSLPPASASESASALAVFLSSPDASQLPTGTVRSVVKSLGHLAFHVDAAADWGSVASPLEALLAASVDQRAKVRRCAQESVEKLFAHLKQCGCGKKVSNAAIGMFDKHIASVKNHVNLNSDASEGKEMEAANMLSAMVVVVPYLSKKAMKTVFSEVYQLLTPCFSPLTRHVLKLMETLLDHLKAENVESDLVNLIPLLLAYLHYDEKKPDDTIVAALKLMKNCLAKLVGRPNLWMEVLPSAFEAVSGYLILDRKCSEDIAGLLQDCIDSHIDRNIILTGSQLCDRDYESLSDAAAVKSICSSINNMLCACASPPNRESSYAFMKNIILTLSQIAAKTDKESERKNVEECIGAAVIALGPDKILSLIPIGFDEDRLTCSNTWLLPILDKYIYGASPQQFLERIVPLAESVQQASNMAKSARKCEDLKSCSNQLWNLLPAFCRYPVDICQNFGSLSKLLLEMLKCDKYLYKSAVKALQQLVDGTRRLSSSEQNREIYVELSTLFSSKIINLSCPSFERCSKKDARKNMKVLASHSVDLLSTVTDYFLDSSPEKRSHLKDALRCLAQLSGSTNICNLFLSLVKRFGLEDTQSEQENIECQTNEVDKKDEEGTDVDEEKNKKRSLVMELISTFAEAADEDLLDLLFGFVKSSLLNNKQPCEGKALFALSIILKEHNEYSLARLDEIMMLLHGIKADLDNEVLEGQLLCYQYLLVHMIKANEENTSKKAFLILNELIVALKSKKESRRLAYDVLLAISNSLRSSESNSEDSDLQRLFTMVMGYLSSPAPHIVSGAIAALSLLIYNDANFCLEVPNLIPSVLVLLKHKAIEVIKASLGFVKVLVTSLHSEKLLELQADIMSGILPWSSVTKHHFKGKVVLIMEILIRKCGFDAIDLVTPEKYKAFVRSVEEGRKGNHNPADGAESEAQQPTQHAAKRRKRSDSDVGTGQEGTHTRAPSRSLPAGKKEFFVKGARNARSPGVKSQRSKPSGRNGDRTNFKSKSEPRPGNGQNTKGDKPQGFNKRNRTGKFDKTQNRGGKASDRSSRFKKPRTAATT >OGLUM01G48740.1 pep chromosome:ALNU02000000:1:45382204:45385369:1 gene:OGLUM01G48740 transcript:OGLUM01G48740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGNLTNRVITLWYRPPELLLGSTRYGPAVDMWSVGCIFAELLNGKPILTGKNEPEQLSKIFELCGTPDELIWPGVTKMPWYNNFKPQRPMKRRVKESFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLQHPPPHSRLPPIQNPGQPHQIRPGQPMHNAPPVAAGPSHHYAKPRGPGGPNRYPQGGNQGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAGGPRGGGGSGYGVGGPNYQQGGPYGASGPGRGPNYNQGGSRNQQQYGNWQ >OGLUM01G48750.1 pep chromosome:ALNU02000000:1:45387511:45390133:-1 gene:OGLUM01G48750 transcript:OGLUM01G48750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor protein, chloroplast (FTSY) [Source:Projected from Arabidopsis thaliana (AT2G45770) TAIR;Acc:AT2G45770] MAAHSHVIPFLSPAATSAHCSPYGHRRRGRAGLLRCAAAAGQAGFFTRLGRLIQEKAKSDVEKLFSGFSKTRESLSVVDELLTYWNLADTDRILDELEEARPLLHCSACCSLLLHCSALLLSSFFLNVSCLVSCTQALLVSDFGPKISFRIVDTLREEIRDGKLKSGAEIKEALKRCILELLTSKGGNPELQLGFRKPAVIMIVGVNGGGKTTSLGKLAYRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAKPASGLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFVLTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >OGLUM01G48760.1 pep chromosome:ALNU02000000:1:45393323:45398179:1 gene:OGLUM01G48760 transcript:OGLUM01G48760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MATRVLSQNLRKLASFSLLNLSQRAPISPSPEPLRPAIASFGKCLNPFYQFSPPWMVRWASHSSVNLVLSDDGKPKFEIEEVEPSKKRGYLTKKRLKLQRKREKKKRKEANKNDPRRIRPKGKKIKQKFPTPEARLKYKIEKAKLKEAMLVEKLKKYEIAKAQGPMAKQDDLDGEERFYLKKVSQKKSNYVPVGRRGIFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYASEIARLSGGIPINTIGNDTIVFYRGKNYVQPDVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHIALYGNPQSQNVDPVYCDDRRAASLKMEEPSQGKDLSHMDNDGFSDITDASESDEEANPSEYDDNDDETGDNIGTVLYDHGGFKRNWLGVPNRAHFFITVNMAASLWSPYRLAHPHGQKAKFGACKTVVKRIKYAIPATDQRYDMMDVLVKKA >OGLUM01G48760.2 pep chromosome:ALNU02000000:1:45391512:45398179:1 gene:OGLUM01G48760 transcript:OGLUM01G48760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MRHAALLGVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLGDCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEDLRS >OGLUM01G48760.3 pep chromosome:ALNU02000000:1:45391512:45398179:1 gene:OGLUM01G48760 transcript:OGLUM01G48760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MRHAALLGVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLGDCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEDLRS >OGLUM01G48760.4 pep chromosome:ALNU02000000:1:45391512:45398179:1 gene:OGLUM01G48760 transcript:OGLUM01G48760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT2G28480) TAIR;Acc:AT2G28480] MRHAALLGVGFALCLLRAQAQPYDYPTAKPSTTWANTDAALRHHVAYTDGSVARAALLRLNPARLGPSFAFGFFCTNHRAGAPCADFLLGVAVVYCNSGAGITAVTTGIPQVVWSANRAAPVGDGATAELTADGDLVLRSPGGKVLWSAGAAGRGVSGMSINSDGNLVLFDGSNRTVWQSFDHPTDTLVVGQSLKQGARLTANASFDNSSEGRIYLAVADDGLAAYVDAKPPQRYYVLGYSKNAGAYAAYTNGSLAVLDRPGGQQLATVQLPAVAAGTVQYMRLEHDGHLRLYEWRSNGMRWEAAGDVLHPYPGDCAYPTVCGAYGVCTDMQCSCPDAANFRAVDFRRPNRGCVPTSPPATCRSRRARHRLVSLRDTAYFNSHDTSMRTLERVGEAACKAACLGDCACMAAQFVYGFDPNDGFCYLQSEVLSLETMQPEDLRS >OGLUM01G48770.1 pep chromosome:ALNU02000000:1:45400484:45408053:1 gene:OGLUM01G48770 transcript:OGLUM01G48770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMAGHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKSASI >OGLUM01G48770.2 pep chromosome:ALNU02000000:1:45400577:45408053:1 gene:OGLUM01G48770 transcript:OGLUM01G48770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAGGGGGAAGQFGDTTLTKVFVGGLAWETQKEGMRGYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAAMKACFDPYPVIDGRRANCNLAYLGVQRSKAAAASLQPYAGHMRAMKSIIQTGGGGASLSMAGHGIQQGIPTYNVYGYSPYFSDYGYPLSYYQAYGGLGAQYQMFAGGAAAGAAGLTMANPTGGGLYSPYFQYGPAVAANAAAAGYAGMQYPQMYQYAAAAAAAAVGAPTAATTASQLTAVAGLQQHDNVSDSSNSAGTITTVSVQAHFFSCRCSSRETIGLENHGCLKSASI >OGLUM01G48780.1 pep chromosome:ALNU02000000:1:45408321:45410011:-1 gene:OGLUM01G48780 transcript:OGLUM01G48780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVVVGMLILVSSAAANGGAVGVRRLAANRLCVCCRVRDSAWASRCSTWRATWTRSGHRWKVAWKQRLHQQLPPHITVRFRLQLYSAEDYSDLLINHYSRQTLALYSVGLRKFLLDGVGPLGCLPSLRASGLGPQGQCVDQVNQMVGFFNQGLRSLVDKLNADHPDAMFIYGNTYDAVYDMINNPHKYGFRVMDSGCCVLGEDGTCEPYAEPCEICSS >OGLUM01G48790.1 pep chromosome:ALNU02000000:1:45413093:45417772:1 gene:OGLUM01G48790 transcript:OGLUM01G48790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKAKLQSVAESAIRSIGLGYDIANDIRLKNCKQRGSPDPLLIELDHDKVQDIVLPGNLTVTGVSKSIKCDKGERMRFRSDVLSFQQMSEQFNRELSLSGKIPSGFFNAMFEFTGCWQKDASITKSLAFDGWCITLYTVALSKAHIILQDHVKQAVPSTWEPAALARFIKKFGTHIVVGVKMGGKDVIYLKQQHSSSLQAVDVQKRLKEMSDQRFLDANGHSDISLADSYAKDNKVEAREQRLRFVESNPLNSYSSNEELVMMPKRRGGRDKDIISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPRVEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLPVNLIGPKLYVCTNMVDVGKRPVTGLRLFLEGQKSNKLAIHLQHLCSLPQIIQLEDDTYNPQTPEAEIRKYYEPIGSWKRFSHVCTAPVDSDDSSIVTGAQLEVVSHGFKKILFLRLHFSKVCNAISVKNPEWDGSPNLGQKSGLISTLISTHFSTAALKPAPRPAEVNINSAVYPGGPPVPVQTPKLLRFVDTTEMLRGPQDLPGYWVVSGAKLHLERGKISLRVKYSLLTVNSPDDEFSPDEEF >OGLUM01G48800.1 pep chromosome:ALNU02000000:1:45415793:45421874:-1 gene:OGLUM01G48800 transcript:OGLUM01G48800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIGDLDELEATVLHHDGDAGGASVEAVLDELLHRRRRPLDHLPRRDAVHHRLLQPPDAGRLPGAPTSTRRRLVHAPPCSTSCHGGSPAAPRRQRRGRDSLSRAEEEERIRQNVSSYYRCAVDFCRAQHLRLFRSACGASSGAGYMEKARLRAFQREQATAKTCMVSSFATDFLEIRAKEPSVHVLVIPGNPGIVAFYKDFVEELYENLGGQASITGKCGVIYAFLVWTIYVACCLLINFHYAAIGHISHSKKVMYSFALPVYLKLQLIFTKFITGCRAWTIVFVA >OGLUM01G48810.1 pep chromosome:ALNU02000000:1:45421186:45421486:1 gene:OGLUM01G48810 transcript:OGLUM01G48810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERKQEEQLGNRRVALVSAAAVAVKKRARRRRDATAAALIVRRLRWSGARSGHQPLDGRTNGQDAGVKIV >OGLUM01G48820.1 pep chromosome:ALNU02000000:1:45421527:45447285:1 gene:OGLUM01G48820 transcript:OGLUM01G48820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVVVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAVEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMIRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRNVVRSRRNQKDAGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSESAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGNGIQLYKKNRDSMAHEHVENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVGPGEAWPRVDKQLISHLAISSLRRPPDQNPSPQTRASVASAAGDDGEAAPRSLRLALLLGILLSLAVPLRLLGLRLFPVALSLPLLLVVVVPFTEPLPTRCQGQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGLLDIVKNCAYVGLADEAFALIQHNTRLYLVNVVNIRMEGDGDRRTRPAGTAETATGAGRAAAV >OGLUM01G48820.2 pep chromosome:ALNU02000000:1:45421525:45447285:1 gene:OGLUM01G48820 transcript:OGLUM01G48820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVVVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAVEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMIRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRLLEMTRKSLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSESAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGNGIQLYKKNRDSMAHEHVENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVGPGEAWPRVDKQLISHLAISSLRRPPDQNPSPQTRASVASAAGDDGEAAPRSLRLALLLGILLSLAVPLRLLGLRLFPVALSLPLLLVVVVPFTEPLPTRCQGQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGLLDIVKNCAYVGLADEAFALIQHNTRLYLVNVVNIRMEGDGDRRTRPAGTAETATGAGRAAAV >OGLUM01G48820.3 pep chromosome:ALNU02000000:1:45421525:45446120:1 gene:OGLUM01G48820 transcript:OGLUM01G48820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVVVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAVEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMIRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRLLEMTRKSLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNISKELMYQQALCRFGNFNAIQLSESAPLQELLVMALKDDELMSDEKDDEKLEIAEVNTEILKENAEMINEYFSIHIDQDGKLTRLPVVLDQYTPDMDRLPEFVLALGNDVTWDDEKECFRTVAAAIGNFYALHPPILPNPSGNGIQLYKKNRDSMAHEHVENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVGPGEAWPRVDKQLISHLAISSLRRPPDQNPSPQTRASVASAAGDDGEAAPRSLRLALLLGILLSLAVPLRLLGLRLFPVALSLPLLLVVVVPFTEPLPTRCQGQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGLLDIVKNCAYVGLADEAFALIQHNTRLYLVNVVNIR >OGLUM01G48820.4 pep chromosome:ALNU02000000:1:45421525:45447285:1 gene:OGLUM01G48820 transcript:OGLUM01G48820.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVVVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAVEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMIRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRNVVRSRRNQKDAGLLDIVKNCTYVGLADEAFALIQHNTRLYLVNVVNIRTVAAAIGNFYALHPPILPNPSGNGIQLYKKNRDSMAHEHVENDLISDENDVDQELLAEAEAAWAQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVGPGEAWPRVDKQLISHLAISSLRRPPDQNPSPQTRASVASAAGDDGEAAPRSLRLALLLGILLSLAVPLRLLGLRLFPVALSLPLLLVVVVPFTEPLPTRCQGQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGLLDIVKNCAYVGLADEAFALIQHNTRLYLVNVVNIRMEGDGDRRTRPAGTAETATGAGRAAAV >OGLUM01G48820.5 pep chromosome:ALNU02000000:1:45421525:45447285:1 gene:OGLUM01G48820 transcript:OGLUM01G48820.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL-homologue 1 [Source:Projected from Arabidopsis thaliana (AT4G09140) TAIR;Acc:AT4G09140] MDEPSPRGGGCAGEPPRIRRLEESVVNRIAAGEVIQRPSSAVKELIENSLDAGASSVSVVVKDGGLKLIQVSDDGHGIRFEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVENLFYNMVARKKTLQNSNDDYPKIVDFISRFAVHHINVTFSCRKHGANRADVHSASTSSRLDAIRSVYGASVVRDLIEIKVSDEDAADSIFKMDGYISNANYVAKKITMILFINDRLVDCTALKRAIEFVYSATLPQASKPFIYMSINLPSEHVDVNIHPTKKEVSLLNQERIIETIRNAVEEKLMNSNTTRIFQTQALNLSGIAQANPQKDKVSEASMGSGTKSQKIPVSQMVRTDPRNPSGRLHTYWHGQSSNLEKKFDLVSVRSRRNQKDAGLLDIVKNCAYVGLADEAFALIQHNTRLYLVNVVNIRMEGDGDRRTRPAGTAETATGAGRAAAV >OGLUM01G48830.1 pep chromosome:ALNU02000000:1:45427300:45433234:-1 gene:OGLUM01G48830 transcript:OGLUM01G48830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPIVVFLSTPLPPNLAAATAATAAIVPAVAPVSDVVAAPPSDLAIVAPPPCFWCEGGTETVEHMDCTWHELVAGKRKPRRTTTKYGNNSDIPSPQKSTDHITQTGGPVFTEHEYGRFPVISSIVTPALPGGWNFSPGDAIRDEAWHRFRSHVHFSSKFNSTPFRLVVDLPRSNFRLTTSSVALALRAAIGGSLANLAISHLKDRSFSFVEKDWVLVAPKKRSTSSLRRSYRSYVQAVQHHDILIKQVFYKLKEPLLHASKPGQQRISVFHQLDSGKTASVIPLVISKAAGDGPEATPVTELIAHAEGTQDPNLLLNSNLENSTCGRCLQKGHFRASCPGPLRCRAYLKLGHLAHYYLASKPAKAQHPKNPKPSARSTAVWKAKTKLPEISASSRNHSSPNHTVHPIHDSSLLPPMANLNPNPQRFLRQGHIVQGEISASPELTSLFRSDLPGGMKQDWDHHRVLIANYIQDELHYEVRNSFRHPSAVGFFQMRSAMNRDALVLSPPEFYDGDADRMLGRVFVRAKYRDQDSVPRKIVLFDPLGAEEGENFGQFRPSCLKGILLISLLKKTSPLRDHNQGLMMRLMRIQMMFGNPGNQGAAVGGRLGQDHPMGQIEENPGQLIILPQQFMGAEASQPPAGLPIRVVTVQDPILDPQVQRFLAQLDKIVRNEVPRHPYFYPMNGLIERIDFLCKAKGIM >OGLUM01G48840.1 pep chromosome:ALNU02000000:1:45458183:45458560:-1 gene:OGLUM01G48840 transcript:OGLUM01G48840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYVDNKSVDPTFNQVFVLLTTSSSIYLSPQYKPHAAFRSGGSCRAAVGSGEGRRSARRRRPEVGCWRLPQRGGVGRWAQEADASSLREDGVGMEFSKARWRGVGLAAHGLIFE >OGLUM01G48850.1 pep chromosome:ALNU02000000:1:45473737:45483840:1 gene:OGLUM01G48850 transcript:OGLUM01G48850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRRGRSASRSSSGSSSRSPSRSASSGSGSSRSRSRSRSFSSSSSPSRSRSPPAAKARKASPAPESVVLHIDHLSRNVNEAHLKEIFVILYEHHQKYNGLTSLCLPGNFGEVVNVELSMDRMVNLPRGYGYIEFKKRTDAEKALLYMDGGQIDGNVVKLRFTLAPRQRTSSPMKAPPPPPKRDVPHNEKGAPSAEKDVQQRGELLHLGGFVEVHHHADAPLGPLDGGHHHPQGGQEALPQEGYHFHVIIAVLPRLVVPFIHVPDQFLLEAGEDLH >OGLUM01G48860.1 pep chromosome:ALNU02000000:1:45480111:45481355:-1 gene:OGLUM01G48860 transcript:OGLUM01G48860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWALYRVPLASESKTKEHASEGTSSVLEPKVELSHDGKIREIAMDSADSDLKKDDANELLVALKAEKEELEAALNREQAVWRAKALHTRLNAIAV >OGLUM01G48870.1 pep chromosome:ALNU02000000:1:45481427:45482383:-1 gene:OGLUM01G48870 transcript:OGLUM01G48870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSGGSPPSSQIQWRQRWRPKAARRVDAAAAPPGGGRALAVAAFPFRILHGGGQLRRRLPAVAAAASGGGCMEGGANAGSPPSSQICWRAEAARRVDAAAALPGGGRALAAAALPSRSGTASGGGGGALRTRREHGQVPTAAVPRVPRPPRGQGLGQSKGGAQASGLRLRAQPPPRVLARRRQRRGVGGQHRNPGLQGRLRVRRASPPHSGSSYHKDNDDGDTPALEAAPPASGSGLSDLYDLIVNHHAAACQKPATARATDKEVANKDGIEEEPDQEAAATCEEEEEDDMGFCMVGTISALVFSDVEEDWIVVEI >OGLUM01G48880.1 pep chromosome:ALNU02000000:1:45483928:45484300:-1 gene:OGLUM01G48880 transcript:OGLUM01G48880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHKNDDKAGGAAAAGGDHRKGAYDMERVQQEKHKAKKEPDNARSHRVKEEIAATIAALVATQEHHKKEAKKHGHHH >OGLUM01G48890.1 pep chromosome:ALNU02000000:1:45486682:45488126:1 gene:OGLUM01G48890 transcript:OGLUM01G48890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPALGRGVPRAFAIIGLTAVLTLLNYRGLTVVSWVAICLGVFFLPPFFVMGLIALPKLRAARWLVIDLHNNLNYWDSINTLAGEVKNPGKTLPKALFYVVIFVVVAYLYPLLAGTGAVSLNRGQWTDGYFADITKLLGDAWLMWWVQSAAALSNMGIFVAEMSSDSTDHADPIAEKSKVLHLFLQQIFIDYEIL >OGLUM01G48900.1 pep chromosome:ALNU02000000:1:45490928:45494834:1 gene:OGLUM01G48900 transcript:OGLUM01G48900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESHLDLPRSDSGSGSCIRVQNLVPKLHLDVPSSRTRTFGPREVENQRSSNSIRTFGPREVENQFHIYRLKDEIEAVIANIEKMCRSVQLEKGFLEKGGFCFGLVNPMANIIINSAISQQVCPAADRGSHISPRAGGGRRRKRGEEKALDPNLLRSLDSLTAFLTCIFPYLPDAEARLYLDAVDADPFVASLLIINRRQLREFDFYSQTTQAAVEVALRCAAVAAKHPDPQGLVLGWKCLAHSVDGWRRLSHAVEKFSSVPSSENQRSLFMLVQAVLKDHWTSDPVLRLEQTWELARSRLMRQSSTIYARPKVLPPTRAHMKRMLLSTIHGFYVQAMGRLPTFELCNSFHCSMLKGGYCYARSLYGLVSFLCTRYGGLKPDLAMQRLLVTGVNLKAADPSPHDIVRSTLTASVPEAYTAAATAALHNYPTAQKEFFTSRNGMTKLECVSKVLRSQDGGPGSQYGVSQSASHGPLTPQNLNVLRMILQWCPSSVNWQVARKSHQQKEACKKVKKRNYAHMCQCRNRFWGQHARVTSMVRDALDKFNNTVDHPFELHIIFGVNELVSGPVPSMGEKVGDYNPWTRYKYYHTHINFLAVCKARPYDPPTLFFAECGKDGADTCWCFPVTPYKSESGQVRCIYCEYQGSRILHPTGKSFHGCNEFEKLYYVSSNNYTNDELITNKVLEVDWVHGVQDGAIYLDCRADSDDDEDDWLDIF >OGLUM01G48900.2 pep chromosome:ALNU02000000:1:45490928:45494834:1 gene:OGLUM01G48900 transcript:OGLUM01G48900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESHLDLPRSDSGSGSCIRVQNLVPKLHLDVPSSRTRTFGPREVENQRSSNSIRTFGPREVENQFHIYRLKDEIEAVIANIEKMCRSVQLEKGFLEKGGFCFGLVNPMANIIINSAISQQVCPAADRGSHISPRAGGGRRRKRGEEKALDPNLLRSLDSLTAFLTCIFPYLPDAEARLYLDAVDADPFVASLLIINRRQLREFDFYSQTTQAAVEVALRCAAVAAKHPDPQGLVLGWKCLAHSVDGWRRLSHAVEKFSSVPSSENQRSLFMLVQAVLKDHWTSDPVLRLEQTWELARSRLMRQSSTIYARPKVLPPTRAHMKRMLLSTIHGFYVQAMGRLPTFELCNSFHCSMLKGGYCYGPLDPVSNIIVNTIWYEQNFPPSKHFPISMISTDMLWCIAARSLYGLVSFLCTRYGGLKPDLAMQRLLVTGVNLKAADPSPHDIVRSTLTASVPEAYTAAATAALHNYPTAQKEFFTSRNGMTKLECVSKVLRSQDGGPGSQYGVSQSASHGPLTPQNLNVLRMILQWCPSSVNWQVARKSHQQKEACKKVKKRNYAHMCQCRNRFWGQHARVTSMVRDALDKFNNTVDHPFELHIIFGVNELVSGPVPSMGEKVGDYNPWTRYKYYHTHINFLAVCKARPYDPPTLFFAECGKDGADTCWCFPVTPYKSESGQVRCIYCEYQGSRILHPTGKSFHGCNEFEKLYYVSSNNYTNDELITNKVLEVDWVHGVQDGAIYLDCRADSDDDEDDWLDIF >OGLUM01G48910.1 pep chromosome:ALNU02000000:1:45534240:45535874:1 gene:OGLUM01G48910 transcript:OGLUM01G48910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHSTPIDPSIGGGRVEHIGEHVLRHRYSTSLVDVTSPEQKPRTPPALGMQQRLAGGRASDHQPSREESRGRWLSEIDHGFTEEETIGALLRQPVDYSSTLA >OGLUM01G48920.1 pep chromosome:ALNU02000000:1:45558955:45562351:1 gene:OGLUM01G48920 transcript:OGLUM01G48920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPFPSPDAFYLHLLRACTSLRHAAAVHAHIARAHPAASIFLRNTLLAAYCRLGGPLPARRLLDEMPRRNAVSFNLLIDAYSREGLAPLSLETLARARRAGVGVDRFSYAAALAACSRAGHLRAGKAVHALAVLDGLSSGVFVSNSLVSMYAKCGEMGEARRVFDVAEERDDVSWNSLVSGYVRAGAREEMVRVFAMMRRCGMGLNSFALGSVIKCCSGRGDGTMDIAEAVHGCVIKAGLDSDVFLVSAMIDMYAKNGALIEAVALFRSVQEPNVVMFNTMIVGFCRSETVIGKEVASEALTLYSEVQSRGMQPTEFTFSSVLRACNLAGYLEFGKQIHGQVIKYTFQEDDFIGSALIDLYFNSGCMEDGFRCFRSSLKHDIVTWTAMISGCVQNELHEKALSLFHESLGAGLKPDLFTISSVMNACASLAVARAGEQIQCFATKSGFDRFTVMGNSCVHMYARSGDVDAATRRFQEMESRDVVSWSAVISCHAQHGCARDALHFFDEMVDAKVVPNEITFLGVLTACSHGGLVDEGLRYYETMNKDYGLSPTIKHCTCVVDLLGRAGRLADAEAFISNSIFHADPVIWRSLLASCRIHRDLERGQLVANRIMELEPTSSASYVILYNMYLDAGELSLASKTRDLMKQRGVKKEPGLSWIELKCGVHSFVAGDKSHPESSAIYTKLEDMLSRIEKLATTDTEMSKREQNLMNCHSEKLAVALGMIQLPQSAPIRVMKNLRVCRDCHSTMKLISKSENREIILRDPIRFHHFRDGSCSCADYW >OGLUM01G48930.1 pep chromosome:ALNU02000000:1:45564121:45564348:1 gene:OGLUM01G48930 transcript:OGLUM01G48930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHAEVVVPKKPGDCDDDDCSSSSKQQKKVKQQGSSKEEGKTTMKEKEKKKMSNLDGAALVTPHFPFHSRPGLL >OGLUM01G48940.1 pep chromosome:ALNU02000000:1:45566315:45573715:-1 gene:OGLUM01G48940 transcript:OGLUM01G48940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKB0] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKADMQFKDKFIACLSLGERTYRTDNTSTPEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIQFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >OGLUM01G48940.2 pep chromosome:ALNU02000000:1:45566315:45573715:-1 gene:OGLUM01G48940 transcript:OGLUM01G48940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKB0] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDLDEHSEVLSLLDPSSSATIVGSISISCYIEDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIQFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >OGLUM01G48940.3 pep chromosome:ALNU02000000:1:45566315:45573715:-1 gene:OGLUM01G48940 transcript:OGLUM01G48940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKB0] MGHSPSRHNACGGGGGDGESPPSPLPSRFERFRRRLRLRHRDRAGRPGGDAHASESGTGRAIAVDEFAGIARIRIVKEKKVVVETNGPHIARISVFETNRFSKNTLVGYCEVDLFELLTKDPVETEQSFARRVLAIVDYNEDGELSLSEFSDLMKAFGNKLAVAKIEELFRQADKNGDGIVDMDELAALLANQQEKEPLISNCPVCGEILGKHDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLHSGSTASHILVFDRRTKRLVEEVIDGKIVLSMRALYQSKVGLTLIDTGVKDLLKNLSEKQGKKMSSPESAKDIPKFLELFKDQINLDEVKDPLESFKTFNEFFVRQLKPGARPIACYEQDTIATCAADSRLMTFSSVDESTRLWIKGRKFSIEGLLGKDVHSDALCNGSLVIFRLAPQDYHRFHVPVSGTLEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTSEFGKVAFVAIGATMVGSIEFLKEEGDYVHKGDEFGYFAFGGSTVICVFEKDAIQFDADLLANSARSLETLVSVGMRLGVSTRNRDLQPQELEKCSLE >OGLUM01G48950.1 pep chromosome:ALNU02000000:1:45574684:45576429:1 gene:OGLUM01G48950 transcript:OGLUM01G48950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H:plastoquinone dehydrogenase complex subunit O [Source:Projected from Arabidopsis thaliana (AT1G74880) TAIR;Acc:AT1G74880] MEALLSSPRALFSVTPTACWPASARRRRRVASPVKAAAAAAEPAGEEKKPATGGAAAAAGDGQAAAPAPKKILKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFFKGLDYIYEDRGEVLDIRIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >OGLUM01G48960.1 pep chromosome:ALNU02000000:1:45577737:45580327:1 gene:OGLUM01G48960 transcript:OGLUM01G48960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Gamma-secretase aspartyl protease complex, presenilin enhancer-2 subunit (InterPro:IPR019379); Has 168 Blast hits to 168 proteins in 71 species: Archae - 0; Bacteria - 0; Metazoa - 126; Fungi - 0; Plants - 36; Viruses - 0 /.../r Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G09310) TAIR;Acc:AT5G09310] MEARVAGVPEDEESGLLPRPSAAGRRPSAAAARRAPPPPVWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPVLRSPATSPSSAAFSRIRPYVVRSAIGFTIFSVVLLTWATTFIIGGERLFGPGWNDLVMYNVADKLGISGFMG >OGLUM01G48970.1 pep chromosome:ALNU02000000:1:45589174:45593328:1 gene:OGLUM01G48970 transcript:OGLUM01G48970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSPTVPTTVYEDPNGDASYGYGGYGYTYSYGPYGEVVAEERPETAATPPPTAEVSAWDFFDPFTSYDQFMEDYKGHDGGSLPSNSPNYSELRRMEGIPELEDEAELEAAEAKASKPSASVVADQGGKGKRPISSDVSSKGEASDGKLLQRKGSGGNGEPENASLKGSGNGDNNGSSTSKKKGIAFDDIGQPIAAAQGEGGSGKSVQSTAVSSESFSPLHQGNRSVMEAMDEIKERFDEALNCGEEVSKLLEVGKVPPQSSTPRVLRYLSSRVMDPLSLTVPASSCLPKPRRKPRTLSGKASTSSNPSVAGRRNSSGSLSTTLEKLCAWEKKIYQEIKDEEKLRILYEKKYRRLKSLDERGLDSTTIDATRLSVRNLQSRITINIRTANAFSSKIQNIRDEELYPQLVDLIIGLRRMWKAVLLCHEKQLSAIQDSKMHLIKAVTISQSNAAAVATVELERELAKWYRCFNKWISSQRSYAEALNGWLRKWLTEPEVQEENTPDGAPPFSPGKLGAPPVFVISNDWLQVIEMVSKNEVLKTIDQFSKLVHEYKKTQEKEHRQKRKADHASRDYNKRREVLQRELGLSTSLDMVAVMENTHHSHDNRVIELEKMSRKKDEEKTKHDEIVKHAHLAASATLPVGLVPVLHQIVSFSQENVQKYTSIRTRGARVH >OGLUM01G48980.1 pep chromosome:ALNU02000000:1:45592688:45610476:-1 gene:OGLUM01G48980 transcript:OGLUM01G48980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSRLFYLCSIIFMFYLVQRTEAQITAPWEVDALKAIKGKLIDPQGRLNSWNRGDPCMGNWSYVHCYNETASDGYLHVLELQLLKLNLSGSLSAELGRLSHMQIMDFMWNNISGSIPKEVGNITSLKLLLLNGNQLTGSLPEEIGFLPNLDRIQIDQNYISGPIPKSFANLNKTKHLLLDNNNLSGYLPPELSKLPKLLIIQLDNNNFSGTSIPSSYGNITTLLKLSLRNCSLEGPVPDVSGIPQLGYLDLSHNLLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSDVWQNIDFSGNRSLILDFQNNSLTNLSNPLSPPANVTILLSGNPICKSPNQLNITQYCRSVPVIVPGGSASNATVCSPCSTDLPYENILMSPIRCICAIPLYVDYRLKSPGFWDFVPYEGQFQQYLSSGLSLSSYQLEVSQFMWEEGPRVKMNLKLFPNNTAYFNESEVLRLRGMFTGWLIPDSDIFGPYELLNFNPGWYNKILPDRAKSSLSTGAIVGIVMAAFAAAAFLSSLITLIILKRRSRYSSSKRRSAKRIPMKIDGVKDFSFQELSHGTNDFSDSALIGQGGYGKVYRGILSDGTIVAIKRAQQGSLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGTLRDHLSARSKEPLNFPTRLRIALGSSRGILYLHTEADPPIFHRDIKASNILLDSKFVAKVADFGLSRLAPEPESEGTAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVVAANQSGMILSVVDSRMGSYPAECVEKFAALALRCCRDETDARPSMVEVMRELEKIWQMTPDTGSMSSLSLEPSNTATPSSGSRMMVSSSSGVGNDDHHHYNMSSSDVSGSSTSLEERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEMGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAVEMKKVVESTQNLFIREAMATEVMIGQNDNVEGVRTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVNFSGLEPQHGDEEVGWFSFDPEFHIERDQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQVFLEPEGRDVPELYLQGFSTGLPERLQLSLVRTLPGLENCVMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKEEKERLKSTKIPGGEFAAAVTSVSNQPVKESSTLEAILKKPHVQYKLLDKHGYGNEHLSRIEKECVEIDIKYEGFIARQQSQLQQIVNQEHKKLPEDLDYHSMTNLSLEAREKLSKVRPQTIGQASRIGGVSPADMAVLLIWMESNRRMANYKRQQSTLRSAATKADDSSDEVVHASATNA >OGLUM01G48990.1 pep chromosome:ALNU02000000:1:45613616:45617823:-1 gene:OGLUM01G48990 transcript:OGLUM01G48990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copine (Calcium-dependent phospholipid-binding protein) family [Source:Projected from Arabidopsis thaliana (AT5G63970) TAIR;Acc:AT5G63970] MWGDRTHHKHWNQAHVPSGTSKDKFQAKGQPKFIPDNYSSVDEVTAALRDAGLESSNLILGIDFTKSNEWSGRYSFGRKSLHAISATPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDQSVFSFYQDSRSCCGFEEVLERYRQIVPHLNLSGPTSFAPLIYAAISAVENSNLQYHVLVIIADGQVTTSNTKDGKLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPDRAFDNFQFVNFTEIMSTSKDMPKKEAAFALAALMEIPSQYKATQGLRPPEKHAGHVASHLRILPPPNKVLENDNAAASRPPTASSQSTGFGKNITDEQVCPICLTNPKDMAFQCGHLTCKECGPTLSTCPLCRVPITMRIKFPVTAIDLF >OGLUM01G49000.1 pep chromosome:ALNU02000000:1:45619666:45621925:-1 gene:OGLUM01G49000 transcript:OGLUM01G49000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSKKNPEPSSSSSSGDLGGKTVQELLDMDKDAVSELVSDHFHQLYSLQNHLDVVDDDDDHWSEHNEQEDRSQLQERLAFYRIIGYQLSMGGKIDELDIAKLKEKYSPEMLYKKGYFQYYEDSLEWYFDPERCMLTSLDDYQRLVLCDNDQYHSNYSTYESDLAYVKFSEELANETKWFEDYLVLIAVKDKITIGQWDKVKNIVYLQALKIALRIRVVSLMQVMAGFQEYIWSMRFDCCNYKDFDGVYFEVWKRVAKQKMEFTDVLSELYREDMFPLRIRVRAGFHSMKENVGSSSQFCYIRMILNSSNHFVNPSPSLSQFCFSVVQYDIYVACIDETVPENEARQLIKEAVIKMVHRTRAKILEVTAQNRGDNSEAKLAMDN >OGLUM01G49010.1 pep chromosome:ALNU02000000:1:45622547:45625148:-1 gene:OGLUM01G49010 transcript:OGLUM01G49010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYLGPVIDCTFGPNLVRVPLPTRLSCFPRRVRIHKAKPERSSSSQPRFTKPSPTQTPSHRRLRLRLRRRERERGPASDSPPSSPASAGATPPARSPLPATPRPLGFAALTASAHVEVTPRVPSPPPLPPAKEEEDTARWLLANLLVIGGTVLGRAAVQAYRQAIVNANKTGAAQEAINGIRRASKAMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEAVYQKPDQRLIAAIVSSLTSRLASTNT >OGLUM01G49020.1 pep chromosome:ALNU02000000:1:45625476:45628243:-1 gene:OGLUM01G49020 transcript:OGLUM01G49020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSATSSNLIVYLVHKYNVEAIHAAQISNVVRGCMQLAPVLAAAFSDAFFGPYPVAVAGIAFSLLSFVLFTLTATLPSLLPPPCQRDAGGGGATATSCEPPNAVQSAVLYAALCLLAAGNGGTRYNMAALGADQLAGEGQPRRRRQGGGFFSCYFAFLYASYAAGDTVLVYVQDGVSWALGFGVCVATTGLGLAALLLGSRHYRRPVPKGSPFTAMARVAVAAARKATLDLGSRVQYYHGYNRDAETTTTRTAMVVAGETREEDGSVARPWRLCTVQQVEDLKSVVRVLPLWSSGILVSVTVNAQVSLTVLQALTMDRALGPRFAVPAASITVTVLAAFILAAALFDRLAAPLCAAGGKLAITPLRRVGLGHALNVASMAVAALVERRRIGAARGRAAGGGVVVPMSALWLVPQLALTGAEEALHLPGNTALFYGELPASLRGTATAMPPLFIAAGSYLSAAAVDAVRRGTTWLPDDLNASRLDCVYWTLAVLAAVNLGYFLLCATTYKYNNYGGDDGNVKAQT >OGLUM01G49030.1 pep chromosome:ALNU02000000:1:45643067:45647567:1 gene:OGLUM01G49030 transcript:OGLUM01G49030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASQPRRHQPTSLRRAQPPPPPAPAGVKTESNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVADKSKSSHVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSHRLGMEKEKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >OGLUM01G49030.2 pep chromosome:ALNU02000000:1:45643067:45647553:1 gene:OGLUM01G49030 transcript:OGLUM01G49030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASQPRRHQPTSLRRAQPPPPPAPAGVKTESNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDALKNLEWRHQIMLKGNIYPLMLAIHSPLNYKIEKGVCTDSVVVVSESLDELVSAVSHRLGMEKEKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >OGLUM01G49030.3 pep chromosome:ALNU02000000:1:45643067:45647553:1 gene:OGLUM01G49030 transcript:OGLUM01G49030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASQPRRHQPTSLRRAQPPPPPAPAGVKTESNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSHRLGMEKEKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >OGLUM01G49030.4 pep chromosome:ALNU02000000:1:45643067:45647567:1 gene:OGLUM01G49030 transcript:OGLUM01G49030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASQPRRHQPTSLRRAQPPPPPAPAGVKTESNGKASNSKPASPVQAPSPERTVKKLRLAKALTLPEATSVSEACRRMALKRVDAALLTDSNGMLSGILTAEDISGRVIAEGLRPDETNVAKAMTRNPVFVMSNSSAIEALQKMVKGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEKAAEQGSAIAAAMEGVERQWGNDFPGPHSFIENLRDQLFKPSLSTIITENNSVPVVSPSDPVIAAAKKMREYRVNSVVVMTGNMLLGILTSKDLVLRLVAQSLSPDVTLVEKVMTTNPDCATLDTSILEALHSMQDGKYLHIPVEGASEANSMANTMMQKFWDSALALQPAEESDARSEESRMATSDNAEGKHIPPHVGNSFSFKLQDRKGLSESLDELVSAVSHRLGMEKEKARINLLYDDDEGDRVVLTTDGDLSAAIQHARSAGWKVLRLHMDEPWSNGEHTTSLVNTSPVKTGRSFLRLGIAAGAVAVASMGVIFYLKRSEL >OGLUM01G49050.1 pep chromosome:ALNU02000000:1:45657117:45660791:1 gene:OGLUM01G49050 transcript:OGLUM01G49050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLPPLPPPFPGIMEVYRNLPPHFTVECKVSESGQRAVDMFDGSDMKLHCCAYKVGPIEAQRMLKKGSATKLASSYLFLSSFCHPAACSVENYMISGALVVSCVDGTFRKWLMNTPVTDLISPEGHLLPLLRDMITSLVGLVESLLNHGKYIENLTINDLYIKYTCGHQPKLQVLLHENVQSVTEVKPDEIWGNVRNIVERCFTICKISPHESAMMFGSFIGRGEVSVLHGYPDIWDEDSKSAFLIDLCSDIGMMSKINGSGVQWPEESKGVVEPLLTSIIAATSNRAIYNTTIPWDYIRLCKNTYKHFDELPDQLKDVLEDCNGIIRKMDEWKSDPVIEPRTEVI >OGLUM01G49060.1 pep chromosome:ALNU02000000:1:45683951:45689184:-1 gene:OGLUM01G49060 transcript:OGLUM01G49060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVDRPAASAASCTSSAAAAACADPHPSRERAGTPSFALLLLPPHPGMLPSDPPGSDDDGNGTNMWTGQKDKLVLDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGIVTRQDDPLKASEEDINQHKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNRDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGDYVDLDRSSQEWSKMDNTAFFQALKIATNFHHMTVGLAQFAYMEYVWDLREISRLKEWHYFLHEIWKLVAKQKMNFNDALKVVYEMDMFHSFKFAVEAELNGDKLFGLEYRFSMCAKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLASESRT >OGLUM01G49060.2 pep chromosome:ALNU02000000:1:45683951:45688599:-1 gene:OGLUM01G49060 transcript:OGLUM01G49060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGQKDKLVLDPCVSGDTNENAHACTSLTAVHQVLEESTNNTVHAMDMGIVTRQDDPLKASEEDINQHKDEQELWGPNVQTSQDDYFDYVKQLSPNSRRIDKWSLLSHDEMIEVKERHARYRIRFCKMLNKEFEDTMKDPAEYSRGELLKENYFLRYERDETLGWYFHPVHTWLAGLNDYQKLVLVNRDDSTEFLARDDYHSCFNTYEVDEDYVKYCEELPKKIKWIGDYVDLDRSSQEWSKMDNTAFFQALKIATNFHHMTVGLAQFAYMEYVWDLREISRLKEWHYFLHEIWKLVAKQKMNFNDALKVVYEMDMFHSFKFAVEAELNGDKLFGLEYRFSMCAKDISGDVEEGKALDLITKAVYREFHHTQTMCGYAAKKMDMAKKIGLASESRT >OGLUM01G49070.1 pep chromosome:ALNU02000000:1:45692090:45696732:1 gene:OGLUM01G49070 transcript:OGLUM01G49070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTGLCPPLHRCGTSPDVAEPGPDPLVAEGGLPRPPDLASAHGIQPSLSITLAGLPSQSYATGSIGIIEKGAPASRQWRSMADEQASETPLACVPARVGGVINFLGSAFARFLLAATTTSCNATRHCILFDNALHCDIFFLYINFQHVDRRRHQRRMD >OGLUM01G49070.2 pep chromosome:ALNU02000000:1:45692042:45696493:1 gene:OGLUM01G49070 transcript:OGLUM01G49070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHFHGIGVNSVYVFALDLGTFASHGIQPSLSITLAGLPSQSYATGSIGIIEKGAPASRQWRSMADEQASETPLACVPARVGGVINFLGSAFARFLLAATTTSCNATRHCILFDNALHCDIFFLYINFQHVDRRRHQRRMD >OGLUM01G49070.3 pep chromosome:ALNU02000000:1:45692090:45693145:1 gene:OGLUM01G49070 transcript:OGLUM01G49070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPTGTASAASRSASPGESRGKRHRQWPRRDEWRGRWLRRRRERGVLHVEEPATGKQGGNRFSSPTVSRASFHRLCASPVSALPFTVAAPHRTWPNPDQIHSSRKEGCHDHPTWRRPMAFSLLCPSRSQDYLLSRMLQGQYSDGPKPRRSPHLTGAAISTALPTPPRRPPPLTAEPPSPCPALLPSALLLPQTASSPRLSQAPNNCIGTSSPRAAGFSAAAHPSS >OGLUM01G49070.4 pep chromosome:ALNU02000000:1:45692042:45696493:1 gene:OGLUM01G49070 transcript:OGLUM01G49070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTATSSSSTSISSMSTGGGINGGWTRGDENDNTNGGNNTDKASFSTRAFSGPHAFCISIIIDHVRPCSATSLPSSQALIIQISFRLFWGDVYLYDTFGSAMSRVTRWFTKTGRGSIQTSD >OGLUM01G49070.5 pep chromosome:ALNU02000000:1:45692042:45696732:1 gene:OGLUM01G49070 transcript:OGLUM01G49070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGINGGWTRGDENDNTNGGNNTDKASFSTRAFSGPHAFCISIIIDHVRPCSATSLPSSQALIIQISFRLFWGDVYLYDVLLCTCKNDAM >OGLUM01G49080.1 pep chromosome:ALNU02000000:1:45700108:45701515:1 gene:OGLUM01G49080 transcript:OGLUM01G49080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVGCLIAILARSCWHLAVAAVKLPALLCCDAMLSTVAFLTFPLRLLAAVDRERKAKLALLDRLVGEMQRQMERLVWENRELEEKLGMALKESRAMEEILDEMEEEHDDAFARITLLETQLKALKLENMRLIEHKGKSMWDKKPPATAAHGGESLPASTRPSSTRKRKDREDEAEEAAAAAQEEGGGGGGVATEVTAEEDSEMSAQMRRGKAVARRRSLVSLGMAAAVGAVVWAADAPCLPLLAGLLATVGVSMCSVARFFLLREEAAAALRGGGGGRAASSSSDAVALLSLNWFLLGVLTSPMLPGAAHAVFPRAARLLAWFSATATAPLSS >OGLUM01G49090.1 pep chromosome:ALNU02000000:1:45700793:45704880:-1 gene:OGLUM01G49090 transcript:OGLUM01G49090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTPGLRLRPDSNSNSNSTTDPSRQGGGDEEKRRLRQRAAMDVSRRKKWVAWVAAVTIFVVLMLVTPAIPQNEEYHDFADQRRLFLGIPNTLNVISNIPFFFVGVVGLVLCHYKNYFRLNSQGELWSWTLFFAGVTAVAFGSSYYHLKPNDATLVWDRLPMTIAFTSVMAIFIIERVDDRAGTKSLAPLVIAGALSIMFFDDLRPYAVIQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYLLAKVEEAADKPIYKWTHQIVSGHTLKHLAAAMVPVFLALMLAKRTVEPERVSLFQQWKVKLVAVRETRFNKDRNTVDYNYIASGAVAVAENQARRRAARGKTAWAAPGSMGEVRTPRRNQLRLSSATASEEEEAALPPPPPRSAAAASSRRRKKRATLHMDTPTVASSPASSGRHGASAAHTTAPTAAAMPRLTRLRRRATALPRRICADISESSSAVTSVATPPPPPPSS >OGLUM01G49100.1 pep chromosome:ALNU02000000:1:45706357:45707192:1 gene:OGLUM01G49100 transcript:OGLUM01G49100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKD5] MSSTFNGDEFAPFLGFIGAALALVFSCMGAAYGTARSGVGVAHMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIITTGINPTAMPYFHFDGSVHLAAGLATGLCALAAGLAIGVVGDAGVRANAQQPKLFVGMILILIFAEALGLYGLIVGIILSSRAGQSRAH >OGLUM01G49110.1 pep chromosome:ALNU02000000:1:45707764:45709655:-1 gene:OGLUM01G49110 transcript:OGLUM01G49110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-like, Ufm1 (InterPro:IPR005375); Has 244 Blast hits to 244 proteins in 106 species: Archae - 0; Bacteria - 0; Metazoa - 149; Fungi - 0; Plants - 47; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G77710) TAIR;Acc:AT1G77710] MAAAGGGGAGAAGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGALAAPF >OGLUM01G49120.1 pep chromosome:ALNU02000000:1:45710400:45713095:-1 gene:OGLUM01G49120 transcript:OGLUM01G49120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACTGKRPRTDPVPLANFDDHQLLSGNYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYRNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKIVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYIRSLGLDGQQKALTERSKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIECKNQAASHPGNLTPVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGITSPLKLWDDLEEGEIPTA >OGLUM01G49120.2 pep chromosome:ALNU02000000:1:45710400:45713095:-1 gene:OGLUM01G49120 transcript:OGLUM01G49120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQGGKPPAPDAGGGGGGGESKKGSPCEECGEQPWKYRCPGCSRLTCSLPCVQSHKRRTACTGKRPRTDPVPLANFDDHQLLSGNYSFLEETKQVAESAHRLIGAFGRNYGGFGGAQLPKWLFYLRKAAQRRGIWLQFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHNIDEHTSLLSSLEKHLSPGPWKNQLTPYRNTDLRDLKLFIQKSAKESTSPYRQLNIEEPLGPQLRSIKIVEYPTINVFLPSDSCDFEVEKFVNKLPASEKPPGSSTDSPDLEGTEFHEEEIEEGELAPETQVIDLKECGASHASNLASAKDTSGSKVDTKRDSSVLSYIRSLGLDGQQKALTERSKMAPNTTSGASKTKNCMKVYPMDMEESGDAGVISERQGIECKNQAASHPGNLTPVEGTTVSKIDSNTDSLVPSSISILASDGFSCPQVEHNQQSRLTPNSTPEALKRKSCMKVYPLDTEKNLGLFSEVPNLGFEQEIGNAYSDLFGDINPDDFLNFDLEMMDEDELAGITSPLKLWDDLEEGEIPTA >OGLUM01G49130.1 pep chromosome:ALNU02000000:1:45713835:45715817:-1 gene:OGLUM01G49130 transcript:OGLUM01G49130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVVASIYQPITKLGFFSPPRCFASRPEAAGAAALLRFELSATMIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKVDVPNLEVIKLMQSFKSKEYVRETFSWQHYYWYLTNDGIEHLRSYLNLPSEVVPNTLKKSAKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSRPGFGRGGGGAFGGGASSME >OGLUM01G49150.1 pep chromosome:ALNU02000000:1:45721606:45722795:-1 gene:OGLUM01G49150 transcript:OGLUM01G49150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLENPNYDGYGGDGDGDDDYYFTAMAERDVRIDSDYGLAAFLACLAVTTIGIGVLMVKYYRSHHGALAVFLLALAIFLFLMASGCGTKAVLYLMN >OGLUM01G49170.1 pep chromosome:ALNU02000000:1:45730730:45736554:1 gene:OGLUM01G49170 transcript:OGLUM01G49170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKE1] MASSTSATAGLLLLAAAAALVCSSAAARMPPLAKGLSLGYYDERCPQAEAVVFEFLQDAIGKDVGLAAALIRLHFHDCFVQGCDASILLDSTTAGEKSEKEAGPNLTLRKSAFDAIDDLRDLLDKECGETVVSCSDIVTLAARDSVLLAGGPWYDVPLGRHDGSSFASEDAVLSALPSPDSNVTTLLEALGKLKLDAHDLVALSGAHTVGIAHCTSFDKRLFPQVDPTMDKWFAGHLKVTCPVLNTNDTTVNDIRTPNTFDNKYYVDLQNRQGLFTSDQGLFFNATTKPIVTKFAVDQSAFFDQYVYSVVKMGMIEVLTGSQGQIRKRCSVSNAAAAGDRAWSVVETVAEAAESLEMASATTLVMVVAAVACLCAPAAAGDGNADATRQPLVVSGLSFDFYRKSCPKAESVVRKFVRDAVRKDIGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGERQAPPNLTLRPSAFKAVNDIRDRLEKACGATVVSCSDILALAARDSVVASGGPEYKVPLGRRDSAEFASQQDVLSGLPPPTAAVPALLDALAKIKLDATDLVALSGGHTVGLAHWSSFEGRLFPCRDPAMNATFAGRLRRTCPAAGTDRRTPNDVRTPNVFDNMYYVNLVNREGLFTSDQDLFADAATKPIVEKFAADEKTFFDQFAVSMVKMGQISVLTGSQGQVRRNCSARNPGTVAAGDLPWSVLEVADSFVF >OGLUM01G49180.1 pep chromosome:ALNU02000000:1:45732642:45732968:-1 gene:OGLUM01G49180 transcript:OGLUM01G49180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQSGGGGGITVTAVAERDGESGYGAAVFFACLTGALIAIGVLMVLYYRSHHGHGALAVFLLVLSVFVFLSVCFCCIGLVQLAIHGSLPLEEDRVAAAVDHPLDAV >OGLUM01G49190.1 pep chromosome:ALNU02000000:1:45737152:45739902:-1 gene:OGLUM01G49190 transcript:OGLUM01G49190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 61 [Source:Projected from Arabidopsis thaliana (AT1G28490) TAIR;Acc:AT1G28490] MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSNHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >OGLUM01G49190.2 pep chromosome:ALNU02000000:1:45737152:45739902:-1 gene:OGLUM01G49190 transcript:OGLUM01G49190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 61 [Source:Projected from Arabidopsis thaliana (AT1G28490) TAIR;Acc:AT1G28490] MSSAQDPFYIVREEIQDSIDKLQTTFHRWEKTPSNTGEHVHLTKELHTSCESIEWQEEAAVASNILELLIGISVFFFLHSCSGITGVFLCWKVDELEKTILVASRDPAYYGLDEVELSRRRNWIGSARNQVAAVRRSVEKGKSNSTFSAHQDMGTSRSNHYTAQDNDDFIASESDRQLLLMRQQDEELDELSESVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLILFLVVLFIILFVLVFLT >OGLUM01G49200.1 pep chromosome:ALNU02000000:1:45740254:45744180:1 gene:OGLUM01G49200 transcript:OGLUM01G49200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >OGLUM01G49200.2 pep chromosome:ALNU02000000:1:45740254:45743037:1 gene:OGLUM01G49200 transcript:OGLUM01G49200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTSTTTAAAASPTPCRPAALVQAKKQTFSSFDELLEKSEKPVLVDFYATWCGPCQYMVPILQEVSEKLGDKIQVVKIDTEKYTSIANRYQIEALPTFIIFKNGKPCHRFEGALPANQLIQQIESALEVAK >OGLUM01G49210.1 pep chromosome:ALNU02000000:1:45745407:45746410:1 gene:OGLUM01G49210 transcript:OGLUM01G49210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQDELARTKTRWPTPAQLEMIERMKKEEEDDEIVAPANRTPSVVETKVQVPEIVEQNVVERDERLRTSDDNDDYEDEPIVRRDGHGGGGGGRRAYGDIGGYHSSKGRWPREPEVEKLEREKEMLKYGIMSKPTTTRKVKIVHRMIRPPNQYGAAGSAPPPTAGGGHQ >OGLUM01G49220.1 pep chromosome:ALNU02000000:1:45749130:45751925:1 gene:OGLUM01G49220 transcript:OGLUM01G49220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYSSTVDECYETTGRQHGHGHGHGHGHGHGHGGMRVESHTDDYYCEGGEIDRGRRNNSMHSQEYLMRQQSGHGGYGYGGGQQQEYYKREEREHKQRERVGEIGALASGAFALYEGHQAKKDPANAQRHRIEQGVAAVAAVGAGGYAYHEHREQKQASYGAKEQQYGYARMPQQQGYYCN >OGLUM01G49230.1 pep chromosome:ALNU02000000:1:45756034:45757288:1 gene:OGLUM01G49230 transcript:OGLUM01G49230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYYETGRHGHGGNDGEDHGSSSKKTSQQGGAGDQEYSHKQQERMAAIIQKGLSPYTRGTKRRSSTPLRQDAAAAARLATTTTATYLINQHTPYIMYVRRY >OGLUM01G49240.1 pep chromosome:ALNU02000000:1:45759319:45761035:1 gene:OGLUM01G49240 transcript:OGLUM01G49240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRRNHGGGLTLLFHFHLAVLVVLPSLLPRARAAAAADSSWHPNHPPTRRGHHVGGGNASPSAAAGHGLPPLSAPAPAPIAGADDLPAFGRAPKQAPPHFGFPLQPTFGVAAPPVAPTAAGEGYPFIGSNPTVPLPTGMTDTSTVVGRAAAAPVRAQIAMIGLVATISILFLSGRS >OGLUM01G49250.1 pep chromosome:ALNU02000000:1:45763653:45764355:-1 gene:OGLUM01G49250 transcript:OGLUM01G49250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSSAAPCDITQFQLLIFGIRSNYMQYYSSADNMAPDNLIIALTPKNDRLWDAVNPTMADDFYGIQMIVLDAEGNSIRVKVK >OGLUM01G49260.1 pep chromosome:ALNU02000000:1:45769859:45773103:-1 gene:OGLUM01G49260 transcript:OGLUM01G49260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALLVLKSPGDGDSSSGGGGGEQEQEQQAAVVVANATDVSHFGYFQRNPAREFILFVARTVAARTPAGRRQSVHCYNQNGLCAIAFTDDHYPVRSAFSLLNTVLEEYHKTFGETWRTAKTDNTQPWQYLDDALTKYQDPAEADKLLKIQRDLDETKIILHKTIDSVLSRGERLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >OGLUM01G49270.1 pep chromosome:ALNU02000000:1:45774324:45777468:-1 gene:OGLUM01G49270 transcript:OGLUM01G49270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSEPKINKKAGPKAEAHGQLQPSKPPHQHNSPRGPEHIIAFAAKTPAATWVGLRSAAADTSETRRRPPLTEMADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSIEKSYELPDGQVITIGSERFRCPEVLFQPSMIGMESAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >OGLUM01G49280.1 pep chromosome:ALNU02000000:1:45784868:45787544:-1 gene:OGLUM01G49280 transcript:OGLUM01G49280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLDLPLLLIPVMVVTLISVSFAQTNVTSGASVQAVAGAGWSSPSGHFVFGFYATDGGLAVGVWLATAPSITVTWTASRNDTPATGGALRLTYDGRLLWTGANGQDRTVAAPPQPAVAAAMRDDGNFVLYAANATVAWSTFAAPTDTLLAGQDLAPGAQLFSSVSATSRATGKYRLTNQLNDGNLVMYPAGTMNVAAAAYWDTGTFQIGFPLTLRLDASGVLYLVGNNGSYTKNLTKASAAQAVEQAHYHRVTLDPDGVLRSYRHGLLSSGGWKTDVEWIGPSDWCHVKGACGFNSYCVLDRDAQPSCLCPPGFDLIDAGDAAGGCTASSGAGECTAGQRADPGSSMATMQNVSWADTPCGVLAAGTSAADCQAACMSDCFCVAALLDTNDGTCTKQQLPLRYGRAGGGYTMFVKTGGAASPALGGGGGGNHHHHRLRRASTVALVCVGLLTFVALCALLASARLLWLNQRMVRRRVALADAEALDEEAPLRSYSYEELEHATYSFRHPLGRGAFGTVFKGTLRRGGERAVAVKRLEKLVEDGEREFQWEVRAIGRTSHRNLVRLLGFCHEGANRLLLDSRVIHCDVKPQNILMDAAGTAKISDFGLAKLLQPDQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLETVACRRSMEMEEAAGEEERTLAEWAYEWLLVKGEAKSAMSSDETVEAAEVERVVKVAMWCVQAEPQSRPSMDGVILMLQGRLEVPFPPPPPASS >OGLUM01G49290.1 pep chromosome:ALNU02000000:1:45791298:45791559:1 gene:OGLUM01G49290 transcript:OGLUM01G49290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYMDHLILSTCDPKIRISYKRVKLLVLLFNNQMMILLAQDSDQNVNFKYQLFA >OGLUM01G49300.1 pep chromosome:ALNU02000000:1:45794620:45800782:1 gene:OGLUM01G49300 transcript:OGLUM01G49300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKGASSDVIRVSTSSAPSTSSHGSAQDDCDSSGDVYVWGEVICDNSVRTGSDTVVRSTVRTDVLRPKPLESNLVLDAYHVDCGVKHSALVTKNGEVFTWGEESGGRLGHGSREDSIHPRLIESLAVCNVDIVACGEFHTCAVTTAGELYTWGDGTHNVGLLGHGKDVSHWIPKRIAGALEGLAVAYVSCGTWHTALITTMGQLFTFGDGTFGVLGHGNRESISCPKEVESLSGLKTISVACGVWHTAAIVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFHRIACGHSLTVGLTTSGKVLSMGNTVYGQLGNPRSDGKIPCLVEEIMGENVVQVACGSYHVAVLTIKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRSVRHIACGANFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKAVRAALAPNPAKPYRVCDSCFLKLNNAVDSSAISKKKENVLRESNSDGRLTKAIIPSNLDMIRSLDSKAAKQGKKTDALSFLRTPQMNSLLQLRDIALSGGLDLNRPVPRAVRTTAVRSVNTSRAVSPFSRKPSPPRSTTPVPTTHGLSIGKGAADNLAKTNEMLNQEVERLRAQVDNLRHRCEVQELELQKSAKKVQEAMTLVAEESSKSKAAKEVIKSLTAQLKDMAERLPPDQGAYDGNESKQMHFPNGTELHAAIYSSTNGIHQLQNESISALNTPSLNTGRSLHANGISSQHKSPGSISEHSEVSTHSHRVSSPHDTELSNRRARISSDELFSASGKSDDSNNRDARSLQNGEDGYKPRGTVSLSSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVRSSERVSSAASTRSAR >OGLUM01G49310.1 pep chromosome:ALNU02000000:1:45801247:45820527:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MPPPSSRESLSVAMAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVPVGMVVVGVGVPRARSFQWDPLLLVGVVIPLVPAGSRRGAVAGVLLLLRRRIEGVPLFSLPATSARVGTHASSTFNSFWPSSSIRNATIERFLKEIAGEKPIRFTAQHIWVLSSDVVLQALLVGEIFSGSI >OGLUM01G49310.2 pep chromosome:ALNU02000000:1:45801247:45820527:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MPPPSSRESLSVAMAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVPVGMVVVGVGVPRARSFQWDPLLLVGVVIPLVPAGSRRGAVAGVLLLLRRRIEGVPLFSLPATSARVGTHASSTFNSFWPSSIAGSVAIVYLMYRCVKKNGLPAVNINTNTSFAPGTAAAAMYTVVPDSQIRNATIERFLKEIAGEKPIRFTAQHIWVLSSDVVLQALLVGEIFSGSI >OGLUM01G49310.3 pep chromosome:ALNU02000000:1:45801247:45819172:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MPPPSSRESLSVAMAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGVPVGMVVVGVGVPRARSFQWDPLLLVGVVIPLVPAGSRRGAVAGVLLLLRRRIEGVPLFSLPATSARVGTHASSTFNSFWPSSSVREISTIFSCFEMMNWFH >OGLUM01G49310.4 pep chromosome:ALNU02000000:1:45819174:45820527:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MMLGLISGDAVLSVLSIAGSVAIVYLMYRCVKKNGLPAIRNATIERFLKEIAGEKPIRFTAQHIWVLSSDVVLQALLVGEIFSGSI >OGLUM01G49310.5 pep chromosome:ALNU02000000:1:45819174:45820527:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MMLGLISGDAVLSVLSIAGSVAIVYLMYRCVKKNGLPAVNINTNTSFAPGTAAAAMYTVVPDSQIRNATIERFLKEIAGEKPIRFTAQHIWVLSSDVVLQALLVGEIFSGSI >OGLUM01G49310.6 pep chromosome:ALNU02000000:1:45801247:45820468:1 gene:OGLUM01G49310 transcript:OGLUM01G49310.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G42130) TAIR;Acc:AT5G42130] MPPPSSRESLSVAMAARSQSQPHLDFPSLFSDLTSLLLQHPPPPSSSSSPGPVFSSSSLSIPAPAPASAAVAATAPPTPLARAAIGACAGAAAGAFTYAALLPIDAVKTRIQAGAAAGGSWQVFLDILRTDGPLGLYRGLSAVILGSASSSAVYFGTCELAKSLLRPHLPPFLVPPLAGASGNVSSSAIMVPKELITQRLQSGAAKGRSWQVLLQILQTDGFFGLYAGYAATLLRNLPAGVLSYSSFEYLKAFTLKQRNKESLTPGESVLCGALAGAISAALTTPLDVVKTRLMTRVGTEGSRTVVGTMREVVAEEGLMGLSRGIGPRVLHSACFAALGYCAFETARLAILKWYIEDCERKAAAEMKAGNESAASGY >OGLUM01G49320.1 pep chromosome:ALNU02000000:1:45820420:45836696:-1 gene:OGLUM01G49320 transcript:OGLUM01G49320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKG3] MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIHEETRRWLSVRGYIPQDGFILSYLCVPPNCLRGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNLNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDGFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDLITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEHGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDQEFRFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGKVALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQVFGISSAFEKVTQVQLFPFLLLAEIQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEDRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPSWYSSNSAGTQNFTMAGSSRPGEWNRKNNNRGQGGGRAVWKPEGPHRGGSSSNRNQVEQQIFEQIEPITKSVKRIIRESRDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVDRHQVFHGSRCLYVMSSDGSRKDFSYKKCLENYIRAQYPDAADSFCRKYFK >OGLUM01G49320.2 pep chromosome:ALNU02000000:1:45827726:45836696:-1 gene:OGLUM01G49320 transcript:OGLUM01G49320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKG3] MEGHPDPTSAATAMIPEASIRRINLSITSNEEILKAQPVNELEKPIPITHQSQLLNNPYLGLPLQVGSCQSCGSNAIEECEGHFGFIELPMPIFHPSHVTELSQILNLICLRCLKIKNRKELPPLCVAEVKKSNGARGLELRAPIKKELEEGFWSFLDQFGSCTRGTSHCRPLLPEEVQNIIKKIHEETRRWLSVRGYIPQDGFILSYLCVPPNCLRGTSTNLLRKALRKIQQIRGSRIGSSNIQVDQVADDLQVDVANYINLGGTTKGHGDDTFTSQPTAMQWKQKMKTLFISKSSSFSSRGVITGDPYIGLNVVGVPEEVAKRMSVEEKVTDHNIAQLQDMMNKGLCLTYTDANSITYSLDAGKDNLNKKHTILKVGEIVNRRVFDGDIVFLNRPPSTDKHSVEAFYVQVHNDHTIKINPLICDPLGADFDGDCVQIFYPRSLSARAEAKELYTVDKQLVSSHNGKLNFQFKNDFSLALKIMCGREYSEREANQITNAMFSSGMYPQKPLIGGPYWTFPQILETTKSNAITLADHLDRESVGALATGTTISSILSTKGPREATEFLNLLQPLLMESLLIDGFSINLGDFTVPSPILEAIQNNPLELNKYREPIMDLITHSSAIGLLVDPKSDSNMNKVVEQLGFLGPQLQHNGRLYSSRLVEDCLSKSLHRCCGSTNCCNPLEEHGTVRSSIYHGLNPYEALLHSICEREKIMRASKGLVEPGSLFKNMMSRLRDVTACYDGSIRTSSGNLVLQFGSRDASNCVTPGDPVGILAATAVANAAYKAVLAPNQNNIISWDSMKEVLLTRASTKADANHRKVILYLNQCSCENECMERALTIRACLRRIKLEDCTTEISIKYKQLNQIETIMDSVLHKCQETFRNNIKKKGSMREILKTVTFISSTSLCDQHTDDDQEFRFFLPGSITKNISESTERVIDFMTNAIFPIILDTVIKGDPRVEEANLVRIEPESTFWVQSSGAEQKGKVALEITVEEAAAAESGNAWGVAMNACIPVMDLIDTTRSMPYDIQQVRQVFGISSAFEKVTQVQLFPFLLLAEIQYLSKSVGMITKSVLQEHLTTVASSMTCTGDLHGFNNSGYKATCQSLKVQAPFMEATLSRSIQCFEKAAAKAYSDQLGNVVSACSWGNNAEIGTGSAFEILWNDENMSSSKSILGGYGLYDFLEAVETTGATKDKAIVPHNYCLYDVDCIPEDKVCLEENNQITWTDKPKAEFLMESEDRRAGMHSTGQKHPRKPNWHEGNTKSSPNSTAVEFTGQVFQRRQLKTKSNWNSDATQQDDKPTRAHRTSPWQDHPDQVNGTGRIIIVVKVVVEQCGNQRDHTVEAVAVIGIKYFAPLHTPVCHPVRPPHTPPRTSVANSPPKASGLRPPLG >OGLUM01G49320.3 pep chromosome:ALNU02000000:1:45820420:45827575:-1 gene:OGLUM01G49320 transcript:OGLUM01G49320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKG3] MGETTKHHVNRSHEEQHPVEPTECRITDGIKLPPDDEKFIVTNVLMYHPERKKKIAGNGNYITVDRHQVFHGSRCLYVMSSDGSRKDFSYKKCLENYIRAQYPDAADSFCRKYFK >OGLUM01G49330.1 pep chromosome:ALNU02000000:1:45827103:45845926:1 gene:OGLUM01G49330 transcript:OGLUM01G49330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAAAAVACGMSTSFLIRLSPSPLASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAENLVVSIRSITGIHAFIATPQALPLSAAAASSTVISSATLPFCSAPELWTQNVDSGSILTRLASSTRGSPVKRRHPNNIETNGWLSQHEQEYLFWEQRTTMRVNAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >OGLUM01G49330.2 pep chromosome:ALNU02000000:1:45827103:45845926:1 gene:OGLUM01G49330 transcript:OGLUM01G49330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G18680) TAIR;Acc:AT3G18680] MAAAAAAAVACGMSTSFLIRLSPSPLASSHVPLPRSPASSARPRRASSVSLSTAPRPRARAAGSDSPSNFGGQTSLMPPFSLMLDEGSRSKKPYRWQRVLLKVSGEALAGDHTENIDPKITMAIAREVASVTRLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPKRNPNARLLEAVSYHEVQTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTKDQDQIVGNALDQERRLVNEL >OGLUM01G49340.1 pep chromosome:ALNU02000000:1:45846732:45851998:1 gene:OGLUM01G49340 transcript:OGLUM01G49340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 5 [Source:Projected from Arabidopsis thaliana (AT5G09790) TAIR;Acc:AT5G09790] MGRRALPPSSSSSSTTTTSPELRRKRTAAPPPPPSPRRYRSISDVMRRSLPVDAAPPVARAYESTRCDVCGSGERDEELLLCDGCDRGRHTFCLRPIAARVPTGPWFCPPCAPRSKPVKRFPMTQTKIVDFFRIQKGAEDAEAEKCGLFQDVKKRRKRSLVMHKKRRRILPYVPTEDKVQRLKQMASLATAMTSSKMKFSNELTYMPGMAGRSCNQATLEEGGMQILPKEDKETIELCRTMQKRGECPPLLVVFDSREGFTVQADADIKDMTFIAEYTGDVDFLENRANDDGDSIMTLLLTEDPSKRLVICPDKRGNISRFINGINNHTLDGKKKKNIKCVRYDIDGESHVLLVACRDIACGEKLYYDYNGYEHEYPTHHFV >OGLUM01G49350.1 pep chromosome:ALNU02000000:1:45854123:45856758:-1 gene:OGLUM01G49350 transcript:OGLUM01G49350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFDDDWRPFCAVDPSIRPRRSPSPATASSVAGVRLPPPHTRLGRLLGLHSPEVSSLAPPRRFPSIPPARWVPPSCRFRLRSGGAMGSKDSQVEATLQDGNKEDEEDDWEAIADRGENDETLTLARSFEQQAKVSPSSSSEKISTPSSGPKRRGRGSFLYDKSVLYSDQCGLENDMDEQESNDQSGSKGRVDEQKHKSNAAAKQYGTRHVLVLYDFPPSTLAADLEKIFDKFGDHGVAIRWVNDTVALAVFRTPSAANEAQACIPPRYKVRPLKEDDDLLTKNDGIDLEPPTPRPKTSARTAQRLIAHGMGLRQFTNFGSDELKKQEEARSRRIAARQALRDDAWGSD >OGLUM01G49360.1 pep chromosome:ALNU02000000:1:45858259:45862547:1 gene:OGLUM01G49360 transcript:OGLUM01G49360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAPARKENGTVAAPAASSAAASNTFHVLNSGSAAAAVAAGRAYVQSPRATALRSPQVRAITNYGMFPTTGASAARTAMAGRTINGGASMLTTTTTPPHLIQQLMVLAGWTTRNPWLQNHASMSPRGSPSSFSSGRFSDPRGMPSTFAYRTPGASSGRVIGNGAVAGRGKQMAGTSRSLEIIAVDTGAGSSKNASPAGGHANAGVVVKRLAPVLAMPSAGAAGKGKEEAAAAAPSPNGRGRKRAPPKVSNDPAAGSDKKPRKRAKKAPAAGSKVTVPADVIIVDDDVNQPSSNTDAQSNADDDLKKAAVASPAATRSNTRSKRKTSSNSAAASPSARSSAIAARKKNNATATGTTATPPPPAAKKHTVLTWLIDTGFLKDKAKVFYVPGDAGASEKVISGMVTKTGIRCRCCNTVVPVAVFETHARCERPGQPWEKLLLMSGKPLSKCMQEAWAQERVTAMRAREKAMASLEQEKEKSSQAKRKLAKTKKMQLLDGVVVVSTSSPRHQVKKNGGGKDCSDDACGVCADGGQLLCCDTCPSTFHPDCLAIQFMIKSWLLFDRQQLTTIYGQQPWFQTAPGAAISADHQYCRPLQSPGFEIGAYCSETCKKMSSHLSDMIGVMNHTEDGFSWALLKIQKDELVTSEDMPVILESNVKLAVALGVLNECFNPVQDRRTKIDMLHQAVYSLGSEFKRVNYEGFYTMVLEKDGEIISVALLRFHGRKLAEMPFAGTLPAYQKQGMMRRLVKAVEKVLASLQVENLVIPAVADLVETWKRSFSFRPMQAEVRDEAKKLSLVAITGTTLLQKPISAHQQQPVPSRKGSSSSRSKRASAPATVTGSSKEEEEQMTTTAARLTDDELAFLEMAPLCSFTDLLAGGVYPTGLQLLRGMK >OGLUM01G49370.1 pep chromosome:ALNU02000000:1:45864314:45864865:-1 gene:OGLUM01G49370 transcript:OGLUM01G49370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQAVVELVVVAAALAALAAGILAASLLLLWRCRRRSAAANRQQPAVVVASDAELTVQSPKKPAPARRRGGGSALRRALLRLLFCSRRRLTRVEPADSAAAATQGEEGEQAAGPDEEEVNTWRDRWFGPATAAASRALYTIDEESGAGSEGEEEPEPETPFYTPPASPPRLSGGGHSPEATV >OGLUM01G49380.1 pep chromosome:ALNU02000000:1:45866503:45868521:-1 gene:OGLUM01G49380 transcript:OGLUM01G49380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G04830) TAIR;Acc:AT5G04830] MPPPLDRILACMLNLRRATAAAAVDGLWFSFFSTTTTAGGAMEEEKAASPVSRHIMPHLLNIYGSCATARDFEIYAAHATFEDPLMRAHGYVYLFGESKIVEYTITENETATGKVEILIDNKQHYKFLGRAIDLASLITLDVEDGKVVKHQDWWDKKPLKNRDTVSFPVVGRLAEATRRGAMLLTHALMGCGKDP >OGLUM01G49390.1 pep chromosome:ALNU02000000:1:45871981:45877313:-1 gene:OGLUM01G49390 transcript:OGLUM01G49390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxoprolinase 1 [Source:Projected from Arabidopsis thaliana (AT5G37830) TAIR;Acc:AT5G37830] MAFYLMAGGGVKAAGVVALEVEVCDVVVWEVRRQGFLEAEAEAVAVVEAVAGEDGDEPENAIALPWIQPNRRAAHSSTRALSRGEKGETSEAAAAAEPEAASSLLLSARRFRRQRTVTAAARRTATGATQHGDGGRVGARWRAEQGRGSWGGTFTDIYAEVPGRREGYVMKLLSVDPSNYDDAPIEGIRRILQEFSGERIPRSSKIPTGKIDWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVVEVDERVELVGGGDGERDDGLSVKGISGELVRVAKPVDVEALKPLLKGLLDKGIRCLAVVLMHSYTYPQHELLIEKLSLEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGGEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFQLETSKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPDSVGAHPGPVCYRKGGELAITDANLILGTVIPEYFPSIFGPNEDLPLDYDATKKAFESLAVEINSHRKSQDPSAKDMTVEEIALGFVNVANEAMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSELLIHRYCGILSAYGMGLADVIEDLQEPYSAIYNVDSAAEASRRVDLLVKQVKEKLIEQGFGEDSIRTHSYLNLRYEGTDTAIMVKQPERESGSDYADEFVKLFQQEYGFRLLNRKILICDVRVQGVGATNILQPHELTPVSTKPVPESSCRIYFSYGWQETPLYKLQNLGYGHVLKGPAVIMNGNSTVIVEKDCKAIITKYGNIKIEISAAPSSVEVSETVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFNEGKVIFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVERGVFQEEGIIHLLQSPSSDELTNHKIPGTRKIQDNLSDLHAQVAANQRGITLIKELINQYGLITVQSYMNHVQNNAEEAVREMLKVVALRVEKENGSCVIEDEDYMDDGSVLHLKLTLDSSKGEATFDFEGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGASWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIRENSGGSGFHRGGDGLVREIEFRQPVVVSILSERRVHAPRGLKGGRNGARGANYLVKKDGRRVYLGGKNTVMVNAGEILQILTPGGGGFGSP >OGLUM01G49400.1 pep chromosome:ALNU02000000:1:45878221:45890782:1 gene:OGLUM01G49400 transcript:OGLUM01G49400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVWRFMVVRTEKHRTSCRGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETESLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKGNALMGPKDHSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >OGLUM01G49400.2 pep chromosome:ALNU02000000:1:45878221:45890782:1 gene:OGLUM01G49400 transcript:OGLUM01G49400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRNLLSSRRRTLAVVSGALLAGGTLAYAHSARRQKRQEEYSHGDASTQTTGNQSICQNGVDGKLVKTRKKKNGLKSLQFLAAILLKKIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETESLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKGNALMGPKDHSYSTQLIATSPNMEIEHTERSNLVPQLQCSPRPLPLRAAAMSKILVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >OGLUM01G49400.3 pep chromosome:ALNU02000000:1:45878221:45890782:1 gene:OGLUM01G49400 transcript:OGLUM01G49400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRNLLSSRRRTLAVVSGALLAGGTLAYAHSARRQKRQEEYSHGDASTQTTGNQSICQNGVDGKLVKTRKKKNGLKSLQFLAAILLKKIGPNGTNHLLGLMITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTRLIIENLLLCFLQSTIYQTSKYLTGSLGLHFKKILTDLVHADYFENMVYYKLSHVDHRISNPEQRIASDIPKFCSELSGLVQDDLTAVADGLIYIWRLCSYASPKYVLWILAYVLGAGGAIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFQALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIVEPFFAGNLKPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNLLSGYADRIRELLDVSRELSGVRDLSMNKKSSVDNYISEANYIEFSGVKVVTPSGNVLVDDLTLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETESLSYGGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHRPKFAILDECTSAVTTDMEERFCKRVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWTVQENRNGSFISAEPEFDALNSSETDRKSDALAVQRAFIANTKVPKLFDKQGGQLLAVALLVFSRTWISDRIASLNGTSVKYVLEQDKAAFLRLIGISVLQSAANSIVSPSLRNLTSKIALGWRIRMTNHLLQYYLKRNAFYKVFNMSGIDIDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKILSGRRGVAILYAYMLLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVEAKFTTMLNHSRTLLRKRWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRVFELEELLQTSQSNAAMPSNPIIAASEEIISFHDVDIVTPSQKLLATQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTMPSDGIFHVPQRPYTCLGTLRDQIIYPLSHEEAELKVLSLYKSGDKAITSGSLDDHLKTILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKFGILDECTNATSVDVEEHLYKIATSMGITVITSSQRPALIPFHSLELKLIDGEGKWELCTINQ >OGLUM01G49410.1 pep chromosome:ALNU02000000:1:45891872:45897467:1 gene:OGLUM01G49410 transcript:OGLUM01G49410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKKKNKNPTAQHKQSSPNITAAAAAAFHLPAGFALPTSPSFVMFRLRSSARLLRELREASRSSGRRREWLSGGAVASAARTTSLLHPLPGLDVPQCLPDQLGVQPTRVTTLPNGVRVASEDLPGPSACVGVFVDSGSVYETAETAGVSHLLERLSFKDTAHRSHLQIVQDVEATGGNIGASASREQTVYSYETLKAYLPQAIEVLIDCVRNPLFLQDEVERQVAFAREEVQELQKNPERFLQESLNLVGYTGALANPLVAPEESLTRINGSIIQKFYHENFTADRLVVAASGVDHQYLLDVAEPLLSDWHKGSPVERPESKYIGGDFRHRADSEMTHVALAFEVPGGWLEERDATIMTVVQTLMGGGGSFSSGGPGKGMHSRLYLRVLTKYHTVESFSAFSNAFDRSGLFGIYLTTPSDFVAKAVDIATKELIAIATPGQVTDIELARAKNSTISAVLMNLESRVIVAEDIGRQILTYGCRKPVDHFLQCMDEMTLDDITAFAKKMLSSPPTMASWGDVDKVPPYEFVCKRF >OGLUM01G49410.2 pep chromosome:ALNU02000000:1:45892239:45892678:1 gene:OGLUM01G49410 transcript:OGLUM01G49410.2 gene_biotype:protein_coding transcript_biotype:protein_coding LREEHEQSLWADEDLAGEADEAEPHHRRDVDAAERRDHLPRHGEERLRELPHGEPRVPRHHDPGDEQEPERRQRRPGHPDRRLRRRWVQLPHHRHRPAHPLRHLLPLTLRCSSSSSSVALAGHGRRRRRRGHGELAV >OGLUM01G49420.1 pep chromosome:ALNU02000000:1:45892227:45892914:-1 gene:OGLUM01G49420 transcript:OGLUM01G49420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly, complex C (B6F) [Source:Projected from Arabidopsis thaliana (AT5G36120) TAIR;Acc:AT5G36120] MAMEASMSRTVSMLFSARRCIVASAAKDIEIAQGGRRRRSNDVKQQRGSHGQLAVAAATTAAAMTGQCHAAAAAAAPERQWQEVAEGVCRAVAVVGELDPATAKAAIGVAGPALSAFGFLFIARIVMSWYPRLPVREFPYVVAYAPTEPLLAVTRKVIPPLGGVDVTPVVWFGLVSFASEILVGPQGLLVLLSQQKP >OGLUM01G49430.1 pep chromosome:ALNU02000000:1:45897091:45899928:-1 gene:OGLUM01G49430 transcript:OGLUM01G49430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G22320) TAIR;Acc:AT5G22320] MARLTVEQAKREAGSAGTLATSLNLSHRALSDVGAVSCLSSFVNLERLDLGYNCLLTLEGLSNCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLKTMDEVKSLTSLGALILNDNNISSICKLDQLHQLNTLVLSKNPIFTIGDALMKAKAMKKLSLSHCQIEKIGSSLTACVELKELRLAHNKITTIPSDLAKNVKILNLDLGNNLIERRSDLEVLSELHYLRNLNLQGNPIAEKDGLAKKVKKLVPNLRIFNSKPMEASSKSKNSREENLPINDADTPDDGPTDIYTKKKGKGKHSKQQIKSPEEPAGQSTRPDVTIAAPAKSELLDGKEMKKEKAAVEHVKNKKSKRKDDNSSVDHTDKKVSKGAMRTKSAKKEEKNADGIDDTEMPFADLVFSGEGNNPELELKGKNQEIARDGKFGGLVIDHTKKKKKAKGTVFGSSALEQLSSVAEVGSGALSGWD >OGLUM01G49440.1 pep chromosome:ALNU02000000:1:45899744:45903125:1 gene:OGLUM01G49440 transcript:OGLUM01G49440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT3G11530) TAIR;Acc:AT3G11530] MCVLTVLAGLAFMFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTQFLTSRDGGGWFNAAKFLTGASAMGSIAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW >OGLUM01G49450.1 pep chromosome:ALNU02000000:1:45904339:45908965:1 gene:OGLUM01G49450 transcript:OGLUM01G49450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEEEKDRNIGRVGKSGGEEVEETFCKVHGTSKKNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASIMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKRDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSTSDDAIPRTIWLDGDGKRLLQWPIEEVESLRRNEVSHQGLELKKDVEIDFELTSIDAADPFDPSWLLDTEKHCREADASVRGGLGPFGLVVLASDNMDEHTTVHFRVYKSDQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPAAVVDGATHMYAFNNGSSTVKVSQLKAWSMTRAQVNVKKG >OGLUM01G49450.2 pep chromosome:ALNU02000000:1:45904160:45908965:1 gene:OGLUM01G49450 transcript:OGLUM01G49450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAPIPQPWHQWPFLILFFLVLFSCESNLPCRNGVEATQRVFLYPQSPKVSSIVSKGYRTGYHFQPPKNWINGPMYYNGIYHEFYQYNPNGSVWGNIVWGHSVSTDLINWIRLEPAIEGNTPSDINGCWTGSATILTGDQPVIIYTGADTEKRQVQNIVLPKNRSDPYLREWTKPKNNPLIEPVGPGLNSNQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSKDFMQWTRVDHPLYSSNASIMWECPDFFAVLPGKNNGLDLSAAIPNGAKHVLKMSLDSCDKYMIGVYDLKRDMFVPDTVLDDRRLWLRIDYGNYYASKSFFDSKKGRRIIWGWTNETDSTSDDAIPRTIWLDGDGKRLLQWPIEEVESLRRNEVSHQGLELKKDVEIDFELTSIDAADPFDPSWLLDTEKHCREADASVRGGLGPFGLVVLASDNMDEHTTVHFRVYKSDQKYMVLLCSDLRRSSLRPGLYTPAYGGFFEYDLEKEKKISLRTLIDRSAVESFGGGGRACIMARVYPAAVVDGATHMYAFNNGSSTVKVSQLKAWSMTRAQVNVKKG >OGLUM01G49460.1 pep chromosome:ALNU02000000:1:45914082:45916184:-1 gene:OGLUM01G49460 transcript:OGLUM01G49460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQKNTPDMAASSDSEHAPPRKKANVRFAFACAILASMTSILLGYDIGVMSGASLFIKEDLKITDVEVEILLGILNLYSLVGSFAAGRTSDWIGRRLTIILAAVIFFVGAIMMGLSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSRLRLQLGWRLMLGVGAAPSVVLALMVLGMPESPRWLVMKGRLADAKAVLGETSDTAEEAATRLAEIKEAAAIPADLDGDVVAVPKRTGGERRVWNELILSPTPAVRRVLLSALGIHFFQQSSGIDAVVLYSPRVFQSAGITDKNKLLGTTCAVGVTKTIFILVATFTLDRFGRRPLLLASAGGMVVTLVTLGLGLTVIGKGATGGGWAIAVSIASILAFVAFFSIGLGPITWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKAITIGGSFFLYAGIASLAWLFFFTYLPETRGRTLEQMGELFGIHNMAGDDDSAATRPPSPEEEEKITNYVEMAAPSSSSSSAVTSSHHAK >OGLUM01G49470.1 pep chromosome:ALNU02000000:1:45917091:45921064:-1 gene:OGLUM01G49470 transcript:OGLUM01G49470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >OGLUM01G49470.2 pep chromosome:ALNU02000000:1:45917091:45920764:-1 gene:OGLUM01G49470 transcript:OGLUM01G49470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQGKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >OGLUM01G49480.1 pep chromosome:ALNU02000000:1:45922403:45930644:1 gene:OGLUM01G49480 transcript:OGLUM01G49480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRPDLGGGGSFREGPQLSGAGTPRALAEPPPLAQYLPLESFPVGDHKQSRATELRRVLGVTVEAEQSFGLVQTKPLPSIASEELKRIRGGVVESSAKAKEKTKSLQDSIQKLDKYRNVVTRRRQRSEGGATERSSGSGSGSLRMGAQNSMDNPGQRLEERAKSATTSKRVRSSLAADARLEGRGNVPTRQGPLADSEKSSSLEKEKNSLRNVNAASGFSEDKLRGLAPGGEGWEKKLKRKRSVGTMLNRGNDVDRDVKPLVQHRPNNEARMRSSDGLPIRHGASAGALGGSKMDGGSQQSNAGSRYLLKADMDSTSLPNERRERHLGIDKERVLVKGNKANTSEDMQPGTLSPLTKGKACRAPRTSSLVVMNSSSTLQRSSGGIDEWEETPSTNKSSPLGGTANRKRPMTASGSSPPVAWVGQRPQKMSRTRRANVVSPVSNFDEGLSEGSPLDAAVRPAVESPGLLLPRGVASNNSQVTPRMDNISSPAGLSESEGSAATENKNKDKISNSGDFENEGANSAHNSTDLIISSKKSRILLKEELEDGSIRRQGRSGRNTMHVKGCASMPREKLDSPETRKLLKSGRPVSEKNESKLGRPPTKKGSDRKASSRHSEILNCGLTDISGESEDDREELLAAANAARSAIVNAYAGPFWKKIEPMLTFISSEDLSFLKHQITFLEELEMGMSNSSDEHNLNTSTNYSGPLSMGQNSSLPQSNSCVSLEQSEANGPRARESIDILSPNNENTASQKTHAEELFGGMASLTHKLFSAFIVEDGDNSSECNGGDILLEFSNDFLPYAANMNLENDFEASAVKSNFGSSPDFKHSNHSSVHNSMSNGFTASSNLRASYSPNSICSENVSDAIKFAVYPENGGFHEFVPHISHQYQNCAKSTPLPPYEYQYDQLPVHDRALIELHSIDLCPEMPKLDDGEDEDIDKVITELQKRLFEQVNQKKCQLHKLDKAIRDTKNMEERSLEQHAMNKLVEMAYKKLMGGRGSSSHKGGLNKAANKAAKQVALAFAKRTLARCQKFEETEKSCFREPFLWNVLSAPLPKNDAIDGGLPGSADRPKLLKLDRSPLSQGTTKWKKSDRERDQNRDASLKNSNSKSGRNSSGSARSERKTKIKPKQKLAQLSTSGNVLGRVTEPSNFAAPGQRESHDWTSTSSTRPTQPVRNSAATVAQDTLDAPLANLPAIDPMDILDVPEGNDISSWFTDGLDDSLQDFDFSGGLEIPDDDLTQLGFM >OGLUM01G49490.1 pep chromosome:ALNU02000000:1:45930756:45931973:1 gene:OGLUM01G49490 transcript:OGLUM01G49490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGGGEEVEEVEAVDGGEQQPPMEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSASKTVFGVSTESMQCSYDARGNSVPSILLLMQRRLYEQGGLKAEGIFRIAADDAQEQAVREQLNSGVLPEGGVDVHCLAGLIKAWFRELPGGMLDSLPAAEVTRCQSGDDCARLCARLPAAKAALLDWAVQLMADVAREERSNKMGSRNVAMVFAPNMTHAMDPFTALKHAVHVMNFLTMLIDRALNDVQTCNN >OGLUM01G49500.1 pep chromosome:ALNU02000000:1:45934513:45938451:1 gene:OGLUM01G49500 transcript:OGLUM01G49500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIATSKKLFLNAKKNGCHNVIDFKEKLQDFDCTLTGLHRGKYRMDTMESDCAIKKFDVDRTKASTFSLIKNVRHTNIVTVRNFYDEVGQPRFVLSWVDGSLTAWVKSEGAKMLFKKSWTGRSPTPTFRQIVIDLCAGLEHLFEKGIYPIRIGVEDMFVRKAGRKPSVQLLITKAKSFVESDKVGSKNIQNGLWKQMRDAIKDIFKDHLDSQNSNDSVLSRFFEHIAEGGAKKLQNYPLDWSEKDKAKYLLKIIAIDKSEVQQKLSKVKIVWPPETVSGKLPSPLREMKVHEMTRAHPASYDVKIPYDYLKICKNMIKHWWVLPEDVKEDIIQAPDGDKKFGIICVTLHDKEESTQLTAS >OGLUM01G49510.1 pep chromosome:ALNU02000000:1:45946141:45950554:1 gene:OGLUM01G49510 transcript:OGLUM01G49510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELLPGRGRRPPVRGRGGGRGGVGGGGRMPPPSEGRADDDDDLFGTCRRGSPRTKKAWGEVRICRRNRQESSTEHPPKEPTGSSGEILLLQKEHHRIEEMGSTSSAEMLHKHPSEETAPQTGSSGETPQKLQAKEKKVRTENHQASGEKLQKLRANGKAGMSSTGVMPQKLRAEKGSSGGTPQKLQAKGNEGTSSIGGVMLQKHQAKQEMAPSRLLHHPPRIQVQGVSNRGAQVATTSRQKHAKINKSSTTRASEKLRSATNSPSQKTDTASSSNGNIAPHGCKEPARSDHPNNIVHAMDDKDSQEADDAIKRLNELGLGENISSEEFAKYIDQLVQDPKVDTSTKLDRAQLASLHALHARHRIKYYKESPEYMLNTECKGDSYHTKLLGEDEISDEFITEMGFFMRLEKDGTFDWCFFPDYCLLAALDDYQRLVPLNGVDWQYAYWDDYHSYLNSYKTEQQYLKYCKALSMKLKVCKIVLTNNISYIALYFSGIKNAFISRQWMEDYVLNELPSLKDCLQHMRFYVAYCKDMDGLYFEIWQRVNKQNKSFRDSLEEVYNLNMFPSRQDKMKYALENDCSYMENEFHICTASVTSEITEGKALELIAEAVENRTNKPKFYEQYIQRKIDIAQAIGLVSTDGTEAA >OGLUM01G49520.1 pep chromosome:ALNU02000000:1:45951494:45953723:1 gene:OGLUM01G49520 transcript:OGLUM01G49520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGGGEEVEEVEAVDGGEQQPPMEIGWPTDVRHVAHVTFDRFHGFQGLPVELQPEVAGNAPSASKTVFGVSTESMQCSYDARGNSVPSILLLMQRRLYEQGGLKAEGIFRIAADDAQEQAVREQLNSGVLPEGGVDVHCLAGLIKAWFRELPGGMLDSLPAAEVTRCQSGDDCARLCARLPAAKAALLDWAVQLMADVAREERSNKMGSRNVAMVFAPNMTHAMDPFTALKHAVHVMNFLTMLIDRALNDVQTCNN >OGLUM01G49530.1 pep chromosome:ALNU02000000:1:45955083:45958496:1 gene:OGLUM01G49530 transcript:OGLUM01G49530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKPTNGNTAPKDCKEPATNDESNAVPVADLNNDLQEADEAIKRLNELGLGENISSEEFLTYIDQLNEQPIIDTSIELDDAQVTTLYFQHARYRLRYYKHLSQQPNTELVEDSYHMKLVGEDELSDEFIREMEFFMRFEEDGTFDWYFYPDYCWLAALNDYQRLVPINCVGEEYAYWDDYHGYFNSYHTELQYLDYCKALSKELKWMEDYVLNKLPSSKWGRICSRGAYQAIKIATRFSKITAALAYNAYYHMRFYVAYCKDMDSLYFEIWQRVNMQKKSFRDSLEEVYNLNKFPSRQDKMKDALENNCSHMETVFHVCTASVTSEIAEDKALELIAKAVESRMNKAKFYEQYIEKKIDIARAIGLISTDGTEAT >OGLUM01G49540.1 pep chromosome:ALNU02000000:1:45959133:45964362:1 gene:OGLUM01G49540 transcript:OGLUM01G49540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04710) TAIR;Acc:AT5G04710] MALLRAHHLLLMRPRAAFSSRPPPLSSSPCRRALPTTAVASRLLCSHHSSSPPDDAPTSASPSIVADLLDYLNESWTQFHATAEAKRQLLDAGFTLLSENDDWDLQPGGRYFFTRNMSCLIAFAVGEKYKLGNGFNIIAAHTDSPCLKLKPRSASFKSGHQMVNVQTYGGGLWHTWFDRDLTLAGRVILKDADGSFKHELVKVLSDEIGCKSDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKMPEELSNEKAIRMIALFDNEEVGSNSMQGAGAPTMFHAMRRIVDSLMHQSMGEGALDRAINSSFLVSADMAHALHPNYPDKHEEHHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARHHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSVREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVD >OGLUM01G49550.1 pep chromosome:ALNU02000000:1:45963645:45966697:-1 gene:OGLUM01G49550 transcript:OGLUM01G49550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGASSPARRRARRGSKGPNSDLSRTLTDCTRRGDAAAAMAAFDSALSGPDAPRLLAHQYNQLFHLLATADADSLPNPAAAARRVFSHMLGSGASPSEATITSLARVTASDASNPAAADEAFDLVATMRDKYGVAPRLRSYSPVLAAFRRAGDAGKAYAVDAHMEASVVAPEEPEIAALLDVSAKAGDADKVYEYMHKLSRTVDCVGEETAEVLEGWFRSDKAAMAGKAEWDACNVKDAIVANGGGCHRLGWLGSGPWTVQRVRVGGDGQCEGCGCRLACVDIDVEETQRFADSVAGLALQRETKTNFSQFQEWLEGHGAYEAIVDGANIALYQQNFAEGGFSLTQLDAVVTELRDIYNGKWPLVVLHNKRIAKLMENASNRHLIETWRANGALYTSPIGSNDDWYWLYAAIRLNCLLVTNDEMRDHIFELLGSSFFPKWKQRHQVKYTFSKGKAVLMMPPPYSSEIQESEMGSWHVPMEEKSGDDRARIWLCIDRTGHCKHPHEAPAANGVVQDVSPTEASHGCEQRRAEHNGGSLTGKRKDRN >OGLUM01G49560.1 pep chromosome:ALNU02000000:1:45967914:45968993:-1 gene:OGLUM01G49560 transcript:OGLUM01G49560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVVAAAAALLVVVCAAAQAPSSPRLPSNYHVINPGRFGKRDQQLSCTDSNGNKAVCMAKCDKRCPNQCIVMCPGCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDDDRLELAFDGAPVDVPAELGARWESAAVPGLTVTRTAATNAVRVQLAGVLDIMANVVPITEQDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVVSDIFSADCAVARFGRRAGISMVTGTAN >OGLUM01G49570.1 pep chromosome:ALNU02000000:1:45971632:45972738:-1 gene:OGLUM01G49570 transcript:OGLUM01G49570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLVGVLAVLVAVCAVAAVQAQPPSPKLPPNYHMINPGHMGGKRDQQLSCDDTQGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCIVSDADLHINAHFIGKRNPTMRRDFTWIQALGIRFADHRLYMGALKTAKWSSDVDRLELAFDGAPIDVPTELGARWESAAVPGLTVTRTAATNAVRVQLAGVFDIMANVVPITEQDSRIHNYGVTEEDSLAHLDIGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCAVARFGRRVGISMVTARAS >OGLUM01G49580.1 pep chromosome:ALNU02000000:1:45973893:45975344:1 gene:OGLUM01G49580 transcript:OGLUM01G49580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAYMAVAVALFLVVVGAAVPEAAKPPASHPRLPPNYHMINPGSSGLGKRDQELSCADTKGKKKGCMAKCDKRCPNQCIVMCPSCKTFCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDHDFCIVSDADLHINAHFIGKRNPTMSRDFTWIQALGIRFADHRLYMGALKTAKWNSDVDRLELAFDGAPIDVPAQLGARWESAAVPGLTVTRTAATNGVRVQLAGVFDIMANVVPITEHDSRIHNYGVTEEDSLAHLDLGFKFYDLSDDVHGVLGQTYRSDYVNKLSVSASMPVMGGAPSYVASDIFSTDCSVARFGHRAGITMVTARAS >OGLUM01G49590.1 pep chromosome:ALNU02000000:1:45977236:45978348:1 gene:OGLUM01G49590 transcript:OGLUM01G49590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQVVAVALALWCCGAAVVASAAASSPPLVSPKAKPGVRPKLPPKTKLTTITFSPHHKRDYQVTCTNTGRRPCVVSCPSNCPNKCLVACAYCLTFCMCDLFPGTSCGDPRFTGADGNTFYFHGKKEQDFCIVTDADLHINAHFIGNHNPAMKRDFTWIQSLGISFGDHRLYIGARRAAEWDDDEDHVQITFDGEPVNVDAAKGAHWVSAALPSLSVSRTDTVNAVAVELDGVFAITANAVPITDDDSRIHHYGKTAKDTLVHLDLGYKFHALSGDVDGVLGQTYRPTYANRLNITAKMPIMGGADKYRSSGLFSPDCAVSRFHRRRTAGDHVALGFAS >OGLUM01G49600.1 pep chromosome:ALNU02000000:1:45978988:45984819:1 gene:OGLUM01G49600 transcript:OGLUM01G49600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGASFAAAGEAAGDAVLLEITDASFIAGEADPSPPPPVSVDDLAGLDPLPYPTISGGLFLAAENLLTECERWFRTMSSQSSSLLAPLDFLIETWYFAQEHGINYVQDICPGYLAQNFVRICLLPLEFAAGTKRNWAEFGSKVESRILNLLKDSLRTVLDAIADDNLESYRVRITEYSKIMHFVALLTDEDFVLQKIVLSGCPQITTEILYISVLPPANVGASLNKRLGSSWAQVDYRNIILYNELEEAVKSSSFGNVHMVDLSKCPNSIQTLCNSNPLDSMDECRHVMAELHLDGCKGIGYAAMSQLMSNVNITNFLCLRETTLTDGALCNFVGSSLEFLDISETVVSMVSLAPVIRRNSNLRCLKAAGCRNLLFEHGEVEAMSGDNIYGDFLQEITSTCCLEDVEMGWAFCPIRVTTLIPSFSKVRKMTIGLGTTLPENILCALPDICPFLESLVLRFQMISDKVVRNLLESSTKLRVLCLYSCLGNLTSFSFQIKAPLLRILRLEWITPWMTNDDLAVLIQNYNLVELSLSGCKLLDSNSQELISSGWPNLTCLHLEFLFKPKFQGFSAVISVATLLNK >OGLUM01G49610.1 pep chromosome:ALNU02000000:1:45985235:45990462:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMSSRELPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49610.2 pep chromosome:ALNU02000000:1:45985235:45990462:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49610.3 pep chromosome:ALNU02000000:1:45985235:45990379:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDEFFRFYFARKETDEIKMDSCTTMAGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMSSRELPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49610.4 pep chromosome:ALNU02000000:1:45985235:45990379:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDEFFRFYFARKETDEIKMDSCTTMAGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49610.5 pep chromosome:ALNU02000000:1:45985235:45990462:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKVRVLHSCALNLLGFFGSNWIFLVALPHLPYPVILFCMLFGCVDQIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMSSRELPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49610.6 pep chromosome:ALNU02000000:1:45985235:45990462:1 gene:OGLUM01G49610 transcript:OGLUM01G49610.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAisopentenyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT2G27760) TAIR;Acc:AT2G27760] MAHLAASAAPLPSPDPDAGEEASHSPLPPEKGRRKVVVVMGATGAGKSRLAVDLASHFAGVEVASADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIEGILDRGGLPVIVGGTNFYIQALVSPFLFDDMAQDIEGLTLNDHLDEIGLDNDDEAGLYEHLKKIDPVAAQRIHPNNHRKVRVLHSCALNLLGFFGSNWIFLVALPHLPYPVILFCMLFGCVDQIKRYLELYESTGALPSDLFQGQATEDRSGVDLVTPDLTVVSCDADLHVLDRYVNERVDCMIDDGLLDEVCNIYDREATYTQGLRQAIGVREFDEFFRFYFARKETDEIKMDSCTTMAGLHDDNLKGLLDEAVSQLKANTRRLVRRQRRRLHRLNKYFEWNLHHIDATEAFYGATADSWNMKVVKPCVDIVRDFLSDDTILASRDGSSVTGSPRMSSRELPVITGYFGERMSGSNTSKADATVKEYNV >OGLUM01G49620.1 pep chromosome:ALNU02000000:1:46000331:46001394:-1 gene:OGLUM01G49620 transcript:OGLUM01G49620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGNEVIDISSDSDDGQYGPRTFSGFDKYCWYGNDVTLTKSMHLQIAHVAAVNLPKIPLFVCTVKRTLMTNAKMTFAVQFSERYINKYIGSPIDDLMVSMAGTTQSYQMRLKRSKDSRAMLTTGWNQLIDAKAFDEGDVCPFHFKEVDDVLVLKITQLRHFFGMHYNYPKY >OGLUM01G49630.1 pep chromosome:ALNU02000000:1:46018839:46020408:1 gene:OGLUM01G49630 transcript:OGLUM01G49630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRATRRRRRRRILDKRPKELEQQADRNRGTSETTYMAFFWVSNSAGALLLGSAGRRRGPGASWAAGEGGEKVEVALRHGEVVADPGGGRLPRHGGCGGGGDHHLRRGGEAPELAEVSPGRERPEGLGGGGGVGSGRGRSGVVVGGTEALAEDEGGGAGEEEVVGVGGGVGAEGGRGGGGEGGRGGAGAGGGDDGGLGLAEEPLDGLAVGLVAELAGELEDASGADDGHADAAAAAVDLAVAVLGGRLADGEGGAIGVGGRDELLVRSAATAVWPGRHEERRGGIGEGEVG >OGLUM01G49640.1 pep chromosome:ALNU02000000:1:46019665:46020366:-1 gene:OGLUM01G49640 transcript:OGLUM01G49640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRPDGGGGAPDKQLVPASNANGTALAVRKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTSSPSSLRSNSTSNSNDLLLPRAAPFILGKRLRAADDHTTSPAPAPDATAPTQAFWALPARADFGQLWSFAAAPEMMVAAAAAPAMPGEASAARVGNYLPMAQGNLNLLASFSGGPGGAGATAATGRPEEESAR >OGLUM01G49650.1 pep chromosome:ALNU02000000:1:46029763:46029984:-1 gene:OGLUM01G49650 transcript:OGLUM01G49650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQYTATRSQRAATACFFLTGVALILAAARLSYANIEPQRAKAADRRRVLEDFIRRKRNHALDLEDPPPKP >OGLUM01G49660.1 pep chromosome:ALNU02000000:1:46031894:46038568:1 gene:OGLUM01G49660 transcript:OGLUM01G49660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDLEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGLLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHIHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRRDDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVSECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >OGLUM01G49660.2 pep chromosome:ALNU02000000:1:46031894:46038568:1 gene:OGLUM01G49660 transcript:OGLUM01G49660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDLEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGLLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHIHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRRDDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVSECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >OGLUM01G49660.3 pep chromosome:ALNU02000000:1:46031894:46038568:1 gene:OGLUM01G49660 transcript:OGLUM01G49660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDLEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGLLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHIHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRRDDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >OGLUM01G49660.4 pep chromosome:ALNU02000000:1:46031894:46038587:1 gene:OGLUM01G49660 transcript:OGLUM01G49660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVEAAAIDGSGEEAKRKSGKQRGSGAKGRRRNGDRAFRPPAMRPEEEGRGVATRPGALRERKPPPNAFNAPDDDEDVEKTDQLLEPLNKPKRRDAGKKRGPRKKKVDQENIKTHRHNANAVKGKMLVNDKVSKTEKKRKRGDTGAAENNGKGKKMLTGENALMCHQCQRNDKGRVVWCKTCNNKRFCVPCINQWYPDLPENEFAAKCPYCRKNCNCKACLRMRGVEEPPRKEISKENQIRYACHVLRLLRPWLIELRQEQMAEKELEAKIQGVSVDQIKVEQAVNRCSTSIVDFHRSCKHCFYDLCLTCCQELRKGEIPGGEEVEILDLEERDKDYAFGKILSDGENQRDSLKCRSDTQNSESNKGMASDENQKKALLLWKANSNGSIPCPRKEKEDCSFSSLDLKCLFPEKLLPELEDRAEKVFWSETFAKELGRTSELCPCFDHSGKIRSDSKKLRQAANREDSSDNYLYCPVATDIQDADLLHFQMHWAKGEPVVVSDTLKLTSGLSWEPMVMWRAVRERTKGKAEDEQFAVRAVDCLDWCEVEINIHMFFMGYTRGRTHPRTYWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGLLNLAVKLPGGVLKPDLGPKSYIAYGFSEELGRGDSVTKLHCDMSDAVNILTHTAEVPCETYDAVRIKNTQKKMKMQDDMEIYGMIESGSELKPSACPVELGNKAVGEAPKASCSKENVHTLKDKSNGLDINASPPDDAGGDARDEALSYESVVHSDVAQCPNHIHETNNSDDARNGAQRCQKKAKGRPPKTGSGVSEHQESGGALWDIFRRDDSEKLQDFLRKHAPEFRHIHCNPVKQVIHPIHDQAFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVSECVRLTKEFRRLPSSHRAKEDKLEIKKMAFHALNEVLNFLDPPSSEGSKEAAEEKPRRGRGRPRKH >OGLUM01G49670.1 pep chromosome:ALNU02000000:1:46051107:46056641:-1 gene:OGLUM01G49670 transcript:OGLUM01G49670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPPAASTARLDLDGNPIAPLTICMIGAGGFIGSHLCEKLMAETAHVVYAVDVYCDKIRHLVDPAPPHLHGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPTDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVHLMIENPARANGQIFNVGNPNNEVTVRQLAKMMTEVYANVSGEPPLDEPMIDVSSKQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAIKRQMSQASASKSLARRDEARGEERRRRGEESENRKAMGNAGSSPEQAAGNNKEDAEASRPPPSTVRFYPSADQPKARQPPPIKLEEEDVPPPPAADEEMAPRNLWQVYALGAFIVLRWAWVKWKESKDRDDSPDGRSPDGSS >OGLUM01G49680.1 pep chromosome:ALNU02000000:1:46060058:46061655:1 gene:OGLUM01G49680 transcript:OGLUM01G49680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLERSIQFMENKLTNKLLSVEQICQKNTLAIEDIKNTLSKKRKSVPIQTDAFRPKQEADVNKVEDNRTFDLGNERMPTITEEMHEDNTDETNLAKEIDGNGTEEAPFIVTGDEATETDDNNKTIAERLRGSANLGLTLKDKITINYILQSEKTKVIEAYTELINDNQQGHTRQYGSALIEKETQVQINGVAKYIDYTVKERPVPTSWTDTNVAKWPLCPKSVPQQKDR >OGLUM01G49690.1 pep chromosome:ALNU02000000:1:46064113:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLRDKPLFYVDYVRKKIDIARVIGLITAKEMQKSGWWIDEFNQLQWTGSPKNRHRSKKKMITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDWSRQVSVSEMDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKELQYSMNYDVCHFKELDGVHFEIWQRVTKQKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49690.2 pep chromosome:ALNU02000000:1:46064113:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLRDKPLFYVDYVRKKIDIARVIGLITAKEMQKSGWWIDEFNQLQWTGSPKNRHRSKKKMITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDVSVSEMDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49690.3 pep chromosome:ALNU02000000:1:46064113:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLKEMQKSAGSPKNRHRSKKKMITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDWSRQVSVSEMDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKELQYSMNYDVCHFKELDGVHFEIWQRVTKQKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49690.4 pep chromosome:ALNU02000000:1:46064113:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLKEMQKSAGSPKNRHRSKKKMITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDWSRQVSVSEMDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49690.5 pep chromosome:ALNU02000000:1:46065586:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLRDKPLFYVDYVRKKIDIARVIGLITAKEMQKSGWWIDEFNQLQWTGSPKNRHRSKKKMITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDVLDEHHTLYRIKYYEAVVTTGVCE >OGLUM01G49690.6 pep chromosome:ALNU02000000:1:46064113:46066288:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.6 gene_biotype:protein_coding transcript_biotype:protein_coding MITAPSPAIAAAGDTHADAHATNPNPPAAVPTKPPVVGVPPEQKKIAATTTTTTMLTSWQRKMRKKLNFYQEFDGYSDQLLQEHPPVDTSTQLNFDQLDWSRQVSVSEMDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKELQYSMNYDVCHFKELDGVHFEIWQRVTKQKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49690.7 pep chromosome:ALNU02000000:1:46068304:46070174:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAALPHSRRHRRYRSPPPAPISSVPPPEHPHPHHGTIDRFPAAAILFRPPGRSPSRRHRSPPTRCCPLLRKLHLCPHADQQAVVPGVGSSTAAAPLCRTQTVPSPPKEDEVQGLIAHAVKKLRVTK >OGLUM01G49690.8 pep chromosome:ALNU02000000:1:46064113:46065584:-1 gene:OGLUM01G49690 transcript:OGLUM01G49690.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDELTEQYPFNILEDGEVITGFEKYDTFGLLDKEEVSMCFSDDTIDWFFHPDYCTLAGLDDYQRLVPKNKELQYSMNYDVCHFKELDGVHFEIWQRVTKQKVAEDEVQRLIAHAVKKLVLYNNII >OGLUM01G49710.1 pep chromosome:ALNU02000000:1:46077083:46080759:1 gene:OGLUM01G49710 transcript:OGLUM01G49710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETRPPSAGRPPSSGRGRADDADEREEGEIADDDSGHAPPQANPAAPHPLEHAWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWSLYNNIHHPSKLVVGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTIWLHTLLAMIGEQFDNGDEICGAVVSVRGKQERIAIWTKNAANEAAQISIGKQWKEFLDYKDSIGFIVHDDAKKMDKGPKNRYTI >OGLUM01G49720.1 pep chromosome:ALNU02000000:1:46082042:46087674:1 gene:OGLUM01G49720 transcript:OGLUM01G49720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >OGLUM01G49720.2 pep chromosome:ALNU02000000:1:46082330:46087674:1 gene:OGLUM01G49720 transcript:OGLUM01G49720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPISNFFMCLCLLPICRREGIDIRSSMATFELYRRSTIGMCLTDTLDDMVSSGALSPELAIQVLVQFDKSMTSALEHQVKSKVTVKGHLHTYRFCDNVWTFILTDAIFKNEEITETINKVKIVACDSKLLETKEE >OGLUM01G49730.1 pep chromosome:ALNU02000000:1:46084221:46087631:-1 gene:OGLUM01G49730 transcript:OGLUM01G49730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19210) TAIR;Acc:AT5G19210] MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEVVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFNL >OGLUM01G49730.2 pep chromosome:ALNU02000000:1:46084221:46087680:-1 gene:OGLUM01G49730 transcript:OGLUM01G49730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19210) TAIR;Acc:AT5G19210] MAAFSGCASPLSTTLRSGLAPFTLRHRLRLRRLRASAATLREVCAGRVPEHVLQRAEVVGYVVPTEVQEQSLPVLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFGRSSVQALVVVPTRELGMQVTKVARILAAKACTVMALLDGGMLRRQKSWVKAEPPAIIVATVASLCQMIEKRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFVHDCVQHKWTKTDVVHVHVNPVQPMPSHLQHKYAICSKKERLHVLLSLLEKDAPKSGIIFVAEQSEKSKKAGHPPSTTVVVEFLRTTYMGSLEVLLLEEDMNFNARATSFTEVKGKGFLLVSTDIASRGFDLPQTSHIYNFDLPKTAIDYLHRAGRTGREPFSKLACSVTTLITEDEHFVLQRFQNELKFHCEELPVESMFAFNL >OGLUM01G49740.1 pep chromosome:ALNU02000000:1:46098662:46100913:-1 gene:OGLUM01G49740 transcript:OGLUM01G49740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase family M48 family protein [Source:Projected from Arabidopsis thaliana (AT3G27110) TAIR;Acc:AT3G27110] MAAAAAAASAPRLLLPPAASTFPHQHEHVRRNWHRPRRQVISARAGASVAARGLDADDFRHPLDKQNTLLLRAVPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSENQLSDLHQILTEAAKLLNTDAPDLYIRQNPVPNAYTLAISGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANILTMGAYSVPGFGMVAGFLEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGCPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSREYKTVQQKVIQLQLNDHDAS >OGLUM01G49750.1 pep chromosome:ALNU02000000:1:46119154:46123742:-1 gene:OGLUM01G49750 transcript:OGLUM01G49750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MAPPPPPTAFSRLRRLFSTAAATATAPTPESVLYSLRTLSKDPSVALAFFRRSQAGGHPLGSAAYNLMLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGGTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYEHGSVSYNAMVRVLGREESMQEFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELFESIKVESEGGCAFLEDKVARAMDFQQRQRAKTVAECDGSLDWMDRFPAGSVQALHA >OGLUM01G49750.2 pep chromosome:ALNU02000000:1:46119154:46123742:-1 gene:OGLUM01G49750 transcript:OGLUM01G49750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48250) TAIR;Acc:AT3G48250] MAPPPPPTAFSRLRRLFSTAAATATAPTPESVLYSLRTLSKDPSVALAFFRRSQAGGHPLGSAAYNLMLRTLASHPTSAHSHFWPFLRDMNDAGHSIDQGTYLAALASFKKASLTADYASLTAHYAKAQEDAKGGTPTSAAADAVRALEDGSDSDASAELDEKLEGVDLPLTETAVARVLREVRDHPIKALAFFRWAGRQIGYEHGSVSYNAMVRVLGREESMQEFWDLIQEMKADGIHVDIDTYVKLSRQFQKRHMLTEAVELYELMMDGPYKPSKQDGPVLIRRIALGPSPDLELVYRVVRKFEAVWEFKTKDVFDGIHRALTSNGRFDEAAEIVKRMKGEGHQPDNITYSQLIFGLCKANRFDEARKALDEMEAEGCVPDLKTWTMLIQGHCAAGEVEKALQYFTEMVEKNLEADAALLDVMVKGLCSDDKIDASYAFFVEMVDKANLSPWQGTYKHIIGELLRVKKLEEALGLLRSMKARKFPPFADPFPTHIAKYGTFDDARQFLKALTVNNKYPSPTAYLHVFKSFFTEGRYSEAQDLLYKCPFHIRKHPDVTELVARAMDFQQRQRAKTVAECDGSLDWMDRFPAGSVQALHA >OGLUM01G49760.1 pep chromosome:ALNU02000000:1:46120212:46120611:1 gene:OGLUM01G49760 transcript:OGLUM01G49760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSHNQVLYFQAQRKVRFQDNQANIMKEVPIGTNEKLVHDQRMKDDKNKDLDSVASDFIKLKHRAWALQKSASMYQPS >OGLUM01G49770.1 pep chromosome:ALNU02000000:1:46126412:46129312:1 gene:OGLUM01G49770 transcript:OGLUM01G49770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAISHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >OGLUM01G49770.2 pep chromosome:ALNU02000000:1:46126412:46129312:1 gene:OGLUM01G49770 transcript:OGLUM01G49770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWETNAIAKHSCSHTCLVARVVSREGKQWSSGSAMEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAISHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >OGLUM01G49770.3 pep chromosome:ALNU02000000:1:46126397:46129312:1 gene:OGLUM01G49770 transcript:OGLUM01G49770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEASYSYGFLPSGRHQPYAPPPPHPAEEGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPLCADNIGRDMGAHFRVQHSHLLKRRKPSRPSSSWPTPSNNSDPYFEGPPQYMMNNRTYQDPAPDPLLSQFICSMAQTDTNSDNTNTEIAVSAISHDQRLSQRVTLTDDASKLELKERLQRIEFVKEIIMSTIL >OGLUM01G49780.1 pep chromosome:ALNU02000000:1:46129713:46134159:-1 gene:OGLUM01G49780 transcript:OGLUM01G49780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >OGLUM01G49780.2 pep chromosome:ALNU02000000:1:46129715:46133000:-1 gene:OGLUM01G49780 transcript:OGLUM01G49780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPIVGRSAPRKPNESMRLLVVTVIGVMLGFFIGISFPAVSITKLHFPSSIVSYIEDKNSALTAQAILNHAWTTARNAKGNDTESSSDTAMKIYVPTNPRGAESLAPGIVVPESDFHPRRLWGNPDEDLPFKPKYLVTFTVGISQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHVSVRRQAKWWYAKRFLHPDIVASYEYIFIWDEDLGVEHFNAEEYIKLVKKYQLEISQPGLEPDRGLTWQMTKRRGDHQVHKETEERPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQPAHEKIGVVDSQWIVHQVVPSLGNQGQSEHGRAPWEGVRERCRKEWGIFQTRIAEAEKSYYEMMGVPPPNVTFVH >OGLUM01G49790.1 pep chromosome:ALNU02000000:1:46136787:46138612:1 gene:OGLUM01G49790 transcript:OGLUM01G49790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMNSKLHLALVLLLLCGGACVAVAMPSELSIVGYSEEDLASHERLMELFEKFMAKYRKAYSSLEEKLRRFEVFKDNLNHIDEENKKITGYWLGLNEFADLTHDEFKAAYLGLTLTPARRNSNDQLFRYEEVEAASLPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTRLSEQELIDCDTDGNNGCSGGLMDYAFSYIAANGGLHTEESYPYLMEEGTCRRGSTEGDDDGEAAAAVTISGYEDVPRNNEQALLKALAHQPVSVAIEASGRNFQFYSGGVFDGPCGTRLDHGVTAVGYGTASKGHDYIIVKNSWGSHWGEKGYIRMRRGTGKHDGLCGINKMASYPTKNA >OGLUM01G49800.1 pep chromosome:ALNU02000000:1:46139557:46141603:1 gene:OGLUM01G49800 transcript:OGLUM01G49800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKVLTLEEVSKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYYVGDIDATTIPTKVKYTPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >OGLUM01G49810.1 pep chromosome:ALNU02000000:1:46144351:46147318:-1 gene:OGLUM01G49810 transcript:OGLUM01G49810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G40610) TAIR;Acc:AT5G40610] MENGHAKNLVAVIGSGNWGSVASRLIASNTAKLPSFHDEVRMWVFEEILPTGKKLSESINQANENCKYLPGIKLGANVIADPDLENAVKDANMLVFVTPHQFVEGICKKLVGKLRPGTEGISLIKGMEIAVEKFSEATIGYKKDKEVATRWAKLFATPYFLVSVVEDIEGVELCGTLKNVVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLSPTVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAKEVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIINGSNAYY >OGLUM01G49820.1 pep chromosome:ALNU02000000:1:46149234:46153598:-1 gene:OGLUM01G49820 transcript:OGLUM01G49820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSSSHGLLHPPLRLLAAASASSGSSYSIPHARLRLAVTTPSRLPSPISSSPDPPPDVAYDEDEQEGQHHKEERDERYGFEIQVRKLPKRNRRLVRARVRVDAPLDAVWATLTDYEGLAGFIPGLSECRLLDQSDCFARLYQVGEQDLALGFKFNARGTIDCYEGELQLLPAGARRREIAFNMIDGDFKVFEGNWSVQEEVDGGEISADQEFQTILSYVVELEPKLWVPVRLLEGRICNEIKTNLVSIREEAQRIQRLQDKASSQYYTSLILKNTLQKGSIAMLTAQWEGASRRFNRIGLHKSNPELNDEMRGFLRHAESRTPRAFVQLLAAQPPRPSAADQCHAAATKLGFSASNPFANTALLAFYCRSRRLREAQHLFDQMPLRTAVTWNTLIYGHAQSTAPDLAVRVQRPGRLRQAGECRCWSNAALRWPQQVFGEMEEKNVATFTALVTGFVLSRRPHDAVLLVREMERSGVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDPFVLSALVTMYSKCGIWEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASILKAIGSSSSLLEGRQVHTLILKIEYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTKHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKRLMELNPHDSSVHVQLSNAFAGDGRWGNAAEIRETMSGKGIVKEPSWSRIEDQMQHR >OGLUM01G49830.1 pep chromosome:ALNU02000000:1:46155620:46156336:-1 gene:OGLUM01G49830 transcript:OGLUM01G49830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59570) TAIR;Acc:AT5G59570] MGEEAPEEYELGGGEDERVMEWEAGLPGADELTPLSQPLVPAGLAAAFRIPPEPGRTLLDVHRASAATVSRLRRASSSSSSSFPAFASKGAGAGADEAESGGGADGGNGNTNNNSSKRARLVWTPQLHKRFVEVVAHLGMKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHASLHDQVPSPYHPHPHHHSYNNAAYAATVSSYHHYHHANH >OGLUM01G49840.1 pep chromosome:ALNU02000000:1:46158155:46163608:-1 gene:OGLUM01G49840 transcript:OGLUM01G49840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGEPWRRQEGEQAQAEADRERELDLFRSGSAPPTVEGSMGALHAAAAADVFLEDELRADPAYHSYYYSNGNINPRLPPPLLSKEDWRSAQRLRPGLGGIGDGRKPRGGGGGGGGGGGAGGMGPGDGLIGMPGLEIGRQNSFSGIFQDDSYQHDTDRQGANCNGTDLLSSSKVQYGLHRETGAISGLHSDSKAPCLPENQNESSHSYASIIGSSLSRSASPDPELVRRVPSPCLPPIGVKLGATDKKNNVGSSSFNCSSPNIIESDDLVSALSGMNLSSSRAMNGNTMDQSKLHQDVDDVRKFLFDQYMDQTNGNQRHSYMKRSEQGHVKVPQEYSGASMNPSVMRSQINAGGFTSFDNSSVGSGFASPRLGSRSPGGSLSSRQNLTGASNLPNYVGIGSPTAASAHQMPVDPLYVQFLRAAEIAALAANCEDPLMDRANLGGSYMDLFGPQKAYLSPLLQSQKQYSYYGNLGVGLGYAGNSLTSPILPSSPGGPGSPLRHGDRSMRYPSGMRNFGGSFGSWNSDLGGKMEANLVPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFAEIMPQALTLMTDVFGNYVVQKFFEHGSSAQIKELADQLIGRVLALSLQMYGCRVIQKAIEVVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQHAIQFIVLTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLATDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSSNESEHLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPSTAA >OGLUM01G49850.1 pep chromosome:ALNU02000000:1:46169842:46170846:1 gene:OGLUM01G49850 transcript:OGLUM01G49850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASSYTYWCHSCDRFVHPHPHLDAAVLCPHCNAAGFLHDHEMPPAADHSPFNPPVIVLRRSASPDDATTFDLLYDDGAASALRPLFDRLLLRIPSASDNPNPPASKAAVDSMPTILIGACHLAADSHCAVCKEPFHLAAEAREMPCAHIYHHHCILPWLALHNSCPVCRHRMPTDDHDSTNAAAAQAAAGSSDEDATTVGTLTIWRLPGGGFAVGRFAAAGGTRAGERELPVLYTQMDDGGFNGGGGSGSPTMIGWSSRGSRSSQRQRSIIPRLFRNMFACFRHHDATADSGDYSSRAGRRSSSSVFTRSLRSQITSWRSEDGHPDAITTR >OGLUM01G49860.1 pep chromosome:ALNU02000000:1:46173816:46174532:1 gene:OGLUM01G49860 transcript:OGLUM01G49860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQARARAAVRSESSARSALTESSAAVELAMTLSTTPVVPTTFPGTGHSIFDISYATPAHHPPAAAAASASFSSAATASGLLSPPSPPASSFPASSALSTTVANASCYSCSCPFLFSAAPSPLDFEAAPARWPRQPAAAERERDREIAGGRGWRRKRAMRRRAAEGVVVVVVGVVAMARRGGRGNWRRWSGRGIGRSREGKGGEGSARCGDGGDEEAGGGEGGGGGGRRGRHGFPV >OGLUM01G49870.1 pep chromosome:ALNU02000000:1:46175197:46176824:-1 gene:OGLUM01G49870 transcript:OGLUM01G49870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZRT/IRT-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G59520) TAIR;Acc:AT5G59520] MARTMTMRVSSLLVAVLLLLAALSFQACSGHGGINDGDGQVDAPATPASSSGVRSKGLIAVKVWCLVILLVFTFAGGVSPYFYRWNESFLLLGTQFAAGVFLGTALMHFLADSTSTFKGLTTNQYPFSFMLTCVGFLLTMLSDLVIAAVARRSAAAGVSDNQVSEQQQRQQAEGAVMSRKEEEAAAVAHPAMLVRTSSFEDAVLLIVALCFHSVFEGIAIGVSASKSEAWRNLWTIGLHKIFAAVAMGIALLRMIPKRPFLMTVVYSLAFAVSSPVGVGIGIAIDATSQGRAADWTYAISMGLATGVFIYVAINHLIAKGYRPHHPTAADKPLFKFLAVLLGVAVMAVVMIWD >OGLUM01G49880.1 pep chromosome:ALNU02000000:1:46202036:46202404:-1 gene:OGLUM01G49880 transcript:OGLUM01G49880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERWKLSSSKGRSKSGRSCSSSSNYYYHSSDFNSSNATTLSRSYSASVTASRHATTAWSAAGAGGGGASSSSSSQHQHQQQQSNNSQRLSKKCVEAVKEHRARFYIVRRCVSMLVCWRDY >OGLUM01G49890.1 pep chromosome:ALNU02000000:1:46227205:46229196:1 gene:OGLUM01G49890 transcript:OGLUM01G49890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMQESREYWRDGGDVVGEELLREILDETAAVHSNSNSNSNSNSKEAEEEEEDEREYFAAAAADEQLQVEAPCGRRRRESMVNKLISTVYSGPTISDIESALSFTAAGDHQLLADGHNFAASSCSPVVFSPEKTLSKTMENKYTLKMKSCGNNGGLADDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERAVDEPDTLIVTYEGLHLHYTYSHFLHSTSSSSSSTTTQQQLQPQPQMMTNCKKKPKLHLHPLLHDDPPPPPPEMTTMMIMQSFSIQQQQHDDDQLLQPAADHLMVQAPPDGCYIDGSSSSGLMMSLDDDEQAAGAGGLLEDVVPLLVRRPPPPICNNNNYYYSPATTCTSDNEYGSSASASPSSSVSVSSWTTPMSPCIDMAILSNIF >OGLUM01G49900.1 pep chromosome:ALNU02000000:1:46229873:46233185:1 gene:OGLUM01G49900 transcript:OGLUM01G49900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FIZZY-related 3 [Source:Projected from Arabidopsis thaliana (AT5G13840) TAIR;Acc:AT5G13840] MATDASPKPAPPRLNVPPAMAGGLRLDPAVASPARLLLDVPKTPSPSKTTYSDRFIPCRSSSRLHNFALLDRDRASPSTTDDALYSRLLRAEIFGPDSPSPAPSSPNTNLFRFKTDHPSPKSPFAASADATAGHYDCTAGSAESSTPRKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNTLAVGLGNCVYLWSASNCKVTKLCDLGPRDSVCAVHWTREGSYLAIGTSLGDVQIWDSSRCKRIRNMGGHQTRTGVLAWSSRILSSGSRDKNILQHDIRVPSDYISKFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTLRVLYLAMSPDGQTIVTGAGDETLRFWNIFPSMKTQAPVRDIGLWSFSRSHIR >OGLUM01G49910.1 pep chromosome:ALNU02000000:1:46234604:46237220:1 gene:OGLUM01G49910 transcript:OGLUM01G49910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECESNYSKEQRLNGDSLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMRQALKVASINPKTSILFDDSARNIQAAKQIGMYTVLVGTSERIKGADHALESLHNMKEALPELWDEAVKDEDVRKSSKVGIETSVIA >OGLUM01G49910.2 pep chromosome:ALNU02000000:1:46234895:46237220:1 gene:OGLUM01G49910 transcript:OGLUM01G49910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECESNYSKEQRLNGDSLVFDLDDTLYPVTSGIGADVVRNIQAYMIEKLGVEESISLELCVLLYKQYGTTMAGLRAVGYQFDYDDFHSYVHGRLAYEKIKPDPVLRNILLSLPIRKVVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSSSLSAAGQVEIFDIMKHLAHPEPGVELPKSPILCKPNIDAMRQALKVASINPKTSILFDDSARNIQAAKQIGMYTVLVGTSERIKGADHALESLHNMKEALPELWDEAVKDEDVRKSSKVGIETSVIA >OGLUM01G49920.1 pep chromosome:ALNU02000000:1:46240608:46245712:1 gene:OGLUM01G49920 transcript:OGLUM01G49920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQVYPALYRDEKTRLFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYSVMTGFSSTTSWGTYIYYGGSGCP >OGLUM01G49920.2 pep chromosome:ALNU02000000:1:46240513:46245712:1 gene:OGLUM01G49920 transcript:OGLUM01G49920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSEAEAEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQVYPALYRDEKTRLFIYWTRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYSVMTGFSSTTSWGTYIYYGGSGCP >OGLUM01G49920.3 pep chromosome:ALNU02000000:1:46240513:46245712:1 gene:OGLUM01G49920 transcript:OGLUM01G49920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSEAEAEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQRDAYDQTGCYNLACSGFIQTNTQVVIGGSISPVSIYGGPQYEYDYLVWKDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYSVMTGFSSTTSWGTYIYYGGSGCP >OGLUM01G49920.4 pep chromosome:ALNU02000000:1:46240513:46245712:1 gene:OGLUM01G49920 transcript:OGLUM01G49920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWLQLQVALLLLVALIFSTLSPSEAEAEAEAAAATSTNLRRRQEVQSLLKRLNKPPLATIQSPDGDIIDCVHISKQPAFDHPLLKNHTIQMRPSIQPSGMYGEAARPFTQTWNQNGEKCPDNTIPIRRTKEEDVMRATSVATFGKKTHGSHHPRLAGVTDGHHYGVASATGDANYYGTKATINLWQPTIATSGDFSLAQLWISAGSYQNKDLNTIEAGWQDLAGGNWWLQVQGKYVGYWPSSIFTHLQTGVADTVEWGGEVNSPRSTTPMGSGHFPKEGFGKATYSKAIQVVDSSNNLKSPNGVSLIAPLPNCYSVMTGFSSTTSWGTYIYYGGSGCP >OGLUM01G49930.1 pep chromosome:ALNU02000000:1:46248063:46250733:-1 gene:OGLUM01G49930 transcript:OGLUM01G49930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGGGAIELRRRMAAQCLAFERQIADGRERTKAAASAFSAALLSARSLSNHTISQREKSNQLKDQLRKLEADFAQALSSHGRSETLQTSSAVQGSNKTKYDLTGQSITNAITTNDQLSCLVTDKRASRDEYANVISSQLEAIEALEAKTDASGKKNLDEAFMWYKKFLGFQVVGGEGVKFVFSKIDIQNPDNEYSFCIKLNKDRYNLLQCTPFLKDSEELVKDLNCSNDLFKFVRIMRERFQAAAINGFLPASSLCPDTSSSITDSSPPALSIDTGRESTTTTSQSHSRSRAKNQDNPTKRGARPSNLLSSTRRSPRVAAADATNRY >OGLUM01G49940.1 pep chromosome:ALNU02000000:1:46250942:46260376:1 gene:OGLUM01G49940 transcript:OGLUM01G49940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein affected trafficking 2 [Source:Projected from Arabidopsis thaliana (AT3G55480) TAIR;Acc:AT3G55480] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGVSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKEPDRRFVADTVAAIALCAQKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYSSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEKHLEEFKKIMAHVIKLATGDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPEQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >OGLUM01G49940.2 pep chromosome:ALNU02000000:1:46250942:46257338:1 gene:OGLUM01G49940 transcript:OGLUM01G49940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein affected trafficking 2 [Source:Projected from Arabidopsis thaliana (AT3G55480) TAIR;Acc:AT3G55480] MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPTLLDSRFDADKLDALKRLLALIAQGVDVAHFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALCKLHDLLPDETTSLEDTVDVLFSDNSPGVVGATAVAFNSVCPNCLPLISKHFQRLCETLPDIEEWAQILLIDIILRYVIARHGLVKDSSIFASNLTLKSQGSGVSALIGNETCGTTSTITLFRHYIEEYSECLEGDIINCSSVTSSTNNDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVDQLNRVVGPILFTLRSSPDATYVMLGNILVFAKTAPQLFAPFYEDFFICTSDPYQTKALKLEILTTIATESSIPAIFEEFQDYIKEPDRRFVADTVAAIALCAQKLPSITTSCLGGLLALVFYESSISDSANFDGEAAVLVQAILSIKAIVRTDPASHEKVIVRLVRSLDKIKEPAARSLIIWIFGEYSSIGNIIPKITPAVLKYLAWSFAAEMLETKLQILNAAAKVIIHSPEKHLEEFKKIMAHVIKLATGDLSYDVRDRARFISRLLPYSTTYLNGNNSSCQSHNEDMFKELANHIFDGKMPSTFHPTNNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELIHKTMEPTRGVGNSSESINSDAESGSSTYDSGSVYDSESEVDGSSDRNAADSNTKDNQEDPLVHVYDASVDQGQTARDVEDNFASLITTDLTELMSKSALETWLDEAPAEPVQVSTQASSARVSFTNRSFERKPKLHMLLDPSNSNGLSVLYAFSSEVSPVSRLLVCVDLLFENVSTNQLADITIKSEEASGSEDGLDQTLQGSASVPTIVLDKEIQLLAPEQTEKMVLQVHFHHHLLPLKLSVLCNGKRHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRHHHRCTFKDHLQKLEHNDDSEEHTDKNLLIAQSLASKILSNANFHLVSMDMPVTFSIEDASGLCWRFSSEILSTSNPCLITILAEGHISEPLDLTAKVNSEDTAFGLNLLNRVVAIIE >OGLUM01G49950.1 pep chromosome:ALNU02000000:1:46257216:46260364:-1 gene:OGLUM01G49950 transcript:OGLUM01G49950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39670) TAIR;Acc:AT2G39670] MAAPQQVHVRAAPLARALRTRVAAATATAAASASSPERALLGLSEPDLRQLAVDLGQQSYRGKQLHDLLYKSRAKQIQEFSHVPKVFREALVGAGWKVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDKGPSRLTACVSSQVGCPLRCSFCATGKGGFARNLHAHEIVEQVLAIEETFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKELKIGQRMITISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETTVPSAKSYPLEALMDDCKNYFLETGRRVSFEYTLLAGINDAKEHAEELAELLHTCGGGYHVNLIPYNPIQGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSLSSEPNLAKRCIFRVHLSSEVKWFRDMPFREDGN >OGLUM01G49960.1 pep chromosome:ALNU02000000:1:46262042:46265231:-1 gene:OGLUM01G49960 transcript:OGLUM01G49960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGAKISSDAISRNASGSRRRRSGSRRKGTGLSSRVSSSVAAVTPRSEGEILQCANVRSFAFNELKTATRNFRPDSVLGEGGFGSVFKGWVDENTFLPSGPGTGMVIAVKKLNQDGFQGHREWLAEVNYLGQLSHPNLVKLVGYCLQDEQRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAFLHSDKAKVIYRDFKTSNVLLDSNYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLSAKSDVYSFGVVMVEMLSGRRALDKNRPAGEHNLVEWARPYLSSRRRIFRILDARLAGQYSLAGAHKAAALALQCLSADARNRPTMHQVVAALELLQETTTTSHHHRPPQSGMLLSGARSSAGAVARPRRLSASPLPA >OGLUM01G49970.1 pep chromosome:ALNU02000000:1:46273195:46275840:1 gene:OGLUM01G49970 transcript:OGLUM01G49970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF506) [Source:Projected from Arabidopsis thaliana (AT2G39650) TAIR;Acc:AT2G39650] MMLLAKELGLSPPAAVTPRRRPPPARVPASPSGGSPVAVGDLWLRTKGAGGGGDGFGSHSHESEMDLAMLVTDFLENGGTGGADSRASSDSDSALSDHLADNISIYKQGGDEKENELLSMVHSLLFSIHESDLLAFKRGQCSASCIRHLLVKLLRYSGYDAAVCVSKWQGFDKIPGGDHEYIDVIMNSDTEYRLIIDIDFRSHFEIARAVDSYDSLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQAKWHSKYERIDLHIEQDFHSTASDHALCIGHLKRLKSSLQSELDTERLLMMPIKTDMKRRAKFERRRRRSLLSF >OGLUM01G49980.1 pep chromosome:ALNU02000000:1:46283121:46289693:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATTTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRLNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >OGLUM01G49980.2 pep chromosome:ALNU02000000:1:46283121:46289693:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATTTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRLNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIEVCISILF >OGLUM01G49980.3 pep chromosome:ALNU02000000:1:46283121:46289693:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATTTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRLNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDDATRRNIKLLIKSLSRLL >OGLUM01G49980.4 pep chromosome:ALNU02000000:1:46285812:46289693:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MAHSGFSGSLTSPRFDLAVDMGHPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKVESALKKMCKEGAYWGTIAGVYVGMEYGIERIRGHRDWKNAMVGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >OGLUM01G49980.5 pep chromosome:ALNU02000000:1:46285812:46289693:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MAHSGFSGSLTSPRFDLAVDMGHPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKVESALKKMCKEGAYWGTIAGVYVGMEYGIERIRGHRDWKNAMVGGAVTGALVSAASNSHRQNVVKNAITGGAIATAAEFLNYLT >OGLUM01G49980.6 pep chromosome:ALNU02000000:1:46283121:46286930:1 gene:OGLUM01G49980 transcript:OGLUM01G49980.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol2 [Source:Projected from Arabidopsis thaliana (AT3G20320) TAIR;Acc:AT3G20320] MATTTKSFLPPPFIALSSNPRPTTLAPTPNPRPRRLNSLAICSASASGDPSPPPEAEGGGNPLLALWRRTLHPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRARFRKYQAVFEFTQACGICVGTPVRIRGVTVGNVVRVDSSLKSIDAYVEVEDDKIIVPRNSVVEVNQSGLLMETLIDITPKDPLPTPSVGPLDPDCSKEGLILCDKERMKGQQGVSLDALVGIFTRLGRDMEEIGVHKSYKLAEKVASIMEEAQPLLSRIEALAEEIQPLLSEVRDSDLVKDVEIIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESDHQTEMYLLYPSLVTSQSISSDISGFTGDDATRRNIKLLIKSLSRLL >OGLUM01G49990.1 pep chromosome:ALNU02000000:1:46290313:46291909:1 gene:OGLUM01G49990 transcript:OGLUM01G49990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGNCGCGSSCQCGNGCGGCKYSEVEPTTTTTFLADATNKGSAAASGGSEMGAENGSCGCNTCKCGTSCGCSCCNCN >OGLUM01G50000.1 pep chromosome:ALNU02000000:1:46293282:46301820:-1 gene:OGLUM01G50000 transcript:OGLUM01G50000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQVQESKRVGRQLPPHRPPRSVSLAASISFSSARKPPEPLRRAVADCLSPPAPHTHTHAPPPAASSAPAEASRTLRDYIANPSTIDMAYNVLIDHALAERDRRYTPRVQTLRQIDLFCANTIAKCEPLGTQRSSSASPHSSVAAPPITNFASPSLVKSLNYVRSLVARHIPKLSFQPIGHSVAPTSTKQSLPSLSSFFNKSLVSQLTPEAITNMDLVESKESHAPSDLISSVTEKADGGEPADDTKYISFDILNWRWHVYGERQASASTKESSNDFADLQDFHTQGFLEVGAAALLVGDMEAKINDQQWKYSFIQDFPDIDLLQPSTSTASTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLKLNPAEISEVIAEVCSETTSNSNQFSAPSRLTTQSRQPSADVAFSVLIKLVIDMYMMDSEAAAPLTLYMLEGMLSSQKSPARTKAFDLILNLGIHAHLLEPMIVENAPLIEKSETVNHSYMNNEYGSSMDEQRATESEQEQRMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVIKTLLEISVEHSWAKVVHSKLICMLTNMLYQVSDGAPNGAIDTHFLPDQIDRVGGVDYICLEYSRANSREEKRDLFFVLFDYVLHQINETCLAGGLSTYTYDDAQPLASLLACADAPEAFYISVKHGVEGVGDMLRKAISSALSQSTEYEQFNVLLDKVIRKLDGTVSTFSRIDTEFAYMIQVTKSYKCFSSIRDGHEDADVALRARLCWATLHSLLNSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQDEIEVAGSQDLSSSEVSLPVCLLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDNDMQEHTVADHSKHRLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRLPSSNVMHMGGLQSLGQLFGCTTKNIESHLETLASHQNVGNKNFCRSETLQDISVNQTAQTTLLSETSMAALLLRGLAIAPMQLVARVPTSLFFWPLMQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPDTYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >OGLUM01G50010.1 pep chromosome:ALNU02000000:1:46312298:46313617:1 gene:OGLUM01G50010 transcript:OGLUM01G50010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGLSCSRDTDEYDLFRAAQLGDIHALSALLAADPALARRATVYDRFTALHIAAANGRLQVLSMLLDRDGDVDVLSRKKQTPLMVAAMRGNTECVVRLLRGGANVLTFDSPRARTCLHHAAYYGHAECLQAILGAAAQAQGPVAASWGFARFVNVRDERGATPLHLAARHARASCVRLLLDKGAIVSAPTAVYGFPGSTALHLAARAGSMECIRELLAWGADRLQRDSAGRIAYAVAMRRGHRACAALLNPAAAEPIVWPSPLKFIGELEADAKALLEAALMEANREREKRILLGSDINIKGGDEEEESEDEEEACNICFEQACSMEVKECGHQMCAACTLAICCHSKPNPKTLLLHPPACPFCRTTISRLVVATTNSNKTNSRRRFKGGLSSAMGSFSRIGRGSGRLVVDGSSIGELADKPDHDFSSVAAAAAICDT >OGLUM01G50020.1 pep chromosome:ALNU02000000:1:46315561:46318824:-1 gene:OGLUM01G50020 transcript:OGLUM01G50020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKS1] MPPLFSAPALHRRRLLRHAAAFALVLLAVALLFLLLVHPRSLGTPSPSPSYGHRLPTLVDLTLVHGAKEKGAVCLDGTPPGYHWLPGFGDGSNKWLLHLEGGSWCRNRTSCDHRKKTSLGSSAYMETRVEFVGILSDDKAQNPDFYNWNKVKIRYCDGASLSGNVQDEHQYGATFFFRGQRIWEAVMAELLPKGLARAKQAFLTGCSAGGLSTYIHCDDFRALLPKDSTVKCLADGGFFLDVEDISGRRYMRGFYNDVARQQDLRKRFPGCSSDMEPGQCFFPQEVAKGITTPMFILNPAYDVWQIEHVLTPDGSDPQNLWQDCRMDITKCNTKQLEILQGFRKSLLDAISEFKKKRGWGMFIDSCFIHCQSMKSLAWHSPSASRINNKTVAEAVGDWFFDRREVKEIDCEYPCNPTCYNVVLEQPYQEG >OGLUM01G50030.1 pep chromosome:ALNU02000000:1:46320014:46321353:1 gene:OGLUM01G50030 transcript:OGLUM01G50030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNWRPKLVPYAATPFGILSHPSSTARARASSSSCRSKLFIGGLSYDTNETALKDAFSQHGHIIQVKVICHPVTGKSKGYGFVKFASEDEAAAALHKMGGEVIDGRNIRVHYANSG >OGLUM01G50040.1 pep chromosome:ALNU02000000:1:46321679:46323491:-1 gene:OGLUM01G50040 transcript:OGLUM01G50040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLQAATTASSSTREGKAPDLNERILSSMSKRSVAAHPWHDLEIGPEAPTIFNCVIEIPRGSKVKYELDKKTGLVKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPVIPGCFLRAKAIGVMPMIDQGEADDKIIAVCADDPEYKHYNDIKDLPPHRLAEIRRFFEDYKKNENKEVAVNDFMPATSAYETIRHSMDLYATYILEGLRR >OGLUM01G50050.1 pep chromosome:ALNU02000000:1:46324829:46325513:-1 gene:OGLUM01G50050 transcript:OGLUM01G50050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPCGSYADSAATSDKTGLKTTEGPQVTGRVGWNRSDRIEIEEQGGRKEGVELKKMGTMRRVVKEKRFWVASFLLVWAAALQGHMMWMQRQDAFKQKFPSNSNHDDDLAGADS >OGLUM01G50050.2 pep chromosome:ALNU02000000:1:46325453:46326830:-1 gene:OGLUM01G50050 transcript:OGLUM01G50050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRCEAGQRRDSGHQPQRQPPPPPMSPHPTTTHTLEAARKRGGGRRSSGGHQPQRQPPRPSTTPPLPTRPRGAEATTAASPHLLPAARREEDRRKKRSVADPSNILSLSLSSDWIESLAAGEAAGHGRSGRRAAVALAGEDGQRLRGGPEVNGAAGGQQGRAATEHVSSPLLSSSSRRSPPSTLPSIRAKLIVNHDGPRRHGGVGADGQLALPMLPLRLPLCSRRRRRLPHLLSCLATPTRRPPPRLPAALPKKKRERGEEEEEVRKEGKERGDDVDCLTCGVHVGPMLIQPPRQIKPG >OGLUM01G50060.1 pep chromosome:ALNU02000000:1:46325778:46326089:1 gene:OGLUM01G50060 transcript:OGLUM01G50060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLALIDGSVDGGERREEEDKRGEETCSVAALPCWPPAAPFTSGPPLSRCPSSPASATAALLPYRPPVALHASHSPPGLLLRPAPPCPLLGRSAAPRSSDEPT >OGLUM01G50070.1 pep chromosome:ALNU02000000:1:46326120:46326386:1 gene:OGLUM01G50070 transcript:OGLUM01G50070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSEEAKQEQHRVLAHKLFLLSHPDLNDLAKVALHSDALDAVKSDGMVLLFESLAVNGVLESDDALLVEMRVRIDEEVPQAVVVRA >OGLUM01G50080.1 pep chromosome:ALNU02000000:1:46327826:46328987:-1 gene:OGLUM01G50080 transcript:OGLUM01G50080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSRHSHSHSHSHSRSGDGNGWASPERTMVWRTELKKKQMKQVVVGVVYYLCRQDGQLDHPHFVLVHVHVPSDSDSDHPQPRLHLRDFIARLSDLRGAAMPAAYSWSAKTTYRRNAGYVWQDLTADDLIPAPSTNHEEYVLKGSPLLHHNSTTPPQHRRCMTSFDLADYHRTTDPVPVPAAAQQSLIGIDEISPPPSSSSPDDTTTQLVTLKQKQQEEDGCTPQQQAATTPAGRMRTSAMLMKLISCGASSIKELQGQAQSQRRRATAWHNNKPDIMDHRDYFSGSLLDNNTTTHPIDLTLRRSSSCNAHRGQSSRLGVVDQDGVPRRQQLHAKSTAERMDSPETDQIRS >OGLUM01G50090.1 pep chromosome:ALNU02000000:1:46340967:46341611:1 gene:OGLUM01G50090 transcript:OGLUM01G50090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVAAPSRRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVECLLERFLPDSSSGAAARVRRGANNNGGGGMVGELNRQYGELRAMVEAHKARRERADEKIEMERAAGRWLPMDADVRRMSPEELMAFGTGLMAVQAAVSARADQMLRDALLIGRRPPTTTTTTTTTAAGFGFFHMPHY >OGLUM01G50110.1 pep chromosome:ALNU02000000:1:46382555:46383394:-1 gene:OGLUM01G50110 transcript:OGLUM01G50110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin TIP1-3 [Source:Projected from Arabidopsis thaliana (AT4G01470) UniProtKB/Swiss-Prot;Acc:O82598] MPVSRIAVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKQALNPSKLTDGGGTTPSGLIAASLAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNISLVKAVVYWVAQLLGSVVACLLLKIATGGAAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVTGVWDNHWVYWLGPFVGAAIAALIYDIIFIGQRPHDQLPTADY >OGLUM01G50120.1 pep chromosome:ALNU02000000:1:46386495:46392002:-1 gene:OGLUM01G50120 transcript:OGLUM01G50120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND family protein [Source:Projected from Arabidopsis thaliana (AT2G28390) TAIR;Acc:AT2G28390] MNGLYVEEKRSREGRETCSQREPTTGLVPSNPTDGGDPTQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDDEGYLTAVSRVGSISTSASASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYKKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKS >OGLUM01G50120.2 pep chromosome:ALNU02000000:1:46386786:46392002:-1 gene:OGLUM01G50120 transcript:OGLUM01G50120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND family protein [Source:Projected from Arabidopsis thaliana (AT2G28390) TAIR;Acc:AT2G28390] MNGLYVEEKRSREGRETCSQREPTTGLVPSNPTDGGDPTQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDDEGYLTAVSRVGSISTSASASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYKKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >OGLUM01G50120.3 pep chromosome:ALNU02000000:1:46386495:46392002:-1 gene:OGLUM01G50120 transcript:OGLUM01G50120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND family protein [Source:Projected from Arabidopsis thaliana (AT2G28390) TAIR;Acc:AT2G28390] MNGLYVEEKRSREGRETCSQREPTTGLVPSNPTDGGDPTQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDDEGYLTAVSRVGSISTSASASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYKKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKS >OGLUM01G50120.4 pep chromosome:ALNU02000000:1:46386786:46392002:-1 gene:OGLUM01G50120 transcript:OGLUM01G50120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAND family protein [Source:Projected from Arabidopsis thaliana (AT2G28390) TAIR;Acc:AT2G28390] MNGLYVEEKRSREGRETCSQREPTTGLVPSNPTDGGDPTQQDTIESNRVHSLQGRGASEQGRRRRGIGIASGRPPMDMDPPTNNPSPPGPPDSPPPEKRLASLSLRTSHLPPDFEIHDDYDDDDDDEGYLTAVSRVGSISTSASASASAWKDDLEDADVAPPSPSSSGYAAERGTSLASSAAANDDPQPQPDDDDWPRDKKHLHEDDTSASWRKRKKHFFILSNSGKPIYSSGDHIKFVRAAKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQMLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDIADSGVLFALLMCEHKVISLVGAQKATLHPDDIFLLSNFILSSESFRTSESFSPICLPRYNSMAFLYAYENTYLTLLTARSDAFYDLKDSRSRIQNVLLKANVLVEVQRSLRESALRIEDLPADPSSQSVSPPPQFSQDLHFQLLSSEMAIGGPAGLWHFIYKSIYLDQYVSSEFPLIISNPKQQKRLYKAYKKLYASMHDKATGPHKTQFRRDEDYVLFCWITQDFELYAAFNPLADKSQAIKVCNRVCQWIRDLENEIFVYGESTLSW >OGLUM01G50130.1 pep chromosome:ALNU02000000:1:46393037:46400583:-1 gene:OGLUM01G50130 transcript:OGLUM01G50130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 6 [Source:Projected from Arabidopsis thaliana (AT2G39480) UniProtKB/Swiss-Prot;Acc:Q8LPT1] MVSRGLFGWSPPHVQPLTPVSEASEPPESPSPYAADLAGDAAPPPEDDAAAALDDGDDEPDPPPAAVPFKRLFACADRLDWALMSAGALAAAAHGVALVVYLHLFGTAIHSLHGRHNHDLFHHINQVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLIIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASVAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGKANGGEVVVALFSIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSVVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHAHTFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPSSFQIERDSSASHSFQESSSPNMSKSPSLQKAHGFLAFRNSDANHNSHESPNIQSPPSEQMAETRLPTVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDMFDNFHAEESKKQQTKAPSFWRLVELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYRIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDEEENSADILSMRLANDATFVRAAFSNRLSIFIQDTAAIFVALLLGMLLEWRVALVALATLPILVISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGNILWKSLVHGMGIGFAFGLSQFLLFACNALLLWYTAVAVKNGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDASGLKPPNVYGSIEFRNVDFCYPTRPETMVLSNFSLRVNGGQTVAVVGVSGSGKSTIISLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRVAMMKHVDNIVVLNGGKIVEQGTHDSLVQKNGLYVKLMQPHFTKGFRQRRLI >OGLUM01G50140.1 pep chromosome:ALNU02000000:1:46402987:46404120:-1 gene:OGLUM01G50140 transcript:OGLUM01G50140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRTSSDSAASAEAMVMDLSPKRPAKSYGGSGGSYFDWSPSELPMLRAASIGAAKLSLAAGGLALPFYSDSAKVAYVLQGKGTCAVLLPETPSEKILPIKEGDALALPFGVVTWWHNLHAATTELVVLFLGDTSKGHTAGRFTNMQLTGSTGIFTGFSTEFVARACDLPQDAAASLVSTQPGAGIVKLKDGFRMPEGCDKDREGMVLNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADDTGMEWFSIITTPNPIFSHLAGRTSVWKAISPAVLQASFNTTPEMENLFRSKRLDSEIFFAPNSNSI >OGLUM01G50150.1 pep chromosome:ALNU02000000:1:46411596:46412210:1 gene:OGLUM01G50150 transcript:OGLUM01G50150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLKDLNKRLLGLSCSSAASTSIVLSGHSPPHLRDPARTSNSSKKKKAKANKSKQQLVSPASSSRFLLNSSRMQPSADELPPPFVIPVAVAAAAEENEIVAAAVEGGGGEEEKRESSSQVEVVVLRVSLHCKGCAGKVKKHISKMEGVTSLDIDIATKKVTVVGHVTPLSVLTAVSKIKPAQFWPISSSSSPMPPRASASF >OGLUM01G50160.1 pep chromosome:ALNU02000000:1:46413415:46424963:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAAAAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >OGLUM01G50160.2 pep chromosome:ALNU02000000:1:46413415:46424963:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAAAAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >OGLUM01G50160.3 pep chromosome:ALNU02000000:1:46413415:46424963:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAAAAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >OGLUM01G50160.4 pep chromosome:ALNU02000000:1:46413473:46424963:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFWNSKGGGGGGDCDCSVFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNESGWAPYIKSLPTKDQMHNMVLWDLNELHAVQNSSIYDEAIEHKEQAKKEFLALKPALDHFPHLFGEVKLGDFMHASALVSSRAWRTPRGVSLIPFADFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVIKEVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAAAAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >OGLUM01G50160.5 pep chromosome:ALNU02000000:1:46413378:46416454:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFAPRRCAAAAAAASASKGGGGGGDCDCSVFLRWLRSKSGTHISSVLSLGTSSAFGRSLFASEPIQEGDCIMQVPYHVQLTLDKLPQKFNTLLDHAVGDTSKLAALLIMEQHLGNALDHFPHLFGEVKLGDFMHASALDFLNHDGVFGSVLIYDEQKDVCEIIADRNYAVGEQVMIRYGKYSNATLALNFGFTLARNIYDQALIRIDMPVQDPLYKKKLDIWQKHRLPIFEDMCNLSSATSFVINMLNLEIE >OGLUM01G50160.6 pep chromosome:ALNU02000000:1:46418759:46424963:1 gene:OGLUM01G50160 transcript:OGLUM01G50160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSACILNSKNGNREVKSSQSKGIGIPLILRAFLRVFSAMSLKELEEMAMEAAESDGRLARCPLKNMEREIHAHRRLLLHFAEMIQGHSAAIEQLEIVDGPASRSMHPFRKEMAKDLLVGELRVLERKTNALDFGEGEGGRDRGGRMLQRAASNAYSWWWASHIRTKQSKWLDSHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRGLADRYDIISGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGGEQPAGSMNKNTTSAPIDKDNAREEISRLQKEILVMQTEKEFIKSSYESGIAKYWDLEKQINEMQEQVCHFQDKFDESAVIEDDEARALMTATALKSCEDTIVKLQEQRKTSASQAMGESERVKVLREKLKAVMEGHGKSLPDSPDPCDKNVRKNHGFEMEEVQHIKLGEFETQTVLEKIKEHFERDGSISVAEITEHIDELVNKVVDLELMVSSQSSQIDRLCRENSELESCLQSLEEENVSDPDEVNEKLKKLEEELVRVQALESCFHKDESTIRSNFSEAISRLSGISEMLQSSEHGGVGGTLAVADGKEEEEDNDAGGMDDVAEPQVQTEAASDDVDPAGKSTADVDPAGKSTATQEEAQAVDVGQEKAGGCSRERGSLVRLRHISSDDLGGCDDEAPAAAAAAVDDPDGMRKQKKGQEGEGVEEEKKVILVAEYRALLEENKDAKRRLAEVEKKNQECMHEIRSLRELLSSGSSEAGAAAGGGGGDSSGGRRGHRRTPSYSLGHHRKQSLSSISRMIRMGSTIHEGDESEKVKAEELRLPAVATSSSPLENKLRKDIDTLLEENLEFWMKFSSSLQRVQEFQRKHDELMQQLQPAATDGNSDTKQKQKQEQQLRALKTELQVWSEQNAMLRGELQCRFAALCDVQEEITAALEQGGGGGGGEFTSYQAAKFQGEVLNMQQENNRVSDELQAGQDHVKGLQAQIEKKLQHGGVTLPDADGPAAGAGAGAATPPPLPLTRVASKSKVPLQSFLFPAKAKKPSLLARVTPVLQKQQPDLRFLAKLQPR >OGLUM01G50170.1 pep chromosome:ALNU02000000:1:46426366:46429225:-1 gene:OGLUM01G50170 transcript:OGLUM01G50170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57300) TAIR;Acc:AT5G57300] MALRSAAGRLASSSRRRLLSPPTSIHMAFLHSHATSFGTLLLLNPTLPHNSSSSSCPNSFLLVGSSGYKQVAEEDKSKLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLISKLNPFPGMKHLDVAGGTGDVAFRALERINSVSHRAMQGTLTDIEEETQIYVCDINPNMLNVGKKRASERGYKEGHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKALSEAYRVLKRGGRFLCLELSHVDVPLFKEIYDVYSFSVIPAVGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >OGLUM01G50180.1 pep chromosome:ALNU02000000:1:46430504:46432878:-1 gene:OGLUM01G50180 transcript:OGLUM01G50180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQTVMRIVRPCFKPDHQLAVGGTRDGLLWYKDTGRHACGDFSMALVQANNLLEDASQVEAAPLLLSHSSSTTFVGIYDGHGGPETAHFIAQHFFPNLKKFATEQQTVSVDVIRKSYAATEEGFLNLVRKQWLIKPQLASVGSCCLVGIINEGVLYVANTGDSRAVLGRLERGVIKAVQLSAEHNASIESVREELRQFHPDDPRIVVLKHNVWRVKGLIQVSRTLGDAYLKSTEFNREPLLARFRLSEPFHKPILSPEPSIEVHKLCTEDQFVIFASDGLWEHLTNQEAVDIVNCSPRNGIARRLIKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSALVGKRFYGGPLLSLRGGDASPSASQVSVVLCAREGFGEFEAGGSPVQ >OGLUM01G50190.1 pep chromosome:ALNU02000000:1:46439076:46439604:-1 gene:OGLUM01G50190 transcript:OGLUM01G50190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSSSPVDKVDPDECNGSKACADCHTTKTPLWRGGPGGPKSLCNACGIRYRKRRRAALGLDSAASATATDGAEQQKKTKAKKEKAQEEEVTMELHTVGFRSKDAAVLKQRRRMRRRKCLGEEERAAILLMALSSGVIYA >OGLUM01G50200.1 pep chromosome:ALNU02000000:1:46442088:46445630:-1 gene:OGLUM01G50200 transcript:OGLUM01G50200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCCLLRARRLLLFFFFFFAVAVVLFSSVSVAQIPVSEMVRNAWAGFQSIDCGGSGNYTDEVGLEWTGDEAYVGGGAGTTASISSMSGQGRRPYRTVRYFPADGRKYCYRVSVKARTRYLVRASFLYGNFDGSRVFPEFDLYVGASRWSTIVIYDESKVVTREMVALAQSGPSLSVCLANATTGHPFISTLELRPLNASLYHTAFEAAFFLSLAARINFGAPTADPVRYPDDPYDRVWESDMARRPNFLVDAAPGTIRVATDNPVFVASGERPPQKVMQTAVVGTLGALTYRLDLNGFPGSGWACSYLAEIEDDAAATARRFKLYIPGLAEVSKPTVDIGENAPGKYRVYQPGYDNISLPFVLPFAFRKTDDSARGPILNAMEIYSYIPILPASPDAVAMDALAARYQQQQHSWAREGGDPCVPAPWSWLTCTSSRVIAIRLDNNMLTGPIPDLSACTNLTVIHLENNQLEGSVPSYLSGLPKLSELYLENNRLSGVIPRALLSRTIVFKYSGNKHLRVGKQEEEERNVIIGICALMGIGLLLAAALCYAYNVSVSGRKQLQGASAGGNSKSKSIVVSAEQKKKATPVAGGGGGGGIDNMMAAMAARGPLEFEVRELEEATSKFARKIGSGGFGVVYYGRLGDGREIAVKVASSNESIQGKKQLANEVALLSRIHHRNLVAFLGYCWERDSSSYMLVYEYMHNGSLKEQLQMMSMSWLRRLQVAEDAAKGIEYLHCGCTPAIIHRDIKTSNILLDAHMRAKVSDLGLSKSNKATNSTTNTITTHVRGTLGYLDPHYYVSQQLTHKSDLYSFGIILLELISGRPPILLTPGAGAMASLGPWAKSHYESGDIEAIVDPSLRGRYRDVHSVWKVAETAVRCIDADPRGRPSMPEVVKDIQEAIALEMPSSESERPAASFFSPGAGAAGARSSATVRSHDLVMDNLMYDSSFCDSLNLPRTPR >OGLUM01G50210.1 pep chromosome:ALNU02000000:1:46450679:46452339:1 gene:OGLUM01G50210 transcript:OGLUM01G50210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRAAAGGEQQHLSNRVVGLVNLVTLVASVPIIGAGLWLQAHGGSSPCGSALQAPLLAIGFVTLLVSLAGFLGACYHVPSALWLYLAAMLLLVLALLGITVFGLAVTAGGGGTQVAGRPYREFRLADYSSWLQRHVRAERYWRPALACVLAARACDTLAAWTPLDYLRNDLTPVQSGCCKPPTACTYYDDAQQQQQQPDCYRWSNAPGVLCYGCDSCKAGVLEQLRRHWHNVTILNVVLLLLLILFYSCACCAFRNTATATSSKTIFHLHPRWEYRWSRWWHGRR >OGLUM01G50220.1 pep chromosome:ALNU02000000:1:46454826:46459419:1 gene:OGLUM01G50220 transcript:OGLUM01G50220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPESSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPDCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEASQKSPQANLVSAPFLYFFGTNVTMVCTVHAQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >OGLUM01G50220.2 pep chromosome:ALNU02000000:1:46454826:46459419:1 gene:OGLUM01G50220 transcript:OGLUM01G50220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRWRVLLPLLLLHSSPVFAQEGQDNDPSTLFKRASEMMNLRKYDGSLGLLNAVLEVDPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPESSSVEKELSQLLQAQNALESAYGQFESHDFSKVLEYINKIVLVFSPDCLKAKLLKAKALLALEDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNAAKGKLRVSAEDYKAALAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGADMGIREALMRAEKQLKLSKRKDWYKILGISKTASAADIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >OGLUM01G50230.1 pep chromosome:ALNU02000000:1:46459746:46460498:-1 gene:OGLUM01G50230 transcript:OGLUM01G50230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSKTRKKGSWRAEEDALLTRLVAQHGPHRWSIISGAIPGRSGKSCRLRWCNQLSPAVQHRPFTPQEDALLAAAHARHGNKWATIARLLPGRTDNSVKNHWNSNLRRCLRRQAKFKSKDPDLLPDPINIPPDCIVVLNDDDEPADRPVTPPAIIQAQAQETLPSLTLSLSLSLPGAAAAAAEVEVAPPPPRALAAASEIQDGSSRSSSASRVMLQVMRQMVREEVQRHTAQLAYSLMALASCSRRPPN >OGLUM01G50240.1 pep chromosome:ALNU02000000:1:46461757:46464198:1 gene:OGLUM01G50240 transcript:OGLUM01G50240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G16610) TAIR;Acc:AT3G16610] MRHRSLAAAASASAPPPQMPPSFHFHWRHHAARRLSSTTACLHPPPLQPPRASASASASLLLQLQDHIASGHLSRAHHLFDQIPSPDVRTYNDLIRAYSSSSPTAAADGLHLYRRMLRHRVAPNNYTFPFALKACSALADHHCGRAIHRHAIHAGLQADLFVSTALLDMYVKCACLPDAAHIFATMPARDLVAWNAMLAGYAHHGMYHHAVAHLLSMQMQMHRLRPNASTLVALLPLLAQQGALAQGTSVHAYCIRACLHPNRNSKSKLTDGVLLGTALLDMYAKCGSLLYARRVFDAMPARNEVTWSALIGGFVLCSRMTQAFLLFKAMLAQGLCFLSPTSIASALRACASLDHLRMGEQLHALLAKSGVHADLTAGNSLLSMYAKAGLIDQAIALFEEMAVKDTVSYSALVSGYVQNGRAEEAFLVFKKMQACNVEPDAATMVSLIPACSHLAALQHGRCSHGSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFNMMPSRDIVSWNTMIAGYGIHGLGKEATALFLEMNNLGFPPDGVTFICLLSACSHSGLVIEGKHWFHVMGHGYGLTPRMEHYICMVDLLSRGGFLDEAYEFIQSMPLRADVRVWVALLGACRVYKNIDLGKKVSRMIQELGPEGTGNFVLLSNIYSAAGRFDEAAEVRIIQKVQGFKKSPGCSWIEINGSLHAFVGGDQSHPQSPEIYRELDNILVGIKKLGYQPDTSFVLQDLEEEEKEKALICHSEKLAIAYGILSLSEDKTIFVTKNLRVCGDCHTVIKHISLLKRRAIIVRDANRFHHFKNGQCSCGDFW >OGLUM01G50250.1 pep chromosome:ALNU02000000:1:46467977:46480442:-1 gene:OGLUM01G50250 transcript:OGLUM01G50250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSFLVEIFRPVPLFLKNSQKESEESVQGYLDEFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEIICTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >OGLUM01G50250.2 pep chromosome:ALNU02000000:1:46467977:46480442:-1 gene:OGLUM01G50250 transcript:OGLUM01G50250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEEAMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMIQKDTPIVVKLLSFLVEIFRPVPLFLKNSQKESEESVQGYLDEFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEIICTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >OGLUM01G50250.3 pep chromosome:ALNU02000000:1:46467977:46480442:-1 gene:OGLUM01G50250 transcript:OGLUM01G50250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT/U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G58410) TAIR;Acc:AT5G58410] MGKHKGRAASSGLAASLLPHAQGAVPIVGFGGYHGASRVEPAAPSSSTDPDASILLPPDVDSEVLQHLRRLGRKDPTTKLKALSTLSMLFAQKPGQEVVQIVPQWAFEYKRLLLDYNREVRRATHDTMSSLVKTVKKGLAPHLKALMGPWWFSQFDPALEVAQAARHSFEAAFPQSDKRLDALMLCVKETFLHLNENLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLIDILLGVKLQNCVRDNSSSENTSLSKVLSGTLSSAESAFSMNKYFLDFLKSKSAIIRSATYSLLASYIKHVSHVFNEEAMKVLSPALLGAFNEKDPSCHSSMWDAFLAFSRRFPEAWSYCNIHKVVFSRFWHFLQNGCYGSKQASYPLLVQFLESIPSKAVTTEQFVFDFLHNLWAGRNQRQLSAADSLAFFTTFKQSFLWLLKVLPRHSGGGSSDDIPIKLITYFLAKVVWHDYLRIPSSKNQDISLSGLSDEAISGDCQLSHKESLLASSTRYPTYYLQDLGKCIIEILDEISAMENHLLNIACETLLKDCLDIIHQRESLPNFQYHVEQVVSFFISLDQLIVQKGKTWPLESLARPLIEQSVPAIKSMDTPIVVKLLSFLVEIFRPVPLFLKNSQKESEESVQGYLDEFNGDFVPWCLDRKYSTCSSKIDLLLSLIIDECFFDQWCTIIKYTSAKQKHPVDNKNSHVDDQFELLTLILQKVRERIAGGKLRNLQKNGSLPEHWRHDLLDSAAESVFCDLPATDSHVHYCLTSVLMTSTFEWARFAYVVLLPTEPKDSKVIGAQSFSSNIKMAHFAFKVLEGSLFALRRLEEDSLFPSVLAALFIIEWEYSMALTLDEEHDLKGYKEDIDVGSSACNSSDDHLDEGIHLKANLAESIHTFCQSLSPSFWSDLHPFTLNNLCALFQTLELPTESTSVLCSEWMVNMLKLISLDHTKLQSFFYLLLSEGEYWPLWVKPSLQNENAPVKIKFEPVITNETGLKHHQFVAFVDKLVLNLGFGEVILGVPGNTCYNTSQSIDTTSTVPSLSRAWVAAEIICTWKWKGGSVFSTFLPSMIQHLKMESCAEVSILSILLDTLLEGAFHECNQWVLFNAWHISDNEIEKIQDHFLRALVALLFSINSINECIWRESEALVFFEKLLSNLFIGSTVNRKCVKTLPFVMSTIIKPLSGKLKLNEASCYTDLVGQNILSWLDVAISCLSSSPREVLQQDIVDWMQVVLSCFPLNITCGTQKLEVKIEREISDTERSLLLTLFQKYKIFCAIEAPSLSTSGTTLSTMVELLGVKLIAVMVGYCWTELQENDLHFVFHTVQKWIESAVLLVEEMTDAINDAVINQKSNEDTLEKLKLVVSSIDELTLSFGEFALVTLCHLNHLVDIQETENFQSLQIIKSGDFADRNNNMMESMLRLFLASGVSEAIAKSSCEEASSIIASSRVAYMHFWELVASFVIYASPQTRRCALESMELWGLAKGSVSGLYSILFSSQPISHLQFAAYSLLLSEPLCQFSLIKECSLGSNRPLTQESCMGQSIELMPDSEKTLDLREELSSLIEMPTSELLQTDLLAHDRVDAFIAWSLLLSHLQLLPPASITRERHIPLRTGAPCGKKKDAELMPEAEVAAQASKNAIITCSLLPCIESLWPVGTWQMASLAGGLYGMMIRLLPSYVRTWFTSLRDRSLSSSIESFTRAWCSPPLLLDEFSQVKDSLYADDNFSVSVNRSAYEIVATYKKEETGIDLVIRLPSCYPLRHVDVECTRSLGISEVKCRKWLLSLTAFVRNQNGAIAEAIHTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >OGLUM01G50260.1 pep chromosome:ALNU02000000:1:46482375:46483193:1 gene:OGLUM01G50260 transcript:OGLUM01G50260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQELEDLYLGLGVPDESVDLTFKDLPSASAAGEKQNDLLAVRSSTNIFAYKLQQDEEEHRPSAEAPAGLRLSPTTTSSTVVADRRVGANYNYKRRRPGIPHSNICALCNSYIYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCIDCLGRRYSHRYIHRAGTNLTPSAACCTCAFWGYYPDAQAVKLQELVWAEKGPAPRRRPRQSSSASISTSTSTSYTGTSSASINMTTMISLNNGFHSTRPVLASASTTPTSSSFLVSSSNPHAFPL >OGLUM01G50270.1 pep chromosome:ALNU02000000:1:46490005:46494715:1 gene:OGLUM01G50270 transcript:OGLUM01G50270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKVTTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >OGLUM01G50270.2 pep chromosome:ALNU02000000:1:46490005:46494715:1 gene:OGLUM01G50270 transcript:OGLUM01G50270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTVRLQADGKVTTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >OGLUM01G50270.3 pep chromosome:ALNU02000000:1:46490005:46494715:1 gene:OGLUM01G50270 transcript:OGLUM01G50270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQKKAALATGGGGGTTGGMLGTIMCIAREEGVAALWNGIIPGLHRQCVYGGLRIALYEPVKAFFIRDGDTVAGGVSLFAKILAALMTGVIAIVVANPTDLVKVRLQADGKVTTVKRHYSGALNAYATIIRQEGIGALWTGLGPNIARNAIINATELASYDQLKQVKSRMMGDATYKSTLDCFAKTLKNDGLPAFYKGFIANFCRIGSWNVIMFLTLEQVRRSIL >OGLUM01G50280.1 pep chromosome:ALNU02000000:1:46496781:46502328:-1 gene:OGLUM01G50280 transcript:OGLUM01G50280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKW1] MASWSSPVAAAALQVQFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRSHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >OGLUM01G50280.2 pep chromosome:ALNU02000000:1:46496781:46502328:-1 gene:OGLUM01G50280 transcript:OGLUM01G50280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKW1] MASWSSPVAAAALQVQFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRSHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKLSPSDLPCMTYYFMLYSQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >OGLUM01G50280.3 pep chromosome:ALNU02000000:1:46499084:46502328:-1 gene:OGLUM01G50280 transcript:OGLUM01G50280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKW1] MASWSSPVAAAALQVQFGSSCFFSARSPRQTLLLPPLARNPTLTIQPRSHPFRNINSSSSSSWMCHAVAAEVEGLNIADDLIGKTPMVYLNNIVKGCVANVAAKLEIMEPCCSVKDRIGYSMISDAEEKGLITPGKLSPSDLPCMTYYFMLYSQSVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSMERRVLLKAFGAELVLTDAAKGMKGAVDKATEILNKTPDAYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDVFIGGIGTGGTISGAGRFLKEKNPGIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSEVLDEVIETGRQQAAAVLRRFHRHTKRHRR >OGLUM01G50280.4 pep chromosome:ALNU02000000:1:46496781:46498250:-1 gene:OGLUM01G50280 transcript:OGLUM01G50280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKW1] MKISSDEAVETAKQLALQEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVVFPSFGERYLSSILFQSIREECEKLQPEP >OGLUM01G50290.1 pep chromosome:ALNU02000000:1:46508129:46513872:-1 gene:OGLUM01G50290 transcript:OGLUM01G50290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPRWWWVLEAVNLAASTSRLGKWTSCNHVDFAVRVERQPQMRLWSAGMTRCVRVCGDNRRIAESYDPTPQNHRGPSPLHALLTRILLSPFLTRIPPITLLTRILLSLARRRRRTLTPPPSLYPAPSAIATPPPLSHIAPSPYPAPSAIATLPRQQRPSLSRRPVSPTSSISSAPPPEPISPVRIAPTAAHRSAPLASISPIRIVTDGHLCRSPLCPRVKLLTSLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYCADKTNVVVSDSGIQHMREDLGDWVSDKTDGRAEGPCWLLSSSQRTTTGVGKNAQKDFAFSCDTFFPTVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIVHGRSMTGAVATGNSALDLAPGPCCG >OGLUM01G50290.2 pep chromosome:ALNU02000000:1:46508129:46513872:-1 gene:OGLUM01G50290 transcript:OGLUM01G50290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPRWWWVLEAVNLAASTSRLGKWTSCNHVDFAVRVERQPQMRLWSAGMTRCVRVCGDNRRIAESYDPTPQNHRGPSPLHALLTRILLSPFLTRIPPITLLTRILLSLARRRRRTLTPPPSLYPAPSAIATPPPLSHIAPSPYPAPSAIATLPRQQRPSLSRRPVSPTSSISSAPPPEPISPVRIAPTAAHRSAPLASISPIRIVTDGHLCRSPLCPRVKLLTSLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYCADREDLGDWVSDKTDGRAEGPCWLLSSSQRTTTGVGKNAQKDFAFSCDTFFPTVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIVHGRSMTGAVATGNSALDLAPGPCCG >OGLUM01G50290.3 pep chromosome:ALNU02000000:1:46508129:46513872:-1 gene:OGLUM01G50290 transcript:OGLUM01G50290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSPRWWWVLEAVNLAASTSRLGKWTSAIRHRDATSSLSHRSIALSSAIRHCDAATPTTAIPLPSAGVTNVVDLLRASARADLSRPHRPDGRSPICSASVDLSHPHRHRRPPLPISSVPKDRVKLLTSLWGNYSIPKDNPYTDDSDLELEVWALGLRNPWRCSFDSARPSYFYCADKTNVVVSDSGIQHMREDLGDWVSDKTDGRAEGPCWLLSSSQRTTTGVGKNAQKDFAFSCDTFFPTVLSSIFSNLPSPIFLLPGEASSRLTDGISCHGEVTASSTRRRVEERDKAASGIDLVPKFPTQPLSIDLKVNIVHGRSMTGAVATGNSALDLAPGPCCG >OGLUM01G50300.1 pep chromosome:ALNU02000000:1:46508421:46508621:1 gene:OGLUM01G50300 transcript:OGLUM01G50300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAALSLSSTRRLVLLAVTSPWQEMPSVKRLDASPGSRKMGDGRLEKIEERTVGKKVSHEKAKSF >OGLUM01G50310.1 pep chromosome:ALNU02000000:1:46508820:46509803:1 gene:OGLUM01G50310 transcript:OGLUM01G50310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02400) TAIR;Acc:AT2G02400] MEEERRVLVTGGNGFIGSWIVRILLARGYAVTATYQPGTDAAHLLALDDERLLLLPADLLDAGAISAAAAAGGGCRAGVLHVASPCTLADPRDPQAELVEPAVRGTLHVLEAARGAGARRVVVTSSISAMVPNPGLAAGELVDERSWTDMEFCKARGKWYPVSKTLAERAAWEYAARWPGFELATILPSTCLGPLLQPTLNASSVVLQRLLQGSTDDQADYWLGAVHVRDVAAAHLLLLEAPTVSGRYLCTNGIYQFSDFARLAARICPAYAHAIHRFEEGTTQPWLVPRDARDAARRLLDLGLVLTPLEEAIKDAEKSLTDKCFLP >OGLUM02G00010.1 pep chromosome:ALNU02000000:2:1584:37637:-1 gene:OGLUM02G00010 transcript:OGLUM02G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAACARAPSRSGKPEEGDIGEGERNCMSNVPDVDTISRILCPTVPRQSCVPVFERKGKEEIEKRKGKERIEKMHKTIKAPGGVLPASSAGSGDSPQLAAALHELVG >OGLUM02G00020.1 pep chromosome:ALNU02000000:2:37610:41638:1 gene:OGLUM02G00020 transcript:OGLUM02G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRKPPASPPTHPPATPDGGGAQPAAGCPISRRARRRPAPASRALRRPVSPCGAPGARALPWRPHGLLSTTRGDCAAVSDGCSRRVPPCGTAAHASSAPRLVAAATHRGRRQQQQDT >OGLUM02G00030.1 pep chromosome:ALNU02000000:2:43178:47684:-1 gene:OGLUM02G00030 transcript:OGLUM02G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKX3] MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLTPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRRERSSERVFR >OGLUM02G00030.2 pep chromosome:ALNU02000000:2:43326:47684:-1 gene:OGLUM02G00030 transcript:OGLUM02G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKX3] MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLTPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEKLTFYDGPFKASAIADFVSANKLPLILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRRERSSGTNFKDEL >OGLUM02G00030.3 pep chromosome:ALNU02000000:2:43326:47684:-1 gene:OGLUM02G00030 transcript:OGLUM02G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKX3] MRSRSLLLVALATLLLHASASASDDDLDYLIDNADDIPANDPDGWLQEGSPDDDDDDDLFHHGQAQDHPIDETHVFLLSAANFSDFLASHRHVMVEFYAPWCAHCQALAPDYAAAAADLSPLAHQVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKEAIVSWVNKKLTPGVQNITTVDEAEKILTGEDKAILAVLDSLSGAHSDEIAAASRLEDAINFYQTSNPDVAKLFHLDPAAKRPSLVLLKKQEEKLTFYDGPFKASAIADFVSANKLPLVNTLTQETAPSIFDNPIKKQACLIDIASILLFVVANESSKFLPIFKEASKSFKGKLLFVFVERDNEEVGEPVANYFGITGQETTVLAYTGNEDARKFFLDGEISVENIKRFAEDFLEEKLTPFYKSEPVPESNEGDVKIVVGKNLDQIVLDESKDALLEIYAPWCGHCQELEPTYNKLGKHLRGIDSLVIAKMDGTANEHPRAKPDGFPTILFYPAGKKSFEPHASIPFKLKRPDSSATKTEKDQSTASTNLRRERSSGTNFKDEL >OGLUM02G00040.1 pep chromosome:ALNU02000000:2:53347:58251:1 gene:OGLUM02G00040 transcript:OGLUM02G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G22670) TAIR;Acc:AT4G22670] MDASRVGELRTFVEACKKDPSLLADPNLAFFRDYLESLGAHLPAAAFTKATPKPKQPSSMDDIDDEYDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFRRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFNGSQ >OGLUM02G00040.2 pep chromosome:ALNU02000000:2:48496:58251:1 gene:OGLUM02G00040 transcript:OGLUM02G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP70-interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT4G22670) TAIR;Acc:AT4G22670] MDDIDDEYDDDDDDDLNMRDATPEPDELDQDIVESDLELEGDIVESDHQDPPQKMGDPSIDVTEENRDASQEAKSKAMEAMSEGKLEEAIDHLTKAILLNPLSAIMYGTRASVFIKMKKPVAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAAHDLHTASNMDYDDEINAVLKKVEPNAHKIMEHRRKYERLRKEREEKRAERDRFRRRAEAQAAYDKAKRKEQSSSRSSGGASPRGGFPGGMPGGGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGVPGNVDMSKILNDPDLMAAFGDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFNGSQ >OGLUM02G00050.1 pep chromosome:ALNU02000000:2:48993:53065:-1 gene:OGLUM02G00050 transcript:OGLUM02G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YKX6] MRKRTASDRIRVPSSNPAPSPSPPPPPEEPAVPMPHVGARRSTRVFVPKTPRPPQPSDPARVLRSGKRLAFSESPADAHWFQCKPNNCFHVHDHQRQLHDDPKPPPPPLPRTRSFGIVYSRKRRRRLPEPKEDTRFAIVFTRKRPKVAPFQHHAPNDLATIPCSSSREFASRTGFFDSHFLTLVDCIPTNKADAAMLIVLVDSSCSGSSQHFLRLLLPVLRWMRRCRRGKVRNLASFLSSDAVATALALRGLHFVQLQCRRDCALSQRALVQCGWCELRGAKDSEPLLSVNFLAVPSYFQILHLLIALESMYLPAVIRTRTHLVGGAEEIYPRTLLEEDSESLSTGDTDPAVDLCSNKLCSVAQDYVPLEEIAGVVVHGLRLKKHQRKRSSMRHPLSRQRLAARFLDKVVATNQTDVARQTEADAPPSVSPELPLEPVKPKAALEISLDLLENMDDSDVSTPIGSNGKQKRSSLKSPIERMNERLALAEVRQNIDSVHCRANLLIIQPDRCWREEGAEVMLEPSESNEWCIAVKIHGVNRISLKPSEQRFYVVNRFTHAYILAVDDGLKIEFSDKWDWLLFKELQIEGRERNSQGKMIPIPGVNEVSDDMGVIGTYPFSRPVPDYIRMADDEVGRALSRDSVYDLDSEDEQWLTQLNHSDSDRKSAHLNHISYEDFEKMITTFEKDAFNNPEGTSDLDQILSRYPTLEKDHNVLAVHEYWINKRYKKGVPLLRILQGATLRRGQLSQRSIKKKRSFKRQRSQAGRGKPDICLQDANGAEEEALRRVVEAERAATQAGETAVRLRSRAQRLMAKAELVAYKSVMALRIAEAARISDSSRDLVLTTLD >OGLUM02G00060.1 pep chromosome:ALNU02000000:2:53812:77787:-1 gene:OGLUM02G00060 transcript:OGLUM02G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACGNRRKSRSSQWTTSAEIEIKPGNGVRVWAEVKIKPPDIELEPETGRHDGNKRTPPGIAPPGKPPGIPPGKPPPGIPPGKPPPGIPPGKPPPGIPPGKPPLGDAPPDERLDDCSFRLALFDNVSFKFKIGLDDVLVKLVRLRSGIPHVEIVVVVVVVFVVDVIHGGRLLQG >OGLUM02G00070.1 pep chromosome:ALNU02000000:2:77803:78387:-1 gene:OGLUM02G00070 transcript:OGLUM02G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGLERLVWRSMLGDVSKEELVYVQVQQKSSHGGDGGGEELELRDGARASEEELEPKYDVLVLLNIEVKNEVKLARADGGGEELELEDGTLGRRSRVKLGWWIGARAQGQRVGAAACWCLEVDVERGETRQRSRPGVARRHGTMGEFEVKTGHDYSGD >OGLUM02G00080.1 pep chromosome:ALNU02000000:2:119670:128294:1 gene:OGLUM02G00080 transcript:OGLUM02G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQAPGAGALVIARRTELLKMERMVAWGGERIGFEMRQISGGSGAGSYWAKMTLKFWEKSYFAGSLWCKSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKVFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >OGLUM02G00080.2 pep chromosome:ALNU02000000:2:119670:128294:1 gene:OGLUM02G00080 transcript:OGLUM02G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FG-GAP repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT3G51050) TAIR;Acc:AT3G51050] MRKRDLGILLLAAFAVFFSLQHDGDLSFREAWYHLSDADYPIKHDADRLPSPLVADLNGDGKPEVLIPTHDAKIQVLQPHPRPSPDDASFHDARLMADVSLLPSNVRLSSGRRPVAMAVGTVDRHYAHAPSPSKQLLVVVTSGWSVMCFDHNLKKLWEANLQDDFPHAAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSAELFDEFMVSEHNREEHRRSASEKQASETGNTDLRHFALYAFAGRTGELRWSRKNENIPSQPSDASVLIPQHNYKLDAHALNSRHPGQSQRTLYVPTITNYTQVWWVPNVVVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGANGIEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNNFNLFHHGDFSRSFGRTFDTTGLEVATPILLQRDDGHKHRRGSHGDIIFLTSRGEVTSYSPGLLGHDAIWRWQLSTGATWSNLPSPSGMMENIVVPTLKVFSLRAYDPKQVIIAGGDLEAVVISPSGGLLASIELPAPPTHALVLEDFNGDGLTDIILVTSGGVYGFVQTRHPGALFFSTLVGCLIVVIGVIFVSLHLNSSNSGKPRASTDYR >OGLUM02G00090.1 pep chromosome:ALNU02000000:2:129801:130862:-1 gene:OGLUM02G00090 transcript:OGLUM02G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKKRGGVQVAVSCRSTQSKAEKTKRPTKAGNGSLGGVGWGRLPPLPLVFPKSREVGQNPSQTSPQIPHPTYAYGLESMNHNGDVPSSRCPKHPSQPPFTGFCSACLLERLSTAPARCFPSPSPVAAAAEISTEIPQPRVRTTLLYLFQLDDDQEDQGQQVRVDQEDEQGRQLQRKRSLRQSCEWIVCCDATADSRQSWDGSADAPPPNSNPIIRARGFVPRPAQMLRRSLSESWRHARSRPAVPTNAASVSSAGMDSEISLGGDSSSIHAAAAAPRQSSLFKRLYRLGRSRSVHCSSPQIRSLDTGTLRFHLTPLTRNSSASTRLSIANKIQGRRLNLFDFFANQRQQL >OGLUM02G00100.1 pep chromosome:ALNU02000000:2:135550:135933:-1 gene:OGLUM02G00100 transcript:OGLUM02G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSGSSRREEAWRQQQLILQAKKARSYPCGFCRREFRSAQALGGHMNVHRRDRARLRLTHQPDAALIASPSTESTPPLLPNLNYPPPPPHDGASSSSPPHLPRPPADDDADADAGLDLELRLAFC >OGLUM02G00110.1 pep chromosome:ALNU02000000:2:140973:146356:-1 gene:OGLUM02G00110 transcript:OGLUM02G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTDGINLFNHALVVYSNRITCRAQERRGDSKRREEMRSHEMNNSSSRYASEEERGSNSFLIESEEEEEEDEEAHPHSSILLKDADSDSDSSSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDQQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIQNYT >OGLUM02G00110.2 pep chromosome:ALNU02000000:2:140973:146034:-1 gene:OGLUM02G00110 transcript:OGLUM02G00110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEEDEEAHPHSSILLKDADSDSDSSSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDQQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGINVLCGVGILSTPYAIKQGGWLGLVILCLFAVLAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISACCIEYLILESDNLSKLFPNAHLTIGSMTLNSHVFFAILTTLIVMPTTWLRDLSCLSYLSAGGVIASILVVVCLCWVGVVDHVGFENKGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNHIGLSSILFAGAAVMGYKMFGESTESQFTLNLPENLVVSKVAVWTTKYANIIMLRSSLVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIQNYT >OGLUM02G00110.3 pep chromosome:ALNU02000000:2:140973:146034:-1 gene:OGLUM02G00110 transcript:OGLUM02G00110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHEMNNSSSRYASEEERGSNSFLIESEEEEEEDEEAHPHSSILLKDADSDSDSSSDDSSCATPRPSSYATHQWPQSYRQSIDIYSSVQSPNLSFLGTPTLSRLSNSFLTNSFRGKTPEILSNLVKPLLRPSSSSDDQQQQQQHDDDTRKSSQYLLPSRKPSLQQIPEDQKPLVPAHEVPAYQQCSYTQAVMNGLVMALVGSLLTMLVTYILPCACFLAILKRKVTWHQIAACSFIIVVGVCCACVGTYSSLSKIIQNYT >OGLUM02G00120.1 pep chromosome:ALNU02000000:2:149451:160446:1 gene:OGLUM02G00120 transcript:OGLUM02G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRRAVGIGSAAALRSAAYLRRASPSPARSHPLVPPPPAARTFAAPPQVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRCGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETTDCGNGAISDQMDNAPAYVSNEFSMQKDAHDRGSRRELSWSKSNPGNYRENMQNVDAGAHRISSSQRAAQTSEGGFGSNNVKSGMEKQEKANEDVVPAETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNNRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANPDVPKSYGIFSSPRRESGDKSS >OGLUM02G00120.2 pep chromosome:ALNU02000000:2:149451:154665:1 gene:OGLUM02G00120 transcript:OGLUM02G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMALRRAVGIGSAAALRSAAYLRRASPSPARSHPLVPPPPAARTFAAPPQVMKRSTKDDDDDGPRINNDITSPFVRLVTDQGHSVVPRHEALQLAARMDLDLVEVHRKSDPPVCKIMDFHKEKYKKDVKEKERLKTKSAIVLRCGENKEVRFKGKTELKDLKVKADGITRLMERGYRVKCMAMPSGNEEEDLGGPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKAGKKASKAIEDVGKGARKNASELSTVTADSGDETTDCGNGAISDQMDNAPAYVSNEFSMQKDAHDRGSRRELSWSKSNPGNYRENMQNVDAGAHRISSSQRAAQTSEGGFGSNNVKSGMEKQEKANEDVVPAETNRYASRRQQIRGDNQGLSQDRSPQGHRRNENEVRYPVNDYQRPLQQNNRQSPRFNDGRLPQEPRRNERGGHIPLNNKQGQFQQMNHPAESAGNGAGYPTPTAKSFGVFSTRKPATSELGKTNGASRTANPDPEHIYVAVAR >OGLUM02G00130.1 pep chromosome:ALNU02000000:2:164030:164449:-1 gene:OGLUM02G00130 transcript:OGLUM02G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSSSSSSSSMQHLFLLCRLLGFCFAFTASQQQQSDSCSSAGVAVAHLAFCCLTVWKQEDFVLRARARYRAVDSRGRKRK >OGLUM02G00140.1 pep chromosome:ALNU02000000:2:169609:175144:1 gene:OGLUM02G00140 transcript:OGLUM02G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGGGSSAAAALVVMVLWAAVPVVVVQAASSPALIVFGDSIVDPGNNNGINTIIKANFPPYGHDFHNHTPTGRFCNGRIPTDFIASRLGLKELLPPYLSPELSTEELLTGVSFASGGTGFDPLTPRLASVISMPDQLLLFQQYKERVRGAAGDARVADMMTRGIFAICAGSDDVANTYFTMRARPGYDHASYAALLVHHAAAFVDELVKAGARKVAIIGMPPIGCVPSQRTMSGGMERRCSEGHNQIAVAYNAGMKRRMEEMQAKKKSTKTKLVFMDIYGFLMDMMMRPRAYGFSDSTMGCCGTGLLEVSVLCNALTSSVCTPVSDYLFWDSYHPTEKAYSILTDFVYDNYVKKLLLD >OGLUM02G00150.1 pep chromosome:ALNU02000000:2:171445:173967:-1 gene:OGLUM02G00150 transcript:OGLUM02G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQSVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIVNAKQLGRYYYVPPAIVKALRTGARSIILYLSVQDHTPLHEV >OGLUM02G00150.2 pep chromosome:ALNU02000000:2:171375:173967:-1 gene:OGLUM02G00150 transcript:OGLUM02G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxypyruvate reductase [Source:Projected from Arabidopsis thaliana (AT1G68010) TAIR;Acc:AT1G68010] MAKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLADNDCRLEICTETKTILSVDDILALIGDRCDVQLTEEWGEVLFSALKRAGGTAFSNMAVGYNNVDVEAANRNGIAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQSVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAVLVNASRGPVIDEVALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGNPNLVEPFLKEDATPPAACPSIRSGQQAVSQTFDI >OGLUM02G00160.1 pep chromosome:ALNU02000000:2:174623:176812:-1 gene:OGLUM02G00160 transcript:OGLUM02G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEKKMITLKSSNGEEFEVEAVGMESQTIRHMIEDKCADNGIPLPNVNSKILSKVIEYCNKHVHASADDSTSSADLKNWTPTSSRSTRPPSSTSSCAEFVQIYLDMIKGKTPEEIRKTFNIKKDLAPEEEEEIRRENQWAFE >OGLUM02G00170.1 pep chromosome:ALNU02000000:2:178006:187802:-1 gene:OGLUM02G00170 transcript:OGLUM02G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat ;HECT-domain (ubiquitin-transferase) [Source:Projected from Arabidopsis thaliana (AT4G38600) TAIR;Acc:AT4G38600] METRSRKRAAAAAAAAAASSSSSASAPKRTRRSSAASSSSAAAPPPAPAPAPAMDPSPSSRRRARASASDKGKDPDPSSDPSPPSAPDDDDAVAPFPHSFTSASTALQGLLRRLGAGLDDLLPSSAAAASSSSTSAQLKRILSGLQSDGDESRQLQSLMQLCEMLSIGTEESLAAFPVDAFVPILVGLLGPGEDGAGGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIPCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMVAVPLLTNLLNHHDSKVLEHASVCLTRVAEAFASYPEKLDDLCNHGLVAQAASLVSISNSAGQASLSTSTYTGLIRLLSTCASGSLLAAKTLLLLGISGTLKDILSGSGLVAGASVSPALSRPAEQMFEIVSLADDLLPHLPSGIISLPAYFHVLVKGSSSKKSASTKQDGTSSTENERSGHERLLREHPELLKQFGMDLLPIMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAEMIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICPESSDMVLPHDKDNESVMPSRSRRQRRRGAAAPTENSSLDESNTSNPGIASSTPCSTEVQNTSLRFAVSDRAKSFKDKYFPSDHESRDLGVTDDLLKLRTLCAKLNSASENVVTKAKGKSKALSATHFDISHDVEAQFELIITQILTELSKTNGVSTFEFIRSGVVAALLDYLSCGTFGKERVSEANLPKLRQQALRRYKSFISVALSIDHERNETPMAFLVQKLQSALCSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLCRAQGEKSLRDYSSNIVLIDPFASLAAVEEFLWPRVQRSEAASKPTVPSGNNSESGIPGTAAGASSTAAPAPSGRRPTTRSKSSAASSGASKKDSQEESTNTAKGKGKAVAKPNSEEPKGPNTRNATRRKAASEKDLEMKRAHGDSSSEDEELDTSPIEIDDALMIDDDDMSEDEEDDHEVLQDGSLPICVQDGVHDVKLGDTDDSNIGSASDSQVQPSSGSSTRNIMSRGVDPNTFGSRGAMSFVAATMAGLASVGGRGVRGSRDRRGLSLGGSINEHNKLIFMAGGKQLSKHLTVYQALQRQLMFEEDDDEKFNGSDLSNDGNRFWGDVFTITYQKADSQAEKVSQGGSTSLNSKSDPSSSISELKGVSLLDSILQAELPCDLERTNSTYNILALLRVLEGLNQLSPRLRVHAASDDFAEGKITTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQGDNQNAGGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSVRLGLWRSSSPSDTGMQIDRSASPDDDLAAKELNSDLPDNGSHLIQAPFGLFPRPWPLTVDASEGSRFSKVIEHFRLVGRVMAKVLQDGRLLDLPLSTALYKLILGQELDLFDIISFDAEFGKTLQELQILVERKRFLESTYGMNQLEVTDLRYPDYILKEGEENTIVNIYNLEEYVTLVVDATVKSGIMRQVEAFRSGFNQVFDISSLKIFSPEELDYLICGRREIWEPDSLVDNIKFDHGYTAKSPAIVNLLEIMAEFTPEQQHAFCQFVTGAPRLPPGGLAALNPKLTIVRKHPSSAVNTSNIAGVTESADDDLPRSDAQEIALCDPRRPEIKFHICGVGSLASTEKKRSSILCRTCK >OGLUM02G00180.1 pep chromosome:ALNU02000000:2:189448:198989:-1 gene:OGLUM02G00180 transcript:OGLUM02G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSTKPPNPKVEMNLKEPPITGAGAGAGAAASPPAPSTLRRNPPRSARPPPTPLPNSKPSQISRLLEEAAERLKVFLRIRPLPLPERKGKAKSPTNPKQVCLVANSPNSVALTVPHSKLLDPKRGRTEVFDGFSSVFSPDSSQYDVFSQVMNPLVDDLLLGGKSGLLVAMGPTGSGKTHTVFGSPRNPGLVPLTLRRIFSSTTHEPCSKLRSFCFSMFEILSEGKGERILDLLSDATDLVLQQSTIKGLKEVSVENFADAEALLLSGMLKRTTAATNANSNRSQCIITIRAVHKRSDAESENSLNNAVLTIADLAGAERERRTGNQGTRLLESNFINNTSMVFGLCLRSLLEHQKNKKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILNVAGKDDIDKDDGVTISEKDESQYKLLNSELRRVSRNEEIMTNFARALWTVLKQYKQKLLESENAVESTRELLRSKDIKIMELEKKLKVLSCSCKKFPAVEDTFVEQNNDVSSGQVAQSFVSLSSQTDLVSIDSALNKSLAVEEVSEESTGHGPERSSDYDDKTGTGGSDVCDTSIIKLIAEEELCSGDCKVLDKKLDRSESCSDGGGVTHSSSSLDHPSDQSFTDTCLQNESANLSPQFIGASKKSPIEQSEEEREEIHNITTEGIQQNVHTRGVKHHSTPSCSQEVNSGSLHVSSSQLQGMGALQQDPQSERCKPTVGITIVEYGCAQPPHVVDDHGGMYPCTLNGKSSPRKAPISPTKDNQAEKLTDKIEDLSASKPCNRKNTRRRLQPVSAMMLKEFTGPDIFVDTRKEEKVKSSRDAMGRSDKLIRLLTDHPPRARGRAQ >OGLUM02G00190.1 pep chromosome:ALNU02000000:2:201821:203394:1 gene:OGLUM02G00190 transcript:OGLUM02G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHWWYVQAVALLVVLKAAAGSAAPPTTTRSRSSSTVVAGMVFCDQCKDGARGLFDYPLYGARVAIECGGGESPVTVRECNTNWFGGFSVRMEGTPEMNRCTARVVQATGHCGAAIPTAPRDLTLAFRMLGLALYTVPPLLSQPLRPMDFCPSPLTSPSPPALALAPSPIPTPIIAPPPVSSPAPPLPPLWRRRPRRLPPIWRPTPPSLPVDTMPPPPPPPPPQPQGSACTFDKWADVGLHGCNWKVVTPNTTVAMAFGPAAAQRYGPDMTLREALDGRGDMYRTLLREATAALLNAYYNPSGSGFLYPTTASVIDHINAALLTPTLHKLLLEGARFRRANSDSNLPCHLTPCN >OGLUM02G00200.1 pep chromosome:ALNU02000000:2:203802:204680:-1 gene:OGLUM02G00200 transcript:OGLUM02G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASAFVDDDDDDDRRRRIIGVSASHIVSLTSSTYGILDNILVSSAQSQSQSQSPTRIPPPPTPPPRPTTTTNPPCAAPPEKHLKKQGEAEVINSWELMAGLLDPATPQKPRRPTHHSPPAGNTGILLYTTTLRGVRATFEACNAVRAALHSHGVAFRERDISMDRGFREELRHRISLDHDRAPLVPRLFVRGNHVGGAAEVARLEEEGKLAALLEGLPRARPGGGCCDGCGGMRFLPCFDCNGSRKLCFSLPTPVPAAAAARSNKTRAVVVVRCGECNENGLVLCPICS >OGLUM02G00210.1 pep chromosome:ALNU02000000:2:213056:215617:1 gene:OGLUM02G00210 transcript:OGLUM02G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREQVASLLNKYHPSGGVLSIYRSSSTRAYVCVGRLKGIYSKLIIDRSIDRVETMLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVMGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDCDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYITHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >OGLUM02G00210.2 pep chromosome:ALNU02000000:2:213195:215590:1 gene:OGLUM02G00210 transcript:OGLUM02G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVMGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDCDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYITHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >OGLUM02G00210.3 pep chromosome:ALNU02000000:2:213098:215590:1 gene:OGLUM02G00210 transcript:OGLUM02G00210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSRTLPPRIHDGVVVVERDVRRYQQLPQQVEMEMTTTKRQQDHQVETMTTKKIDEEDEEVDDDGRAKRRGTVWTAASHIITAVIGSGVLSLAWAIAQLGWVMGPTVMLLFAAVIYFTSNLLADCYRTGDPATGRRNYTYMDAVKANLGGAKVKVCGCIQYLNLLGVAIGYTIAASISMMAIQRSNCFHARGEQDPCHASSNVYMIMFGIVQVFFSQIPDFDQVWWLSILAAVMSFTYSAVGLALGAAQVAQNRTFAGSAMGVAVGFVTKTGDVVTPAQKVWRNLQALGDIAFAYSYSIILIEIQDTLRSPPAEARTMRKATGISVVVTSVFYLLCGCMGYAAFGDDAPGNLLTGFGFYKPYWLLDVANMAIVVHLVGAYQVYCQPLFAFVERRAERRWPNGLPGGDCDLGWIKVSVFRLAWRTCFVAVTTVVAMLLPFFNDVVGILGALGFWPLTVYFPVEMYITHRRIRRWTTTWVGLQALSLACLLVSLAAAVGSIAGVLLDLKSYRPFRSTY >OGLUM02G00220.1 pep chromosome:ALNU02000000:2:218622:221726:1 gene:OGLUM02G00220 transcript:OGLUM02G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPYETSAAGSESPVPVVTVDVAAASELITSAGHRYVDVRTEEEMNKGHLHNSLNVPFMFVTPQGREKNPLFVEQFSSLVSKEEHVVVGCQSGKRSELACVDLLEAGFKNVKNMGGGYAAWLDNGFPINTPPHTMY >OGLUM02G00230.1 pep chromosome:ALNU02000000:2:221638:224182:-1 gene:OGLUM02G00230 transcript:OGLUM02G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT1G64880) TAIR;Acc:AT1G64880] MASSAAARSRAAASAAWARLLSLRPSPPVSSTHHLTLRIASPRRHFAFSANASSGSSRLKQIQSERVIHDLFAELQRERQRDRQEEDEDEGEDEDYLGVKPLIEKLERRRAKEEAAPDDSFWEPTDSDSDEEDERYTPDAIKRRVDEFERKCNRHSELLRSFAEAETLDDAHKWMTKIDRFEERHLKLPLEYRVIGDMMNRLKDATGKDRFLLLQKLNRAVRIMECREAYDPSNPSNFGVIQHQQVGSPEDLVLNAGFDREKQMIQGAGDDDDDDEEFNEDKEKDDLLIEKLNSIEKKIEEKLAELDHTFGKKGRVLEEEIKDLVEERNSLTEHKRRPMYRKGFDVKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKARGPTAKIAIQRAYEKCFQDLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVEQKFGRTVVESYLL >OGLUM02G00240.1 pep chromosome:ALNU02000000:2:227025:232214:1 gene:OGLUM02G00240 transcript:OGLUM02G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRSSLSMSRARTRHGDGDDRGWNQLHVASRKGDLNQVRRLLDDGMDVNAPAWGPKSPGATPLHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKRAVRFLIENGAFLPPDMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSTGETSLSSES >OGLUM02G00250.1 pep chromosome:ALNU02000000:2:227744:232015:-1 gene:OGLUM02G00250 transcript:OGLUM02G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRRMDGCMPVVLTLEEYALSLKKHYDGGAAAWRK >OGLUM02G00260.1 pep chromosome:ALNU02000000:2:232048:234258:-1 gene:OGLUM02G00260 transcript:OGLUM02G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWACRRQVWAFHIDGIGLGPIEVAPSPPSLSSALDSTRLPSAAAAAIFLLHQTTTTTTNNNSSSRLPS >OGLUM02G00270.1 pep chromosome:ALNU02000000:2:234134:240166:1 gene:OGLUM02G00270 transcript:OGLUM02G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGSGGISKSSELLPRAGTMAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKSRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGAGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPAAAIVPQDLTPPPPALADDDNAAASSSEDDHLSKKTN >OGLUM02G00270.2 pep chromosome:ALNU02000000:2:234134:239963:1 gene:OGLUM02G00270 transcript:OGLUM02G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSSSASRREAGGGGAASSTKSGELSLSKVASVAIRESSGSGSGGISKSSELLPRAGTMAAAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLDSRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMVYVLCVYNKKEKEHQITMGAYDIEDALAWKKNIELIIDQQQENMTSKNRKAFASMDFDTELGGQFIFSDHDSAAEDEEERPMLIRRTTIGNGPPESIHDWTKEHDIGPPNQIDPIQDYASLKNFWNSIILQEAVAELCELLVLWKPHAKPFLGWWDCSFRYGSLVEEVDGHTAILYHKLQLHWCPMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCGRQKGYVRAFIESGGFKISPLKSRNGRPRTQVQHLMQIDLRGWLLNYSPSFQYHSLLQIQNCVAGLREYFSQTDETHITPRIPVMENMVDTSAVQKDDKKSTEEVDSKTKTPDRGQADSKNMGIIDEETDEDEDYQVPEANIEEDPNKDAKRADEPPEKIDLSCFSGILRCDADEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDSKRMDNVGRQKGCVAQVAAEKGMHTFVANIQIPGSTHYSLVMYFVTKSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKLFAKYSWFSST >OGLUM02G00280.1 pep chromosome:ALNU02000000:2:240969:245010:1 gene:OGLUM02G00280 transcript:OGLUM02G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCEVRRGCSSLPRSTPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLRQNFCRRRDAWILSVVIYANLKMNNHTNPKITN >OGLUM02G00290.1 pep chromosome:ALNU02000000:2:247552:265208:1 gene:OGLUM02G00290 transcript:OGLUM02G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGSTSACGIKITPTAFATKKHLCLVPPPVVSLPRKNRPQRKCNFRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKILICGLYAFDANVDSITQLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGFGF >OGLUM02G00290.2 pep chromosome:ALNU02000000:2:248493:265208:1 gene:OGLUM02G00290 transcript:OGLUM02G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFGSTSACGIKITPTAFATKKHLCLVPPPVVSLPRKNRPQRKCNFRVNAAKELYFNKDGLAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKVVAAGANPVQITRGIEKTAKALVSELQKMSKEVEDSELADVAAVSAGNNYEIGNMIAEAMNKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKILICGLYAFDANVDSITQLLLVDKKINNARDLITILEDAIRGGYPILIVTEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIREEVGLSLDKADRDVLGTAAKVVVTKDSTTIVGDGTTQDEVNKRVTQIKNQIEAAEQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLENDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVTEKVLANDNFRYGYNAATGKYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIKEPEKAAAAGNPMGGSGFGF >OGLUM02G00300.1 pep chromosome:ALNU02000000:2:252914:253393:-1 gene:OGLUM02G00300 transcript:OGLUM02G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSYLTCNLVTRQRQRGGVEEDEYDGRRQPQTATCCTALQRGVTADGLLAQPRYAPVRTPQSALLLLDPPPPAPMTPTSSSSNSNHDDDDEKKKKKQQQPMLQQQKQVRKCKSTVEEASASQLMECKGGGPPPRLRRSGGVRRDWSFEDLRANNTAA >OGLUM02G00310.1 pep chromosome:ALNU02000000:2:254689:255231:-1 gene:OGLUM02G00310 transcript:OGLUM02G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCGSVCFLFVIMVIASSPAAVAAAGEDIVETCGQCSRSNPNVNYTLCVSSLSGYPGADLHGLALFSTMPLRSALATIASEARVLRDRAPPGSPPRSCLDSCLEVFRDAAYNLGNAVAAIESWRYGDAKTAMSATTDAPVTCEDEFKGQGMATPPAIKARTKPLFQHGVISLAIISLL >OGLUM02G00320.1 pep chromosome:ALNU02000000:2:261095:261700:-1 gene:OGLUM02G00320 transcript:OGLUM02G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSSSSSRSVVMMCCVLMLMIAAAAHAGEQEAEECASPMSIEEACRGASETHHAVAYDHCVASLTADPRSSSSEARSLHGLAMLATRMAIDHATSTVCKMDDLAELEPEDSSSPSDARARFEHCLEQYGGAADLLRDALDNLKVRIYGTAMEQLSAALGAAESCEDAWKGDEGNIPIAAHDREYGRMAHIAIGFTHAAA >OGLUM02G00330.1 pep chromosome:ALNU02000000:2:262250:279851:-1 gene:OGLUM02G00330 transcript:OGLUM02G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL13] MAAPNMAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHHDYKFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEKCHVKNKDIRKFLDGIYVSDKGTITEDQIGWTNGMLLTQRMNWVEFLKPVVAMLVFDTLFALMTALVKKALADGLNHVVFITLRQFVAAVLLAPIAYFKERNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVIGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGSTSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAMGAALVIGGLYLLLWGKSKEASATALLAKAAEECRTVMTMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERNTRPKMTWEIFVYLFFSALLGAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLAASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVLFRQHM >OGLUM02G00330.2 pep chromosome:ALNU02000000:2:262250:279851:-1 gene:OGLUM02G00330 transcript:OGLUM02G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL13] MAAPNMAAVNTVSSLPCSKAGAAVAGGAPRPSTCSVFYPPRCWSKRSSGNGVRAQASTTETTAAPAAEVTTKVEKVSKKQVDGVVTNKYRPKEPYTGRCLLNTRITGDDAPGETWHMVFSTDGEIPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFADSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEEHHDYKFNGLAWLFLGVPTSSTLLYREEFERMKEIAPERFRLDFAVSREQTNAAGEKMYIQTRMAEYKDELWELLKKDNTYVYMCGLKGMEKGIDDIMIDLAAKDGIDWLDYKKQLKKSEQWNVEKCHVKNKDIRKFLDGIYVSDKGTITEDQNTRPRFTTEIFAYMFMSALLGGLCAQYLFFLGLSYTTATLTATFSNMTPVFTFLIAIPLQLETVDVRSKAGLAKVIGTLMSVGGATLLGLYKGAALTHTTSSVQEHGAKGSTSNSSSISKERWMLGSVLLVLNCISFSLWMLLQGKLTKKYPAVFSSTAFMTSFSSMQAGVVALTTQRRLSVWLIRGNIQIIAVGVGVSGIGYVLMTWCIEKKGPVFTAGFMPLIQIMAALIDLFFLHEQIFLGSAMGAALVIGGLYLLLWGKSKEASATALLAKAAEECRTVMTMLVFDLISAVMTALVKKALEQGLNRLVLITLRQLVATLFLSPIAYFKERNTRPKMTWEIFVYLFFSALLGAGLSQYSFFYGLQYTTATYAITFANLSPVLTFLIAIALGVESLNMKSMAGGAKVLGTLTSMAGVLLLSLYKGVALTNHPSAAAAMDASAGGGHGGSVMVKNNKQWTLGTVMLLGNCLCFSLWLLLQGKLTKKYPAIYSCTAIMFFISTLQGGALTLATERLAASAWTLTNKVEIVTVIYSGVMASGVGYLIMTWCVGKRGPVFTAAFIPVIQIMVAFIDFFFLHEQLHLGSVLGSVLMILGLYLLLWGKKKDAAAASSVVVVLFRQHM >OGLUM02G00340.1 pep chromosome:ALNU02000000:2:280332:289563:-1 gene:OGLUM02G00340 transcript:OGLUM02G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: RNA processing; LOCATED IN: intracellular; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: RNA-processing protein, HAT helix (InterPro:IPR00310 /.../ small nucleolar RNA-associated protein 6 (InterPro:IPR013949); Has 492 Blast hits to 480 proteins in 206 species: Archae - 0; Bacteria - 2; Metazoa - 128; Fungi - 191; Plants - 60; Viruses - 0; Other Eukaryotes - 111 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G28200) TAIR;Acc:AT4G28200] MSGYRVVAQWTQRNAVADEDIVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQALTRKNPKTPVYPSFPAAGSRDITMADAVQYRLERMSDELDDLERRGLFTRAELAEVVRRRRDFEFRLRRRSPLRSDFLDYIAYELRLDALRDLRKRAIIRATPDTTDHDADATDNDSSKKKKKKRNKGKAKKWKKSVSDIAGVLRVLDIYRMATVRYKGDLDLWFRYLEFCRDKRHAIRYHPKVPGLWIYAAAWEFDQNLNVAAARALMQTGLRSCPESEDMWIEYLRMELTYLNKLKARKVVLGEDVKTLQKKNNDASTWKEENKELFMSLNEQDEGPEESGSQGVALEGKEDLFWQQGLLIIQTIYHGATKALPSSLTLRKKFLEILNSVDLAHSDELKVEVMDDLKKEFSDSEDYWDWLARLQLSDSTSSSNLNRMEALSNKLNKSIQVYDEAVRRLPTSRMYSLYAKFWMGVLFADTEDSISLFHGGEFDASGFTSCILKVYQNAESSGCLTEDLACQYVSLYLRLGGLEEAPGRLEEARNLAKKLCNGPLSHAADLWNLRASIEMKSLATSTGISSFSKENLSSLFDLFNVALPKLPIPEAEELWHTAMKLFSHEKVYFEKLVKCAMLSLSSAGGNDCGASVSSAIVGWILQRDGIKQARKIYKRFLALPRPSFKFFQYCIELETNLASIGNQDSLVNARKLYDAALDLYPQERELWRNYYNMELKVGTSETANAIYWRARKVLGDSSALDIPHS >OGLUM02G00350.1 pep chromosome:ALNU02000000:2:291653:311829:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQQQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEEGEESCKWRSEATNLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLYKILMSWSAVVFPQFWCY >OGLUM02G00350.2 pep chromosome:ALNU02000000:2:291653:300272:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGMGGGGGVQQQETTTTVVQVAAEASRKKVEVRSAGRRGRREMRRIEDATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPRGRLYQFASASSDLQRTIDRYLNHTKNSSAHEEGEESCKWRSEATNLGKKIEAIEGYKSKLLGEGLGSCSVQELQELEVQLEKSLCSIRQKKQKMLMDQILELREKEMNLLKENMVLRDQCKALSSPWSTSVGELKNKQADDDVHRHEDYSGGGVRDDDDRRMMEDVETDLAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSLGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >OGLUM02G00350.3 pep chromosome:ALNU02000000:2:298333:311829:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSLGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >OGLUM02G00350.4 pep chromosome:ALNU02000000:2:298333:302716:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSLGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >OGLUM02G00350.5 pep chromosome:ALNU02000000:2:298333:312508:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSLGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >OGLUM02G00350.6 pep chromosome:ALNU02000000:2:298333:302919:1 gene:OGLUM02G00350 transcript:OGLUM02G00350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAANQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKREEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDHSAGFDFAVDAQGADIYPPDGLIQCADHVYAPKGRCIDWGIGQPLPSLGEVKDLQLQAPCYQGMFHQSVACPGFIAAQAVSSLQIGGDSSDITSPSQGATVVNRMLGATNRLNLYREI >OGLUM02G00360.1 pep chromosome:ALNU02000000:2:312609:315347:-1 gene:OGLUM02G00360 transcript:OGLUM02G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGYGGVSEFQQYIMDPGAFAMSAPPQPAQAAAAAAAAAAAAAGGQELGAPFRYQPLHHHALPQHHHHHHPPPQMPPHLAHFGGAGGIPFTQQLLHQAAAAGHHPHLQLFHEQHHHQKHQQQPPPPARWAPQHHHHHHPHHHLGLDVEAAVPESSGAGAGSAASGAAAPPGVPPFLAAAMSFKLGVDGGGGSGATGGTDDALNDGGGAGSGMMLHGGGGGGGGGGDDEAATESRLRRWPGDEETSIKEPTWRPLDIDYIHSSSSSKRAPPKDKPATPDSPAPPPPANYFKNKPDDNAAAASAASAGAVNYKLFSELEAIYKPGNGGAQTGSGSGLTGDDNAMLAPPMADLPDAAAADPPHLNTSETSAGEDAHAVVQPQPQTQQPSGADAARRKRKRRRQEQLSASASFFERLVQRLMEHQESLHRQFLDTMERRERERAARDEAWRRQEADKFAREAAARAQDRASAAARESAIIAYLEKISGETITLPPPAANPAPGADEQDGVGKEVVAYDGEGSLQLSSSRWPKHEVEALIRVRTGLEDRFQEPGLKGPLWEEVSARMAAAGYRRNAKRCKEKWENINKYFRKAKESGKKRPAHAKTCPYFDELDRLYSRSGSGGGGGSSSAGGNGGEEAKGSSELLDAVVKYPDVRCAPPGFPFDGEQNEEGRTKDDGDGDGDEEDVRVGVGRATDDHDDQVDESHDDH >OGLUM02G00370.1 pep chromosome:ALNU02000000:2:315802:316400:-1 gene:OGLUM02G00370 transcript:OGLUM02G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQSVKNKREKTIVIDRNIGIEREKKKEESPQNPDRIVKEKARRGFLKEQQSIQTGTRT >OGLUM02G00380.1 pep chromosome:ALNU02000000:2:327705:328052:-1 gene:OGLUM02G00380 transcript:OGLUM02G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWYLVVARLATMAAWARGNFDAVVTRVPLAIVTMGCVGDGLDSELLLLRHHWTYAPSSPLQPPALVVMGFSSTTCQIEEIDTRYGLQQVRLVVCPCSLWLSDLLFQLDKCFV >OGLUM02G00390.1 pep chromosome:ALNU02000000:2:330662:338342:1 gene:OGLUM02G00390 transcript:OGLUM02G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGRDVYILGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >OGLUM02G00390.2 pep chromosome:ALNU02000000:2:330662:338342:1 gene:OGLUM02G00390 transcript:OGLUM02G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MAAPPLLSLSQRLLFLSLSLPKPQLAPNPSSFSPTRAASTAPPPPEGAGPAAPSRGDRFLGTQLAAEAAARVLAPEDAERRRRRREKRKALARKPSAAACYGCGAPLQTADEAAPGYVHPATYDLKKRHHQLRTVLCGRCKLLSHGHMITAVGGHGGYPGGKQFVSADQLRDKLSYLRHEKALIIKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCIGDWVVEAVVKKKLNVLSVHLTSSKSLVGVTGVISEIQQEKKGSANVGKSAFISAMLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLRARCFPANDTDVGLSGNSLFWGGLVRIDVVKALPRTRLTFYGPKKLKINMVPTTEADEFYEREVGVTLTPPAGKEKAEGWVGLQGVRELQIKYEESDRPACDIAISGLGWVAVEPLGVPSSNPDESAEEEDNESGELHLRVHVPKPVEIFVRPPLPVGKAASQWYRYQELTEEEEELRPKWHY >OGLUM02G00390.3 pep chromosome:ALNU02000000:2:330662:338343:1 gene:OGLUM02G00390 transcript:OGLUM02G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47450) TAIR;Acc:AT3G47450] MTDGPSTTGDSPPPPPPPESGGSISSMVASSAASAAAAAADFTRRGEAFGADMASAARAAMDTAIAHSQSTAIAAAEAASSAKADALAAFPSLTLIAKEEFEWIKKEYTVHEQVVFGKIKEGAIMAIEHPGIAAGSTAIAGIVLFKRPRSYLIQRVRRIFVSKETLLSGIQADVNHMRQTVNLVSNESQKEEGRAIQSELNQISDIEKQAVGLKSILNQLPRAHASEFRSEISGLASQVKKEKRVLNNTLTKIVNYGVPI >OGLUM02G00400.1 pep chromosome:ALNU02000000:2:343595:346682:1 gene:OGLUM02G00400 transcript:OGLUM02G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDESVSSSSHLYHTTTCLCTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSSAIVAPKKPRTDNREKDDLC >OGLUM02G00400.2 pep chromosome:ALNU02000000:2:343595:346682:1 gene:OGLUM02G00400 transcript:OGLUM02G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G27790) TAIR;Acc:AT2G27790] MPREPAAVRVYTVCDESKYLIVRNVPSLGCGDDLANLFATYGPVDECTPMDAEDCDPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVSYAPQFESLLDTKEKLEVRRKEVLGRMKSSSGRPEGLSHHSPGQGSSAANSHRQMSSNKREYTKTLHASQFEDPRFTHVSSNKDYFPSESMNATVNLVREKLDKIQSSSDNSSAIVAPKKPRTDNREKDDLC >OGLUM02G00410.1 pep chromosome:ALNU02000000:2:348476:356001:1 gene:OGLUM02G00410 transcript:OGLUM02G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFVADDQTDADFFDKLVDDDDDLSPAPAPAPAPVPAQQSAEAALLPALSDLSLADDDTDPSPAPPPVEAPPEGASPESGKGAVHTTVKQVQWASFGGAADDGADPFSDLSGGAADDGFLGTMAGNQSFQTSVIGSVGASAHGIFGGSQSLTAEVTDQDFFGGTSDQNTDTQQQQLKQSGSGAFDSTDPKYLESIYPGWKYDEATQQWYQVDNSDTHGNATQVDTSTENIQQQQLAASYMHNPTQSSLETIAEEGTTTGSVSTWGQGGTSEYPPNMVFYAEYPGWCFDTNTQQWQSLESYQQAVTASAVQDGANNGVVASSAETNYSVKQTEDLPAHNQVAQHNSFSNNYSYQSQWQTNSFSNSMQPESATASLPDSFQSLGQHAISESFNSSTNSQVSFNTAETATSHYGNVNLDSSSTQGGYTASGGQQTGYKGFEPFTGHQAGYKGFEPSTGHQTSHKLFDPSAGNQNSYKPFEPSTGHHQHKGFEPSTNHQGDYKAFEPSTHNQGGYKGFSPSTVQQPGYKGFEASTGLQTNFKGFEPYSGQQAGYTGSLPSTGHQSSYMGFETSSNQGYGDANNVANSQGFAPMESMYGSHNQAHTNPQVPLSNSYLSADNSKNFSQQQFLGPNASHLQFGQSPHEERSSAGRPPHALVAFGFGGKLILMKETNSMATNYDSGNQGNSSGTVSVLNLSEVVTDKADALSASNGSALSYFHALCRQPVPGPLVGGSAASKDVNKWLDDMIALYESSTSEFQRGDPRKLLISLLKILCHHYGKLRSPFASDPSHEDTDGPEMAVTKLFSSCKRSSFQMGDFGSHVRCIKNIPSENQMQAVAQEVQNLLVSGRRKEALQCAQEGQLWGPAIILALQLGDKFYVDTVKKMAHCHFLSGSPLRTLCLLIAGQPADVFNADNNISSNYGSQQPMEPSPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLVAELNIDPYSESARLCLLGADHLKCPRTFASPEAIQRTEIYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGRVADSLRYCQASMKVLKASGRAPELEAWKQLFSTLEDRIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPQGAVSDRESYTAPGATKFANSQSVMTMSSLMPSASVQSMSEMSDSGRKIAHNRSVSEPDFGRTPKQGAGSDSTQSTAPGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGQQNKFYYDEKLKRWVEEGAEIPAEEPPLPPPPSKPSFQNGVVDYKLNGPMSASHTPNGFMEGKSSTSSEHGSGMPPIPPSQNQFSARGRMGVRSRYVDTFNKGGGGGAVPSYNKPAVASVTPPSGAKFFVPTAAVVAAEQMPNQTAETHGETFRPDERSSSPPAETSFSSPPPATQFSAPLMVPSIQRYPSMDNITTPNNGSGLSSGSNSSSFSRSRAASWSGTYSEQINAVAGARSPDQQTMPSPLMPGKQSHSRSNSNSSVQFNSLTEDLHEVEL >OGLUM02G00420.1 pep chromosome:ALNU02000000:2:356494:362873:1 gene:OGLUM02G00420 transcript:OGLUM02G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL32] MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTKGSAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPTHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >OGLUM02G00420.2 pep chromosome:ALNU02000000:2:356494:363069:1 gene:OGLUM02G00420 transcript:OGLUM02G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL32] MSAAHLLRHSRKLRTLRDALDYDRSALVRYFSAASASFPTKGSAAAGVEKRIGGARFPQCKQPGKELETFKVSLGGLNGRYACRRAPNNCIPTTITGLNGSLSCGQVSSARSFSSSADLPTHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEGDLEKFKDYKPSTSAAPAAPSEPKAQPEPAEPKVKETEPSRTPEPKAPKTEEASQPGGRIFSSPLARKLAEDNNVPLSSVMGTGPDGRILKADIEDYLASVAKGGKREALAAPGLSYTDVPNTQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMSDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQVAQRARDNSLKPEDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGTAEKRVIPGSVDGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPNSMLL >OGLUM02G00430.1 pep chromosome:ALNU02000000:2:364134:367031:1 gene:OGLUM02G00430 transcript:OGLUM02G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL33] MKKASSLSELGFDAEGASSGFFRPVADGGSTPTSHRRRLTKISVIGAGNVGMAIAQTILTRDMADEIALVDAVPDKLRGEMLDLQHAAAFLPRVRLVSDTDLAVTRGSDLAIVTAGARQIPGESRLNLLQRNVALFRKIVPALAEHSPEALLLIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLQVNAQDVQAYMVGEHGDSSVAIWSSMSVAGMPVLKSLRESHQSFDEEALEGIRRAVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQHRIHPVSVLASGFHGIPQDHEVFLSLPARLGRAGVLGVAEMELTEEEARRLRRSAKTLWENCQLLDL >OGLUM02G00440.1 pep chromosome:ALNU02000000:2:367724:370760:1 gene:OGLUM02G00440 transcript:OGLUM02G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALPTPFHASASHDRWRCGDSCAPPHSQSTLPRLARTPSAMGGSHHLFRFLAADDHPFFPTFPTSSSSFLLDDTHPFFFPPSSSCPLGFTSPPSSSCPLGFTSSYDLDAAFHHHLDLDLDLAPFLPTPTPPVTTSCPALRDPFLLHHSLAHRVSALELAAPRSKYTYEAESAGRKIKWTTHDKPDGDRTFKWEAQIDTPNDDGFDRKWKWESKASAAGATKLKWAKEVKGKGFLHPWSHAYSVEEVFGDDDHHHKADKTAENKVKQHNKDTSAKEEKKKTNKSNVQIVEIDDNTAGCVAINKAFASSYAKGKRKQLSPQDAALLIQMNYRAHLAHRSQVLRCLRHLAVAKAKLKDIRSLFYNISYRCRISHDSEERQRFADKIIALLATVDALEGPDYMVRNAKRSMLEELEGMLEIVGPQSLGKPRTLSRRKFENHI >OGLUM02G00450.1 pep chromosome:ALNU02000000:2:371242:371591:-1 gene:OGLUM02G00450 transcript:OGLUM02G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGGRNRKEGGPAGIVFSKGQGWVREGDVQETWGWMGMVQSAMVAQAKAETPSSGAPTRYSTKGPAWNRVGKQRDG >OGLUM02G00460.1 pep chromosome:ALNU02000000:2:371749:376314:-1 gene:OGLUM02G00460 transcript:OGLUM02G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMLPPPALRAPSAWEQATTSSSIGRSAMEEGCSSNWPATPCAAATTADKSNCTVGSTNNSPSEQVGHGDSLIYSLPYLPEDIWHHIHSLMPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLRCVRISGDELECLLSNSLVLEQLKLYGCNKISFLKIPCVLQHLSCLTVSSCFRLQVIVCEAPNLSSIFLCGEIKLSLGEALTMKALLMRRPNVVCYARAQLPSIMPNLETMELGSDSEAVNIPMLPTKFLYLKHLTIQIAGGTFSPSYDYFFLVSFVDASPSLETLYLDVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCCIVKAAVSLERLVLDTLRGGDDRCCGESSGKICWPVSSAVLKESARAAIAVRRYIEDKVSPTTTLTLVEPCTRCHSLAFG >OGLUM02G00460.2 pep chromosome:ALNU02000000:2:371749:373256:-1 gene:OGLUM02G00460 transcript:OGLUM02G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSAAARAACLSHSFLNSWRFHPNLSLNFKTLCPRTSRGNFKCKIDSILRNHLGTAKILKLNVADEDSTYPYIDRWLEVAVTPGIEELTLTLHKKYIFACSLLSDGVRDSIRCLQLTFCAFHPMAELGPLRSLTKLHLRCVRISGDELECLLSNSLVLEQLKLYGCNKISFLKIPCVLQHLSCLTVSSCFRLQVIVCEAPNLSSIFLCGEIKLSLGEALTMKALLMRRPNVVCYARAQLPSIMPNLETMELGSDSEAVNIPMLPTKFLYLKHLTIQIAGGTFSPSYDYFFLVSFVDASPSLETLYLDVCQDDMAHESIIESSSPHLRQLPELSHDCLKSVKILGFNSAKSLVELTCCIVKAAVSLERLVLDTLRGGDDRCCGESSGKICWPVSSAVLKESARAAIAVRRYIEDKVSPTTTLTLVEPCTRCHSLAFG >OGLUM02G00460.3 pep chromosome:ALNU02000000:2:373256:376610:-1 gene:OGLUM02G00460 transcript:OGLUM02G00460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVECLISRLREVMGTIALDGETSLGSETATQPPLLSSLTPTYSSPIHPAANAVHRCDAAHAAASSSKGSIGVGAGNYFELHWQICHGRGLQFQLAGHTLCSSDDGRTSGITYIP >OGLUM02G00470.1 pep chromosome:ALNU02000000:2:376984:379056:-1 gene:OGLUM02G00470 transcript:OGLUM02G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL39] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTGENYRLLYDTKGRFRLQSVKDEDAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPIIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >OGLUM02G00480.1 pep chromosome:ALNU02000000:2:392931:400050:1 gene:OGLUM02G00480 transcript:OGLUM02G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSNTSISNTRNRNSNWIGGTINTSSDPSPIPHTTQTQTNLLFSSPPFFFLVLILYPSRGDATIMETRDDVADASALPYSYSPLPAGDAASADLAAARRSRRRPLCVALFLASAAVILAVAVLSGVRLAGRPATTTMVVPGVVEMAGGGSRGPESGVSEKTSGAEEMVRLMGGAAGGEAFPWSNAMLQWQRTGFHFQPERNWMNDPNGPVYYKGWYHLFYQYNPDGAVWGNKIAWGHAVSRDLVHWRHLPLAMVPDQWYDVNGVWTGSATTLPDGRLAMLYTGSTNASVQVQCLAVPSDPDDPLLTNWTKYHANPVLYPPRTIGDRDFRDPTTAWRDPSDGDWRIVIGSKDEHHAGIAVVYRTADFVTYDLLPGLLHRVEATGMWECIDFYPVAGGEGVDMTEAMYARNKGVVHVMKASMDDDRHDYYALGRYDPARNAWTPLDAAADVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVAKGWASLQSIPRTVELDTKTGSNLLQWPVEEVETLRTNSTDFGGITVDYASVFPLNLHRATQLDILAEFQLDPLAVDAVLEADVGYNCSTSGGAAGRGALGPFGLLVLADKRHRGDGEQTAVYFYVAKGSDGGVTTHFCQDESRSSHADDIVKRVVGNVVPVLDGETFSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVFLFNNATSARVTAKKLVVHEMDSSYNQAYMA >OGLUM02G00490.1 pep chromosome:ALNU02000000:2:399511:401968:-1 gene:OGLUM02G00490 transcript:OGLUM02G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGIAASSSGKYHLLRRKGNLVYLTLQRRLSREEFKEVLRIILYRSTNGINEKITFTKLRRLQIFKATQRSYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >OGLUM02G00490.2 pep chromosome:ALNU02000000:2:399511:401665:-1 gene:OGLUM02G00490 transcript:OGLUM02G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKGKYHLLRRKGNLVYLTLQRRLSREEFKEVLRIILYRSTNGINEKITFTKLRRLQIFKATQRSYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >OGLUM02G00490.3 pep chromosome:ALNU02000000:2:399511:401665:-1 gene:OGLUM02G00490 transcript:OGLUM02G00490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKGKYHLLRRKGNLVYLTLQRRLSREEFKEYYLFLPKLLLSHMHKSLPELHGIPAEVPNFLDLKFKSFLAFQICRDILVRDAVRFHKFNNGTCSCGDFW >OGLUM02G00500.1 pep chromosome:ALNU02000000:2:402101:404356:-1 gene:OGLUM02G00500 transcript:OGLUM02G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G68930) TAIR;Acc:AT1G68930] MTHAAHALCNRYAAILSSAAGDGGRTGVRVAGAVHCLILKTFLQAPPTFLLNHLLTAYAKSGRLARARRVFDEMPDPNLFTRNALLSALAHSRLVPDMERLFASMPERDAVSYNALITGFSSTGSPARSVQLYRALLREESVRPTRITLSAMIMVASALSDRALGHSVHCQVLRLGFGAYAFVGSPLVDMYAKMGLIRDARRVFQEMEAKTVVMYNTLITGLLRCKMIEDAKGLFQLMVDRDSITWTTMVTGLTQNGLQLEALDVFRRMRAEGVGIDQYTFGSILTACGALAALEEGKQIHAYITRTWYEDNVFVGSALVDMYSKCRSIRLAEAVFRRMTCRNIISWTAMIVGYGQNACSEEAVRAFSEMQMDGIKPDDFTLGSVISSCANLASLEEGAQFHCLALVSGLMRYITVSNALVTLYGKCGSIEDAHRLFDEMSFHDQVSWTALVTGYAQFGKAKETIDLFEKMLANGLMPDGVTFIGVLSACSRAGLVEKGCDYFDSMQKDHGIVPIDDHYTCMIDLYSRSGRFKEAEEFIKQMPHSPDAFGWATLLSSCRLRGNMEIGKWAAENLLETDPQNPASYVLLCSMHAAKGQWTEVAHLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSSRIYEKLEWLNSKMAEEGYKPDVSSVLHDVADADKVHMISHHSEKLAIAFGLIFVPQEMPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSDGTCSCGDFW >OGLUM02G00510.1 pep chromosome:ALNU02000000:2:406030:406406:-1 gene:OGLUM02G00510 transcript:OGLUM02G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLIQLDGSFVLVPRNSSGIWAQICTDKGLSDRAFQCRGCKFASNSGNLHNLQICLQGSGETSSFFIWRLERS >OGLUM02G00520.1 pep chromosome:ALNU02000000:2:406548:407111:-1 gene:OGLUM02G00520 transcript:OGLUM02G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPHDQHEHEPDHAHRSPSNGTAATTIATNRWGPYSGAGDFASNMAVILAALLAALALALALNAAVRYLLRRHRRARQQPAAAAAAAEDPEKPPVQEADPPPPPPALVYSAAGTKLAGAAECAICLAEFVDGDTVRVMPVCGHGFHARCIERWLAGGRRSSCPTCRAPAATPPGATATEPAAVAP >OGLUM02G00530.1 pep chromosome:ALNU02000000:2:410974:416219:1 gene:OGLUM02G00530 transcript:OGLUM02G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT5G24680) TAIR;Acc:AT5G24680] MISSCPICNVQVLTAELQWHANAHFDDDQLQTDMELAHQIALTQSTPNPLLLDTHQECNNLFAGDPHAQGASSSIAGSSSLQGSVLDEQVSCLLQVQLRSNVQEIQGGVMNLLRACLESEAGSSTSMISGHVDHYQSLSSEDKGWGCGWRNIQMLSSHLLKQRLEAREALFGGSGFVPDIPSLQRWLEIAWDKKFDTLGSSHFHNKVYGAKKWIGTTECAALLRSFGLRARVVDFDSTESSGPHSKNGKHAPKRVQGPMDKFLIKNVSPTSTSSELCREDAENMRGQQVLVDWIWNYFASRHSDNSDSSRRVLVSDKTPLYFQHQGHSRTIVGIQKQNGQRGNQDRFTLLILDPGHRTSDLERALRSKTGWQRLVKRGVHTLRKPQYQLCYVDPGIASSEEMEQLKTIDSILKC >OGLUM02G00540.1 pep chromosome:ALNU02000000:2:417400:424325:1 gene:OGLUM02G00540 transcript:OGLUM02G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVILTGASCLLFTREEKRERRRPRHHRSSPPRAKPKKAMATDGDALESQAAAAAEAARELREAAASLVARRSADEDALRRRATALDADLRRLQASLSTLAPATLDKVEEELERARVTISDSDVAAFLPSKRNGKFLKTFVGPVNVRVARKEDKLRVKDEYNNYRDRAAYMFLLFPSILLLLRWWIWDGCLPALAVQMYQAWLLFLYTSFALRENVLIVNGSDIRPWWIYHHYLAMLMALVSLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFTLQVVVCGILLVVMAVGNFVNTMETLMLKLRFKAKMKRAKSRQDLSRQHQN >OGLUM02G00550.1 pep chromosome:ALNU02000000:2:429539:437713:1 gene:OGLUM02G00550 transcript:OGLUM02G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAVQAKPPELEEKLTKSRKKKAAASDPDDLHRRDAKRRRRAAAAQREVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQFGGQPLDVLGGAADEVLAVLKNDKIKSPDKKKEIEKLLNPISNQMFDQIVSIGKLITDFHDASAGDSAAAPSGDGMDTALDDDIGVAVEFEENEDDEESDFDQVQDDLDEDEDDDLPESNAPGAMQMGGELDDDDMQNSNEGLTINVQDIDAYWLQRKVSQAYEDIDPQHSQKLAEEILKIIAEGDDRDVENRLVMLLDYEKFDLIKLLLRNRLKIVWCTRLARAEDQEQRKKIEEDMMGNPTLTPILEQLHATRASAKERQKNLEKSIRDEAKRLTKSENTGIDGARDRRAVDRDMESGWLKGQRQLLDLDSLSFHQGGLLMANKKCELPPGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDMPEWAQPAFAKMTQLNRVQSKVYETALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGVFDNTKYKIVYVAPMKALVAEVVGNLSARLSAYGITVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVSRTVRQIETTKEHIRLVGLSATLPNYEDVAVFLRVRSDGLFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMASAGKHQVLIFVHSRKETAKTARAIRDTALANDTLNRFLKDDSASQEILGSQAELVKSSDLKDLLPYGFAIHHAGLARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQYYLSLMNQQLPIESQFISRLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPTLYGLPADIMETDKTLDERRADLVHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKQMWNVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRFPKMGRQLHKCIHQLPKLNLSAHVQPITRTVLGFELTITPDFQWDDKVHGYVEPFWVIVEDNDGENILHHEYFMVKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVTALRNARYEGLYSAFKHFNPIQTQVFTVLYNTDDSVLVAAPTGSGKTICAEFAILRNNQKAVSGESNMRVVYIAPIEALAKERYRDWEQKFGDFARVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKQVQQVSLFIVDELHLIGSEKGHVLEVIVSRMRRIASHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKNGKPALVFVPTRKHARLTALDLCAYSSAEGGGTPFLLGSEDEMDAFTGGISDETLKYTLKCGVGYLHEGLSDLEQEVVTQLFLGGRIQVCVASSTVCWGRSLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESHLHHFLHDHMNAEVVVGVIENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELVETVLNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPSRPGEEDFIEKLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHTILGNLAADQREILLSAHRLLQAMVDVISSNGWLTLALNAMELSQMVTQGMWDRDSVLLQLPHFTKELAQRCQENEGRPIESIFDLAEMSIDEMRDLLQQSNPQLQDIIEFFKRFPNVDMAYEVREGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPRYPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFTAASEAGRKEYMIYLMSDSYLGCDQEYEFTVDVMDAGGD >OGLUM02G00560.1 pep chromosome:ALNU02000000:2:438486:442196:-1 gene:OGLUM02G00560 transcript:OGLUM02G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSCVGALLLLLQYALFWSRMEVIDVAEDLVQVDRGDDEKTARALFGGGVSGHDDVQGREDAERSEAGEVAADVTDEEELPARVAAVEGAVVKTEARKAGGVAADVTDENALAVRVAAAESESVTMETRRR >OGLUM02G00570.1 pep chromosome:ALNU02000000:2:442224:443756:-1 gene:OGLUM02G00570 transcript:OGLUM02G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETWLFLLFSISLVAVLLATARRRRSSSIKARLPPGPSPLLFLAKFLLLRRSIFDLGPLLCDLHARHGPVISIRLFGTTLVFVADRRLAHRALVQGGSTFADRPPLPELGRLFTSDARDINSSPYGPYWRLVRRNLASEALSPARVVLFAPARRRTRDVLVRGLRDRGGDGSRPVELRPLLRRAMFELLLYMSLGARLAPEALEEVERLELWMLRAFTSFPVFSFFPAITKRLFRNRWAAHVAVRRRVGEIYVPLINARRAGAGAGDGDGDDPPCYTDSLLQLRVAEEGDRPLTDDEIIALCSEFLNAGTDTTVTLVEWIMAELVNRPDIQAKVHDEVRRRPELTEADLQAMPYLKAVVLEGLRLHPPAQFLLPHGVQSDAEVGGYVVPRGAELNVWVAELGRDEAVWTAAREFMPERFMDGGGVEVEVDVTGSREITMMPFGVGRRMCPGYTVGTLHAEYLVGSLVRELEWLPETEGEAADMAEELDFTTVMKHPLRARVVPRPSSPY >OGLUM02G00580.1 pep chromosome:ALNU02000000:2:444814:445490:-1 gene:OGLUM02G00580 transcript:OGLUM02G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVISSNGWLTLALNAMELSQMVTQGIWDRDSVLLQLPHFTKELARRCQENEGRPIESIFDLAEMSIDEMRDLLQLSNPQLQDIIEFFKRFPNVDMAYEVRKGDDIRAGDNVTVQVTLERDMTNLPSEVGPVHAPGSQSPRKKAGGCSTNQLLAIKRVALQKRARVKLEFTAASEAGRKEYMIYLMSDSYLGRDQEYEFTVDVKDAGAWRGLIPMQERG >OGLUM02G00590.1 pep chromosome:ALNU02000000:2:464977:465431:1 gene:OGLUM02G00590 transcript:OGLUM02G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPELPCRPLGRYRDLAPPSRSRAPRRGDSVVRLSREDERDCILQKFVNLVVDTAGVGADATAYKLYRVAASSLFSSPAASPCRCHGGSRQRSPSPPPRHSTTPASIVVVPDG >OGLUM02G00600.1 pep chromosome:ALNU02000000:2:469971:470559:-1 gene:OGLUM02G00600 transcript:OGLUM02G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLPSSLSLALSPPPPFFPPQWAPPVPGGGGPFAEAGGGYGSGGGGPGGIHEPPISFVYNNP >OGLUM02G00610.1 pep chromosome:ALNU02000000:2:471559:474378:-1 gene:OGLUM02G00610 transcript:OGLUM02G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPFIGILFGYFGSFQNGIRKAAVLFHSRIDKNRFQATQQTIQLQEFKASADNEKTDVIKEVCSFAMYIPVISIEKAGYEKKDIHIDGIKQGYSVICDNLKKLYHEKDGNAKKIAVRGLSLSMPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLLFYGRLKNLRGAPLSQLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAKQDRARILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKRRMNVLAWGLADTTLEDVFIRVAKESDSTSSSVA >OGLUM02G00620.1 pep chromosome:ALNU02000000:2:475357:476193:-1 gene:OGLUM02G00620 transcript:OGLUM02G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVNLVVDTAGVGADATAYKLYRVAASSLFSSPARRQAANVLDPDDVEDAAGGLPPPAITFHPSSLSGGPGNVDFLRLSGDDSLLALDVDGRGLLYSAASAAVRYMPDPCKPKMEPISFTTAGDSCLYVIERVPFSGNPGCFEALTYGLLPDDDELSSRMGWYWRSLPPPPFAKAGYDGDVRRLRHRREYDITASAVVNETELWVTAHGAGTFSFDTQAGEWRARGEWRMPFMGRGEYVEEHGGWFGLSSTPVKGLNLCSCDLSDLCCXTVLNRPP >OGLUM02G00630.1 pep chromosome:ALNU02000000:2:477365:480415:1 gene:OGLUM02G00630 transcript:OGLUM02G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLVAILHALHQDSVSLSSSSPSVSPPRRLRSPALPPPPPATAPAPAPRRCFRSDRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTTVAVSPSFPSDRMWLNGKARVWKCMPQYIWGIREMNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTIVPERVLKMEEAIKSRNFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNQSEGTPQVAYTFDAGPNAVLIAPNRKNATLLLQKLLLGAGPKVVTDESLALIDSVTGLPKGV >OGLUM02G00630.2 pep chromosome:ALNU02000000:2:477365:480415:1 gene:OGLUM02G00630 transcript:OGLUM02G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLVAILHALHQDSVSLSSSSPSVSPPRRLRSPALPPPPPATAPAPAPRRCFRSADRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTTVAVSPSFPSDRMWLNGKARVWKCMPQYIWGIREMNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTIVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPQVAYTFDAGPNAVLIAPNRKNATLLLQKLLLGAGPKVVTDESLALIDSVTGLPKGV >OGLUM02G00640.1 pep chromosome:ALNU02000000:2:498459:503593:-1 gene:OGLUM02G00640 transcript:OGLUM02G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSRGQQAAELGISYDQKLTPVAKGFVSDLDAAALSRIEKKNNTALHLKTVMSNVQSQEGSTAYDKPFTEEMIMIDGGGGAARWPGKHDRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVDTDESLALIDSVTGLPKGV >OGLUM02G00640.2 pep chromosome:ALNU02000000:2:498459:503593:-1 gene:OGLUM02G00640 transcript:OGLUM02G00640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSRGQQAAELGISYDQKLTPVAKGFVSDLDAAALSRIEKKNNTALHLKTVMSNVQSQEGSTAYDKPFTEEMIMIDGGGGAARWPGKHDRSPSMAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVDTDESLALIDSVTGLPKGV >OGLUM02G00640.3 pep chromosome:ALNU02000000:2:498459:501655:-1 gene:OGLUM02G00640 transcript:OGLUM02G00640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVDTDESLALIDSVTGLPKGV >OGLUM02G00640.4 pep chromosome:ALNU02000000:2:498459:501655:-1 gene:OGLUM02G00640 transcript:OGLUM02G00640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRAQDVEDEKKGIRIKKEDWGKLHVHIASYNNFPTAAGLASSAAGLACFVFTLGKLMNVKEDHGELSSIARQGSGSACRSIYGGFVKWCMGKNNDGSDSIAVQLADEAHWNDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPERVLKMEEAIKSRNFESFARIISLVEKWNQSEGTPRVAYTFDAGPNAVLIAPNRKNATILLQKLLYYFPPQDNDLSSYMVGDKSILSDAGLKSIEDVEALPAPAETKMPSQKFKGDVSYFICSRLGAGPKVDTDESLALIDSVTGLPKGV >OGLUM02G00640.5 pep chromosome:ALNU02000000:2:502056:503593:-1 gene:OGLUM02G00640 transcript:OGLUM02G00640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSRGQQAAELGISYDQKLTPVAKGFVSDLDAAALSRIEKKNNTALHLKTVMSNVQSQEGSTAYDKPFTEEMIMIDGGGGAARWPGKHGRRLDRRRSLAWT >OGLUM02G00650.1 pep chromosome:ALNU02000000:2:504428:505684:-1 gene:OGLUM02G00650 transcript:OGLUM02G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADPPPPPTGMGFLGLLSFRRSATAVASFDPAQDDELQVLHALQAHVADRLAALSHHPPLLSLAFLSKLLDAVLSSDDAFREVLGIGPVAAALSRPPADRLAADLLDRTVKTLDILNAVSLTLASLRGSHRAALTAASCLLAPPLHRAHFGRARRAISRLFPDAAKLAAAPSPSCRAGPARALSFSVSRNWSSGRHVHAMAAHLAPPPQSPTSASPGAGCGLGLALYTMSSVLVFSMWALVAAVPCQDRSSAATNPPVAPPKQVQWAAPMCALQERIADEWRKKDKKGSSSGSAAATGLLAEMQAVERAARELSSLLEEVAEEEEEEQLVMGATDERARDVVERAEALAAACRALEEGLAPLERQVRAVFHRVVASRGEVVRIMEHSTRSNSSATASAAAAAAASGSGAPPHHHSF >OGLUM02G00660.1 pep chromosome:ALNU02000000:2:510406:521990:-1 gene:OGLUM02G00660 transcript:OGLUM02G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKRGGGRPRKAPEDAAAKENGEKTNKEEETQASPEENGAGQSQASRTARKRRKGPVADPSSTELPPRKLRDRRNVPAVDYKENKHTKKMDGTSTMCHQCQRKDSGRVVRCRNGAEKNRRHRYCVKCIKRWYPHLTEDDFENCCPVCHNNCNCKTCLRTNVINKGDKEFADGKNKIKYSLRIARFLLPWLKQLHQEQMLEKSVEATIKGIDVTDLEVPQAQFNNDERIYCDNCRTSIVDFHRSCKSGHYDLCLSCCQELRQGLTTGTVVTCDTAVDVPEIEGKEGLQEGSSHSSAVGQGASDQQNDRLIGSAAPSEDCTPSLIWRAKSNGSIPCPPNAGGCGDCLLELRCLFKENFISDLLDKVNSVVNKETEQELGGSRCSCFTESGEVNNETSRKSACREDSNDNYIYCPTAREVQSGALDHFQQHWLNGQPVIVRDVLELTSGLSWEPMVMWRALREKRDKKEHERLSVIALDCLTWFEVDINIHMFFEGYSRGAVGSEDLPVLLKLKDWPQHSSFEERLPRHGAEFMSALPFREYTDPKSGPLNLAVKLPKHVKKPDLGPKTYIAYGVAQELGIGDSVTKIHCDMSDAVNILMHTDEVELKAERITAIEKKKESLRKDGKNLHVLRPDRDDDTSIALSESTEVPRSRGLENGSSIKQPAPNVAVMDQGGVHTDMVADEAEGNLSLSNGQSPNQSDAHNMDITFSKGETDHSICTINGGEEMGNGFGREDKCKSSHGVGSSESSDCQRRSRRRDACSSSATGEINETSMETNKFTISIEPKDDHPFVEGNQTEGGALWDIFRREDVSKLHDYLMKHAEEFRHYNYETVKQVSHPIHDQCFYLTNEHKRKLKEEHGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVQECIRLTEEFRLLPKGHRVNEDKLEVKKIALYALDQAIDDITGKSCNERTKDEGEEEASAPSVS >OGLUM02G00670.1 pep chromosome:ALNU02000000:2:527422:530333:-1 gene:OGLUM02G00670 transcript:OGLUM02G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10840) TAIR;Acc:AT4G10840] MPGLAAADNSPPVAAPPPRRLSSPLPRSRAPPSPSPSTSSRAKPRKVAAQPETDEAALDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTRPPPPPPPEGESSAEEQPPEDQQKGEEWALAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPSVASVFVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEVAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMVGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKLRLAELLKEAGRSRNRKQKSLENLFVTNSQRVKKDAGRRWSNFGFRS >OGLUM02G00680.1 pep chromosome:ALNU02000000:2:532379:540630:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00680.2 pep chromosome:ALNU02000000:2:532379:540809:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00680.3 pep chromosome:ALNU02000000:2:532379:538312:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00680.4 pep chromosome:ALNU02000000:2:532379:540809:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00680.5 pep chromosome:ALNU02000000:2:532379:538312:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00680.6 pep chromosome:ALNU02000000:2:532379:538312:1 gene:OGLUM02G00680 transcript:OGLUM02G00680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSLLLHHHHHPLSPRFAPSPSPSPRTLSLHPAPRLRLRLRLRASARGGGGSTRDHDDGARSIPIARCYEAALARLDLSGTARREQAVAAAAAADGGAAAEAHLAAVADAMVMEAFLPGPDGARRSAMSTRLILQANEVTEKASKLKKDLGAEFFSENEPDAESVLAMAFKQVVMDQLTNFRLEVFSPGSEIDLQDLSKPRKVPADFSIRSSDEKLLAALAEAIFSCVIEDARNNYLGGSGGLFHKWKSNCSLDSSVCIDIISESEVVNSARRRLDSFDLVQSSHVAGKAKNGWWPAPKSERLAKIGGPDFMLWASEFVYTYKLQIDAKAFKNTKLGGHHELANNKGEVLLSHAQMVELANILDMYFEDQFTLPGKTFYSNWNSEPSKIKKNNGYLNNLFALLAGSSIVFLVGAIAQLCWPQSLKDKRLATVSSCVSSSQSYCSDIHSLDSSELQAYCVSVVEKIKDSFGCTGDVMVDANIGAWVGELPEFFKGINCDSHDDYVDIQSTGAISQGEQPSLVSSPIKMSSHLEQNDDTQETLQNIASFQVVMSERGKVVGFQPTNRLAVNHWATNPLTKLLYEGRKISPAFLEPRLRISRPAKVVPVELLMLVNPESFFALVRPVQDPC >OGLUM02G00690.1 pep chromosome:ALNU02000000:2:538313:540286:-1 gene:OGLUM02G00690 transcript:OGLUM02G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45950) TAIR;Acc:AT5G45950] MRRLAVAAAALLLVAWHFSLITMAAAQLSPPQPPDDQYDDPPVPGLPVSPPSPGDPDSPEPPLPDSPPSQEPDTPEPAPPTPPQQQQQPWQSPLPPRREPAPPRTVVPPQEPGWSSVPPQPARVINYTTTGCTTMLVFGDSTVDPGNNNRLQTAMKANFLPYGADFLGGRPTGRFSNGRLITDILAEKLGIARSIPGFRDPRLRSGQLRRGVSFASAGSGYDEATARSSNALSFPNQIEDLWRYKRNLQRLVGRRRAEELVRRATFVVSAGTTDLLFHYLASNQSAAESGPQYENQLISRVANYTQVMATLGGRRFVFVGVPPIGCLPIARTLLGTGTTRCHENMNLLATSFNERLVEVVRLLKNQPNIRATFVDTYTTIGMATISPNNYGLTETSRGCCGTGVIEVGQTCRGRRACTHPSKYIYWDAAHHTERMNQIITEEVIMNSIGEIYA >OGLUM02G00700.1 pep chromosome:ALNU02000000:2:541657:543214:-1 gene:OGLUM02G00700 transcript:OGLUM02G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFSIDVPPPPPPPPVATDEPWITDTLPFVPYDNSMSYTNYCYYPEMFEDANPDISKEMTTIGGEDLLVDNANQQDYFQAWTNSFDSVALMEPGALQEPSYLDLDPSCFDLGSYLDPDHQQMASSSCSDIALLSDTSFLQPLNMSNAPYVQLPMMDANINNEIGAATSSSDLAQLIPQSSDHSLLQPLNINDETAYDQLPVIDTNTSSNNNTSSEFPCVNFQSSNTGSLLGGSSNMFDGQDQQTSHIVLPEKSCPDPEKRQRAVQRYKEKKSNRRFVKQIMYASRKATADTRRRVRGRFVKASLEQGTSSNDNKQPKHEGN >OGLUM02G00710.1 pep chromosome:ALNU02000000:2:546202:550135:1 gene:OGLUM02G00710 transcript:OGLUM02G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroperoxide lyase 1 [Source:Projected from Arabidopsis thaliana (AT4G15440) TAIR;Acc:AT4G15440] MVPSFPQPASAAAATRPIPGSYGPPLLGPLRDRLDYFWFQGPDDFFRRRAADHKSTVFRANIPPTFPFFLGVDPRVVAVVDAAAFTALFDPYLVDKRDVLIGPYVPSLAFTRGTRVGVYLDTQDPDHARTKAFSIDLLRRASRNWAAELRAAVDDMLAAVEEDLNRAPDPAAASASYLIPLQKCIFRFLCKALVGADPAADGLVDRFGVYILDVWLALQLVPTQKVGVIPQPLEELLLHSFPLPSFVVKPGYDLLYRFVEKHGAAAVSIAEKEHGISKEEAINNILFVLGFNAFGGFSVFLPFLVMEVGKPGRDDLRRRLREEVRRVLGGGDGGEAGFAAVREMALVRSTVYEVGKGELLCGYQPLAMRDPAVFERPEEFVPERFLGYDGEALLQYVYWSNGPETGEPSPGNKQCAAKEVVVATACMLVAELFRRYDDFECDGTSFTKLDKRELS >OGLUM02G00720.1 pep chromosome:ALNU02000000:2:550524:551933:1 gene:OGLUM02G00720 transcript:OGLUM02G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKAIELVLKRLDEVRERMDGVEKLLRILICREEKRAKGWEEEEDEVDKMQMVAAASSSSRRRRKAIKRNRKRKEEDASEKKKKKQQQQTEEAEAEKKKKKKKRQTEEAEVEKKIEARKAKQEAYERYLANFFDFEPFPRTPDHILNEMPEEERAGENRLAAFADSITERHKLLYQRCIKDYMDKDDHE >OGLUM02G00730.1 pep chromosome:ALNU02000000:2:553864:556092:-1 gene:OGLUM02G00730 transcript:OGLUM02G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37230) TAIR;Acc:AT2G37230] MSRRHFHLPLRLLSRNNNPSAPLLRHAFSTLDTPEPPPQETEAEAVPEVTPAAATPNPPRREEPLHETILYMIRRRPWTTRLENSIRLLSPTLAAPLVHGVISGAAAAGRADLALQFFRFAYRRAGFIPEPATFSLLIPILASHRMLNHARCILLDTMPSFSIAPEEATVAALIAAYGKANIPQESVKLFRLMPDLGIARTALSYNAVLKAILCRGREAMARRIYNAMIADAVTPDLSTYNTLIWGFGLCKKMEAALRVFGDMKDHGVTPDVTTYNTLLNAWVRAGDLESARKVFDEMPGAGFAQNSVSYNVMIKGYVEAGKVEEAVGLFSEMGEKGLRLSEKTFAALMPGLCDDEARVVEARKAMDDMAERRLTPKDKSVFLRLVTTLCRAGDLDGALDVHRKSGQFKHVLVDPRQYGVLMESLCAGGKCDGAVEVMDELLEKGTLLSPKSPVLEGPAYNPVIEYLCSNGNTSKAETFFRQLMKKGVDDKAAFNSLIRGHAKEGVPEAAQEILAIMTRRGVRTDPESHALLVDSFLKKNEPADAKTALDSMMEQGHVPSPSLFMSVMVALFNSGRVQTASRVMKSMIEKGVTENMDMAHKILEALFMRGHVEEAIGRVNLMVENGCLPDLDKLLIALCENDKVMEAHKLADFALDRDFDVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVDQKGCDALMDSLKAGGYSKQADILSRILAENASSTSKRGKRVAMGA >OGLUM02G00740.1 pep chromosome:ALNU02000000:2:559247:559669:-1 gene:OGLUM02G00740 transcript:OGLUM02G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVDEDEILYALGGDEARPLGMHQSNRSWSTSREEGSSPVGSEESGASVAPIVKSLRGLDVDRQNLPHAGLSGVDGARRERRKTAPRRPAVVKPGGSPAELHGAGVDGAMRERRRTAPRRDDLKPKQTRKTHLIFPSL >OGLUM02G00750.1 pep chromosome:ALNU02000000:2:561064:561522:-1 gene:OGLUM02G00750 transcript:OGLUM02G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDAVAPDLVLFSNLIHLALRGGDAPKALALFSRLRGAAGIKPDLKAYNAAIAANRLLLHDMPSDGVAPDAESYSPILAVLARRGRHLAVVSLFTHMRVKPDLSVFNIVLKAYAQPPGVHAAAVVGGFLFLLGLRHDELGVVIDGSHARAR >OGLUM02G00760.1 pep chromosome:ALNU02000000:2:562070:562828:-1 gene:OGLUM02G00760 transcript:OGLUM02G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLAARKIAGGGGRRASMNIGAVIGGGRSPDVAAEAEGQRRRLLQGLIYGGGGSPVSSSSSSYRTTFRRLMSSDAAADHPPSTPKHSWRCLVEPPPNALEKAEVEALKLEVKQKKEELFYKLATLNWQYKTRSKEARNWTFCYCQEWFSPQCHSPEWRR >OGLUM02G00770.1 pep chromosome:ALNU02000000:2:563122:568700:-1 gene:OGLUM02G00770 transcript:OGLUM02G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28250) TAIR;Acc:AT2G28250] MEAAASELMDVHKKLLLASLSCVLLIQVASSDGTAEGAGTTSWACVCTAHPLACRRIVRLPAIVYKMMMVAQDHGTAHVLLTKPLKKNTLRYMIGAASLTVTAHPEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGLLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >OGLUM02G00770.2 pep chromosome:ALNU02000000:2:563122:568700:-1 gene:OGLUM02G00770 transcript:OGLUM02G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28250) TAIR;Acc:AT2G28250] MEAAASELMDVHKKLLLASLSCVLLIQVASSDGTAEGAGTTSWACVCTAHPLACRRIVRLPAIVYKMMMVAQDHGTAHVLLTKPLKKNTLRYMIGAASLTVTAHPEGKKHVSNKTVIVTLLVCVILTTIAFLGTTAYYLRRKDALSPHSHAYSFDKYTSWSSRSNLVSHRSSPLPQPKPKPRISVLKEFLCSCNPICGNEGGLLPGVIVRFSYSELEQATGKFSDEHLIGVGGTSKVYRGQLSDAKVIAVKKLRPLGGADEDFEFLSEVELLSRLNHCHVVPLLGYCMESQGRQLERLLVFECMGNGNLRDCLDLKQGRKAMDWATRVGVALGAARGVEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRQPIHHHRPPAAAGESLVLWAAPRLRDSRLVVAELPDPALQGRFPQEEMQIMAHLARECLQWEPESRPTMSEVVQILATIAPSSRKHAAAATLVTRIGRSSSAGDTMHSTRLAVKCSVGESWRSPEMEEETVVDLTEPRLEPAIFFN >OGLUM02G00780.1 pep chromosome:ALNU02000000:2:569054:570451:-1 gene:OGLUM02G00780 transcript:OGLUM02G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPHGTYRAAGAQPHAPSPHLQQLRMPSPYATSHGNQHQRPSILASLLPFVLPSSSDPSLTAPPSLNTVVHRTSGPLNAGAGSQHAGSQISGVNPSGSSASASLNTWLTARLALTSEARGTVSSTEVDLAVRVSLLHLDWARFRLDETCDASDGYVDDEVVTGEAHAAPWMD >OGLUM02G00790.1 pep chromosome:ALNU02000000:2:570460:586839:-1 gene:OGLUM02G00790 transcript:OGLUM02G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPPFSAPTNGVNQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVARVGMLKRASNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRALFKRSKKASSTVVVTSDRVGKENTSSGNVAESNTGMLCEFGGLIGKDKKHSNNTKVSRSPISGLHETSQPRVGKSAGPLSEVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSILSLQSAPIPPIHYEEPESGLGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGQGCKRSYHISCLDHWLEYLSPGMWFCTACTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGHDLLSFFNKTDHKEKTSWKKEWTEPHRLLRKRPLMLQKEADKFFCSSHANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKRLSIPVETKVKHKTCQKLQRLPDEWPPGFDNDHLFSINQLLEFWYKSHGAVLVDDKEYVTKTILFALTVLPDVCQPLLIITTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRSCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEEKGYSDYVDADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSYISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIINEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSVDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQGLRTSLKHSLLRWGASFLFSRLDEVQQDDHASKSSEMERHFTDEIIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEEDPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSSPALQPVDATQQKSGSENLMSTPKNLHAQLKQELSKLIEVLQLPDNVRLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEERLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQSMDLHDNFMNGALQEGSCVAAQMVSEEQELIAVPGTHMECHLSTDELPDIVEKRINLIDNVFSLREYRIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFREHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQNSNSHVSGSPVSDCQQLCHDRLKMVNTLVRNVVPSEPFSAQAVRNSFVEAIMVAGQPASEVVDFPENDTCYSPDGIGLQKAKSPSTRPSNDDSINQESSASENVERDNANPSTLRGVATSPAMGVYANNDVTVAADHANLESTMLASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQDPPAEAKLTENLGVTAWDVQPEMQTTTSTLDSPSGRMCPDDNNQTVHQPDTPTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSREVGTQTDQSSMLERQSISVPLVQSSVSSEHPPTEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVPGLSQRGETCDHLGDTREIVDANNSNTVCAVRAHLESPTFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRAVVFLHEGTTTQQHLLDTRVVVDDIVAEEPSHSESPTYIIHETAALVVLTEVETQTCQSNIPIQQNTSHPAQQSPVGIEATPEFQPEMQPSTSGQDQSEEVEQEGMLSSAIQDLQPEMQPPNSVQGQYPGAVLSIAAAVQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRSTSTQDAPFERTDLPGIPVPQSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLEPSSTIQTVQLESSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYTQKEAELDTIYRKEIPTIICSSRGIHETHNWAADSVFSGTICKDCSRASHSITSYLVISSSITGASFIRTICATFTGGSTIITGYPTRVNLTWQYVYSNVITF >OGLUM02G00790.2 pep chromosome:ALNU02000000:2:570460:586839:-1 gene:OGLUM02G00790 transcript:OGLUM02G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQPGGTSRDGRGGGSTARANAGTGYPTRSRTSGNPPFSAPTNGVNQRAMASLRSPLRGGPDMPTSRRCSPRLSGTQQDEVAEVARVGMLKRASNNASNSSTSRAPTRSSSTTTASSKDVAEEHSSGVGSTSSLSKKRKRMTAKSYRALFKRSKKASSTVGKENTSSGNVAESNTGMLCEFGGLIGKDKKHSNNTKVSRSPISGLHETSQPRVGKSAGPLSEVHQHISIETCPQNKVAESRLEVEGRDRPTGHSRQNSILSLQSAPIPPIHYEEPESGLGDGEPLSIQKEVASSQFKVTASDEMEGNSNICVACGTPGDLKSCDGQGCKRSYHISCLDHWLEYLSPGMWFCTACTEKRLLFGIHSVADGIESLWNVKEGMQNGKQYLVKYKNLAHVHNRWVPEGVINDTPGGHDLLSFFNKTDHKEKTSWKKEWTEPHRLLRKRPLMLQKEADKFFCSSHANIEHCNVEWLVKWRDLGYEHATWELETACFLRTPQADELKRKYENRRKAAKRLSIPVETKVKHKTCQKLQRLPDEWPPGFDNDHLFSINQLLEFWYKSHGAVLVDDKEYVTKTILFALTVLPDVCQPLLIITTPASLSAWEIQFNHLAPFINVVVYDGQKDTLKLIQDLEFYDNRSCMMLQVLLSHPDAILEDIETIERIRWEAVIVDYYENSAFKYFEQLKKLSTDFRMVLLGSPIKDNVPEYMNLLAFLNSEEKGYSDYVDADDALVMSKARFTHHIAYERKTDSSKFLEYWVPSYISQPQLEMYCSILLSKSSVLRSEMETDSVGALHDIYLSLKKCCDHPYIINEFLRSSLSNNSNVTENIDTVVHASGKLLVLDKMLNEIKKKSLRVILLFQSDRAGGNKMGNILEDLMHHRFGPESYERVEYRAVLSRKQAAIDKFNNKTNGRFVFLIENRACLPSIKLSSVDAIIIYGSDNNPLNDLKALQKIKIESQFERVSIFRLYTPFTVEEKSLVLARQGIVIDNNIQGLRTSLKHSLLRWGASFLFSRLDEVQQDDHASKSSEMERHFTDEIIVEFLTKLSTTVEDSTEVHRKSISKANMSGELYSRNITLMGEKEGISVLEEDPAEFWLNLLDGRSPHVSCISEPLQSRVTKSQTMDEVNAPAEEINEARKKRRKVGEIMGSSSKVVSDKSNDDALPDICTTSSPALQPVDATQQKSGSENLMSTPKNLHAQLKQELSKLIEVLQLPDNVRLLVEQFFEYLLNNHVVVQEPKYIFHALNIALCWRVASIHNFKVDHKESLALAEERLKYECNEELARLVYDSLKRKFPKKAGATGSNCQSTSVEKTKPSQQETSNILRNDHIFPKQSMDLHDNFMNGALQEGSCVAAQMVSEEQELIAVPGTHMECHLSTDELPDIVEKRINLIDNVFSLREYRIFDKQQSQISELEKYTQNKTARLKTVCNLVLEHICRSHADVETRNDTIKQTVQWFTMLMYAFREHMRLQHSKLESLQSNTWAEERQLKEKLCLEAKSGQLDHTFDQQIALPDSNFVMQEFIHLKEQNSNSHVSGSPVSDCQQLCHDRLKMVNTLVRNVVPSEPFSAQAVRNSFVEAIMVAGQPASEVVDFPENDTCYSPDGIGLQKAKSPSTRPSNDDSINQESSASENVERDNANPSTLRGVATSPAMGVYANNDVTVAADHANLESTMLASTQNLTIFPASKEVATQSNLSTLPGSQTVETSQDPPAEAKLTENLGVTAWDVQPEMQTTTSTLDSPSGRMCPDDNNQTVHQPDTPTSPLQEGSTSCHLTSVDATAGVTAKVDDTAAADPLDSETQSYTAAHKPAALLVSREVGTQTDQSSMLERQSISVPLVQSSVSSEHPPTEAEPASTLSRETARDVQPERQQSASVLETSLQRMHPDDDSQTKHQLETVPGLSQRGETCDHLGDTREIVDANNSNTVCAVRAHLESPTFATPQSLVICQGLSEVGSQGNISNMSSQQSTDLSAQQNLAPSPLPPAEAERTGLLTTQPAQNFQPELQPSTSLFDASLESNNISQTDCQSDRAVVFLHEGTTTQQHLLDTRVVVDDIVAEEPSHSESPTYIIHETAALVVLTEVETQTCQSNIPIQQNTSHPAQQSPVGIEATPEFQPEMQPSTSGQDQSEEVEQEGMLSSAIQDLQPEMQPPNSVQGQYPGAVLSIAAAVQPLMQSSTPVPNQLAEANQEGMLSAAAAQNLQCETQRSTSTQDAPFERTDLPGIPVPQSITTAHQSVVPSWDLQTGVEPTGALCMETTHERQSELPSGSMQERSAETRANLVQRSCTTETCDLQPQLEPSSTIQTVQLESSEDMNQIGVQSNSALSSEQPTQPLPVAPLVFNYQRFSDEPLKNELERLKHTSNVLSKVHEQKRKQLLVEYNQEMEKLKQKYDSLLQKEDSFYTQKEAELDTIYRKEIPTIICSSRGIHETHNWAADSVFSGTICKDCSRASHSITSYLVISSSITGASFIRTICATFTGGSTIITGYPTRVNLTWQYVYSNVITF >OGLUM02G00800.1 pep chromosome:ALNU02000000:2:594168:594635:1 gene:OGLUM02G00800 transcript:OGLUM02G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYADVVPLTSENFRTLYTSNKKPLDYKGSVIHSMHCERIHFFTCVAWFDGNHVVFDRLISGFHNLKAIEAEVGSTSGTTTKINEVKIANCGEVVIAPVAVVNY >OGLUM02G00810.1 pep chromosome:ALNU02000000:2:598171:604958:1 gene:OGLUM02G00810 transcript:OGLUM02G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL87] MSHRRRSNAAGPPPPEGVGDSSTAQASSTEKERPDPPSVLGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMVMAPRLLQAVFASFGDLYLYKLSKLIFNNHVAQWALVNWFMLFCITRTLSNSMETVLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFRLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPTDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREVRRFFHSHFKVDRDLQSSVVVYSRMNIPWQY >OGLUM02G00810.2 pep chromosome:ALNU02000000:2:598171:604958:1 gene:OGLUM02G00810 transcript:OGLUM02G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL87] MSHRRRSNAAGPPPPEGVGDSSTAQASSTEKERPDPPSVLGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLVNWFMLFCITRTLSNSMETVLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFRLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPTDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREVRRFFHSHFKVDRDLQSSVVVYSRMNIPWQY >OGLUM02G00810.3 pep chromosome:ALNU02000000:2:598171:604958:1 gene:OGLUM02G00810 transcript:OGLUM02G00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YL87] MSHRRRSNAAGPPPPEGVGDSSTAQASSTEKERPDPPSVLGSERRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIVFGYGHLTWEWKRGLRSYLHPLVFAALYKILALLHLDTPWFMLVNWFMLFCITRTLSNSMETVLTITGLYYWFVAIESSKGTLVVPRQKPASKQSPPSRKMALLIAALACAIRPTSAITWLYVGLLDFIQTKSKSRFLFLEVIPLGVFVLAVTTFLDCWMYGSRVIVPLNFLKFNLFSSGGDYYGTHVFHWYFSQGFPSMIWTFLPFSISGIMKSREWRLAGLIVWVLAVYSILGHKEFRFVLPVLPLMFMFSGYNLAAMAQFKGKGRSEKGHLSRFRLSVILLILTNVPMALYMSLYHQRGTEDVMFYLSREAHDGRVKSVLFLMPCHSTPYYSTLHYNLPMRFLDCTPSENKGTLDESDRFLMNPTDFVGKVFGNLSSFSHIVLFESEERHLKLLLHNSFREIPWQY >OGLUM02G00820.1 pep chromosome:ALNU02000000:2:603120:605966:-1 gene:OGLUM02G00820 transcript:OGLUM02G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPWMILATTLLLPTISISAASRTAKPRCKETCGILTIPYPFGIGAGCFYTDGFDVSCEHNRTYMHNSSNKMEIYSLNLLGGQAQVSTLIANKCSNTDGTWTDGWVSTWTAPFFTLSSRANKLTVVGCNTLAFLGGYNKKEQNVGAGCFSMCPDKQSVDSSGQCSGMGCCQTSIAPKLSSFNVTFDSGFDNSEVNSFNPCSYAFVAEQDWFRFEPDYLEGHKFTDKYKGVPTVLDWVAGRESCAQAPKNRTSYACVSTNSRCINSPNATGYLCACKDGFAGNPYLEGGCQDINECEFLGQYCHGICENTIGSYNCYCRPGTQSTDPKREPCNPITASERARLTKTCTFALLIECQKRKLMKEKERFFQQNGGMLLYEQIRSKQVDTVRIFTKEELENATDNFDSSRELGRGGHGTVYKGILKDNRIVAIKCSKIMNMVQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFELIHGKYRTTSISLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYITKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTQKSDVYSFGVVLLELITGKTAIYSENTEEKKSLASSFLLALKESRLESILDRNILGVGTELFQDVAQLAKCCLSTKGEERPLMTEVAERLKAIRSTWREQLIEGANEETVCLLENSSQYDPSTTGQHGSLMALDIETGR >OGLUM02G00820.2 pep chromosome:ALNU02000000:2:603120:605966:-1 gene:OGLUM02G00820 transcript:OGLUM02G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPWMILATTLLLPTISISAASRTAKPRCKETCGILTIPYPFGIGAGCFYTDGFDVSCEHNRTYMHNSSNKMEIYSLNLLGGQAQVSTLIANKCSNTDGTWTDGWVSTWTAPFFTLSSRANKLTVVGCNTLAFLGGYNKKEQNVGAGCFSMCPDKQSVDSSGQCSGMGCCQTSIAPKLSSFNVTFDSGFDNSEVNSFNPCSYAFVAEQDWFRFEPDYLEGHKFTDKYKGVPTVLDWVAGRESCAQAPKNRTSYACVSTNSRCINSPNATGYLCACKDGFAGNPYLEGGCQGISVCAIILLSCTFALLIECQKRKLMKEKERFFQQNGGMLLYEQIRSKQVDTVRIFTKEELENATDNFDSSRELGRGGHGTVYKGILKDNRIVAIKCSKIMNMVQKDEFVQEMIILSQINHRNVVRLLGCCLEVEVPMLVYEFIPNGTLFELIHGKYRTTSISLDTRLRIAQESAEALAYLHSSASPPIVHGDVKSPNILLGDNYITKVTDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTQKSDVYSFGVVLLELITGKTAIYSENTEEKKSLASSFLLALKESRLESILDRNILGVGTELFQDVAQLAKCCLSTKGEERPLMTEVAERLKAIRSTWREQLIEGANEETVCLLENSSQYDPSTTGQHGSLMALDIETGR >OGLUM02G00830.1 pep chromosome:ALNU02000000:2:608542:611255:1 gene:OGLUM02G00830 transcript:OGLUM02G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGAWNFSYVLNLMGNVLRLPQGRELPQPTRSRFAVKAAMKLEFKNFCLD >OGLUM02G00840.1 pep chromosome:ALNU02000000:2:612397:615522:-1 gene:OGLUM02G00840 transcript:OGLUM02G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVPVLLLVTVLSLILPSGIGAAAAGDERSALLALKAGFVDTVGALADWTDGGKASPHCKWTGVGCNAAGLVDRLELSGKNLSGKVADDVFRLPALAVLNISNNAFATTLPKSLPSLPSLKVFDVSQNSFEGGFPAGLGGCADLVAVNASGNNFAGPLPEDLANATSLETIDMRGSFFGGAIPAAYRSLTKLKFLGLSGNNITGKIPPEIGEMESLESLIIGYNELEGGIPPELGNLANLQYLDLAVGNLDGPIPPELGKLPALTSLYLYKNNLEGKIPSELGNISTLVFLDLSDNAFTGAIPDEVAQLSHLRLLNLMCNHLDGVVPAAIGDMPKLEVLELWNNSLTGSLPASLGRSSPLQWVDVSSNGFTGGIPAGICDGKALIKLIMFNNGFTGGIPAGLASCASLVRVRVHGNRLNGTIPVGFGKLPLLQRLELAGNDLSGEIPGDLASSASLSFIDVSRNHLQYSIPSSLFTIPTLQSFLASDNMISGELPDQFQDCPALAALDLSNNRLAGAIPSSLASCQRLVKLNLRRNKLAGEIPRSLANMPALAILDLSSNVLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLPPCSGSRSTAAGPRSRGSARLRHIAVGWLVGMVAVVAAFAALFGGHYAYRRWYVDGAGCCDDENLGGESGAWPWRLTAFQRLGFTCAEVLACVKEANVVGMGATGVVYKAELPRARAVIAVKKLWRPAAAAEAAAAAPELTAEVLKEVGLLGRLRHRNIVRLLGYMHNEADAMMLYEFMPNGSLWEALHGPPERRTLVDWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALGRAGESVSVVAGSYGYIAPEYGYTMKVDQKSDTYSYGVVLMELITGRRAVEAAFGEGQDIVGWVRNKIRSNTVEDHLDGQLVGAGCPHVREEMLLVLRIAVLCTARLPRDRPSMRDVITMLGEAKPRRKSGSSTGSASAKAPTPAPAAVAAVVVDKDKPVFTTTPDSDYA >OGLUM02G00850.1 pep chromosome:ALNU02000000:2:621563:624346:1 gene:OGLUM02G00850 transcript:OGLUM02G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRVIRVRAHDGRSTTVTIAASATVSDLRTALRSSFAPALVSPDFHLFLKGTKLIADAKVGNLPVGPGESISFIPVNAKSAPPHPPSSSAPNPWRKRKFSWHDGGGEDIYAKKPTNPAPPRPLSCHGTQPLDPTQMVEHLRQGLGKAGQITHVEEIPGREATFAELPGHLSSSMRDAVRSIGVTKLYAHQAQAVQSAVSGEHVVVSTSTSSGKSLCYNIPVLESISQSSAPCALYIFPTKALAQDQLKTLLDMKPAFRSDFDVSIYDGDTAMKDRTRIRNTARLLITNPDMLHMSILPCHAQFKRVLFNLKYIVIDEAHSYKGAFGCHAALILRRLKRICSYVYGSNPTFIFCTATLANPREHVMELANLDRVVLVDNDTSPCGSKNFLLWNPPLQLAKAEDRRPNPVLEVSYLFAEMVQHGLRVIAFCKTRKMCEQVLMQTRQILKETAAELVNSICVYRGGYVASDRRKIEADLFGGIIRGVAATNALELGIDVGHIDATLHLGFPGSMASFWQQAGRSGRRAKQSIAVYVAFEGALDQYFMRSPHKLFGKPIEHCQVDPQNRKVLEQHLACAASEYPLRQEHDESYFGSSMNSVLMTLKDKGCLMNNPSGGDSGVWKYIGPDKKPSHSVSIRAIEHHRYKVIDRRSNRVLEEIEESKAFFQVYDGAVYMHQAYCKVFDLNYYTKVQDYTEISFIGGDVDEHPASECKPDIRRTTAQANDCRVTTKWVGFDRILKSNNQKSDSISLDHLPPYSFETQAVWVQIPVSVRTTMEQMEYQLCGGVHAASHALLSIIPLHMMCSGSDLGTQCAEPQENSETADRILLYDKHPGGIGLASQAKLLFGELLVAALELVSSCSCTNSDGCPNCIQSFACSDYNRDLDKEASIFLLKGVIQYEKLYFEAIDGCYQS >OGLUM02G00860.1 pep chromosome:ALNU02000000:2:625796:626611:-1 gene:OGLUM02G00860 transcript:OGLUM02G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSGGVEAACRVLPIIDEESESEMDEGSPERKVVDDRRKAIVSRMRELLRRAAAQSSAQSKLRSTVLVSAKKWKRVVVSLQNSRRKQEQQQQQLTTTSRQSDGGMSSSPVSSKSNSFSWDIATAESCSSSPAQSPLWPAALRQFSPSPATKHGMRLRRDSSGSAADDDRMSSMSCSGSPDDDDYGGSSRLCQWITTDSDFVVLEL >OGLUM02G00870.1 pep chromosome:ALNU02000000:2:630590:632287:1 gene:OGLUM02G00870 transcript:OGLUM02G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGEDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMFLPPNAGAEEEHYYGSEWSEQEKSKGLHGASLKFAENSRSERGRRNVINAAAAAATPPNNSPEHA >OGLUM02G00880.1 pep chromosome:ALNU02000000:2:643289:644890:-1 gene:OGLUM02G00880 transcript:OGLUM02G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTVGAPGSSLHGVTGREPAFAFSTEVGGEDAAAASKFDLPVDSEHKAKTIRLLSFANPHMRTFHLSWISFFSCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDMLGPRYGCAFLIMLAAPTVFCMSLIDSAAGYIAVRFLIGFSLATFVSCQYWMSTMFNSKIIGLVNGLAAGWGNMGGGATQLIMPLVYDVIRKCGATPFTAWRLAYFVPGTLHVVMGVLVLTLGQDLPDGNLRSLQKKGDVNRDSFSRVLWYAVTNYRTWIFVLLYGYSMGVELTTDNVIAEYFYDRFDLDLRVAGIIAASFGMANIVARPTGGLLSDLGARYFGMRARLWNIWILQTAGGAFCLLLGRASTLPTSVVCMVLFSFCAQAACGAIFGVIPFVSRRSLGIISGMTGAGGNFGAGLTQLLFFTSSRYSTGTGLEYMGIMIMACTLPVVLVHFPQWGSMFLPPNAGAEEEHYYGSEWSEQEKSKGLHGASLKFAENSRSERGRRNVINAAAAAATPPNNSPEHA >OGLUM02G00890.1 pep chromosome:ALNU02000000:2:657161:673475:-1 gene:OGLUM02G00890 transcript:OGLUM02G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPHGLLQYSSGAFSDQVPADDSAEEHGVKDHAMLAPFTAAWQTAISPPLVIERSEGCYVYDVNGTKYLDALAGLLSTALGGSEPRLVKAAMEQLNKLPFYHSFWNHTTRPSLDLAKELISMFTAREMGKVFFTNSGSEANDSQDFDLPGRFVLHTDCPHYWRFHLPGETEEEFATRLADNLENLILKEGPETIAAFIAEPVIGAGGVILPPNTYFEKIQAVVKKYDILFIVDEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYAPIGAILVSPEISDVIHSHSNKLGTFAHGFTYSGHPVSCAVALEALKIYRERDIPGHVTHVAQRFQEGIKAFAAGSPIVGETRGVGLLIATEFTDNKSPYELFPFEWGVGEIFGQECKKRGMMVKVLGNLIAMSPPLIITREEIDKLVSIYGEALKATEERVAELKSKKN >OGLUM02G00900.1 pep chromosome:ALNU02000000:2:709941:713171:1 gene:OGLUM02G00900 transcript:OGLUM02G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTITSLVAACLSMAVLFVATKMIQQRPRTLYLYEKEEELLLPPVMSVVSVLTAYLPTLIAKGLPAVIHDLHSRLGSVFTVSVFGLKKVTLLVGPEVTAHFFQASESEIRQSNIYKVTVPVFGRGVLYDVDLATRSRQISFCTDSIKPINLRGHVDSMVHEVEGYFAQWGEDGVVDIKYEMGNLILLIANRCLLGKQFGESKLEQVSTLLHELFDNGFHLISLFFPYLPTPQHRRRDKARAMLGEMIHEAVRSRRNSGVAEDDVLQKFLDSKYINGRCMTENEIAGLLICMMFAAQHTSSSTSTWTGACLLSHGHRSYLAAAIQEQKRIIQQHGDRINWGILLQMTTLTHCIKEALRLHPPANLLIRHASKSFSVQTRQGHRYQIPKGHTLATCTTVGNRLPYIYKDPNVYDPSRFGPGREEDKVGGKFSYTPFSAGRHVCLGEDFAYMQIKVIWSHLLRNFDLELISPFPEEEWEKFIPGPKGRGGDGRGKGWRKRRTGGRPAAGGRGCGAHAAAPRVGGRGGAGGESTREAMAQRQR >OGLUM02G00910.1 pep chromosome:ALNU02000000:2:715284:716718:1 gene:OGLUM02G00910 transcript:OGLUM02G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDEPVPYVMDEGVLLPLLFPPPLPADDDATGGVSPSWVYLDVRAYVSPDPVSNATTAISTTTTGVRIHVSFCLARPPRLSYLCVHCPRPGAGAGDGEAAYRFTVDPRVIGTHADVALLRVPNPNDGLHRGIKSYDYFVYTAGGATSLRLLPNPRVSPFRNEEVAIVRCSGGARYVIACLMPTIRRPMEFKIRRFDSDVGRWKSTAVSISEPVERDRVLPIPDTASQVVFHCTTKDSAPSCIKYVEMVTRPGDPPPRRRRLIEHNSDDSDSSDGSDEDVAYYWKANIWSMPIPAGSWKDWHRECTVDVTDIAVVDNVRYSELLPKIGNDPEETLRRLLTGHPTLGMDGNVISFLSKIGYSDDKGWVISVDLRDKTLQGVTELDHRKNSSFMRYYITSEISKYLINATGNF >OGLUM02G00920.1 pep chromosome:ALNU02000000:2:740068:750242:-1 gene:OGLUM02G00920 transcript:OGLUM02G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQQQQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKIYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSWHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNTGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >OGLUM02G00920.2 pep chromosome:ALNU02000000:2:740068:750242:-1 gene:OGLUM02G00920 transcript:OGLUM02G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent helicase BRM [Source:Projected from Arabidopsis thaliana (AT2G46020) UniProtKB/Swiss-Prot;Acc:Q6EVK6] MQPGEAPPSSGGSPATSPRPPPAVAQGQQQQQQQQLGFRNQAMMHHHDQQQQQQQGYPSGAPHGMMGGGGSSSFPPSSGPMPPFQGQRNMPLPGGPQGLAGGQQHNPTAMQQAYLQYMMQQQQQKAHGMLLQQQQQAKMNMAGPSTRDQDVAANTAKMQELMSLQAQAQAQMFKRQQSEHLQQAEKQAEQGQPSNSEQRSGDMRPPSMPPQGVPGQQLSSAGMVRPMQPMQGQAGMSNAGANPMAMAQLQAIQAWAKEHNVDLSNPANVTLISQILPMLQSNRMAAMQKQNEVGMASQQQSVPSQMNNDAPGHSNFPSQGAPSKPRQPLPPSTSVSGGAEPKMMNMSNMQMQQQLAAHNRDSSNDRAARPAMSMGNGGQMMHMPQSSGHANKIPEQPNPKNANSEAMQMQYARQLQQANRATAPSANSGETGGSQAPNQAARPPMGFTKHQLHVLKAQILAFRRLKRGDKKLPPEVLDLIMSEPPPDSQAQQVSGPPVTNRERSATSSADEHGRPVESGGIAPERSSLLKAPCLPKVEVSAPEDKTIPASGPMQVMKASPKEPLRIGPVSMPEQTNTTLIKSEQDPERGIQRTPGRSDYNGERGKSLPAESGSADAEQAKRAASSSSVPTPNRDVSRKYHGPLFDFPSFTRKHDSMVSANYNSNLALGYDVKDLLAQEGMIVLGKKREDNLKKISGLLAINLERKRIQPDLVLRLQIEEKKLKLLEFQARMRDEVEQEQQEIMAMPDRVYRKFVRQCERQRVELTRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKKKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKNHQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRENTSVNKYYTLAHAVNERVTRQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMSLIAYLMEFKGNYGPHLIIVPNAVLVNWKEVLAVKFNVLVTTYEFVMYDRSKLSRIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFQDWFSKPFQRDVPTHSEEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKVDCSALVTLSDAICHSALFVCYIVNSSCHLQESIVLRCRMSGIQGAIYDWIKSTGTIRVDPEDEKARIQRNAMYQAKIYKNLNNKCMELRKVCNHPLLSYPFMNYYGKDFIIRSCGKLWNLDRILIKLHRSGHRVLLFSTMTKLLDILEEYLQWRQLVYRRIDGTTSLEDRESAIVDFNRPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTRDVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETVHDVPSLQQVNRMIARTEEEVELFDQMDEEFDWTGDMMKHNQAPKWLRVSSTELDAVVASLSKKPLRNMAAGGISLDTNEKLEKRRGRPKGSGKYSIYREIDDDDFEESDDDSEERNTSSLPEEGEIGEFEDEEDNDDSVPDNKDQSEEEEPINDEGYDFSHGMGRRKAHRSEEAGSTGSSSGGRRLPPPAPSSSSKKLRSLSALDSRPGALSKRTADDLEEGEIALSGDSHLDLQQSGSWNHERDDGEDEQVVQPKIKRKRSIRIRPRPNAEKLDDRSGDGTVPQRGVHLAFQGDGDYDSQFKSEQAFADPASRQQDTVHRTVKQKRNMPSRKAPPATKAGKMTQLSGSGEGSAEHSKENWSNKVIESAGPNSSGTKMSDSMQRKCKNVINKLWRRIDKEGHQIIPNISSWWRRNENSSFKGLASSTLDLQKIEQRVDGFEYGGVNEFIADMQQMLKSVVQHFSYRHEVRVEAETLHNLFFNIMKIAFPDSDFREAKGAMSFSNPGGGASGSAAQSTKQSASGQKRRSSTSEAEQHGSSTSRHNQHAPVGEVSGRAHTSKSEKDSWHSGPGSREQFTDSAGLFRHPTDMFIVKKKRDRRPSLGSPSSSGRAGPLSPTNTGRMGPAPSPRGARTPFQRDPHPSQQSMHSAGWGAHSVQQSDRGGSSSPGIGDIQWAKPTKRSRTDSGKRRPSHM >OGLUM02G00930.1 pep chromosome:ALNU02000000:2:750685:752478:-1 gene:OGLUM02G00930 transcript:OGLUM02G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAANGERRRRRQEVGGELRRAGWHPAIDDGDRGREEAIARMVIILSPSNQIEFSVRFEVADPKP >OGLUM02G00940.1 pep chromosome:ALNU02000000:2:754589:756201:-1 gene:OGLUM02G00940 transcript:OGLUM02G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLA4] MGDAAAGGRKAAASGGGARLHVAMLALQLGYAGFHVVSRLALDMGVSKLVFPVYRNLIALFLLAPFAYFLEKKERPSMTASLAVQFFFLALCGITANQGFYLLGLENTSPTFASAIQNSVPAITFAMAAALRIERVRLSSRDGLAKVAGTLLCVAGASVITLFKGPAIFNTHHNHQPQPLAVAVAAGNKSWTLGCVFLLGHCVSWSGWLVLQAPVLKRYPARLSVTSYTCFFGLLQFLAIAAFLERDAAAWAVRSGSELFTILYAGFVASGVAFAVQTWCIHRGGPVFVAVYQPVQTLLVAVMASLLLGEQFHLGGIIGAVLIVAGLYLVLWGKSQERAIAAAKEASAAAAAIADHQQQPAASAAADSCLKQPLLPASTAASENV >OGLUM02G00950.1 pep chromosome:ALNU02000000:2:758895:763446:-1 gene:OGLUM02G00950 transcript:OGLUM02G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLA5] MATTRVFSLLLLLVVLAASACAEGLRLPRDAKFPAAQAERLIRSLNLLPKEAGPTGGAGDVPSVAPGELLERRVTLPGLPQGVGDLGHHAGYYRLPNTHDARMFYFLFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTISNNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYSFLQVFFKKHPEFAKNDFFITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMNLIKKSDYDRINKFIPPCEFAIKLCGTNGKASCMAAYMVCNSIFSSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEAIGVGDLEFVSCSTTVYQAMLTDWMRNLEVGIPTLLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSHESPFVVDGAEAGVLKSHGSLSFLKVHNAGHMVPMDQPKASLEMLRRFTQGKLKEEWLPELPEQPMYAAM >OGLUM02G00960.1 pep chromosome:ALNU02000000:2:768973:771538:-1 gene:OGLUM02G00960 transcript:OGLUM02G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGRRRFFPPVTAYDAAAGARRTVAADLDGTLLVSSSAFPYYFLVALEAGSYLRALALLLAAPWLLALYVGVSEAAAIALLVFITFAGLRVRDVEAVARAVLPRHYAAGVRADTWAVFRGCAERRVVVTASPAVMVGEFVREFLGAEVAGTELETFASGKRFTGRIKAVLVGEKKREVVERLFAGGDMPDVGLGDRESDHDFMAICKEAYMVPKNKRAPRAAADELLSRAIFHDGRLVRRPEPASALFALAYLPVGFAVALLRVFLNLPVPARLVRHTYRLTGIRLAVRGAPPPPPRPGTPGSLLVCNHRTALDPIIVSIALGRPVTCVTYSVSRLSTAISPIRAAALTRDRAADAARIAALLEEGDVVVCPEGTTCREPYLLRFSALFAELTARIVPVAVEARQGTYYGSTARGWKFLDPYFFYMNPRPGYEVTFLPALRPEETCVAGGRSAVEVANHVQRVIAKELGFQCTTLTRKDKYMKLAGNDGRVAADKPKAN >OGLUM02G00970.1 pep chromosome:ALNU02000000:2:774306:778829:-1 gene:OGLUM02G00970 transcript:OGLUM02G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 interacting partner 4 [Source:Projected from Arabidopsis thaliana (AT3G61350) TAIR;Acc:AT3G61350] MDGQLMTSEIKHGGKLSCSGMDSDGPHTSLIHGLPDEIAILCLARVPRRYHNALRCVSKRWRALLSSEEWHSCRKRNNLDEPWVYVICRSTGIKCYVLAPDPTTRSLKIMQVIEPPCSSREGISIETLDKRLFLLGGCSWLKDANDEVFCYDASSNCWSSVAPMPTARCYFVSAALDKKLYITGGLGLTDKSPNSWDIYDPVTNSWCVHKNPMLTPDIVKFVALDGELVTVHKAAWNRMYFAGIYDPLCRTWRGTENEIALCWSGSTVVMDGTLYMLEQSLGTKLMMWQKETKEWIMLGRLSDKLTRPPCELVGIGRKIYIIGRGLSIVTIDLDTVRADGFLVSSSTGPLVEHDFPPERCRVISI >OGLUM02G00980.1 pep chromosome:ALNU02000000:2:776230:782528:1 gene:OGLUM02G00980 transcript:OGLUM02G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nin one binding (NOB1) Zn-ribbon like (InterPro:IPR014881), D-site 20S pre-rRNA nuclease (InterPro:IPR017117); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Pla /.../385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G41190) TAIR;Acc:AT5G41190] MEEAWPPLAPAPEAAAPPGGGGGGGAWGAAAVAQRKAVAEESSAHAVSRLVASCANTSGVAVAVVDANAVISGGAALSSSAARLVTVPEVLEEVRDASARRRLSLLMAPVETLDPAPEFVKKVVKFARETGDLQTLSDVDIKIIALAYMLEAEIHGTNHLREQPPPLRVVNVRNLKEAPLPGWGSNVQNLAEWEELDQMSEAGGDLKSRILPLKDLENHEIPNSETNSISDKQGDEEHQPAKKDVGIAWEDDENNEGWLPAVSRSTHRRYLRRKARRDALKESEQSFETSSAAPSIDDDKILSENGLNPVDGPSADTDVMEHQEVNEPEIVADHSQSDNKDNGVGNVGDVEETGGTDACIEELDNLDIKSDSEEGVDSSLADDGSSEQSWALRSLSESTVACITSDYAMQNVILQIGLRLLAPGGMQIRQLHRWVLRCHACYKVTQEIGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSNKQDDDFLGVEDIFSHSGEKKVPLKPPVRKALAMFSGKRNPNDNHFSRKKH >OGLUM02G01000.1 pep chromosome:ALNU02000000:2:788327:789254:-1 gene:OGLUM02G01000 transcript:OGLUM02G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSSPATSTAAGEQQLHDQDHRDEAALQQEHAAAGIIPDDEDKLSPPRCEWEFRLAATVPSPALAGASDSIGSLDFDPTGRHLATGGIARKIRIYRVAEPSSPAACICVPAKLSSVRWRPGGGEAVAASHVGCGDYDGVVTEYDVERGVPVWERDEHEGRRTAAREVRSYSGHVSGRSFVGMGVWRGAGLIASGSESGHVFVYDLRWSKPIWVHPFSHADAFVSAVAWRQLVGDDSDGQLIAGGSDGVLKLFTTHRRLTPDVAGVGDDDVAAC >OGLUM02G01010.1 pep chromosome:ALNU02000000:2:789612:825868:-1 gene:OGLUM02G01010 transcript:OGLUM02G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKDHLAWVCSLLVAANSKAGTREEGNLGEGELGILAEEAPELQLHPVPLVERGQVPSSVPTGARRRSEEAHPAGPGGTSAAEQYGARSCAGAREDAGRGGGGGEGFHGRSGGRPARSRWGLAGWERDPSLAGYVARYDRKMEMSTY >OGLUM02G01020.1 pep chromosome:ALNU02000000:2:824518:830765:1 gene:OGLUM02G01020 transcript:OGLUM02G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G12800) TAIR;Acc:AT1G12800] MEAFAAAAAAAGVFAGAGAAARPVLLRRRGAPRSGRVRLLRAPPRAGGDRGGDLPPLDEWDRMELEFGRFLGEDPKLTLAKILLKKADPDASSLDVENLIANKKAKLDDILREFMDANRQEQTSESPEETSKPMVDKNSPSMSRPVQTNAKQDEPALTLLRPAGSKLKQDDPPLTLQRPAGSKPKQDGPSLAPLRPVGSKPKENNASLTLMRPMGSRPIVRGKPVQDSWPSKVSLAARRESSDVGSTSRKNNVDVSLRKPTIHQNDDDELKSKLNLKPNIDLKMRKDMDEDLANISLLQKPELSVDNMNSNQEKSDAGPASVASGEDNGAVDPETNGLDERVVIDSINDRESSVLPDDLSAELQPSEQNFNREGDPSVVDDQSAVSSNFSMQAFLQGKPKMKDLAVETFPSQVDAEKMNASENNMNYVDDGGNVLSSKLEDITESDWTRLENYASTGEKVEVELINCSSKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRQKGGDPSLFKQSVGIEESFEVNDRNIESVSSSDSELAVQDQGSMPSKEKFEDLLRTYNTEKSKFLSSFIGQRLRVSVVLADRKSKKIFFSMKPKESEELIQKKKSLMAKLNVGDIVECTIKRFVYFGIFVEVEGVPALIQQWEVSWDETLDPSVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEELSLGGALEPAEADFEWPEVDALMEEMKNIEEVRDVYKGRFLRSPGLAPTFQVYMAPLVGQKYKLLARYGNNVQEVMVETSLDKEQLKEAVLACTNRNFCSLADDHLDIMY >OGLUM02G01030.1 pep chromosome:ALNU02000000:2:830904:833179:-1 gene:OGLUM02G01030 transcript:OGLUM02G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLB2] MDPCKFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >OGLUM02G01030.2 pep chromosome:ALNU02000000:2:830904:832799:-1 gene:OGLUM02G01030 transcript:OGLUM02G01030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLB2] MQFRPSSSFDTKTTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTDITCADFLRSPGAQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGIPTDYRHMDGFGVNTYTFVTRDAKARYVKFHWKPTCGVSCLMDDEATLVGGKNHSHATQDLYDSIAAGNFPEWKLFVQVIDPEEEERFDFDPLDDTKTWPEDEVPLRPVGRLVLNRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPKCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRHAPPTPITPRPVVGRRQKATIHKQNDFKQPGERYRSWAPDRQERFIRRFAGELAHPKVSPELRAIWVNYLSQCDESLGVKIANRLNVKPSM >OGLUM02G01040.1 pep chromosome:ALNU02000000:2:842618:846084:-1 gene:OGLUM02G01040 transcript:OGLUM02G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRGCAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGENKVFSPEEVSAMILGKMKETAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFEGKEPNKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPAAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKERIDARNQLETYVYNMKNTVGDKDKLADKLESEEKEKVEEALKEALEWLDENQTAEKEEYEEKLKEVEAVCNPIISAVYQRTGGAPGGGADGEGGVDDEHDEL >OGLUM02G01050.1 pep chromosome:ALNU02000000:2:857176:862986:-1 gene:OGLUM02G01050 transcript:OGLUM02G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARRNQEPQSSLPAAAAAAPSSSRRSAGNHTSSQPRSPPMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDENATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >OGLUM02G01050.2 pep chromosome:ALNU02000000:2:857176:862499:-1 gene:OGLUM02G01050 transcript:OGLUM02G01050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVCHHLLTQCKTIRELQRIHAQALTHGLHPNQQSISCKIFLSYAEFGRPADVGRLFDEIPHPDIISFTSLMSLHLKLDHHRKAISVFSHAIASGHRPDGFAAVGALSASGGLGDQRIGSAVHGLIFRCGLDSELVVCNALVDMYCRCGKFEPARTVFDRMLVKDEVTWGSMLYGYMKCVGVDSALSFFYQMPMKSTVSWTALITGHVQDKQPIQALELFGKMLLEGHRPTHITIVGVLSACADIGALDLGHAIHGYGSKSNATTNIIVTNALMDMYAKSGSIASAFSVFEEVQMKDAFTWTTMISSFTVQGNGRKAVELFWDMLRSGILPNSVTFVSVLSACSHAGLIQEGRELFDKMREVYHIDPRLEHYGCMVDLLGRGGLLEEAEALIDHMDVEPDIVIWRSLLSACLAHGNDRLAEIAGKEIIEREPGDDGVYVLLWNMYASSNRWKEALDMRKQMLSRKIYKKPGCSWIEVDGVVHEFLMCSGDDIDGDTRVEATQHRDIRRYKCEFCTVVRSKKCLIRAHMVAHHKEELDKSEIYKSNGEKVVHEGDHTCQECGASFQKPAHLKQHMQSHSDERSFICPLEDCPFSYIRKDHLNRHMLKHQGKLFTCSMDGCGRKFSIKANMQRHVKEIHEDENATKSNRQFVCKEEGCNKVFKYASKMKKHEESHVKLDYVEVVCCEPGCMKTFTNVECLRAHNQACHQYVQCDICGEKHLKKNIKRHLRAHEEVPSTERIKCSFEGCECSFSNKSNLTKHIKASHDQVKPFACRFTGCEKVFPYKHVRDNHEKSSAHVYTQANFTEMDEQLLSCPRGGRKRKAVTVETLTRKRVTMHGDASSLDNGTEYLRWLLSGGDDDSSQTH >OGLUM02G01060.1 pep chromosome:ALNU02000000:2:864762:865242:1 gene:OGLUM02G01060 transcript:OGLUM02G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSVYDGIGSPSNACSVNELSIRSLADSKLFPLYSCALILSLVDHPGNVFKKLIFSLKLDKNDEIKH >OGLUM02G01070.1 pep chromosome:ALNU02000000:2:865358:868028:1 gene:OGLUM02G01070 transcript:OGLUM02G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNDFQEGISTEHAFEAEPVPSLSETITPRSMVVSFILSVTLSIVAMKVTLSSGFIPSFSIPAGLLGFCVSRASIRILDYFAVAQLPFTRQENTIIQTCVVACTSITFTGGFGTYILAMGKKAAVGDVNAQNNVEEPSFARMITFLFLISFAGMFIIMPFRKVMIIRHRLTFPSGTATAHLINSFHTPQGVKQARKQVTLLFKSFGGTIAWSLFQWFFASGPGCGFKFFPTFGLEAYKHGFFFDFTMANVGIGMMCPYMIVFSVFIGTIISCGVIWPYIESKEGIWYPSNLGPNSLNGIRGYKVFIGLSMIMADCLFVFLCIMVRTTCAMVKRRRQAMQGGGANAQPFQGIDIADQPVKSFDDRRRAQVFLRDEIPDSVTIGCYVLLSIISIAAIPHLYPQMRYSHVALIYLAAPVFAFCNAYGFGVTDMNLASTYCKIAMFAFGSWVGIKSGGVVAALVAGGITMSILGNAADVAQDLKTGYLTLTSPRAVFISEAIGTALGCVVNPTVFWVFYRVYKMGSGDMGDMPYAKLYRGFAMLSVGDGEQGLPRHSMLLFKVFFVLALALSVFREVASRKEWRIRRYIPSTIGMAITFFMPPRVPVGMCIGSLVAYLWEKMDAGRGRMLSPALASGLICGDGVGSILLSMLTLMGARAPICIKFLSRGDNVKLDAFLATLHDMR >OGLUM02G01080.1 pep chromosome:ALNU02000000:2:869750:872454:-1 gene:OGLUM02G01080 transcript:OGLUM02G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEGEAEVTREVISVSTEKAFEGKALPAWSEQITVRSLVVSAVLGMFLSFIVMKLNLTSGIVPSLNVSAGLLAFFLMKTWTSALERCGVFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAEAKTSINVEEPSLGRLIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKHQVSILFKSFVGSFLWSLFQWFYAAGPGCGFSSFPTFGMVAYSRRFYFDFSATYVGVGMICPYIINFSLLIGSVVSWGIMWPYIESKKGSWYDAGLPKSSLHGLNGYQVFISIAMIVGDGLFNFFSIVLRTAYDLYLKRRGGASKQPQETPFAGATGTERQVLSFDDRRRTQVFLKDQIPTTIAAAAYVLLAAISVVAIPHIFRQLRPKHVVWAYVVAPLFAFCNAYGTGLTDWSLSSSYGKLAIFIFGANIGAKDGGVVAGLAACGLMMGIVSTASDLVQDFKTGYLTLTSPRSMFVSQVLGTGMGCIISPMVFWMFYKANNIGMEEGFPAPYAKIYRGIALLGVNGWDQLPRYCLRFCLAFFLLAIAICALKEVAKQRGWWIQDFIPSALGMAVPFFLGSFFTIDMCVGSLVLFLWSRSDPVRAHTFAPAVASGLICGDGIWSLPSSILSLANVNPPMCMRVFSTATNDKVQLFLRTLPTPP >OGLUM02G01090.1 pep chromosome:ALNU02000000:2:873580:880028:1 gene:OGLUM02G01090 transcript:OGLUM02G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRQRRAAAAADGEGDGKMGSGGEEAEVKGKGQGRKEALGWLEWGRGWMGIVGEFLFQRIAASNLSNPLELPPLDGVSFVVTGATSGIGLEIARQLALAGGHVVMAVRRTKLAQELIQKWQNDNSEIGKPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFSIGEPQRFSKDGYEEHMQVNHLAPALLAVLLLPSLLRGSPSRIVNVNSVMHTVGFVDAEDMNLTSGKRKFTSLMGYSNSKLAQIKFSSMLHRRIPAEAGINVICASPGIVHTNVARDLPKLVVAAYHLIPYFIFDAQEGSRSTLFAASDPQVPDYCEMLKSEDWPVCACISYDCNPMNASEESHNLETSQLVWEKTLEMIGLPPDAVDKFIEGESVQCRYGQQKAE >OGLUM02G01100.1 pep chromosome:ALNU02000000:2:879113:881150:-1 gene:OGLUM02G01100 transcript:OGLUM02G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGDPVDEFLIGGGGEDGDLGVFCDGVPTLPCDGGLGIDDVSGDTCCLDQSVLGKRGRDESSSSGPKSKACREKIRRDRLNDRFLELSSVINPDKQAKLDKANILSDAARLLAELRGEAEKLKESNEKLRETIKDLKVEKNELRDEKVTLKAEKERLEQQVKALSVAPTGFIPHLPHPAAFHPAAFPPFIPPYQALGNKNAPTPAAFQGMAMWQWLPPTAVDTTQDPKLWPPNA >OGLUM02G01110.1 pep chromosome:ALNU02000000:2:889296:892475:1 gene:OGLUM02G01110 transcript:OGLUM02G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGPVPVAVLVVLLLVVFKNGAAPTPPPRCSSGDLAALRGFSAGLDGGVDGWPAASSDGGDCCAWRGVACDAAGDVVGLVLPNATLRGVVAESLAGLAALRVLNLSSNALRGALPAGLLRLRALQVLDVSVNALEGAAAAAAAVDLPAIREFNVSYNAFNGSHPVLPGAGRLTSYDVSGNSFAGHVDAAALCGASPGLRTLRLSMNGFSGDFPVGFGQCRSLVELSLDGNAIAGALPDDVFGLASLQVLSLHTNSLSGHLSPSLRNLSSLVRLDVSFNNFSGDLPDVFDAVPGLQELSATSNLLTGVLPATLSRCSRLRILNLRNNSLAGDIGLDFRALQSLVYLDLGVNRFTGPIPASLPECRAMTALNLGRNNLTGEIPATFAAFTSLSFLSLTGNSFSNVSSALRTLQGLPNLTSLVLTKNFHGGEAMPTDIAGFAGIEVLVIANGELHGAIPAWLAGLSKLKVLDLSWNRLAGTIPPWLGELDRLFYLDISNNSLHGEIPLELARMPALMAGGDGSDEAHVQSFPFFIRPNSSARGRQYNQVSRFPPSLVLARNNLTGGVPAALGGLTRVHVVDLSWNALSGPIPPELSGMSSVESLDVSHNALSGAIPPSLARLSFLSHFDVAYNNLSGEVPVGGQFSTFSRADFDGNPLLCGIHAARCAPQAVDGGGGGGGRKDRSANVGVVAAIIVGTVLLLAVAAVATWRAWSRRQEDNARVAADDESGSLESAARSTLVLLFANDDDNGNGDDGERTMTLDDVLKATGNFDETRIVGCGGFGMVYRATLADGREVAVKRLSGDFWQMEREFRAEVETLSRVRHRNLVTLQGYCRVGKDRLLIYPYMENGSLDHWLHERADVEGGGALPWPARLSIARGAARGLAHLHATSEPRVLHRDIKSSNILLDARLEPRLADFGLARLVRAHDDTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPAGGGRDVTSWALRMRREARGDEVVDASVGERRHRDEACRVLDVACACVSDNPKSRPTAQQLVEWLDAIAAAAAAAGD >OGLUM02G01120.1 pep chromosome:ALNU02000000:2:895095:905348:1 gene:OGLUM02G01120 transcript:OGLUM02G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIQKPFPTQGGGFSPKRLRAMLLGVEKRRKGQEEEEEGDAGEVDDEYGAVPKSSVRSDADSDARRGGSMCEEYKDVDVVSTISESSSSLETGSGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSVTAAIVPPFSKPAPSKWDDAQKWIASPTTNRPGRAGGVPQRKMEKTSFGGGRLPATKVVLEATEEIDTKRVDPSQEKREIGWQKAVNWAPPDPYPEVETCAKSALAEEITVADSAVTFSRHDSSATLQSATTCIPPPPTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPDCSRPTTPRKTIGPNAIGAVIGHGECSNVELSEQELQMKTRREIMLLGTQLGKTNIAAWASKKEEEKDASLSLKGVPMDQSTQKVTEIRAAAWEEAEKAKYLARFKREEIKIQAWEDHQRAKIEAEMRKIEVDVERMRARAQDKLMSQLASARHTADEKRAAAELKRSRAAAKTAEQADHIRRTGRMPSSIGCWNWCS >OGLUM02G01130.1 pep chromosome:ALNU02000000:2:899858:900363:-1 gene:OGLUM02G01130 transcript:OGLUM02G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQHMSDGDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSDEASALVPSLRSGGRFVGDPGSEKIDKMLNDLSLIKKFE >OGLUM02G01140.1 pep chromosome:ALNU02000000:2:905740:914877:1 gene:OGLUM02G01140 transcript:OGLUM02G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRATYRSAALLLLAAILASAASASSIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDGLCDKMQDYTLQKLESGEKGWVKVADWNSFKTEKKAAARAHSKNLSSFCGRLLEETEDELSEWIKTSSAESGNVSRALCEDISKHCQSTRSAWEKTTSPATRPDRSPRYRLPPRPSRVAAVRSSCCFRRIHPGLSLAPLVVKVEPNVNVILTACLAVYVGCYRSVKPTPPSETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWCAPFFHSLSVEFTKSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLAGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKPLLEYNESKAEEEDAVEEDTDSKQNKKEE >OGLUM02G01150.1 pep chromosome:ALNU02000000:2:922149:930002:1 gene:OGLUM02G01150 transcript:OGLUM02G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLC6] MKIGLLMLLVLFLVMSPDGIHRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVILAPVKKHIVNSWAFLQPFTLQMWCVTGLFFLVVGAVVWVLEHRINDEFRGSPREQIITIFWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTHLYGYDMRAISALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >OGLUM02G01150.2 pep chromosome:ALNU02000000:2:922149:930002:1 gene:OGLUM02G01150 transcript:OGLUM02G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLC6] MKIGLLMLLVLFLVMSPDGIHRSLAARPSIVNIGAILRFNSTIGGVSMIAIQAALEDINSDSTILNGTTLKVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIVSYVANELRVPLMSFASDATLSSIQFPFFVRTAPSDLYQMDAVAAIVDYYRWKIVTAIYIDDDYGRNGIATLDDALTQRRCKISYKIAFPANARKSDLINLLVSVSYMESRVIILHTGAGPGLKIFSLANQLSMMGNGYVWIATDWLSAYLDANSSVPAETMYGMQGVLTLRPHIPESKMKSNLISKWSRLSKKYSYSYLRTSSYAFYVYDSVWAVARALDAFFDDGGKISFSNDSRLRDETGGTLHLEAMSIFDMGNNLLEKIRKANFTGVSGQVQFDATGDLIHPAYDVINIIGNGMRTVGYWSNYSSLLSTVLPEVLYSEPPNNSLANQHLYDVIWPGQTAQTPRGWVFPSNAKELKIGVPNRFSFREFVTKDNVTGSMKGYCIDVFTQALALLPYPVTYKFIPFGSGNENPHYDKLVQMVEDNEFDAAIGDIAITMSRTVTTDFTQPFIESGLVQLFNLVFCTHYTASLTSILTVQQLDTSIRGIDDLKNSDDPIGFQVGSFAEEYMVRELNISRSRLRALGSPEEYAEALKHGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQIDLSTAILSLSENGELQRIHDKWLKTSECSADNTEFVDSDQLRLESFWGLFLICGIACVIALLIYFFTTVRKFLRHEPPEDPTPRPGGSTTLPDERTPPKNGREKCNCRNFISFLDHKEPPKKKRSLSLTPTTPLSNFTALEIEGPVRTHLYGYDMRAISALLLRPLPPLDSSMASSSLPSRTFYRHSLPSSAPPPPSRGACAAACCCLRAAVSRRRAAAQLLSAAGFLIAVSPPSLAARRGRMVVSLEDYVTSPDGLKYYDLVEGKGPTAEKGSTVQVHFDCIYRGITAVSSREAKLLAGNRSIAQPYEFSVGSLPGKERKREFVDSANGLYSAQASPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKKGMNEIPPDAPFELDIELLEVVPPAEK >OGLUM02G01160.1 pep chromosome:ALNU02000000:2:928079:933334:-1 gene:OGLUM02G01160 transcript:OGLUM02G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKSSSDTTMRPLRAASEGGETAIKNPAAESSCAAARRRETAARRQQHAAAQAPLEGGGGAEEGSEWR >OGLUM02G01160.2 pep chromosome:ALNU02000000:2:929785:933334:-1 gene:OGLUM02G01160 transcript:OGLUM02G01160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKDINGPEDL >OGLUM02G01160.3 pep chromosome:ALNU02000000:2:929785:932263:-1 gene:OGLUM02G01160 transcript:OGLUM02G01160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQYPRVVADEFLPWPSKGKTCKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEDKVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNPARTNPSNPSIELGPEFKKVGCFLGRFKSIPSIVELDTLKVSGDVWFGSSITLKGKVTITAQPGVKLEIPDGAVIENKDINGPEDL >OGLUM02G01160.4 pep chromosome:ALNU02000000:2:932268:933334:-1 gene:OGLUM02G01160 transcript:OGLUM02G01160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKLAKLREAVAGLSQISDNEKSGFISLVARYLSGEEEHVEWAKIHTPTDEVVVPYDTLEAPPEDLEETKKLLNKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNIVEKYTNSNIEVHTFNQACLLNFLVFAKFYKMLLTPKQI >OGLUM02G01170.1 pep chromosome:ALNU02000000:2:933915:936697:-1 gene:OGLUM02G01170 transcript:OGLUM02G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy protein Apg5 family [Source:Projected from Arabidopsis thaliana (AT5G17290) TAIR;Acc:AT5G17290] MAAQRDDEAGWSAEAARRVWGGAVPLQVHLHDADVTTLPPPPPFLTLGPRIGYLPLLVPIIKAHFSSTLPPGIDTVWFEYKGLPLKWYIPIGVLYDILCADPERPWNLTVHFRGYPSEILTPCDGEDSVKWSYMNSLKEAAFIITGNSKNVMNMSQADQGALWQSVMKGNLDGYMNISTRLKLGPFEEDCLVRTSSVEGQQGSDEPESPGSGKPFILWLGRVPVRLYVRSVQEDLYDLEDALPVGDWESISYINRPFEVRREEGRSYITLEHALKTLLPEFFSSKASRIPDDSETAPQAPDSAPNDDSDVTPRSCEKLESSASSSPQEANVANKDKIVKLVRVQGIEVDMDIPFLWVANNLKNPECYLHICVYVGTRKREPKDGR >OGLUM02G01180.1 pep chromosome:ALNU02000000:2:937380:942886:-1 gene:OGLUM02G01180 transcript:OGLUM02G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVMDRNEQTYHENSSASEDEDDGEEEAVEWSKDELDAISALFDRPMRQKPPKPPNPVRQRPLPLPLPHKTRLPNAPAPKQHIRLAARAALSSRSSFSDQVFKNPEVLIGIAREIAALPPESDVSIVLDRWVRFLRKGSLSMTIRELGHMGLPERALQTLCWAQRQTVVPLFPDDRILASTIEVLARFDQLKMEDALEQCVPSASRAVLEAMVSGFIRAGKVGLARKLLEFATINKRTLSPSVHVKLMLEAVRTPEGYGLAAALLDELGERPELHLRQQDCTAVMKVCVKLRRYAAVESLFGWFRDTGGRPTVVMYTAVIHSRCRDGRHREALSLAWEMERHAGGLLDLPAYRVLVKLCVALRDHERGVRYLARMKDAGFVPTGDMYGGLIGGYAAEGRMGRCRRLIREAELAGLKLERRLLSRLSEMGAGPWPRVETHSVSISLSCLAAGDRSPGRGSLPQMPRRRLPLLLLLPITLTLFLLFPSPPPPRPPPHQPQPQPLPCGAAPSDATAGCWVPTWEPLPPPLYTSSCPFHRNAWNCPRNSRPPVAALSWAPARCGVVPRIDAAEFLAVARGRRIGLVGDSLSENLVVALLCALRSADGGARKWKRRGAWRGGYFPRDDVVVAYHRAVLLAKYTWGLDKFPKETPLVFYRGGKPIEPPLGIYDGLKVVLKSMASYIEREVPSKTLKLWRTQSPRHFDGGEWDHNGSCVSDRLLQEHELDSWFDPRFGGVNKEARLVNSAIQEALIGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVWGQDCMHWCLPGVPDTWVDILAARILHHLKQANG >OGLUM02G01190.1 pep chromosome:ALNU02000000:2:942432:943178:1 gene:OGLUM02G01190 transcript:OGLUM02G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSSRMVIDKEPFRRNRTHRSSTMDTSDSGGSAAISRAIPMRTSGSIGQSCLVRQWQRERSLPHRVWRLWWLLAHRPVKECRDGIKLIFAPLYSLFLTIVLILRSRRILMSHQALVFAEAVQIPYSAGSGRLTSDMLPVLRLGKMLLLRTILIPDSSMIKQKVASQHV >OGLUM02G01200.1 pep chromosome:ALNU02000000:2:944825:945055:1 gene:OGLUM02G01200 transcript:OGLUM02G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEGLGLGPGREEAKRSSTAASGSDSATRRKEVGDDVESARRSLPESASAPCSAALPSEPAASRRRLEADGGIC >OGLUM02G01210.1 pep chromosome:ALNU02000000:2:953959:958516:1 gene:OGLUM02G01210 transcript:OGLUM02G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKRGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLEKIESMKSRARDARGSGSSRDHSHGRATAHQRSSPRPDGRRGSRTNGHATKAR >OGLUM02G01210.2 pep chromosome:ALNU02000000:2:953959:958516:1 gene:OGLUM02G01210 transcript:OGLUM02G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICGGSGKAHVSGDCRPPSSGTMTPKTSSSVTTSNSTTGKLSSVGSSFMASSGSGGTSSGFDDGVYPEGQILEAPNLRTFTFIELRTATKNFRPDSVLGEGGFGRVYKGWVDEKTMNPVKGGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLVYEFMAKGSLENHLFKRGCPPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDAVSFLLFNVPETFSLLSSNADGVLWQNYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMMSGQRALDPNRPNGQLSLVDWAKPYLADRRKLARLMDPRFEGQYNSKQAVQAAQLTLNCLAGEPRSRPSMKEVLETLEKIESMKSRARDARGSGSSRDHSHGRATAHQRSSPRPDGRRGSRTNGHATKAR >OGLUM02G01220.1 pep chromosome:ALNU02000000:2:959660:963209:1 gene:OGLUM02G01220 transcript:OGLUM02G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase family 29 (sialyltransferase) family protein [Source:Projected from Arabidopsis thaliana (AT3G48820) TAIR;Acc:AT3G48820] MRVLPLALAAAIFSGVTAILVYLSGLSSYGGARVSDADLAALGALQSGFSKCVDANGLGLKAIPGEDYCRVVIQYPSDTDSKWKDPKTGEPEGLSFEFNLCEAVASWEQVRNSTTILTKEYIDALPNGWEDYAWRRINKGIHLNKCQNRTLCMEKLSLVLPETPPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVIRENETKKEALIVKTTIHDIMNQMIREIPITNPVYLMLGTSFGSSAKGTGLKALEFALSMCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLVKIHSPMRGDPGRVVKWAPTKDTIKAARVASDKLLKRPGAGSEGPLSSCTMIKKREKGKIPKRSVVRHAALKHLEYMRGATRYPLERNAGGGYLCMIDDR >OGLUM02G01230.1 pep chromosome:ALNU02000000:2:963609:964076:-1 gene:OGLUM02G01230 transcript:OGLUM02G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAAAAVALCCILILLSGDQLHQVAAMSKFCRCYSQCYPDCRKSLPRFICVLKCIDDCSPNKKKVAAGDCNRFCLLAICGMALNGQADVASCVDDCTKNPNLHTKFL >OGLUM02G01240.1 pep chromosome:ALNU02000000:2:964847:968435:1 gene:OGLUM02G01240 transcript:OGLUM02G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLSAPLKYSAVTIGSRGSSKIVHMVHLELMGLVVTAAAEAAIGWVVQSILGNFFTGQMQVWTREVGLSEEVEELETEMRSMQMVLAAAESSKIDNGPLAESLDELKELLYDAEDVMDELDYYRLQQQIEGKGSSSASCTNPEGSFVSSSTTSYFQRVSNGMNHNIGLAMRGKKRKSEEEEDQTHSTVLPFEIKHDISKRINEIVNRLRSRGQPVQGVLLLEILRQIAMPKQSQSEPRKSRQTTSLPIEHKVYGRDAERDNIIELLTKGKSGDLGVLPKVGVGGVGKTTLARFVYHDQRIKDHFDLRMWVCVSDNFNEKNLTHEMLELVCKDRQGYKNIISLDVLQKTLWDKIRRKRFLLVLDDMWEDRDRSGWDKLLAPLKYNEANGCMILATTRMASVARMIGTVSKVEVYGLDETEFWLLFKAWAFFGTENQERNPTLQSIGKHIAKALKGNPLAARSVGALLNRNVSFEHWRKVQYRWKSLLEHDDDILAILNFSYEFLPVHLKHCFSYCSLFPKDHKFTGENLVHAWISQNYVKFECHTKRLEETGKQYLDNLVDWGFFEEVESHYVMHDLMHDLAEKVS >OGLUM02G01250.1 pep chromosome:ALNU02000000:2:968607:977971:1 gene:OGLUM02G01250 transcript:OGLUM02G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGQKSILLLRSLHTLCKDSKSLRLLRIYVTAADISSTHNLLKPYHLRYLEFIVAPTRNLSVYVEAVDTSIPQALTQFYHLQVLNGSSTGNLAVPSGMNNLVNLRHLIAHEKVHSSIASVGNLTSLQELKFKVQDGVKFNIGQLQSMNELVTLRISQLENVKTHEEARSARLMDKEHLEELSLSWGDYSMSPEPTAEKTRDGVLEGLEPHQNLKHLQLIRYSGATSPTWLASNVNSLQILHLENCRGWRIVHSLEMLPLLRNLKLIRMWNLKEVSIPSYLEELVLVNMPKLEKCVGIYGVDLTSGLRVLMVKDCPNLKEFTLFHSDHFHAVQKSWFPSLSKLTIGHCHRIIPWKILPLEEMRALKELKLMDMPVVEELSVPSLEKLVLIQMLGLQSCSGITASPPLQFLASNVNQKEWVSSLRKLTIIDCPSLIVSVPIPPSPLISYLYIKGLPAFPTMKIDRGSLTIASNELRELDGRTLPFHNLKGIRQLYLQNCPNLIYMWNEGFNQLSILERLFISDCPNLFQPHIMSEHAHENSTSNTAHLILPSLKYLRISSCGIAETEATSSSASTQTTSAREDEHLLQIPFDLCWSLKKLSTWSFLEYRGFGGCTSFVKLDIYNCPKLASSLTNEKSNSGLLPTSLEDLRICYLPANLQSFSSEGMPHLKRLSLCCSQDLKSIQLHSCTALVHLQIRECPHLVVLEGLQQLSSLRRLDIELNPELSSAWDLKLPEQEQGGNQARLFPLSLVEFCISNLESSIHSRFFCLPSITKLELWDSPALTSVQLGHCTALENLKIYKCKSLASIEGFQSIRNLRSLEVLDSSSLLPYLQQEASAFWSRLETLKITDATMLSTNLCKQLTSVRRLIFWFPDGNSDEPMVSLTEEQERALQLLTSLRQLCFEYCKNLESLPANLRSLDSLEDLRITGSQRIKRLPEMGLPPSLNYLHLFRCSEELCMQCRMVETEKLMLQSVVGSWIGISESVVYCTHSLCVGGSCSLHLRSLVYTVLVLVAAAASLVHWGTMGLVGAVVDAAIGWMVQGILGSFFTGAMQVWTHEVGLAKDVEMLESEMKHMHGVLAAAEGRKIDNKPLSDSLDELKVLLCDAEDVMDELDYYRLQQQIEGKACNAAACINPEGSCTEVVSSSMGQIISWAMHDRKRKREEGPTHNIKLPIEIKCDISERINGVVDRLRLRAKSVQEVLKLDISGHNTASKQTQGLVRNRRLTTSIQVERKVHGRDAEKDSIIELLTKGKSSDLGVLPLVGVGGVGKTTLARYVYHDQRIQDHFDLLMWVCVSDNFNEQRLTCEMLEHVCSDRHGYGNIISFDVLQKTLQEKIRHKRFLLVLDDMWEDGDRSGWEKLLAPLKCNEANGCMILATTRKTSVARMIGTMSKVEVNGLGEREFWLLFKACAFYGNVNQESDPVLQSIGKHIAKELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKFLLEHDDHNILSILKFSYEFLPVHLQRCFSYCSLFPKDHQFTWGKLVSAWISQNFVRCEHHTERLDETGKQYLDDLVDCGFFEEVESHYVMHDLMHDLAQKVSQNECATVDGLEYKKNSPGVQHLSITTTAYDKEEHCKFPSEKFENILQNIVCLQKLRTLMFFGRKSILLLRVEPTVNIFGYLDIVNACIPQALTKFYHLQVLDASSLANIIVPSGMNNLVNLRHIIAHEKVHSEISGVGNLTSLQELTFKVQDASNNFNIGQLRSMNELVILRISQLENVKTKEEAKSARLIDKEHLQQLSLSWDDYSMSSEPTAEKTRDDVLEGLEPHQNLKDLQLTRYSGATSPTWLANNVTSLQTLHLENCRGWRIVKSLEMLPVPRKLKLIRMWNLISVSIPSYLEELVLVNTPKLEKCIGTYGPDLTSGLRVLMVKDCPRLNKFTLFHSDYFHTKQKSWFPSLKKLTIGRCHCIIEWKILPLEEMGVLKELELMDVPFVEELSVPSLEKLVLIQMPSLQRCSGITASPPLPVSTSQVHQKELVSSLRKLTINDCRSLTVSIPIPPSPQISDLSIMGLSAFPTVEICQRTFSIQSNKLTGLDGRILPFHNLKGIRSMHLENCPNLICMSSEGFNQLLSLETLFIYNCPNLLPPHIVSEHAHENSTSNTKDPILPSLKYLRISSCGTAGRWLTPMLPHLQSLEDLDLWECPQIKHLLMIQPTETDATSSLASAEATTARDEQTLQIPCNILRSLKMLRIWSCPDLEFSGVNGGFGGCTSLVHLEVKGCPKLVSSLMNETNNNGLLPMSLQDLNLSPLLQSFSPEGLPHLKKLSLDSQYLESLQLNSCMALEYLQILGCQQLGVLEGLQHLSSLRRLDIHMNPELSAAWDRKLQEQGQGGSQGGLFPLSLAELVVRNFEGSIHPRFLSCLPSLTKLELQYCPHLTSLQLGYCTALEELKITFCEELASIEGFQSIRNLRV >OGLUM02G01250.2 pep chromosome:ALNU02000000:2:968607:977971:1 gene:OGLUM02G01250 transcript:OGLUM02G01250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGQKSILLLRSLHTLCKDSKSLRLLRIYVTAADISSTHNLLKPYHLRYLEFIVAPTRNLSVYVEAVDTSIPQALTQFYHLQVLNGSSTGNLAVPSGMNNLVNLRHLIAHEKVHSSIASVGNLTSLQELKFKVQDGVKFNIGQLQSMNELVTLRISQLENVKTHEEARSARLMDKEHLEELSLSWGDYSMSPEPTAEKTRDGVLEGLEPHQNLKHLQLIRYSGATSPTWLASNVNSLQILHLENCRGWRIVHSLEMLPLLRNLKLIRMWNLKEVSIPSYLEELVLVNMPKLEKCVGIYGVDLTSGLRVLMVKDCPNLKEFTLFHSDHFHAVQKSWFPSLSKLTIGHCHRIIPWKILPLEEMRALKELKLMDMPVVEELSVPSLEKLVLIQMLGLQSCSGITASPPLQFLASNVNQKEWVSSLRKLTIIDCPSLIVSVPIPPSPLISYLYIKGLPAFPTMKIDRGSLTIASNELRELDGRTLPFHNLKGIRQLYLQNCPNLIYMWNEGFNQLSILERLFISDCPNLFQPHIMSEHAHENSTSNTAHLILPSLKYLRISSCGIAETEATSSSASTQTTSAREDEHLLQIPFDLCWSLKKLSTWSFLEYRGFGGCTSFVKLDIYNCPKLASSLTNEKSNSGLLPTSLEDLRICYLPANLQSFSSEGMPHLKRLSLCCSQDLKSIQLHSCTALVHLQIRECPHLVVLEGLQQLSSLRRLDIELNPELSSAWDLKLPEQEQGGNQARLFPLSLVEFCISNLESSIHSRFFCLPSITKLELWDSPALTSVQLGHCTALENLKIYKCKSLASIEGFQSIRNLRSLEVLDSSSLLPYLQQEASAFWSRLETLKITDATMLSTNLCKQLTSVRRLIFWFPDGNSDEPMVSLTEEQERALQLLTSLRQLCFEYCKNLESLPANLRSLDSLEDLRITGSQRIKRLPEMGLPPSLNYLHLFRCSEELCMQCRMVETEKLMLQSVVGSWIGISESVVYCTHSLCVGGSCSLHLRSLVYTGKACNAAACINPEGSCTEVVSSSMGQIISWAMHDRKRKREEGPTHNIKLPIEIKCDISERINGVVDRLRLRAKSVQEVLKLDISGHNTASKQTQGLVRNRRLTTSIQVERKVHGRDAEKDSIIELLTKGKSSDLGVLPLVGVGGVGKTTLARYVYHDQRIQDHFDLLMWVCVSDNFNEQRLTCEMLEHVCSDRHGYGNIISFDVLQKTLQEKIRHKRFLLVLDDMWEDGDRSGWEKLLAPLKCNEANGCMILATTRKTSVARMIGTMSKVEVNGLGEREFWLLFKACAFYGNVNQESDPVLQSIGKHIAKELKGNPLAARSVGALLNRSVSFEHWRKVQYKWKFLLEHDDHNILSILKFSYEFLPVHLQRCFSYCSLFPKDHQFTWGKLVSAWISQNFVRCEHHTERLDETGKQYLDDLVDCGFFEEVESHYVMHDLMHDLAQKVSQNECATVDGLEYKKNSPGVQHLSITTTAYDKEEHCKFPSEKFENILQNIVCLQKLRTLMFFGRKSILLLRVEPTVNIFGYLDIVNACIPQALTKFYHLQVLDASSLANIIVPSGMNNLVNLRHIIAHEKVHSEISGVGNLTSLQELTFKVQDASNNFNIGQLRSMNELVILRISQLENVKTKEEAKSARLIDKEHLQQLSLSWDDYSMSSEPTAEKTRDDVLEGLEPHQNLKDLQLTRYSGATSPTWLANNVTSLQTLHLENCRGWRIVKSLEMLPVPRKLKLIRMWNLISVSIPSYLEELVLVNTPKLEKCIGTYGPDLTSGLRVLMVKDCPRLNKFTLFHSDYFHTKQKSWFPSLKKLTIGRCHCIIEWKILPLEEMGVLKELELMDVPFVEELSVPSLEKLVLIQMPSLQRCSGITASPPLPVSTSQVHQKELVSSLRKLTINDCRSLTVSIPIPPSPQISDLSIMGLSAFPTVEICQRTFSIQSNKLTGLDGRILPFHNLKGIRSMHLENCPNLICMSSEGFNQLLSLETLFIYNCPNLLPPHIVSEHAHENSTSNTKDPILPSLKYLRISSCGTAGRWLTPMLPHLQSLEDLDLWECPQIKHLLMIQPTETDATSSLASAEATTARDEQTLQIPCNILRSLKMLRIWSCPDLEFSGVNGGFGGCTSLVHLEVKGCPKLVSSLMNETNNNGLLPMSLQDLNLSPLLQSFSPEGLPHLKKLSLDSQYLESLQLNSCMALEYLQILGCQQLGVLEGLQHLSSLRRLDIHMNPELSAAWDRKLQEQGQGGSQGGLFPLSLAELVVRNFEGSIHPRFLSCLPSLTKLELQYCPHLTSLQLGYCTALEELKITFCEELASIEGFQSIRNLRV >OGLUM02G01260.1 pep chromosome:ALNU02000000:2:983904:989090:1 gene:OGLUM02G01260 transcript:OGLUM02G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVFTAAAEAAIGWVVQSILGSLFTGQMQVWTREVGLVQEVEELETEMRTIQMVLTAAERSKIDNKPLSESLDELKELIYDAEDVMDELDYYRLQQQIEGKCYSAAACTNPEGSCASSSAPSYFHRKGKSVTHNISWAVHGQKRKREEEPTSRSMLPLEIKHDISKRIHGIVNRLRSRGKPVHGVLKLEILRQTVMPNQSVPRKLRQTTSVPIERKVYGRDLERDNIIELLTKGNSGDLGVLPLVGVGGVGKTTLARFVYHDQRIKDHFDLRIWVCVSDSFNEESLTREMLEHVCKDRQGYKNIISFGVLQETLLKKIKRKRFLLILDDMWEDKDRSGWDKLLAPLKCNEANGCMILATTRRTSVARMIGTMNKACAFLGNENQENDPTLQSIGKQIAEALRGNPLAARSVGALLNRSVSFEHWRKVQYKWKSLLEQDDDILAILKFSYEFLPVHLQHCFSYCSLFPKDHKFNGDKLVSAWISQNFVNCECHTERLEETGKLYLDNLVDWGFFEEINSHYVMHDLMHDLAEKVSSNECATIDGLGSRKMPPNVRHLSIITTAYDKDEPCNFPSQKFESMLQSIRSLQKLRTLMFFGNRCTVLCRSLHTLCKESKSLRLLRIYVTADDISTVHNLLKPYHLRYLEFNVKPTFNTFGYGEIVNTSIPQSLTQFYHLQVLDASSEANLAVPTGLNNLINLRHLIAHEKVHSEIAGVGNLTSLQELKFKVRDANNFNITQLQSMNELITLRICPLENVKTKEEAKSARLINKEHLKMLSLSWDDNSMSPEPTAEKTRDDVLEGLEPHQNLKHLQLTRYSGARSPTWLANKVTSLQTLHLENCRGWQIVQSLETLSVLRKLKLINMWNLMEVSIPYYLEELVLVNMPRLIKCVGTYGPDLTSGLRVLMVKDCPVLNEFTLFNSNYFHSEQKSWFPSLSKLTIGHCHCIIEWTILPLEEMEALKELELMDVPAVEELSIPSLEKLVLIQMPSLQCCNGITAYPPLQVSTSQVDQEELVSSLRKLTIHDCPCLIVSLPMPPSPLISDLSVKGLSTFPTTGIDQGTFTIESCELGELDGRILPFHNLKGIRSMYLKDFPNLSCISSEVFSQLIALEHLYIWGCPNLFHPQVMSEKVRENSTPNRNDFALPSLKSFSIWSCGISGRWLTEMLPHLHSLEDLELWDCRQIKLLLISQPTETEANSLASAETTLARDEHLLQVPCNVLRSLKHLHISECPDLEFPAGVSGGFGECTSLVKLQIQDCPKLVSSWMSETNDNGLLPTLLEDLYISPLPANLQTFSLEGLPHLKNLFLSSCQDLMSVQLRSNTSLKCLQIRECPHLGLLDGLQHLSSLQILDIEMNPDLSAAWDLKLQEQEQSGNQVGLLPLSLVEFEMSKLEGRVNSSFMRLPSIKKLELWDSPELVSVRLGYCTALEELGIRRCKSLASVEGFQSMKNLRSLKVLGSPTLSRNLEQQGNSEFWSRLEILEISDPAMLSMPLCKQLTSLRDLQFNCLWRDNDETMVSLTEEQERALQLLTSLRYLSFDSGENLESLPANLRSLDSLEVLSIFCCWSIRRLPEMGLPPSLRCLSLNGCSEELCLQCRMAETEQLRVEIS >OGLUM02G01270.1 pep chromosome:ALNU02000000:2:990506:992066:1 gene:OGLUM02G01270 transcript:OGLUM02G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLEILCSISILWPMAVQLSRRRMEGKRVAVVTLYSSRLDCAIVGECGKICLASICGTAAITAAVACVRGCTKNWSVHVRLMMPCGKASITHSSSKISCSTVIRNNSKPRIIIIVQWRPGAGSEGPLSSCTMIKKLEKVKPTKRSVVRDAALNHLKYIRGSTRYPLERNAGGSYLCMINDR >OGLUM02G01280.1 pep chromosome:ALNU02000000:2:993159:993783:-1 gene:OGLUM02G01280 transcript:OGLUM02G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTYVAILVYSILESTMELSMTFIIPFVTSLNVKMKKRRNGNGKPGPKEPVGGETSHDIDHLIGLIHDWIVESRKHASNANLPDERQ >OGLUM02G01290.1 pep chromosome:ALNU02000000:2:995779:998909:-1 gene:OGLUM02G01290 transcript:OGLUM02G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 4 [Source:Projected from Arabidopsis thaliana (AT1G50710) UniProtKB/Swiss-Prot;Acc:Q8GYM3] MSKAAAAASLPPPPPEVAHLVDQLQRHHLAPDASLLSSSAHSDLLQAREEVASERARYLEALAVYAEAIAMVEEYQHAISTGVANAGKKLNCSPQVYESLEHHLAVAEAAQRLRLPLLSQDGDVHEEEIEKLSTLSRSSFDSTMTSAAPSSSSISTSYNNYSSTASAATVAAAPGTGGLEPVEPGVGGVPDRFLGITSDYLYQVQQEQPAMTVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEALLLDDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKETVPALHRIRKYLVEATKEASNSYTEAVSRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAGV >OGLUM02G01300.1 pep chromosome:ALNU02000000:2:1000640:1005728:1 gene:OGLUM02G01300 transcript:OGLUM02G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIYSFTHSFIPHGTVRIISVRSQRSRSLLPPTSPLPRGPDRDPPPTSLAVAIIARFSPLPNRSLPRKPPPSPPSSTAADPPTPTPTPPPSTAADPPTPPPLTAADPRHRISRLAATDPHRRLALNPIQSPSSHPSSSRIPVDAIWTSPPPIPAAGSASADPHRRRRQRLPAGLRRALPPTTGAAIHPCTPEALPSPDSVQEQ >OGLUM02G01310.1 pep chromosome:ALNU02000000:2:1005817:1009440:1 gene:OGLUM02G01310 transcript:OGLUM02G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLCAANHAPLTPITFLDRAALVYPDRPAIVASSSGLTRTWRETRDRCLRLAAALAALGVHRHHVVAVFAQNIPAMCELHFGIPMAGAVICTLNSRLDAAMASVLLRHSEAKLIFVDCALLDVAHDAIRRISQSGATPPVLVLISELLDDPSDAKLPSGRVDYEYEHLVGNAGSSPEFAVRWPADENEPIALNYTSGTTSRPKGVIYSHRGAYLNSLAAVLLNDMASTPVYLWTVPMFHCNGWCMAWGVAAQGGTNVCVRRVTAATIFDAVARHGVTHMGGAPTVLSMIVNATAEEQRPVARRVTVMTGGAPPPPKVLHRMEEQGFLVIHSYGLTETYGPATVCTWRPEWDALPAEERARIKSRQGVHHHGLEVDVKDPATMRSVPRDGKTMGEVMLRGNTVMSGYYKDGAATAEALAGGWFRSGDLAVRHEDGYVKVLDRSKDIIISGGENISTIEVEAALFSHPAVEEAAVVGRPDEYWGETPCAFVKLRPGAAAAAKAGVVEEELMAYCRARLPRYMAPRTVVVVEEGLPKTATGKVQKFELRARAKAMGTVPAAPAAKSKRSKL >OGLUM02G01320.1 pep chromosome:ALNU02000000:2:1010008:1015738:1 gene:OGLUM02G01320 transcript:OGLUM02G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42320) TAIR;Acc:AT5G42320] MAASSAPPPPPPPRRLLALAMALAAAAVSAASAARVPASVTPISRTLYHSSDSLLSDIKALVARHSDKLSMDTITASNKGYSTDLFIVTFNHAKESTSNSSKIRVLLSFGQHGRELITSEVALNLLYILTEKRKIAGVDLSSFEKILENLVIKVVPMENLNGRKRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTAPFSEPEAQIMRELSKSFKPHMWVNVHSGMEALFMPYDHKNATPNGASAHLMRTVLENLNHRHFQDSCLVGSGGGAVGYLAHGTTTDYMYDIVKVPMPFTFEIYGDEKASTSDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLREAQLVSQGAVDNWVPMGGDIVEISAARKSSPDKRKLEGLDLGMQELRTYFRLFMLSTVLLMFMFCSRISKNRNRETDEQSA >OGLUM02G01330.1 pep chromosome:ALNU02000000:2:1014842:1017278:-1 gene:OGLUM02G01330 transcript:OGLUM02G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKVFQRCGCSHLISVS >OGLUM02G01330.2 pep chromosome:ALNU02000000:2:1014921:1017278:-1 gene:OGLUM02G01330 transcript:OGLUM02G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSDPWVREYSEASRLADDVTSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLEALLSRIPPKSITDKELHKRQDMLSNLKSRAKQMATSFNMSNFANREDLLGQSKKAADDMSRVAGLDNQGIVSLQRQVMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLDDHVDVTNSRLQRVQKRLAILNKRVKGGCSCMALLISVVAIVFLAVIAWLLIKHL >OGLUM02G01340.1 pep chromosome:ALNU02000000:2:1022178:1030348:1 gene:OGLUM02G01340 transcript:OGLUM02G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGAASSVLPAARRGGRIAAVIAPLLLFLAAALSFPSSIGRIPSLVALGRRHAPSPPPPPPPPRVAVCLVGGARRFELTGPSIARHVLAPLVAHQQEKKEGEGGAPVVDVFLHSPLDADAYKLSLLARAAPPGSRLAAVRVFRPERIAETPERARVLTASNSPNGIQGLLQYFRLVEGCLDLIRERESRGNFTYDWVVRTRVDGFWTGPLAAADAFPAGGAYVVPKGSRFGGLNDRLGAGGRHASRVALSRLSLIPRLDVAGYQELNSEAAFQAQLKVAGVKARERRLPFCVLSDRRYSFPPAPYGVPVASLGSPGPLSGAKCRPCRPACRGGECASAARLVRGWSWTEWRNGTLEMCDASVPWEQGWEALFDEVAGEEAAAVRRRVAAMGADDCVAEVAALMARAERWDAPAPAEICRAGRLRLRLATRSASANATVTAKPNQN >OGLUM02G01350.1 pep chromosome:ALNU02000000:2:1031024:1032127:-1 gene:OGLUM02G01350 transcript:OGLUM02G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVPHAMRAAKLPLAVLAKPTHASASQPPAPPRPSNPRHRKTPAKASKTPAPATAAAPPPVEKRPIKTASDLAAAIRAAADADVDAAVDLARSAARTIPLPPHSLSLLLRRLARHRSVAAARGLLAELHPSPASPPPRPALLALSDAVCRRGEPREIAQLLPVLADHGVKADAPVYNALMKAHCAASDPAGVLGVLRRMKDDGVEPDLVTYNTLVFGLARAGMVTKARTYLDAMAAAGHFPDVITYTSLMNGMCVKGDAMGALALLEEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVDVYKSMVGAAMKLEAPAYATFVRALCRAGRVPDAYEVFDYGIESKSFAEVTLYTELENSLKWLHRMKS >OGLUM02G01360.1 pep chromosome:ALNU02000000:2:1033024:1042577:1 gene:OGLUM02G01360 transcript:OGLUM02G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVGQHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNLFPLWFPDSSISSFFFDSFNWFYSPHLDTAPPRWVHLAHGMLLFLYQFEALAFGSTAMCGKATFWYWFIAAVPFYCATWEHFFTNTLILPIVNGPTEGLMLIYLCHFFTFFTGAEWWAQDFRKSIPLLNWVPLVPEVPVYGIALFLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLVIGTGFAFGFLVGRMILAHLCDEPKGSLGKRHNCEPSTIALLWLAIICYICAKRQIFSIILHEDSALQIIFAFVIPRIAIIRD >OGLUM02G01360.2 pep chromosome:ALNU02000000:2:1038727:1040824:1 gene:OGLUM02G01360 transcript:OGLUM02G01360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRATELRLLLVAMAVAAVTARGAAGGGAAKVPAIFVFGDSTVDVGNNNYLAGISARADFPHNGVDFPGGEPTGRFSNGLIGVDFIAAAMGFTRSPPPYLSLIAMDANSSGEVMSNMMMAAASAMKGASFASGGSGVLDSTGTTISMTKQIEYFSDLRDQISTILSAEKASTLLSKSIFLISAGGNDAFEFFSQNKSPDSTAIQEFCEAFISTYDSHVKTLYNLGARKFAVINVPLLGCCPYLRSQNPTRECIEPLNQLAKRLNGEIRDLFRDLSSEMQGMKYSIASSYELISSLIENPQAAGFVEVKSACCGGGGKFNAEEACTPSSSCCADRSKYLFWDLLHPTQATSKIVGLAFYDGAARFVSPITFKQLADA >OGLUM02G01370.1 pep chromosome:ALNU02000000:2:1040960:1042550:-1 gene:OGLUM02G01370 transcript:OGLUM02G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAASLSTPAPSPAAGGRGRRRVNVAVASLRRAAAGGGSSWRSERRLMSELERTVTPGAAERVIRSYVASKSERAALAALSRLLMDSDPFAIPFYEAVTQARWFKWSSIHAAAVAALLESNGSAEESRSLISDSISRLHSTSSSSEEVSLFYCDLMAAFSSRGMRDRAMDFYSQLRASPPLSGKKTYTAMIKSLCLMGLAGEAEAALREMASRGHQPEAFQFGLVAKCYGKAGSMAEMERVISSMSDAGIRLGTGAANIVLSCYTSCRDHSRMLAWLRRMRKLRIAPTTKAFNFVLNSCPTVASMAQELGESLPLSTAELVKKLRSASPWPAEAELVQELLTSSSVLDKAMDWSESEVKLNLHGFSTIAAYVLILQWVDAMKARRALPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSMRNKGQLVAKRDRVKQWLATDWSSPVDEESTDQSPNGDNQQPFLLTLMRKLGQVLSPFLQFSKGKA >OGLUM02G01380.1 pep chromosome:ALNU02000000:2:1043602:1049110:-1 gene:OGLUM02G01380 transcript:OGLUM02G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEESSPPPPPSEVLARLRGLGAAAPVEISPAYEDALWAHFHRLPARYALDVHADRAEDVVTHHRLLEEARDPDRRPALSVRVVQVSRILDGDMDDCSELGMEPVHTNHLARQMVHPPPAFGSCSNLEALALEASEANLRSSNNDEDSSVHLISRPMHEITFATTDKPKVLSQLTCLLSELGLDIQEAHAFSTSDGYSLDVFVVTGWHLGGTEQLKEKLLEKFHDIETQAWPTSNSSSQSLEGPSGGESMPSTSVEIPTDGTDVWEIDLKLLKFGTKVASGSNGDLFRGSYCSQDVAIKVVRPERISADMYRDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTGGSLHDYLHKKNNSFKLSEILRVATDISKGMNYLHQNNIIHHFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELITGKIPYEYLTPLQAAIGVVQKGLRPTIPKDTHPKLSELLQKCWHRDPAERPDFSQILEILQRLPKEVRADTEGRQKSKAGFLSALKRNH >OGLUM02G01390.1 pep chromosome:ALNU02000000:2:1054329:1058447:1 gene:OGLUM02G01390 transcript:OGLUM02G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLF8] MPVPYAFTPLVVSLASPRSGRAPVIMSWSGELSPPPAASGTRLLHGDLDLTIHEARGLPNMDFLSTLLRRLCLCLRPPARRPSPGQSRGSVPADDDGRRQPHGHHLLPTSDPYAAVVVAGNTLARTHVVRNSEDAEWSTHVLLHLAHHATGVAFHVKDADPFGSDLIGVAILPAADVLAAAAAPIVRRELPLYRPDGRGRPKPSSAIVITASFVPAGEHQSIYDAEHGGVPAAYFPARRGCEVKLYQDAHVAGGELDGVRRRGVFEPGRCWEDMCLAVLGAQHLVYVAGWSVNTKVRLVREAMSPEMAAKVEEVRTTATDDDDNPVAAEGMSLGALLKYKSQEGVRVCLLVWDDKTSHDTFFLKTGGLMQTHDEETKKFFKDSPNAERGVSASVQIVGTMYTQHQKCLLVDTPASGSTRRITAFLGGLDLAAGRYDTPSHRLFADLGTVFSGDVYNPAIPPAGNKGGAGEEGPRQPWHDMHCRVDGPAAYDVLENFEQRWRKATKLFRRAKAHWKDDALLKLERISWILSPSDSGAGDGDGGDSHLYALPDGHPDCWNAQVFRSVDSGSVKGLPRCWETKKMEAKHLVCDKNVTVEQSIHTAYVRAIRSAKRFIYIENQYFIGSSFAWPSYKHQEGRHHLNLLNLSHHLSESSQLGAGNLVPMEIALKVASKIAAGERFAVYIVIPMWPEGVPTSGPIQEILFWQRQTMQAMYEVIAAAIRAAGMEGAAHPRDYLNFYCLGKREAAAAAAAAGSPEQEHNPAASSARRHRRFMIYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPNLRAGAGAGDGQVFGFRMLLWEEHLGSSEWRELRSPESPECVKRVNEIAAENWRRYAADDNDVAMQGHLMRYPVDVGDDGKISELRGHEFFPDVGGRILGSTNNNYWDYLTM >OGLUM02G01400.1 pep chromosome:ALNU02000000:2:1059682:1061638:1 gene:OGLUM02G01400 transcript:OGLUM02G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G63855) TAIR;Acc:AT1G63855] MATPGLGVIRAGQVLGEMPHPANIEEDYGMFVWPCSVILAEYVWQQRSRFTASTVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRQVCGLNNVNCTVLELTWGEWDELTFDLHPDVILGADVLYDSAKFDDLFATVSFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKPNCSSADENNL >OGLUM02G01410.1 pep chromosome:ALNU02000000:2:1060248:1064306:-1 gene:OGLUM02G01410 transcript:OGLUM02G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MAEESATLDAPPPLERSPQRESAVDEETRALVVPDAGDLPPFPPSAVEANFARYFVADFLNPGHDQYVYRHPNGLCVVGLASAHIALKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHFQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSCDVCSNLCSY >OGLUM02G01410.2 pep chromosome:ALNU02000000:2:1060246:1063635:-1 gene:OGLUM02G01410 transcript:OGLUM02G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single hybrid motif superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75980) TAIR;Acc:AT1G75980] MKVTGKRKRNAQHFQENSALCKVCTSSNSFVVRCCVKGSLLEINDRLIKQPDLLNTSCDVCSNLCSY >OGLUM02G01420.1 pep chromosome:ALNU02000000:2:1066115:1068532:-1 gene:OGLUM02G01420 transcript:OGLUM02G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16910) TAIR;Acc:AT2G16910] MGRGDHLLMKNSNAAAAAAAVNGGGTSLDAALRPLVGSDGWDYCIYWRLSPDQRFLEMTGFCCSSELEAQVSALLDLPSSIPLDSSSLGMHAQALLSNQSIWQSSSEEEEEADGGGGAKTRLLVPVAGGLVELFASRYMAEEQQMAELVMAQCGGGGGGAGDDGGGQAWPPPETPSFQWDGGADAQRLMYGGSSLNLFDAAAADDDPFLGGGGGGGGDAVGDAAAAAGAWPYAGMAVSEPSVAVAQEQMQHAAGGGVAESGSEGRELHGGDPEDDGDGEGRSGGAKRQQCKNLEAERKRRKKLNGHLYKLRSLVPNITKMDRASILGDAIDYIVGLQKQVKELQDELEDNHVHHKPPDVLIDHPPPASLVGLDNDDASPPNSHQQQPPLAVAGSSSRRSNKDPAMTDDKVGGGGGGGHRMEPQLEVRQVQGNELFVQVLWEHKPGGFVRLMDAMNALGLEVINVNVTTYKTLVLNVFRVMVRDNEVAVQADRVRDSLLEVTRETYPGVWPSPQEEDDAKFDGGDGGQAAAAAAAGGEHYHDEVGGGYHQHLHYLAFD >OGLUM02G01430.1 pep chromosome:ALNU02000000:2:1070642:1071927:1 gene:OGLUM02G01430 transcript:OGLUM02G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 29 [Source:Projected from Arabidopsis thaliana (AT2G16740) TAIR;Acc:AT2G16740] MALRRIIKELKDLQRDPPTSCSAGPVSDDMFHWQATIMGPNDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >OGLUM02G01440.1 pep chromosome:ALNU02000000:2:1073201:1076133:-1 gene:OGLUM02G01440 transcript:OGLUM02G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >OGLUM02G01440.2 pep chromosome:ALNU02000000:2:1073201:1076133:-1 gene:OGLUM02G01440 transcript:OGLUM02G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLRYPAPPDLQNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >OGLUM02G01450.1 pep chromosome:ALNU02000000:2:1078994:1081809:-1 gene:OGLUM02G01450 transcript:OGLUM02G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSDCSSSATSRLLPLRRALLAPPCRFRPATVAAPPRRPLAIAAPQLPLLPRARGMASASAAAAASSTDSDACAKIIDGKLVAKQIREEIAVEIAKMKDAIGVVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEDEVLKHITTFNSDPSVHGILVQLPLPHHMNDENILNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSNTKKPEEITRQADIVITAVGVANLVRGSWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKIAGLITPVPGGVGPMTIAMLLSNTLESAKRIHKFK >OGLUM02G01460.1 pep chromosome:ALNU02000000:2:1082869:1087627:-1 gene:OGLUM02G01460 transcript:OGLUM02G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT5G64050) TAIR;Acc:AT5G64050] MAAAMGSPWLRIRLLPEVPPRLLRPHLRRTLSVRASASASASPDGAGGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKKSEEALLSDLAWLGLDWDEGPDVGGEFGPYRQSERNSMYKQYAEKLMESGAVYRCFCSSEELEQMKETAKQMQLPPVYMGKWGTASDAEIQQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDAAMRISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDRSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDAVKLKWMNGQHLRSFPPDVLIKSFEDRWKDTGILQESESGFAKEAAELLKDGIDLITDADAALSNLLSYPLHATLSSDEAKSVVQDKLSEVASGLISAYDSGELCQALAEGRDGWQKWVKIFGKSLKRKGKSLFMPLRVLLTGKLHGPDMGGTVVLIHKAGTCGAVTQQSGFVNLDERFRILKEVEWESLVQEQESPAETAVPASP >OGLUM02G01470.1 pep chromosome:ALNU02000000:2:1094853:1095581:-1 gene:OGLUM02G01470 transcript:OGLUM02G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHRGTVKWFNDTKGFGFISPDDGSEDLFVHQSSIKADGFRSLAEGEQVEFAISESEDGRTKAVDVTGPDGSFVKGGAGGGGGGGGGFGSRGGGGSGGGGRSYGGSWGGGRRSGGGGPGGACFKCGESGHMARDCFNGGGGGVGGGGGGGGGGGAGGGCFKCGEMGHMARDCFNSGGGGGGGGGGGGGACYNCGETGHLARDCYNGGGGGGGGRFGGGGDRSCYNCGEAGHIARDCHK >OGLUM02G01480.1 pep chromosome:ALNU02000000:2:1098196:1103480:-1 gene:OGLUM02G01480 transcript:OGLUM02G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT2G21070) TAIR;Acc:AT2G21070] MNCGWAVIGTRGPCKDLNEGLCRRKPRAFLPDLRRRAARRRFPRGASGLRRSLLELCRRWSIHVMGGGRKRRRRDGSEAPAIHPRNRYAAAAPDFASLASLYPSFAPFVSVSRGGRASIDFTDFAATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDLIPPISSSNKTVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVALEWAKKNVESNPQLAALVEIRNANKMSCSSESEAVDGEAARENTSKPVDGVLRSKPSILLGVVKDSESFDFCMCNPPFFESIEEAGLNPKTSCGGTAEEMVCPGGEQAFITRIIEDSVSLKNSFRWFTSMVGRKANLKILVSKVREAGVSVVKTTEFVQGQTARWGLAWSFIAPRKMVIRSSTPGKANYSFMLQGLRREYGAFQVLKSAESFFHASNLSCKTDSSLFSIDVTLSDEQAQAAMLHDESGSVEGNSTKLHSGVTGTSFRISVFEQMPGTLLVRGSLLNKALSGIFSSTFSQLEDTLKMEFLSKAR >OGLUM02G01490.1 pep chromosome:ALNU02000000:2:1107527:1108117:1 gene:OGLUM02G01490 transcript:OGLUM02G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G22920) TAIR;Acc:AT3G22920] MSNTRVFFDMTVGGAPAGRIVMELYAKDVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFADEVFKFKHDSPGILSMANAGPNTNGSQFFICTVPCSWLDGKHVVFGRVVDGMDVVKAIEKVGSRGGSTAKPVVIADCGQLS >OGLUM02G01500.1 pep chromosome:ALNU02000000:2:1115165:1116572:-1 gene:OGLUM02G01500 transcript:OGLUM02G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGVVVEAFTEEEHEVAAILRDLADLVRARHRRRRRRRVQREEIPSWGCRRPRTTPGEKKPAPPADVGRRHEAAASPDTPLAFLVPDESSGDDVAARAAPPRKAPASHAEWVEEQRAVVASLSQENSHLSKQIEEYRVRLQSSRSTNDGLKQMQRKLKRQREHEEEEEVNRKRRVEAAAAADIVRPAPVLDLNEPARAPEEDDDDAVAAAKAAAAAAAAAEWYHLGRKRAAMACKAAMTAEARLRRQQIRRDKAAARRAG >OGLUM02G01510.1 pep chromosome:ALNU02000000:2:1117479:1123656:-1 gene:OGLUM02G01510 transcript:OGLUM02G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDSNKNVSEGGDQNLISRQKKGKAKVLRWRLSNTDMDMKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMDRETRCNAIPLANHFSKEEEVVVDALLSLSQIPHLCELSSDRGMAEDNLDLNVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCNNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIHPTKIEAPRCLENSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTAAETVKGTGEHENLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQNRVKPEEVCHSHISRSPNGSTISKNGAQDEKFYALHFDVRLPVQPSSSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYTRGNLTHTALLLQQMPQYMCNPNPAIMKIQQQLMPNQHQHQHQQQQQMWQFQFPQYHHPRPDAAAAAAVSAAWQHSSRLHDVSSLRPVAVLPAPPPPPPPQMELFCSPYHGGSRQPPQLRLI >OGLUM02G01510.2 pep chromosome:ALNU02000000:2:1117479:1122497:-1 gene:OGLUM02G01510 transcript:OGLUM02G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREKLVAGLTRRHMIRSGSSSSSSPTAAAAAAADSNKNVSEGGDQNLISRQKKGKAKVLRWRLSNTDMDMKGEEGGSDGDYDDTVLSSLTTASFSSLISRKRVKSLGKVAEDCDAIDPPVPRKLRSAINKRASQTVSTSPRHVKKRRHLSAISSQTFLMDRETRCNAIPLANHFSKEEEVVVDALLSLSQIPHLCELSSDRGMAEDNLDLNVTSVSYSAGATKVDEKISALPTAGTEVANQPALDEPVERTGNVSQINHVPCGGTCNNTNPTLSNDGQIHDISLGIVTNLPSPSKDYNNSRKQLKVQFDNSTIHPTKIEAPRCLENSKKPDILEHDRKNVKNNTAQEIVPPVQTSKPCASHRPSSNTLASCNNTAAETVKGTGEHENLSLVNKNGTPSKTWKRSITHVYMCHLIQMHLDKEKASQNRVKPEEVCHSHISRSPNGSTISKNGAQDEKFYALHFDVRLPVQPSSSVCDTTIARQKMVSGNFLNLPTSAALSGVQHVQYLHPPIAPRGAMPYPIQHLPYTRGNLTHTALLLQQMPQYMCNPNPAIMKIQQQLMPNQHQHQHQQQQQMWQFQFPQYHHPRPDAAAAAAVSAAWQHSSRLHDVSSLRPVAVLPAPPPPPPPQMELFCSPYHGGSRQPPQLRLI >OGLUM02G01520.1 pep chromosome:ALNU02000000:2:1125881:1130731:1 gene:OGLUM02G01520 transcript:OGLUM02G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLH4] MVVSIITRALILILGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLAVLTVFERVGDNFVSWLPMYSEAKLAFIVYLWYPKTQHFQGTSYVYESFFKPYIGKHEAEIDRNLLELRTRAGDMAVHYFQKIADYSHTRFYEILQYIASQSEAQRSRPQAQQHQQRPPPPRTRQVNPAPPPVPSPSAPPLPPQPPPPRNQAQADKAPIPVAPPGAAVPPAQPQPQPPQAGAEAVTTESTEATQAANPPATTASNPHQAPVIPDEETLIQEAIRMTRSRLRRRMGGA >OGLUM02G01530.1 pep chromosome:ALNU02000000:2:1137054:1142803:1 gene:OGLUM02G01530 transcript:OGLUM02G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHVFSSFSSSLIRELEAPLLLPAASASSSSSSPASRSGGRRRRAAHVRPSPAIYPGRQELASHSSMLPTDFDIQVLIERHEALTDDVQEMLQHQRRRHQKTASGGRERIATVDHLRRLCIDHYFQDEVDDAMDACLLEELAHGGDLLDATLAFRLMREAGHHVSADEVLGRFTDDNGEFRLDYRKDIRGLLSLQDISHMNIGQEASLCKAKEFSTRNLESAINYLEPNLARYVRQSLDHPYHVSLNQYKARHHLSYLQTLPIRCTAREELALADFQLNKLLHQMEMQEIKRWWMDLGLAQEIPVARDQVQKWFVWMMTAIQGASLSRCRIELTKIVSFVYIVDDIFDLVGTREELSCFTQAIRMWDLAAADSLPSCMRSCFRALHTVTNDIADMVEREHGVNPINHLKKAWAMLFDGFMTETKWLSAGQVPDSEEYLRNGVVTSGVPLVFVHLLFMLGHDVSQNAAEFVDHIPPVISCPAKILRLWDDLGSAKDEAQEGLDGSYKELYLKENPGLAAGEAEEHVRRLIAGEWEELNRECFSASPSRSSPATTFPAGFTQAALNAARMVGVMYGYDGERRLPVLDDYVRMLLF >OGLUM02G01540.1 pep chromosome:ALNU02000000:2:1143559:1146433:1 gene:OGLUM02G01540 transcript:OGLUM02G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWSRAVLPSCQSQIHLSPSREFRRRPTSPAAGYPRHAPPPDQASLPQTRSSSSPALSSSTRMEKYHSNSQFAPFRDAPFALRGALGSSGSSFSSIDSLRRSSTLEQARGYTSRPLGAVRPKMLPSGCRPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMGSRVVVPGK >OGLUM02G01540.2 pep chromosome:ALNU02000000:2:1143559:1146433:1 gene:OGLUM02G01540 transcript:OGLUM02G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYWSRAVLPSCQSQIHLSPSREFRRRPTSPAAGYPRHAPPPDQASLPQTRSSSSPALSSSTRSRSITATPNLLPSEMLHLLSVFISHNQEFLTFVATILSGALGSSGSSFSSIDSLRRSSTLEQARGYTSRPLGAVRPKMLPSGCRPLHTSHPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAAIISIPLATKFSLMGSRVVVPGK >OGLUM02G01550.1 pep chromosome:ALNU02000000:2:1146446:1148320:1 gene:OGLUM02G01550 transcript:OGLUM02G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02060) TAIR;Acc:AT1G02060] MNRAARSRSPEDASVAAARKLHLLLRSRDLRPALSYLRTLPSPLTLLPNHALNALLRALAAAGRVRAAAALFRRIPSPTPHSFNSLLAALLRRGRRRAASALFAALLRSPSASPDAATLNTLLHGLSTASPHPSTPALLRLFRFLPDTYAFAPDAISYNSLLSSLCRAGDVLTARKLFDGMRVGGEEGRGAVFPNVITYTTMIKAYCAKRLVNEALAIFKLMVADGVAPNRITYNTMVQGFCDAGRMELVKEVLEMDSFRPDTCTFNTLVAVHCREGRIEDAMKVFNQMVELRVRRDSASYSMVIRVLCENGEFGQAEELVDELLEKEVLKKRGGCTPLIAAYNPVFVYLCEHGKTKKARMLFGQLLDRRSKVDVPAFKTLILGHCREGDFEEGYALVLSMLKRDLVPDDECYIAVIEGFSQRGRMKFAWEALHRMLNSGLRPSTSTFHSVLLGLLNKDGCAKEAADLIEIMLERKIRQNVDLSTNLVDTLFRNNLNDRAYKIVTSLYDHGYYIKMEKLIANLCEEKKFIEAADFTLFSLEKSQNFGVAIPSMVLDGLCMTGRASEAFRLFYELIENRSALASVAAPRSLVALHHALEESGKMKEADFIAKQMRRASARIRERI >OGLUM02G01560.1 pep chromosome:ALNU02000000:2:1149081:1151957:-1 gene:OGLUM02G01560 transcript:OGLUM02G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 [Source:Projected from Arabidopsis thaliana (AT2G45640) TAIR;Acc:AT2G45640] MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQVKLREEYQRQMHYLQDEMPMHEQLLGFGKQSEGIIVLTLIWQV >OGLUM02G01560.2 pep chromosome:ALNU02000000:2:1149081:1151896:-1 gene:OGLUM02G01560 transcript:OGLUM02G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIN3 associated polypeptide P18 [Source:Projected from Arabidopsis thaliana (AT2G45640) TAIR;Acc:AT2G45640] MAGRGEMPMRPVRPGPPMQYRGPPPMARARVEPVDREKTCPLLLRVFTKVGGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALAARKRNARLSFAFVYPDKHGRFVVKEVGSTFSYGHGRGDDAKTLAELGFQVKLREEYQRQMHYLQDEMPMHEQLLGFGKQSEGIIVLTLIWQV >OGLUM02G01570.1 pep chromosome:ALNU02000000:2:1152852:1156078:1 gene:OGLUM02G01570 transcript:OGLUM02G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEPLRRRRHLADDGFFRFLLPSPKPATTTTTTPPPAALFVPPHRLIAPPVPLPQPPRPEERLFIVPPTRPSWLPPLSIPPPATATAPPPTRCPPRRMGNGGGGGGGCFGGRSGVVGWRYGGFVGNGGRRGFERRRVGGGFIGAANAGEATGGERRAVVRKREKKVWVAVEKKGEDCGGGDEDQAAMGAGYAGGDERDELVDVDDDEQDDGDGDDPFDVAADHDLLAVVADGAGSEKPMEQLGSPPDQPPPPPPRQRVGTRRWRVERRHDIDAFTPGLLSLYESLNPSEEHKAKQRQLIESLTNSVSKEWPNAQLHLYGSCANSFGNSHSDVDVCLQIDTAAEENIAELLLALAETLRKDDFDNIEAITSARVPIVKIADPGSGLSCDICVNNLFAVANTKLLKDYAQIDERLLQLAFIVKHWAKLRGVNETYRGTLSSYAYVLMCISFLQQREPKILPCLQAMEPTYTLVVDGTECAYFDQVDQLQDFGAENKESIAELLWAFFHYWAFHHDYRNDVISVRMGNTISKQEKNWTTRVGNDRHLICIEDPFETSHDLGRVVDRQTIRVLREEFERAATILQYDDDPCVALFEPYDYES >OGLUM02G01580.1 pep chromosome:ALNU02000000:2:1157395:1164160:-1 gene:OGLUM02G01580 transcript:OGLUM02G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI2] MSAHLRLLSAAPLPALLPTRRLPAVPTPALAARAARLVLSRPLTEPQPPRLPRGAAARCRGVAVAGADGDEAAAAAAGTAGLWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSSLQLAALGPGTVFCDYLCYIFMFLSIATSNMVATSLAKKDEELAQHQVSMLLFVALTCGLGMFLFTKLFGTQVLTAFTGSGNYDIISAANTYAQIRGFAWPAVLVGLVAQSASLGMKDSWGPLKALAAASVINGVGDFLLCSVCGYGIAGAAWATMVSQIVAAFMMMQNLNKRGFRAFSFTIPSSSEILQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMVNVLCMCTVWGEPLSQTAQSFMPELIYGAKCNLMKARMLLKSLVMIGAITGTTVGAVGTLVPWLFPSLFTNDFMVVQQAGRDLRFLSQSMGACFGIGTFLLMIIRNKFGSLPGCWWILVLFQWGRFGSALQRLLSPTGMLYNENFNNHHDEYVKVKAT >OGLUM02G01590.1 pep chromosome:ALNU02000000:2:1164933:1167886:-1 gene:OGLUM02G01590 transcript:OGLUM02G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKNVMRLSMASLKGADAKDISSSIAAIANEKIKAEKEAAAGKKKQGAKKKQLHIENKDDDFIPGKGNFDDPDEYDFM >OGLUM02G01600.1 pep chromosome:ALNU02000000:2:1168929:1172484:-1 gene:OGLUM02G01600 transcript:OGLUM02G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42480) TAIR;Acc:AT5G42480] MEGFHNLLARPNSAPFAFSLPRPRRRPPPHPSAACRAASRWAERLFADFHLLPTAAPSDPPSPAPAPAAAPSASPFVPLFPDAAERSLPLQVDFYKVLGAEPHFLGDGIRRAFEARIAKPPQYGYSTDALVGRRQMLQIAHDTLMNQNSRTQYDRALSENREEALTMDIAWDKVPGVLCALQEAGEALAVLVTGEQLLLDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIGCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLSLPIDTEHHKKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSIEQMDFFSKTPNSIPPEWFEIYNVALAHVAQAIISKRPQFIMMADDLFEQLQKFNIGSHYAYDNEMDLALERAFCSLLVGDISKCRMWLGIDNESSPYRDPKILEFIVTNSSISEENDLLPGLCKLLETWLIFEVFPRSRDTRGMQFRLGDYYDDPEVLSYLERMEGGGASHLAAAAAIAKLGAQATAAFGTVKSNAIQAFNKVFPLIEQLDRSAMENTKDGPGGSLENFDQENAPAHDSRNAALKIISAGALFALLAVIGAKYLPRKRPLSAIRNEHGSVAVANSVDSTDDPALDEDPVHIPRMDAKLAEDIVRKWQSIKSKALGPEHSVASLQEVLDGNMLKVWTDRAAEIERHGWFWEYTLSDVTIDSITISLDGRRATVEATIEEAGQLTDVTEPRNNDSYDTKYTTRYEMAFSKLGGWKITEGAVLKS >OGLUM02G01610.1 pep chromosome:ALNU02000000:2:1174775:1175715:-1 gene:OGLUM02G01610 transcript:OGLUM02G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPLAAVRAIITCSASNKNNPPSARQQQQTTTTTATRVSPAALPSLLRTTAAAAATAALALAPPDALAAGGEFGILEGRSVALLHPLVMGGLFAYTLWAGYLGWQWRRVRTIQDEINELKKQLKPAAAAATPAAVAAGDSSSSSSSPPPSAPKSPVEIKIDELTEERKKLIKGSFRDRHFNAGSILLGLGVTESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAAAAALVPAMQKGNETARSLHIALNAINVLLFIWQIPTGLEIVGKVFEFTTWP >OGLUM02G01620.1 pep chromosome:ALNU02000000:2:1176912:1177355:-1 gene:OGLUM02G01620 transcript:OGLUM02G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHQQIRSTTAAEQQEASAGGGGGEEYEDLMPVMAGRLGAEGLLSELRAGFRLLADPARGAITAESLRRSAASVLGLGGGGGEMTVEEAAAMVREGDQDGDGALSEAEFCVLMVRLSPGIMGDAEGWLEEAIADELLRSPPPPPPA >OGLUM02G01630.1 pep chromosome:ALNU02000000:2:1181577:1183517:1 gene:OGLUM02G01630 transcript:OGLUM02G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARABIDOPSIS TRITHORAX-RELATED PROTEIN 6 [Source:Projected from Arabidopsis thaliana (AT5G24330) TAIR;Acc:AT5G24330] MGPATPLRRRTRARPAATRAEGGSGGDGDDDDVRCEACGSGESAAELLLCDGCDRGLHIFCLRPILPRVPAGDWFCPSCASPSPHSKKSHAAKKPKQFPLVQTKIVDFFKIQRGPAAALAAAAESSEGKKRKRKAGGIRLVSKKKRKLLPFNPSDDPARRLRQMASLATALTATGAVFSNELTYVPGMAPRAANRAALESGGMQVLPKEDVETLNLCKRMMARGEWPPLLVVYDPVEGFTVEADRFIKDLTIITEYVGDVDYLTRREHDDGDSMMTLLSAATPSRSLVICPDKRSNIARFINGINNHTPDGRKKQNLKCVRFDVGGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >OGLUM02G01640.1 pep chromosome:ALNU02000000:2:1184429:1193045:-1 gene:OGLUM02G01640 transcript:OGLUM02G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI9] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHRRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVTVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTCSPDFLQSLHGLQASVATIVVMHPIPIKKTVPISLTITCHHHSWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRHRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >OGLUM02G01640.2 pep chromosome:ALNU02000000:2:1184429:1193045:-1 gene:OGLUM02G01640 transcript:OGLUM02G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI9] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHRRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVTVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLTLPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRHRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERDIMEHLCSSWMMDWALPFLPEPRSSLVHLQELRVHPGICSLGNNHLVNDALLLRSALHPPLRCTLLPRFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >OGLUM02G01640.3 pep chromosome:ALNU02000000:2:1184429:1193045:-1 gene:OGLUM02G01640 transcript:OGLUM02G01640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI9] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHRRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVTVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLTLPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRHRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >OGLUM02G01640.4 pep chromosome:ALNU02000000:2:1185931:1193045:-1 gene:OGLUM02G01640 transcript:OGLUM02G01640.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI9] MVALPSLSPPPPRPSPSPATPRRRPPPPPPPHATPSHRVRSRPATVVSAASGVTDGYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVAAAGVEEGDVVLEIGPGTGSLTAALLDAGATVFAVEKDKHMATLVNDRFGSTEQLKIIEEDITKFNVRSHFLPFLEEKSHHRRKYAKVVSNLPFNVSTEVVKLLLPMGDVFSVTVLLLQDETALRFADASIQTPEYRPINVFVNFYSEPEYKFKVERTNFFPQPKVDGAVISFKLKNSGDYPPVNSAFNGKRKMLRKSLQHLCSSSEIEAALANIGLPVTLTLPVLARFSPVVARPSGFSCNNSGDGSSSSPTGHCRWAHIHPAPGKISTPIQLIHPHLVHVVAYKNPRYAATDQSPLSPPLRFSIRRRRPNQSPRGGGDLGFPRPRRRRLPPRHRPLLPSSIPGGLRRVACPKMRPVFCGNLDYDARQSEIERLFSKYGRVERVDMKSGYHGASLLFLDDGLGSTFPA >OGLUM02G01640.5 pep chromosome:ALNU02000000:2:1184429:1185489:-1 gene:OGLUM02G01640 transcript:OGLUM02G01640.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLI9] MAGFAFVYMEDERDADEAIHRLDRIEFGRKGRRLRVEWTKEDRSGGRRGNSKRSPNNTRPTKTLFVINFDPINTRTRDLERHFDQYGKISNVRIRRNFAFVQYELQEDATKALEGTNGSTLMDRVISVEYALRDDDEKRNGYSPERRGRDRSPDRRDYRGRSASPYGRGRERGSPDYGRGRERGSPDYGRGGDRGSPDYRRGASPQGGNKGDERGSPPNNYDRERREASPGYDRPRSRSPARYERE >OGLUM02G01650.1 pep chromosome:ALNU02000000:2:1198111:1201766:1 gene:OGLUM02G01650 transcript:OGLUM02G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPFAVSDPSASVEEMVAAAAADDECVCVWLEEQYEKVEKIGEGTYGVVYKGKHRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHRNIVRLQDVVHKEKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNSLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFSIMGTPNEETWPGVASLPDYISTFPKWPSVDLATVVPTLDSSGLDLLSKMLRLDPSKRINARAALEHEYFKDLEVA >OGLUM02G01660.1 pep chromosome:ALNU02000000:2:1204372:1208672:1 gene:OGLUM02G01660 transcript:OGLUM02G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shrunken seed protein (SSE1) [Source:Projected from Arabidopsis thaliana (AT2G45690) TAIR;Acc:AT2G45690] MEAYKLWVRKNRDLVRSLESLANGLTWILPERFANSEIAPEAVYAFLGIVSSVNQHIIETPTDGQTLASKEQSIPWSLVVSVLKDIEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEVANEEEINILDENFGAKSNGVPVIYPMNGHFQNGHGVASNGLDGKAGFVSKSLEGRAVAALNKFGQNAKMTSDPMWMKKALPPPDPPAMVVEKPTLASIWSAKGISGRLFLLGEVVHIFRPLLYVLLIKKFGIKSWTPWLVSLAVEITSLGIHSRATDLHQRGGKLKRRKMMWALYVMRDPFFTRYTKRHLQKAEKVLDPVPLIGFLTGKLVELVEGAQTRYTYTSGS >OGLUM02G01670.1 pep chromosome:ALNU02000000:2:1209857:1217718:1 gene:OGLUM02G01670 transcript:OGLUM02G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLJ5] MASRFWGQVIAFPFVLAVILLCIDIAVTDMNPFIIGYNNLILLHGSTQLQGDSDSEEEQEIESEAGSESEDEGGDAGGRSGNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETEDEDSDAEEDPEKIAMSESDEGDDDEEDDQDGGDWVRHTNKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRVERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGKIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDSMRKLAEQDEDSREDEDAEADEERQVSENNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEAIHLICAMLIEVPNMAASTYDKRKFMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALSKGDHQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLFSYSSCYQSLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMADKLSVLVESNERAYEAKTGGTFEGAPPRRRGDAQDSSNLGKWQENFVSSQGRQGGGRSGYSGRGGGSGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRTGSMAKGGDGGARMVSLNRAGRG >OGLUM02G01680.1 pep chromosome:ALNU02000000:2:1213977:1217378:-1 gene:OGLUM02G01680 transcript:OGLUM02G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPPAAAVALADLLESGTFAPPSPPRPPAPTTILSAWSHLRNPTSPAAADALAALETLHLHRRSLRLSSAHVALLLPLLPLHPRLVSPLLATSPHLLPPSLPASLPVSPRLLLLGALASSRSAKSLSSHANSGSTAAENLGGGGGESGDGHDSDPVVAVARILDDMEKGSESCHDLDHLALAGIGCVLASADELQFRRIIGSLLTICGRIGSLSVGVRMLKLVEWLLLGFIESRKMRKVQVLFEMISPESCQSQGYVMSPVVMVACGALRALRVASARYRLDFDPRLKEAPERTIRFAAEKAVLEGKHVDDRRLLLQCVALGLTQCGQVTPHESVLRCVCMALLEELLPLPDLLKMSVQCPDGNSPEIVKNRVKQHLDSVLFKEAGPVAGILCNQYSFASDKAKTSVEMYVWEYAQVLYCHLRAAVILHQGKQDDLITDIEKIAEAAFLMVVVFSAEVTKHRLNAKSSEGFQPDVAVKILVSFSCLEHLRRLRLPEYTEAVRRAVLVNQENAAVAALFIESIPSYAELTNLLTLDGTRYIWHGDVVQTSRILFYLRVIPTCISLIPAHMIQDKYIQHSNEKVTRASHSVVVSFLSSGNDTDPDDRMALKEQLAFYYIKRTLEAYPGVTPFEGLASGVAALARHLPAWSPATLFCIHNLVVKAKDLCHTSMVEDKSLWRSWEGSTEPCKKILDLLLRLIFLVDIQSFPYLLKELAEFVSLLPKEGQDVLLDDMHAHVAESDDVTRKPSLSYISSQATRHKSLDNVGSDELSLNRTMARETTILSTYTMTGILVIDHQR >OGLUM02G01690.1 pep chromosome:ALNU02000000:2:1218121:1220735:-1 gene:OGLUM02G01690 transcript:OGLUM02G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYLETRGTSSISLVVHHIRRRTHSSSSSSSRLRFQRGGGAEQRRGMAAAQGSGVPAALALSSGHTMPSVGLGVWRMDSPAIRDLIHSALRIGYRHFDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLRLDYLDLYLIHFPVATRHTGVGTTASALGDDGVLDIDTTISLETTWHAMEDLVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDCLVKFCQKHGILVTAHTPLGGSTANTEWFGSVSCLDDPVIKVSLAEKYGKTPAQLVLRWGLQRNTVVIPKTSKEERLQENFAVFDFAISDEDMEKMRSIDRKYRTNQPAKFWGIDLFA >OGLUM02G01700.1 pep chromosome:ALNU02000000:2:1222015:1224302:-1 gene:OGLUM02G01700 transcript:OGLUM02G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMTWLSFLDVAWVGYYKPLVSNVQVHPLPEGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >OGLUM02G01700.2 pep chromosome:ALNU02000000:2:1222015:1224302:-1 gene:OGLUM02G01700 transcript:OGLUM02G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSKKTPSVYLYIPNIIGYFRIIINFIAFAVCYSNRVLFAILYFFSFFCDGLDGWFARKFNQASTFGAVLDMTWLSFLDVAWVGYYKPLVSNVQTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCGNLLKQSPLTVFVFISTLVGWALKQVINVIQMKSAADACVVFDLKRGK >OGLUM02G01710.1 pep chromosome:ALNU02000000:2:1224326:1225254:-1 gene:OGLUM02G01710 transcript:OGLUM02G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYNIEIESIHLHKEGSIESNDKSNQSIGGGGDSALASTHPSPRPPPLRRLPGIAARRRGRPSDYNLREKLSGF >OGLUM02G01720.1 pep chromosome:ALNU02000000:2:1227697:1230770:1 gene:OGLUM02G01720 transcript:OGLUM02G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLK1] MSRACLLLVVAAVCLAGREAAAFNYADALDKAILFFEAQRSGKLPPGQRVAWRADSGLSDGSADGVDLAGGYYDAGDNVKFGLPMAFTVTMLSWSVIEFGDMMPARRSSFLGGIFGGGGVAQLDNARAAVRWGADYLLKVATATPDTLYVQVADPYQDHRCWERPEDMDTPRSVYKVTPQSPGSDVAGETAAALAAASIVFRVSDPSYSAKLLDAAQLVFDFADKYRGSYSDSLSSVVCPFYCSHSYHDELLWAASWLHLASPEKKDVYLSYIGSNGHALGAEQDDFTFSWDDKRVATKGFLQSRADGLQLYKAHTDNYICSLVPGANGFQSQYTPGGLLFKEGDSNMQYVTSTAFLLLTYAKYLSSSAATVSCGSTAVSPSTLISLAKKQVDYILGANPAGMSYMVGFGARYPRHVHHRGASMPSVRDHPARIGCDEGFRYLHSPEPDRNLLAGAVVGGPDAGDAFADGRDNYAQAEPSTYTNAPLVGALAFFAGAHKIFTP >OGLUM02G01730.1 pep chromosome:ALNU02000000:2:1232360:1234175:1 gene:OGLUM02G01730 transcript:OGLUM02G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQYSFTLCLMLLLVAALLCTMNTISVEAGRGGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPDGRSGYNSVNPNGRGGYNSVNPNGRSGYNSVPRNGGGSP >OGLUM02G01740.1 pep chromosome:ALNU02000000:2:1235652:1235867:-1 gene:OGLUM02G01740 transcript:OGLUM02G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKASWPEVVGWPATAAVTQINGDRPDVSIEVIPDGATVPPGFDANRVRVFFNAGDAVGPVTRTPFVG >OGLUM02G01750.1 pep chromosome:ALNU02000000:2:1236616:1238086:1 gene:OGLUM02G01750 transcript:OGLUM02G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVRWAEVLGELAPLAVTQISNDRPDVAVEVLLLDAAAVVSPEFNPKRVLMRIIGDRFGNEV >OGLUM02G01760.1 pep chromosome:ALNU02000000:2:1238730:1238981:1 gene:OGLUM02G01760 transcript:OGLUM02G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAAAGGGAAAAGDGDSLKTAWPELVGWVELNAAFQINRDRPDVHVAFYMVGTQLPTDHDDLRVIIVSDAGTVVVRTPVVG >OGLUM02G01770.1 pep chromosome:ALNU02000000:2:1240366:1241288:1 gene:OGLUM02G01770 transcript:OGLUM02G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGGDPQVAAAEQLRRLKSSWPELVGWPEFYAALKIIDERPDVTVYMFRDGDDLPPTEHDPARVAIFVDGDIRDRA >OGLUM02G01780.1 pep chromosome:ALNU02000000:2:1242636:1243349:1 gene:OGLUM02G01780 transcript:OGLUM02G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAAAAVGGGEIVSIKTAWPEVVGWDGMSAVMKIHRDRADVRVEVHTVGESVPPGFDGERVLVFLNDDNAATVAQTPVIKMSYY >OGLUM02G01790.1 pep chromosome:ALNU02000000:2:1244208:1252051:-1 gene:OGLUM02G01790 transcript:OGLUM02G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVVTARRAVHGILVAVVVVQRLLAAGASPPADPVHCASGTARCTVTNAYAAFPDRSTCRAAAAAYPASEEELLRVVAAAAASGTKMKAATRYGHSVPKLSCPGAGDGRGLAISTSALNRVVAVDAAWMAITVESGVTLAELIDAAAGAGLALPHSPYWLGVTVGGLLSTGAHGSSVWGNGSAVHEYVTGMRIVTPAPAREGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFQHCGDGDLAERVVAFAGEHEFADILWYPGHGKAVYRIDDRVPSNTPGDGVYDFVGFRATPTLAIQAKRLVEDGLEATGNAAGKCLAASTTNSILAARNYGLTTNGQLLGAFPGTAVVGYQNRIQSSGSCLAGADDGLLTACPWDPRVEHGTFFFQSGISVPLSRAAAFIRDVQQLRDLNPDALCGVELYDGVLMRYVRASAAHLGKPEDSVDFDLTYYRSRDPATPRLHEDVVEEVEQMALRKYGGVPHWGKNQNAAFEGAAAKYGGARAAAFMRVKRAYDPEGLFSSEWSDKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVTNRTSNHALQAKKIKLTMQQLQARRRRGAPGGRWRITHGAPLLAAVAVLLCASVRFAGASPPPGPVRCASGTANCTVTNAYGAFPDRSTCRAAAAAYPSSERELLRVVAGAAASRTKMKVATRYGHSVPKLACPGDGGGGLVISTDALNRVVAVDAGRMEITVESGVTLAELIDAAAGGGLALPHSPYWLGLTVGGLLSTGAHGSSVWGKGGAVHEYVVGMRIVTPAPASEGHAKVRVLAAGDPELDAAKVSLGVLGVISQVTLKLQPMFKRSVAFRRRDDDDLAERVAAFAGEHEFADILWLPSQGKAVYRIDDRVPNTTSGDGAVYDLVVFQSSPTVAIQANRIGEDALEATANSAGKCLAGSATIARLAAGNYGVTRRGVLPPPPGAAVVGYQNRIQSSGSCLSGADDGLLTACTWDPRVRHNSFFFQSGISVPLSGAAAFIRDVQRLRDLNPDALCGLEVYYGVLLRYVRASTAHLGKPEDSVELDLTYYRSRDPAAPRLHEDAVEEIEQMALRKYGGVPHWGKNRNAAFDGAIAKYPKSGEFLKVKGSYDPEGLFSSEWSNKVLGVAGAGGVSVVRDGCALEGLCVCSEDAHCSPEKGYLCRPGRVYKEARVCRRVAGDH >OGLUM02G01800.1 pep chromosome:ALNU02000000:2:1253235:1264676:1 gene:OGLUM02G01800 transcript:OGLUM02G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPAMAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKSEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQLATICQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLRNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFDAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAEIEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIINWGDEYQISRQRRERRRATRSGDPEKL >OGLUM02G01800.2 pep chromosome:ALNU02000000:2:1253235:1264676:1 gene:OGLUM02G01800 transcript:OGLUM02G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSPAMAPPSQPPPPPPSQELDLDAFLPSSPTSSSVSEPDGDGAADHRRAVDDLLLLLSSSDSDTDEPPARPSPIAQLKTLASIRAPAPSPKPSPSPSPSPRRSTSASPSATLSSLVSRTFSNNAASSSSSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSASAPIEKLLDEGSGSEVSEEFPSAGNSEAGVAEKANAEGNADVSKEATSGSGDEELEADKHGEEVRFEEKSEPTESVEEVAADSAVAENINEHKQLGGESLAETDRPADQIGLDDEEHVDDRTADENLVEFGDVEDQVGAACEEDVDDEQESERSETSVEEHSESESIIDKAIEERLEISRKTEKIVEKRPKLSMKPLEQAEELEKRQASFGQHWEEGAAAQPMHLEGIGRGQPAIGYMQIEVDNPITRAMSSQSFGQDHGSPQVMTVHKSYIALGMSKGSVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSSVTAMCFNQQGDLLLVGYNDGHMTIWDVQKGTAAKVIYGEHTAPVVHACFIRQSKAITGDSKGLVLLHTFSIIPVINRLTIKGTQRLFDGNTGIVLSACPLLVDESFGSSNSSTQGNLTTSSGGGLSSMMGGVVGGVVGVDSGWKFFNEGSSPIEDGVVVMFIMHQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTTSSSDSPSIVEEQVSWLALAWDRQVQVAKFVKSKMIKHKEWKIDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGNELRRTVFVLDGYIFDESILYHTHFSNRFGNPEKHFNNSVAVRGATVYILGPNFLTVSRLLPWKERIEALKRAGDWMGALDMAMKLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYIHYVFEYISIALSSHTGKGGASDGLVDADRSLLTQREEQYARVGGVAVEFCVHIGRNDILFDTVFSKFVAAKSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSSKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLKDFRTPLEELLSVIQNASRKEGASTCYRMLVYLKYCFQGLAFPPGHGTIPQSRLHSVREELLQFLLEESKTSSTDVYKSFKSSSEKCPNICYLLWMDTEAALEVLKCAFAHERFEPRDNPSSTPDARVSEDGDNINIGSPDSENIMLRNVVDTIVDIVGLENEAIHSIVIGAAESEIWPSEKDFGYLIEFVSFFVSHKRAKASQRVVRHILRYLTSSNILSSDDKKTPTQKEKEVLQLFDAVPQTDWNSDYVLHICLDAHFHQACGLIYMTRKQNLPALESYMKDTLEPFHAFIFINKKLSELADYEASSFRSSVISHFPELVKLSRECAFVLVIDHFHDEIQKILSELHSDHHSLFLFLKTAIEVHLSGKLNFSELNARKNSTVELQYSSRELEFYIQRLSNLPKLLDRNPVIMTDEIVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKINLFISSVENAFSGIASKSISEIEQPDIVLKMSEAHPVLDALRAAIGLCQRNSQRLNPEESQSLWFQLLDSFSEPLKKLYGSKDVNGKGVRSNGSETSNRQPKDKGFSRKTRISAYQRCLNALRRVFSQFVGEIIEAMAGHIPLPAIMGKLLSDNRSQEFGDFKLVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGLAPQTFVCCICNCSLSKESAVSAIRVFSCGHATHLQCESEQSKSSNRDSKDGCPICLSTSNTQAQNKSPISENGLGKHFGAEIEVSHGTYHTHETDHVDRSRGLQQMSRYEILNHLQRPQSLHIETVPPLRLSPPAIYHEKIQKRTTTMGESSKHSVRTEKPQRIWQMKEPRSKRSGNRVLRIINWGDEYQISRQRRERRRATRSGDPEKL >OGLUM02G01810.1 pep chromosome:ALNU02000000:2:1265889:1268808:-1 gene:OGLUM02G01810 transcript:OGLUM02G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVLSAVARVLEQPTAWGAACEMAVLAGPLWAAALLGLLLGWAWRPRWAAGLVATADSHPAPPTFATLEFWRTQLPARIRAPLAQYVGAAGPAPPPQQEDDSSLLGSSEMANEQLAVGKDDLMNLWRLVEGRDGGPAWIKMMEKALPAMTYQAWRRDPQTGPPQYQSSTIFENANPEEVRDFFGDDQFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIARRIWKLGGAYYCVTKGVPCSSIPRRNKPRRVDVYYSSWCIRPVESRRGNSGLTACEVLLFHHEDMGIPYEIAKIGIRQGMWGCVKRIEPGLRAYQKARAAGEPLSQSALMAHINTKVGDNFVRGLESNDDQSDIVEAEEKPAKNRVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRNTL >OGLUM02G01820.1 pep chromosome:ALNU02000000:2:1273281:1275919:1 gene:OGLUM02G01820 transcript:OGLUM02G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACSSAAFLAYPGSLGAGPRPLRLFRAFAAASSSGSGSKKKARKSKGAGNKGEASGGGGGKGKEKALEPPPAVIRRAPAGSASVFQQPEPGFTPGGGKGPTEEERRQRQANENAFLLAWLGLGLIILAEGLALAASGFLPEEWDSFFVKFLYPSFTPTVILFLGGTVGYGVFKAGGQGGDRRMRRTNEVVQRGGAPAIGRRAIEADAHL >OGLUM02G01830.1 pep chromosome:ALNU02000000:2:1276563:1281207:-1 gene:OGLUM02G01830 transcript:OGLUM02G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopropyl malate isomerase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT4G13430) TAIR;Acc:AT4G13430] MASISAASPVAGKAAAFAHKNELAAAAVAPSQQQLQRRVKSAMTMTEKILARASERASLEPGENVWVDVDVLMTHDVCGPGTIGIFKREFGEDAKVWDREKVVIIPDHYIFTSDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVMGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTVESLNMEERMTLCNMVIEAGGKNGVVPADQTTFNYLEGKTSVEYEPVYSDAQARFVSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFFAAAKVFLASGKKVKVPTFLVPATQKVWMDIYSIPVPGAGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPFTAAASALTGYVTDPRDFLM >OGLUM02G01840.1 pep chromosome:ALNU02000000:2:1281519:1289822:-1 gene:OGLUM02G01840 transcript:OGLUM02G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPLEMVKPMAGGGGGTVVISMTGFLVAFWSSWVHLNRACSHKEAGNSASDDTAIRGRQRDAHSTGAASLKYTQTKLDSKSPATNIISSMRVRSRSTNPGNPNSNPSSSHRTRILTWSANSETTGEAIFLISFTMEALRNEPKGKTWKAKGTWACHLITQSGLLEDSIGASR >OGLUM02G01850.1 pep chromosome:ALNU02000000:2:1285606:1290407:1 gene:OGLUM02G01850 transcript:OGLUM02G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATETTATSPAAAAAAQPEAEAEAEAESAATPVAVAAAAAAATYQPPAPVVPVAVAGAAAGRGNGKRKRGRPRKYGPDGSLLRPLKATPISASVPDDSGGGQYTPAAAVGAVMKRGRGRPVGFVSRASPVSVAVTAATPTAAVVVSSPATHTQTPLGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVISNVTLRQQDTLGGTVTYEGRFELLSLSGSFTPTDSGGTRSRSGGMSVSLAATDGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNATKKPVIEITTVPPPPPAIGFTISSGDHMEDSYSGSHGQHRSGAAAATTTTAKANSTSAFRVENWTPPAPPAPEAARTKTPSSEAKVPVPGA >OGLUM02G01860.1 pep chromosome:ALNU02000000:2:1297143:1300721:1 gene:OGLUM02G01860 transcript:OGLUM02G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFYSTSSAYGAAASGWGYDSLKNFRQISPAVQSHLKLVYLTLCVALAASAVGAYLHVALNIGGMLTMLGCVGSIAWLFSVPVFEERKRFGILLAAALLEGASVGPLIKLAVDFDSSILVTAFVGTAIAFGCFTCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTGSFMFEVYFGLLIFLGYMVYDTQEIIERAHHGDMDYIKHALTLFTDFVAVLVRILVIMLKNASDKSEEKKRKKRS >OGLUM02G01870.1 pep chromosome:ALNU02000000:2:1302065:1304235:1 gene:OGLUM02G01870 transcript:OGLUM02G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTTTRRTSSKGAAAAPAPAPKVVAVLAGLLERAAARGDADADARGGSAAAAAATAFRGRTRPEISVRRYAERIYRYAGCSPACFVVARVYLDRLAGRSPEEESSPSPSPPATAAAAVCVDSYSVHRLLITSVMVAAKFMDDIHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPATFATYCAALEGEMAADDGPLPSPSPSPEEEGNDRRQPPPRRKDGITNKVAAAVDRSALLTAAHHRVVVVEITQ >OGLUM02G01880.1 pep chromosome:ALNU02000000:2:1305312:1315240:1 gene:OGLUM02G01880 transcript:OGLUM02G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >OGLUM02G01880.2 pep chromosome:ALNU02000000:2:1305312:1315240:1 gene:OGLUM02G01880 transcript:OGLUM02G01880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >OGLUM02G01880.3 pep chromosome:ALNU02000000:2:1306695:1315240:1 gene:OGLUM02G01880 transcript:OGLUM02G01880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHIARRAVEVMEKRLVKDEFPEYYDGKAGRYVGKQARKFQTWSVAGYLVAKMLLDDPSNLRAVSLADDSHIRSAPVLKRSNSFP >OGLUM02G01880.4 pep chromosome:ALNU02000000:2:1310113:1320086:1 gene:OGLUM02G01880 transcript:OGLUM02G01880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYADPPPPIKPPEIKIKELANKSTYESSMMEQKTRLHAIERHRSCEVSQAILSEVENRHQHQTLEPIKSPISGCSPSVESTTDTNTVHRHTVADAAWEALKKSIVHFRGQPIGTVAAIDKSQGALNYDQVFMRDFVPSALAFLMKGEPTIVKNFLLETARLQLREKMVDLFKLGQGVMPASFKVHHCNSKHKTESLLADFGETAIGRVAPVDSGLWWIILLRAYTIWTRDNSLAESPECQRAMRLILKLCLSEGFDTSPALLCADGCSMIDRRMGIYGYPIDIQALFFMALRCAVTLLKEDHNDDFVYQISRRIKALSYHLHSYYWLDFQRLNEIYRYKTEEYSETALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLTTGEQAEAILDLVEERWEELIGEMPMKVCYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPGKLVHLQLFVSCG >OGLUM02G01890.1 pep chromosome:ALNU02000000:2:1317874:1321872:-1 gene:OGLUM02G01890 transcript:OGLUM02G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAVGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATSSNGSVADGNAASLLSGALGFFGLVLDIHNLGVLQMDLNSPNRFMHSRNPRLCFLATGGVYLKPQELNGLFQYLAAVFATDLHSRTFLHLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQLR >OGLUM02G01890.2 pep chromosome:ALNU02000000:2:1318553:1321872:-1 gene:OGLUM02G01890 transcript:OGLUM02G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MASSKLYSDDVSLAVVVVDTNPLFWATAALPFADFFAHLIHYVNSLLLLNHLNHVVVIAVGLSSCTYIFDSSDASASGAADVAATFAKASRKMDEFIAEDARATSSNGSVADGNAASLLSGALGFFGLVLDIHNLGVLQMDLNSPNRFMHSRNPRLCFLATGGVYLKPQELNGLFQYLAAVFATDLHSRTFLHLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKYHKKCSTCGSEFNRVMPDLNSVPDQRQ >OGLUM02G01890.3 pep chromosome:ALNU02000000:2:1321880:1322310:-1 gene:OGLUM02G01890 transcript:OGLUM02G01890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:basal transcription factor complex subunit-related [Source:Projected from Arabidopsis thaliana (AT1G18340) TAIR;Acc:AT1G18340] MTVDEGLGVTEDGKGSTGTTEGEPSTEGPTFLPWADATSAPSSLAAPKRSRNSAEFAASASASASRRRQGNRSRRRRRRRRIGPTSASVRACPALPRRR >OGLUM02G01900.1 pep chromosome:ALNU02000000:2:1323476:1323772:1 gene:OGLUM02G01900 transcript:OGLUM02G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLRRAAVLRRAVSFAAPSPAVRRAARLPIAPRRPFSQPSAASGDQPPKSALDKAFPLA >OGLUM02G01910.1 pep chromosome:ALNU02000000:2:1329109:1332301:1 gene:OGLUM02G01910 transcript:OGLUM02G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPWELNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLFDI >OGLUM02G01910.2 pep chromosome:ALNU02000000:2:1329109:1332112:1 gene:OGLUM02G01910 transcript:OGLUM02G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPWELNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPASKIRRAMSSSSLLRSSGAVVRRALSAASSSSGHQHGRPSFRRAISAAATASARRGLAPPPTLPCRNLTAEHVKLHRLVHSSDRGYFERISVVKCCEGTPYIVVCSRADVQAMDTSRYLQ >OGLUM02G01910.3 pep chromosome:ALNU02000000:2:1329109:1332301:1 gene:OGLUM02G01910 transcript:OGLUM02G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPWELNMALDLKVSFLKRKKPLGLLGDSGGGEAPAAEVLRRRRVPVPGFRSKQNQEGHVVVVSAALVGRRRPSRSLRCLLLLGTSTRAPFLPPCHLSGSHRVRPPRPCAAPNPAVPQPHSVFFLFDI >OGLUM02G01920.1 pep chromosome:ALNU02000000:2:1332883:1335776:1 gene:OGLUM02G01920 transcript:OGLUM02G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLRLRLRPSAVLRTLSAPPYSSSATHSSKKVNEPLDMKNIDLALDKTIADLSEGGNASVSRRGALLI >OGLUM02G01930.1 pep chromosome:ALNU02000000:2:1337514:1339564:1 gene:OGLUM02G01930 transcript:OGLUM02G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGFMGQAESRHTFWPNSIAGSHMAARCRGEEAKLDCDLVARSTMAAMRRLAAASLTPPRIPRLLRFRPSDRAPPAFLTWSTPHRRPRPHAADPTPIYLPAIEKIEKIKTVSSLTKDALKVLQEAGDKAKTHLRIMHDQYIEEVPIMEGLMQNGWLHFVCYIVPVSLPSFVLVVGTRVVNIINERSHTHLEEGIKIPFQDLENK >OGLUM02G01940.1 pep chromosome:ALNU02000000:2:1341156:1343904:-1 gene:OGLUM02G01940 transcript:OGLUM02G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-9 [Source:Projected from Arabidopsis thaliana (AT5G62250) TAIR;Acc:AT5G62250] MVSLKLRAILFPFQMEPRREMLLQELGEMWDEIGETEEDRREMLHALEEDCLNVYRVKVAQVKQYRAQLQREIADSVAEVAAICATIGEPSTTVHIACSSLQSTGNLKEELGSITPELEEMRRRREERRRKFSEVTELINRIEQEMKPSKQLHLTMDNSDLTIRRLEELRAYLQDLQLEKDSRVRKMTELMGSLHSSSLVLGMDFRETNLHHDDEGDISDDAIARLVSEIGRLREIKRNRMQKLQDLLATMLDLWNLMDTPSEEQKRFQSVACNIAASEDEITERDALSMEFINNVEAEVVRLERLKECRMKDLVLKKYDELNEIRRRAHVPVENEDDAMMMFDAIDSDFRVCMTHCFADAKRSLILERLEVQISEAKDEEFSRKDVLEKMEKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALITKVVAWEKERGAKFEYDGDGLLDMLEEYNNTRKEKEQERKRQRDQRRMLGQGTGESPVVRPPPKNIKNVTRTLSMGGSSTGGKKASASVSSRPSTPSFLKSPMSARRSDEGQMLLSRAVEEDDLL >OGLUM02G01960.1 pep chromosome:ALNU02000000:2:1349426:1356001:1 gene:OGLUM02G01960 transcript:OGLUM02G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFSSHTATATADGGSGKRQQRKGDHKGKVPDGGGGEKEKEAARVEFGYERDFEGRYQVGRLLGHGQFGYTFAATDRASGDRVAVKRIDKAKMVRPVAVEDVKREVKILKELKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWNKTEDGIFREVLRNKPDFRKKPWPGISSGAKDFVKKLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLKEEELADLKDQFDAIDVDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFEEFVAATLHIHQMAELDSERWGLRCQAAFSKFDLDGDGYITPDELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNLPSPRGPPNPQPL >OGLUM02G01960.2 pep chromosome:ALNU02000000:2:1355721:1357436:1 gene:OGLUM02G01960 transcript:OGLUM02G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYATQFVLLSEYAIGARISFVPCHSVSSVRSAVVFSARRHRRQSTTARAGVRSSPASSIVVGVVVHGVVWARREASGRARREC >OGLUM02G01970.1 pep chromosome:ALNU02000000:2:1357849:1358049:1 gene:OGLUM02G01970 transcript:OGLUM02G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADDDGRWTSTMDADEDGRCRRRTDTGVGGGGLTTATPDGEDDGATARRDGVARNEGNSRSDGVF >OGLUM02G01980.1 pep chromosome:ALNU02000000:2:1358135:1362573:1 gene:OGLUM02G01980 transcript:OGLUM02G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPRPHLAPNPAAIIHTALLKSSPASLPPRLSFNSLLAAAASSPHPRLRTLVLPALALAHRCPAAAGPLDSYVLCSALRHASAPEAEPLHAHAARSGWLGSVFVSCAIAAAYGGSGRFLDARRLFDESPVRNAVFGNAVLAGYVNAGKWAPLLAFARRFSELRLKADGYTMTAVVRACGELADADLGRQAHGHAIRRVGAVESDVFLTSALVDMYAKCGLVSHAKRVFDLAQQMNAVGIDVVLWTALLNAYGRHGMCKEVIQIYDRMVASGVRPDELTILAVLSACQHAGEVVKGLHYFESIHEDYGLTPTPDHYSCVVSMLCRAGMVIKAWEIVTSKGCGGEFGISTWVALLSACCDCGNVELGRMAAQRAIELEPNNGTIYVELSNLYARAGLWGEIDQLRELMKDNGLEKDAGLTWVELSS >OGLUM02G01980.2 pep chromosome:ALNU02000000:2:1361060:1362799:1 gene:OGLUM02G01980 transcript:OGLUM02G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAFSAFGLPGWSSIPTGQVYDQYFKDKKTDSFEAFHVAYVEFCKDFNTVLPGQDFDTPSLEKIQKFYDDTWKLIKEDQEKKKAFMEYIRANVKEATVDDSLFIMAGLAAPAGAIVLKRTGQSFPQLKRLDLLPNVLFVPLFTLAAIMGATAVQMKQRSRHT >OGLUM02G01990.1 pep chromosome:ALNU02000000:2:1363204:1364809:-1 gene:OGLUM02G01990 transcript:OGLUM02G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVQRIMTQPINLIFRFLQSVRALPSSQFFLLFLCPCCLCAFLDFSFRLLSSEQKARIQIWLFEQKDLRIEGRIIMYVNSVLYVVFIHNISWDRTDDKTVEDLILLTRELLFFTTTIIVVSVTLHVRAKGFDEYMNLVLDEAEEINIKKDTRKSLGRILLKGDNITLMMNTGK >OGLUM02G02000.1 pep chromosome:ALNU02000000:2:1366426:1366883:-1 gene:OGLUM02G02000 transcript:OGLUM02G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLGCHAGGALGGAGERPGDVGGGSNSSPNSSPGGAGGVGANPEGGVSASPDGGADAGVGPGPSPNPDTEVVGAVEAAQRLMASLTSCSLSSSDWISNSMDFQEERSLGEEAAEAEAASAAEEERAARRA >OGLUM02G02010.1 pep chromosome:ALNU02000000:2:1370322:1374204:1 gene:OGLUM02G02010 transcript:OGLUM02G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKMNRMAVAALFLVAVVVAGGAGGSAHVGVGAGAGADAGVGAAVGAGADAALGVGXAWEYLCLARRLRARRPEPVLRLGLALLNDSSARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSKQFPGSMRVGRLEALLFEAKGEWTDAERAYALILENNPFDQIVHKRKIAIAKAQGDMALAVEYLNKYLELYKQAAFCYEELILAQPTVPLYHLAYAEVLYTMGGLENLQTARKYYASTIQLTGGKNTRALFGACLCSSAINQLTKGRNKEEESSELQSLAAEALLKDYKQRAPSKEALITSLLKNMKLS >OGLUM02G02020.1 pep chromosome:ALNU02000000:2:1377070:1380701:1 gene:OGLUM02G02020 transcript:OGLUM02G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPVIRGLLGAIKRKKSKDTIILSAVIAACTIFLIIYWLSK >OGLUM02G02030.1 pep chromosome:ALNU02000000:2:1379561:1383334:-1 gene:OGLUM02G02030 transcript:OGLUM02G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLP2] MAAAVATATTPAAAHLHHHHRHHRLPLLPSQPRPRPTLRLRLLIPTPPPLRRLLRRSPLLAAAAVSADGGGGGGGGGEEAERKREKSRQLQKRVLVGVAIGVGAGGVVVAGGWVFAAAVAAAVLAGAREYFGLVRGTAGGGGTPPPRFVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTKIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSSVLHWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGMLDRVDSYVFTGALCYSFIKVALPLFGV >OGLUM02G02030.2 pep chromosome:ALNU02000000:2:1379561:1383334:-1 gene:OGLUM02G02030 transcript:OGLUM02G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLP2] MAAAVATATTPAAAHLHHHHRHHRLPLLPSQPRPRPTLRLRLLIPTPPPLRRLLRRSPLLAAAAVSADGGGGGGGGGEEAERKREKSRQLQKRVLVGVAIGVGAGGVVVAGGWVFAAAVAAAVLAGAREYFGLVRGTAGGGGTPPPRFVSRVCSAICALMPILTLYYGHMDVTVTFSAFLIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTICVLPEIAYSWPILLGGQAHWTVGLVATLISISSIIAADTSAFLCGRAFGRTPLTDISPKKTLEGALAGLTGCVLTTVLLSSVLHWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGMLDRVDSYVFTGALCYSFIKVALPLFGV >OGLUM02G02040.1 pep chromosome:ALNU02000000:2:1400286:1400507:1 gene:OGLUM02G02040 transcript:OGLUM02G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQMSRTAVVALLLVAVVVAAAYVPAATAYGCFDDCYERCANGKTDDACNSMCKQACSGAGGGPAEAPDDA >OGLUM02G02050.1 pep chromosome:ALNU02000000:2:1402871:1405795:1 gene:OGLUM02G02050 transcript:OGLUM02G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71210) TAIR;Acc:AT1G71210] MDATREAAALDALPLSEQLVLAVLRHRPRHLPDDDALLLLRLRFFDWSGSRGRYSHTRAVYHAVFRLLSRSRRSAVVVDWLRLFSNANATPARSRFHDTLVVGYAVAGDPQRGLSILGRMRFRGLDLDAFSSHILLNSLVEASLHEYADSFARHLAASPVATCIRIKSLCRQARSRDAVALLDTLPFDQASSAPAAGSIITDLCRRGRFDDAAQIVDRFPSADVYGSWIHGLVEAGRLDTTLQFLSEKKEAEGYIPDGPRYDKLTYRLLRSNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAAHLYRSRMELGVNPNKDVYNNLIRALCRVGDTEEACLVLEQAIAEGYFPGRQTFTMFANVLCQEGKLDRVRVLLDRALKQEACPTDSVLAKYLVALCKSGDVEAACAVPQMAGSKSPKGLYRYESTYKSLIRALVLIRRVDVLPRLLLEMQDMGHIPSRSLYQSVVCALCEVSRYAEVLELLNNQLQRTDLHPRVCYNYFIAGAGHAKKADMAREVYNQMEYSGLEPSGDSNVLLLMSYLRSKRIGDALNFFNFIRDKKTPGTKLYNVFISGLCEAQKPEQAMVFWREAREKGLVPSISCYEQLVLLLCSVKDYDNVVKVIDDFKETGRPVSAFLCNVLLLHTLRGSDLLKAWKRSEQATVKPEEIQGGIRNMNDLEDLEEDLEKHFPVDVYTYNMLLRGLSMVGRMDSACNLFERLCRKGYEPNRWTFDIMVHGFCKNGDRDEAERWMEAMHRNGFYPTWYTMRIYNNLALRSTDHKVILFV >OGLUM02G02060.1 pep chromosome:ALNU02000000:2:1406062:1410964:-1 gene:OGLUM02G02060 transcript:OGLUM02G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAAPSPLAARSRGLLRRPPRSAPVVVRCKKIDQLRAVNGLPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSWNSNATAN >OGLUM02G02060.2 pep chromosome:ALNU02000000:2:1406062:1410964:-1 gene:OGLUM02G02060 transcript:OGLUM02G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSYSAAAAAAAPSPLAARSRGLLRRPPRSAPVVVRCKKIDQLRAVNGLPPYAPVSNRSLLSPVTLPIIRDANIKNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEDKSFPQLTILSVANLLGETIWRVHDDCSWNSNATAN >OGLUM02G02070.1 pep chromosome:ALNU02000000:2:1413083:1414665:1 gene:OGLUM02G02070 transcript:OGLUM02G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLP7] MAGRRLLVAAAVVAAAAAAVAAAALEAINVTTVAFEEGYTPLFGFDNILRSADDRTVSLLLDRSTGSGFMSSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVIEKRHDELDFEFLGNIRGKPWRVQTNVYGNGSVSRGREERYLLPFDPTTEFHRYSILWTRAAIVFFVDDVPIREVRRTPAMTGDFPSKPMSIYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRVGDPIGQMLSSAACAAAEDALLASDLAVMTLEKQQAMRRFREQNMVYSYCYDTLRYPAPFPECDVVESERRRFKGSGHLRLAFRRRRRTRPGSRPARPTRAADM >OGLUM02G02080.1 pep chromosome:ALNU02000000:2:1415618:1418269:1 gene:OGLUM02G02080 transcript:OGLUM02G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWELTAITAYFLGLRRTYRLALRIQRRLIGPNHPRIRHFVYRRTRDVFNVAVSVHKNIQQRDLEVGRNLGNMILRWLDRMKPSAQIRPHPPGPPNGSSEQFRHLSSTSKSTGAQKPTSKTLPRDGGKVLFSPLNIRPKSFPVLPTMMQPTRISASSQCRRISYSSFPSATAKRNDFMQGVFRKDIAQLMV >OGLUM02G02090.1 pep chromosome:ALNU02000000:2:1418773:1419306:1 gene:OGLUM02G02090 transcript:OGLUM02G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMITSMLGRKQNAQQKGGGGGGRTGGGGGGEIEPVSVDIMEPFMDAISLTAFAAAPSAAAAAVGVPSTASMDWKETAAAHVFMADMPGVRREEVRVEVEEEKVLRISGQRARAAEEKGERWHRVERSSERFVRTVRLPPNANTDGVHAALDNGVLTITIPKDNDRKPHARIIPITN >OGLUM02G02100.1 pep chromosome:ALNU02000000:2:1421385:1424711:1 gene:OGLUM02G02100 transcript:OGLUM02G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRRIAGMFGISRDDADHHPHHHHHDGAAGDSAAAAEVPQDKVAAAAAAAAAAGNVQRRGFSVQVPVPVERPGPGPVLVPCPQGDGGVQGFRWYTRKLRIDEDGDVADEFLDEVVPEISINNDATPAGRYQVKYNTKSAALAMRKQISVIDGDICHSLEYQGRLRWV >OGLUM02G02110.1 pep chromosome:ALNU02000000:2:1425321:1429802:1 gene:OGLUM02G02110 transcript:OGLUM02G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNDPGTPSKATKASEPEQSPATTSGTTAPVYPEWPGFQAYSAIPPHGFFPPPVAASPQAHPYMWGAQGVHPFNHYPMLANGNVETAGTAPGASEINGKNELGRTSGPSANGITSHSESGSESESEGSDANSQNDSHSKENDVKEDGSSQNGISHTALNQNMSMAPTQTGVVIGGVAPTTNLNIGMDYWGAAGSSPVPAMHGKASSGSVRGEQWDERELKKQKRKQSNRESARRSRLRKQNIMDSLIEAPKYLFQAECEELSVRADNLRAENSSLRAELERIKKEYEALLSHNASLKEKLEGNSDSIPYMNEQNDTNGTHQKQQDSDAQPNDAP >OGLUM02G02120.1 pep chromosome:ALNU02000000:2:1430226:1436469:-1 gene:OGLUM02G02120 transcript:OGLUM02G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPPDPISYLPPPSTSSYPSSSAAAAAALPLSYITPGPHVFSAASASASPVHFLPPRELSQHPQGFDVGLRGFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSSNKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGSKHKEIKTVGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQQAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTSLSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKS >OGLUM02G02120.2 pep chromosome:ALNU02000000:2:1430226:1436469:-1 gene:OGLUM02G02120 transcript:OGLUM02G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLDDEWDDDKFLAELFRAQDEAVASRNPNPTPPPPPPPPDPISYLPPPSTSSYPSSSAAAAAALPLSYITPGPHVFSAASASASPVHFLPPRELSQHPQGFDVGLRGFSPPRELSQRPAAEVSSREIVAVSSGIAGADRFRGGGGGGARRERDAREAADRREVERLKRELNRVSKQMNDVKNECSELKKDRTRKDLEIKAKEAEIQSLRRANVGSSNKYAGSMAMDIDQSVHAPANGALHTGDSCLASTRRAETLNGRNKELSSPQDGLCLNQRNQTYASEVLEESVRFESKGSKHKEIKTVGVQTDLPGNNEYLEHKKVLVDRISSNLCAVWGMPTNSLMGRSLISKILVSCSEEILTLVQSTGSLDKCEASSEASSSVRNAISQVYDIIIKTSSDTIPIQTLLEALLNLAAVGNDAVVSRALRMLHSVLQHLLNNRTMSNQRNNVSIEPCVNNTVHMERNSHKGSSTSLNTPDASSLSRSHDGLHTENTQLPFTVWTSLFTAMLQIADRHSEENIRVDALSIMIIIARTSDPKVEREKFGFTSVMEKLHQLLQKENGLLVKKHSVDLLFLLLNCPTTLKLLCNGGKDSPEQIEAIRCENDRSQQAISSIFKDLSECLSCRATSSLGIKLCRVVVTLLAYIASSGKLGYEVLLGPVTVRGANFLELIMEVLASQMEYDTSLSNGEHELLKERYLLMREVLILLNRLASHANFSKPTLEVLTSSKLCATLTIDVANQLPQRSKYPLRHLGEINIQMANDLAELAQKFRTRVHSFLEEQHSTVDHSNPSALHKS >OGLUM02G02130.1 pep chromosome:ALNU02000000:2:1437606:1442946:-1 gene:OGLUM02G02130 transcript:OGLUM02G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEANDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTAIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >OGLUM02G02130.2 pep chromosome:ALNU02000000:2:1437606:1442946:-1 gene:OGLUM02G02130 transcript:OGLUM02G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEASGFCASIFLVLSDPEKRAIYDRYGEEGLKGMPPPGSQSRTSAAAGSSGPSNFRYNPSDPDDFFAEFMASNKPYSFDQERTRFQPRSQWTAGNTRGEASSASHKESSTSTSQLEKPPAIEKTLLCTLEELYNGTKRKMKITRNVANTDGKVEIETEVLPVEVLPGWKKGTKITFPNKGDRLSGQLPQDLTFVIDLKPHDVYLLEGNNLVATQVIPLVDALAGTAIHLKTLDGRNLPIRVEEVVRPGHEIVLANEGWPIRKEPGKKGNLKIKFDVTFPTRLSSSQRAAIRQIMGG >OGLUM02G02140.1 pep chromosome:ALNU02000000:2:1444290:1448656:1 gene:OGLUM02G02140 transcript:OGLUM02G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALRRLFDAFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRPLWKMYLSNSDALIYVVDSLDRERIIDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSPDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQETGHATSRCQCLN >OGLUM02G02150.1 pep chromosome:ALNU02000000:2:1449790:1453734:-1 gene:OGLUM02G02150 transcript:OGLUM02G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLQ8] MAFRTSGLCHRLSGPTSRQASPRPNWTTAISGPPRPLSLSHTPPHPTPYTASIDPPTPDSWAPLGHRPIRSTVHRASGEYSLSTPLCYCVCVVISLLSDSRRRRRRRRLRSPVFPNVKEAFAMAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >OGLUM02G02150.2 pep chromosome:ALNU02000000:2:1449790:1453734:-1 gene:OGLUM02G02150 transcript:OGLUM02G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLQ8] MAFRTSGLCHRLSGPTSRQASPRPNWTTAISGPPRPLSLSHTPPHPTPYTASIDPPTPDSWAPLGHRPIRSTVHRASGEYSLSTPLCYCVCVVISLLSDSRRRRRRRRLRSPVFPNVKEAFAMAPGSSIVTDIPESDCVSDGLSEALTGIRLDGDSTCKPWSTSLVTVELSSLTGLNDLLECPVCTNSMRPPILQCPNGHTICSNCKHRVENHCPTCRQELGNIRCLALEKVAESLQLPCKYQSLGCAEIHPYQNKLKHEELCRFRPYSCPYAGSECLIAGDVPMLVSHLINDHKVDLHEGCTFNHRYVKSNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEDSEARNFCYSLEVGGNGRKLTWQGIPRSIRDSHKKVRDSFDGLIIHRNMALFFSGGNRQELKLRVTGRIWKEQ >OGLUM02G02160.1 pep chromosome:ALNU02000000:2:1491392:1492195:1 gene:OGLUM02G02160 transcript:OGLUM02G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPGSLSGPPAATAEMKICKLVVMSRDLVAAIVSTSGGGGGRAVALCRPGTSPSWSAHHPPGGADHQLGDLRDIAVHGGKLYALHGHGNLCSYDLIAGDGEPKVSSCVHHIAGDALPPNKLPEEHDAGHHLVPSATGGELLLVRHLYSRFLGRHFTVFVADVGGARWSEAAASSLRDQLIFVGTGCSRALTASHYGGVGAMRGNRVFYTNDVEAYSSTHSYLVYDMIMRSNGPVFPIYDDDYLHEGKRRYRYEDTRYLSWFFPSSV >OGLUM02G02170.1 pep chromosome:ALNU02000000:2:1509887:1510141:1 gene:OGLUM02G02170 transcript:OGLUM02G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTQNVFDNAYYRNLLAQRGLLHSDQVLFNGGSQDALVQQYSSNPALFAADFAAAMIKMGNINPLTGAAGQIRRSCRAVNSS >OGLUM02G02180.1 pep chromosome:ALNU02000000:2:1530197:1530821:-1 gene:OGLUM02G02180 transcript:OGLUM02G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRISRGNITESVSSSSCSARKEGGAGAHRRGVPREGAEGGARRGSRMARELASKCLAAALFFLLLLLSCSPSLSRLSLFLPGWRETEPLLSQT >OGLUM02G02180.2 pep chromosome:ALNU02000000:2:1530826:1531105:-1 gene:OGLUM02G02180 transcript:OGLUM02G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPTQPTLSIHSQSYPVTLNPISLSTGAQRAAAPADWDSVTFPRDILGDIESLGRDSMSPRTTQ >OGLUM02G02190.1 pep chromosome:ALNU02000000:2:1531525:1531779:1 gene:OGLUM02G02190 transcript:OGLUM02G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQTQNVFDNAYYRNLLAQRGLLHSDQVLFNGGSQDALVQQYSSNPALFAADFAAAMIKMGNINPLTGAAGQIRRSCRAVNSS >OGLUM02G02210.1 pep chromosome:ALNU02000000:2:1560477:1579829:-1 gene:OGLUM02G02210 transcript:OGLUM02G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAVAGTAWAWTEAVVEPTDLAWVRPNLGLARVAPAIHRIKREGMVMLASEKGNSTTAGGDPTATGGDATGGDPTVTGSRIHHSREGTQMRVVVVGSVLSLPVAVRRERRSLELPVAVELGDVATVSPSSFPPVGPVARDVVVKPGDLFRISSARRGWMRWEKGRGRSVLLRAEALTGRWVLSPAADDYWYELRGLSHAAALTLVSFVPDSPLPSAAPFTLRVQPATKTAPPFQRIFMLRVAMGRPRHEDRLLFVGIVGAKISASGNPPASSSGQRYLRGTEGFYEDVNDRELGLNLKPQAWKGFLAQLRH >OGLUM02G02230.1 pep chromosome:ALNU02000000:2:1568166:1568531:1 gene:OGLUM02G02230 transcript:OGLUM02G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRARRRLPSIQVQSTMKMGAEGKQEENSSNLEQRLRLLCRQSCPSSMYPGGMDFGAAEVPLAGRRCRWVARGGDLRANDADEEESVFVAGTTHGNAQHEDSLERRGGLRGGLNAQREGRG >OGLUM02G02250.1 pep chromosome:ALNU02000000:2:1591261:1593734:-1 gene:OGLUM02G02250 transcript:OGLUM02G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMLHAILLLAVAATATSASAATAPAAARNSTSNCTVPLPDAGIVFAAFKNVTNFPLPRRPSCRPVRRLAYPSRNLAGVVGWAALGNLSGLLTVDLSGNSLEGDDGFGGGALWRAPLLRSVDVSRNRLGGALRLGASARMASLNASRNGFTSVVGVDGLAAGLVVLDVSGNRIAAVPEGLRRLTRVRRLDMSRNSMAGRFPDDLPPLDGVEFLDISDNNFSGVVNSTWVTKFGRSAFLRAGNASSLVIEDNPPASAPAPAPATMTPPSGRKKHKRVVLIVVVVVCGVVAVSAAVAFMAGCVACGFNRRKKGGKKAAAAAWEDDEVAVGAVKVAATAPVVLVERPLMELTLADLAAATSGFGRESQLADVGGRSGAAYRAVLPGDLHVVVRVVDGAVAGVGGDDDGDVAAAAAGLRELARLRHPNILPLLGYCIAGKEKLLLYEYIEKGNLYRWLHELPASSMDMEETGADMWDTTEQNKKSIDDWPTRYHIILGIARGLAFLHQGWAGSSGRPIVHGNLVPTNVLLGDDLEPRISDYIHPMDSNNGEVAPESDVYSFGVLVFELVTGQVRWDDSTVSWARGVIRNRKSLNIVDARLREEEEGGIGGAAKTTMTVAEREMVECLQVGFLCTAHSPEKRPSMQQVVGVLKDIRPAPPPAGGAGETP >OGLUM02G02260.1 pep chromosome:ALNU02000000:2:1603498:1607744:1 gene:OGLUM02G02260 transcript:OGLUM02G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEVLVEIVEDDELKRSIGRNQLDLNEEVMDVESEEGEVGDDEDDEDEEDDDDDDDGGSTTDVAGSRSSSNNSSTNNVSESKLKGDKDSGGGRLEGINGGEQRVPSVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHEGRQIRGAIASVFSPMDFHLMRGDLRFHDMLLQRAAALSSSRQEHGGFFSSRSGGGGGGLPPEASRLYGLLQHRQSPAMATMQTFDFKNSSFRNQEWSFSFNHQRKETMNPSSSSSTTAAIRRWPSAAGAVADVAGERQRLAERFGYYTGNGGASSTSLPLITRAAMAAPPPMFAAAVAPPGRDHHRLPFGWHAGGGGGGNKNRSSSDPVVIDEALDSRRLEHQQKHVEQPRTTLTTTTTPAGKRPPEWSPPDLQLTLSPTTAPAAADGGGAKRSKTSTTTTNTTAAISGEQEAEKNMDRCKNKLSISLSLSPPAAAAAAANFSSMDLSMEQQKQKQEKTTIGSSEEEAGDLGQSTLDLTMSIRALE >OGLUM02G02270.1 pep chromosome:ALNU02000000:2:1611090:1623341:1 gene:OGLUM02G02270 transcript:OGLUM02G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNIRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >OGLUM02G02270.2 pep chromosome:ALNU02000000:2:1613494:1623341:1 gene:OGLUM02G02270 transcript:OGLUM02G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNIRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKGSLEKFSVVSGMEQT >OGLUM02G02270.3 pep chromosome:ALNU02000000:2:1611090:1623341:1 gene:OGLUM02G02270 transcript:OGLUM02G02270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRSDGASTSNIRRGRRRSISFTPEIGDDIVSAVRAMSERMRENRLSRGQNDGSPGQLNDSQKNESPDDFNDNEVHVRGPDEQEGSRRQVSNQKAVSLPSSPHRLRSDGSGLRGPAEFLTADLMSTWNKVLRSSPFLNKPLLPFEEWHIEFSEITVGTRVGIGFFGEVFRGIWNGTDVAIKLFLEQDLTTENMEDFCNEISILRGLMCMHRLKIVHRDLKSANCLVNKHWAVKLCDFGLSRVMSNSAMNDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGIPSVQVVYNVANEGARLEIPDGPLGSLIADCWAEPDKRPGCQEILTRLLDCEYTLC >OGLUM02G02270.4 pep chromosome:ALNU02000000:2:1613494:1623341:1 gene:OGLUM02G02270 transcript:OGLUM02G02270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLPDDQGQSDAHPSDPNWWSSHAEHKLGSISLTKQERNSGSPGSSHCGEGDHSLWAAQTLWCTGCLSSPIPNGFYSIIPDKKLKERFDTIPSPDDLYSLGIEGFKAEIILVDLEKDKKLSAIKQLCAALVKGLKSNPAAMIKKIAGLVSDFYKRPNPQLSPARTSSEEISHFMENRGVQLLGQIRHGSCRPRAILFKVLADAVGMDCKLLVGIPNEEYHEYDDSSKHMSVVVMLKSVEFLVDLMRFPGQLVPFSSKAIITSHISAAGESDSADYDSCDSPLEPNSPLCSQRQEQDDNNRSFKVPSLRNIMLKSTNSMEGKLRCSSHSEPNVANSFCGRSRRKVVEEHQRTASSSPEHPLSRTRGRSMLGDRQHGDGVAVSRYLSQMAHQHLISVEDGEEV >OGLUM02G02280.1 pep chromosome:ALNU02000000:2:1624169:1631417:-1 gene:OGLUM02G02280 transcript:OGLUM02G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFASTTAEDHRPHVVLLASPGAGHLIPMAELARRLADHHGVAATLVTLAGLSDPATDAAVLSSLPASVATAVLPPPADVGGCLMYEVMRRFVPQLRALVVGIGSTTAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDDAAAGEYRDLPDPLVLPGCAPLRHDEIPDGFQDCADPNYAYVLEEGRRYGGADGFLVNSFPEMEPGAAEAFRRDAENGAFPPVYLVGPFVRPNSNEDPDESACLEWLDRQPAGSVVYVSAGVPRRAVRPAKDADESACLEWLDRQPAESVVYVSFGSGGALSVEQTRDLAAGLEMSGHRFLWVVRMPRTGGLLSSMGASYGNPMDFLPEGFVERTNGRGLAVASWAPQVRVLAHPATAAFVSHCGWNSALESVSSGVPMIAWPLHAEQKMNAAILKEVAGVALPLRPVARGGVVSREEVAAAVKELMDPGEKGSAARRRARELQAAVAARAWSPDGASRRALEEVAGKWKNAVHEDLSSTHNTQSHTTPSYRQLMEPFASTTAEDHRPHVVLLASPGAGHLIPMAELARRLADHHGVAATLVTLAGLSDPATDAAVLSSLPASVATAVLPPPADVGGCLMYEVMRRFVPQLRALVVGIGSTTAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDDAAAGEYRDLPDPLVLPGCAPLRHDEIPDGFQDCADPNYAYVLEEGRRYGGADGFLVNSFPEMEPGAAEAFRRDAENGAFPPVYLVGPFVRPNSNEDPDESACLEWLDRQPAGSVVYVSAGVPRRAVRPAKDADESACLEWLDRQPAESVVYVSFGSGGALSVEQTRELAAGLEMSGHNFLWVVRMPSTGRLPYSMGAGHGNPMDFLPEGFVERTSGRGLAVASWAPQVRVLAHPATAEFVSHCGWNSTLESVSSGVPMIAWPLYAEQKMNTVILTEVAGVALRPVARGGDGGVVSREEVAAAVKELMNPGEKGSAVRRRARELQEAAVARAWLPDGASHRALEEVAGKWKNAVHEDR >OGLUM02G02290.1 pep chromosome:ALNU02000000:2:1647453:1651414:-1 gene:OGLUM02G02290 transcript:OGLUM02G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATGATDTSLPPPPPHVVLMASPGAGHLIPLAELARRLVSDHGFAVTVVTIASLSDPATDAAVLSSLPASVATAVLPPVALDDLPADIGFGSVMFELVRRSVPHLRPLVVGSPAAAIVCDFFGTPALALAAELGVPGYVFFPTSISFISVVRSVVELHDGAAAGEYRDLPDPLVLPGCAPLRHGDIPDGFRDSADPVYAYVLEEGRRYGGADGFLARSDTRTGLLFSLRSSHICD >OGLUM02G02300.1 pep chromosome:ALNU02000000:2:1669333:1670820:1 gene:OGLUM02G02300 transcript:OGLUM02G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLS4] MDPSPRPSPHVVLVASPCAGHVMPMAELARRLVAFHGCAATLVTFSGLAASLDAHSAAVLASLPASSVAAVTLPEVTLDDVPADANFGTLIFELVRRSLPNLRQFLRSIGGGVAALVPDFFCGVVLDLAVELGVPGYVFVPSNTASLAFMRRFVEVHDGAAPGEYRDLPDPLRLAGDVTIRVADMPDGYLDRSNPVFWQLLEEVRRYRRADGFLVNSFAEMESTIVEEFKTAAEQGAFPPVYPVGPFVRPCSDEAGELACLEWLDRQPAGSVVFVSFGSAGMLSVEQTRELAAGLEMSGHRFLWVVRMPTHDGESYDFATDHRNDDEEDRDGGGHDDDPLAWLPDGFLERTSGRGLAVASWAPQVRVLSHPATAAFVSHCGWNSALESVSAGVPMVAWPLYAEQKVNAVILTEVAGVALRPAAARGGVDGVVTREEVAAAVEELMDPGEKGSAARRRAREMQAAAARARSPGGASHRELDEVAGKWKQTNRAPYE >OGLUM02G02310.1 pep chromosome:ALNU02000000:2:1674940:1676932:1 gene:OGLUM02G02310 transcript:OGLUM02G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRFSICFCVLLLCHGSMAQIFSLGINPWQNPRQGGSRECRFDRLQAFEPLRKVRHEAGVTEYFDEKNEQFQCTGTLVIRRIIEPQGLLLPRYSNTPGLVYIIQGTGVLGLTFPGCPATYQKQFRHFGLEGGSQRQGKKLRDENQKIHQFRQGDVVALPSGIPHWFYNEGDTPVVALFVFDVNNNTNQLEPRQKEFLLAGNNIEQQVSNLSINKHSRQNIFNGFNTELLSEALGVNIEVTRRLQNQNDRRGDIIRVKNGLRLIKPTITQQQEQTQDQYQQIQYHREQRSTSKYNGLDENFCAIRARLNIENPNHADTYNPRAGRITNLNSQKFSILNLVQMSATRVNLYQNAILSPFWNINAHSLVYTIQGRARVQVVSNHGKAVFNGVLRPGQLLIIPQNYVVMKKAELEGFQYIAFKTNPNAMVNHIAGKNSVLRAMPVDVIANAYRISRQEARSLKNNRGEQIGAFTPRYQQQKIHQEYSNPNESETQEVI >OGLUM02G02320.1 pep chromosome:ALNU02000000:2:1682675:1689286:-1 gene:OGLUM02G02320 transcript:OGLUM02G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGSYELSSRSGDKGELTVLAPVMAPLIGGEGRLNWSPFWMRLKDTTRHSRAATMARQRWRVKRGGFERGLGFIVETMSVWEAWASWLVLG >OGLUM02G02330.1 pep chromosome:ALNU02000000:2:1694897:1707951:-1 gene:OGLUM02G02330 transcript:OGLUM02G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFTSAAVEPAPPTADDQRDAPRPHVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLDNPDARSAVLSSLPASVATATLPAVPLDDLPADAGLVRMLFEVVHRSLPHLRVLLRSIGSTAALVPDFFCAAALSVAAELGVPGYIFFPTSITALYLMRRTVELHDFAAAGEYHALPDPLELPGGVSLRTAEFPEAFRDSTAPVYGQLVETGRLYLRAAGFLANSFYELEPAAVEDSKKAAEKGTFPPAYPVGPFVRSSSDEAGDVAAGVPMIAWPLHSEQRMNAVVLEESVGMALRPRAREEDVGGAVVRRGEIAAAVKEVMEGEKGHGVRRRARELQQAADRREASNSGRYAEKHTGGDAGGDGANKTLIGDAMLQHRHEAANETPGRQQMNKRGRKLGICLDGGRAGEEIEVSRGVEGGFRVTHKSFSIHGLGPRNFWALDLTTPRPSSQLHHEIMETFTADDQRDADAPRPPRVVLLASPGAGHLIPLAELARRLADHHGVAPTLVTFADLEHPDARSAVLSSLPASVATATLPAVPLDDLPADAGLERTLFEVVHRSLPNLRALLRSAASLAALVPDIFCAAALPVAAELGVPGYVFVPTSLAALSLMRRTVELHDGAAAGEQRALPDPLELPGGVSLRNAEVPRGFRDSTAPVYGQLLATGRLYRGAAGFLANTFYELEPAAVEEFKKAAERGTFPPAYPVGPFVRSSSDEAGESACLEWLDLQPAGSVVFVSXKTKSRNTLI >OGLUM02G02340.1 pep chromosome:ALNU02000000:2:1726643:1726960:-1 gene:OGLUM02G02340 transcript:OGLUM02G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAWPLHAEQTVNAVVLEESVGVAVRPRSWEEDDVVGGAVVTREEIAAAVKEVMEGEKGRGIRRRARELQQAGGRVWSPEGSSRRALEEVAGKWKAAAAAATAHK >OGLUM02G02350.1 pep chromosome:ALNU02000000:2:1731963:1735002:-1 gene:OGLUM02G02350 transcript:OGLUM02G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G48790) TAIR;Acc:AT5G48790] MATSYCSISNPPLSKTSFPNKQVPGWVLQAISKGKGNYTGGIYTTTKRNLRTGFHVCAVNGGQGTRNVSGAEFPSDYTELLAQAKEAAESAFKDGKQLLEIEFPTAGLQSVPGDSEGGIEMTGSMLLIREFCDRFVPAEKATRTRIFFPEANEVSFARQSAFEGCSLKLDYLTKPSLFEDFGFTTKVKMSDRVRPEDEIFLVAYPYFNVNEMLVVEELYKEAIVSTDRKLIIFNGELDRIRMLVTFLNKREAALMMFENNYPPFFYPKLAELSKTFLPKLETVYYIHNFKGLKGGTLFRCYPGPWKVLRNIGGSFFCLHEQEEMPSLKEVALDILPSA >OGLUM02G02360.1 pep chromosome:ALNU02000000:2:1736915:1739261:-1 gene:OGLUM02G02360 transcript:OGLUM02G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKWREKQEIGEDARTDWGVGGWRGCDEARKVVPSGCTVHPAARARAARTSFMSARAGSGKLPCCRSPDSMRLVMARRCRSLSFVRSFSLSLSLSPPPPPPPRRRGGGAAGEGEGSAATAGGGGVETRPRGRRCGGGSPSSTGECGCFRLIARRRRGRRRADLRARGKREDPDNAQGQSNTNTSTNNTPLTIPRIPPYPSRINTMEIKQLIQTRLGHERSERYFRYLKMFLGSRMEKLMFDRVVVQTIGRENIRLHNHLLMSLNHYD >OGLUM02G02370.1 pep chromosome:ALNU02000000:2:1738696:1747456:1 gene:OGLUM02G02370 transcript:OGLUM02G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 2 [Source:Projected from Arabidopsis thaliana (AT5G45300) TAIR;Acc:AT5G45300] MSLKHPHSPVLDGDPPPHRRPRGLVSTPPPPAVAADPSPSPAAPPPRRRGGGGGGGEREREREKERTKLRERHRRAITSRMLSGLRQHGNFPLPARADMNDVLAALARAAGWTVHPDGTTFRASSQPLHPPTPQSPGIFHVNSVETPSFTSVLNSYAIGTPLDSQASMLQTDDSLSPSSLDSVVVADQSIKNEKYGNSDSVSSLNCLENHQMGIINSHCQLIDPEGIRAELMHLKSLNVDGVIVDCWWGIVEAWIPHKYEWSGYRDLFGIIKEFKLKVQVVLSFHGSGETGSGGVSLPKWVMEIAQENQDVFFTDREGRRNMECLSWGIDKERVLRGRTGIEAYFDFMRSFHMEFRNLTEEGLISAIEIGLGVSGELKYPSCPERMGWRYPGIGEFQCYDRYMQKNLRQAALSRGHLFWARGPDNAGYYNSRPHETGFFCDGGDYDSYYGRFFLNWYSGILIDHVDQVLSLATLAFDGVETVVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVFRMLKKHSVILKFVCYGPEFTIQENNEAFADPEGLTWQVMNAAWDHGLSISVESALPCLDGEMYSQILDTAKPRHDPDRHHVSFFAYRQLPSFLLQRDVCFSELGNFVKCMHDGSLIKIVVRVRIIIAHQSGSSALDVLMQRLTVLCIRGVSTYPIKIIRSRYLTTVIAL >OGLUM02G02380.1 pep chromosome:ALNU02000000:2:1769459:1769767:-1 gene:OGLUM02G02380 transcript:OGLUM02G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMFVGIAAAGGKKGGAKDEAEELRRRNAELEREVAALRAEVAAARRRAETAEEAEERLCVQLGEAEVEAVELAREYQCRVHDLARELAAARLLVSSPSP >OGLUM02G02390.1 pep chromosome:ALNU02000000:2:1771370:1776208:1 gene:OGLUM02G02390 transcript:OGLUM02G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17670) TAIR;Acc:AT5G17670] MLSLLLPPARPRAAVSPDVTASAPRRPAVILPGLGNNTADYARLAAALRDDHGVPAVAVARVSRPDWLRNAAGLVDPSYWRCNLRPRPVLDWYLKRVDEAVSEARELSPNEGISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDVAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >OGLUM02G02390.2 pep chromosome:ALNU02000000:2:1771387:1776208:1 gene:OGLUM02G02390 transcript:OGLUM02G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17670) TAIR;Acc:AT5G17670] MEEFDASDISLLLTLGTPHLPPPKGTPGVIDQTRGLLTYVEKNCAPAVYTPELKYVCIAGRYIQGAPLTGNTIATTDDILAVDTPSDVAEAVMVSTNDKSTQSGPTLRARFIGQGYKQVCGRADVWGDGVVPEVSAHLEGALNISFDGVYHSPVGSDDEQRPWYGSPAILKQWVHHLLS >OGLUM02G02400.1 pep chromosome:ALNU02000000:2:1774524:1776080:-1 gene:OGLUM02G02400 transcript:OGLUM02G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARVFRGCRFLMAAAGGKRPASASASASAAGAKVTKTEAAQAKEKRGIMQPVPVSDALSRFAGGAPEMSRAGAVKLIWNHIKANGLQNPANKREINCDDKLKSLFAGKDKVGMMEIAKLLSPHFIKAN >OGLUM02G02410.1 pep chromosome:ALNU02000000:2:1776336:1789596:-1 gene:OGLUM02G02410 transcript:OGLUM02G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMFGSGHWGGSFPYASIPKESQFVFDAKDSPLQLQLFGSAAAMEHPMMVCAVPRGTTFGPTGMMVEQLVKCMKDVKQRQMVEFLASLERGVGKKLKEKELEVEAMNRKSKELNEQIRQVALEVQSWQSVALHNQSVANSMKSKLMQMVAHSSNLTREGSGDSEVDNTASSQNVNAVPGGFFQSGLLGINSMADGGLGACRLCRMKEAAVLVMPCRHLCLCADCEKNADVCPVCRFPKSCSVEINIETMEAVGGRRRRPRTMLFLVLVALMVIMAMAKTASGGGGGDDGGAGGDHDHDHEQFLKLWNGRGGEDAKEDYLNWDDDDDDDEDEEEEEAEQVMAWAAKCRPPAGRNVVNVDSFGAAGDGCSDDTEAFLNAWKKACSLNNAVFLVPGGRRYKVGAARFIGPCKNRMIIQIQGTIVAPDEPSDWDPASPRLWLLFSGLAGARIQGGGLIDGSGSKWWANSCKIDRSKALTIDSCRGVSVRNLRLQNAQQMHLTVSRSRDVRLAGVRVDSPEDSPNTDGIHVADSTAVTIQSCRIATGDDCISISNGSFAVRMRDIDCGPGHGISIGSLGQGGAFAAVDGVSLDGARVARAQNGVRIKTWQGGAGYVRNVRFAGVRVDGVDHPIVIDQFYCDATRPCRNRTSNVRVSGVVFRNITGTARRAEAIRLACSDAVPCVGIVLSDIDLRREDGGGEVQTVCNCAMGFDDGRVSPAADCLRTSPCGGMSPDDYHPDDKDDDDEPISVEVEVEARACGGRRHGNVLLPSTSSHPTIQSQSHTSQREREKRRGAAAAAAAMAVVGVLALQGSYNEHLAALRRIGVRGVEVRKPEQLQGLDSLIIPGGESTTMAKLANYHNLFPALREFVGTGRPVWGTCAGLIFLANKAVGQKSGGQELIGGLDCTVHRNFFGSQLQSFETELSVPMLAEKEGGSDTCRGEEVYSKDRLAKL >OGLUM02G02420.1 pep chromosome:ALNU02000000:2:1804446:1804681:-1 gene:OGLUM02G02420 transcript:OGLUM02G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMRVMVMGSSLMACFGLLLLGAARALAWNQSKAAFCRVKSSLKFVEKSEATVLNVL >OGLUM02G02430.1 pep chromosome:ALNU02000000:2:1805681:1810650:1 gene:OGLUM02G02430 transcript:OGLUM02G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGSPAAVAASAAVAEMRFTTGGSSTRSWEPTVTADTSDLHYWMQWRAAVCALSVLACMAVAACLVWRHEGPGAERRPGGASGGGGGSKERRRPGVLYDDEAWRPCLRDIHPAWLLGYRLISFFVLLSLLIVIVISDGGTIFYYYTQWTFILVTIYFGLGTALSIYGCSKLADENVVTERTDMELGSYVAHGAGTKPNLNGEDDTGEIAGFWGYLLQIIYQTNAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLVLRRRDHAITMLRGIQTGDQTETPPAHKVVSGFSRKRLIYTISQAVMHHLPPPLPTVYTERAMVKITRKE >OGLUM02G02440.1 pep chromosome:ALNU02000000:2:1811601:1812862:1 gene:OGLUM02G02440 transcript:OGLUM02G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKKVAMAAVCCMFILLFPGQQQQVAAMSRICRCYHECLPNCGLRNSRSFCKVFCGSCCVFNPVHNCTSTDAAAAALAIAGDDCRMICLNSFCGEAATGYLFKYSRILCEQCNLVYELKDGV >OGLUM02G02450.1 pep chromosome:ALNU02000000:2:1813331:1814333:-1 gene:OGLUM02G02450 transcript:OGLUM02G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDVGAEILLRLPAKAVLRCRAVCRSWRRITTTAYFVAAHSRRRPLQLLGYTGLAVDTSSSPYSYVFTVITSVIPAFCDGDDAGRRILLRRDMRVSLRGSCDGLLLGTRAAAATAACSSATRRRGSWSICRRWKRLRPSAFYFHRPSGEHRVLCYRNGDNYILSTGSGAGEPRRFGPVPDHQRRVCSHFCVKVGVTVGDTVYWGRRQTDDRGQMSAFDTVLETFRRVAPPPPVSHADEGPMFDMHGALAVTAMSSTEPYMDVWIAAAAAGGENWVRLLRVELPPGHYYSGEVKPHGYGKAVLDDAGVLLVAMNGCPSFLYDTKGKEDGDW >OGLUM02G02460.1 pep chromosome:ALNU02000000:2:1814544:1823115:1 gene:OGLUM02G02460 transcript:OGLUM02G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFYCVGWASFIMWIGLLLFYGLDLFHLVVWAYFIMWAGLILLKRGLRDKRGNRLATEMAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPEISAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGKDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >OGLUM02G02460.2 pep chromosome:ALNU02000000:2:1814544:1818767:1 gene:OGLUM02G02460 transcript:OGLUM02G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFYCVGWASFIMWIGLLLFYGLDLFHLVVWAYFIMWAGLILLKRGLRDKRGNRLATEMAATAAAAAGVAVAGDRARRRQWRYTWESLAHLPLLRLYLFRPEISAAAAADHLRADLRLDDSLLLLSFSLAGEPVALRVPVPRVLVDPSAPPECRDAGDHVEVRLALVLPVDHPVVAAAFLPPPGEEPPAPLSLRDDIKNLSSGDVHLYCKACSARLTKQPLRNIEEMPSLNWEDVADNWFGGCCTSFGGASEKLVSQYINAYGRLEGTSLLNATSISIEKDYLEKDLASGLVSSVPSNDCDALQEDICDVHIGKDHTTGNMEFNSSEEKAYHEKQIGCNHVQCSVVPEEGPCVSNSEKDGDTLWTDQSGIIKVNPEESKNDSCVDDIEKPTKETDLLSVDPCNCCCDGGNSRKSEDNPSNVPSGNLEMQAKLDTQRDYKLTKSISLGCSFIVKASNLVNDVEWLELLCAHCSSPIGSYPSQHSHAPADGRVRLFKCYASSDLHVGGPHDVFRGHTLERLFVNLLLEVAEDEISFRTLVRDLKTKRPILQIVLLSSKAWLFSGYCYENDMDGSHGAAHLQPSVKILYSNCSNALEEDLRTVEEWSSKYRAEELYMMRRQIDELILSLNSARDNFPLSCSSLQGMYLSSLER >OGLUM02G02470.1 pep chromosome:ALNU02000000:2:1822499:1822933:-1 gene:OGLUM02G02470 transcript:OGLUM02G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEVKKRLHLHVEAQPESCKKKEQAAVWVLAGGGGGGGGGGGGGGEITVSLKPVKVSRRQLGDEEEEEEEVTTPRGEECRLPAEAATCPPAPKKPRTAAVAIVAGGGRRCNCCDDDGGDSLEFFRVPADLEAVFANRVAKAN >OGLUM02G02480.1 pep chromosome:ALNU02000000:2:1828386:1854794:1 gene:OGLUM02G02480 transcript:OGLUM02G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTIPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNAIGSTELYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQIKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDNSLVYFIQDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDENMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVEFIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDVMVENFTEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETMKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISYNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFGSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIVMVPLCKCRADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIHKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >OGLUM02G02480.2 pep chromosome:ALNU02000000:2:1828386:1854794:1 gene:OGLUM02G02480 transcript:OGLUM02G02480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTIPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNAIGSTELYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQIKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDENMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVEFIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDVMVENFTEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETMKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISYNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFGSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIVMVPLCKCRADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIHKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >OGLUM02G02480.3 pep chromosome:ALNU02000000:2:1828386:1854794:1 gene:OGLUM02G02480 transcript:OGLUM02G02480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTIPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNAIGSTEVSSVEASEDQAIAHQKESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQIKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDENMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVEFIDAFQKHGESKLPSNEILQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDVMVENFTEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETMKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISYNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFGSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIVMVPLCKCRADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIHKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >OGLUM02G02480.4 pep chromosome:ALNU02000000:2:1828386:1854794:1 gene:OGLUM02G02480 transcript:OGLUM02G02480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTIPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNAIGSTEVSSVEASEDQAIAHQKESLTESEPQILEMESVQDIKDTEATESETIFQKNIVPTSEESVPEENATAKEPAFDDREIQNDGAELTKEHDGVKDEEIPDQSSGAIVEETAQEANLLASEPTDDVQIKELEPEEISNTVDGETEEASCQTHEVEMTESSEQMKDTEPPVPEPESSEEMRDTAPTVPEPTLQDSRVASVEEIETHNNATIEQNVGYQQLQEQESVEFKETEVLEPQGVIPSHNVSSSEEFNPQETVTKEEPGSDTQAEESPVVIEDTEDVNNSAALSEKIAPEEHVLATETTVDTPPVQEPELEERQNIESVEAEDNIAASGLPGEEIDTEAIEIESVPHESTTTSVKELNEDVKSNVALAEEAASEEHILETEVTVDKSSAQEPELEEIKNTEPAEQEHNITETGLPEEEMKDNEAMETETVPHDSNIESIKELREDDIITASALDVYTQQVPEQESVEDMKCTDTTEHPGETPESIVSTSDELTKTGEITTVKEATFDTQQAQSFTDQETDLSSSSNPEEAVQESDLVKSEQETDVQQEQELDSTEETKGTEDYQQNGVSTCEESVTEVEPNVDDQHVQENKSAVEVKENEDTETEEISKQINFTTSENGAQESSEQEADQPFYVQPVQQLELTTDSKDNQLVEAEETSSQSNIVTPEDPTAEDRVAYEIDPSVDIDQGHELELVEEVKDTDAIEAEETSHAGQAVSSAEKFSESNLSAVELTHGIQQVHYLETMEEMKGTEGTCDEEICYQQTATSEDPSPTDNGKSLQDYHVESNEENLGNGIGDVISVHEKIEDNIHESAELKDETSELGEKTQISANRIEENDDHISTEDTEETSNNTNLVKEGPNEHGSSQTSNVQDNKQLHDVGLQTQVRERSVDIGQQDENMKNVNLDQQQKEDEEIEKQKEDEEIEKQKEELQTDEQKHDDKRVDFIIDTQVEFIDAFQAEQTDSVVTEMLNDEVTQHEPEDSIPRTTDVMVENFTEIKEETEEENGPNSGGTLEVSAKNYNEDVHENTEKDAVVEKTSSSEHDEIAGEIRNEEVEPCLASSLERDLQVDSDLSNDQMLENNPIAVPQNDEYMYRAEEGYTNKVNVDMHAIQESDKVIEDAEEKQGMQNEDNVVHHDESLVTTQKEEASQVHTDEQYSADTKMDDTAISYAEMTHENTSTEPREVEDTKEKKGFNDFPEFVVETSKQDDVDQDFSIHHQVEDEKSAETENNSAESEAVQPKLDITIAETNNDNNLSTINPLPEHETENASDINQNRQYQEATNEDAIDNIETGRVEKMETSYTATTEVVTLNEDICDKASGADGVPPDGSLKTSEDNLDVSSVVTESEGENINKETEDHKLALPVHPTQDENTTEQGFGLEDTEKESMSPEKALPAEPEEQEENQVTKEQDEEDRHDAELGDAHEEDHKEAEQDYLPVSSFLMNLILGKDNDDPKKDSETEVEKEQEETMKDGSCLIASQQEENLVAFPIEKSVDEKLTFEQEKEKVEGSEETKEPVKEQSYDVEMDVQKSLETDEELKRNTCDLEAPVYQDNAQDEISSKLISAKAADPIKKMEARDFELDEESFDTVCQENVEAATEIEDGSLNRDQDDITSPKASQEDALEEVGTELPHESLHENRHGAKDEQTLSLIEPDTGNAEKLPNEADSVQSPPCTEQEESIESSYVEVRSTTEGQVESEVIETNEEDQHTTAGGHTEEQIENLHDDKSKGTCSEEISDEQAPEITEPVSHTDRNFAYEKEIPASSTCMDKKESMISYNEVSNFEKALETHSDSPNLHVNQDKKDETADNQTVVDHNTVLDKLEDSNRQEEQETAAQKLPKETEGNQEFMAITEPVIKEENVHETVEGNTQAVKIKSNEEKELFGSQVQERGLNVVSPKATSEADEKFVEITKPEFSTDEEHSPKADESNKPDENTCDEKTKAEEETNNITDEATVKIEERGAEQKVSHKKHNILSGVGSKVKHQLAKVKKAIIVMVPLCKCRADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIHKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >OGLUM02G02480.5 pep chromosome:ALNU02000000:2:1828386:1854794:1 gene:OGLUM02G02480 transcript:OGLUM02G02480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEAREATDGQEVQVEAATDLQAQLDSKLSSIKEIKGEAQTMGSTEGILKIPEDEVLVEAPSETKIPSEHSLNGTASSLNGHVNKEENISNEQPHEINQESQEQVETSSDGTSTYPSNKSNEEEMTDSLSHGESASEDTTILKCENAEAKDHGQQDVEGHVTNDTMVQEEICKTDDAIEQTVDAQDLDSSKESKEAQPAIMTDIPADEVLAEAPAGIQSPLEPNVGDSDTIPGNKETDDPAKEDDTAYLDHKESFPEDKVIAEHADEEVKAEDQQSKQADDMDAEVLQEEIPESKESDLPETEQAEEASVDDQEALNQQPALETNDSLSVKAEETCHQSNVATCGEKTPEDDATTREPTVDTKEEQNQGSVEEMKDAEAVDTEETVQQSSVAFDEAIQDHAATTNPSSDIQSIEPEETKGPSDVKAEEVSSQSNVAFAEDAVQDKVIPSEPPEDIQPVKKLEQEETKEADEASNETNPAIFSDLNQEDSIVASKQLETELAEEAAAFSNLDQEGGIADSESQVADLEEAKEIEATETEEITHQPPAAVSTELPKEDNSTRSEPHNDDIQHSLEQDSIEVKDTEAAEIQEISQERTIATSKEDAVEDDGTAEGPTCVSQEVQDVESEEVKDTEPDNVVEASDVVTVDDEGQENNVLTSENIAELQLQGLESEEIKSPEPIETEAGFHTSHAAPSNDPVEVNTTACETQDTESAEEIKETEGTKTESIPQESNISVSEESNPEDSITASETISNTQELTIAGSIEASEDNIDTKTGEITDQSNEVFAGEAAQGDNIPESVSTADTQSMQELESEEMKKPELVDLSGTFHQKDDAISQKQNQEDNPTTCETNAIGSTELYSAQAALANGGATQVRLYAKEADRTPVNGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVRQKADLLSDSERIKYTIDTFTKGIPDARTYLNTLQEIRIKSGLIDDMGAEAMMMEALEKVEKEIKKPLLRSDKKNMGLLLAEFEKINKKLGIHKEDLPKIEEELELEIAKSELTELKKECVEAMEVQLKREEFKDEEMPDVKKLDIRNFL >OGLUM02G02490.1 pep chromosome:ALNU02000000:2:1846169:1850456:-1 gene:OGLUM02G02490 transcript:OGLUM02G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMLLRPLPSAGASGGRGSPGRGRVVGSAVSFGGRGRWRRGVAVVAAGAGAEASWMEEAGVAVLEEGVRRNPAVSDSYRPPGMPRPNATVLEAQARVCTGPEQTRPLGEEQAMRVLDTILRSGMGELKDEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSLFWPRLVNVLPPEVKFIADPEGTIMGANGLTGPRYVGQSTAEMRLVSALREVLAGGHLGYEEVQGVLKDVLPVELASANSTMVNEALLAAFLIGQRMNRETDRELKGYCLAFDDELGPTPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGEACLLHGVEWMPPKGGITEGQMLKFMGANTHLSTLQAKTLLEDENTGFAYLGLQEACPPLYSIIGLREHIKKRPPLATSEKVQQFVRARGRESMVAGFYHVGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRIPNSTDFSETDGISREGFSVIVNAQELGFESTETPRTDRSVLKNLELGLAALSGEKGPAYDRIVLNAAMVDHLLGCTGAEDIKCALDRAREAIDSGKALSRLMNYIKISHKVS >OGLUM02G02500.1 pep chromosome:ALNU02000000:2:1857377:1863063:-1 gene:OGLUM02G02500 transcript:OGLUM02G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLRRGSSGGGAVLVVVVCVGCIAATAMAAAAGGGGGGGECPKYKDSKQPLNKRIDDLLRRMTLAEKIGQMSQIERENATFDVMRNYFIGSVLSGGGSVPAAQASPAAWVSMVNEMQRGAMATRLGIPMIYGIDAVHGHGNVYKATIFPHNVGLGCTRDPRWGRCYESFSEDPRVVQRMSSIISGFQGEIPPGGRRGVPFVSGGRPSVAACSKHYVGDGGTTRGMNENNTVATLRELMTVHMPPYYSAVAQGVSTVMVSFSSWNGGFVISDWQGLDRITTPAHADYMLSIKLGIMAGIDMVMIPFTYTEFIDDLAALVKNGTIPMSRIDDAVRRILRVKFTMGLFERPYADLSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDAPLLPLPKRARSILVAGAHADDLGSQCGGWTITWQGLAGNNLTAGGTTILDGIRRAVDAATEVVFAEAPDAGFMRRNAGRFDAAVVVVGEPPYAETLGDNLNLTIPAPGPSVIQNVCGGGVRCVVVVVSGRPLVIEPYMDAIDALVAAWLPGTEGQGVSDVLFGDYEFTGKLARTWFRSVEQLPMNVGDEHYDPLFPFGFGLETRKAN >OGLUM02G02510.1 pep chromosome:ALNU02000000:2:1868848:1869105:1 gene:OGLUM02G02510 transcript:OGLUM02G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGGEGEGILASWMAAHGREAASRAKKVVRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGPAPLK >OGLUM02G02520.1 pep chromosome:ALNU02000000:2:1870392:1873161:-1 gene:OGLUM02G02520 transcript:OGLUM02G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAATQVGTYFLRNYYNLLQQSPDVVHQFYNDASTMVRVDDLAGTNTTASTMMDIHSLIMSLNFTQIEIKTANFLNSWGDGVLVMVSGLVQTKEYSHQRKFIQMFFLAPQEKGYFVLNDYFHFVDEEQVQPAPVIAQDNFETNMASNSVVEPEYIHEEENQSAVPITSEESDAVENYTYSEPPQQVVSQSDNWGDEPLPEEPISSFTNGMAMAPEEPVQSPPVPPPHVEEPVGEPVKKTYASILRTAKAPLVFPVAQPALTRPSHQATETNQAAQHSVMTSSVATEKPKTDVYGEFAVQDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDNEYAGNRGSGRPSNGYQRVPHHERGILGSHNARN >OGLUM02G02530.1 pep chromosome:ALNU02000000:2:1876759:1880571:-1 gene:OGLUM02G02530 transcript:OGLUM02G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTGEMREVGRETLHGGVVQSVSETEEYKEKTIDSEKDGQFRVQPRWRKFLAHVGPGALVAIGFLDPSNLETDMQAGAGFKYELLWVILVGMVFALLIQTLAANLGVKTGRHLAELCREEYPRYVNIFLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAGEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSDKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGSICNANNLSPADANTCGDLTLQSTPLLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIILSSEFALLLQKQQYPEFLIWIQMILSFELPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVYWLVHNNLPKYANGLISVVVFALMAAYLVAVVYLTFRKDTVATYVPVPERAQAQAEAGGTPVVDASAADEDQPAPYRKDLADASM >OGLUM02G02540.1 pep chromosome:ALNU02000000:2:1882204:1883757:1 gene:OGLUM02G02540 transcript:OGLUM02G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGAALGRGGGVDEHPRDGDPVGDGFPGEREGEEEERVVEAEVGGGELWAEEVQHIATPTATQLGAAAMNDDVVAEILLRLPAKSVLRCRAVCRSWRRITTADYFVAAHSRRRPLQLLGYTGPNDESLRDDEFLVTSAPRVNAETMLICNPATRQLVNLPPVSTGGVVVDRNDLRLHSSAFYFHRPSGEYRVLCYRKGTNYILSTGSGEARRLGPVPDQQRRTCSFSAVTVGKTVGESVYWGRREVDDRSRIMAFDTVSERFRAVAPPPVEHADEGPLLDMHGRHARRGGDAGGAVPGRVGQRRRRRREVGAAPPGGAAGGILFQGVEASGAW >OGLUM02G02550.1 pep chromosome:ALNU02000000:2:1887577:1890562:-1 gene:OGLUM02G02550 transcript:OGLUM02G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17140) TAIR;Acc:AT2G17140] MAGPTSQCQWTGPLTRRVPHRPVGPDRFKPSPPPSPRGRHLPMSTSPTAANHLLALLRRNAASPAVALRLFLHLTSAASPPSPHSTSFLARILAAAAAHDAALLPSLLRHLLSLPDPAPHLLALLSSSSSPLRLPLGFSLSAFRSLRALPSAPPPPTPVYNRLLLAALQEARFDLVESLYKDLLLSGAAPDVFTRNILLQALCAAGRMELARRVFDAMPERNEFSFGILARGYCRAGRSMDALGVLDSMPTMNLVVCNTVIAGFCREGQVDEAERLVERMRDEGLAPNVVTFNARISALCKAGRVLDAYRIFNDMQEKWKRGLPRPDQVTFDVILSGFCEAGMVDEARVLVDIMRCGGFLRRVESYNRWLSGLVRNGMVGEAQELLREMAHEGVQPNSYTYNIIVDGLCKEGKAFDVRKVEDFVKSGVMTPDVVTYTSLLHAYCSEGNAAAANRILDEMAQKGCAPNSFTYNVLLQSLWKAGRITEAERLLERMSEKGYSLDTASCNIIIDGLCRNNKLDMAMDIVDGMWNEGSLALGRLGYSFLSLLTDSSSSKRCLPDRITYSTLVSALCKEGRFDEAKKKLLEMIGKDISPDSVLYDTFIHGYCKHGKTSLAVKVLRDMEKKGCKPSTRTYNLLIWGFREKHNSDEILKLISEMKGKGICPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFELLIKAFCKTSDFSAAQRVFDASLSTCGQKEVLYSLMCTQLSTYGRWLEAMNILETVLELRISIHRFPYKQIIEGLCKVDEVDHGHRLLKLLMVKGYSFDPAAFMPVIDALSERGKKQHVDMLSQKMMEMAERDNGLAAPSGEFKPRSQKHGQDKHAESDWHALLHRDDSARTVMKITKRVKTGWGQRGNVYENKQQQNDDIYVLENTG >OGLUM02G02560.1 pep chromosome:ALNU02000000:2:1891139:1894521:-1 gene:OGLUM02G02560 transcript:OGLUM02G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGLRVASDPAGSGASSPPPPTTTTTKELGRAVAAEASCGSRAAVGLGDMRVGISDSSDRAKSCLHPCDKKDTPQVTAEVATRSEDGEPSLLETGSFLQPEAACLTLGRSSDAAEVNNLCDKENIRTDLQPKPDVRHVENRMNGAPLGLDLNIVDSSNAAELNPFFPYKKLGQTKVSDPSECGSTTGAVGESESHRKWREMKQNGFLSSSQGTAVGPKPRGRPIKRKRDDESKRNTFAQKEQTNKFMKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSENLEKENQAVHASQTGKRGREFSEKAQDQRYGGNMMNCHFLMKGSNMPFHQGLPTASQFLPEDGDDLKLQLSSAVTMASDRTCSTSADDFASNHDYMTVLSVKAANVASQWLELLQQDIRGRLAALKRSKKRVRNALQTELPYLISTEFSYNQENESSVVHSSDGGSTGKTVPEAHVARWRSLFLQMDRTLQEEGMHLENRLKEVQEMQMNCEKGLRYMACEAPLVGPMAELWKLKNSETPESEWAVQAAAASIYSTCNLVMRTENPGNDLMLSKSAVVCAALLHVT >OGLUM02G02570.1 pep chromosome:ALNU02000000:2:1898090:1907597:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02570.2 pep chromosome:ALNU02000000:2:1898090:1907597:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02570.3 pep chromosome:ALNU02000000:2:1898090:1906898:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02570.4 pep chromosome:ALNU02000000:2:1898090:1906898:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVLPFHIALSVGLPSYFVQMFLQIDDFMEMNYEKCLVMKSIHMLFMQWQEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02570.5 pep chromosome:ALNU02000000:2:1898090:1903480:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVVALLGMLVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02570.6 pep chromosome:ALNU02000000:2:1898090:1903480:1 gene:OGLUM02G02570 transcript:OGLUM02G02570.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50440) TAIR;Acc:AT1G50440] MRSTPPRFSLLSALLLTDDGRAPVPVSSSSLLPASPLFQNIATATAAAAAARRPRPPPAHLHLRRISQPRSPHWREIRSSAWLASHATQADEATELASSCDCAEVPASQQIVSESSTAGSSTEHLVSCEIKPLGVDEDIETIDANEETHFVIQDCPQCRICLDNEGDDLIAPCHCKGTQKYVHRSCLDNWRSTKVLPFHIALSVGLPSYFVQMFLQIDDFMEMNYEKCLVMKSIHMLFMQWQEYIVEDLEGTDGVPDLDPNHVTELRILGLY >OGLUM02G02580.1 pep chromosome:ALNU02000000:2:1898147:1913669:-1 gene:OGLUM02G02580 transcript:OGLUM02G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKKKMKKITVLATGAHMMYLGTHQTTCFLKPRLCPLFNHLSRIAARQEYCPTCLPFISAAYPKSQAPLQRICWASPTRQANYQVLLGQVGCPHVTGTVTRQLLLTHALERISLQWGERGCEIRRRCRWAGGGRGRRAAAAAAVAVAMFWKSGEAGRRDEEETGTGARPSSVSSSAESRENRGGVDRMVEWNGNRKLTRQSSNAIKQTCVGGR >OGLUM02G02590.1 pep chromosome:ALNU02000000:2:1913695:1914183:-1 gene:OGLUM02G02590 transcript:OGLUM02G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGSGGSAVSAATAAAGGSSSAAEEELRALMEQRRAKRMLSNRESARRSRMRKQRHLDDLTAQVAHLRRENAHVATALGLTTQGLLAVDAENAVLRTQAAELAARLASLNDILSCINTNGAAAAAAALTVAAATDPLLAFDSAAFDDLFRSSPELFQLC >OGLUM02G02600.1 pep chromosome:ALNU02000000:2:1927172:1935356:-1 gene:OGLUM02G02600 transcript:OGLUM02G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLLWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYHILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQSKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQVFSQIWDMDPVNLDFGMKILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >OGLUM02G02600.2 pep chromosome:ALNU02000000:2:1927172:1935356:-1 gene:OGLUM02G02600 transcript:OGLUM02G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRVLETTKKAAAAATATAATGPQLATRKNPSSSRRKAVTPATAVSPAALLRLKQAASSKRTNLPSTLPDAQDVAAVEDENPSGGFTKEILSILNGPDDAEELRGAQAPPEESEDAEESVVNRILDTEWFAAPPPSNPLAAWRKEVAREKKKRYIFKNTESRRFTRLMQKCADKLGAEPALEFFGKLGRDTGAKEFNALIRICLGKAKECMDIDSAVEHIYRAYRLFELMRERGYQIVEDCYGPFLLYLVDVGMSEEFDMFSVFFKDANPQSSSRIAYYEMLLWIRAQDEEKIQELCRSVEDFNEEGDYDMAESYMLAFAESDRRLDFISLLESLDPTRILRSKYLLTIFQSLGRLELKNYAEKLLQQMRSKESGVGKFSSIIFEYASNIPNIAVEDVIVSFNRWHEQFEVAPSISASEKIISVCCKSSKISLALDVAECLCKSNPDMPVELFHPIIQACEQGSEFHMVHPIYDLMRRHKMKLKTETFRNMINLCVKMKDFEGAYHILTDAEESGDISTVSLYNAIMLGYFREKNHNGAQMVMAQMQIAGVKPDSETYCYLIFNCEFEEKISEYLDQLRQDGIHMSKHIYMSLVSAYARLGNFDMAKQVLQNKEIPPKYLNEIKSALIGALASNGKVLDGLIMYDEIKQSGCHLEPKSAIALIENTQSKGELDRLYQLLEELGDSNMWFDGCSRVLLYCVQHNHPNAAIGLLKQLKEKDEMSTYMVIDQILHAVKELGLNVSRTSLDFLLSACVKAKDSNRAQLIWTEYESAGLPHNVLTSLRMYQALLLSQKKKATKKLLRKIPKEDVHVRYIIDSCQMAYCSQNLKLPSASRSSSIKRAASKAGSTCKPAASGTEVFRNEAEEAHTGP >OGLUM02G02610.1 pep chromosome:ALNU02000000:2:1938661:1941711:-1 gene:OGLUM02G02610 transcript:OGLUM02G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAGSWYVTRDEVERGSPSRRDGVGAAKEAELRATYCSFIRDVGLRLQLPQVTIATATLLCHRFYLRQSHAKNEWQTVATVCVFLASKIEDTPCPLQRVIIVAYETMYRKDCNAAHRIYQKEVLEKQKELILVGETLLLSTIRFDFNIQHPYEPLKLALKKLGIFQMEVKQVAVNLINDAIRTTLVVQFKPHYIAAGSLYLAAKFNNFRLPSDGKVWWHEFDVAPKQLQAVIQQMTELFMGRNPCSMGPAIRPPPTPSLMERQQVIRPPPTPTLMERQPIIRPLPTPTLMENQHITHSLGAVMRHTHSSIRSLSNNFDREASRSLPLNIPANRKSTVCPARNEGNQSLRMHMGHSNGSDARFEKQYSRGALKADHVYHVVSGQKDLHVTGIRDLVRQKRTFHEVGEHPAPIDKSDTKSWIRKQHGRNVIVVDTKSSSWKKQKL >OGLUM02G02620.1 pep chromosome:ALNU02000000:2:1948222:1953599:1 gene:OGLUM02G02620 transcript:OGLUM02G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKESSSNGSLDRIVAVLLAVFMAIITLVRSVKDLAAKRLPDKNESEQKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKAMFCY >OGLUM02G02620.2 pep chromosome:ALNU02000000:2:1948269:1953599:1 gene:OGLUM02G02620 transcript:OGLUM02G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDRLARPCFEGSSSNDDKRDHKSDVENSEDDKRTRMGSLKKKAIDASTKIRHSLKKKKRRSGSRVLSVSIEDVRDLEELQAVEAFRQALILDELLPARHDDYHMMLRFLKARRFDIEKAKQMWTDMLKWRKEYGTDTIVEDFDYNELDAVLQYYPHGYHGVDKDGRPVYIERLGKVDPNKLMHVTTMDRYVRYHVKEFERSFLIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIVRLQKIDNDNYPETLYQMFIVNAGPGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFLGGACTCPEYGGCLKAEKGPWKDQNILNIVLSGEAQCARQIVTVSNGEEKIISYAKSKHHTIRGSDTSTAESGSEAEDVTSPKVLRSYISHPKLTPVREEVKMVRATSFSTRMPEYDVPVVDKAVDATWKREVTRKTAFSSKESSSNGSLDRIVAVLLAVFMAIITLVRSVKDLAAKRLPDKNESEQKYSTLYPDSMPKEEFRPPSPTPGFVEAELFSSVLQRLGDLEEKFLMLQDKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQKKKAMFCY >OGLUM02G02630.1 pep chromosome:ALNU02000000:2:1953856:1958490:-1 gene:OGLUM02G02630 transcript:OGLUM02G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSMNSSSAGAAAAAAAAEGGGGGEDERRARALSSSSSSLRQRAMSASSKLLRTSLSRSSKGAAARQRSSKVMSVSIEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESLRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQQQRQLEQLPGPDTVTVSTAQYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRLLETSSGQQEEVLAYIEKKKKKRGMNPFRW >OGLUM02G02630.2 pep chromosome:ALNU02000000:2:1953856:1958490:-1 gene:OGLUM02G02630 transcript:OGLUM02G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVMPTPSMNSSSAGAAAAAAAAEGGGGGEDERRARALSSSSSSLRQRAMSASSKLLRTSLSRSSKGAAARQRSSKVMSVSIEDVRDAEEMKSVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTILDDFQFEEMDQVLEHYPQGHHGVDRDGRPVYIEKLGAIDTAKLLQVTSMDRYVKYHVREFERAFAVKFPACSIAAKRHVDQSTTILDVSGVGYKNFNKAARDLIGRLQKVDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDPSELPEFLGGTCTCEGGCMRSDKGPWKDPEIIKMVQCGMGRCGFNSSGHTEADEKMITEDDIVAIPKKQESLRRDSVDSPKIPREKIEHPQMSPLHEMSTSESKAPPGQEGSSSRYDDLFPMPDKNMDFNWNGEVSAEKLALARDMYASLPDAYKHGDAGDRQVVTGFMAFVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKNRKLMQQQQRQLEQLPGPDTVTVSTAQYEALIKRLGDLEEKVAALTSRPPEMPADKEDLLKAAVTRLLETSSGQQEEVLAYIEKKKKKRGMFCLQQQNPFRW >OGLUM02G02640.1 pep chromosome:ALNU02000000:2:1958526:1958810:-1 gene:OGLUM02G02640 transcript:OGLUM02G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMQIQSPAMAIPMPMHAATNSGMPSPSPSLLLPPPSPSPSWLHLPRPLVQSGEALGLGAANNLGN >OGLUM02G02650.1 pep chromosome:ALNU02000000:2:1959944:1990943:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGITGVVGPILELIDCDEKLFTAVKVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQTKAWLMHSERRNRERTRPSAVRPASATPAWSATRKTFRWWEESSEAALFAAARTAWVRERRPTQAEPCFTASMAYSTWNSRPAGLHVVTSVVVEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRYIKDRISNEKLAKDEAARDSQSVRMESERSKSELAETRRATQFANKAARDKWLQKEIDDLERVLLSNRKQEANVTAEKDRLKENLVNAKEKLGNATPGVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNQMDAERDHAKSELEHFKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKEKFVLCRNRRIEIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTEMLKANVDAINNFTIKMEELKRQRDNLKTLEANLDQTVYRQKNKKQLQKMLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDAGDQKIRELVSLLDQRKDESIERTFKGVARHFRERCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMASLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRHRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OGLUM02G02650.2 pep chromosome:ALNU02000000:2:1963764:1990943:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGITGVVGPILELIDCDEKLFTAVKVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQTKAWLMHSERRNRERTRPSAVRPASATPAWSATRKTFRWWEESSEAALFAAARTAWVRERRPTQAEPCFTASMAYSTWNSRPAGLHVVTSVVVEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRYIKDRISNEKLAKDEAARDSQSVRMESERSKSELAETRRATQFANKAARDKWLQKEIDDLERVLLSNRKQEANVTAEKDRLKENLVNAKEKLGNATPGVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNQMDAERDHAKSELEHFKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKEKFVLCRNRRIEIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTEMLKANVDAINNFTIKMEELKRQRDNLKTLEANLDQTVYRQKNKKQLQKMLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDAGDQKIRELVSLLDQRKDESIERTFKGVARHFRERCDPAPFYLFDEIDAALDTQYRTAVGNMIRRLSDMADTQFIATTFRPEIVKVADKIYGVTYKNRVVIEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNSDNRIPVDKDEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGETSCEWTFIHALLTANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENVGSKLRDIL >OGLUM02G02650.3 pep chromosome:ALNU02000000:2:1959944:1990943:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGITGVVGPILELIDCDEKLFTAVKVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQTKAWLMHSERRNRERTRPSAVRPASATPAWSATRKTFRWWEESSEAALFAAARTAWVRERRPTQAEPCFTASMAYSTWNSRPAGLHVVTSVVVEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHIRECWIFSLKSILRCPAGKAVTLMKDSERLELLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMASLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRHRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OGLUM02G02650.4 pep chromosome:ALNU02000000:2:1959944:1990943:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGITGVVGPILELIDCDEKLFTAVKVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQTKAWLMHSERRNRERTRPSAVRPASATPAWSATRKTFRWWEESSEAALFAAARTAWVRERRPTQAEPCFTASMAYSTWNSRPAGLHVVTSVVVEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKIAAVTLMKDSERLELLKEIGANKRKQIDQVVHYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNEARNELASMDDNRRKISERMSHADNEVVDVREKVKTFDKEIKYSTKGINDTKAQKEGVEKKRTEALKVVAQIELDLRDIKDRILNEKRAKDEAAKDLQSVRMESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDKWLQKEIDDLERVLLSNRKQEGLLQEEIQKLKDEINNLNSYIESRKSESSKLESALAKKHNDYNDLRKQRDELQEERKSFWKEEADVTAEIDRLKDDLVKAQKSLDHATPGDIRRGLNSVSRIIRDHGITGVFGPVLELVDCEEKFFTAVEVTAGNSLFHVVVENDDISTRIIQVLTREKGGRVTFIPLNRVKVPDVSCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNGLDCITLDGDQVARKGGMTGGFYDSRRSKLKFVKIIRDNKTAIEKKAAHLENKITDLVTKQQQMDAERDHAKSELEQFKVDIASAMKQMASLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRHRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OGLUM02G02650.5 pep chromosome:ALNU02000000:2:1959944:1990943:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGITGVVGPILELIDCDEKLFTAVKVTAGNSLFHVVVENDDISTKIIQVLTREKGGRVTFIPLNRVKVPDVSYPRSDDFVPLLERLECNKANHRRAFEQTKAWLMHSERRNRERTRPSAVRPASATPAWSATRKTFRWWEESSEAALFAAARTAWVRERRPTQAEPCFTASMAYSTWNSRPAGLHVVTSVVVEGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGADISVLSAFVEIVFDNSDNRIPVEKKVVRLRRTVASKKDEYYLDGKHISKTEVMNLLENAGFSRSNPYYVVQQGKGTSCKWTLIHDLLAANKRKQIDQVVHYLEERLRELDEEKEEMKKYQQLDKQRRSLEYTILDHELNDTRNELALMDDNRRKISERMSHADNEVVDVREKIRSFEKEIKFSTKGINENKAQKEDVEKKCTEVLKVVAQIELDLRYIKDRISNEKLAKDEAARDSQSVRMESERSKSELAETRRATQFANKAARDKWLQKEIDDLERVLLSNRKQEANVTAEKDRLKENLVNAKEKLGNATPGVFGRTVICKDLETATKVARDNGLNCITLDGDQVARKGHMTGGFHDYRCSKLKFVKTIKNNMKAIEDKEEHLKNQMDAERDHAKSELEHFKQIGSLEKALGKKEKSLDNIQNQIVQIQSGIAMKYNEMGTEIIDQLTSEERDLLLQLNTELTELKEKFVLCRNRRIEIETRKEELEANLSTNLMRRQKEFEAVISSADSKTLSLEAESKEQELNSSKSSLDDLTEMLKANVDAINNFTIKMEELKRQRDNLKTLEANLDQTVYRQKNKKQLQKMLYDCNEQLQQFRHVKKKALDCVNFTEQREQLERRRAELDAGDQDESIERTFKGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OGLUM02G02650.6 pep chromosome:ALNU02000000:2:1959944:1963097:-1 gene:OGLUM02G02650 transcript:OGLUM02G02650.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAERDHAKSELEQFKVDIASAMKQMASLDKALGKKEKSLDNIRNQIEQIQSGIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLMRRQKELEAIISSADSKTLPLEAESKEQELKSSKRSLDELTAMLKANVDAINNFTRKMEELKRHRDDLKALEANLEQTVQDGAKDLEQLMSSRSMHLAKQEECMKKIRDLGSLPADAFETYKRKNKKQLQKMLYDCNEQLQQFSHVNKKALDQYVNFTEQREQLQRRRAELDAGVARHFREVFSELVQGGHGHLVMMRKKDGDADDDDNDEDGPREPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >OGLUM02G02660.1 pep chromosome:ALNU02000000:2:1989212:2004472:1 gene:OGLUM02G02660 transcript:OGLUM02G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLX9] MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRSECINHAFVYDAPLPVSRLALRLADKAQVCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIVGRKDDGTVEPFEMIDVKRIQEIIDSMEAAEEAPAAEAESSSMQEEDKGTDAAPMDI >OGLUM02G02670.1 pep chromosome:ALNU02000000:2:2005162:2009240:1 gene:OGLUM02G02670 transcript:OGLUM02G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18245) TAIR;Acc:AT2G18245] MAASSARLLAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLRGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARV >OGLUM02G02670.2 pep chromosome:ALNU02000000:2:2005162:2009240:1 gene:OGLUM02G02670 transcript:OGLUM02G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G18245) TAIR;Acc:AT2G18245] MAASSARLLAAAAAAAARGRAATTFRHAPTVSSSLSFPPNPHHRPSLRGRAGISFSSTSISLPSGSPHGASAPFNLFPPDSDPFIQWDPPPPDAASPLGAGAAGREAPGDLTLVVLLGWLGARQKHLRRYADLYRDRGVGSVRFVVPVRELLGLDLGRRVERRVADLAAEIAAWCDADHRRTLLFHTFSNTGWLAYGAILENLQSRADIIERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSVESPDGYTSNGTLNNLSSDVTRPTWGENILLSTLQKFFEIVLHLPDVNKRLEKVHSVLSEKQPSCPQFYLYSSADRVIPAECVERFIDMQKSLGQSVFAHNFVSSPHVDHYRSFPHVYAAKIDEFLKICSIARV >OGLUM02G02680.1 pep chromosome:ALNU02000000:2:2011835:2016598:1 gene:OGLUM02G02680 transcript:OGLUM02G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MVVVVEASPLGDGGGGDPASCELDAGGDGGGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIYAYLIQPIEKRMENVKEYIKSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSKETLPGGLAVNRKRAERGLTQLEIKVVELVPEKSTGNKISSTTFRKMEAERELHKKQQETQHEQAVELECRI >OGLUM02G02680.2 pep chromosome:ALNU02000000:2:2011835:2016606:1 gene:OGLUM02G02680 transcript:OGLUM02G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-phosphopantetheine adenylyltransferase [Source:Projected from Arabidopsis thaliana (AT2G18250) TAIR;Acc:AT2G18250] MVVVVEASPLGDGGGGDPASCELDAGGDGGGGRQYAAVVIGGTFDRLHQGHHLFLKAAAEFARERIYAYLIQPIEKRMENVKEYIKSIKPDLEVHVEPIVDPFGPSIVDEALEAIIVSKETLPGGLAVNRKRAERGLTQLEIKVVELVPEKSTGNKISSTTFRKMEAERELHKKQQETQHEQAVELECRI >OGLUM02G02690.1 pep chromosome:ALNU02000000:2:2018228:2018986:-1 gene:OGLUM02G02690 transcript:OGLUM02G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPRSLHTLISFGRGADGVDDDEATPASVDVGDAEGAGLDLDFDLDFAFAPPVSAAELALADDIFAHGRIVPAYPVFDRSLLDLSPGDASTAAPSADTYCAWTPRSAPGSPGRDRFPKSASTGGESSSSSRRWRLRDLVGAGGRSRSDGKDKFAFLHHHAAAPPSSKLKTPPPPQQPQQKKQSAVKTKPAAKKGVVTKMDMATAHRLFYSKASAGAAGGDRRPQQASYLTYRPAFSGLFALGRSQHHTAY >OGLUM02G02700.1 pep chromosome:ALNU02000000:2:2025736:2027431:-1 gene:OGLUM02G02700 transcript:OGLUM02G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLY5] MGKRKSKAKPPPKKRMDKLDTVFCCPFCNHGSGVECRIDLKNLIGEASCRICLETFSTSVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEEYITE >OGLUM02G02700.2 pep chromosome:ALNU02000000:2:2026159:2027431:-1 gene:OGLUM02G02700 transcript:OGLUM02G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLY5] MGKRKSKAKPPPKKRMDKLDTVFCCPFCNHGSGVECRIDLKNLIGEASCRICLETFSTSVNALTEPIDIYSEWIDECERVNNPEEDNANHYEDDNASRYRYDEEE >OGLUM02G02700.3 pep chromosome:ALNU02000000:2:2027435:2028607:-1 gene:OGLUM02G02700 transcript:OGLUM02G02700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLY5] MGRNTSPYACTDHNCGLIRTRHSWAQGPRSNGPPILLAAASINQAVRVAVGSVAIILDSGFVLRFASVSVSAARRELRAKGGKNKR >OGLUM02G02710.1 pep chromosome:ALNU02000000:2:2031064:2035759:1 gene:OGLUM02G02710 transcript:OGLUM02G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MWRLGVRGIFLAFPFLSKAKSTFWLLFFTHPSSSSLLSPNQSPSRILLLLRKPSPDVVSDTQRLCLISARHLSSSSCCARACFFFPLSQRAVLGAGEAPRSPSSSSCDSAKSLEPGMAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPRFIGAKSVSASQLRMRHKVGSIRASAASCLQDDTTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPAHIQELTWSSKPLQKQLQCK >OGLUM02G02710.2 pep chromosome:ALNU02000000:2:2031606:2035759:1 gene:OGLUM02G02710 transcript:OGLUM02G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-aspartate oxidase [Source:Projected from Arabidopsis thaliana (AT5G14760) TAIR;Acc:AT5G14760] MAALMNGFGSLQCKAMVHVEKGHMQASGMAFFSPVNRCAQVHISSIPRFIGAKSVSASQLRMRHKVGSIRASAASCLQDDTTKYFDFVVIGSGVAGLRYALEVSKYGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVRVVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQSNGEIYCYGVDSLDAETQKAIRFISKVTLLASGGVGHIYPSTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPATRRENAFLITEAVRGDGGILYNQSMERFMTSYDDRAELAPRDVVARSIDDQLKKRGEKYVLLDISHKPREKVLAHFPNIAAECLRHGLDITQQPIPVVPAAHYMCGGVRAGLQGETNVKGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMVDADVDPSFAKKWARPVLSVSLRDSILSDIIEKTKQARMELQSIMWEYVGIVRSTNRLKHAEWKISDLESEWVEFLFRRGWKPTMVGVETCEMRNLFCCAKLVVKSALARHESRGLHFTEDFPYLEESKRKPTVIFPAHIQELTWSSKPLQKQLQCK >OGLUM02G02720.1 pep chromosome:ALNU02000000:2:2036277:2038638:-1 gene:OGLUM02G02720 transcript:OGLUM02G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLZ0] MALEAQPSPSPSPRRSPEAAAGGAGAAGDPPVTPMHSAAGDEWAAEEKRHPTPQQSSSASPPHSAAIVVSSQTHAAAAKYVPPSAAGHVGGGDGRSWYSWNGGRTAKPYRPPPPPRQKPQFQPPPQPPRAWDPSPPPPPPAPAAPVLVPPPAPAPRPAPAPAPRVPARAVEHDHRVVPDILLRKRRAAVLQRTALVARVAAALLCLAALAVLAADSRKGFALDSYSNYSQLRYSEAVNVIGFVYSVLQFFVLADLMRRNKHLNPRRKGDYFDFFMDQVLAYLLISSSSSATARVGDWIDNWGSDPFPKMANSSIAISFMAFLVFAISALISAYNLFRRDI >OGLUM02G02730.1 pep chromosome:ALNU02000000:2:2046156:2048111:-1 gene:OGLUM02G02730 transcript:OGLUM02G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGRFNLRPAVAAPAAGTWNGDFAGERNPVVDRRRCQTYFLLWAAACTKLGLLCGPYWPMSRWIRLNKTKESMGRNGVVAATAAACILLLILLTSGQLQLASAESEIGRCYDDCLPDCEQGSSRAGCKLFCFTCCVLKPIHNCTRGGESTAAAAAPEFAGDAGCRELCTYKEKN >OGLUM02G02740.1 pep chromosome:ALNU02000000:2:2048693:2048968:1 gene:OGLUM02G02740 transcript:OGLUM02G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRVVVAVALLVAAVLAAFAALAPACEGARVMREGAAVVGKMSSYQPPVRHKPPVPPSGPSHRHNKRATVPRKRKHFPPSGPSYHDPHS >OGLUM02G02750.1 pep chromosome:ALNU02000000:2:2050351:2050662:1 gene:OGLUM02G02750 transcript:OGLUM02G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRRPYAVVVPAVRVAVLVVVVVVVLLVLLCGPCDGGARHMLQEESGGAWARRSSEPGAAGVLHRRTSDFLPPSGPSERHNARLDADVAERGQSSPPASP >OGLUM02G02760.1 pep chromosome:ALNU02000000:2:2051817:2059210:-1 gene:OGLUM02G02760 transcript:OGLUM02G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YLZ4] MAGGGVVDGVRRWLRRRLPGDGGRGGLEVVPGPFALPAAIRVPARSSLPPPPPDGQLRNERTSDMEFFTEYGEASQYQIQEVVGKGSYGVVAAAVDTHTGERVAIKKINDVFEHVSDAIRILREIKVLRLLRHPDIIAIKHIMLPPTRREFRDIYVVFELMESDLHQVIEANHDLSPEHHRFFLYQLLCALKYIHSANVFHRDLKPKNILANSDCKLKICDFGLARVAFNDSPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEILTGRPLFPGRNVVHQLDLITDLLGTPSSETLSRIRNENARGYLTGMQRKHPIPFSHKFHNADPLALRLLERLLAFDPKDRPTAEEALADPYFRGISKLSREPSRLPVSKFEFEFERRKLTKDDVREMIYREILEYHPQMLQEYIRGGEQISFLYPSGVDRFKRQFAHLEENYSRGERSTPLRRQHASLPRERVCSSVDSNNQDSDNEERRAISSIARTMISPPRSQEKGKNHASAYPNGIINLNSNPKIYLKSASISASTCIIRGNKGPKENGISEDMEEVVYELSDNVTRMLS >OGLUM02G02770.1 pep chromosome:ALNU02000000:2:2060443:2064350:-1 gene:OGLUM02G02770 transcript:OGLUM02G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WEE1 kinase homolog [Source:Projected from Arabidopsis thaliana (AT1G02970) TAIR;Acc:AT1G02970] MLRTKTPRPRGGKSRRATAAAGKEREREGRSPSGELSLQLEHVSLFSFLADAPREGAAAARTPFTPFEELLEGSCDPDPTPPQPLPPLQPQATPMDADEVVEEKDCGILSQDFFCTPDYITPDAPQLASGFDANKENIPCPNSPEKSVCRSKRYKRDCSPKGLGSNDIFDSQWIAPVQFEGLDDSEEEQLKESSSHKRGSYVSQSAVALRCRVMPPPCIRNPYLNTDNQIDDNVFGGRQCKSSGFSPSVDGDGLSRYRTDFHEIEVILFHFVLVLLMSALDFQQIGRGNFSVVFKVLKRIDGCLYAVKRSIRQLHNDRERRQAVKEVQALAALGCHENIVGYFTSWFENKQLFIQMELCDRCLSMDRNQPLKCGEALELLYQICKGLDFIHEHGVAHLDVKPDNIYVRNGVYKLGDFGCATLIDRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTQLPDSGPQFTSLREGKIALLPGCPMQFQSLIKSMMDPDPVRRPSAKEVLRHPIFEKLHKAPAKK >OGLUM02G02780.1 pep chromosome:ALNU02000000:2:2072050:2074901:1 gene:OGLUM02G02780 transcript:OGLUM02G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSMRSRQEPRRVSNGVIIAAMLLSLCVLSIVKARYCSTPFVKPDDQLQEQMNSSIRMETDEPATMAAGEQEEDEEEEGSGGGGAEPEVSATPAVVVTAAAAGGGGGKRKPTCRMTSKRSERCEARGDIRVEGNASTIYIGGIDKEWKTKPYARYHDPVAMAVVREFTLKPVTESSPACTRNHSVPAFVFSNGGFSGNLYHDYTDVLVPLFLSTHQFKGQVQFLLSGLKPWWVNKFNLFFRQLTKYDILDIDNDKDVHCFPRIVVGATFHKDMGVDPKRSPGHVSVVDFKRALRRAFGLERVAASRGGATVKGKPRLLIISRKNSRRFLNEREMAQAAAAVGFEVRIAEPDQHTDMSTFAQLVNSADVMIGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTTVTFKNPAKDMEVTYMDYNVQLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKTAYLDKQNIKMDMDRFKKTLQEALDKLPPA >OGLUM02G02790.1 pep chromosome:ALNU02000000:2:2079314:2082180:-1 gene:OGLUM02G02790 transcript:OGLUM02G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRGLVLYAAGHAALLPPASGGGGGGGGGGNHLDAFASLSSCGFLSLRSPLPSGEEKCDSTILELAQLLDVYDDLFPAKTEETGQETVQVDPLQLVVPKLSERSNQLSCGIGNVRFMGIRAAMVTNCPLVSSFAANLGFHVSGTEDFVAQSGSSAASKEAGIISQALSLLGFSEGNVQETSEFDLVFLHVAMENTNSKLGKLGMKTDLNRLNKLVGAIMEAAPISSAIASRIHVSVMLTYGSAAENKDEFSISNSSTEIDSDLNLLRPRQSYTMKAGNTLDDVRNHHPILLAQWQEGVTRSDLTEGFSFEEFIKRGGNLSMLAERFLHEVAFKLWKAPKYGA >OGLUM02G02800.1 pep chromosome:ALNU02000000:2:2082356:2088747:1 gene:OGLUM02G02800 transcript:OGLUM02G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase V family [Source:Projected from Arabidopsis thaliana (AT5G64420) TAIR;Acc:AT5G64420] MAGNKRPPTALAEPEVAAAAEEEAAAKPAPAAEAPAKKKKLAMERKKQRKEIDKERHRQSAESDAAAAKPKQPAEVAPEAAAAAAAAPPPVIPVVSGPGLHMNVFRDLASPEASQREAAAEALVAELRQVQVAHEKGGRKEGESEAEGGDGSSRMEAEKDDGLENCAPSVRYAIRRLIRGISSSREFARQGFALGLAVVLESIHAISVEAIMKLIPNLLEYSASMRGPEAKDNLLGRLFGYGSIVRSGRVSGQWAHEEGSPIVKDFVDEVVKLGSKKRYLTEPAVAVILDLAGKLPEEAIISEILEAPSVQDWFNRAADAGDPDALYLALKLQEKTNAQKEIFGKLLPYPFSPEGFFAEEHLLSIAACFKESTFCLPRIHSLWLVITDMLVREAASQSDIATSSSKKHKKNKKGSSSEDTKKNLHTFCEVIIEGTLLLSSHDRKHLSFNILLNLLPRLSPSSIQVILSSKVVHGLMDVLSNESSWLHNAGQHFLKELVSLVSDDNDRRVAVITNLQKYTGGRFDCMTKMRTVKDLVAKFRSGQDCLCLVQSLMSLFVDESSVTDEPSDQSQTTDENSEVGSMEDKDLFGQGSADLLKSWIVTTIPCVLKNLKLTSKGNSLTDSEMVKCIEEKFLVQTEVLKFLAVQGLFSASLGYEVTSFELHEKFKWPKPAISTSIRNECIEQLQFLIEDAQKDEALHVSEVKSNDLGFYFMRFINTLCNIPSVSLFRTLSSNDDNAFKKLLDVESLLFYEERKVGPGLDSTKMHAMRYLLIQLLLQVLLHPDEYWEAAIDVTICCKKSFPSIVQNDKSSGQPSNEGAEVFNEDGPGKSNKDGPEEHNDDASEDSNEDGPLEFMDVLVQTFLSALPHVSGPVFRVVCDEITETGLLDMLRVVKIDLKGSRRQSGSDDDEDDACVDIEDDDETIVEDAEVGDTDSAADGLDEEMDDDSADEVGEGQDDLKESVAHESKDGDVAEVTKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSETKQSQLMRFKLRVLTLLEIYLQRNTGNNLVLEVYSFLMQAFVKSHSADGSEQFKQRIGGILQKRIFKAKDYPKGDGVELSTLESLLEKALKLASRSRYTMVASVAQNAAFWLLKIINSKSHLKQELVSVVEKFQYILTDYFNNKKSRLKLGFVKEAVRRNPWIGEELFGFVLQKIGCTKAEYRRVQTLELVDCILKSWAGDDSSASKVLKKHLSQLCELIQEVLTKIPENKSRRQEVRRFCTRVLQTVTKLNLKDRFQKKLNPETLSLCEAQLGAAFVRFQK >OGLUM02G02810.1 pep chromosome:ALNU02000000:2:2089281:2091749:1 gene:OGLUM02G02810 transcript:OGLUM02G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHKIETGHQDVVHDIAMDYYGKRIATASSDNTIKIIGVSGNSHQQLATLSGHQGPVWQVAWAHPKYGSLLASCSYDGRVIIWKEGSKPDEWAQAHTFIEHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPAMAPGALINTGPSGQFEYVQKLASGGCDNTVKVWKLYNGSWRMDCFPALQMHRDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTAPKEGEQWEGRVLYDFQTPVWRLSWSLTGNILAVSDGNDNVTLWKEAVDGEWQQVTTVEA >OGLUM02G02820.1 pep chromosome:ALNU02000000:2:2094648:2097234:1 gene:OGLUM02G02820 transcript:OGLUM02G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFGPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHPAEVSAK >OGLUM02G02820.2 pep chromosome:ALNU02000000:2:2094656:2097234:1 gene:OGLUM02G02820 transcript:OGLUM02G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRLNPNATPFVPPPRPSFEESLSKRKASEKQVGDTEKDENADKSAEYELPDSLSLDDYAESLGKLNISAESSSKGEATNSAFGPSQYEQNGVDNHLAVVESLSKMFPDVSADFIVEALKAHEFDTELTIDMLADLCEGDDYGHPAEVSAK >OGLUM02G02830.1 pep chromosome:ALNU02000000:2:2097787:2105124:1 gene:OGLUM02G02830 transcript:OGLUM02G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRNFTTMAYPVSPIAGRRSNWRSFATSLNLEDGPASSDSTSSPSEQTSDGGEVYGDSSENLNSQKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWIGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSPEYPIDGEGSMQNNILVVN >OGLUM02G02830.2 pep chromosome:ALNU02000000:2:2097830:2105124:1 gene:OGLUM02G02830 transcript:OGLUM02G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRNFTTMAYPVSPIAGRRSNWRSFATSLNLEDGPASSDSTSSPSEQTSDGGEVYGDSSENLNSQKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWIGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSPEYPIDGEGSMQNNILVVN >OGLUM02G02830.3 pep chromosome:ALNU02000000:2:2097787:2105124:1 gene:OGLUM02G02830 transcript:OGLUM02G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT5G39790) TAIR;Acc:AT5G39790] MECLTTSFTRNPGREYNLICPSEALSEKQRIQRRVLCYFPASTNSRRCRNFTTMAYPVSPIAGRRSNWRSFATSLNLEDGPASSDSTSSPSEQTSDGGEVYGDSSENLNSQKLKSDELKSLLADSERSKLLKKLSEANQYNRFLKRQLQMKDNDVVKFKSELAVMELELQALVALAEEIANFDVPSGSRKINGKYIQSHLLTRLEAVHDKVMEQIKDVDSLKHQEISVYWIGIAENVQIMGSFDGWSQGEAMSMEYSGYQARFSATLNLRPGRYEIKFLVDGEWRLSPEYPIDGEGSMQNNILVVN >OGLUM02G02840.1 pep chromosome:ALNU02000000:2:2102304:2104979:-1 gene:OGLUM02G02840 transcript:OGLUM02G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLGGFNLLLQRGGAAGDRGSEGGGGGSPGTEEEEAAVAVKQRIARALRLYKEAAGGGGWMVQVWAPARDGARRVLATRGQPFVLASQCHRLFQYRTVSLTRVFPVGGAAAADEQGLPARAFDAGAPEWTPNVQCYGSGEYARISYALIYDIQGSLALPILDPDDASSPLAVLELVTTAPLLRVSGEVANLCNALQAVSLRGAGICNSVAEIVNRDATRAAMAEVSELLTTVCEAHKLPLAQTWVRCRSCGGGGEATEKAALTTAGAPFHLAAGADARGFRDACVEHHLRRGQGLVGSTAAAAAAARAPGGGLCADVARCSKDDYPLAHYAGMYGLAGCLVLRAELSAAAMADAAGGGDEEDCVVLELFLPPDCTGVVEQKAAVDAISATIKQCSGNLKAIVISNLDDLFLDTMADGDQQLRHEMDDLGDDQRCSDEEDLQLLENTNIGELNIHNEDQIRNEDPTSQVGKNKTRRGKAEKSVTLEELQKHFSGSLKDAARSLGVCPTTMKRICRQHGIPRWPFRKISKVNRSLDKMKRVMESVNCSPSPPVAMLMPARPALLLPPPPPPPRPCLSSTVGETSSHGSCQAPPPHAKTALQKPPSWCSNGDGVVTIKASHRGDIIRFRVPCSAGVTAVKAEVAKRLSLDAGAFDVKYLDDDHEWVLLSCDADFQECLDVVPALPSMSVTARSGSGAAAPVVVRLMVQEVADNIGSSCASSD >OGLUM02G02850.1 pep chromosome:ALNU02000000:2:2117942:2118316:-1 gene:OGLUM02G02850 transcript:OGLUM02G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLDSFDGLATGILAILVARRLGLRSTGLTGTATLSTAALQACSRTAIARSVFRNIHCMKSTELKGNGTSTERRQGNEQEIETTAAQSAMATVVILAAGVKNLRGGEAEALPLELLGFGRE >OGLUM02G02860.1 pep chromosome:ALNU02000000:2:2118024:2119118:1 gene:OGLUM02G02860 transcript:OGLUM02G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWMFRNTDLAIAVLEQAWSAAVDSVAVPVNPAEASRHENCQDPSSKPIEGIELRRRHRGDRALLLQLRPLAVPGVRIVVVIVALSISAAAGPFLALPGHRAEPSLSQSPKGISTRLVHRKRGQKHITY >OGLUM02G02860.2 pep chromosome:ALNU02000000:2:2118132:2119118:1 gene:OGLUM02G02860 transcript:OGLUM02G02860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWMFRNTDLAIAVLEQAWSAAVDSVAVPVNPAEASRHENCQDPSSKPIEGIELRRRHRGDRALLLQLRPLAVPGVRIVVVIVALSISAAAGPFLALPGHRAEPSLSQSPKGISTRLVHRKRGQKHITY >OGLUM02G02870.1 pep chromosome:ALNU02000000:2:2120393:2123395:-1 gene:OGLUM02G02870 transcript:OGLUM02G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSSSPLRHPLPGLRAAAGPEEVVYVPNVPPSSSPLPPPQPTNPADFWKINIPLTPSATKTEKSTIDGTLLQLKFDPIEFARSNKLMETYSVLGQAWAFTKLKEQTKYLFDSLIVLGCFALNNWSVEGVKKDYGDTAVLFRKLLSESMGEETVHPPDFGMLLSLMEKDGFRNKLDLLKLGFRYKANEVDRMWHAEFPTLLAELQLALFLVDRLEELELEKNFMNRKPMRPKVSSHGTFY >OGLUM02G02880.1 pep chromosome:ALNU02000000:2:2123420:2124290:-1 gene:OGLUM02G02880 transcript:OGLUM02G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRFVTSGMEVFSKLVMMPIARHSQRRRRVMSAASEILTENCRLLRRRISGRSELGCGLPPCRHRGNTPSAAGLSPPRCCCDSTEEFAAGRGFIQPRLGLGNLLVDIEREGSYRHADEGPSPPRRCDFTEFVAGSRFIRRRIGFGDRHVDAGTPPPRRCDSTEFSSTSLARCRNSTELVAARIRFIRRCLGNLLVESDGDGTNFPRRHAGIHDAHKLGVFLEGFSGKLDELTMEMAVGSMKPPDEIISCVRLPGSSPPLRDRENLKACIL >OGLUM02G02890.1 pep chromosome:ALNU02000000:2:2127442:2128457:-1 gene:OGLUM02G02890 transcript:OGLUM02G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVDPNTPGDDPRQIKAKSCPPSSIPPLQVFAHAGSLHSGSLAISPALPRRRLARRLPNAAARDHRRRNPLHNALPGRRVALSSPTFPVKAALEHEIKRSFSIQSSSFLVSKQHVLPGPRLLTPERQERRCHLQMQYVLLGFSRAARTTVAAAASPTFGSLCAMLPDSCSPHRPQLGVGCRQIGVRRRRTGGMNGSGAWEEETVASESGRDEDEAAPWRC >OGLUM02G02910.1 pep chromosome:ALNU02000000:2:2134203:2135248:-1 gene:OGLUM02G02910 transcript:OGLUM02G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRFVNLVLDKVQGNYTVRRMDMSRFFLPRKLATPLDAGAHDGAAAVEYGNLPCPVMSFRASVCAMETMEFMLLGGRHNKIVGTDLTGRTLLYDPDEHVVRSLPTLPMPKVSAVSLTIGDDDLYILDDIQGPFTGGHDHCFHALTYSRESFTDADGDWCCHTLPPPPYMVPHGARRVERGGEWCAMPFTGLAVYVPEHGLFYGLASGTDNVLSASDLISGGRKPEQHSLLPLEYTLPKALTQVSSHLVHLGSANFCIARFFETGFFDADEQHNPELFAVFTAVEVERCDDTGVLRLVKHKSEMYKLISGIYYWVL >OGLUM02G02920.1 pep chromosome:ALNU02000000:2:2135583:2139827:1 gene:OGLUM02G02920 transcript:OGLUM02G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRIRRWVAGTEKATETYQQKQWMLHATQISYPDEECKPIGSTMQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLHGWASSGGDPCMEAWQGVQCLGPNITAIELRGAGLGGKLSETLGKFTAMTALDLSSNRIGGVIPESLPPAVKQLDLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLDIENNLFSGPIPEKLINIPKFLRNGNHLTIPTMPGSSPTPDTIPGSPPTPAAAAAAPRSGASHPPIYVIPATPHGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVLLIVFAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSTVAEEKDTKGSISSYQKNVQESLQNHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAAKITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKFGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERRLVAWASSRLHDLTALEKMADPRLGTPATVRSMSRFADVISRCTQQEAEFRPAMSQVVQDLRRALQPARDACGQQSCSN >OGLUM02G02920.2 pep chromosome:ALNU02000000:2:2136230:2139827:1 gene:OGLUM02G02920 transcript:OGLUM02G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRLVILVLLLSCSLQLLRAFPFPIPFFGPFTSPQDVDAINELYASLGSPDLHGWASSGGDPCMEAWQGVQCLGPNITAIELRGAGLGGKLSETLGKFTAMTALDLSSNRIGGVIPESLPPAVKQLDLSSNSLSGKLPDSMAKLNSLSTLHVQNNQLTGTLDVLGDLPLKDLDIENNLFSGPIPEKLINIPKFLRNGNHLTIPTMPGSSPTPDTIPGSPPTPAAAAAAPRSGASHPPIYVIPATPHGAAQGDPPRHGKKVSPAKAAGFSILAAGSLTIAVLLIVFAVSKRRRETSLHGGFLRGVEMSTPDWSGKPSGQSAVVKVDKEQSTVAEEKDTKGSISSYQKNVQESLQNHPLQFKFTIFTVASLQQYTNSFSEQNLMRQTLFGKIYLAEHQDIKFAVLKLDEAMARMPVDEFLRMVQRISELQHPNIEELAGCCVEHGQRLLVYKHFSDETLDDMIHLKKLASSDDPAAKITLPWDARVAVALEAAKALEYLHEGGQRQVVHQHFRPEHVLVDGEMRVRVSGCGLAAAVKFGLDLQSECWLDALSYEPPEAAAAPWTDKGDVYSFGVVMLQLLTGRRPYDGARPRGERRLVAWASSRLHDLTALEKMADPRLGTPATVRSMSRFADVISRCTQQEAEFRPAMSQVVQDLRRALQPARDACGQQSCSN >OGLUM02G02930.1 pep chromosome:ALNU02000000:2:2141694:2151937:1 gene:OGLUM02G02930 transcript:OGLUM02G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCASPSSRLHGNAAGGGAGGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVISDPRTMQLLCVLPMPSSSLASFVTAVRWVPPSSRFHAAGIDDDDDERRPLRLAAGDRHGRIAVWDARARLVLHWLNLDETRGVAPGSGGGVQDLCWIHHASGWLLASIHGPSLLCIWETSNNPSVLWMFDASPEYLSCLRRDPFDARHLCAIGLQGFLLSAIPRPDSDISLQEHRVTCGASDITELQRLEKEIAAPPPSPALAAFPAFTPKLCFSPLWRNILYVTFPRELIVFDLNYSTALSVTPLPRGFGKFSDVMSDPDFDLLYCTHIDGKLSIWKRKEGEHVHLLCAVEDLMPSIGTIVPPPAVLATTIWQSEAIFRNIEKQSRDLGQIQSTHSVISDTNTNQNMYQGTMTYLTSISEDGKIWSWHLSFDKSACARKINLMGQLHLLSSTVTTLAVPSPSLLATVARGGNNPAPAVPLVAVGTQNGTIEIVDVLANAISVSFAVHSSTVRGLRWLGNSRLVSFSYSQANDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPSAPRPGQNAASKQSSTSKERSAEATGAESTDETSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASADGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSADPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDPRASSTLRPVTTRERFRPMPLCLPILFPTAHALALRMILQLGVKPSWFECNSGDKLASSSFKEAPATFGDLRSYMIETTLPPIGDSVVAELLLKVLEPYRKDGFILDDGRAKLYSAIVNKGTCARFAFAAAIFGDIQEALFWLQLPQALHHYLDKSTSRSSEKGSESSVHYHSEQASTLNRASSSTERSAPENMAKVAENCGQLSSMAFRQEQLWFNANERIPWHEKLDGEEALQKRVHELVSLGNLETAVSLLLSTPPEGSNFYPNALRAVVLSSAVSRSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWVDAATLAASHLHGSDYARVLQRWGDYILRSEHNMWRALILYVAAGALPEALETLRKNQRPDIAALFLLACHEIYSQITTESESSDDTPGSGSPTLEQSPKLRFPSKNVDDEDLIAVSEVFGHYQQKLIHVCMDAEPNVD >OGLUM02G02940.1 pep chromosome:ALNU02000000:2:2153173:2158411:1 gene:OGLUM02G02940 transcript:OGLUM02G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASGMSGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTYTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >OGLUM02G02940.2 pep chromosome:ALNU02000000:2:2154002:2158411:1 gene:OGLUM02G02940 transcript:OGLUM02G02940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKEVVETLSFQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLKNQIMVFNKLLEDQYRLMCKEQPSGVPSMPPTTNGSNMGTYTTPSTAMPDSLLPNGSSSGIVNGTPSSDQFIYAGKVIHGLPSSMDASSSLLAAHNSTAGRFNGDNGTTIKTEASYSGNSDFGFCNESAFLEPCQSIGDASGGSFSSSELNGQPLGDPIMDMDSSSFGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEPNNFSESTPGDHAEIGNRRLDTISEGVSYEDFGSD >OGLUM02G02950.1 pep chromosome:ALNU02000000:2:2158565:2160148:-1 gene:OGLUM02G02950 transcript:OGLUM02G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 family protein [Source:Projected from Arabidopsis thaliana (AT2G43030) TAIR;Acc:AT2G43030] MAMAAVGIGGGGGALGLLAPRRRAASWSGAGGAAAAARRRPLVAVVRASYEAGVGVMATKVGMMTYFDPATGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVREEKLTRPELGHLGKAGAPPLRHLQEFRLQSVDGYDPGQPLDFADMFKEGDLVDISGKSIGKGFQGGIKRHNFKRGLMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLKVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >OGLUM02G02960.1 pep chromosome:ALNU02000000:2:2161875:2167088:1 gene:OGLUM02G02960 transcript:OGLUM02G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQRATGAVCAVIGSFSGRDSKKSSASGSSSSSSSTQEIAVARGTTLELLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPVFAAIELEYAESDRDPTGQAAEQAQKHLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGLLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKSLFFFLLQTEYGDIFKVDLEHSNDTVTELRIKYFDTIPVTSAICVLRSGFLFAASEFGNHALYQFRDIGRDVDVESSSATLMETDEGFQPVFFQPRALKNLYRIDEIESLMPIMDMRVANLFDEETPQVFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVSLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLMFLEVQASVGGEDGADHPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAVSFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYLAVIESDKGALSAEEREAAKKECLEAAGVTENGNANNGDQMENGDGQEDGAEDRNTLPDEQYGYPKAESERWVSCIRILDPKSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRNLSAGFIHIYKFVDEGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPRTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAANHIDFDTMAGADKFGNIYFARLPQDLSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >OGLUM02G02970.1 pep chromosome:ALNU02000000:2:2169323:2180961:1 gene:OGLUM02G02970 transcript:OGLUM02G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGQAAHLSGQMSGQAPQTNQVGGSGVGGADGLPQQMQDVVGLGGLDTQFLLMRNTMRDRIFEYIGRKQSSTDWRRRLPELAKRLEEILYRKFLNKADYLNMMRGPVEPQLQFAIKTLSAQNQQNQQNQQIPRQMASSSGYGTMIPTPGITQSATGNSRMPYVTDNTGLPSSGATMVPQGANTGSMSNGYQHLTTSVPLNSTTSSIPSTMGPVGIQRQVTHMIPTPGFNNQQNVPVNPDFSNGAGYFNGEPTVTSQMQQQKQFPSNQNSHQIQHIGGHSNSGMHSNMLENSSAYGLSDGHVNGGMGVHGSNMQLTNRSAASEAYINISTYGNSPKPVQQQFNQHPPQRIPTPVDISGSGNFYNTGSSALTAANNHSMGVTNLPSRSRMNSMLHTNQLNMQSIQPQPQIKTEVLDQPEKMNFQSSQLTHEQLIRQQHSMQQHQMQPGSQFVQNQYHLNQQQPNSQHQQSILRSNSLKQPQLSSSHSMQLSEQGALPHTELISSQATEHADIPIYQGQYQQRSAHDNVKGGQVFGHLSSSQNFHSNASHDSQQLLPTNQQLDDSSNDVSYVLKGSQPEQVHQAQWRPQTMEKAPVTNDSSLEKQIQADLCQRTMSQDGAQQPFSSDWRLPGCTVTPADPALPKLPSGGLEQAAGNIYYFRQMKWLLLLFHAKSCLTPVQELVKHFENCKRKDCSYRDCRRSRMVTEHYKACVDLQCPVCSNAKKLLQRSAELASKQKPPEPRKIAQQNTAQRIMNGVEGDIMDIDLVSDEIFDSQPSVPKRLKMQPVSPSTAEREVSMPSNAGLILQETHSELPDQNNKVGQLKMDVKIDPRPLQKPAKIVYGTDGNVPTARHNVAPGGSNEIKTHVKQEIMPIDKETSETAPEVKNEANDSTDITVSKSGKPKIKGVSMTELFTPEQIQEHINSLRLWVGQSKAKAEKNQLMGHNENENSCQLCKVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHFFCIPCYNESRGDTIEVEGQNFSKARFEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKRGLRMPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQERQDRAAQERKSIEEVPGAEGLVVRVVSSVDKKLEVKPRFLEIFQEDNYPTEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSYPNQRRVYLSYLDSVKYFRPEIRTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKATKEEIVVELTNLYDHFFITMGECKAKVTASRLPYFDGDYWPGAAEDMINQLRQEEDDRKQQKKGKTKKIITKRALKAAGHTDLSGNASKDAMLMHKLGETIYPMKEDFIMSLLYPYGVWKTCYDAEQQLEDRERHPSNSRDTHTLHPVDIVGLPKDTKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNSCYQKGAVNHAHKLTNHPSAADRDAQNKEARQMRLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACRDSGCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >OGLUM02G02980.1 pep chromosome:ALNU02000000:2:2181662:2184534:1 gene:OGLUM02G02980 transcript:OGLUM02G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mraW methylase family protein [Source:Projected from Arabidopsis thaliana (AT5G10910) TAIR;Acc:AT5G10910] MSSKPRIHNLSPNPEILAVHLLIGRFGKHPAAVLSAPCSPSRVALASTTMAMAARRLLAFHLRPPAHPLAAATAAPHRRRKHDAVACRAVAGKGTSKARARDKDGSKRQQRRALEEHLKRRTRSGAAFDAGLYRRHSHAEHVPVMLGEVLAAFRRPLPLRSFVDCTLGAAGHSLAMMEAHPEMEMYIGMDVDPSALEIGRSRIEAFLASREANGDDEDASQGTLRAYTHAKNFKYIKHVLGSVDENIAVGTSGIDGILIDLGMSSMQVNRSDRGFSVLQDGPLDMRMDPKATLKAEDILNSWPDLEVGRILRDYGEESNWQSLQKRIVKARAMGGLHSTGELVKLIQRTCTSSGGRQGWIKTATRVFQALRIAVNDELRTLEDALHACFDCLATDGRLAVISFHSLEDRIVKQTFLELIHEDEIDDDEDDLVSADIDSEDEPWFKQRVQGKNGTILTKRPISPSQEEEELNQRCRSAKLRVIQKA >OGLUM02G02990.1 pep chromosome:ALNU02000000:2:2185523:2189596:1 gene:OGLUM02G02990 transcript:OGLUM02G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescence phenotype 173 [Source:Projected from Arabidopsis thaliana (AT1G16720) TAIR;Acc:AT1G16720] MAAMASRCAASSSSSAFLGAPLPGGRHHQGSCGFVAGGGAKTTARVVAALDTEATTAKAGKSASRARRARKDGGEPGGSSSTALLAPTSNGGGGVALDDVIVNPVGLGRRSRQIFDEVWRKFSRLGQMSTVASPEQEEQEGVLFRGGGPMCDFAVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLSIAKFKSAKSLQGWEVNQGSYFQDIYPSRFDEGTDASFEISENGQAVFSGFVFTRGGYVEVSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSDFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSQGASDPRNFQLIMEFIKALPTGQETDIVLVSCTGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSKQGDELYELVAHLPDKANNYLAPALSVLEKNT >OGLUM02G03000.1 pep chromosome:ALNU02000000:2:2190754:2193704:1 gene:OGLUM02G03000 transcript:OGLUM02G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEANGEEEEQPRRNHLREDAEEEEEEEGQRAQRAARPVSGQQQQQRRRRPTDVGGGAAMRSVGYVGKHRLSAAIARLDQELQSLQDELNELETMEPASAACQEVITSTEGKSDPLLPVTIGPENASWERWFQRVRSSRSNKWWASKGSDFS >OGLUM02G03010.1 pep chromosome:ALNU02000000:2:2196827:2197027:-1 gene:OGLUM02G03010 transcript:OGLUM02G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERCSCHGEAWLPVLMRRRCWWWCCFYALIILVVSGDALPGLPNQSATAVLPSDLLRTWCPRLAX >OGLUM02G03020.1 pep chromosome:ALNU02000000:2:2197767:2206670:1 gene:OGLUM02G03020 transcript:OGLUM02G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAFSASPIVRRLHCRRPGRGALGGAPLCPSREPRPWPERSGGGGGGGGRADQGRKRSPTSAEWPPGCRSQRRGHRRSIRPGMLPHRSIGFAKGEKSRAVFVMGRTSEKHTSKLKVPKMMSSIAVAAASAGLRGLAQPIIGNLSSFASRYVGVDMAREMVDLETIILPQLNLIIASAESQPQHVNNIVQKCLQRLKVELSQAEDLLDEHEYDLLKHRMKQKKLSVFSVHGQCQGLISKPFIWVLSNIYSLSPHNIKMLNHLKEVKSILETARNFLGVLSVATQVSADTAGSRVIQDTTTFCEEKVFGRDKDRGSIINLLFDPAMVGGGNSNINGYSSIAIVGAGGAGKTTLLQYICSDDRVQNNFHVIWVCMSHKLDIHKHTTEVIESLASEETPKIQNLDTLQRKLKNLLLEKREKELLLVLDDVWFEQRHHSEWEQFLAPLISAEFNKGIRILVSSLLNCRKTVSLEDIEENDFLAFFRYYSIGRVLIGNEELEEELQGIGDDIAKKLRRSPLEAKAVASRLSRMLDVEIWKHARDSKQLDGNIMENLLWSYQRLDPQVQRCFLYCSIFPKGYMFHIDEIILLWEAEGFVSSTGCSERAEGIARQYFYELSLSGFFGKQSHGKDSHVGYRMHDLFHDLAENLSIDDGYRIETEENTEIPQFVRHLSISVPSLERHAASICKLEQLHTLIFFNPVADIGKFLKPMLKKLKKLRVLSLCCFSSYTLPKHIGKLKHLRYLNLERTSISKLPKSSCKLYHLLVLKMNKKVSKTLPKKSNNLISLRRINGPLKDVRNVGMLTSLEDMKEFQVKKEKGYEIGQLGSLTKLRGHLRIMNLDNQRMQSSKRTNLDALRLVWNHDTYKDNNVDLEVLEGLEPSSRLNELAIEGYRSTSYPKWLIGCSQSLRSLELLNCTFLENLPSNLQCFARCRSLALLNLTGLKRLSPLPENLTSLKFGGCSSLCFISKEEEEHGVNPARENALQPLTSSTELETLTEILRLDGSELEQFQACFQELQYPVSTISARRRDVAQLVLPLTLRRLELSSCNITDQALSECLRSLTSLKDLALLHITTLSALPSKQVMENLAMLSSLAISFCPSLELSDCRVLPSQLKEVTVHGCTIHDGFLHDDLPFLVSLEISKCRTPSVIQVGAWPSLKCLKLCDCLDVCFLEGLPALESLQEVQLVLPNLGADSFTGCKGNWRSLRVRTSSLLHDLSELEGFAPPMLLTIEGCQESDFSLEGIQNLSSIVGLSFMNCKVQSISAMKDLASLETLAFFDCPLLISLPELPPSVQYLDIIGCQILEKSCRSRRGEDRRKISQIPHVVSGEYSMIDQSRRRAEDGGRGEGDDGVAAACASGEAGADIILTHFAR >OGLUM02G03030.1 pep chromosome:ALNU02000000:2:2206715:2207450:1 gene:OGLUM02G03030 transcript:OGLUM02G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCTVTAAWRRDQAIPSGRICATTDTINSIQPTTNATVLQSNRLIVAKKLLVVSRYLQTAGCTRRAVRCAIIEKIGLLGHRVSVVGTL >OGLUM02G03040.1 pep chromosome:ALNU02000000:2:2210361:2212448:-1 gene:OGLUM02G03040 transcript:OGLUM02G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGHAAAAWALLLLLLGAAVAQHEGNVSEEYAASFASRYDAPPSWAFPNPRLRAAYAALQAWKQTAIFSDPSNFTASWVGANVCAYNGVYCAPSPGYGGGGGGGLVVAGIDLNHADIAGYLPASLPLGLPDLALIHLNSNRFCGVVPDTFRRLRLLHELDLSNNRFVGAFPEVVLALPSLRYLDLRFNDFEGSIPPALFDRPLDAIFLNSNRLRNPIPANLGNSPASVVVLAHNHLGGCIPPSIGKMADTLNEIVLIADELTGCVPPQVGLLKRVTVFDVSDNHLQGPIPASVAGMVAVEQLDVARNLFEGAVPAGVCGLASLKNFTYTDNFITSRPGCGVATADGAWNCIPGAPGQRPPPQCAAAAAHPFDCSKAQCQAASYTPTPGGSPGRGGQGPPAPVSSPPRRRGPRPQPPSSSPTPSYPAPPGSNTPSYPSPPSSATTPSSHSPPGGSSSTTPSYPSPNGGKPSTPSYPSPPGSTTPSYPSPPLSSTTPSYHSPPQGHTTPSHPSPPSSSTTPPSHSPPQSSRTYPSYPSPPVTYTPPPPTSADRPDVRSAPPPGSYGPVPSTPPSSGSPPSHSSGHQPPSPSSGAYQPPSGQPGASPPTQHVPGAGTPTTTPPSHSHPSTPPSPSGPSFHPPPTPHNCSPPSHGGSTGGGGGHPPSTSAPPGGKLPFPPVYGVSYASPPPPVKPYN >OGLUM02G03050.1 pep chromosome:ALNU02000000:2:2252441:2253931:1 gene:OGLUM02G03050 transcript:OGLUM02G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWLVPPPPPARHGVVIRMLQAPAVVALAAVLAASTSPATAAAAAADPAPQEEAAAEGGAANTLCNVPPTLSGEDKQAEKIKHPKSASAMRCTSKCVSTCVLGGAGSPGVDGPFNIRRVNEMNTSMLLLISSTNSFCSVHGWIEIYADHWWCSRRIFAAVSTAFWNARTSAT >OGLUM02G03050.2 pep chromosome:ALNU02000000:2:2252441:2253931:1 gene:OGLUM02G03050 transcript:OGLUM02G03050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWLVPPPPPARHGVVIRMLQAPAVVALAAVLAASTSPATAAAAAADPAPQEEAAAEGGAANTLCNVPPTLSGEDKQAEKIKHPKSASAMRCTSKCVSTCVLGGAGSPGVDGPFNIRRPLVVFKEDFRSRQYCLLECSDICNLIKDGEDGQ >OGLUM02G03060.1 pep chromosome:ALNU02000000:2:2254033:2267366:1 gene:OGLUM02G03060 transcript:OGLUM02G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEEGGGGGAVARIFVGGISEGVAAADLEAMFASVGRVAGVEFVRTNGRGFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAQEMPASADVESKKEKLEPNKAVLDSTKINIYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANDEYASVLDAAAYEKERSIMNSVMNKLFEKENDHLDSMEIQNHGVDFDAAEPSNARNELQMDKREETSEEDLDDQMEETEDPSEEELDDLVLNIVTRKPKSSVAQLNSEKQAADKDSRFRKRQQFEESSLQKRHKSSDFSETRNRKQSFPAISGAIQNEQKSSDLSGKGTNEFSSELDGDKSSASVQDVEALADSSTRNGSEQNSLASEPKRVSLWTQKSAWRDLVGGMGSASFSLSQILPNTNPAPPKVSNATEASASHAESGTKVKPSGKSLKPSEAATQLLPEQKMPTSSMAMLSSERKENNKLEKERVVPKITIGEVCPFMRNSESEKQWSKAKKVLTGFIKKGNESTGSNVGKGKPSTRR >OGLUM02G03070.1 pep chromosome:ALNU02000000:2:2268138:2270840:1 gene:OGLUM02G03070 transcript:OGLUM02G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVRWLGAARRPGAEVVSKIAGRRHHLTTTAAADSNLPSQAPGRLVNRGGAQVIGAGDFFGWESNDCGCLADLAPFPHRGAPFPRRRCGAASPSLPWWFAAEQVRFGVGDPFESDDGGILPDLAAFLRRRRRCAHSRRIFEFESTHHGFGKSNNGMSGGLRFMLDDTDKRFRHVRARRNRWQQLVIRKMFSSSGKRSSSTSRQQTPSPTSLPAAIPSQPAAAISSSSSETNSSSEQEKGASLSACYIDESFGHQEIIDAETYVKANPMLVKANVLGSAKTFWRMSDIAVRLIRRLARILKILHEQKRYLLVPLSVQNLVILSGELTLRNVTLADDDFSFDRIKCDYQYMSVVLEELIKLSVGQNGLTNIPPDFGKYLGLLKGLVKPDDEFLITNHASLLPMANRTDAFMMMYNHIMGYLGRKDPTKKIDILSHLKYDTDWLRTASGNSEIGKWLRRRRYGRTVKEFLHLNRNIRSHPYEHSEEKIEEALYGEWPELLVEMQEKLHEEGELQNTEMESKFGQQ >OGLUM02G03080.1 pep chromosome:ALNU02000000:2:2280412:2281512:-1 gene:OGLUM02G03080 transcript:OGLUM02G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRRRFVYLVVGACHSRRAVLDVCHTPAATFRLHRVNMSRFFYPQPPAAMPPPPPDASAMVDARLPRHTMSFYAPSSSSEEEHYDGRMQFMLLGRDRVLATDQTGRAAIYDAAAHATRTAPAFTRPKNDPVSVAVGDDALYVLDTSARPEEPCFEALVYDRGGLRTGTWRTDYYDDWRCHPLPPRPFPGAYLDAVGAYTVVGGSDIWMSTKDGCTYSFDTARRAWAKQGDWTLPFQGLAEFVPEYNLWFGLSSTKNNHLCALDLAGAAKRQSSSPPAPRNVWEDLKPPKDWRPERSYLVHLGSGRFCVARFFDKIDSDMDVRSTTVKAVFTGVEVKPCGKAGRGLQMIKHKSECYSLEEPFHWVL >OGLUM02G03090.1 pep chromosome:ALNU02000000:2:2283017:2286776:1 gene:OGLUM02G03090 transcript:OGLUM02G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDSRRLLPSRHNSHHQMDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQIYAGSVNWGVFGSMKIVFLKSKLNVLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGIPFCVVMGWMMGKPMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGLMLFLCYLIVAASFYVHADPNSKASEKPPQN >OGLUM02G03090.2 pep chromosome:ALNU02000000:2:2283172:2286776:1 gene:OGLUM02G03090 transcript:OGLUM02G03090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSDSRRLLPSRHNSHHQMDKINGTNPESTDQAPSLASRPDEFEEEESLVTPDALSARIGGFQIYAGSVNWGVFGSMKIVFLKSKLNVLIPCGFLAIFLNYMTQRYGWVFPLSMLGIIPLAERLGFATEQLALFTGPKGSILSNLLLVLGSAFFSGGLACGKTMQTFSKADAVVNSGLLLMAVMGLLIPAALHYTHSEAQFGKSELALSRFSSCIMLVAYASYLYFQLSNNRRRNEANVGASKAWNIPVAFISVVLLPVVGNSAGHANAVMFAVKDKLDISLGVAIGSSIQISMFGIPFCVVMGWMMGKPMDLNFHLFETASLLTTVLVVAFLLQDGTSNCVKGLMLFLCYLIVAASFYVHADPNSKASEKPPQN >OGLUM02G03100.1 pep chromosome:ALNU02000000:2:2286950:2289197:-1 gene:OGLUM02G03100 transcript:OGLUM02G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT3G13040) TAIR;Acc:AT3G13040] MSTQSAIAVKQFSGPDKIAQAYTVPQPSAHVLSNANYDLCGSTNSTSLSCAIQSSNIKTESISSSSLPKILPFSTDSNGESSLSRMSQAEFSDPILSSSSTFCTSLYTSSPMNSGSCRKTGYLPFLPQPPKCEQQENSAGQSSSSLMLLDADLRNSGHADDEHTDDLKDFLNLSSDCSFHGKCSAMAYNEQMEFQFLSEQLGIAISNNEESPRLDDIYDRPPQLMSLPVSSCSDQEDLQDARSPAKVQLSSSRSSSGTASCNKPRLRWTPELHERFVDAVNKLEGPEKATPKGVLKLMKVEGLTIYHIKSHLQKYRLAKYLPETKEDKKQEEKKTKSVANGNDHAKKKSAQMAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARESISSMTSTTEGESPEFAPMEKTEDKAETSSAPLSKCRITDTDAECHSKVDNKKTKPQADLEMVHDE >OGLUM02G03110.1 pep chromosome:ALNU02000000:2:2293717:2296146:-1 gene:OGLUM02G03110 transcript:OGLUM02G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETATAAAAAEKASSYRYWVREATGDAAPLPVPRKLDATAAAAANGNGNPPPLGSVWNQAGTWEEKNLNSWANNSLEFPTGKASLDGVSKCIGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEEKMKVKGHLDIPEFSFGELEDLEVEVRFSDDKGLASDDKRQICKDLKSFLSPIREKLRAFEEELKDRSLKVPYFSQYPIACNCDCML >OGLUM02G03120.1 pep chromosome:ALNU02000000:2:2298412:2303962:-1 gene:OGLUM02G03120 transcript:OGLUM02G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >OGLUM02G03120.2 pep chromosome:ALNU02000000:2:2298412:2303962:-1 gene:OGLUM02G03120 transcript:OGLUM02G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEVFSLSDYLSDLAHELIHLSESFLDLEFICFIQINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKMFEYPLPEISSPALLQVWYEWAVTAPSPSPIHNSNGRSYWVGL >OGLUM02G03120.3 pep chromosome:ALNU02000000:2:2298412:2303962:-1 gene:OGLUM02G03120 transcript:OGLUM02G03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SHK1 binding protein 1 [Source:Projected from Arabidopsis thaliana (AT4G31120) TAIR;Acc:AT4G31120] MPLGQRAGDKSESRYCGVEVLDFPAGEELPAVLSHSLSSSFDFLLAPLVDPDYRPTPGSVLPVAASDLVLGPAQWSSHIVGKISEWIDLDAEDEQLRLDSEITLKQEIAWASHLSLQACVLPPPKRSSCANYARVVNHILQGLTNLQLWLRIPLEKSEPMDEDHDGAKDNSDMEHTAIYEFTRAVAFLTNARGYPCLSKRHQKLLTGFFNHSVQVIISGRSNHNVSQGGVLSGDENHTEDTAVRHALSPYLDYIAYIYQRMDPLPEQERFEVFSLSDYLSDLAHELIHLSESFLDLEFICFIQINYRDFLQSPLQPLMDNLEAQTYETFEKDTVKYTQYQRAIAKALVDRVSDDDVSTTKTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTIISSDMRCWEAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIEPITASKLHNDIKAHKDIAHFETAYVVKLHRIARLAPTQSVFTFDHPNPSPNASNQRYTKLKFEIPQETGSCLVHGFAGYFDAVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPSKTPIEVHFWRCCGATKVWYEWAVTAPSPSPIHNSNGRSYWVGL >OGLUM02G03140.1 pep chromosome:ALNU02000000:2:2307090:2319544:1 gene:OGLUM02G03140 transcript:OGLUM02G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWWWHGRAAAAAAVACWVWLAAAAAAGVEAIGANWGTQASHPLAPDTVVQMLKDNGFDKVKLFDAGEDTMSALRKSGLEVMVGIPNDMLAAMASSMAAANKWVDQNVSNYLNDGVKIRYVAVGNEPFLETYNGSFLQTTFPAIRNIQSALVKAGLGSQVRVTCPLNADVYQSSTSKPSDGDFRTDIHDLMLTIVKFLSDTGGAFTVNIYPFISLYSDSNFPVDYAFFDGAASPIVDGSATYTNMFDANYDTLIWALKKNGFGNLPVIVGEIGWPTDGDMNANIQMAQHFNQGFLTHIATGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGLPKYQLNLGQSHGLLKAKNVKYLERKWCVLKPSIGLTDPRLSDSISYACSLADCTSLGYKTSCGGLDTRSNISYAFNSYYQKNDQDDVACGFSNLATITGQDPSTGTCRFGIMIEVDSAFSWKLQHVRSSNLLMLLLVLLQLCLSFS >OGLUM02G03150.1 pep chromosome:ALNU02000000:2:2319904:2344296:-1 gene:OGLUM02G03150 transcript:OGLUM02G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRVAEGGGDPWLRTKNGHVGRQVWEFDPAAGDPDELAAVEAARRGFAARRHELKHSSDLLMRMQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHGYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYLGHRKSEIDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLALIDAGQAERDPIPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADKVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEVEAARREYIRRRRATTGGGGMAAAPPPRRLGALASAGLLHGIDAQLRRFTRSNPSKLEIPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLFYPGYSTACDWCTKYCAINRTSKGDSPNEDGGWGLHIEGTSTMFCTVLTYVTLRLLGDESDGGDGAMVLGVFDWSGNNPLLPELWMLPYFLPFHPGLPSPYSVSSSYNNRENYRRYWLECMLVQKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGGWGESHLSCKDKGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVTGNTAS >OGLUM02G03150.2 pep chromosome:ALNU02000000:2:2311844:2335279:-1 gene:OGLUM02G03150 transcript:OGLUM02G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEEAGAGGEGWLSSTNAHVGRQVWEFDAAAADDDDAAAAAEEVEAARREYIRRRRATTGGGGMAAAPPPRRLGALASAGLLHGIDAQLRRFTRSNPSKLEIPGIKLGEDEDVTEEAVLTSLKRAIRRYSTLQAHDGHWPGDYAGPMFLLPGLFYPGYSTACDWCTKYCAINRTSKGDSPNEDGGWGLHIEGTSTMFCTVLTYVTLRLLGDESDGGDGAMVLGVFDWSGNNPLLPELWMLPYFLPFHPGLPSPYSVSSSYNNRENYRRYWLECMLVQKFVGPITPIVLTLRKELYNIPYDDINWDKARNQCAKEDLYYRHPLGQDILWATLYKFVEPVLSHWPGSKLREKALKNAMQHIHYEDENTRYICSGAVQKVLNMLSCWIENPNSEAFRFHIPRVHDYLWVAEDGMKMQGYNGSQLWDTAFTVQAILATNLIEDFGPTIKLAHDYIKNSQLLHDCPGDLSYRYRHISKGAWTFSTADQGWAVSDSTAEGLKNNNGGFATYELTRSYAWLEILNPSETFGDIMIDYPYVECTSGVVQGLTAFRKHYPGHRREEIDNCIQKADSFIQSIQRSDGSWYGSWAVCFTSGTWFGVKGLIAAGRTYENCPAIRKACNFLLSKELPCGGWGESHLSCKDKGERDPAPLHRAARILINLQLEDGEFPQQEIIGAFSKNCAISYSQYRNIFPIWALGEYRCRVLLGRQDASVRGSRGGQGGGRHCRAPIPAMASEGSPFPAVGRRRSPPRATASARRNEADVAAGGSGGDLGGRRGKSNRDQGLICIMHVRQQCPTCLIVNQWFKA >OGLUM02G03150.3 pep chromosome:ALNU02000000:2:2335965:2344296:-1 gene:OGLUM02G03150 transcript:OGLUM02G03150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRVAEGGGDPWLRTKNGHVGRQVWEFDPAAGDPDELAAVEAARRGFAARRHELKHSSDLLMRMQFAKANPLKLDIPAIKLEEHEAVTGEAVLSSLKRAIARYSTFQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTALSSEHQKEIRRYLYNHQNEDGGWGLHIEGHSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRKWILDHGGATYITSWGKFWLSVLGVFDWSGNNPVPPEIWLLPYFLPIHPGRMWCHCRMVYLPMCYIYGKRFVGPVTPIILELRKELYEVPYNEVDWDKARNLCAKEDLYYPHPFVQDVLWATLHKFVEPAMLRWPGNKLREKALDTVMQHIHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRVHDYLWIAEDGMKMQGYNGSQLWDTAFTVQAIVATGLIEEFGPTLKLAHGYIKKTQVIDDCPGDLSQWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKISPDIVGEAVEVNRLYDSVNCLMSYMNDNGGFATYELTRSYAWLELINPAETFGDIVIDYPYVECTSAAIQALTAFKKLYLGHRKSEIDNCISKAASFIEGIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFKNSPAIRKACDFLLSKELPSGGWGESYLSSQDQVYTNLEGKRPHAVNTGWAMLALIDAGQAERDPIPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSEYRNIFPIWALGEYRRRVLAADK >OGLUM02G03160.1 pep chromosome:ALNU02000000:2:2332151:2336753:1 gene:OGLUM02G03160 transcript:OGLUM02G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEVINRQYPFEPLKETDRSTTS >OGLUM02G03160.2 pep chromosome:ALNU02000000:2:2332144:2336753:1 gene:OGLUM02G03160 transcript:OGLUM02G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEVINRQYPFEPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTKYDTDFYMLCRYPSAVRPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEERGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >OGLUM02G03160.3 pep chromosome:ALNU02000000:2:2332151:2336753:1 gene:OGLUM02G03160 transcript:OGLUM02G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEVINRQYPFEPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTKPFYTMPCSDDPRYSNSFDVFVRGEEIISGAQRVHVPEVLARQAEERGIDVGSIAAYVDAFRYGAPPHGGFGVGLERVVMLFCGLGNIRKASLFPRDPRRLNP >OGLUM02G03160.4 pep chromosome:ALNU02000000:2:2332151:2335379:1 gene:OGLUM02G03160 transcript:OGLUM02G03160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEVINRQYPFEPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTK >OGLUM02G03160.5 pep chromosome:ALNU02000000:2:2332144:2335379:1 gene:OGLUM02G03160 transcript:OGLUM02G03160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGDDDNHGDPLQQQPEEEDGGGYGDVAVGGGLFSVPGYEWADVAALGPDVAGRAVRVRGAAHAVRAVGRRVAFLVLRQGSSTVQCVVGGGGGGGGGDAGVARFAAGLSRESVVDVAGVVSLPREPVRGTTQQEVEIQVKKLYCISKATPNLPISVDDAARSEEDVVKAKAAGEQLVHVGQDKRLDFRVIDLRTPANQAIFRVQCEIENIFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAICGGFERVFEVGPVFRAEDSNTHRHLCEFVGLDMEMAIKDHYSEVCDVVDRLFVAMFDHLNKNCAKELEVINRQYPFEPLKYLPKTLRIEYDEGIRMLKEAGVHVEAMGDLNTESEKKLGELVHTK >OGLUM02G03170.1 pep chromosome:ALNU02000000:2:2353864:2356930:-1 gene:OGLUM02G03170 transcript:OGLUM02G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHEERAVVVDGAGGTMVPHGERAVVERGADLHLASREGDPGSSPPHTSQPRPLLRPLSLALTRRRPSPTPTYAQTPVYLNLSLSRCATAVDAALDCRRSAVVATKSASPIPPRPAPQSRIAPRPLPRSRSPNPNPNPTPGRLGASSTGSRGPLTIFACDLTGRASIHGHCGPLPSNRNSSIAIGDGSRIPIWISGKQPFVDSDHFVHKYFLPQEYAILIPVLAGVILLSFLSVFMGLVMLKSKKKKKTT >OGLUM02G03170.2 pep chromosome:ALNU02000000:2:2353864:2356930:-1 gene:OGLUM02G03170 transcript:OGLUM02G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHEERAVVVDGAGGTMVPHGERAVVKNPLTRLLCSLHGCAISWLNRRGAPISIWRAEKGILNGQDHLCLKSNAEDRLHLTPLNRALFSAPSLSLSRAAAPPQPRPTPKPPSTLISPSHAAPPPSTPPSTAAAPPSSQPSSRRHRSPPPARPQPRHISRLAATDPRRRLALNRSPSPSSHPSPSTDPPSHAASSPSRAVDPLSAPPDLIEKSASPIPPRPAPQSRIAPRPLPRSRSPNPNPNPTPGRLGASSTGSRGPLTIFACDLTGRASIHGHCGPLPSNRNSSIAIGDGSRIPIWISGKQICTISAQMELGDKAVGFILTLTSLSIFTYYTFWVIILPFVDSDHFVHKYFLPQEYAILIPVLAGVILLSFLSVFMGLVMLKSKKKKKTT >OGLUM02G03170.3 pep chromosome:ALNU02000000:2:2353864:2356930:-1 gene:OGLUM02G03170 transcript:OGLUM02G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPHEERAVVVDGAGGTMVPHGERAVVKNPLTRLLCSLHGCAISWLNRRGAPISIWRAEKGILNGQDHLCLKSNAEDRLHLTPLNRALFSAPSLSLSRAAAPPQPRPTPKPPSTLISPSHAAPPPSTPPSTAAAPPSSQPSSRRHRSPPPARPQPRHISRLAATDPRRRLALNRSPSPSSHPSPSTDPPSHAASSPSRAVDPLSAPPDLIEKSASPIPPRPAPQSRIAPRPLPRSRSPNPNPNPTPGRLGASSTGSRGPLTIFACDLTGRASIHGHCGPLPSNRNSSIAIGDGSRIPIWISGKQPFVDSDHFVHKYFLPQEYAILIPVLAGVILLSFLSVFMGLVMLKSKKKKKTT >OGLUM02G03180.1 pep chromosome:ALNU02000000:2:2365661:2370388:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSAAATSPFLLPSARHMFPSSKPCLPNDRAFKGSNSSSSTVLILAAGRCCFCRCEAVGEAGTVLPQRGVDDGGVVCTSTTQRSVFGVAVGVSSALVLGLAAFDDALAAGLSPEEKLKLCDAACEKELENVAMVTTESVLQYKDIKVGEGPSPPIGFQLTLFKYTCKLQAEICSVGTMVVDAHGWVVDREFGFKAQELDEDQRWLAGLQQKPEKMLTTSRKWTFGHLQKRKCPWTRGGENKGSPGALNWRVNDAGGQEDELRAFVSAAFDACPSDKTPLRRHELHALAVVAAAHLRDTAVPLVPHCRIRGWRSIGHQRWGTTASDTAPPIKDMALKASGTCWHCKPCVGSSVAGASHWHHPYHQDGGSGFGDSDAASGSEQFLYMYHSTSTP >OGLUM02G03180.2 pep chromosome:ALNU02000000:2:2366949:2370388:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWYRMDRYLTGEIKLTLFKYTCKLQAEICSVGTMVVDAHGWVVDREFGFKAQELDEDQRWLAGLQQKPEKMLTTSRKWTFGHLQKRKCPWTRGGENKGSPGALNWRVNDAGGQEDELRAFVSAAFDACPSDKTPLRRHELHALAVVAAAHLRDTAVPLVPHCRIRGWRSIGHQRWGTTASDTAPPIKDMALKASGTCWHCKPCVGSSVAGASHWHHPYHQDGGSGFGDSDAASGSEQFLYMYHSTSTP >OGLUM02G03180.3 pep chromosome:ALNU02000000:2:2365661:2370388:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSAAATSPFLLPSARHMFPSSKPCLPNDRAFKGSNSSSSTVLILAAGRCCFCRCEAVGEAGTVLPQRGVDDGGVVCTSTTQRSVFGVAVGVSSALVLGLAAFDDALAAGLSPEEKLKLCDAACEKELENVAMVTTESVLQYKDIKVGEGPSPPIGFQLTLFKYTCKLQAEICSVGTMVVDAHGWVSVLPREKGVPLAEVQLSKNGATEGVESSASKLKSLMRTNDGLQACSRSRKRRKCPWTRGGENKGSPGALNWRVNDAGGQEDELRAFVSAAFDACPSDKTPLRRHELHALAVVAAAHLRDTAVPLVPHCRIRGWRSIGHQRWGTTASDTAPPIKDMALKASGTCWHCKPCVGSSVAGASHWHHPYHQDGGSGFGDSDAASGSEQFLYMYHSTSTP >OGLUM02G03180.4 pep chromosome:ALNU02000000:2:2366795:2370388:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSAAATSPFLLPSARHMFPSSKPCLPNDRAFKGSNSSSSTVLILAAGRCCFCRCEAVGEAGTVLPQRGVDDGGVVCTSTTQRSVFGVAVGVSSALVLGLAAFDDALAAGLSPEEKLKLCDAACEIKLTLFKYTCKLQAEICSVGTMVVDAHGWVVDREFGFKAQELDEDQRWLAGLQQKPEKMLTTSRKWTFGHLQKRKCPWTRGGENKGSPGALNWRVNDAGGQEDELRAFVSAAFDACPSDKTPLRRHELHALAVVAAAHLRDTAVPLVPHCRIRGWRSIGHQRWGTTASDTAPPIKDMALKASGTCWHCKPCVGSSVAGASHWHHPYHQDGGSGFGDSDAASGSEQFLYMYHSTSTP >OGLUM02G03180.5 pep chromosome:ALNU02000000:2:2367861:2370388:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVVAIFRDLPYLSVLPREKGVPLAEVQLSKNGATEGVESSASKLKSLMRTNDGLQACSRSRKSSLVNFIGATVLMLTTSRKWTFGHLQKRKCPWTRGGENKGSPGALNWRVNDAGGQEDELRAFVSAAFDACPSDKTPLRRHELHALAVVAAAHLRDTAVPLVPHCRIRGWRSIGHQRWGTTASDTAPPIKDMALKASGTCWHCKPCVGSSVAGASHWHHPYHQDGGSGFGDSDAASGSEQFLYMYHSTSTP >OGLUM02G03180.6 pep chromosome:ALNU02000000:2:2365661:2367552:1 gene:OGLUM02G03180 transcript:OGLUM02G03180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPADRSNSTLAGAVLFISPTLLLPLSRPLAPLGGVPDLTSKVGHPRQRRRRWWQDCRRRRSPFPLTTTIAILLLPTPRRFLPPPAVPIVRSPGGGDQPLQKEQVVFNPSQERKKRETSSSPSFNFPLLLLSPWLHPLPPPLPPRLSSSPRPATCSLPPNRVFPTIGRSRAAIAAAARC >OGLUM02G03190.1 pep chromosome:ALNU02000000:2:2371165:2371803:1 gene:OGLUM02G03190 transcript:OGLUM02G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAAAADPAASASRPHQEAAAGEQGKQGAGEQEIVSVEELAELDSGDHNRSLAAMPVPTASSPPLPTSRRSKDVELHHPSSRIAARPPPNSSPCCAAALSPRAAARPPPTSSPLCCAATPSLACCRRPSARRLPPPLHCAAPPPPSCAPSPLQVRMKAEKENEKEDFPVMAMVAGLPMRMGPRGSTRRGTSPTTRRCWADLGGGTPRGGIK >OGLUM02G03200.1 pep chromosome:ALNU02000000:2:2380012:2387378:-1 gene:OGLUM02G03200 transcript:OGLUM02G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0D9YM59] MWRLKVAEGGGALLWSTNGFLGRAVWELDPDHGTPEDRADVERVRREFTDDRLRRRESADLLMRMQFAKQKKLQRRRDSIPPAVKLGEKEQVTEEIAMASLRRALDEFSLQADDGHWPGDFSGVMFIMPGLNEDGGWGTFLSSSTMFGTCSNYITLRLLGEVLTNEQLARGRIWIISYGGAILVPQWGKIWLSILGVYEWAGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPTTPTILALREEIYPVHYLTIDWAQARSACAKEDLVCPRTRLQNAVWSWLYKWVEPVMSSWALNKLRGRALDALMEHIHYEDENTQYLCICSVNKALNMVCCWAEDPNSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETALIIQAFCATDLVNEYASTVQRSHEFMKNSQVVRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTAEALKAVLLLTKISSSMVGDPIERERLYDAVDCLLSFVNKDGTISTYECKRTSTWIEILKPCESFPDMVVDYPYPECTSSVLQALVLFKELCPGYRTEEIEECVRNASMFIENTQRKDGSWKACDFILSKQLNTGGWGESHVSNETKVYVNIKGDRAHVVNTAWAMLTLIYAGQMERDPNPLHCAAKELINMQLETGEFPQQEHVGCFNCSLLFNYPNYRNIFPIWALGEYCWHLR >OGLUM02G03210.1 pep chromosome:ALNU02000000:2:2387397:2391240:-1 gene:OGLUM02G03210 transcript:OGLUM02G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRGGLTLTRSGVYCSFRPTAAATGDGCATGDRPRVSYTFFSISGEYPLIGWQLLVVVAAIYI >OGLUM02G03220.1 pep chromosome:ALNU02000000:2:2391490:2392071:1 gene:OGLUM02G03220 transcript:OGLUM02G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis MoaE family protein [Source:Projected from Arabidopsis thaliana (AT2G43760) TAIR;Acc:AT2G43760] MASDELPVAAAAAEEEDLVEILDEGSGRLDIARYVDHVRDLAAGAIATFEGTTRDSFEGRRVVELRYEAYGAMARRRLAAILREARAAHSLRRLAVAHRLGTVPAGEASVFVAASAVHRADAMEACRYVIDEVKASVPIWKKEVYDDGEVWKENREFLDRTTTDGATASSPAPATRPAKDGGCCGSKVRVNES >OGLUM02G03230.1 pep chromosome:ALNU02000000:2:2394037:2394279:1 gene:OGLUM02G03230 transcript:OGLUM02G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSIENLFPNLTDFDSLDVLFDSCSRYFFSNSDILLFFFNAGDNPNSSEEPINEGPRLEWGWEGWKGKGEEGGGCRA >OGLUM02G03240.1 pep chromosome:ALNU02000000:2:2400523:2401193:1 gene:OGLUM02G03240 transcript:OGLUM02G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKIGEIGTVPLHHIFRQKSLLAPNCTWAPPPSPLFSFLFLSLHHHRCGRRCRRRRRLRDGVGGPGCACVVIICSPGEGPGHCPCADCHPVHRRVAVPNPRRRRHRSPSPVPLVLPGFALGSPVADSPQRSSSCAHRRPCQRSFLAADSLDDSSKGATSAGGDDEERTGHGGCWRRSSER >OGLUM02G03250.1 pep chromosome:ALNU02000000:2:2403541:2405503:1 gene:OGLUM02G03250 transcript:OGLUM02G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEARGPDDVQRMYIVLRPEATGDGGDRAVEDKQAPDSGKEGHKNQQPQNSDGDGGGEGGHGKEVVSVTESCRFFRDFQEVNVEEQALLRLIVMGKKSLPDPAAKRGRPYWGYVELVTTDVEHIKDALKEEEYSTATRGTRRRAAARALGEGVYRILKHDSGRRVHTHLVYKLELPLPARRREHDAEADEAGEPQEAMNVEPEASYLIQIKNPEQPPPSGGGGDGGFRGLQSKRRAAFPAHLQGRFGSNHRYAPADPPDLLNYEGCEFLLIAASDDVEEELGLELETETDAEADLEGDGAAAAKCSDLVKMFGEVADVKPLLSGSWD >OGLUM02G03260.1 pep chromosome:ALNU02000000:2:2407940:2411903:1 gene:OGLUM02G03260 transcript:OGLUM02G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASHRVFVYGTLMAEEVVRVLIGRSPSSSPAVLPNHQRFSIKGRVYPAILPVDGKEVSGKVFKGITDRELNVLDIFEDEEYVKRTVEISLTDTSEKLLAYAYIWGNQDDPDLYGEWDFEEWKRVHLEDYVKMTQEFMEELEQLEPKTET >OGLUM02G03270.1 pep chromosome:ALNU02000000:2:2422336:2423379:-1 gene:OGLUM02G03270 transcript:OGLUM02G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRFVHLVLEEFAPRRSNYTLRNIDMDRFFLPRPSPVPSAAAAADAVEYGSLPCPAMTFYPPSSSLSGNQNMEFFLLGGNHNMVVAADQSCRTVLYDPGEHAVRTMPALPYQVTLPATSVTVGDDLYILDVDDGGSFHGLIYEDGLNEDWRCCALPPPPLSDFEVDSYAVIGGTDIWLSTHGNGGIYCFDTVRHAWSTVATRWTLPFVGLAEYCHEHGLWFGLSHSTRDRRRRSLVLSALDLDGGELPLLRSFPMEFTPPDALNLVSSDLVNLGSGKFCIARFFRTDEDHRDGEELFAVLTAVEVERCDDDEDDAGGGANGGGLRMLKHRSEMYKLTSEMMYWVL >OGLUM02G03280.1 pep chromosome:ALNU02000000:2:2432627:2438791:-1 gene:OGLUM02G03280 transcript:OGLUM02G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YM67] MASMKQQQTPASSAVTAAAAAASSSATAAVAACEGERKAAAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDVDAHVPSYPNLPSKLICLLHGVNLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKQARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDIHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIVVRDEKHQLLLGIRRANRQPTNISSSVLSSDSMHIGVLAAAAHAAANSSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFLCPQPFFGVKRPRQLDDESEMENLFKRAMPWLGEEVCIKDTQNQNSTAPGLSLVQWMNMNRQQSSSLANTAAQSEYLQALGNPAVQNLAADELARQLYVQNNLLQQNCIQFNSPKLPQQMQTMNDLSKAAIPLNQLGAIINPQDQKQDAVNHQRQQNSIQVIPLSQAQSNLVQAQVIIQNQMQQQKPSPTQNPQRINGQRLLLSHQQQDQNLQLQQQLLLQQKQQLQQQQQQQQNQQQLNKSLGQLVNLASQQSKLFDEELQLQILQKLQQQSLMSQSTSTLSQPPLIQEQQKLITDMQKQLSNSHSLAQQQMMPQQEIKPSLQATPLLPTVQQEQQQKLLQKQVSLADVSGVAFQPISSTNVIPTTGGAMIISGATQSVVTEEMPSCSTSPSTANGNHFTQSTKNRHCINTERLPPSTAPMLIPTSIDAVIATPLMTKELPKPNNNVKQSVVNSKLPNVAPGPQNCINNALQTDNLETSSSATSLCPSRTDGLVHQGFPSSNFNQHQMFKDALPDVEMEGVDPSNSGLFGINNDNLLGFPIETEDLLINALDSVKYQNHISTDVENNYPMQKDALQEISTSMVSQSFGQSDMAFNSIDSTINDGAFLNKNSWPTAPLLQRMRTFTKVYKRGAVGRSIDIGRYSGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWE >OGLUM02G03290.1 pep chromosome:ALNU02000000:2:2453301:2463124:-1 gene:OGLUM02G03290 transcript:OGLUM02G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDGGGDEEEAAMAVVSAPPQHLVFAYYITGHGFGHATRALEVVRHLIAAGHDVHVVTGAPEFVFTTEISSPNLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVMPRESILRTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVVAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIKDDVKVVIFNFGGQPAGWKLKKEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGVEMVRRDLLTGHWKPYLQRAMTLHPCYDGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSVSEKEIGVRPAPTYHEVNGSAESSFEDFEILHGDIQGLTDTMAFLTSLSGLVGNDPRSPEKQSRERVAASVFFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAIQRSNPMKQKLWKHTQARQLANGGAVPLLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSQDPSQKWAAYVAGTILVLMTELGVVFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIPPRDLAILCQKVENRIVGAPCGVMDQMTSACGEANKLLAMICQPAEVKELVSIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDLLSESLPSCPPIQSGNTNSDEYEEHGVDLLKSEASLEYLCNLPPHRYEAVYARDIPEIITGDAFLEKYGDHNDAVTTVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTVEQLSALGELMYQCHYSYNACGLGSDGTDRLVNMVQEVQHRKTSQDVGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQKRYKAATGYLPIVFEGSSPGAGNIS >OGLUM02G03300.1 pep chromosome:ALNU02000000:2:2465162:2469935:-1 gene:OGLUM02G03300 transcript:OGLUM02G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFMGKRKELDQVVDGLSDFSLSGPAAKSRRLDIGLPPIMEEETPGPSMPFGHEILGEEIHSVVSMPIIEDMLGGAMESHPSSEDKALVLYKSVDNTACIGPGTSNPSIIISPDLIRSLKNQAFMQRNFHGLEDKSPGCSNFLALVPWTPPQIATVSNWSASDSEKREFFEVPMESDETEVDSMDVEESPEANVAAIDGESLHQWQQHCMTPSSLPNPSAPVMCSRMSRRFLHLLVKKPTPWGSEEFGLRRIDISRFFPPRKPTGGGDGSAAADPPRLAPVAAAMVDAQLPRAGEAIYRPQGHGWGRCGAMILGGGKDKVVGVDGEYRSFLLILYKCGAHAVRLLPHIRATKRCPIAFTVGDGVYVMEAAAPEPARMRGAEHCFEALVHGLPPAPPRGGATIIEDWHWRSLTAPPYVLDPDGDDGPARVAAHAVVRHSQIWVSTERHGTFTFDTASGAWSKAGDWALPFRGRAEHVPEHSLWFGFSPHHDGHLCASDLTATPPSLRHTWRYRPPHKDWPAPVASYLVPLGGGRLCVAELFETTRVEVGGRPLDTNKKASLKTTTRRWGFNAAATAGEEEEEETEVRERFAVVTGVEVEASASGKAPLRMARRAVRRYVLSTETVVTREARRRSSWRRGRPRKDDDETLHWVF >OGLUM02G03310.1 pep chromosome:ALNU02000000:2:2478514:2479990:1 gene:OGLUM02G03310 transcript:OGLUM02G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVDQLSESPTPCVTLQAGGAGGCGKGGEGGAARRWRPWARTRRRRLRARRARAAEGVRRRPLPGVKVVYKIVAMMQRWKELLKEGDRGQVDKWKEVILVNLARLRQQCDPPEDI >OGLUM02G03320.1 pep chromosome:ALNU02000000:2:2482129:2482693:1 gene:OGLUM02G03320 transcript:OGLUM02G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLNAKPHQCQCSFLEVSYITAHSYASYAAVATVCQDELNHLAALGGDDAIRYYLHILIYDTVDFLSNV >OGLUM02G03330.1 pep chromosome:ALNU02000000:2:2487037:2488314:-1 gene:OGLUM02G03330 transcript:OGLUM02G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLGASSCRRAAALADRIYSTPTSSLANVNPISRLGTNPNNRSGILEEKKVKKTKNRRFLYMVDFRAVDSSFQRLRRIDPSSLFYADRAAAAASPAAVEDVRLPPTDINFFPPGSSNSGTDNITWMGIMRRNDDKIIAVDQAGRTIIYDPAKHSVGALPTMVTPKLWTLSLAVGKDLYVMAVSPHPDGVTERSFEALVRCKKPELDDCLWRPMPPPPYVHAADYKHVVGDTCAYAAVGNSHILLSSMRHGTYSFDTARATWSKAGDWTLPFSDHAEFVPEHGLWFGLSAADDGVLGAWDLSSSTVQQPEPPPPAHPGCRDFAVPGPSRRRARPSHAIDLGEFTEVYSSHVIHLGDSKLCVAKLYKVSRRGTCTEYCCDFESDERNFAVFTGVEVVRSHDDELRIIRHKSQRYSFGERYIPTSVL >OGLUM02G03340.1 pep chromosome:ALNU02000000:2:2489062:2489271:1 gene:OGLUM02G03340 transcript:OGLUM02G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAATTTAAVAAMAKAAIAVTAPQQLRRQGARVATTLVGDGSGVGRRVGDGEARGRWGRFEGSGEHGG >OGLUM02G03350.1 pep chromosome:ALNU02000000:2:2504089:2505766:-1 gene:OGLUM02G03350 transcript:OGLUM02G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIANIDQEHNFDLDNNTVTLDDLSEGQRCELEQEVEVEIAELREHKLTRLQKTKNSVIAKQQKPINLELSANEKEVAMLDLSGNIGPFVLPAEFRAKEVGGSSTRKQIWVPKSRVQEKSLAAELVTNQNPPKSSGGNGSQLRHSHSLSNWQMKQLHKLSVEKLREKGMSWLPKGSVQVQNKTDVKVEVEAKNEKVVRRCVPNQRFASDHQVLLPPYYIYSSPMQPMHMSWNQFSGM >OGLUM02G03360.1 pep chromosome:ALNU02000000:2:2507178:2512197:-1 gene:OGLUM02G03360 transcript:OGLUM02G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MQYWAVSVSCLSVLAFFVWHLRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLERQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAVIFQPDFLGLAFGAAFAVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFISLVFYSLLLQYSRDHLWYDRLLSLSGGNKASMYHGRTRTSSAGGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLV >OGLUM02G03360.2 pep chromosome:ALNU02000000:2:2507178:2512197:-1 gene:OGLUM02G03360 transcript:OGLUM02G03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MQYWAVSVSCLSVLAFFVWHLRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLERQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAVIFQPDFLGLAFGAAFAVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFISLVFYSLLLQYSRDHLWYDRLLSLSGGNKASMYHGRTRTSSAGGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLV >OGLUM02G03360.3 pep chromosome:ALNU02000000:2:2507178:2512197:-1 gene:OGLUM02G03360 transcript:OGLUM02G03360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MQYWAVSVSCLSVLAFFVWHLRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAVIFQVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFISLVFYSLLLQYSRDHLWYDRLLSLSGGNKASMYHGRTRTSSAGGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLV >OGLUM02G03360.4 pep chromosome:ALNU02000000:2:2507178:2512197:-1 gene:OGLUM02G03360 transcript:OGLUM02G03360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate cytidylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G45040) TAIR;Acc:AT3G45040] MQYWAVSVSCLSVLAFFVWHLRQSPSNGNSIASKYGSLLIILYPLAYLFHFLLKTDGDLLVMSNLVYLLCRGVAAVILIQHILEKFPSCSSFGEAILVSSGLFLYCGDMLAHTLSKGVLLGPFLLPLLYKSSVQVLAYCRKLERQRTQTVEECTQKRIDCDVFYVSLLVALLLLVPSWTRLIQDFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHPVAVLIFSPAVIFQVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFISLVFYSLLLQYSRDHLWYDRLLSLSGGNKASMYHGRTRTSSAGGDTCFTPQLHKQLGKNSKLRVKPYAARSLKYGDLV >OGLUM02G03370.1 pep chromosome:ALNU02000000:2:2513648:2518391:-1 gene:OGLUM02G03370 transcript:OGLUM02G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDAELARAQEERKKMEEALAAGAPMAVSSPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFHHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGLQDVLATLLNYLKVQERQNHVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLVEDALMDRDLVHWQTAASAVKHMALGVAGLGCEDTLVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVCEVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSHPELAMFVCSMLVASEGGAMFRIYFPLGILTRFAEEFP >OGLUM02G03380.1 pep chromosome:ALNU02000000:2:2520612:2523185:1 gene:OGLUM02G03380 transcript:OGLUM02G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKIAAGAAEGETWKALAAGAAEGWRGLLPKTASATTKLVPDSSPKSATPTAALNLVADAVAAWRRWLRSPSASPTARSAVEGTAGETSPPVSDAALEVWRRWLRASTRRSLSSFMDQASSETHAPPPTPRPSPPRVLPPPPLSAARRAKGCRTAASSASLSRNYSTSSTNSQSPPEPRDSCRDDRSLDIWYTAKEFVKKYPIVLFAQPTDTHSQFHRWVYQEWFKPTVRSLLKCVSDHHASNLEFQEITTENVKIFCNADAPQFIPRIKICCETRKATYEGRKQNFVQTGELITELIKSSVYNPTEVLDLLSEDIKDKLSMLKNPDLSHDADPRQCGVYILVNHPSMLRREMQSPFYLACYDLLMSVDKRIANRAFRKLPYKNWQSRALQHPLLKDHLINRRSSYGRDKYHQARYHRNVASHKTSWKSKYKAEEVDEIIHHHFPMILTRLMKVLSKMKLLIRLTLHNFFG >OGLUM02G03390.1 pep chromosome:ALNU02000000:2:2526604:2540794:1 gene:OGLUM02G03390 transcript:OGLUM02G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE1 [Source:Projected from Arabidopsis thaliana (AT1G33410) TAIR;Acc:AT1G33410] MATTTPAARKIAGTEVPIPGSDRVRWIELTIPSTPPPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLAWRFHEEQQNVLEVIDLCASKEFPSSGLRLVFQEALCPFSFLCAREGGRCGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTATSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSCNVRSNDIEGQPSRIWVGDADDDQELIFLAVLHQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKEQTFGFISSMFLRRLLQPGVNHSAALRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQTASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFLNYLVSIGGQVSMSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENSAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDIHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYREGNAGGTRQLSHTLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPTAFGTDSELSRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDARDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTKAGNRMISWGMSGTEEDPATLFRLYINYGRHTEAANLLVEYLESFASSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >OGLUM02G03390.2 pep chromosome:ALNU02000000:2:2526604:2540794:1 gene:OGLUM02G03390 transcript:OGLUM02G03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE1 [Source:Projected from Arabidopsis thaliana (AT1G33410) TAIR;Acc:AT1G33410] MATTTPAARKIAGTEVPIPGSDRVRWIELTIPSTPPPSPEGDSDPFVLVPPRAASGFHVVSSGDSQCYLAWRFHEEQQNVLEVIDLCASKEFPSSGLRLVFQEALCPFSFLCAREGGRCGTPVYLLYVLTVSGVALLCHLRSPFSYISGSIFPQDDIVEFNLQTQVQSAKVTAVTATSGCLVIGRQDGSICCYSFLNELRDDAGIGRLWSLVSRTKAVGPVQDIVTATVNERELLFVLHLDGSLRIWDIFNHTKLLSCNVRSNDIEGQPSRIWVGDADDDQELIFLAVLHQGTVTGACDCVSVYGFSFGAGERFLFSPEPSFFSIPLVEGKLIDLKISMDKLWILKEVGSMLYEIVQYDCDTEIMHSYVLQEVSVSDQLFQSSENTLDDLVWTADSIFSSKKEQTFGFISSMFLRRLLQPGVNHSAALRETLLEHKRFLSDSYFQSLTTSGLRKEILSTIEQEGSSQTASSTAYHWKKFSARYLHNWCWNNRPYGLLLDTNREVFGLIRKGSFSLFRCLEGMEQFIYGSSDDLRNLDILGVNPSDNISQSEILIEVLRCMDHISHLLGRSAAAIYHESLISSVISPDEIVSQILKILGTGFSPQSPSALITLFGTDAYAERRQTAHKSQRKFSVEMLLSFRKLQSKSTSWSAVFDVIENFMKYLNTNVTIQEYELKRVCNVNTALLVQATSQVARTMFESTFDLYLFLNYLVSIGGQVSMSQNDIARIKLKLFPVIQDILGQWIVLHFVGISPTTPPTIEDFSYQLSSLQLGKADDLSLHRKFGCSYFTLACLLDFPKSADGDVLSPWFPNPTELVNLVRRFSGSIMSENSAGNADCFLSSTINLAAVLVRHGQYEAAQSLLGILDIHMNYVKASQADQDTDLARSSCLHLNGFCLLVLARDEANIVLKESKVHEAIRCFFRAASGQEAPKALQKFSVETGFQISGECRSFTAWRLRYYEWAMQIFEQHSMSEGACEFALGALEQIDSIVDLDNGSEAEDIPETTTMIKGRLWANVFKYKLDLKNFQEAYCAIISNPDNDSKYVCLRRFIIVLCELGETKVICNGEIPFTGLVEKVEQELFWKAERSDLLSRPNLYKVLYSFEAYRNNWRKAAAHMYRLKFCVDIEILEKEYTLTEALYMLSTVNSRFNFSDNQSIEALTDILINENMYDMVFTIVLKFRKESGMKRELERVFAAIAQQCCPNRVGNSGKNLLLPSSDDDARDGNGNSIAMAHQSQGSCHWETLEIYLEKYKDLHPRLPVIVAETLLYTDPEIELPLWLVQMFKTKAGNRMISWGMSGTEEDPATLFRLYINYGRHTEAANLLVEYLESFASSRPVDVLHRKKMSAAWFPYTAIERLWCQLEEMQNAGHSVDQCDRLKKLLHGSLISHLQQVVVDSDDVLSSLGGGKGMGSQSN >OGLUM02G03400.1 pep chromosome:ALNU02000000:2:2541309:2545739:1 gene:OGLUM02G03400 transcript:OGLUM02G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLLLLSPLSPRHPTATAHASPSPSSPARPAASATRALAVAAPSRASSSLRRRARVASQAGKMDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEEMVPDDGWVEYLNNKWDRNIVVEETANVSELKLQVESEQRPHKVSFYVDKKSAQEVIKSLSEKLEKRGLDAKIIYSGGQDLDVLPQGAGKGQALAYLLKKLSSCGKPPNNTLACGDSGNDAELFSIPGVHGVMVSNAQEELLQWYSENAKDNPKIIHATERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKENPVKPTDAVVKFYVLYEKWRRAEVPKSDSVTQYFKNITHANGVIIHPAGLECSLHASIDALGSCYGDKQGKKYRAWVDRLVVSQCGSEGWLVRFNLWELEGDVWSCCLTSLALNAKPETPEGFVVTHIHKTWLKGYSLADEQSSKL >OGLUM02G03410.1 pep chromosome:ALNU02000000:2:2547321:2555468:1 gene:OGLUM02G03410 transcript:OGLUM02G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLNDTVSGVVSRKVLPACGGLCYFCPGLRARSRQPVKRYKKIIADIFPATQDEEPNERRIGKLCEYVARNHHRVPKITAYLEQRCYKELRNEQYGFVKVVVLIYRKLLVSCKKQMPLLASSALSIICTLLDQTRRDDMRIIGCETLFDFTVSQVDGTYQFNLEELVPKLCELAQIVKAEEKDNMLRASALQALSAMIWFMGEFSHISSAFDNVIQVVLESYNLQKMQNDNIDSEAPGNRWVEQVLKAEGNATISRIPSWKSIVGDKGELHLPAEDAKDPNFWSRVCVHNMAKLSREATTFRRVLESLFRHFDNNNSWSSQNTLAFCVLLDMQILMENQGQNIDLMISILVKHLEHKSVLKQPEMQLSVVEVIASLAEQSRAEASAATIGAISDLIRHMKKTLHVALGSRDSEVIKWNDKLRNAVDECILQLSKKVGDAGPVLDMMSVMLENISRTPLVAIATTSAVYRTAQIITSIPNLSYRNKVFPEALFHQLLLAMVHPDHETRVSAHRIFSVVLVPSSVSPFSKSTSPNQLVKHDIKRTLSRAVSVFSSSAALFDKLKRDKESFREKPQDGSVNRLSHAADNDTSTVKDMPSSRSRRHSFKVPNFSMKRVASLSLKSPMSPKECQNTSAESCSETESTLLRLSSRQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFSGSKASIFEALAPSFQVAFSLMSYSLEGTDSLLPSRRRSLFTLATSMIMFFSRAFNVAPLIPICKSMLNERTMDPFLHLVQDTKLQAVKDCSEETYGSPEDDNNALKSLSAVELTQSQSRESMASTIMNNIRDLPDSELQTIRSQLLSDFSPDDMCPTSALLFELTVRNPGCDEDSSNQEDVLINMANDNDTFGEVYENTEATTASVPTANLLGIDELLESVVTDAPSQTVRCSVSTAPNIPFKEMTNQCEVLSMEKLQKMSVLLSFKHKNQSNVLPINQADNTGAAHISSDDQNTNPFLQQSLDDYPKYVADGEALQVAADDVFQQQFLKLPASSPYDTFLKAAGC >OGLUM02G03420.1 pep chromosome:ALNU02000000:2:2557685:2558050:1 gene:OGLUM02G03420 transcript:OGLUM02G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRAPRRKAAAEGESVRAALLVGGGGEEAAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRGLMELAADEFGFAQEGGLRLPCREEDFQATVAALDARRRRRRPASGGAIMSTMVKARSL >OGLUM02G03430.1 pep chromosome:ALNU02000000:2:2560022:2560414:-1 gene:OGLUM02G03430 transcript:OGLUM02G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGGRASSNKIRDIVRLHQLLKRWKRAALAPKAGKNNNGGGASVPKGFFAVCVGEEMRRFVIPTEYLGHWAFEQLLRKAEEEFGFQHEGALRIPCDVEVFEGILRLVGRKDEKAAMCYSSSEHEILCR >OGLUM02G03440.1 pep chromosome:ALNU02000000:2:2566265:2575459:1 gene:OGLUM02G03440 transcript:OGLUM02G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSPPRPPRATSTPVSMANFIMSSSPTQQGQLQVPGRATMYSLATHSLPQPRCTTFVPYGASGSGTSKTPHPGCAGGGGCGCATVEADRSHAPSTPSSAAERPNQRPCSGTCRRIIAVLNALLQDAGGDWALPFRGSSCRRAAALADRIYSPFANANPISRLGTIPNNRSGILEKKKAKKRFGKTKNRRFLYMVDFRAVDPSFQRLRRIDPSSLFYADPAAAAAAAAVEDVRLSPADMNFFPPGSDSETDNLTWMKIMRRNDDKIIAKVWRKEQSKKKPKKGQPASSSKIEIPSPVFCFPRRRRRRISKLGTTMIRRFLYMVDIFEKAGYGPQPYRLRRINPSHLFFPKDALPVAQSSAAATVVEDLPLPPTEMTFRGSTDFMRRSDDKIVGVDHSSRRAVLYDPAEHSVRVLPSMLAPKFYTQALAIRDDIYLMDMRPWPDEGDDREGRRSGHSFEALIHRDRGRLEDECYWRPLPPPPCVHTTGYRGSAGEIRGYAVVGDSHILVSTGSYGTYSFDTASAAWSKAGDWALPFCGRAEYVPEHGLWFGLSAANDDVFGAWDLSSAVHQQQPAVAHHGCKGFAVPETPYASDKRFFTMLTGVEAVHCNSDKLRIIKHRSCRYSFGEHYIPTYVL >OGLUM02G03450.1 pep chromosome:ALNU02000000:2:2586901:2589814:-1 gene:OGLUM02G03450 transcript:OGLUM02G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKVAAGAAEGWGGWICASIMLPKTASAAANLVRPLRGPKSATPTTLAAPGMLNLVADAVAAWRSWLRAPPAACPTPTARSVSPPPQLPWRTPPETLASNALAVWRRWLSTETLASDAVEVEDRAPLPNPWIPPTKAMASAAAPPPPASRTTPAGPAKGSRAAAWSASATFSRRYSTNSPPPPEPEPEPEPEPEPASASAITPPMFSDESRTSRYKDKSSLERHYDTITFLKDHPAVTWVHPKNAPDTRFDRLLLEGWFKVAARGLLESIHNHHLHDLEFSDFNIDNVKMFCNPLSSEFQPLFKIACETKVATDEGKKRNYTQAGQVFREMISISTGILNPWPFLIEDAQDFLQNLEYPNMDVYLLRNHPALLQLELHSQFYMACYEVLMNSPVNMLQKVYEKLPYGIGDPRGDWQTRLRHHPYLRWKLLDQSSKFAESNSEQCRFRRHYCCHKSQPLTPYSAPFKYYSPQDADRLLYQYLPMALPALMKAMWDSQLLRENNQNEQKVNEGQHRLPQKVKFEKVELHKLFKST >OGLUM02G03460.1 pep chromosome:ALNU02000000:2:2591623:2600703:1 gene:OGLUM02G03460 transcript:OGLUM02G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLESLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPLIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAMLVASEGGAMFRIYFPLGILTRGGTKCSGIIPYSHPTQRPSVDDDVDANAHRYEAQNRFSPRRAHATQEDQVGPGRVHGAPHPHPCRTRRLNRFDPPTRVWFMRAPPVSQSHQGPTQATEPTSPPPSGSRRAPFVRALPRSPAMAMAMAPAVVSGERLVVFLFVARVALAAPAQLAAPLAVLAAAALAVELAVDGSASASSSPLRRFRTRPGASSGILLGATTLPSVMLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLGMLAFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHLLLKTDGGLLVMSNLVYLLCHGVAAVILIQHILEKFPSCSSFGEAILVSSGLVLYCGDMLAHTLSKMEFSVSSEAFIRAPGTRSEIGTVIQGVLLGLFLLPLLYKSSLQVLAYCRKLESQRTQTVEECTQKRIDCGVFYVSLLVALLLLVPSWTRLIQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGETYFTPQLHKQLGKNSKLRVKPYAARSLKYGDLWIPPPADLLCQVQSDDPCLIV >OGLUM02G03460.2 pep chromosome:ALNU02000000:2:2591623:2600703:1 gene:OGLUM02G03460 transcript:OGLUM02G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLESLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPEFFRHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPLIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGPAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALDDDGDNIYSRPELAIGGTKCSGIIPYSHPTQRPSVDDDVDANAHRYEAQNRFSPRRAHATQEDQVGPGRVHGAPHPHPCRTRRLNRFDPPTRVWFMRAPPVSQSHQGPTQATEPTSPPPSGSRRAPFVRALPRSPAMAMAMAPAVVSGERLVVFLFVARVALAAPAQLAAPLAVLAAAALAVELAVDGSASASSSPLRRFRTRPGASSGILLGATTLPSVMLSRLIQLYRVLLADPNGREEYAYLEMQYWAVSVSCLGMLAFFVWHLRQSPSNGNSIASKYGLLLIILYPLAYLFHLLLKTDGGLLVMSNLVYLLCHGVAAVILIQHILEKFPSCSSFGEAILVSSGLVLYCGDMLAHTLSKMEFSVSSEAFIRAPGTRSEIGTVIQGVLLGLFLLPLLYKSSLQVLAYCRKLESQRTQTVEECTQKRIDCGVFYVSLLVALLLLVPSWTRLIQGFEVHPFVWVFNYIFTDSRERLALCAYWIFVIYVSIRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPDFLDLAFGAAFAVFLILEMVRVWEIYPLGHIVHQFMSAFTDHRDSEILIVSHFSLLLGCALPKWMSSGFNDRPLTPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLSLLASSGYILSQHWISLLVAVTLSGLLEAYTAQLDNAFIPLVFYSLLCGETYFTPQLHKQLGKNSKLRVKPYAARSLKYGDLWIPPPADLLCQVQSDDPCLIV >OGLUM02G03470.1 pep chromosome:ALNU02000000:2:2601767:2605420:1 gene:OGLUM02G03470 transcript:OGLUM02G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLHQGDNEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQSLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVTIEELPANVADLL >OGLUM02G03480.1 pep chromosome:ALNU02000000:2:2607883:2615543:1 gene:OGLUM02G03480 transcript:OGLUM02G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPENPNGAGPAAPSDPAQPQPQPPAKSKGKKKDEKKDDDLSEEDLALKEQLELYVVRAQDADPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFETMPESSDLKRYMADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDDMPIDALMELVQQIVSFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNYKRTCLYLTSSSKYLPAPDDMLALDIAYTIYMKFEDLTSALRIALLLDNKYVKQVYTATEDLQLKKQFSFIIARHGLAMEIDDEIAADDNDKEGLQEIVNNSKLSEGYLTLARDIEVMEAKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGASGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDAHVVAGALLGIGIVTCGVKNDCDPALAILMEYINKDDTNIRIGAILGLGIAYAGSQKEELKSYLSTVLGDSEKSTLEVLIFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVAMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTSVLEGFVILKKNPEYNEE >OGLUM02G03490.1 pep chromosome:ALNU02000000:2:2616384:2622828:1 gene:OGLUM02G03490 transcript:OGLUM02G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEEDPDWLRAFQPPTTSTVMLSSGSDDSPENSPTRTTPSGEEQKGENKASSDHAGDGDAAALNKGKKATPTRRKTPTSQEDAFDKDEKPTMESNQDKPPKRSTPKKKLVKPPSGSNASKVTGPKAGPDQIDDTLEHQEEEVAEEDMQDKLTEHSVSQRLPLIIPDKIQRSKALIECDGDSIDLSGDVGAVGRIVISNSPNGNQELLLDLKGTIYKSTIVPSRTFCVIESIMNDFIQLEPQSNLFEAETMMEGTLDGFTFDSDEEGDKLPEPHASQNDQNNEDGDQPKAKTKRKAEKPAGNGQKKAKVAGKATKKGTRKTQTTKRTKKAKK >OGLUM02G03500.1 pep chromosome:ALNU02000000:2:2616542:2617489:-1 gene:OGLUM02G03500 transcript:OGLUM02G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSPGTTATAMCMEFMRRNDGSIVGIDHTGRAVLYDPAARAVRTLPALAAPKLMPVSAAVGDDLYVMETTPLPDGAGCFEALVRLEDNPAESDSDKWESKAGDWELPFRGRAEHVPEHGLWFGISDMDGTILGAWNLSSAFQQPQPPVASLQVKGFSVESHSDDRRRRELEVYASQVVHLGGGKLCVAKMFSVDRRERGEINFAMLTGVEVVRGRGGKLRVVKHKSRRYNFGQDYTPDYLL >OGLUM02G03510.1 pep chromosome:ALNU02000000:2:2623779:2630139:1 gene:OGLUM02G03510 transcript:OGLUM02G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPQHKEIPRFPPTQLPSPSLPFPSLLSSSLLFSPPNSPPPPLPLSSYPSPRRRREAGRCVPSPPPHSAGAGAGAGLPLGEAGDPPGPGVPFPPPARRALQQRTESSSELISVSKRATRQNTPRKPDSPPKRTTRSSANLAKCIENKHHSSPLKRRRGSDAATGKSATGPTRRKHKQKRKNDESDEVSRMEKRARYLLIKIKQEQNLLDAYSGDGWNGHSREKIKPEKELQRAKKQIMKYKIAIRDVIHQLDLCSSSGSKDDSVIPPDGCHESVNPEHTICSRCKSHESFPDNNIIFCEGGCKLACHQKCLEPPFDKILPTTRHGRLCKHCSSKMKILDAINAHLGTSFTVKCPSSDIFKEAAEHFNSDDGLGQDWLSEYSGDEDYDPEENEASSSGEENKSADSNCSGSPLYSPNDDIPDFISADFNDAEGFCRESSNLGIDFGEDGLAEILTHQRPRRDVDYTQLNEQMFGEPIGNDEQSEDEDWGLNKRKKRRTGSTGVGTNSVEGRSDVKSNKKAQPRRKLFRIPPAAVEVLRKAFAENELPARSVKENLSTELGISFEKGESRYSGPSKRSRTSIEKAETSAKVDQMDNSCFLPLSEIINVPTRLQKGLDKKPKSTNSPPRPQDNETCLSPTDKTKEGTPPTIKPSIADSSQLMNNNIGTEETAVSWVDTWASDALHFLDVSDDEHFFDVIEKVCGLENRLQRLKENMLSSSSSTDNNVAAESGLQNEVVLVPAAELKDKAS >OGLUM02G03520.1 pep chromosome:ALNU02000000:2:2634946:2635317:-1 gene:OGLUM02G03520 transcript:OGLUM02G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAATSTGFERGGPICPTNEEGTVVAPEIGEASLSWRARRGDRRWRRLELKAGWREGGTSARREKGEVALVLSCHHRLRLDPMSPRTVLASSVAPLSASSSAASGPNEEAAMPPLLCLVFAT >OGLUM02G03530.1 pep chromosome:ALNU02000000:2:2641240:2645332:1 gene:OGLUM02G03530 transcript:OGLUM02G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCIPTGLRLDLDMVKAATSPGAHSSPLRPAHSSPSSTLSEASNTSSSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTASRPPTRQLSGLSAVFDPSSDLLPPLPVLADAAFLLRDTPPTPRQNCSSPAPVSSAFREFRDPAPLSPASPHTTDEPGELDFDDDCFLDVDEAAADGAAGGIDGIMGSLTVETNTAAATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGSRHGARPNLNRALKRRDDDGAWWMWPAVPVKDITVAPSPPTPTPTPPRPQGTASNTTAAMPSPPSAPEKKKSKKKKVVKVEKVMAREKEEEESPPDARCKEEEAADGTVDAGNGDGAPTMAPKTGLGLKLDTDDVLKAWSGKGSMFADGGAPESPKSAAEVRAKLADIDLFPENGNGAGGIREASVMRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTDS >OGLUM02G03540.1 pep chromosome:ALNU02000000:2:2646467:2648915:-1 gene:OGLUM02G03540 transcript:OGLUM02G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YM98] MAVLKAGGDKCRRQCMLGDGASEMAIMVDPPNGMGNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLASMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISADMIREMMWHEMLHYHPEVVAAMSAR >OGLUM02G03550.1 pep chromosome:ALNU02000000:2:2651667:2666423:1 gene:OGLUM02G03550 transcript:OGLUM02G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGGGGGGNRNRTDLLAAGRQKLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEEVARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLRIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDGTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLKDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEDAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIIEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSSLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPGGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEINKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQSLTIELETLRAQIDQLQGDGAKQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSIVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMLEIPITSNHHLSNSISSKMAHIPNVPLEITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVAEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQIREAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLITEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGVLLYWIVLHALLASFI >OGLUM02G03550.2 pep chromosome:ALNU02000000:2:2651667:2666423:1 gene:OGLUM02G03550 transcript:OGLUM02G03550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKGGGGGGGNRNRTDLLAAGRQKLQQFRKKKEKRGPGKKAEAKADAAEAEEGSSKSGADAEEAMPEPKSPVGLKLLAGEGGASHRTPFEVKLVLLLGGCGWGFGSVLVVFNTDVSMQEVARSQVEQCNGQGPDTAESCDVDNADVLPVQEGGDGGGNAQDVGVSEHGSLEHVNPGPGDGEGATIPVTGADGSGLRIEGAQPVEMDVDEKLPDNSLKENTELCTSSQGDIADDNGDSQAEEHQQVEMYPVERPTSSDSKEITDIIGHSQDIGAGNTNKGEGRARETEIDVSGMPSGAVVECEGELNVRASHEASESTSREDTDKEADALGEEAAVQEDPGVANATEGVVTVDDLSLHAKSIGAVSLPPHKEIDQALLARDISQDMAPYHLEDIQRHLYLATMSRDFLQLQMDESADLNTDGTPQSSNEVINLQVLLEETEKSKLAVCEELQQCRHELSDMNTVKEELELTVASLKDRINTSNNKCEHLEFELQSSKENTQQILNELAGCRAMLEALQKENLELTATLTFEKEARKEVEEQREHLCSENKRVLSNLSDLELSLASLKEEMNDGSNRCADLECELRSTKENMERTLVELASCRNSLETLQNDNLELSANSSFEKEAIKKLEEDNLCLSNEKQGLLLDLSETKEELHLSYAKHEHLESHARDMETYFGQLTEQLIEENIYTSTSVDIYQTITKELYAKCNVVLGEARNAHQDNEACLDSSEIIVENVERETTSPELIGHDDNQRPLLVAENDSCNSTALQSLKGHLKVAKGDLRDLQKLVERISSRSDGRVLVSKLIQSFESKGNQEDLGMSEGEHDNLRKLTQEMICRLVEKLKAMTSDIAKTEEYVAELCNRIELSVKFMSQHEAEIEHTAVLVAKMDGFAGKLSNYKDTIDQLVSQVANVHQDADNHAGRLIDQAELLQNDVTERISTLEKERTSLTDVLMEVTDKLSALSKNALPSDLGGSEGLGSLALSSVECAAKLVQNLQEKLEDAQTDNAKLNASLVELKTAHSDVQERSKHAHGIVKKMYISLQELLFNSLGNPDESGVEYNAEEPIEALFSQYGDIIEHLKSLLHERQYLLSKNTDLESRLLSKCEETEALSSSLTKSMNDFSLLNEELKSVSTSRIEAQDELHGRCLAIAEKMVHRSTSHSSTVLSSMEMSSKANHILTTLLPCIEEGVASYIEEFENMAEEIRLSKICLQESNIIGQSSSEKWSVSLPVLIKEEIVPIFFDLQGRIDQLSTLNIQLETEVPVLRDGLTKLDSALETSRAELQKKVFELEQSEQKLSSVKEKLSIAVAKGKGLIVQRDSLKQTLLEKSGELEKLAHELQSKDSLLIELEAKIKSYADADRIEALESELSYIRNSATALRDSFLQKDSVLQRIEEVLEDLDLPENFHFRDIVEKIELLSKMAVGASFTVPGGNKQSSVDGNSESGAAIDSINDEQNSNSNSGAEEIKIKYDELHRRFYELAEHNNMLEQSLVERNNLIQKWEEVLGQISIPQQFRMLEPEDRIAWLGNRLLEVEHERDALHLKIEHLEDSSEMLISDLEESHKRISELSAEIVAVKAEKEFFSQSLEKLRFDFLGLSEKAVQDEFVRDNLRKDLAELQEKLAEKTEESKLYHDMEMEINKLMDLVRDALQDDSNTEIPSGAGVGAAVLCLGSLLSRLIDGYKTHLSESTVRSSAEMETLSETKISKDASTSERGMEEKEMALNTLSGELEHTRNSLALVEQQRDEAVEKTQSLTIELETLRAQIDQLQGDGAKQMNRYQSLMLELESMTKQRDDLQEKLGQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTMEEMNTMIEKLKVERKQHIESLESERSSLMGRLAENEKSLHDATQYLSRLLNSLSIVDIGREFDTDPITKVENFSKFCLDLQNEVKKSKQATELLLAELNEVHERADNLQDELVKAEAALSESFKQNSVVESARADAVRHLERIMHMQSQTKRKQIDHLMELNSTSSQLREIFSELLHHLLNTFSKDVDIINYMESFVKSSDKWMDSTSMLEIPITSNHHLSNSISSKMAHIPNVPLEITLDNADETQILHHLATACHAVADCVNDCNDLKSRIHEHGFSVDRKAADLFNVMSNLQNKFTSQNTELESLRENIIELQSEIKQRDEEILSMRRNLSLLYEACTSSVAEIEGMTGIESGDHSCSVVQNHLSADDHIKSVVNQLVAAIKTTQNSNEGNTKELKATVLELQQELQEKHIQISTISAELASQIREAESSAKQLSVELENARMEIHNLEKHSEMLLNQKKNLETQVSELKDMEAVAHDQHGRIKDLSDELSKKDQEIEGLMQALDEEERELEVLENKSNDLEKMLQEKEFALKSLEVSRTKALTKLATTVDKFDELHSLSESLLAEVENLQSQLQERDSEISFLRQEITRSTNELLITEESNKKYSSQINDFTKWLETALLQFSVHCDSTNDYECTQVPVYMDMLEKKIGSLISESDELRVTLQSKDSLLQAERTRMEELLRKSEALESSLSQKDSQIGLLRRDRTSGQPSRFINLPGTSEIEQVNEKVSPAAVVTQIRGARKVNTDQVAIDVEVEKDKPLDDEDDDKAHGFKSLTMSRIVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGL >OGLUM02G03560.1 pep chromosome:ALNU02000000:2:2667941:2671403:1 gene:OGLUM02G03560 transcript:OGLUM02G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHGEMVPVAGGEAGGGYPHVASNTLTLSFQGEVYVFESVSAERVQAVLLLLGGRELAPGSGSVPSSSAAYSKKMNFPHRMASLMRFREKRKERNFDKKIRYTVRKEVALRMQRNRGQFTSSKSKAEEATSAITSSEGSPNWGAVEGRPPSAAECHHCGISAVSTPMMRRGPDGPRTLCNACGLMWANKGTMREVTKGPPVPLQIVPAATNDVQNGIVEATGVEQHNSAVEEAVSAANGHESQSGVA >OGLUM02G03570.1 pep chromosome:ALNU02000000:2:2671758:2674739:1 gene:OGLUM02G03570 transcript:OGLUM02G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAGDEELESLLRNFHRFSQGYKDALVEAQALRVNCSSESERRQALESHVADLNSDNERLRRLYTETLFKFTNQMKFHTESRNLKEELEKANTRLLSMEEEYKREIEQLKLGSEMNSNDLENKLSCALVQQATNEAVIKQLNLELEAHKAHIDMLSSRLEQVTADVHQQYKNEIQDLKDVVIVEQEEKNDMHRKLQNTENELRIMKMKQAEQQRDSISVQHVETLKQKVMKFRKENESLKRRLATSELDCS >OGLUM02G03580.1 pep chromosome:ALNU02000000:2:2676472:2678596:1 gene:OGLUM02G03580 transcript:OGLUM02G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTMSVFKFLLALAPDIDDGASWRWPLLLLHIHRSLSSPPRRSRRVRGAEWWPDPPPPRLPPTVARHSRVRHHPCKIHAGSAVMPPASTPDTPQRSWIRARGVQSGGRMRHLLASHPSIPCSVFAQHHIYGIKMVIKLNINVDSAELSPLETLLVHSCKHDQAESCLPAAFG >OGLUM02G03590.1 pep chromosome:ALNU02000000:2:2679004:2680312:1 gene:OGLUM02G03590 transcript:OGLUM02G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLSEVTTLTCSTSYDLVVLVLWPRASHRVTGHGATRLSCPTPATTTTTSSSNLCSFLNRVVSAWLVCAVLTLFLFNLLWFYPVDALWNAALRSVVTSGKLGKHPSMAGGGEEARCDYWEGRWVAPIVLAFLLLAVRLALPKNAAKEVAYSDLLTGLRAGDVTAVAFEEDSRRIYFHRATDIGGCGEDTDAGTGESRRSASAARWLCYTRRVPHDEGFLLGLMRDGGVDYRSAPRLAGRLLVYMLSTLLALWVDWWSGGGGRVLRSPMTGEAAGEDPCGRKGALYPWTRRIRAHFVDDFYDVNP >OGLUM02G03600.1 pep chromosome:ALNU02000000:2:2681748:2683725:1 gene:OGLUM02G03600 transcript:OGLUM02G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLRFPVDPDLRSPPPPPPPPPPPPPRDDGHRTRVDLPLFHPPPPPSPTPGLKRDLSPEGELLPLPPPLGSSRHDRLSDHLEGAAAPPRAEMRSASPTPTSERSPSPPLRLDDPVGCRSPPRGERFATIGLEKARAREMDRDTSCYAQYCFNYGYDGLCNGQGNAQSDPNAKGGMTVEEQPVPVYIALDY >OGLUM02G03610.1 pep chromosome:ALNU02000000:2:2718683:2721510:1 gene:OGLUM02G03610 transcript:OGLUM02G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEAALPEDLLANVLGRLPPCSLAVSRCVRKDWRALIDNRRLLRADLLPLRLDAFFFKGQILTSHPYFFSSRSTARRICGRLDFLDTFDDEDLQIMDHCNGLLLFFERLANPATRQWMHLPTFPMSPCVTLGLRTGFCLVYDPMVSTHHFEVFCVPLVPENIFYRSGEKLDPDSSSSVEESLSSRCTTHVFSSRKWRWEERSFVRQQGVKPANETIADLQFHPQQFQRRALYLKGEIYVQCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGVLQLGKSEKGVYFALLMKDNNFPQFQVWLLNKSSSCGGQIEWVLKANISLEAVMDNFPLNTDNSFSRPWILNYVTEEAIRRAQEEEELEWDFENGIILETKDKAEAHHLNNIYFIGFHPYKEIAFFWVSSSRVISYHLNTSKVQELGILFHLPGIAQSFLYTPCWMELFENNN >OGLUM02G03610.2 pep chromosome:ALNU02000000:2:2719059:2721510:1 gene:OGLUM02G03610 transcript:OGLUM02G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMEAALPEDLLANVLGRLPPCSLAVSRCVRKDWRALIDNRRLLRADLLPLRLDAFFFKGQILTSHPYFFSSRSTARRICGRLDFLDTFDDEDLQIMDHCNGLLLFFERLANPATRQWMHLPTFPMSPCVTLGLRTGFCLVYDPMVSTHHFEVFCVPLVPENIFYRSGEKLDPDSSSSVEESLSSRCTTHVFSSRKWRWEERSFVRQQGVKPANETIADLQFHPQQFQRRALYLKGEIYVQCKNNSLMRITLSNDKYQMIKSPVESKIDDGNGVLQLGKSEKGVYFALLMKDNNFPQFQVWLLNKSSSCGGQIEWVLKANISLEAVMDNFPLNTDNSFSRPWILNYVTEEAIRRAQEEEELEWDFENGIILETKDKAEAHHLNNIYFIGFHPYKEIAFFWVSSSRVISYHLNTSKVQELGILFHLPGIAQSFLYTPCWMELFENNN >OGLUM02G03620.1 pep chromosome:ALNU02000000:2:2734664:2740249:1 gene:OGLUM02G03620 transcript:OGLUM02G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLPELHSPPPPPPPPPTNDDRAREVDLPSPTPECDDRSPRRELGRSPEDHGVPLPPPPPLGSSRPERLASDRPEEGASAAAQPCGGRSESPTARSMWPRRLSPASLPPRGGRRSESPTPRSIWRRLSPSPPPPLPPLPPKRPRLDSRRSPPRGGRFGFEHERGRERSMNTSRRAPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKASYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDYHNKRRRHHRGPLEETELVSVAPKAHPVSSHYRRIQSDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGDKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWHVHGIDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLTSRVQEDIYFQNYMNDPNAPGGTPVMQQQSPQGPTPSELTPGAFGGQGSFVEMPTPPVLIPVPGAGPLGPFVPAPPEVVMQMMRPVMPMYPPRPPNSRRLRSYKDLDAPNDEVTLVDYRSL >OGLUM02G03620.2 pep chromosome:ALNU02000000:2:2734664:2740249:1 gene:OGLUM02G03620 transcript:OGLUM02G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLLPELHSPPPPPPPPPTNDDRAREVDLPSPTPECDDRSPRRELGRSPEDHGVPLPPPPPLGSSRPERLASDRPEEGASAAAQPCGGRSESPTARSMWPRRLSPASLPPRGGRRSESPTPRSIWRRLSPSPPPPLPPLPPKRPRLDSRRSPPRGGRFGFEHERGRERSMNTSRRAPDCLDSGCDAPYNGQSNTRRKGLMTYKQFTQKLEDDVSPGEAESRYQEYKASYITSQKQDYFDHHKNEDRLKDMYHPTNLLSVIERRNELCKAAAKNLILDLRSGTLDLGPGMTAGTASKSGNDSDGIPADDEDYHNKRRRHHRGPLEETELVSVAPKAHPVSSHYRRIQSDIHQTLALVKKLDEEKGIVGNILTTGDHTKSNGDKSYAGSTGPLVIVRGLSTVKGLDGFELLDTLLTYLWHVHGIDYYGMSESTNAKGLRHVRADTKNANMDKSSAADWEKKLDYFWQERLTNGKDPLVALTAKDKIDASADKVLESYVTKVKDDNYGWTYGCGAKGCIKVFHAPDFVLKHLNLKHPDLVSKLTSRVQEDIYFQNYMNDPNAPGGTPVMQQQSPMMRPVMPMYPPRPPNSRRLRSYKDLDAPNDEVTLVDYRSL >OGLUM02G03630.1 pep chromosome:ALNU02000000:2:2742164:2744158:-1 gene:OGLUM02G03630 transcript:OGLUM02G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAAAARSLRAGVEKSRALGQALARAGPRVEEIQLALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLEPPLLAAGAVAGDLAGYLAVLGRLEEALRFLSDNSGLAAQWLADIVEYLGDHDLADPRFLADLAVTLEGLKKPSGDLDGGLLAAALDMLEAEFRRLLADHSAPLPLAMQQLNTTSASTAAPSLIPAATVHKLSLILDRLIANGRQDRCLSVYADARGGVVSASLRALGLDYLRNPVDYAQALGPGVELWGRHLEFVVRCLLESERQLCNKVFGQRKDDASACFADVAAHAGILDFLSFGRAAADAKKDPIKLLRLLEVFDSLNKLRLDFNRLFGGKKACVEIQNQTRDLVKLLVDGAVEIFEELLVQVELQRHMPPPPDGGVPRLVSFVVEYCNRLLSEKYRPVLAQVLTIHRSWRKETFSDKMLVNAVLNIVKALEANFDVWSKAYDNVTLSYLFMMNTHWHFFKNLKATRLGELLGDAWLQEHEQFKDYYLTVFMRDSWGVVSPLLNREGLILFSKGRATAKDLVKQRLKTFNASFDEMFRKQSAWVIPEKDLREKTCGLVVQAIVPAYRSYMQNYGPLVEQDVSASKYVKYTVDGLEKMLSALFIPRPRRAGSFQIRHTNDKITSAMTGFYRSASTVK >OGLUM02G03640.1 pep chromosome:ALNU02000000:2:2749867:2753582:1 gene:OGLUM02G03640 transcript:OGLUM02G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPSRPLASSSSSSSSRVFSFFLAPRVFLFLVVVVVVVFLPGRSSCWWLEGTEELEEEMGFAGDCSPVSGGGLRGKIQEEEEEKDDKFFMARENGKFSYGYASAPGKRASMEDFYETRIDGVDGETIGLFGVFDGHGGARAAEYVKQHLFSNLIKHPKFISDIKSAIAETYNHTDSEFLKAESSHTRDAGSTASTAILVGDRLLVANVGDSRAVVCRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEIVDSSLEFLILASDGLWDVVSNKEAVDMVRPIQDPEQAAKRLLQEAYQRGSADNITVVIVRFLEGTTTGGGPSREAASNQNS >OGLUM02G03650.1 pep chromosome:ALNU02000000:2:2763441:2764722:1 gene:OGLUM02G03650 transcript:OGLUM02G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLTTTSSMDSVVDGGLDTRLSLAVGCCPPRRRPVLLFGEVLPSPEKKVAAAAVVAAGKRGREQRGEAEAEATTTRQRRSCKKGRRGRGDDDDDDGDRRSPSGGGGDEEGASRKKLRLTGEQATLLEDSFRAHNILSHAEKQELAGKLGLSARQVEVWFQNRRARTKLKQTEADCDLLRRWCDHLAADNARLRRDLAELRRSSSSPPVSGLAVATPVVCPSCAHDDKRRLAFATAAAAAGDMASN >OGLUM02G03660.1 pep chromosome:ALNU02000000:2:2766567:2767237:1 gene:OGLUM02G03660 transcript:OGLUM02G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGNGVRARFDLAVHAPRAHRGLEPVGTTLSSAAAAAGGDHPQPAAAGPAAAAASKAAVEEATTTRPPPVCAMPPPPIGTPRAAAAASSTSARHAAGREYFHRRRHLMARPRRHIALAPMPSRGKQAHARTTDAGGAGGERRAGNGVAPTSLTRSEVAKLQKERKREKKRRDNFGLQNPFIWSTSLSQYLALKIIYSSKLLKF >OGLUM02G03670.1 pep chromosome:ALNU02000000:2:2771204:2772570:-1 gene:OGLUM02G03670 transcript:OGLUM02G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIQHYKHKLKLLPPAPACVYGSTQYSHADAALALPAEYWRIIEPFYQNIKMNSTITTMSQEEKKREEKN >OGLUM02G03680.1 pep chromosome:ALNU02000000:2:2772607:2775689:-1 gene:OGLUM02G03680 transcript:OGLUM02G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAASPATAAAAANSDDEDNYEEYIPVAKRRAIEADRLRRLRLSKPAPPSSSAAEAASDLPPPPPPPPNHPSAGGGSGGGGGGGLEASAKPSLLVKATQLKRAAPEVTHREQLIMQEKEMIEHLSDRKTLMSVRELAKGITYSDPLKTGWKPPLRLRRMPRVKADELRRKWHILVDGDDVPPPARDFRDLRLPEPMLRKLREKGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEMIMPIVPGEGPFGMIICPSRELAKQTYDVIEQFLVPLKEAGYPEIRPLLCIGGVDMRAQLDVVKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPKKIQNFAKSALVKPVIVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLVFCENKADVDYIHEYLLLKGVEAVAIHGGKDQEERENAIEFFKNGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELNDPLEDEETMAKESGVKGCAYCGGLGHRVTDCPKLEHQKSMAIAGSRRDYYGGGGYRGEI >OGLUM02G03690.1 pep chromosome:ALNU02000000:2:2776778:2777273:-1 gene:OGLUM02G03690 transcript:OGLUM02G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHYIWDTLMLTLGLFFCVQELEMVNIFPTVCWRVRSSTGSSPCSLGILAAVKGTELYGISCGRNGTQWYRFLALVDVSGYGVHLAGRPTLVIGGVPLHMGSVGNIMGFLLP >OGLUM02G03700.1 pep chromosome:ALNU02000000:2:2777885:2780022:-1 gene:OGLUM02G03700 transcript:OGLUM02G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTQLKPMASSSTSPSPSRLPRSSSGKAPTSPPSSSNASRRHHQQPPRASASTPATPAPSRHHLRSLSISCMTIRTDDDSPPPAATHKDKAATAKPLSYYSSMLSPRKLMQRASRAFRRSKSSRRRKSKDDVVVGVGGDNSAPVNGKGSESSASVPSLDAITTTDDDVQSGGGGARQDQQEVVPEKIIHEANTPVVIAVAAVEEEEPNTIKSPEPEKEITTTAAAAIIEEEEVVDDDEPKKGDAAATPVPTDSPAAASSTEEDKFVAVAVVKEEDKFVAVVKEAIKKQRDDADENSPAADELVRRFKGSRVKTAMEKRSEEEQPRRREMARRSNDVIEEARSKLLEKRQCSRVKALVGAFETVMDAKPAGDGAAAKPQHYHPRR >OGLUM02G03710.1 pep chromosome:ALNU02000000:2:2782866:2785945:1 gene:OGLUM02G03710 transcript:OGLUM02G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGKARVVVVGGGIAGSLLAKTMQPHADVVLLDPKDYLEIPWAELRSMVEPSFAERSLIYHRDYLTNATIVTSSAVNITEQAVLTADGQSLAYDYLVIATGHALTSPGSRSERIKEFQRDKGKIESSESVLIIGGGPTGVELAGEIAVDYPEKKVTLVHRGSRLLEFIGDKASKKCLDWLTSKKVDVLFQQSIDLDSLSNTEKLYRTSAGETVTADCHFVCIGKPLSSSWLHDTILKESLDNKGRLMVEKDLRIKGYNNIFAIGDITDIPEIKQGYLAHKHALLVAKNLRLLIKGSPNSKLETYSPGFALALISLGRNEGLAQLPFLTLGGCLPGKIKSRDLFIGRTRKQMGLNA >OGLUM02G03720.1 pep chromosome:ALNU02000000:2:2786222:2794280:1 gene:OGLUM02G03720 transcript:OGLUM02G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRAARMLGDIAWERIQLLWNFQEDAQEMESKMTDLKVAHSYADKRSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWKNSRCTVKLFFSSINPLIVRIAMSHKMRNIRVNLDKIVENQKKSPRLTLPTPTRQDSNENWRETFIGHTDEIEMVGRESEKKEILTKVLLKDGGQESSTIQPFQESSIIPIVGLGGMGKTTLAKAVYTDKETDMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPAKDADLQNLKSQLDRILCDKLYLIVLDDLWEEGRSKLEKLMNMLQSGKKGSKIIVTTRSEKVRCSGVPLVAKALGYVMQKHCTREEWFEIKNSNILDTTKDDDEGILKGLLLSYYHMPPQLKLCFMYCSMFPKSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLAARMIFKATFRLHMHDMVHELARHVAGDEFSYTNGTENRNTKRDKLNCHYHLLLNQNETSSAYKSLATKVRALHFRGCNKAHLPKQAFSHALCLRVLDLGGCQVSELPSSVYKLKLLKYLDASSLCISNLPKSLNHLLNLQTLILSNTPLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGDLNRLQFLSLSDCYKLISLPESCCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLNMTSCSKVEALSDSLCKLMMLRHLNLSYCIRLEHLPSCIGDLQLQSLDIEGNFVLQDLPDSIFNMSTLKNVEGTFTPFSDELEKLRKNLKLEGFCKIDGGSTDLCSRITELKKTHYHELQIQGLEDFKHLEGIEHAILLNSLKLTKLTFSWQPKQYISETAHHKTVLGMLVPPRSLHLTIKGYCGIELPKWMLEIRSFLPHLTTIHLESLIECNRLPPLGCLPNLRALVMAEMPKIKGVGPEFYGDYGSCQKLRIIFLISMDNLEEWWTTRSSKQDNKLFLIPNLHLLWASDCPKLKFLPYPLRSMTWFVDNSNHVLPEHGFGNLTSATSPLHLCIKRAPNSPEMWRRAQHLSSITTLTLESIAGLRALPEAIQCFTSLRSLGIKGCVELETLPEFLGDYFTCLEDIWFETCPMLSSLPESIRRLTKLKKLKIINCPVLSEKCQGEDRHKIAHIPELIFMLADTVSRVRVTANWRSKSLDFRWHLSLWKKRKGWLSCRSSLSVAAYQAGLNLGICLSVREGSRWVRFLALQL >OGLUM02G03720.2 pep chromosome:ALNU02000000:2:2786222:2794280:1 gene:OGLUM02G03720 transcript:OGLUM02G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDNALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENSPCTRAARMLGDIAWERIQLLWNFQEDAQEMESKMTDLKVAHSYADKRSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWKNSRCTVKLFFSSINPLIVRIAMSHKMRNIRVNLDKIVENQKKSPRLTLPTPTRQDSNENWRETFIGHTDEIEMVGRESEKKEILTKVLLKDGGQESSTIQPFQESSIIPIVGLGGMGKTTLAKAVYTDKETDMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPAKDADLQNLKSQLDRILCDKLYLIVLDDLWEEGRSKLEKLMNMLQSGKKGSKIIVTTRSEKVRCSGVPLVAKALGYVMQKHCTREEWFEIKNSNILDTTKDDDEGILKGLLLSYYHMPPQLKLCFMYCSMFPKSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLAARMIFKATFRLHMHDMVHELARHVAGDEFSYTNGTENRNTKRDKLNCHYHLLLNQNETSSAYKSLATKVRALHFRGCNKAHLPKQAFSHALCLRVLDLGGCQVSELPSSVYKLKLLKYLDASSLCISNLPKSLNHLLNLQTLILSNTPLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGDLNRLQFLSLSDCYKLISLPESCCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLNMTSCSKVEALSDSLCKLMMLRHLNLSYCIRLEHLPSCIGDLQLQSLDIEGNFVLQDLPDSIFNMSTLKNVEGTFTPFSDELEKLRKNLKLEGFCKIDGGSTDLCSRITELKKTHYHELQIQGLEDFKHLEGIEHAILLNSLKLTKLTFSWQPKQYISETAHHKTVLGMLVPPRSLHLTIKGYCGIELPKWMLEIRSFLPHLTTIHLESLIECNRLPPLGCLPNLRALVMAEMPKIKGVGPEFYGDYGSCQKLRIIFLISMDNLEEWWTTRSSKQDNKLFLIPNLHLLWASDCPKLKFLPYPLRSMTWFVDNSNHVLPEHGFGNLTSATSPLHLCIKRAPNSPEMWRRAQHLSSITTLTLESIAGLRALPEAIQCFTSLRSLGIKGCVELETLPEFLGDYFTCLEDIWFETCPMLSSLPESIRRLTKLKKLKIINCPVLSEKCQGEDRHKIAHIPELIFMLADTVSRVRVTANWRSKSLDFRWHLSLWKKRKGWLSCRSSLSVAAYQAGLNLGICLSVREGSRWVRFLALQL >OGLUM02G03720.3 pep chromosome:ALNU02000000:2:2787738:2794280:1 gene:OGLUM02G03720 transcript:OGLUM02G03720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRAARMLGDIAWERIQLLWNFQEDAQEMESKMTDLKVAHSYADKRSRETDDDALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWKNSRCTVKLFFSSINPLIVRIAMSHKMRNIRVNLDKIVENQKKSPRLTLPTPTRQDSNENWRETFIGHTDEIEMVGRESEKKEILTKVLLKDGGQESSTIQPFQESSIIPIVGLGGMGKTTLAKAVYTDKETDMFDVKAWVHVSMEFQLNKIVSGIISHVEGSTPAKDADLQNLKSQLDRILCDKLYLIVLDDLWEEGRSKLEKLMNMLQSGKKGSKIIVTTRSEKVRCSGVPLVAKALGYVMQKHCTREEWFEIKNSNILDTTKDDDEGILKGLLLSYYHMPPQLKLCFMYCSMFPKSHVIDHDCLIQQWIALGFIQDTDGQPLQKVAMEYVNELLGMSFLTIFTSPTVLAARMIFKATFRLHMHDMVHELARHVAGDEFSYTNGTENRNTKRDKLNCHYHLLLNQNETSSAYKSLATKVRALHFRGCNKAHLPKQAFSHALCLRVLDLGGCQVSELPSSVYKLKLLKYLDASSLCISNLPKSLNHLLNLQTLILSNTPLKTLPTNIGCLQKLQYFDLSGCANLNELPTSFGDLSSLLFLNLASCHELEALPMSFGDLNRLQFLSLSDCYKLISLPESCCQLHDLAHLDLSDCHNLGKLPDCIDQLSKLEYLNMTSCSKVEALSDSLCKLMMLRHLNLSYCIRLEHLPSCIGDLQLQSLDIEGNFVLQDLPDSIFNMSTLKNVEGTFTPFSDELEKLRKNLKLEGFCKIDGGSTDLCSRITELKKTHYHELQIQGLEDFKHLEGIEHAILLNSLKLTKLTFSWQPKQYISETAHHKTVLGMLVPPRSLHLTIKGYCGIELPKWMLEIRSFLPHLTTIHLESLIECNRLPPLGCLPNLRALVMAEMPKIKGVGPEFYGDYGSCQKLRIIFLISMDNLEEWWTTRSSKQDNKLFLIPNLHLLWASDCPKLKFLPYPLRSMTWFVDNSNHVLPEHGFGNLTSATSPLHLCIKRAPNSPEMWRRAQHLSSITTLTLESIAGLRALPEAIQCFTSLRSLGIKGCVELETLPEFLGDYFTCLEDIWFETCPMLSSLPESIRRLTKLKKLKIINCPVLSEKCQGEDRHKIAHIPELIFMLADTVSRVRVTANWRSKSLDFRWHLSLWKKRKGWLSCRSSLSVAAYQAGLNLGICLSVREGSRWVRFLALQL >OGLUM02G03720.4 pep chromosome:ALNU02000000:2:2786222:2787765:1 gene:OGLUM02G03720 transcript:OGLUM02G03720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAEMIAGAVVQRVAGMLGDNAWERIQLLWNFQEDVQDMKSKMTDLKVALSYADKHSRETDDNALVLRHWLNKYKSVAYDMEDTLDELLTNAMIWENSPCTLIV >OGLUM02G03730.1 pep chromosome:ALNU02000000:2:2796799:2800080:1 gene:OGLUM02G03730 transcript:OGLUM02G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPSWRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFKSSNSMAIHGSSSKVPLRKFGDEKRRQLLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTHRQKDKELNLGQSGVCSSSCTNRPTISRYAPADVKRPCNHASGVQRHGHNNPDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYRPVATKANAQVVDDIKCSICQGVYYY >OGLUM02G03730.2 pep chromosome:ALNU02000000:2:2796822:2800080:1 gene:OGLUM02G03730 transcript:OGLUM02G03730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYPSWRSKAAIGFLRRGGGFSSRNQSTEERTIQNYDGPGITTRLNPMKTRLSDNQERPRYLRDSFKSSNSMAIHGSSSKVPLRKFGDEKRRQLLLAGVDIAESSSRNAGGKHLEGSNKRIVVDDRSSDVLHTETEGLATEQDQLIAPNAGVSDSASSSDISEHAVESLVRSAAPSSRTHRQKDKELNLGQSGVCSSSCTNRPTISRYAPADVKRPCNHASGVQRHGHNNPDCTSVPNFLPSGCSSGSVYSRRFDAMRKRTSDGGSFSRSRGLSGTASLDDSPPAYPAIAGPRIRTTTTERASQQNALRSRRNFQDSAVSVRTRRPPWGARFRISEEREDGMISQRDSSIGNQQSDQVHSSSEEASTESSSRPFSAELPHAIYSSRGEGSNAFTARRRRSSSLYEERPPQTFHDLFRERNGRRHISIEGIAEVLLALDRIEQEAELTYEQLMVLETNILLGAFTSHDQHSDMRMDIDNMSYEELLALGDRIGSVSTALSEEQFVKCLRRSIYRPVATKANAQVVDDIKCSICQGVYYY >OGLUM02G03740.1 pep chromosome:ALNU02000000:2:2804236:2815894:1 gene:OGLUM02G03740 transcript:OGLUM02G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSDSEGSGDEEEEEEGVEVGGGGDGGVGVGVGGGFALAIEGVLGACGLVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDTTVVTDIRRCLEEGTVFQGDLLNFRKDGSPFMAKLQLTPIYGDDETITHYMGMQFFNDSNVDLGPLSVSTTKEIVRSTLITPDNTIRPSPMGKGFCSEHSDLFLLSDEVLCQKILSRLSPRDIASVNSVCKRLYHLTRNDDLWRMVCQNAWGSEATQVLETVAGTRSLAWGRLARELTTLEAVTWRKLTVGGAVEPSRCNFSACAAGNRVVLFGGEGVNMQPMNDTFVLDLNASKPEWRHINVRSAPPGRWGHTLSCLNGSRLVLFGGCGRQGLLNDVFMLDLDAQQPTWREIPGLAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMERPVWREIPASWTPPCRLGHSLSVYDGRKILMFGGLAKSGPLRLRSNDVFTLDLSENKPCWRCITGSGMPGASNPAGVGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASKLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTELHELSLMFPTLNQKDLELYSWKL >OGLUM02G03750.1 pep chromosome:ALNU02000000:2:2809826:2812516:-1 gene:OGLUM02G03750 transcript:OGLUM02G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHELERKRGGEVACLLFVISPLLSSLLFSSLRHGATHLPPRSLLLLLLRFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRSYY >OGLUM02G03750.2 pep chromosome:ALNU02000000:2:2809826:2812516:-1 gene:OGLUM02G03750 transcript:OGLUM02G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHELERKRGGEVVRFSLEDTLGSIRPASWKFSMGSGMVKKKVVKAGSFDLDVKLDKSWMEDITCPICLDFPHNAVLLRCTSYEKGCRPFICDTDQSRSNCLERFKGAHGLPTNMKVPSFNGAPLDSIHIISSNTTDRPACPLCRGDVIGWVVIDEARLHLNQKKRCCEESCCSYVGNFHELQKHTQQKHPNSRPSEIDPARRVDWENFQQSSDIIDVLSTIHAQVPNGIVLGDYVIEYGDDDAGDDYEVYHRVRGNWWTSCIFCKSFCRSSGGRSRARARERRSSGRRSSNRSSQESFTIEVPSGSVDIREIRFDEIDDEYIVTGAMPGIAASRRIASHYRDPRYGRRRSYY >OGLUM02G03760.1 pep chromosome:ALNU02000000:2:2812930:2816996:-1 gene:OGLUM02G03760 transcript:OGLUM02G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTWAIGLGRDGGTDVSWQTLQHVGTYTAGASQTMMYNLTERKENVGLMTQGIFENIS >OGLUM02G03770.1 pep chromosome:ALNU02000000:2:2817276:2820044:1 gene:OGLUM02G03770 transcript:OGLUM02G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLLLRRCLSAAAASSAAARFAVRHAHGELEVSGCSARIRDLGRLGRVGEAREVFDAMPRRDIIAWNSMISAYCHNGMPDAARDLYDAISGGNMRTGAILLSGYGRLGRVLEARRVFDGMLERNTVAWNAMISCYVQNGDITMARRLFDAMPSRDVSSWNSMLTGYCHSLQMVDARNLFEKMPERNLVSWTVMISGYGRIENHGKAWDIFCKMHREGLLPDQSNFASALSAVKGLGNLDVLESLRVLALKTGFERDVVIGTAILNVYSRDTSALDTAIKFFESMIEKNEYTWSTMIAALSHGGRIDAAIAVYERDPVKSIACRTALITGLAQCGRIDDARILFEQIPEPIVVSWNALITGYMQNGMVNEAKELFDKMPFRNTISWAGMIAGYAQNGRSEEALGLLQELHRSGMLPSLSSLTSIFFACSNIVALETGTQVHSLAVKVGCQFNSFACNALITMYGKCRNMEYARQVFSRMVTKDIVSWNSFLAALVQNDLLDEARNTFDNMLGRDDVSWTTIISAYAHAEQSNEAMGAFKTMFCEHELPNSPILTILLGVCGSFGASKIGQQIHTVAIKLGMDSELIVANALISMYFKCGCADSRRIFDLMEERDIFTWNTIITGYAQHGLGREAIKMYQHMESAGVLPNEVTFVGLLNACSHAGLVDEGWKFFKSMSQDYGLTPLPEHYACMVDLLGRTGDVQGAEQFIYDMPIEPDTVIWSALLGACKIHKNAEIGKRAAEKLFRIEPSNAGNYVMLSNIYSSLGMWGEVAEVRKIMKQQGVIKEPGCSWTQIKDKMHSFVTGDKQHEQIEEIVATLEELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYCLLATPKGMPIQILKNLRICGDCHTFIKFVSHVTKRQIDIRDGNRFHHFRNGSCSCEDFW >OGLUM02G03780.1 pep chromosome:ALNU02000000:2:2817590:2828914:-1 gene:OGLUM02G03780 transcript:OGLUM02G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFSTQWKATADSQCSHVSFRGFISIDCGIPEKSSYQDPTSSIIYVSDYGFISTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSTADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKLLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGYDINSVWKVADLALHCMREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPALIMAFHATVFRSSIPSNTLRASSTRPRRP >OGLUM02G03780.2 pep chromosome:ALNU02000000:2:2817590:2828914:-1 gene:OGLUM02G03780 transcript:OGLUM02G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRWKGDAPSHATRRAPERLRWVPEVAARVVAFVRFRQYTMESNCGLAMFTCIIQSTGANHNISSAYIKPSLAQRNYNVRFFPDGTRNCYTLRSLQEGNKYFVRAVFYYANYDSLNKLPVFDLYLGANYWHEVKFSTADAVNWMDIIVVAPADYLQVCLVNKGTGTPFISGLDLRPLKSTLYPEANTTQSLVLINSNRFNMGPTDNSIIRYPLDPHDRLWSTYDTIPDWTEISATSVVQNYLTDVYDVPSAVMQSAATVNSSRINFTWDPSDPSVNISSKYFFVLYFSELQSVPSNGLRQFDIIVNNNTWNTQPYTPPFLFADSLSGTVQGLASYSVSLVATKNATLPPILNAMEMYLVKLLTEFATDPRDARAMMEVQQNYDVKKNWMGDPCAPKAFAWEGLNCSYPPADSSKITSLNLSSSGLAGSIATYFGDLKSLQYLDLSSNDLSGPIPYNLLQKSQNGSLSLRVGYNAKLCGNDTECRSGQKKIKGSLLSAIIITIVATVALIVVLFLLLRRMLKAKDKRRAAGPTYESALLENREFSYRELKHITNNFSQQVGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRATTNKPLTWEQRLHIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTNLGAKIADFGLTKVFSESRTHMTTEPAGTFGYLDPEYYRNYHISEKSDVYSFGVVLLELITGRPPVIPIDESVSIHIGEFVHQSLDHGSIESIVDARMGGGGYDINSVWKVADLALHCMREVSRERPTMTEVVAQLKESLELESHGDRKHLVTGDDDVSVSNLGEETALEVEEQSGEISRVSPGPALIMAFHATVFRSSIPSNTLRASSTRPRRP >OGLUM02G03790.1 pep chromosome:ALNU02000000:2:2820163:2822843:1 gene:OGLUM02G03790 transcript:OGLUM02G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELQALLKLCHHLCHRRPLPGDLSHAVQRQVSDFPDRVDVVASPSHPCIHNALDAAVVEALMHKLTNVNAHALVDGDDGRAACDQLQEDDTEAVHVALLADMIIAVVPEQDGQNMTWKLSYLVELKIFHFERKTM >OGLUM02G03800.1 pep chromosome:ALNU02000000:2:2829651:2834985:-1 gene:OGLUM02G03800 transcript:OGLUM02G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVSGRFVVAAAVVAVSLAMAAAAAHDYGEALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVLEYGEEIAAAGELGHALHAIKWGTDYFIKAHTHPNVLWTQRPEDMTTSRHAYKVDAENPGSEVAAETAAAMAAASILFEFGDKYRGRYDESVEVVNNYYPSSSGYKDELLWAALWLHRATGRREYLDYAVDNADDFGGTGWAVSEFSWDIKYAGLQVLASKLLVEEKHLSSQQREVLEKYRSKAEYYVCSCMGRNPGGAAHNAGRTPAGLLFIRPWNNLQYVSNAAFLLTVYSDVLSSLSLPLLCPDPDAAADEAAPAAADAGEVLEFARSQADYILGTNPMATSYLVGYGEAYPRRVHHRAASSASYARDRDFIGCLQGFDSWYSAAAENPHDLVGAVVGGPNGNDVFTDHRGAYMQTEACTYNTAPMVGVFSRLMELERRRRGEDAPPSSTSPVAEDDL >OGLUM02G03810.1 pep chromosome:ALNU02000000:2:2842876:2843824:1 gene:OGLUM02G03810 transcript:OGLUM02G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILIGASAVIFMSSYWLYFWSTILPHEEQDTMRNGATLLESGRCLTADNLAKCSWPHDLACPLCSYEQEDCDHLFPKCSFTNRDDKAFPAGAVATGETLFSDMLHECF >OGLUM02G03820.1 pep chromosome:ALNU02000000:2:2844742:2848051:1 gene:OGLUM02G03820 transcript:OGLUM02G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAASSPALIGILSASSEPPLHSPLEGVRSPSPLPLPRAIKQPFIGNFSPFKSYPLQVIRESLPLAHHQSLSRNAIGPSYRWPWTRLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDALTYARANPLMRKVKIMGREDKIIWIPNDNLRRLVRSLNKTYALLHAKKKCLSSLTSSNVLVGEDGSAVIQGVIEIPYSEEEACCRYSETASILKELITESVGSEAIGVDCIADFRRLLRQMESMTSVCQEYIISNHASLIPDSNRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVISNRFLRRWLNSHREYVSTGDDDMSFNWNVRSHFYVHLWIFAYSQLEVEECLYGEFPELLLEIEILLWKANEIDGLGFEDKF >OGLUM02G03820.2 pep chromosome:ALNU02000000:2:2844702:2848051:1 gene:OGLUM02G03820 transcript:OGLUM02G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRVGRRFLAGDILSSEASPHAVGRLFTEPPLHSPLEGVRSPSPLPLPRAIKQPFIGNFSPFKSYPLQVIRESLPLAHHQSLSRNAIGPSYRWPWTRLTSAVALPKLLGTRWASTNTSSTTGFGPCFTSAGELIDALTYARANPLMRKVKIMGREDKIIWIPNDNLRRLVRSLNKTYALLHAKKKCLSSLTSSNVLVGEDGSAVIQGVIEIPYSEEEACCRYSETASILKELITESVGSEAIGVDCIADFRRLLRQMESMTSVCQEYIISNHASLIPDSNRTAVFLLFYNHIMGKLAQEQPRLKNQIISKLPYDGIWLGIVISNRFLRRWLNSHREYVSTGDDDMSFNWNVRSHFYVHLWIFAYSQLEVEECLYGEFPELLLEIEILLWKANEIDGLGFEDKF >OGLUM02G03830.1 pep chromosome:ALNU02000000:2:2848525:2856535:1 gene:OGLUM02G03830 transcript:OGLUM02G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELGLITRGVIHACQCWSENSHIPVILCLAVVQYMNDLNAVRGTNQKKTGRGSFWALLFSLFGCCKKDQELGLPV >OGLUM02G03830.2 pep chromosome:ALNU02000000:2:2848525:2856535:1 gene:OGLUM02G03830 transcript:OGLUM02G03830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSRRTPSFLRSSSSGLHSRRRSCQWPVPCGNVLSHDKTCGYQSSGHLQKNKESATAVKPTGERVDHRSRHHAISTKIAISRSSPNNVAVKPQAILIN >OGLUM02G03830.3 pep chromosome:ALNU02000000:2:2848525:2855173:1 gene:OGLUM02G03830 transcript:OGLUM02G03830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFSNMMGGCLDHDSIVTTNIFCGAAMHLHCDWASTGKELGLITRGVIHACQCWSENSHIPVILCLAVVQYMNDLNAVRGTNQKKTGRGSFWALLFSLFGCCKKDQELGLPV >OGLUM02G03840.1 pep chromosome:ALNU02000000:2:2857306:2858073:-1 gene:OGLUM02G03840 transcript:OGLUM02G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRTGQPTPAAIKRQRQLQPAPTRVAGPADLINLAQAGRVNEAIHLLSQGARGNCKAFEELAASCSTPALKEELKDVHHYFLCSGFHNDCGVNNKLIEMYPKCDLLKFSRRTFDQMAYRTLDSWLLMIEVSYNAGEFEEAFWLFKQLKTNYHDLHKTKHLISPVGSVELIQLCRSGKGRKALKLLEMGVHANLHAFFEIAAGCRTIAELNLVRGCLQRSAFSHNTFINSKIDQMQAKFEQMHANLNNKDGHGHP >OGLUM02G03850.1 pep chromosome:ALNU02000000:2:2859348:2863556:1 gene:OGLUM02G03850 transcript:OGLUM02G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRRVVHLVTALNGSANLRHIDMSRFFSRRPPPPFSPSSMAVVTEESSLPEPSMSFYPAPAPPESKGDIGVMLFGRARDRLLVAGQSVSAAIYDAATHALLAETTPLKPKYWPVSVPVGDDIYLFDLYPRVPCGCRHCFEAVTAVVDSSSSSSSYCSRALPPPPFLFAPGYSPKPIESYTVVGGSEVWISTARAGTYTFDTVSCSWSKQADWPMPFAGLAEYVPEHKLWFGLSSSRRDKHPLCAVDLAAAAAASPETGPELTNVWMELSVPREWIPVEAFLVHLGSSRFFVARFFQELVEVRCDFSQRFDRFAVFTGVELERTSRGELRMIKHKSERYSIAHNVLCHSVGY >OGLUM02G03860.1 pep chromosome:ALNU02000000:2:2863711:2868445:1 gene:OGLUM02G03860 transcript:OGLUM02G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPTFHVHPPFPVSARKKEDDGGGGGGGKKEAAAAAMRRLAEMDHRAAADGGKVVAAVAVDGDRGSQHALKWAADHVLSRSHPFFLLHVRRKHASLHSAGGKQFSLLHVQDDVAASSPDQMDHHTKDLLLPFQCFCSRRGLQCRETILDGTDVWKVIIDFVLDQKVDKLVLGASSRNAITRTIWKLDVPTCVTKSAPNFCSVYVISKGKLSSFRLATHANANDTSKEELESNISENRPLIAKSEPAPIIHIEGQNSSSYLLGSETGVKTQMQVLNMRMNIYKNSRHVQPIPTVRDQMQNRVPQERTIQEFKDHSSQDTVHPILRRLPPKFYSPRNDSKHGCASEEAYNLELKCKPLPRPIETKRLLEGLPTRFQCKIYTTEEVANATDHFSPELKVGEGGYGPVYKATLDNTLVAAKILHSNITQGLKQFQQEVELLNNIRHPNMVHLLGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFKMAVEIATGLLYLHKMKPEAFVHRDLKPENILLDKDFVSKISDVGLARIIPRSMDETVTQYRMTDAAGTFCYIDPEYQKTGLVTTKSDVYALGIIYLQMITAKDAMGLAYMVSDALEEGTFEGLLDPNVTGWPVQEAQKFAELSLKCCELRHRDRPDLESVVLPELIRLHTLVASSGDHSSIDQGHQRSVSDKELALDNDLAEILNDGLVKGASFAA >OGLUM02G03870.1 pep chromosome:ALNU02000000:2:2869140:2870347:1 gene:OGLUM02G03870 transcript:OGLUM02G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9YME3] MPMPLPSQVHRCQLLPAPPHSPSLVTLLCSLLLNHFTPPPATTTLYSGDIMFINTASFVAGAVVASPEQPAKLVKDQRRVVPGSCRARRGAASNGFRTYCMQTWSPFTNRRYEAMSYLPPLSEESISKEIEFIMSNGWVPCLEFDKEGEIHRSNSRMPGYYDGRYWTLWKLPMFGCSDAAAVLREVEECRREYPDAFIRLIAFDSSRQCQCMSFVVHKPPSAAASPATVAGAE >OGLUM02G03880.1 pep chromosome:ALNU02000000:2:2871269:2876036:-1 gene:OGLUM02G03880 transcript:OGLUM02G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPYAGVPIDPAKCRLMSVDEKRELVRELSKRPESAPDKLQSWSRREIVEILCADLGRERKYTGLSKQRMLEYLFRVVTGKSSGGGAVEHVQEKEPTPEPNTANHQSPAKRQRKSDNPSRLPIVASSPTTEIPRPASNARFCHNLACRATLNPEDKFCRRCSCCICFKYDDNKDPSLWLFCSSDQPLQKDSCVFSCHLECALKDGRTGIMQSGQCKKLDGGYYCTRCRKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILVSTEKYFVLHEIVDTAMKKLEAEVGPISGVANMGRGIVSRLAVGAEVQKLCARAIETMESLFCGSPSNSQFQRSRMIPSNFVKFEAITQTSVTVVLDLGPILAQDVTCFNVWHRVAATGSFSSSPTGIILAPLKTLVVTQLVPATSYIFKVVAFSNSKEFGSWEAKMKTSCQKEVDLKGLMPGGSGLDQNNGSPKANSGGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPNEPTNNSQSMPMVIARVTEVSGLEEAPGLSASALDEEPNSAVQTQLLRESSNSMEQNQRSEVPGSQDASNAPAGNEVVIVPPRYSGSIPPTAPRYMENGKDISGRSLKAKPGDNILQNGSSKPEREPGNSSNKRTSGKCEEIGHKDGCPEASYEYCVKVVRWLECEGYIETNFRVKFLTWYSLRATPHDRKIVSVYVNTLIDDPVSLSGQLADTFSEAIYSKRPPSVRSGFCMELWH >OGLUM02G03890.1 pep chromosome:ALNU02000000:2:2882056:2886386:-1 gene:OGLUM02G03890 transcript:OGLUM02G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVVVFPVKGRAWCFASPRATAPAAARGGGDGGALLPPPPPTVKDLWRGIAGGGRTASENAEAVVDFVADKMNRAWIGFGSAPEGSMKNRIHSFGLKLLSRVRPSEVLLKSVTKDVSLLEIVHPASTNPRLVRRRLRHIAVRGASVHRKFLYGSVCLLPVTSVFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLVSDSSDQWKILLEKQKEMSSRKDGNPCENTQYAPWPSKKLDGFLESRKLNEGLDCDTISRICQAYDLDKIDVLKYRDLE >OGLUM02G03900.1 pep chromosome:ALNU02000000:2:2887812:2900613:-1 gene:OGLUM02G03900 transcript:OGLUM02G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YME6] MEEDQSAIPVAEGAIKSIKLSLSTEDEIRTYSINDCPVTHPSQLGNPFLGLPLETGKCESCGASENGKCEGHFGYIELPVPIYHPCHVTELRQILNVVCLKCLRVKKGKVKQTEGKDNTSALSCYYCRDLPALSLKEIKTADGAFRLELKMPPRKFMTEGSWNFLDKYGFHHGGTSHCRTLLPEEALNILKKIPEETKRKLAARGYIAQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISISLLKKVLQKIEQIKKSRAGSPNFESHEVESCDLQLSIAQYIHLRGTTRGPQDNTKRFAISTDPSALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDINLNRLQEIVDKGLCLTYRDGQATYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFRVYVHEDHTVKINPLICAPFAADFDGDCVHIYYPQSLAAKAEALELFSVEKQLTSSHSGKVNLQLVSDSLLALKHMSSRTMLSKEAANQLAMLVTCSLPDPAVIKSKPYWTISQIVQGALPKALTSQGDKHVVRDSTIIKLDLDKESVQTSFSDLVYSTLSVKGPGEALQFLNVLQPLLMELILLDGFSVSLQDFNVPKVLLEEAQKNIEKQSLILEQSRFAENQVVEMRVDNNLKDIKQQISDFVVKRSHLGLLIDPKSDSSVSKVVQQLGFVGLQLYREGKFYSRRLVEDCYYTFVNKHPAVREEHSPEAYGLVRSSYFHGLNPYEELVHAISTREAIVRSSRGLTEPGTLFKNLMALLRDVVICYDGTVRNVCSKSIIQLNYTEDDSLDFPSAIGPGEPVGVLAATAISNPAYKAVLDASQSNNTSWERMKEILQTTSRYKNDMKDRKVILFLNDCSCAKKFCKEKAAIAVQGCLRRITLEDCATDICIEDGNWAAPAGFQHPVPPPQCKILPVPIPIPAHGSVKFPPVPIPAPEHLKYNIHVVRYQKQIGLDGTSEAAPALVGHIHLDRAHLERINISTEDILQKCQEVSGKYGKKKGHLSNLFKNITFSTCDCSFTQKLVDGKLPKLPCLQFFVSDNMIVSESVERAVSVLADSLCGVLLNTIIKGDPRIQEAKIVWVGSDATSWVKNTQKASKGEPAVEIIVEEEEALHIGDAWRTTMDACIPVLNLIDIRRSIPYGIQQLRELLGISCAFDQVVQRLSTTVRMVAKDVLKDHLVLVANSMTFTGNLNGFNNAGYKATFRSLKVQVPFTESTLITPMKCFEKAAEKCHSDSLGCVVSSCSWGKHAASGTGSSFQILWNESQLKSNKEYGDGLYDYLALVRTDEEKARYTFFDDVDYLAEENEADVCLSPELDGTIGQPIFDDNLEEQDVQNNSSWDNGTTTNASWEQNGSAGNDSDKWGGWNDAAAGADTGVTKPADQGNSCWDVPATVEKSSSDWGGWGTEKAKEKEKISEEPAQHDAWSVQGPKRATDGGASWKKQSSTQNDGNSWKENKGRGSNGGSWEKDNAQKGSWGRGNDEAENNNDVQNKSWETVAADAHASTEKSWGNVTASPSDNAWSAAPVSQGNGSSDTKQSDSWNGWKSAGVDKAINKDKESLGNVPASPSFNAKQSDSWDGWKSAGVDASTNKDKESWGNVPASPSDSAWNAAPVSQGDDVWNSAEANESHNKDWKSDGWGARGGNWRGQRNNPGRPPRKPDGRGLPRRPDERGPPRRHFDLTAEEEKILGEIEPTVLSIRKIFRESIDSIKLSPEDEKFIKENVLEHHPEKQSKVSGEIDHIMVDKHQVFQDSRCLFVVSSDGTRSDFSYLKCMENFVRKTYPEHGDSFCKKYFKRRRDQPPAADGGTAPGTPAGATQSTAVDTQEGTSQQTQPDIATAPAATQQETLQDTPAPPADDGLLGKGPSPSD >OGLUM02G03910.1 pep chromosome:ALNU02000000:2:2904578:2907410:-1 gene:OGLUM02G03910 transcript:OGLUM02G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGSLLLLTPTVYQGIGRNRGGQSQEGQSISSSRSLKTKLSVSARAVSSCEASMFYTVKSFIDQFIGYNILILRNTFISMMLLNLEVQTRSSNQQKRITCCANQTQTARRKSFSGPTSPPSGSVKEKVRSPKLDDGGTGFPPFRFGGGGGGGGGGGSNSAGGFILFVIVLLLDYLREFERNLQNGTRRGIMSEQGTPLVGRQDGLP >OGLUM02G03920.1 pep chromosome:ALNU02000000:2:2910278:2914936:1 gene:OGLUM02G03920 transcript:OGLUM02G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARAEDALPSAAIAALEMPSSAAAAAAAGGLIQKVGGGRRSGGSGRALHRSAHLSAEDDGHAPSPAPPPASCSKDDKIGGGGKREGSQRSRMRKYRSQLEQEVKKLQRQLEEEIDLHVALADAVTQNAGPVLNSSVKIPYKAQELLTNIASLESAVSKLEKDLNELYYQLCHERNERLLAESKPGCLPSTSPDHSLSTCTCTWEEHISSLRDLKFGGSESMRSTQQDLYSELEYEQDVGEDPEERQMVSLNRLFEKHRDVSLNRLLEKHRDEEMQESCSTDKEGEEDEKIDTLSFEQSILKITSMKVGNLWNNPNQLSEEMVRCMRNIFLRLSESSKMSPKESSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNRNDEMTTEASNFDPYKVNGKESRRDIGNYHSAAEVSWMSVGKEQLEYASEALKKFRFLVEQLSKVNPNSMNCDERLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEEHKKYSIDGTEPLVLFGLSCGMFSSPAVRIFSAANVRQELQESLRDYVQASVGISDRGKLLIPKLLQSYAKGNVEDSLLADWICHHLTPDQVAVIRDSSSQRKQRLLGARSFTVVAFDSKFRYLFLPDSSGSQKPEPKRTT >OGLUM02G03930.1 pep chromosome:ALNU02000000:2:2915686:2919485:1 gene:OGLUM02G03930 transcript:OGLUM02G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAEPFDSPRSLCGGGGIQLSTPRLSAYDVQAGDYLSYNKTGMGRLPNVAGLDVTVDYVWLTNIMDISKALACPLSSKANDGALAQNEVGIFPVKLLLLALSATRLFITSHVVDGKFPVNKLLEMFSTCRGRSWVEDGRSLKSPVR >OGLUM02G03940.1 pep chromosome:ALNU02000000:2:2916755:2960437:-1 gene:OGLUM02G03940 transcript:OGLUM02G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLHFPSYRYSSKLPTISSLSLGLLLLISLASLTSSCTEQEKGSLHQFLAELSQDGNLSMSWRNDRNCCAWEGITCNRNGAVTDISLQSKGLEGHISPSLGNLTSLLHLNLSHNSLSGYLPWELVSSSSISVLDVSFNRLRGELQDPLSPMTAVRPLQVLNISSNLFTGQFPSTTWKAMKNLVALNASNNHFTGQIPDHFCSSSPSLMVLDLCYNLFSGGIPPGLGGCSMLNVLKVGQNNLSGTLPDELFNATSLEHLSVPNNGLHGTLDSAHIMKLSNLVTLDLGGNSFNGRIPESIGELKKLEELLLGHNNMYGEVPSTLSNCTNLKTIDIKSNNFSGELSKINFSTLPNLQTLDLLLNNFNGTIPQNIYSCSNLIALRMSSNKFHGQLPKGIGNLKSLSFLSISNNSLTNITDTLQILKNSRSLSTLLMGVNFKGELMPEDETIDGFENLQFVSIDDCSLIGNIPFWLSKLTNLQMLDLSNNQLTGQIPAWINRLNFLFYLDISNNSLTGGIPTALMEIPRLISANSTPYFDPGILQLPIYTGPSLEYRGFRAFPATLNLARNHLMGAIPQEIGQLKMLRTLNISFNSISGEIPQPLCNLTDLQVLDLSNNHLIGTIPSALNNLHFLSKLNVSNNDLEGSIPTGGQFSTFQNSSFVGNSKLCGSNIFRSCDSSKAPSVSRKQHKKKVILAITLSVSVGGIIILLSLSSLLVSLRATKLMRKGELANNRNEETASFNPNSDHSLMVMPQGKGDNNKLTFADIMKTTNNFDKENIIGCGGYGLVYKAELPDGSKLAIKKLNSEMCLMEREFTAEIEALTMAQHDNLVPLWGYCIHGNSRLLIYSYMENGSLDDWLHNRDDDASSFLDWPTRLKIAQGASLDFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEDRSSLLRFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDFSLASRSLQGHISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLIAIDVSFNRLDGDLDELPSSTPARPLQVLNISSNLLAGQFPSSTWAVMKYMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQFSGSIPPGFGNCSSLRVLKAGHNNLSGNLPDEIFNATSLECLSLPNNGLQGTLKGVNVVKLIKLATLDLGENNFRGNIPESIGQLNRLEELHLSNNKMFGSIPSTLSNCTSLKIIDLNNNNFSGDLINVNFSNLPSLQTLDLRQNNFSGEIPETIYLCNNLTALRLSLNKFHVIKGTRQSEVPFLPVLSLSECSFSGKIPRWLSKLSRLEVLELDNNRLTGPIPDWISSLNFLFYLDISNNNLTGEIPMSLLQMPMLRSDRAAAQLDRRAFQLPIYKDASLLQYRKASAFPKVLNLGKNEFTGLIPPEIGLLKVLLSLNLSFNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSEFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIVFGVFFGAIVILMLSGYLLWSIRCMSFMTKNRCNNDYTEALSSNISSEHLLVMLQQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDGTSTILDWPRRLKIVKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMISEGKQIEVLDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEERSSLLQFLSSLSSDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGHISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNLLKEEIHELPSSTPARPLQVLNISSNLFTGQFPSATWEMMKNLVMLNASNNSFTGQIPSNFCSSSPSLTVLALCYNHLNGSIPPGFGNCLKLRVLKAGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNINGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMDNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGYNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSVPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSRNHLTGAIPSALNNLHFLSAFNVSFNDLEGPIPNGVQFSTFTNFSFDENPKLCGHILHRSCRSEQAASISTKNHNKKAIFATAFGVFFGGIVVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVKGDKNKGDKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSPLHFSNKNHKNRFHMTYLGHALVLLLFLASPTSSCTEQERNSLVQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNSLSGGLPLELVPSSSIVVLDVSFNYMTGGLSDLPSSTPDRPLQVLNISSNLFTGIFSSTTWEVMKSLVALNASTNRFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKLIGSIPHSIGQLKRLEELHLDNNNMSGELPSTLSDCTNLVTIDLKSNSFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIENLQYLSFLSIVNISLTNITSTFQVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFKNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRITSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNNLTGPIPAALDKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKKAILALAFGVFFGGITILFLLARLILFLRGKNFMTENRRCRNNGTEETLSNIKLEQTLVALSQGKGEQTKLTFTDLLKATKNFDKEKIIGCGGYGLVYKAELSDGSMVAIKKLNRDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILPNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACHSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCAWEGITCNPNRTVNEVFLASRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMVLDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDLSYNQFSGGIPPGLSNCSTLKLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLVTIDLKKNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSDKIGNLKSLSFLSLVLSLYGCSLSGKIPHWLSKLTNLEMLFLHNNQLTGQIPIWISSLNFLFYLDITNNSLSGEIPTALMEMPMLKTENVAPKVFELPIFTSQSLQYRITSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQIPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKTAVLAVAFGVFFGGIGILVLLAHLLTLLRSKRFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRLTIREVVSCLDIIGTELQSTELN >OGLUM02G03940.2 pep chromosome:ALNU02000000:2:2916755:2956968:-1 gene:OGLUM02G03940 transcript:OGLUM02G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLTMLVGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEDRSSLLRFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDFSLASRSLQGHISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLIAIDVSFNRLDGDLDELPSSTPARPLQVLNISSNLLAGQFPSSTWAVMKYMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQFSGSIPPGFGNCSSLRVLKAGHNNLSGNLPDEIFNATSLECLSLPNNGLQGTLKGVNVVKLIKLATLDLGENNFRGNIPESIGQLNRLEELHLSNNKMFGSIPSTLSNCTSLKIIDLNNNNFSGDLINVNFSNLPSLQTLDLRQNNFSGEIPETIYLCNNLTALRLSLNKFHVIKGTRQSEVPFLPVLSLSECSFSGKIPRWLSKLSRLEVLELDNNRLTGPIPDWISSLNFLFYLDISNNNLTGEIPMSLLQMPMLRSDRAAAQLDRRAFQLPIYKDASLLQYRKASAFPKVLNLGKNEFTGLIPPEIGLLKVLLSLNLSFNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSEFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIVFGVFFGAIVILMLSGYLLWSIRCMSFMTKNRCNNDYTEALSSNISSEHLLVMLQQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDGTSTILDWPRRLKIVKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMISEGKQIEVLDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEERSSLLQFLSSLSSDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGHISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNLLKEEIHELPSSTPARPLQVLNISSNLFTGQFPSATWEMMKNLVMLNASNNSFTGQIPSNFCSSSPSLTVLALCYNHLNGSIPPGFGNCLKLRVLKAGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNINGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMDNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGYNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSVPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSRNHLTGAIPSALNNLHFLSAFNVSFNDLEGPIPNGVQFSTFTNFSFDENPKLCGHILHRSCRSEQAASISTKNHNKKAIFATAFGVFFGGIVVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVKGDKNKGDKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSPLHFSNKNHKNRFHMTYLGHALVLLLFLASPTSSCTEQERNSLVQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNSLSGGLPLELVPSSSIVVLDVSFNYMTGGLSDLPSSTPDRPLQVLNISSNLFTGIFSSTTWEVMKSLVALNASTNRFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKLIGSIPHSIGQLKRLEELHLDNNNMSGELPSTLSDCTNLVTIDLKSNSFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIENLQYLSFLSIVNISLTNITSTFQVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFKNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRITSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNNLTGPIPAALDKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKKAILALAFGVFFGGITILFLLARLILFLRGKNFMTENRRCRNNGTEETLSNIKLEQTLVALSQGKGEQTKLTFTDLLKATKNFDKEKIIGCGGYGLVYKAELSDGSMVAIKKLNRDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILPNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACHSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCAWEGITCNPNRTVNEVFLASRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMVLDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDLSYNQFSGGIPPGLSNCSTLKLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLVTIDLKKNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSDKIGNLKSLSFLSLVLSLYGCSLSGKIPHWLSKLTNLEMLFLHNNQLTGQIPIWISSLNFLFYLDITNNSLSGEIPTALMEMPMLKTENVAPKVFELPIFTSQSLQYRITSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQIPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKTAVLAVAFGVFFGGIGILVLLAHLLTLLRSKRFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRLTIREVVSCLDIIGTELQSTELN >OGLUM02G03940.3 pep chromosome:ALNU02000000:2:2916755:2956968:-1 gene:OGLUM02G03940 transcript:OGLUM02G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQLTMLVGLHYIHDVCKPHIVHRDIKSSNILLDKEFKSYIADFGLSRLVLPNITHVTTELVGTLGYIPPEYGQSWVATLRGDMYSFGVVLLELLTGRRPVPILSTSEELVPWVHKMRSEGKQIEVLDPTLRGTGCEEQMLKVLETACKCVDCNPLKRPTIMEVVTCLDKQDRSSLLRFLRELSQDGGLAASWQDGTDCCKWDGITCSQDSTVTDFSLASRSLQGHISPSLGNLPGLLRLNLSHNLLSGALPKELLSSSSLIAIDVSFNRLDGDLDELPSSTPARPLQVLNISSNLLAGQFPSSTWAVMKYMVALNVSNNSFSGHIPANFCTNSPYLSVLELSYNQFSGSIPPGFGNCSSLRVLKAGHNNLSGNLPDEIFNATSLECLSLPNNGLQGTLKGVNVVKLIKLATLDLGENNFRGNIPESIGQLNRLEELHLSNNKMFGSIPSTLSNCTSLKIIDLNNNNFSGDLINVNFSNLPSLQTLDLRQNNFSGEIPETIYLCNNLTALRLSLNKFHGQLSKGLGNLKSLSFLSLSFNNLTNITNALQILRNSSNLITLLIGHNFMNERIPEDDSIDGFENLQVLSLSECSFSGKIPRWLSKLSRLEVLELDNNRLTGPIPDWISSLNFLFYLDISNNNLTGEIPMSLLQMPMLRSDRAAAQLDRRAFQLPIYKDASLLQYRKASAFPKVLNLGKNEFTGLIPPEIGLLKVLLSLNLSFNKLYGDIPQSICNLTDLLVLDLSSNNLTGTIPAALNNLNFLSEFNISYNDLEGPIPTGGQLDTFTNSSFYGNPKLCGPMLVRHCSSADGHLISKKQQNKKVILAIVFGVFFGAIVILMLSGYLLWSIRCMSFMTKNRCNNDYTEALSSNISSEHLLVMLQQGKEAEDKLTFTGIMEATNNFNREHIIGCGGYGLVYRAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMAQHDNLVPLLGYCIQGNSRLLIYSYMENGSLDDWLHNKDDGTSTILDWPRRLKIVKGASHGLSYIHNICKPRIVHRDIKSSNILLDKEFKAYIADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMISEGKQIEVLDSTLQGTGCEEQMLKVLETACKCVDGNPLMRPTMMEERSSLLQFLSSLSSDGGLAVSWRNAADCCKWEGVTCSADGTVTDVSLASKGLEGHISPSLGNLTGLLRLNLSHNSLSGGLPLELMASSSITVLDISFNLLKEEIHELPSSTPARPLQVLNISSNLFTGQFPSATWEMMKNLVMLNASNNSFTGQIPSNFCSSSPSLTVLALCYNHLNGSIPPGFGNCLKLRVLKAGHNNLSGNLPGDLFNATSLEYLSFPNNELNGVINGTLIVNLRNLSTLDLEGNNINGRIPDSIGQLKRLQDLHLGDNNISGELPSALSNCTHLITINLKRNNFSGNLSNVNFSNLSNLKTLDLMDNKFEGTVPESIYSCTNLVALRLSSNNLQGQLSPKISNLKSLTFLSVGYNNLTNITNMLWILKDSRNLTTLLIGTNFYGEAMPEDNSIDGFQNLKVLSIANCSLSGNIPLWLSKLEKLEMLFLLDNRLSGSVPPWIKRLESLFHLDLSNNSLIGGIPASLMEMPMLITKKNTTRLDPRVFELPIYRSAAGFQYRITSAFPKVLNLSNNNFSGVIPQDIGQLKSLDILSLSSNNLSGEIPQQLGNLTNLQVLDLSRNHLTGAIPSALNNLHFLSAFNVSFNDLEGPIPNGVQFSTFTNFSFDENPKLCGHILHRSCRSEQAASISTKNHNKKAIFATAFGVFFGGIVVLLFLAYLLATVKGTDCITNNRSSENADVDATSHKSDSEQSLVIVKGDKNKGDKNKLTFADIVKATNNFDKENIIGCGGYGLVYKADLPDGTKLAIKKLFGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDDASTFLDWPKRLKIAQGAGRGLSYIHDACKPHIIHRDIKSSNILLDKEFKAYVADFGLARLILANKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVHILSSSKELVKWVQEMKSEGNQIEVLDPILRGTGYDEQMLKVLETACKCVNCNPCMRPTIKEVVSCLDSIDAKLQMQNSPLHFSNKNHKNRFHMTYLGHALVLLLFLASPTSSCTEQERNSLVQFLTGLSKDGGLGMSWKNGTDCCAWEGITCNPNRMVTDVFLASRGLEGVISPSLGNLTGLMRLNLSHNSLSGGLPLELVPSSSIVVLDVSFNYMTGGLSDLPSSTPDRPLQVLNISSNLFTGIFSSTTWEVMKSLVALNASTNRFTGNIPTSFCVSAPSFALLELSNNQFSGGIPPGLGNCSKLTFLSTGRNNLSGTLPYELFNITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKLIGSIPHSIGQLKRLEELHLDNNNMSGELPSTLSDCTNLVTIDLKSNSFSGKLTNVNFSTLPNLKTLDVVWNNFSGTVPESIYSCRNLTALRLSYNGFHGQLSERIENLQYLSFLSIVNISLTNITSTFQVLQSCRNLTSLLIGRNFKQETMPEGDIIDGFENLQVLSLANCMLSGRIPHWLSKFKNLAVLFLFNNQLTGQIPDWISSLNFLFYLDVSNNSLSGELPKALMEMPMFKTDNVEPRVFELPVFTAPLLQYRITSALPKVLNLGINNFTGVIPKEIGQLKALLLLNLSSNKFSGGIPESICNITNLQVLDISSNNLTGPIPAALDKLNFLSAFNVSNNDLEGSVPTVGQLSTFPNSSFDGNPKLCGPMLVHHCGSDKTSYVSKKRHNKKAILALAFGVFFGGITILFLLARLILFLRGKNFMTENRRCRNNGTEETLSNIKLEQTLVALSQGKGEQTKLTFTDLLKATKNFDKEKIIGCGGYGLVYKAELSDGSMVAIKKLNRDMCLMEREFSAEVDALSTAQHDNLVPLWGYCIQGNSMLLIYSYMENGSLDDWLHNRNDDASSFLNWPMRLKIAQGASQGISYIHDVCKPQIVHRDIKCSNILLDKEFKAHIADFGLSRLILPNRTHVTTELVGTFGYIPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPVPILSSSKQLVEWVQEMISEGKYIEVLDPTLRGTGYEKQMVKVLEVACHSCTEKESNSLIQFLAWLSKDGGLGMSWKNGTDCCAWEGITCNPNRTVNEVFLASRGLEGIISPSLGNLIGLMRLNLSHNSLSGGLPLELVSSSSIMVLDVSFNYLTGDLSDLPSSTHDRPLQVLNISSNLFTGNFPSTTWEVMKSLVALNASNNSFTGKIPTSFCASAPSFALLDLSYNQFSGGIPPGLSNCSTLKLLSSGKNNLTGAIPYEIFDITSLKHLSFPNNQLEGSIDGIIKLINLVTLDLGGNKFIGSIPHSIGQLKRLEEFHLDNNNMSGELPSTLSDCTNLVTIDLKKNNFSGELTKVNFSTLPNLKTLDVVWNKFNGTIPESIYSCSNLTALRLSFNNFRGQLSDKIGNLKSLSFLSLVLSLYGCSLSGKIPHWLSKLTNLEMLFLHNNQLTGQIPIWISSLNFLFYLDITNNSLSGEIPTALMEMPMLKTENVAPKVFELPIFTSQSLQYRITSAFPKVLNLGINNFAGAIPKEIGQLKALLLLNLSSNKLSGQIPESICNLTNLQMLDLSNNNLTGTIPEALNKLHFLSAFNVSNNDLEGPVPTVGQLSTFPSSIFDGNPKLCGPMLANHCSSAQTSYISKKRHIKTAVLAVAFGVFFGGIGILVLLAHLLTLLRSKRFLSKNRRYSNDGTEAPSSNLNSEQPLVMVPQGKGEQTKLTFTDLLKATKNFDKENIIGCGGYGLVYKAELSDGSMLAIKKLNSDMCLMEREFSAEVDALSMAQHDNLVPLWGYCIQGNSRFLIYSYMENGSLDDWLHNRDNDASSFLDWPMRLKIAQGASQGLAYIHDVCKPNIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGRRPIPVLSASKELIEWVQEMRSKGKQIEVLDPTLRGTGHEEQMLKVLEVACQCVNHNPGMRLTIREVVSCLDIIGTELQSTELN >OGLUM02G03950.1 pep chromosome:ALNU02000000:2:2919507:2923264:1 gene:OGLUM02G03950 transcript:OGLUM02G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLDDTNSSGKPPDSELWDKLRRIKPIRLPREGEMIPSSPLEARNTSLTVLFGLQVIPSHAQQSVPFFHDMPRPPSFESQARNWIRELLSFSVQELVREAKKSSSARARPKEVMGNLLLSVPMMSRQETTSCIISSPAPTRQVVLMKTRLEQAAFRRSVNVSLVCSPFPCDSATKIGQCGEVDIGKLPTEAVALEVDGYKVCAVTQSRWQLPGHIVVVQMELLQSFQLSYGMWNATNELISSEIKGDQIYELDDAVN >OGLUM02G03950.2 pep chromosome:ALNU02000000:2:2920368:2924234:1 gene:OGLUM02G03950 transcript:OGLUM02G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSLSKFFVAFRRSVNVSLVCSPFPCDSATKVCSNLMLDNVSSVPLFLHLLFSVMKFLPLKKRIRRASKNRMVIPPKNTPNASAKMAFLLCRFLETYDVLSEPQWCTSIGPHNFGLPSKLEFGNVLSWPTVGTDPSRSLLETLNAERKFSLSSAAGIGPVRLLLDMSSTCRFVMLQIDSGIPPENLLELKLSKRSAFN >OGLUM02G03960.1 pep chromosome:ALNU02000000:2:2933694:2934065:1 gene:OGLUM02G03960 transcript:OGLUM02G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSCEQLKQDNSKADIAGLDITVDDMWLAGIMDIRKSPACSLGNFEPLRPVKKCARIIVPVVKPVV >OGLUM02G03970.1 pep chromosome:ALNU02000000:2:2936143:2940187:1 gene:OGLUM02G03970 transcript:OGLUM02G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTWRGRAGVEDGSSCISSLRRLKLMSRTVMLLDAINSSGKPPDSELWDRLRRSRPVRLPRDGEICPSRPLEASETSVTVPSALQVTPSHLQQSAAFRHDTARPPSLERLERNWRRELLSCSVHELVGEARESNSSSAKARLNLRKSMKHLLLHFLHEEWSCCCFCMASKQMRRPAGEVTAVAGGGGGIAPSGPLPRARDALALFYGNARAALVGGVALPFPVRWRTQGVRRNARVTCTGRRRAWP >OGLUM02G03980.1 pep chromosome:ALNU02000000:2:2950683:2962911:1 gene:OGLUM02G03980 transcript:OGLUM02G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRARARTGLINEEHEEEERSCPCFHFILFAYEDVAGFDVTMDNMRLAYIMDHGTTSKPWGATRFFMAFHVVDGNCPVNKLLEMFSTCRGRTAVIGDSGSCSSPLSRLKLTSRTLILLEETNSHGRLVRFPRDGEMCPSRPFDCKEISVTAPFLLQVAVLRELGEELVKRALLLLCAGAGERSQGDQQQETKAQGRYGRFTMANLPAFGLECDMCGPRVVVSLRAQHEPESFHLLPESAAGEATATGDRWPGLRFRLPLLASSTAPFDCSTQCQGWPAGAEEVEPLLR >OGLUM02G03990.1 pep chromosome:ALNU02000000:2:2964166:3013077:-1 gene:OGLUM02G03990 transcript:OGLUM02G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQTAFEVPRLHGQLTKNIGNLKSIIFLSISYNNFSNITNTLHILKSLRNLSVLFMGSNFKNEAMPQDEAIDGFKNILGLGINDCALSGKVPNWFSKLRNLQVLVLYNNQLSGPIPTWINSLNFLKYVDISNNSLSGEIPAALMEMPMLKSDKIADYTDPRLFQFPVYVGCMCFQYRTITAFPKMLNLGNNKLTGAIPMEIGELKALVSLNLSFNNLNGEIPQLVTNLRNLMVLDLSYNHLTGAIPSALVSLHFLSEFNISYNDLEGPVPIGGQFSTFPSSSSAGNPKLCSPMLVHHCNLAEAAPTSPTSRKQYIDQVVFAIAFGIFFGEKSSLLRFLAGLAHDNGIAMSWRNGMDCCAWEGITCSEDGAVIEVSLASKGLEGRISPSLGELTSLSHLNLSYNSLTGGLPAELMSSGSIVVLDVSFNHLNGNLQELNSSVSNQPLQVLNISSNQFTGAFPSTTWEKMRNLVAINASNNSFTGHIPSSFCIGSPSFAVIDIGYNQFSGSIPPGIGNCSALRMLKAGNNNISGALPDDLFRATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTNLVIINLGTNKFTGELANVNFSNLPNLKALDFSWNNFTGTIPESIYSCSNLTWLRLSANRLHGQLSKNIGNLKSITFLSISYNNFTNITNTLYILKSLRNLTVLFMGSNFKNEAMPQDEAIDGFKNIQGLAIERCALYGKIPNWLSKLRNLQVLTLYSNQLSGPIPTWINSLNFLKYVDVSNNSLTGEIPAALMEMPMLKSDKVADNSEQRAFTFSFYAGACLCLQYHTTTALPEMLNLGNNNFTGVIPMEIGELKELVSLNLSFNNLNGEIPESISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGPVPIGDQFSTFPSSSFAGNPKLCSPITNTSRFCINFFGPVLVLLLFTLISPVGSCTERERRSLLRFLTGLSQDGGLAASWQNSTDCCTWEGIICGEDGAVTELLLALRGLQGCISSSLSELTSLSRLNLSYNLLSGELPSELIFSSIVVLDISFNRLDGELQELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFSGHIPSSFCISSPSFAVLDLSYNQFSGSIPPGIGKCSSLRMLRVGQNNIIGTLPDDLFRATSLEYLSFPNNHLQGIIDDALMIKLSNLGFLDLGGNRFSGKIPDSIGQLKRLEELHMEENNISGELPPTLGDCTNLVTINLKKNKLKGELAKVNFSTLPNLKILDLSSNYFIGTIPESIYSCSNLTWLRLSTNKLHGELTKKIENLKSITFISLSYNNFKNITNTLHILKNLRNLTVLLLGGNFMHEAMPEDETIDGFKNIQGLGINDCALTGKIPNWLSKLRSLQLLALYNNQLSGPIPTWISILNFLKYVDISNNSLTGEIPTALMQMPMLKSDKIEDHPDLIVSPFTVYVGACLCFQYRATSAFPKMLNLGNNKLSGVIPMEIGQLKELLSLNLSFNNLNGEIPQAISNLKNLMVLDLSSNHLTGPIPSGLVNLHFLSEFNVSYNDLEGPVPIGGQFSTFLSSSFAGNPKLCSPMLEHHCNSAVAAPTTPIFAKQYIDKVVFAIAFGVFFGVGTVVLHTIPAYLGISSSLFCHSFQKIQTMKPQSWRSNKTRRFCINFFDPALVLLLLTFISPVDSCTKQERHSLLRFLAGLSQDGGLAVSWQNSPNCCTWEGIICGEDGAVTELLLASRGLQGCISSSMSELTSLSRLNLSYNLLSGGLPSELISTSSIVVLDVSFNRLDGELHELNSSSPDRPLQVLNISSNLFTGAFPSTTWEKMSNLFAINASNNSFTGYIPSTFCISSSSFAMLDLSYNQFSGNIPHGIGKCCSLRMLKAGHNNIIGTLPDDLFSATSLEYLSFANNGLQGTINGALIIKLSNLVFVDLGWNRFSGKIPNSIGQLKRLEELHMSSNNLSGELPSSLGECTYLVTINLSSNKFTGELANVNFSNLPNLKALDFSWNNFTGTXDSKETPYPWGWDNGMGYISKTISCFAHSGNQDTNSASGFHASITRKPCSHLILHKRHILTDCPYLFLALPLCCCSTSPLPPVHEKNSLNFLTGLSKDGGLSMSWKDGVDCCEWEGITCRPDRTVTDVSLPSRSLEGHISPSLGNLTGLLRLNLSYNLLSGVLPQELLSSSSLIVVDISFNRLNGGLDKLPSSTPARPLQVHNISSNLLAGQFPSSTWVAMTNLVALNVSNNSFTGQIPTNFCTNSPSLAVLELSYNQFSGSIPPELGSCSRLRFLKAGHNNLSGTLPDELFNATSLEYLSFPNNDLQGTLEGVNIVKLGNLSNLDLGENNFSGKIPESIGELKRLEELHLNNNNMIGGIPSTLSNCTSLITINLESNKFSGELIVNFSNLPSLQTLDLMRNNFSGNIPESIYTCSNLTALRVSSNKFYGQLSKGIGNLKSLSFLSLFNISLTNITNALQILRSSSNLTTLLIGHNFMNEIMPEDSIDGFENLQVLSLSQCLLSGKIPQWLSKLSRLEMLELDNNQLTGPIPDWISSLHFLFYLDVSNNSLSGEIPTALLKMPMLRSDRAAAKLDPRAFQLPVYIASSLQYRKVIAFPKVLNLGKNEFTGLIPPEIGQLKALLSLNLSFNKLYGDIPQSICNLTDLLMLDLSSNNLTGTIPAELNNLNFLTNFNISYNDLEGPIPTGGQFSTFTNSSFYGNPKLCGPMLTHHCSSAYGHLVSKKQQNKIILPIVFGVFFGTIGILLLLAYLIVSMRGMNFMTKNRCNNDYREALPSNNNSEKLLVMLRQGKEAENKLTFTGIVEATDNFNQEHVIGCGGYGLVYKAELPDGSKIAIKKLNGEMCLMEREFSAEVETLSMARHNNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNKDDDTSTILDWPRRLKIAKGASHGLSYIHSICKPRIVHRDIKSSNILLDKEFKAYITDFGLSRLILPNQTHVTTELVGTLGYIPPEYAQAWVATLKGDVYSFGVVLLELLTGRRPVPILSTSKELVPWVQEMVSKGKQIEVLDLTFQGTGCEEQMLKVLEIACKCVKGDPLLRPTMIEIRWTPMIGSVICYGCLIVSIKFPKYGIPTNCINIRHHRVGIPYHSLCRTALENLGFFQIARMKEINIDKYLISALVERWRPETNTFHLPVGEMTITLQDVSCLWGLPIHGRPITGQADGSWVDMIERLLGIPMEEQHMKQRKRKKEDDMTMVSYSRYSISLSKLRDRFRVMPKNATEREINWYTRALVLDIIGSMVFTDTSGDGVPAMYLQFMVNLSEQTEYNWGAAALSMLYRQLSIASEKERAEISGPLLLLQLWSWSRTEYYRNQIDLIREGVIVWQPYDNLMDEMPIQIRNDRAWWFARLPLIHFWLVEFHYLDRVMRQFGCRQNIPPHPPYGEVEHRKLCKIKHGGKMRSWEIVHAKYIRQYDNLEAVMVQEDQPFDPASLPEYRRWFQQNGMYSVFFDAQCLGGLENPIPYPRDIFKWTGYMPSGPPLARISLREIKNAAWGIKCAITNGCKKLGKSILKTCLGNLRDLNLEPRLQNMLTDAGLPINIEDIPSDDDLSAPVHHPSPPKDSNSDIFDEWVYSGRGFERYLHAGGIVATQDLGQKTMQPPHSSYKTQSNRFPIPVLGLALVLLLNFTSPTSSCTEQEKSTLLNFLTGFSQDGGLSMSWKDGMDCCEWEGIKCSQDKTVTDVSLPSRSLEGHISPSLGNLTGLLRLNLSYNLLSGAIPQELVSSRSLIVIDISFNRLSGGLDELPSSTPVRPLQVLNISSNLFTGQFPSSTWKVMKNLVTLNVSNNSFSGHIPTNFCTNSSSFAVLELSYNQFSGGVPPELGNCSKLRVLKAGNNNLSGTLPDELFNATSLECLSFPNNNLEGNIGSTPVVKLSNVVVLDLGGNNFSGMIPDTIGQLSRLQELHLDNNNLHGELPSALGNCKYLTTINLKSNSFSGDLGKVNFSTLPNLKTLDIDTNNFSGKVPESIYSCSNLIALRLSYNNFHGELSSEIGKLKYLSFLSLSNNSFTNITRALQILKSSRNLTTLFIAYNFMEEVIPQDETIDGFKNLQALSVDHCSLSGRIPLWLSKLTNLELLFLSNNQLTGPIPDWISSLNRLFYLDISNNSLAGEIPITLMDMPMIRTTQNKTYSEPSFFEIPVYDGKFLQYRRRTAFPTLLNLSLNNFMGVIPPQICQLKMLVVLDFSHNNLSGQIPQSVCSLTSLRVLDLSNNNLTGSIPGGLNSLNFLSAFNVSNNDLEGPIPIGSQFSTFPNSSFDGNPKLCGSMLTHKYKSAEEASASKKQLNKRVILAIVFGVLFGGAAIVLLLAHFLFSLRDAIPKIENKSNTSGNLEAGSFTSDPEHLLVMIPRGSGEANKLTFTDLMEATDNFHKENIIACGGYGLVYKAELPSGSTLAIKKLNGEMCLMEREFAAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDDETSSFLDWPTRFKIARGASQGLLYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKNHITTELVGTLGYIPPEYGQGWVATLRGDVYSFGVVLLELLTGRRPVSILPTSEELVPWVLEMRSKGNMLEVLDPTLQGTGNEEQMLKVLEVACKCINCNPGMRPTITEVVSCLDSVGSN >OGLUM02G04010.1 pep chromosome:ALNU02000000:2:2979694:2982950:1 gene:OGLUM02G04010 transcript:OGLUM02G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSRLLEGSETSVTVLSGLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLREFFSCSVHELVGEVKLSSSTRARLRTACLNSLDLTSFLFPLTDLFAQLKDAYLLVQHQLEKSFGQNRNSIGDTR >OGLUM02G04010.2 pep chromosome:ALNU02000000:2:2979694:2982950:1 gene:OGLUM02G04010 transcript:OGLUM02G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSRLLEGSETSVTVLSGLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLREFFSCSVHELVGEVKLSSSTRARLRTACLNSLDLTSFLFPLTDLFAQLKDAYLLVQHQLEKSFGQNRNSIGDTR >OGLUM02G04010.3 pep chromosome:ALNU02000000:2:2979694:2982950:1 gene:OGLUM02G04010 transcript:OGLUM02G04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSRLLEGSETSVTVLSGLQVIPSHSQQSTPSFHDMLRPPSLESPVRKLREFFSCSVHELVGEVKLSSSTRARLRTDLFAQLKDAYLLVQHQLEKSFGQNRNSIGDTR >OGLUM02G04020.1 pep chromosome:ALNU02000000:2:2983803:2985500:1 gene:OGLUM02G04020 transcript:OGLUM02G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRYVHSPKDDGSSPDKLLLLMLLEIFSTWRGRSGDDEFSSCSSPSRRLKLTSRTTMLLVDISSDGSPPESKLYDRLSRDKLVSSLMDDEMHPCRPLEASNSSVTAPSSPQIIPSQVQQFGLFCHDTARPPSCDNPARNRRRECLSCLVHESTGEMKVSSSNTRAGSKKFMQNLLVLLLRQDCGFMVCIFWKL >OGLUM02G04030.1 pep chromosome:ALNU02000000:2:2991439:2995068:1 gene:OGLUM02G04030 transcript:OGLUM02G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGDDEFSSCNSPSRRLKLISRTTMLLNISSDGSSPESKLYDRLSRDKLVSSLRDDEMHPCRPLKASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSPVRNRRRERLSLSVHEPTGEIKVNSSNTRTGPKKFMQNLLVLVLHEECGIGFMEGFHLNWIFVQMAT >OGLUM02G04030.2 pep chromosome:ALNU02000000:2:2991439:2994508:1 gene:OGLUM02G04030 transcript:OGLUM02G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGDDEFSSCNSPSRRLKLISRTTMLLNISSDGSSPESKLYDRLSRDKLVSSLRDDEMHPCRPLKASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSPVRNRRRERLSLSVHEPTGEIKVNSSNTRTGPKKFMQNLLVLVLHEECGIGFMVCISWKL >OGLUM02G04030.3 pep chromosome:ALNU02000000:2:2991439:2994508:1 gene:OGLUM02G04030 transcript:OGLUM02G04030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEGDDEFSSCNSPSRRLKLISRTTMLLNISSDGSSPESKLYDRLSRDKLVSSLRDDEMHPCRPLKASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSPVRNRRRERLSLSVHEPTGEIKVNSSNTRTGPKKFMQNLLVLVLHEECGIGFMVCISWKL >OGLUM02G04030.4 pep chromosome:ALNU02000000:2:2991439:2995068:1 gene:OGLUM02G04030 transcript:OGLUM02G04030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIPEIGQGGEVNLGKLSLQLIFLEVDSDDEFSSCNSPSRRLKLISRTTMLLNISSDGSSPESKLYDRLSRDKLVSSLRDDEMHPCRPLKASNSSVTAPSSPQMIPSQVQQSVLFCHDAARPPSCDSPVRNRRRERLSLSVHEPTGEIKVNSSNTRTGPKKFMQNLLVLVLHEECGIGFMEGFHLNWIFVQMAT >OGLUM02G04040.1 pep chromosome:ALNU02000000:2:2998879:3004648:1 gene:OGLUM02G04040 transcript:OGLUM02G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAASAEDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLTSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLTGELNQMKGDNAKVTNWLSSNVILPVALSCLFGLSISFFGFSCRQAISATGFTVLGIVNKLLTVVINLLIWDRHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHENSSTQKQTSS >OGLUM02G04040.2 pep chromosome:ALNU02000000:2:2998879:3004648:1 gene:OGLUM02G04040 transcript:OGLUM02G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLSEPSKEAASAEDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLTSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEAFMLFPLEMLLTGELNQMKGDNAKVTNWLSSNVILPVALSCLFGLSISFFGFSCRQAISATGFTVLGIVNKLLTVVINLLIWDRHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGHENSSTQKQTSS >OGLUM02G04050.1 pep chromosome:ALNU02000000:2:3013288:3015366:1 gene:OGLUM02G04050 transcript:OGLUM02G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTIACLEQEKSSLLQFLAGLSHDNGISMSWQNGIDCCAWEGITCSEDGAIIEVYLVSKGLEGQISPSLGELRSLLYLNLSYNLLSGGLPEELMSSGSIIVLDVSFNRLDGDLQELNSSVSDRPLQVLNISSNRFTGEFPSTTWEKMRSLVAINASNNSFTGQISSSFCTGLPSFAILDVSYNQFSGNIPPGIGKCTALKVLKAGHNNIRGALPDDLFHATSLECLSFPNNDLQGTIDGALMIKLSNLSFLDLAWNRFSGTIPDSIGKLKRLQEFHMNNNNISGELPSSLGDCTNVVTINLENNKLAGELSKVNFSNLHNLQALGLSSNYFTGTIPDSIYSCSNLTWLRLSRNKLQGQLTEKLENLKSLTFVSLSYNNFTNITGSLHILKSLRNLTTLLIGSNFIHEAMPEDETIDGFENLHVLAINNCALTGKIPSWLSKLKMLELLLLHNNQLSGPIPTWINSLNFLKYIDLSNNSLIGEIPTALMEMPMLKSDKIEDHPDGPRVSPFTIYVGVSLCFQYRAASAFPKMLNLGNNKLSGLIPVEIGQLKALLSLNLSFNNLHGEIPQSISDIKNLMGLDLSSNHLTGAIPSALVNLHFLSEFNVSYNDLQGPVPIGGQFSTFPSSSFAGNPKLCSPMLVQHCNLAEAAPTSLISTKQYIDKVVFAIGFGVFFGVGVLYDQTIISRYFG >OGLUM02G04060.1 pep chromosome:ALNU02000000:2:3019169:3020986:-1 gene:OGLUM02G04060 transcript:OGLUM02G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPIIPHSSYSNRSSSRFCTHFLCLLLVLILFASPASSCTEEDRSSLLRFLAGLSHDGGLAASWRPDIDCCHAWEGVICDGEGTVTEVSLPSRGLHGSISPSSLAGLTGLTRLNLSHNALSGGLPPELMYSASLVVLDVSFNSLDGVLPPLPMLMTGLKHPLQLQVLNISTNNLHGEIPESIGQLKKLEVIRLSNNNMSGNLPSSLGNCTRLTTIDLKMNSFSGDLGSVDFSSLHNLRALDLLHNDFSGVIPESIYSCNNLTALRLSSNQIHGEISSKIGDLKYLSFLSITENSFSDIAKTLHAFKSSWNLTTLFIGENFWGEVIPQDETIESLESIRHLSIYRCSLIGNIPLWLSKLKNLEVLDLSNNQLTGPMPSWINSFNNLFYLDVSNNSFTGQIPATLIEIPMLKSDDYKAHRTILFDLPVYVTTLSRQYRAVTSFPALLNLSANSLTSVIPPKIGELKALTHLDFSSNQLQGEIPPSICNLTNLQVLDLSRNYLTGPIPEALNKLNFLSKFNISDNDLEGPIPTGGQMNTFSSSSFAGNPKLCGSMLATCDSVGVAHTIPTISEDQQCSSKTISAIAFGVFFGIGVLYDQLVLSRYYG >OGLUM02G04070.1 pep chromosome:ALNU02000000:2:3020688:3022808:1 gene:OGLUM02G04070 transcript:OGLUM02G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWSPRDGRETSVTVPSPSQMTPSQAWQQSMSGRHDAARPPSCDSPARKRRRELLSSSVQELAGEAKSHY >OGLUM02G04080.1 pep chromosome:ALNU02000000:2:3022627:3023785:-1 gene:OGLUM02G04080 transcript:OGLUM02G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPRGPMRSNVRAANYGWERSAKVRGNSIGGGALEHRNNQLSPPLSSSTTASKEALELGRVISGLVVPHSKTTTEG >OGLUM02G04090.1 pep chromosome:ALNU02000000:2:3024949:3027090:-1 gene:OGLUM02G04090 transcript:OGLUM02G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGLATAALLLLLLIVATSTMACVEQEKSSLLRFLAELSHDGGIAMSWQNGTNCCVWEGITCNEDGAVIGVRLTSKGLEGQIAPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDRPLQVLNISSNRFTGEFTSITWEKMRNLVAINASNNSFTGHIPSSFCSNSPSFAVLDLGYNQFSGNIPPGIGKCSALRLLKANANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLGWNRFSGKIPNSIGQLKRLEELHICSNNLSGELPSSLGDCTNLVTINLRRNKLTGELAKVNYSNLPNLKTLDFASNHFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIQNLNSITFLSLSYNNFTNIKNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDGFENIFGISIHDCALTGKIPSWLSKLGNLAVLDLSNNKLSGPIPTWINSLNFLKYVDISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGNNKFTGVIPMVIGELKALVSLDLTFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSYNDLKGSVPIGGQFSTFPSSSFAGNPELCSPMLLHHCNVAEADLSPPSSKKDYINKVIPVIAFCVFFGIGVLYDQIVVSRYFRLNRLR >OGLUM02G04100.1 pep chromosome:ALNU02000000:2:3026468:3031667:1 gene:OGLUM02G04100 transcript:OGLUM02G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLNWLYPRSRTAKDGELLQKEEGMCPVKLLLLALIATRFLIFSHVMDVNSPVNRLLEIFSTCSGRSDTDGFNSCRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMVEVATMRSSSSNAAVARPEYGIASLLLHGECGVICMNSLIPAICIVEVPVFPLAKPLRLVSIAATTASPSACRRRRVGGDARLGR >OGLUM02G04110.1 pep chromosome:ALNU02000000:2:3031766:3033810:1 gene:OGLUM02G04110 transcript:OGLUM02G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGLGGAVPRSNPVTTVVLRPDPVVAGVPRLTSDGSTGGGGRKAALQECSEFVEFSAPQLTIMGEAATPARQEDVVQFIGWSFLLGGAFACHGKASKMG >OGLUM02G04120.1 pep chromosome:ALNU02000000:2:3034079:3034879:-1 gene:OGLUM02G04120 transcript:OGLUM02G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNGLATAALLLLLLIVATSAMACVEQEKSSLLRFIAELSQDGGIAMSWQNGMDCCVWEGITCNEDGAVIEVHLASKGLEGQISPSLGELTSLSRLNLSYNSLSGSLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSNQPLKVLNISSNRFTGEFPSITWEKMRNLVAINASNNSFTGHIPSSFCSSSTSFAVLDLGYNQFSGNIPPGIGKCSALRLLKAXPGITPPTSIPHKLLIPLSPTNSFGLRVNKLLTLPHFQIDFFS >OGLUM02G04130.1 pep chromosome:ALNU02000000:2:3035257:3035622:-1 gene:OGLUM02G04130 transcript:OGLUM02G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWPETPRRWLKSGDRRRPRSQPGGVVKRPLHTRQLAEQVRTKLFRGKS >OGLUM02G04140.1 pep chromosome:ALNU02000000:2:3038596:3040930:1 gene:OGLUM02G04140 transcript:OGLUM02G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMQLLLVIIILSTVMISSSDAYYQSCRCLGDGKNNQTSCDQAIDDSIKGSGQLIFRRIQPPITSVAFNVSFIGSLAFILRPVPAGGEYCNNSDAYGSLVFSGNTYNKTTTIITHSDYCSTGDSSSNVFVKMGALKNVSTFGVNITISRKASNIQIVQGNNYTISVWIDYNRAAEAADRSISVFVAKAGETKPKEAIIVNKDDNISKGATLQGCIFSSMDLQLHQISDMDVTFAYGQHVSHSPSRSLPTILASVLGPAGGAVIAAAVTWLYFNSSYRRWKKDFDQLAKSMQSLPGVPVKISFADIRKATNNFHDTMKLGSGAFGAVYRCKLQSLNLKGQPVEVAVKKFTRADTRSYQDFLAEVSIINRLRHKSIVPLIGWSYNKGEPLLIYEYMPNGSLDRHIFARTDQLHGGHHTTIRQWGTRYNIVRDIATGLHYVHHEYEPKVLHRDIKASNILLDSNFRARLGDFGLACTVAVDRSSVSCGVAGTFGYIAPDYAINLKATQQTDVYAFGVLVLEIVTGKKAMLMNDAQFGHITDWVWHLHQRGRLLEAVDGVLGTAGHGELDIEEARRLLLLGLACSNPNPSDRPTMVVAVQMLAKLAPAPDVPLEKPTVVCFPPLTLPVGSSSSECTDYYVTAKGSLQIKSSMV >OGLUM02G04150.1 pep chromosome:ALNU02000000:2:3046047:3049607:1 gene:OGLUM02G04150 transcript:OGLUM02G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITWERPSDVVGISLEKSKGRTFTYSRGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMVEVATMRSSSSNAAVARPEYGIASLLLHGECGVICMNSLIPASVWS >OGLUM02G04150.2 pep chromosome:ALNU02000000:2:3046057:3049607:1 gene:OGLUM02G04150 transcript:OGLUM02G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMVEVATMRSSSSNAAVARPEYGIASLLLHGECGVICMNSLIPASVWS >OGLUM02G04150.3 pep chromosome:ALNU02000000:2:3046047:3049607:1 gene:OGLUM02G04150 transcript:OGLUM02G04150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKSIASLGDVATELVVPKIKNSKRWRIAAEGGRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMVEVATMRSSSSNAAVARPEYGIASLLLHGECGVICMNSLIPASVWS >OGLUM02G04150.4 pep chromosome:ALNU02000000:2:3048492:3049607:1 gene:OGLUM02G04150 transcript:OGLUM02G04150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLNWLYPRSRTAKDGELLQKEEGMCPVKLLLLALIATRFLIFSHVMDVNSPVNRLLEIFSTCSGRSDTDGFNSCRSPLRRLKLTSRTTMLPEDISSAGRPPDRELYDRLRRDKLVMPSHTQQFVPFCHDMAMPPSCDSSARNRRRELFSCSTQAMVEVATMRSSSSNAAVARPEYGIASLLLHGECGVICMNSLIPASVWS >OGLUM02G04160.1 pep chromosome:ALNU02000000:2:3046982:3049114:-1 gene:OGLUM02G04160 transcript:OGLUM02G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSGLATAALLLLLLIVATSTMACVEQEKSSLLRFLAELSHDGGIAMSWQNGTNCCVWEGITCNEDGAVIGVRLTSKGLEGQIAPSLGELTSLSRLNLSYNSLSGGLPAELMSSGSIVVLDVSFNRLNGDLQELNPSVSDRPLQVLNISSNRFTGEFTSITWEKMRNLVAINASNNSFTGHIPSSFCSNSPSFAVLDLGYNQFSGNIPPGIGKCSALRLLKANANNIRGPLPGDLFNATSLEYLSFANNGLQGTIDDALIVKLINLVFVDLGWNRFSGKIPNSIGQLKRLEELHICSNNLSGELPSSLGDCTNLVTINLRRNKLTGELAKVNYSNLPNLKTLDFASNHFTGTIPESIYSCSNLTWLRLSSNRLHGQLTKNIQNLNSITFLSLSYNNFTNIKNTLHILKSLRNLNVLLIGGNFMHEAMPQDETIDGFENIFGISIHDCALTGKIPSWLSKLGNLAVLDLSNNKLSGPIPTWINSLNFLKYVDISNNSLSGEIPQALMEIPMLKSDKIADNSDPRAFPFPVYAGACLCFQYRTVTAFPKMLNLGNNKFTGAIPMEIGELKALVSLNLSFNNLNREIPQSISNLKNLMVLDLSYNHLTGAIPPALVNLHFLSEFNVSHNDLKGSVPIGGQFSTFPSSSFAGNPELCSPILLHRCNVAEVDLSSPNSTKEYINKVIFVIAFCVFFGVGVLYDQIVLSRYFRLN >OGLUM02G04170.1 pep chromosome:ALNU02000000:2:3050246:3050862:1 gene:OGLUM02G04170 transcript:OGLUM02G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRSRAFGMRERGRSRTSGMRERSDGPALPFRGTGGPVAQTCGTVSLPQPERAVSWIRGGTRADARSRGPAARHREQAERYREQAALDRAVPPASRCMDRSRISCMHPIQACMHGPACGSYGTVATIPRDRWSRVLELQDFFF >OGLUM02G04180.1 pep chromosome:ALNU02000000:2:3054163:3057034:1 gene:OGLUM02G04180 transcript:OGLUM02G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLTASDDPVICCPELSSSTAKDGALAQNEVGICPVNPLSLALSTTRLFILSHVVDGKFPVNKLLEMFNTCSGRSGVEDGSSLSPPVK >OGLUM02G04190.1 pep chromosome:ALNU02000000:2:3055309:3057348:-1 gene:OGLUM02G04190 transcript:OGLUM02G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKPMPRLLSSSSNRDNSRFCMPLFGQALLLLLLCFVYRAGSCTEQERESLLQFLSGLEGSISPSLGELIGLLRMNLSCNSLSGGLPLELVSSSSIVVLDVSFNYLTGGLSELPSSTPDRPLQVLNISSNLFTGNFPSTTWERMNNLVVLNASDNGFTGQMPTSFCASAPSFAVLELSYNQFSGRIPVGLSNCSKLTLLSASYNNLTETLPDELFDLTSLKHLCFLRNQLEGSIKGITKLKNLVTIDLEQNRLSGSIPNSIGQLKRLEKLHLAYNSMSGELPSTVGNCRNLKNMNLGGNNFSGDLGNVNFSTLRNLQSLDLMSNNFTGTVPESIYSCRNLSALQLSNNSFHGQLSKKIRNLKCLSFVSLVDISLTNITGSLQILQSCRNLTTLLTGYNFMHETMPEDDEIYGFENLRIFSLNDCSLSGKIPKWLSKLTNLEMLSLYNNQLNGAIPDWISSLNYLFRIDISNNSLSGEIPSALVEMPMLKSDNVPPKVFELPICTGYALQYRINSAFPKVLNLGINNFTGIIPNEIGQLKALQLLNLSSNRLSGEIPESTYKLTNLQVLDLSSNNLTGTIPDGLNKLHFLSAFNISNNDLEGPAPNAGQLSTFPSTSFDGNPRLCGPMLARHCGLAETPFVSTKQNSDKVVSSFVFMISFGAFFAVGVLYDQIVLSRFF >OGLUM02G04200.1 pep chromosome:ALNU02000000:2:3057059:3064568:1 gene:OGLUM02G04200 transcript:OGLUM02G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDDTSSSGKPPDNELHDKFILSKPMSSPRDGEMLPSRPERNWRRDSLSCSDRQVSSSWNRPLQVIVGDVEFREEVQVVQKKVGIGPVKLLLLALSTTRFSISFHELDRNRNPPDSELCDRFRRCKPGSLPRAGDMLPSSFLEASKTSVIVTALLAVLLLLLLQVMPSHEQQSVPCLHDAARPPWPSCESPAKNWRRQFLSWSVQQLIEDAENRISARTRQRLGMLLLLALRATRLFITFHVVDGNCPANKLLEMFSTCRGRIVV >OGLUM02G04210.1 pep chromosome:ALNU02000000:2:3058964:3061117:-1 gene:OGLUM02G04210 transcript:OGLUM02G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLCLVLALILFSASSISCCTDHERNCLLQFLAGLSQDGHGGLAASWRHGTDCCSWEGITCSSSSSSTASKAVTITDVLLASKKLEGSISPALGRLPGLQRLNLSHNSLSGGLPAEIMSSDSIVILDISFNLLNGDLLDSPPSSSSSSASGRRIQVINVSSNSFSGRFLSSSWKEMENLVVLNASNNSFTGPMPTFFCIRSSSFSMLDLSYNHFNGNVPPEIGNCSSLRMLKADHNNLSGTLPDELFNVTSLEHLSFPNNGLQGVLDGAGIIKLRNLVVLDLGFNMFSGNIPDSIGKLKRLEEIHLHHNSMAGELTPAIGSCTNLKALNLGSNNFSGELGKVNFSKLSSLKSLHVSYNSFTGTIPESVYTCSNLNALQLSFNKFHGQLSFRIANLKSLTYLSLAENSFTNISNTLQILRSSRDLTTLLIGGNFRDEEISDDKTIDGFENLKVLAMENCPLFGNIPIWISKLKNLEMLFLFNNHLSGSIPVWISTLNSLFYLDLSNNSLSGEIPTELTEMPMLRSERVTSHLDIKIFELPVYTGPSPKYFTVSDFPAVMILENNNLTGVIPTEIGQLKALLSLILGYNNLHGEIPETILYLTNLEILDLSNNHLTGTIPADLNNLNFLSELNVSNNDLQGPVPTGGHLDTFPRSSFDGNPRLCGHILDQDCDDPVVVDAPQGSSRQGGYKVIFVIAFGAFFGVGVLLDQLCLSRLVT >OGLUM02G04220.1 pep chromosome:ALNU02000000:2:3062878:3066357:-1 gene:OGLUM02G04220 transcript:OGLUM02G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYREKRRLGSQVGGASSTGSCTDQEKSFLLQFLAGLSEDGGLAVSWRNDTDCCTWEGITCGTDATITEISLASKGLEGHISPYLGNLTGLLHLNLSHNLLSGELPLEELVSSSSIVILDVSFNHLSGALKELSAHTTIRPLQVLNISSNLFAGQFPSTTWKVMNNLVALNASNNSFVGQIPSSLCINAPSFAVLDLSFNQFGGSIPLDISNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNFLNGVLDDANIIKLSKLSILDLEQNMFSGKIPKSIGQLKRLEEFRLGENKLYGELPSTLGNCTNLKILDLKFNNLSGDLGKIDFSSLSNLTTIDLLVNNFSGTVPDSIYACTNLIVLRIARNNFHGEFSQTMNRLRSLVFLSVADNAFTNIRSALHIFKTFRKLKMLLIGGNFKNEVLPEDETIDGFENLQHLSISGSSLYGKMPVWLSKLTNLEKLHLYDNQLTGSVPVWIKNLNFLLHLDITNNNFTGEILTTLIQLPVLKSKKMVSILNERVSKIIVCSGSRHQLLMGPATLNIGRNGFTGVIPPEIGQLKALDMLDLSYNSFSGEIPQEICNLTDLEMLDLSNNNLTGTIPSELSKLHFLSAFNVSNNDLEGPIPTGGQFGTFDNSSFDGNPKLCGPMISRQCNSAKAIPTPGFYAYNFSVNIFGITIRCYYPG >OGLUM02G04230.1 pep chromosome:ALNU02000000:2:3064996:3081590:1 gene:OGLUM02G04230 transcript:OGLUM02G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKKLHFSHKNSMTKMQELQLLLVLAALVLLSFLSPATSCTEQEKSSLLQFLRELSPDSSSKLSRSWQSGTSCCTWEGIACGSNGTVTELSLPSMALEGPISVSIANLTGLRRLDLSYNSLSGELPPELISSASVAFLDVSFNRLNGELQESSPSLPHHPLQVLNISHNFFAGEFPSTIWEKKSDLVAINASHNTFSGALPSSFCISSPSFAVLDLSYNLFSGSIPAEIGKCSSLRVLKASNNEINGSLPDELFDASMLEHLSFLKNGLEGELDGKQIIKLKNIVVLDLGSNNFIGDIPGSIGQLKRLEELHLDYNRMSGELPSALGSCTNLKIINLKYNNFRGELLKVNFSGLPNLKVLDLESNKFSGEIPESVYSCSNLTSLKLSSNKFYGQLSPGIGNLKSLLFLSLSNNAFVNIANVIHALKNSRNINTLIIGTNFKGETMPDDITITDGFQSLQVLSIPSCSLSGKIPLWLSKLAKLEVLDLSNNQLTGPIPDWVHDLNFLYFIDISNNKLTEDLPTAIMLMPMLQSDKVATQLDPRAFEQPVYAGPSLTYGKNNALPAMLNLANNELTGAIPSEVGQLKSLTLLNLSFNSLSGQIPQQLFDLTNLQVVDLSNNHLSGSIPPGLNNLHFLTTFDASNNDLEGWIPAGVQSSYLYDFSGNPKLCDPTLTRSCSAEAPHPVSMLTGEETTSKTVFAIAFGAFFCLGVVYDQAVLSRAIQVQADMSTEQVVCTAGKVDLAKVSTEKELSACPVKLLLLAFRDTRLFITFHVFAGNCALTSRMAMLLDDINSINGSSPESELCDRLRRSRPVIPSHVQQSVSFCHDTARPPSSERPARNWRRKLFS >OGLUM02G04230.2 pep chromosome:ALNU02000000:2:3064996:3087767:1 gene:OGLUM02G04230 transcript:OGLUM02G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding METGKKLHFSHKNSMTKMQELQLLLVLAALVLLSFLSPATSCTEQEKSSLLQFLRELSPDSSSKLSRSWQSGTSCCTWEGIACGSNGTVTELSLPSMALEGPISVSIANLTGLRRLDLSYNSLSGELPPELISSASVAFLDVSFNRLNGELQESSPSLPHHPLQVLNISHNFFAGEFPSTIWEKKSDLVAINASHNTFSGALPSSFCISSPSFAVLDLSYNLFSGSIPAEIGKCSSLRVLKASNNEINGSLPDELFDASMLEHLSFLKNGLEGELDGKQIIKLKNIVVLDLGSNNFIGDIPGSIGQLKRLEELHLDYNRMSGELPSALGSCTNLKIINLKYNNFRGELLKVNFSGLPNLKVLDLESNKFSGEIPESVYSCSNLTSLKLSSNKFYGQLSPGIGNLKSLLFLSLSNNAFVNIANVIHALKNSRNINTLIIGTNFKGETMPDDITITDGFQSLQVLSIPSCSLSGKIPLWLSKLAKLEVLDLSNNQLTGPIPDWVHDLNFLYFIDISNNKLTEDLPTAIMLMPMLQSDKVATQLDPRAFEQPVYAGPSLTYGKNNALPAMLNLANNELTGAIPSEVGQLKSLTLLNLSFNSLSGQIPQQLFDLTNLQVVDLSNNHLSGSIPPGLNNLHFLTTFDASNNDLEGWIPAGVQSSYLYDFSGNPKLCDPTLTRSCSAEAPHPVSMLTGEETTSKTVFAIAFGAFFCLGVVYDQAVLSRAIQVQADMSTEQVVCTGKGPTHPIATPLSRRLRGDSAAASRPPAHPPPLFGSLADRRGSRKASASQPESISLWQQEHVILKLAWQEETDLVVTTCAHSRYLYEHLRKIQTSCCRQVHRLQLKKKLVLKIRVTN >OGLUM02G04230.3 pep chromosome:ALNU02000000:2:3079735:3087767:1 gene:OGLUM02G04230 transcript:OGLUM02G04230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSKPNVTWRCPVPGKGPTHPIATPLSRRLRGDSAAASRPPAHPPPLFGSLADRRGSRKASASQPESISLWQQEHVILKLAWQEETDLVVTTCAHSRYLYEHLRKIQTSCCRQVHRLQLKKKLVLKIRVTN >OGLUM02G04240.1 pep chromosome:ALNU02000000:2:3074601:3079488:-1 gene:OGLUM02G04240 transcript:OGLUM02G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSIGSCTDQEKSFLLQFLAGLSEDGGLAVSWQNDTDCCTWEGITCSIDSTITEVLLASKGLEGNISPYLGSFTGLLRLNLSHNSLSGELPLMELMSSSSIAILDVLNISSNLFTAQFPANTWKVMNNLVSLNASNNSFTGQALSSFCISAPSITEIDLSFNRFGGNIPQDIGNCSMLRVLKGGHNNFHGALPDELFNASSLEYLSFPDNVLNGVLDDANIIKLRKLSILDLERNMFSGKIPNSIGQLKRLEELHLGHNNMYGELPSTLGNCTNLKILDLKINYLSGDLGKINFSSLSNLMIIDLLVNNFNGTIPESIYDCTNLIALRLSWNKFHGEFSQRMDRLRSLSFLSVGGNAFANIRNALHIFKSFRNLTVLSIEQNFMHEILPEDETIDGFENLQHLEIYGSSLSGKMPVWLSKLKNLEKLLLYDNRLTGTIPVWINKLNFLICLDISNNSFTGEILMTLIQMPMLKSEKTVADIDARVLILLTYMSSKKDLPALKDWKYEYRILREEVNVARNGFTGVIPPEIGRLKALDMLDLSFNSFFGEIPQAICNLTNLEMLDLSSNNLMGAIPLELNKLNFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFIGNPKLCGDSSFIGNPKLCGPMLNHRCSSEKAVPVPASTLSTDEFSDKVIFGITVGLFFALGVLLDQMVLSKLRFLQF >OGLUM02G04250.1 pep chromosome:ALNU02000000:2:3080588:3081995:-1 gene:OGLUM02G04250 transcript:OGLUM02G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSSYRGSWAAVARGWKVEECTSTAVADSKATTARELGSNIKRCGDEHQPGTDKHVHQVKLQEPRNVIKQGML >OGLUM02G04260.1 pep chromosome:ALNU02000000:2:3082782:3088786:-1 gene:OGLUM02G04260 transcript:OGLUM02G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNDLEGPIPTGGQMSTFSSSSFDGNPKLCGSMLASNCGTVKAATISEDQECGRKVISAIAFDDVPVDNKTSVSFGGLVPVWINKLNLLICLDISNISFTGEILMTLIKMPMLKPEKTVDDIDAKGLNITNIYVLKVFCHFRFLYKTLRINAFDLFSKKDLPALKDWKYEYRILRAELHFLSAFNVSNNDLEGPIPTGGQFDTFDNSSFIGNPKLCGDSSFIGNPKLCGPMLSNNRCSSAKTVPAPASTLSTDEFSDKVIFGITVGLFFALGVLLDQMVLSKLRFLQF >OGLUM02G04270.1 pep chromosome:ALNU02000000:2:3089248:3094137:1 gene:OGLUM02G04270 transcript:OGLUM02G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSSNKKELEALPIVDAGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVAALFGKDEHLIVTCQGRKAEAIDVASIYNNIKPQILTLEYLQFLPWFGHRLATQGFKNVRNLKGGYQSFLRSESQQPAAHQQ >OGLUM02G04270.2 pep chromosome:ALNU02000000:2:3089372:3094137:1 gene:OGLUM02G04270 transcript:OGLUM02G04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSSNKKELEALPIVDAGEVRELMSSGHHYLDVRLGKDFDKAHADGARNISYYLSVTPSGKEKNPHFVDEVAALFGKDEHLIVTCQGRKAEAIDVASIYNNIKPQILTLEYLQFLPWFGHRLATQGFKNVRNLKGGYQSFLRSESQQPAAHQQ >OGLUM02G04280.1 pep chromosome:ALNU02000000:2:3091990:3100072:-1 gene:OGLUM02G04280 transcript:OGLUM02G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G08650) TAIR;Acc:AT5G08650] MATATASRLAVPAPRTSPHAPGRRRPAAPLPSAPPRPRALSAAPRGRVVCPAAPASSPASTTDAGQDRLQKVPVSNIRNFSIIAHIDHGKSTLADKLLELTGTVQKREMKQQFLDNMDLERERGITIKLQAARMRYIMNDEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENDLEIIPVLNKIDLPGAEPDRVAQEIEEIIGMDCSNAIRCSAKEGIGITEILDAIVTKIPPPQNTAKSPLRALIFDSYYDPYRGVIVYFRVVDGSIKKGDKICFMASGKEYVADEIGVLSPNQMQVSELYAGEVGYLSASIRSVADARVGDTITHSSKRAECALPGYSQATPMELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYHVNLADGETVECSNPSLLPEPGKRRSIEEPYVKIDMLTPKEYIGPIMELGQERRGEFKEMNFITENRASVVYELPLAEMVGDFFDQLKSRSKGYASMEYSLIGYRESNLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGAKVIASEALSAIRKDVLSKCYGGDISRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >OGLUM02G04290.1 pep chromosome:ALNU02000000:2:3100865:3106435:1 gene:OGLUM02G04290 transcript:OGLUM02G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKQTEGSSIQAAASATPGGIKRSFGLGGAEAPDGGDDLGGRVTRCTIASNDGNSVENLLLEMLSTCRGNFRPVVSGGDGGRILPRLLKLTSRMMMLPDDIISDGNPPDSSDAANLAVCQEQVMPSHEQQSVPSRHDMERPPSCESPSRNWRRQLLSCSVQQLVEDAEESISTRTRQRIDMDNLFNAPCKWLLDLDKGGAHDTNAYNLFDRMPNQSEMPKEDQRTSKPVPINSTMNKEENGWTNL >OGLUM02G04300.1 pep chromosome:ALNU02000000:2:3100893:3103877:-1 gene:OGLUM02G04300 transcript:OGLUM02G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILCLVLVLMLSSASSTSCCTEHESNCLLQFLEGLSQDGGLSMSWRLGTDCCSWEGITCSSTVSKVAMVTDVLLASKKLKGSISPALGRLPGLLRLNLSHNSLSGGLPSEIMSSGSIIILDVSFNSLGRILPPSPPLTTGLKLPLQVLNISSNKFSTELPSLDAMVHLVTLNASNNSFNGQIPTDFCTNSPSLAVLELSYNQFSGRIPPGLGNCSRLRVLNAGHNSLRGILPDELFNATSLECLSFPNNNLQGTLEGENVIKLGKLATLDLRENNFSGNIPESIGQLNRLEKLHLNNNKMYGSIPSTLSNCTSLITINLGSNNFSGELVNVNFSNLPTLKTLDLRLNNFTGEIPESIYTCSNLTALRLSTNKFHGQLSKGLSNLKSLSFLSLTNNNLTNITNALQILRSSSSLTTLLIGRNFMNERIPDDDSIDGFEDLQVLSLSGCSLSGKIPRWLSKLSSLEMLKLKRNQLTGPIPDWISSLNFLFYLDVSNNNLTGEIPTALVQMPMLRPEKSAVQVQWHPRAFQLPIYRLTSLRQYRKANALPTMLNLGNNKFTGLIPPEIGQLKGLLELNLSANKLYGDIPQSICNLTNLLTLDLSSNKLTGTIPAALKNLNFLTRFNISYNDLEGPIPTEGQLSTFTDSSFIADAAACMLLPSVCLGLMVMG >OGLUM02G04310.1 pep chromosome:ALNU02000000:2:3106460:3106660:1 gene:OGLUM02G04310 transcript:OGLUM02G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRMQEEKINRIFQKLEDIEVRRSKASEEIIAAIRATTAVLKATSPTAPMAPLHHLQHPWHHLL >OGLUM02G04320.1 pep chromosome:ALNU02000000:2:3111151:3113505:1 gene:OGLUM02G04320 transcript:OGLUM02G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLNPSAAPFHCSRRHLFFAPPPPPPPMPAYQYHATGACAAAAPPPFPFFATYSCASLPFHGHLYPPCGYQAQMGPAPPGAAFAKGVLAAAPPHGRPPHKLMVCKGAPTVTDVKLRAQERAAARVGVAAAVRAWRPAPATAGPPRMLVAAAPCGMLHPAAVARRRGMSKVYKPRKPQRAGRERSPSPSPVFTTRPMSPTPPMQKLKPAHTTVMVRNIPNKLTRSDMVRLLDDHCARENRRRGRGGEPRAEYDLVYVRMDFGMCNKERSSNMGYAFVNFTTAEAARGLQRALHGCRWKRSAFDSGKIIDIRAARIQGKDALVRHFGRTTYYECDTDEYLPAVFSPPRDGSTAGAGAPSPPAVKTVGIRVPPRPITLVTHRGNVN >OGLUM02G04330.1 pep chromosome:ALNU02000000:2:3116937:3117581:-1 gene:OGLUM02G04330 transcript:OGLUM02G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARLPPAAAMAGVGVGEGEVRYRGVRRRPSGRYAAEIRDPAKKTPIWLGTFDSAEAAARAYDAAARSLRGAAARTNFPPSTSSSAPPPPPPQHQPPQHLPPAAAAATSSHSSTVESWGVTVAAAAASAGALGLPPPRNGGSNPAADEDCRSYCGSSSSVLCEDGASGAGDEAAAPRCSPLPFDLNLPASSPSAAAAAEADEMDWRCDTLLHL >OGLUM02G04340.1 pep chromosome:ALNU02000000:2:3119247:3123326:-1 gene:OGLUM02G04340 transcript:OGLUM02G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREDLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >OGLUM02G04340.2 pep chromosome:ALNU02000000:2:3119247:3123326:-1 gene:OGLUM02G04340 transcript:OGLUM02G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSTSSTPHHPHLVRFPFRLRLRLCCSSSSSPPPPPRRGMELARSACSKEHHKIYAGWFAVADPDGDGRVTGADATKFFAMSGLSRADLKQVWAIADTKRQGFLGFGEFVAAMQLVSLAQAGEEITQDSLKREGCFSCDAAICISVFVWMSPEFMVILADLSSLDPPVMEGLDELLARSKAGVKRVHPEENGAPQVQVHSANSWFSSKSAKKMQVPLTAVTSVIDGLKRLYVEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLQTSYPGAHVGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGITSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPVNESAVGPIGKDLFEKEQDDLLCDLKDIPKKACDRRVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLGDEFAKVQREYHLPAGDFPDVEHFKEVLGGYNIDKFEKMKPKLVQAVDDMLAYDIPELLKNFRNPYE >OGLUM02G04350.1 pep chromosome:ALNU02000000:2:3123981:3131653:-1 gene:OGLUM02G04350 transcript:OGLUM02G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKDYSPAETNPCNVMIPQTTLLLSTTGKNCTGEGGDSIFSMACTAIASAKPKSIIRNRDKEL >OGLUM02G04360.1 pep chromosome:ALNU02000000:2:3128467:3133890:1 gene:OGLUM02G04360 transcript:OGLUM02G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) family protein [Source:Projected from Arabidopsis thaliana (AT3G54690) TAIR;Acc:AT3G54690] MGSLPVSSPECAPGRRVTVAASDLAPLFGAQRRHLDHFFDRLDLSQAAAFAQALVDAPGAVFFTGVGKSGIVARKLAQTLASLGFTRAGFLSPVDALHGDIGSVFPGDLLVLLSKSGASDELLALAPCARAKGAHLISLTSAASGANCPLAAVCDLNVHLPLQAEVCPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKSLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDDEYHLIGTFTDGDLRRTLKASGQAIFNLTVGEMCNRHPRTITADAMAVQAMEKMESPPSPVQFLPVVDSNNVVCGIITLHGLVSAGL >OGLUM02G04370.1 pep chromosome:ALNU02000000:2:3132453:3136297:-1 gene:OGLUM02G04370 transcript:OGLUM02G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WHIRLY 2 [Source:Projected from Arabidopsis thaliana (AT1G71260) TAIR;Acc:AT1G71260] MAHSLMAVGPRRLDWAVGWPTCQARKRRHRCGWLPETSGAFPAAFFSSPIPFGIRLSVGNATAAESQGQRCSASPASSPPPVAQSVSGVLSQSLVSPFDPRDPRVTDLKDALWSGSLTFQHALSTFAADENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWER >OGLUM02G04370.2 pep chromosome:ALNU02000000:2:3132453:3136297:-1 gene:OGLUM02G04370 transcript:OGLUM02G04370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WHIRLY 2 [Source:Projected from Arabidopsis thaliana (AT1G71260) TAIR;Acc:AT1G71260] MAHSLMAVGPRRLDWAVGWPTCQARKRRHRCGWLPETSGAFPAAFFSSPIPFGIRLSVGNATAAESQGQRCSASPASSPPPENTSGRKFASYTVFKGKAALSMQPILPSFSKLESGGSRVNKNGSVMLTFFPAVGQRKYDYSKKQLFALSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSVTPLGNDSGYFLNITVLNNLQKTTERLSLPISKAEFTVMRTALSFALPHILGWDQALTNHQPSPSPASKPRVERPHPDSEWER >OGLUM02G04380.1 pep chromosome:ALNU02000000:2:3137238:3140657:-1 gene:OGLUM02G04380 transcript:OGLUM02G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIIPVAAPVNDHGTREILIFCYTEARALPMLDIYSLKNTSSDRVCVSVRQGGRRRGAKNCGGGGGGERRKEMKGMSGPKLLVVHPASKGYNGVVSLSGAASPGPSAASMPLFLGSRRRCCAWLVALLLALLTCVSLLTVFSTARAASEGAALPQRARLTVAGAAGAAAASAAGGAAGGLPAYVFDALVQYAAAAGANATASMPEEDVRAIASVLRRRAPCRLLVFGLGAETPLWRALNHGGRTVFLDENPFYVAHMEGALPGLEAYDVSYATAVREFPDLLDAARAAQSADCRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPSGFTEGSPGRMSAIFSAAVMARTKGSETEVLVHDYQREVEIACAREFLCPENRVEATATPSLGHFLVRGGAAANRDAFCGGAAGATTKKAN >OGLUM02G04380.2 pep chromosome:ALNU02000000:2:3140666:3143107:-1 gene:OGLUM02G04380 transcript:OGLUM02G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYDVEMEAAEGEPMAEQAPPPAAAAAAAARGDGWSMLSRARVLLEEGKPSLALQAILLAIRSQGGEQALMQTLNRARELYRQRSQPSPSVDDLASLLAQCAIAESQSTNTNPQQVPGSDPVMMLDSDEVCILAESGRKQIILDAFADGSSFICLKCGGLFSTSRKDEHLAYWCGTA >OGLUM02G04390.1 pep chromosome:ALNU02000000:2:3143140:3145037:-1 gene:OGLUM02G04390 transcript:OGLUM02G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAMDAISAKLDSRNPDTSPSARRRGLARRSSMTVAALAPAWAVAGYHTKAPKIYNINQEKQMRYLVRLFSNKAAAPALTNSWNHPEPHPAAAAVHPPTGGDPSIGSE >OGLUM02G04400.1 pep chromosome:ALNU02000000:2:3145560:3156944:-1 gene:OGLUM02G04400 transcript:OGLUM02G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAASSLSPSQLLFLRALRGQRLGRQRRGPRPALLAAAVRSEPAGLVPEGAGAAPEGRPEQAERRRRRASAD >OGLUM02G04410.1 pep chromosome:ALNU02000000:2:3146108:3152254:1 gene:OGLUM02G04410 transcript:OGLUM02G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSTVAAAAARSSNSPAKRDSDASVASSPFASTSSARGRGGGDDDDGKDAHRSSPLLPHHHKRLGLPSSLRSLLALEDPRSPSASSSYRILLALLAFLLLAAAFSATSVWSRLNAPYLCQKDGITLHCPETKEAPSLWENPRAATTSWKPYVPPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMKATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPDIEEMADKLAARMRNRTGSVNPYMALHLRFEKGMVGLSFCDFAGTREEKEMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAIILRALGYTRGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAAEMAPFRKHVTSLAALDFLVCLRSDAFVMTHGGNFAKLIMGARRYGGRHRLKSIKPDKGLMSKSLGDPHMGWAAFSDDVVITHQTRAGLPEPTFPNYDLWENPLTPCMCPTA >OGLUM02G04420.1 pep chromosome:ALNU02000000:2:3153184:3155439:1 gene:OGLUM02G04420 transcript:OGLUM02G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLRTPAAEEALLRGHAAGDKDDAVAAAVAGESKDAKRARAGLCGVLRERKVVELARAKRRLVEVPYTATLAHTANALLAARVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIAEAGDDNDAAADAAPGEAVDLDRRMAVPVSSVIGHSLEGLTLWTLHPNTSVLDCMETFSKGVHRALVPLESAAENVVAAELVEAAPGYRMVTQMDVARFLRARAAELGDAILSRSVRGVGVGGAVLAVASGTKVIEAVRAMRAASLAAVPVVDAAPTDDADARRILLQLQDGRGKRVVETFSATDLRDCPVAELQAWLGVAVAEFKKKVAMYRAGVLAADADEEEERRREMVTCSPESTLGEAIEKAVAHHVHRLWVVDEEGLLAGVVSLTDVLRVVREAAIGEDRELHDILS >OGLUM02G04430.1 pep chromosome:ALNU02000000:2:3161441:3166369:1 gene:OGLUM02G04430 transcript:OGLUM02G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLLNSKFYNKCKHAIKCTRTRLDLVRRKKQAMVKFMKKDVADLIGNRLESHAFGRMEALIVEMNQASCYDMIEQYCEYIVKQLNNLQKQNECPQEALEAVSTLIFATARFPELPELCDLRHMFTERYGSFVEPFVSSEFVQKLQNKSFTNEEKLQVMQSIAEEFSVPFNAKALERKISGVPQNKHDLQNKSSFKRVEVEASARNELKVDRHAVHERKSKVTPEVHERKQEMPVKPKDIHVIPDAIGQVGEKSRKNRSDIPYDVPPSDLKQINDQELKKDHKKHSHHQRELRNADKSAPPYAEPKEDVAEKSDGKGYHVHRSRMAGGLDHNWGHADLGLKTLGLEKQGIEPASSLDGKTLNKAPPYSKPYKASDEYGQSVQDRQKMPEKAVNMRPPYVKPNSTNQTVDDYKHAGTGEIGHQRDGLADDNTLQPVSVRRKSAKPPTHGDRYDDEAKMTSQTPGGRRRHSSRRNGSDDDYDQRVGYMLPPDDDAVNNARHFKRMSERRKHGSRQGGSASGNDYESDEDETNSAIDFGNLLPRAPSSHRKHRSRSAHPRSGGRDDEERVMDKLLMHYSKKGIDREEHKTRTKSRTPRPRADQPADGVGERSNREVAPQHPPERTVSLPSDSGNLGVKPKAPARSISMQPDKSRGIVHPSMPDFDELAARISALRKE >OGLUM02G04440.1 pep chromosome:ALNU02000000:2:3166754:3166939:-1 gene:OGLUM02G04440 transcript:OGLUM02G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLASRPAATAAAATVLYHGGALPRSPRLQRLVCHELLGGGGGDNYILHFAVAVMRSLG >OGLUM02G04450.1 pep chromosome:ALNU02000000:2:3168013:3168952:1 gene:OGLUM02G04450 transcript:OGLUM02G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPG >OGLUM02G04460.1 pep chromosome:ALNU02000000:2:3169611:3174290:-1 gene:OGLUM02G04460 transcript:OGLUM02G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNTQTGGGNSAPRDLRRPARWRHVRPTLPAPSTDPPAPRSRPLCSAFLIQFRRQAGAAAHAAATSTAEGSASVLVTPASSPALGRPNNSRFRPNIETIKKSAAPTSPICEKKSRNPTEERIGGNKIPEAMKLSLISDGDIPDSQDDQGSGGRADGRQVLERGGGGGKRRRRVFLEEEDEEENAMKVDEEAVILSCARGGPAGVSTAKIDGCIDKQDGQGSSKQRKKSCSTVMKLQFREIGLHCSLRTCGISRFVPTPSTFSHEVFSKIKNNKVVFDIYRCKDVIRHLERPIRKRSAVLQILPLKDLVAVVLCSGLSFLLSRVTNKMISILNRYNDEIIVSIFYNKEDKSVITTSSRLFDGCMSRQVTSTPLECIRSNKLNNGQQIFESENIKWPDSVDFDAPNARALIQQRSTYRVFDLKDYSLLYQIPDVNVHQVVFRPSLFLIKLEQTHNVYPFRIFCAQNFEETHSFVLVASSKRPDIQPLHDKMIIKQNFANENGNLQILDLRSSKITEVPIGIYEFHALHGRNLFLSFQNNSTELRDLQGDIVRNFEDHVLDELNCVDDKLFITKDEDVIISGCKSEGIGAVHISSIESEKCITDINTKVIVSALSYNPELNEIYIGTAKGKVQALADLDILPHQIPQKLKSPNKDS >OGLUM02G04470.1 pep chromosome:ALNU02000000:2:3176774:3178021:1 gene:OGLUM02G04470 transcript:OGLUM02G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRPRKRARTTSPCSPPASSWRDLPLDIAGEVLRRLPSYADRICFGATCRSWRTSAREHRAPPPLSPCLCFADGSFRGFFPEDARPFRLPAAAGWLGSCGEWLLYRRHDDGAYLLVDPFSKAAAMAPLPSVSRLHVRHDPIVAVDERDLRWCRPTWLPRENTGEPQAAASLLKLAVSPAADVVAAVVGEGRHGKLAVCRPGAPAWSVSGGDGWRRIKDMAFYQGKLYAVDHNEDLLAVTLAAYGEPPAVSRIDRVINGKPPGAAALLRVTLHYLVDSGGELLLVRREVQRSSMVRTQLWLHTAELQDRFAVFRADFRRSRWRRVKTIGDESGGRALFVGRWCSRAVRVAGDRWADQVFFLEDGTGDEWHTREQRCSLRGSTFGCVRPNELLPLMTTADGQDLDATWIFPREAKL >OGLUM02G04480.1 pep chromosome:ALNU02000000:2:3178313:3181923:-1 gene:OGLUM02G04480 transcript:OGLUM02G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transport SCO1/SenC family protein [Source:Projected from Arabidopsis thaliana (AT3G08950) TAIR;Acc:AT3G08950] MRRAPRLHALLSRALAFGGLPPPPLPRAQLQGITEPGAASRLGAVFLARALATTGLPAPRRPRALQVQRITEPGAASRFGAAFLARGGFSTDASAAAQDSAKPAAATGGEGDGKSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDKEKKRHIEELKNRTSAVKQEPSVGTAAIGGPFNLLNHDGKPVTQKDFFGKWTLLYFGFTHCPDICPDELQKMALAIDKIKEKAKMEVVPVFITVDPERDTVEQVRDYVNEFHPNLIGLTGTTDEIRKVARAYRVYYMKTEEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDADSLADGIIKELKGHQ >OGLUM02G04490.1 pep chromosome:ALNU02000000:2:3183186:3188172:1 gene:OGLUM02G04490 transcript:OGLUM02G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGMKEQGILLVRKAVEEDDAGNHARALPLYVHALDYLAAHLKYERNPRVRDAITAKLAGYIARAEEIRDALLPAAGDDATPPAAAAEEGKAKCGGGEDESDRAKLRAGLHSAIVSEKPNVRWSDVSGLDGAKQALQEAVVLPRKPWKAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLLSKWMGESEKLVTNLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRVKTEFLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHSLTKGDFESLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFGTAEGDGDGGAWTPCGPTRPGAVQITMQELAAKGLAAQITPPPITRTDLDKVLARQKATVSEKDLEVYTRFTREFGEEG >OGLUM02G04500.1 pep chromosome:ALNU02000000:2:3186645:3198532:-1 gene:OGLUM02G04500 transcript:OGLUM02G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT3G46960) TAIR;Acc:AT3G46960] MGDLTTSSPASEVPFRVSFSGHGGHLRLDPTPNPPSPIPEFVLPPAYPPESPSSVKEYLEANYLNPELHLPTAADGARVWDLDWFELARPPLEPSAPRTMLVPAWEPPFRRRRPPLSSSSSRQESQVWDPESVQMDMSDVFDSGTGGITPRMPGPAKDFVRGSVNSRPFRPGGLHDDAAAAAALEKAFPEGARNGDWVRELMSGGPAQVNPPGFRKGLDLGNLKEYKSHWKCYQDGERVDEQSTSSSNDTMDKYSVQFDDLFKIAWEEDSDDKVPREDHVQQLVGDEETNDVDKQNISKLQNASETIENLDIEKQKGGAQGDVSEPQSDLDQMLLSSVKYTSRDSSGSGDGSMAKEGKVWALVGGDEDIVTNFYKLVPDMAIEYPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVIIMLPKHINIVLLSATVPNTVEFADWIGRTKQKKIHVTSTNKRPVPLEHCLFYSGEVFKICEKDAFLTQGYREAKEVFKKKNSSKLGMKPGSKPGTTAVRAGTQGRNPDTSSRGRDQKNPKHHHASSSAAAVQQSTSGPRRSESSFWMPLINNLLKKSLVPVVIFCFSKNRCDRSAESMFGADLTSNSEKSEIRLFCDKAFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIVMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELKVEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIECIKGEPAIEEYYEMVLEAEAHRESITEAIMQLPASQQSLTPGRLVVVKSQSDDDHLLGVIVKTPSAALKQYVVLVLTGDCTSSALAPDSSNQNEKEAGDFKQGYFVIPKGKRSMEDEYFSSVSTRKGSGVINIKLPYKGDASGMGFEVRAIENKEIMSICASKIKIDQVRLLEDPSKTVYSKTVQMLIKEQPEGNKYPAALDAIKDLKMKDMLLVENYYAYQRLLQKMSENKCHGCIKLKEHIALMKEQKVYKDQLNDLKYEMSDEALQQMPEFQGRIDVLKEIHYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSALVFQQRNTSEPSLTPKLADARKRIYDTAIRLGKLQREFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMETASNAIKRDIVFAASLYVTGI >OGLUM02G04510.1 pep chromosome:ALNU02000000:2:3198903:3201101:1 gene:OGLUM02G04510 transcript:OGLUM02G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPMTRRSCRRRARRDRSIATTGAQPVASAASNPPSFLLPVVVMLNPMMGRSSGEGGGGGGDNVLIDDNVLDSLPLPPLPMRPTPRCRRHPSRPQRHVIVEFSGAWTLQPWSSSHLKVPSFELYDDKSSYQYGLDCQEEEEAKDKTTTLVEELEGKAAMAEARLRQKEEENAELKRKFEGYHVRWLQHEIRLSSLKETIDEQMISLQVAQECVEKRSREMLSLYDRQESSESHVKMSKETSARLLHSSRHYAGIARGTCTEFRQQSQALVESREPWQPSTQGGNSIDDLEKLKSKFCMWKKDYKARLRKAMAAELDLEGRHRSICWI >OGLUM02G04520.1 pep chromosome:ALNU02000000:2:3204089:3206822:1 gene:OGLUM02G04520 transcript:OGLUM02G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDQEGRRQRRRGWGEEEEDRISDLPDALRLQILSLLPLKSAIRTGALSSRWRGLWEQRWPDPSSLDVRLPSGGGAASASVAALRAEHLAGIDRRGRRRMDLLSLAFHAGQLAPPELKRFIEYAAACDVEEVRLRLDGGGGRGARGGTRRPGALAVHFPIGSKLLARLSVRGLHLTASANAMVATLEVIHFHSVSLTDAALRRVVSACPRLRELELRYCRHLRRIDITFGASNLKSLTVVDCSRATELRVPSAPRLRSFRFSGAFLCSNIFGSTADCVEHLYLCSGGPETGLPRTNLPSAVPRLSNLTVLTLCSIALQYVSASVATPNVEKSLNSLRELQLLMFGMANSNLADIYSFLKACRCPQLERLFVQLPTNTYDSFTTNYLEVAEEEPPEGGLENLRLVKMTNFKGYRNELRLVDFLLRKASRLNKLFLIAPKEVHPQGLRKVHSEALPHFLKTDVLHLERASAIAQIIFDESVSPQILPLHSEVFVRI >OGLUM02G04530.1 pep chromosome:ALNU02000000:2:3213033:3214682:1 gene:OGLUM02G04530 transcript:OGLUM02G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIVQFPVKWQSIKYKLQQLCSNLNAPGDDGSCNENVILVQFLQTATATVSHIQAIASQCSDESYNGGRLRLRSDLDSISSKLDIHLKDLKEMVSSRISVHSQAVIATRPAIGASLSNKRFYINDLFLRVRIGDLAQRKQALVTIGELLSEDIEYVKIVALDIDNSITLLISFLESGDACIQEQAARIVSLIAGYDSYRGMLVNAGVVAPLVQLLDSPSCTSTTVSSRERAAHALRELTSNSDNVWAVCAQGGVTVLLIVCANVDSRGKLVSSAFAVLKNLSRVEEVKMFMVEQGAVMELVKLSRQKEEERKVGSVELLHYMALADANVRQAAISMGMIQSLTQLMNPDLPYSSKAREVALSAIAFFCLPSKALTDDLISSNFLSWLQTYLNNVDYAVLECTLNILVRLTRISEEYSKMVGRAGFMTALVSSLGAKSCQVREMAAQVLCNLLLLHSNRVVFIQDGDNLNRLLQSLEHGDGKTMAKDLAISCLVSLAETSAGRKKIISSQHFVSLKGLADSGDLPAKKIVKKLCANKLQSILTRIRIT >OGLUM02G04540.1 pep chromosome:ALNU02000000:2:3215071:3216837:-1 gene:OGLUM02G04540 transcript:OGLUM02G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMPVSCWKKGMSTANASCGLYLPPKKSSRHGCATALLSSLAVVRSSNSARTSSTPRILASVARAASPSPRAMSDTGVSGMASDPSAITAAGTVPNASPTRHPHPPAIFDVQYVVRLADRIPTVIISWNSDRCHMTTYVDAEADADAEEEAAGEENDEVEGDGAEDGADEATAATKRVHLRPKARDIGEAKMPATMAERKSDEPNSVSTWLSNLQYWFVITRSCCCSKITGKNIAKNDSVDVNPAAMDEH >OGLUM02G04540.2 pep chromosome:ALNU02000000:2:3216842:3217177:-1 gene:OGLUM02G04540 transcript:OGLUM02G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFDEMGGCLLAWEMIIWVPPWRKRARRPTLSAVMTATKVEKTLTRPVMTEETREASWRKPTVLKRTGA >OGLUM02G04550.1 pep chromosome:ALNU02000000:2:3215427:3217700:1 gene:OGLUM02G04550 transcript:OGLUM02G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVIVHHHTRYKTYPGEVTGFVFFCCLIASVGGCIFGYDIGLTAGLTSTESFLAMFFPVIFEQQQERVITNQYCKFDSQVLTLFGSSLFLSAMVAGIFASPMSRAFGRKWTLFVAAVAYLIGAILGAISFNFIVLLTGRLLLGVGVGLCIHASPLYISEMAPAQQRGMLNILFQLMITVGILSASLTTYWTSKIAGGWGWRVGLAFGTVPAAVIALGSLAIPDTPVSLIARGEGEAARATLAKIRGVDDVRAEFEDLTTASEESKAVAHPWRELFFGGRYKPQLAFAVLIPFFQQLTGINVIMFYAPVLFKTVGFRQDASLVSSVITGLVNVFSTFVAVMTADKILVGTFIGLQFGVSGTGAMSEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEVYPLAVRSAAQSVTVAVNMFFTAFISQIFLTLLCHLRFGLFYFFGAWVLLMTVFIATLLPETKCVPLEEVAHVWRKHWFWRKFIVDSPDRGGAEMRKRIALEMS >OGLUM02G04560.1 pep chromosome:ALNU02000000:2:3227977:3228246:1 gene:OGLUM02G04560 transcript:OGLUM02G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGAKAAAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYLRAAAASSPRLRSRLSNTAAAAARPPAEEAADVRLRKVHHLVCWGPN >OGLUM02G04570.1 pep chromosome:ALNU02000000:2:3232097:3234788:-1 gene:OGLUM02G04570 transcript:OGLUM02G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMN0] MDERRTILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKMIDKEKVTRVGLMVQIKREISIMRLVKHPNILQLFEVMASKSKIYFVLEYAKGGELFKKISKGKFSEDVARRYFHQLISGIDYCHSRGVYHRDLKPENLLLDENESLKVSDFGLSALSESKRHDGLLHTTCGTPAYVAPEVLSRRGYDGAKADIWSCGVILFVLVSGYLPFHDTNLIEMYRKIAKAEYKCPRSFSAELKDLLYKILDPDPSTRISIPKIKRSTWYRKSSDVNALKSKHETGDKVYKGEATTSDTTECSIFEGNRASSRDKVYTNGEATTSDSPECSNSDGKQASLSLPNLNAFDIISLSTGFDLSNLFEERYGRREERFTTRQPAAAIFAKLNELARRFKLKIKKKENGVLRLVAPKEGIKGLLELDAEVFELAPSFHLVEFKKSNGDTIEYQKLMKEDIRPALKDIVWAWQGGQHQQPEQSMQGMQGEQQPSRLPSQQPQG >OGLUM02G04580.1 pep chromosome:ALNU02000000:2:3239550:3243829:1 gene:OGLUM02G04580 transcript:OGLUM02G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMN1] MAMKRVVFLLLLVAASALVESSRGGGGGEEKLGKFYGWRRHLSSGPAASSLVLSGDLVDKIWSVCLQDIVSPEDTFGFGESFAWDELSSHSTEDELKATLFMELMALLPPEKSSFTYDCIRANCFSLGIPQIFSVALSNYLESQKSLVGSNFYPRRRLVDKLIGDAPSMAPAFAPSMSSGGEVHSPLSVAEAPLTPSNSLNMEPPSPYYPSKSAHKHQGVAPPVSPSEEHHDYMKVVLIAVLPTAALSFLAAFLCFYCCGCNKSKVSVGEQRDDHPLLHLQISNLPDSSPDVHVPASPLHKDDHGVRPSNAGVSMSKCFPCCFKTSSDATTPTRVTGGTQENNATSDAPKLMPPPPPPPPPPPPPPPPPPPPPPPRPPPPPPPIKKGAPPPAPPKATMARFPKLSPTESSRSEESSASELASESSETEVNAPRAKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEEMIEELFGYGAGNQNNVKDKEISIADPSPQHVSLLDVKKSCNLAVVFKAMNVRAEEIHDALVEGNELPRLLLETILRMKPTDEEEQKLRLYNGDCSQLGLAEQVMKALIDIPFAFERIRALLFMSSLQEDASSLRESFLQLEAACGELKHRLFLKLLEAILKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVREARLAMENGRSPSFPSTSDDNSNESLQEDGNYYSNLGLKIVSGLSNELDNVKRVAALDADALSTSVANLRHELLRAKEFLNSDMASLEENSGFHRSLESFIEHAETETNFLLKEDKRLRMLVKRTIRYFHGNDEKDDGFRLFVIVRDFLVMLDKACKEVGASQKKAANKSQANGNSNNPSSQSNPQEQQFPAVLDHHFDDSSDSND >OGLUM02G04590.1 pep chromosome:ALNU02000000:2:3245628:3266854:1 gene:OGLUM02G04590 transcript:OGLUM02G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;ATP binding;nucleic acid binding;binding;helicases;ATP binding;DNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT3G54280) TAIR;Acc:AT3G54280] MVLGEEIIEPVISEAGLIGGFHLGGGIPLEESPGVIVPFMIEGHLLEKEILAIHDLLAGRECERRHEKKTDDGETNSSRSLSLSDNNDEKKKDKFSSGDEKEDHEKQLKQIRLDMEALRDDKTQMEVILDEKIDEVRKISSKVNDLEVQLRREKDECHRMTSKMKKFIKAHARFLKAQEEVKRSQARFERLGDLLASDILKRGANEEGSSVNEDLNERSPNTAATKKRSIPYSTSEEAKAVKKRRERDSDTMTRSDKYRSDGTDFDKTSKGTEATKSLYLKKKLWEDEKSKYFVNLQVKGSPVRHVLPSTGMAAHAIDDLNEAIELEDRHESIDALLENDADDKTRSPAIPLQPPPVVQNAYEQSGFEHISFDIPFGLSIYCAKFPLTQLAGSTQATRFAAARQIGEIAKSHPQELNVLLKKVSPYLRSKNWDTRVAAAHAIGAIAENVKHTSVKDLFASAEAEKHASGLSGIGDVGSTLRHADTTATSELAFGSFDINRVLEFGSPLLASGGQEYDIANDNGKNPAERLARQKQNLRRRLGLDVCEQFMDFNDVIKDEDLLAQKNYWGANMQNNGFYSFNTGQNIQHLVASMVPSAIALEHSVGMALSSICDDLITPLTFFQDTVDAITDEDNLEYSENGRWPFQQFVDQLIHDMFDPIWEVRHGTIMALREILTHQGACAGVYFPDLNSPFADLDDKNNLDSLKRAHGIDLNEDIDSGQLEPVLKRQKKEESNPEVMDIQLDKEPSNGDYSKTEASLSTEPTVSSGEPNLAHAKVESPFQVDGSANPSKVDPYCTPPHETLNSMPKLSSTHLPENSKFIKLMKLANYSAVKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVCHTLNILLQMQRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLNYVLHACKAGLEDPDDDVRAVAAEALIPAAASLVRLNDQMLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQPEMVPKMLGTTAIGEDNEFDLNSVTLVAGEEKMGSNDNPYVLATLTPRLWPFMRHSITSVRRSAVRTLERLLEVGNTRNSAKLWLASILGDALQVVFQNLLLESNDEIIRSSERAWKLLLQCPTEDLESAASSYFSNWVQLATTPYGTALDSAKMFLPVALPRGSRSRAAAKIKSARLEHENTRMISFGSTGENTSQEKHSEASLSVSKIIVGSDSDKSVTHTRVLTSMALGLFASKLPEGSWQVVLGPLASDLLSLSGVQRQVASMVIVSWFKDLRKSDPAAVGTLLAFLSSLKGWMLDLLACSDPSFPTKDSPLPYAELARTYRKMRNEANNLFQSIESCALLKEYTSNLNFEADMLSVDDAINFASKLLLPSEPDFSLDSDKIVLNNIESAKQGLLSTSGYLKCVQNNLHVTVSSLVASAVVWMAGLPSKLNPVILPLMAAVKREQEEILQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAVINSIQVIEDQNLLSIGKRFSNHKSRGQMTSGGESKSEGFISRRGSELAFKHLCEKFGASLFEKLPKLWDCLTEFLKPVKTGDDLMKEDPSIAQLGRSCEDKEPQSLINNIQVVRSVTPHLAEPLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLTANVMVIVIENVIPMLSDSSSVCARQGAGMLLSLLVQGLAVELVPYAPFLVVPLLRCMSDPDGSVRQTVTHSFAALVPLLPLAKGSLLPDGLSERLSSSAEDAQFLEQLLDNSQIDDYKLSIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVAADIAESRARNDEQDPKSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYIGSSQDRIILRSQFDKFNVIITSYDIIRKDIDFLENVFWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTEKQFQATYGKPLLAAKDPKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCNLSLLQLKLYDKFSNSNAKQEISTIVKENELEQSTSQPKATRHVFQALQYLLKLCSHPLLVTGESPPDYLVDLLKEIGMGTGDELHDLHHSPKLVALQEILQECGIGSEISSPDASAAIGQHRVLIFAQHKALLDIIEKDLLQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENASLKTMNTDQLLDLFASTPASRKASVLPSSSGGDQSKDSKGKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >OGLUM02G04600.1 pep chromosome:ALNU02000000:2:3270572:3273874:1 gene:OGLUM02G04600 transcript:OGLUM02G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHFDRRTNSLSAWSIANSTCSWIGVTCDAAGHVSELDLRGADINDTLDALYSAAFENLTTIDLSHDNLDGAIPANKMAMPTLFRISVLLLLLCTAKAIDDSGAETEAEALLRWKSTLINATSLSSWSIANSTCSWSGVTCDTAGHVTELSLPSAGINGTLDALYSVAFQNLTMIDIHSNSLVGTIPANISMLHTLTVLDLSFNNIVGAIPINISMLIALTILDLSGNNLSGAIPANISMLHTLTFLDLSGNNLTGAIPYQLSKLSGLAYLDLGDNHLNNPEYAMFFTPMPCLELLSLSDNHLNGTFPEFILNSTSLKMEYLYLSGNAFSGPIPDSLPEIAPNLRRLDLSSNGFHGSIPHSLSRLKKLQELYLYRNNLTGGIPEELGNLTNLEDLVLSSNRLVGSVPPSFARMQRLLFFEIGSNYINGSIPSEMFSNWTELMMFDVSNNMLTGSIPSLISNCTKLQYLALFSNTFTGAIPREIGNLAQLLLVDMSQNLFAGKIPLNICNASLQYLAISDNHLEGELPECLWDLTNLLFLDLSSNAFSGKVTTSSNYESSLKLLHLSNNNLSGRFPTVLKNLKNLVVLDLGHNKISGLIPSWIGESNPLLRILRLRSNLFHGSIPCQLSKLSQLQLLDLAENNFTGPVPSSFAILSSMQLETRDKISCYFGLLDLYQGFIWYDIYYSPFHTENYIDIIWKGTEYTFQGRRECVIGIDLSNNSLSGEIPSELTNLRGLQFLNMSRNFLQGSIPNGIGNLTHLEFLDLSWNKFSGQIPPSISKLTFLDCLNLSNNLLSGEIPTGNQLRTLDDASIYANNPGLCGFPLKIPCSNHSSSMTTLEGAKEHHQELETLWLYCSVTAGAVFGVWPWFGVLFFCNAWRLAFFCRIDAMQLKLMQNITHLSNMLCFSCSH >OGLUM02G04610.1 pep chromosome:ALNU02000000:2:3272228:3276804:-1 gene:OGLUM02G04610 transcript:OGLUM02G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDTIVGPPPAPVSAHTIGITHCSSFADRAGNANGNHTDPPPLDAAYAANLRERKCRTAGDGVVEMDPGSHLTFDLGYYRAVLRHRGLLRSDAALVTDPAARADIAGAVASRPEVFFLVFGRSMATLGAVQVKTGSEGEIRRNCAVS >OGLUM02G04620.1 pep chromosome:ALNU02000000:2:3274128:3281519:1 gene:OGLUM02G04620 transcript:OGLUM02G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEWLRRRRASSSIPASLRSFGSAKISLGTSSGSARMVAGSAAMALHSLFHVSIILLLCTARCKAADSESAALLKWKSTLIAASLLSSWSFDNSTCFWFGVTCDHGGHVTELNLESAGLKGTLDALYSVAFQNLTKINLSYNNLIGAIPANISMLLTLTVLDLSSNNLTGAIPYQLSNLPMIVNLNLGYNHLTNPEHPMFSMPRLKFLSLCNNDLNGSFPHFLLNRTSLRMRFIDLSDNTFSGLIPDSLSEMVPRLRYINLSSNGFYRSIPHSLSRLQKLRTLSVWMNNLTGGIPEKLGTISLLEELDLSSNPLGGSIPASLGQLQRLRVLYMSDADLVSTLPPELGNLTNLEIMVLSQNQLAGSLPPSFAMMQQMVYLSLYGNYINGSILSEMVSNWTTFVLFDVGNNLLTGSIPSQISNWTSLQYLNISGNKFTGPIPMEMGNIMPNLVLVDLSNNNLTGSIPFSIGNLSILQYLVISKNHLKGEFPSSIGKISSLNFLDLSKNHLFGDLPECLSNLSALQSLHLSNNNFSGFFPTMLKNLKNLVVLDLGHNKIYGTIPSWIGESNPLLRILQLRSNRLYGRIPWQLSKLSHLQLLDLAENDFVGPIPSSFANLSSMQPETRDKIYSPIQLYYIAITWKGMEYTFQGIRASVIGVDISSNLLSGEIPSELTILRGLQYLNISRNYICGGIPLEIGNLTYLESLDLSWNKLLGPIPPSMSNLMSLGKLNLSNNLLSGEIPTGDQLQTLDDPSIYGNNLGLCGFPLKISCSNNSSYRATLVGVKEHHQELETLWLYCSVTAGAVFGFWLWFGALFFCNAWRLAFFCRIDAMQQKLMQNITHLSNMLYFSLSPPERVH >OGLUM02G04640.1 pep chromosome:ALNU02000000:2:3285860:3288548:-1 gene:OGLUM02G04640 transcript:OGLUM02G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQQGVHPPPGAPPPWWSVISQFGGAADVPGRPEPLFVKYLWC >OGLUM02G04650.1 pep chromosome:ALNU02000000:2:3289876:3292247:-1 gene:OGLUM02G04650 transcript:OGLUM02G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESPFLPRERLFKQQQYFQNLTKHTYLKGRYDVVTSVAIPLALAASSLFMIGRGVYNMSHGVRKKE >OGLUM02G04660.1 pep chromosome:ALNU02000000:2:3296136:3297093:1 gene:OGLUM02G04660 transcript:OGLUM02G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGYPTDVKHVAHIGLGTSDTSPSWMNEFTGTEDLSTGSLSTTTAPSRQTSWASLDFEQPRSMLPIEILPEKSGQEAPSCPDIPRGPRKVRRKKTRTSSPTSSARSSSSRSRTSFATAYDAFNESQRGFRVA >OGLUM02G04670.1 pep chromosome:ALNU02000000:2:3298089:3303110:1 gene:OGLUM02G04670 transcript:OGLUM02G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGTSGYSYGLGLACFALVVAMAGATQFKVGGGNGWSVPAANAESYNDWAEKMRFQIGDTLVFVYPKDKDSVLVVEPADYNACNTSSFDQKFADGNTVFTLDRAGAFFFISGVDANCRAGEKLIVMPQRHRHRHRAVSAPGFVDRAAADVACTRQPAAVVPVTSARFTAVSQLVGRRCSDDAAARVVASLHSDASVACAIRVVPAGAAIGERAERAGGEEPERHVVAAARRERRRARCGGGIGPRRRHHWLRHARTLKSDHAISAKASIDRSKIRLLCSLCMAAMASSCSVLVVACSFVVLHVVAMAGATQYKVGGDGGWGVPGAGDEPYNTWAEKTSFQVGDQLLFVYPKDKDSVLVVEPADYNACNTASYDSKFADGNTAVTLDRAGAFFFISGVDANCRAGEKLIVMVANATGSSASPPSSSSSPSSPSGGGGGGGAPAGQAPPGAPATPAGTNSSPANGGAAGGGAKSGAGLTVAASGLAGSLIAAIACVAIAI >OGLUM02G04680.1 pep chromosome:ALNU02000000:2:3303908:3305333:-1 gene:OGLUM02G04680 transcript:OGLUM02G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKFRVSLIQPKDIEIVICFIYAVDSVCSRLIMLQSSLDIVMIVCLILFAEDVTPVPTDSTRRKGGRRGRRL >OGLUM02G04690.1 pep chromosome:ALNU02000000:2:3306741:3330895:-1 gene:OGLUM02G04690 transcript:OGLUM02G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAAASSGVGGGGGGGGGGGDGGGGGAGAGGSPSSAPDRLAAAPSPRVRFSDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKEWEPQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITESSSCSESSPNISEQSSDLMLSSEGLNILECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFVNTTGKTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDALTRVLKVACLQAQHLRKLSHPGDGLSGNVFQSENVQMSSSDEKIKSTIACVELAFNLFKEYTTISELGRILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKMFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTESGKGCLAMLGKDALIFESILYWKPAEMLCRWWPDIESKMQFVESFSAFRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDALSSEQIKGIYNLGPSYMYSFLGDQNLLMNVDTLYKGILDGKDGISSKMIFGLNAQASNNRTLFNVSAALDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHSREPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSDVLLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKCLPMLCGLPRIIDIVLQFYSEKTDLRSSKTSLHPVTKKVIAERPNIEEIRKIRLLLLSLAEMSIKLKVSQHDITTLVSFFERSQDVACIEDVLHMIIRALSHNSLLSSFLEKVNPLGGCYIFINLLKREFEPIRLLGLQFLGELLVGVPSEKKGPKFFGLPVGRPRSISENLRKGMTAAPQLFFYSISERLFKFPLSDHLRATLFDVLLGGASPKQKRSQSEVSKDSAISSASLSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSEAEDNTYETNELILVRNMYSLVLTYCLCSMKGGWHQLEDTTNFLLLKIEQFPSPGLSAQSSSDDSLIEDINTSVVEILNAEGSGQLTSFPWSNSTYTDGDKPSDDWWSFYDKIWTLLCNLNGRGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKAGLENLSKYVKQFTLVLPNLISEDDQCKNRLHLSLLRGRSQYGGLDDGARSHIMLPLIFEILVQGKSLLATNMLARDDSTEVNSNKDSGYVLNFVQKDRVLAAAADEVKHMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLPETQGSSDAADSSGYSTSVQNRKEPVSTGGDDDYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNNIKHHRRWKISRVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGARNINKSLLLHVLSNKRLSAVNCPA >OGLUM02G04690.2 pep chromosome:ALNU02000000:2:3306741:3330895:-1 gene:OGLUM02G04690 transcript:OGLUM02G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKGVADLLRKSAAASSGVGGGGGGGGGGGDGGGGGAGAGGSPSSAPDRLAAAPSPRVRFSDSGVEGVLNMLWQKYENAIDKAEKKESLQIFIMHFVQAFKEWEPQYTEQSVDQEPISDDTVLGCSRGHPSEIILILVQEVSQITSFITESSSCSESSPNISEQSSDLMLSSEGLNILECLTIVTRSVHNCRVFSYYGGVQKVTALLKAAVVKLKTLTSLLAADEQLSNKAIDNMKMMQKILVHIVTIISNFMNLEPTATRLTQFVNTTGKTLSNEFLATVTPISAKSAVHDTNWQQKAIVAVMEAGGVNWLVELLRVIRRLNLKEQWTDVLLHFITLHALRSTISQHARAQNHFRSIGGLEVLLDGLGLPSSKLSVSKYSFVPSDERSGILQLQILSLEILREAVFGNVNNLQFLCENGRIHKFANSICWPAFMLQGFHQQKALDSRVQNCKLEESTGISLTSESFASPIDILDTTEWTEYSVKLSIALCSFLLPPNEIRNSSGAVDSQVSLSISVAYCEQCARWIIKVLSTVFPCIKACASESELPNHIRILANTLQHYILCTFRKVLISVPALLKSFRAEGLWSLIFSDKFFYFGSSMEYIHHIVCDTQNNHFIDATESAGSKGLNQADVNILQAEAISFLEFAATINENTNNLPECSALLDVLERCTYDPGLAGTILKSFHVILQLATEQTISSFKSLDALTRVLKVACLQAQHLRKLSHPGDGLSGNVFQSENVQMSSSDEKIKSTIACVELAFNLFKEYTTISELGRILVLHNANCIECLFDLFQEENLRKNVLEQVLDLFRLPSASAQDHTAKLQLCSKYLEAFTRAKEKEDFAELSIDLLVNMREIIMIDRMYYQNLFRNGECFLHIVSLLNGTFDEAVGEQLVLNVLQTLTVLLAENDVSKNEDVIILFLNVLQKSSTALQHYGLVVLQQLLKGSITNRSSCFKAGFLSFLLDWFSVEETDDTVIKIAELIQIIGGHSICGKDIRKMFALLRDEKIGVKQKRNSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPVQWPYNKGLSFCCWLRVESFLENSMMGLFSFFTEIGRAFSTGSQLRCYVDGGQISNQKCRWAKVNEVMTRCSIGTDLMPIGEEPTSLGFESTSAFVGQMGPVYAFSDALSSEQIKGIYNLGPSYMYSFLGDQNLLMNVDTLYKGILDGKDGISSKMIFGLNAQASNNRTLFNVSAALDGLDKSKFEATIMGGTKLCSRRLLQEIIYCVGGVSVFFPLLIHFDDAVIHSREPAIGDELAGQVVELVASVLDGNVANQQQMHLLSGFSILGFLFQSVSPQLLNFKTLSSLKYMLNVLKNCGMSDVLLKDALSQIYLNPHIWAYASYEVQRELYLLLIQYFECDGKCLPMLCGLPRIIDIVLQFYSEKTDLRSSKTSLHPVTKKVIAERPNIEEIRKIRLLLLSLAEMSIKLKVSQHDITTLVSFFERSQDVACIEDVLHMIIRALSHNSLLSSFLEKVNPLGGCYIFINLLKREFEPIRLLGLQFLGELLVGVPSEKKGPKFFGLPVGRPRSISENLRKGMTAAPQLFFYSISERLFKFPLSDHLRATLFDVLLGGASPKQKRSQSEVSKDSAISSASLSPFAVPQILVCIFKYMQSCQDTLARTKILSDLLDLLDSNPSNVEALVEYGWSSWLETSVKLDVFRDYKSSSEAEDNTYETNELILVRNMYSLVLTYCLCSMKGGWHQLEDTTNFLLLKIEQFPSPGLSAQSSSDDSLIEDINTSVVEILNAEGSGQLTSFPWSNSTYTDGDKPSDDWWSFYDKIWTLLCNLNGRGQNRLTPKGSNAAVPSIGQRARGLVESLNIPAAEMAAVVVTGGIGSALSGKANKNADKAMMLRGERFPRIIFHLIILYLCKAGLENLSKYVKQFTLVLPNLISEDDQCKNRLHLSLLRGRSQYGGLDDGARSHIMLPLIFEILVQGKSLLATNMLARDDSTEVNSNKDSGYVLNFVQKDRVLAAAADEVKHMKDAKADRLRQLQELHSKIDESLIEDIEQLQSFEDDIQFAKSAAISVDDSRKAALQLAFDEDQQIIADKWIHIFRALSEERGPWSANPFPNSTVTYWKLDKTEDKWRRRLKLKRNYKFDERLCQPPSKSSNESNASSVNPSVSAKIPEKMKHLLLKGVRGITGDVSSEPCEDNNDMSEPSQNTLPETQGSSDAADSSGYSTSVQNRKEPVSTGGDDDYAAILSSVQCVLVTPKRKLAGQLTITQKALHFSFEFLVEGTGGTSVFNRYQEKDSDPKNDLGGAEKLKGSLDGGRGNATESGDALMKNTSNNIKHHRRWKISRVALEMAESARESWRRREMSNFEYLMILNTLAGRSYNDLTQYPIFPWILSDYSSEKIDFNKSSSFRDLSKPVGALDAKRFKVFEERYLNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPLGNVGLPPWAKGCPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGKPAVEKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPSSSSSSSPVLFVSLLDSNIVVMNEGLVLSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKISTSLAENVDFGRQCLTAVQIHGDNYLILCGNWENSFQIISLGDGRIVQSVRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWHAFRGKSADKKSRNANYDLSTKDHVIIESPYHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGKYVRSIRHPSGAGLSKLVASQHGRLVFYSDSDLSLHMYSINGKHIASSEANGRLNCMELSCCGEFVVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLIRKGTVQRNKIKSSVGARNINKSLLLHVLSNKRLSAVNCPA >OGLUM02G04700.1 pep chromosome:ALNU02000000:2:3306834:3307268:1 gene:OGLUM02G04700 transcript:OGLUM02G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQAAARIMTEVAPPQLVSVMRRRKQVARSLDTIAEDDRELMHQAPYAGDGHHHGVKKQAAATSSASTFATPTLAFERQPPPAPAPASGFMRGLSKWFSNNGVHGQEGWPEISREGHRRAIYSQQAHIRGRATGLNSSSLTS >OGLUM02G04710.1 pep chromosome:ALNU02000000:2:3331793:3333832:-1 gene:OGLUM02G04710 transcript:OGLUM02G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAIYTVATVPTLMGTMASPRRAESQMALNISRIRRDVSVIKSGREDSKHFGNSILVESLGLITGLQEVAATFKVIDHINNPTGGQSFVRREGFFKSKSHMVMSAAVAYSIFYLARQTKSASEVFNYDYREFLHQICCVT >OGLUM02G04720.1 pep chromosome:ALNU02000000:2:3337912:3342636:1 gene:OGLUM02G04720 transcript:OGLUM02G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMP5] MSWRKGGGGGDGGVSRRWAVLLCLGSFCLGLLFTNRMWTLPEANEIARPNGNGDEGNTLVAAECGPKKVQHHDYKDILRVQDTHHGVQTLDKTIASLETELSAARSLQESLLNGSPVAEEFKLSESIGRRKYLMVIGVNTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHALKPRVYIGCMKSGPVLTEKGVRYYEPEHWKFGEPGNKYFRHATGQLYAISKDLATYISINRHVLHKYINEDVSLGSWFIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >OGLUM02G04730.1 pep chromosome:ALNU02000000:2:3343280:3343657:1 gene:OGLUM02G04730 transcript:OGLUM02G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRLHRAAPREERMHRFLRPGALARLRDSRIVARSLRSAAAATRLLPTSLPPAPTPPQVVAEQQQGGAPHFVVPARGLGGAGRYPLRRRMCAARSVVFLPPPAADAFFAAFAAAPPPPADLVS >OGLUM02G04740.1 pep chromosome:ALNU02000000:2:3344469:3345329:1 gene:OGLUM02G04740 transcript:OGLUM02G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAAAEMDVGGGEGRRRKRTRGRQRIEMKLIENKEARQVCFSKRREGVFKKASELSVLCGARVAVVFFSPAGRPHCFGHPSVPAVADRFLLGRSPAVAAAAAAEEEEAVVREFNRVEERLKDALGAAARRRDALDEAARVAGVWNDADVRRAGLADLVSMLAALQRVQAEASERVHDIIVEEAMTHYTGAAAAAANLIDYLDAGPFVSHSPGSHDTTTKLIGGNAVHAPPLSFPPMIMPPPLPPQFSHGFGYTDLAAGYGYNLDHGHGAAYETEEFHNAAACDFF >OGLUM02G04750.1 pep chromosome:ALNU02000000:2:3353228:3356215:1 gene:OGLUM02G04750 transcript:OGLUM02G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPHAAALPAWAAENALFRRHRRLRPLLLPAASLRAFAPLLSHCIVSGLARNPFVASRLLVASSRLSLRFSLLLLSHLPASSLSPFSFNSLIRASPPRLALQLFDQMRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSSVLFTQTALMNAYFACRFEVAARRVFDGMQAKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRSCEEAVRPNVATVVSVASACAGLGSLEYAKGLHAYVEKVGLEGELIVKNSLIDMYGKCGSIELARGLFGLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEAGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNELDIGELVIKRIESVCSDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSGFNTSTLNVVSLIIGQIR >OGLUM02G04750.2 pep chromosome:ALNU02000000:2:3353228:3356215:1 gene:OGLUM02G04750 transcript:OGLUM02G04750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPSPPHAAALPAWAAENALFRRHRRLRPLLLPAASLRAFAPLLSHCIVSGLARNPFVASRLLVASSRLSLRFSLLLLSHLPASSLSPFSFNSLIRASPPRLALQLFDQMRHRGVTTDRYTLPFLIHACSSGDRPLCESLHGQSLRLGYSSVLFTQTALMNAYFACRFEVAARRVFDGMQAKDVVAWTGMVSGYVDSGMFLRGVEVFQEMRSCEEAVRPNVATVVSVASACAGLGSLEYAKGLHAYVEKVGLEGELIVKNSLIDMYGKCGSIELARGLFGLMPQKDLHSWTAMISGLASHGHGKEAVALFFSMKEAGVLPDSTTFVVVLSACSHAGLVDEGISIFNSMENEYKVTPDIKHYGCMVDLFSRAGLIARGYQFIESMPFEPNLAILGALLSACSINNELDIGELVIKRIESVCSDKGGAGVLLSNIYANQNLWHEVDSLRRKIRDDTICRKPPGQSGFNTSTLNVVSLIIGQQSVWGPDDVSESLFAPARPTHMPLTKEIKGGMSAAERRRILLFTGK >OGLUM02G04760.1 pep chromosome:ALNU02000000:2:3357817:3361540:1 gene:OGLUM02G04760 transcript:OGLUM02G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT1G50670) TAIR;Acc:AT1G50670] MEGVVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAAAVASDPAKYSEVFLGKPNEAYCAWILDSEKWGGAIELSILSEYYGREIAAYDIQTTRCDLYGQEKNYTERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFPVDHKRSIGPVEGLALNLVKDEQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAHATGHVNFQEYK >OGLUM02G04770.1 pep chromosome:ALNU02000000:2:3374321:3381724:1 gene:OGLUM02G04770 transcript:OGLUM02G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMQ1] MKLSPSAGGVSDQPPSPPEVAEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELMAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDSNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWTNSHWRSVKVGWDESTGGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLYGGKEDDLASSLIWLRDSQNTGFQSLNFGGLGMSPWMQPRLDSSLLGLQPDMYQTIAAAAAALQNTTKQVSPAMLQFQQPQNIVGRSSLLSSQILQQAQPQFQQMYHQNINGNSIQGHSQPEYLQQPLQHCQSFNEQKPQLQPQQQQQESHQQQPQHQQMQQQKHLSNFQTVPNALSVFSQLSSTPQSTPSTLQTVSPFSQQHNFPDTNISCLSPSNVSSMHDTLRSFPSEAASDLPGVPRITPVPVSDPWSSKRVAVESTITSRPHDISSQIENFDLTPSSIPQNSTLAPLPGRECLVDQDGSSDPQNHFLFGVNIDSQSLLMQDGIPSLHNENSSSTIPYSTSNFLNPSQDDYPLSQTLTTPGCLDESGYVPCSDNADQVKRPPATFVKVYKSGTVGRLLDITRFSSYHELRSEVGRLFGLEGQLEDPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPGIELFSTSARRLGNSCDNYMSRQESRSLSTGIASVGSVEF >OGLUM02G04780.1 pep chromosome:ALNU02000000:2:3380126:3383311:-1 gene:OGLUM02G04780 transcript:OGLUM02G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMINWKPDEAWLAPRVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGEIDGEGRRAILGYEIDVAMSQN >OGLUM02G04780.2 pep chromosome:ALNU02000000:2:3380126:3383311:-1 gene:OGLUM02G04780 transcript:OGLUM02G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIKVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGEIDGEGRRAILGYEIDVAMSQN >OGLUM02G04780.3 pep chromosome:ALNU02000000:2:3379996:3383311:-1 gene:OGLUM02G04780 transcript:OGLUM02G04780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMINWKPDEAWLAPRVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGHRTKSYRDVQNWTPNAGCYELPISQNSTEPTDAIPVLRLLDSCLLM >OGLUM02G04780.4 pep chromosome:ALNU02000000:2:3379996:3383311:-1 gene:OGLUM02G04780 transcript:OGLUM02G04780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIKVMSSWWSPAAAVLLWRRLRGTANSGDPSFLRSGHRTKSYRDVQNWTPNAGCYELPISQNSTEPTDAIPVLRLLDSCLLM >OGLUM02G04790.1 pep chromosome:ALNU02000000:2:3383342:3387576:-1 gene:OGLUM02G04790 transcript:OGLUM02G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPGGAAAAAAAAESSNRAGEDAKSDGVSCQNSRRPNLSLQIPNRAFDDTLPTSTRIKISPSPNSARSGLPPRPNSTRTKSSIRGIIPQRSFKAKSSLQDGDQTILLIPDTPSSSGQQVKATTSRSFSFTKVINSLSAKRTHSLPVTPVAASGPSSHEGHADNLPSTVKNEVETQIRRSLSAPGNHDSKDLRRTASSGLIRVIPTTPRPVPVETVASNDGIEEAVDAPEDGGEDIPEEDAVCRICLVELNEGGETLKLECSCKGELALAHQECAIKWFSIKGNKTCDVCRQEVQNLPVTLLRIQIRTVNRQPRNGVQQRAAHPHRFWKETPVLVMVSTLAYFCFLEQLLVADMKSHALAISLPFSCLLGIFSSILASTMATDNYLWAFATFQFAFLILFAHIFYNLLKMGAVLAILLASFTGFGIAISLNAMLIEYLRWRLLRNQWLTQRRNHRHGQSGNNASNENTASNARQQGSGSDQQPAEHG >OGLUM02G04800.1 pep chromosome:ALNU02000000:2:3387658:3391924:-1 gene:OGLUM02G04800 transcript:OGLUM02G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRRWAWVVANQVAVFDFSAVGIGKWEQEEEEEQEEEEQEDRLAGQTVDHVVAALAAWGPPVSDTDAWRGSGSTRRRRVGLGTRSRIVACGGGCGSEKWWSKLQKRTSSFILEPSTGHRPPSRVHLP >OGLUM02G04810.1 pep chromosome:ALNU02000000:2:3391656:3396897:1 gene:OGLUM02G04810 transcript:OGLUM02G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVPSPTRRRRVEPEPRHASVSLTGGPHAANAATTWSTPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSLSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIAHGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERFPEITNGVLLLQTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >OGLUM02G04810.2 pep chromosome:ALNU02000000:2:3391656:3396897:1 gene:OGLUM02G04810 transcript:OGLUM02G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVPSPTRRRRVEPEPRHASVSLTGGPHAANAATTWSTPILLLLFFLFFFFFLLPFPDSHCGEVKYGHLVRYNPSPPPLRSCHSPTNSSPERLLSRSFELLGLALLGFLLGWDYQRRATRQQSSQHNDDPSGDMNITKFTYKELSRVTENFSLSNKIGEGGFGSVYKGKLRNGKLVAVKVLSLESRQGAKEFLNELMAISNVSHENLVKLYGYCVEGNQRILVYNYLENNSLAQTLLGYGHSNIQFNWATRVNICVGIAHGLTYLHEVVNPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPPDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNTNTRLPYEDQILLERTWVHYEEGDLEKIIDASLGDDLDVAQACMFLKIGLLCTQDVTKHRPTMSMVVRMLTGEMDVELAKISKPAIISDFMDLKVRSMRKEVDIVSSSTSTLLSSIMAHSSPLLSQETTEASMTFTAISDRE >OGLUM02G04820.1 pep chromosome:ALNU02000000:2:3403940:3406885:1 gene:OGLUM02G04820 transcript:OGLUM02G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADVDDSLNHGGGPYVFKINGQVHHRIGALLPNENTPTKFAQLYIYDTQNEIQNRMCAILSEGGDPSALDPVIAAELMQMLDECNPLVKQFRLARDHLAEKGIADAVGRGCLEGGVGKQRILPTSFTGERSKGLTRGDLPPPIPWTARAAAGSQRPNGEKKPDEQHFDPSRMIGIIKRKALIKELAAAYHAECVACCEELLQLQRKWEEEQYVEFKMADEAPRTLMVKSSKGRKR >OGLUM02G04830.1 pep chromosome:ALNU02000000:2:3410262:3416822:-1 gene:OGLUM02G04830 transcript:OGLUM02G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARLPPGFRFHPRDDELVVDYLSGKLRSGGAAGAGCPTPTLIDVDLNKCEPWDLPEIACIGGKEWYFYNLKDRKYARGQRTNRATESGYWKATGKDREITRKGSLVGMRKTLVFYRGRAPKGERTDWVMHEFRQELDHANHHHHLKEGWVLCRVFYKSRTEAVAAPTMESTLPPRYINGGTSRSPLPPLVDSSISFNHGGYEEVLPCFSSSHHQQPSPASMNASAAADDDQDYHHLSEGQRHYSDKKMMRDVQNDQVTTRFDGHLAVKREMSLKKKDLSEDEQAAPNADAGGFSILLKYSVSKMTSLMKPIQRNISTLQEFLNQKKEVILEKVEIFTKLLLPSRLGSAVFQLCLAHLIKNHKVGISWDGIWELSDWEVADNEVVLKMVGQCSAPADSKSKDLKRLFDLLRPYYDQEGKDPHLFFEHLKFDFTDVLKTIVTDAKWEWFWKYLLNHVFVMPPTGNTY >OGLUM02G04840.1 pep chromosome:ALNU02000000:2:3428003:3429229:1 gene:OGLUM02G04840 transcript:OGLUM02G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASTMPSPPPPAVSFGWLAPTRVSPPPALPAVAVAAEQAVSKEFIDFEFSLGGSATMLPADELFADGKLLPLRPHPVAAEKAVAMEAEKAVPELVKAVRPAVAVAAEVFDPYVFSPKAPTCSSRWRELLRLKKVQTPTKPSASTSASPSTATAATPSRSSNSAARSLKLLLLQRNGGRASGAAASDLSVAPLLRDSSDSEASLSLASSRFSLSSSSSSSCHEHDDFPRHSLDSVDPTPRPRLRLVRSQPQPHPPAAAAAAAAVAKPRAAIQSPARRRPSPPPPPPPQVASVDSPRMNSSGKIVFQGLERSSSSPAGSVHSSLRSRSRVMDRSYSAGVRPATPVVLNVPVCSRPVFGFFKDRKDAAAKDSSASRPRSALGRKTAPHAGNGGGASRDLGTTDLRFD >OGLUM02G04850.1 pep chromosome:ALNU02000000:2:3435653:3435952:1 gene:OGLUM02G04850 transcript:OGLUM02G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDHYDGGHLPELGGGAPFLFRSRTIGVGAIFLMWGASAIVLGAVPEPAIPIAHMLLSFAFLMAGVALLTLSVAAPRCAMAARAAATLENWLTALI >OGLUM02G04860.1 pep chromosome:ALNU02000000:2:3441363:3442052:-1 gene:OGLUM02G04860 transcript:OGLUM02G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQNGAAAAPPPADHAIAAAVPAPPPTDPRDQMVIMLQQLGMGNLVCKLRKQVDASDAKPNQSRLQLTYQLARGLLESGDLTDGEKRLIHGGGGDNDLSKKKKKSSGLKLDGYDRHGRRYGPMRFGRVGSVDGKNGNGLYRLKSFGRFVAANGLRAGHTVVAWVFRLPPPPPPEEEEEDAPARLAVMLLDYPSCDPEMVEAMVEWEDEASKKFGAASGIVKISNAGN >OGLUM02G04870.1 pep chromosome:ALNU02000000:2:3443511:3444011:1 gene:OGLUM02G04870 transcript:OGLUM02G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAGDGHGVEVEDVEVGRSCVAVAPPPPPPAEEEEKKEGAVGTTSSTAALAVAAAAAVELTNLFFFLHGAGDGRAVAPRRRCCEMGVAPVELVEAATVIVALAAFLSAAGLLLLRHAAGDLGGHHRIVSPACSTAVLVASTAALFLASLGTVVLLLNDYQTVMY >OGLUM02G04880.1 pep chromosome:ALNU02000000:2:3448590:3449950:1 gene:OGLUM02G04880 transcript:OGLUM02G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMICQIIKCIGNMSNLSWAFGLLTSDGPGPVWPMCSGSLLSHEMERRNQTKVHALFSSQIPNSSIAQAAAAAAANPSLATAANPSVALPRRRCEPSSPKPRLRVHPPASTPPRRCRARGVVRSPRSGGGRLCRGGGKHLCLDRRQILSCSR >OGLUM02G04890.1 pep chromosome:ALNU02000000:2:3455946:3457201:1 gene:OGLUM02G04890 transcript:OGLUM02G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLLVFVLVAAFSFVIGTAATTAPAPPWPAAAFAAYLFWVVASAAVALFVRRPAAQRDPPQAARGSRPKGLKVCHFCINRAELAQVS >OGLUM02G04900.1 pep chromosome:ALNU02000000:2:3461188:3484918:-1 gene:OGLUM02G04900 transcript:OGLUM02G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGTWWHSILLGWVHCNCNGMHKALTKWGPAQDETGGSGPWGVSSKIRETRGAMGWRTQSWGERYTTVAAIGDGGGKGCDAAEIEVCGTVRRKKEDVIIMVINQSRGTLFINYSIEKANYKSSGRSIERAYQEAKKKKIQEPAEGRNEEEDDEEEKGEEKRREDIEGGIALLRPKVIGTWVTDAKGEHLNMCEGRKTSRQTSGIFFVRKEKEMQQVG >OGLUM02G04910.1 pep chromosome:ALNU02000000:2:3470145:3470948:1 gene:OGLUM02G04910 transcript:OGLUM02G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIGMASPAESPGGGGGGAARPSRYESQKRRDWQTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAHGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPESNPFGARAVRLYLRDIRDTQSKARGIAYEKKRRKRAAASHTKQKQQQQLVEQAVAPPAAAALPDMETTTTTTTVPHFLFPAHFLHGHYFLAPAGEQPGGGDVAASTGGAAGAPSGGGGEDLVLAMAAAAAAAEAHAAGCMMPLSVFN >OGLUM02G04920.1 pep chromosome:ALNU02000000:2:3485820:3495312:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKQPQ >OGLUM02G04920.10 pep chromosome:ALNU02000000:2:3485820:3489921:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHRSSLICSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKVSSSPSKSWEKDGPFAFSHLQIPCFLIRFQQPQ >OGLUM02G04920.11 pep chromosome:ALNU02000000:2:3485820:3489921:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKVSSSPSKSWEKDGPFAFSHLQIPCFLIRFQQPQ >OGLUM02G04920.2 pep chromosome:ALNU02000000:2:3485820:3495312:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKQPQ >OGLUM02G04920.3 pep chromosome:ALNU02000000:2:3485820:3495312:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKQPQ >OGLUM02G04920.4 pep chromosome:ALNU02000000:2:3485820:3495312:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKQPQ >OGLUM02G04920.5 pep chromosome:ALNU02000000:2:3489670:3493180:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNVLN >OGLUM02G04920.6 pep chromosome:ALNU02000000:2:3489670:3492861:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNVQ >OGLUM02G04920.7 pep chromosome:ALNU02000000:2:3485820:3490742:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQYVVCLAMTEAIKELCCAKGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKQPQ >OGLUM02G04920.8 pep chromosome:ALNU02000000:2:3489797:3492861:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDSVVSAQWLHEHLGQPDVKVLDASWYMPVEKRDPWQEYQVAHIPGALFFDIDGIVDLTTDLPHMLPSQEAFAAAVSALDIKNHDKVIVYDGKGFFSAPRVWWMFRVYGHNKIWVLDGGLPQWRTSGFVLESSTPGDAVQKTKAANSVVERIYNGQLASDVTFQTEFQPHIFWTLEKVKHNMDAQSHQVVDARSKGRFDGVAPEPREGVRSGHIPGTKCVPFPEMFDDAPMLLPADEIRKKFEQAGISLDRPIVVTCGSGVTACILALGLYRIGKQDIPVYDGSWTEWEALPDHDYPKVTSNVQ >OGLUM02G04920.9 pep chromosome:ALNU02000000:2:3485820:3489921:1 gene:OGLUM02G04920 transcript:OGLUM02G04920.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPVRLSPPATAAAATAGAVLAAVALRRYLRTRSSMATSAHRRGSAGGITLVVSGKSTEDQHLLAAAGGALVLGEGDSVEDITVSLDSGAGAGAGFDAGAYMAALRASRFGRWMLWSPRLASTHDLVTQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMEDARKLPLMQIMDIFLSLTIFVGLSELDVKIKWPNDLYLKGLKVGGILCTSSYQPKVYNICTGIGLNVDNEEPTTCLNAALKEMNANLPTLKREDILASFFNKFEVLFEIFTNEGFQALEEQYYNSWLHSGQKVVVQDGPEAQHADSVVTIQGLTPAGYLYAIGEDGKSYELHPDGNRRPWLQLLHESNSAHPAAPPTALRSALLKITKVSSSPSKSWEKDGPFAFSHLQIPCFLIRFQQPQ >OGLUM02G04930.1 pep chromosome:ALNU02000000:2:3493425:3495965:-1 gene:OGLUM02G04930 transcript:OGLUM02G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20770) TAIR;Acc:AT4G20770] MASAAAQLAGVVEACIKRSPKPSRAHAKAAHARALAAGLAADTFLANRLVELYSRAGLPRHALLAFRALPSPNDYSYNAALSAACRAGDLDAARDLLGGMPRRNAVSWNTVISALARSPGDGGEAVEMYGRMRAEGLLPTHFTLASVLSACGGLAALGDGRRCHGVAVKVGLDANQFVENALLGMYTKCGSVGDAVRLFYGMARPNEVSFTAMMGGLAQTGSIDDALRLFARMCRSGVPVDPVSVSSVLGACAQACATDYSVARAFRLGQAIHALVVRKGFGSDQHVGNSLIDMYTKCVEMDEAVKVFESLPSVTIVSWNILITGFGQEGSCAKAVEVLSLMQEAGFEPNEVTYSNLLASCIKARDVHSARAMFDKISRPSVTTWNTLLSGYCQEEQHQDTIELFRRMQHQNVQPDRTTLAVILSSCSKLGILDFGRQVHSASVRFLLHNDMFVASGLVDMYSKCGQIGIARSIFNKMTERDVVCWNSIISGLTIHSLNKEAFDFFKQMRENGIMPTESSYASMINSCSRLSSIPHGRQIHAQVMKDGYDQNVYVGSALIDMYAKCGNMDDARLFFDTMMMKNIVAWNEMIHGYAQNGLGDKAVELFEYMLTTEQKPDAVTFIAVLTGCSHSGLVDKAMAFFNSMENSYGIIPLAEHYTCLIDALGRAGRFVEVEALIHKMPCKDDPIIWEVLLAACVVHHNAELGKCAAEHLFRIDPKNPSPYVLLSNIYASLGRHGDASAVRALMSNRGVVKGRGYSWIDQKDGVRAFMVADDLGADGGELTMFSNEDSIRQLCHFGAICLRAFEDSFL >OGLUM02G04940.1 pep chromosome:ALNU02000000:2:3498644:3501714:1 gene:OGLUM02G04940 transcript:OGLUM02G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMT2] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPNGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEDYGDEEEDEVAA >OGLUM02G04950.1 pep chromosome:ALNU02000000:2:3502670:3509207:-1 gene:OGLUM02G04950 transcript:OGLUM02G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYLSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNIPTSTWSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >OGLUM02G04950.2 pep chromosome:ALNU02000000:2:3502670:3509207:-1 gene:OGLUM02G04950 transcript:OGLUM02G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRNKRIGSRDRAEFHGDFEDRYLSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >OGLUM02G04950.3 pep chromosome:ALNU02000000:2:3502670:3509207:-1 gene:OGLUM02G04950 transcript:OGLUM02G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRHDTYHCNDGKHHEFESYGGVDILHDNYAADNYESGSYRDFGFDRNKRIGSRDRAEFHGDFEDRYLSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSSTPLHPKRGSELGKALFFYCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNIPTSTWSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >OGLUM02G04950.4 pep chromosome:ALNU02000000:2:3502670:3509207:-1 gene:OGLUM02G04950 transcript:OGLUM02G04950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:suppressor of abi3-5 [Source:Projected from Arabidopsis thaliana (AT3G54230) TAIR;Acc:AT3G54230] MDHGRYAPQHGWENNSALDGYGVINGPDYRAGGSYNGRRFVDDGFPGDSYGRGAFYQDTHDRNVYPPAPSVPMLSQPRRFHDDEYSTARDYRRHDTYHCNDGKHHEFESYGGVDILHDNYAADNYESGSYRDFGFDRNKRIGSRDRAEFHGDFEDRYLSSHQSREDSYERDRDYDRYSYDSDYEKSRRDGSWRRRDLCESEHERRGLSHERDRSPYMQHSRSRSRGRDERSRSRSRSRSPRGKSRGRNQRDGFYDDNSFGRRREYDWDERRHGDLVAPSATVVVKGLSQKTNEDDLNQILAEWGPLRSVRVIKERSSGMSRGFAFIDFPTVEAARRMMEGVGDNGLLIDGRKVFFQYSSKPTSGMSGPSHGEENFTRYNYGHRTAAAPCDWICTICGCMNFARRTSCFQCNEPRTEDSLPADPTSSTPLHPKRGSELGKALFFYCPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFIHFHSVEEATKALEATNGITLEKNGQVLRVTYAKSTHGPVSGASQSNSLAAAAIEAASFSQQYDAIGWAPKEYNPDDKLNSNSEPQSSGSAPQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDGNAGVWYSYDQQTQQYVPCSEQNSSKAAGDMANTSTKTSESSGKNVVISAPAATIKQSEKTSLPEAVQAAASAALAAEKKEKERAKEIKLASKGSLLANKKKMNNVLAMWKQRNQEGQAGRAILDDKEPSNSADDKLNNLHNSTGFAVKAKPKSDVGNAKDMNSPASYNSLGRTAAPTEMIDSDIKPTPVSNSLGTTIMGVIRGSGRGIVRSDTAFHAPSDAGGADSFSNIPTSTCGLTANAGAPTSAPFKTELSALASYTPSGVSGSGKRRFSEAPGHSQYRDRAAERRNLYGSSSSLGSDNDGLDPTGEYPRRGPSEMGSMPFPPGVGERSSGEIGNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKTGSGIKEPVQAKSVDVRAGLGSQQRKSSDPSLEAQAGDSYKTIIQKKAMARFREMS >OGLUM02G04960.1 pep chromosome:ALNU02000000:2:3525389:3525766:1 gene:OGLUM02G04960 transcript:OGLUM02G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKRLLKRLSRVADDSPAAAAYQQLRPKQAAAAAGGKVPQGHVPVCVGEEGGPVERFAVRAELLGSPAFAALLRRAAQEYGYGHPGALRIPCPVADFRRLLLRLSAAAAAAAADEGEYPSSYDC >OGLUM02G04970.1 pep chromosome:ALNU02000000:2:3529602:3538621:-1 gene:OGLUM02G04970 transcript:OGLUM02G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKMTGKDKDYRYMATSDLLSELNKEGFKADQDIEPKLTTTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDRVVEMTNILCDKLLNGKDQHRDTASIALKTIIVEVTTTSLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDSMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEAVPLLINYCTSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLSAIIVSRPEMLSKMYLEACPKLIERFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSIPILSAIGDRYYKVTAEALRVCGELVRVLRPNFEARTLDYRPYIGPIYKAILARLANQDQDQEVKECAISCMSLVVFTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFAVIAKSPLRIDLSCVLDHVISELTAFLRKANRALRQATLGTLNSLVVAYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRYKVLPQALILIRSALLQGQALQALQRFFASLVHSANTSFDTLLDSLISTAKPSQSGGLAKQALSSIAQCVAVLCLAAGDQKCASTIEMLKGILKDDSATNSAKQHMALLCLGEIGRRKDLSNHAQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILNQIDNQQKKQYLLLHSLKEVIARQSVDHTGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPRKLIPALKERTSSPAANTRATVAIAIKYSIVERPGKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPNLIKGLLPELLPLLYDQTVVKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAIAALSRISGNDYSMRFKNLMNKIMASPPLADKYNSVRSE >OGLUM02G04980.1 pep chromosome:ALNU02000000:2:3539917:3540755:-1 gene:OGLUM02G04980 transcript:OGLUM02G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGCNTVGGERHGRVHGEVEVHGGVLEGVRPDSEREQWEVDELVDDGKHGEGEEELYDSDSPAATGVSPAPTAATTTVIVSHAKGSNSSAAYKCVKRNDTIWGAWFFFTHYFKPVMLADKNGKAKAPTVVGTCSTTWRTCICGCSRSGQRMPWGRCTCGEDAAAAAPPHLAPAGLLRHPDRREEGSLPGRRRSSSIFLVRDEEEPAGWDDPKASAPEDEEEEPAFMVSSLREWCLMAAQREL >OGLUM02G04990.1 pep chromosome:ALNU02000000:2:3543371:3543679:-1 gene:OGLUM02G04990 transcript:OGLUM02G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWMRVRVNHVYVYLDEVEHVYDGSGGEHGVGAAGVGVGRSGSAVPIGAKPWVVQQQQPTATAAAVASTASTRLHASAQPAAASPRRRGGRAGPEPQQQQQQ >OGLUM02G05000.1 pep chromosome:ALNU02000000:2:3543892:3544233:1 gene:OGLUM02G05000 transcript:OGLUM02G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPWLGMTGGVMRMKLGDGGAARRGRGEVGRRRDLAVAGEVRMAAGRGHAVAARPCGGRRATDGGGARPRGGAAALRWPARSGVASRGRLGAAWLGGRAPPRLARGGWPAP >OGLUM02G05010.1 pep chromosome:ALNU02000000:2:3544637:3549788:-1 gene:OGLUM02G05010 transcript:OGLUM02G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturation 1 [Source:Projected from Arabidopsis thaliana (AT1G06570) TAIR;Acc:AT1G06570] MPPTPTPTATTGAVSAAAAAGENAGFRLVGHRRFVRANPRSDRFQALAFHHVELWCADAASAAGRFAFALGAPLAARSDLSTGNSAHASLLLRSASVAFLFTAPYGGDHGVGADAATTASIPSFSPGAARRFAADHGLAVRAVALRVADAADAFRASVAAGARPAFQPADLGGGFGLAEVELYGDVVLRFVSHPDGADAPFLPGFEGVSNPGAVDYGLRRFDHVVGNVPELAPVAAYISGFTGFHEFAEFTAEDVGTAESGLNSVVLANNAETVLLPLNEPVHGTKRRSQIQTYLDHHGGPGVQHIALASDDVLGTLREMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEEQINECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEMIQRIGCMEKDESGQEYQKGGCGGFGKGNFSELFKSIEEYEKSLEAKQAPTHLLTLARGKPATFTSGRNRCWIEGKQAEPLALDSEDTGQLRWPVLPWTEDRPATPYVDGDSAARGGGPPCRSGRREAAPLARPRGGEGLHG >OGLUM02G05020.1 pep chromosome:ALNU02000000:2:3546013:3549889:1 gene:OGLUM02G05020 transcript:OGLUM02G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRILPVSYWLGEDLEQHPLVIPVHEHPELLALVDLLLREHVPGAPPHAVVVVGRRRRQELEAAHGGARPHLPQRPEHVVAGQRDVLHPRAAVVVQVRLYLAPPLGAVHRLVERQQHGLRVVGEHHRVEAALGGAHVLGGELSELVEPGEPGDVRGYRSELRHVADDVVEPAEAVVHGARVADALETREEGRVGAVRVADEAEHDVAVELHLREAEAAAEVGGLERRARPGRDAGAEGVGRVGDAQRHGAHREAVVRGEPPRGARGEGRDGSGGGRVRADAVVAAVGGGEEERDGGGAEEEGGVRGVPRGEVGPGGERRAQGEGEPARGGRGVSAPELDVVERERLEPVAPRVGADEAAVPDEAEPRVLPRRRSGRDGAGGGGGGGSGRHGAARRGVAWRVVGCGGVDGSGGVGGEELVVGG >OGLUM02G05020.2 pep chromosome:ALNU02000000:2:3546025:3549889:1 gene:OGLUM02G05020 transcript:OGLUM02G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVRILPVSYWLGEDLEQHPLVIPVHEHPELLALVDLLLREHVPGAPPHAVVVVGRRRRQELEAAHGGARPHLPQRPEHVVAGQRDVLHPRAAVVVQVRLYLAPPLGAVHRLVERQQHGLRVVGEHHRVEAALGGAHVLGGELSELVEPGEPGDVRGYRSELRHVADDVVEPAEAVVHGARVADALETREEGRVGAVRVADEAEHDVAVELHLREAEAAAEVGGLERRARPGRDAGAEGVGRVGDAQRHGAHREAVVRGEPPRGARGEGRDGSGGGRVRADAVVAAVGGGEEERDGGGAEEEGGVRGVPRGEVGPGGERRAQGEGEPARGGRGVSAPELDVVERERLEPVAPRVGADEAAVPDEAEPRVLPRRRSGRDGAGGGGGGGSGRHGAARRGVAWRVVGCGGVDGSGGVGGEELVVGG >OGLUM02G05030.1 pep chromosome:ALNU02000000:2:3552276:3553810:-1 gene:OGLUM02G05030 transcript:OGLUM02G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGRRDRMGSAGGGGGSPPVVVRRYNRSEAPRMRWPEELHRRFAHAVRRLGGCHEATPKRIMQLMGAKGVSISHVKSHLQMYRNSNNSSNVNRRHPVTPQIDWTTTAQQDEQQRRQMSSFSFLATRTVPAAGIGSHSHQRPHRRQALHAGDDDGCELTLSISGGAAEESKDGGSSITDDDDELLIQPPAPNIINDDGSTRHGHRHPFACSTQPPPPAAINLELTISSPCCWLT >OGLUM02G05040.1 pep chromosome:ALNU02000000:2:3557681:3561126:1 gene:OGLUM02G05040 transcript:OGLUM02G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSCFRGAGSDPAGSLRDPLVRESRIGEAFLDDETKVEASGTLDGDRGNGGSVDEELWREANYLKSCGAIGETPPEMLKGSNQITEEETNGELKGAAVSEENLSEGFNCDEHSALKHEQSDSPHPTPLVLRGDMQTPGTLNTAYKESLRSGKRARTNKQFTYPVLRPIENKLQWMELRDDSSPILSFNPPKRRYLSTDCSVKPQESITNSMATQTARITPASFSFHDITAGQDQGVISPEEHKSENDSRKLLDDADQLKYNADSERKGVASLSCWLQPPSSAGGSQSDTEGKVVKQRCYENSVFTDLPIFTASGLNWDNDKPTPVLPKVWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPHHQRKISGKLIQLDEETN >OGLUM02G05050.1 pep chromosome:ALNU02000000:2:3564489:3569604:1 gene:OGLUM02G05050 transcript:OGLUM02G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEKHSVIRKEGNIPETAPTPRKSYSLRLFSCRGDLTRNVINHPKIPKKEAVSVEKDLECSELTMNLERAVSMVSSSDSLTQCEYAVQEVARACSNLREDPNLGTWLSCPSFIQGLLEVTFTSKDDLVLECAILIIGELILSNEVNRQIVLNADPQLEVFLRLLRSKELFLKAAIVLYLMKPKAKQMLSLDWIPLVLHILECGDEVQFLSSVKCAPKVAALYFLDQLLMGFDVDRNVENAKQMIALGGLDLLMNRIDGSDSRESKKCISLLTSCIQADGSCRHYLVDNLKKEPIVQLLVGNQKKASSAALNLLSELVCLNRTTQILEFLKELKNGGCLNTMHILLVYLQQAPIAQHPLAAVMLLQLVLLGDSSQYSVYREEAIDAMVAALEHGSHSRKLQEQCARALLLLAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSARVEEEKIVEERLKKLALMLLNSGNKKFLTALSNCISDGIPSLARACLITVTWMSSSLSPLHGCNTFQPLACSILATKLVDSLSYDRVLEERVLASLSLLNLVRHPECLEKLYPLKKDTVESLQDLAEVTWTAKELLFACCR >OGLUM02G05060.1 pep chromosome:ALNU02000000:2:3572435:3573173:1 gene:OGLUM02G05060 transcript:OGLUM02G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILTAPKCQITLQYNLLIFKKKKKVKTKNGLITILPFFLLSLSLASIFLLPSFPHARTHLPSSAIDRAPICAAEPDLRAATAAAFRPARGPSWAARRGPRPPPSVSPFPPALAGSFARSLLDSPGRLRRSPPRGDEQLRRHPLLPTHPRFAGRYVFVMLKMRW >OGLUM02G05070.1 pep chromosome:ALNU02000000:2:3573270:3574595:1 gene:OGLUM02G05070 transcript:OGLUM02G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G05320) TAIR;Acc:AT3G05320] MNIILELKHLKQVSLPTRPVVCKGLVIVIALIVLRAIVSPFLAVNPSEKEGFYDPTADLLPGIRRGKFIEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLRPITFDKVFDFTKFNARCQGFVRLARYSEVSNQTKPFKLQKGSGRRWTVEKDLDQLLQYRRGEADDSEVIEIIGKHPFLWPDHWPVKDYARIFDCLALVPEIETEVVKVISKIREAGIKARHEAGISHNKHVKDGTMNPPVPYIAVHMRIEKDWMIHCKKWEQRSNSKEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAFEKKRLGVTDIYNRQPYLIKSAIDFEVCARADVFVGNSFSTFSNLVVLSRTQRLYNMGEASSCGENVGLSSYAYNVIGDDGGPQRWMTDMSDTSLQNLSYGTNNISCH >OGLUM02G05080.1 pep chromosome:ALNU02000000:2:3575681:3579453:-1 gene:OGLUM02G05080 transcript:OGLUM02G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPSIFFCCLALLLSFFLSRIFFSIALLSSPLCCRSAAARAGAPPPPARGRQEPASMSEQQDHASKSSCSSLSTSTQESEEDVTVGTLLTEAKNSGRSLGKRLSHLDSIPHTPRVNGQIPDVNNATIDHETLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKSVVKQLKEFRKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLIEIVPRGATPTKELWLSFWSEVHYNSLYATEDLPNRKTRKKHWLF >OGLUM02G05090.1 pep chromosome:ALNU02000000:2:3580995:3582921:-1 gene:OGLUM02G05090 transcript:OGLUM02G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G19830) TAIR;Acc:AT4G19830] MVSLSAAVAPAAGVVPPPQKAQAFAVAEAHAPTIPRRHLLLASAASTLPAAAAAASASAAAAPSFAEIPGSGGVKALDLREGPGEVPADGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGDPMPFVFTVGAGNVIPGIEAAVKSMRVGGLRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELISIRQHS >OGLUM02G05100.1 pep chromosome:ALNU02000000:2:3584648:3587878:1 gene:OGLUM02G05100 transcript:OGLUM02G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MPPPPRCAATTAHHSLLGSPTCLARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSLFEICKYDSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >OGLUM02G05100.2 pep chromosome:ALNU02000000:2:3584648:3587878:1 gene:OGLUM02G05100 transcript:OGLUM02G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylbilane synthase [Source:Projected from Arabidopsis thaliana (AT5G08280) TAIR;Acc:AT5G08280] MPPPPRCAATTAHHSLLGSPTCLARPRRRCCPVRAAVAVQAEAQAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAVEIVIIKTTGDMILDKPLADIGGKGLFTKEIDDALLQGRIDIAVHSMKDVPTYLPEGTILPCNLPREDVRDAFICLTASSLAELPAGSVVGSASLRRQSQILYKYPSLKVVNFRGNVQTRLRKLKEGDVHATLLALAGLKRLNMAETATSVLSVDEMLPAVAQGAIGIACRSSDDTMMNYLSSLNHEDTRLAVACEREFLSVLDGNCRTPIAAYASRDKDGNCSFRGLLASPDGSTVYETSRTGPYDFDIMVEMGKDAGHELKAKAGPGFFDSLQ >OGLUM02G05110.1 pep chromosome:ALNU02000000:2:3588350:3589705:-1 gene:OGLUM02G05110 transcript:OGLUM02G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribonuclease H2, subunit C (InterPro:IPR013924); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (sou /.../CBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G39440) TAIR;Acc:AT2G39440] MEPAAAAAAAVTAAVDLSTAAADLGRVHLLPCGIKQNGAAAVSDYFKPKDTGVEVEGIRVEEAFFRGRKLQGATISLPDGYRGYVLEKRSGGKDMKKLEGEVSNFKSRAEFQNITYWNHDTTPSAEDPLPRCFHLLTVANAMHKPVTAEEMAYMSAMQNQSS >OGLUM02G05120.1 pep chromosome:ALNU02000000:2:3590885:3594254:-1 gene:OGLUM02G05120 transcript:OGLUM02G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASFSPPLASPSRWSPPSRRRRLRLRLRVRAGSSLKEWGDFEDAVRSRDLPRALRFLQSVDVETPPAAAAAGAAVARVALPVPPGRDWEVLDACIDADDMWLVGRAYQFLADRGVLANFGKCKNIVLEGPREVTPTVLKEMTGLEAIKLAPKKWGLSGNSSYVLIGFLGGVSYLLNQGVDVRANLAAILGLVAADAMFLGGTCVAQISCFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELAEGHLSSTAFDRYCMILFAGIAAEALVYGEAEGGENDENLFRSLCILLDPPLSVAQMANRARWSVMQSYNLLKWHKKAHRAAVKALESGHSLSTVIRRIEEAISSDR >OGLUM02G05130.1 pep chromosome:ALNU02000000:2:3597189:3601123:1 gene:OGLUM02G05130 transcript:OGLUM02G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMV6] MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTFSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >OGLUM02G05130.2 pep chromosome:ALNU02000000:2:3597465:3601123:1 gene:OGLUM02G05130 transcript:OGLUM02G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMV6] MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDVLILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDVVVADKFAADAESKTVAASAIPDGWMGLDVGPDAIKTFSEALDTCNTIIWNGPMGVFEFEKFAAGTDAIAKKLADLTTTKGATTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >OGLUM02G05140.1 pep chromosome:ALNU02000000:2:3612084:3612874:1 gene:OGLUM02G05140 transcript:OGLUM02G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWPLACTTSTDNIAAVGKGEGRRETKTVARGGDQSCCCLPIFTWSWKKAEDDTSIMFIGAKSFKYCR >OGLUM02G05150.1 pep chromosome:ALNU02000000:2:3618468:3625347:-1 gene:OGLUM02G05150 transcript:OGLUM02G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLYDRHHSLKHNKAERQAILSTYRLAKRPNLSSEGMIGESCIVRTNCFSVHLESLDDQTIYEYDVCVTPEVGINRAVIRELVKQQKDSGLGGRLPAYDGRKRLYTSGPLPFDSHRFLVLLDSIEDSPEESRHLRVRDFVVTLKFAAKISLWTLRKFRGGKPNRESRAALRALDVVLKELPTARYTQFAGSFYSPNLGECRQLCKVLESWRGFHQRIQATQMGLQLNIDVSSSVFIKPVPVVDYVAQLLKEDILLDRPLCSTEFLKIKEALEGLKVQINGNLFNTYHVQDLVHQAASFPVNFSIQYPSLPCLKVAHFGETIFLPLEVCKIAEGQCHQKQLNAKHMAALLQVARQPPNERDYNILQTVHQNKYQEDPHAKEFGIKIEEKLVSIKSRILPAPWLKFHDSGETTEFLPQLGIWNMMHKKMINGGRVKSWACVNFCWSVREYAARNFCYDLGFMCRESGMVFSVKPVLPLVIAKPGCVESALRTLHDDVMDILRPQGRKLDLLIVILPNNNGSLYGDVKRICETDIGLISQCCLAKHVLKMNKWYLASVALKINAKMGGRNTVLVDALEMRLPHVRDTPTIVFGAHVTHPHPGKANSSSIAAVVASQDWPEVTKYAGLISVQACHQESIQGLFKVQDDPERGTTTSGMIKEHLMSFYRATKRKPGRIIFYRDGVSKGQLPQALMHELGAIKMACASMGPDYNPLVTYVVLQKCRHTRLFADYYNANTHDSTANIRAGTVVDSNICQPNQFDFYLCSHRSTQGTKRPRYYHVLWDENDFLAGSFQELTNYLCYTSATCTQSISVVAPVHYARLLSSRARCYIKPRSIGDSTSHTSLPSEEDSSAASETGSLPPIKDNLKGAMFFC >OGLUM02G05160.1 pep chromosome:ALNU02000000:2:3630379:3631494:1 gene:OGLUM02G05160 transcript:OGLUM02G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNQGKEEEEEKLGSNIERPRRGGARDVLWTRIELRDREEEKNTGRQEHAPLNLTAREEEKRNTGRQERAPPKGTSSREEEEVNAGRQEHSAATGTHREEEEANTGRQEHAAAATTGTNHNQNQDCIHRKEGGAPTSEGQEDGFSRRRDEEMDWRHSHRGEGIANPTESPTADKPPGSYAFLILCRASMFTCGSIGLTRRLLVSANSLP >OGLUM02G05170.1 pep chromosome:ALNU02000000:2:3639281:3639805:1 gene:OGLUM02G05170 transcript:OGLUM02G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFMELKERHDYVPPEDFITRVLPPEAFADSRDIPEYEVAFIRVPLYELRDPVDKGLMRKRIEEQRRREAERRRQLEKDMRRWEEEEEEEAWPWEDDDDDDFLRMSQQLSVICSESRGKRGDWPNMKATRRGRRRTHALTPDNEEEEEENLSELELAVENTRRRIHHCNGAGS >OGLUM02G05180.1 pep chromosome:ALNU02000000:2:3640251:3668708:1 gene:OGLUM02G05180 transcript:OGLUM02G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVECGNTEDTVLAKAIIIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKMKLLSQEFSTPPGRRGARRLLSSSFLRPPTPDHRHPSILVSALFAVPGPFGIRCRPRDPSTSAGGASPPPISDYSRRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQDLEYSENHEWVSVEGDYATIGISDHAQACPKLIEWFREREENVKIDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIENALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELPHSPDYRPYIGPIYKAILARLANQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKFVRLFLVITHFHNSLFINVFIIQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVFALHDKKIGRIILCYPKFDQLLKDWLPAQAFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPGAIEEIYELSLKSEWLTT >OGLUM02G05180.2 pep chromosome:ALNU02000000:2:3640251:3668708:1 gene:OGLUM02G05180 transcript:OGLUM02G05180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVECGNTEDTVLAKAIIIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQDHLGYVASVELPEVGQSVSQGNKFGVVVSVKGTTRGINSPVSGEVVEVNDQLSDLPGLVNARPYETGWIIVVKISDSGELNSLMNDEDYSKFCKGEKDKMKLLSQEFSTPPGRRGARRLLSSSFLRPPTPDHRHPSILVSALFAVPGPFGIRCRPRDPSTSAGGASPPPISDYSRRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQDLEYSENHEWVSVEGDYATIGISDHAQACPKLIEWFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELPHSPDYRPYIGPIYKAILARLANQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKFVRLFLVITHFHNSLFINVFIIQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVFALHDKKIGRIILCYPKFDQLLKDWLPAQAFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPGAIEEIYELSLKSEWLTT >OGLUM02G05180.3 pep chromosome:ALNU02000000:2:3640251:3668708:1 gene:OGLUM02G05180 transcript:OGLUM02G05180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVECGNTEDTVLAKAIIIATQSYQKMLYFQIEAAVQDCLELLKEMERMNDRYDFYHFIASEILFNNKSYEDVLKLIRDGHVELHYTLKEFSTPHADHHMDDLVHRLVGRTRAYMPTDAQRECGMFKDWVCQQVDKEYGSLGYLYMHFLDNKMRAWFAPFPEVLKDLKYSETHEWVSVEGDYATIGISDHAQACPKLIEWFREREENVKSTEPMLAILLLFSPRWLLKQEVPKVVKSINRQLREKSIKTKDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVFRPNSEPHSPDYRPYIGPIYKAILARLANQDQEVKECAISCMSLALSTFGDGLQRELPACLPVLVDRMGNEITRLTAVKFVRLFLVITHFHNSLFINVFIIQILESIDDLTLIRYLIKWIPAQPWADGEIYLYSPDTHSWSSQGMKMKFFIMDEKYNMEAKGIYKKIDELVSLANHSMFLAPVFALHDKKIGRIILCYPKFDQLLKDWLPAQAFKGRFIPPIWMHLIKDVISYMSNIDNLAASLGSIDSYVLETKPERIKVILFPFESREVNWRKDFATFLIEHLRNKWKSTMLDHFINILQKDFKIGDIQHHPLLQDFDQLSNMIRMTWKESKYLTVERRVLLSSTLNAIRNNIPWTSVTTMDAVVNEYISKATNDSLGLFTEIKKIAAHYIENRRKLNKEKGICNRKQVHPIEIIEEIWPGAIEEIYELSLKSEWLTT >OGLUM02G05190.1 pep chromosome:ALNU02000000:2:3658675:3687563:-1 gene:OGLUM02G05190 transcript:OGLUM02G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTAAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQEAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >OGLUM02G05190.2 pep chromosome:ALNU02000000:2:3658675:3687563:-1 gene:OGLUM02G05190 transcript:OGLUM02G05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myo-inositol monophosphatase like 1 [Source:Projected from Arabidopsis thaliana (AT1G31190) TAIR;Acc:AT1G31190] MARYLLRPPTAAAAAAASSHRRNGTTSPRGPVLGLRALASRAGKARPVMAVASEQPAARGKCPKVAAPTTGPIPAAELLGVIQEAARAGAEVIMEAVNKPRNIHYKGVADLVTDTDKLSESVILEVVRKTFPDHLILGEEVILPPLDGTTNFAHGYPSFSVSIGVLFRGKPAASTVVEFCGGPMCWSTRGGAYCNGQKIHVSKTDKVEQSLLVTGFGYEHDDAWVTNINLFKEYTDISRGVRRLGSAAADMSHVALGITEAYWEYRLKPWDMAAGVLIVEEAGGMVSRMDGGEFTVFDRSVLVSNGVVHDQLLDRIGPATEDLKKKGIDFSLWFKPDKYPTDF >OGLUM02G05200.1 pep chromosome:ALNU02000000:2:3669754:3674375:1 gene:OGLUM02G05200 transcript:OGLUM02G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNITTILEKRLNKEGFKADQDIEPKLTTTVLQQLEDTSGEVSGLAVGEDSVVEMTNILCDKLLNGKDQHRDTASIALKTIIAEVTTTTLAEKILVSLAPQLIKGATAGKSAEVKCECLDILGDVLHRFGNLITKDHDCMLTALLSQLSSNQASVRKKSISCIASLAACLSDDLLAKATFEVVQLLKNRSAKSEIARTNIQMIGALSRSVGYRFGPHLAEVALESFMLRCPRDISPYCESILNLALEYISYDPNFTDSMEEDTDDEAQDEEDDDESANEYTDDEDASWKVRRASAKCLYAIIVSRPEMLSKMYLEACPKLIEWFREREENVKIDIFNTFIELLRQTGNMTKGQGDIDDPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHIGSLVPGIENALNDKSSTSNLKIEALVFTRLVMASHSPAVFHPYIQALSGPILSAIGDRYDKVTAEALRVCEELVRVFRPNSEVNLPHSPDYRPYIGPIYKAILARLANQDQVEQN >OGLUM02G05210.1 pep chromosome:ALNU02000000:2:3687643:3690960:-1 gene:OGLUM02G05210 transcript:OGLUM02G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAKVSGVRPDLCSCAITSSHLAALPDLACAASSSMWDFIVGDIPRCFSSSNTASASENTEYLYILRRSCSHPMCCIKEKISDAPCGNPARLWDNTTEPLSSTCKMVGEGMSAYWKVINRAEVLFLFSNPNESNYYSYTEYICK >OGLUM02G05220.1 pep chromosome:ALNU02000000:2:3688651:3692257:1 gene:OGLUM02G05220 transcript:OGLUM02G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35130) TAIR;Acc:AT2G35130] MLTFLLPPFCRLRIEALLYYPVTRPRWKINASQDATQETGLIDAEINSYAYSERKNRKYNGAYIDKDGVSRTFDRKKISRKRGGAMRGRGWKYGSGFVDGVFPVLSPMAQDILEFVQKGTDVAKIWESLDNIPSTHNLFDDLVNVAVQFRMNKKWDLIIPVCEWILYRSSFRPDIICYNLLIESYGKKRQLNKAESIYMALLEAQCVPTEDTYALLLRAYCNAGSLHRAEGVISEMREHGIPPNATVYNAYLDGLLKARCTEKAVEVYQRMKRERCRANTETFTLMINVYGKAKQPMSSMKVFNEMKSIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQQAGHEPDVYAYNALMEAYSRAGLPQGASEIFSLMQHMGCEPDRASYNILVDAYGRAGLHEDAEAVFEELKQRGMSPTMKSHMLLLAAHARSGNAARCEEVMAQLHKSGLTPDTFALNAMLNAYARAGRLDDMERLLAAMERRGDADVGTYNVAVNAYGRAGYVGRMEAAFAAVAARGLAADVVTWTARMGAYARRKEYGRCVGMVEEMVDAGCYPDAGTARVLLAACSDERQVEQVTAIVRSMHKKPKTLFTI >OGLUM02G05220.2 pep chromosome:ALNU02000000:2:3693929:3698379:1 gene:OGLUM02G05220 transcript:OGLUM02G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35130) TAIR;Acc:AT2G35130] MAMAASRLLWASRAASYLKISIFPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDIYSPVSGEVVAVNDGLGDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDAKYSKFCEEEDSKH >OGLUM02G05220.3 pep chromosome:ALNU02000000:2:3691575:3698379:1 gene:OGLUM02G05220 transcript:OGLUM02G05220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35130) TAIR;Acc:AT2G35130] MAMAASRLLWASRAASYLKISIFPRAFSTVLKDLKYADTHEWVKVEGDSATVGITDHAQHHLGDVVYVELPEVGSSVSQGKNFGAVESVKATSDIYSPVSGEVVAVNDGLGDEPGLVNTSPYESGWIIKVKVSDSGELNSLMDDAKYSKFCEEEDSKH >OGLUM02G05230.1 pep chromosome:ALNU02000000:2:3695965:3698313:-1 gene:OGLUM02G05230 transcript:OGLUM02G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKASSRW >OGLUM02G05230.2 pep chromosome:ALNU02000000:2:3696763:3698313:-1 gene:OGLUM02G05230 transcript:OGLUM02G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEGKSRKRRSSPSSGEEEERERKKRRDKKESRRSSRDEQAEEEEEEERRRRKKKKHGDRGKDKERDSKEKHSKEKEKSKRKHKDDDFKEISKDDYFAKNNEFATWLKEEKGKFFSDLSSESARDLFEKFVKTWNKGKLPSQYYEGITRGPRSAHRWNIKA >OGLUM02G05240.1 pep chromosome:ALNU02000000:2:3699872:3702787:-1 gene:OGLUM02G05240 transcript:OGLUM02G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >OGLUM02G05240.2 pep chromosome:ALNU02000000:2:3699872:3702787:-1 gene:OGLUM02G05240 transcript:OGLUM02G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENATNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPTCSLRELIEHYQTVTNTHFEEINHDQHQLLNQQLDNLRRKEHILEDQNSFLCRMINENHHQAAVGGGDVKAMVEMAPVLSMLTAAPAYYGEESSSTALQLTPPLHAVDAAAAAGFRLQPTQPNLQDPGCSSSSFHAAAAGHGLQLW >OGLUM02G05250.1 pep chromosome:ALNU02000000:2:3707238:3710679:-1 gene:OGLUM02G05250 transcript:OGLUM02G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYGRRLASRGGSGNHALPSARSGGRAGAVRGPPPMSTARRPPRRHLIFSFSPHPERSIHSPSPQAIPRFPFAIRTQLPSKIRFPNHCSMGAKGMGTWLFLLDGDLWVEPWRRILETKSRGAAAGPEKATRVYCFVHKVPVCGECIRFPEHQLCVENYRHSIMDSDPPSVMTAISSLQPGSDRKQTVIVIM >OGLUM02G05250.2 pep chromosome:ALNU02000000:2:3707238:3710679:-1 gene:OGLUM02G05250 transcript:OGLUM02G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAYGRRLASRGGSGNHALPSARSGGRAGAVRGPPPMSTARRPPRRHLIFSFSPHPERSIHSPSPQAIPRWGQRGWAPGCSCSFLMGQIGWRLVGGAVEATRVYCFVHKVPVCGECIRFPEHQLCVENYRHSIMDSDPPSVMTAISSLQPGSDRKQTVIVIM >OGLUM02G05250.3 pep chromosome:ALNU02000000:2:3707238:3709973:-1 gene:OGLUM02G05250 transcript:OGLUM02G05250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGMGTWLFLFFSDGADWMETCGWSRGGPEKATRVYCFVHKVPVCGECIRFPEHQLCVENYRHSIMDSDPPSVMTAISSLQPGSDRKQTVIVIM >OGLUM02G05250.4 pep chromosome:ALNU02000000:2:3707238:3709927:-1 gene:OGLUM02G05250 transcript:OGLUM02G05250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIGWRLVGGAVEATRVYCFVHKVPVCGECIRFPEHQLCVENYRHSIMDSDPPSVMTAISSLQPGSDRKQTVIVIM >OGLUM02G05260.1 pep chromosome:ALNU02000000:2:3714386:3715140:1 gene:OGLUM02G05260 transcript:OGLUM02G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMVKLLCTLLLALSLTAHYSDMSMKVSADCQSVNVPGPCSPTTCDDNCKSQIGAGAVGECTSRGCQCTFCTLPPPKKN >OGLUM02G05270.1 pep chromosome:ALNU02000000:2:3717428:3718207:-1 gene:OGLUM02G05270 transcript:OGLUM02G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSQPQPVMGVATGGSQAYPPPAAAYPPQAMVPGAPAVVPPGSQPSAPFPTNPAQLSAQHQLVYQQAQQFHQQLQQQQQQQLREFWANQMEEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGLGLPRVGLPPNVGGAADTYPYYYVPAQQGPGSGMMYGGQQGHPVTYVWQQPQEQQEEAPEEQHSLPESS >OGLUM02G05280.1 pep chromosome:ALNU02000000:2:3724258:3724650:-1 gene:OGLUM02G05280 transcript:OGLUM02G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGVGGQPPSSAAAAAVVARRCGCGLGRLVRRLRRQAALCAARPAPASSRLRGGRCQYDPLSYARNFDRGGVDVAGGLDDDSDAAQLYYSYTFSSRFVLPAAAAAAARAHPSSLAAGRTAPATATH >OGLUM02G05290.1 pep chromosome:ALNU02000000:2:3726722:3733488:-1 gene:OGLUM02G05290 transcript:OGLUM02G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWVDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSNTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKAVAEITISRHLSSKGVTPEALAALAEVDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKNSEQGHVIVLGLQSPQY >OGLUM02G05290.2 pep chromosome:ALNU02000000:2:3726722:3733488:-1 gene:OGLUM02G05290 transcript:OGLUM02G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWVDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSNTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKEALAALAEVDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKNSEQGHVIVLGLQSPQY >OGLUM02G05290.3 pep chromosome:ALNU02000000:2:3726722:3733488:-1 gene:OGLUM02G05290 transcript:OGLUM02G05290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast, vacuole; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 11 growth stages; CONTAINS InterPro DOMAIN/s: Lytic transglycosylase-like, cata /.../(InterPro:IPR008258); Has 171 Blast hits to 155 proteins in 40 species: Archae - 0; Bacteria - 54; Metazoa - 0; Fungi - 0; Plants - 55; Viruses - 0; Other Eukaryotes - 62 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G16290) TAIR;Acc:AT1G16290] MAISFKYWDDCLDPEDMQLMWADPHVSKEWVDAGEGPGQKVHLSRDPDGEAYLTQTEMMAVAAITVHRHFKSQLDPYMIGALAEIASGRRLFVDNYDRKTKEIKAGIMQVTIEVAQWLGRELGYKYYDIEEDANLLYWPFVNVYFGAAYAKWLFSCDDKERTEEFVVRAYKGGKKKAVHKSTSPIFQRYLYVKEALLSMRQPESFNDLTPNLLANSSNTEGQLIYWDSKVSEVDMDAMWSQPDVIKEWTKSGERRGNVRFSHDSKRRPYLSRVEVKEALAALAESAFSCRDCGHRAYTVSSVDDLYNPFASMYFGAAYLGWLSQYEGRERSHEFIVQAYLGGPENVSLQETGPFWNKFLEALRLYQDPKNSEQGHVIVLGLQSPQY >OGLUM02G05300.1 pep chromosome:ALNU02000000:2:3739134:3744358:1 gene:OGLUM02G05300 transcript:OGLUM02G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YMY6] MAQQLSAPFRAAAAAGSRASAAAADPAKVLRLRSAGSAQFTSIAASSSFARNIEPLRAIATQAPPAVPQYSSGEKTKVGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDDSTLEINGKKVTITSKRDPADIPWGNFGAEYVVESSGVFTTTEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHMALVNAKH >OGLUM02G05310.1 pep chromosome:ALNU02000000:2:3745530:3746243:1 gene:OGLUM02G05310 transcript:OGLUM02G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVASIFASILILSVLVMFCDAAGSSCPTVRAPNPTCLSPQICANQCVAAGYLIGFCEFYGSRLGDCVCAKCTNAVQAGRPPTIAPTPAVRRLIL >OGLUM02G05320.1 pep chromosome:ALNU02000000:2:3771244:3771525:1 gene:OGLUM02G05320 transcript:OGLUM02G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQSSKIAAAFFVFFLLATGGGAAGGCSVGESSTFRGNCEIDGGGCVESCRGEGYTDGYCFTEVANPGYHVCTCTRGCYSPAQSTRKMMARN >OGLUM02G05330.1 pep chromosome:ALNU02000000:2:3775690:3777172:1 gene:OGLUM02G05330 transcript:OGLUM02G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKVTSIFSSILIYSLLTVSCDAANAPAPCPTFPAPDTTCESPQACANQCVANGYLIGFCEIFTLGLGDCVCVKCPNAQMMHADHLPLQSRFQ >OGLUM02G05330.2 pep chromosome:ALNU02000000:2:3775690:3777172:1 gene:OGLUM02G05330 transcript:OGLUM02G05330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKVTSIFSSILIYSLLTVSCDAANAPAPCPTFPAPDTTCESPQACANQCVANGYLIGFCEIFTLGLGDCVCVKCPNAQMMHADHLPLQSRFQ >OGLUM02G05350.1 pep chromosome:ALNU02000000:2:3787971:3788420:1 gene:OGLUM02G05350 transcript:OGLUM02G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKIASTVLVLLLLTLDGVAAAKMCHDPSQTFRGLCGHPTNCIACCTNEGYTGGYCTTVRHKCMCTKACGGESPPDDPPSAMPASPVTTTRA >OGLUM02G05360.1 pep chromosome:ALNU02000000:2:3789547:3795117:-1 gene:OGLUM02G05360 transcript:OGLUM02G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLTPLAGGGGRGGADEMEEVALLGPDSYDEEAAAAAGPEEEEGMRRVQVRVTGMTCSACTAAVEAAVSARRGVGGVAVSLLQSRARVVFDPALAKEEDIIEAIEDAGFEAELLPDSTVSQPKLQNTLSGQFRIGGMTCAACVNSVEGILKKLPGVKRAVVALATSLGEVEYDPSVISKDEIVQAIEDAGFEAALLQSSEQDKVLLGLMGLHTEVDVDILHDILKKMEGLRQFNVNLVLSEAEIVFDPEVVGLRSIVDTIEMESSGRLKAHVQNPYIRAASNDAQEASKMLHLLCSSLFLSHTDSPLVPLQQIPVFFIRMVCPRIHFTRSLLLMHLGPFYIGDLLKWILVSIVQFGVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYIYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGRTSDAIKKLVELVPATALLLLKDKEGKYAAEKEIDASLIQPGDVLKVLPGSKVPADGTVVWGTSHVDESMVTGESAPISKEVSSIVIGGTMNLHGILHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVAGIFVPIVVTLSLVTFIAWFLCGSLGAYPNSWVDETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTSTKVFSGIDLGDFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSSKDDIKKRKQQILSQWLLEVAEFSALPGKGVQCLINGKKIVVGNRTLITENGINIPEEAESFLVDLELNAKTGVLVAYDCELIGSIGMTDPLKREAVVVVEGLKKMGIYPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSVIAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNIIAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVDFVDR >OGLUM02G05370.1 pep chromosome:ALNU02000000:2:3795332:3815502:-1 gene:OGLUM02G05370 transcript:OGLUM02G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGHTLNSIEEFGLELGNDAINIMNNPPKFKASNGGRINPPKAHKTTMVKNQAKRRPLRLTSGHLLPRCTPPRRITSLAGDLLPCRPPSHPVASFLAPSCPTSHLPAGRPPPGKPPPHSAPFSPAGNSRRPRWPYRYEANDGRPIYAVGRWTNRQVVYHDRYLSLILANDAIIIIPSGYKVKKSLDKVIL >OGLUM02G05380.1 pep chromosome:ALNU02000000:2:3810684:3814412:1 gene:OGLUM02G05380 transcript:OGLUM02G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLACCTSICPHCAPSHRHHPLLQVRRYVYNDVVRLGDLEKLIECSYVQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCSLSCKVDHVMLHGGGDLSDIILLHPHHHPNTATAASAFPRFEDLRVGADDDAAAITAATPEGRYGGGGGGSSDNGGGGGGGGEAGEVKRKKKKGGGFFPQILGLGSRRKGAPHRSPLS >OGLUM02G05390.1 pep chromosome:ALNU02000000:2:3817425:3817945:-1 gene:OGLUM02G05390 transcript:OGLUM02G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKAWKYRSKGMDWKRWRTTRLIIQLRSSRSQASNVLVAAAS >OGLUM02G05400.1 pep chromosome:ALNU02000000:2:3817791:3818012:1 gene:OGLUM02G05400 transcript:OGLUM02G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEDGSAVGATTPNCRIRSSSCQVLACCSQSLELELGLPAMKWQPLDAGADWLTPCMKKKIEQDVVCLESRE >OGLUM02G05410.1 pep chromosome:ALNU02000000:2:3830858:3833479:-1 gene:OGLUM02G05410 transcript:OGLUM02G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein D [Source:Projected from Arabidopsis thaliana (AT2G35035) TAIR;Acc:AT2G35035] MEAEAAMAAAAAAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHTQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >OGLUM02G05410.2 pep chromosome:ALNU02000000:2:3830858:3833479:-1 gene:OGLUM02G05410 transcript:OGLUM02G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:urease accessory protein D [Source:Projected from Arabidopsis thaliana (AT2G35035) TAIR;Acc:AT2G35035] MEAEAAMAAAAAAAATGAVRVEKVRGRSAVTRCFAKYPLKLIAPSKAGRASSGAAWLYAITYGGGIVSVSRRIASSSSPGLQSTNQPTGDIISCTVAVGDGCAAAMTTQASTKVYKAVDSKCSEQVLEARVGEDALFALIPDPVTCFSMARYHQKQVFHVFPNSNLVVVDWFTSGRYESGEKWNFSFYKSINHILLEDQPLFIDSVLLEQSSNFSIADRMQEYNVVAMVILLGPKLKHTQDQMQDEVKKMMSVQLRPPTSAGGRYSTRSQPLHPQRPPIIASCSPFGRMGTGMVARITAVSTESVYSFLRHHLAALEPFLGACPYPAS >OGLUM02G05420.1 pep chromosome:ALNU02000000:2:3834475:3845943:1 gene:OGLUM02G05420 transcript:OGLUM02G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily B, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT4G15110) TAIR;Acc:AT4G15110] MAITAATAATPHPWQADASPRRHAACPALRGRRRLPVVRCQSSSVDDKPKSKRGLLDNASNLLTNLLSGGSLGAMPVAEGAVTDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVGVFTKCSERTIFKLEELIERGEHGEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHSDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQAEVDSVLSNETINVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPDEFEPERFSVPKKDESIEGWAGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKSGLWCRVRRRT >OGLUM02G05420.2 pep chromosome:ALNU02000000:2:3834475:3841220:1 gene:OGLUM02G05420 transcript:OGLUM02G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 97, subfamily B, polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT4G15110) TAIR;Acc:AT4G15110] MAITAATAATPHPWQADASPRRHAACPALRGRRRLPVVRCQSSSVDDKPKSKRGLLDNASNLLTNLLSGGSLGAMPVAEGAVTDLFGRPLFFSLYDWFLEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVGVFTKCSERTIFKLEELIERGEHGEKYTIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTRWIVPRQRKFHSDLKVINDCLDSLIKNAKETRQEADVEKLQQRDYSSLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQNPSKMRKAQAEVDSVLSNETINVDQLKKLEYIRLIIVEALRLYPQPPLLIRRALRPDKLPGGYNGAKEGYEIPAGTDIFLSIYNLHRSPYFWDRPDEFEPERFSVPKKDESIEGWAGFDPDRSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLQKFDVELRGSPDEVEMVTGATIHTKSGLWCRVRRRT >OGLUM02G05430.1 pep chromosome:ALNU02000000:2:3835340:3853883:-1 gene:OGLUM02G05430 transcript:OGLUM02G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLLMAPSPGAPTSMAGRTVRDSIDTTGGYSSAGALVPLGRSRSPASSAPSPPALNVSRQITGTLTDLTLRAIMGECGFRWREEFLKTLGEAQKKKREGQGYCRLEECKLVSHTFAGSSAKRKESKVCYNLVTAGIKVSSLEMK >OGLUM02G05440.1 pep chromosome:ALNU02000000:2:3847756:3848445:1 gene:OGLUM02G05440 transcript:OGLUM02G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGGMAAHWAALYGGGVNGGAAAGAEGTVSSPTSGGSPVAGGSPTRGSAAALPGIEGGRVAKPARRRSRASRRAPVTLLNTDTSNFRAMVQQFTGIPTPPYATAAAAGPVISFGAGEYGGGAMPVRPSPTPASVMSFDHLGHHHRQAASSLQSQLFRPQHQHHHQYGGGGGGDVGYGGGGDMFLHGFESSSAEERLLLQSIQAAQMLPAARPTTTSANNANGYNFG >OGLUM02G05450.1 pep chromosome:ALNU02000000:2:3853576:3853974:1 gene:OGLUM02G05450 transcript:OGLUM02G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQVTFFCASPNVFKNSSRHRKPHSPMMARNVRSVSVPVICLLTFSAGGDGADEAGDLLLPSGTSAPAEEYPPVVSMESLTVRPAMEVGAPGLGAMRRPSSILDFGHDGMHVATPALGRRQGISSPSWHDF >OGLUM02G05460.1 pep chromosome:ALNU02000000:2:3855537:3859590:1 gene:OGLUM02G05460 transcript:OGLUM02G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAAEAAPQSSQEEEEDWKEAEGGDGDVEVADRGGGGGAANGGIPEGRPIRVYADGIYDLFHFGHAKSLEQAKRLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVTEEFLNKHNIDFVAHDSLPYADASGAGNDVYEFVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYEKRLRVNMGLKNLRDKVKQHQEKVGEKWNTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFSLLQYDGEDVDEDEDDDEDVRE >OGLUM02G05470.1 pep chromosome:ALNU02000000:2:3859800:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05470.2 pep chromosome:ALNU02000000:2:3859800:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05470.3 pep chromosome:ALNU02000000:2:3859800:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05470.4 pep chromosome:ALNU02000000:2:3860698:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05470.5 pep chromosome:ALNU02000000:2:3860698:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05470.6 pep chromosome:ALNU02000000:2:3860698:3864067:1 gene:OGLUM02G05470 transcript:OGLUM02G05470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSKLRLVTVDVTGTLIAYKGQLGDYYCMAAKSAGMPCPDYKRMHEGFKAAYTEMTVKHPCFGHASNMPNIDWWKMCVKDSFIRAGYEYDDATFEKIFRRIYSTFGSSAPYSVFPDAQQFLRWLRNNGCTVGIVSNAEYRYKDVVLPALGLNEGSEWDFGVFSGIVGVEKPDRRMYEAALEMAGGVAAAEALHIGDSMRKDYAPARRAGMHALLLDRFRTAEAEGWRRSGAAVLPDLAAAREWLTAAAAPPATAAWRRSPSSQLAAETSE >OGLUM02G05480.1 pep chromosome:ALNU02000000:2:3864282:3871061:1 gene:OGLUM02G05480 transcript:OGLUM02G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54310) TAIR;Acc:AT1G54310] MLRACGGASPAAAAAVPALVRARLAKQASSAAHAAATATASASSSLSALGELAAGRKGLARVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKTGDVVLVADGAEKPIGWGLYNSVSMFCVRLMQLEEEAKRDPTCALNMERLLEARILSAVDLRRSLGLPSVHTNAYRLINSEGDRLSGLIVDIFADVAVVASSAAWVEKYRHEIQFLVNKVSDVNHIKWRSSTDILKEEGLDVSEQKDPESSSHCGTVEVMENDVLYLVSLEGQKTGFYADQRESRHFISTLSKDQRVLDLCCYSGGFALNAAKGGANNVIGIDSSASALDLANKNIILNKLDTQRISFVKEDATAFMKGAISRNEVWDLVILDPPKLAPRKKVLQSASGMYRSLNALAMQVVKPGGLLMTCSCSGAMTQSGLFLRTIQGAASMTGRKVTVLRQAGAACDHPVDPAYPEGQYLSNYLLRVT >OGLUM02G05490.1 pep chromosome:ALNU02000000:2:3869000:3872209:-1 gene:OGLUM02G05490 transcript:OGLUM02G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRPGGTPGSPNSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGLVSLKASRKVVEIVDRYDDACVPANTTDKLAYIQNPTISKNCRRTLKVPKDMDAPIFVYYQLDNFYQNHRRYVKSRSDAQLRDPKKANDTSTCDPEGTANGMAIVPCGLIAWSIFNDTYGFVRNSKNLPVDKKDISWKSDREHKFGRDVFPKNFQNGSLIGGKTLDPNKSLSEQEDLIVWMRTAALPTFRKLYGRIHTDLKKGDTITVTLENNYNTYSFSGKKKLVLSTSTWLGGKNDFLGLAYLSVGGLCFFLAFAFTLLYLIKPRKMGDNNYLSWNRNPAGR >OGLUM02G05500.1 pep chromosome:ALNU02000000:2:3874727:3883110:1 gene:OGLUM02G05500 transcript:OGLUM02G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKVSLELGGNAPCIVFDDADIDVAIKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWHVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >OGLUM02G05500.2 pep chromosome:ALNU02000000:2:3874727:3883110:1 gene:OGLUM02G05500 transcript:OGLUM02G05500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 5F1 [Source:Projected from Arabidopsis thaliana (AT1G79440) TAIR;Acc:AT1G79440] MAMAMAMRRAAALGARHILAASSTSSSGVLLRRHMSVDAGAAMEKVRAAGLLRTQGLIGGKWVDAYDGKTIEVQNPATGETLANVSCMGSKETSDAIASAHSTFYSWSKLTANERSKALRKWHDLIISHKEELALLMTLEQGKPMKEALGEVTYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAVTPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGAINVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSANTVKKSLKVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANIMLGGKRHSLGMSFYEPTVVGNVSNDMLLFREEVFGPVAPLVPFKTEEDAIRMANDTNAGLAAYIFTKSIPRSWHVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGMDEYLELKYICMGNLN >OGLUM02G05510.1 pep chromosome:ALNU02000000:2:3888656:3890771:-1 gene:OGLUM02G05510 transcript:OGLUM02G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGSKKGGGGGAAVSSGDGGGGRAAAAAAMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFSEHSVKEAAAMEMQRNATSSSGIMGRSMNHDRNVNDAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTLAAGDVAAAVACGPAGYKSLGNHQAAVLDVCSMGFPSLQDLHMYGGAGGGHLDLQQQQPPASTMESFFACGDGGGSLGKTAAKTRHYGGAGKSPMMWGVDDDDDDDDPAGKCGGGGHHQLQMAPPPMMDGGIDVMDSLAADVYEPKPIMSGDSTGSKGGGYDVATAASKLERPSPRRPPQLGSPSVMAGAQTRNLSYG >OGLUM02G05520.1 pep chromosome:ALNU02000000:2:3891334:3907575:-1 gene:OGLUM02G05520 transcript:OGLUM02G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGRKQGRMKKEAISMYSLVPAHRKVIEEKEGTHELGDNISIVWSSDTTFKISQQWKEEKEKPYIY >OGLUM02G05530.1 pep chromosome:ALNU02000000:2:3902674:3909901:1 gene:OGLUM02G05530 transcript:OGLUM02G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWMPPPKPTSPRSPPLLWDWADSAVPGSSSGEVSAAAAAAAAAAHPGRRRKEKRGRAEEGGGGGGEVRCQVEGCGVELVGVKDYHRKHRVCEAHSKFPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLYDHNARRRKPQTDVFSYASARPPSSLLFDDSRQISFVWNKAPLSHVRPFAISPWESSSEVGTTDGHIYLDKSHISKSLPAFNTDIDELLPMKGPDASLTASKFDGAPDLQRALSLLSSSSCGLPDPVQQASRVIQFTGASQNNRELPPLNGGNSASASCANVQTIAQPAQLVRFTMDASSNACQSNFFGLNQIN >OGLUM02G05540.1 pep chromosome:ALNU02000000:2:3907603:3909582:-1 gene:OGLUM02G05540 transcript:OGLUM02G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQPRFHDMIGGGGKGMQDNEINGLFNMPSYHKFVEGSQMSVDSADGFNMANYVGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPSHPTEILSNYEEWAIDLGRLDMGVPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQALEQQFVQEVMMLSRLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDIARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPALSHIMTLCWDANPEVRPAFTDIVCMLESAEMEILSNVRKARFRCCITEPMTTD >OGLUM02G05550.1 pep chromosome:ALNU02000000:2:3909613:3912010:-1 gene:OGLUM02G05550 transcript:OGLUM02G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSLGTPVDDGDGGNAGSAWMMHGHSAASGSMAMAASSVAAGARTDTLLTGRSLGTCEREGPRPSQSGEGGAISSISRKYGGQNGKFAPKEENDTNDLFLSLFLFPLGESSSLLSGLQKSKRGGEAKPTQPHANPLGIRLGFPPLPLPLAAAARRILLRRRRAAGVGAASWSDQLFLLLSGSDNQGISCTRMNSLPRLK >OGLUM02G05560.1 pep chromosome:ALNU02000000:2:3924805:3927682:1 gene:OGLUM02G05560 transcript:OGLUM02G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAARRKAGLPADFDAEVYGAMEALTLVEEALLADATAGAGGAEIGEKSAAAAEVGEGDEVEAGEEDGNGDRGEVGEEDEGEVGEDGEEEDGNEMVEVEDDGNADDEDAQPDGGNAAASDDLEVCETGANNEGKKSQTDACELANKLQENAQHIHMLLKEEAGENENHNLAISSDSMETTRQKGDELIKSLGGLVSYLNQFTDLIKENGFENVVGMS >OGLUM02G05570.1 pep chromosome:ALNU02000000:2:3927800:3930529:1 gene:OGLUM02G05570 transcript:OGLUM02G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRPPGLIAVAAAAALVAWCLCGPASAAAAAGGEAGGGGAGPEGDVLIAFRETLRGPDGAPPGPLRAWGTPAVPCRGKASQWFGVSCHGNGSVQGLQLERLGLSGAAPDLGLLAALPGLRVLSLANNAIAGAFPNVSALAMLKMLYLSRNRFSGVVPDGTFHTMRGLRKLHLSSNELSGPIPSSITSPRLLELSLAHNQFNGPLPDFSQPELRYVDVSSNNLSGPIPEGLSRFNASMFSGNEYLCGKPLDTPCDKLASPSNMSTFMTIAVVLIVVGVILAAAGIATGVIGRRRRKRRRRRPGPGEPGGDQTPSNPKLHTAPAVNINRGSAAASTAAAAAGTSASGGGGGAAAKRGGRRDEHGRLVFVQESRKRFEIEDLLRASAEVLGSGNFGSSYKATLQERPAVVVKRFKDMNGVGREDFSEHMRRLGRLSHPNLLPVVAYLYKKDEKLLITDYITNGSLAHFLHGNRGSELDWGKRLRIIRGTARGLGHLYDELPMLTVPHGHLKSSNVLLDGDMEAVLSDYALVPVVTASAAAQVMVAYKAPECVAAAAAGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQDNADLAGWVSSVVSEERTGEVFDKDMAAAGAGAEDDMLKLLHVGLGCCDADVDQRWELKTAIARIEEIRVPDPTPTPAAAADAAEPSPSTTTTNSGETRS >OGLUM02G05580.1 pep chromosome:ALNU02000000:2:3934690:3937574:-1 gene:OGLUM02G05580 transcript:OGLUM02G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSASVVRQAWLMAATMPSPTPVAPPSKLRLGLGSGELTGPRKVEKRLAKDDDAAVSLVMEPSLAGGGAFRSVACSRKLIVLSLQNTNGEVYGEREERREKRERERREGEGGGNILKEQMGEWELGIWYTCIQDSAAKE >OGLUM02G05580.2 pep chromosome:ALNU02000000:2:3934688:3937574:-1 gene:OGLUM02G05580 transcript:OGLUM02G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSASVVRQAWLMAATMPSPTPVAPPSKLRLGLGSGELTGPRKVEKRLAKDDDAAVSLVMEPSLAGGGAFRSVACSRKLIVLSLQYTCIQDSAAKE >OGLUM02G05590.1 pep chromosome:ALNU02000000:2:3935935:3939828:1 gene:OGLUM02G05590 transcript:OGLUM02G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLEQATERKAPPPARLGSITKLTAASSSFANLFSTFLGPVSSPEPRPRRSFDGGATGVGLGIVAAMSHACLTTEAEPIAIGAAARRRAREDAELSESYTCVITHVAGVDGASGSVRKRVYFGFGDGGGGWLVEADEEEPAPAADFLSRCFLCDKRLDGLDIYMYRGEKAFCSSECRCHQMLMDDHADNCGSEALKANNYSASPHSAPLPFSLSVAAA >OGLUM02G05600.1 pep chromosome:ALNU02000000:2:3937624:3947666:-1 gene:OGLUM02G05600 transcript:OGLUM02G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSFTARRDMARSHPSFSYSTKKLFLGANSVPELKSSLTMSSPSSLLSHRKHRLKKSAAGAGSSSSASTSQPPPPSPNPKYTRFLTLPLAPSTPATCVMTQV >OGLUM02G05610.1 pep chromosome:ALNU02000000:2:3944708:3946896:1 gene:OGLUM02G05610 transcript:OGLUM02G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCLVVSHKKLQTFRTFAASKFSSFTKSAQKSIKYSFQFIYQNNPLFVHVAYFALISFAGYGSLKVLKPRDKSNTLKDLDVLFTSVSASTVSSMATVEMEDFSSAQLWVLTILMLIGGEVFTSMLGIHFMRAEFGTKESVSTRDHSPCIDIESITSTKFGPSTQGTKVTVSFSELRMENGGHVEPKTIKFLGFVVMGYLLITNLGGSLLIYLYLNLVPSAHKILKRKGIGIIVFSVFTAISSVGNCGFTPVNENMIIFQKNSILLLLILPQILAGNTLFAPCLRLMVWSLEKITGKKDCRYILEYPKAIGYKHLMSTRESVYLTLTVVSLIILQTVLFLSLEWSSVALDGMSNYQKIVSALFQSVNARHAGESVTDLSNLSSAILVLYTIMMYLPGYTSFLPRHDGEDSKTEKINKRKGLLENWIFSHMSYLAIFVMLICITERDSMATDPLNFNVFSILFEVVRQATEIHCILQKPHDYFLHDAYGNVGFSVGYSCKRLLNHDASCKDASYGFAGKWSDNGKAILIIVMLFGRLKTFNMKGGRAWKLR >OGLUM02G05620.1 pep chromosome:ALNU02000000:2:3950933:3953966:1 gene:OGLUM02G05620 transcript:OGLUM02G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELDIVAFIHGGSGGGDADADHPLGIFSAADLSGFGFADSSTITGGIPNHIWPQSQNLNARHPAVSTTIESQSSICAAASPTSATNLNMKESQTLGGTSGSDSESESLLDIEGGPCEQSTNPLDVKRVRRMVSNRESARRSRKRKQAHLADLESQVDQLRGENASLFKQLTDANQQFTTSVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQACRVPDVLAGLDYAGDDPFTAGLSPPEQLQMPGGEVVDAWGWDNHPNGGMSK >OGLUM02G05630.1 pep chromosome:ALNU02000000:2:3954233:3957159:-1 gene:OGLUM02G05630 transcript:OGLUM02G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKKVEEEAAVKTCHDRRSFVKKAIAQRNLLASSHVAYAHSLRRVSLALFYCLAEDEHLYFLQDTAASSAAPCRHRPCSPERKVLVMNWLRPDAGGVGGGAPVHPVVEVEQRWEENDVAAETVTVDGFFGADPGQLFHPSSYAPVNAMPASPPPPPPTTTWDFVSWDPFSSLHHDHQQYVSYGVEDDEERRRRSDDEDDEQMPELEEESDDAADDDDGDGDVKLQAEASPAAVERPMAEEEEEEKTVDRVKNELRVVASAEIEQQSTPGFTVYVDRPPASMAEAMRDIQGHFVKIVDTANHVSVLLEVVPYQRKVRPAAPSDGDDEEGGGEVSPEPFELFKSHKESLDRLYEWEKRLYEEVKAGERVRLSYERKCALLRSQDANGAEPSAIERTRAAMRDLRTKLDISITSVDAVSKRIAAVRDDELLPQLAQLIRGQEQCQSIHRSDLLRCFFLFFLLICLRCFRLARMWMVIADAHRVMKRTADEACALLSSSSAAAARAAAGGEGGVRGPPPPPGQARAATAAGALGAELRGWGAAMEAWAESQRGYAAALWGWARSCVADGEHMPRLLAAWAAAVEAVDVEAATRAVDALAAEAAAVATAARRRGGEEEWNEEEGKKRICVGLAAALAATAEAGGLASAAYGELVVEMEERERAREMAGRDEEQNQN >OGLUM02G05640.1 pep chromosome:ALNU02000000:2:3961240:3969138:1 gene:OGLUM02G05640 transcript:OGLUM02G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGDRITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDALWHFKPEKLGVGDIITGGDLYAIVNENTLMQHKVALPPGAMGKISYIAPAGQYSLQDTVLELEFQGIKKEFTMLQTWPVRSPRPVMEKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTTEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYYRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVRCLGSPKRDGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALEPHYEREDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLATQAVERAAGADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGKEVLIAKFQKLYDDLTAGFRNLEDEAR >OGLUM02G05650.1 pep chromosome:ALNU02000000:2:3970562:3975275:1 gene:OGLUM02G05650 transcript:OGLUM02G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >OGLUM02G05650.2 pep chromosome:ALNU02000000:2:3970562:3975275:1 gene:OGLUM02G05650 transcript:OGLUM02G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >OGLUM02G05650.3 pep chromosome:ALNU02000000:2:3970562:3975275:1 gene:OGLUM02G05650 transcript:OGLUM02G05650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYIHASRERPVHSSSLFVGREIHSEKVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >OGLUM02G05650.4 pep chromosome:ALNU02000000:2:3971306:3975275:1 gene:OGLUM02G05650 transcript:OGLUM02G05650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKASQVWQRGSKDMTAMPPPRQRGAAKKPMWIIVLLSLVCVALIGAYAYPPRRYSACYFFASSVCTPFKDWLPTVTRRERTDEEIVSSVVMRDLLAMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQVWRRYTHHVLLSVMRMEFVLCIDASTSSLVMRVDIPFISMHRVKDLYILVLCLLAERFIVVWGRISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHTFDYIYNFLMGTNVSFIDCFLDPGPHGSGRYSVEMLPEIEQRDFRKGAQWFAVTRRHALLILADHLYYNKFELYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSVTHVDWSEGKWHPRSYRAIDVTYALLKNITAIKENFRITSDDKKVVTMTPCMWNGTKRPCYLFARKFYPEALNNLLKHSSYTST >OGLUM02G05660.1 pep chromosome:ALNU02000000:2:3976410:3976844:1 gene:OGLUM02G05660 transcript:OGLUM02G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLSNRFHCPAVNVERLWSMVPAEAGAGAGKAPVIDVTQFGYTKVLGKGMLPPERPIVVKAKLISKVAEKKIKAAGGAVLLTA >OGLUM02G05670.1 pep chromosome:ALNU02000000:2:3977566:3981724:-1 gene:OGLUM02G05670 transcript:OGLUM02G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSSKAHSRTRTLPCIELLEQLPALQQLLFRLIGCQPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAMLSQQQHQYYQSQHQQQYYQPHHFQDHQHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFGDPFGDLVPMAMAASQKHGHSSLI >OGLUM02G05670.2 pep chromosome:ALNU02000000:2:3977566:3981724:-1 gene:OGLUM02G05670 transcript:OGLUM02G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWRRKAYGALKDSTKVGLAKVNSDFKELDIAIVKATNHVECPPKDRHVRKIFVATSINRPRADVQYCIYALSRRLSKTKNWTVALKTLIVVHRLLREGDPTFKEEFLAYSYKGSVLQIANFKDDSSQLAWDCSAWVRAYALFLEERLECFRILKFDIETERLMRSPECSSKPEGAAGTNYLIQYALALVLKESFKIYCAINDGIINLVDMFFDMPKYDAIKALVVYKRAGQQAEDLSDFYDSCKQLELARTFQFPTLRQPPPSFIATMEEYIREAPRPSINSVENGERKLVTYDQEATEESEKPAEEEKDEPAESEQEQEPKQEPKPPETTGDLLNLDAEVSPLVAELEENNAWALAIVGTGDQTKASTSLDLFSGNTSGWELALAGGFDKLLLDSLYEDETRRRQIAGVTYTGSIGGGAPNPFDTNDPFATSSSFLPPSNVQFAMLSQQQHQYYQSQHQQQYYQPHHFQDHQHHHNMYFQTHYQQNQIYQQQQQQQHQYPAPQAGSSNPFGDPFGDLVPMAMAASQKHGHSSLI >OGLUM02G05680.1 pep chromosome:ALNU02000000:2:3983024:3991626:1 gene:OGLUM02G05680 transcript:OGLUM02G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPTSIAPSICHFRRMPISITMFYSVCISIHSTVLSSICRILRFSPIVHIAARIPAIFCTVIGVVIWIPCVAFLSITAPNSSIIALLLILLLLSSFGINSELLLLLLRHRHLIVIAAPIRFIVFIIGRGSIRPFGGNCVDGRGRWTSGDNIRPLRRSGVYGRPPGGAATAEPEGALGRREERRDRPRARGYGRARLGLARAALLGVVVLLVGALARGLADRRRAELHDPLRVPGGGLGRRDGGEDGPGFGRREGGEEERRLGLRERREDAATGGRLRSGRGGGGRSG >OGLUM02G05680.2 pep chromosome:ALNU02000000:2:3987475:3988309:1 gene:OGLUM02G05680 transcript:OGLUM02G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSVCISIHSTVLSSICRILRFSPIVHIAARIPAIFCTVIGVVIWIPCVAFLSITAPNSSIIALLLILLLLSSFGINSELLLLLLRHRHLIVIAAPIRFIVFIIGRGLSGAIALTAEDDGPAATTFDLSGAAVSMADLLAAPPPPSPRERLGGGRNEETGPVPADTGGRGSASLGRRFSASSSSSSELSPVGWRIGGGRNCTTRLGFPEEDLGGGMAEKTGPDLGAGREEKRSADLGCGSDEKMPPPEGGFGRAAAAAGGVGRVSSASSSEEA >OGLUM02G05680.3 pep chromosome:ALNU02000000:2:3988490:3991626:1 gene:OGLUM02G05680 transcript:OGLUM02G05680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPEAAGRFVVRWPAAAADQEHSAQPSSAAGGVDARAIHDATVRSSLAGTCALASSRRSSAACX >OGLUM02G05690.1 pep chromosome:ALNU02000000:2:3985932:3988329:-1 gene:OGLUM02G05690 transcript:OGLUM02G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitotic checkpoint protein PRCC, C-terminal (InterPro:IPR018800); Has 930 Blast hits to 533 proteins in 146 species: Archae - 0; Bacteria - 18; Metazoa - 327; Fungi - 143; Plants - 61; Viruses - 0; Other Eukaryotes - 381 /.../e: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G19270) TAIR;Acc:AT2G19270] MDSLLANYASSDDEADETLPTPPAAAAARPKPPSGGGIFSSLPQPKSALLFSSLPAPKSGPVFSAIPPPKSSSGNPKRVVQFRPPPIRQPTGESSDEEDDDAEKRRPSEAEPRPPVSAGTGPVSSFLPPPKRSLGLGGGGAARRSAIDTAAPERSNVVAAGPSSSAVNAIAPERPDTTSADDEDDESNGSSDDDEMPVPEEQQEQLAVDSEAGQQQQNQQQSYDAGVGSSNGQEGYAWDPNYYANYGAKYGWDPSGNVNYGTEAQYAAYGGEHGGVYGNTYGVEHGDGYGHSTEMAYGGGYAGGYEHNAAAATAPPIQQPILPPEVGRIGGKRGRSDMLAEILEVNQAELMKNRPREDKSKLTGMAFGPSYQPAPSAKGKPSKLHKRKHQIGSLFYDMKQKEMELAERRSKGFLTKAETQAKYGW >OGLUM02G05700.1 pep chromosome:ALNU02000000:2:3988783:3992263:-1 gene:OGLUM02G05700 transcript:OGLUM02G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNGKCGGGGAGCELCGGVAAVHCAADSAFLCLVCDDKVHGANFLASRHRRRRLGVEVVDEEDDARSTASSSCVSTADSASSTAAAAAAVESEDVRRRGRRGRRAPRAEASN >OGLUM02G05710.1 pep chromosome:ALNU02000000:2:3992551:3998805:-1 gene:OGLUM02G05710 transcript:OGLUM02G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGWEQVQMHRSLSYLGPKNTYSTHHRTAFLNGTYVRPGAGGLLYSPRHRVGTDSHPTRLQAVELATAKPASTCRWGRGEESEAQPPPKPVAAGPL >OGLUM02G05720.1 pep chromosome:ALNU02000000:2:4003623:4010437:-1 gene:OGLUM02G05720 transcript:OGLUM02G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGRCCFRRGAPAAVLVLPLLLLIAAAALPRRALAATVAADVAAINGLYVALGSPALPKWTANGGDPCGDGWQGVVCIGSNIDSIIFNAANLEGQLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPERRQAREINLAVPAALEKPPEKRKEHVINLQRSETEIFASTPPPPPPPPPPPPSPPPPTPPPPPPRPPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELTLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTSEESE >OGLUM02G05720.2 pep chromosome:ALNU02000000:2:4003623:4010437:-1 gene:OGLUM02G05720 transcript:OGLUM02G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMAAGGRCCFRRGAPAAVLVLPLLLLIAAAALPRRALAATVAADVAAINGLYVALGSPALPKWTANGGDPCGDGWQGVLGSLGNFTSITTINLSNNNIGGTIPEDLPVTLQHFFMSDNQLTGSIPTSLSKLQSLTDMSLNDNHLDGKLPDAFGSLTGLVNLHMQDNQLSGTLDVLQDLPLKDLKDGNPFNTSIAPSASPSSTPTGSTPTQTPSSPSSSGTPSPSSSPSNSSGGSTARDSSSPSSRKHKSSTLRIVGYVLLAIVLFIVTVLLVIFCLSKYQERQSRRDYTTSQVGRVHQRVEEPKVKQASVQSRNDAKKGSTEVPERRQAREINLAVPAALEKPPEKRKEHVINLQRSETEIFASTPPPPPPPPPPPPSPPPPTPPPPPPRPPPPPPPPVEKVIVNPIVKPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEGNLIRESRLGKVYLAELPEGRFLEVMKIDNANDRIPVDEFLELVASVSDIRHPNILELVGYCAEYGQRLLVYNHFSRKTLHDVLHEGEELDGALSWNARLQVALGAAKALDYLHESCEPPVVHQNFEPANVLLGNGFSVRVAECGLAELTLSGSVTQLSGRMRALLNYEAPEIHEAGTFTYRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWADSQFHDIESISKMVDPSIQGECSEKVLSRFADIISRCIRPEPEFRPSMSEIVQDLARIISVTSEESE >OGLUM02G05730.1 pep chromosome:ALNU02000000:2:4017304:4017750:-1 gene:OGLUM02G05730 transcript:OGLUM02G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDSDDVAAVYTAVMDHVVGEVHGDGVELAGVLAAVRARWEAKLARRRGGAALDGDGDGDGAPPEYKPAAGGGYCCDAPSSGPHHHDAVVKEEEEVAAAVDDDGAFFPAAAAAPETSNDGAASRAVVRRDLLGTLGAKRKRDTCN >OGLUM02G05740.1 pep chromosome:ALNU02000000:2:4020014:4021052:-1 gene:OGLUM02G05740 transcript:OGLUM02G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDHAFSISDEDDLVGGAVGGPRGAPVKEIAFAAALLAFGALGAIGGVLMAANNVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >OGLUM02G05750.1 pep chromosome:ALNU02000000:2:4021639:4021950:-1 gene:OGLUM02G05750 transcript:OGLUM02G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFFTFVAWFAPTLPIMPIFDLTEKSAKAPTKCLSCMQPNVLQQLAMDWVFGANRRAPPWRIWGKERGDGKRGRGKHRPLTCGVCCWLRQVKHGQLSMLTTI >OGLUM02G05760.1 pep chromosome:ALNU02000000:2:4025677:4026066:-1 gene:OGLUM02G05760 transcript:OGLUM02G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELDLLSAQLPPIRTTAAAAAAAAEMPEFEEVVLCSTPTAAASVLRAPSVCPPAPRKPPRPAAKRRKKDARFSRSCYCCGRRRGGRAPAAAAFVAVPDDLAKVFVPRRPVPCRPPLDGKKIGVHVVG >OGLUM02G05770.1 pep chromosome:ALNU02000000:2:4026320:4046676:-1 gene:OGLUM02G05770 transcript:OGLUM02G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN49] MELAERSRSRTRLGRDVDDEFTTSPPPRRLHSPGSETRRKIPRRTAARFLSELKQKKAEAEAPPPPRRLREALPLFKREKRGREATRKLKSRAERSPEPSIRFVSSAARLLLPHPLPPLRLRRRFRLRLQLAAPQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILMDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLLSP >OGLUM02G05770.2 pep chromosome:ALNU02000000:2:4026320:4046676:-1 gene:OGLUM02G05770 transcript:OGLUM02G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN49] MELAERSRSRTRLGRDVDDEFTTSPPPRRLHSPGSETRRKIPRRTAARFLSELKQKKAEAEAPPPPRRLREALPLFKREKRGREATRKLKSRAERSPEPSIRFVSSAARLLLPHPLPPLRLRRRFRLRLQLAAPQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILMDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLLSP >OGLUM02G05770.3 pep chromosome:ALNU02000000:2:4026320:4046676:-1 gene:OGLUM02G05770 transcript:OGLUM02G05770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN49] MELAERAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILMDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMKRPPVGRKEPLVTNIMWRNLFIQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLLSP >OGLUM02G05770.4 pep chromosome:ALNU02000000:2:4026320:4046676:-1 gene:OGLUM02G05770 transcript:OGLUM02G05770.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN49] MELAERSRSRTRLGRDVDDEFTTSPPPRRLHSPGSETRRKIPRRTAARFLSELKQKKAEAEAPPPPRRLREALPLFKREKRGREATRKLKSRAERSPEPSIRFVSSAARLLLPHPLPPLRLRRRFRLRLQLAAPQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLVFLWEACQDLTLVILIIAAVISLVLGIATEGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILMDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLLSP >OGLUM02G05770.5 pep chromosome:ALNU02000000:2:4026320:4046676:-1 gene:OGLUM02G05770 transcript:OGLUM02G05770.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN49] MELAERSRSRTRLGRDVDDEFTTSPPPRRLHSPGSETRRKIPRRTAARFLSELKQKKAEAEAPPPPRRLREALPLFKREKRGREATRKLKSRAERSPEPSIRFVSSAARLLLPHPLPPLRLRRRFRLRLQLAAPQAPEASPGRYHRRRDDDDGNGNDCSDVLGVDVLDEGADPFDIPAKRASVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGQKHDVDRELPGLANLLKTNTEKGVHGDEVDLACRANAFGANRYPRKKGRSFLGIKEGWYDGASIAFAVFLVILVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIEVSIFDIVVGDVVALKIGDQVPADGVLVSGHSLAIDESSMTGESKIVVKDHKSPFLMGGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLVARYFTGHTTNPDGSIQFVKGQTSVKSTIFGTIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMQKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVRSVVGGIKLKSPADIENLSPVVSSLILEGIAQNSSGSVFEPEDGSPIEITGSPTEKAILSWGVELHMKFAEEKSKSSIIHVSPFNSEKKRAGVAVIVDDSDIHVHWKGAAEIVLALCTNWLDVNGISHEMTPDKANQFKKYIEEMAEESLRCVAFAYRNLDLNYVPNEEERINWELPDNELALIGIVGMKDPCRPGVRNAVDLCKNAGVKVRMVTGDNLQTARAIALECGILMDSQASQPVIIEGKVFRAYSDAEREAVADQISVMGRSSPSDKLLLVKALKKKGNVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQAVFQVTVLLTLNFRGRDLLHLTQDTLDHANKVFNEFNSRKPYELNIFDGVSRNHLFLAVVSITVVLQITKDQLHLHLHLHLLSP >OGLUM02G05780.1 pep chromosome:ALNU02000000:2:4055779:4059678:-1 gene:OGLUM02G05780 transcript:OGLUM02G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLYYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >OGLUM02G05780.2 pep chromosome:ALNU02000000:2:4055781:4059678:-1 gene:OGLUM02G05780 transcript:OGLUM02G05780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSFEFRLRVALSPTGDLMLTSRIKNTNADGKPFKFGFSYNTYFSVSDISEVRVEGLETLYYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >OGLUM02G05780.3 pep chromosome:ALNU02000000:2:4055779:4059678:-1 gene:OGLUM02G05780 transcript:OGLUM02G05780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSEVRVEGLETLYYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >OGLUM02G05780.4 pep chromosome:ALNU02000000:2:4055781:4059678:-1 gene:OGLUM02G05780 transcript:OGLUM02G05780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVSSVEVVKDGATGLEKLVLREAHGCSVQVFLYGGQVIFWENEYREQLLFVSRKAYFKPPKAIRGGIQICFPQFGNHGVLEQHGFARNRLWSVDETPPPFPATTSNCHIDLILKQSPEDLKIWPHSEVRVEGLETLYYIDNLQCGKRCTEQGDAVVFESEAEKVYLSAPPKIVIIDHDKKRTFELRKEGLPDVVVWNPWDRKAKTILDFGEEEYKCMLCVGAANAEKPITLRPGEEWQGRQEISVVPSSYSSGQWDPEIIHRIQDI >OGLUM02G05790.1 pep chromosome:ALNU02000000:2:4059801:4068535:-1 gene:OGLUM02G05790 transcript:OGLUM02G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRWRRHITAMAGLPVSPRLTVTGVWGGDSVSAAAMAGLPASSRLTVTDVWGGNGAYNNHGKTLKSQQTKPLNSPIQLGQQTKPLNSPTQPETIGLYFHYVTEPTERPKTNSLAHFQDSPTGLSQFPLKGVTQRL >OGLUM02G05800.1 pep chromosome:ALNU02000000:2:4067104:4067319:1 gene:OGLUM02G05800 transcript:OGLUM02G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKSQWMDVDEQGRARQPCEGMRMGTHGRMARMAACDKAGGEGKCEEAMRGERGGGGGGDRRREGGAVQG >OGLUM02G05810.1 pep chromosome:ALNU02000000:2:4079619:4083599:1 gene:OGLUM02G05810 transcript:OGLUM02G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPAAAVAADVVDFGYAAPMPPPYVGFDPAGMGGERQLFQHGGACHGLYDGGLDFSAAAAFQEAATLGVGLPGGNLLQSLAPPAAAAATPSSLQMPMMMSLPGLPATAADVYPFGGGGFVKREDGPVLDVVGGGGGGRIGLNLGRRTYFSPADVLAVDRLLLRSRLGGMGMEMGMGMGVLGLGLAAAAHHHQPPRCQAEGCKADLSAAKHYHRRHKVCDFHAKAAAVLAAGKQQRFCQQCSRLAPIPRNHVAARWDPAGTRVSARFHVLAEFDEAKRSCRKRLTEHNRRRRKPTAGGQSSKDSPPPPPSKKGTDASIASSYTSCDHHKAAASTTTASGVSCLQELADHHDVGGGHQAAMAAPPPPTLSLAALPPQEEDDEDEDGGLGTVLMMQQHHQRRRLQHDGDGDDDVAAHHHLMRSLARQQQQHRHSSGCSNNNDGDDDDHNNNNNILSCSSASDQQNSSNNNNMHFFEVDFI >OGLUM02G05820.1 pep chromosome:ALNU02000000:2:4093655:4097686:-1 gene:OGLUM02G05820 transcript:OGLUM02G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGDDPPSPPPPAERPGGWFSGLVCGAGRLLAAVLGPDSPDSGTSGSASSSQESSSQSPPPPRGHRGSGDNTAHFASNNQFNQSGKEITLKDSGVGSLALVSEIDPKDAILQMLLQETYSRSECDILIKIIHERVVDSDPDVVEPSIVLPIAWQTSQQQDHVPYSSFRPNTCSASSNVHDCSQQLDNNIVENGWLEESQHALKRSNSCTGHNLDESHSRSVRPKLNDLNISNRQDGILKSHSDIASFEEATTKDPNAFRGIPEDTKKLFKDIPLLGTDNLIFSNIVSYDDTDNDISALRGKRPAVTARTFASATSEANRDNRCPTMLYPYSDRDLTNTFPIKVEPLDDIVPFDAEIVVLSRKNRNTGTICNDPCSVSKLMFQEDKEAAPSSSTGVPLENSPRNCTGASLQRSTQTRRSSPANVYRRQYIDSRTRSRSGPSHQGEPIAVGQEPDLAPTQAKKPVGRPRKSRR >OGLUM02G05830.1 pep chromosome:ALNU02000000:2:4099707:4103092:-1 gene:OGLUM02G05830 transcript:OGLUM02G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDTEIEDAE >OGLUM02G05840.1 pep chromosome:ALNU02000000:2:4109435:4114809:1 gene:OGLUM02G05840 transcript:OGLUM02G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAAEEVVMFRSKLPDIEIDNSMTLQEYCFARMAEVGARPCLIDGQTGESYTYAEVESASRRAAAGLRRMGVGKGDVVMSLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEVHRQAEAAGARVIVTEACAVEKVREFAAERGVPVVTVDGAFDGCVEFREVLAAEELDADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVILCLLPLFHIYSLNSVLLAGLRAGSTIVIMRKFDLGALVDLVRKHNITIAPFVPPIVVEIAKSPRVTAEDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFKVKSGSCGTVVRNAELKIVDPDTGASLGRNQSGEICIRGEQIMKGYLNDPEATKNTIDEDGWLHTGDIGFVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEVPVAFIVRTEGSEITEDEIKKFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPDAVAAAAADAPKSS >OGLUM02G05850.1 pep chromosome:ALNU02000000:2:4116078:4117885:-1 gene:OGLUM02G05850 transcript:OGLUM02G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YN64] MAATTAAAAVPGVVRAERLLRGGCVVMAATAALLLGFSAETKTVLFVRKTAVAKDVQALWVLTVAAAAAAGYHFAQLVRCMYCSSSGAMAVAWTSFLLDKGCAYVVFASTAAALQACMVGLIGVEALQWSKLCNIYTRFCEQAAAGMLCSFLAAVGMAVLSAFSARRLFRLYSPAGHRRSCPRAAVLATSPH >OGLUM02G05860.1 pep chromosome:ALNU02000000:2:4122444:4127996:-1 gene:OGLUM02G05860 transcript:OGLUM02G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKRGLEAAAAGGDGRPEAKRARPPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALGRLGPATITGRTSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGTGCVVSSGPESCAKLDIVVLEGDFNNEDEEGWSGEEFESHVVKEREGKRPLLTGDVQVTLKEGVGTVGELTFTDNSSWIRSRKFRLGLKISSGFCEGIRIREAKTEAFMVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNKAGISTVEDFLRLVVRDPQKLRSILGSGMSNKMWDILVEHAKTCVLSGKYYIYYSDENRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYAIEYDGKALLNSKPKKKAAPTGQAETHPPLSQPASYEQRISSASMTGPSPAGGSGTGTDSIGYDGNQAATQPSQLQSTSANVPVPYDDTFSFLPPSMLMGSDNQETGNDGMGLELGQLQQAISQSQSIQPANVGYDDWTRSQNGQFADDFTEDIRMKSHQMLESEDMQQLLRVFSMGGASTSLQEDAFGFPTYMPSPLPNLGFEGERTRSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELDD >OGLUM02G05870.1 pep chromosome:ALNU02000000:2:4131142:4138345:1 gene:OGLUM02G05870 transcript:OGLUM02G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDADSKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGKENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPFPLPRYKGNLSNYQQERLVESLEMVDYQQEVQTGSHHKTRHSCPPETYSITRHIFVFVAITCTGRKGII >OGLUM02G05870.2 pep chromosome:ALNU02000000:2:4131142:4138680:1 gene:OGLUM02G05870 transcript:OGLUM02G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDADSKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGKENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >OGLUM02G05870.3 pep chromosome:ALNU02000000:2:4131142:4138680:1 gene:OGLUM02G05870 transcript:OGLUM02G05870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDADSKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSLYIQEHADITGKENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPEMDPVS >OGLUM02G05870.4 pep chromosome:ALNU02000000:2:4131142:4138584:1 gene:OGLUM02G05870 transcript:OGLUM02G05870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDADSKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPIILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQSPLTSCPKQGM >OGLUM02G05870.5 pep chromosome:ALNU02000000:2:4131142:4138626:1 gene:OGLUM02G05870 transcript:OGLUM02G05870.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FGGY family of carbohydrate kinase [Source:Projected from Arabidopsis thaliana (AT4G30310) TAIR;Acc:AT4G30310] MPPGGGGAVFLGVDGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKHACSLANVAPENVVGLGFAATCSLVAVDADGSPVSVSWTGDARRNIIVWMDHRAVDQAERINARNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDEVFWEEIGLGDLELGLRPGIPVGTSLIDAHAGGVGVMESVPDADSKADTSDESDEQAICHRMVLVCGTSTCHMAVSKNKLFIPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIVENHVAAPLLANHAASQRISIYELLNKILFSMAHEQNISFISSLTQDIHVLPDFHGNRSPLADPKSKGIICGFTLDTSEKHLALLYLATIQGIAYGCPIILPRENESVLLGAAVLGAVAAKKFPGVRDAMKALNAAGKVVYPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSAMAQALQ >OGLUM02G05880.1 pep chromosome:ALNU02000000:2:4134857:4138882:-1 gene:OGLUM02G05880 transcript:OGLUM02G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGTTVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKPGQFLSTSCGSPCYVAPEVIQHKSYDGAAADVWSCGVILFELLAGNLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEPKET >OGLUM02G05880.2 pep chromosome:ALNU02000000:2:4134857:4138882:-1 gene:OGLUM02G05880 transcript:OGLUM02G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 21 [Source:Projected from Arabidopsis thaliana (AT5G57630) TAIR;Acc:AT5G57630] MGFVESIGRYRVGRTIGAGTFAKVRLAVDADTGTTVAVKVIDKRMVIRNNLMYQVKREITAMKLLNHPNIVKIYEVIATKTKICLVMEYVSGGQLSDKLSYLKRLDEKEAKKYFYQLIDAVDYCHRRGVYHRDLKPENLLVDNQGNLKVSDFGLSVLKKVIQHKSYDGAAADVWSCGVILFELLAGNLPFQDCSLTNLYRRISRAQFVFPQWLSVPQKKIIIRILDPSPITRAKISDIFDDKWLQDHCNPSARIENDDDCDVIEEASTDSDSSHNTEVKETEEMTAETDRFINAFQLIARCSDLDLSGLFQEQKTKLASPHPVQETFDKIKVAAKDVSMAVKRMNSSLVEIQDSKLLPRSNLDLTLSAEVIKVTPAHCVVEVSKSTGDLRSYKEPKET >OGLUM02G05890.1 pep chromosome:ALNU02000000:2:4149430:4153375:1 gene:OGLUM02G05890 transcript:OGLUM02G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRLAAFYWPRLRAADRDDVRAAGMGHGLDPEGITITPLMDMVLGFLYQSIPRPPVSASASLSAAAATGDGGGDDRISLLPDDILRAVVSRLPAKDGARTSVLSSRWRRLWRSTPLVLVDTHLLLRRGGGRPARVGAASRAVADAVSRVLEAHPGPFPFVSLSCSFIGDDAQRGVAARWLDLLAAKGVEHLVFVNRPCPLPGVTLPAALFNCSSLRRLYIGSWELPDTASIPLPRAAAAAAFPNLRELVLGCVVMVDGDLPFLLAASPALETLAVFGILNTLRARLSSGSLRCAQFCLSFMEEVAVLDAPRLERLFLWRNIKNTRVKIGHAPQLRMLGYLQPGVHQLEIGNTIIKARTIVRPGTTVPSVNMLALHLHFGVRNEVKMLPSFLRCFPNVETLCVESEEAPGRTSNIDVNFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLRDGTDLSCDDPFMCL >OGLUM02G05890.2 pep chromosome:ALNU02000000:2:4150139:4153375:1 gene:OGLUM02G05890 transcript:OGLUM02G05890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEMCNQSVPGCILKARTIVRPGTTVPSVNMLALHLHFGVRNEVKMLPSFLRCFPNVETLCVESEEAPGRTSNIDVNFWQEAGPIECVQSHLKMMILREFQGEESELSFLKFVGENARVLEKMVIVMKLGRYSAPEEVAAKVMDLQSAKWAREGNKLGFLISRLRAGGSAWSLRDGTDLSCDDPFMCL >OGLUM02G05900.1 pep chromosome:ALNU02000000:2:4157879:4158466:-1 gene:OGLUM02G05900 transcript:OGLUM02G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAEYAMVHGGGPAAAAAGPSSSAVVASTASVAARSPWQSPVPYLFGGLAAMLGLIALSLLALACSYWKLAGSGFGGGGQDGGEESRDGGGGGGEKGSGGGGAGLAREWRDHVVVIMAGDERPTFLATPASSRAEPAAPDVAAAVCCSCGASSSTEVKTPAAAAAPEFPAGDGEVQAQSPSEQTSSHSSVITS >OGLUM02G05910.1 pep chromosome:ALNU02000000:2:4163770:4166543:1 gene:OGLUM02G05910 transcript:OGLUM02G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHYVGPWAGPSSWPTRPIKEKPESPISKSSSQEFRIRTARANPISPTLRRRSPREISGRRRRRRRHGRRRLGGRPAARVRQGQRPPRQALPQARPQGVHQGGGEDGDRVRRHGLRRLLRQAHLHPHQQHHRRIRLI >OGLUM02G05920.1 pep chromosome:ALNU02000000:2:4166513:4169611:-1 gene:OGLUM02G05920 transcript:OGLUM02G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHSREDLDLSDSEDDDSASHASDASSDFATPPPSSAKPSAAKSSAAAATPASIDAIDRHLRSLHLKYAEPISPNPSPSPTSAAAPAALNAVKLYLHIGGSSPSARWIISDRLAAASFVRAGDDDDDDAPSSGPWCLVVGSKIRARVGPELQLKTFPAQRRVDFVADGVWALKFLHADGYPNFYGKYQSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFAPSPSRSPMPSRSPILKPLMEDLREYEEPVEEGGGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGVSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFGKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNIASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFGGRMGNRIAAPRLLKLTPLDSHLAGQNNIFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQCQEGLKSCYCYKVIPKDESIVASRFMHEKYAVSDTPEAPLVVATPMKVTSFSISSKH >OGLUM02G05930.1 pep chromosome:ALNU02000000:2:4173206:4173598:-1 gene:OGLUM02G05930 transcript:OGLUM02G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALALFLLFAAAAAILLLHLVVAARAFRNQRHGGAGRSRYRVPETSYTPSRAGLSPADLRFLPCFAASASASASPELCAVCLEAACAGERWRALPACGHAFHAACVDRWLARAAACPVCRAAVSASAS >OGLUM02G05940.1 pep chromosome:ALNU02000000:2:4178581:4181465:-1 gene:OGLUM02G05940 transcript:OGLUM02G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVASPPELATLWSYEANVGRLAHHLVKLAGKPLYQLPLQIDDVQHAAYGVLQLQALWSMHPCDVIYCDGMDGWMRFVGLEDLTVERAVRLSSTISFMRTRPKVGNWGADGLRPRREAAHVCSLKSSPERLAQIEEGTK >OGLUM02G05950.1 pep chromosome:ALNU02000000:2:4181951:4183344:1 gene:OGLUM02G05950 transcript:OGLUM02G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSTTTMATFKQSFLKNLLSSLKSSSKNKAAMSTLSERKRAIKSSADIAMATARTGIAGAARWPHAILASSSSSSSSSSSSSSSSSMPRTTFPCKMMQGKVRRRCKSIVRRRTPLMSSSSEVARRLVKKRDKVLRRMIPGGELIADEISLLHEAMDYVVHLHAQVDVLRRVSRAAVARRSNASSSSSGGLAQLKERTVQISGETENPC >OGLUM02G05960.1 pep chromosome:ALNU02000000:2:4188009:4194751:-1 gene:OGLUM02G05960 transcript:OGLUM02G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCVVRGGGVEGGGGVEAARGGAGGVVVAPPAAAVRRPRAHLPVLVLLRQHALLHPPPPRRPRLRRLPPGRRRMGLTLIGATLVGSPAVARQQLLLPSLKQAWDPRGWAIALLLHVLVAEPLFYWAHRALHRAPLFSRYHAAHHHASVTTPLTAGFGTPLESLLLTVVIGVPLAGAFLMGVGSVGLVYGHVLLFDFLRSMGYSNVEVISPRVFQAVPLLRYLIYTPTYLSLHHREKDSNFCLFMPVFDLLGGTLNHKSWELQKEVYLGKNDQAPDFVFLAHVVDIMASMHVPFVLRSCSSTPFANHFVLLPFWPVAFGFMLLMWCCSKTFLVSSYRLRGNLHQMWTVPRYGFQYFIPAAKKGINEQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVNKHPELRVRVVHGNTLTAAVILNEIPSNVKDVFLTGATSKLGRAIALYLCRKKIRVLMLTLSSERFLKIQREAPAEFQQYLVQVTKYQPAQNCKTWLVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGYCEFADMVGRRSPGNICVQYTMERGVVHACHAGGVVHFLEGWEHHEVGAIDVDRIDVVWKAALKHGLTPA >OGLUM02G05970.1 pep chromosome:ALNU02000000:2:4209958:4215022:1 gene:OGLUM02G05970 transcript:OGLUM02G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQRIKEALMASCATISNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERMLHDSQEEVQRLREKGNCIFVLKLYFPEIKRDKRSNVMPTATVAKSKYNPS >OGLUM02G05970.2 pep chromosome:ALNU02000000:2:4209958:4215022:1 gene:OGLUM02G05970 transcript:OGLUM02G05970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKKESNEITRNEKIDTTPILQRIKEALMASCATISNCKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >OGLUM02G05970.3 pep chromosome:ALNU02000000:2:4209958:4215022:1 gene:OGLUM02G05970 transcript:OGLUM02G05970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKRRGGSSSSGPQAVARKRDQFPSRAKDYELLEPVGDGATAVVRRARCLPLGGEVVAVKIMNMSQRSEDDVNHASEEVKMMSTIDHDNLLGAYCSFTEGETLWIIMPYMAGGSCFHLMKSSYPKGFDEKFIAFVLRETLEGLAYLHRYALVHRDVKAGNILLDQHKGVKLADFGASASLYDPMINRHGKRKTLVGTPCWMAPEVMEQKEYDAKADIWSFGITALELAHGHAPFSTQPPAKVFLLTLQHAPPSLHNTKDKKFSKSFKQMIATCLMKDPSKRPTAQHLLELPFFKKVKFEDNVLKSVLNKLPSLGDRMQSIQENEAKLQAEKKPLDKCKEKASQDEYMRGVSEWNFDIEELKAQAALYPDENDGGEDEYLRFLFELDTICESAPIHDVQSRDYSKNENEKDFDEYLKSAIQKGRFKVTVEGAEVEKLEVATPREKELLERIASLERIDKRSNVMPTATVAKSKYNPS >OGLUM02G05980.1 pep chromosome:ALNU02000000:2:4216916:4220739:1 gene:OGLUM02G05980 transcript:OGLUM02G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT2G23820) TAIR;Acc:AT2G23820] MGGSRALPLSSLLAAATKSPLLHHRPLPLRLAASMSSSSPSPSPAAPASASAIDFLTLCYRLKTTKRAGWVRRGVQGPESVADHMYRMGVMALVAADLPSGVNRDRCVKMAIVHDIAEAIVGDITPSDGVPKEEKSRREQEALDHMCSLLGGGPRAEEIRELWMEYEQNATLEAKVVKDFDKVEMILQALEYEKEQGLDLEEFFQSTAGKFQTDVGKAWAAEVASRRK >OGLUM02G05990.1 pep chromosome:ALNU02000000:2:4223196:4226123:-1 gene:OGLUM02G05990 transcript:OGLUM02G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAAAASGGLGGRRYALLLALNDSEYARKVYGGYGNVFVSALGGGGGGGGEEERWDCFRVIDGEFPAAEEVGRYEGFVVSGSPHDAYGDERWILRLCSLLRALHAMGKRILGICFGHQVLCRALGGRIGKARSGWNIGVKKMTFVRDFEGSKLFGDLKEIPQSASIIEVHQDEVLEVPPMGRVLAYSDKTPVEMFAVGDNVLGIQGHPEYTSDILLNLIDRLVNNNTITSGIGEEARRTVEASEPDRRFWTGLCKGFLKRPTAATTVDMPPREVAPEMMSCSHIIAGGHFVATTPIGL >OGLUM02G06000.1 pep chromosome:ALNU02000000:2:4229675:4234626:1 gene:OGLUM02G06000 transcript:OGLUM02G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTVKTLKGTQFEIRVQPNDTIMAVKKIIEEIQGKDSYPWGQQLLIHNGKVLKDESTLEENKVSEVGFLVVMLSKSKASGSSGALSSLTSSTPLTRQETPADASRAAPQPLVAPTRTPQPERPPAEAPSNAYGQAASNLLSGSNLDTTINQLMEMGGGSWDRDKVQRALRAAYNNPERAVEYLYSGIPITAEVAVPAGGQGANTTEPSSTREASLSGIPNASPLNLFPQGDANDGGGAGGGTLEFLRHNQQFQALREMVHTNPQILQPMLQELSKKNPQLLRLIQENHDEFLQLINEPFDGADGDFLDQPDQDEMPHSINVTPEEQEAIGRAWDLTEHVSSKHSSPVTGTSNSQQITFLNMLLMKIRWNYLNPLILYTCPAIYQTLEVCGCFGSKLNKLRWS >OGLUM02G06010.1 pep chromosome:ALNU02000000:2:4234748:4236784:-1 gene:OGLUM02G06010 transcript:OGLUM02G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubby like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G16070) TAIR;Acc:AT1G16070] MAARKREPLRPISSNAGTVERRARGGAAAAAAAKEKEKENEVPTEIGRGKDGGEKKPPVVVAVVVPPAPPLKPSSLQVRMKAEEEKEREEEEEGSSPAVALVAGLQVRMGPRGRELLLPPPPPPPPLPLPTSSSYEAWDLSDNEAAPASSWATLPNRALLCRPLPLDVGRCTCIIAKEMLAAAAAGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFVVAQNLDGIFCTSDKNFLGTLSSNLVGSRYRIWGQGNRVDEIKSQSKRLLGVVAFAPTVTTLTGSFRSMRAWIPKNQSIHLKNSNSAQASEFPVVEIQHISGLPKDWQEKKIKADQLCSRSPFYNNMTKRYELDFRERAGRMGYKVQPSVKNFQMTLEENGRQTILQLGRIGKSKYIMDFRYPLTGYQALCICLASIDSKLCCTL >OGLUM02G06020.1 pep chromosome:ALNU02000000:2:4241871:4245782:1 gene:OGLUM02G06020 transcript:OGLUM02G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVAAAAAEMRRTGRCGGGGGGGGEKKGAKEEGVVVAAEAAAERGRRLRLVGYDELPDFLRDNEFIRGYYRAEWPLRDAALSAFSWHNETLNVWTHLGGFLLFLALALAGAAGDAAADVAPGIIRFVVGSANASWQTNDHSGAASHDAAAAAAAEAALLGGGHGVPRWPRMVFLVGAMTCLAISATAHLLACHSRRASVVFWQLDYAGISAMIVASFVPPVYYAFLCHRPARVAYLSAISALGALVVGALLSPPCSSPRFRRLRAALFLAMGLSGVVPALHALWLNWGHAACYLALSLEVAMGLAYAAGAWFYVSRVPEKWRPGVFDVVGHSHQIFHVLVLVGAVTHYVAVDVLLNWRETVAAACSATS >OGLUM02G06030.1 pep chromosome:ALNU02000000:2:4246327:4248294:-1 gene:OGLUM02G06030 transcript:OGLUM02G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAIATLLGPPEARRPSAAVAAAPATTTGDAFLDLMDANFNKPAPRKALTENLSPTFVSSGDACLDFFFHVVPGTPSAAVASLLAAAWGADPATALRLVANLRGVRGTGKSDREGFYAAALWLHSHHPATLALNAASVAAFGYLKDLPELLHRIVNGGLSTRKPGKKARLAAADGIGFIARRGRGRGRGRGCFPGRGGFARGYHTSSRKQSRGVGSAEERIAASLERDGRLAAKAAVERRCRRAEAAARAVERYSRDPTYRSLHDRTADLFADLLRDDMRKLAEGNVHEFSLAAKWCPSLDKSYDRSTLLCEAIARRLFPKGSSPELAADLPDAHYAYRARERLRKAALVPLRRALKLPEVYISARAWESVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKKKISAGALLPHQIISSLDDDDGGSGVADLQWQRMVDDMRALGKLRNCVAVCDVSGSMTGLPMDVCVALGLLVSDLSDDPWRGRVITFSESPQLHHIVGEALSDKARFIREMNWGMNTNFQAVFDKILEVAAGAALSPDKMVRRVVVFSDMEFDQASAQPWETDYEAIVRKYTAAGYGAAVPEVVFWNLRDSKAVPVTSGQNGVALVSGFSKNLLKLFLDGDGVVSPRAVMEKAISGPEYDKLVVFD >OGLUM02G06040.1 pep chromosome:ALNU02000000:2:4250531:4255760:-1 gene:OGLUM02G06040 transcript:OGLUM02G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: autophagy; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 17 (InterPro:IPR007240), Autophagy-related protein 11 (InterPro:IPR019460); Has 8793 Blast hits to 6 /.../oteins in 570 species: Archae - 89; Bacteria - 657; Metazoa - 4844; Fungi - 808; Plants - 441; Viruses - 15; Other Eukaryotes - 1939 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G30790) TAIR;Acc:AT4G30790] MVLVIEKKSVAGGEMSSGSAVTGGGGGGAEDAAAVALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIEGLCGIPPADQLLLCGNTSLDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKIIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRMFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMGHQDKIFDSLKLASGMGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNLSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAKEKTAAALSAKDEYANHLQAMLTAKQNQNLSYEKRIQELEEQLANQYIQGHVISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIARTNLDAFMAELPPDSEHKIVDSDKEGQILTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCIKILADKVGFLSRQSAELLERYSRIVRELEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >OGLUM02G06040.2 pep chromosome:ALNU02000000:2:4250531:4255538:-1 gene:OGLUM02G06040 transcript:OGLUM02G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: autophagy; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 17 (InterPro:IPR007240), Autophagy-related protein 11 (InterPro:IPR019460); Has 8793 Blast hits to 6 /.../oteins in 570 species: Archae - 89; Bacteria - 657; Metazoa - 4844; Fungi - 808; Plants - 441; Viruses - 15; Other Eukaryotes - 1939 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G30790) TAIR;Acc:AT4G30790] MSSGSAVTGGGGGGAEDAAAVALGQKLPVHVAENGHTFEFKCGGETPVEAIQRTIEGLCGIPPADQLLLCGNTSLDGAHHLAYYQLPRDDREVFLYNKARLHDGAPRPAPESIEIPQPSIPPPPRPQDSPPLEVSADPALKALVSYETTFRYHFQVGNAVYQSSVAKHEVCCRLLREGQVQERALDTARSNLEHTARKLTQRYSDFVKCFSQQHRGHAEMLANFERDVERLRAVRLHPALQCEGRRSLIDLVKENDLRKLADGCFSSHKQFDVKVSQHKAKFMELKKRLENLFNIMSSTGCKDLEAMIKEHEKFIGDQKIIMQSLSKDVTTSKKLVDDCSNCQLSASLRPHDAVSAVGRIYEVHEKDNLPSVHNIDRMFTKLLEKCKAKKNEMNTLVHVSMQRVKSAQIVIKEMMNELHAFQEVMGHQDKIFDSLKLASGMGHAYRACLAEVVRRKSSFKLYTGLAGQLAEKLATEREAEVRRREAFFRTWSKYIPEDIMGSMGLFDSPSQCDVTVAPFDCNLLSIDVDDVEKLAPQSLVGSFLKSERSQLPKSSLSNSGTSGNLSKSEQYPPNADDKMDFQDFLGGFDSVDIAGTSKLEVENARLKAELASAIAVLCSFGAEYGYESIDEGQIDNVLKDAKEKTAAALSAKDEYANHLQAMLTAKQNQNLSYEKRIQELEEQLANQYIQGHVISGSKDASDSLLSAFKANDCNLHISGGRQTQVRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDPIARTNLDAFMAELPPDSEHKIVDSDKEGQILTQFTTTDTSGVPIEDPLGILNSRTNEHHTSELRNKELLVSELQSTLEDKSKRLDETESKLNALVDEVNSLKKELEHTQGLLDESQMNCVQLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNNCVTAPGMSGFADSLRALALSLASAKKDEGDTTIQFQQCIKILADKVGFLSRQSAELLERYSRIVRELEEKKESIKNLYSKLQLEKQASKEKISFGRFEVHELAVFVRNPAGHYEAINRNCSNYYLSEESVALFTEQHPRHPAYIIGQIVHIERRIAKLPSHGDQMEASRLDSGGRRSPASMLNPYNLPVGCEYFLVTVAMIPDNIR >OGLUM02G06050.1 pep chromosome:ALNU02000000:2:4257561:4266360:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >OGLUM02G06050.2 pep chromosome:ALNU02000000:2:4257561:4266360:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >OGLUM02G06050.3 pep chromosome:ALNU02000000:2:4257561:4266360:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGSGDSILLEVNCDSKEGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >OGLUM02G06050.4 pep chromosome:ALNU02000000:2:4257561:4266360:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEGGTVILSGPGGPPLASVKPQTSELVLTPNVPDIVVAPPDDAHVRHVIDTMALHVLDGGCAFEQAVMERGRGNSLFSFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPALPSSRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEAALPDVIESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYLNGLRATFLRSSHSGVIPFHSLCGDTPEIEKKASSEDGSDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >OGLUM02G06050.5 pep chromosome:ALNU02000000:2:4257561:4266360:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTLYVAYFYPGMFHLFCRRHLGKSRTKRLVQFQYSYGCLSSHYGFLVKHYLAVQKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEDGFRLNEDGALATGKAAATRELLGLPLAELERRCRHNGLSLCGGKEMMVARLLSLEEAEKERVYEKDAGIKYGQGESHRTGRDDIAVNARNASRPGEGTDSGESDMLGLSHYAMEAGHKRSNESTPAEPVPSKKPKVDPVLPASKWSREDDVSDDEDRKGGRGLGLSYSSGSDIAGDSGKADATEVSTDHSNHHQDTILDEEHRKKLRQIEIAVMQYRESLEEKGLRNTEEIEKKVASHRRRLQSEYGLSFSNDGANSRRSSERTSSERRDRHDDSSRKRHRSLSRSRSPPRRSLERDREHNRNRDTDRSHGNDAGRERDRVREKSASRGRDDHYDRSRDREKDRRKGR >OGLUM02G06050.6 pep chromosome:ALNU02000000:2:4257561:4264294:1 gene:OGLUM02G06050 transcript:OGLUM02G06050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKVPFHRHKENEEARKKREQDEAARVYEEFVESFKGDSTSGSKFVRGGVIDPNAKLRIDSEGGKSKDGGSVPKKGSRYVPSFLPPSFGKEPDKKKEEERPKEKERRKPRVIDEFMEELKFEKELRQKRNQEREQWREGRHTDTSASSSRFDELPDELDPIGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLMRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMEGVVVYDYELKLGWGKSVALPSQALPAPPPGHMAIRNKEAQRRWCLGHRKGSGNKGAVRASAS >OGLUM02G06060.1 pep chromosome:ALNU02000000:2:4267502:4272021:1 gene:OGLUM02G06060 transcript:OGLUM02G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISHSPPQHQLHHSPPLRDRKGRADPPRTRSPRDSDLPENLRLAARRIHPSRHLSPTRNLLALGETTLINMGIYLSTPKTDKFSEDGENDKLKFGLSSMQGWRASMEDAHSALLNLDNETSFFGVFDGHGGRVVAKFCAKYLHSQVLRSEAYSAGDLGTAVHRAFFRMDEMMRGQRGWRELSALGDKINKIGGMIEGLIWSPRGSDSNNGQDDWSFEEGPHSDFAGPTCGCTACVALIRNNQLVVANAGDSRCVISRAGQAYNLSRDHKPELEAERDRIVKAGGFIHMGRINGSLNLTRAIGDMEFKQNKFLPPEKQIVTANPDINVVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHIQKESSLSAVCERVLDRCLAPSTIGGEGCDNMTMVLVQFKKPITQNKKADVGEQSAKGVEEAEINSVPCCCGAALVW >OGLUM02G06070.1 pep chromosome:ALNU02000000:2:4268798:4277585:-1 gene:OGLUM02G06070 transcript:OGLUM02G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 [Source:Projected from Arabidopsis thaliana (AT5G16310) TAIR;Acc:AT5G16310] MVQSHPSPTTHARSPPTRRRSRIRFAPSAAPRAASVDAGPTRAVREGGGGGGASAGSDHLQEGAQGFFFDMSWAAIENDPGIFTELLQQMQLKGLQVDELYSLDLDALNDLQPVYGLIVLYKWQPPEKDERPIKDPIPNLFFAKQIINNACATQAIVSVLLNSPGITLSEELKKLKEFAKDLPPDLKGLAIVNSESIRLASNSFARPEVPEEQKSSVKDDDVYHFISYVPVDGVLYELNGLKEGPISLGKCPGGVGDIGWLRMVQPVIQERIDRFSQNEIRFSVMAILKNRREKFTLELKELQRKRENLLAQMGDPSTNRHAPSVEHSLAEVAAHIEAVTEKIIMEEEKWKKWKTENIRRKHNYVPFLFNFLKILEERQQLKPLIEKAKQKSHSSANPSLLMKANVCIIIGVKNHSPQQHWSQSFRVALSLLINMKVHPNRKPQP >OGLUM02G06080.1 pep chromosome:ALNU02000000:2:4277636:4281259:1 gene:OGLUM02G06080 transcript:OGLUM02G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >OGLUM02G06080.2 pep chromosome:ALNU02000000:2:4277487:4281259:1 gene:OGLUM02G06080 transcript:OGLUM02G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMPKKKCILRLFNLSFTHLSLSTFSLQRYPLSQKSSLFLPPSSSTAAAPPPPPISPPPPPPMAPSTALSPVAFKSSFSPLLFNPTRSKINVEGAFCLPCYNRKKASNRSFRVYSLFGGKKDKDENGEEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPVRVEITEAAMEVGAEKLSELVNDAYKDAHQRSVQAMKERMADLAQSLGMPAGLGDGLK >OGLUM02G06090.1 pep chromosome:ALNU02000000:2:4299136:4299615:1 gene:OGLUM02G06090 transcript:OGLUM02G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKIMAAGKKMVRVREFIMEKDLPAVEELERLCQAGLSGDNGAGGGGGKKKKRGMSLSVETRSWE >OGLUM02G06100.1 pep chromosome:ALNU02000000:2:4299633:4300711:1 gene:OGLUM02G06100 transcript:OGLUM02G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRGSSSSLRKTNKFVKAACLLGLRVSPSHRRLGIATELVRRAEEWCAARGAAYATMATTASNAASLALFQGRFEYALFRKPRFLGHPVHRHRARVPRAHRDVWCIKKLTSVAANGNAAPAAGDDDDWTTAPPSSVLFVDPREF >OGLUM02G06110.1 pep chromosome:ALNU02000000:2:4304143:4306356:-1 gene:OGLUM02G06110 transcript:OGLUM02G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chorismate mutase 2 [Source:Projected from Arabidopsis thaliana (AT5G10870) TAIR;Acc:AT5G10870] MGEAELSLAAVRDALVREEDSIVFALIERARRPRNAPAYAAAAAAGGRSLAEFFVREAEVLHAKAGQYQKPEDVPFFPQDLPSPLFPTKDYPKVLHSFASSVSVNDAIWKMYFNELLPLFTVDGDDGNYAETVALDFACLKALSRRIHIGKYVAEVKFKDASQDYSPLIRAKDTKALMNLLTFKAVEEKVKRRVEKKARIFGQNVTLEDNADKQEGNAGDSECKVNPEVLSKLYDLWVMPLTKDVEVEYLLRRLD >OGLUM02G06120.1 pep chromosome:ALNU02000000:2:4315128:4318831:1 gene:OGLUM02G06120 transcript:OGLUM02G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVCVTGAGGFIGSWIVKLLLARGYAVRGTSRRADDPKNAHLWALDGAAERLTMVSVDLLDRGSLRAAFAGCHGVIHTASPMHDDPEEIIEPVITGTLNVVEVAADAGVRRVVLSSTIGTMYMDPRRDPDSPLDDSFWSDLDYCKNTKNWYCYAKTIAERKAWEVARGRGVDMAVVIPVVVLGELLQPGMNTSTKHILKYLTGEAKTYVNESHAYVHVVDAAEAHVRVLEAPGAGGRRYICAERTLHRGELCRILAGLFPEYPIPTRCRDEINPPKKGYKFTNQPLKDLGIKFTPVHEYLYEAVKSLEDKGFIKKTSNTKELHRQSSPPQNSPASMLMSKL >OGLUM02G06130.1 pep chromosome:ALNU02000000:2:4316667:4334247:-1 gene:OGLUM02G06130 transcript:OGLUM02G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRPPAPGASSTLTWASAASTTCT >OGLUM02G06140.1 pep chromosome:ALNU02000000:2:4343672:4350978:-1 gene:OGLUM02G06140 transcript:OGLUM02G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARISRLRRCPRCRARARSAPAWRELGQKIKGHFWLTEERCQGRLTLAFQQQHVKLVPFDGVTRAEAGPQVIESWRRSMDGLSVITIQLRFDDQDAMLDDTLTE >OGLUM02G06150.1 pep chromosome:ALNU02000000:2:4365405:4368013:1 gene:OGLUM02G06150 transcript:OGLUM02G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWISTQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANNGGGGGNNPSSTSEGGSVSPSRKRKSESLDDSPPPPPPPHPHAAPHHMHVMPGAAAAGYADQTECTSGEPCKRIREECKPKISKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDNTILVATYEGEHNHGQPPPPLQSAAQNSDGSGKSAGKPPHAPAAAPPAPVVPHRQHEPVVVNGEQQAAAASEMIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >OGLUM02G06160.1 pep chromosome:ALNU02000000:2:4380358:4380606:1 gene:OGLUM02G06160 transcript:OGLUM02G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWCGLQLLVVVSSLAGGGEAMAPNWDFGSIIWHFAICDERRRWMMGRSNWPCLRADGLFLRGVVVVAFFTTASVINHWGME >OGLUM02G06170.1 pep chromosome:ALNU02000000:2:4382067:4383591:1 gene:OGLUM02G06170 transcript:OGLUM02G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAIGAREKKLVRISDFLLLHDDSDGAGGADHELLRRRRRRRQEDEEEEVVAAAAAQVASPPSPGTPRLRIPGFTCARLRFVSFRGGRGGRRGGGRKEELAAEKSEAASSSADEASGREVAAGSGSGASSSAATATTTEAAAGLGLSLLFLLARTSAELNKMAEVRAQMEALLSEMRDEAAICKRNIAAAARRELRTTSSSSSSISTRLASGYSSNTSSAGRAASSPAANGEVEIKKPLQEEEWSDDGEFIELEGGFGFVAGGDEEDGGGGGGGVSGVELERRLREVQHERDRERVAELESALRRAERRLMEKEMEARLWKDTAELALQRPPPPPLAGGRQ >OGLUM02G06180.1 pep chromosome:ALNU02000000:2:4386409:4390260:1 gene:OGLUM02G06180 transcript:OGLUM02G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFEGYGYRGSTFEQTYRCYPASFIDKPQLETGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWVWTVETKPSNAISIIETDCEVDFAPPLDYKEPERQKAAVPPSTAPTAEEAAEEEPKFIPFTGPGRRLDGKAPKDKDVSASSPAKRQANATNSVQPSTASTSQSSSSRKTTGKLVFGPGGNRTSKETEKVPEKEPKEDPKKDEPKFSAFTGRKYSLKG >OGLUM02G06190.1 pep chromosome:ALNU02000000:2:4390287:4396519:-1 gene:OGLUM02G06190 transcript:OGLUM02G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B4 [Source:Projected from Arabidopsis thaliana (AT2G25140) TAIR;Acc:AT2G25140] MSRATAVSRLARAARAAAAARRHHAGGRDPLRALASLAGDASASAGGGARRPAWFAPPMGRLGGGGLLVPPPPPQRRLFHPTQAARYSTSSSSQITPGEFTEMAWEGVVGAVDAARMSKQQVVEAEHLMKALLEQKDGLARRIFSKAGIDNTSVLQATDEFISRQPKVVGDTSGPIIGSSFVSILDNARKHKKEYADEFVSVEHILRAFTEDKRFGQQLFRDLKIGENELKEAISAVRGSQRVTDQNPEGKYQALEKYGIDMTELARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLQNRKLISLDMGALLAGAKFQGQFEERLKAVLKEITASNGQIILFIDEIHTIVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVSAAVLSDRYITGPIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKQRLSKLEADLESLKQKQKNLSEHWEYEKSLMTRIRSIKEETDRVNLEIEAAEREYDLNRAAELKYGTLLSLQKQLEEAENKLMEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEKEKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASLMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYIGYGEGGQLTEAVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTSDSKEAVYEIMKKQVIDMARQSFRPEFLNRIDEYIVFQPLDTTEINRIVEIQLNRVKNRLRQQKIHLQYTPEAVEHLGSLGFDPNYGARPVKRVIQQMVENEIALSVLKGDFKEDDTVLVDVSFVAIAKGLAPQKKLVLQRLENANLESTEAAGKTRNSGYETEFCS >OGLUM02G06200.1 pep chromosome:ALNU02000000:2:4397771:4401795:-1 gene:OGLUM02G06200 transcript:OGLUM02G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERQGRVGGHGVSGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGESIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDNMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPIAFNQDTSPFNYGSSTNSRDMLNNSHVFINSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPMQDGRIHHQAVSNQLNYNDDLMRTSGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELV >OGLUM02G06200.2 pep chromosome:ALNU02000000:2:4397773:4401713:-1 gene:OGLUM02G06200 transcript:OGLUM02G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEERQGRVGGHGVSGGGGGRDQFPVGMRVLAVDDDPTCLKILENLLLRCQYHVTTTGQAATALKLLRENKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRLEQLRTIWQHVIRRKNCDAKNRGNDDDAGQKAQGMNNEGESIGANRNKRQSRKSRDENGDDGDDSDENSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIEKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSTDASRQANLAAAFGGRNPAYINMNSFGNYNAYGRYRTVPTAGHTQANNILTRMNSPSAFGVHGLLHSQPIQLGHAQNNLSTSLNDLGGLNNGNMIRGAQMSTILTGPSGNSFPNISNGAPLATANRSLQPLESSNQQHLSRVHSSSADPFSTLVGESPQFPDLGRTTNTWQTAVPSNIQDRGHNDNMSQATLHMNGPKIEPVSSFTSSNQIPLLGNEMQGQVASLASNVPIAFNQDTSPFNYGSSTNSRDMLNNSHVFINSSINTSLPNLSLDNPAVPRQTLDRGNTGIVSPMQDGRIHHQAVSNQLNYNDDLMRTSGLQRGLSGGLDDIVVDMFRPDREDDGVPYIDGDWELV >OGLUM02G06210.1 pep chromosome:ALNU02000000:2:4418085:4418669:-1 gene:OGLUM02G06210 transcript:OGLUM02G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMSKLAGGGGDVTFVGGGRRMAAAAAAALRLVELDLIGTVGAAVPGQATAPRLLVVSPAPAKEEDEEEERDGDDDGEPRQLFACHYCRREFYSSQALGGHQNAHKRERTLARRGAGGEQASSSSFAIHHGAFVSASPGWMARVLHGEAPPAISVAGDGGGGERWWWGGGNVGYYWPRDGDDQTRQLDLTLKL >OGLUM02G06220.1 pep chromosome:ALNU02000000:2:4420599:4421285:-1 gene:OGLUM02G06220 transcript:OGLUM02G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPSSAARSLAASAQAPAASAPVVSEHYGDGGGRRHCRRPRRRAPSLPRPTLPLPGGGDEEAERGGRRRQRGRTTTAAAAAREDSGGGGVLGLIFGKRQAASGGAAGPLVTGVAKGAAFIPCADMVLVARVGRDLVLVVRVGRRQKILKVGWCAPPPPTCWGGWSWPWCPKPNILLSPLPLLLELASPAAAAAARPPPTSMRSSSNRRRREGGERRMVAVSSSSR >OGLUM02G06230.1 pep chromosome:ALNU02000000:2:4422388:4424652:-1 gene:OGLUM02G06230 transcript:OGLUM02G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNB8] MAGDLGFVSLQIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQAFASLVSALLYEKRFGPYFCQPVIAGLGDNDEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPSMEPEELFETISQALQASVDRDCLSGWGGYVLLVTPTEVQERVIKGRMD >OGLUM02G06240.1 pep chromosome:ALNU02000000:2:4427825:4430887:-1 gene:OGLUM02G06240 transcript:OGLUM02G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLTPLSSSSSSSSRFFFLLVLVLVLSCSLPANGRTHRSPAAAASPSPGPGPSPAPATPRVVPPAPAPATGGGGGGGGIFSSNGSLAVTPAAAAAVAPSPPLGAVAAMEQRQHHHFHKELIIAIGLASVASMAIVATVLYACILCRHSRRAHDSKNIRSSSDTARVAMVPMLNKFNSMKTNKKGLVAMMEYNTLETATGKFSESNLLGAGGFGCVYKANFEGGLVAAVKRFGHRGQDCEKEFENELDLLGSIRHLNIVSLLGFCIHEENRFIVYELMENGSLEAQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNHSKGSLKLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRRPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRISPESPCATRKQSPC >OGLUM02G06250.1 pep chromosome:ALNU02000000:2:4439990:4445486:1 gene:OGLUM02G06250 transcript:OGLUM02G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAGDDDAVAAGIICSLRGADLAGWTPPWWCSSSSKGAAREELIWPPVTRGKRSRRRSPSAVAAAAGKKGRWARASPASPLDYSGGSGSGSGSGSGSAASTSGGEDGAFCSPPGHRPAPATTKVGAMGRQQQLPLSAPSPLRPAGQRPRKKMRLPEVQQLVRSLAVENDSLHEEMRTLQRACAALSKENDKLEIRLQISSSRNKPMITEDLKGKQQIDQQSATQSIGGGFALPDLNIPVQDAADGSVH >OGLUM02G06260.1 pep chromosome:ALNU02000000:2:4448262:4451387:1 gene:OGLUM02G06260 transcript:OGLUM02G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYPDHGLAMDPSSAAASSPNPSFSPGGGGGVGVGGGEREKAAVAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNDGSDGMGFGPLMLTEGERSLVERVRHELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSGEKTKKKR >OGLUM02G06270.1 pep chromosome:ALNU02000000:2:4452921:4457623:-1 gene:OGLUM02G06270 transcript:OGLUM02G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETRFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINAFMETEASPPSPMSSLRDRLRATVCCCFGYGGGGGGGGGGLGDTVAQWRRRVGSPGEFRYDPLSYALNFDEGAADDEDDDYEAGDNHRADGLLYRSFSPPAAAIAAV >OGLUM02G06270.2 pep chromosome:ALNU02000000:2:4453406:4457623:-1 gene:OGLUM02G06270 transcript:OGLUM02G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETRFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINGSTILSKMLDSLSAPTPNHPNHTLLL >OGLUM02G06270.3 pep chromosome:ALNU02000000:2:4453713:4457623:-1 gene:OGLUM02G06270 transcript:OGLUM02G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT2G26000) TAIR;Acc:AT2G26000] MATSGGEDPSGSASSSASALDSLPFSSGNPRIEETRGVVLLHPEPPAASSSSLLPVGRKPRVCVPGVPNHLTYADFGRFCASWASHILETRIIRIDGVEDQYGVLIKFDTQSFTDSFYMSFNGNRFSSLEGNVCRVRFVEDVHYTQLIEHAHSSVTSSAEQPTCPNDLTKILEAFLQQYATILSTIRACQSGQTLRAQYCQQEPEKSSCSVCGTSENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGNLVEYNFYGDHSVDGMCSTCNGDAGISEALLDSKMEAIVEEYNDLVTSQLEKQRNYYESLLLEVKEDNEKEIAAATEKAVGIKVQKLQAKLDKCMEETRFLNDIHENLVKNMEMWRERIQKVKEREQAAIRLKDEKIEKLEEELRDLIAHFERQNTVAEASESMSSDINGSTILSVPSESSASSNSSIRN >OGLUM02G06280.1 pep chromosome:ALNU02000000:2:4458374:4474476:1 gene:OGLUM02G06280 transcript:OGLUM02G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCKLYRSSFSRVPDAGFATAVCSRESMTRTSALPELSSYMRRIISRGVDLMRTAMVCPRNSCFITPFLCTMMVLNADEMGIRGESVR >OGLUM02G06290.1 pep chromosome:ALNU02000000:2:4465543:4470302:-1 gene:OGLUM02G06290 transcript:OGLUM02G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLATZ transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G12646) TAIR;Acc:AT2G12646] MWKPAWLEALNTQKFFIACSFHEHAKKNEKNICCLDCCTSICPHCVAAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQVHQICIVHACKFDSCARVNMYAALQFCIYMVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKDLSAYLRPCKTLQLGPDFFIPHDADDETTHSTLVDVDEPMGSSDSENLSAPCPNFVRKKRSGPYICARSANRVSDDDMATNMSRRKALSNAYSDHLEIRTLYEAGPGKMKVAIGQEAGNHIYKIGLVPES >OGLUM02G06300.1 pep chromosome:ALNU02000000:2:4479347:4480019:1 gene:OGLUM02G06300 transcript:OGLUM02G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVIMASRAPPLLLLLIVLSLVVLAALLAAAAADASAVAGGGRSSPSTTTFVLAGERTRRKDPLDGLRLYSGGWNISDEHYWASVGFTAAPVFAAAAIWFVVFGVSLFLAGCCFCCCPGSSRRGGGSYSCTALVVSLVLLLAFTAAAAYV >OGLUM02G06310.1 pep chromosome:ALNU02000000:2:4480104:4482904:1 gene:OGLUM02G06310 transcript:OGLUM02G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYDGQGRFDGSTAATVEYVAGKSGDAVASLRGFASSMEAAKAAGVGPVSLPASVKGSIDGVVRKMSSAADELAARTASNAAKIRDALETIRKILIVVAATMLILSVLGLAFSICGMESLVYVLVFLGWILVAATLLLCGTFILLHNVVGDTCAAMGEWVQRPQARTALDDILPCVDTAAAADALARSKDVTHHLVTVLNGVIANVSNAAAAGLPPPLYYNQSGPPVCATVGRLTPAMYAQMVAAASACDALSRYGPVLADMADCAFVRRAFRVVGDEHCPGLGRHSAEVYRGLLAVAVAALASVVLWVAHSRERRRRRDAVELRAAASPYTVHHSHLEEGALLKSPRMMYG >OGLUM02G06320.1 pep chromosome:ALNU02000000:2:4484949:4485299:1 gene:OGLUM02G06320 transcript:OGLUM02G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVNSSSSSSSSSSPAKNSGAPAAVAKYCLCAPTTHPGSFRCRLHRSPAATAKAKAAIVPPPATEEEEEEGEEMAAAAVRAFLARASRKSRQDDGRNRIKCFQPRTSRLGIIEE >OGLUM02G06330.1 pep chromosome:ALNU02000000:2:4486742:4501764:-1 gene:OGLUM02G06330 transcript:OGLUM02G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVCEGKDFSFPAEEERVLRLWEELDAFHEQLRRTAGGEEFVFYDGPPFATGLPHYGHLLAGTIKDAVARHHAMRGRHVARRFGWDCHGVPVERAVDEALRIATRAQLWDKDLVYKSFKVMPYSTGMKTPLSNFEAGQHRQFVPAETAMVSFPVVGDVDNAALVAWTTTPWTLPSNLALCVNANFVYAKVKDKSNGSVSIVAETRLDQLPVTVKVTGKKPGPSKGSSGAAKNGLDTESYELLEKIHGSSLVGLRYTPLFDYFSELQDTAFRVVADNCVADDTGTGVVHFAPAFGEDDYRVCLASGIVESVRGYQSMLITFNADAISTALISQAISEKLCIPPAEFRVEILPKMTNDNVGAESRVEVLPKMTNDNVGVPNKVVLGCHLPDGQEVPSSPPEGDLEKLTAAPLPSVVSPLSPSAPNTAVALTVSTSHGSVPSSLNEGHGVSSKIAAPKEEHVTPTMDPPSRCEHLPLEVAPSNLVTDKAELLEITKAPAVKNRLAKRVRQKIITATSRRSVPLPSNMKKRRLLIDQMKTYVLMKKLGIITKDEEVFSVALGNDLEVFIVVPNNNHHGFKSLATGTDLVAAVDDDGFFKDKISEFNGLLVTDKETNKYVINAVKCRFIRVERIRDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRTRFWGTPLPLWISQDGEEIVVMDSVDKLEKLSGVKISDLHRHHIDGITVPSQRGEEFGALKRVDEVFDCWFESGSMPYAYIHYPFDNRELFEKNFPGDFIAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKNNYPSPMEVIDNYGADALRLYVINSPVVRAESLRFNKNGVHGVVKDVFLPWYNAYRFLVQNAKRLEVEGLAVFSPINQAILLRSSNVLDHWIQSATESLVCFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEDDCRISLSTLYHALVTTCVVMAPFTPFFTEVLYQNLRKVSSKSEKSIHFCNFPSSTGQIDERVEHSVNRMMTIVDLARNIRERHNRPLKTPLRHAHYTTILFTQFLVLCVLGKRLGKDMGKISNEVKKMTQDQILTFEESGEIFFGSHLLTLEDIKVFREFKRPANVSEKEIDAAGDGDVLVILDLQVDQSLFEAGVAREVVNKIQKLKKTAQLRLTDPVDVYYSSQDSSDSSLEKILQSQDQYIRDALGSPLTSKAQAQPNVEVICEEAYNCVSHYHTFRLLQETVKLVHPFIKIEDFP >OGLUM02G06340.1 pep chromosome:ALNU02000000:2:4503508:4511396:-1 gene:OGLUM02G06340 transcript:OGLUM02G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YND2] MASEIEVLEDTTAAAAAPAATTGGGEVAGAGAGEEGEAAAADAEALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSVRLLLFLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGGNSYFGKLSKLGLAPLLCYLRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHVFVAWIWSHRHCQGVVYLEAQSTDY >OGLUM02G06340.2 pep chromosome:ALNU02000000:2:4503675:4511396:-1 gene:OGLUM02G06340 transcript:OGLUM02G06340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YND2] MASEIEVLEDTTAAAAAPAATTGGGEVAGAGAGEEGEAAAADAEALKDDVYTGAAYGDLEKLHRLVEREGRPVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQTTHVAAQYGQTSYLYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSVRLLLFLGCTPLHWAAIRGNLESCTVLVQAGKKEDLMVQDNTGLTPAQLAADKNHRQVAFFLGNARRVHERGCGGNSYFGKLSKLGLAPLLCYLRKDPGYIDKNTRDAQNQRDDEPLLKRGLDNPELLAGNWSQLCITCKKNKWEFFMFLILEVSAMIITGVTAVIRVVGDPDSPASFGGWLNYSATNHPWVVSFVVMDLFLFFGVITLTVVQASQISRNLTTNEMANAMRYSYLRGPGGRFRNPFDHGVRKNCSDFLLKGYNEDIERVEQTLQPDEELGMIQMTRSAVSQNGESMSLHANGTDHGCADPQGNSKSHRHSHGSSQCCSHSKRPDKTPLGLGLGLGRNNPSSRYTRSLPSIHSESSAYLPL >OGLUM02G06350.1 pep chromosome:ALNU02000000:2:4512266:4516909:1 gene:OGLUM02G06350 transcript:OGLUM02G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASHRFLSVAEAGAAFRWTPSQLDPKMLSLQNEIFITSGGDRDLINRILSKSKTETVPENKDDGESDDDNDEEGDDEDAENQGDDDAGDEDASEEEGNDEDEDGDPEANGEGGSDDDDGGEDDDDEDEDGDDDEGEGKGEDDDEDEEEEEEEDDDDDVPQPPAKKRK >OGLUM02G06360.1 pep chromosome:ALNU02000000:2:4514710:4521182:-1 gene:OGLUM02G06360 transcript:OGLUM02G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic H pyrophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G15690) TAIR;Acc:AT1G15690] MAAAAILPELAAQVVIPVAAAVGIAFAVLQWALVSKVKLTAEPRRGEAGGAAGGKSGPSDYLIEEEEGLNDHNVVSKCAEIQTAISEGATSFLFTEYKYVGLFMSIFAVLIFLFLGSVEGFSTKSQPCHYSKDKTCKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVSEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGAQKTVQSWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWLRDVIVVILFLFFLLILIVILALALTLIIVPVLILVIVIFSTIVVITPSFTIGFRVPILVLIIPFLFRGIFITSIIVALIFSILIVSLLIVIIIRLTIILVLRNRFSFAFAENPLGEGSKTNLSFEMRY >OGLUM02G06370.1 pep chromosome:ALNU02000000:2:4521315:4528752:-1 gene:OGLUM02G06370 transcript:OGLUM02G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASEYRAQRNQEEIERETTTKIRISTCGRATGLPSLRGAVAKQEVCLRHGTISQARAHGSGSRHARQRSPAGPNPRDVASNFEITKPHRQLMRLVLAASLCCVLLLLRSSPSPPPPHL >OGLUM02G06380.1 pep chromosome:ALNU02000000:2:4530561:4539140:1 gene:OGLUM02G06380 transcript:OGLUM02G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHFSALAPPPPPLLFLLFLPFPWLRLHSSAHSSPPPRSRRDLHGGGGGMAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKERVSLATVYVIVPRATILEALNFVILLSTICSYMQFEKEEACRLLKRQPEAEKLRTDTNADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPTEMLVSTSFKNSKQEKGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALGHIVRMSKTIGEEIGCGHPVWPAVIHGHYASAGIAAALLSGSLNIPMAFTGHFLGKDKLEGLLKQGRHSREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHIIHDFEMDGEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITSLVKAFGECRPLRELANLTLIMGNREAISKMNNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGGKQEQKAPISGRKHIIVISVDSVNKEDLVRIIRNTIEVTRTEKLYGSTGFVLSTSLTISEIRSLLVSAGMLPTVFDAFICNSGSNIYYPLYSGDTPSSSQVTPAIDQNHQAHIEYRWGGEGLRKYLVKWATSVVERKGRIERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCIRWGIELPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIPANRIHTVRRYPLQDVVALDSSNIIGIEGYSTDDMKSALQQIGVLTQ >OGLUM02G06390.1 pep chromosome:ALNU02000000:2:4539730:4542891:1 gene:OGLUM02G06390 transcript:OGLUM02G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSSIFGDELIPSSPPSPSPPHHHHHPAKRSRYSPARAFDEATHRREALLHHLLSLFPHMDPQLLERALEASGDDIDSAIKSLNELCLESAAVGDSNSVLPAALKLSAEGVVNNGHLDVLTENPHATENFQTNHHGSEWVELFVREMMSASDIDDARARASRALEALEKSIMERAGTEAVHNLHKENVMLKEQLAIYLRENAVLKRGVAIQHERQKEFDERTQEVHSLKQLVLQYQEQIKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >OGLUM02G06400.1 pep chromosome:ALNU02000000:2:4543311:4548300:-1 gene:OGLUM02G06400 transcript:OGLUM02G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTSCYGYYHYLALAVAVLVLVRVTRTRGGGSDGVRLPPGPWRLPVIGSLHHLAGKPLVHRALADLARRMDAPLMYLRLGEVPVVVATSPGAAREVMRTHDVAFATRPVSPTVRIMTADGEGLVFAPYGALWRQLRRIAILELLSARRVQSFRRVREEEAARLAAAVAAEAPHGEAAVNVSERIAVLIADSAVRAMIGDRFKKRDEFLEALAEGLKLVSGFSLADLFPSSWLASFVTGAARRAQENHRKNFELMDRAIEQHQERRAAAAAASGDVVEDDDLVDVLLRIQKGGGLDVPLTMGIIKAVILDLFSAGSETSATTIQWAMSELMRNPRVMKRAQAELRDNLQGKPKVTEEDLADLNYLKLIIKETLRLHMPAPLLLPRESRESCKIFGYDVPKGTTVLVNAWAIGRDPKYWDDPEEFKPERFEDSKIDFKGLDFEFLPFGSGRRMCPGIMFAQPNIELALATLLYHFDWSLPAGVKPSELDMTEEMGITVRRKNDLYLHAVVHVAEYLSIFLALVVAPLLLLRVARRARGNGAGRPRLPPGPWRLPVIGSLHHLMGKPHVHRAMADLARRHGAPLMYLRLGEVPFVVASSPDAAREVLRAQDANFASRPWSPTLRVMMADGEGLAFARHGAHWRRLRKICVLELLGPRRVRSFRRVREEEVARLLAAVAAAAAAGADAVVNVSERAAVLVTDTTVRAMIGDRFEMRDEYLEGVAEVGKLLLGLSLGDLFPSSRLASLVSGTARRAAASHRKMFELMDCAIRHHQERKAAMDADEDILDVLLRIQKEGGHDAPLTMGDVKDTILISTMDLFAAGTETSTATLQWAMSEVVRNPRIMQKAQAELRNKLQGKPSVTEDDLVGLTYLKLVIKETLRLHPAAPMLVPRECGESCKVLGYDVPRGTNVLINAWAIGRDPNYWDDTPITGMTRRQRCENNKYDFRGTDFEYIPFGSGRRICPGPAFAHAILELAFAALLYHFDSELPCGVAPGEVDMAEETGVVVRPKNDLYLRPVVRVPPGAASSGNGGT >OGLUM02G06410.1 pep chromosome:ALNU02000000:2:4552900:4554914:1 gene:OGLUM02G06410 transcript:OGLUM02G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRVTAMHVQETDGGGDDTMTCVQERAAAAGSGDADAHSNTAEIDGASAAQIDRAAEVRGLIEMAVALVGEGDGGGRRWSGGEGVDTGGWGMEAAVAGSTYQNPVEAGSNGGCWVGVGRRRRRIQEAGGAVDGGGRSSSSSQPPSTSSSSLSQLPLPWPLAPARLSPPPPLPRAETGRSAAARAAAASPPAGSGGGEGATAAAVGPPPPLFPQSGLQIWRREGAPTIHAVAPPRRPPPPCLPSRAFPLAPAGSDGMAPPPLPSRRRRRRYTQRNGERERELERNGEREREGSLISSECGPRQEKKYLLRLGLGSSDDENLEDCMNEENDSGLV >OGLUM02G06420.1 pep chromosome:ALNU02000000:2:4560828:4564362:1 gene:OGLUM02G06420 transcript:OGLUM02G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQDVTGYLCLFLALLVLLKVVRKASGNGAAGRLRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADMARRLDAPLMSLRLGEIPVVVASSADAAREVTKTHDVAFATRPQSSTIRVMVSDGEGLVFTPYGALWRRLRKIAMLELLSARRVQSFRRVREEEVGRLVAAVAAAAAARPGEAVNLSQLIAELISDTAARTIIGDRFEKRQELLEGLTEGIRISSGFSLGDLFPSSRLANLIGGTTRRAEANHRKNLALIECALRQHEERRAAGDEEDDEDLVDVLLRVQKEGGGEVPLTMGNVKVVIREMFGAGSETSANTLQWLMSELILNPRVMSKAQVELSDTLRGKQTVTEDDLAGLKYLKLIIKENLRLHPVVPLLLPRECQKTCKVMMYDVPVGTTVLVNVWSINRDPKYWEDPETFKPERFEDGHIDFKGTDFEFIPFGAGRRMCPGITFAEAIMELALASLLYHFDWKLLGNGISSTKLDMTEELGATVRRKNDLYLVPTIRVPLPADS >OGLUM02G06430.1 pep chromosome:ALNU02000000:2:4567056:4569155:1 gene:OGLUM02G06430 transcript:OGLUM02G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYLSGSPELARFPHADSVVPNHNGSAGPAMELGFAGGGGAAVKPSYSSYTAASLGNSGSSSEVGLVPDAICGGGGGGIIELDFAQSKAAYLPYASTPSHSMSSSMDMGVAAPEMSDGAAAAAGRAYAAEGRAARLMRYREKRKNRRFEKTIRYASRKAYAETRPRVKGRFAKRADDHDAAAPPPQIMLDFAGYGVVPTF >OGLUM02G06440.1 pep chromosome:ALNU02000000:2:4580030:4581423:1 gene:OGLUM02G06440 transcript:OGLUM02G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVSCFAAAAAAVLVVLSLARMLLAPRREWDGLNLPPSPSRLPFIGSFHLLRRSPLVHRALADVVRQLGAPPLMYMEIGEVPAIVVSCADAAREIMKTHDINFASRPWPPTIRKLRAQGKGIFFEPYGALWRQLRKIFLMTD >OGLUM02G06450.1 pep chromosome:ALNU02000000:2:4581470:4583806:-1 gene:OGLUM02G06450 transcript:OGLUM02G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASMGRTTIVIAHRLSTIRNADIIAVMQSGGVKELGSHDELIANDNGLYSSLVRLQQTRDSNEIDEIGVIGSTSAVGQSSSHSMSRRFSAASRSSSVRSLGDARDADNTEKPKLPVPSFRRLLMLNAPEWKQALMGSFGAVVFGGIQPAFAYAMGSMISVYFLTDHAEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRIREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTISAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSTHAQAESSKLAAEAVSNLRTITAFSSQERILRLFDQSQDGPRKESIRQSWFAGLGLGTSMSLMACSWALGFWYGGRLMAEHHISAKELFQTFIILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPQGYKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFTLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETASEAEIEDAARSANAHDFISNLKDGYGTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIDRTSVVVAHRLSTIQNCDLITVLEKGIVVEKGTHASLMAKGPSGTYFSLVSMKQRGNQQVQQ >OGLUM02G06460.1 pep chromosome:ALNU02000000:2:4584621:4586738:1 gene:OGLUM02G06460 transcript:OGLUM02G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQDATGYLSLFLALLSITLVLHKVARKASGDGAGKPRLPPGPWRLPVIGNLHQIAMGGPLVHRTMADLARRHDAPLMSLRLGEVPVVVASSADAAREITKTHDVAFATRPWSPTVRAMVADGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRGIREDEVGRLVAAMAAQAGEAVNVSERIAVLIADSVVRTLMGDRFDRRDEFLDQLAERVKITSGFSLGDLFPSSRLASFIGGTTRRAEANHRKNFELIECALRQHEERRAARAGAAAAGAVDDDEDLVDVLLRIQKEGRLEVPLTMGNINAVIYDLFSAGSETSANTLQWVMSELILNPRVMLKLQAELRGILQGKQRVTEDDLVELKYLKLVIKETLRLHPVVPLLLARECQDTCNIMGYDIPVGTTVFVNVWVICRESKYWKDVEIFRPERFENVCVDFNGTYFEYILFGAGRRMCPGVAFAEASMELVLASLLYHFDWELPNDILPTKLDMTEEMGLTIRRKNDLYLIPTICMPPLAAQT >OGLUM02G06470.1 pep chromosome:ALNU02000000:2:4630393:4654601:1 gene:OGLUM02G06470 transcript:OGLUM02G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCEEKRIRGGWWRSRKASGCSGAGQPRLPPAHDGHHGRPDAPLMSLRFNRRTLRRRRRLVHRRRLQGVQPRRTTSRRGLLVAIASAATQPVNVSERIGRGVHLRLRTIMGDPCSTTQTMAMVQDITGYLCLSLALLLLTLVLHKVARKASGNGAGKPRLPPGPWRLPVIGNLHQIMVGGPLVHRTMADLARRLDAPLMLLRLGELRVVVASSADAAREITKTHDVAFATRPARQLTPMAMVQYVTGYLCLSLALLLLTLVLHKVARKATGNGAGKPRLPPGPWRLPVIGNLHQVAMGGPLVHRTMADLARRHDAPLMSLRLGELRVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSDGVGLGLAEAIKITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALRQHEERRAAGAVDDDEDLVDVLLRVQKDGSLQMPLTMGNIKAVVLELFGAGSETSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPDGISPTKVDIMEELGATIRRKNDLYLIPAVRVPLSIVL >OGLUM02G06470.2 pep chromosome:ALNU02000000:2:4627647:4630489:1 gene:OGLUM02G06470 transcript:OGLUM02G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKAVILELFGAGSETSASTLHWAMTELIMNPKVMLKAQAELSNVIKGKKTISENDLVELRYLKLVIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTMLVNVWAISRDPKYWEDAETFRPERFEDGHIDFKGTYFEFIPFGAGRRMCPGMAFAEAIMELVLASLLYHFDWELPDGISSTKVDMMEELGATIRKKNDLYLVPTVRVPMSTAL >OGLUM02G06470.3 pep chromosome:ALNU02000000:2:4627647:4654577:1 gene:OGLUM02G06470 transcript:OGLUM02G06470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKAVILTSANTLQWAMTELIMNPRVMLKAQAELSNVIKGKQTISEDDLVELKYLKLIIKETLRLHPVVPLLLPRECRETCEVMGYDIPIGTTVLVNVWAIGRDPKYWEDAETFIPERFEDGHIDFKGTNFEFIPFGAGRRMCPGMAFAEVIMELALASLLYHFDWELPDGISPTKVDIMEELGATIRRKNDLYLIPAVRVPLSIVL >OGLUM02G06480.1 pep chromosome:ALNU02000000:2:4643671:4644722:-1 gene:OGLUM02G06480 transcript:OGLUM02G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDRRWPASGGRWRLRRRRCFGPRCLRRRSPRSRATIGGCSTSATLTEQARPTFAHHAPCGSPQRIGWSLPMMEMMDGWYCAMSSPSLYHAATPFHYSIIDYRSPSGCPLHYLSQDTDRHLHPYSKIQESHQDPSFTMDFCYEIMGYIERKVVIVLLADHEI >OGLUM02G06490.1 pep chromosome:ALNU02000000:2:4686691:4715427:1 gene:OGLUM02G06490 transcript:OGLUM02G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMIGDRFERRDEFLELLADIVMIGSGFSLDDLFPSWRLAGAIGGMARRAEANHRKTYELMDSVFQQHEQRRVPVAAPADGAMDDAEEDLVDVLFRIQKDGGLEVPLTIGNIKAILLDLFNAGSETSANTLQWVMSELMRNPKVMRKAQAELRNNLRGKTTVTEDDLTNLKYLKLVIKETLRLHPVLPLLLPRECREACNVIGYDVPKYTTVFINVWAINRDPKYWDMAEMFKPGRFDNSMIDFKGTDFEFVPFGAGRRMCPGIAFAQSNMELVLATLLYHFDWELPSGMSPEELDMTEDMGLSVRRKNDLYLHPTDFLDAAAEWVKIMSGFSLGDLFPSSRLASFVSGTVRRAEANHRKNFELMDYALKQHEEKRAAAAAAATGAVEDDEDIVDVLLRIQKEGGLEVPLTMGVIKGVIRDLFGAGSETSANTLQWTMSELVRNPRVMQKAQTELRDCLRGKQSVSEDDLIGLKYLKLVIKETLRLHPVVPLLLPRECQETCKIMGYDVPKGTNVLVNVWAICRDPRHWENAETFIPERFEDSIVDFKGTDFEFIPFGAGRRMCPGLAFAQVSMELALASLLYHFDWELPSGVAPSNLDMEEEMGITIRRKNDLYLVPKAVCAMAMDGMEKLAWCACFLLLALMVVRLTTKRRRDNNGGVRLPPGPWRLPLVGNLHQVMARGPLVHRTMADLSRRLDAPLMSLWLGEVPVVVASSADAAREITKTHDVAFATRPWSSTIRVMMSDGVGLVFAPYGALWRQLRKIAMVELLSARRVQSFRRIREDEVGRLVAAVAAAQPGEAVNVSERIAALVSDAAVRTIIGDRFERRDEFLEGLAEGIKITSGFSLGDLFPSSRLASFVGGTTRRAEANHRKNFELIECALKQHEEKRAATAAGAVEDDEDIADVLLRIQKEGSLQVPLTMGNIKAVVLDLFGAGSETSANTLQWAMAELIMNPRTMLKAQAELRDALQGKQIVSEYDLVKLKYLKLVIKETLRLHPVVPLLLPRECQETCKVMDYDVPIGTIVLVNVWAIGRDPKYWEDAKTFRPERFEDDHVDFKGTNFEYLPFGVGRRMCPGVAFAEAIMELALASLLYHFDWEFPDGISPAKMDMMEVMGSTVRKKNDLYLVPNVRVPVAWCACFLLLALMVVRLTAKRRGDNGAARLPPGPWRLPLVGNLHQVMARGPLVLCFAAAVVVVVLLLARILLAPRGEWDGLNLPPSPPRLPFIGSFHLLRRSPLVHRALADFATRPWPPTIRKLRAQGKGIFFAPYGALWRQLRKICIVKLLSVRRVSSFHGVREEEAGRLVAAVAATPPGQAVNLTERIEVVIADTTMRPMIGERFERREDFLELLPEIVKIASGFSLDDLFPSSWLACAIGGSQRRGEASHRTSYELVDSAFRQRQQQREAMAASPPDIAKEEEDDLMDELIRIHQEGSLEVPLTAGNLKAVILDLFGAGSETSSDALQWAMSELMRNPRVMEKAQNEVRSILKGKPSVTEADMANLKYLKMIVKETHRLHPVLPLLIPRECQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDGEIDLKGTNYEFTPFGAGRRICPGLALAQASIEFMLATLLYHFDWELPNGAAPEELDMTEEMGITIRRKKDLYLLPTLRRQQQKEAMAAPPPDIAKEEEDDLMDELIRIHKEGSLEVPLTAGNLKAVILELFCAGSETSSNAIQWAMSELVRNPRVMEKAQNEVRSILKGKPTVTEADMVDLTYVKMIVKETHRLHPVLPLLTPRVCQQTCQIMGYDVPQGSVIFINSWAIMRDPKHWDDAETFKPERFEDSEIDLKGTNYEFTPYGAGRRIFPGLALAQVSIEFILATLLYHFDWELPNGAAPEELDMTEDMGLTIRRKNDLYLLPTLRELTEQMKLLGGFSLDDLFPSSWLASAIGGRARRAEANSRKLYELMDCAIRQHQQQRAEAAVVDGGAGVEDDKNQDLIDVLLNIQKQGELETPLTMEQIKAVILDLFSGGSETSATTLQWAMSELIKNPMVMQKTQAELRDKLRRKPTVTEDDLSGLKYVKLIIKETLRLHPVVPLLVARECRESCKVKGYDVPKGTTIFVNVWAIGRDPKYWDDAEEFRPERFEHSTVDFKGVDLEFIPFGAGRRICPGMAFAEAIMELLLAALLYHFDWELSNGMAASELDMTEEMGITVRRKNDLHLRPILPDERRRPLMYLKLGEVPVVVASSPCAAREIMRAHDVAFASRPLSPTVRRMRPPGDGSSARSASSSCSARAASGRSAASGRRRWPASWARSCLLGGFSLDDMFPSSRLASAIGGAVRRAEVNREKLFKLMDHAILQHQERKAEAMVDAGARRTRTRTS >OGLUM02G06500.1 pep chromosome:ALNU02000000:2:4715478:4716597:1 gene:OGLUM02G06500 transcript:OGLUM02G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKAVILTSATVIQWAMSELMKNPRVMRKVQAELRDKLAGKPRVTEDDLSDLKDPNYWDDAELFRPERFANSTIDFKGMDKEFIPFGAGRRMCPGLAFAEAIMDLLFSTLLFHFDWELPCGMTASELDMIEEMTLTVRRKNDLHLRPILRVPQTQTSSALLFCERAQTSSAFLF >OGLUM02G06510.1 pep chromosome:ALNU02000000:2:4717688:4720574:-1 gene:OGLUM02G06510 transcript:OGLUM02G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin heavy chain-related protein [Source:Projected from Arabidopsis thaliana (AT4G32190) TAIR;Acc:AT4G32190] MPPLSPSSSPPATAAAALRCGSPSCRPVTHVLFRQKLSFMVSFQAQRMRCAPHLIKSVVKGIRANITDGENGATEPARELLERLFAKTQRLDTSASQDSELSISIDVLKSEFEAALSTLRKKERDLRDAENRVSVDQVRLNRAKKDLDQRERGINRAYARQQEMERSLGKASRDLVLQVRQIDNLKLLVDEQDKKIASSQDLLSQKVTEVEKLKQDMLKKNEEVTLMRSEIKSKEQLLLEANQAAEQQEATIKELRSEIKRKEIDFSRSNELRKANEQKLKIAEQELERQNMGWLAAQKELKEVAQLACKDMDGIKDTVSDFKRVRSLLDAVRSELIASKEAFSSSRKQIEDQAVQMQKQVQELSGQRLLLSSFNQNLEAARLEIQGKAKELNAAQSRCHELESLLLQEKEKVESLEAVLTKERESLEEKTKEVELLQKALVQKENEHSNSLKLVEIKESELLEARNEVQDMKSKVESIQIAVQEKDSELSETQRRLAEVNSEVVELKQLLDSKEDQLVQVRTELQDKEQHIQTLQNKLDSMKFSCSQAESVVQKIAELTGNLASSVEGEEMDIYALLDDEISSTGTALKSNLHKHNQLEADIEMLKESLHQKDMDLRAAHEALDAKDQELKAVMRRWDVKEEVDKLEGFLKDPSDIKRPSDFSVHMGLQNLQTEAAEVEALAATTTLKKLADMAKGFLRSGKTDSGINLVASPSVNSTRIVSKTKPNKEMDMILDAEKEIAGLFSLTEQLITEAGIDVAHQA >OGLUM02G06520.1 pep chromosome:ALNU02000000:2:4724246:4724638:1 gene:OGLUM02G06520 transcript:OGLUM02G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAVACVVAVVVVVVFAMLRVKLARSGGGGGGGGGGVRLPPGPWRLPVIGSLHHVVGDRLLHRAMARIARRLGDAPLVYLQLGVLGCRVGMRKMGSVEPTIGQPNRVAKFGQPDSLAIRSRGCWSTFFG >OGLUM02G06530.1 pep chromosome:ALNU02000000:2:4724987:4733487:-1 gene:OGLUM02G06530 transcript:OGLUM02G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASCCKGVDGVLPGRRKKKKETTWRIFSLKELQSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAIEVEVLATVRHKSLLSLRGYCAEGQERLIVYDYMPNLSLHSHLHGQHAAECHLGWERRMKIAIDSAEGIAYLHHQATPHIIHRDIKSSNVLLDKNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGVLLLELASGKRPVEKLNPTTKLTITEWALPLARDKKFKEIADPKLKDVFVEAELKRMVLVGLACSQNKQEQRPIMSEVVELLKGESAEKLSNLENDEMFKPDLTSSFQDSSHSSHPDSSDCITEEKNSKADTIDEAVDSSETVPSAR >OGLUM02G06540.1 pep chromosome:ALNU02000000:2:4736803:4739318:1 gene:OGLUM02G06540 transcript:OGLUM02G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHANTGPSRGPRGPNLRVQGGGEKQQSPTSSASSRPHPASPPQTLSPPASPLLHGGGGHHRTRRSSPAASGTTPSNMDSGSDSDSAPEELTAVQGVEKHDEISKVEKDSAIRVSQQEKERRRRWAQRRTSSKPDKKEPLEVEDKDIKQKAENEEDEESEETHTMPGMLPTNVIEMLAAREKQTFSSDSEEEITNQKVQQRKKRLKSSGPETILLKDVRSTQHVKNALAFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >OGLUM02G06550.1 pep chromosome:ALNU02000000:2:4740586:4747373:1 gene:OGLUM02G06550 transcript:OGLUM02G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELTEYLLLLPLLVVPLLYLAASSSRRSGRLRLPPGPWALPVIGHLHHLALAGAPTHRAMRDMARRHGPLMLLRFCELPVVVASSPDAAREIMRTHDVAFASRPIGPMLRLVFQGAEGVIFAPYGDGWRQLRKICTVELLSHRRVHSFRPVRADELGRLLRAVADQAASSSSSPVNLTGMISAFVADSTVRAIIGSRSRHRDTFLRLVEDGLKIMPGMSLPDLFPSSRLAMLLSRVPAKIERRRRGMMGFIDTIIQEHQESRAAAEDEDLLDVLLRLQKDMDSQYPLTTMNIKSILIDMFGAGSETSATTLQWAMAELMRNPAVMRRAQDEVRRELAVAGNDRVTEDTLPSLHYLRLVIKETLRLHPPAPLLLPRECGGACKVFGYDVPAGTMVLVNAWAIGRDAAAWGVAAEEFSPERFERCERDFRGADFELIPFGAGRRICPGMAFGLAHVELALAALLFHFDWRLPGGMAAGEMDMTEAAGITVQAVVASSPDAAREIMRTHDAAFASRPLSPMQQLAYGRDAEGVIFAPYGDGWRHLRKICTAELLSARRVQSFRPVREAELGRLLRSVAEATSSSSSASLVNLTELISAFVADSTVRAIIGSRFEHRDAYLRMLQDGLKIVPGMTLPDLFPSSRLALFLSRVPGRIEHHRQGMQRFIDAIIVEHQEKRAAAAANDDDDEDEDLLDVLLKLQKEMGSQHPLTTANIKTVMLDMFGAGSESSATVLQWTMAELMRNPRVMQKAQDEVRRALAGHDQVTEPNLTNLPYLRLVIKETLRLHPPAPLLLPRKCGSTCKILGFDVPEGVMVIVNAWAIGRDPTYWDKPEEFVPERFEHNGRDFKGMDFEFIPFGAGRRMCPGITFGMAHVELVLSALLYHFDWELPQGMAAKDLDMTEDFGVTTQRRSNLLVRPIHRVSVPVE >OGLUM02G06550.2 pep chromosome:ALNU02000000:2:4745252:4746902:1 gene:OGLUM02G06550 transcript:OGLUM02G06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELAFYLLLVGLVAVPLLILLGSERRTAARTRLPPGPWALPVVGHLHHLAGGLPPHRAMRDLARRHGPLMLLRLGEVEAVVASSPDAAREIMRTHDVAFASRPVGPMSRLWFQGADGLVFAPYGEAWRRLRRVCTQELLSHRRVQSFRPVREDELGRLLRAVDAAAAAGTAVNLTAMMSTYVADSTVQAIIGSRRLKDRDAFLRMLDELFTIMPGMSLPDLFPSSRLAMLVSRAPGRIMHYRRRMRRIMDSIIHEHQERRAAGDAAGDDDEDLVDVLLRLQKEVGAQYPLTTENIKTVMMDIFGAASETSSTTLEWVMAELMRSPSAMRKAQDEVRRALAAGAAGHDTVTEDILPNLSYLKLVVKETLRLHPPAPLLAPRRCDSPREVLVLGHDVPAGATVLVNAWAIGRDTAAWGGAAEEFSPERFERCERDFRGADFELIPFGAGRRMCPGMAFGLVHVELALAALLFHFDWSLPGGMAADELDMAESSGLTTRRRLPLLVVARPHAALPTKYCN >OGLUM02G06560.1 pep chromosome:ALNU02000000:2:4747429:4751637:1 gene:OGLUM02G06560 transcript:OGLUM02G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLALLLLVLAVAAARHALPAAGSDAHPGYDGVEDTCGVPAAAAAAGRMEEYGSGRILDITHAYRADLPAFAPGAVTGPVVRLRDSMANGTLYNLSELKMECHMGTHVDAPGHMNQGHFAAGLDVDKLDLDLLNGPTLLVDTPRNTNITAKAMESLNIPKGVRRVLFRTLNTDRKLMWKKGGDLSYVGFTEDGAQWLVDNTDIKLVGIDYLSVAAYDHLITAHVVFFKIPNIILVEGLKLDDVKAGIYMLHCLPLRLVGSEGSPIRCILIK >OGLUM02G06570.1 pep chromosome:ALNU02000000:2:4757489:4757971:1 gene:OGLUM02G06570 transcript:OGLUM02G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRLPLLLLLAVAAGRHALPAAGSDAHPGYDDGAEDTCGVPAAAAAAAGRMEEYGGGRILDITHAYRADLPEFAPGAVTGPVVRLKDSMANGSICNLSELKMHCHTGTHVDAPGHINQGHFAAGLDVDKLDLDLLNGTPSPPPPLYLFPYLLLAGDMC >OGLUM02G06580.1 pep chromosome:ALNU02000000:2:4759900:4761184:1 gene:OGLUM02G06580 transcript:OGLUM02G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCLALSSPVHHRCCHSRVCVVLVCCLLLALAAPLVAAAAWHDYGGTLAFTATMRHDYGGSSCGRREVI >OGLUM02G06590.1 pep chromosome:ALNU02000000:2:4766154:4768997:-1 gene:OGLUM02G06590 transcript:OGLUM02G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT4G38040) TAIR;Acc:AT4G38040] MAGRLPGAASAAAAPLPRALLLLAALALFSLTFLSLRSLRPAAAPPSLAIGGSRPSSFARPSVYHSAEAFAAGYAEMERSFKVYMYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTGDPDKAHLFFVPISPHKMRGKGTSYENMTIIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFIVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDVENRTILGFWAGHRNSKIRVILARVWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDILDWRKFAVVLKERDVYQLKSILKSISQEEFVELHKSLVQNISCPQVQKHFVWHSPPLPYDAFHMVMYELWLRHHVIKY >OGLUM02G06600.1 pep chromosome:ALNU02000000:2:4770275:4774301:-1 gene:OGLUM02G06600 transcript:OGLUM02G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSASRGRITSNYLRNCEIPHLIILANTNSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPEDCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFALELKAIRHPPHIAILYLKSRDFRIIDM >OGLUM02G06600.2 pep chromosome:ALNU02000000:2:4770275:4774301:-1 gene:OGLUM02G06600 transcript:OGLUM02G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSASRGRITSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVIVPEYTPGWVYFWLLMAAGFGLFISEEALNVWVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFALELKAIRHPPHIAILYLKSRDFRIIDM >OGLUM02G06600.3 pep chromosome:ALNU02000000:2:4770275:4774301:-1 gene:OGLUM02G06600 transcript:OGLUM02G06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGRFGSPPPLPLPRLRRRATFSAGARRSASRGRITSSRNFSRMDSCAARASASGAESSSGGGEDASEIIDAMEVKSSSTAASFLAKVALALGVAATATVISLFMRQPSSGPSFSLPQIVDASAPPDAAATIGYTFSLFGKKVGISLARSLCLDGTWQSLANSFSMNASYIISTVLWVYWQTRASEDISSKIGIGKEKALSISRAVQKYGNLIGFVERFSVGVRNPTGFLAGALGIPEDCYFAGVCCGCLFTLPIQLAVGFFLRERPVVALASVAAAVGMWTVFPYAAAACTALFFYLSRRNSKSICKFISSPVLHSSPNEKKKRGCSLFFALELKAIRHPPHIAILYLKSRDFRIIDM >OGLUM02G06610.1 pep chromosome:ALNU02000000:2:4774836:4779397:-1 gene:OGLUM02G06610 transcript:OGLUM02G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERYPHMFLILLLFHGAHAATVQKWQTLGGQRPLVIARGGFSGLFPDSSQFSYQFAMSSSLHDVVLYCDLQLSSDGLGFCKTGLTLENSTLIAEAFPKRAKTYKVNGEEIHGWFALDFTADELYQNVTLIQDIFSRPSTFDGAMGMFTLEDLVGLKPPHLWVNVEYALFLQEHKLSAEDYILGLPKDFSVAYISSPEIGFLKNVGAKLKKSNTKLIFRFLREDVAEHTTKKTYGEILKDLKSVKAFASGILVPKEYIWPLGKDQYLRLPTSLVKDAHALGLEVFASGFANDVSMSYNYSFDPSAEYLQYIGNANFSVDGVITDFPPTASGAVACLANTKGNPLPPPGGDGGRPLIITHNGASGVYPGSTDLAYQQAVKDGADIIDCAVRMSKDGVAFCQPSADLSTSTTASTSFMTKISTVSEIQNKSGIFSFDLTWSEIQTLKPDLLGPYTQAGLKRNPAAKNAGKFVTLPEFLDLAKATNVSGIMVEMEHASFLAKRGLGLVDAVSGALANASYDKEGGHLPELMVVSDDTSVLAAFKKFPAFRRVLMVDETISDASAPSVEEIKQFATAVTVGRGSIAQVNGFFLTRFTDVADRMHAANLTVYVGVLRNEFMNLGFDYWADPIIEIATYAFHVMADGLITEESVQRSEPEPELRDPAGGRRRAGAPGGARDAAAGAPAGAGAGAGRRAGPAVAACRHCQPTGRGGDGGEAHRQQQLGGGEKNGRKQ >OGLUM02G06620.1 pep chromosome:ALNU02000000:2:4783413:4786149:-1 gene:OGLUM02G06620 transcript:OGLUM02G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWAQKTVVIPAQRRGCHLITPKILREIEGDLSGFKCGLAHLFLQHTSASLTINENYDSDVQADTETFLNRIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDNASSRKIVITLNGV >OGLUM02G06630.1 pep chromosome:ALNU02000000:2:4786880:4790338:1 gene:OGLUM02G06630 transcript:OGLUM02G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDGPQSWPSHSKKNLVKLRGFYAFFGKKIAVAAAEADSSITISEMQAALLLPARPSAAAPPTLLRRGAASASSARVPTPLPAGRLSGGVLSAVRRLTVAAAGSSSSSSGPLYPTPPPTEQDIERAKFEQVVKRLGKTARYFKNLGTLGFWSQLVSTVVSAGILSFSTVITGKVTAPFTFYATAAGIAAGFISVFWSFGYIRLSERLRKTASEPAKAPPRADVIRSLKNGIVLNMLGMGAAVLGMQATVGALVAKALTTSAVPYYQATSPGQSPVLALDVFLVQASANIILSHFLGLASSLELLRSVAIPSAEAAAA >OGLUM02G06640.1 pep chromosome:ALNU02000000:2:4795220:4796125:1 gene:OGLUM02G06640 transcript:OGLUM02G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAILRAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLATATDGGEIDRPCKRVSPGPGSPTGSERSELSHGGCGSGSGGGQVFRPVPRPGGFDAISAADVVRPPRRRDDNDDDGDDDPLTSLSLSLSLPGFHHDSARSHFQELPSPSRSPSPPPSPPAASPSAYPFNADLVSAMQEMIRTEVRNYMAGVGLRAGCGPGAVAESFMPQLVDGVMRAAAERVGVVTRQ >OGLUM02G06650.1 pep chromosome:ALNU02000000:2:4798376:4798852:-1 gene:OGLUM02G06650 transcript:OGLUM02G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTISWQTSSPTASCSVMTRRVASEAELAVVVLDGAQHHRAGPLPPRKDAEEAREQHAGDVLGVELAHARHEDSPADAAEEARDVGVDAPRLSPVLHAPQRGAEHGGLRDKPQDLVGHXASPGWATSTAQRRGRSAGAACWGCVGGRAGTRTPRG >OGLUM02G06660.1 pep chromosome:ALNU02000000:2:4798426:4798819:1 gene:OGLUM02G06660 transcript:OGLUM02G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRFFRVFARWKWPSPILRLVPETAVFRTTLRCVKHWAKARGVYSNVAGFLGGIGWAILVARMCQLYPQHIPSMLLPRFFRVFARWKWPSPVMLRAIEHDDGELGLRRHPARHHRAARRRR >OGLUM02G06670.1 pep chromosome:ALNU02000000:2:4800103:4803680:-1 gene:OGLUM02G06670 transcript:OGLUM02G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAAEKTVTGWAARDASGHLTPYNYTLRKTGPEDVVVKVLYCGICHTDIHQAKNHLGASKYPMVPGHEVVGEVVEVGPEVTKYSAGDVVGVGVIVGCCRECHPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASAMVVDQKFVVKIPAGLAPEQAAPLLCAGLTVYSPLKHFGLMSPGLRGGVLGLGGVGHMGVKVAKSMGHHVTVISSSARKRGEAMDDLGADAYLVSSDAAAMAAAGDSLDYIIDTVPVHHPLEPYLALLKLDGKLILMGVINQPLSFISPMVMLGRKAITGSFIGSMAETEEVLNFCVDKGLTSQIEVVKMDYVNQALERLERNDVRYRFVVDVAGSNIDDADAPPA >OGLUM02G06680.1 pep chromosome:ALNU02000000:2:4812051:4812422:-1 gene:OGLUM02G06680 transcript:OGLUM02G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLETAKKFKEIFSETATNSREITAEANKQADLLVNQIKHLTTDLPVPSIPAIPHIPSAVTPKPDAAELEHYGIIEDLREFVKGMTTLPTPSSRVDADNLLHATASRHRLHSVASRAVDAQLS >OGLUM02G06690.1 pep chromosome:ALNU02000000:2:4821778:4823208:-1 gene:OGLUM02G06690 transcript:OGLUM02G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNH2] MGEEAAAATVTAAAAAASGGAPHLLLICFPGQGHVNPMLRLAKRIAAKGLVVTFSSTSAIGAKLVESSGVSVGGDGVPLGGGRIRFEFLEDGFDGSDLDELMRHLGTAGPAAFAELLARQEAAGRPVACVVGNPFIPWAVDVAAAAGIPSAVLWVQSCAVFSLYYHRVHGLVEFPPEDDLDARLTLPGLPAMSVADVPSFLLPSNPYMSLTEAIQQQIRTIDKATWVFVNSFTELERGVVDALRGVATSPPPPPLIPVGPLIELEGDAAVRGDMIRAADDCVGWLDEHPPRSVVYASLGSVVVLSAGEVAEMAHGLASTGRPFLWVVRPDSRALLPEGFLDAVAGRGMVVPWSPQEQVLVHPAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAMFLVDELGMGVRLRAPLRRGAVRDAVDAAVAGPDAGAMRSSAAAWSAAARAAVAAGGSSDRHVEAFVEEVKARAAKA >OGLUM02G06700.1 pep chromosome:ALNU02000000:2:4839554:4840325:-1 gene:OGLUM02G06700 transcript:OGLUM02G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRTTRRIEVEGQKRNFVCNNQIGRDGRAARTLEAAGFLIAAAAAGKDDIDAAASRKDDIDAAAAGKDDIDAAASGKDDIDAAAAGAGNDEFAVAAAYFNAAAGKDDLDADAAAREGRHRRRRRRERRVRYCRRLLQRRRRWEGRVRCRRRDLLQHMPESAARRHLIASTHAGATLFRSYPCRNKRQMCTGTERYQYQAVQFAGP >OGLUM02G06710.1 pep chromosome:ALNU02000000:2:4841808:4843873:-1 gene:OGLUM02G06710 transcript:OGLUM02G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPDAAAGDIESALDDFARADADCIAAALACGRTSFSYRRLPEPRRLRLTVRKLDDSYFDVQIARSAAVWELKAAIEDVFAALYDETDNKAISWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVEYRPWKSLSLRRSRTSLDDFSARSRSLLDDLGEDDGDKFIDTRHSTSVLEEGLYVYQHDEERMEESRKKGGFFSGWFSYSRLRGNSRTHSEDAIQSSCEKRDTRTRPKLGRWLSSKKTKGT >OGLUM02G06720.1 pep chromosome:ALNU02000000:2:4845816:4846151:-1 gene:OGLUM02G06720 transcript:OGLUM02G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTRVWVLAAVLVACAIAVRSARAGHASGHAAAADEEDAAAAAPAPSKGHGCNPLKDKTCRHDDRHDPENQEEEGGFGVRLPSFPSLTGDDGDDDELPSFDTHMTILGH >OGLUM02G06730.1 pep chromosome:ALNU02000000:2:4859547:4862674:1 gene:OGLUM02G06730 transcript:OGLUM02G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGCPCGKRGRIRASPHATATAGPDFLSALPEGILHHIMSFLNARQVIQTCVLSWRWRDLWRSVPRINANYGELSMSPIAAFTPDNEAAFKRFVNRLLERRDPAAVIHTFNLRYTISNPNNRDNDSADANRWISHALQNQASFLKIIVDAHELHLDHTVFTSCYLGRITLKNVFLDQGFFEQLEIGCPLLQDLLLYDCIIGDDEISSETLNVLTMYGCQFPTLQESCISAPNLTSLIMHQPENFVPVLDDVASLVTATVDLFPLIEFCAYDMRQLLWSLSGVRNLDLDYYACKMTIKNNPQLCPKFINLVDLTLGQWCLDSDFYVLIIFLRSSPKLEKLTLKLEKYYPHPYEHIIGDELTERSFTCEHLKIVEIICMEDDEPLAKIVEGLFVDNGMNSVRFDIKYWSQIPFQLPAFYRELYN >OGLUM02G06740.1 pep chromosome:ALNU02000000:2:4863405:4864393:-1 gene:OGLUM02G06740 transcript:OGLUM02G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPATTSLLSALLQLPLAPFSGRRSPPPPSVVHVAPPRSPTAAVAATKGYNVQIVVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDERKRKAREAGRRNRRRRMMEEPRFPEDDADSEAARRARDDEKDNWEIDGIL >OGLUM02G06750.1 pep chromosome:ALNU02000000:2:4866703:4868627:-1 gene:OGLUM02G06750 transcript:OGLUM02G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expressed protein [Source:Projected from Arabidopsis thaliana (AT3G01435) TAIR;Acc:AT3G01435] MLPQSQSSSLQRLNHVEQMIVRAVNLAGTVMEELGNATGPRTEGVAGHCREFMLAMKEIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKVEYILEKLDAMQKNIEECSTTS >OGLUM02G06760.1 pep chromosome:ALNU02000000:2:4869442:4870941:1 gene:OGLUM02G06760 transcript:OGLUM02G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRDLVVAVSLLQLSVLLLLLSGEPVAAAGKSKVAAIIVFGDSTVDTGNNNYLSTLVRSDFAPYGRDLQLAGAGVSGSGGGNGRPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPAVNMSSLGAGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFRGDDDAAAAAAAATLSEALYIVSMGTNDFLENYYAVARGHAAEYSTAAAYGDYLLGVAEAFVRELHALGARKVDLNGLPPMGCLPLKRATGGACTEEYNAVAERFNAGLQDMIARLNGELGGGARIVYGDVYGAVAAVLADPAAYGVENVKAGCCGVTGVFEMGYMCGAGARSPLTCTDASKFAFWDAIHPTERLHRAIADAKMNTTLHVFL >OGLUM02G06770.1 pep chromosome:ALNU02000000:2:4871245:4875334:1 gene:OGLUM02G06770 transcript:OGLUM02G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLVRLLLLLLLVVAAASRGAASAAKAARVTAVIVFGDSTVDTGNNNQIGTPLRSDFPPYGRDMPGGARATGRFGNGRLAPDFMSESLGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLSVIPLWKEVEYYREYQRRLRAHAGAAAARDVVRGALHVVSIGTNDFLENYYMLATGRFARYSVGEYEDYLVAAARAFLAAIHRLGARRVTFAGLSPMGCLPLERTAGALLGGGGGGCVEEYNRVAREYNGKVEAMVRSLRAELPRLKVAFIPKIPGSATDPRLENVEEGCCATGRFEMGFMCNDESPLTCDDASKYLFWDAFHPTEKVNRIMAQHTLDVCYQQGVL >OGLUM02G06780.1 pep chromosome:ALNU02000000:2:4876239:4877328:-1 gene:OGLUM02G06780 transcript:OGLUM02G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLISRFVQGQGPVVPKFGSWDAENIGYTVFFDKVRENKTAPTPATAAAAPAPATHDDDYEFDPYEHYENLSRKAASRPPSSHGHGHGHAPPPAHHHHHHRAPPPPQQQQHHHHYPASQRSGNGYHRRSGSNGSSAASEASSRGSKFSPPKPYQPRYSSNSNSGGGGGGYGYGGPGAGAYAAPPQMHHHHHHHQHAAQPRVAASPPRHALPQVSRAAKAASAVPKFGVWDEQNAAAAGQGFTVQFEKVKRHREVAKAAAAAGPDVTPRMSPAQAAPARHPRRKAEKRSFLSKARVQVHVPKGEGVMQKLDLYY >OGLUM02G06790.1 pep chromosome:ALNU02000000:2:4879145:4883135:1 gene:OGLUM02G06790 transcript:OGLUM02G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRSCIFGKLQGLLERNYAEAAARKAAPQGDYLFIPGNDCHSLQRLIGAHKQAGVQDRVLCQ >OGLUM02G06800.1 pep chromosome:ALNU02000000:2:4883588:4886078:-1 gene:OGLUM02G06800 transcript:OGLUM02G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGGAGKAKARPVFSSFMTVFMHADAADVALMVLGLLGAMGDGISTPVMLLITSRIFNDLGSGADIVKEFSSKVNVNARNLVFLAAASWVMAFLEGYCWARTAERQASRMRARYLRAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFAGSYAVGFALLWRLTLVALPSVVLLIIPGGSGKSTVIALLERFYDPSAGEVTVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEEATAEEVVAAAKAANAHNFISQLPQGYDTQD >OGLUM02G06810.1 pep chromosome:ALNU02000000:2:4894924:4897612:1 gene:OGLUM02G06810 transcript:OGLUM02G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWQVCWRETMLQPQRRRHTASWEMKLCALAALAAATTSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNSVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAIATDGDALGKPLLEPQSYRLLECR >OGLUM02G06810.2 pep chromosome:ALNU02000000:2:4894924:4897111:1 gene:OGLUM02G06810 transcript:OGLUM02G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDIVGSTSLIFLQVIHSILKMILFLIQLQMDGGLAGLLERNYAAAATKKAHRKSSLILFTGTVMIVAWEMKLCALAALAAATTSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGRDG >OGLUM02G06810.3 pep chromosome:ALNU02000000:2:4895171:4897612:1 gene:OGLUM02G06810 transcript:OGLUM02G06810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRRRHTASWEMKLCALAALAAATTSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGQLHVPELHLAGDVAQLLAGVALGVDLGHPPDHLQDPLRRRARLREAALDNSVGDDEDGATLVAMGHDEAASVPDVEGQDGEGDAIATDGDALGKPLLEPQSYRLLECR >OGLUM02G06810.4 pep chromosome:ALNU02000000:2:4895171:4897111:1 gene:OGLUM02G06810 transcript:OGLUM02G06810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRRRHTASWEMKLCALAALAAATTSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGRDG >OGLUM02G06810.5 pep chromosome:ALNU02000000:2:4894162:4897109:1 gene:OGLUM02G06810 transcript:OGLUM02G06810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPQRRRHTASWEMKLCALAALAAATTSSAVASSFPNRMFSRMLVANSAGSWLTSPICARSHFSRSLRMSTPSTITSPADGSSAITVDLPDPLPPTSATVRPAGTRRLKLTKMGLSGRDG >OGLUM02G06820.1 pep chromosome:ALNU02000000:2:4895649:4907638:-1 gene:OGLUM02G06820 transcript:OGLUM02G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKEQGIITCKKRRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIVIAHRLSTIRNADIIVVMQSGEIMELGPHDELIANENGLYSSLVRLQQTRDSNEIDQICVTGSKSAVEQSNSHIMTRRFSTVSRSSSARSLGDARDAENTKKQKLPVPSFRRLLMLNAPEWKQSLMGGSSAILFGGIQPTYSYTLGNMISAYFLTDHAEIKDKTRTNALIFLGLAVLSFFLNIGQHYNFGPMGEYLTKRIKEQMLAKILTFEIGWFDHDENSSGALCSQLAKDANILRSLVGDRMALMIQTISTILIGCTMGLIIAWRLALVMIAVQPLIIVCFYVRRVLVKTMSKKSKHAQAESSKLAAEAVSNLQTVTAFSSQERILRLFNQAQDGPRKESIRQSWFAGLGLGTPMSLMICTWALELWYCGRLVADHQITNTELFQTFYILINTCRVTEEAGSMTTDLAKEIDPNNPHGYKPEKLKGEVDIRGVDFSYPSRPDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRYLRRHIGLVSQEPTLFAGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSVVVAHRLSIIQNNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLEAISRSSTEVLHHNTACISKFTPHSNLPEPAGITAAMGGDDRSAGKAKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAGQWVMTFLGEHRLLNSNACRRWRGELTPAGDVCVVQTEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFVGNYAFGFALMRQLMLVALPSVVLLIIPTFMYGRVVVDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVVAAAKAANAHSFISQLPQGYDTQLQNYP >OGLUM02G06820.2 pep chromosome:ALNU02000000:2:4895649:4907638:-1 gene:OGLUM02G06820 transcript:OGLUM02G06820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKEQGIITCKKRRIAIARAILKSPKILLLDEATSALDTESERIVQEALDMASMGRTTIVIAHRLSTIRNADIIVVMQSGEIMELGPHDELIANENGLYSSLVRLQQTRDSNEIDQICVTGSKSAVEQSNSHIMTRRFSTVSRSSSARSLGDARDAENTKKQKLPVPSFRRLLMLNAPEWKQSLMGGSSAILFGGIQPTYSYTLGNMISAYFLTDHAEIKDKTRTNALIFLGLAVLSFFLNIGQHYNFGPMGEYLTKRIKEQMLAKILTFEIGWFDHDENSSGALCSQLAKDANILRSLVGDRMALMIQTISTILIGCTMGLIIAWRLALVMIAVQPLIIVCFYVRRVLVKTMSKKSKHAQAESSKLAAEAVSNLQTVTAFSSQERILRLFNQAQDGPRKESIRQSWFAGLGLGTPMSLMICTWALELWYCGRLVADHQITNTELFQTFYILINTCRVTEEAGSMTTDLAKEIDPNNPHGYKPEKLKGEVDIRGVDFSYPSRPDVIIFKGFTLSIQPGKSTAIVGKSGSGKSTIIGLIERFYDPIRGSVKIDGRDIKAYNLRYLRRHIGLVSQEPTLFAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMIGRTSVVVAHRLSTIQNCDLITVLEKGTVVEKGTHASLMAKGLSGTYFSLEAISRSSTEVLHHNTACISKFTPHSNLPEPAGITAAMGGDDRSAGKAKAKPVLGSFMTVFMHADAVDVVLMVLGLLGAVGDGLSMPVLLLITGSVYNNFGGGADNVQEFSSKVNMNARNLLFLAAGQWVMTFLGEHRLLNSNACRRWRGELTPAGDVCVVQTEGYCWTRTAERQASRMRARYLQAVLRQDVEYFDLKKGSTAEVITSVSNDSLVVQDVLSEKVPNFVMNAAMFVGNYAFGFALMRQLMLVALPSVVLLIIPTFMYGRVVVDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAERTTMAQFSAALEESVRLGLKQGLAKGVAIGSNGITFAILAFNVWYGSRLVMSHGYKGGTVFVVSYAVIQGGLALGSGLSNVKYFSEASSAAERILEVIRRVPKIDSESDTGEELGNVAGEVEFRNVEFCYPSRPESPIFVSFSLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVMVDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATAEEVVAAAKAANAHSFISQLPQGYDTQLQNYP >OGLUM02G06830.1 pep chromosome:ALNU02000000:2:4904101:4909904:1 gene:OGLUM02G06830 transcript:OGLUM02G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLRRGAPTRRCAITIVVRPIDAMSRASCTMRSDSVSNALVASSRSRILADYMHVQIAGMLTPNIPGLTLAESVKSGIRKRHAEAYLVVLIPQPLDHLAASPSCRRERRRLRRRDAFAGIPTKPPSPSRRAPLCQPRYAAACLGRGVSPPRLGPSCSQSSAAAAEN >OGLUM02G06830.2 pep chromosome:ALNU02000000:2:4904101:4909904:1 gene:OGLUM02G06830 transcript:OGLUM02G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLRRGAPTSRLHACANCWNVDVNLVVRFFLESEQPNIPGLTLAESVKSGIRKRHAEAYLVVLIPQPLDHLAASPSCRRERRRLRRRDAFAGIPTKPPSPSRRAPLCQPRYAAACLGRGVSPPRLGPSCSQSSAAAAEN >OGLUM02G06830.3 pep chromosome:ALNU02000000:2:4901216:4904294:1 gene:OGLUM02G06830 transcript:OGLUM02G06830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPARRRRGIRFHHKFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARKNSEESSTQWITGIAEVHLPIVGGRRRRSSGTAAALRGAPNPPSPRSCRAPLLPSWLRRHGAR >OGLUM02G06830.4 pep chromosome:ALNU02000000:2:4904101:4909904:1 gene:OGLUM02G06830 transcript:OGLUM02G06830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPLRRGAPTSFIQAVNLVVRFFLESEQPNIPGLTLAESVKSGIRKRHAEAYLVVLIPQPLDHLAASPSCRRERRRLRRRDAFAGIPTKPPSPSRRAPLCQPRYAAACLGRGVSPPRLGPSCSQSSAAAAEN >OGLUM02G06830.5 pep chromosome:ALNU02000000:2:4901216:4902105:1 gene:OGLUM02G06830 transcript:OGLUM02G06830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHPARRRRGIRFHHKFDLAAGHPLTHPAVSEPYPDLAGNQSKRRTPPITPTTRTPARKNSEESSTQWITGIAEVHLPIV >OGLUM02G06840.1 pep chromosome:ALNU02000000:2:4917076:4917402:1 gene:OGLUM02G06840 transcript:OGLUM02G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRGNFTDDDDELTIRLHALLGNKWNTHIKRKLLSQSIDPQTHQPVSARTSVAAASELTTTASTVGFPSLAPAP >OGLUM02G06850.1 pep chromosome:ALNU02000000:2:4922026:4923408:-1 gene:OGLUM02G06850 transcript:OGLUM02G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKVLLVALVPTDPATDYPLVYPVVKCNMSRLWGNKYGNDLFGYFPLLLQILRVTACSPARRSKASHVPDVAPVVCNSGDNDGDGYNNRSWALVMKLLSVIGIRKLF >OGLUM02G06860.1 pep chromosome:ALNU02000000:2:4926808:4927398:-1 gene:OGLUM02G06860 transcript:OGLUM02G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGAEEEDAEAARFRGVRKRPWGRYAAEIRDPAKKARVWLGTYDSAEDAARAYDAAARALRGAKAKTNFPLSLPHAQPQLHHHHLTYPAAAVVAARPATSSLSSTVESFGTRPRPVLPRRPPPPPSIPDGDCRSDCGSSASVVDDDCADAAASPSCRLPFQFDLNLPPGGGGGGGGGVGCAYDDEELRLTALRL >OGLUM02G06870.1 pep chromosome:ALNU02000000:2:4953807:4955385:1 gene:OGLUM02G06870 transcript:OGLUM02G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAIHNVTKNTKVSGLQQLEELDSPVWTGQWTKQKVQKIGRNWTAAKVPPVVVLVLLPPKLLSWKEDDKTQQDYQDQKPQFQTLIILPHLKYS >OGLUM02G06880.1 pep chromosome:ALNU02000000:2:4957318:4968272:1 gene:OGLUM02G06880 transcript:OGLUM02G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAALVGFLLAVSSAPAGATTDASDAAALGNLYSSWNSPSQLAGWSAGGGGDPCGAGWQGISCSGAGVTEMLAGVGLDGSLGYELSSLFSLKTLDLSNNNLHGSIPYQLPPNLTYLNLATNNLSGNLPYSISNMVSLEYLNVSHNSLSQQIGDLFGSLNSLSELYMQNNQLTGSVNVLSGLSLTTLNIANNNFNGWIPQEFSSIPDLTLGGNSFTNGPAPPPPPFMPPPPRRPRNRPSHPRGSGDAPEGSVSPAGQGDKKQGLQTGPLVGIVAGSTVGALCALLLLVFCIRNAQKRKDDTSSNSKDFVGPLSVNIERASNREIPEQSPENTSVATMKISPAEKMTPERIYGKTGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSSALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDVLHYSDELSRKLTWNIRVRVALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGYSYRAPEREGDMRDLSF >OGLUM02G06890.1 pep chromosome:ALNU02000000:2:4966027:4968161:-1 gene:OGLUM02G06890 transcript:OGLUM02G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lycopene cyclase [Source:Projected from Arabidopsis thaliana (AT3G10230) TAIR;Acc:AT3G10230] MGLSHCLDAVWPSATVFTHDDGAAKSLHRPYARVARRKLKSTMMDRCVAHGVTFHKARVVKAVHGEASSLLICDDGVAVPATVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVDGHPFDIDKMLFMDWRDAHLPEGSEIRERNRRIPTFLYAMPFSPTRIFLEETSLVARPGLAMDDIQERMAARLRHLGIRVRAVEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLATAPIVADAIVRFLDTGSGDSAFAGDALSAEVWRELWPAQRRRQREFFCFGMDILLKLDLDGTRRFFDAFFDLEPRYWHGFLSSRLFLPELAMFGLSLFAKASNTSRLEIMAKGTAPLAKMIGNLIQDRDSQRF >OGLUM02G06900.1 pep chromosome:ALNU02000000:2:4971271:4971850:-1 gene:OGLUM02G06900 transcript:OGLUM02G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLNWVSLLLLLFFMVAALSSFVATAHRELPMARKVDEIGDHLQAKLDNQASSVSVTRATAKAEHDHQEAVMRKCKNGRKNCKNFRTRELPADADGKIHFDGHMPFTADYHSVRRHPPSHN >OGLUM02G06910.1 pep chromosome:ALNU02000000:2:4976865:4980845:-1 gene:OGLUM02G06910 transcript:OGLUM02G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTARRLRTKRGTEVVAMYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEATEESRKSTDCREKTRPSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >OGLUM02G06910.2 pep chromosome:ALNU02000000:2:4976865:4980845:-1 gene:OGLUM02G06910 transcript:OGLUM02G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSTVAARFAFFPPSPPSYGAEAPPPPAAAGAGVGVEKDGGGGGVVVELTDVPRRGNVEARRLRTKRGTEVVAMYVRQAGARLTLLYSHGNAADLGQMFELFVELSAHLNVNLMGYDYSGYGQSSGKPSEHNTYADIEAVYRCLVETYGASEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVGAIEKSPPLYDESPESSGPSDNTQTNPEATEESRKSTDCREKTRPSIDHRQSTDRRDKSRGSTDRRDKNRKSVDQPRASVDQPDRPRKSVDRFGGVMKSVRYIDCFRVTTASGS >OGLUM02G06920.1 pep chromosome:ALNU02000000:2:4982626:4984499:-1 gene:OGLUM02G06920 transcript:OGLUM02G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative homolog of mammalian BLOC-1 Subunit 2. Protein - protein interaction with BLOS1. [Source:Projected from Arabidopsis thaliana (AT5G49550) TAIR;Acc:AT5G49550] MSAAASGERDELADSLAELFTNVSLMVRGELQGTNSQLSLLEKMNRRVAEEYNNYGDVASGLRVFVEQLNEKNQSFGEYVSQIDAIDQQVTEFEAVVSMLDKHVSLLEKKIWEKTLKKLADENVHIPTQIPAHFQFMESIVMWRQCELRIAVAKLSLSSSTG >OGLUM02G06930.1 pep chromosome:ALNU02000000:2:4986158:4988899:-1 gene:OGLUM02G06930 transcript:OGLUM02G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVLALGKLVTSFGVSSLRTYLEKEPARLPDLPYTAKRIERELDMIHHFLSQVGTKIYSNKVLEGWIVRVRKVAYRVEDITDEYSYNIALEHENHFKRLIHKFFYPTAFHRIAIELKDIEEEIKHLSQLKRDYREMFNELLDNTSDSAHIHLLSSNGSPHAVKGDDIVGMKEDMELLGRWLDPKELDRTVISIWGFGGLGKTTLVRKVYDWEKGMKSFDCYSWIAVSHNYNINAILRQLIQELSEDQSKIPTDLDTMHHGKLNDELKEVLSNKKYLIVLDDVWDTRAFHELSDSLMDDKKGSRIIITTRNNDVASLAQEMYKMKLNPLGNDDAFELFRRRCFQKSNMECPSHLEELSRQIVNKCGGLPLAINAIGNVLAVQESKEIVWRRINNQFKCELEDNPGLDKVRSALSISFMYLPRHLKNCFLYCSMFPQDYIFKRELLIKLWIVEGFVIQRGQSTLEEVADGYFTELIQQSMMQLVENDEIGRVVSCRMHDIMRELALSFSRKERFGLADINLETQKKDDVRRLLVSNFDQVNQLIKSSMDLPRLQTFIAANRVANYQLLTLLISRCKYLAVLELRDSPLDKIPENIGDLFNLRYLGLRRTRIKSLPISIKKLTNLETLDLKSTNIERLPREVAKLKKLRHIFAEQLYDPEERQLRYFRGVKLPDGAFDLAQLQTLKTVEATKKSVKLLKYLPELRLLCVENVCRADCATLYSSLSNMNHLYDLVISANDLNEPLDFNAFNPICTKLEKLTIRGCWDNETFRRPVFCEYGANIKYLTLTFCKNDTNPLPSISSSVPNLIFLSIRRGCWAEDIILRAGWFPQLRTLWLGKLEELRRLVIEEGAIIRLEVLLLLSLPSLREVPKGLELLASLKKLNVTMQHHELKVEWERDNWKTKLHRVQEIRF >OGLUM02G06940.1 pep chromosome:ALNU02000000:2:4991912:5000417:1 gene:OGLUM02G06940 transcript:OGLUM02G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQAAELHARLTTSGHLLHHPPSARHLLNSLVNCLEPHPLHLRYALHLFDRMPPSTFLFDTALRACSRAGSDPHCPFLLFRRMRRAGVRPDGFTFHFLFKCSSSLLLCTMLHAACLRTMLPSAAPFVSNSLIHMYIQLGLAAEARRAFDEIHVKDAVAWTMVISGLAKMGMLCDAQLLLSQAPVRDVISWTSLIAAYSRANRAKEAVGCFKTMLSHGIAPDEVTVIAVLSACAKLKDLELGRSLHLLVEEKGMPTSENLVVALIDMYAKCGDFGHARQVFDAVGRGPRPQSWNAIIDGYCKHGHVDVARSLFDEMEVRDIITFNSMMTGYIHSGQLREALLLFMSMRRHDLRVDNFTVVNLLTACASLGALPQGRALHACIEQRLVEADIYLGTALLDMYMKCGRVDEATIVFRRMGKRDVHTWTAMIAGLAFNGMGKAALEHFYQMRCDGFQPNSVSYIAVMTACSHSCLLNEGRMYFDEMRILYNIHPQIEHYGCMIDLLGRSGLVDEAMDLVKTMPIQPNAVIWASILSACRVHKHIDLAQCAAEHLLKLEPDEDGVYVQLYNIYIDSRQWENASKIRMLMEERQVKKTAGYSSITVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSVGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIRKNLRICEDCHSAIKLISRLWNREIIVRDRSRFHHFREGTCSCNDFCKMMSAVSSITMFSVHPQGW >OGLUM02G06940.2 pep chromosome:ALNU02000000:2:4993787:4995621:1 gene:OGLUM02G06940 transcript:OGLUM02G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEISHRLKSVGYSPLTSQITVDVDEEEKEQALLAHSEKLAIAFGLISLAPNLPVHIRKNLRICEDCHSAIKLISRLWNREIIVRDRSRFHHFREGTCSCNDFW >OGLUM02G06950.1 pep chromosome:ALNU02000000:2:4996667:4998854:-1 gene:OGLUM02G06950 transcript:OGLUM02G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNGSPSDSRHVSHREIRDETTPLLPIKEEEEGIHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIILVALLTEASIDMLVRCSHEGKITSYGWLMGETFGQWGRIALQASVVINNIGMMIVYMIIVGDVLSGTSTSGVHHRGIFEGWFGPHLWNSRPVVLLATTLFVFGPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIVRLIEGTVEIPKLFPELDGTNSIWELFTAVPVVVTAYICHYNVHSIDNELEDRTQTKPIVQTSLALCSSVYIATSFFAYLLFGEGTLADVLANFDSNLHIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFAIITGSLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGIATKRDKILALTMIVLAVLSNSVALYSDALNIIFHRKVEA >OGLUM02G06960.1 pep chromosome:ALNU02000000:2:5002094:5007545:1 gene:OGLUM02G06960 transcript:OGLUM02G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAGDDSSFFGDDGDGDGDGDEFCYGPFDVEDLCYGASDVDDDWEEFCDGPFGGGDGPFGGGGVEEFCVSGFSVRDLSDASSSGARETGDPHDDDPLPQTLARSLDSDGDLSATLHQIVSAMRLSEDEEEEEEEEEEELLVLGHGHGVGIGGGGDVDGFMVSAFDLDTAMAIGGILEDIHEVMGADEVREEVVVEEEEAGNGGGIMPNGFEFGPPPRAISGTAAGFRMMVDADDTDSDDFQFVEVLGGGQVREAGAGMSMRPSRASQLVVESLPEATLSEEEASRGCAVCKDCFASGQIVALLPCKHYFHGDCIWPWLAIRTTCPVCRHQVRTEDDDYEQRMARRVIVLAAVEHQGAPAQGCGDSATMGAEGASECVVENGPEKTSS >OGLUM02G06970.1 pep chromosome:ALNU02000000:2:5005801:5006313:-1 gene:OGLUM02G06970 transcript:OGLUM02G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHDAISNIAYHPSMDFTSFFLPQTDAYSHDLSALLDMAVVDPYISCNGSSITMIPVTEDDANAQPMNHGNDQRKKRRLVSNRESARRSRVRKQRRLDELSSQVSELRDTNQRLLVELNHMISKHARIVRENSQLREEASDLQRKLSEMEMEDAEVAAAAAAAPRTLEVA >OGLUM02G06980.1 pep chromosome:ALNU02000000:2:5010370:5020385:-1 gene:OGLUM02G06980 transcript:OGLUM02G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADLSAAAEALALQVDLLQLPPEIPAPGAPALSVVLDRLFAHWLTLPDTFYFQDGRPPTYELKKQCIAIVDQLFAGHSNGLRIQEFRLVTRELCKLPSFFTTVLFGKIDKENTGFVTRDAFIDFWLNSNKVTMDVATQVFIILKQKNQDFLTKHCLPAETVVYRIFYCLSRIGGGHLTLRELKRGNLIDALRHADDEEDINKVLKYFSYEHFYVIYCKFWELDTDHDFFIDKENLIKYGNHALTYRIVDRIFSEVPRKFTSKVEGKMGYEDFVHFILSEEDKSSEPSQEYWFRCIDVDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENETFFTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYIRLAMEEDGEDASNASGDVWDESLESPF >OGLUM02G06990.1 pep chromosome:ALNU02000000:2:5024141:5024563:-1 gene:OGLUM02G06990 transcript:OGLUM02G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGIGGAAASTSSVPAMPAAVAPPPFWSTPTPYLFIGFGVVMALIAVALAVLLCTRRKDGGRGVGGEERGDVVVPPGMMSVRVLAPLDREAPPRVVVVMAGDDSPSFLASATPLAFAAAAATGVPLAPAPKVVAPPQP >OGLUM02G07000.1 pep chromosome:ALNU02000000:2:5051662:5051937:-1 gene:OGLUM02G07000 transcript:OGLUM02G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSSRSSSTELDGGGGYRPQQRRVRPSDEDGEWPLAGRRTVDLEAAVYIAKFHQYQSNCCAEHAAMAPPTPRAPPPAPAPAAAHPPPA >OGLUM02G07010.1 pep chromosome:ALNU02000000:2:5054671:5060621:-1 gene:OGLUM02G07010 transcript:OGLUM02G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRDPYGGAPGMPGPFPYDMLAAAAPPPEILEQKLMAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAARAAEEQEARGILDKVAKMEADLKARDPVKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMLYNTNTAQKDDGAPSLPVGQISYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGLHQWKILKKIRSKRLANRAIQWPWMQRKFCQDKSKFQLEFLVPALCTFSIREECILALLHS >OGLUM02G07010.2 pep chromosome:ALNU02000000:2:5058098:5060621:-1 gene:OGLUM02G07010 transcript:OGLUM02G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRDPYGGAPGMPGPFPYDMLAAAAPPPEILEQKLMAQRGELQKLAVENDRLAMSHDSLRKELAAAQQEAQRLQAQGQAARAAEEQEARGILDKVAKMEADLKARDPVKAELQQAHAEAQGLVVARQQLAADTQKLSKDLQRNLGEAQQLPALVAERDAARQEYQHLRATYEYERKLRMDHSESLQVMKRNYDTMVAELDKLRAELMNTANIDRGGMLYNTNTAQKDDGAPSLPVGQISYDSGYGAAQGRTPPAGLGDSLSGNPAGTAPRTGFDPSRGNMYDASRIASFSSSKAGGHDASRGAAGYNSLKGAGYDPSKAPALGGQATAAAAHGSSADYYGSNQATPPSYAWGQAASAYGSAQVPQSHASGPPVQSTSYSATTARNFGSAQALPSYAHAQEQPSYGHAQLPSSYGLAQASFPFAPAQGVSPYGSGAQPPQYGAGQAATNPGSAYQAPHGRK >OGLUM02G07020.1 pep chromosome:ALNU02000000:2:5071553:5092940:1 gene:OGLUM02G07020 transcript:OGLUM02G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyl-CPG-binding domain 9 [Source:Projected from Arabidopsis thaliana (AT3G01460) TAIR;Acc:AT3G01460] MPPPPPPPGPPGARRPRATANEDWICPECEMRGARTNRWKLGPVPLDINVPPPSTPDAEDPVAVATRDVTRTNAADGAHLTEFAVQLPHFEGLHLKNTALYDGNQFMPAFGLAHCLSMRQQFTSMDRDIMADGNIVQRSIHLRRKRRDLPQTSSLPKFAENHELGSANIFMDPSFYTKATEPSSTENKSSSKPPKFLGENSSRRPHHRTVGLPVQYSDFFITSLGEIDKRPSYHNSYQIWPVGFTSYWHDRITGSLFECEVCDGGNFGPLFKVRRISCSVFPLPDASTILSQNSTRKAETIETNENNTLLEDTANDTDDNILMLLSDSSETNQDFLSCFSNDMESKMTSLGCNDLHSSNRSVPTVPSHSGTDKIGEFTFEGTSPSSVWRMISCAMMEACEKMYKEHGHLVFFCTHGTEKHSFDSGSGFQDFNGPYTPLTRFCSSYGPSIPRIVEKENDVEPTYSLLKEWLYQDRIGFDLEFVQEIVESLPRSRACSNYQFLCNRAEFVSSLTVASGSLRVVHKNGQSNGDVMSYGRHGSVVTGLQDHTQPSGFRIRELPLGRPISNKLPPELAGEVLQIWEFLGRFSEIIGLKEIPSYEQLEDELIDPWPICADQKEKLSNDIHRDHTSPINSPANVSTSYSNGESGLTSNEEIVSLFIPVETSSMKKAAQDKSAAQTLGRCSGVVLPGVHLTLFRVLFGELLSKVAIFVDPNIDPKESKTRRGRKKDTENLISAKEFKFDMLTANKLTWPELARRYILAISSISGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVAGMEKDALLLVEAENLICKSSVNEESKVFMMDQKDTDMVDSPEVSATDNKTLPDWAKSLEPVRKLPTNVGTRIRKCVYESLERKPPEWARKILEHSISKEVYKGNASGPTKKAVLSVLTEACRVKVPHNPEKPRKERNAISISEAILKKCRIALRSAISSDESKLFGNLLGTTLVNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYYGSWEAFLEDVQEVLRNLHTAFGDRPDVLEMVVALSQSFESLYKTEVLDLVEKFDKYLSDKNAGSEMHEELHDILTAANSLPKAPWEDGVCKVCGIDRDDDSVLLCDKCDSEYHTYCLNPPLARIPEGNWYCPSCMLGQTKAHHDQGVQDVKRQQKKFVGEEAHAFQEELNKLATAMEEKEYWDLNMQERIYLLKFLCDEMLNTALIREHLDQCSDKLGDLQQKFRASNFELKDLKYKEEMRTSYARQSRSSKTEQHFNNSSGPVENQQQCTPTALDHLEEAEQGNVGVNLNNPADGVPDGQLNVGKPYKSDKDISSASMVEERKSSGLSEQPSGMAIDQIDGDAIDEGSQSCEKRSLGAKSSTCDNLNLKDTEFSTPGRELPDERASTSFQDNLEASSTKSIELDADNNEMDTLSDDISKLQDSISLLESQINMASSRRECLGKDSIGRLYWVIGRPGKRPWLVADGSMLIPKERDISMVNSYPPSAFDCKGWNSASIFIYESDEEIQCLLDWLRDYDPREKELKDSILQWQRHFCHQSSSPLVDPPISGPKGEQLMELPNTKAAVILEQKYGLQLDQDTSDLPKKRGKKIKLSSEDRTYRCDCLEPVWPSRYHCLTCHETYLISTEFEGHNDGKCSKIHQSPDESRENDEPKVKVTKSDMKEKDSLERSSVIEPSSDRKLMQCPYDFEEICRKFVTNDSNKETVKQIGLNGSNGVPSFVPSPAFFLEPAIVQSQNRKDDELKDWTSSLEECNAMSAQKLVQEVSKSGQSCPGNVGDEKVQKSKKPTPDNTSGEEAHSTTGKPTRLLAVNGGLVPESSLRPLIGRNSHILKQQKINLLDIEAALPEEALRASKCQQIRRRSWRAFVKDAESISQMVLAANLLEGMIKAEFLKNDWWYWSSFTAAMKTSTVSSLALRVYTLDDCIIYSKDQVPSVEPADNTRSGNRGGRRRRELESLAS >OGLUM02G07030.1 pep chromosome:ALNU02000000:2:5091909:5098416:-1 gene:OGLUM02G07030 transcript:OGLUM02G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucomannan 4-beta-mannosyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT5G22740) UniProtKB/Swiss-Prot;Acc:Q9FNI7] MEVNGGGAAGLPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVISGVKILRRRPDRRYRCDPIPDDDPELGTSAFPVVLIQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDPVIKEMVRIECERWAHKGVNITYQIRENRKGYKAGALKEGMKHGYVRECEYVAIFDADFQPDPDFLRRTIPFLVHNSDIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRVSAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVRNKKVTIWKKIHVIYNFFLIRKIIAHIVTFTFYCLIIPATIFVPEVRIPKWGCVYIPTIITLLNSVGTPRSFHLLFFWILFENVMSLHRTKATLIGLLEAGRANEWVVTEKLGNALKMKSSSKSSAKKSFMRVWDRLNVTELGVAAFLFSCGWYDLAFGKDHFFIYLFFQGAAFFIVGIGYVGTIVPQS >OGLUM02G07040.1 pep chromosome:ALNU02000000:2:5106808:5107485:-1 gene:OGLUM02G07040 transcript:OGLUM02G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAAALSTLSAASVTSGKRFITSSFSLSFSSRRLATGVRAAGARAARRSAASASTVVATIAVGDKLPDATLSYFDPADGELKTVTVAELTAGRKAVLFAVPGAFTPTCSQKHLPGFIEKAGELHAKGVDAIACVSVNDAFVMRAWKESLGLGDADVLLLSDGNLELTRALGVEMDLSDKPMGLGVRSRRYALLADDGVVKVLNLEEGGAFTTSSAEEMLKAL >OGLUM02G07050.1 pep chromosome:ALNU02000000:2:5111293:5113368:1 gene:OGLUM02G07050 transcript:OGLUM02G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPRRIRRGGAGLSLVVVALLLAVAARAQQEYEANQQNACYATNASSTLGYTCNATSASAAAAAPCDAYLVFRSSPPLYASAVSISYLLNVAAATVADSNAVDPVAPVAADRLVLAPVPCGCSPGGYYQHNASHTIRDTGVETYFIIANLTYQGLSTCQALIAQNPLHDSRGLVAGDNLTVPLRCACPSPPQAAAGVKHMVTYLVTWGDTVSAIAARFRVDAQEVLDANTLTESSIIYPFTTLLVPLKNAPTPDMLAPPAQAPPPPAPAPPRAQPPPGGSGSGKGVAVGVGVGCGVLALAGVFGLLFFCLRRRRGVGEESVRPGKVVGDVSSSAEYGALASGKQTTTATSMSSLSAARSLMASEVREALESLTVYKYAELEKATVGFSEERRVPGTAVYRGVFNGDAAAVKRVSGDVSGEVGILKRVNHCSLIRLSGLCVHRGDTYLVFEYAENGALSDWLHGGDAATGVLGWRQRVQVAFDVADGLNYLHHYTNPPCVHKNIKSSNILLDADLHGKMSSFGLARALPAGDGAAAAAAAAQLTRHVVGTQGYLSPEYLEHGLITPKLDVFAFGVVLLELLSGKEAASSGDGENGEALLLWESAAEAVVDGGGEDAGSNVRAFMDPRLGGDYPLDLAMAVASLAARCVARQPAARPAMDEVFVSLAAVYGSTVDWNPSDHGNSGSSLIGR >OGLUM02G07060.1 pep chromosome:ALNU02000000:2:5115207:5115845:-1 gene:OGLUM02G07060 transcript:OGLUM02G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKLSCIYRPDSDIVVESEAPAPPPPPARVIAADGSLRELPASPCVAVVSDVLGGGEDGGDAASFFVCNSDALYFDERPPALSPGEPLRPGELYFVLPRAMLGRPLSSADMGRLAVRASLALAGERPQRRRRHRRGGGKKQKKKVHVMPAQAQSRDDGDGDVDGVFNEKLNEQTLGVFAVFLSPARGAAPAAAAAARSPLKRALSLVEEEA >OGLUM02G07070.1 pep chromosome:ALNU02000000:2:5122405:5128466:-1 gene:OGLUM02G07070 transcript:OGLUM02G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLSCISRRRGSPVPAPARVIAADGSLKELHAAASPAVADVLRGEGESFFVCNSDALYFNEQPPAMAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAVKAPRRRGARRGGDRKMKTVRVTPLRDEGLDGGDVVLHEKLNERTLGEFPASWSPPKSGGEKLAAVARSRLKRLSCISCRRRSSSHQPAPAPAMVITADGSLKELAVSSAVADVLRGEGEGRSFFVCNSDALYFNEQPPALAPGEALRPGQIYFVLPAAMLGQPLSTADMAALAVRASAALAAVKARRRGVRRGGGDRKRKTVRVTPLRDERLDGGDVLLHEKLNERTLGEFPASWSPPKSGGEKLAAAARSRLKRVLSIIQEDAE >OGLUM02G07080.1 pep chromosome:ALNU02000000:2:5135769:5138360:-1 gene:OGLUM02G07080 transcript:OGLUM02G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADAAMAAGLAEREPAWLRSLLGARFFEACAAHRGMSRNECNQYCLTCAAAADDAGGAAAVGCQWCVVAAHGGGAGRDRGHRHRVVQVRRSSYHNVVRVSELERALDLTRVQTYVINRDRVVFLNERPQAPRNGRCAAAAAVACAACEACGRGLLDVAFRFCSLGCKLKCMESDPTLTFTIDPNNIPEPQISGPQEDEEEDDDEEDEPFYPTKANAAQSKAAGGGGCRPPPPPSASSSRPRRGGRRVARGDKEEDQEAAANILAFAAAAARSVPAASAADPNSYRRRARKGAHRAPERSPFF >OGLUM02G07090.1 pep chromosome:ALNU02000000:2:5140603:5141993:1 gene:OGLUM02G07090 transcript:OGLUM02G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPRGMPRDEFATPKTHKRKVVSRPLPPSQIKAEPELLRREVPLTSGKTKKAPKITFKNEPRHSAPQSDWGTPDSVPEFGPADEYRALRKKYLLLEDENGELDKQLSQAEEEASVLEDEKFALLDQLVVLEGLVDPSQMQPPRRL >OGLUM02G07100.1 pep chromosome:ALNU02000000:2:5142767:5145939:1 gene:OGLUM02G07100 transcript:OGLUM02G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related (BUB1: budding uninhibited by benzymidazol 1) [Source:Projected from Arabidopsis thaliana (AT2G33560) TAIR;Acc:AT2G33560] MAAAMATTAAAAAEEAVVALDKETLMLMGCGDAPPAAPCAEWETFKENVRPLKRGRNVGLLNRALKAHADPAQRAALLAARRKMIEAIDEYSGEDPLQPWIDCIKWVQESFPTGGDCSGLVVIYEQCVRAFWHDDRYKDDLRYLKVWLEYAGNCADSEVIFRFLEANQIGQSHTNYYLSYASVMESKNKLKKANEIFNLGIARKAKPVEKLETTYRAFLQRSSKKKAHPEDDTTTDDHPVRNFGTVLNRGEIRGQHAENSHLVKPRATLQRVDVNRPLAVYTDENSLPSHGLARTRSNNTAWQTLGSQADRNKENNMRPAKWTSHKIPQKVGSRAAVQPTRVSSIEVFVDDECAEEPVPQVPKSTKPSILKLRQATSRNLKQETELLMENPLRNFPLTSLR >OGLUM02G07110.1 pep chromosome:ALNU02000000:2:5149801:5151252:1 gene:OGLUM02G07110 transcript:OGLUM02G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIPNSQVQGDQHQLPAGDRPPGTAGAACPAGLGAPAAGEAVRRRRAAAPVPGGAQLLPEEVVAGDGGGGGDHRDEGTAAEPGLPAPAAGGAGGGRRRELFPGGGHCRLLGVHQEIIPAAYYSISLLVNFHRLISGSTNIDVQFFCAFAKN >OGLUM02G07120.1 pep chromosome:ALNU02000000:2:5153773:5161324:-1 gene:OGLUM02G07120 transcript:OGLUM02G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEDTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >OGLUM02G07120.2 pep chromosome:ALNU02000000:2:5153773:5161324:-1 gene:OGLUM02G07120 transcript:OGLUM02G07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >OGLUM02G07120.3 pep chromosome:ALNU02000000:2:5153773:5161324:-1 gene:OGLUM02G07120 transcript:OGLUM02G07120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAGAIGGASPSGRVLGPALDRIIKNAAWRKHSGLVAAAKAALDLLSSSAYASASAPSPPSLLLGLPAAAADACIHALLLALESASPKVADPALDCVAKLLYHRLLVGDLGGGGGDDDSPSSKLLAAVLSCGALADDAMELSTLRVLVAAARCPSVAIRGEGLGQMLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDSMDVRVRTVSITDMMDMSDRNLNDSSIVHAAQSFINETMEGSDVPEPGSPVEPAETDGKEDVVMSKIREDGLTLFKNLCKLSMKFSTPDNPEDQVLLRGKVLSLELLKMVIDNAGAFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIYQLLCSIFLGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKDSQVIIDVFVNYDCDVDAPNIFERIVNGLLKTALGVPPGSATTLTPAQDQTFRIESVKCLATIIKSMGSWMDQQLKIGEFSPKPSEISLNSIDIPNILVGEDGGAVDYELQTDSGNPDLSDASSLEQRRTYKIELQKGISLFNRKPSKGIDFLIKSKKIGHSPEDVASFLRDTAGLNATMIGDYLGERDEFPIKVMHAYADALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKSDFIRNNRGIDDGKDLPEHYLSTLYDQIVKNEIKMSADSSVPQSKQPSSVIKLLGLDNIINLVNWKQAEDKALGANDLLIKNIQEKFKAKSGKSESIFHVITDSTILRFMMEVCWAPMMAAFSVTLDQSDDKAATSQCLQGFRSAVHVTAVMCMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQDSWEHVLTCLSRFEHLHLLGEGAPTDASFLTVPLVESEDKTQKSSSTTASKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINSFISNINLLDQIGIFELNHIFAHSQRLNSDAIVAFVKALCKVSMTELHSPTEPRIFCLTKIVEIAHYNMNRIRLVWSHIWKVLSDFFVSVGSSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFAVVMQKSNASEVRELVVRCISQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFVCHEKDTDHQSNNLDVSDGNATLHKDDHVYFWVPLLAGLARLTTDTRPTIRKGAVEVLFDILKDHGHLFSQSFWRNIFESVVYPLFSTGSSTPNGHINLTEDDSWNSETKTVAVKCLVDLYITFFDEMRTELSRVTSVVTNFIRSPYKQSASTGLSVFQRLTEGLESRLSKEEWKEILLCFKDSAMQTFVVFDKIVRMMQDIEIPDRNESYPEVERYSDNDIYNDDEEEANMETTSYAIIKLKNHMAQQLLVVQGIVKLYETHRWSFYAEHMGIILETLSAIASHASEVSSESTLLMKFHKACSLLEVSEPAVIHFENESYQSYLKLLQALVHDHPSISEDMKIESHIMLVSEKILRKYLKCAGRERSNDSSGRDPALRWKLPLGTAKKEELSARTSLVLHVMQLLGGLERDCFRRNLPLFFPLLTNLIRCEHSSGEVQLALYDIFQSSIGPIIST >OGLUM02G07130.1 pep chromosome:ALNU02000000:2:5158667:5165115:1 gene:OGLUM02G07130 transcript:OGLUM02G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 18 [Source:Projected from Arabidopsis thaliana (AT2G22370) UniProtKB/Swiss-Prot;Acc:Q9SJZ6] MECVVQGIIETRHVDALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQSTPSWTIRHVGGAMRGAGAEQISVLVRSIVESKASNNVLRYFYGIGYKLDHEVLKGGFAFRFHRGAQITVTVTSVSKMTKLHATNEAGPITPAIQLVEITAPAAADNYNDVVSAVTSFCEYLAPLLHLSKPGNSTGIVPTAGAAAASLMSSGGGGGALEIQMLKVCFLYGSIYFKRLSLKLQLRIVWPGQQCNAWATREGPSGKPL >OGLUM02G07140.1 pep chromosome:ALNU02000000:2:5166476:5169529:-1 gene:OGLUM02G07140 transcript:OGLUM02G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKLDIGEHGDRNLRGDLILSFFPSISKKSFVRMGMAKLELDLPCSDSVHKKSSPQDSIYNRKGFNTKSKEHFHEQPSTLSNELLMHIQIQDLSVDGSRRRHLDRTVAPFEKKIASSRVSGRCRGRERRAMRLHEGSVLMDTAACILSAKSNSVCMRDACQARRT >OGLUM02G07150.1 pep chromosome:ALNU02000000:2:5170117:5174757:1 gene:OGLUM02G07150 transcript:OGLUM02G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch subunit 3 [Source:Projected from Arabidopsis thaliana (AT2G33610) TAIR;Acc:AT2G33610] MATASMPAPAAEAAAAATTATANSMPPAPPQPPSSGAPFSQPPSTAGTVKTEAPPPPSTSASSAASAAAPAPAAAAAAAAAEESPCTITIPSYSAWFSYDSIHETERRLMPEFFEGEAAAASGSRGPEAYKYYRDTLVRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGASPSGAKQGRDKKEEAALSQSSLPIGATMPSKLCTGCRTVCGLAYFSCEKADISLCARCYVRANYRPGLTSADFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSHHVGSRSEKDCIARFTRLPFGEQFMGPKEDKIQFGNDCDLNEESGSHISKRLRLTPLADASNPIMAQVAFLSAIVGSDVAVAAGQAAISAQSQVDISASETDSSINISKEEESSCTNGLSANDLLKEASANAQVQLEKERKAIEQSLSDIVGVQMKEIQDKIRRFEQKELLMEKERKQLHCLKELLFSDQLAVVQHQRRPPAVTTESKDDEKPKPVISIS >OGLUM02G07160.1 pep chromosome:ALNU02000000:2:5175581:5181328:-1 gene:OGLUM02G07160 transcript:OGLUM02G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNN3] MAFFRGLTAVSRLRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMTGMLWETSLLDPDEGIRFRGLSIPECQKVLPTAVKDGEPLPEGLLWLLLTGKVPTKEQVDALSKELASRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMSKSKFWEPTYEDCLNLIARLPAVASYVYRRIFKGGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKVAA >OGLUM02G07170.1 pep chromosome:ALNU02000000:2:5182558:5188398:1 gene:OGLUM02G07170 transcript:OGLUM02G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRKRSKPDGANGAGGKRARESESFQTGVGSKSKPCTKFFSTSGCPFGEGCHFLHHFPGGYQAVAKMTNLGGPAIAPPPGRMPMGNAVPDGPPTPTVKTRLCNKYNTAEGCKWGDKCHFAHGERELGKPMLMDSSMPPPMGPRPTGHFAPPPMPSPAMSTPASFGASATAKISVDASLAGGIIGRGGVNTKQISRVTGAKLAIRDHESDTNLKNIELEGTFDQIKNASAMVRELIVSIGGGAPPQGKKPVGGSHRGGGPGSNFKTKLCENFTKGSCTFGDRCHFAHGENELRKSAAA >OGLUM02G07180.1 pep chromosome:ALNU02000000:2:5187027:5189954:-1 gene:OGLUM02G07180 transcript:OGLUM02G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDGKDDMARAGSDTFAAIITQVDCLHELVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENLVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRGQQS >OGLUM02G07180.2 pep chromosome:ALNU02000000:2:5187027:5189954:-1 gene:OGLUM02G07180 transcript:OGLUM02G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSSGGGGRQQQQGVAERRLLRSRYLAVKNLISDGKDDMARAGSDTFAAIITQVDCLHELVHKMMASFYNAVQRPREQIADAETLLDIANTLVTSVRSQSSEGITPSDFVTAMLKKFGQSGGLDSEAASLRWGDVGLSVSHVFRAAPGCCTMLGPMNTEVKQRKAVVANRKRTARPTENARPEQLAESSEGVKTDTDRNVSVIFDILRRNKRARLENLVLNRRSFAQTVENVFALSFLVKDGRVAINIDDNGHHIVYPRNAPAASAIASGEVSYSHFVFRFDFKDWKLMQEVVPDGEELMPHRNPENIPCAEDHDQADPPELCAQRTPIRKLTRNRGLVMQQDQMVVAETPEEKQPTSKRRRLFRGQQS >OGLUM02G07190.1 pep chromosome:ALNU02000000:2:5192542:5199114:-1 gene:OGLUM02G07190 transcript:OGLUM02G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLSIASRSPSPALIAPHASARATGLRAPFAGNRIVGWGWGDQTKSGTDRNRAHRASICVVLFLRVRSGEPTQAPRDPPAAVGGGGCYVRLPAPPRDRDAVLYHVCLFTLLLCFIPITALAESDIKNLFALRKAIAVGKGFLHNWFELETPPCNWSGISCVGLTVVAIDLSSTPLYVDFPSQIIAFQSLVRLNVSGCGFSGELPEAMVNLQHLQHLDLSDNQLGGPLPASLFDLKMLKVMVLDNNMFSGQLSPAIAHLQQLTVLSISTNSFSGGLPPELGSLKNLEYLDIHTNAFSGSIPASFSNLSRLLYLDANNNNLTGSIFPGIRALVNLVKLDLSSNGLVGAIPKELCQLKNLQSLILSDNELTGSIPEEIGNLKQLEVLNLLKCNLMDTVPLSISNLEILEGLYISFNSFSGELPASVGELRNLRQLMAKSAGFTGSIPKELGNCKKLTTLVLSGNNFTGTIPEELADLVAVVLFDVEGNRLSGHIPDWIQNWSNVSSISLAQNMFDGPLPGLPLHLVSFSAESNQLSGSIPAKICQGTFLQILRLNDNNLTGSIDETFKGCKNLTELSLLDNHLHGEIPEYLALLPLVSLDLSHNNFTGMIPDRLWESSTILDISLSDNQLTGMITESIGKLLSLQSLSIDRNYLQGPLPRSIGALRNLTALSLSGNMLSEDIPIQLFNCRNLVTLDLSCNNLTGHIPKAISHLTKLNTLVLSRNRLSGAIPSELCVAFSRESHSELEYVQHIGLIDLSRNRLTGHIPRAINNCSILVELHLQDNLLSGTIPVELAELRNITTIDLSSNALVGPVLPWPVPLASLQGLLLSNNRLSGSIPSGIGNILPQITMLDLSGNALTGTLPLDLLCKESLNHLDVSDNNISGQIPFSCHEDKESPIPLIFFNASSNHFSGSLDESISNFTKLTYLDLHNNSLTGRLPSAIARVTSLYYLDLSSNDFSGTIPCGICGMFGLTFANFSGNRDGGTFTLADCAAEEGGVCAANRVDRKMPDHPFHVLEATICCIATAIVIVLVVILVVYLRRRRKMLRRRQFVLVPAGDNAMADHETTLSDNLLGRRRMKKREPPSINLATFEHAPVRVTVDEIMRATGNLDGMHVVGDGGFGTVYRAELPGGRRVAVKRLHGVGRRFQGGEREFRAEMETVGKVRHPNLVPLLGYCAAGDERFLVYEYMEHGSLEDRLRGGGGAALGWPERLTICGGAARGLAFLHHGFVPHVIHRDVKSSNVLLGEGLQPRVSDFGLARIISACETHVSTVLAGTLGYIPPEYALAMRCTAKGDVYSFGVVMLELLTGRPPTWSSAEVTAEGDDERGGGGSLVGWVRWMAARGRGGEVFDACLPVSGAEREQMARVLDVARDCTADEPWRRPTMAEVARRVGAIEAMEYGPLVVAVSSGEPPAMP >OGLUM02G07200.1 pep chromosome:ALNU02000000:2:5201586:5207442:1 gene:OGLUM02G07200 transcript:OGLUM02G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STRUBBELIG-receptor family 2 [Source:Projected from Arabidopsis thaliana (AT5G06820) TAIR;Acc:AT5G06820] MAAAALPRLLLAAAVLCAAFAPVSGFTDPSDALGLWELYRTLDSPWQLSGWTSQGGDPCGRGGEQRPWHGVLCRDSSIVALNISGLGVGGWLGLELLKFYSLKILPVFNFFASLNHDDRRDVSFNNIAGEIPRNLPPSVEYLNLSHNKLSGIIGDVFVNMESLGTMDLSFNSFSGDLPTSFSSLKNLHHLYLQHNEFTGSVILLADLPLSSLNIENNSFSGYVPGTFESIPELRIDGNQFQPGFKRASPSFTRSAHSPPTPHPPPSSPPPPMSPPPPAVKENLKHKPEPLKPSLSHSSMYNHNQHRKSHSRVTAAAIATVTGTAFVLLIVGLVLKSCTYSPKSTANNAKSPPANVEKVPKANEVLYSWNSLMNDCEASSSDVIKPERAMKTKVWAKTSKNFLTAKQFQAVDILAATRNFSKECFIGEGFTGQVYRGDFPGGQLLAIKKINMVDLSLSEQDELIDMLGKMSNLKHPNISALVGYCVEFGHCALLYEYAENGSLDDILFSAATRSRALSWKARMKIALGVAYALEFMHSTCSPPVVHGNIKATNILLDAQLMPYLSHCGLARLSQFVSAIRTDSEALNSGKGYVAPELTDPATDSIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVDWASPHLHNLDSLERITDPRIHASMPPQAISTLGNIILLCIKKSPELRPPMTVITDKLLKLVQSTGLQKTSTTTQHLEVDAQEPSFKTTRPYFEPSFTVSQSATGGCISQR >OGLUM02G07210.1 pep chromosome:ALNU02000000:2:5208317:5213814:-1 gene:OGLUM02G07210 transcript:OGLUM02G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNP0] MIHPNQPLALSAAKNASTVVVGSPLLAASPASSPAAACCSSQLQQRRAAGPGRRGAGRLAAPRCSTIEQAAGVSSAVTVDRTLTVTATVTVQPPIGVVYAARGIDDLTDLIGKTLLLELVSSELDPRTGKEKETVSAFAHRTMKQDTYEAEFAVPATFGPVGAVLVENEHHREMFVKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFFDRVYDYDVYNDLGDPDFKIEHLRPVLGGDEHPYPRRCRTGRPHTEIDPRTEKRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAAAAAAGGAAASSSSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEIDEEFARQVLAGVNPICIQLLTEFPIVSKLDPEVYGPPESALTKELLESQIVESVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGAGTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRQEDGELELTIKDYPYANDGLLVWNSIKQWASDYIDFYYKSDEEVACDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENEEEMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAEPAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >OGLUM02G07210.2 pep chromosome:ALNU02000000:2:5208317:5213814:-1 gene:OGLUM02G07210 transcript:OGLUM02G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNP0] MIHPNQPLALSAAKNASTVVVGSPLLAASPASSPAAACCSSQLQQRRAAGPGRRGAGRLAAPRCSTIEQAAGVSSAVTVDRTLTVTATVTVQPPIGVVYAARGIDDLTDLIGKTLLLELVSSELDPRTGKEKETVSAFAHRTMKQDTYEAEFAVPATFGPVGAVLVENEHHREMFVKEIRLVTGADDSSAVTFDCNSWVHSKFDNPDRRIFFTVKSYLPAQTPKGIEALRKKELETLRGDGTGERKFFDRVYDYDVYNDLGDPDFKIEHLRPVLGGDEHPYPRRCRTGRPHTEIDPRTEKRRGPVYVPRDEQFSDVKGMTFSATTLRSGLHAMLPALEPLLANQELRFPHFPAIDGLYSVGIPLPAQLAAAGAAAAAAGGAAASSSSTNIVGGVIPRLVRMIEDTTDHVLRFDVPEMFERTDEEFARQVLAGVNPICIQLLTVAPPFPTTYTIHLRTTSLFDDVIPQKERIFARPVLEFPIVSKLDPEVYGPPESALTKELLESQIVESVTVEEAMAQRRLFILDYHDVFLPYVHRVRERPETTLYGSRTVFFLTGAGTLSPLAIELARPQSPTRPQWRRAFVHGPDATASWLWKLAKAHVLSHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRMHPVHRLLHPHFRYTMEINALARESLINADGIIEESFWPGRYAMELSSVAYAATWRFDAEALPEDLVRRGLAVRQEDGELELTIKDYPYANDGLLVWNSIKQWASDYIDFYYKSDEEVACDEEVRAWWEEVRTKGHADKKDEPWWPAVDTRDGLIGVLTTIMWVTSGHHAAVNFGQYHYGGYFPNRPTVMRKNMPVEENEEEMKKFMEMPEHVLLDTMPSKMQAITIMATLDILSSHSPDEEYMGEHAEPAWLAEPRVKAAFERFAGRMKEIEGIVDERNNDPELRNRCGAGIVPYELLKPFSTPGVTGRGIPNSISI >OGLUM02G07220.1 pep chromosome:ALNU02000000:2:5214101:5229875:-1 gene:OGLUM02G07220 transcript:OGLUM02G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVKGGKRRGHAVLANSVGGVGDGGEGSHCNIPAITYATSASSTACKATEHKRKKKKEANENPKESRFIVDLKSESIYGHINNSTIVTSRKCKEANTYGSMTVQHLRLTDRSVDYRNQAACEIAVANNLVRGHC >OGLUM02G07230.1 pep chromosome:ALNU02000000:2:5230441:5237588:-1 gene:OGLUM02G07230 transcript:OGLUM02G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLDPPPEPQPQTPPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGGADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVSAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYACQLEY >OGLUM02G07230.2 pep chromosome:ALNU02000000:2:5230844:5237588:-1 gene:OGLUM02G07230 transcript:OGLUM02G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDPLDPPPEPQPQTPPAVAAASPSPSPPPLLLRPRREAFEHGLLPIPKLIFPEGTLAHTLAQVKDKLASAADGGGRVAAAALAEALQIPHEQAALALATLAAVLPADDPALDSGGGGGADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLLKFSEGTPLSQAATFFANSDPDMPAAPVSAAQVHDWISQNISASLEFSTEKSISKEVSQQVTSDIDVTMADANASNARNSTPTGTNPAYYRNTTFVEGFSKTSVVKHASDVKGHSVKVLNCHESVIYILAPLKYATVYGCSDATVVLGAIGKVVKVEHCERVHIIAAAKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLAKVGVDPAINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESAARLDPDLFTNFMIPSWFGTEVQEPTKCCPFPLPEIYCASQSKKLAALEDIRRTIRELQIDDNRKKELTNALHAQFKDWLYGKFSSVHSVANAFISPLCLF >OGLUM02G07240.1 pep chromosome:ALNU02000000:2:5245140:5256534:1 gene:OGLUM02G07240 transcript:OGLUM02G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQQLQPHDQQAASCSVTAGMMMQASATSSSIHGNNIIRKDPGGGYDMADLDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAINSAPSNNALAIAAGSSKRPPAAAAAGGQPSRLNNPADQPSASGKDGKAAVVKKEGGGGGGKHHGGASSAAASEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELVQRSRTQGAILGGGAFSAGIGGQSPEAAWFDGEYARWVESHERMMAHMRAAVEEQPQHGGVAAAAAEAQLRQLVDAAVAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSELIKMMARHAEPLTEQQAAGVYGVQQSAREREEALDRDLHATHHALSDAVSSDSLLLFPPGTGATAYSDADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPTPPPPPPPPINPRN >OGLUM02G07240.2 pep chromosome:ALNU02000000:2:5245140:5256534:1 gene:OGLUM02G07240 transcript:OGLUM02G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPWQQQLQPHDQQAASCSVTAGMMMQASATSSSIHGNNIIRKDPGGGYDMADLDHIFLYLNSQDQASAAIQEQPQTLNIFPSQPMHAGEPSPKGSSSMAAINSAPSNNALAIAAGSSKRPPAAAAAGGQPSRLNNPADQPSASGKDGKAAVVKKEGGGGGGKHHGGASSAAASEHEGPKTPDAKTLRRLAQNREAARKSRLRKKAYIQNLETSRIRLSQLEQELVQRSRTQGAILGGGAFSAGIGGQSPEAAWFDGEYARWVESHERMMAHMRAAVEEQPQHGGVAAAAAEAQLRQLVDAAVAHHGVLVELKAAVASADVFHLVSGTWLPAAERCFLWIGGFRPSELIKADALRLQTLYKLPQILTARQSARCFLAIADHSHRLRALTSLWLSRPRHPDQPTPPPPPPPPINPRN >OGLUM02G07250.1 pep chromosome:ALNU02000000:2:5256116:5260277:-1 gene:OGLUM02G07250 transcript:OGLUM02G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNLGLVHYVLDHIYGTVLHRTKLGTPFFSKGWGGTKLDLLEKMVKQLFPEARCQNWPPTAVQPMWKTVWETKSSCLREGVFRTTCDPRLIEALPPESHNARVAFLTPKVSPEKMACVIHLAGTGDHSFERRLRLGGPLLKDNIATMVLESPYYGQRRPSMQHGSKLQCVSDLLLLGKATIDEALSLLYWLQNEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCDGLYRHATAWDALRKDAATLAQDVTSLTEDTAQKSGITIEQVRERLRSVLSLTDVTRFPVPKNPQAVIFVGATAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALDRL >OGLUM02G07260.1 pep chromosome:ALNU02000000:2:5261664:5262341:1 gene:OGLUM02G07260 transcript:OGLUM02G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTTDASELSVDVRRASDMATAEVLMSATTAMWSSRLPPPLDLPSEGGERGRREPPTSPPLDLAREGGEKGRRAAGFAPGGRAPPVEQVEEGGREWPRRWFPSPSLIPVVVEEGGGGDREEPTGAMDPLPVTVEEGGESDRGEPAGAVDPLPVVVEEGGWSGRGEPAGCPPCRRAGETGGRGGDGAAPPVRGRGSGGAGGGGEGRGVAAEREGENGNDFRVRV >OGLUM02G07270.1 pep chromosome:ALNU02000000:2:5264431:5268572:-1 gene:OGLUM02G07270 transcript:OGLUM02G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFTQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGADMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >OGLUM02G07270.2 pep chromosome:ALNU02000000:2:5264431:5268572:-1 gene:OGLUM02G07270 transcript:OGLUM02G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPWRVLLPLLLLHSSPVFFVFTQEGQDNDPSTLFKRALEMMNLRKYDGSLGLLNAVLEVEPNHSEAYRQRASVLRHKCRYKEAEGDYSKYLELKPGSSSVEKELSQLLQAQNALESAYGQFESHDFSKVLDYINKIVLVFSPDCLKAKLLKAKALLALKDYSTVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSELKKAYFGLKNLVKKTKSAEDNAAKGKLRVSAEDYKASLAMDPDHTSYNVHLYLGLCKVLVKLGRGKEAISSCTEALNIDGELVDALTQRGEAKLLTEDWEGAVQDLKEAAQKSPQAMVCTVHAQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDKREEAENMFREIAAAYEVLGDEDKRVRYDRGEDLDEMNMGGGGGGGFNPFGGGGQQYTFHYDGGFHGGGGFPGGGFPGGFQFNFG >OGLUM02G07280.1 pep chromosome:ALNU02000000:2:5268947:5269924:1 gene:OGLUM02G07280 transcript:OGLUM02G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGERRCGGSRRTPGATSARGGSGGRGDEIRGRGRGRMGWIRMLTGERMRREQEDAGATSAGRMEIGDGRRAMRRPGRIGEAELTGNLLPLHSPPPISTQISWASANGWGEGCRSARKSSTVGSAHIMDLRAQGGAARVPTITAMSSSPARFYLITLAKLLSPKLIVTPRRAAQKPMCSGVPELRLSSVEAHMRFRRIVFEVNSTCTTLWSHRPRHMHPSPRLSPLPSFLLAASLLPVPFSLHFCG >OGLUM02G07290.1 pep chromosome:ALNU02000000:2:5271050:5276970:1 gene:OGLUM02G07290 transcript:OGLUM02G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVVVVVVVFLAAAVDGVAGKDCTNGFPGLTASHTERAAAAAELRPDGEVEAARVLDLLLPHGHGHGDDHDGDRHLIPTDESTWMSLMPRRLLASPASSPRRDAFDWLMLYRNLRGSGSGAGAIAASGGALLAEASLHDVRLQPGTVYWQAQQTNLEYLLLLDVDRLVWSFRTQAGLPASGAPYGGWEGPGVELRGHFVGHYLSATAKMWASTHNDTLQAKMSSVVDALHDCQKKMGSGYLSAFPSEFFDRVESIKAVWAPYYTIHKIMQGLLDQYTVAGNSKALDLVVGMANYFSDRVKNVIQKYSIERHWASLNEESGGMNDVLYQLYTITNDQKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDLLYKQIATFFMDTINSSHSYATGGTSAGEFWTNPKRLADTLSTENEESCTTYNMLKVSRNLFRWTKELSYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGRSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKGDRPVLNIIQYIPSAYNWKAAGLTVNQQLKPISSLDMFLQVSLSTSAKTNGQSATLNVRIPSWTSANGAKATLNDNDLGLMSPGSFLSISKQWNSDDHLSLQFPITLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWNAEAGNTSAISDWISPVPSSYNSQLVTFTQESSGKTFVLSSANGSLAMQERPTVDGTDTAIHATFRVHPQDSAGQLDTQGATLKGTSVQIEPFDLPGTVITNNLTQSAQKSSDSLFNIVPGLDGNPNSVSLELETKLGCFLVTGVDYSVGTKIQVSCKSSLPSINGIFEQAASFVQAAPLRQYHPISFIAKGVKRNFLLEPLYSLRDEFYTIYFNLGA >OGLUM02G07300.1 pep chromosome:ALNU02000000:2:5278414:5278935:-1 gene:OGLUM02G07300 transcript:OGLUM02G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKEEQAKLAASSIDSIVNGCDGGKEHIVAASGSTAVAVAQVEAKTLVVQPTDVAGTSEEVAVVPKVKEGPNRCATCRKRVGLTGFNCRCGNMYCALHRYSDKHECQFDYRTAARDAIAKANPVVKAEKLDKI >OGLUM02G07310.1 pep chromosome:ALNU02000000:2:5282685:5286584:1 gene:OGLUM02G07310 transcript:OGLUM02G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRRARVSRDGDGEEEEEPAPVAAESKGLYEILGVERTASQQEIKKAYHKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRALYDETGIADDDALVGEAADNLQEYFRAVYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKFKGNMNRLFCSMICSDPKLDSHRFKDIIDEAIAEGELKSTKAYDKWAKKISEIEPPTNPLERRVKKNKKKSEENDLILAISQRRAQRKDRFDSVLSSIMSKCDPKGGSSSEPTEEEFEQARQRLEKKRSKNRK >OGLUM02G07320.1 pep chromosome:ALNU02000000:2:5286944:5287639:1 gene:OGLUM02G07320 transcript:OGLUM02G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPAIKIPRAPRLASQLNATTARLQPEKPSIDNITRLLPHPAEPSTSPPPPGMEMKKIACAALLAVAASASAVAASESPASAPGPGSL >OGLUM02G07330.1 pep chromosome:ALNU02000000:2:5288543:5293026:1 gene:OGLUM02G07330 transcript:OGLUM02G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVVLKADANVIASAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >OGLUM02G07330.2 pep chromosome:ALNU02000000:2:5288543:5293026:1 gene:OGLUM02G07330 transcript:OGLUM02G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESQVLVALALSLVGGLSTSIGALFVILNPTPNLKMLGLLQGFAAGLMLSISFLDLAHNALNSIGFLKGNLWFFAGVLFFGLVVKFIPEPTVVPTADAGKKQTDDDGSGKDMMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLAIAIALHNIPEGVAVALPLYFATNSKWQAFKVATLSGFAEPLGVIIVAYLFPSSLNPEVLEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFVGMAFMSASLYFLEISLPKEVSL >OGLUM02G07340.1 pep chromosome:ALNU02000000:2:5295925:5297689:-1 gene:OGLUM02G07340 transcript:OGLUM02G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYAQTNLISRGHGHGHGHHHQPELMKEFPFISLISSTSSCISSSGQQQQQQQDDDGHGGHDRHQLLRYGCCSGNKQQEEEEEEVTVALRIGLPAVSSASASPSPAMANHGASASSTGVAGDDGGGGAMAGVGVEVESVRRSGGGGGGGQYWIPTAAQILAGATQFSCPVCRKTFNRYNNLQMHMWGHGSQYRRGGTAALRGAQPTAMLRLPCYCCAAGCRNHIDHPRARPLKDFRTLQTHYRRRHGARDFACRRCAKRFAVRGDWRTHEKNCGRLWRCACGAHFRHKRSLNDHARAFGAAHAHAGAGAEDDGRAAAAGVLR >OGLUM02G07350.1 pep chromosome:ALNU02000000:2:5300536:5300811:1 gene:OGLUM02G07350 transcript:OGLUM02G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCKGHSQEANPIKASTMEVEAFMAKQENLNHRFEIHHQSPQGRAPTLAGLLIGKLKNGRRNLLLLVDLKDYMLQMLKTKASVLSPTVAG >OGLUM02G07360.1 pep chromosome:ALNU02000000:2:5304732:5311306:-1 gene:OGLUM02G07360 transcript:OGLUM02G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer envelope protein of 80 kDa [Source:Projected from Arabidopsis thaliana (AT5G19620) TAIR;Acc:AT5G19620] MGTRRDVRFVSSGVKLPCADAAPAPAPAPTLLSAALPFARIGRAIDGVVRHVARSLPRLPVARAETGAGAAAAPIALPRRQKDGGGGGGGEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFHDRHGKIINIRHLDQVIKSVNGWYQERGLTGLVSYAEILSGGILRLQVSEAEVNNINIRFLDRRTGEPTVGKTQPETILRHLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNYTDPWIDGDNKRTSRTIMVQNSRTPGTLIHGGDHPDHGPITIGRVTAGIEYSRPFRPKWSGTLGLIFQHAGARDDKGNPIIRDFYNSQLTASGNAYDDTLLAKLESVYTDSGDRSSTMFVFNIEQGLPILPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDLSSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >OGLUM02G07370.1 pep chromosome:ALNU02000000:2:5315532:5318388:-1 gene:OGLUM02G07370 transcript:OGLUM02G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARASRAVARPRCSTPPPRSPPPHARTAPPHATPVLATRHPRLLATVRHHRAPHAIQACAVARTARSMAAVSGSGNDDGRDAISIDHPPPDAVDKEDNTGKGVPATPSTIFLPPSSTETAEPLSGVIVSDPKAKAKPSASPANVVGAGSKRRPPKAKTTAAVAVKSRKPPLSPTTTAAAGDRNILLSLGNLLFED >OGLUM02G07380.1 pep chromosome:ALNU02000000:2:5323846:5330202:1 gene:OGLUM02G07380 transcript:OGLUM02G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNGENHLKDPLLQADGGGSGASPAGASPRKERKTRKVMFNVRGISCASCAVSIETVVAGLKGVESVSVSPLQGQAVVQYRPEEADARTIKEAIEGLNFEVDELQEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHFDPNITSRDLIIEAIEDAGFGADLISSGDDVNKVHLKLEGVSSPEDIKLIQSRLESVEGVNNVECDTAGQTIIVAYDPDVTGPRLLIQCIQDAAQPPKYFNASLYSPPKQREAERHHEIRNYRNQFLWSCLFSVPVFMFSMVLPMISPFGDWLFYKVCNNMTIGMLLRWLLCSPVQFIIGWRFYVGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSESFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALSKLTELAPETACLLTLDKDGNAISETEISTQLLQRNDVIKIVPGEKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDKVIGGTVNDNGCIIVKVTHVGSETALSQIVQLVEAAQLARAPVQKLADRISRFFVPTVVVAAFLTWLGWFVAGQFDIYPREWIPKAMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKVKAIIFDKTGTLTVGKPSVVQTKVFSKIPLLELCDLAAGAEANSEHPLSKAIVEYTKKLREQYGSHSDHMMESKDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEVPISSEVEGHMSETEELARTCVLVAIDRTICGALAVSDPLKPEAGRAISYLSSMGISSIMVTGDNWATAKSIAKEVGIGTVFAEIDPVGKAEKIKDLQMKGLTVAMVGDGINDSPALAAADVGLAIGAGTDVAIEAADIVLMRSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEEVAAGPKNDPDLV >OGLUM02G07390.1 pep chromosome:ALNU02000000:2:5330897:5333169:1 gene:OGLUM02G07390 transcript:OGLUM02G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVNGVRCGPGHGISVGSLGRYKDEKDVEDIIVTNCTIKGTTNGLRIKSYEDSKSQLRATKFLYDGITMDNVSYPIIIDQKYCPNNICSASGTSKVAVTDIVFKNIVGTSATPEAVTLNCANNLPCQGIQLHNVDLKYAGQGNTTLSVCKNVAGKSSNVAKELACV >OGLUM02G07400.1 pep chromosome:ALNU02000000:2:5334646:5337961:-1 gene:OGLUM02G07400 transcript:OGLUM02G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRSPLRSFVEVAPGSHFPIQNLPFGVFRRRGSPEPEPPRPAVAIGDFALDLAAVSDAGLFHGPLLSASPCFRQETLNMFLGMGRPAWKEARATLQKILSADEPVLRDNEALKKKCLVPMSDTEMLLPITVGDYTDFFCSVHHARNCGFIFRGPQTPVNPNWFQLPIGYHGRASSVIVSGTDIIRPKGQGHPTGDSRPYFGPSKKLDFELEMAAIVGPGNELGKPIDINDAEEHIFGLMIMNDWSARDIQAWETIPLGPFLGKSFSTTVSPWIVTMDALKPFTCEAPKQEPEPLPYLAEKNHVNYDIPLEVWIKPKEQSEPSMVAKSNFKHLYWTLTQQLAHHTVNGCNLRPGDMFATGTLSGPETESLGCLLELTWNGQKEISVGNSTRKFLEDGDEVILTACCKGEGYNVGFGTCTGKVLPALP >OGLUM02G07410.1 pep chromosome:ALNU02000000:2:5341893:5345673:-1 gene:OGLUM02G07410 transcript:OGLUM02G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl synthase [Source:Projected from Arabidopsis thaliana (AT2G04540) TAIR;Acc:AT2G04540] MGCLRRALRLGLRRGLSSSSAAPAAAEQAELPPPRPSAGRRVVVTGLGAVTPLGRGVGPTWDRLVAGGCAVRALAAEDLRLPGGADAGRTLEQLPSRVAAPVPRGKGDAEFDEEAWTKDNKSISGFIAYALCAADEALRDANWLPSEDEKKERTGVSIGGGIGSISDILDASQMILENRLRRLSPYFIPKILINMASGHVSMRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVAGGTESSIDALSIAGFSRLRALSTKYNSLPQAASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEVRGYGMSGDAHHITQPQNDGRGATLAMKRALDQSGLQADQIDYLNAHATSTPLGDAVEANAIKSVFGDHATSGGLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGIAPPTLNLEKPDTLFEGAFMPLSSPKKMPIRAAISNSFGFGGTNTSLLFSCPP >OGLUM02G07420.1 pep chromosome:ALNU02000000:2:5350623:5356205:1 gene:OGLUM02G07420 transcript:OGLUM02G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNR5] MGAGEGGEEQSLALTPTWVVAGVCFIIVAISLAAERLLHRLGKVLKFNGQEALFSALQRVKEELMLLGFISFLLSVFQKFINHICIPESAAHLMLPCITRETSQTTEDASKLCKRKGEVPMLSEEALHQLHIFIFVLGIVHVVFCVTTLLLGGAKMKKWEKWEKEIQQGRTKERPKRPGWMKFIVVRCAISFLKQFYDSVGKPDYQVLRSAFVQRHYPNRPDFDFHKYMVRALEHDFKEVVGISWYLWLFVIVFLLLNINGWHTYFWLSFLPLILLLIVGTKLELISTRLAQEAADCPDEATGNPWTKPCKEHFWFSKPRIVLHLIHFILFQNSFEMGFFFWVLATYGFDSCIMENKIYALPRLAIGIIVQVLCSYSTLPLYAIVTHMGGDIKLQAFGETVHVSVHSWATDVRKKKAAPPPHSHLRIPFLMKRRHSTRGADDAADDAGGDVDHHHHHHGHHHHGHHHHEGSSAAAAAPDLEEIVATTSGGEDGHPPAPPPPPQGPRP >OGLUM02G07430.1 pep chromosome:ALNU02000000:2:5360594:5361730:-1 gene:OGLUM02G07430 transcript:OGLUM02G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHVRMPPTLTPTTCSAHHQLAIEAAATTPRPPSSRSRSRSRHCRRARGAARRGGSCSRAPTSSTGGTSTGRAASPKPCSPPPTHAARLEAAADAGGAHRRVLHIVDLDAAHGVQWPPLLQAIADRADPAVGPPPEVRLTGAGTDRDVLLRTGDRLRAFSSSLNLPFRFHPLILPCTAELAADPTAALELHPDETLAVNCVLFLHKLGGDGELAAFLRWVKSMNPAVVTIAEREGVLGGDVDDDNVPDELPRRVAAAMDYYSSVFDALEATVPPASADRLAVEQEILSREIDAAVAAPGAGGGGRARDFDAWASAARAAGLAPRPLSAFAASQARLLLRLHYPSEGYKADDDGGRGACFLRWQQRPLMSVSSWQPQP >OGLUM02G07440.1 pep chromosome:ALNU02000000:2:5372481:5372774:-1 gene:OGLUM02G07440 transcript:OGLUM02G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGRGVVGERWSQRVLWLCAIGSAVSLYYVAVERQAQNRARAVAEGLKALDGAGAGEDV >OGLUM02G07450.1 pep chromosome:ALNU02000000:2:5373972:5377884:-1 gene:OGLUM02G07450 transcript:OGLUM02G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G48330) TAIR;Acc:AT5G48330] MMGVVGMVAPELLGKLGLVPAETAIPWFQTGVIPPAGTYTYWADPYTLFVFELALVGFAEHRRFQDWYTPGSMGKQYFLGLEKYLAGSGEPAYPGGPLFNPLGFGTKSEAEMKELKLKEIKNGRLAMLAFLGFSVQALFTGVGPVQNLLDHLADPVHNNILTSLKNTWSKPEKVRGLENVQVRAVSASGVVSAAIGCDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALANYDIVKVSFGWGHAMALTKDGGLLGWGYSENGRLGEIGQITQASSAKELLGKTVDKYSSSMLEAVEKMVEEKIRSEDNMPIIWEPSLVHEVCHVEVSDVSCGLDHSLVLCSDGIVLSGGDNTYGQLGRKSAWSKFLPVDISHSPFSVSASVGHSLATCNISTEGDDHAETGVLSWGWNCSSQLGRPGKEDVPALVDGLNGERPVSASAGRVHSVALTSKGEVWAWGSGRNGRLGLGSSMDEPEPFLIDTLEGAEVSQVAAGMDHTLLLVAE >OGLUM02G07460.1 pep chromosome:ALNU02000000:2:5378540:5378831:-1 gene:OGLUM02G07460 transcript:OGLUM02G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNR9] MAAQALLSGRQLLGRPLQSSVSRSSSSRKAPFMVRAEATPPAKQGADRQLWFASKQSLSYLDGT >OGLUM02G07470.1 pep chromosome:ALNU02000000:2:5379322:5383704:-1 gene:OGLUM02G07470 transcript:OGLUM02G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWFLVHLVISFFGSLSHLKNDLECYLISFKLLPKEAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIAFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIVKALYRFSRKYQNYGGISLLID >OGLUM02G07470.2 pep chromosome:ALNU02000000:2:5379322:5383704:-1 gene:OGLUM02G07470 transcript:OGLUM02G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLILGLLWFLVHLVISFFGSLSHLKNDLECYLISFKLLPKEAKNALKVKQLLRWFSTIGINYLILYDIEGVLKELIQPGIETSTDGNPINSLDVVADTKASCYRHGGMFMECLSSSDGKEAIAKAANLLYSTCCNSDNKSEIAFTEADMTHALKAVGTGGPEPDLLLVYGPGRFHLGFPAWRLRYTEIMYVGPLESMKYGTIID >OGLUM02G07480.1 pep chromosome:ALNU02000000:2:5385631:5386744:-1 gene:OGLUM02G07480 transcript:OGLUM02G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQMSNLRLANFEFFTIIQPGSSRTKLKLPDEFARELEGEERELRDAKLLVAGVGRRRRGRMWDVKVVADDGGAYLGRGWLRFARAHGLRDGDLLVFRYDGAAAFTVTVFDDGTMCRRAYHDAAGSGSSSDYDGNDGRGEAAATSQFVVTLRQGNLGKKQAQYLLTNQPTGRRSPAAMAAAAAEQNVPVEFQEAHGYAAREKVVLRMRGRSWTVRLKHTKGRRPRRERAVLRYGWHRFCADNGLAVGDTCFFRALRSAGSGAGDVDDGDGDHVLSVTVHKADGGDPLE >OGLUM02G07490.1 pep chromosome:ALNU02000000:2:5388497:5390110:-1 gene:OGLUM02G07490 transcript:OGLUM02G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMGAAVVRRLHAAQAQAQPPRLTKLALRAPKFVEVEFEDGSSFHLPAEFLRVYSPAADSKIRSVAGEKVIFGRRHVGILSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFGLMRSYITTLKKHGLTRDPQRRK >OGLUM02G07500.1 pep chromosome:ALNU02000000:2:5391824:5399878:1 gene:OGLUM02G07500 transcript:OGLUM02G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLSGGDYVSLGWHEKEDLKCVVSYLRTTKQVSCIGLWGRSMGAVTSLLYGAEDSSIAGMVLDSAFTKLYGLMMELVDVYKIRVPKFTVKMAVQYMRKIIQKRAKFDIMDLNVLQFAPKMFIPALFGHASNDMFIQPHHCDRIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSMFFYNTLHPPQLPVKCSNNLGAFKVGTVTNESFIFEIISGLRGAGTNSCSSSIDASKFPNATTPVVELLSESVNQLSIKNDNDLDFLLDENRTLSEIDGDSAGSRLQDKSSGHNEESCSCTSSNRESWGRCSSLGGASDDSFPGDISDKQENMTVKALATPLRQKDSKSTTPKTKEKKKSLWKKLNRERAGVGDSLSQRLKMCLSHSSRHKRVKSSGIV >OGLUM02G07510.1 pep chromosome:ALNU02000000:2:5401169:5402359:-1 gene:OGLUM02G07510 transcript:OGLUM02G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRHHRRDGTDLLEAEPLLDDSHLMTVRDPLPAAYIAVCRRDWYSHSYYRLYKVEDDSSSSSSPSSPVTKQVLHRFAHLPTDVACKTVVSVRSRWIVSVGGNPGGTVLFDTETRRVITGPKLLSAKLSPVAAAVGDRIYVLSSRPQYLEDPDFELSRATVVATADGRHTLDGCSWEALPDPICFPCMLSPAGYLAPPLILVTSYVLFLPYLLVSVNNMGKMTTYAFDTGTHRWHKLYDYGLPFFGSATPLVGHTGIFLGRCWESGPINAYRIRLVSSAPHLKLSVTEFPVKTEAREEVGVGEALCLASMEDEGSFSCITFRLDDREHHMSYDKDIHEFYPRKMYLNLTTYKIVEGEKTDMDIVVHCKRDKALKIFSSHGFSSPPIAFALSI >OGLUM02G07520.1 pep chromosome:ALNU02000000:2:5402969:5404155:1 gene:OGLUM02G07520 transcript:OGLUM02G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRRLPFPNPTKASPRSILETKTATKRRRDESAENRKAVYLVAELSTNEPSHSVFMVDAAAAVAGGGGEVRRAHPLSGSGLTGAKHGMSFVAVRSEHGSWILGAGGRGGCTVIYDPITMEEFRGPHLHWPKQEPVLVSVGGVVYAISRRPRVRLASRLDYLPWLQSLSFNIGVPRLDRMDSPYWNSLPPPPLFPSSCARRSTAIRPTTASREEQRGTYGFHVVDKAWEKVHDCNLPFVGQAVSIGGSLFAAAMPNNGGGGASVFHMSIIKVSSSSSPASWQLLVQEFPVASLGRMIPQPRIFSPLGEGSFCSVGWLASSGRSHGCQIKEYQIEGAESKKDLQATVQVKHQDQTYQFKGQSRFLDTHMPIVAALSM >OGLUM02G07530.1 pep chromosome:ALNU02000000:2:5404309:5426995:-1 gene:OGLUM02G07530 transcript:OGLUM02G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAAALVGCAERRGRSGVRAPRLVGVDDTWGSCARREWTGGSVCKTVACAKGGMREGDPHAGEGVIGVQHPAPTSSP >OGLUM02G07540.1 pep chromosome:ALNU02000000:2:5426899:5434359:1 gene:OGLUM02G07540 transcript:OGLUM02G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRGDSPRHTSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQQELKHCFQKLDISFTDEEIKDLFQACDIYENMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGVIELLTHPWIRSDVDELPTNPHGAAAVIHTTESEIWGLKSQEHPSLPSQPGEIQAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSWKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >OGLUM02G07540.2 pep chromosome:ALNU02000000:2:5426899:5434364:1 gene:OGLUM02G07540 transcript:OGLUM02G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVGRGDSPRHTSPASKLERKMVEAMQQRAQKGTSVKSFNSVIMKFPKIDESLRNCRIIFQQFDEDSNGEIDQQELKHCFQKLDISFTDEEIKDLFQACDIYENMGMKFNEFIVFLCLVYLLNDPLVSEARKRMGLGSLEPTFETLVDSFVFLDKNKDGYVSKNEMIQAINETIGGERSSGRIGMKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDDNEDDDDEEGVIELLTHPWIRSDVDELPTNPHGAAAVIHTTESEIWGLKSQEHPSLPSQPGEIQAKMAKLKELLHRSENRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHISKVLSVTLDQWSDNEIDNVIEVGGNSHANAIYEAFLPQNHSKPHPDSTQEEREKFIRSKYELQEFLEPSLRIVSHQSSDSWKHAGSASHSVSSKSEVGMIEFIGILNVKVKGGTNLAIRDMSSSDPYVVLTLGQQKAQTSVIKANLNPVWNEELKLSVPQQYGPLKLQVFDHDMLSKDDLMGEAEIDLQPMINAAAAFGDPGLLGDRQIGRWLKSGDNALVRDSAVVVAGGEVRQELALKLQFTESGEVELEMQWFPLNM >OGLUM02G07550.1 pep chromosome:ALNU02000000:2:5437311:5443790:-1 gene:OGLUM02G07550 transcript:OGLUM02G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSVVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEVKKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHQEEIIKWWPLYLDDQECVGKIQLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >OGLUM02G07550.2 pep chromosome:ALNU02000000:2:5437311:5443790:-1 gene:OGLUM02G07550 transcript:OGLUM02G07550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSVVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKLSCPAVSTTSTFVEQMYEQIKESINEYGVVINRWPHYLMSLESAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >OGLUM02G07550.3 pep chromosome:ALNU02000000:2:5437311:5443790:-1 gene:OGLUM02G07550 transcript:OGLUM02G07550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSVVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEVKKIRVVPQRPKLRATSSFRNLYMQAGSEYVRQISKILKSQVTMLTSTSSTSLPEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >OGLUM02G07550.4 pep chromosome:ALNU02000000:2:5437311:5443790:-1 gene:OGLUM02G07550 transcript:OGLUM02G07550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMFTEGLDESAISWIKQGTGSPAPPPPRSPLAERLPAGQIAAPRSPALYGRGCSVVGVGVGGGVGNGLFSPKSLPPVRTTARHSGLLGRHHHSVLLAAADSEEEDGEEGEESVASWGVPDDCYYGNFSDTLEEEEEDGACSSGDSSLLRRAMDRGGVAFDDEVTSQLSRRGGGGGLVRGQSKENLRVEVRAASAFAGKCSSGHNTVDSTSHEHYFGEQKFQAIGTPSAPPIAGDGVEAIFDTVAETKGGLERAGVSSVADILAQDVHEPELPTRSNVQEDGVHVPYVESNLLAQMPSFTANVQSAWQSFVAYDACFRICLNAWARNCMEAPEFLRDECMVLRSAFGIQSFLLHPKHKSQDDGKSIYDKDGSCNMKGRKLVKQIEIEEMFTCTLELQSSCKDNQRDSISPQYLKPGTGESQLFYLESQGDSILVEVQDNNRVVIGRAKIQVSSITDTHLCINFSVSSDNQGAAKMLQGGPAVDTIVYDMVLEAAMRAQNFNSKMLHVSGSWKWLLDEFSDYYGVSDAYRKLRYLSYILNVATPTKDCLELAYELLLPVMKARDDRTLTRQERSILLDCEDRIKSLLAVVFENYKSLDENSPTGLSDLFGPISDCAAPALAPAVQIFSVLHDILSNEAQNILRNYLQTAAAKRCRRHMIETDEFMSSNNDSLLTDPMAISAAYLKMKTICINISREIQADIKIHNQNILPSSIDLPNIAASLYSTELCKRLKGFLSASPPSRPLEHVAELLIATADFERDLDSWQVRPVHGGVVSRDLFHGYIMVWIEDTRLQLLDNCRADKAIADVEREIMKALEKQYMETLLPLRDGIPKILEKQVQRLTRRQSISPYVVPNQLGTFMNTVKRMLDVLHCRVEDSLKSWAAYLTITNGNAVFGEQMNSITVMLRKKYKKYLQAIVEKLVSNAQANRTTRLKRILEETRESEGESDIRERMQALRVHLSDSIYNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGDRDLDPPQSVVDARSILC >OGLUM02G07560.1 pep chromosome:ALNU02000000:2:5445477:5445734:-1 gene:OGLUM02G07560 transcript:OGLUM02G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVFEPHGGAAVAVAGVGGGDVVFCVVILCLSVLSMIIFAAASPGGERRRRRRSSSGPVFVGGRGCGCGGRSSGACVCGTYLS >OGLUM02G07570.1 pep chromosome:ALNU02000000:2:5449470:5454338:1 gene:OGLUM02G07570 transcript:OGLUM02G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT3G13235) TAIR;Acc:AT3G13235] MKVTVMTADEQILTVDVDPDESVENLKALLEVETSVPLRQQQLHFNGREIQNTDKLSTVGVQDGDLVMMVKVTSNERPSQDIIRLNPDGSAVDPQAFRQHIRGDSQLMGQLLQNDPALAQAILGDDINELQNTLRSRHQQRLELKRKQEEELALMYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAIGVGQSEILGRIHVAPIKIGHVFYPCSFTVLDAPNMEFLFGLDMLRKHQCIIDLKDNVLRVGGGEVSVPFLQEKDIPSHIRDEEKLSKLASLSQGAAGESSTAREKTPDAPPRAPTTGAPAVNPPQPQGGGDFEAKVMKLVELGFDRASVIQALKLFNGNEEQAAAFLFGG >OGLUM02G07580.1 pep chromosome:ALNU02000000:2:5455807:5462566:-1 gene:OGLUM02G07580 transcript:OGLUM02G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIVVLLVCSLPSLLVCSLPSLLVGAAAAGGGEKQSYVVYLGEHAHGERLGAAAAADVDVEALARQAEDSHCELLAGVLGDKEKAREAIFYSYTRHINGFAANLDAAAAAKIAEKPGVVSVFPNRGHKLHTTRSWQFLGLAGVGGAPTGAAWKKARFGEDTIIGNLDTGVWPESESFRDDGLGPIPSWWRGECQKGQDDAFSCNRKLIGARFFNKGYASAVGNLNTSLFDTPRDTDGHGTHTLSTAGGAPVAGASVFGYGNGTASGGSPMARVAAYRVCYTPVNGSECFDADILAAFDAAIHDGVHVLSVSLGGDAGDYFADGLAIGSFHAVRHGIAVVCSAGNSGPAPGTVSNVAPWLFTAAASTMDREFPAYVVFNDTKLKGQSLSASALSPASSSFPMIDSSLAASPNRTQNESQLCFLGSLDPEKVKGKIVVCLRGVNPRVEKGEAVLEAGGAGMVLANDVTTGNEIIADAHVLPATHIKFSDGQILFSYLKNTKSPAGTITRPETRLGTKPAPFMAAFSSQGPNTVTPGILKPDITAPGVSVVAAWTRASAPTDLAFDKRRVAFNSESGTSMSCPHVAGVIGLLRTLRPDWSPAAIRSALMTTAVEVDNKRHAILNSSFAAANPFGFGAGHVSPASAMNPGLVYDLAAVDYLNFLCSLRYNATVMAMFAGGGGAAPFRCPASPPKVQDLNYPSITVVNLTSSATVRRTVKNVGKPGVYKAYVTSPAGVRVTVSPDTLPFLLKGEKKTFQVRFEVTNASLAMDYSFGALVWTNGKQFVRSPLVVKTTTQTMA >OGLUM02G07590.1 pep chromosome:ALNU02000000:2:5473700:5474656:1 gene:OGLUM02G07590 transcript:OGLUM02G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRRRGGVGGNGATTCDDSACGARAAASSASSSSSSATTSHCSSVSVYRAKINGAPRHVTAVWHRTLINQSFTISIDGGGGGGGGAGAGDDGVLSHKVELKPWQFWSKRGAKTLDVDGDRLDIVWDLRSAKFPASSPEPAAGYYVALVSRDEVVLLLGDGKKDAFKRTRSRPSLDDAVLVSRRESVSGRRTFAARAPLAAGRKDHEIVVDSAIAGPREPEMRITVDGVVLVHVRSLQWKFRGNETVIVDQSPVQVLWDVHDWIFAGGPAAQAVFVFKPGAPPPGGDRCGRGGGGGGGGGIGDEGGYSFFLQAWKTE >OGLUM02G07600.1 pep chromosome:ALNU02000000:2:5475703:5477451:-1 gene:OGLUM02G07600 transcript:OGLUM02G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT3G06040) TAIR;Acc:AT3G06040] ME >OGLUM02G07600.2 pep chromosome:ALNU02000000:2:5475703:5477451:-1 gene:OGLUM02G07600 transcript:OGLUM02G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT3G06040) TAIR;Acc:AT3G06040] MSLSTIARRLCCSRPTSGGRLSAVWAHLYSTEAAKDTGAKKYKYPEVYDPYGPMSPPSQKVVDLADRIAALPPEEIKQIAPALLLRLNQPPPQAISGQGFSFSPQGGGGAAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIEKIKVAGGVAVME >OGLUM02G07610.1 pep chromosome:ALNU02000000:2:5479493:5481025:1 gene:OGLUM02G07610 transcript:OGLUM02G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAIVSGVVADMAGRLVSLVAGHLLADRRGVDDKLRRVRRLVVRIESAVEAAEARRITGRALLAWLSDLVDGAHQGRYFLDAFPVVADHDGDGDVEVAPSSFNPAKRLRVAARRLVFRDGGGAAAELDGVLADLESVSGDLTGFIMMLQSCPPAMHRPLATNIYADSQMFGRQVERRRVFDFLLQDGDGDDGGEPAAAELGVLSIIGRSGLGKTTLVQHVCDDPAVRRRFSRIILVDFHCVSLMAAGETTALLRSLFAAAAAAAGTGSTSISGVGEKLRLLEKNLRGERLLIVFDNVDARRRPAVDAIMGALRRGGRRGSKVIVTSSDARHVAGLATAADTITLRPPPPAEYWLFFKAHAFGGADDADADPRLAAVGQAIAERLRLRASYFGGKALGALLRWRPDHRLWRRVLSSGAADLPCLGTGDYIAAAAGCLFPPHLNLHSVTVSRSPLRGLVGLHGSSLMTPPPTDSGRRSPELPVLLCKSVFPSYCLYYAAHCTIDDTEIKQ >OGLUM02G07620.1 pep chromosome:ALNU02000000:2:5485728:5486214:1 gene:OGLUM02G07620 transcript:OGLUM02G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAKELLPLEMEVLPPKLEDMLESRVIILLLEILNLHKDLLLERKNDSIFQAYCVENMLEIAQ >OGLUM02G07630.1 pep chromosome:ALNU02000000:2:5486020:5488549:-1 gene:OGLUM02G07630 transcript:OGLUM02G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKLESRAGGVGVCELACQWAKHALAHTSAYVVEDSFASDTEVSDTQVVVDVQAVAVTELDLYGFLESKLQDVAVDVAGSEVDAVVTVREEAMAVAEMEFHGAAVDMTESEVRIVLAVGDEAMAMVVAVPKMEPNGAQLLSPRMELDGATVTVAENELDAAVDVVKMELDGATVTVAESELDSVVAVYEIIKVEC >OGLUM02G07640.1 pep chromosome:ALNU02000000:2:5490916:5492463:1 gene:OGLUM02G07640 transcript:OGLUM02G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAIVSGVVADMVGRLMSLVAGQLRDRRGDAEEKLRRLRRLVVRIESAVEAAEARRITGRALLAWLSELVDGALQGRYFLDAFPVATDHDGGGRGEAAVANPLNPAKRLRVAARRLVFWDGGTAAELDSVLADLESVSGDLTGFITMLQSCPPALHRPLNTNIYADSQMFGRQVERRRVFDFLLHDSDGDGGGEPAGAELAVLSIVGRQGLGKTTLVQHVCNDLEVRRRFSLIIELDFHCLSLMAAGETALLLRSMFAGTGGAASATITSVFGDSGETLALLERRLRGVRFLAVFDSVDARRRRVIDAIMPTLRRGRRGSKVIVTSRHAEHVAGLAAAAADTITLRPPPQAEYWLFFKAHAFGGADAEADPRLVAAGQAIAKRLRLAASFFGGKMLAALLRSRPDPRFWRTVLSSGAADLPCLGYADDAVAGRLFPPHVTLQSVTMSRSPERGIVSLQDSCLVTPPATQSGDHRRRRRSPELPVLLCKSVFPSYCIYYTAHCTISDSDTDNKQ >OGLUM02G07650.1 pep chromosome:ALNU02000000:2:5492992:5494597:-1 gene:OGLUM02G07650 transcript:OGLUM02G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G26555) TAIR;Acc:AT4G26555] MAPASLTRLTNPLPCASPSPRCRRRPGRSPPARAAACGVVSRRRAVSEMAILGGAAAAAASCCVDLFFAHTPARAATLEPDVIRYRKLDSGVKLEDVVDGEGPEAREGDVVQFNYVCRRANGYFVHSTVDQFSGESKPVTLALDGKEMIRGLKDVIVGMKTGGKRRALIPPQVGYTDESLQPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >OGLUM02G07660.1 pep chromosome:ALNU02000000:2:5497670:5500528:1 gene:OGLUM02G07660 transcript:OGLUM02G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNDEILDGQNEEVQSQVSLPQDIQRIIIGFLPGRTVLKFCSVCKFWRDCIVEPAFVDHHLNCALRFRQAIACFTSVDNGLVQMYMFDPITVNFKRTEPVFSSRFHMSQPCNGMVCAYDLKGAAEVLNPTTRKHLTLPASESVYQAQYSEYFLGYVHSTKEYKVVALRHWIKHLTFEVCTIGTLSWRTVRGSEEEELLKTTKPVVVNDEMHWLLLDDESSHFTRKILSFNLTDEKFSYLDVPDSVRDRDLELVEGEGKLHLWSMPCKGAAYTESEIWLADSTRQFWVHLHNIAHPSVLGTKPFFMYKSKLFLGSQKRFIYIDILDGTVCYVDIPSGENIISSGMHRLGELNDIINRFSLCWVIIKRLWTIFSCCWIFLNKNSTFTRCLQVVLSSCAILQAGEKNNKLSVEDVYRRHKQDSAGAMK >OGLUM02G07670.1 pep chromosome:ALNU02000000:2:5501123:5502415:-1 gene:OGLUM02G07670 transcript:OGLUM02G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAVIAAAGETQVPDDVVDEILVRLPSRSSLARAAAACSAFRALVSSPRFLRRHRARHGPCPGALLGSFAFSSEGGAFHPAEPPHASAAAARAVAASADFSFAFLPPSPVVGDDDPRRGLGWIVRDHRDGRFLLDRVASLDDNVFPELAVCDPLSRRYVVLPPIPRELAAAVDRPLGVIGGRRRCEPFLAPCDADAESEPAFAVIWTARCPRKVVAFAFASRDGRWRALPSPECFVWSRHRSPFGCPVHAVWNRRFYAHGCFYWLDCLTHLWLVLDTRAMEITVKQIPSPAGYWEEHVAVVEGEDGKVGVFAHDFYHAGGEACLYYYTIVDGGDGPRWRLERTVPFPWPAAHGRPYSIRAAANGSLILEVSHGTPAFMTSYRSRDVELYRIDVKSFELEMICRARCAAGDIAWAYFGFPPLLSLPTV >OGLUM02G07680.1 pep chromosome:ALNU02000000:2:5508522:5510387:1 gene:OGLUM02G07680 transcript:OGLUM02G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDLTAASARSSHRLQYVRSGGESPTAVVLEAARLSSVPFGRRRGKAARLGMGKAPAWTGTTVRLGGAAHQALDLTVCHGVTPFSDELLDVGSYLLTAELLVEATCSRAQPQPAQPRGVQQLEWALQPRRGERSTPAMVELAIEEGLQSPPRS >OGLUM02G07690.1 pep chromosome:ALNU02000000:2:5510814:5517785:-1 gene:OGLUM02G07690 transcript:OGLUM02G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRAICDEPRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKEWEGHNDMIIT >OGLUM02G07690.2 pep chromosome:ALNU02000000:2:5510814:5517785:-1 gene:OGLUM02G07690 transcript:OGLUM02G07690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGSGGGGERPGSAPMRLCVHVLEARGLPAAYLTGHSDPYVRLQMGRRRAKTTVVKRCLSPLWDEEFGFAVGDAEEELVVSVLNEEGYFGGGFLGRVKVPLSTVMAADGLSLGTAWYHLHSKGGRFRKKRRVAGEIRLRIYLSRTAICDEPRNMPMQLINDTPCSSMRSVGTTASSLSARSVGTTASSLSASVSSLDLSACPSMERASCSSMDKLSQGIMDQQGRRSPGQLSCISTERSILLEPEEDDNDATTNASSVVEVMSRYFCRKPVDAAPSATSDHEQFQDTQMNSESCENGDNGALPETSLNELMKSLESKDKGSEMPENLRGGILVDQSYVLQPTEMNSMLFSAHSDFWPAVAEVQGLSGFQTDPWKLVSNDCLKRTLSYTKAASKLVKAVKITEEQTYLKAAGNSFAVLSSVSSPEVPCGNCFKVEILYCITPGPQLPSKEQTSHLTISWRLNFVQSTMLKGMIESGTKQGLREGYAQFTEVLSQKTKVIAPDDSNLSKDEILSSLQTQEESIWKLAARFLGNFAFIFSLCIALYAIAHLRLVKPNMVHGLEYFGIDLPDSIWEVVFCAILIIQGQNIFKSGRRFLYAWKQRGSDHGVKAHGDGWLLTVALIEGSGVVGSGTPGLPDPYVVFTCNGKRKTSSVKFQTSEPKWNEIFEFNAMDDPPSRLEVVVHDSEGPHNKIPIGQTEVNFLKNNLSDLGDMWLPLDGRFPQGCEPKLHLRIFLNNSRGTEIVMNYLAKMGKEVGKKIHLRSAQTNSAFRKLFSLPPEEFLIDDFTCYLKRKMPLQGRIFLSSRILGFYSNILGRKTKFFFLWDDIDDIQVAPPTLAKVGSPSLMIILRKDRGLEARHGAKTLDPQGKLKYHFQTFVSFNDAHRIIMALWKMRSVDPEQKGEMIDKNSELKQLPCEEGSLLANEDVKMSEVYSAVLSVDINSLMDMFSGGPLEHKVMQKAGCVDYSPTEWELLNQNIYQRQISFKFDKILSRFGEASTTQRKYNLANRDGWVIEEVMTLQGVQHEDYSSIQLKYQMTSTSLKPSTCSIQVLLGIAWLKGAKQQKKVVKNVMSNSANRLREIFSEVEKELASKKEWEGHNDMIIT >OGLUM02G07700.1 pep chromosome:ALNU02000000:2:5520019:5524963:-1 gene:OGLUM02G07700 transcript:OGLUM02G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDAAAARRRAAVTEYRKKLLNCRELESRVSTVRENLKNAKKDFTKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >OGLUM02G07710.1 pep chromosome:ALNU02000000:2:5528360:5533758:1 gene:OGLUM02G07710 transcript:OGLUM02G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASDDAVKQLALLMDQVEAPLRRTFQNVHQGYPKETLLRFLKAREWNVSKAHKMLVDSLNWRIQNEIDTVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAVGVGQSTYDKASVHYYVQSHIQINEYRDRVILPMLTEKFGRPVTTCVKVLDMTGLKLSALSQMKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLHGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSTDADDCYSLDHPFHKELYGHIEELASRKELIKMGSLHVSIPEPDPDDAKIVEVIQAEFQKIGEQNGSANGHKV >OGLUM02G07720.1 pep chromosome:ALNU02000000:2:5534427:5538162:-1 gene:OGLUM02G07720 transcript:OGLUM02G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTARKARVLLLSSPPPPPSPPVMLRRGGVVLLLVVVVLGLLAARCDGKKVSSFVGTYGVNYGRIADNLPPPTEVVKLLRMARIKNVKIYDADHTVLDAFRGSGLNLVIAVTNGEVKDIAASPAKAMDWLNENVQPYYPSTRIVGITVGNEVLGGADAGLAEALIGAVVNIHDALKMLGLATKIELTTPHSEAVFANSYPPSACVFRDDLMVYLKPLLDFFSKTGAPFYVNAYPFLAYMSDPAHIDVNYALFKPNAGIYDAKTRLRYDNMFEAQVDAAYFALEAAGYPEMEVRVAETGWASAGDATEAGADPANARAYNFNLRKRLFLRKGTPYRPGRVAKAYIFALFNENLKPGPTTERHYGLFKPDGSVSIDLGFKGLVPSSSSPSPSIISFKRARERGWMALIY >OGLUM02G07730.1 pep chromosome:ALNU02000000:2:5538210:5541227:-1 gene:OGLUM02G07730 transcript:OGLUM02G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPRGSRADSRLPHLAGHRSIAAVPARHREISLPRHSLGDTQRAAGTRNRTNSLCQRERIDESSDATQEIGFHACSAIAIPFLATLIPRLLRPSTATNPNQEGHRQEEDK >OGLUM02G07740.1 pep chromosome:ALNU02000000:2:5543456:5544222:-1 gene:OGLUM02G07740 transcript:OGLUM02G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGGNEEAKPPLAEEQRDLGVSDDGKVVDAASPMGSGDYESDAYVASAVSHRASGGGEDLLPRFLCSIWWAHLDDGESASSKATARPVAPAAFCVHVTRMRSSFPKCSEAPYTAVVAACSLRSRAPPRPESLAAAAAMPTELSPKHNDSRVASGFGFSSGEISCCLLLLLQ >OGLUM02G07750.1 pep chromosome:ALNU02000000:2:5547541:5551574:1 gene:OGLUM02G07750 transcript:OGLUM02G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKTALPDSMEEHEEAHEVQANGDHSGESDVINPPEEVGGESTSHLDGKKPRPAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQEFDEQIIA >OGLUM02G07750.2 pep chromosome:ALNU02000000:2:5547541:5551574:1 gene:OGLUM02G07750 transcript:OGLUM02G07750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKTALPDSMEEHEEAHEVQANGDHSGESDVINPPEEVGGESTSHLDGKKPRPAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQEFDEQIIA >OGLUM02G07750.3 pep chromosome:ALNU02000000:2:5547579:5551574:1 gene:OGLUM02G07750 transcript:OGLUM02G07750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTIADAVGAPGIEKEVNGKTALPDSMEEHEEAHEVQANGDHSGESDVINPPEEVGGESTSHLDGKKPRPAKATQSHGPKVVKSRSPKSGGEGQARRSTPSSTLSKAPVARVSHADSSTGSKTNGDSSVDRNKAEKQEPRSSTKETSLEDSKEKRKTQKPLGQNSSVKKDDESNPESRKAGGTPAYGFSFKCDERAEKRKEFYSKLEEKIHAREMEISNLQAKSKETEEAELKMLRKSLNFKATPMPSFYQEPTPPKVELKKIPPTRARSPKLGRSKNKSAGETEETVTPPGRPVRLSLDEKVSQNGVKKANPSNAVKKPQRKSLPKLPSEETGPFDSSHLKNTELSTGNIQESGSTTPNQQETESNTSISESIQDRIATGGQEFDEQIIA >OGLUM02G07760.1 pep chromosome:ALNU02000000:2:5553087:5555224:-1 gene:OGLUM02G07760 transcript:OGLUM02G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFYGLGDGGCLVSAPAELAGMFCRGGVVVQQQQRKRSLVAASAVAAADGVVRAAKRQRQQRQPPSLDALPDECLFEVLRRLPGGRERGASACVSRRWLALLCSIRASELNQATAAAAAAAPPSLPDLNEEFVMEEDDEEEKESSPVVDPCVERVLEGKEATDVRLAAMAVVAGSRRGLEKLAVRGSHPTRGVTDRGLLAVARGSPNLCSLALWDVPLVTDAGLAEIAAGCPSLERLDITRCPLITDKGLAAVAHGCPNLLSLTVESCSGVGNDGLRAIGRSCSKIQALNIKNCARIGDQGISSLVCSATASLTKIRLQGLNITDASLAVIGYYGKAVTDLTLVRLPAVAERGFWVMANAAGLQNLRCMSVTSCPGVTNLALAAIAKFCPSLRQLSFRKCGHMTDASLKVFTESARLLESFQLEECNGVTLVGILDFLVNCGPKFRSLSLVKCMGIKDICSTPAQLPLCKSLQFLTIKDCPGFTDASLAVVGMVCPYLEQVDLSGLREITDRGLLPLVNSSEGGLVKVDLSGCKNITDAAVSTLVKGHGKSLNQVSLEGCSKITDASLFAISENCSELAELDLSKCMVSDNGVATLASAKHLKLRVLSLSGCSKVTPKSVSFLGNMGQSLEGLNLQFCNMIGNHNIASLEKQLWWCDILA >OGLUM02G07770.1 pep chromosome:ALNU02000000:2:5563663:5568622:-1 gene:OGLUM02G07770 transcript:OGLUM02G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYDYSPMPQQTPRRRLRSGEATARGVAGGGGGCQFSWAAQLRALFSSAGADAAATTGGCAPAKGDGHSREVAVVDRSRRRWPWRDLRDFVPLRLVDGIGSALSKVAETLTRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVDDGVLAIHGEKRDVVEEDRGGDGECWAAATYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVR >OGLUM02G07770.2 pep chromosome:ALNU02000000:2:5565364:5568622:-1 gene:OGLUM02G07770 transcript:OGLUM02G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGCSSLTSWVRRLVACVGNCFGCAKPTPIIAVDEPSKGLRIQGRSIKQRSLSEDFWSSSPPGMENSAMQSQRSMSSISTAAQSSDQHGAGSSTNPNEFVNQGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYD >OGLUM02G07770.3 pep chromosome:ALNU02000000:2:5565364:5569142:-1 gene:OGLUM02G07770 transcript:OGLUM02G07770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGLDDYYQLPLRAPPVILPVTEREGVVEWSLSQLFRPICPRTPRVIPSLQTQTQTQTQTQSPPYSNDSPPPPPLHSSHQISPPPASASASAAALALALAVAVAVAVAVVFVCSCTLDRSIDRARVEEEEEEEEEEGFEGGFEWRWGEVELSSFFCRRGGGGGGGAGCYAARLLVPDVVGLLLWNQTRQQWVGNRRHNSQRQQPREPKISWNATYESLLGSTKPFPQAIPLGEMVDFLVDGWEQEGLYD >OGLUM02G07770.4 pep chromosome:ALNU02000000:2:5563663:5564684:-1 gene:OGLUM02G07770 transcript:OGLUM02G07770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQTPRRRLRSGEATARGVAGGGGGCQFSWAAQLRALFSSAGADAAATTGGCAPAKGDGHSREVAVVDRSRRRWPWRDLRDFVPLRLVDGIGSALSKVAETLTRPLTGKVREDEERYRLRFEVPGLGKDDVRVYVDDGVLAIHGEKRDVVEEDRGGDGECWAAATYHAGLLLPEDAVAEGITAEVRDGVLHVTVPRSPERKRSVTEVKVR >OGLUM02G07780.1 pep chromosome:ALNU02000000:2:5580373:5580877:-1 gene:OGLUM02G07780 transcript:OGLUM02G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSGGGGSLALAAATAVAVSGSIVIFSLCRAARPAAAAEAEASLRPCLSSSSSSSERRRRRSGRRVRFAADVVDNEGAARPARRLAATEEHTCRGDAAAAAAAERMPANREALYRGMLRDRSSHRVAYSY >OGLUM02G07790.1 pep chromosome:ALNU02000000:2:5588219:5588684:-1 gene:OGLUM02G07790 transcript:OGLUM02G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATKTVQTPAFAAHIARARRAPSRMLPPLRGVAHAARGWAPDSDGGAGCWFGSLAGWSAREGVYSGKAGRWLTSWGNG >OGLUM02G07800.1 pep chromosome:ALNU02000000:2:5590839:5593681:-1 gene:OGLUM02G07800 transcript:OGLUM02G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCLVDIGEQAAIPERISKHASASKYLIAGGVSGATSRTATAPLDRLKVIMQVQTNRTTVLQAVKDIWREGSLRGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSDIGTSGRLMAGGLAGAVAQTAIYPIDLVKTRLQTFACGSGKIPSLGALSRDIWMQEGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSEAAYRGMSDVFWKTLQHEGISGFYKGLVPNLLKVVPAASITYLVYETMKKSLSLD >OGLUM02G07810.1 pep chromosome:ALNU02000000:2:5599822:5614526:-1 gene:OGLUM02G07810 transcript:OGLUM02G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVPVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGKKKENKIRRRRCLPQSPATTSTGGHESGGCGGDSGGLASLFCFALSIKANLNRIRETDREEDDDKSPIAL >OGLUM02G07810.2 pep chromosome:ALNU02000000:2:5610754:5614526:-1 gene:OGLUM02G07810 transcript:OGLUM02G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFSGSRRRQVARGGVPATAIATGVPVCGRGEITGGVPLHGEVGLGVAATHQHSSLIVGSRSLLGRLKGVYYFS >OGLUM02G07820.1 pep chromosome:ALNU02000000:2:5613676:5614984:1 gene:OGLUM02G07820 transcript:OGLUM02G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVQRNSTGDLATAADRDARSNGGSRNSSAGNLSQILSAKLRKCCKAPSPSLTCLRLDPEKSHIGVWQKRAGARADSNWVMTVELNKEVEPTEPAAQPTSTATASQVTMDDEEKIALQMIEELLSRSSPASPSHGEGEGSFVI >OGLUM02G07830.1 pep chromosome:ALNU02000000:2:5614799:5623463:-1 gene:OGLUM02G07830 transcript:OGLUM02G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MTVHAKVEMRNDGGSWIKSGSDVSSPSFPRRKRKINLPSRRLLRSLRAKTSRARLNRGGAPISIRRAEQGRGGEGILPCQGSSLSFVDLSSNINRMEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPAVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSRKFWNHGLEW >OGLUM02G07830.2 pep chromosome:ALNU02000000:2:5622678:5623463:-1 gene:OGLUM02G07830 transcript:OGLUM02G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MTVHAKVEMRNDGGSWIKSGSDVSSPSFPRRKRKINLPSRRLLRSLRAKTSRARLNRGGAPISIRRAEQGRGGEGILFCGFIFQHQ >OGLUM02G07830.3 pep chromosome:ALNU02000000:2:5614799:5622676:-1 gene:OGLUM02G07830 transcript:OGLUM02G07830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02065) TAIR;Acc:AT3G02065] MEQEENHSADHLSAQPGNGNELEESSVKERCFEQREALVGEPRCVICGRYGEYICDQTDDDICSVECKTILLSKLSAETRPAVKAAKRVNLPVGDESFCIRDENFPKIPSMHDGQIASLRSKLDICVKGEDVPDPIMCFSSSGLPEKLVLNLEAAGYVMPTPVQMQVIPSSICNRSLLVSADTGSGKTASFLVPIIAHCSHVRSERCTDKQGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGTPGRLIDLLMKHNVDLNKVDVFVLDEVDCLLERGFRDQVMQIFQALSHPQVMMFSATVNSEVEKMSNSLAKNAIHISCGNPSRPNKSVKQVVIWVESKQKKQKIFEIMTSKQHFKPPAVVFVSSRIGADLLSEAITVATGLKVVSIHGDKTMNERRESLRRFLTGEVSVVVCTGVLGRGMDLLKVRQVILFDMPNSIDEYVHQVGRASRMGVEGMAIVFVNEEDRNLFRELVQILKTAGAPIPRELANSKYTTGIPLGGGKKRKLKSRKFWNHGLEW >OGLUM02G07840.1 pep chromosome:ALNU02000000:2:5631287:5635016:1 gene:OGLUM02G07840 transcript:OGLUM02G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSQRRHLLKKWREYFPKKKPLRCTYHFPSQPLKKTFQPGRIRRGKRQCATPRDIPVTNIPLPPPSPHYLSPSSPPPLLHPPCNFHFPLDPTQFDPNFRFSCVNLLERSMKFGKSLSSQIVEMQPEWRDNFLSYKDLKKRLNLISGGAAGERASKRRRVGGATAVTVTAAAAGGMTLEQAGFVGLLDAELDKFNFFFLEKEEEYVIKQKELRERKMASAEEVMRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGSMIRLPFVQKVLQQPFFTTDLLYKLVKECEEMLDQLMPTNEHSVASEDGKDDSEGEEKGSKPSSSSSANGGAVPGEAEAEAEAEDERSTDMKSTVTAALRALREIRSGSSTVSVFSLPPLHGSNGQDEPGR >OGLUM02G07850.1 pep chromosome:ALNU02000000:2:5634630:5657007:-1 gene:OGLUM02G07850 transcript:OGLUM02G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT5G51200) TAIR;Acc:AT5G51200] MPPPPPPRELLAVVEAALLGPSPPSPAQRVELLHAVRDAAPAFRALLSYPGPKASDRTQVEAKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANRESVVLDQGLDADLMYEIQNQMEALFIEGLGQRIITLVKELNREESTGVGQPSSEHYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPREVKDVFSLLKDCAAEVNENSSVELQITYGVLFSLVVTFVSDALSTSHEKPSLSSSDSSFRRDFHELVMRSDNNLTIEGFVGVVRLAWAVHLMLTQDRSSARDTLTSSSRDVTDIWACLEIICRQNSFQFLRERIMQTAAYKNDDEDIVYMYTGYMHKLMMCFLSHPTSRDKIKEIKEKTMNALSPYGSIRDHREDPSRTGEQIGQPTNQPFISLLELVREIYQKEPELVHGNEELWTFVISAGEDHTNTQTLVAFLGLLSTLASSEVGAAKVYELLQGKVYRSLGWSTLFDCLSIYEEKFKESLQSSASVMPEFPEADAQALVSYLAVLQKVVENGNTTERRKWFPDIEPLFKLLSYENVPPYLKGALRNSITAFIKVSPLLKDAIWSYLEQYDLPVVTPPLGQHNATQIYDMRFELNEVEAMRESYPSTISFLNLVNALIAEERNISDKGRRFMGIFKFVYEDVFGPFPQRAYADPREKWELAVACLEHFRMVLSMYDIKDDDIYAAVNASGPSTTSHASIDRQLPVLELLKDFMSGKVAFRNIMNIVSVGVDTLINERTTQTYGILLEKTVHLSFEIFILVMERDLVLADVFRPLYQPLDVVLAQNHRHIIALLEFVRYDYLPQIQQCSIKIMGILSSRIVGLVQLLLKADVAKSVIEDYAACLEFRFDDFQVIENTKDDVGVLILQLLIDNICRPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKTILDNLEKVTKPDINALLHEFGFQLLYELCLDPLTCGPVMDLLSTTKYQFFSKHVGTIGVSPLPRRNNNQSLRISMLHERAWLLKMLALALHVSDISSSLYRESCLSILCHTFGHCAENLRSANLLQSPGSSNLAMNRNKVLDFLEVVQFRCPDTSIKYPQMLSNLRLESKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQMSQLLNPQLSESEKSELKESFHQMLKWAWRYNKNLEEQAAQLHMLTGWSQIVEIAVSRRMSLLEDRSHLLFELLDASLSATTSPDCSVKMAYILTNVALTCMAKLRDERFICPTGADSDAVTCLDIISAKQLSNAACTSLLFKLTMAILRNESSETLRRRQYALLLSYFQYCRSTLDSDVPPPVLRFLLLEEQEGDDDELGLQKVLKEQNELARSNFSIIRKEAQAVIDLVAKDAIHGSEAGKAISFYVLDSLISIDHDKYFLNQIQSRGILRSCLSDVNNYLSKEASFSSESSQRFCTIDAQLSLLLRISHHYGKHGSQILLSMGALHNLSSCNLMGSQKKANSRLNSNVVKERAGEIDKRRSLTAPILRIVTSFTSLVDSADFLEVKNKIVRELVDFAKQHQPVFNIILRESISGANIFNLERLNMVVSILGKVWAYEENDECSFVQDLFSMMQFLFSLDIGSLNFMQSSNMIENQKSELIVFGLCFSLISYLYVLATKKDMRFQISYDDTTEGQQQPTLQLISDLLNSITVAMERVAEEKYMLLNKIRDLNELSRKEVDDIIKLCMKQDCISPNDNIRKRRFIAMIELCCMAGNRGQLITLLLQIAECAVTILLVHFQDESCAKDISSFSDELLPILERLEHFTEPDASFHLLKIAYPELFAANKYGLSSCA >OGLUM02G07860.1 pep chromosome:ALNU02000000:2:5661697:5663423:1 gene:OGLUM02G07860 transcript:OGLUM02G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMAVTTMVTRNNAVGGGAVAVVDRKGWFVPEVSFPWSSVEGERINSSSKRLEFPRRAAAPPLFASVGLSLPSAAKGRDNCDVARQLAAAEAEEAAGKKRQGRKMKGGGGLLSLRKVRVKIGNPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSCGAGSMAEVFRWIMRTEGWTGLFRGNAVNVLRHFTYDTAKKYLTPEDGEPAKIPIPVPLVAGALAGVASTLCTYPMELVKTRLTIEKDVYDNVLHAFVKIVREGGPGELYRGLAPSLIGVVPYAATNFYAYETLRRLYRRATGRADVGPAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRHVLHAMYCILRGEGAAGLYRGLGPSCIKLMPAAGISFMCYEALKKVLVEEEAAPELEAECAEEIKEKVA >OGLUM02G07870.1 pep chromosome:ALNU02000000:2:5664618:5666293:-1 gene:OGLUM02G07870 transcript:OGLUM02G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVGNLTIAAGEAAGAGGGAAEAHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVEKTYSKICAEIMTSTVDVKDDSRSRPMQKAKIEIVLGKTDKFDELMAAAAEEREAAAAEAEAEEQS >OGLUM02G07880.1 pep chromosome:ALNU02000000:2:5669093:5671975:-1 gene:OGLUM02G07880 transcript:OGLUM02G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G25570) TAIR;Acc:AT2G25570] MIAASARRVAAAAAASSSHSRLANQLAHALNPQRWIHDRNKKAMELVAKGWSALQEVDRVIDYADRNDKRLIPLLRGAKENFELALEIDNMNTHARLWLAKMHFKYHVPGAFASWSFSYFLFILIPRTCKHIAKASLVTASIVVLSGAALLVEAANMGDPDAQYELGCHLRIENDYVHSDQQAFYYIEKAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHSGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRPIKQDPVKLAKEQFQIAAEAGCDLGLRWLKRLGDYEKQQEQPKQIQQ >OGLUM02G07890.1 pep chromosome:ALNU02000000:2:5672549:5673451:-1 gene:OGLUM02G07890 transcript:OGLUM02G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAQPLQHEHDPSPPEPDTAADADEEEEESRVWSQIKAEARRDADGEPALASFVYATVLSHPTLAGSLAFHLANKLASSSTLLSSTLLYDLFAAAHAARPDLRAAAAADLLAAGARDPACAGRLSHCLLDYKGFLAVQAHRVAHALWAQGRRALALALQSRVAEVFAVDIHPAAAVGSGVLLDHATGVVIGETAVVGDGVSILHHVTLGGTGEAVGDRHPKVGDGVLIGAGATILGNVRIGAGAKIGAGSLVLADVPPGATAVGNPARLLLGGDQRGGAPAGESMDHASFMLEWSDYTI >OGLUM02G07900.1 pep chromosome:ALNU02000000:2:5677215:5677534:-1 gene:OGLUM02G07900 transcript:OGLUM02G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPVVPLASAAQGPCEPSPGSGPLPVSAAASDPPAPSSSVPAGGREPSASGLPPAVTPQLGQLCTSSVSYCKTF >OGLUM02G07910.1 pep chromosome:ALNU02000000:2:5679347:5682514:-1 gene:OGLUM02G07910 transcript:OGLUM02G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQKVMLLARKIAIKAPNNKEINLQEQQQANGQAMDRRNQAPPVDSSACLCRVERSVAGAAAARLMPRAKACAQPSLRASIHPLKPKRSPGRDHRGGGGGRQCPLIPGLPDDLAVACLIRVPRGDHWKLRLVCRRWSRLLAGNYFYGLRRRLGLAEQWVYAVKRDGEGRVSWDVFDPARRAWRALPPVPGEYAGAAGFGCAVLGGCHLYLLGGSDPRRRPMRRVVFYSARSNRWHRAPDMLRRRHGFGCCVMGNRLYVAGGEGCGVGGGGGGGLRSVEVFDPAKNRWSFVSDMAASLMPFVSAVHGGRWYVKGLGAQRQVMNQVYSPEADAWSAAHELDAMVTGWRSPSASLGGRLYAADCKDGCRLRAYDEAAGAWSGRVDGGQHAGSSHAVEAAAMVALHGKLCVVRNDMSVSVVDVTAASPRWETVVGKGQMKAFVANLLSAIAGGRGRAKNRVLHCQVLEA >OGLUM02G07920.1 pep chromosome:ALNU02000000:2:5683994:5687649:-1 gene:OGLUM02G07920 transcript:OGLUM02G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRASLTDPLLPCPAARAPVKAKKLSWSMLHASSKDERRGQSGEAEAEASGGVHANPSSPARMQEQATSSRPSSSERSSSSGGHHMEIKEGKEAPLRSLPLPFPFLISILFAPLSGMESDEEIGRVPELGLEPGGASTSGRAAGGGTERAQSSTAQASARRRGRSPADKEHKRLKRLLRNRVSAQQARERKKAYLNDLEVKVKDLEKKNSELEERFSTLQNENQMLRQILKNTTVSRRGPVLPKIPKSGLREAAPVGCGGLREAEGDEQFVLNGFTAANLSFDGMATVTPNRLLMLTNGTNQLKGHAFFPAPLQFHKTPNSTAMQSFSTAFVIGIISAFEDQGSGSPAVAGGSGRAA >OGLUM02G07930.1 pep chromosome:ALNU02000000:2:5701107:5702552:1 gene:OGLUM02G07930 transcript:OGLUM02G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YNY0] MARQHFLVVAYPGQGHINPARALAARLARATGAHVTLSAAVSAHRRMFPSLAAPDEEVHDAGADGGGGISYVPYSDGYDEGFRLFAGDGEAAWRHAETFGRVGREAFAGVVDRLAARGRPATCVVYAFLMWWAADVARERGIPRVLYWIQPATMLAVYYHYLHGLEELVTEHAGEPEFTVAMAPGLPPMAIRDLPSFFTDLGDTRLAAAFHGVRTTIEQLDIDRRSSSKPPMVLVNTVEELELDVLAASFPDLDILPIGPAATSLDGGAAAAARASRDLYKHDEKGYMEWLDAKPARSVVYVSFGSMSVVSRRQKEELRRGLAATARPYLWVVRSDDRDDGDGDLHAGDGGMVVEWCDQVRVLSHGAVGCFVTHCGWNSTLEAVACGAPMVAVPQWSDQDTNARLVAGWGVGVRAATGADRVVEAGELARCVETVMADTEAAAAVRRSSAAWKAKVREAVAEGGSSDRNLKAFLDRIANVA >OGLUM02G07940.1 pep chromosome:ALNU02000000:2:5704139:5705079:1 gene:OGLUM02G07940 transcript:OGLUM02G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEAAGHRRSPELGSVEASLPLLPLPSFPSGGAPRALRATGGKLEHGPPAVDLEHGLRGRGLRAADSSPGQRWQWPLSQLLPDLAGGKLDETNSRVGEWGSVLVARWVLWRRPDSTVEMGRRLATARSRSGSNRACLWQWRRDAAVGLGGLADGNGAGRGWLPYPDLRGGTSAAVGGGQRHLDLMGLVVFGSSDPATSAEQQ >OGLUM02G07950.1 pep chromosome:ALNU02000000:2:5709845:5713519:-1 gene:OGLUM02G07950 transcript:OGLUM02G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVEVDEGTGPEDSVLELVAARRTHAIGLFDRKRGHMDVVNVLASATQLVSAMLTAVGALEQAAADFAEAPRRLQVLEDFVSDLGLLMQQSKQKHAHKMHAPQLERQLQSLGKLMDQLHANITKARRVLKKGKGKKGLARVVWSSVTGDPLMKYVQLIRDDLNWWLELQKLTESVGNVIASTAKSTPSLVRVKSEHGYPVSKKCSYVRELLINDGSHRVVLIVGLSGIGKSCLARQIASDPPGNFVDGAIELSFGRWCSRAACNGSRDEYHKRLVRKICKFLVQIGSMTVNEDVGKDLEDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTNLYDNDCRYLVTTRDEAIYEIAEAEKVEISKDDIKEIGKDILLYHSLLTVEELPPVAYDLLDRCGHHPLTVAVMGKALRKETRVEKWDRAISNLSTYATCAPGPVSYVNEKEVETTLTIFGSFEFSLEAMPENSRRFFMVLAAISWDEPVPEACLESMWSALMQDTLFPLVVSKLVEGSLIIKLEDQSMYHMHDMVSLYLESKTDNAVHTLLFGSFPEYAALVSPWLFIFGKESAKERAEQKIRSLFSLLEFMEIEILLGSTTQALMECKSISEFEASRLRFSKILSPRIAELISVGSTSLIVTVTKSITVIFFQGDYAKLAQSLETAGSVDKLIHVLRGCEDSSTLANVSTVLAKISEHVDATTADEILATIPMDQIAKLLSPENEEWHEIVFTTLASLIKVGKLRAVETMIESGIDKKLLVLLGSGSEISQHHAIIMLKTFCELGAPLQGCMGPGVLTHLPWHARLSLERFVLFDQNVTPSPKPQQSFELILHKILQRDNKDNIEAIQGLLPLAERANDSRVQDLLLGSNMSDGLALLLQRRDIESNQVRSHTAFLVMKLACTGGEPYVHRFLEANIVHELIDMMQCNINDLQDSAYYALHQIIFAKGGSLVLQRFLQAGTIEKLVNLLDRKSSKTKELTMQLLVDIAVVGTKPCIERMLSSQIIEKFVALEKAGGSFSGAVSRYVQGLNMCKNVQSAERSVMKQQILRKVRSAIRGHDLEASLVASVEACISEKGASSSRRKK >OGLUM02G07960.1 pep chromosome:ALNU02000000:2:5715736:5722841:-1 gene:OGLUM02G07960 transcript:OGLUM02G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRAAGEGWGRAGGDKMNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGGAGAFDGGDMSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >OGLUM02G07960.2 pep chromosome:ALNU02000000:2:5715743:5722841:-1 gene:OGLUM02G07960 transcript:OGLUM02G07960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAMTYEHYLH >OGLUM02G07960.3 pep chromosome:ALNU02000000:2:5715736:5722841:-1 gene:OGLUM02G07960 transcript:OGLUM02G07960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >OGLUM02G07960.4 pep chromosome:ALNU02000000:2:5715736:5722816:-1 gene:OGLUM02G07960 transcript:OGLUM02G07960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGTGSDVRPGDWYCNCGAHNFASRSSCFKCAAFKDDAAVNSGGAGAFDGGDMSRSRGYGFGSGAARASRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >OGLUM02G07970.1 pep chromosome:ALNU02000000:2:5726025:5727279:-1 gene:OGLUM02G07970 transcript:OGLUM02G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G65520) TAIR;Acc:AT5G65520] MAALALGAAATWPEAIVQCLLFILAAAMLGPRSGAPRAALAVDLQGHRLPALRALDAALAPPLARSLEPRERGDALAKRAEIALALHRRHRRRLDQAADDLAEAVRLSPQNARAHALLGECYERKGMAAEALDAFKTAASIDPSLAAARDALRRTEGSDDGVESDSD >OGLUM02G07980.1 pep chromosome:ALNU02000000:2:5738106:5738713:-1 gene:OGLUM02G07980 transcript:OGLUM02G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSQGAWVCHFLAEIVELVAFIFSLPFRLLAEILSGGGGGGGGDGDLQDAHDYFVEEGRKHLAGPGALHLLGERRRDALSALDAALSAGYGYGYHDLLPLEERADALVARAEIELARYLRCTLLNSGHRGQAIADLREAVRICPDNGRANALLLKYN >OGLUM02G07990.1 pep chromosome:ALNU02000000:2:5739292:5747854:1 gene:OGLUM02G07990 transcript:OGLUM02G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MSICPLDGPLSHTVKPPSLRPGPIHPPQPPTWHAQCTFLLLSYPHPSLLLRSCGRVVVEPRGALPPHSAAAARSTPPSLPPRRGWIPPPHSPNLPSSPCSSEKMAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHHGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNVKSRSPSASSEGKKGLVSYGDGSPDSAGK >OGLUM02G07990.2 pep chromosome:ALNU02000000:2:5739292:5747976:1 gene:OGLUM02G07990 transcript:OGLUM02G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MSICPLDGPLSHTVKPPSLRPGPIHPPQPPTWHAQCTFLLLSYPHPSLLLRSCGRVVVEPRGALPPHSAAAARSTPPSLPPRRGWIPPPHSPNLPSSPCSSEKMAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHHGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >OGLUM02G07990.3 pep chromosome:ALNU02000000:2:5739455:5747854:1 gene:OGLUM02G07990 transcript:OGLUM02G07990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHHGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRSQSMSANHSMSKSMSISPRRSPVKRSAISPKISLISRSPDVQGHGRSPVRAPERSESRSPPREKSISMSPQRRSARRSKSRSPLREKSVSMSPRRSMSKSLPRSVSRSPVARRSRSPVKARTRSISRSSARSLQRRSRSRSLERSPVRKSVSRSPPTMDKGRSISRTSGRLPLRRSISRSPDRPYRRIPSRSPRKNTRRSISRSPVRLSKRSISPVRGGRSRRSVSRSASPPRRAISPAQNHGRSPSRSPSPDGSKRVRRGRGFTHRYSFARQYRSPSPDHSFRYGGRGDRDRHMSYRGSRQRSPPRRYRSSPLRGRASPRYRRRSRSISRSPVYRDRGRGGGRSRSPVRSRSPAGGKLRSHGDRSRSMSRSRSLSGSRSRSPPPIHNRSPLASLSPKRESNVKSRSPSASSEGKKGLVSYGDGSPDSAGK >OGLUM02G07990.4 pep chromosome:ALNU02000000:2:5739455:5747854:1 gene:OGLUM02G07990 transcript:OGLUM02G07990.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G32420) TAIR;Acc:AT4G32420] MAKKKNPIVFMDVSIGDEPDERMVFELFADVAPRTAENFRALCTGEMGIGQTSKKPLYYKGSLFHRVIKGFMAQGGDFSNGDGSGGESIYGGTFEDENFVLRHDERGLLSMANAGPNTNGSQFFITFKHNSRLDRKSTVFGKLILGNDVLKRIEYVDVHGAGSTPVVPVRIVDCGELVDGKCLGSITVENDKKRSVKSKLSKDESSDEENNEGKRKRHHKKSSRRRRKKKRYSSSESESSSESESELSDSDSESDTCSSDSSDLSSSSDDRRRRRKRHSKKDKHKRGKRKRDRRRERKRRKRDRKSKQKSKRMLESDSETGNVSDSSLEDDKSKRHHHGRKSKASSQVSGENHTALAALKDAASTQQKSATPRSLAQEDKSPKENGDTRTNGVTESKTERNADIALTSNRSKSRFPIFLHTSIILCAYCVHEQCFI >OGLUM02G08000.1 pep chromosome:ALNU02000000:2:5746959:5747375:-1 gene:OGLUM02G08000 transcript:OGLUM02G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTASAAPQNSFSNRSISNPILQCRWVEQRPKLVHAFMDSYFPAESGEPSPYETKPFFPSELAEGDRDFTLLSRFGDREASGERLCIGGGDLDLDPDRLLLLDIERERSPCDLSFPPAGERLRTGLLLRPPPLPLSR >OGLUM02G08010.1 pep chromosome:ALNU02000000:2:5748465:5752227:1 gene:OGLUM02G08010 transcript:OGLUM02G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein [Source:Projected from Arabidopsis thaliana (AT3G15620) TAIR;Acc:AT3G15620] MPPQPSATRDPQVERKEPRRGHSRRQPLRPPLPIQISAIRSHINGWCSIHSTTISSQLPPIRKTKLTNPTPPPPMDAAATAATATAAAAMVWFRKGLRVHDNPALDAARRGGAAARLYPVFVLDPRYLRPDQAAPSPGSARAGVARVRFLLESLSDLDARLRRLGSCLLLLRARDDGDVAGTVCAALKDWNIGKLCFESDTEPYALARDKKVMVSDQSTLLSIGFLMKPEIFQSVLIDFAAASGIDVFSPVSHTLFDPAEIIEKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRSTKKHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFPAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPTSFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >OGLUM02G08010.2 pep chromosome:ALNU02000000:2:5748465:5752227:1 gene:OGLUM02G08010 transcript:OGLUM02G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyase family protein [Source:Projected from Arabidopsis thaliana (AT3G15620) TAIR;Acc:AT3G15620] MPPQPSATRDPQVERKEPRRGHSRRQPLRPPLPIQISAIRSHINGWCSIHSTTISSQLPPIRKTKLTNPTPPPPMDAAATAATATAAAAMVWFRKGLRVHDNPALDAARRGGAAARLYPVFVLDPRYLRPDQAAPSPGSARAGVARVRFLLESLSDLDARLRRLGSCLLLLRARDDGDVAGTVCAALKDWNIGKLCFESDTEPYALARDKKVMDFAAASGIDVFSPVSHTLFDPAEIIEKNGGRPPMTYQSFVAIAGEPPEPIMEEYSELPPVGDTGEYELLPVPRVEELGYGDISQEDLSPFRGGETEALKRMRESLHDKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRSTKKHTNPPVSLTGQLLWRDFFYTVAFGTPNFDQMKGNKICKQIPWTENEELFPAWRDGRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWMWLSCSSFFYQYHRIYSPTSFGKKYDPNGNYIRHFIPVLKDMPKEYIYEPWTAPLSIQKKANCIIGKDYPKPVVDHAIASKECKKMMGEAYASNRLDDDKPDKGKSSNSSRRKLSAGSQVTPNSSKTKQLKRSS >OGLUM02G08020.1 pep chromosome:ALNU02000000:2:5754406:5762689:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSEVMASVETTSSWST >OGLUM02G08020.10 pep chromosome:ALNU02000000:2:5754406:5759549:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.10 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLVSSEVHSR >OGLUM02G08020.2 pep chromosome:ALNU02000000:2:5754406:5763401:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKLQSLR >OGLUM02G08020.3 pep chromosome:ALNU02000000:2:5754406:5762689:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKLQSLR >OGLUM02G08020.4 pep chromosome:ALNU02000000:2:5754406:5762554:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKLQSLR >OGLUM02G08020.5 pep chromosome:ALNU02000000:2:5754470:5763099:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLGRSWRLVKHPSDEEYTINVKFNSVKVRHISNLMVFGDWH >OGLUM02G08020.6 pep chromosome:ALNU02000000:2:5754470:5763099:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLGRSWRLVKHPSDEEYTINVKFNSVKVRHISNLMVFGDWH >OGLUM02G08020.7 pep chromosome:ALNU02000000:2:5754470:5763099:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLGRSWRLVKHPSSVKVRHISNLMVFGDWH >OGLUM02G08020.8 pep chromosome:ALNU02000000:2:5754470:5763099:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSELRSLGRSWRLVKHPSSVKVRHISNLMVFGDWH >OGLUM02G08020.9 pep chromosome:ALNU02000000:2:5754470:5763050:1 gene:OGLUM02G08020 transcript:OGLUM02G08020.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRKGTKVEVLQKGGAPLGSWRPAEIVSGNGHTYLVSYGSCPTQGSVAAERVPRKAMRPLRPPLDGIVCWVKGEIAEAFDSYAWKVAEVVRLLGFSYYLVRLLGSSLELKVHASNLRIRQLWEDGKWVAIPKDSARFPGDSPRSQLRCGKVGHELVHTSRDNCLLLKKKKVYEGNTSQGLKRKSSALSAFPMQYSEVSKRFQTSHRDGRCLPVVPGGSLHLMDKVDAVDSQCLELGEKYMHDSLSKRANGFHKTNLAAVKANFDYLDPAVTTQDSDTDSVVSSVGSCNPCGSSYGSTHPQEYDCADICSRTDDAEASVSERESFSRTDDAEASVSGRESPAPVNDGLKEKTHLLELHAYRATLMALYASGSISWEQEAMMTNLRLTLNISTDEHLSEV >OGLUM02G08030.1 pep chromosome:ALNU02000000:2:5764805:5765141:-1 gene:OGLUM02G08030 transcript:OGLUM02G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLARSTMRSIDRRDGWMRLQVQLQQLAASGAMNDEIVAECGSACRQAVGGAGESPGPRLGRVHVCLINHPGRPSTPDKPR >OGLUM02G08040.1 pep chromosome:ALNU02000000:2:5765172:5768541:-1 gene:OGLUM02G08040 transcript:OGLUM02G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGHDMNLGTALQLLQESTASASFALFAHGFQLSLRDIRDAAETAASYRMAMRGRRAEKEAQVVLER >OGLUM02G08050.1 pep chromosome:ALNU02000000:2:5775933:5778218:-1 gene:OGLUM02G08050 transcript:OGLUM02G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGGGAGWGWATWRVAAVAAAAAVWVAMHVAARMADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVREMVALMAEASSKPMSPPTSHNALPRVLAFYHYWRKIYGHRFLIWFGPTPRLTVAEPELIREIFLTRADAFDRYEAHPVVRQLEGDGLVSLHGDKWALHRRVLTDAFYPDNLNRLIPHVGKSVAALAAKWGAMAEAGGSGEVEVDVAEWFQAVTEEAITRATFGRSYDDGRVVFAMQGQLMAFASEAFRKVLVPGYRFLPTKKNRLSWRLDREIRRSLMRLIGRRSDEAEQGEKADDGSFRDLLGLMINAGAAAATRGNAGGEKNSPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVFDVCGAGELPSKEHLPKLKTLGMIMNETLRLYPPAVATIRRAKVDVQLSDGCMIPRDMELLVPIMAIHHDTRYWGTDASQFNPARFANGASKAAKHPLAFIPFGLGSRMCVGQNLARLEAKLTMAILLQRFEIRTSPNYVHAPTVLMLLYPQYGAPLIFRPLSSHPPDSTGP >OGLUM02G08060.1 pep chromosome:ALNU02000000:2:5822232:5824511:-1 gene:OGLUM02G08060 transcript:OGLUM02G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQIIKFLRFFPSPFRLLPSPPLPSHATAAAAAAGARASFPPPGSISPRIEGYTMQSLLRRVYLAGSHGSAAKLLDRAASGVAQSGANLLSIKHPSSCSWIRPFGNSIVSSDSVHSHGFCVNTMPMRGLSTVGSAEVSVEEESSDSPAVEHPPRIKFKRPDKTARHIMNILNKEAVDKVRGEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >OGLUM02G08070.1 pep chromosome:ALNU02000000:2:5826493:5827299:-1 gene:OGLUM02G08070 transcript:OGLUM02G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMYSSNGWDSYAWAWDAAADAFDVVIHNPGVTEDPACGPLIDSVAIRTLNPPRRTNSVRPGVAENLVKNGDFEEGPYIIPGTRWGVLIPSMVVDEHSPLPGWMVESLKAVKYIDSDHFAVPRGRRAVELLAGRESAIAQVIRTVPGRQYALSFTVGDASNGCEGSLVVEAYAGRESTRVAHESAGRGGAAKRAVLPFRAAAARTRVVFFSSFYSTRSDDMSSLCGPVIDDVAVVSVRARRPGAKRG >OGLUM02G08080.1 pep chromosome:ALNU02000000:2:5843660:5844116:-1 gene:OGLUM02G08080 transcript:OGLUM02G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSASLLLLMVVLCAAASGTALAAITDGLLANGNFERGPAPSQLRGTRVVGASAIPSWRTSGFVEYIPSGRKQGDMVLVVPEGSHAVRLGNEASIQQRLAGAARGARYALTFSAARTCAQAERLN >OGLUM02G08090.1 pep chromosome:ALNU02000000:2:5849276:5853503:-1 gene:OGLUM02G08090 transcript:OGLUM02G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAMDISKPPPAAGGDEAAAAKGRGGAGGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >OGLUM02G08100.1 pep chromosome:ALNU02000000:2:5854744:5859855:1 gene:OGLUM02G08100 transcript:OGLUM02G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVVDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGEELHSFEHKHIVRACLEKILRIYDMNRPDAAPREIDKSPGSVRTVAWLHSDQSILSCCTDMGGVRLWDVRSGKIAQTLETKATVTSAEVSQDGRFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCKPRSSPLRPVCSWRRVVCIRIRGWKESPPNANGKLKVNTVSDAARKIEGFHLPKDGQPEG >OGLUM02G08100.2 pep chromosome:ALNU02000000:2:5854744:5859855:1 gene:OGLUM02G08100 transcript:OGLUM02G08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKKAGVPLVCHGHSRPVVDLFYSPVTPDGCFLISASKDSNPMIRNGDTGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSALWDVRSGKIAQTLETKATVTSAEVSQDGRFGLVKSYNMPCNVESASLEPKYGNKFIAGGEDMWVHVFDFFTGEEITCKPRSSPLRPVCSWRRVVCIRIRGWKESPPNANGKLKVNTVSDAARKIEGFHLPKDGQPEG >OGLUM02G08110.1 pep chromosome:ALNU02000000:2:5860540:5862359:-1 gene:OGLUM02G08110 transcript:OGLUM02G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP15] MDNNAEAANDGAGERRRLPDFQQSVRLKYVKLGYHYLITNGVYLLLTPLIALVAVHLSTLTAGDVAGLWSHLRFNLVSVVACTTLLVLLSTVHLLTRPRPVYLVDFACYKPPRERRCSRDAFMRCSRLAGCFTAASLDFQRKIVERSGLGDDTYLPAAVLREPPNPSMAEARREAEAVMFGAVDDLLAKTGVSAKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLAIDLAKDLLQVYRNSYALVISMENITLNWYSGNDRSMLVSNCLFRMGGAAILLSNRWSERRRSKYELVHTVRTHKGGDDKCFGCVTQEEDGEGNVGVALSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKRLLKMKNVKPYIPDFKLAFEHFCVHAGGRAVLDEIEKNLSLGEWQMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRVRRRDRVWQIAFGSGFKCNSAVWRALRSVDPEEEAVKKNPWMDEIDRFPVVVPRVSRISTD >OGLUM02G08120.1 pep chromosome:ALNU02000000:2:5886787:5927395:1 gene:OGLUM02G08120 transcript:OGLUM02G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPDSVSATSPPPPLPPPHFVIVPFPAQGHTIPMVDLARLLAERGARASLVVTPVNAARLRGVADHAARAKLPLEIVEVSFSPSAADAGLPPGVENVDQITDYAHFRPFFDVMRHLAAPLEAYLRALPVPPSCVISDWSNPWTAGVASRVGVPRLFFHGPSCFYSLCDLNAAAHGLQQQGDDDRILQLTMEAMRTADGAVVNTFKDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTLDVAQSAITTWLDGMDTDSVTYVNFGSFACKVPKYLFEVSHGLEDSGKPFICVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILPSPMEHLDPPYRRRPFCLLLGRASTLPSSVVCMVLFSFCAQAACGTIFGVIPFVSRRSLGIISGMTGAGVNFGAGLTQLLFFTSSRYSTGTGQEYMGTALPVPSRWRRRAPPTAHAHRPSSPCALREREKTEKEEGKKSMTALALLTVVELRWVAMGTGVAPVTKFGDGNTLNTLPFQKAVFYVR >OGLUM02G08130.1 pep chromosome:ALNU02000000:2:5892165:5893643:-1 gene:OGLUM02G08130 transcript:OGLUM02G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP17] MTAESTTQPPSPQPHFVLAPLAAHGHVIPMVDLAGLLAAHGARASLVTTPLNATRLRGVADKAAREKLPLEIVELPFSPAVAGLPSDCQNADKLSEDAQLTPFLIAMRALDAPFEAYVRALERRPSCIISDWCNTWAAGVAWSLGIPRLFFHGPSCFYSLCDLNAVVHGLHEQIVADNELETTYVVPRMPVRVTVTKGTAPGFFNFPGYEALRDEAIEAMLAADGVVVNTFRDLEAQFVACYEAALGKPVWTLGPLCLHNRDEEAMASTDQRAITAWLDKQATGSVVYVGFGSVLRKLPKHLFEVGHGLEDSGKPFLWVVKESELASSRPEMQEWLDEFMARTATRGLVVRGWAPQVAILSHRAVGGFVTHCGWNSLLEAIAHGVPVATWPHFADQFLNERLAVDVLGVGVPIGVTAPVSMLNEESLTVDRGDVARVVSALMDGGGEEAEERRRKAKEYGEQARRAMAKGGSSYENVMRLIARFMQTGVEEH >OGLUM02G08140.1 pep chromosome:ALNU02000000:2:5903266:5904774:-1 gene:OGLUM02G08140 transcript:OGLUM02G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP18] MAPTLESVSATSPPPPPPPQHFVIVPLPAQGHTIPMVDLARLLAERGARASLLVTPVNAARLRGAADLAVRAELPLEIVEVPFPPSAADAGLPPGVENVDQITDYAHFRPFFDVMRDLAAPLEAYLRALPAPPSCIISDWSNSWTAGVARRAGVPRLFFHGPSCFYSLCDLNAAAHGLQQQADDDRYVVPGMPVRVEVTKDTQPGFLNSPGWEDLRDAAMEAMRTADGAVVNTFLDLEDEFIACYEAALGKPVWTLGPFCLYNRDADAMASRGNTPDVAQSAITTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKLSEVATPEVQEWLSALEARVAARGLVVRGWAPQLAILSHRAVGGFVTHCGWNSMLESIAHGVPIVTWPHFSDQFLNERLAVDVLGVGVPVGVTAPVLLFGDEAMAVTRGDVARAVSKLMDSGEAESDERRRKAKEYGEKARRAMEKGGSSYESLTQLIHSFTLQAAKNAPEQ >OGLUM02G08150.1 pep chromosome:ALNU02000000:2:5936935:5938368:-1 gene:OGLUM02G08150 transcript:OGLUM02G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP19] MVDLARLLAERGARASLVVTPVNAARLRGVADHAARAKLPLEIVEVSFSPSAADAGLPPGVENVDQITDYAHFRPFFDVMRHLAAPLEAYLRALPVPPSCVISDWSNPWTAGVASRVGVPRLFFHGPSCFYSLCDLYAAAHGLQQQGDDDRYVVPGMPVRVEVTKDTQPGFFNTPGWEDLRDAAMEAMRTADGGVVNTFLDLEDEFIACFEAALAKPVWTLGPFCLYNRDADAMASRGNTPDVAQSVVTTWLDAMDTDSVIYVNFGSLARKVPKYLFEVGHGLEDSGKPFIWVVKESEVATPEVQEWLSALEARVAGRGVVVRGWAPQLAILSHRAVGGFVTHCGWNSILESIAHGVPVVTWPHFTDQFLNERLAVNVLGVGVPVGATASVLLFGDEAAMQVGRADVARAVSKLMDGGEEAGERRRKAKEYGEKAHRAMEKGGSSYESLTQLIRSFTLQEPKNSSSITVECSANRHI >OGLUM02G08160.1 pep chromosome:ALNU02000000:2:5944229:5944555:-1 gene:OGLUM02G08160 transcript:OGLUM02G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAASGSCCLLGAISGDMLYVTNAGDSCTTVSERLSTEHNVASEEVRRELAALHPDNGEVVVHARGTWRVKGIVQVARAIGDVYLKTPEFKHDPAV >OGLUM02G08170.1 pep chromosome:ALNU02000000:2:5944583:5944868:-1 gene:OGLUM02G08170 transcript:OGLUM02G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDTLAGVYDGHGGPDASRFLRSRLFPLVHEFAAECSGVVDADVIRKAFLAADEEY >OGLUM02G08180.1 pep chromosome:ALNU02000000:2:5945579:5945799:-1 gene:OGLUM02G08180 transcript:OGLUM02G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRACTPRPRLPAWLDATKIPTPSSIIACKTPKYLFEVGHGLEDTGKPFLWVVKKSEVAGWKRAEL >OGLUM02G08190.1 pep chromosome:ALNU02000000:2:5959130:5960161:-1 gene:OGLUM02G08190 transcript:OGLUM02G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysophospholipase 2 [Source:Projected from Arabidopsis thaliana (AT1G52760) TAIR;Acc:AT1G52760] MAPPPQPPTATKYFWGDSPEPDEYYASLGLRHAEAYFQSPCGRLFTHSFHPLSAASDGDVKGVVFMSHGYGSDSSWMFQNIAISYARWGYAVFCADLLGHGRSDGVRGYLGDMEAVARAALSFFLSVRRSGAYASLPAFLFGESMGGAATLLAYLRSPPDAGWAGIILSAPLLVFPDDMYPSRVRLFLYGLLFGLADTWAVMPDKRMVGRSIRDPAKLRVIGSNPRLYRGSPRVGTMRELARVTVLLRESFGEVAAPFLVVHGTDDGVTSPEGSRMLYERAASEDKSLILYDGMYHSLIQGESDENRDRVLADMRAWIDERVRCYGAAAGAAAADGHAEAPAA >OGLUM02G08200.1 pep chromosome:ALNU02000000:2:5969348:5972894:1 gene:OGLUM02G08200 transcript:OGLUM02G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP24] MESGLVASHRLRVPFAAAHHHHPAAPPHLLRQRRGSVAVTPLRLGLHLPTPTPLRLPAALPLRPSLPPLRAAAASAAAPEPVGSASPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLADVLSKEALFYTVIFPFIAFFGAFGYLLYPMRDAIHPTALADRLLAALGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRQNLGPGVDGWAISLRGMMSIVVLLGLVIAGIYWGVNKFVIDKSAVPVVERKKKDKPKLSMGESLKVLVSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQYPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMATLGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQELEKEKMLKAKTVETTAQVVGSGNGSLQETLASENSANGSAIKQSQEPESTTSEKSGQQSQ >OGLUM02G08210.1 pep chromosome:ALNU02000000:2:5974704:5979391:1 gene:OGLUM02G08210 transcript:OGLUM02G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19960) TAIR;Acc:AT5G19960] MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREPGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEDATTKDGSMYENGVA >OGLUM02G08210.2 pep chromosome:ALNU02000000:2:5974621:5979391:1 gene:OGLUM02G08210 transcript:OGLUM02G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19960) TAIR;Acc:AT5G19960] MSAAVNEETSVYVGGLPYEANEDMLRDAFGRFGTIVSVKVINDQRVRGKCYGFVTFTHADAAQHAISGMDGKRINRRVVRVNEVRTRGAREFGREGFRREPGSARDAYWDRRDRERSYDRDRDPYHDRDSDRSRDRDRDRFYEPRGFDQEIDYPMDQDHGDERRRDYDRAAEMHNVDSDNDREKENSKDYDSEREKEKEQRSRKRFSRPKDHDSRDLSVSSDDLHSDAKRQLNKAIQMREDLENEVSQIKDKVAAKEQHIADLQKRSQKLEDELSAARKVSSERQLVVTKLYKCFLQLQDYNDRVKMSEKELQSLIDDAMGEVDIGEDATTKDGSMYENGVA >OGLUM02G08220.1 pep chromosome:ALNU02000000:2:5981588:5988350:1 gene:OGLUM02G08220 transcript:OGLUM02G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKYTRSAQIVVPLCKQAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQVHLYQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >OGLUM02G08220.2 pep chromosome:ALNU02000000:2:5981588:5988350:1 gene:OGLUM02G08220 transcript:OGLUM02G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRMGSVASGGGSVRRTASSWRGTSGRSDAFGRSVREEDDEEALKWAAIEKLPTYDRMRKGILTAGGVEEVDIGGLGLQERRNLIERLVRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFENLSIDAEAYVGNRGIPTFTNFFSNKIMDVLSAMRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDLHIGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDAMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYDLFDDIVLLSEGQIVYQGPRENILEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYISVNDFSEAFKEFHVGRNLGSELRVPFDRTRNHPAALTTSRYGISKMELTKACFSREWLLMKRNSFVYIFKILQLIILGSIGMTVFLRTKMHRRSVEDGAIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWICMTYYVMGFDPNIERFFRHYVLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLILLVLGGFLISRENIKKWWIWGYWSSPLMYAQNAIAVNEFLGHSWNKVVDPTQSNDTLGVQVLKVRGIFVDANWYWIGVGALLGYIMLFNILFILFLEWLDPLGKGQAVVSEEELREKHVNRTGENVELLTLGTDSQNSPSDGRGEITGADTRKRGMVLPFTPLSITFDNIRYSVDMPQEMKDKGVTEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPSEVDSEARKMFVEEVMELVELTSLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHNSCHLINYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYQRNKTLISELSTPPPGSTDLHFPTQFSQPFFTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKINKRLDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTVVYGLIVYSLIGFDWTVEKFFWYMFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYCIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQYGDITNSTLEDGEVVQDYIRRYFGFRHDYLGYVATAVVGFAALFAFVFAFSIKVFNFQRR >OGLUM02G08230.1 pep chromosome:ALNU02000000:2:5991067:5991508:-1 gene:OGLUM02G08230 transcript:OGLUM02G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSQGGDQKLSAMEHVKKRHEEKGFLYACLFMLCCCFCCYETCEHCLECFCCCCKKDD >OGLUM02G08240.1 pep chromosome:ALNU02000000:2:5995653:6002240:1 gene:OGLUM02G08240 transcript:OGLUM02G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDVVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKESTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSRGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPPTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRPR >OGLUM02G08240.2 pep chromosome:ALNU02000000:2:5995647:6002240:1 gene:OGLUM02G08240 transcript:OGLUM02G08240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNQGQTPMSTNMGSQPLPSSNIQPNQAEYPSMLYPSLPADWGAQPMFSMGASVPISSYFIVPMSQQSVQIGASRPETPRSSGAHSLSRVSLRPPQQVLSIRTSLPTMVGSQHSPAGKKLQPTIASPKVQILKSTQSQSSNKRSAQKETPSKVQTQQLESVRSKFRESLSAALRTDSDQSKNQSSDVQPDGSADQKKEMDVDADQVATTSQGMSAAKSEVLTSVGAERRAEDEKLNSDVVSNIATPLNADIQQQPENASLQDEMLGQYTVVADELLQGHGLCWVSDFDAGVPEPATQPNLKRSRASDIDPVVADTLSESESKRMKSANDEEAIDKDSIIQKADDLAVRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDITPDRLCSMTAEELASKELSEWRLAKAEELAQMVVLPSTEVDVRRLVRKTHKGEFQVEVEETDGISVEVELGGNLLTQVPSKAPEDQTKSDDKESTDDKTGIQDNDKAPDGTSQDEDNGAGKNDPQDDLEYVDNEKSDLMQELMVDDLKDTENLPPIPSLDEFMQGLDSEPPFENLSAGTPEEDSDDRDEADTTAESAELPEEEVKVSAAEKIVSEADLPSSQDKSESKLESPKDEVGSNLGPVEQREGKLIKSSPDSVEVKQTTTENVLNRDSTVHNMATTLPMIRESIWEGAIQLTMSSLTNVVAIFKSGEKPPVKEWRSFVEIKGRVKLSAFQEFVEQLPKSRSRAIMVTELCWKEGSHESGRQHLLQTIDSYISDERVGLAEPADGIELYLCPSQGKTVEILSRHLPKEHLESLAVSASSIIGVIVWRRPNVPRMPAHPRHDGSRRPSILKKPQVTGSTPGPRPSLPMSSRGAPPGFPVQRHRHEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSNPAANVTTTQAYKGRQHVPPTSARPVEQMRELVQKYGKRSSVQARPWDDDDDDDIPEWNPNQLVTQQQPTRQLPVPPTPQQPLPPPPPPPSLQQLHHPYQHQQQQQQQQLYHHQNALQPQIPSNSIPQAYLRTQQPLQQLPLMQQQLQPAQAWQQTNAWWPAQGGAAAAAAAPASMVQQSQYGVIPNSNNSAQSYGSGSVGGMAWRPR >OGLUM02G08250.1 pep chromosome:ALNU02000000:2:6003211:6003979:1 gene:OGLUM02G08250 transcript:OGLUM02G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIPARTMERWRIQI >OGLUM02G08260.1 pep chromosome:ALNU02000000:2:6005553:6008460:-1 gene:OGLUM02G08260 transcript:OGLUM02G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDELIPGLPEEVARECLLRVGFDQLPAARSTSRRWKAEVESPFYHRLRRARGMARPLLALAQAEPPLAAAGPANKYAGLSTSYRLVLHDPVAGGWAALPPLPGAGGLPLFCQLAAVAACGGERRRLVVVGGWDPETWAPTDAVHVYDFLSGSWRRGAAMPGPRRSFFACAAVGRWVFVAGGHDEEKNALRSAVAYDAEADAWVPLPDMAAERDEARGVCVGGRFVAVGGYPTEAQGRFAGSAEAFDPAAWAWGPVQERVLDEGTCPRTCCAAPAPSAGATMYMLRDGHLAARDATNNGGAAWRAVASLPEDGRAVTALAAIGDGRVVAIGAGSHGGEQAVYLLTTEEGGDKNGAAQSWARAAAPPEFAGVSYWDRAKSRFSLYTMFLCQLPRVALWGRIEMAKPTHDMTKEGDVCYRFMRAELPSHI >OGLUM02G08270.1 pep chromosome:ALNU02000000:2:6015197:6016130:-1 gene:OGLUM02G08270 transcript:OGLUM02G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYLPSKVPEIVTLWKKDLQKVNPKAAESLADPNEYPNLFEDWQIALNVEANVAPKRGIYAPAEEYIIHAERPNETLVEAFKNMRIHQEEVLPDENGDDTHEVSLLQKEL >OGLUM02G08280.1 pep chromosome:ALNU02000000:2:6022908:6033287:1 gene:OGLUM02G08280 transcript:OGLUM02G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHTPGPTNPPAAAVLLLLLTYTRSEAASERKRRSLRVAARRHLVFRCEISRERRHRRRRRGLRRRRRRPGIRAAMASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPIDPPRPIDPPRPNGTRTIDPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPVQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTVGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPPGLLGTLSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQHGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >OGLUM02G08280.2 pep chromosome:ALNU02000000:2:6022908:6033287:1 gene:OGLUM02G08280 transcript:OGLUM02G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPHTPGPTNPPAAAAKQPANERGEAFASPLAATSSSAARSRASAATGGGGASAGECSPILAGLRRRRRRPGIRAAMASRLKEDERNERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVSALQEGGNERAREIFFKEWDAHRNSFPDSSNADKLRNFIKHVYVERRYTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPYNESYSDRRSYSGRSDDRNSRYSYGERSPGYEHNDYKKSPRYFEVDDRNREDRSGKTTPVQRFEDRRPSEPQRPDNGSPNYQKETDGSSPVVRPVRDILGDNAPQLRVGEPPKPNVARTIDPPRPIDPPRPIDPPRPIDPPRPIDPPRPNGTRTIDPPPQMQRTSTASSIGSSEGTSEQIKVASTISLIDFSADPEPSASVPPPQSTPTSQQQPASAQPVQPVNAPAQQPAVEQGKNVSSVSSGGGDWASFDSFGQQQTPQTGNSVDPLESALAQLSFSETPSAPNASAFPASVMPTSVPNDGGSSMMGQSHSSFFGAPPGVSGHQASTGMSIHGSSVQQTGLAAPAAGLPFQVSANSRATSGIQEAAPNTDSRSIGRKELPADIFTSLYPPGPQTVGGWQRTPQFGMGYAMPYQTAMGMQAYPQMAFAQPAYQQPVYPQQQHAYPQPAKASNPFDLGNEPAPVQAHTQQPLPGPLGASAGMTPPGLLGTLSFGVLPQQPQQLYQSPAPPNHYMMQQVPNMSEQLPNTMLPMQHGGLGSLNMGFDQQAAPRYPQPSTPPSYGSVGGNPFG >OGLUM02G08290.1 pep chromosome:ALNU02000000:2:6023773:6031110:-1 gene:OGLUM02G08290 transcript:OGLUM02G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKRISPNRAIGRWGAWLGVTGTAAEVAVEGLQNSRYQVNLGESCQQMLPVVQRPGTEVQLPEQLDQAWHYIQQ >OGLUM02G08300.1 pep chromosome:ALNU02000000:2:6032564:6041763:-1 gene:OGLUM02G08300 transcript:OGLUM02G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVMLAAAQVGYQAECVWWLDQRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQVCKLFRAKQEETMVKSFEVTELPVRSSKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKVWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKTALVVPFLSIIVLDPFNLTVDWNNTLLVSYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWSKHNEIQTVNIKTIGADNEIADGERLPLAVKELGTCDLYPQSLRHNLNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSVDGEYAVRESTSRIKIYSKNFQERKSIRPPFSAERIFGGVLLAMCTNDFICFHDWAEGRMIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERIRTGLWVGDCFIYNNSSSRLNYCVGGEVTTLFHLDRQMYLLGYLANQSRVYLIDKQFNVVGYTLLLTMIEYKTLVMRGDFDRANALLPSIPKEQHDRQLPLRHKLDMAEECLLHAMDLSGLLLLYSSLGDAEGLTKLTSMAKEQGKNNVAFLCFFMLGKLEECLQLLIESNINLVHQAIEENGVEESQEDAVEVDVEADGSTDGTVLVNGNDTEEQWVLTPDQ >OGLUM02G08310.1 pep chromosome:ALNU02000000:2:6042054:6053241:-1 gene:OGLUM02G08310 transcript:OGLUM02G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNVGSRSEEARAEVGEGQVRGSASDRAMGASASGTTRRSNRIPEAALMSRSYLPSKVPDIVTLWKKDLQKVNPKAAESLADPDEYPNLFEDWQIALNVEANVAPKRGIYPPAEEYIIHAERPHETLVEAFKSMHIHQEEVLPDENGDDTHEAIEENGVEESQEDAVEVDVEADGSTDGAVLVNGNDTEEQWAASPP >OGLUM02G08320.1 pep chromosome:ALNU02000000:2:6065585:6067916:-1 gene:OGLUM02G08320 transcript:OGLUM02G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEAYIFPTEYNASAAAPTCQPSLHPPEPQQETDHRSLEPFNNTTSGKSSAETALDELDEFRNRILQGKAENGRVPDGAAAHRLEPSGGEYNYAAASKGAKF >OGLUM02G08330.1 pep chromosome:ALNU02000000:2:6067935:6068233:-1 gene:OGLUM02G08330 transcript:OGLUM02G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRREGGGGGNSGCDPPVTDALSMDGGLREVSLSVVFSVQCLLLLLLRSQFLRRRRGRNT >OGLUM02G08340.1 pep chromosome:ALNU02000000:2:6071860:6072414:-1 gene:OGLUM02G08340 transcript:OGLUM02G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRSETGGAATTTTVVAAAVDAPPPWRLRRQRSVPAAVVATFAPCVGIGVGGHHAPRRVLRLGGNKAAAAVHHRRGEAEEEGEYFDSGGGGGGGVGVLRALWRRIVRGRRWKVMSRSGSSTARRREQYAQDEYEQNFDEGAAAAGGEPEPEYLTRSFSARYASAAAGGRRSAGVARFGVSRAN >OGLUM02G08350.1 pep chromosome:ALNU02000000:2:6075828:6076144:-1 gene:OGLUM02G08350 transcript:OGLUM02G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSIKVQAVILTYVLLAVLLHPLLCQGSPAASLLEAQTSDGKTIYQGIAYILMIVALVVTYLVG >OGLUM02G08360.1 pep chromosome:ALNU02000000:2:6077997:6079433:-1 gene:OGLUM02G08360 transcript:OGLUM02G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTSGSFKKYADLKNQAALDDMESGTGGGGEGANLEQFFEEVEGVKGEMRGLEALHGRLQASHEGSKTAHDARAVRSLRARMDADVEQVLRRARAVKGRLQALDRANAASRKLPGCGPGSSTDRTRSSVVSGLGTKLKDLMDDFQGLRSRMAEEYKETVARRYYTVTGEKAEESTVEALISSGESETFLQKAIQEQGRGQVLDTISEIQERHDAVKEIERGLLDLHQVFLDMAALVEAQGHQLNDIESHVARANSFVRRGAVELETAREYQRSGRKWACIAILAGVVLVVVIVLPIIVNLHLLTIR >OGLUM02G08370.1 pep chromosome:ALNU02000000:2:6079986:6082919:-1 gene:OGLUM02G08370 transcript:OGLUM02G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARWPRFFVLRPAAALLLLLLLLLLHATAASPTTESGAGNATVARPDPCETWSTWTPILEQTQEPDKREPAIRMFRKD >OGLUM02G08380.1 pep chromosome:ALNU02000000:2:6105122:6115441:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRNTSLDFCHWHGVTCSTTMPGRVTALDLSSCKLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNNLVGNIPWLLGSGSSLTYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNSLVGSIPPVTAVAAPIQYLSLAENNLTGKISASIGNLSSLVGVSLAANNLMGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLIFLLTVCLKRREEKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQREQGASCDGHRTLAFSGARGSVAVAAAGGRTSGEELGRALERGDDAKSLGRARTGSGPKYMGPKWFEPICSPGKAHRPQPPPPPPSFVVRWLDEAMDGGGDGGRRPHAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHVCGFWFLPMAWQFSCNKCKELLCGNASNSGGALCVNHAGLEHFTMGNSYSSLPIFIGLSSIGSMGFLRNPKAFLMVLKAVIEKTDYRFILFSSGYQPLDCAIQSFAPSVAESSEYQASALHCDSNLLFNGRLFCFSGWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >OGLUM02G08380.2 pep chromosome:ALNU02000000:2:6105122:6115441:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRNTSLDFCHWHGVTCSTTMPGRVTALDLSSCKLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNNLVGNIPWLLGSGSSLTYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNSLVGSIPPVTAVAAPIQYLSLAENNLTGKISASIGNLSSLVGVSLAANNLMGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLIFLLTVCLKRREEKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQREQGASCDGHRTLAFSGARGSVAVAAAGGRTSGEELGRALERGDDAKSLGRARTGSGPKYMGPKWFEPICSPGKAHRPQPPPPPPSFVVRWLDEAMDGGGDGGRRPHAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQTVMLDICLCQAHLSLLPNSSRASHRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHVCGFWFLPMAWQFSCNKCKELLCGNASNSGGALCVNHAGLEHFTMGNSYSSLPIFIGLSSIGSMGFLRNPKAFLMVLKAVIEKTDYRFILFSSGYQPLDCAIQSFAPSVAESSEYQASALHCDSNLLFNGRLFCFSGWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >OGLUM02G08380.3 pep chromosome:ALNU02000000:2:6105122:6115441:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRNTSLDFCHWHGVTCSTTMPGRVTALDLSSCKLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNNLVGNIPWLLGSGSSLTYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNSLVGSIPPVTAVAAPIQYLSLAENNLTGKISASIGNLSSLVGVSLAANNLMGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLIFLLTVCLKRREEKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQREQGASCDGHRTLAFSGARGSVAVAAAGGRTSGEELGRALERGDDAKSLGRARTGSGPKYMGPKWFEPICSPGKAHRPQPPPPPPSFVVRWLDEAMDGGGDGGRRPHAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQTVMLDICLCQAHLSLLPNSSRASHRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLMGFLRNPKAFLMVLKAVIEKTDYRFILFSSGYQPLDCAIQSFAPSVAESSEYQASALHCDSNLLFNGRLFCFSGWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >OGLUM02G08380.4 pep chromosome:ALNU02000000:2:6105122:6113328:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTSPALFLVLLALTCSWPSSSSAGHGDGNDIDRQALLSFRSLVSDPARALESWRNTSLDFCHWHGVTCSTTMPGRVTALDLSSCKLDGLIPPCIANLSSIERLDLSNNSFHGRIPAELGRLEQLRHLNLSVNSLDGHIPAELSSCSRLEVLSLWNNSLQGEIPASLAQLVHIQLIDLSNNKLQGSIPSGFGTLGELKILNLATNNLVGNIPWLLGSGSSLTYVDLGANGLSGGIPEFLANSSSLQFLSLTQNKLTGALPRALFNTSSLTAIYLDRNSLVGSIPPVTAVAAPIQYLSLAENNLTGKISASIGNLSSLVGVSLAANNLMGSIPESLSRIPTLEMLILSINNLSGQVPQSIFNISSLKYLELANNSLIGRLPPDIGYKLPNLQRLILSKTRLSGPIPASLVNASKLEIIHLVDIGLTGILPSFGSLSHLQQLDLAYNQLEAGDWSFLSSLANCTQLQRLCLDGNGLQGHLPSSVGNLPSELKWLWLKQNKLSGTIPLEIGNLRSLEVLYMDQNLFTGTIPPSVGNLSNLLVLSFAQNNLSGHVPDSIGNLVKLTELYLDGNNFSGTIPASLGQWRHLEKLNLSRNSFGGSIPSEVFNISSLSQSLDLSHNSFAGPIPLEIGGLINLGSLSISNNRLTSNIPSTLGKCVLLESLHMEENLLVGSIPHSLMNLRSIKELDLSSNNLSGNIPDFFASMNYLKDLNLSFNDFDGPVPSTGIFRNASRVSLQGNDGLCANTPELGLPHCPALDRRTKHKSIILMIVVPIAAIVLVISLIFLLTVCLKRREEKPILTDISMDTKIISYKDIVKATKGFSTENLVGSGSFGDVYKGTLELEVDLVAIKVFNLNRHGGPSSFIAECEALKNIRHRNLVKVITLCSTLDPKGEEFKAIIFQYMPNGSLETWLHQKVYDHNQKQVLTLGDRISIALDIAYALDYLHNQSASPLIHCDLKPSNVLLDLQMTAYVSDFGLARFMCTTTAACANSTSLADLKGSIGYIAPEYGMGGPISTKGDAYSYGVLLLEILTGKRPSDDKLKDGLSLHELVESAFPHKLDEILDPIMLQSDLNGGKYHTEIMQSCIIPMVKLGLLCSSISPKDRLGMSQVSAEMGTIRQSFLELQREQGASCDGHRTLAFSGARGSVAVAAAGGRTSGEELGRALERGDDAKSLGRARTGSGPKYMGPKWFEPICSPGKAHRPQPPPPPPSFVVRWLDEAMDGGGDGGRRPHAVFMAFGTRGDVFPIAALAAAFAQDQRQYGVVFITHSAHQSLSTHLADCNVRYMPVSSPPVLAAEQLESISCDSVQSNVEHDSFSQRKKTIQVEHRRECLSAVENVFGNDMSTHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHVCGFWFLPMAWQFSCNKCKELLCGNASNSGGALCVNHAGLEHFTMGNSYSSLPIFIGLSSIGRAV >OGLUM02G08380.5 pep chromosome:ALNU02000000:2:6084980:6115441:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFWVTRQSIHGDFIVINFFALEGWHLAELFQVKCIIAAPYFVPYSAPASFERQFKQSLPLLYKYFQEAPLNMVCWTDITHWMWALFMESWGSWRNDSLNLSPIPFTDPVTNLPLWYMREESPLLLYGFSKEIVECPGYWPFSAHVCGFWFLPMAWQFSCNKCKELLCGNASNSGGALCVNHAGLEHFTMGNSYSSLPIFIGLSSIVAESSEYQASALHCDSNLLFNGRLFCFSGWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >OGLUM02G08380.6 pep chromosome:ALNU02000000:2:6113243:6115441:1 gene:OGLUM02G08380 transcript:OGLUM02G08380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSCSMGFLRNPKAFLMVLKAVIEKTDYRFILFSSGYQPLDCAIQSFAPSVAESSEYQASALHCDSNLLFNGRLFCFSGWLFPKCAVAIHHAGSGSTAAALFAGIPQISCPFLLDQFYWAERLHWLGVAPEPLRRQHLILDTDNASSINNAADMLIGAIKSALSPEIKAQATRIANKLSSEDGIGEALRILKERVLPQIES >OGLUM02G08390.1 pep chromosome:ALNU02000000:2:6095326:6096577:-1 gene:OGLUM02G08390 transcript:OGLUM02G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTCQTCVRNYLGSKEDPALSENPNQLAEQVTNQVAKTDNTAPGPINEVATRNPHLSMDIYQILANQTQILQEIAQNLASIHQQLLLPQPKVNPDKSKDKAAEIQGTQSVEGTDTNKEKNIEFLNVMITGMKTPPQAHDCPNFQKSIDKSLLQENNTVKEGSGHKRKTSPSEVDMQDVPQCQTMQPCQHIPKYPTLKSKKIRHVPGLICFICHEIGHYMRHCPQKPYMDALLQANMSTSRMPFYPQGSPNSQNVNTCQNLVSKSQRSIVIAMKEATPYHALYLQQDVGRRQELNATYVTKWDTTHGNAAGIPMPQWYNRMSPPGHLFKGTKKHQTMVPPR >OGLUM02G08400.1 pep chromosome:ALNU02000000:2:6116328:6116765:1 gene:OGLUM02G08400 transcript:OGLUM02G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHHLVLHAITKAAAATPAAAAACGKLGAAAAAFLAVCALALALCASHAAPERLRRALASVSRRRTEPVIVSIHQVQPGVVGAGGELADDGLGGPPSCVWQKNILMGGKCQLPEFSGVINYDAAGNIVAPSGRPRAAGTAALGW >OGLUM02G08410.1 pep chromosome:ALNU02000000:2:6117832:6126275:1 gene:OGLUM02G08410 transcript:OGLUM02G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCLAQTNALFRKSLVIQRRAGKTNCCIVLFPLLLFSAIGGLQIAINVEMLRGLAAAASVDCGGCGGGVAVAANATGGLDCPTPCALPRAPKWPPLLQIPPPERRAVRDGDLFPFAGDLPDAASCRAAVSCAAAFLVTGGNRSFVARVMDNMFPAHNSLAKLPSDISALSDYVLAEADDELDFNSFEVSSFLQKTCTPNQTLSFTYQSGNTTETKHVQCTQGLMLWRDSFWLINDELYRGYYQGNNKKKTNEIAAAYDFLSSDQGNFNVFISYNSTKKFDAYEQDISLTFNQGAWQAPRLVQVSRLINMASNAYLHLRASGLKISFDFVKDMPRAARPIRPIDISSLIGQLPYVWTMELLFPVILTNIVYEKQKKLRIMMKMHGLGDFSYWTISYCYFFLLSLLYVMSFTLFGSVLGLRFFRLNDYSVQFVYYLAYMNLQISFAFLMASCFSSVRTATDYEIYSDYLAYRASVTGYFYIIGSGLLGEFLFRSYVEDVFLSRSWITLLELFPAFSLYRIIYEFAQSALLGNYMNSSGMKWVDLNDPKNGMRSVLTIMVLEWFLFLSLAFYLDHFGSFQNGIRKAAVLFHSHVDKNRFQATQHNIQLQEFKASADNEKTDVIKEREMVEQILQESKNSYSVICDNLKKVYHGKDGNAKKIAVRGLSLSMPRGQCFGVLGPNGAGKTTLINMLTGFTKPTSGTAYIEGMDIQFEMNKIYAGIGVCPQHDLLWETLTGREHLLFYGRLKNLRGAPLSQAIEKSLKSVRLFAGGIADKLVSKYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRKDLWNAVKSAMQDRAIILTTHSMEEAEFLCDRIGIIANGSLQCIGNSKELKAKYGGSYVLTVTTATGEAEEEMRRLVQSISPTMNIVYHISGTQKFEMAKQEVRISQVFRAMEHAKRRMNVLAWGLADTTLEDVFIRVARESDSTSSSVA >OGLUM02G08420.1 pep chromosome:ALNU02000000:2:6127572:6128946:1 gene:OGLUM02G08420 transcript:OGLUM02G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLLLLPSSLSLALSPPPPPPPFFPPQWAPPVAGGGGPFAGEDACRWNTFPLICSLVSDEKVEVDMEAEAEVVDPAGFTSLQYLSCTQHGVGYGSGPDGAHHPTVSSVHGNQYMPTKGKVDVECIIGQAAGHSKPS >OGLUM02G08430.1 pep chromosome:ALNU02000000:2:6129286:6133534:1 gene:OGLUM02G08430 transcript:OGLUM02G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGAAEDGSKKVPFHVKGRNVEDLTWKASTEEIFARDERKLQSPASSWLYPRSRSCKFGKDPKDGTIPGCSPPSYLLLKKHEPRKKGCPVRLVNGCQCGAVEEGWRDLPSICQELWDYSCELIAPKINIYKRRATAQQWWYVTSEGVVGEIQSFQLRKGSKSGGYTAFKLVVV >OGLUM02G08440.1 pep chromosome:ALNU02000000:2:6130545:6134074:-1 gene:OGLUM02G08440 transcript:OGLUM02G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKFIPLLAVFIVSCSLPLAISDDTDTDREALLCFKSQISDPNGSLSSWSNTSQNFCNWQGVSCNNTQTQLRVIALNVSSKGLSGSIPPCIGNLSSIASLDLSRNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPQSLTQCTHLQQVILYNNKLEGSIPTRFGTLPELKTLDLSNNALRGDIPPLLGSSPSFVYVDLGGNQLTGVIPEFLANSSSLQVLRLTQNSLTGKIPPALFNSSTLTTIYLDRNKLVGSIPPVTAIAAPIQYLTLEQNKLTGGIPASLGNLSSLVHVSLKANNLVGSIPESLSKIPTLERLVLTYNNLSGHVPQAIFNISSLKYLSMANNTLIGRLPPDIGNRLPNLQALILSTTQLNGPIPASLRNMSKLEMVYLAAAGLTGIVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKFALDANFLQGTLPRSVGNLPSQLNWLWLRQNRLSGTIPSEIGNLKSLSVLYLDENMFSGSIPPTIGNLSNLLVLSFARNNLSGHIPDSIGSLSQLTEFYIDGNNLNGSIPANIGQWRQLEKLDLSHNFFGGSLPSEVFNISSLSKSLDLSYNLFTGPIPLEIGNLINLGSISISNNRLNGEIPFTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFFTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYNLPVCPESGSQSKSKSTVLKIVIPIAVSAVVISLLCLTVVLMKRRKEEPNLQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLNKYGAPTSFNAECEALRYIRHRNLVKIITLCSTIDPNGYDFKALVFQYMPNGSLEMWLHPEDHGLGKKRFLTLGERISLALDIAYALDYLHNQCVSPLIHCDIKPSNVLLDLEMTAYVSDFGLARFMCATSTAAPGNSTSLADLKGSIGYIAPEYGMGGQISTKGDVYSYGVLLLEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLVKVALVCSMASPKDRLGMAQVSTELHSIKQAFLELSSGGKIV >OGLUM02G08450.1 pep chromosome:ALNU02000000:2:6133764:6134830:1 gene:OGLUM02G08450 transcript:OGLUM02G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLPMQGGIEPLRPLELTLSAMTRSWVWVLLHETPCQLQKFWEVLLHELKDPASLSVSVSSLIANGREHETMKTAKSGMNFGHGANKRIILLKLGEARTIS >OGLUM02G08460.1 pep chromosome:ALNU02000000:2:6139007:6165801:-1 gene:OGLUM02G08460 transcript:OGLUM02G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMGAARLRIRLQAALVMSLLLLQIMPFLPCTLATGGNSAELLMGREKHEGNPSDVPLAADDIISAVCARHRWQKAFPATDHGQRDASRLGSNQIHTMSSNPSAAASEAQLGSGGLWHCHDDHVHEPLLKMSTPLDRLARSSRPISLEYRNIRQVRASSYILAISKIASLTALSTNSKMIRLFASCPKLIPLLAVFIFSSSLSLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSLNFCSWQGVSCNSTQTQLRVMALNVSSKGLGGLIPPCIGNLSSIASLDLSNNAFLGKIPSELGRLGQISYLNLSINSLEGRIPYELTSCRNLQVLGLWNNSLQGEIPPSLTQCTHLQQVMLSNNKLEGEIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFIYVDLGVNQLTGGIPEFLANSSSLQVIRLMQNGLTGEIPLSLFNSSTLTTIYLNRNNLVGSIPPITAVAAPIQYLSLAQNKLTGGIPASLGNLSSMVLLSLGANSLVGSIPESLSKIQTLERLVLTYNKLSGNVPQNIFNMTSLKYFGMANNSLIGRLPPDIGNRLPNLETLILSTTQLNGPIPASLANMSKLEMIYLTATGLTGVVPSFGSLPNLQDLDLGYNQLEAGDWSFLSSLANCTQLKKFALDANFLQGTLPRSVGNLPSQLNWLFLKQNKLSGAIPSEIGNLKSLTVMYMDNNMFSGSIQPTIGNLSNLLVLSFARNNLSGHIPDSIGSLSQLTEFYIDGNNLNGSIPANIGQWRQLEKLDLSHNFFGGSLPSEVFNISSLSKSLDLSYNLFTGPIPLEIGNLINLGSISISNNRLNGEIPFTLGNCVLLEYLHMEGNLLTGSIPQSFMNLKSIKDDFGLARFMCATSTAAPGNSTSLADLKGSIGYIAPEILTGKRPTDEKFNDGLSLHDRVDAAFPHRVTEILDPNMLHNDLDGGNSELMQSCVLPLKSSTILTSEDGARPGKPPSPRLQYHLDLRCWRGVAVAVAHRVFSGGSTMPFLPCTLATGGKPLDLLIMAGREKHEGHRLLAPSKSIRSPRTLLHENWTGPEHNVILGE >OGLUM02G08460.2 pep chromosome:ALNU02000000:2:6139007:6165801:-1 gene:OGLUM02G08460 transcript:OGLUM02G08460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMGAARLRIRLQAALVMSLLLLQIMPFLPCTLATGGNSAELLMGREKHEANQYGLLEPYSMRTGPGQVILGE >OGLUM02G08470.1 pep chromosome:ALNU02000000:2:6150573:6153653:1 gene:OGLUM02G08470 transcript:OGLUM02G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIPISILSKSSEGVDSNGCAESVTLPPLKTRWATATATPRQHLRSRWYCRRGDGGFPGLAPSSEVRMFARDERKLQSPASSWLYPRSRSCKFGKDPKDGTTPVSPVAVR >OGLUM02G08480.1 pep chromosome:ALNU02000000:2:6168478:6172110:1 gene:OGLUM02G08480 transcript:OGLUM02G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDAGRLRFPWPGVVFRGMLPTKLLAARERRTREERLPSVGAIAVTGGIEPAKLLRLRDELFAKNSGILPLIASKINIYKRRATAQQWWYVTCEGVVGEIQSFQLTKRPKSSGYTAFKLVVV >OGLUM02G08480.2 pep chromosome:ALNU02000000:2:6172340:6180483:1 gene:OGLUM02G08480 transcript:OGLUM02G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLPMQGGIEPPRPLELTLSAMTRSWVCVLLHETPCQLQKFWDVLVHELKAPASLSVSVSSLIANGREHEMMNIAKSGMSFGQGANKRIILLLVLWLAFAYGIFIAAAAAAHLAHGHALPPALTSSEGSPTVVVETLCHTSESPSSSTPVSSIHICKARFCNEPCLSNQ >OGLUM02G08480.3 pep chromosome:ALNU02000000:2:6172340:6176422:1 gene:OGLUM02G08480 transcript:OGLUM02G08480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLPMQGGIEPPRPLELTLSAMTRSWVCVLLHETPCQLQKFWDVLVHELKAPASLSVSVSSLIANGREHEMMNIAKSGMSFGQGANKRIILLLVLWLAFAYGIFIAAAAAAHLAHGHALPPALTSSEGSPTVVVETLCHTSESPSSSTPVSRLVLFIIYMFICPLLHCSITIYNHLVVFY >OGLUM02G08480.4 pep chromosome:ALNU02000000:2:6168478:6178438:1 gene:OGLUM02G08480 transcript:OGLUM02G08480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDAGRLRFPWPGVVFRAAAAAHLAHGHALPPALTSSEGSPTVVVETLCHTSESPSSSTPVSRLFTARERRTREERLPSEAGIPPLSLFRARLRN >OGLUM02G08480.5 pep chromosome:ALNU02000000:2:6168456:6171393:1 gene:OGLUM02G08480 transcript:OGLUM02G08480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSARPSQSCPTIPFFSLRRRRPWPRRACRAAAAAEDAMADGRWRRRTIEVSLARRRIPSWVQFARDERKLQSPASRWLYARSRYLKFGNNPKDGTTPVSPVATR >OGLUM02G08490.1 pep chromosome:ALNU02000000:2:6169134:6172650:-1 gene:OGLUM02G08490 transcript:OGLUM02G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFAPCPKLIPLLAIFIISCSLPLAISDDTDTDREALLCFKSQISDPNGALSSWTNTSQNFCNWQGVSCNNTQTQLRVMALNVSSKGLGGSIPPCIGNLSSIASLDLSSNAFLGKIPSELGRLGQISYLNLSINSLEGRIPDELSSCSNLQVLGLWNNSLQGEIPPSLTQCTHLQQVILYNNKLEGRIPTGFGTLRELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGRIPEFLANSSSLQVLRLMQNSLTGEIPPALFNSSTLTTIYLNRNNLAGSIPPVTAIAAPIQFLSLTQNKLTGGIPPTLGNLSSLVRLSLAANNLVGSIPESLSKIPALERLILTYNNLSGPVPESIFNMSSLRYLEMANNSLIGRLPQDIGNRLPNLQSLILSTIQLNGPIPASLANMTKLEMIYLVATGLTGVVPSFGLLPNLRYLDLAYNHLEAGDWSFLSSLANCTQLKKLLLDGNGLKGSLPSSVGNLAPQLDWLWLKQNKLSGTIPTEIGNLKSLTILYMDDNMFSGSIPQTIGNLTNLLVLSFAKNNLSGRIPDSIGNLSQLNEFYLDRNNLNGSIPANIGQWRQLEKLNLSHNSFSGSMPSEVFKISSLSQNLDLSHNLFTGPILPEIGNLINLGSISIANNRLTGDIPSTLGKCVLLEYLHMEGNLLTGSIPQSFMNLKSIKELDLSRNRLSGKVPEFLTLFSSLQKLNLSFNDFEGTIPSNGVFGNASRVILDGNYRLCANAPGYNLPLCPESGSQSKSKSTVLKIVIPIVVSAVVISLLCLTIVLMKRRKEEPNQQHSSVNLRKISYEDIAKATDGFSATNLVGLGSFGAVYKGLLAFEDNPVAIKVFNLDKYGAPTSFNAECEALRYIRHRNLVKIITLCSTVDPNGYDFKALVFQYMPNGSLEMWLHPEDHGLGKQRFLTLGERINVALDIAYALDYLHNQCVSPLIHCDMKPSNVLLDLEMTAYVSDFGLARFMCANSTEAPGNSTSLADLKGSIGYIAPEYGMGAQISTKGDVYSYGVLLLEILTGKRPTDEKFKDGRSLHELVDTAFPHRVTEILDPNMLHNDLDGGNSEMMQSCVLPLVKLALMCSMASPKDRLGMAQVSTEIHSIKQEFLDLSSGGKIV >OGLUM02G08500.1 pep chromosome:ALNU02000000:2:6177302:6179323:-1 gene:OGLUM02G08500 transcript:OGLUM02G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLFASFPKLIPLLAVFIFSCSLPIAISDDTDTDRGALLCFKSQISDPNGALRSWSNTSLDSCNWQGVSCNNTQTQIRVMGLNISSKGLSGSMPPCIGNLSSIASLDLSNNAFLGKIPAELGHLGQISYLNLSINSLEGHIPDELSLCSKLKVLSLCNNSLQGEIPPSLTQCTHLQQVVLCNNKLQGRIPTKFGMLHELKTLDLSNNALTGDIPPLLGSSPSFVYVDLGGNQLTGGIPEFLANSSSLQVLSLKQNNLTGGIPLALFNSSTLTTIYLNRNNLVGSIPPVTAIAAPLQFLSLALNKLRGGIPASLGNLSSLVRLSLAVNNLVGSIPGSLSELRKLERLILTSNNLSGPVPQSIFNMSSLQYLEMANNSLISQLPPDIGNRLPNLQSLILSMTHLSGPIPASLANMSKLEMIYLVATGLTGVVPSFGLNLRDLDLAYNHLEAGDWSFLSSLANCTQLKKLCLDGNSLEGSLPSSVGNLAPQLEWLWLKQNKIYGTIPSEIGNLRSLTILYMDNNLFSGHIPPSIGNLSNLQVLSFALNDLFGQIPDSIGNLAQLIEFHIDGNNFSGSIPSSLWHWKHLEKLDISDNSFFGYIPPAVGNLSSIRDLIFARNNFFGHIPSTVGNLSNLSILSFAQNNLFGHIPEFVGNLVKLTNLFLHGNSFSGSIP >OGLUM02G08510.1 pep chromosome:ALNU02000000:2:6180513:6181813:-1 gene:OGLUM02G08510 transcript:OGLUM02G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAERRGVARLQCGSRRRVGGSGVRLRRVVPVRLRAGDCGVRQRLRAGSATGAMSEVSSNAQIPSLATRGQYGPEKKSDRQITYRSTCCMDRFICEPY >OGLUM02G08520.1 pep chromosome:ALNU02000000:2:6182977:6184599:1 gene:OGLUM02G08520 transcript:OGLUM02G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRLRVSLQVTVLLVSLLLLPGCLAGRREHAGVNVDKSHGIVINWEKKHPVRRLFLGLATNRHRRILFYRNPGFDPNGEHYSPVSPATP >OGLUM02G08530.1 pep chromosome:ALNU02000000:2:6185009:6185296:-1 gene:OGLUM02G08530 transcript:OGLUM02G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSGKLSSSTVVLVLLLVVATEMMVVVQARLCKKPSSHFKRLCLQSQNCANKCMLECATMSK >OGLUM02G08540.1 pep chromosome:ALNU02000000:2:6186298:6187189:1 gene:OGLUM02G08540 transcript:OGLUM02G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAHHLQAILILSILLLPTFLFGSVSANSVIDSKPDGAVIISLEKRGGRRLVLGRSASRSLSANYDPRHDPPVTP >OGLUM02G08550.1 pep chromosome:ALNU02000000:2:6191143:6192632:1 gene:OGLUM02G08550 transcript:OGLUM02G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAWLGVGLQAILVMFLLMSPPFLPCALAAGYSSEGKSGDQGVANGEDGRHNPPFSPGHK >OGLUM02G08560.1 pep chromosome:ALNU02000000:2:6193347:6193742:1 gene:OGLUM02G08560 transcript:OGLUM02G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRIRVEFQAILVMFLLLPLPFLPRVFAAGSSGGNSGELVAGGRETRRKPPAIRSTRFLSGGVPANPGGGHDPPVNDGRRGR >OGLUM02G08570.1 pep chromosome:ALNU02000000:2:6196265:6197599:1 gene:OGLUM02G08570 transcript:OGLUM02G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARLCVGLQAILVMSLLLPLPFLPCVLAGAGPGGELVAGEEKHQGRVFASGISTRSLRILSQNPGPGGEHHPPISSGRGNNG >OGLUM02G08580.1 pep chromosome:ALNU02000000:2:6201944:6225885:1 gene:OGLUM02G08580 transcript:OGLUM02G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQTLLSLEAHGHVNAVPEPSKMVGAVQALVVQCEDLKLKYYEEMPKRKKLHNIVEETKGAGLQGAVVLSNSMNMGCSHGLPVLARASAGTAGLAAARGAGAVGRAQLSLLPRDDHDCCSHSGAHDLQGSECELTTWSATKQVCLGIARLARSVSLVEVCHLVHFVIGSSSTPSCADPAHGAPPL >OGLUM02G08590.1 pep chromosome:ALNU02000000:2:6204386:6207077:-1 gene:OGLUM02G08590 transcript:OGLUM02G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLMKNIVHTEPACIKTKTAITYSSSAPLLNTKCSTKIAHNGTRGRGRRECVQQTCTGSLSRTTSRKPVDDRKVNRFDIHNGGAPCAGSAHDGVEEDPMTKCTRWNRSARRPQIEKYSQYRLWSVAELIKNNTVKQYAKTLEWIGKQDDVEEGLQEVTNETDLANLAIPKQTCFVADHVVNSHSLPCKSWAPLWLQQSWSSLGSRDSWALPTAPAPLAAAKPAVPADALASTGRPCEQPIFMELDSTTAPCSPAPRDMELSKLTNYVSIKPEQNYKTKPHCNMKKTTELSVEVSSGVPMLCFQGSFGTLGKLVNSS >OGLUM02G08600.1 pep chromosome:ALNU02000000:2:6232329:6256223:-1 gene:OGLUM02G08600 transcript:OGLUM02G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPGFSFGQNWRGERLVVERRGARPALRGGGSMKSDDGGAVPGESLVRWFIGAGSGYVFGRCNLLGTLSRMGINEDNDLRERGDRPERFGASDNSEVERRTDR >OGLUM02G08610.1 pep chromosome:ALNU02000000:2:6257061:6260661:1 gene:OGLUM02G08610 transcript:OGLUM02G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVKFYLSQLVDATENFSDGNKIGQGSFGCVYKGQLHDGLEVAVKRCFELPSSRARTRRLHLDWSTRSRIIDGIAEGLLYLHKHCGLHIVHGDLKPSNILLDSNMNPKISDFGLARRYSPGVDEEYADRILGSIGFIAPECRERRLFSMKSDVYGFGALVLEIVSGKRCFSLVSGDSGDDYRFLNKRAWHLWRTGRLIKLVDSPSGDELETKEILRCIHIALLCVEENPANRPTMQEVVLMLSCQTALLPKPRCPAYLRAEIVSTHP >OGLUM02G08620.1 pep chromosome:ALNU02000000:2:6269347:6271700:1 gene:OGLUM02G08620 transcript:OGLUM02G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDIWKMEVELILML >OGLUM02G08620.2 pep chromosome:ALNU02000000:2:6269347:6271737:1 gene:OGLUM02G08620 transcript:OGLUM02G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDIWKMEVELILMAQTEDRCVRENIG >OGLUM02G08620.3 pep chromosome:ALNU02000000:2:6269347:6271737:1 gene:OGLUM02G08620 transcript:OGLUM02G08620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDIWKMEVELILMVNPL >OGLUM02G08630.1 pep chromosome:ALNU02000000:2:6283773:6284066:1 gene:OGLUM02G08630 transcript:OGLUM02G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQYRRWRCWEEGEGGVRGRAGGDDCDRRPPPSLAASFCLTLSQTIPSPPLGCCMVAAAATTAWTWWRGSRQWDSLSGEVASRAAPRGGRRKSIF >OGLUM02G08640.1 pep chromosome:ALNU02000000:2:6286704:6287555:1 gene:OGLUM02G08640 transcript:OGLUM02G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFMARVVLILVLSLWLLPSLLPGFLAAGASSAGNELSPLSGGSSRRMAMVRSRERTDGYGLSIAKSARRSLRPTPGYEHSKQRLEFITN >OGLUM02G08650.1 pep chromosome:ALNU02000000:2:6329149:6329900:1 gene:OGLUM02G08650 transcript:OGLUM02G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARLRVRLVAILVMSSLLLSPPFLVAAGSSGGGSRGREMKHDGHLVIAPRKLTWPRRVLNYGGQHDPIHNSPISSGRSKNEVGPGN >OGLUM02G08660.1 pep chromosome:ALNU02000000:2:6333671:6335027:1 gene:OGLUM02G08660 transcript:OGLUM02G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAITILAMSLLLLPPPLALGSLAGGDQRRKEPWIATIYPGDGGRNPPVSPARDRPGTEA >OGLUM02G08670.1 pep chromosome:ALNU02000000:2:6335582:6336234:1 gene:OGLUM02G08670 transcript:OGLUM02G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSDGHRSRANKLAGATFSLSVRRRRRAEVGLQEKRAMGEHGDGRNQTVVTLLSLATHACSSVAVTDTLLHGVVGRCRCCRVAPHARAALLGLEHSGVVRVWTTTTSRRTCGAWPKERLRTKRSESASCPLCLCLRHPPPGELRLRLHRSPPGELRWSPPPPLPLPGLRLSHPPPSLELRLSHPPPFSVPP >OGLUM02G08680.1 pep chromosome:ALNU02000000:2:6336967:6337283:-1 gene:OGLUM02G08680 transcript:OGLUM02G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLRRLHRLLAVVRLAVALWLAVDGSHTPLHGRAGARSRCRRSARRRVAFGHRHLPDSLS >OGLUM02G08690.1 pep chromosome:ALNU02000000:2:6340176:6344542:-1 gene:OGLUM02G08690 transcript:OGLUM02G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRLRLLAIVQLAVAIWLAATSCYQCKLDAVPPPPPTELVDGGRGCALKLGERAVRLSSDGWVGTAPDLAELSSSLTLTPPVMTMTSTPIADGATTIDICWCYFISVC >OGLUM02G08700.1 pep chromosome:ALNU02000000:2:6355085:6357522:1 gene:OGLUM02G08700 transcript:OGLUM02G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAse Z1 [Source:Projected from Arabidopsis thaliana (AT1G74700) TAIR;Acc:AT1G74700] MANSGKSSPAATSTTAPPPGRPKAKAPPLTVEGYPVEGISIGGQETCVIFPTLSAAFDIGRCPQRAVSQEFLFISHAHLDHIGGLPMYVATRGLYRQRPPTIFIPACLRDPVERLFELHRSMDQSELSHNLVPLEIGQEHELRRDLKVKAFKTYHAIPSQGYVIYTVKQKLKPEYLGLPGSEIKRLKLSGVEITNTLTVPEIAFTGDTMADFILDPDNADVLKAKILVVESTFVDDSVTIEHAREYGHTHLFEILNQCDKLENKAILLIHFSARYTAEEIDTAINKLPPSFRSRVHALKEGF >OGLUM02G08710.1 pep chromosome:ALNU02000000:2:6357602:6359892:-1 gene:OGLUM02G08710 transcript:OGLUM02G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP91] MDDQRLQWRKPGSFLLVAGVFLAAAAAVSNAGIGEFDEHWEKRRAAAEAAAEEVYKPDPFNVTNEFNHAVIRHAIHTIFVQILSTERGVLRRELSGKNSKYKGPCLATNPIDRCWRCRKDWATDRKRLARCAMGFGRGATGGVRGKIYVVTDPGDGDAANPRYGTLRWGAMQAAPLWITFAKSMVIRLTQELLVASDKTIDGRGAQVHIARGGAGITVQFARNVIITSLHVHDVKHSDGGAVRDSPTHIGPRTRADGDGISLFAATDVWVDHVSMSMCEDGLIDVVQGSTGVTISNSHFTNHNDVMLFGASDSYPQDKVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGGMSPTILSQGNRYIAPPNIAAKLITRHYAPEWEWKNWAWRSDGDLFMNGAYFQASNGAINRKVKGSDMVKPKPGSYVRRLTRFAGALSCRPGEPC >OGLUM02G08720.1 pep chromosome:ALNU02000000:2:6365692:6367429:1 gene:OGLUM02G08720 transcript:OGLUM02G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTPQLAFSRMPPGFRFQPTDEQLVIDYLQRRTAAQPCVTPDITDIDVYNVDPWQLPAMAMYGSDHDRYFFTTAAREAQARRTTPSGFWKPTGTKKTIFIVAGGHEVPTAVKRRFIFYLGHHQPSGSSSNNNKTSWIMHEYHLMNPPRAAAVPSSSSVNPLPTDDLTEEMVLCRISNKDLPKPPYIHNGLLQFSSVGLNGDGYNYLILDHLEPPAMEYPNVGIGNVDDAAAGTDDPGDLDEEIDDSMQRNHGG >OGLUM02G08730.1 pep chromosome:ALNU02000000:2:6369994:6370693:1 gene:OGLUM02G08730 transcript:OGLUM02G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRCAGGVHSAGAGDIWWLLLWVLWLRGTPRRKPGSASVASNADALGRQQQHQRDDETGEFDGICWVSRQVAGIPTDVPLANP >OGLUM02G08740.1 pep chromosome:ALNU02000000:2:6371611:6377470:-1 gene:OGLUM02G08740 transcript:OGLUM02G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP94] MDPSSAGAGGNSLASSSCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMEVLRPLPARGIDLCRFHSDDYVAFLRAVTPETQLGQVRALRRFNIGPDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYVDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIIGKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELPEKLPYNEYYEYFGPEYSLYVAASNMENRNTNKQLEEIKCNILDNLSKLEHAPSVQFEERIPETKLPEPDEDQEDPDERHDPDSDMVLDDNKPTGHSARSLIHNIGVKREITKTETKDQHGKRLTTEHKGPEPMAEDLGSSKQAPDVFDIAIDVVSALDYLHQHRPLPIIHCDLKPSNIFLDSAMVAHVGDFGLARVLHQDHSDMLEKSSGWATMRGMDMLLQMALPDNVIDIADQHLLSENNDGEEKNSDGKRTRDTRIACITSILQIGVSCSKESPADRMHTGDALKELQRTKDKFSVSLH >OGLUM02G08750.1 pep chromosome:ALNU02000000:2:6380559:6388281:-1 gene:OGLUM02G08750 transcript:OGLUM02G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MDHPWRFPAGDELCPYHVPFHPPPPPPMWAPPGPHPYEVLDMEGPHKRMRVGEAPPPFDPYGDGMPPPPPPGMVPVEGDRLLGLIRDHGCPPFPPPPGMLHCEPYPPPDRFGYGGGRGYPPPPNYDNPYAHGGSFSDYEHAGRFPPAHERLALGSSLVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSRYDSHGWHPEVDVPPPPPPEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATVRPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKKLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQEAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >OGLUM02G08750.2 pep chromosome:ALNU02000000:2:6380559:6388281:-1 gene:OGLUM02G08750 transcript:OGLUM02G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MDHPWRFPAGDELCPVCAAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPEYHAPFHPPPPPPPPEYHVPFHPPPPPPMWAPPGPHPYEVLDMEGPHKRMRVGEAPPPFDPYGDGMPPPPPPGMVPVEGDRLLGLIRDHGCPPFPPPPGMLHCEPYPPPDRFGYGGGRGYPPPPNYDNPYAHGGSFSDYEHAGRFPPAHERLALGSSLVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSRYDSHGWHPEVDVPPPPPPEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATVRPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKKLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIVDDRNLRVADFAQFWASAKKSGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQEAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >OGLUM02G08750.3 pep chromosome:ALNU02000000:2:6380559:6388281:-1 gene:OGLUM02G08750 transcript:OGLUM02G08750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62760) TAIR;Acc:AT5G62760] MDHPWRFPAGDELCPVCAAPHFPFCPPPPLPPHPFPYDLHPPPPPPPPEYHAPFHPPPPPPPPEYHVPFHPPPPPPMWAPPGPHPYEVLDMEGPHKRMRVGEAPPPFDPYGDGMPPPPPPGMVPVEGDRLLGLIRDHGCPPFPPPPGMLHCEPYPPPDRFGYGGGRGYPPPPNYDNPYAHGGSFSDYEHAGRFPPAHERLALGSSLVPGGPQEGYFNHDHRYNRFQRSESPVAPPLPPPARYPEARSRYDSHGWHPEVDVPPPPPPEPPVPSSSDYHAKPPLQAVKSSLFPIHSGSPAATVRPPSSHTLHQAHLMPNANRYNGPIHNEVPGLAYQPHLEQHLGGGRQTQAQHSINNAKISVISACDLFKQPLRGSRPDHIVIILRGLPGSGKSYLAKALRDLEVENGGNAPRIHSMDDYFMIEVEKKVEDNEGSKSSSTSKGRKKLTKKVIEYCYEPEMEETYRSSMLNAFKKTLDEGNFTFVIGYEVYLLEAPYKDPTGCAARNVHGFTVDDVNKMAADWEEAPPLYLRLDIHSLFNDDNLREHSIQEVDMETEDTDGASNTATSTEAENTQKAVSESLDNGHDQEAGKKWDSSEEDDLDGYKELGQSKWSKDFDEDTEKSDHAEGSTHALSGLAQTYSTHRKTVTWGDRLEKGGFSIGAAKRRLTSSLIIGPGSGYNLVSNPLAEDNSKQAKGVINTDTKKRFSEQLRDEGESFRAVFDKRRQRVGVFGNGDGE >OGLUM02G08760.1 pep chromosome:ALNU02000000:2:6388359:6395060:-1 gene:OGLUM02G08760 transcript:OGLUM02G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKEGTHATLAGPVFEDAHRGRATLAGPVFEDAHREYLAGEEQKNLSAATERQLQIAFMASSGYPDQAEAGGAAQEASRIFSKGP >OGLUM02G08770.1 pep chromosome:ALNU02000000:2:6395060:6400187:1 gene:OGLUM02G08770 transcript:OGLUM02G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YP99] MDPSSAGAGGNSLASASCGDAQKRRVCYFYDPEVGNYYYGQGHPMKPHRVRMTHALLAHYGLLAPAKMQVLRPLPARDRDLCRFHSDDYVAFLRAVTPETQLDQIRSLRRFNVGEDCPVFDGLYAYCQTYAGASVGAAVKLNHGTHDIAINWSGGLHHAKKSEASGFCYVNDIVLAILELLKLHERVLYIDIDIHHGDGVEEAFYTTNRVMTVSFHKFGDYFPGTGDIRDIGYSEGKYYCLNVPLDDGIDDDSYQSIFKPIISKVMEMYRPGAVVLQCGADSLSGDRLGCFNLSGKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGEELREKLPYNEYYEYFGPEYSLFVAASNMENRNTNKQLEEIKCNILDNLSKLQHAPSVQFEERIPETKLPEPDEDQEDPDERNDPDSDMVLDDHKPMGHSARSLIHNIGVKREITETETKDQHGKRLTTEHKGPEPMADDLGSSKQAPPADANAVAVNAPGNARNEPGSSPK >OGLUM02G08780.1 pep chromosome:ALNU02000000:2:6405069:6418045:-1 gene:OGLUM02G08780 transcript:OGLUM02G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRSDAVGGERRWPWLAPGEARREAATTAPAAELPTHARDTPLLLLAASPIGTRSVGVSTEQETPTCRIEVMEITKLWWQLPMEAVLWKIEPPHVCEVAHCRADHAKKVEIGEVQRHHTAATASSQATRHSIPLAHRYGLDASACIESLLMSDLNDMSARWSVVDEESKAGDTWLKKHERKTMASKRSSWVWSNAIAAIVGCLSAV >OGLUM02G08790.1 pep chromosome:ALNU02000000:2:6405105:6414997:1 gene:OGLUM02G08790 transcript:OGLUM02G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHTHELLLLAIVFLSCFFSHVSPALLSSSTTDHLALMSFRSLIRSDSMQALASWGNQSIPMCQWYGVACGLRGRRRGRVVALDLANLNLLGMISPAVGNLTYMRRLYLPQNSFHGELPPELGNLHDLKTLHLKYNSIGGEIPPSLSNCSQLVQIALNNKKLHRGIPTELSSLHNLEVLDLSENRLTGSIPSDIGNLVNLRVFGMHLNNLTGEIPPEIGKLINLDGLSLYSNQLSGSIPVSLGNLSALTFLALSFNKLTGSIPPLQGLSSLETLALGPNNLKGSIPTWLGNLSSLQLIELQESNLEGNIPESLGNLKWLTDLFLLHNNLRGPVPNTIGNLYSLETLSIAYNELEGPLPPSMFNLSSLQTLGIQFNRLNGSFPVDIGNTLPNLQSFLADENQLHGIIPPSLCNASMMQMIQAQNNILSGTIPQCLGIHQKSLHSVAFAQNQLETRNDYDWGFMSSLTNCSNLRLLDLGDNKLRGELPNTVGNLSTRLEYFITGHNSITGKIPEGIGNLVGLKFIEMNNNLHEGTIPAALGRLKNLNKLYLTNNKLSGSIPSSIGKLRMLMLLSLGNDALSGEITPSLSNCPLEQLELSYNNLTGLIPKELFSISTLSASVNLEHNFLTGPLPSEVGNLTNLALLDFSNNWISGEIPSSIGLASLNLSFNNFEGDVPRDGIFSNATPALIEGNNGLCNGIPELKLPPCSHQATRSKKQKWKLAMVISLCSAVLFMAVVTTSFMFHKRAKKKNADPQTSLIKEQHMRVSYTELAEATNGFASENLIGAGSFGSVYKGRMRINDQQVTVAVKVFNLKQRGASQSFTAECEALRCVRHRNLVKVLTVCSSIDFQGRDFKAIVYEFLPNRNLDQWLYQNHNEDGEHRALDLITRLQIAIDVASSLEYLHQHNPLPIIHCDLKPSNVLLDDEMVAHVGDFGLARFLHQDSEKSSGWASMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFSGKRPTDSEFGESLGLHKYVNMALSDRVVSVIDLSLLEETEDGEARTSISNQTREMIIACVTSILHVGVSCSVETPTDRVPIGDALKELQRIRDKFHRELQGAGATNHQGIQIC >OGLUM02G08800.1 pep chromosome:ALNU02000000:2:6422043:6425722:-1 gene:OGLUM02G08800 transcript:OGLUM02G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAHSSYVTVTPMELADKRLLLAAVWPLAWLAAAAAAAAAAADVDDGLALTVFMARMPTGSGSPPPPTWGNRSVPVCRWRGVACGARGRRRGRVVALELPGLGLRGTVPPELGNLTYLRRLHLAGNRLHGVLPPELGGLAELSHLNLSGNAFQGQIPASLANCTGLEILALYNNRFHGEIPPELCSLRGLRVLSLGMNTLTGSIPSEIGNLANLMTLNLQFSNLTGGIPEEIGDLAGLVGLGLGSNQLAGSIPASLGNLSALKYLSIPSAKLTGSIPSLQNLSSLIVLELGENNLEGTVPAWLGNLSSLVFVSLQQNQLSGHIPESLGRLQMLTSLDLSQNNLISGTIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNHLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFISSLANCSNLNALDLGYNKLQGAGGFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGKEFKAIVYEYLPNGNLDQWLHPNIMGQSEHKALNLTARLRIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQESEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDSEFGEAVGLRKYVQMALPDNAANVMDQQLLPETEDGDAIKLNSYNGKDLRIACVTSVMRIGISCSEEAPTDRVQIGDALKELQAIRDKFEKHVSNEGTSSQ >OGLUM02G08800.2 pep chromosome:ALNU02000000:2:6422043:6425722:-1 gene:OGLUM02G08800 transcript:OGLUM02G08800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAHSSYVTVTPMELADKRLLLAAVWPLAWLAAAAAAAAAAADVDDGLALTVFMARMPTGSGSPPPPTWGNRSVPVCRWRGVACGARGRRRGRVVALELPGLGLRGTVPPELGNLTYLRRLHLAGNRLHGVLPPELGGLAELSHLNLSGNAFQGQIPASLANCTGLEILALYNNRFHGEIPPELCSLRGLRVLSLGMNTLTGSIPSEIGNLANLMTLNLQFSNLTGGIPEEIGDLAGLVGLGLGSNQLAGSIPASLGNLSALKYLSIPSAKLTGSIPSLQNLSSLIVLELGENNLEGTVPAWLGNLSSLVFVSLQQNQLSGHIPESLGRLQMLTSLDLSQNNLISGTIPDSLGNLGALSSLRLDYNKLEGSFPPSLLNLSSLDDLGLQSNHLSGALPPDIGNKLPNLQRFVVDINQFHGTIPPSLCNATMLQVLQTVYNFLSGRIPQCLGIQQKSLSVVALSKNQLEATNDADWVFISSLANCSNLNALDLGYNKLQGELPSSIGNLSSHLSYLIIANNNIEGKIPEGIGNLINLKLLYMDINRLEGIIPASLGKLKMLNKLSIPYNNLSGSIPPTLGNLTGLNLLQLQGNALNGTIPSNLSSCPLELLDLSYNSLTGLIPKQLFLISTLSSNMFLGHNFLSGALPAEMGNLKNLGEFDFSSNNISGEIPTSIGECKSLQQLNISGNSLQGIIPSSLGQLKGLLVLDLSDNNLSGGIPAFLGGMRGLSILNLSYNKFEGEVPRDGVFLNATATFLTGNDGLCGGIPEMKLPPCFNQTTKKASRKLIIIISICSIMPLITLIFMLFAFYYRNKKAKPNPQISLISEQYTRVSYAELVNATNGFASDNLIGAGGFGSVYKGRMTNNDQQVVAVKVLNLTQRGASQSFMAECETLRCVRHRNLVKILTVCSSIDFQGKEFKAIVYEYLPNGNLDQWLHPNIMGQSEHKALNLTARLRIAIDVASSLEYLHQYKPSPIIHCDLKPSNVLLDSDMVAHVSDFGLARFLHQESEKSSGWASMRGTVGYAAPEYGIGNEVSIQGDVYSYGILLLEMFTRKRPTDSEFGEAVGLRKYVQMALPDNAANVMDQQLLPETEDGDAIKLNSYNGKDLRIACVTSVMRIGISCSEEAPTDRVQIGDALKELQAIRDKFEKHVSNEGTSSQ >OGLUM02G08810.1 pep chromosome:ALNU02000000:2:6425076:6426610:1 gene:OGLUM02G08810 transcript:OGLUM02G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFARFPISDGMLPVRVFMPRLSTLRPRNEQSSGGISPWNLLLYRARISRPVQLASDAGICPWKALPLRLRWLSSARPPSSGGRTPCSRLPARWSRLRYVRLPSSGGTVPRRPSPGSSSATTRPRRRPLAPHATPRHRHTGTDRFPHVGGGGEPLPVGMRAMNTVSARPSSTSAAAAAAAAAAASHARGHTAASKRRLSASSIGVTVTKMSQLYRKAKQWLSGLLSASGITTGAYPVDAY >OGLUM02G08820.1 pep chromosome:ALNU02000000:2:6441204:6442181:-1 gene:OGLUM02G08820 transcript:OGLUM02G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVRLPRRTTAMSRSRCRKRSDRTTVEKPLQEEEWSDDGEFIELEGGFGIITGDEEDDGGVSGVELERRPHEVTGSGWQS >OGLUM02G08830.1 pep chromosome:ALNU02000000:2:6443677:6452767:1 gene:OGLUM02G08830 transcript:OGLUM02G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLECFLLAVAVVLVAHAAPAPAPASSRLPLPPAAAGDVYAGSDSDRLALMAFKKLVSGDPSRALASWGDGSTPPCRWRGVSCGVAAGRRRGRVVALDLAGAGIAGEVSPALGNLTHLRRLHLPENRLHGALPWQLGRLGELRHLNLSHNSIAGRIPPPLFSGCRRLKNVLLHGNRLHGELPGELLSSLRRLEVLDLGKNTLTGSIPPDIGNLVNLKLLVLESNNLTGEIPSQIGNLGNLVMLSLSSNQLSGSIPASLGNLSSLTAIAAFSNNFTGSIPPLERLSSLSYLGLASNNLGGTIPSWLGNLSSLTALDLQSNGFVGCIPESLGDLQFLEAISLSDNKLRCRIPDSFGNLHELVELYLDNNELEGSLPISLFNLSSLEMLNIQDNNLTGVFPPDMGDKLPNLQQFLVSKNQFHGLIPPSLCNLSMIQVIQTVDNFLSGTIPQCLGRNQKMLSVVNFDGNQLEATTDADWSFLTSLTNCSNMILIDVSINKLQGVLPKAIGNMSTQLEYFGITNNNITGTIPESIGNLVNLDELDMENNLLMGSLPASLGNLKKLNRLSLSNNNFSGSIPVTLGNLTKLTILLLSTNALSGAIPSTLSNCPLEMVDLSYNNLSGPIPKEPFLISTISSFLYLAHNKLTGNLPSEVGNLKNLDELDLSDNMISGKIPTTIGECQSLQYLNLSGNFIEGTIPPSLEQLRGLLVLDLSQNNLSGTIPRFLGSMTGLSTLNLSSNDFEGEVPKDGIFLNATATSVMGNNDLCGGAPQLKLPKCSNQTKHGLSSKIIIIIIAGSTILFLILFTCFALRLRTKLRRANPKIPLSDEQHMRVSYAQLSKATNSFASENLIGVGSFGAVYKGRIGIADQQMVVAVKVLNLQQAGAYRSFDAECEALRCIRHRNLVKILTVCSGIDFQGSDFKALVFEFLPNGNLDQWLHKHLEEEGEPKVLNLIERLQIAIDVASALEYLHQHKPCPIVHCDLKLSNILLDNDMVAHVGDFGLARFLHQEHNNSSEKSTGWNAIRGTIGYVAPEYGLGNEVSIYGDVYSYGILLLEMFTGKRPTNSEFGEVLTLHEYVETALPDQTTSVIDQGLLNATWNSEGTAQKYHDIEEIRTECIVSILKVGILCSKEIPTDRMQIGDALRELQAIRDRFDTHQL >OGLUM02G08840.1 pep chromosome:ALNU02000000:2:6445400:6449864:-1 gene:OGLUM02G08840 transcript:OGLUM02G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPERSRLLRSSATTWPQRHPWMPHVMPCHWQTWSDWLPPHEAIADDGSLAELGSRELVEQQLQGAAARARKRTRSPPLLGRRKRVNHQIAGLLPEVYRFPGQLCCQLVWVKIAERCGKAPHEKIVFHVKFIQVDEVWKLVTHVRWKNTSEIVILYV >OGLUM02G08850.1 pep chromosome:ALNU02000000:2:6471677:6474706:-1 gene:OGLUM02G08850 transcript:OGLUM02G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKQKCQMDEALLEQPAILPAPEPEGTSLLTIVGFAFLTFNSAMAIYRSDRDAGAIAFVTFSYFDLLLLFYCLRLFERTEHGSRRRGQIKAAVWLLTTMLTAVFSYKVAAIMPLPVQVLVWAMAAATRHQSMIRRAIWRELAAKQPGDGKGCSCMRTHAGRQISPKRGRRRAARVQSSSRRSFHPKRAAVGRDPPAGRASYELLPVSYRAGIGAGRPAVPVGLFSLTRQAKFYFLNKSI >OGLUM02G08850.2 pep chromosome:ALNU02000000:2:6471656:6472288:-1 gene:OGLUM02G08850 transcript:OGLUM02G08850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVAKEDGAISWAIWRELAAKQPGDGKGCSCMRTHAGRQISPKRGRRRAARVQSSSRRSFHPKRAAVGRDPPAGRASYELLPVSYRAGIGAGRPALS >OGLUM02G08860.1 pep chromosome:ALNU02000000:2:6472042:6474284:1 gene:OGLUM02G08860 transcript:OGLUM02G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLISFIFHLQYTFCICLDCLGLILVRIELINHQSLPSRHRDMDNIVRLKNLKSIGDLVASAFTDGLCG >OGLUM02G08870.1 pep chromosome:ALNU02000000:2:6522110:6523664:1 gene:OGLUM02G08870 transcript:OGLUM02G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPB1] MLAFFKAAYDMFEADFYVKADDAIYLRPGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTVGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSSMLANCVF >OGLUM02G08880.1 pep chromosome:ALNU02000000:2:6570961:6571602:-1 gene:OGLUM02G08880 transcript:OGLUM02G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNARKRAVALAMALITLLTAYSGWAIYLSRDDKRSVASIVLAYVYLVLLAWLVACLQQPSPGKGSRLVAQVLEVTIVCLTLPLTLLLASQLRRILPPVSSELLLPVSAAAVVVAFFLVFVLKHAWSNEQSQEATLPLVQPLQKQ >OGLUM02G08890.1 pep chromosome:ALNU02000000:2:6593080:6593559:-1 gene:OGLUM02G08890 transcript:OGLUM02G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPSSREPLLPPLDQREELRGTSNDHEGRGFSWLTAMGFLFLSFNTGMAIYRSQGDALSIAFVTFSYVSLVLLFWVARRFNGAAVSRQSRRERVLATVWALSTLLTVLFGWKVATVMPLPIAAVVWAMAVAAMAGLSWAFSFFGEEPPSAAEMESWV >OGLUM02G08900.1 pep chromosome:ALNU02000000:2:6594538:6598353:1 gene:OGLUM02G08900 transcript:OGLUM02G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSASWVATGQQFLSASAPLDVLLSVRSIRPSSPCGGRSREASFLFRTRRFAGPPPNKSMDPIPHGCAISPRIAAFGREGNRARVSPPPPASSSLTREPTRLPLRRRRSRDGDRAEAQGEPLRRGRRDLRLRQPRPRRHHQRHRRRRGQPVHQSVDGEALLGEVPRDPPEAPHAPRVAAEGRFPRRPL >OGLUM02G08910.1 pep chromosome:ALNU02000000:2:6610018:6610294:-1 gene:OGLUM02G08910 transcript:OGLUM02G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAFDTAATSPSSTNKQIMLDAAAPVDSLALRLGRPALFPSSVPRSSWCRNSVQRLLSIDAESDRLP >OGLUM02G08920.1 pep chromosome:ALNU02000000:2:6610539:6610976:1 gene:OGLUM02G08920 transcript:OGLUM02G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRKPRRRRGARPCRLLASHSPSPIAAAPAAVPCRIRRYRVRFHSRWRWSRCASRPPMPRPQTPVATKPPPLSHIPKKKIGLGYVVGYRVGVQHMGAQGWDRDWSSVS >OGLUM02G08930.1 pep chromosome:ALNU02000000:2:6618107:6625239:1 gene:OGLUM02G08930 transcript:OGLUM02G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYQALLLAALAVAVLQIVKVSLIRAKQAAAGIPPPPPGPWRLPHAPPRREAAGLPHRVLRDLAAAHGPLMMLRLGETPLVVASSREVAREVLRTHDANFGTRPRLLAGEVVLYGCADILFSPSGEYWRKLRQLCAAETSRRLRVYASLSPRAARSSSRVLPPPPQSTAIRRRSRLLPHPPPPEPRSSPYAAAAAYSTAALAGSEVFPSHRRRRGFPIHRGQPWPESTSSPVAAGAESSPATTGAVVFPIRLSRKNRSFTPHPHPRPRRALLHNHVEPTSAAVDAQVTVVDAAAFGGVHSDRQYNRGLELHNQLGLTLDSDAPYAEMCNFDFEILCKDPQFVPIDQIASRVDLIRAAGPLTLVDVSALFYDITISIASCASFGKKHRNVDEYLSAIKTGVSLASGFKIPDLFPSWRTMLATVTGMRRALEEVYGTVDSTLEDVIEERQGEKEDKTRPDMVDTKENLVDVLIGLHENGAHLSRDSIKAVIFDMFTAETGTLPSALN >OGLUM02G08930.2 pep chromosome:ALNU02000000:2:6618107:6625239:1 gene:OGLUM02G08930 transcript:OGLUM02G08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLYQALLLAALAVAVLQIVKVSLIRAKQAAAGIPPPPPGPWRLPHAPPRREAAGLPHRVLRDLAAAHGPLMMLRLGETPLVVASSREVAREVLRTHDANFGTRPRLLAGEVVLYGCADILFSPSGEYWRKLRQLCAAETSRRLRVYASLSPRAARSSSRVLPPPPQSTAIRRRSRLLPHPPPPEPRSSPYAAAAAYSTAALAGSEVFPSHRRRRGFPIHRGQPWPESTSSPVAAGAESSPATTGAVVFPIRLSRKNRSFTPHPHPRPRRALLHNHVEPTSAAVDAVSTLSPLPQPRRTLEQVTVVDAAAFGGVHSDRQYNRGLELHNQLGLTLDSDAPYAEMCNFDFEILCKDPQFVPIDQIASRVDLIRAAGPLTLVDVSALFYDITISIASCASFGKKHRNVDEYLSAIKTGVSLASGFKIPDLFPSWRTMLATVTGMRRALEEVYGTVDSTLEDVIEERQGEKEDKTRPDMVDTKENLVDVLIGLHENGAHLSRDSIKAVIFDMFTAETGTLPSALN >OGLUM02G08940.1 pep chromosome:ALNU02000000:2:6625255:6636920:1 gene:OGLUM02G08940 transcript:OGLUM02G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPKVMTKLQGEIRKAFHAKVTVGEDDIQAANLPYIRLFIKETLRLHPVVPLLVPRESIDVCEVNGYTILAMSRIVVNAWAIGRDPKYWDNHEEFKPERFEGNMVDFTGSSYEYLPFGAGRRMCPGIAYGLPVLEMALVQLLYQFDWSLPKGVMEVDMEEEPGLGARRKTPLLLCANPFVIPLLYQALLLSALAVAALQIVKLAVVSRGKKQAAAAAPTPPGPWRLPVIGSMHHLAGKLAHRALRDLAAVHGPLMMLQLGETPLVVVSSREVAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGEYWRKLRQLCAAEVLGPKRVLSFRHIREQEMASRVERIRAVGPSVPVDVSALFYDMAISIVSCASFGKKQRNADEYLLAIKTGISLASGFKIPDLFPTWRTVLAAVTGMQRALENVHRIVDSTLEEVIEERRGVARECKGRLGMEDNEENLVDVLIKLHEQGGHLSRNSIKSVIFDMFTAGTGTLASSLNWGMSELMRNPRVMAKLQGEIREAFHGKATVGEGDIQVGNLPYLRLFIKETLRLHPPVPLLVPRESIDMCEVNGYTIPARSRIVVNAWAIGRDPKYWDDPEEFKPERFEGNKVDFAGTSYEYLPFGAGRRICPGITYALPVLEISLVQLLYHFNWSLPKGVTEVDMEEEPGLGARRMTPLLLCATPFVVPVLALLLSVLAVALLQIIKAFLVIIRAKPAAPPLPPGPWRLPVIGSMHHLAGKLPHRALRDLAAAHGPLMMLRLGETPLVVASSREMAREVLRTHDANFATRPRLLAGEVVLYGGADILFSPSGDYWRRLRQLCAAEVLGPKRVLSFRHIREQEMESQVEEIRAAGSSTPVDLTAMFSFLVISNVSRASFGSKHRNAKEFLSAVKTGVTLASGFNIPDLFPTWRKLLAIVTGMRRALEDIHRVVDSTLEEVLEERRVAREDKARCGMVGTEENLVDVLIGLHEQGGCLSRNSIKSVIFDMFTAGTGTLSSTLGWGMSELMRSPKVMSKLQSEIREAFYGKATVGEEDIQASCLPYLGLIIKETLRLHPPVPLLVPRESIDTCEIKGYMIPARSRIIVNAWAIGRDPRYWDDAEEFKPERFEKNMVDFTGSCYEYLPFGAGRRMCPGVAYGIPILEMALVQLLYHFDWSLPKGVIDADMEESSGLGARRKTPLLLCATPFVIPVL >OGLUM02G08950.1 pep chromosome:ALNU02000000:2:6639671:6640129:-1 gene:OGLUM02G08950 transcript:OGLUM02G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQAPPQPPPEEEPPLPPPADHHPQADAADGGGRDGWATFVGYSLAALNSTLEMRRFERGAPFSAAVFAYPYLLLLLYFYFLTRLQGAPRGSPAGIRDRLKAPLWPLAALLAVEFAYQLTGAARLTPRALEIAAAAAAIGATYAFLQRKA >OGLUM02G08960.1 pep chromosome:ALNU02000000:2:6644805:6652016:1 gene:OGLUM02G08960 transcript:OGLUM02G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGAAQPPRQYKLAPQSELRVEVPPDAPVRVRLVAGTAEVFGTELPPEGWVPVPPRSKIAIFTWHGATVELDGVSESEYTSDETPMVVYVNTHAILDARRARARAAAAQGALPESSQGPRVIIVGPSDSGKSTLCKMLLSWAAKQGWKPTYVDLDIGQDVYRALMKELAQTLDKQFSGNSESRAAGMIINTMGWVENLGLELLHNSIEIFKANEKLWKMLKDAAKNKPNIDVVKLHKSEGVVPRNPKYRQKTRSFRIKEYFYGIANDLAPHSNVVNFSDVSVYKIGTHQAPKSALPIGAEPVADPTRLVAVNISTDMVHTVLAVSYAKEPDEIVSSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSKLLIASSLTWYEA >OGLUM02G08970.1 pep chromosome:ALNU02000000:2:6649957:6654108:-1 gene:OGLUM02G08970 transcript:OGLUM02G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPC2] MPSSHGDLDRQIAQLRECKHLAEGEVRALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGEAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >OGLUM02G08980.1 pep chromosome:ALNU02000000:2:6654124:6661880:-1 gene:OGLUM02G08980 transcript:OGLUM02G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMAGRSSRRPRSQLGGLSRIIRSNHITGIGGEMNNTRAKVARLLRTEGEYTSDLMEPAARLDASPRSTRRSTAAASRRAKNAARSAASRLAIRRLGGWPGGGRGAGTWPRFFDGGGGMVAVATGEGGVLGVLVLRCGGLGNLGEKWRHELHLHLLLQPRSPQTLALSPLLLAGGERRRRAAGPGAPSSASSSSRP >OGLUM02G08990.1 pep chromosome:ALNU02000000:2:6657185:6662285:1 gene:OGLUM02G08990 transcript:OGLUM02G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26180) TAIR;Acc:AT5G26180] MPPPPSKNRGHVPAPRPPPGQPPRRRMASRDAAERAAFFARREAAAVLRRVLRGDASRRAAGSIKSLVYSPSVRNKRATFALVCQTLKYLPILKEVLASTGVLTSKWKKQEELVYVTAYDILFGQETATSGSVEQLIMVHKDTIMAALEKMCLRRKVRSVEDLVSKKSTVKPKPRFLRVNTLRTSTNSVIEELNKIHKVDKDELVPDLLVLPPGTDLHNHHLVSDGKGKASCMVAVALCPEPGWKVIDACAAPGNKTVHLAALMNGEGSITACELNKERTKTLQNTIRRSGANNIETINGDFLDIDSNDPSYAEVRAILLDPSCSGSGISTERLDHLLPSHSRGNQDDASTSSRIRKLSAFQRKALSHALSFPSVERVVYSTCSIHQAENEDVVSSVLPLASSLGFELATPFPQWRRRGLPVFEGSEHLLRTDPEDGLEGFFISLFVRKAAAAADAVKPSNGGLGVSKQTKRAHGFRLIETVQDDDALLYPGSLEKMIL >OGLUM02G09000.1 pep chromosome:ALNU02000000:2:6662603:6663127:-1 gene:OGLUM02G09000 transcript:OGLUM02G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVVGGEPLLAAAMQQLLDLDLPDELERQLNPPTRAYVRDRRAMANTPMDVKELRASGALVLAVDMPGVAPADVRVEVEDGNVLAISGERRRPAGDDGEGVKYLRMERRMGKFMRKFPLPESADIDGVRAEYKDGVLTVTVNKKPPPEPKKPRVVEVKVAVAGAGEPKAKGK >OGLUM02G09010.1 pep chromosome:ALNU02000000:2:6667287:6674657:1 gene:OGLUM02G09010 transcript:OGLUM02G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase M1 [Source:Projected from Arabidopsis thaliana (AT4G33090) UniProtKB/Swiss-Prot;Acc:Q8VZH2] MAAAAEQSAEQFRGQARLPGFAAPRRYDLRLAPDLDGCAFAGSVDVSVDVTAPTRFLVLNAAELEVAPGGVQFKPHGAEQELLPAEVTNVPEDEILIIRFNEVLPVGEGTLVIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPSFKAIFKITLEVPSETVALSNMPVVEEKVNGPIKAVYFQETPIMSTYLVAVIVGMFDYVEAFTTDGTSVRVYTQVGKSAQGKFALEVAVKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADNFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDVNHVDEIDEIFDAISYRKGAAVIRMLQSYLGAETFQKSLAAYIEKFAYSNAKTEDLWAALEEGSGEPVKTLMHSWTKQQGYPVVNVKLKDGKLEMEQTQFLSSGAEGVGQWVVPITLCCCSYSRQEKFLFNGKQEDFNLSGLVECQKKDDFWIKLNVNQTGFYRVSYDEELASRLRYAIEANKLSAADRYGVLDDTYALCMAGKQKLVSLLHLIAAYKDETEYTVLAHVIDTSLSIVEMVAVAAPEGLGKLKKFLIDFLEPFAQRIGWDAKSGEGHLDALLRGTLLTALAELGHEATINEAVRRFNVFVEDRETPLLPPDVRKAAYVALMQTVNKSNRAGYESLLKIYKETDLSQEKVRILGSLASCPDPDVVRDTLDFMLSPEVRNQDSIFLLRGVGAAGHEVAWTWLKEKWDYISDTFSGTLLTYFVSTTVSPLRTDEMGDDAEEFFKSRTKANIARTVRQSIERVRINAKWVESTRAEANLGNVLKEISHDH >OGLUM02G09020.1 pep chromosome:ALNU02000000:2:6676873:6677151:1 gene:OGLUM02G09020 transcript:OGLUM02G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRREEEAAAQRILRRRPPSRTLAARSSWRPPSPVAARRPPLYHPISCPARPPAPVQLCGRSKGQEHGTYPSTPDTLASFSLLSAG >OGLUM02G09030.1 pep chromosome:ALNU02000000:2:6678820:6682824:-1 gene:OGLUM02G09030 transcript:OGLUM02G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSAFSSSASSHGGGGDSEARDSSTVVAVDRDKNSQQAAKWAVDRLLARGGVLQLVHVKPQQNAEAGADAEMQQMFISYRGYCARKGMQLKEVILDGSDISKAIVEYATSNAITDIVVGASTRNTFIRKFRNPDVPTCLMKMVPDYCTVHVIHKGKAIQVKAAKAPAPFTTLPPKQYSQSSIESDGYPRSRGDWKKISNQSSPKANRPSVDRLSGFAKAPTRERPLSGARSAPPKDFDDYIDFIAPPRPSVTRSSFSDDVDFPLSLELPSMDFGDSLELSLSASMSMESLSSAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKMEESKKYQELRNAEEEALALVQMEKAKCRAALEAAEAAQRIAELEAQKRLRAEWKAKREAEERKRATEAMNNTDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVMDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWNIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAAAAEATQYRMTSTAGTFCYIDPEYQQTGMLTTMSDIYSLGILLLQIITARSPMGLTHHVESAIERGTFQEVLDPMVTDWPVEEALVFAKLALRCAELRKKDRPDLGKEILPELNRLRCLGQEYDASKVSSTSTTCSSSAPYSFGGDDVSTP >OGLUM02G09040.1 pep chromosome:ALNU02000000:2:6683115:6685439:-1 gene:OGLUM02G09040 transcript:OGLUM02G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARPSPSPAGTRLAAAHRAARRLALPPGRTATATAAGDGGRGSPEAMGIETEAEAAIARWFKATREIRWLRAGVSGVGEELAQLTSITMGFGGREWARSDAHKDMQK >OGLUM02G09050.1 pep chromosome:ALNU02000000:2:6685202:6692276:1 gene:OGLUM02G09050 transcript:OGLUM02G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSASRRAARWAAANLVPAGDGDGRASAAAISVVHVIPPLRFVPSPCGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAADSGVRSLVLGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSCLGAKTTGIEGYKNHGFRSPFKEAHYSDSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKGVNQIGPENPRTIAVSDKMHCFDLPPVMAAVVGGACDVSPVAKPSWGPGRAWHLPCRHATTPPPTKIQVLSSECSEEARKVEHALQKEEALKQVVADEKAKRLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >OGLUM02G09050.2 pep chromosome:ALNU02000000:2:6685202:6692276:1 gene:OGLUM02G09050 transcript:OGLUM02G09050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSASRRAARWAAANLVPAGDGDGRASAAAISVVHVIPPLRFVPSPCGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAADSGVRSLVLGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSWCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGFRSPFKEAHYSDSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKGVNQIGPENPRTIAVSDKMHCFDLPPVMAAVVGGACDVSPVAKPSWGPGRAWHLPCRHATTPPPTKIQVLSSECSEEARKVEHALQKEEALKQVVADEKAKRLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >OGLUM02G09050.3 pep chromosome:ALNU02000000:2:6685202:6692276:1 gene:OGLUM02G09050 transcript:OGLUM02G09050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSASRRAARWAAANLVPAGDGDGRASAAAISVVHVIPPLRFVPSPCGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAADSGVRSLVLGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSWCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGFRSPFKEAHYSDSNSSEECQSIDEVAKLRKELQDTLVMYDKACEDLVHAKKKIQVLSSECSEEARKVEHALQKEEALKQVVADEKAKRLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >OGLUM02G09050.4 pep chromosome:ALNU02000000:2:6685202:6692276:1 gene:OGLUM02G09050 transcript:OGLUM02G09050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEPRPPSPAAVAVAVRPGGSASRRAARWAAANLVPAGDGDGRASAAAISVVHVIPPLRFVPSPCGEQVPAARVAREAAEAYARDRHARAQEALRPFRRIFAAANATVETVVLEGDGVAEALVRYAADSGVRSLVLGSASLGWFRRAMSVPDVATAVLKTTEISCNVFVVSKRRLTLKVTRHPQMSRSGTGLRIQSVSQKAFAQSYRSLLFDNFPENGIHPDSWCQSRVSHCGPTNARQSSESHGQILFNSLGAKTTGIEGYKNHGFRSPFKEAHYSDSNSSEEIQVLSSECSEEARKVEHALQKEEALKQVVADEKAKRLEAIEEVEQAKKSFTREAYSRNKAEMVASMISLDKAKVVDAILSTSKNCRRYKKHEIELATDNFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLREVDILSQLQHPNLVLLLGFCPEIGCLVYEYLQNGSLEDQLLNNKGRQPLHWFLRFQIIFDVSCGLAFLHGRKPEPIVHRDLKPANILLNKNYVGKIGDAGFAKLISDLVPDWQTEYKETIIAGTLYYMDPEYQQTGTVRPKSDLFGLGVIILQMLTGKRPNGLIVSVENAIRNGMLADILDKSQTDWPLVEAEMLAKLGLRCTALKCRERPGLESEVLPKLQEILHRITSTVNLRSPKLNVPSHFICPIMQEVMNDPYVAADGHTYEQQAIKAWLKKHKVSPVTRRILPNLSVIPNHSLRAAIQQWKSQSAHAKS >OGLUM02G09060.1 pep chromosome:ALNU02000000:2:6716327:6717808:1 gene:OGLUM02G09060 transcript:OGLUM02G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPVNSGDAAAAATGEKSKLSPSGLPIREIPGGYGVPFFSPLRDRLDYFYFQGAEEYFRSRVARHGGATVLRVNMPPGPFISGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSRALFGGHRPLAFLDAADPRHAKIKRVVMSLAAARMHHVAPAFRAAFAAIFDAVEAGLGAAVEFNKLNMRYMLDFTCAALFGGEPPSKVVGDGAVTKAMAWLAFQLHPIASKVVRPWPLEELLLHTFSLPPFLVRRGYATLKAYFADAAAAVLDDAEKSHPGIPRDELLDNLVFVAIFNAFGGFKIFLPHILKWLARAGPELHAKLATEVRAAAADGITLAAVERMPLVKSVVWEALRMNPPVEFQYGHARRDMVVESHDAAYEVRKGEMLFGYQPLATRDAKVFDRAGEFVADRFVAAGDRPLLEHVVWSNGPETRTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVVEAPVEPVVTFTSLTRAASG >OGLUM02G09070.1 pep chromosome:ALNU02000000:2:6717895:6721411:-1 gene:OGLUM02G09070 transcript:OGLUM02G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIFMERLTVQVELQEEGEEEEEGEGEVQVLPLPLMEVEVEVLVVVVGGEGIQTLSPHPGKSRTPCE >OGLUM02G09080.1 pep chromosome:ALNU02000000:2:6730706:6732250:1 gene:OGLUM02G09080 transcript:OGLUM02G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRANSGDGNDGAVGGQSKLSPSGLPIREIPGGYGVPFLSPLRDRLDYYYFQGADEFFRSRVARHGGATVLRVNMPPGPFLAGDPRVVALLDARSFRVLLDDSMVDKADTLDGTFMPSLALFGGHRPLAFLDAADPRHAKIKRVVMSLAAARMHHVAPAFRAAFAAMFDAVDAGLVAGGSVEFNKLNMRYMLDFTCAALFGGAPPSKAMGDAAVTKAVKWLIFQLHPLASKVVKPWPLEDLLLHTFRLPPFLVRREYDEITAYFAAAAAAVLDDAEKNHPGIPRDELLHNLVFVAIFNAYGGFKIFLPHIVKWLARAGPELHAKLASEVRAAAPAAGGEITISAVEKEMPLVKSVVWEALRMNPPVEFQYGRARRDMVVESHDAAYEVRKGEMLFGYQPLATRDEKVFDRAGEFVPDRFVSGAGGAARPLLEHVVWSNGPETGTPSEGNKQCPGKDMVVAVGRLMVAEMFRRYDTFAADVEELPLEPVVSFTSLTRAAAPAAAGEGAARRGV >OGLUM02G09090.1 pep chromosome:ALNU02000000:2:6752581:6753981:-1 gene:OGLUM02G09090 transcript:OGLUM02G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKKSTGRSSGHASTSYDASAGGGAVAVSACGQRIRRNVSVLEKVAVSVHDSRASTREAALAELIAALEGFLSADDAEGGYTDEILRGCYVSIKKRAAREACLALRVVALLAVTLGPGATSKKIAAVETAANPLGSGANKIMKATYPLASRILEAPQADASLVVAALECLAVVAFVDVAAENMDDTEACMNALWAVICPSAGPKLAGAAKGTASPRVLAAAVSAWTLVLTTTGGWNKKKKVSPAAPWRGAAAHAHLVSLLGSDSREVRMAAGEALAVAIEMKLFTEKNNGALFSKMEARASDLAIDAAGAGVDKTNFVEQKELFKDITRFLAGGKPPVISVRTSSSNYGLLTTSTWTDNVRLNFLRRFLGGGFLHHLQGKGLMGQVFVIADDEVTGKLLAARSKRSLMKDTRIVKELNGGRGGGGAMDEKKEKKQEMIKNSLEKKRTVKKERLIAYELKHGSSDL >OGLUM02G09100.1 pep chromosome:ALNU02000000:2:6758022:6759329:-1 gene:OGLUM02G09100 transcript:OGLUM02G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGKFIRRSNGHASSSSSSVLDGEDTSSACGGGMSIYYQKINQRVAVLEKAAVSVHESRASTREAALASLVGALEGFVPAHSIGWHHRGEIVRGCCASIKKGAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEASTDASLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKLAGAARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAASSSPTAWRDTAAHLASLLHSESRAVRMAAGEALAVTIEMKLLTRDSHGALISGVAARASELASEAAGAGVGKANFVAQKELFKTIATFLAGGKAPASSVRASSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKVVMGEEAPLIGQVFVVKDDDMAMDQKKKVEKQRTLNKERQIASDLKHGSSV >OGLUM02G09110.1 pep chromosome:ALNU02000000:2:6760410:6761861:-1 gene:OGLUM02G09110 transcript:OGLUM02G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADARGGGGGGGGKFMSRMVTGQKPIHVRPPRPEKERVDMVPRDVVERCIVALFEKRGSTREAAMEDLVGALEGHVSAREVSCKYTTIVSRCVFSLKKGSVREARLAYRAIGILALTLGGGGDDTAGAKDVLAEAFPFLAKTVEASHDMAKVLAAIDGLAVATFAGEDGDDEIERSMDAIWGGVIDPSSAGPGGSRLAAGDARKTTPEALAAAVSAWAFLLTVVHDRYEAEEGESCKDKIALLAKLLDDHDDRGVRVAAGEAIAACVELKLAHDTPPEDMEALNATVSYLATEPSGKGAGDKRRHAGQKDIFRQIEIFLDDGEAPTKSVRTSSSRQSVLKVTTWTKLLQLNFLTRYLGNGFHSHLQHNPLFGETFEVDGDEVEGLPAARKRMSRKQREKKRTLERRRCREAVWKEKNKFGLPEEEPESGTMALMLLPAPACCHHMLPPVHRQPHLLPPPAPATASHMLPPVQQPILLLEY >OGLUM02G09120.1 pep chromosome:ALNU02000000:2:6765127:6768097:1 gene:OGLUM02G09120 transcript:OGLUM02G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding SACRPVAGDAAAVAAAAVKATGERDNHLSDSPINTHLSLLLLRKLFTRPSTTVSNSGDDLSLQFVHVVLVARLTPARSPPYSSSTTSRLPPGFSHDLGTWSPQLDRHLSSWYVPA >OGLUM02G09130.1 pep chromosome:ALNU02000000:2:6765394:6769643:-1 gene:OGLUM02G09130 transcript:OGLUM02G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPE1] MAPAPTPAAAAGRRVAVLAAALVAASLAASVGVAKAAVSYDRRSLVINGRRRILLSGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGVSFRTDNGPFKAEMQKFVEKIVSMMKSEGLFEWQGGPIIMSQVENEFGPMESVGGSGAKPYANWAAKMAVGTNTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKNYKPSMWTEAWTGWFTSFGGGVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSADPTIESIGSYEKAYVFKAKNGACAAFLSNYHMNTAVKVRFNGQQYNLPAWSISILPDCKTAVFNTATVKEPTLMPKMNPVVRFAWQSYSEDTNSLSDSAFTKDGLVEQLSMTWDKSDYLWYTTYVNIGTNDLRSGQSPQLTVYSAGHSMQVFVNGKSYGSVYGGYDNPKLTYNGRVKMWQGSNKISILSSAVGLPNVGNHFENWNVGVLGPVTLSSLNGGTKDLSHQKWTYQVGLKGETLGLHTVTGSSAVEWGGPGGYQPLTWHKAFFNAPAGNDPVALDMGSMGKGQLWVNGHHVGRYWSYKASGGCGGCSYAGTYHEDKCRSNCGDLSQRWYHVPRSWLKPGGNLLVVLEEYGGDLAGVSLATRTT >OGLUM02G09140.1 pep chromosome:ALNU02000000:2:6778130:6781807:-1 gene:OGLUM02G09140 transcript:OGLUM02G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13 family [Source:Projected from Arabidopsis thaliana (AT1G08750) TAIR;Acc:AT1G08750] MASGRGGIPGPSRALLVLLLPALLMLLAFSTSSSAAASSPGAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNNYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRVLTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLQSPGVLTIGSSMKGENSYSHHLDSDIGVSVVDRFTFYTLAFFEKLNMYSNASLNSLFNSYNPSMLLSTAYYRMDLYERPLNEVPVTNFFGSVMNTIHTDSAYSGFLAADDIGTIPSTGDNLLNHAVLQNKASQRRSNIEEVKEAQVRSHGWTDVLLEQLDVKNADTIVMYGLGTMGILLAISTWLSM >OGLUM02G09150.1 pep chromosome:ALNU02000000:2:6783221:6788575:1 gene:OGLUM02G09150 transcript:OGLUM02G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVPATFPPKDPNLLLLLCQTHPHTRARHDTTRARGSSSSRASSSSSCVGRHGGEQQHHGVRDADGADLRRPGDRLGRVVRVGAGGGVRPPGAVAGGHPGGPHPPRRPRRLRRRLLEPPPPPRVLPLRHGVAHRAAHRAPRLCLRRHPRLRRLPGARPRLRRVPPRWLLHVAPGLRLRRPGAVGADQGVPRRLRHLQEAGAPGRVPHRRPVLPVAPLATPVRVLQAAGGVRVQLREPDGVGGAGGAAGGGRGLRGVGERPVAAVLRVRVVPRGPPRGAPRPVAPRQRRPRRRHRRPRLPLPRRLQRLQERPGRGPLPPLQVVAAAADFRPL >OGLUM02G09160.1 pep chromosome:ALNU02000000:2:6785666:6789095:-1 gene:OGLUM02G09160 transcript:OGLUM02G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPE4] MRSGEGSTAAAAAAEEEKVKVAAPFRLAELGLRVCAVPLAVASVWEMATNKQVDETYGEVRFSDLSGFRYLVWINAITAAYSVASILLSSCKFITRFDWLIFLLDQASAYLLLTSASAAAEVVYLAREGDREVSWGEVCSYFGRFCGAATVSVALNAAALLCFMALSLISAFRVFTKFNPPSQSNSKQQLSQEQGKPSSDSGSILKCEKTELLFSFRKTVVLFGDVTAFTGTEESGFQTAAEEGLGLGVLVGAAADEVEEDEGDGGDDEGDVGAVPLGAERREEARAARLALHSCDGSFPHAPQSASAAGRAAGPAHTVGLT >OGLUM02G09170.1 pep chromosome:ALNU02000000:2:6800704:6814782:1 gene:OGLUM02G09170 transcript:OGLUM02G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCSRDKDSDLFFAVLGGLGQFGIITRARIGLMPAPKRVRWVRLAYSDVATFTKDQELLISKRASEAGFDYVEGQVQLNRTLTEGPKSTPFFSSSDIGRLAGLASKSVSGVIYVIEGAMYYNESTSITMDQKLESILGQLSFEEGLVFTKDVRYVQFLDRVREEERVLRSIGMWDVPHPWLNLFVPRSRILDFDAGVFKGVFAGANPVGVILMYPMNTNMWDDRMMAVASDDDVFYAVGLLRSAAVIGDVERLEKENEAVLAFCNNEDIGCKQYLPHYTSQDGWQRHFGAKWSRVADLKAKYDPQRILSPGQRIFSSPASMVVASIGSRWLHEKTAAAVGSAGRWHRIVAPREGSGTAGSTTTKRHWVADNEDFWDGGGEDFGMGIGDLGVARAFRHGPQIANVLELDVITGRGDMVTCSRDKGPDLFFAVLGGLGQFGIITRARIGLEPAPKRVRWVRLAYSDVVTFTRDQELLISKRASEAGFDYDKSSSIGQVQLNRTLTEGPKSTPFFSRFDIDRLVRLASESVSGVIYFIEGAMYYNESTAASVDQKLTSVLEQLSFDKGFVFTKDVSYVQFLDRVREEERILRSIGMWDVPHPWLNLFVPQSRILDFDTGVLKGVFAGANPVGVILMYPMNRNMWDDRMTAVSGNDDMFYVVGLLRSAVVPGDVERMERENEAVLAFCDNEGIGCKQYLPHYASQDGWRSHFGAKWSRVTELKVKYDPYGILSPGQRIFSSLTPMALAAMMKAYGSLCEAVWPTTFCPLHMDTDRWGHGALTMDDDALIKSP >OGLUM02G09170.2 pep chromosome:ALNU02000000:2:6793720:6800702:1 gene:OGLUM02G09170 transcript:OGLUM02G09170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSIAFMVMASCLSVVVSGGLPGDLFAHSVSSKLRVDRDTTARASSDFGRIVAAAPEAVLHPATPADIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMHALAARRGRVKVSAGGAGAAPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLRITVAGTLSNAGIGGQANG >OGLUM02G09180.1 pep chromosome:ALNU02000000:2:6802353:6803869:-1 gene:OGLUM02G09180 transcript:OGLUM02G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRGGKRQGAPPPAPFGATAKRAQPHGGHLADDSIRASGDLSSPIGSAPNGRCLLFRRNIKLMYAFVMLDEMVESAIHNLSARCHTMLQQRRKLIQFPSASGPWSLISYQFARCATLLRTASAFQAGDRLPRTSGNNNVQEIKLGENIRV >OGLUM02G09180.2 pep chromosome:ALNU02000000:2:6802353:6803869:-1 gene:OGLUM02G09180 transcript:OGLUM02G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRGGKRQGAPPPAPFGATAKRAQPHGGHLADDSIRASGDLSSPIGSAPNGRCLLFRSSLPHYAPAKAQVDPISICIWSLCATLLRTASAFQAGDRLPRTSGNNNVQEIKLGENIRV >OGLUM02G09190.1 pep chromosome:ALNU02000000:2:6817389:6832502:1 gene:OGLUM02G09190 transcript:OGLUM02G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCSIAFMIMASCLSVVVSGGIPGNLFALSVASKLRVDRNSTAGASSDFGRIVAAAPEAVLHPATPADIAELVRFSASSPSPFPVAPRGQGHSARGQSLAPGGVVVDMRALASRRGRVNVSAGAAPYVDAGGEQLWADVLRATLEHGLAPRVWTDYLRITM >OGLUM02G09200.1 pep chromosome:ALNU02000000:2:6841750:6843135:-1 gene:OGLUM02G09200 transcript:OGLUM02G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLPATLPLMEGDQDQGLYPAFHRAKDPPILFPFMIDSAVEHQGQIYGDQGLRRQQVLGESNQQFNDHMMMGGSDVFLTPSPFRPTIQSIGSDMIQRSSYDPYDIESNNKQHANGSTSKWMSTPPMKMRIIRKGAATDPEGGAVRKPRRRAQAHQDESQQQLQQALGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAANGGAAVAPAKSVAVAPVSNKPAARKEKRAADVDRSLPFKKRCKMVDHVAAAVAATKPTAREVVAAAPKDQDNVIVVGGENAAATSMPAQNPISKAAAAASPAFFHGLPRDEITDAAMLLMTLSCGLVHS >OGLUM02G09210.1 pep chromosome:ALNU02000000:2:6852488:6864957:1 gene:OGLUM02G09210 transcript:OGLUM02G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASATVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAAPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTRVDISDEAQKERVSAMIEDLEPFEGESLLDAKCFKSEIE >OGLUM02G09210.2 pep chromosome:ALNU02000000:2:6852488:6864957:1 gene:OGLUM02G09210 transcript:OGLUM02G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASATVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPEQKPKEAKKEAPKEAPKPKAAEKQEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPKGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >OGLUM02G09210.3 pep chromosome:ALNU02000000:2:6852488:6862059:1 gene:OGLUM02G09210 transcript:OGLUM02G09210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTAQQARLKRPRRMASATEMPSLICADHSRGNPRRDREAGAAASISRRRRIPRRLLLLPLGFRLASPAARLASATVLHAGSGNKNAFKALIAAEYSGVKVELVKNFQMGVSNKTPEFLKMNPIGKIPVLETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWNDFSATEVDANIGKWLYPRLGIAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKSFTSEFPHVERYFWTMVNQPNFKKVLGDVKQAESVPPVQKKAAPPKEQKPKEAKKEAPKPKAVEKPEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >OGLUM02G09210.4 pep chromosome:ALNU02000000:2:6857954:6864957:1 gene:OGLUM02G09210 transcript:OGLUM02G09210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHTYGGNKNAFKVLIAAEYSGVKVELAKNFQMGLTNKTPEYLKMNPVGKVPILETPDGPVFESNAIARYVTRSKADNPLYGSSLIEYAHIEQWIDFSATEVDANTGKWLFPRLGFAPYVAVSEEAAIAALKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYMGFARIMTKNFTSEFPHVERYFWTMVNQPNFKKVMGDVKQADSVPQVQKKAAPSKEQKPKEAKKEAPKEAPKPKAAEKQEEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWDMYDPKGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSEPPFKVKGLWLFRGPEIPKFVMDEVYDMELYEWTKVDISDEAQKERVSAMIEDLEPFEGEALLDAKCFK >OGLUM02G09220.1 pep chromosome:ALNU02000000:2:6863000:6871002:-1 gene:OGLUM02G09220 transcript:OGLUM02G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11850) TAIR;Acc:AT5G11850] MKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAATEAAPVMAPVAAPVAAAEEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLVATIISSCWENDPSKRPSFSQLLSPLKQLQRLVVPENC >OGLUM02G09220.2 pep chromosome:ALNU02000000:2:6862708:6871002:-1 gene:OGLUM02G09220 transcript:OGLUM02G09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11850) TAIR;Acc:AT5G11850] MKHLLRKLHLSGGGGSGGGAAAAGAPSGEHHHRPRQHRRSSAQPPPLPPPPVVAAAAATEAAPVMAPVAAPVAAAEEPRGMGADATMTRLEEEYQVRLALAISASDHAGLVDADSVQIRAAERISLGGAAGDRGPMEALSARYWNHCVVNYDERLSDGFYDVCGAPMHPHFQAKFPSLTTLRAVPVGGDAAYVAVLVNRERDPALKRLEGRALAIAAQDRAEHGGVASPELVQKIANLVVDAMGGPVDDADEMNREWGVKSRALCLQRNSIVLPLGLLRIGLSRHRSLLFKVLADRVNLPCKLVKGIYYTGTDEGAINLVKIDFDSVEYIVDLMGAPGTLIPSDISGSQFQDSNNSQLSNDAIEESVAELCIALEQISAGCKNTSDMGGSSSEQKSALALASSQLEDIFHTENPLKQSTISDEGEIPHLMKVNDAPMYLVPTEVDPQFAQNLQDLLLEGTALLPTYEKPEICKHTASEDDKTAGWLVIAKTGQNLPNGHVAEDSPLQHGNTKTLAVVNCFHEDAQHDVGNTEAIGRNLDLHDHTAHAIANEDQRFSEDSLVKMPGSSNGNLDKSSCSSTKTISSVIDDVADYEIPWEDLHIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVALDQFKCEVGIMSRLRHPNVVLFLGYVTQPPNLSILTEYLPRGSLYRLLHRPNSQIDETRRLKMALDVAKGMNYLHASHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLEIPKEIDPLRSKQTTIVLPALVTAEAITAVSCSRKLLTSLPEKKKCLRSNKKYKYVSSTTTEAKFFMLKRCGDVFAVSACRMDVHGRRFYASCCEKKMWQNSLKPCKGAAGESNSVLVPSEEMWCNTKCSVPS >OGLUM02G09230.1 pep chromosome:ALNU02000000:2:6877909:6881043:1 gene:OGLUM02G09230 transcript:OGLUM02G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAALSCHTPSQRAAAQHSRARPFGSLSNGFISSDRMNRNRRGAGRCRPAMKIPVPEIEEMYLKEHLGVDLELIRHVGFDSNAKGSFYDWCLVTISSGWSGKTDATEGYSGSNCDPSTPPTQRYIWFVT >OGLUM02G09240.1 pep chromosome:ALNU02000000:2:6885050:6888257:-1 gene:OGLUM02G09240 transcript:OGLUM02G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIFVLGQESLQRILHRAAARLPGCAYVCAWAPLPLVAAAGLHHQRPSSGGAAGAARLLYCVDGWLSGGEDGGGGVRALFDAYRGSVCGAVTGCVPGWAYVGGGGGAFMELSELELVASASLPVQQSFYQEAGIKMAAFMGCESGEIEVGFSTAPAENYGGGGGGGSLQASVEQVFSEDFFQQSLLEELLQLPPTRPSSSSSSLVGSPADGAASTSLLRTMTPMMASSSATPSPRELAAQVATTTTTTTTPSSSSRLHPRPPAPHHVHVSPFSRHGGVGGSGVLHFPSAEADDAAMAQAMLDVISSPSTSSSAAALHAPWSSVKHRAQIIRSPRRGTPTTTAFRAYNAALAPRAAASRRPPGEPGQRMIKMGFSILRRMHMVRCSQERAAAATAAASAAAAQRSGGDDDEDATAAPPPPTSSQLHHMISERRRRERLNESFEHLRGLLPPGSKKDKATVLAKTLEYMNLLIAQISELEAKNRALQTQIHQRANGSSSSRSSMIRTVNEVHHHHHHQWLAVAAAAGGSPERVQVHVIGGGDHDGGASASSSSSSAPEVTVRVAVRAPERGGADVSELVLRVLALLKAMGGFTVVAVDARQPGGGGGNGTSVDSCAYLTY >OGLUM02G09250.1 pep chromosome:ALNU02000000:2:6905445:6906011:1 gene:OGLUM02G09250 transcript:OGLUM02G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDEAQTTECERKVLEDCNGQDHLDEFGKEYRAKSDKAIEIPPEILNKEMISESSSNDTSIVVSTHNHTLDVKGWSDKEFEKRNICFSARG >OGLUM02G09260.1 pep chromosome:ALNU02000000:2:6906564:6915989:1 gene:OGLUM02G09260 transcript:OGLUM02G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYHHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCLDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGSSTSMIGDTLFKATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKGTGGARFNANQHISKMSKQEHNEIFKSFNEMGLQESLLKGIYAYDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHQAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >OGLUM02G09260.2 pep chromosome:ALNU02000000:2:6906564:6915989:1 gene:OGLUM02G09260 transcript:OGLUM02G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAQMTWMKDDVARDDGGESERLHEDGGAPPPTFRPLLNDGFTNSFSSLCAVLRQKRHFFLLLSAQRRHTGAVTGRRRRGACGVVRRPAAARCSGCQAPGRSISQAKGRKVYMAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYHHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCLDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGTATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHQAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >OGLUM02G09260.3 pep chromosome:ALNU02000000:2:6911611:6915989:1 gene:OGLUM02G09260 transcript:OGLUM02G09260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEHNMVTKGTERLVAGAQLFGHSTRQPFYMIQPSEEVVQKEKFYHHCLLITSDVSNLDLRKVKVEVSKFWKLTDWEIRTEGRHNFLVSLNSEDDLVSCLKIPRIETSIDDKEVNFTVARWKEDDDENIELIREWILVYGVPRIYRNWKELYQIASAVGVLIDVDEESLEGDHKEPIKLKVALRGVDASPFSCHFVFGWYSRCVTFTIEDKAQTTECEREVLEDCNGEDHPDEFGKEYNNKTDKLIEMPPEILNKGMNLESSSHDTSIVVSTHNHTLDVKGCLDKELEAIESAVLVEEPSSIEDNNISAPVPTTTIISEKTAEDSPRAGREQSISGTATVIHSKKTTEDIPKAEGGQSTSGNSTSIIGEEPFRGIQKPPVKIVYARRNKRSMEKQDYKSFLEKQHQKEMEAAIVGQRQKSDDESGGDGNNKDSNSIAPSAIAINSKKKQTTKDIVTTQGAQFISESLIGETQTKDLDKPSAVHQRGIVPLCNGLDIIQQSLFGTTVTLCCGILQRLDYASTECQALVLVPTRDLAHETQNVIGVLGQFLSAKAHAFCGGTSAHEDQQILSTGVQVAVGTPCHVLGMLQGRALCPDHIRMFVLDEADEVLRGFKDQIHGIIQFLPTKTQFGFFSASMSHEALEMCRKYMNKPVEIIVPRDEELEGINVKQFYVNVEKEDCKLDKLCGLFDTMEITRSIIFVNTRHQAKSLTEKIRGKGYTVSAIHGGIHQRARDKAVQEFQSGSSRILITTDLRGIDVLRAPAAIFYDLPTQPVCYLRHVQRSGQHGRKGVAISFITSTDERVFSTIQKFCNTQIEELPSNVADLL >OGLUM02G09270.1 pep chromosome:ALNU02000000:2:6922324:6925971:-1 gene:OGLUM02G09270 transcript:OGLUM02G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDAGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >OGLUM02G09270.2 pep chromosome:ALNU02000000:2:6922326:6925638:-1 gene:OGLUM02G09270 transcript:OGLUM02G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPEENQHGMNGYEEEEEVEEVEGFDEEGRPGRRGGGGRDGGDGGAYGDAGGDDGRAPGGDSSGKIFVGGVAWETTEESFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGLPSSLTEDELREHFSPYGKIVEHQIMLDHSTGRSRGFGFVTFESEDSVERVISEGRMRDLGGKQVEIKKAEPKKHGGDHSSNGRSSHGSGGGYRSSYRSGGAAASGGGGGGGGGGSGSSGGYGYGAGYRSAGGEEGMAMEVMLDLDQDMVVVMVAPCMEVPMVLMGHMVVVPMEGVPMAEVLMVVVPMVALRVPMVVLEDMAVMVELEQEVLVGGVPAGTIHMGNE >OGLUM02G09280.1 pep chromosome:ALNU02000000:2:6926621:6927854:-1 gene:OGLUM02G09280 transcript:OGLUM02G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPTLSLPTRRAPPPLSLRPSLLPPRHSSSADKRTQRGRRAATSGAKGRGRRPALPDVACGGRDKTCKALGGPPRGDVGVEVALGEWELEGAAEELFTHKPPPQVQQLPELNYSNELSVGSGNDGHTKDVDVTTPGGGTLTGRSHPSGGGDGGYGEGDRSYGGISKNNSGGGGCACSKCLLPLAHPNCFPVAHTSFMSWRDESRPKIVNNLRRGHLAKWSLLQPSQCERSTTIECLIAKLRPRARWLQPLRKRQPYGE >OGLUM02G09290.1 pep chromosome:ALNU02000000:2:6928062:6932904:1 gene:OGLUM02G09290 transcript:OGLUM02G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVNWELQGCCDRDQKIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHPNEGGVTQTRGGIYWIILPAGYLGSSFWGMVFILASTNLLTTRIAAGCFILALIVVLFVAKNWFLRWLCIGFIVFLAVVWVIQEFTKFHSLKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGCAWGGLHLVYLSLRINIPWTGYIVLRIPIFHIPFLYENQVKLCSPVFALLWMLAPACIDLMCHEL >OGLUM02G09300.1 pep chromosome:ALNU02000000:2:6935869:6943057:1 gene:OGLUM02G09300 transcript:OGLUM02G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAARKPSAAQTVAVTLALALASAGLLFLLFHLSPSSPTPHPHPHRRLRLRGARASPSPRGQIPFDPVIAGLERRRDDREWERLAAAGLHAPGFEAAPVPEDYIDGGGGFGADPDEDYINDAARFNLTRRVEALFPKIDVDPADGAVTPAELTAWNLASARREVMHRTARELDLHDRDHDGRIAFSEYERPSWAWRFDDHNSSNDGVGWWKEEHFNASDMDVFSLVYFVQLLTSSRYYEPKANKLVVQRRSQVGDSTGYSLESRERDKDNDGKLNFQEFYNGLFYSIRHFDEEASTDDSNASDAPARKSFTHLDLDNDGLLSADELKPIIGNLHPPEHFYAKQQADYVITQADTNKDGQLSLQEMIENPYVFYSALFTEDDYGFHDELR >OGLUM02G09310.1 pep chromosome:ALNU02000000:2:6940332:6942710:-1 gene:OGLUM02G09310 transcript:OGLUM02G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASNCSIALEISHVATPGLPVLLLGSSLALLAVFLVYFYAPFWSLRTVPGPPTRFPIGHLHLLAKNGPDVFRVIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPNIGTLHQDALFLTRDSTWSSMRNMVIPLYQPARLAGLIPTMQSYVDALVDNIAGCPDQDCIPFCQLSLCMAIDIIGKTAFGIEFGLSRKAADAAAGDDGDGDDDDDVKEFLREYKKSMEFIKMDLSSSLSTILGLFLPCVQTPCKRLLRRVPGTADYKMDQNERRLCRRIDAIIAGRRRDREARRLDGGDGAALDFIAALLDARESSGGGHGGGREFALEDRHVRALAYEHLIAGTKTTAFTVSSVVYLVSCHPRVEERLLREIDGFAPRGRVPGADELHAGLPYLDQVIKEAMRFHLVSPLIARETSEPVEIAGHLLPKGTYVWLAPGALARDAAQFPEPEEFRPERFAAGAAEERARHPYAHIPFGIGPRACVGHRFALQQVKLAAVGLYRRYVFRHSPAMESPLQFDFDLVLAFRHGVKLRAIKRTNT >OGLUM02G09320.1 pep chromosome:ALNU02000000:2:6942847:6944861:-1 gene:OGLUM02G09320 transcript:OGLUM02G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYYKHDVLVWKRTELGPMMAGKEQGSISLEEKGHAEGNWDPGISQTPTNTDAAAVLHHQESTGEGWNASNQMVKNCWCVARVTTRSLSPLSGTAPHCYSKS >OGLUM02G09320.2 pep chromosome:ALNU02000000:2:6943905:6944861:-1 gene:OGLUM02G09320 transcript:OGLUM02G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYYKHDVLVWKRTELGPMMAGKEQGSISLEEKGHAEGNWDPGISQTPTNTDAAAVLHHQESTGEGWNATCGMVD >OGLUM02G09330.1 pep chromosome:ALNU02000000:2:6948061:6953564:1 gene:OGLUM02G09330 transcript:OGLUM02G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKRYVNFFLFFVISFSLLHKSYPKSTNQSNEEHQILLDLKNHWGSSPALGRWNSTTAAHCNWEGITCTNGAVIGISLPNQNFIKPIPPSICLLKNLNHLDLSYNNFSTSFPTMLYNCSNLKFLDLSNNAFAGQLPSDLNHLSALFEHLNLSSNHFTGRIPPSIGLFPRLNSLLLDTNQFDGRYPAKDISNLAHLERLTLAVNPFVPAPFPVEFGRLIRLTYLWLSNMNITGEIPESLSSLRELNLLDLSSNKMQGKIPTWIWQHKKLQYLYLYENRFTGEIEPNVTALNLVEIDVSSNELIGTIPNGFGKLTNLTLLFLYFNKLSGSIPPSVGLLPKLTDIRLFGNMLSGSLPPELGKHSPLANLEVSNNNLSGELPEGLCFNRKLYDIVVFNNSFSGKLPSSLDGCYLLNNLMMYNNNFSGEFPKSLWSVVTNQLSTVMIQNNRFSGTFPKQLPWNFTRLDISNNKFSGPIPTLAGKMKVFIAANNLLSGEIPWDLTGISQVTEVDLSRNQISGSLPMTIGVLARLNTLNLSGNQISGNIPAAFGFMTVLTILDLSSNKLSGEIPKDFNKLRLNFLNLSMNQLIGEIPISLQNEAYEQSFLFNPGLCVSSNNSVHNFPICRARTNGNDLFRRLIALFSAVASIMLLGSAVLGIMLLRRKKLQDHLSWKLTPFHILHFTTNDILSGLCEQNWIGSGRSGKVYQVYAGDRASGGRMVAVKKIWNTPNLDDKLEKDFLAEAQILGEIRHTNIVKLLCCISSSDAKLLVYEYMENGSLHQWLHQRERIGAPELLDWPTRLQIAIDSARGLCYMHHDCSPPIVHRDVKSANILLDPNFRAKMADFGLARILLRAGDSESFSAIAGTFGYMAPEFGHRLKVNEKIDVYSFGVVLLELITGRVANDGGEYYCLAQWAWRQYKEYGLSVDLLDEGIRDLTHVEDALAVFTLAVICTGEQPSVRPSMKDVLHVLLRFDRKSNGGILQDDICDETALLEL >OGLUM02G09340.1 pep chromosome:ALNU02000000:2:6965993:6968225:-1 gene:OGLUM02G09340 transcript:OGLUM02G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVAAASAWRQGRGGCRCRARPAGVVHNLAGCCPPPELVQTAVSYMGSSPAREDRDVDVSIVFSFTRATPYAAADWHPVLPTLLAVAERWGRKKMGG >OGLUM02G09350.1 pep chromosome:ALNU02000000:2:7004826:7006629:1 gene:OGLUM02G09350 transcript:OGLUM02G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGSKPQSKSDKGDRPLKEPRNNVAPNHIVKILVQSSAQPLHDGHEKREKYVRLEKAPPPKSFRCSLIEETPLPGLDPAASEGGVDTNALNVSCHVEKLKTKTSAYITKFVVCSPNLQLSSVHPIKDEPSALKLPKSTPADGRDARMVQGD >OGLUM02G09360.1 pep chromosome:ALNU02000000:2:7014273:7014962:1 gene:OGLUM02G09360 transcript:OGLUM02G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSVSCAERPPAAFGGGAAADLRCYSASYATSYKPGAGAAAAAGAGTNTTTKVMKRATSANAWSRPGGGGGVQRSGSTKTVASSAAGWSRGGGPTPGFNLRSYSASYAASYSPFEDPSPAEKTGGGGGGAATWASSAGRRSVNLRGYTPSFAALDDTAVAPPIPAKKQVSPTGSFAGAVVDDAELQRRKRLVAYKAYDVEGKVKDSVRRSVKWIKGKCSRAVDGKW >OGLUM02G09370.1 pep chromosome:ALNU02000000:2:7051309:7052119:1 gene:OGLUM02G09370 transcript:OGLUM02G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTEGVPCEHRLNGKAVEAMAGTQGGGGGHSRGWRWQWRPPPALRDIVASVSSTHQSSPMCGPPFPRQCDGGCSGVANCDDPQVEAYDGDRQADAGWWGCRYSGFHAPMLGCWWWSIKVATAN >OGLUM02G09380.1 pep chromosome:ALNU02000000:2:7060163:7063825:1 gene:OGLUM02G09380 transcript:OGLUM02G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASSEQLEHVHETDESIVYVKDEQGRGDREMKNGARKVASLFSQRGKKGPNQDSVILCQGFGMEDGVFCGVFDGHGRCGQFISKLVRDYLPFMILSHRNALLLAAADDDDVDDAAFSDDAARSSSTDSSGNSSPQPSASASAQMLEEWRQACANAFAAMDGELKLQPNLDCAFSGTTAVCAIKQGRDLIIANLGDSRAVLATMSDTGYLQAVQLTVDHKPSVPEEAARIKRSGGRVFGLKDEPGVMRVWLPGENSPGLAMARSLGDMRLKRHGVISAPEVTSRRVTGADLFMVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVVEAAVQRWRAKFPTSRVDDCSAVCLFLHDHTLGTAAAASAAAAAAAARKARRAAAATPPAS >OGLUM02G09390.1 pep chromosome:ALNU02000000:2:7068564:7075809:-1 gene:OGLUM02G09390 transcript:OGLUM02G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPH7] MDPSSKSPDDDDLRPEAEAARRPQPQPQPREWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDSRDSASRSELERQDYPIARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQERKVKRISVMNLNTSQSSGNGTTSSSSSSSSRAILPNGGCSEKLYNFPNNDLLFPPGGCTSLRLPVVTGQDLNLVPRCRRVYSHAHDYHINSISNNSDGETYISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHAKLFEEHEAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKFWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTPGSAEATTLEASRNPMRRQVANPTRPARTLTSLTRAVRRGGENPGVDANGNSYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >OGLUM02G09400.1 pep chromosome:ALNU02000000:2:7085774:7091651:1 gene:OGLUM02G09400 transcript:OGLUM02G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDGGGGGDDDDAGAGVGSLGGSGGGGGGRATGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSEVRFYPLSYLLPDFMIAMPICDLSVNRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYGQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPAAGAAPATTAAPAPTAPENSGAQSPAS >OGLUM02G09400.2 pep chromosome:ALNU02000000:2:7085774:7091651:1 gene:OGLUM02G09400 transcript:OGLUM02G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G25970) TAIR;Acc:AT2G25970] MADDHYSSKRKYDDPSPPPRRTGFSSAPPAASPPSGGAPASYNSVPPPPADEIQLAKQRAQAIAARLFNAAEAKRPRLDGGGGGDDDDAGAGVGSLGGSGGGGGGRATGLGFSSSAGGGHGSAIPSLSSHGSTPQYSSYGGYQGTSKTIEIPNGRVGVIIGKSGETIKNLQLQSGAKIQVTRDLDALPGSQTRPVELSGTPDQISRAEQLINEVLAEADAASSGNLSSRKYNAPQPGADQFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVVPLHLPPGDPATERTVYIDGTQEQIETAKQLVIEVTSENRARNPMSGGYSQQGYRPPRPQSNWGPHGGAPMQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPASGGYQTGWDQSSNQQSQQAPPGTGYDYYNQQQQPQQQQSAPGTAAPGDATSYNSSQPPAYASQGYDSSYAQQSGGQQQAYDYSSYYQTQGQQQGYSQQTGYDQQGYGTSGYGSAANSTQDGSAPSYGAQGVAGQASPGQQTSTPAAGSHPGYSSQPPTSAASSYPVQGSAPQSGYGAPPPQTGYGTQPQPQGGYGQGSYGAPPQGQKAPPNTSPYGQAPPPGSAPGGYGQYGYSQNQQGYGAPPPYPGAPAASHPGYGQQQSYGDPYGSGSYGQPAAYSTEATTAAASQDQSASAPAAGAAPATTAAPAPTAPENSGAQSPAS >OGLUM02G09410.1 pep chromosome:ALNU02000000:2:7091705:7100343:1 gene:OGLUM02G09410 transcript:OGLUM02G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT4G29490) TAIR;Acc:AT4G29490] MAAAAAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLEFFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNNNQTIVYNAVLKAHNAVIAHMQPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGVVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGAPWPAGMYINLS >OGLUM02G09410.2 pep chromosome:ALNU02000000:2:7091705:7100350:1 gene:OGLUM02G09410 transcript:OGLUM02G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT4G29490) TAIR;Acc:AT4G29490] MAAAAAPPEVPMELHAGNRDRLVAALRAHLSASGRPLRGLVLLQGGEEQTRYCTDHLEFFRQESYFAYLFGVREPGFYGAIDIASGQSILFSPRLPADYAVWMGEIKPLSYFKDRYKVDMVFYVDEITQVLQDRFSDHGKPLLFVLYGKNTDSGNYSKPASFEGMEKFDSDLSTLHPILTECRVIKSDMELALIQYANDVSSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGENSSVLHYGHAAAPNDRTLNDGDMALMDMGGEYHCYGSDITCSYPINGKFNNNQTIVYNAVLKAHNAVIAHMQPGVNWLDMHKLAEQTILESLRNERILHGDVTDMMAQRLGVVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTIRELKEGMVITVEPGCYFIDALLIPARDDPVYSKFFNWEEIEKYKSFGGVRIESDVYVTAHGCKNLTNCPRETWEIEAVMAGRDVHQLVIT >OGLUM02G09420.1 pep chromosome:ALNU02000000:2:7109938:7115265:1 gene:OGLUM02G09420 transcript:OGLUM02G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSIDVERISFGGKEHHIHTNHGPVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCLGVSAGAYILTLFAAKYRDRVLGLILVSPLCKPPTWTEWFYNKVASNLLYYYGMCGLVKEGLLQRYFSKEVRGCSDLPESDIVQACRSLLDQRQSMNVWRFVQTMNMRYDLTEDLKQLQCRTLIFVGEYSQFHTEAVHMTSKLDRRYCALVEVQACGSLITEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPDLLSPESMGVKLKPIKTRVRLEV >OGLUM02G09430.1 pep chromosome:ALNU02000000:2:7114502:7119831:-1 gene:OGLUM02G09430 transcript:OGLUM02G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSRCPSNFYLSALSVASRDSTAAANPASDPSLLESQDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIRCFEVVGEIDFSFPVLTYSLYFRIHLGRFYKRFGRRACSTEHVHGWDQKPVRFQLSTSNGQHSLSQCSLGEPGSWVLYHAGNFVVSKPDRTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMAALEGAPHHVYGYKLMEYNPTPPDLTNLAFPIST >OGLUM02G09430.2 pep chromosome:ALNU02000000:2:7114288:7119831:-1 gene:OGLUM02G09430 transcript:OGLUM02G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGRSRCPSNFYLSALSVASRDSTAAANPASDPSLLESQDGKCKKPKGKHKVVLLGFSRIKRFHSVAYLQQIRCFEVVGEIDFSFPVLTYSLYFRIHLGRFYKRFGRRACSTEHVHGWDQKPVRFQLSTSNGQHSLSQCSLGEPGSWVLYHAGNFVVSKPDRTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMAALEGAPHHEIG >OGLUM02G09440.1 pep chromosome:ALNU02000000:2:7119685:7125697:1 gene:OGLUM02G09440 transcript:OGLUM02G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGALTDSRRLGSEAGLAAAVESLDATERALSAIIFRVSPLLRMQYPDFRRALTHNIASGRTLFGRDLLGITNKPEGLFAKNPPPSSAPSAPHNILPISPVSLSPPLLKNESRARGCRSPSGEESRSEQVNTRQQTERSAKKKKANGAAIANTSTLFQMLQCVIELLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVGDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >OGLUM02G09440.2 pep chromosome:ALNU02000000:2:7119685:7126169:1 gene:OGLUM02G09440 transcript:OGLUM02G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGGALTDSRRLGSEAGLAAAVESLDATERALSAIIFRVSPLLRMQYPDFRRALTHNIASGRTLFGRDLLGITNKPEGLFAKNPPPSSAPSAPHNILPISPVSLSPPLLKNESRARGCRSPSGEESRSEQVNTRQQTERSAKKKKANGAAIANTSTLFQMLQCVIELLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVGDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKFVVMLIARSRTVLGPSSKKR >OGLUM02G09450.1 pep chromosome:ALNU02000000:2:7126709:7127314:1 gene:OGLUM02G09450 transcript:OGLUM02G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAAATTATTANNDSGSGGGGGGYIRTVTLRGFDGIRVRVSAGTMAAASATARARLDEAIRRTPRHAAVPDDVLINVPGVARPVLARVADYCDRHYGGGGEGGEFAAPEGYGFDDPLARFDDELMDGADVGTVVDLLRAATFLRVERLADLASHEVAACMRGRTVEGIRQVFGIANDYTDEEEQDVRKENSWAFDAYND >OGLUM02G09460.1 pep chromosome:ALNU02000000:2:7129224:7136836:-1 gene:OGLUM02G09460 transcript:OGLUM02G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVVAATDPLRAFLASAAASYDLPADLRDLASSLAARSAVPYRSLRDICPKPRDKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDSPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELVTSVPRPKKGALARSLATAAAAVMLPPPPAASSLRRRSSSRVAASGLRASVSASTATSSTSMAQLVAAGGGIDELRLVDERAAVAALQLLPVGGCEVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASLMAALAPTAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLAHAAAPATTTTKADVHDAMDRVLALDAAYPLPLLPVMLDKFPKAVEPARWWPPTKKHQQRSPTKVAATNGTACRRATSMAGNGWTQELEEEMRGILRVIKAKDENEYVSVGKLVLDVNKRLAVAGPALTGAAALAAAFIGSAGEAGAWASGAAVLGGALAAAVNTVEHGGQVGMVFELCRNVAGIYRKMQEDIEANLEEADVERRENGEVFETKVALQLGRSTSELRQFRAMASPAVKDEDIREFAGKLV >OGLUM02G09460.2 pep chromosome:ALNU02000000:2:7129224:7136836:-1 gene:OGLUM02G09460 transcript:OGLUM02G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLVVAATDPLRAFLASAAASYDLPADLRDLASSLAARSAVPYRSLRDIWCAASPGARPPLRRLLHGAEFLLSSPKPRDKSDELKARLDKLREMQERKEYAELVRDVAPPAKEDSPELFSSYKDQIGFGLHVVLIMFTGYLVGFVAFRALFNNSPVMNAAGGILGLVGGMLMETVLFIIRSSSKELVTSVPRPKKGALARSLATAAAAVMLPPPPAASSLRRRSSSRVAASGLRASVSASTATSSTSMAQLVAAGGGIDELRLVDERAAVAALQLLPVGGCEVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLLLAASLMAALAPTAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLAHAAAPATTTTKADVHDAMDRVLALDAAYPLPLLPVMLDKFPKAVEPARWWPPTKKHQQRSPTKVAATNGTACRRATSMAGNGWTQELEEEMRGILRVIKAKDENEYVSVGKLVLDVNKRLAVAGPALTGAAALAAAFIGSAGEAGAWASGAAVLGGALAAAVNTVEHGGQVGMVFELCRNVAGIYRKMQEDIEANLEEADVERRENGEVFETKVALQLGRSTSELRQFRAMASPAVKDEDIREFAGKLV >OGLUM02G09470.1 pep chromosome:ALNU02000000:2:7136882:7139142:-1 gene:OGLUM02G09470 transcript:OGLUM02G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVEVVQRLMGGDDQSDQTHTTGVDVMRCVTPCKRRSGSGGRKVTGDSAAPAAADPFLHPCLAPSRLSIS >OGLUM02G09480.1 pep chromosome:ALNU02000000:2:7139911:7141230:-1 gene:OGLUM02G09480 transcript:OGLUM02G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQAHHRLFLASTCAGQQRRRLRPSRIAAPCRAAVSGGVRTAQSPSSSSSGSGSPSSLRLNLDWVDPRVVAVPTSSPGAQVEVEKLRAIAEAAADRAEMHDIIGRQRDNWNHLLLHSNNSLALAASVMAALAPAAPTVVALKASAGVLLASAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRATLALAAPVTRADVHDAMDRVLALDAAYPLPLLPGMLEKFPKTVEPTRWWPKKKQQQQQQRRAAVNKSNSFGSSSSRRRGARGNGWTPELEEEMRGILRVIKAKDEHQYITVGKMVLGLNKGLAVAGPALAGTAAVAAAFIGSGEAGAWASGAAVLGGALAAAANTVEHGGQLGMVFELLRNCAGFYRKMQEEIEANLGEADVERREDGEVLETKVALQLGRNTSELKQFRRLASPSFKDDDVKDFAGKLF >OGLUM02G09490.1 pep chromosome:ALNU02000000:2:7148012:7150591:-1 gene:OGLUM02G09490 transcript:OGLUM02G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G04470) TAIR;Acc:AT4G04470] MAAAAVAAGRGGVRGRGGEGEEGSLARRAWRQYLRQLQLHPLRTKMITAGCLAGVSDSVAQKLSGYQRIEKRRLLLKMLFGFAYGGPFGHFLHKVLDYIFKGKKDTKTIAKKVLLEQITSSPWNNLLFLFYYGYVVERRPFKEVKTRVKKQYPSVQLSAWMFWPIVGWINHMYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQS >OGLUM02G09500.1 pep chromosome:ALNU02000000:2:7172710:7174308:1 gene:OGLUM02G09500 transcript:OGLUM02G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGGNGVVVVFDFDKTIIDCDSDNWVVDALGATARFDDLLRRLPWNSAIDAMMGELHAEGRTVEEVAASLRAAPLSPRVAAAVETARALGCELRVLSDANAFFVGAVLDHHGLAGCFSAVDTNPAAVDADGRLRILPYHGLPGHGCPLATCPPNMCKGKVMERIIAELSCGCGGAPAARRRRVVYVGDGRGDYCPSLKLTEMDYVMPRKGYPVWDLIAGGDRAAVRADVREWADFEDLEAVLLGIVAECLTSEHDDADDDGGEAAPPAECRALPATLASGQEAILPKAVHVPN >OGLUM02G09510.1 pep chromosome:ALNU02000000:2:7182044:7186504:1 gene:OGLUM02G09510 transcript:OGLUM02G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G26180) TAIR;Acc:AT4G26180] MEARARGREAGEGGWGGGGGGLPLAVRELVAGGVAGGVAKTAVAPLERTRRAEFHGSGLIGSFRTISRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVKLSLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >OGLUM02G09510.2 pep chromosome:ALNU02000000:2:7182358:7186504:1 gene:OGLUM02G09510 transcript:OGLUM02G09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G26180) TAIR;Acc:AT4G26180] MGSSPIGDDDETRLLCTRRAEFHGSGLIGSFRTISRTEGLLGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPILDLVAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVKLSLREYKPSEQVYKGILDCVKTIYRQNGLRGLYRGMAPSLYGIFPYSGLKFYFYETMKTYVPEEHRKDIIAKLACGSVAGLLGQTITYPLDVVRRQMQVQAFSSSNLEKGKGTFGSIAMIAKHQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREDTAIAALTEERSNAAPAHSS >OGLUM02G09520.1 pep chromosome:ALNU02000000:2:7195654:7198043:1 gene:OGLUM02G09520 transcript:OGLUM02G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPSFSQLGAVDAAMNGGYFMAASGNGADVPLFHPAMAPPHDHGGSFGYGDAAAAAMDVGAHFAAANNLVLASLATQLFGAAPAAAAHGHGDYLGATTPPEEEMGGGYDVAVGDSSGGAVSLACLGHGQPGDMAAGWCSTSARKPSCNWSSSNAGVHGGSYYLTGVPEAAGFVSAAAAASELSLSLCSKSSSDSMLNAGGDQCSSAASRSGLTQMSRVVVVEPEPPLVPYYPAANFAVVVARSRYAAVAQQVLNDAVGCVLGGVADAAADSASGVDSGGSRPSSCSVAGGALSSAVSSNNQLIASSGEHTHGGGDASAQRLRSELLTMLQLMDQKYNQCLDEIQSTTARFNTLTHATARAAGMSSSSICAPFAHRAVSAMYHGLRRRIAGEIMSAAAAAGRPCRGGESSSAVTGGERERSWESAFIQKHWAVQQLRRGEQQCWRPQRGLPEKSVAVLKAWMFENFLRP >OGLUM02G09530.1 pep chromosome:ALNU02000000:2:7220109:7220741:-1 gene:OGLUM02G09530 transcript:OGLUM02G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHMERALREAVASEEERRELEGVVRAHHTFPAAERAAGPGRRPTCTSLVAQRVDAPLAAVWPIVRGFANPQRYKHFIKSCELAAGDGATVGSVREVAVVSGLPASTSTERLEILDDDRHVLSFRVVGGDHRLRNYRSVTSVTEFSSPSSPPSPPRPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAVATSSSPPAAGNHH >OGLUM02G09540.1 pep chromosome:ALNU02000000:2:7228132:7244951:1 gene:OGLUM02G09540 transcript:OGLUM02G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAARPSPAGPSFTVTVAPTPPPTPLDPRGYPLPRRHLVCAAARILRSHASPSPLLDLADYLRGLRLTLTASEASEVVKALYGDPPLALAFFRFAAASLPGFRHDAFSYNRILALLFRTRAGPSEALRLVADMERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASDAHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSFISNLFDKMKTNGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVRIRKPTRISGWVVSPLR >OGLUM02G09540.2 pep chromosome:ALNU02000000:2:7228132:7244951:1 gene:OGLUM02G09540 transcript:OGLUM02G09540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA Overly-Sensitive 5 [Source:Projected from Arabidopsis thaliana (AT1G51965) TAIR;Acc:AT1G51965] MPRRLATTYSGRIAAARPSPAGPSFTVTVAPTPPPTPLDPRGYPLPRRHLVCAAARILRSHASPSPLLDLADYLRGLRLTLTASEASEVVKALYGDPPLALAFFRFAAASLPGFRHDAFSYNRILALLFRTRAGPSEALRLVADMERDGVAGNISTINLLVGMGGGGVEVERCLELASKWGLRLSGYTYKCIVQAHLRSREVSKGFQVYEEMRRKGYKLDIFAYNMLLDALAKAGMVDQAFQVFEDMKQKHCVPDAYTYTILIRMSGKAGRTSKFLSFFDEMVSKGCVLNLIAFNTIIEALGKNKMVDKVIFVLSKMVENDCQPNQFTYSITLDILATEGQLHRLNEVLDICSRFMNRSIYSYLVKSLCKSGHASDAHNVFCRMWNSHEKGDRDAFVSMLEVLCNAEKTLEAIDLLHMMPEKGIVTDVGMYNMVFSALGKLKQVSFISNLFDKMKTNGIIPDVFTYNIMISSYGRVGLVDKASELFEVMEASSCKPDVVTYNSLINCLGKHGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVEMACSLFDEMISEGCTPNIVTYNILLDCLERRGKTEEAHKLYETMKQQGLIPDSITYSILERLESRSQRTVRIRKPTRISGWVVSPLR >OGLUM02G09550.1 pep chromosome:ALNU02000000:2:7244645:7245888:-1 gene:OGLUM02G09550 transcript:OGLUM02G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGELNLDTCLCLPKSGVQKYTGDKQPFAPGRMREACEPVPPGAHEEACIEQSDDRSEDGSFSGSSIGSSCSSASDLSDDGSSYRPGDYLEPSSSSSSASSSTLQLDSEGPLCDLSSLIAQLPIRRGLSNYYQGKSQSFTSISDATCVQDLAKKITYNKRMKACKSYAAGLDMNQRSNHLPKPCNKMIAKRPSKGSFTCLLSRPSSTSLLCSSAKPPTHQSKKDVQMHINS >OGLUM02G09560.1 pep chromosome:ALNU02000000:2:7247263:7250257:-1 gene:OGLUM02G09560 transcript:OGLUM02G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMLANPSRVVVAKSDPFTPSPSPNRSKNTRLLLHPSRAEQRRGQRSRRRGGGEGAMAARSFQARSPKEESDAAVHEAVTLGLKNAAISGTVVAVPTLVGCRVLPWAKANLNYTAQALIISAACIAGFFITADKAILRNARQNTIGKIDRLLNEESEV >OGLUM02G09570.1 pep chromosome:ALNU02000000:2:7252450:7259095:1 gene:OGLUM02G09570 transcript:OGLUM02G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLLVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVDQLKQKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >OGLUM02G09570.2 pep chromosome:ALNU02000000:2:7252450:7259095:1 gene:OGLUM02G09570 transcript:OGLUM02G09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLLVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVDQLKQKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >OGLUM02G09570.3 pep chromosome:ALNU02000000:2:7252450:7258682:1 gene:OGLUM02G09570 transcript:OGLUM02G09570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFVAVRGKPRSSPKRSGGGGADPPLSLAMPTVADLHKTAELEKFLVEAGLYEGEEESAKREEVLREIDQIVKEWVKKVTIQKGYSEQMVKEANAVLFTFGSYRLGVHGPGADIDALCIGPSYVKREEEFFVTLYGALSEMEEVTELQPVPDAHVPVMKFKFRGLPIDLLYASVSLLVIPPDFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAEIFRKTLRCLKYWAQRRGVYSNVTGLLGGVSWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIENDDNLGFAVWDPRKNPRDRSHVMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIELNKASWSSLFEPFQFFEAYTRYLVVDIVADDDDDLRLWKGWIESRLRQLTLKIERDTKGMLQCHPNPCEYADPSIQCAHCAFYMGLSRKEGMKIRGQKFDIRGTVDEFMHEIGMYTQWKSGMDLAVTHVRKKEIPLYVFEQGCQKTRPPTPICAEQQDQSDKNDSEVCTTTASLVDQLKQKYHSVGGADVDSFKSVRRASVSPACEEASIQLHDDANFGLTNCSTSPHGSEGSTVSGNSCAAVGTIGLVDETSLPGCLMPNVENDPIRTILEQAPSESVVEKDERKLEGIESLASSNCTEFMEVAEVVAGTILTENGDIRLSGHEVI >OGLUM02G09580.1 pep chromosome:ALNU02000000:2:7263414:7274248:-1 gene:OGLUM02G09580 transcript:OGLUM02G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGETLEEISEERSQSTVQPNADEQKLLLTIKKDWGNPASLSLWSNTGVWTGVICSSTGQVTALFLPSFHIAKPIPASICSLKNLTYIDLSYNNLTGDFPTVLYACSALELLDLSNNQLSGRLPDEIDKLSSEMQHLNLSNNGFNIGVVPSAIGRLSKLKSLQLDTNSFINNVSYPGAAIGGLAELDTPALASNPFKPDLVPKEFAMVSKLKTLWLSGRQFTLLGITKWVLKEMVAILMNIAFISGSIWLLFLRLRNRRWRDLSPLAMENGSLEEWFDCDEPFDRKMLCENARRRQGEDVQLYRRAAAPRLQAEGTLDYCCLHLPRDPDAASRSQRNLLTATSGQLGSLEKLTAESTSPFAPSPAVTVKLFQPRKLMMAMALQSPPPSPSPSGLNTFIINVPIVPISVIAGGVVIFAVGCVVASCRCRARNDDMTPIVLSDKMEDHLVHNAVDVNRIISSTGTTTGDPLYRLGVIDDSSDTYRRLTVKKMQNETRSVDAELENRRQTEETTLGMIVHPNIIILLGYIRRNDMILILYEDMENGSLDNHNTQAGERRLRPPLGWRKRLAIVIDVAGAILYMHHGCRRPIVHGDIKPANILLDGNFKAKISGFSYARINLAGRNTLRQHN >OGLUM02G09580.2 pep chromosome:ALNU02000000:2:7262961:7263410:-1 gene:OGLUM02G09580 transcript:OGLUM02G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYADTEVSEKVDSFGVVLLEIVTGKVVNDQHGHLTTWAKAYLNTWARHGYDDKLFTNDVLDNDIASDAARYLKEMKAVFKLGVECTMMDPLRRPSMQTVLRRLRQCARWWRRFPCFV >OGLUM02G09580.3 pep chromosome:ALNU02000000:2:7262961:7263410:-1 gene:OGLUM02G09580 transcript:OGLUM02G09580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIDWLPFNFAAEYADTEVSEKVDSFGVVLLEIVTGKVVNDQHGHLTTWAKAYLNTWARHGYDDKLFTNDVLDNDIASDAARYLKEMKAVFKLGVECTMMDPLRRPSMQTVLRRLRQCARWWRRFPCFV >OGLUM02G09590.1 pep chromosome:ALNU02000000:2:7298501:7299200:-1 gene:OGLUM02G09590 transcript:OGLUM02G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVDARLLFLLLLVIGCAALPAVQCRSNGGMVREKKINIPAGPLCAEHSLLPPIGCKDCWCCEVGDGCYPTLEECQANCPLPSPP >OGLUM02G09600.1 pep chromosome:ALNU02000000:2:7299414:7303775:-1 gene:OGLUM02G09600 transcript:OGLUM02G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTNNFLLLLLLFFSGSSNGGELETLLTIKRDWGSPDAFSSWEVRSSISSGYCDWVGVACTNGQVTSLSFQSFQIANPIPASISSLKNLQYLDLSYNNLTGDFPTVLYGCSALEFLDLSNNELSGRLPGDIDKLSLGMLHLNLSSNAFVGDVPLAIGKFSKLKSLVLDSNSFNGNYPGAAIGGLVELETLTLANNPFEPGPVPKEFGNLTKLKLLWLSWMNMTGTIPDDMSSLTELTLLDLSQNKMQGQIPEWVLKHHKLENLYLYASNLSGEISPNITALNLLELDLSMNKLSGSIPEDIANLKKLRLLYLYNNNITGPIPAGVGMMPNLTDIRLFNNKLSGPLPTELGKHSELGNFEVSNNNLSGELPDTLCFNKKLYDIVVFNNSFSGVFPANLGDCETVNNIMAYNNHFVGDFPEKIWSFELLTNVMIYNNNFTGTLPKNKKKYAQKRQQAALYVSLAN >OGLUM02G09610.1 pep chromosome:ALNU02000000:2:7316863:7318738:-1 gene:OGLUM02G09610 transcript:OGLUM02G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNRISGEIPAAVGWMGLYILDLSDNKLTGDIPQDFNNLHLNILNLSSNQLSGEIPATLQTLAYEDSFLDNPSLCCQSESGMHIRTCPWSQSMSHDHLALSIIAILVILPCITLASVAITGWLLFLRRKKGPQDVTSWKMSQFRMIDFTEHDIVSNISECNVIGKGGSGKVYRIHLGGDAKAGRHGGGCTPRTVAVKRIGNTGKLDTNLDKEFESEVRTLGDLHHSNIVDLLCCISSQETKLLVYEHMENGSLDQWLHRYKRAGKSGPLDWPTRVAIAIDVARGLSYMHEDFVQPVIHRDVKCSNILLDRGFRAKIADFGLARILAKSGESESASAVCGTFGYIAPEYVYRSKVSVKVDVYSFGVVLLEPATGRGPQDGGTESGSCLAKWASKRYNNGGPVADLVDSEIQDPSYLDDMVAVFELGMVCTSEEPASRPPMSDVLHRLLQFDHSGTHSDDVVAKGVFDIDDSSDCIV >OGLUM02G09620.1 pep chromosome:ALNU02000000:2:7334508:7334951:-1 gene:OGLUM02G09620 transcript:OGLUM02G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYNNNFTDNLPSEISFNISRIEIGNNMFSSALPSAAIALKNFKQQDSGDIPATLGLMDLNILDLSINKLTDHIPQEFNDLHLNFLNLSSNQLTSEIHKP >OGLUM02G09630.1 pep chromosome:ALNU02000000:2:7352886:7354442:-1 gene:OGLUM02G09630 transcript:OGLUM02G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTNNLLLLLLLIFSSSSAELDGGGELQTLLNIKRDWGSPAALSSWKVRNLSSFSHCNWVGIGCTNGHVTSLSFHNFQIANPIPASICSLKNLKYLDLSYNNLTGDFPTVLYSCSALQFLDLSSNEFTGSIPGNINKLPLEMHHLNLSSNSFVGDVPLAIGRFSKLKSLVLDTNSFDGSYPGAAIGGLVELETLTLAYNPFMPGPIPNEFRKLTKLTYLWLSRMNLTGDIPDALSALKELTLLDCSQNKMQGKIPEWVLKHQKLEKLYLFANNFSDEIGPDIAALKLQELDLSMNKLSGSIPEDIANLKNLSLLCLYYNNLIGPIPNGVSMLPNLTDIRLFNNKLSGPLPPELGKYSELGSFEVSNNNLSGELPDTLCFNKKLYNLVVFNNSFSGVFPANLGGCDSINNIMASNNHFVGDFPKKIWSFAMLIRVMIGGNNFTGTLPSKISSNISWVDIENNKFSGAIPISATGLQRFLAKNNLFSGTLPSDMSKLANLIRLDLSGNQLSGSISTFY >OGLUM02G09640.1 pep chromosome:ALNU02000000:2:7359217:7361104:-1 gene:OGLUM02G09640 transcript:OGLUM02G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVDSRLLFLLLLIIGCAAVPAVHCRSNGDMLGEKINIPPGPLCAKRPDLCKNCWCCEVSDGQCYQSLEACQANCPLPSPPAI >OGLUM02G09650.1 pep chromosome:ALNU02000000:2:7389170:7394678:-1 gene:OGLUM02G09650 transcript:OGLUM02G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYNILILFLLLLLFSLSTAQPSADEQKLLLAIKQDWDNPAPLSSWSSTGNWTGVIYNNITGQVTGLSLPSFHIARPIPPSVCSLKNLTYIDLSFNNLTGDFPTVLYGCSALEFLDLSNNQLSGRLPDRIDRLSLGMQHLNLSSNAFTGDVPSAIARFSKLKSLVLDTNRFNGNYPGAAIGGLVELEKLTLASNPFEPGPVPKEFGKLTKLKMLWLSWMNLTGTIPNDLSSLTELTLLDLSQNKMQGQIPEWVLKHQKLENLYLYASNLSGEIGPNITALNLQELDLSMNKFSGSIPEDIANLKKLRLLFLYYNNLTGPIPAGVGMMPNLTDIRLFNNKLSGPLPAELGKHSELGNFEVSNNNLSGELPDTLCFNKKLYDIVVFNNSFSGVFPANLGDCETVNNIMAYNNHFVGDFPKKIWSFELLTNVMIYNNNFTGTLPSEISFNISRIEMENNRFSGALPSTAVGLKSLTAENNQFSGELPADMSRLANLTELNLAGNQLSGSIPPSIKSLTSLTSLNLSRNQISGEIPAAVGWMGLYILDLSDNGLTGDIPQDFSNLHLNFLNLSSNQLSGEVPETLQNGAYDRSFLGNHGLCATVNTNMNLPACPHQSHNKSSTNLIIVFSVLTGVVFIGAVAIWLLIIRHQKRQQDLAGWKMTPFRTLHFSECDVLGNLHEENVIGSGGSGKVYRINIGGKGSAGMVVAVKRLWRTAAKSDAKSDKEFDAEVRILGEVRHINIIDLLCCISGDDTKLLVYEYMENGSLDRWLHRRDDGGAPTAPLQWPTRLCIAIDAARGLSYMHHECAQPIMHRDVKSSNILLDPAFRAKIADFGLARILAKSGEPNSISAIGGTFGYMAPEYGCRAKVNEKVDVYAFGVVLLELTTGRVANDGGADWCLAEWAWRRYKAGGELHDVVDEAIQDRAAFLEDAVAVFLLGMICTGDDPASRPTMKEVLEQLVQYDRTSSVAAACRDDSGGAPSFSKGKKDGKGKSSSAGTTAGKMWGAGTGDEESGSFVAHPCRAAGADDGRVANDGGADWCLAEWAWWSYKAGGKLHDVVDESIQDRAAFLEDAVAVFLLGMICTGDDSASRLTMKEVLEQLVQYDRTSSVAAACRDDSGGAPSLSKGKKDGKGKSSSAGTTAGKMWGAGAGDEESGIRFKDDVAPTRVARSVVDLTVGGH >OGLUM02G09660.1 pep chromosome:ALNU02000000:2:7394789:7409697:-1 gene:OGLUM02G09660 transcript:OGLUM02G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLESRRLCENRIRREIVAVGSYALRRSPLFRVPGGGGAPAPPPRRAPLKPPRGTPAPLLLPPTPSHGFHRRGGRGALIDPPLPVDDEATASRVLTTSSPAVAVSAFFSSSFSGRIGRGISLSSRRCIRRMKYSLHRQHQVNGDQCQLPMLYIEVERIGAKKAIPKT >OGLUM02G09670.1 pep chromosome:ALNU02000000:2:7414224:7416446:-1 gene:OGLUM02G09670 transcript:OGLUM02G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPL6] MGEASESMKKISRGRLGGSWMGEPSDHHRHGDEQEEEEKTLELSLGLPGGGWRAACRDKGTTTKHSIAAAAAADDDDGDKSSMLSLGYSTLVSHSQGKANKNKGSPEEEEAHPPPATGNNALASNNNGCFQTRSPSTPVVGWPPVRTFRRNLATSSKASLELQNGKKAAKAEEIKRAPFIKINMDGVPIGRKIDLNAFDSYEKLSLAVDKLFRGLLAGI >OGLUM02G09680.1 pep chromosome:ALNU02000000:2:7426981:7428356:-1 gene:OGLUM02G09680 transcript:OGLUM02G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPL7] MADTKTAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OGLUM02G09690.1 pep chromosome:ALNU02000000:2:7429033:7429233:1 gene:OGLUM02G09690 transcript:OGLUM02G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPAVTGATLFAVGVMLCPFPALVPSCRNLTAVLPELWDLLAAVYFHIRDELHQLLVRRSPPHDDG >OGLUM02G09700.1 pep chromosome:ALNU02000000:2:7440688:7446392:1 gene:OGLUM02G09700 transcript:OGLUM02G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >OGLUM02G09700.2 pep chromosome:ALNU02000000:2:7441475:7446392:1 gene:OGLUM02G09700 transcript:OGLUM02G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHLGSGSCSFSSPLSPFVLTRRDRRGVKMSGAALVAIAASIGNLLQGWDNATIAGAVLYIKKEFKLESEPTVEGLIVAMSLIGATIITTFSGPVSDWIGRRPMLILSSILYFLSSLIMLWSPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPSEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGREDVSGEMALLVEGLEVGADTSIEEYIIGPAIEPADEHVVDGDKDQITLYGPEEGQSWIARPSKGPSILGSVLSLTSRHGSMVNQSVPLMDPIVTLFGSVHENMPHAGGSMRSTLFPNFGSMFSVTDQHPKVDQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHAHRGSALSMRRRSLLEEGGEAVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEEVPGSRRGSVISLPGGGDAPEGSEFIHAAALVSQPALYSKDIIEQRMSGPAMIHPSEAAAKGSSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDISGRRFLLLGTIPVLIASLVVLVVSNVIDLGTVAHAALSTISVIIYFCCFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCSIAFVFVFLKVPETKGMPLEVITEFFAVGAKQMQATKA >OGLUM02G09710.1 pep chromosome:ALNU02000000:2:7446601:7453667:-1 gene:OGLUM02G09710 transcript:OGLUM02G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKHVESKLQRMLTSPIMSEPSSPVLGSDPYSPSQVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLQTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTADNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKKDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDAISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADNSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSGEQQQVYEKVQLPRQLLPLQEIIMDRKAML >OGLUM02G09710.2 pep chromosome:ALNU02000000:2:7446702:7453667:-1 gene:OGLUM02G09710 transcript:OGLUM02G09710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKHVESKLQRMLTSPIMSEPSSPVLGSDPYSPSQVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLQTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTADNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKKDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDAISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADNSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >OGLUM02G09710.3 pep chromosome:ALNU02000000:2:7446702:7453667:-1 gene:OGLUM02G09710 transcript:OGLUM02G09710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKHVESKLQRMLTSPIMSEPSSPVLGSDPYSPSQVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEVLAGGMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLQTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTADNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKKDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDAISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADNSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >OGLUM02G09710.4 pep chromosome:ALNU02000000:2:7446702:7453667:-1 gene:OGLUM02G09710 transcript:OGLUM02G09710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNAAAAAASPDPSRRREDVVGWLLALFPDLPLPPPPEATDEDLRAALATGRLLCALLRRLCPGALLDDASTDNVGRFRAAVERMGVAKFSASDLERGQMTAVVNCILALKDRFGSRGGDDHRNPGFLTRCDSEGGRKHVESKLQRMLTSPIMSEPSSPVLGSDPYSPSQVFQLKQGGYADQLGGKYSDLLKSTSLDNAPTQSLLGVFNSILDESIERKNGQIPYRIACLLRKVILEIERRISTQAGHIRNMEKDKFGDKGQLAVEDMERLMKYQDDVVRLMKENEDLVRLLREKEDMVRLLKEKEDMVRLLKEKEGMINLQTVKAEETQRIEDEDKYRIIKEKDDALDRLVKEKEEMIRLLKEKDDVVRLMKEKEDLLNLEKGEVEGTTKMTADNKDRLIKEKNDVVLRLTEEKEEMVRLLKEKKDIIRLMKEKEDMVYLEKGEVEDRKQMTDDIKDKLIKEKDDIVFRLTKEKEEIIKLLEEKEDIIILMKEKEDMVNLGKGEDEDRKQMADDNKDRLIKEKDDIVVRLTKEKEEIIKLLEEKEDIISLMKQKEDMFMSIKEKENKAELKKIADEDAARSIKDKAEIMRLMKEKEDGNNTILKLKKESETLRSSYEESCRLLESKKEDVARLLTDKENNDSIISELKKELEETKRLHEAHSQQLETKAAQVSKELEQRIEEVKLMLDDSTKRRIELEELSETRIQFWKKKEVVINQFVSLQVQNVQDLKLSSVSVRHEILNCQNKWSEELAGLGKSLKVVTNTAEKYHGALAENRKLFNEIQELKGNIRVYCRIRPFRPGEDDKSSSVEYIGDNGELVLSNPTKQGKEGGKNFTFNKVFGPITTQDAVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPEKATEKEWGVNYRALNDLFNISHDRRDTITYELGVQMIEIYNEQIRDLLGSGIQNTIQPNGLAVPDATMCPVTSTSHVIELMQTGHDNRAMSATALNERSSRSHSVVTIHVRGQDLKTGNTLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLAALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQVNPDVSSYTETLSTLKFAERVSGVELGVARSNKEGKEGKDVKELMDQLSLLKDAISKKDEEIDRLQLLNSSTRLKPTRQADSVLKHSSSSPGITSLGKGTSVGSGAASDLDNFSDTSDRQSEAGSMLSVDPEISGLADVDSDGRLSDASDGISMGAEADNSVSNVADQEQEKTSNTAAKERLTRAVNRVQKLTLPKAGQSSSLRPKPRDPAPARSSAATGVRKSSTSQATPPLARNNSTLKRGP >OGLUM02G09720.1 pep chromosome:ALNU02000000:2:7467223:7467480:1 gene:OGLUM02G09720 transcript:OGLUM02G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLPEVAMRVRGVGFIGNEAGGLTMASESFSGEVAMAPFGWAFLARCGHMVRPVDKLSRHESQEISSLTREVDGQQWHRVVRG >OGLUM02G09730.1 pep chromosome:ALNU02000000:2:7468050:7468937:1 gene:OGLUM02G09730 transcript:OGLUM02G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGGGKVVAAEPGVARRLWRVVRAVLYMLRRGLQAPSGRKLAMDLHLLLRRGKIAGKALGHLVTFHHHHHNHGHGFSASAAAAGSSSLSCRGIDPALAVYEPSRGRRREVEFSCSNTPSSTTGGGGGGGLLGRRRRNCHHRRDDYEFSNDAGAGGSGYYDHGYDAAYVARVFEMLNDSEHLFNDDDAAVAVAPATAETTPLWTPARSHHSHSPAPAAPSRHRGRTTDSPFAASNGDEAGGGAQQQVDRKADEFIRRFYEQLRAQRSVAATPDYYGASPYAGRRAPRPVAAGIA >OGLUM02G09740.1 pep chromosome:ALNU02000000:2:7479917:7480258:-1 gene:OGLUM02G09740 transcript:OGLUM02G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLRSFSRPAAAFLRSAAGRSPAASLPRAVAPVNRAASVGRLVGLARSLQPLHSAVAEARLTSRLGAEVARAVSQGTLCSSFPGV >OGLUM02G09750.1 pep chromosome:ALNU02000000:2:7486045:7486632:1 gene:OGLUM02G09750 transcript:OGLUM02G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNGEASSAVVAAVATFIGNHLGHGGDKQRHELHGSDGHDHKEMELLATMTGGGESIPAAEEQQVVEEAECECCGMSEECTAAYAGAVRRRFSGRWVCGLCAESVAEEAGKKKGGEREAALAVHMAVCRRFNGFGRTHPALFQADAMRHILRKLSAAAAAAAAPGSPKPTTNTSRRHLTTAEGAIKSTGGMVIS >OGLUM02G09760.1 pep chromosome:ALNU02000000:2:7501188:7504297:1 gene:OGLUM02G09760 transcript:OGLUM02G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G19680) TAIR;Acc:AT5G19680] MASGDPVDAPPPAAAAAEPMEVEADQCLDLTSCQLHDLSEVEIPPTLEELDLTANRLAAVDPRIGRLPGLRKLSFRQNLLDDAAVAPLSTWADIAGLQELVFRDNKLTTIPDASIFKGLLVFDVSFNEISSLKGLSKVSSTLKELYVSKNEVAKMEELEHFHALQILELGSNRLRVMENLETLANLQELWLGRNRIKTINLCGLKSIKKLSLQSNRLTSMNGLQECIALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKITIIEDVETLSRLEDLWLNDNQIPSLDGIGSALASSREKLTTIYLERNPCAKAPNYSSTLKTIFPNLEQLDSDMLA >OGLUM02G09770.1 pep chromosome:ALNU02000000:2:7506315:7507373:1 gene:OGLUM02G09770 transcript:OGLUM02G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFLAGIPQLRRPAALIASCCALVLLATVLLLPRAPPAAPEQLASAAAAAAVRLDARVERRSGNEVLWQLPPPTTPPRAAVFVAPGCTIRATDFFDASPGCPRCAGLPEERRFTREALRRGYAVLAVSSRAECWSLDTGDGGELAAVESIIEWWVKERYPNQLAGLPLVGIGASSGGYFLSALAARVRFSSVAIMIAEGVFATMEEIPARYPPALFVQMPKDVERAREVAASMGKLRGSRVSVREIQCGEFAVSAQFLAARIPGLTLAVADGLVDVLRRKGFVDEKGFLKNDGRSTPWKKAAEEAKILPEEFRLERHVTEELNLAYAYHEFTSLKNGEIFDWFESHMDHKS >OGLUM02G09780.1 pep chromosome:ALNU02000000:2:7508879:7509946:-1 gene:OGLUM02G09780 transcript:OGLUM02G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLLISAVLVGLLSLSSCRSLGELSEQKTYSSTTQYGGSPTPSYGSDGGYKPTPTPTPAYGSTPTPSYGTTPTPSYGTTPTPSYGTTPSTPSTPDVPEVPTKHDFCGSCDYWKNHPDVIISAIGSLGDIGKTLGTACSLITGKKLENLHDALSNTGTDGTGALLREGAAAYLNSIVNKKFPFTTQQVKDCIVVAMTSDGAASSQAEIFKKANDYHY >OGLUM02G09790.1 pep chromosome:ALNU02000000:2:7517889:7518843:-1 gene:OGLUM02G09790 transcript:OGLUM02G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPPSAGGGGGGGKPDRKTTERIRREQMNKLYSHLDSLGGAAAAAAATRPDRLGVAAEYIRQTQERVDMLREKKRELTGGGGGGSSSSSGAGAATAAAPEVEVQHLGSGLHAILVTGAPPTDGASFHRAVRAVEDAGGQVQNAHFSVAGAKAVYTIHAMIGDGYGGIERVVQRLKEAIRSN >OGLUM02G09800.1 pep chromosome:ALNU02000000:2:7531185:7532002:-1 gene:OGLUM02G09800 transcript:OGLUM02G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTAPRRRCCPTLAPRLGYGPSSTSAALWPLRHRLRLRSHLLLDVVVWEVRKRGVTVKDMTGVQLFVFQGVHTKTNDNGGTRNSSARKTTKQHTCGYLRARRLENVMDTGGRLNCVAHAYKHIFIVGVLRHL >OGLUM02G09810.1 pep chromosome:ALNU02000000:2:7548095:7548838:1 gene:OGLUM02G09810 transcript:OGLUM02G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEVCSPASSGGASPPESPVEGRRGGGGGEKRGRSGDGGRHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPDMAASLPRPASASPRDVQAAAARAAAMEPPQPPPSSLTVQADSAAAAFLTPKNGGVSGAGAADDELEAIVELPRLDELDAAELVFGAAFQDTAEEHPWCDPVWIDGGYAAAAAAAAAAAAHDDLFGLDADHHGWAQSVGALLWNL >OGLUM02G09820.1 pep chromosome:ALNU02000000:2:7615509:7615715:1 gene:OGLUM02G09820 transcript:OGLUM02G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAREATIAGATAACAWTVASAVTVAGTTMAGSTAEWPAAVWCEEGDEAGRRAVAAQREVRPVEAS >OGLUM02G09830.1 pep chromosome:ALNU02000000:2:7631442:7632096:-1 gene:OGLUM02G09830 transcript:OGLUM02G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAASSRLRLLLLVAVLAAGAAAAAAGEQYRVGGPDGWIAPPPEEKELYYSRWASSIAFYVGDSIEFEYRNDSVIKVSKAGYYHCNETAGVDAGDAPVPGDGARVFYLYVPGFAYFASPDLGRCNEGQRLMINVLAAVPPAAAPAPSTDYDTGAAAGSAFAAASFFAPVVSAAAMAMAGLV >OGLUM02G09840.1 pep chromosome:ALNU02000000:2:7637114:7640665:-1 gene:OGLUM02G09840 transcript:OGLUM02G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALVAVAAILFFSTLTALADEREALLCLKSHLSSPNGSAFSTWSNTISPDFCTWRGVTCSSKLQERPRVVVALDMEAGGLTGEIPPCISNLSSLARIHLPNNGLSGGLTFTADVARLQYLNLSFNAISGEIPRGLGTLPNLSSLDLTSNNLHGRIPPLLGSSSALESVGLADNYLTGEIPLFLANASSLRYLSLKNNSLYGSIPAALFNSSTIREIYLRKNNLSGAIPPVTMFTSRITNLDLTTNSLSGGIPPSLANLSSLTAFLAAQNQLQGSIPDFSKLSALQYLDLSYNNLSGAVNPSIYNMSSISFLGLANNNLEGMMPPDIGNTLPNIQVLMMSNNHFVGEIPKSLANASNMQFLYLANNSLRGVIPSFSLMTDLQVVMLYSNQLEAGDWAFLSSLKNCSNLLKLHFGENNLRGDMPSSVADLPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLDNNLLTGSIPHTLGQLNNLVVLSLSQNKFSGEIPQSIGNLNQLAELYLSENQLSGRIPTTLARCQQLLALNLSSNALTGSISGDMFVKLNQLSWLLDLSHNQFISSIPLEFGSLINLASLNISHNRLTGRIPSTLGSCVRLESLRVAGNLLEGSIPQSLANLRGTKVLDFSANNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVGGIFSDRDKVFVQGNPHLCTNVPMDELTVCSASASKRKHKLVIPMLAVFSSIVLLSSILGLYLLIVNVFLKRKGKSNEHIDHSYMELKKLTYSDVSKATNNFSAANIVGSGHFGTVYRGILDTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTRFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNHDNVACVCDFGLARSIREYSSGTQSISRSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGFTLRMYVNESLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKTGIMDICALQLLKLGLECSEESPKDRSLIHDVYSEVMSIKEAFFATSI >OGLUM02G09850.1 pep chromosome:ALNU02000000:2:7664857:7666444:1 gene:OGLUM02G09850 transcript:OGLUM02G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTAEGGGGVAPFVAKTYRMVDDPATDGVIAWGRDSNSFVVADPFAFSQTLLPAHFKHSNFSSFVRQLNTYGFRKVDPDRWEFAHVSFLRGQTHLLRRIVRRSSGGGGAKRKEEAGGCGGGGEAAAGDVDEESAVVAMEVARLRREQREIEGRVAAMWRRVQETERRPKQMLAFLVKVVGDPQVLRRLVDRDNTNAAAAASNADDAAVHHQVKRPRLLLDSSSTTTTHGDRHLVTAAADGFYAGGCGPEAAAADAFVPDDAVDFTGLYTGGDGFGNAVVDAGVDYPPAYAFPVVDSGY >OGLUM02G09860.1 pep chromosome:ALNU02000000:2:7667869:7671911:-1 gene:OGLUM02G09860 transcript:OGLUM02G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKCNACWRELEGQAVSTTCGTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNMSMAGVSPQIRILIIFSLSSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKLT >OGLUM02G09860.2 pep chromosome:ALNU02000000:2:7667869:7671911:-1 gene:OGLUM02G09860 transcript:OGLUM02G09860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKCNACWRELEGQAVSTTCGTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNMSMAGVSPQIRILIIFSLSSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKLT >OGLUM02G09860.3 pep chromosome:ALNU02000000:2:7667869:7671911:-1 gene:OGLUM02G09860 transcript:OGLUM02G09860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53490) TAIR;Acc:AT1G53490] MKCNACWRELEGQAVSTTCGTEDAKKILSNDAACPICDQVLSKSHMRPVDTNPNDDWTNSAYRSVMFYIGQKELEMQYKMNRIVGQCRQKCELMQAKFTEKLEEVHTAYQKMAKKCQLMEQEVENLSRDKQELQEKFAEKSRQKRKLDEMYDQLRSEYESAKRSAIQPANNYFPRAQPDLFSGVPNIMDSSDPLRQGLAGLPETPGRRDEGWAPPPRQRRSTSGPFELSAGSPAHNAAPPVDIRPRQPARPVFGTAMNNTSAALRNMIISPVKLT >OGLUM02G09870.1 pep chromosome:ALNU02000000:2:7674722:7674994:1 gene:OGLUM02G09870 transcript:OGLUM02G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIAFFLLLFLFSRIGPSIHPRVHTRVRREATAPRVREAGGDDGQGKLATETSIGHRKRVGGGERGGREHLTWDPQLVSQFGLAKFGH >OGLUM02G09880.1 pep chromosome:ALNU02000000:2:7686736:7688327:1 gene:OGLUM02G09880 transcript:OGLUM02G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLATGRVIGDVLDPFISTVDLTVMYGDDGMPVISGVELRAPAVAEKPVVEVGGDDLRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDATYGREVVCYESPNPTTGIHRMVLVLFRQLGRETVYAPAVRHNFTTRAFARRYNLGAPVAAVYFNCQRQAGSGGRRFTGPYTSRRRQA >OGLUM02G09890.1 pep chromosome:ALNU02000000:2:7691827:7717724:-1 gene:OGLUM02G09890 transcript:OGLUM02G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLFVTYTYIVLTALKKGSLKMGALAQDGIFKTKRAAKSKLKSQNNFLLRFAIDKIAQLALILGALGGNNFEAVVKNTTVHSGMAWMSSNSTRKSSEDINTTKSSLIHQPKPASRNPATPPHNPARITAQVAATKKPASVARTVPSSDTLTSYLFPVLPSTTASAPPRAPADAADLSSGPAASASPQAAAGEARWAESTARRARSIGEESRAEQRTPGGLVGGSDDDDDGDDDDDDDEICFRIIHFNKSWI >OGLUM02G09900.1 pep chromosome:ALNU02000000:2:7692118:7699169:1 gene:OGLUM02G09900 transcript:OGLUM02G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPP5] MDRARLAVLSAHLASPAAACGEADAAGPLERSAASAGARGGALAVVDGRTGKRYEVKVSDEGTVRATDFKKITTGKDDKGLKIYDPGYLNTAPVRSSICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGSLPTQSQLAGWEFAISQHSAVPQGLLDIIQAMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPTNSLSYSENFLGDKEYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVFTALSGAVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAVALEKAALSDDYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPASQGEQLGQIATSNATRRRRAGSAL >OGLUM02G09910.1 pep chromosome:ALNU02000000:2:7702591:7714987:1 gene:OGLUM02G09910 transcript:OGLUM02G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSRLFLLLLLPLLLLLLGAGCRDGRRCAAAAAQTQRLPQQEVEALKGIARKLNKMDWDFSVDPCTGSKTWVNASDSNSYPKSSYPNFPVSNLTCDCSFKNNTECHVISLELMRQNLSGVLPEEVVNLTYLTNLSLQGNRISGTVPKELGRMPFLKSIFISANDITGELPSTFSRLTNMTDLDMQGTLMSGPIPPEISLLNNLTELRVTDLSGPSMKFPPLQNAQHLTKVVLRNCSIYGEIPPYLGQMQYLILMDLSFNKLTGQIPQNFDRMVALQLQYLDVSFNNFTGNPPNECQQANVNMVSSFSSSNDNLKWAYSSTGDFVGNPDADYIARNTSELTLDHPELYTEARLSPLSLKYYGVCMENGEYSVELHFAEIVFTEDHTFSSNGKRIFDVFIQGTKVLKDFNIQDEAGGVHRVITKTFTTNITDNTLEIHFYWAGKGTTGVPDRGVYGPLISAISVIQLAACLIVILALILCYIKFFRKKNLKGNGLQFFYHGRKTDTSDLQTRTQYFFSLKEIESATKNFDPANKIGEGGFGPVYKGTLANGTTVAVKKLSSQSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGEQLLLIYEFLENNSLGRALFGRGDHQLKLDWPTRYNICLGTAKGLCYLHEESTLKIIHRDIKPSNILLDERLQPKISDFGLAKLNDDRGRMSTRIAGTVGYMAPEYATRGCLTCKADVYSFGVVTLEIVSGMSNTSSMSDDEYLHLLDWAERLKQEGRLLEIVDQRLGSHYSQEEALRMLNVALLCTNTSPVQRPRMSSVVSMLCGQAPLEVVPDEDLSGYIRPSYSQSNQSMNNSLTEWSYAPSSDPSILLPNSMESGYLPSSSSPSSKL >OGLUM02G09920.1 pep chromosome:ALNU02000000:2:7717856:7720401:-1 gene:OGLUM02G09920 transcript:OGLUM02G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDNNSQTTNGGSGHEQRAMEEGRKQEEFAADGQGCGLAFSVPFIQKIIAEIFGTYFLIFAGCGAVTINQSKNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTLAFATCRRFPWRQVPAYAAAQMLGATLAAGTLRLMFGGRHEHFPGTLPAGSDVQSLVLEFIVTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPISGASMNPARSLGPAMIGGEYRSIWVYIVGPVAGAVAGAWAYNIIRFTNKPLREITKSGSFLKSMNRMNSST >OGLUM02G09930.1 pep chromosome:ALNU02000000:2:7720587:7726083:-1 gene:OGLUM02G09930 transcript:OGLUM02G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTTYNDDREGSSTYCGGGYNRLPDLAIAIGGGGWGLLTEHTNNQEIKVGSVNPNGLESDYYPQ >OGLUM02G09940.1 pep chromosome:ALNU02000000:2:7744093:7748723:1 gene:OGLUM02G09940 transcript:OGLUM02G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAERPYYPPRPTDGGSGQPAGCDSPVRWDDDDEDHGGGGGDGMTAVAGFNLFEEESDDPPAKNGVVDDPDTTTTSDCNTDMSEDLVKREEGMNFHCDPPLHEHTGIWVPVSVPPMTKRDHEEWHKGFGHNGDYFPEDEFNWEIDEENKEMTMWDVFSEMVVAAKDKVISVASYDLGRCGMSMLSKFFFQEAWKDMAQTLADANAGIANELLETERTIWLPDSAASACMLCNVRFHPIMCSRHHCRFCGGVFCGGCSKGRSLMPPKFNTSEPQRVCDVCGVRLESIQPYLMNRISRASQPPTHDVTDLSTLRSWLNFPYAHTMEYEIYKAANSLNSYCKVGRLKPEKAIPYTILKQAKGLAIITVAKVGMMVAYKVGTGLVIARRADGSWSPPSAIATCGIGYGAQAGGELADFIIVLRNTEAVKTFSGKAHLSVGAGVSASVGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSLVSTRDTENARFYGGPIKAPDILMGSMARPPAAAALYKVLSELFDNPEKQSL >OGLUM02G09950.1 pep chromosome:ALNU02000000:2:7750154:7753239:-1 gene:OGLUM02G09950 transcript:OGLUM02G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGGGGGRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYTLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKYWSLDRIEAAHVKKGLVKEAIMETKGCKPPASELADQLGRSPSASPCSSYQPSPRGTSSFPSSGSSSQITLGGGGGEGSSLIPWLKTLSSAGVGIGGGSSSKFPAHYSYFGGGSISAPVTPPSGSPPRTPRLKTAAWEEYHHHHAGSVLPPWATVGASYAYAASSSLPNSTPPSPRRKVAAAAAAGGGGNDAAAWLAGFQISSAGPSSPTYSLVAPPPNPFGAAAAAAGSSSRVMSGACSPVAGGDVQMADAARREFAFGGEGGKMTGLVKAWEGERIHEECGSDDLELTLGSSMTRGDR >OGLUM02G09960.1 pep chromosome:ALNU02000000:2:7766304:7769979:-1 gene:OGLUM02G09960 transcript:OGLUM02G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGALPPRAPLRPAPGGLCGRALLRRTCCLSWPATTGGAALSYQMKNSRWKPVFALETGGPSNADSQDFEDDGGFLGRTRLGRLIQAAGRELLEKLNSARSNSPTKIFLVLFGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYRKPMSRPPGRFQSLIAMVNYWKAGEAKVRECLGDRRRRGELDAGWEWWIVEGDELLLDIICHSPLGIGTLLWVWRIHTVHAAACKQ >OGLUM02G09970.1 pep chromosome:ALNU02000000:2:7773198:7774707:-1 gene:OGLUM02G09970 transcript:OGLUM02G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKKRQGPVLAAVEWSRGQEGKEQSEGGRSARRGAHRSPMTGGEMKKKGRSDDGERGCGRLAVSERGNGLRVAGRAAEDEHGSSRPPFPSPI >OGLUM02G09980.1 pep chromosome:ALNU02000000:2:7775318:7775711:1 gene:OGLUM02G09980 transcript:OGLUM02G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALDSTRTQKKAALLAVCSHLLARAGLEDDGGLAEVVVLKLPIHVSSSSIVAVVAYVEQVQGFPPWRRRSNITWDTSRQPELLNGSWIII >OGLUM02G09990.1 pep chromosome:ALNU02000000:2:7783086:7787372:-1 gene:OGLUM02G09990 transcript:OGLUM02G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWNTDSDSDSDTRKKPIWRTYIFEFLSSHLLQPLAPASTCAQVLDQQLVPRQGSRHPAPPSLRDLNADPPAEDDDHIIHLHGEPLPQPPYFPEPNAAADLDGGRGRCRCRRRRRRRRLAGHMPLHLEINSSNQAPAHNRATQQQASFATGSSSAVLARPQRRSPAEDDDHIVHLHGEPLPQPPYFPEPNAAADLDGGLDGDAEASFPGSNPEADGRELDGDLEQDHSLFMFLDPGEVRCRKRLRASEEDDVDVGDARGPPGRCYDDDVAEDGRSTQSRYSWRPRNADDQSYTHDDDTPAAQEISGEQIAADDTYYDSYYYMDGEYGGAYDEEEHQQDDMAAADFHDGNQLSPEHQRVLDRLFGEADGSTRQEEQEAAAQGSGGEHVPPEEDSYEAAAELAGDDVDEEQLQRQEQVDMTDGTDETF >OGLUM02G10000.1 pep chromosome:ALNU02000000:2:7789738:7792408:-1 gene:OGLUM02G10000 transcript:OGLUM02G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAGLAFLQASAEEGAADDQRRHAMASAAAAVPWRRVLRHRPGRKEHGGGGGGGDDGDDDLDWRSWRIPPSGPSSRGHVAVDADAPEKEKKTATVGGGAPSITTKKLGTRMQTLGLNPMKAELQDIISEVDTDGSGIIDFYKFLDLIAH >OGLUM02G10010.1 pep chromosome:ALNU02000000:2:7795619:7801474:1 gene:OGLUM02G10010 transcript:OGLUM02G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G14860) TAIR;Acc:AT3G14860] MTHCAKRHARVAAQHRSAASSAITACYHLLPAFNHRPYTSSSPPPRRFPAKTKKKKKGEKKTPFRHPPLPLSLSLTQPNREERFHFPTMASLLFLLLLVLSLAAVHTDAAAFPSPADSIVRQLSSVVKWPRVPSSSSSSSHGHKQPSHPQYADGGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDTGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDATLLSSDIILVIGAVVAGYIFSVVQHGFGSSTAEKIEAPEDEHQESSTVGKPPLVVESLKEEPSAGWPSLGTLIGDLLKLVIEGVGNQLLRLVPSRLQHGKRKTDLTPLKDRLVMPEDTEETPVAQKLSSTPMRPETLHGPNPVNETAPKAQKSVKSSKFRDSTLSSKHRSSKRQEYAEFYGSSETPQVSSKVPKDRLRHRHREKSGEAVYGTSHPEPKPAEVKPADYSDPKYDPYNIRSKYGADSGYRY >OGLUM02G10020.1 pep chromosome:ALNU02000000:2:7813696:7815882:1 gene:OGLUM02G10020 transcript:OGLUM02G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 17 [Source:Projected from Arabidopsis thaliana (AT1G29340) TAIR;Acc:AT1G29340] MAAAASASSPVEFLLRRPAPRRRRLPLAGAFFAPTGLAGATLLRAVASLAASLVAGARPPSQRRNVDALARRLALLSAILESILLDTAAAGAFSDAANLCFRELYVVLFRAELLVSYVASAGRAWALLRSPHLAASFRDLDAELAVVLDVLPAASLRLSHDATGLLDLLRAHCRCRAPAQYHDPDEAALRERLMDALRQFDLGQPPDHPSLQSLLADMGISTAASCRAEIDYLEEQILSQEEDTDLPLVGSVLALLRYCLFAVFDPSNAKALRDWPLSGNRQRLLSIGGGDDTSFSVPKEFSCPISLDLMRDPVVASTGQTYDRPSIIQWIEEGHSTCPNSGQTLADHRLVPNRALRSLISQWCGVYGLQYDSPESNEGMAECVAASCSSRAAMEANKATARILVRMLEDGSENVKAVAAKEIRLLAKTGKQNRAFIADLGAIPLLCRLLLSNDWMAQENAVTALLNLSIFEPNKGRIMEQEGCLRLIVGVLQNGWTTEAKENAAATLFSLSVVHNFKKLIMNEPGAVEELASMLTKGTSRGKKDAVMALFNLSTHPESSARMLESCAVVALIQSLRNDTVSEEAAGALALLMKQPSIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSALVQRVAKIPGLNTVIQTITLNGTKRAKKKASLIVKMCQRSQMPSAMALGSTLTVVDRSLVGNNTLRRAASFGSGELSNPISISVQVP >OGLUM02G10030.1 pep chromosome:ALNU02000000:2:7819613:7820738:1 gene:OGLUM02G10030 transcript:OGLUM02G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAESLLFLLLLFSLPIPFSHSWSFDYPSPIANINSLWTNNNATIPYSATYPDGSTIRAILVRQNPTWYSPFFACGFICTAPCNDFLFAIFSVSVGDPSNPAFNTSSMPRIMWTANRSRPVKDNASLQFKDGNLILRDFDGSLVWSTNTSDSRVVGLNLAETGNMVLFDAMGKTVWESFEHPTDTLLLGQSLRQGKRLTSDSLATNWTQVARVGILGMKINFSANWTTGNLTWAAP >OGLUM02G10040.1 pep chromosome:ALNU02000000:2:7822698:7828057:1 gene:OGLUM02G10040 transcript:OGLUM02G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18810) TAIR;Acc:AT4G18810] MSCCAASSSSPVLANPRGGFAASCSTRRNHRVIFLGSRQFSPIIYSPARRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESILSSFTGSAPGEVPKKMESSDVVLVTGATGGVGRRVVDILRNKGIPARNEEKARSMLGPDVDLIIGDVTKENSLDPKYFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYLGMQNLINAVKNSVGLTEGKLLFGGTGNLSGKIVWGALDDVVMGGVSESTFQIQPTGSETDGPTGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIVRTSFEWDTVGYIASFDTTKGEWQSVKLPFSSLNPVFRARTMPDAAPFDASNVTSLQLMFSKFEYDGKLNPTFTEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRMNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEIAFICVAALASPNAVEKTFEVKSTVPFSEPFVVDPSNPPPEKDYDVYFKELKAGITDSVIGI >OGLUM02G10050.1 pep chromosome:ALNU02000000:2:7828619:7829041:-1 gene:OGLUM02G10050 transcript:OGLUM02G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCHSSPPSLVDLVSLPPSSPAGGGGGGAGAGVVQVVPLDVSEELLGKFQDTSEFGFEYEKSGLWSPLVVRPEALVLAGGGKRRRRSWRRKVFCCW >OGLUM02G10060.1 pep chromosome:ALNU02000000:2:7832123:7835880:-1 gene:OGLUM02G10060 transcript:OGLUM02G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 small nuclear ribonucleoprotein A [Source:Projected from Arabidopsis thaliana (AT1G09760) TAIR;Acc:AT1G09760] MVRLTADLIWKSPHFFNAVKDRELDIRGNKIPIIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPKLQFLSILDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERIAAAQKFHSKEAEEEAKKVPAKTFTPGQVVDAQDTTMEEQGPKVVAPTPEQITAIKAAIVNSQTLEEVARLEKALSTGQIPAEFAIPKPDANMADASEETEKMETDGQNQENGADEKKQNEESTPIEED >OGLUM02G10070.1 pep chromosome:ALNU02000000:2:7844087:7846541:1 gene:OGLUM02G10070 transcript:OGLUM02G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQEPCQAADLCRAITDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >OGLUM02G10070.2 pep chromosome:ALNU02000000:2:7844087:7849574:1 gene:OGLUM02G10070 transcript:OGLUM02G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C3 [Source:Projected from Arabidopsis thaliana (AT1G60430) TAIR;Acc:AT1G60430] MVYHSSFVDDTGITKACGCPLLPLKTHIRGPAPAFDQEPCQAADLCRAITDKADIVDEAITFFRANVFFKNFNVKSPADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPELLRNYLKQIREETSGRLLNCAYRANGTPNKWWLAFAKRKFMNIVIL >OGLUM02G10080.1 pep chromosome:ALNU02000000:2:7846230:7854952:-1 gene:OGLUM02G10080 transcript:OGLUM02G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKALSDNHRGTVKPCETFWKERTLRQRSSVVVQTEIFDFVEDLHNFTPTFGKIREAHVQK >OGLUM02G10090.1 pep chromosome:ALNU02000000:2:7855503:7856093:1 gene:OGLUM02G10090 transcript:OGLUM02G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGRKDRSTKPEFIPVNRNSAEAPCSSCSSSMPPSNSGDEVHVPDQRGLGWRASCGFSRRRSPRHGMPTVGGVVLQLVAPRRATAAAAEVRGAGEDGEAAVVGEEPEGAGSGWVEQAAEPRRKAGRRGSPRAAGEDRADERAWRDGRARRMSSLREAYGGGGAGAGAGAGVRFGSTAAAPPIARIGMDCGGVNA >OGLUM02G10100.1 pep chromosome:ALNU02000000:2:7868404:7879038:1 gene:OGLUM02G10100 transcript:OGLUM02G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQANPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM02G10100.2 pep chromosome:ALNU02000000:2:7868472:7879038:1 gene:OGLUM02G10100 transcript:OGLUM02G10100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM02G10100.3 pep chromosome:ALNU02000000:2:7868472:7879038:1 gene:OGLUM02G10100 transcript:OGLUM02G10100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM02G10110.1 pep chromosome:ALNU02000000:2:7882890:7884593:1 gene:OGLUM02G10110 transcript:OGLUM02G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSRPRLPLLLLLLHFSLLASSCSARWRDGGGGGGDLRESFLRCVARRSPATAADPSRLVHAPGDASYPSLLDSTIQNLRFASPRTPRPALVLTPVTADEVRACVVCCRAHGLTVRARSGGHDYEGLSYRSLGRSPRFAVVDVAALRAVRVDAARGVARAEAGATLGELYYAVAEGSGGRLGFPAGICPTVCVGGHLSGGGFGPMMRKYGLAADNVVDAEVVDAEGRLLDRAAMGEGLFWAIRGGGGGSFGIVVSWTVNLVPVPAVVSAFTVHRLLLRRGGHDEQSLLRLLTKWQAVAHALPDNLFVKMSMEAKTINDGDDSTRHPLVTFKSLFLGNCSDMITQIDHHLPELGIKSTDCREMNWLQSMLYSYGYTNGQPAEVLLDRTLQPKDYYKIKLDYLTSPIPTPGLIKLLTKIVEDEDGSIDIDPQGGEMSRIPESGTPYAHRSGYLYNLQYFVKWGGDKNVSYEDDHLSWVRGLHELMTPYVSKNPRAAYINYRDLDLGQNVEGNTSYEEARVWGEKYFRGNFRRLAMVKGEVDPDQLFWSEQSIPPLVVSARDAGLVSDS >OGLUM02G10120.1 pep chromosome:ALNU02000000:2:7885376:7890447:-1 gene:OGLUM02G10120 transcript:OGLUM02G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVMSREKLLMKRNSFLYIFKVIQLIILALIFWVIITYYVMGFAPAAGRFFRQFLAFFATHLMAMAMFRFLGAILKTMVVAITFGMFVMLILFVFGGFVIRKNDIKPWWIWCYWASPMMYGQNAIAVNEFLSSRWAIPNNDTAIDVKTIGKAILKSKAIRSANTLVLDEHNEIELHRKTRNEEHVSHIVYNDGSLITSTTTSSIPMSAIGARNRLTQSQFALPFQPLSLCFNHLNYYVNMPSEIKQQCQLTESRLQLLSNISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSCGYCEQADIHSPNVTVYESILYSAWLRLSSDIDSNTRKMFVEEVMALVELDVLRNAMVGLPVVSGLSTEQRKRLTIAVELVVNPSIIFMDEPTSGLDARAASIVMRTIKNTVNTGRTVVCTIHQPSIDIFEYFDELLLLKRGGRVIYAGELSDHSHKLVEYFENTFTMQANPGVPRITEGHYPATWMLEVSSTLAEVRMNIDFAEIYANSMLYRKNQELIKELSIPPPGFQDLLFATKYSQSFYIQCVANFWKQYKSYWKNPPYNGMRYLVTFLYGLFFGTVFWQKGTKLDSQQDLNNLLGATYAAVFFIGATNCMSVQPVVSIERAVFDLIFIELPETSASDGTMHATLSLSIKTS >OGLUM02G10130.1 pep chromosome:ALNU02000000:2:7890623:7891722:-1 gene:OGLUM02G10130 transcript:OGLUM02G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLGPPSSGKSTLMRALSGKLDKNLKVSGNITYCGHKFSEFCPERTSAYASQYDLHNAEMTVRETLYFSRLCLGIGSRYDKLIEISRREHSAGIKPDPEIDAFMKATAMQGQETNIIIDVVLKVLGLDICADTIIGDEMIRGFSGGQMKRVTTGEMLIGPARALLMDEISTGLDSSSTFHIIKFISHLVHIMNETVIISLLQPPPETYNLFDDIILLSEGYIVYHGPREDILDFFEASGFRCPPRKGVADFLQEVTSKKDQQQY >OGLUM02G10140.1 pep chromosome:ALNU02000000:2:7898421:7905721:1 gene:OGLUM02G10140 transcript:OGLUM02G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPS2] MAMSSSRTAAAIRGRRAGALARARRHATRAMASLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSDFIKDKRIAAVQALSGTGACRLFADFQRRFLPNSQICIPTPTWSNHHNIWRDAQVPQRTFTYYHPESRGLDFAGLMDDIKNAPNGSFFLLHACAHNPTGVDPTEEQWREISYQFKIKNHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVSVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALRENLEGLGSPLSWDHITNQIGMFCYSGMTPEQVDRLTNEYHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >OGLUM02G10150.1 pep chromosome:ALNU02000000:2:7903319:7907911:-1 gene:OGLUM02G10150 transcript:OGLUM02G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G45780) TAIR;Acc:AT5G45780] MAGPRALAVAAAVVVAAWAVAAAGDPPLSPKGLNYEVAALMAVKSRMRDEKGVMGGWDINSVDPCTWSMVACSPDGFVVSLQMANNGLAGTLSPSIGNLSHLQTMLLQNNMISGGIPPEIGKLTNLKALDLSGNQFVGEIPSSLGRLTELNYLRLDKNNLSGQIPEDVAKLPGLTFLDLSSNNLSGPVPKIYAHDYRWRLPFASADQDLEIELGHLKHFSFHELQSATDNFNSKNILGQGGFGVVYKGCLRNGALVAVKRLKDPDITGEVQFQTEVELIGLAVHRNLLRLYGFCMTSKERLLVYPYMPNGSVADRLRDYHHGKPSLDWSKRMRIAVGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAQSQKGMILDWVREVKEENKLDKLVDRDLKDSFDFAELECSVDVILQCTQTNPILRPKMSEVLNALEANVTLPENGIDLNREVPPYGGSCSFSVRHEDPHDSSSFIIEPIELSGPR >OGLUM02G10160.1 pep chromosome:ALNU02000000:2:7911620:7915483:-1 gene:OGLUM02G10160 transcript:OGLUM02G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPGGPHPTGGGAAADPMQVDQPRSAVAAAAVAPAGEKHGASLMEGSDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYVYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANLSPELINRLIPEHARRHCGFNFLPAAGP >OGLUM02G10170.1 pep chromosome:ALNU02000000:2:7924908:7925264:-1 gene:OGLUM02G10170 transcript:OGLUM02G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKQAKEKMKNGEDGPYKEAMKDLLDAKEKEAKVKEERWKETKEIQERKLLFAERKLVWDQEQKIMFCDVSTLEPDVRTYVLAMRTQIAASKVAALNGGFDGSSGFGGEFGDGNGEV >OGLUM02G10190.1 pep chromosome:ALNU02000000:2:7968237:7968422:-1 gene:OGLUM02G10190 transcript:OGLUM02G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFKCVGLNPSSITTKKLGTRMQTLGLNPMKAELQDIISELDTDGSGIIDFYKFLDLIAH >OGLUM02G10220.1 pep chromosome:ALNU02000000:2:7983703:7987881:-1 gene:OGLUM02G10220 transcript:OGLUM02G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19530) TAIR;Acc:AT5G19530] MVGAVQEGIVREMNGGFEVMGGYDRSSMAPKQQQREEESKCVLHRGASKYQEIALIDTKHFGKALIIDGKMQSTEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTYLTVNWDAFASDKLCLIINDARAELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYQHIVKPKLNDRGVFVTQAGPAGVLTHKEVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDYPFSMNAQQINERIKERIDGELVYLSGESLISSTILNKSVYQSLLNETHVYTEDDARFIYGHGRARCA >OGLUM02G10230.1 pep chromosome:ALNU02000000:2:8017809:8019764:-1 gene:OGLUM02G10230 transcript:OGLUM02G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWWEEWQLRVLALTSLFLQLFLLVSATFRKYRVPALLRSCIWLAYLGGDALAIYALATIFNRHRQTASTDHGSVLEVMWVPVFLVHLGGQDSITAYNIEDNELWARHAVAMSSQAAVAVYVFWRSWSGGQVPESSPALLLFAAGFLKLGERLWALRRASFTRLAAVRSSAAGRRTPAREEEEDAGDDHIPLESYVHLASGYIQSQATGDHVPRPKDNYVFHVHPLIEPELQDVLMELFVDFPAPYPRRLAYLRSFLALEDDDAYEELCALLNQAFQFFYTKKEAAYTVVGIYLRTFTMLLGIAAIASFNDSRKDGFDGSDVVVSYVLLCSTLVLEICALLWLADWRFVTSRILPEMQRTVAQFNLIGFATRRRWPTMVVMRIAALFRCKKYVNQHWYLGHLSSTPMIIEFIRKDLKSRWVDDLTNAAAYRRFNDRRGQWTLRRERCYQELGWSVTELPFDEAVLVWHIATDIYLDCNNGIENPPATADERAAVKCSREISNYMMYLLLFQPDMLMPGTRQSLFAVACREIKHALRDQRQRLDERGVARWISENPNAAQPGDHLAAARRLAEAMMQMNDAGRMLKVISGVWVEMICYSASRCRGFLHAKSLGAGGEFLTVVWLLLHRMGMEVLADKLQKPEIPRHVQILP >OGLUM02G10240.1 pep chromosome:ALNU02000000:2:8029331:8032781:1 gene:OGLUM02G10240 transcript:OGLUM02G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSWPGMVQWWEEWQLRVLALSSLFLQCFLFVSATFRRYRIPALFRTCIWLAYLGSDALAIYGLATLFNRHRKAAAGGTSSNGHGRSSMLEVLWAPVFLIHLGGQDSITAYNIEDNELWARHAVAMSSQAAVAVYVFCRSWSGGKVPVRCPVALFVAGFLKMGHRLWALRRASITWHATVSSDRRSRRKTTAEEEGGDISLENYIQQAREQAATRNIDDAVNINDDGEAGRAARRRSREQRAQLLAPNILEELMELFIDFPSPYARRIGYLTSFMALENYDAYYNLCNLLDLAFQFFYTKKNTNYTIVGIFLWVLFFLLGITAVAGFDGLDSNKDGLDRDDVKPDMLMPGTQQSLFTVACREIRRALRNQRQQEKLSERELARWLLFSVDEPTTAAAEQGGGGGEGRHLANARRLAGAMMELDADRRLRVIGGVWVEMICYSASGCRGFLHSKSMGVGGEFLTVVWLLLHRMGMEGLADKLQRPEMLLLCNLYIRMIIYTYNPQVCHIRNRHL >OGLUM02G10250.1 pep chromosome:ALNU02000000:2:8035251:8037806:1 gene:OGLUM02G10250 transcript:OGLUM02G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQAMSGRFEAAARDEVQSLRDLVSQLKSTLQEHHGGVMYLNNAEITAAEARKSDLMAKKAKLDESLASARQFRALLQQQLQKSFASQIGDQKTTQTTI >OGLUM02G10260.1 pep chromosome:ALNU02000000:2:8038231:8045649:1 gene:OGLUM02G10260 transcript:OGLUM02G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIGGRRKAGVEERYTRPQGLYEHRDIDQKKLRKLILEAKLAPCYMGADDAAAAADLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTAQPTQCPFCKTPSYAVEYRGVKTKEERSIEQFEEQKVIEAQMRMRQQALQDEEDKMKRKQNRCSSSRTITPTKEVEYRDICSTSFSVPSYRCAEQETECCSSEPSCSAQTSMRPFHSRHNRDDNIDMNIEDMMVMEAIWRSIQEQGSIGNPVCGNFMPVTEPSPRERQPFVPAASLEIPHGGGFSCAVAAMAEHQPPSMDFSYMAGSSAFPVFDMFRRPCNIAGGSMCNLESSPESWSGIAPSCSREVVREEGECSADHWSEGAEAGTSYAGSDIVADAGTMPQLPFAENFAMAPSHFRPESIEEQMMFSMALSLADGHGRTHSQGLAWL >OGLUM02G10270.1 pep chromosome:ALNU02000000:2:8058101:8066790:1 gene:OGLUM02G10270 transcript:OGLUM02G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIQKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKCHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLHGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >OGLUM02G10270.2 pep chromosome:ALNU02000000:2:8059508:8066790:1 gene:OGLUM02G10270 transcript:OGLUM02G10270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 15 [Source:Projected from Arabidopsis thaliana (AT1G17110) TAIR;Acc:AT1G17110] MLQPRESDVPVLFVVFIVLPVIAYFLLGRWHDAVSKKARVSVLAQRAAEETFKVETMATPDVILPGPSLRPMPYMRSAPSARPEYHECATCHGPAKTRCSRCKSVRYCSGKCQIIHWRQGHKQTCQQWNGFGTSSSGGLPPTENTEQMPFLSNLNSPLRGSDVHLHDMDFDTMSEPSFVTTDSYNLDTSPFLSDRSNMNKPNQFLHTSENGAAIGSYEKNDYSIDGEVPSSEILSGNKGLNNSSGSGENCGNRDVIYPLNSVVHQPNNYAPEIRKRPKASITVYESDKGVYLTSDMISSGEGPYASAAESLQRSNSSGNVTGKGNMIQKKPPYPSGSTKTAVSTNSSLQGCNGISKAGASKVEALKKPSKFLKTSLVGLINDNKRSKVLFPYEDLVKFFQYEVRGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCNTKTQAPTLLGVVVKSLTISDFFDIDCFILLGCSKNWCLMCELEQYASTLRESGGPVSPSRILSNLRNIGCRLGGGSQEDAHEFLRHLVMSMQGACLDGLGGEKQVEASLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFQTGKYGKINKCVTFPDMLDMVPFVTGAGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQAVSLNQVMSEGAYMLFYMRSFPRPPKIYIEKGLSSVPTCSKCHSSKSSKGSKQDLNHTESLFASSDQTYGIYDFRPDNGYIQDQHAALRTRNFYHTDDAFADSISTDFSDATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPYYAQDHPPGSFASCTRLSPSNPQTRYFQENTGFVSDSSMPAHLHGNVHRGRYPDRACSSSAEPPASANPRSVYGRYGLSREGFVQTSGFCQM >OGLUM02G10280.1 pep chromosome:ALNU02000000:2:8070362:8071007:1 gene:OGLUM02G10280 transcript:OGLUM02G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRRGAPVQWSHMSAEFGRWWSFDGERRVKTQPDLGRTYNDGARVSFPLLRTLSRSRLAAAGPVLAFSRTCVLAL >OGLUM02G10290.1 pep chromosome:ALNU02000000:2:8091882:8096469:1 gene:OGLUM02G10290 transcript:OGLUM02G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIEAAAAAAAERGPIRAVAEAEAEAEAKVVDVEGKEEEEEEAAKVEEEEEEEEEREYKSDMRKLEELMSKLNPRAQEFVPSSRRAPPAAAAQAAGGLSADAPVFVSAAEYFGGAGAGAGQLQVGGGGGGGGGGGGRDSSSDGSSNGGGQPQNRRRRSGFNQGRRRTGGRTRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKIFFQQLCGKVSRLRLLGDYVHSTCIAFVEFAQAESAILALNYSGMVLGTLPIRVSPSKTPVRPRSPRVMSN >OGLUM02G10300.1 pep chromosome:ALNU02000000:2:8100356:8106223:-1 gene:OGLUM02G10300 transcript:OGLUM02G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGKAAMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVTYLLPRSCCSCPPPVRSIAHTVQECYELSAEYENDRDEARLDELGRKLTSLPPGDSIVVSSSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVTQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADDLHRSSRKAAKHYIEFWKQIPPNEPYRVILGGVRDKLYYTRERTRHLLTTGVSEIPEEATFTNVEEFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDAITTYLGIGSYAEWSEEKRQDWLLSELRGKRPLFGPDLPQTEEIADVLGTFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHIKQPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELVKVAKHYGVKLTMFHGRGGTVGRGGGPSHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRFTAATLEHGMHPPISPKPEWRALMDEMAVVATNEYRSIVFKEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGGAFKHIMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVAGDLQSFGEQLRNNFEETKQLLLQVAGHKDILEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPSFEVMPQPALSKEFVDSNQPAELVQLNAASEYAPGLEDTLILTMKGIAAGMQNTG >OGLUM02G10310.1 pep chromosome:ALNU02000000:2:8106538:8116289:-1 gene:OGLUM02G10310 transcript:OGLUM02G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHTSSPPPPPPPPPAWRSHVACAEEGRRRSAREVAGNTLVSGAESDPIKQSNEKEYVPNNPCK >OGLUM02G10320.1 pep chromosome:ALNU02000000:2:8129883:8136584:1 gene:OGLUM02G10320 transcript:OGLUM02G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSRPQPPPPPPGGGGGGAKPEPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAAAAADEAPEPDESGAAAPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGVQVKLAGDEEPPMRIVKNYKRPEERIPVERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >OGLUM02G10320.2 pep chromosome:ALNU02000000:2:8129883:8136584:1 gene:OGLUM02G10320 transcript:OGLUM02G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAILPLPAPDGDGDSRPQPPPPPPGGGGGGAKPEPPPTVATHTRTIGIIHPPPDIRVIIEKTATFVSKNGPEFERRIISHNAGNAKFNFLQPSDPYHAYYQHRVSELAAAPPSAAAAAADEAPEPDESGAAAPPADSAAAPTVDGEAAKADHSAPFRVPPPTKVLVPPKAELYTVHLPEGITGEELDIIKLTAQFVARNGKSFLTSLAQRESTNPQFHFIRPTHSMFTFFTKLTDTYSRVLNPVEGMPALLKDLQDGAKDLTTVLERCLNRLEWDRSQEQARQQAEDEIEQERMQMQMIDWHDFVVVETIEFADDECEGLPVPLTLEELKRRKRIEDLGEEEAAMELAEPAKDVEMEMDEEEIQLVEEGMRAARLEENEGVQVKLAGDEEPPMRIVKNYKRPEERIPVERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATQALSMGGEEQVDASNVPGPAPLPRPPMPLLRPPQPLPLVNVPRFQPSAMPYPPHQQPHLMQGVPHMMPTMHPPPPPPIPGQPQVIRMPGSMGPMPTNIPPPPPGQNPYMPGPPRPYSMPPPPHMPTMATMVNPIGIPQPPPPLPPQPPAEEQPPQPDEPEPKRLRTDDASLIPAEQFLAQHPGPAHISVSVPNLDEGNLRGQVLEIGVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNIGPGVVINLTLRERGGRKK >OGLUM02G10330.1 pep chromosome:ALNU02000000:2:8138155:8139132:-1 gene:OGLUM02G10330 transcript:OGLUM02G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 7 [Source:Projected from Arabidopsis thaliana (AT1G29260) TAIR;Acc:AT1G29260] MPAFKAPAPGFSVRFSPFHEQRLLAAASQHFGLVGNGHLLVLDLSAAAAGGGPGGAPAPAPVFAFPTSDALFDCAWSESHESLCAAASGDGSVRLFDVALPPAQNPVRLLREHAREVHGLDWNPVRRDAFLSASWDDTLKLWSPDRPASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVREPAPTLVIPAHDHEVLSLDWDKYDPSILATGSVDKSIRVWDVRAPRAPLAQLAGHGYAVKRVKFSPHRQGMLMSCSYDMTVCMWDHRKEDALLARYGHHTEFVAGIDMSVLVEGLLASTGWDEMIYVWPFGSDPRAM >OGLUM02G10340.1 pep chromosome:ALNU02000000:2:8148530:8150560:-1 gene:OGLUM02G10340 transcript:OGLUM02G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFLLGMVQWWEEWQLRVLALSSLFLQLFLFVSAAFRKYRIPAVLRSCIWLAYLGSDALAIYGLATLFNRHRKPSPADGHGSSSMLEVMWAPVFLIHLGGQDSITAYNIEDNELWARHAVAMSSQAAVAVYVFCRSWSLGKVPMRCPVALFVAGFLKLGDRLWALRRASLTRLAIVRSESRRRVTAAGEDQVDDSLEDYVRRAGEEATHNEHRGNYNDSENRREARRRRRRRRGQLLAANNLNELMELFIDFPAPYPRRLGNLRSFLPLRNHDAYYELCNLLDQSFQFFYTKKEAAYTVVGVYLRGLVFVLAVVAIAAFGEFDLVDKQMKGLDRDDVKVSYALLSSIVVLEFCDLVWLLDWQFVPSRMLAPEMQRTVAQFNLIGFASRSRWPTMVMRVSTLFGCKNYANKHWYVEHHSSTPRIVEFIRKDLKSGWVDLHSAAAYRRFNDRRGQWTLRREQYFGELGWSVTKLPFDKAVLVWHVATGICLHRTDISPATEHANERTAATCSMGISNYMMYLLLFKPDMLLPGTQQSLFAVGCREISHALRHQRQQEQLSERDLARWLFSVDEATTAAAEQGGEGGEGRHLADARRLARAMMELDADRRLRVIGGVWVEMICYSASRCRGFLHSKSMGVGGEFLTVVWLLLHRMGMEGLADKLQRPEITGDVQDAAV >OGLUM02G10350.1 pep chromosome:ALNU02000000:2:8150694:8154681:-1 gene:OGLUM02G10350 transcript:OGLUM02G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENYDAYYDLCKDHSVVDYRRFNDWPARPLTLRVTELPFDEAVLVWHIATAICLYCTDVSTAAEDADERAADGKIQLHDVLAPVPAGHADGRHGGTQRGRKKVPDP >OGLUM02G10360.1 pep chromosome:ALNU02000000:2:8154757:8155262:-1 gene:OGLUM02G10360 transcript:OGLUM02G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLPLRLRHLPQVPHPGTLQDMHLALPTSAPMLWRSKASIVTLFNRHRKPMPGAVADAGTSNGHGRSSMHYEARNHDGGGARRRHLVRELHIQQASEQAATRNIDDEDETSPSAVTTTTRIVVGRLAAVAASSGHSCLHPIS >OGLUM02G10370.1 pep chromosome:ALNU02000000:2:8164831:8174868:-1 gene:OGLUM02G10370 transcript:OGLUM02G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWWEEWQLRVLVLTSLFLQCFLFFSAPFRKQRIPAILRASIWLAYLGSDAVAIYGLAAIFSRHGGAATGDMSSSMLEVLWAPIFLIHLAGAQDSITAYDAEDNALWARRAVTMSSQAAVAVYVFCRSWSGGKVRARCPVALFVAGFLKMGRMLWALRRASATRIATVARKTAAADLSISLYLQRASKHAIEATRNRNNIQTNDDEDDDGDEQQLDVPHSVLDNQNEFMELFIDFPAPYTRRLSYLWSFLQLEPYDAYCQLFNLVDYAFQIFYTSRNADYPITGCFIRSIFFVLGIAAIGGFDGLDSNKDGLDTNDVKVTYILLWSAFFMEFTNLTPLAHQKWPMCKLAPQMKRTIAQFNLIGFTARSRWSMKMDSDPSRRYPLVRPCQTVLSRIATLLRCNNQHWYYVEHSSWTEEVVDLIRKDLRSRWVEDDLRSAATYRRFNDRRGQWTLWREQCYGEMGWSVAKLPFDEAVLVWHVATDICLYCTQYINISSSPVAGADKIAAVMKISNYMMYLLAFQPDMLMPGTRQSLFTAARHEIAHTLRHQGRHQQQLSGRDLVRCLAAGDDDEYSTPATEGQDGAGSRLLKRRGGGGHHLAHARRLADVMMKLDAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVGGGEFLTVIWFLLHWMGMESEAYMEPNLHKNSRKSIGFREEINKLSDRLAKDAKSNQPSAPVYVCQNWWEEWQLRVLVLTSLFLQCFLFFSATFRKHRIPAILRAFIWLAYLGSDAVAIYGLAAIFSRHGKNAGDDGGRGESSMLEVLWAPVFLIHLAGAQDSITAYDAAEDNALWARRAVAMSSQAAVAVYVFCRSWSGGKVPARCPVALFVTGFLKMGLMLWALRRASATRIATVGRKAAAVEANWSLERYLQWASKSAIEATRNIQTNDDGDGGGGENRSAARRHRSATSELQLDLIDLEYQNDLMELFIDFPNTYTSRLSYLSSFLKLEPYDAYCRLCDLVDYAFQIFYTSRNAAYPFAIWFVFLRFIFFMLASTAIGGFDGLDSNIDGLDANDVKVTYILLYSVFAVEFSNMISLRYHKWPVCKLEPQIKRTIAQFSLIGYASHSRWPREMDSNLSRRYPLIHTCQTVLSRVAMFFRCNNQHYWYHVEHSSSTEKAVDLIREDLRSGWVEDDLRSAAAYRRFNDRRGQWTLRREQCYGEMGWSVAKLPFDEAVLIWHIATDICLHCTEDIDISSSPAASADEVTAVMEISNYMMYLLAYQPDMLMLGTRQSLFMAAHHEIVHALRHQGRHQQQPSERDLARCLAGGDDDEYSTPATEEQVGAGSRLLERRGGGGRHLAHARRLAGAMMKLGAGKRLRVIGGVWVEMICYSASRCSGSLHLKSLGVDGGEFLTVVWLLLHRMGMEVLADKLHRPELAGDEPDAVGATFLSTDDATIADTIKRGNLDLEPGQCRANNIRDNFNLTNFSKVIYLHMGQKENEDM >OGLUM02G10380.1 pep chromosome:ALNU02000000:2:8183442:8190163:-1 gene:OGLUM02G10380 transcript:OGLUM02G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKFSAAVERDLVEKGAAAEGVAVELEAPGTAVVCAGRRREQQRSAETGGGGGTETVEERSGATRRRTRGSCEEWRRIVGHQGGDRGRLSVIEAEIVGGADSMEEGEGSGERWEGISEAGVGVLGTWFDGRVTSLAVAAAERAEENGGGGESGVERKGRERLRGSGGG >OGLUM02G10390.1 pep chromosome:ALNU02000000:2:8190661:8191572:1 gene:OGLUM02G10390 transcript:OGLUM02G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRRQVIVTVSVTEAEPVASWWPAAATARGSRLRPLPAGGRRLPRRWPDLVVAVADPRRRRLSPFSHSLVAMPPMRAKRGNTGGGDRGWGTGSLQCVASRECLRKKGAEELEARVPHVGVSPLSRDTRSGRTADSVSGETTQGYPLWMASVSSAEQPPADLEGDVGVDAGVPDLLVGEAEGVPAAHGHPLQLDEVGAHDDVHHGLQAGLYELRAAQPLRREGAKVGGVPRGEAVVVAQPLGVLVHADADEAVRGAGEEAAEGARDVVGVEEREDEAPAVDPELQQRDRVLRDGGGLVAARPP >OGLUM02G10400.1 pep chromosome:ALNU02000000:2:8191183:8193218:-1 gene:OGLUM02G10400 transcript:OGLUM02G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPLTARPHEPRRAIAPAVWDPTAAVVWPRRVGPYPGMETVHRDHLARPAPLSRRPRRHQSTTVTQNPVALLQLWVDRRCLIFPFLHADYIPGSLRRFLAGATDCFVGVSVDKDAERLSDDHSLPTGNTADLRTLAAQRLGCPELIQAGLQAVVHVIMGADLVKLQRVTMSRWDAFCLSNE >OGLUM02G10410.1 pep chromosome:ALNU02000000:2:8196324:8200301:-1 gene:OGLUM02G10410 transcript:OGLUM02G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQAHSKSCFHQFWDGLQIKRSSDSFTVELLPSLGATINHSNKLQKFIISPYDPRYRSWELFLIVLVVYSAWICPFELAFLRDLPSKLLLVENIVDIFFAIDIVLTFFVAYVDSKTHLLVDDRKRIAMRYLSTWFIFDVCSTAPFQPIILLFTHKGNDIAFKVLNLLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPNPEKTWIGAVMSTFRSESLWTRYITALYWSITTLTTTGYGDLHAENPTEMLFDIVYMMFNLGLTAYLIGNMTNLVVHGTSRTRKFRDSIQAASEFAARNQLPENIKQQVLSHFCLQFKTEGLNQQVMLDCLPKGIRSSIAYSLFFPIIRQAYLFNGVSGNFIAELVMEVQAEYFPPKEDIILQNEGEADVYIVVSGAVNIITTIHGNEQVYEKIAEGEMFGEVGALCNIPQPFTCRTAELSQLLRISKTRLREIIEENREDSNILMNNLVQKLKLQESLPDMNQPDRRFLSKYELFHVPREAWLLKKSQLHYTEHTNRGSSNNTPVFGGDRYSRQLLGEATRTSASENENSGMTDKEENHDEVHTNCETKKRTEEHCIQINSEDSSSTYSQRTMNATVQTGSPHKTEENITRRIPDEYYIKEANKRVTIHKYRHNSTVSAAQNGKLIKLPTSLEELFKIGSQKFQGFHPRKVVSRDYAEIDDVSVIRDGDHLFLLEM >OGLUM02G10420.1 pep chromosome:ALNU02000000:2:8208714:8209188:1 gene:OGLUM02G10420 transcript:OGLUM02G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAFDGDQIDPSSFHHTLSPMRPPPSCLHLLRVVPAQVGDSDRAARLAVLLSRKASDGIDNDQIMSCTGCQRQRPDRPKLLPPRSLTDEVTATCLDLLLLLLLRDALASAVRRLWENEVELDATRCCAAELEERLH >OGLUM02G10430.1 pep chromosome:ALNU02000000:2:8214552:8217305:1 gene:OGLUM02G10430 transcript:OGLUM02G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 6 [Source:Projected from Arabidopsis thaliana (AT1G29990) TAIR;Acc:AT1G29990] MAAAAASSSTPAAVREMQRDLESQANALSKIQKGTLETPPSPPLPSHISKNHQVRKQYTIQVGENELVLKACPARRALLLPLYELELVNDEANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEEKQNSKKESIFKLQQKMQAVQAKA >OGLUM02G10440.1 pep chromosome:ALNU02000000:2:8225158:8225391:1 gene:OGLUM02G10440 transcript:OGLUM02G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEICRSSTTVVASKQSSPSPSSSAGASQGETLPRGSEMIPPAVTVAESSRWKEQQHQQQAVPWAKLLSQSSRRCMP >OGLUM02G10450.1 pep chromosome:ALNU02000000:2:8239798:8240376:1 gene:OGLUM02G10450 transcript:OGLUM02G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRSQGGGGVAGEYQYYYHGGTGVGGLVDQEMAVAAPPSSDDGVVLLMELLDGEEEMGDDYSPSPAATTDGDGDADQLSRVIRSLEAEIGGGGATGAVAAATATRDSDESMAAAGPASDDDGAAAAGIRRLEDMFSDDLDGYGGGAFGYGWPPELALPAAASWCVYDDEHLYYGDGSIDEQVYSPLWEQ >OGLUM02G10460.1 pep chromosome:ALNU02000000:2:8247270:8264905:1 gene:OGLUM02G10460 transcript:OGLUM02G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 4 [Source:Projected from Arabidopsis thaliana (AT3G14570) TAIR;Acc:AT3G14570] MEVEIVEVGPSSRPLRYVPAQQRWRPTPTPLPPPPPPLPPPPAASAASSGAGDAAAVAAAAANQFDSEKLPQTLVSEIRPFLRVANQIEHESPRVAYLCRFHAFEKAHMMDPRSTGRGVRQFKTALLQRLEQDEKSTFTKRMAKSDSQEIRLFYEKKEKADERELLPVLAEVLRAVQIGTGMEKQKRIASETFADKSALFRYNILPLYPGSTKQPIMLLPEEKKGNVANQREHLILLLANMHARLNPKSSSETMLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYISLYLLIWGEASNLRLMPECLCYIFHHESLKNKNGVSDHSTWRNYDDLNEFFWLDTMLYWVIAFANCFKLGWPMRLNNDFFFTSNKNKNSRLPIVPPVQQTEQQIAQLRTSQQTDQQNTQLRTSQQTEQQNTQLRTSQQTEQQNTQLRTPNGSSSFQNMLNPEAPEQTQQVLIIMAWHGLESPLQLLDPIIFQDVLSIFITNAVLRVIQVILDITFSWRTKRTMRFSQKLRFAVKLSIAVAWAIILPIFYASSQNYLSCSARRPKTFLGIFCLSKYMVVVALYLTSNVIGMALFFVPAVYFMDTQIWYSVFCTIFGGMCGIIHHLGEVRSGAIKTGIYFREMDLMTMPMSLEHRSGSIRWPMFLLAKKFSEAVDMVANFTGKSTRLFCIIKKDNYMLCAINDFYELTKSILRHLVIGDVEKSFSSACPCEYYYDVLQILSRVIAAIYSEIEKSIQNASLLVDFKMDHLPSLVAKFDRLAELLYTNKQELRYEVTILLQDIIDILVQDMLVDAQSVLGLINSSETLISDDDGTFEYYKPELFASISSISNIRFPFPENGPLKEQVKRLYLLLNTKDKVVEVPSNLEARRRISFFATSLFMDMPSAPKVSNEWRNFLERLGPKVTQEEIRYWASFHGQTLSRTVRGMMYYRKALRLQAFLDRTNDQELYKGPAANGRQTKNMHQSLSTELDALADMKFSYVISCQKFGEQKSSGNPHAQDIIDLMTRYPALRVAYIEEKEIIVDNRPHKVYSSVLIKAENNLDQEIYRIKLPGPPLIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRGKAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRMFHLTRGGISKASKTINLSEDVFAGYNSILRRGHITYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLIEAETQNMKSLETALVSQSFLQLGLLTGLPMVMELGLEKGFRVALSDFILMQLQLASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVAFHASFTENYQLYSRSHFVKGFELVFLLIIYHIFRRSYVSTVVHVMITYSTWFMAVTWLFAPFLFNPAGFAWRKIVEDWADWTIWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLKISQDNKNFLVYLLSWVVIIAIVGLVKLVNCASRRLSSKHQLIFRLIKLLIFLSVMTSLILLSCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGSLLFFPIAALAWMPVISAIQTRVLFNRAFSRQLQIQPFIAGKTKRR >OGLUM02G10470.1 pep chromosome:ALNU02000000:2:8271885:8273771:1 gene:OGLUM02G10470 transcript:OGLUM02G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPRAPSAAAAAAAGQEWSAMAAAGEFLGFAAARRGAHRRSASDSAAFLMEAAVPMDDVIVGVGGGGEFDRLDDEQLMSMFSDVEAPAVSDGGGGGGERGPAGEAHLMDMGDGDDGMGATSPAGAGAMAAAAAAAAADGIADPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHQQQIKATGGADIATAASMQAKHELLACEGAAMR >OGLUM02G10480.1 pep chromosome:ALNU02000000:2:8274252:8284691:-1 gene:OGLUM02G10480 transcript:OGLUM02G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0D9YPV5] MALHAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNCILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDALSVLDKIAIPVDVDDRVAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGIREVDIKKYIKVEKVPGGQLEDSKVLKGVMINKDVVAPGKMRRKIVYPRIILLDCPLEYKKGENQTNAELMKEEDWQVMLQMEEEYIENLCAQILKFKPDLVITEKGLSDLAVHYLGKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKFGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNIWKNPKLLPGGGATELTVSATLKQKSSSVEGVEKWPYEAAALAFEAIPRTLLQNCGLNVIRTMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASSAPKQPQIEQEGDADNEQMIPE >OGLUM02G10490.1 pep chromosome:ALNU02000000:2:8292262:8292528:1 gene:OGLUM02G10490 transcript:OGLUM02G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKGLHELGPSGARAGRLALEYSTNARKSTDHVVKRKARTWEAESAQRTTRASVKSSVRTTTTSQSRWSTPSTNLPPTSNRVMPVP >OGLUM02G10500.1 pep chromosome:ALNU02000000:2:8292825:8294047:1 gene:OGLUM02G10500 transcript:OGLUM02G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMGRVTELHDPMSSTEKCNNGVKLLEPDLSIAATNRKRHGKRERVEDCNCSIHPPSGRAREDDSDCAIAAERRKEAKRDHGCTVRCEESRESRPPPPWERGKR >OGLUM02G10510.1 pep chromosome:ALNU02000000:2:8295185:8300981:1 gene:OGLUM02G10510 transcript:OGLUM02G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTRCLPVLLLLLLLLLVVAPLLAHGRRPFISDGGNANAYANASVLRLPSAAAAAAAGEDMGCEMSYGILPCTTTAWGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISVLPFIIVQIPKIFKLHSGHQITVLIGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKHSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQSFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKVEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >OGLUM02G10510.2 pep chromosome:ALNU02000000:2:8295185:8299613:1 gene:OGLUM02G10510 transcript:OGLUM02G10510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPTRCLPVLLLLLLLLLVVAPLLAHGRRPFISDGGNANAYANASVLRLPSAAAAAAAGEDMGCEMSYGILPCTTTAWGNLFLVLAYGFLMFKSATYLSSGSEMLLQILGPGIVGGLFLPILGALPDALLILVSGLSGTKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLSENSTAIDSRDTKGFSLLGSGVSTDKQTSYAARIMAISVLPFIIVQIPKIFKLHSGHQITVLIGLIVAALLLLSYCLYQVFQPWIQRRRLEYTRLKHVMSGLLRHAQKHSIGRLLDDEGRPNVSVIEKLFHRIDQDNDGKLERGELQSFIVGINFEDIDWNSNLAADQVMADFDTSRNHFIEKVEFVNGMLRWLDEAKRTVTSGAYSKKFLNDFHARTRDEQTGLLDKDEEEGEADGNPTWTCIKAILLLLLGTAMAAASADPLVDAVHNFSNATHIPSFFISFIVMPLATNSSEAVSAIIFASRKKKRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSSEVLIILLVCIIMGLFTSFRTDFPLWTCFVAFLLYPLSLIMVYILDYKFGWS >OGLUM02G10520.1 pep chromosome:ALNU02000000:2:8299920:8300543:-1 gene:OGLUM02G10520 transcript:OGLUM02G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKLLLAVVPLAVIAGVLVYVAGVPWAISIVVLVVVFVVVHWARRGRSPAAGGGGGMVRDDQEPTVARPAAPSAVVVVVAPPLPPPLPIHRVPAAAVQPSAPPVVADDVALLAYAYEKKKKKRRGSDGDSGGGDDGDGGGEECSVCLGEMRQGEAAKRLPVCLHVFHEECIDMWLGSHATCPICRSPVDAGAVAARVQVQVQVLSC >OGLUM02G10530.1 pep chromosome:ALNU02000000:2:8301152:8345596:-1 gene:OGLUM02G10530 transcript:OGLUM02G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPQIWQGGYSTHDTLVLLGIGFFATAVSVLMIVLCECLCCRRRRRGGGTVVYVAARPFFLGGGGDSGGGLSASAVATLPSFVYRREEWAEAAPRGDGSGSGRGGGGGWAQCAVCLSIVQEGETVRQLPACKHLFHVGCIDMWLHSHSTCPLCRANVEPLGKETPLKDQAPPRNGSDHVIDFRRDSLHVKPERRCILTCGSVGDGIYLSVTTQGVDAWESRQGASRECRFDRLQAFEPLRKVRSEAGVTEYFDERNEQFRCAGVFVIRRVIEPQGLVVPRYSNTPALAYIIQGKGYVGLTFPGCPATHQQQFQLFEQRQSDQAHKFRDEHQKIHEFRQGDVVALPASVAHWFYNGGDTPAVVVYVYDIKSFANQLEPRQKEFLLAGNNQRGQQIFEHSIFQHSGQNIFSGFNTEVLSEALGINTEAAKRLQSQNDQRGDIIRVKHELQLLKPTLTQRQEEYRQYQQVQYREGQYNGLDENFCTIKARVNIENPSRADYYNPRAGRITLLNNQKFPILNLIGMGAARVNLYQNALLSPFWNINAHSVVYIIQGSARVQVANNQGRTVFNGVLHQGQLLIIPQNHAVIKKAEHNGCRYVAIKTISDPTVSRVAGKNSILRALPVDVIANAYRISRDEARRLKNNRTDEIGPFTPRFPQKSQRVTMLLVNLYSRLTASTGVGVGVDDDDDDGSGGYGVLDGACGGTLAVFCALAVSVVVWKACAFVAMAAALLAIGWRVVAPRRSVGRAGAGAPTPAECGLTAAAIDALPASEYERPLGGGGDPACSVCLEDVRGGETVRRLPACGHLYHAACIDAWLRSRTTCPLCRSDLSSRRGGTASGRPRPRLVTHESLLPPLPSPQTNGKLIDKEALGSTFVIRRVIQPQGLLIPRYANTPGMVYIIQGRGSMGLTFPGCPATYQQQSQQFLFQGENEHQKIHQFRQGDIVVLPTGVAHWFYNDGDTPVVALYVYDINNSANQLEPRHREFLLAGKNNRVQQVYGRSIQQHSGQNIFNGFSVEPLSEALNINTVTTKRLQSQNDQRGEIIHVKNGLQLLKPTLTQRQEQEQAQYQEVQYSEKPQTSSRWNGLEENLCTIKTRLNIENPGRADSYDPRAGRITSLDSQKFPILNIIQMSATRVNLYQNAILTPFWNVNAHSLMYVIQGRARVQVVSNFGKTVFDGVLRPEQLLIIPQNYVVLKKAQHEGCQYIAINTNANAFVSHLAGVDSVFRALPVDVVANAYRISREEARRLKNNRGDEYGPFPPRLQQQIYPEFSNESKGETSESSAASSTAAAGDDVDGFRVFYGIAVVCLSIFLFCALAASVSVWKACAYAAMAALVLSVVGCFAPKRWDRRSRGGAEAERAAAXXXXXXXXXAFVHGCPLESGAAAAAGSCAVCAVCLEDVRGGETVRRLPECGHLFHVECIDMWLHSPHRTCPMCRCVVSPPARAAAKAAAEVVVSPESTADDVLPPVISAATLRGGGEEERGGGRGRRACYGIAASFAAVLLFCVLAVVGSVWKASVLAGLVLLAFGVADYLAPASWCRRRGGTNTRAAEREAQPGASSSSTFGLEKAAVDALPTFAYASGGAGAAQGGGDLEAGNGEPCSVCLEELHAGEIVREMPACKHLFHVECIDMWLHSHRTCPMCRCDLSPPREVAAKEATAAETAAPPGDDALPPDRIAQRGIFPLDDGWINPDDLPGYSRPSARASGASDEVGHVTPLTMREQRRREGGSGMQARLPASGCECGGGSGKKGGGEPEGWRRRQRKEGVAASPKARDGGNGKKGRRRDAPAAYNGAVTAAAIAYQKRPPPAPRAADDDEAERRGVVGAAAPHPQLRSPAAAELAVPCLRRRVAAQHEPRACAHGDSGGGDLEAGNGEPCSMCLEELHAGEMVREMPSCKHLFHVECIDMWLHSHRTCPMCRCDLSPPRDVAVEETTAAETSPPADDALPPVVGGGSGGGDDDYECRACYGVSVACVSLLLFCVLAASVSVTKACAVAGLAVLLFGVIGWFVPLCGAGGPPARAGAAAGVTRCACRLVGAALIATLPAFVFEGPAEGGAGGGGGSKHGGSVLCAVCLEDVARGETVRRLPACGHLFHRDCVDMWLHSHTTCPLCRCEVLPRKPAAKPAPPPPAQAAESTSAYADPDALPPMFNGERRPAASQRGGDGGYLACYSVVMVCASLLLLSVLAATVSIAKACVFAAAAAVLFCAVGCVSRWCGDAGGAPAALPTTAAAAEEARPRAPAAAACATCGLVGAAIDALPAFAYARPAADDGGGGGSKSGRCALCSVCLEDVQAGEMVRQLPACRHLFHVGCIDMWLHSHSTCPLCRCNVSPPATIVVKATATSTATAAAAAQQLPADTLPPCCFRVYGLAIANAVSIGGTSLLVYQLVRLARTPGSKGGVVALAIFLVFWVSINAVAYSVFCGMLFPWSALRRCLAPLPRAARWLLCLPCRCARRRRRRPATSTSSSASALPPHMYVLEREPPVRWGARVATADDIPAYEQPAASEGGAAAAAAECAVCLGEVEKGEMVKRLPVCLHMFHRRCIDPWLRDHSTCPVCRCDAFAAPPLPAQMVQIPQEKPHARPSRRRRARGSSSTYGGGRPGRRAMDWFVRCYMLAIANGVCIGGAAMIVYGIVQVSRTGGDAGSLVVLSLFLALWVAVGSCVYASFCGAFFPWASLRRPLAPVRDALSRCARALLPRRNGGGGDGLPSHLGDGVQPRETPTVRGGGGARVATADDIPAYEQPPAGEGEGGAAAAPECAVCLGEVEKGEMAKRLPACLHVFHQRCIDAWLRGNSTCPVCRRNAFAAAAPPLPAQMVSNHVVSAHAIFFAAVVASSSSTPSPSSPHPRPLLPLLRRSQAPAVAAHAPACCCRHRRARAQATGCDGAGAAKRCGAHSPLVAASRVTRTATATATLSARCAPARWSRGRRCGGCRRASTCVPRRVHRPVAARLRASATCPVCRCARRRRNGVHAHRR >OGLUM02G10540.1 pep chromosome:ALNU02000000:2:8319309:8319926:1 gene:OGLUM02G10540 transcript:OGLUM02G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYMVTHGRPHVARVLCHLQEIWLDNTSEIYGHIVLVNKTIKVVRKASKSMQSYDRTMEVLKELDINGMSREQLLNNEKSKQAEHKQLKDYSKRCSVC >OGLUM02G10550.1 pep chromosome:ALNU02000000:2:8323028:8323915:1 gene:OGLUM02G10550 transcript:OGLUM02G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARGGRNPADDGEPVALEPDELCYLGTDAVLAGVDGEAELDVGLDGAVAVRPGEQGVGGDDAGAREQGGGDRRGLDLATARSLNGVRVANEILRLVPGADVFHTTLRCVKESTSCSEDSTAPRRRIIRRCLAASKEPRRQQEREAVSATAASTPRISGWRGRRGRSGGEEIDRPAPHPANVSASARFVLGGDAAAETRDSKLGGGRRAQLRMGSGGADDSAPLGLIVVGGSGRGRRSLLIGDGGCGHRAIVRGGGVAPPCFSSLVRHHRPHEGDEEAVELAPP >OGLUM02G10560.1 pep chromosome:ALNU02000000:2:8351445:8352219:1 gene:OGLUM02G10560 transcript:OGLUM02G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAGGAPGPAAQHHGGGGGGGGCCSSGVTLELVGAFTAVCLVLYGVILYFNYLYVRWSGRDGVHRTSGGGGGGGGGAAARKRGGGGGLDKAALAAIPVFRFKASASAAALGGGEAECAVNAIVPIEMNNAYVQCLGTL >OGLUM02G10570.1 pep chromosome:ALNU02000000:2:8351606:8390627:-1 gene:OGLUM02G10570 transcript:OGLUM02G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHAYWTGGGLLPGRDAMADGAETRMIWWRPHIVEERERMITNHPSSAEIGFRSSVPLTRRRHHGMSYQRMEAPKLLGTTLLWSEEATECGQVHSGLAESWPNLRSTGCRPAAAAAGSGAFRQGGFAGGGGGTAGRARQTGQVPAAADADALNLNTGMAASAALSRPPPPPLFLAAAPPPPPPPPPEVRCTPSRPLHRTYR >OGLUM02G10580.1 pep chromosome:ALNU02000000:2:8357444:8357782:1 gene:OGLUM02G10580 transcript:OGLUM02G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGSRACGGDDKENVPPMPAMAAAVAASSWHGIAVVKNQRMKRPGGGGGKLRRRVPLRDITNLMYVAARPPAPPAASSVTAAAAAARSREEPVAAAAALPARRSLRKEFR >OGLUM02G10600.1 pep chromosome:ALNU02000000:2:8384478:8385658:1 gene:OGLUM02G10600 transcript:OGLUM02G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFPSCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNSANQLEPRQKEFLLAGNNNRVQQVYGSSIDQNIFNGFGTELLSEALGINTVAAKRLQSQNDQRGEIVHVKNGLQLLKPTLTQQQEQAQAQYQEENFCTIKARVNIENPSRADSYNPRAGRISSVNS >OGLUM02G10600.2 pep chromosome:ALNU02000000:2:8384360:8386347:1 gene:OGLUM02G10600 transcript:OGLUM02G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTIFSRFSIYFCAMLLCQGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYSNTPGLVYIIQGRDSMGLTFPSCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDVVALPAGVAHWFYNDGDASVVAIYVYDINNSANQLEPRQKEFLLAGNNNRVQQVYGSSIE >OGLUM02G10610.1 pep chromosome:ALNU02000000:2:8390729:8393648:-1 gene:OGLUM02G10610 transcript:OGLUM02G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHPRPLEDDDLLAEILLRLPPRPSSLPRAAAVCARWRRLVTADPAFLRRFRARHRRGAPLLGFFHSHRVSPSFVSTMDPPDRVPAAVGAGGRFSLRFDDFRCRILGCRDGLVLAVNPVMPRRRGCFLVWDPVSGDQRRVAFPPECDQGQTEVRNGAVFRLPGGGGGFRFQIVLVGTRHQYEAIGCIYSSETGKWGDLIATPLPHNLTRISLAVPGVRIGDSLYWLISGIPGGILEFDLNEQRLAVIDDVPMAVSDGYRRFCVVPAADGGLGFVFMSDLGSQFWRRKNDWDDDVSESGWVLEKTVQLGELLSLSPTERKGSPIVMGFSEDYNVIFLKTINGLFMVHLESMEFKRILKDCAALFIYPFASVYTAGMSIGDGHDEDGHSPAMLVYNPLNPTFSIAHLLFCPYQINPLYPFVARLGDGLVVRGLSLVELIIQNLAQACELMKQFELAGVSSWPPGRLDTTAAVALEATPPRQSKEDPSTAIVLCSEATTVD >OGLUM02G10620.1 pep chromosome:ALNU02000000:2:8402753:8404747:1 gene:OGLUM02G10620 transcript:OGLUM02G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFSRFSIYFCVLLLCHGSMAQLFNPSTNPWHSPRQGSFRECRFDRLQAFEPLRKVRSEAGVTEYFDEKNELFQCTGTFVIRRVIQPQGLLVPRYTNTPGVVYIIQGRGSMGLTFPGCPATYQQQFQQFSSQGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNDGDAPVVAVYVYDVNNNANQLEPRQKEFLLAGNNNRAQQQQVYGSSIEQHSGQNIFSGFGVEMLSEALGINAVAAKRLQSQNDQRGEIIHVKNGLQLLKPTLTQQQEQAQAQDQYQQVQYSERQQTSSRWNGLEENFCTIKARVNIENPSRADSYNPRAGRITSVNSQKFPILNLIQMSATRVNLYQNAILSPFWNVNAHSLVYMIQGRSRVQVVSNFGKTVFDGVLRPGQLLIIPQYYAVLKKAEREGCQYIAIKTNANAFVSHLAGKNSVFRALPVDVVANAYRISREQARSLKNNRGEEHGAFTPRFQQQYYPGLSNESESETSE >OGLUM02G10630.1 pep chromosome:ALNU02000000:2:8417937:8418224:1 gene:OGLUM02G10630 transcript:OGLUM02G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITLSCLCLCLLLLVTGSSPPVSVSVSGDRCPVLHHHRRLHDMVAAGGRGDRTSATAVETVLPRQREDGEEIDETVYEGSKRLSPGGPNPQHH >OGLUM02G10640.1 pep chromosome:ALNU02000000:2:8423545:8424715:-1 gene:OGLUM02G10640 transcript:OGLUM02G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVGVAVLSSTSIATPPRPRRQGLAVDVLSSADITTSPLSRWSCLVPPLQGALTIREEIELMRTVYKLLGGLHAFNEFNAGNLDELIILKSIAGNHLFIAIVEII >OGLUM02G10650.1 pep chromosome:ALNU02000000:2:8425612:8434015:1 gene:OGLUM02G10650 transcript:OGLUM02G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPGAGAGGEERPAASPAAPAVAEAAEEGPVTSRWAPEIRVYRRKYPRKNPKPPPNPSPSSSPLAQTLASIRRSIRRPEDGPAAPRPDPPAAPASSPPPPPPSAPAAPAQQGEPAAAASDDVSAGPNRDGGAVPNGHGDVRAAAEEKARKRRARSELRRQLASELDQVRGLSKRLKAAAEAIAAESAAALALPVVVPPPQLPVGYAHSQFALADPVTPIPGQVAGAIVPVRSVMQRGPLTVSVTHTESFEKEKRTPKANQLYQNSEFLLAKDKFPPSDSHGRKKPKHHKKKHRSLASHGAGYDAEQRLYSHAFKKSMSLLSRLMKHKFGWVFNKPVDAVALGLHDYFAIIKHPMDLGTIKTRLTHGQYRNPREFADDVRLTFHNAMTYNPKGQDVHFMAEQLLGIFEAQWPEIEAEVQYLASCPPLPNKFPPPPIDVRFLDRSDSVKHHMALDSKSRPLSHTPTYSARTPSMKKPKAKDPDKRDMTIDEKRKLSNNLQNLPPEKLDVVVQIIKNKNLSVRQHDDEIEVEIDSMDTETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELHAQHVAPQQPSQEPNIGVKSPKRNLIVDEKLATSVPEQTDNNGQNASRSSSSSSSSSDTGSSSSDSDSDSSSSDGSDAANSS >OGLUM02G10660.1 pep chromosome:ALNU02000000:2:8436731:8440249:1 gene:OGLUM02G10660 transcript:OGLUM02G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVRGILVVAAVLAVAAILAGAAEGKVNGKAKGKYRALFNFGDSLADAGNLLANGVDFRLATAQLPYGQTFPGHPTGRCSDGRLVVDHLADEFGLPLLPPSKLKNSSFAHGANFAITGATALDTPYFEAKGLGAVVWNSGALLTQIQWFRDLKPFFCNSTKAECDEFYANSLFVVGEFGGNDYNAPLFAGKGLEEAYKFMPDVIQAISDGIEQLIAEGARELIVPGVMPTGCFPVYLNMLDEPADGYGPQSGCVRRYNTFSWVHNAHLKRMLEKLRPKHPNVRIIYGDYYTPVIQFMLQPEKFGFYKQLPRACCGAPGSVAKAAYNFNVTAKCGEAGATACDDPSTHWSWDGIHLTEAAYGHIARVGYKGGIGLSKA >OGLUM02G10670.1 pep chromosome:ALNU02000000:2:8447110:8448516:-1 gene:OGLUM02G10670 transcript:OGLUM02G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQQQKQPRKAKQEEGEGHSAEEAAGLEEIGRYRAQAQQNSMDAIRDAEERYAKANREHGGATRAPGATVVSCVERKKEQPPPPPQHGKKHEAAEERHGGGKAETGGQEGVTGKNRGEGRQQHGEPDAAGAAAREKSAGATHGAKQAGSTAATRAADYAAAKGTEAKDAGAHAATEKAKVAAGTATDYARQAAAKAKDVTLSTGGTAAEKTKDVALSTGGTASEYAKQAAVKGKDVTLSAGETAAEHAKAAAEKARDAAVAASRTTAEYTQQAAVKAKDVTLSTGATVAQKAKEVTADTAHKVAEYAREKAEQGKEAAARAADGAEEPSSGTADKAGDMAGQTKDTTSDTTGGMAHKAGAMAAQTKDTVKDAAAAMAQKTSDTIAQAGHGAGEAKNRAAESGKNSSATTTIGGSGGGDGDDTTVVGDVLEAVGATVYGIAKHTKGIVAGEEELVPVAKEEDKGKLE >OGLUM02G10680.1 pep chromosome:ALNU02000000:2:8448727:8466042:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMILQGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQGEIRPQSQSLLCLKAYRLFPSCLHPGKTMFNLIRTFWHFVELLNATSDGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >OGLUM02G10680.2 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMILQGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQGEIRPQSQSLLCLKAYRLFPSCLHPGKTMFNLISVDGWFVTKDCCLEMLR >OGLUM02G10680.3 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQDPI >OGLUM02G10680.4 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMILQGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >OGLUM02G10680.5 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQDPI >OGLUM02G10680.6 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >OGLUM02G10680.7 pep chromosome:ALNU02000000:2:8448727:8466082:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMILQGEIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >OGLUM02G10680.8 pep chromosome:ALNU02000000:2:8448727:8461314:1 gene:OGLUM02G10680 transcript:OGLUM02G10680.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATNGAAAASPCLRHAHLLGLLIEAHPVEKFLWAKFKMQGDIMILLSDITLQVKVKLPYTDMMVTTSVTFCGRLTFKPKMLPSSIKTQLPSLWWNTLDVTLQGEIRPQSQSLLCLKAYRLFPSCLHPGKTMFNLIRPQIQLSLCPQTCANPQLFTAQIHPNKTMFNLVR >OGLUM02G10690.1 pep chromosome:ALNU02000000:2:8449429:8469530:-1 gene:OGLUM02G10690 transcript:OGLUM02G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCHTILESQTRCIHFKGSTKRQKTRVRPRDEDAKKMKSEQGNPGFKIRPPKRPQAEGSFVDTAARQRRRRRRRNRERCAYVSTAVDPQNKKN >OGLUM02G10700.1 pep chromosome:ALNU02000000:2:8466711:8468870:1 gene:OGLUM02G10700 transcript:OGLUM02G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase-related [Source:Projected from Arabidopsis thaliana (AT4G18593) TAIR;Acc:AT4G18593] METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPECTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGMQCSCGAWVNPAFQLVKSKIDQCEM >OGLUM02G10700.2 pep chromosome:ALNU02000000:2:8467343:8468870:1 gene:OGLUM02G10700 transcript:OGLUM02G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase-related [Source:Projected from Arabidopsis thaliana (AT4G18593) TAIR;Acc:AT4G18593] METIQALELGVEQKKSEPEVDEMPGSMEDQKPAEISQDKVAETDIKPAVQTELETSPVANPNPAETNQYTDGVTYGDLETTDPGTTYRCKRCRTLVATEGYVVTHKVGRGEKCFATRKKYHVDEKEPECTCLFVEPLKWMQPVVEGYISGKIACRKCNSRLGQFHWAGMQCSCGAWVNPAFQLVKSKIDQCEM >OGLUM02G10710.1 pep chromosome:ALNU02000000:2:8469618:8469968:-1 gene:OGLUM02G10710 transcript:OGLUM02G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVATAPPLERPRPCRRGVDGWRGAEATVGVGLPAAPAPWMDEIEMMMLYETCDLAEMLCVDVAAGGGGCHGGGGRR >OGLUM02G10720.1 pep chromosome:ALNU02000000:2:8472035:8472415:-1 gene:OGLUM02G10720 transcript:OGLUM02G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGCNGNGGGGGGVKVTFIETQFVTSDAAGFKSLVQRLTGNDAAVPAAPPQRPRPCRADGWRGAGGASATVVKREAVPAAAAPWVDEMMMLYETCDLAEMLRVDVVGASGGGRCHGGGGYGGFPC >OGLUM02G10730.1 pep chromosome:ALNU02000000:2:8473667:8473927:1 gene:OGLUM02G10730 transcript:OGLUM02G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRHGHGGCCRNRTLFRLHRASLPLSLSLTAPAAELLTIATSPAAAAAGSGEQWATTTGRAAVPPDLGEESEVGGGDGEGYSAV >OGLUM02G10740.1 pep chromosome:ALNU02000000:2:8479086:8480042:-1 gene:OGLUM02G10740 transcript:OGLUM02G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCSTASSVTATACPILVCSAKRIGNGCKHRQIRFTEKFFLSDIVVHKRRQFSGMVGPLTSDHMFGARQ >OGLUM02G10740.2 pep chromosome:ALNU02000000:2:8478663:8480042:-1 gene:OGLUM02G10740 transcript:OGLUM02G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCSTASSVTATACPILVCSAKRIGNGCKHRQIRFTEKFFLSDIVVHKVGSATIPFNQKYEVGTVQFKNRFFSTKIIRQGKDT >OGLUM02G10740.3 pep chromosome:ALNU02000000:2:8477815:8478781:-1 gene:OGLUM02G10740 transcript:OGLUM02G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAGSATIPFNQKYEVGTVQFKNRFFSTKIIRQGRLSISSVPIFFGFGNYKKLIRKDKQHILHGINEVIPRRACNMIDEAGAISSHLQDVSVRICLAESFCLSAETTKQPFLSVLPLFHLYEI >OGLUM02G10750.1 pep chromosome:ALNU02000000:2:8481959:8482156:1 gene:OGLUM02G10750 transcript:OGLUM02G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRGDFPWYGGARGAMVVQTVEERVDSLKNPAHGGERAREREEEMRRWAVGDAGERGAKARAA >OGLUM02G10760.1 pep chromosome:ALNU02000000:2:8482673:8485730:-1 gene:OGLUM02G10760 transcript:OGLUM02G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRKEQGSGSLLVRNIPLSCREPRGFAFVEFVDPYDASEAQYHMNRQVVFGREITVVLAAESRKRPEEMRSRARVRGYSDHEGRRSSHYGHTLLLQDDEMTIPHPHREKTHIVQNLLGVSQKNMKKIRSGDPILLPIKMGTSVMLIMVMKRGRRQLTAMDPLRTGGPPGNPQDRLQDPAPGLPTGLLPAVTNRRTLRTLCYILSSFLLVRPDQFK >OGLUM02G10770.1 pep chromosome:ALNU02000000:2:8506961:8510277:1 gene:OGLUM02G10770 transcript:OGLUM02G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLAVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQEEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGSGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGAGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFDASMHLPQLASADAAPCGGGGGGHVAVASMNPLDAAGCGSQNMMTMKMAATSGGEMLLMSGGGVDGGRFGAAADWSILDKLLASHQNLDQLFHGKVAGAHQQQQQMAMDAASSLQRLPFHHYLGLEAADLLKNPRSLQFSIHSDPVHSQSPTVQSQTESHRRTIPHLLIGRRRRGAKPLSPPRCGAKPPPPPGPIASSPSRHIAAVGATHAGSAAPHRLLAPSRATSPPPGQANQRRRRGAPSPPRLPHRQAPRFDL >OGLUM02G10770.2 pep chromosome:ALNU02000000:2:8506961:8508959:1 gene:OGLUM02G10770 transcript:OGLUM02G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPNGAPLAVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQEEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIFLGSGGGTRIGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVDVPEEGWVVCRVFKKKSIHQRGFDQPDMAAAADEDELRYQLLHGAGMSSSPVDQKHVLLQEQLVAHGAHGGGFVVPAFDASMHLPQLASADAAPCGGGGGGHVAVASMNPLDAAGCGSQNMMTMKMAATSGGEMLLMSGGGVDGGRFGAAADWSILDKLLASHQNLDQLFHGKVAGAHQQQQQMAMDAASSLQRLPFHHYLGLEAADLLKFSM >OGLUM02G10780.1 pep chromosome:ALNU02000000:2:8515064:8516185:-1 gene:OGLUM02G10780 transcript:OGLUM02G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGALSPVEEKPAVVKTTKAEQHEEEAAVAVKSAAEMMKKSSPCCPRCNSIKTKFCYYNNYSMAQPRYFCRECRRYWTQGGSLRNVPVGGGCRKSKRSSASSASASAASPPAPAVGAAPPVVPALSSAISKLLQSEPMAAPCADFPNVLPTFVSTGFELPAAAGDRLSLGSFGAFGNLSAAVAAPGGGGGSSTTTSFMDMLRGVGGLFDGVGNSHQMGGNGGGGGSYYAPLITGAGNGMLMPPPPLPPFSGSLMQHGMQGLFANHAMGGGGGGVMNAGEDGSVMAGLGGGQWPPALGGADEQQGGGDGGEAVMTKDTGGGASSSASRPDYFYGWNSAGGGVVAGGGIGGNAAAATGATPWQGLIDSSSAMM >OGLUM02G10790.1 pep chromosome:ALNU02000000:2:8521477:8526428:-1 gene:OGLUM02G10790 transcript:OGLUM02G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54970) TAIR;Acc:AT3G54970] MPPPPAATVLVTNGAVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLIRLADSARLLARFRPHLLGLAGPPPRKPFEDSLIGIEPLVNRSVRVALDEMPREDMALTALLRASPAEEELELEVCVHLGVYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPSSVEMTANEFEVKTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWELKQFQGAGSITTQIKREISKRAIQEEYDIKDLLEDKHALNYKYCPWIPSAIVLRLIGTKVQCLGANGICADTLVPCVR >OGLUM02G10790.2 pep chromosome:ALNU02000000:2:8521477:8526428:-1 gene:OGLUM02G10790 transcript:OGLUM02G10790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G54970) TAIR;Acc:AT3G54970] MPPPPAATVLVTNGAVSPQAPPSAASFLDSTPGAYTTARATAAGGLLWWPRHLIRLADSARLLARFRPHLLGLAGPPPRKPFEDSLIGIEPLVNRSVRVALDEMPREDMALTALLRASPAEEELELEVCVHLGVYVPPVFGEAGARLAVAGRGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSITNFFVVCRREEEHPLNKPSSVEMTANEFEVKTAPLGDGILPGIMRQIVIEVCHDIGIPFREVSPSWSKHKLWEEAFVTSSLRLIQHVETVQAPILWENIESKTWADVSWELKQFQGAGSITTQIKREISKRAIQEEYDIKDLLLIGTKVQCLGANGICADTLVPCVR >OGLUM02G10810.1 pep chromosome:ALNU02000000:2:8529750:8540257:-1 gene:OGLUM02G10810 transcript:OGLUM02G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGGGAGAVDGGGGGSAVEAEGGGGGGEAAPARWRGGAGAVEGGGSEAKAASAAQWGWKAAPREAGGREWLCGGANWRVCACGAHSGPPAHHQLEVCVLTKIIFQKMLFGTASFHILYAWGNHLAGSCEPRKPSLPLGLATGRVRGGSGWNAPAPGPRLLPPTLAPQRRLGGKRHPPPSPQRTRRVSEAPHYPVGPVDGDEDDELHDSNDGELHDGDEDEPSKAPKPVEYNHCELISHQQPAPN >OGLUM02G10830.1 pep chromosome:ALNU02000000:2:8566805:8568652:1 gene:OGLUM02G10830 transcript:OGLUM02G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMSNSDIDTSLYGSNIGITNSLAAAMTLIQIVWLLSQRNSHRGVVGQIVDVESLRSVLLPRGWELEISQDLWRGWEQQLLPRGWKLRKNVTVIAVAQGVEEISISRSSS >OGLUM02G10840.1 pep chromosome:ALNU02000000:2:8571028:8572972:-1 gene:OGLUM02G10840 transcript:OGLUM02G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDGPTGREAALSLHPPLAVAVRRRMETSPAPHPTQIPRSTAIHPPCATPFSRADWRRLADHLCRHPLHHHQASGAPRVNGDNIKPALTGHVAQPLDLDLLPISNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSYDAIPCDQFEVTFQAVELWIADRAVLPIENSLGGSIHRSYDLLLHHCLHIVGEVELPIHHCLIALLGVRRDLLTCVISHSQVLAQCELTLNAMGLNVTHEAFTKQRPPPSMSPPRGSTTPLPSHPPVLADDIQDDACNVTRFVMLAREPIIPRTDWHFKTTIVFTHDREGMSVLFKIESWPHRHRPIRLVDDANVGTTNHFEYMFYIDFQASMAEVRAQNALAEIQEFTSFLRVLGSYPMDMTPWEYSSSSSSSTSPAPGGDTNS >OGLUM02G10850.1 pep chromosome:ALNU02000000:2:8576923:8577327:-1 gene:OGLUM02G10850 transcript:OGLUM02G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLQSGGGGAAALGDGKMSMRRRVCGGGGGGEEEDEAAGSSSSSSVMKVKMVLTKAELEWLMAQLKAGDRRLEDVLQEMARKRNRGLLTTAAAAGDACAAGAGGGDGADGCWRPSLESIVEGPEMSSFSFDY >OGLUM02G10860.1 pep chromosome:ALNU02000000:2:8585388:8590901:-1 gene:OGLUM02G10860 transcript:OGLUM02G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLLCCGPTSPASSQPNPASQRPIPSRRASPQRRRLLPYTRPRRRRSGDLVSSQHRIHLSYISAVHLGPHPPPPFAGEHPATSTATGVSHTQLRTTANRRYVTCRSPSPVRTILTAVVVVVALLLLRLHTTSATSEPPTTNVSWSVILFGARSGGVVCWFCI >OGLUM02G10870.1 pep chromosome:ALNU02000000:2:8593035:8596947:-1 gene:OGLUM02G10870 transcript:OGLUM02G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARNGSTTGAARTGGSGGDAAAGGGGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESSTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREICRHGSVESIDICEIDQLVIDVCKDFFPDLSVGFKDPRVRLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTIEREQQEETTAEPLKMNIMPNSEILTAS >OGLUM02G10870.2 pep chromosome:ALNU02000000:2:8593035:8596947:-1 gene:OGLUM02G10870 transcript:OGLUM02G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGARNGSTTGAARTGGSGGDAAAGGGGGSAGNGKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRGGKTSKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFEILVFGFMLVMFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICRETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPANPIEKLEGAMEAGRDIRFYNSEMHRAAFVLPTFARRELEAYCTTIEREQQEETTAEPLKMNIMPNSEILTAS >OGLUM02G10880.1 pep chromosome:ALNU02000000:2:8613853:8621225:-1 gene:OGLUM02G10880 transcript:OGLUM02G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFREDKYVRFHDWRSEHSVGSEKTVLEGRHNVFDSLMDRTVGAFSFLGNSSHPETLNKPASEEKKSKTRVLDPQGPFLQRWNKIFVISCLIAVSVDPLFFYIPVIDGDNICLYLDKKLEIIASVLRFFTDIFYLLHIIFQFRTGFIAPSSRVFGRGVLVEDTFAIAKRYLSTYFLIDFLAVLPLPQVLVLVVLPRLQGSSVMTAKNILMVIVICQYVPRLIRIIPLYLQITRSAGIITETAWAGAAFNLLIYMLASHVLGALWYLLSIQREDTCWKDACSRHDGCDSGSLFCGSNAARNNSFLQDFCPTNGTDNPDPTFGIYLPALQNVSQSMSFFEKLFYCFWWGLQNLSSLGQNLKTSTYTWENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPDNLKERILRHEQYRWQETRGVDEEGLLSNLPKNLRREIKRHLCLSLLMRMLVLDFLVLCQTFPLLGHSVPMFENMDEKLLDAMCDRLKPMLYTEGSCIIREGDPVNEMLFIMRGNLESMTTNGGQTGFFNSNIIKGGDFCGEELLTWALDPTSASNLPSSTRTVKTLSEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKLEDTLFEKEKRLQAAIVSDGSSSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >OGLUM02G10890.1 pep chromosome:ALNU02000000:2:8625732:8632527:1 gene:OGLUM02G10890 transcript:OGLUM02G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFASLRRALPLLLRATTTTTPRFLLPRALSGGVGGGAAVDARALLRGHSGWRGLRVAARMMLDSSDSAAAAGQMQPQQRAAGAVACSAQDGGAAGYASGGWAREDGKLKCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGETYQKTDTDFLESESNAFRDDGSTASTAVLVGGHLYVANVGDSRAVVSKAGKAMALSEDHKPNRSDERKRIENAGGVVIWAGTWRVGGVLAMSRAFGNRLLKPFVVAEPEIQEELVNEDLECLVLASDGLWDVVENEEAVSLAKTEDLPESVARKLTEIAYSRGSADNITCIVVQFHHDKTE >OGLUM02G10890.2 pep chromosome:ALNU02000000:2:8625732:8627274:1 gene:OGLUM02G10890 transcript:OGLUM02G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFASLRRALPLLLRATTTTTPRFLLPRALSGGVGGGAAVDARALLRGHSGWRGLRVAARMMLDSSDSAAAAGQMQPQQRAAGAVACSAQDGGAAGYASGGWARYQK >OGLUM02G10900.1 pep chromosome:ALNU02000000:2:8633454:8635483:-1 gene:OGLUM02G10900 transcript:OGLUM02G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTMVNAERRGKATALLQPISGVMVSFLNIMKHRGYIKKFEVIDPHRVGKINVELHGRIKDCKALTYRQDIRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIKQNVGGQVLGYFH >OGLUM02G10910.1 pep chromosome:ALNU02000000:2:8641807:8647129:1 gene:OGLUM02G10910 transcript:OGLUM02G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMDAGGRPEPSPPSGQCSSAVTMRINAPVHLVWSLVRRFNEPHIFQPFVRGCTMRGSSNLAVGCVREVDFKSGFPAKSSVERLEIFDDKEHVFGVRIIGGDHRLKNYSSVLTAKPEVIDGEPATLVSESFVVDVPEGNTADETRHFVEFLIRCNLRSLAMVSQRLLRAQGDLAEPPPQ >OGLUM02G10920.1 pep chromosome:ALNU02000000:2:8649122:8649322:1 gene:OGLUM02G10920 transcript:OGLUM02G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGVESPSGEVEGVESPSGEVGGMDSYGGKVGGMDTRGGKVGGVAPAATRAEARSSAAVKIIII >OGLUM02G10930.1 pep chromosome:ALNU02000000:2:8651155:8651948:-1 gene:OGLUM02G10930 transcript:OGLUM02G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAADTRVLASAAVLLVKQNATATAAYLSQLHGATAAAAGGDPDGTPYDNADNCTVSRTSPTPTFFLFLVGLELDPDPALLRRTGRTALASVALALVAAALLEATARALPLAMAREVVAREAVPSRPCALWASLPSPRKLQLREERKEGEREKERWRADMWAQGYF >OGLUM02G10940.1 pep chromosome:ALNU02000000:2:8698980:8703472:1 gene:OGLUM02G10940 transcript:OGLUM02G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGGAAEAAAGRRWRLADERCDLRAAETEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVRFVGGDHRLKNYSSILTVHPEVIDGRPGTLVIESFVVDVPEGNTKDETCYFVEALLKCNLKSLAEVSERLVVKDQTEPLDR >OGLUM02G10950.1 pep chromosome:ALNU02000000:2:8708292:8718336:-1 gene:OGLUM02G10950 transcript:OGLUM02G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein TSS [Source:Projected from Arabidopsis thaliana (AT4G28080) UniProtKB/Swiss-Prot;Acc:F4JKH6] MAPKAGKAKPHKAKGDKKKKEEKVLPTVLDVTVETPDYTQLTLKGISTDRILDVRKLLAVHVDTCHLTNYSLSHEVRGAQLKDTVEVASLKPCHVSIVEEGYTEELAVAHVRRLLDIVACTAAFGPRKSAPEQKPASPSSPDAPPPPPPPASPDAAKTPGSPAGGGGGVGAGGGGGEEPMYPPPKLGQFYEFFSFSHLSPPLHYIRRSTRPFVDDKTEDDFFQIDVRVCSGKPVTIVASKAGFYPAGKRALISHSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPAVADLPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVAVLKAVAAIQQMVPDKSSLETPNDTTNPDLHTQQIGDMKITVTKDKADASSKLDVKLDGSQAPGMLSDELAKRNLLKGITADESATVHDTATLGVVVVKHCGYTAVVQVPVDAQLTTVSLAQHDIDIEDQPEGGSNALNVNSLRMLLHKPCIQPSGGVQRLQSSPQESEYSTNFVRKIMTDSLQKLECEAPRETRPIRWELGACWVQHLQNQTSEKADTKKNEETKDVPTVKGLGKQFGQLKEIKKKTDEKSGKGASTKENTSTNTNDAQTVNSSSTKEDNEAILQRWLPEAAFQRLKESETGLHAKSPDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGQVVELADKLPHIQSLCIHEMVVRAFKHVLWAVISAVHDINDMAEAVASCLNILLGPFPEENNDGKCYEDNNLRQRWLEVFLVKRFGWTWKDEYRADLRKYAILRGICHKVGLELVTKDYDMDMPHPFRRSDIISIVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKAKEMQKKQARAKIKGRAGQNPSEVVDDEDQRSPPPKSDHSLIEKESSEVKENGTFIQKEKLKEEIPDNTLSRIPQDDFTEEYTSDEGWQEAVPKGRSTGNRKTGVSARRPNLAKINTNALNNTENGRYKGRAPSNFSSPRVLPSEAVTAKKLVKSSSFNSKPGSPAISSNSAENSSNPNSLSASPATTPAAAKAVLSSAPIASQTVRKALSYKEVAIAAPGTLVKALNDAQTEEKDATDAGANIETAKAPKESNGHLSEEKDGAVQVSPKDSTSQGSKETGEGKSSNPDDEQTVVLAGSNQSETQPEKKRDLVASDVSSSSQSLTTATEANAPNEVASMVTEANDSSSNDDERDAGEDAQEQMSSGGENEKSSPSESEKNDSPGAKETASKLSAAAAPFNPSTVPAFGSMAIPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKSALPSSEGLTEANTFATRVMNPNAAEFVPGQSRSPNGNPASPNGPLASPGGTEASPHGLPSPSDSIVESPATASPQVSEISQTSPEGNDTTSGVDTENGSEKQDTDGKNHVESKDGEGEPEQTEASKGDGDGAITPEDGSAVTENPKSWADYSDGEAEAVEVAS >OGLUM02G10960.1 pep chromosome:ALNU02000000:2:8722608:8722976:1 gene:OGLUM02G10960 transcript:OGLUM02G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLSPFLLSLSLLFSSLLLSSSVGKPAGGWGGGRRGEAGEEEGGGSLRSGGSCRRRTRRGVAAAEEDDEEEMGLVRSSSVSATAVEEKAAAVTAKAWWGWHFPSPLKAFRHRRSSASMPE >OGLUM02G10970.1 pep chromosome:ALNU02000000:2:8726765:8727279:-1 gene:OGLUM02G10970 transcript:OGLUM02G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVCAMLCTVLLLGSKSGIVCPHRQIACVEKKRDGDHVFVESSHPLLVPDEDILHYYLLAKTLKSGDDRVSL >OGLUM02G10980.1 pep chromosome:ALNU02000000:2:8732010:8735163:1 gene:OGLUM02G10980 transcript:OGLUM02G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHGHGFAVTVLLVVLLVQCDAAEATTCAGAVPARPRPETVSIAEFGGVGDGRTVNTWAFHKAVYRIQHQRRRGGTTLLVPAGTWLTGSFNLTSHMTLFLARGAVLKATQETRSWPLAEPLPSYGRGRELPGARYTSFIHGDGLRDVVITGDKGIIDGQGDVWWNMWRQRTLQHTRPNLLEFMHSSGIHISNIVLKNSPFWNIHPVYCDNVVITNMMIIAPHDSPNTDGVDPDSSTNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVSNVLVEDCSIFNSGYGIHIKTNIGRGGFIRNITVDNVRMNSVRNGLRIAGDVGDHPDEHFSQLALPTVDAVSIKNVWGVNVQQPGSIEGIRNSPFTRICLANVKLFGWRNNAAWKCRDVHGAALGVQPGPCAELTTSLSSGFCSY >OGLUM02G10990.1 pep chromosome:ALNU02000000:2:8742009:8742911:-1 gene:OGLUM02G10990 transcript:OGLUM02G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAVAAALLLVALCRVVHGEADQETAPAYANREAYVEHNGGDAAGTSVAIVPPYLDAAAAARDEPAAAAASPEGPVIPVDDDAADQQGFLRFPCRYHCRYRHHMRHGGHRHGEGFHGKEEKQQLVFEMPVEPATRGEERREEEEGVVLPVAEPDPDSRRQYAAVAAAEEEDVDEMARLHHGRRSHHHHHHHHDEHEEDEHEQADEASPAVERLISFHRRRHHHHHHEDDHEQREEGAPMKRFRHHHEEEEESEMRTKRFHHHHHHLSFRHRAEDAAAAGEEEEKGGVMSWLKDFVNRF >OGLUM02G11000.1 pep chromosome:ALNU02000000:2:8757181:8757534:-1 gene:OGLUM02G11000 transcript:OGLUM02G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMYDVDFSPHQATPKESMNFKDDAKVHPSSGQTPNKGNYYMGHANAIIMMFHYIRDGCQHVESSMEDYKSKKVEEGRGEKRKVGEAYDPRWSSPIEITMKICNDDVSDEATEKEP >OGLUM02G11010.1 pep chromosome:ALNU02000000:2:8771327:8771985:-1 gene:OGLUM02G11010 transcript:OGLUM02G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSAAAPGLLLALCCAAASIAVVHGEDWAVGDNKGWSFGVAGWENGKRIQPGDELVFKYDAKIHNVVEVDRAEYGGCTVTGPSKVYNSGDDRIKLAGGEAFFICSIRDHCTAGMKVKVAVTANA >OGLUM02G11020.1 pep chromosome:ALNU02000000:2:8773567:8777562:1 gene:OGLUM02G11020 transcript:OGLUM02G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPSPVVAPTLVPVVTLTPLPISLPPSPLLAMAPTRLASPSPLVSTQMLPIVPQKRYSPQPPRAAAAVTARSATLWPDLAGWRLTVGKATATRMASYAEWWGPMAAGMATAGRIWPHRRRIRAPQRQIWCGANSRRWRRRQRGELAAGERVAAATAARSSCDDSGWLAGGNSGWRSRCACQRRRRRDCGDR >OGLUM02G11030.1 pep chromosome:ALNU02000000:2:8789970:8790426:-1 gene:OGLUM02G11030 transcript:OGLUM02G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGSVCVAVLLAVCCAETILVAGATEWHVGDDKGWTFGVAGWENGKAFKVGDVLVFKYSPMMHNVLQVDHAGYDGCKVGAGDKKYASGNDRITLAAGKVFFICGFPGHCAKGMKIAVATK >OGLUM02G11040.1 pep chromosome:ALNU02000000:2:8792270:8794323:-1 gene:OGLUM02G11040 transcript:OGLUM02G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATARECRAYGLGGGGRAARGRWEYINAGAYVFAAVLLAGGFGWHLSAWSATTRSGLAAAALGLLLLLAVNAHDLLAHAAGVDYSLALAAGLDSQFALVEVAVPAVHFAGTVLTLIALIFFEIQMARGYRHSLEKHGLNMLIAGPALWLLGSIQNICQVYERANGHVQILQKCVQTPLLLGSTLFLIGGIINRHDIHSQSTSRSELLGRSWGWFCVSGSLLFVAAGLLNLLKVFKMQQMDGRGLEKLRGGAQERLNREREGKVPLILEEGRRRTPAPVSGQVPPPPAGSYKEAVVSGAPAG >OGLUM02G11050.1 pep chromosome:ALNU02000000:2:8798953:8802843:1 gene:OGLUM02G11050 transcript:OGLUM02G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid rhodanese-like [Source:Projected from Arabidopsis thaliana (AT4G01050) TAIR;Acc:AT4G01050] MAATTTILSSAAPTPLTAPPRARARAPAARRRRLRARDILGAALGLANGGASAALAAPLSYEETLRLSTDSGGGGGGGGEFALPDLGLGGVLDFVAQNPLVAAAGVAAVALPLVLAQLLGGASKPYGVVSAAAAYRALVEEPGAQLVDIRPPGDARQSGAPDLREAKKKAAAVPYDGEDKNGFLKKLSLRFKDPENTTLVILDKFDGNSELVAELVTANGYKAAFAVKDGAEGRRGWLSSSLPWTAPKKGFSLSDLIGDGTDGLPVTLGLAAATGLGILAYTEIETVLQFLGSAAIVQLVASKLIYAEDRKRTLKQIDDFFNKKVAPKELVDEIKEIGQALLPSTGTKSQPAITEAAPATAEAAPAAATATAAPPAAPVEETSTEAAPAEPTPLSPYTNYPDLKPPSSPSPLAPAEATKNESESESAATESAPAVNSAPVAEAAPEAAPPAAPRPLSPYPNYPDLKPPSSPSPSAP >OGLUM02G11060.1 pep chromosome:ALNU02000000:2:8806540:8809624:-1 gene:OGLUM02G11060 transcript:OGLUM02G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGSGGLCELFSDDHRDIRHVADADLFRILETWEECINGGAGGGGGGVSLAGVADQGAAAASTGAGGGARTTTTTTAANGRRREGRDEEKGGGGGGGPPAQKKQKGSSSSSSSPAALAAAVGDGDGAAKMSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKNRKAYADQVLSPRPSPAAAALMVKPTPPISPRFAAGVPISPRTPTPGSPYNKHAAAAAAAARPPHPAAATSSCSVAYSMSPAMTPTSSSSTTTTTTHELSPAPAFLPILDSLVTELAARGGASCRPLVIPSSAAAIAGIVGVPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSICTVDDATVLSFTIKIGIECELSAEELVQEIQQTFL >OGLUM02G11070.1 pep chromosome:ALNU02000000:2:8829513:8830062:1 gene:OGLUM02G11070 transcript:OGLUM02G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGRCGGGGGGRRGGGGPVLASTRSGGVEAAVARLRWEGRRAGHDALPSARSGGGTRGGGALPPSDLEGGHAVAA >OGLUM02G11080.1 pep chromosome:ALNU02000000:2:8841266:8841749:-1 gene:OGLUM02G11080 transcript:OGLUM02G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSHLLVALFLPFCLPWFSCLSGRLSTAAMRKIRLTGSEPSLKRRGFFRYRRLPVEAQSLSTAADDKSLRLHGGQLRNYSSTSMSNESLVPNHNIGLLGRIQQLIDSHQSRRCSTAAFDVLRKVLKHA >OGLUM02G11090.1 pep chromosome:ALNU02000000:2:8854732:8855148:1 gene:OGLUM02G11090 transcript:OGLUM02G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPPPMAAAAAAVAVADGGRTKKRAGLPRLLHKFFFKVLRLRPAAAAAEPGAAAFEAYYGYRMVDEYYYYSYGGAAGPASWAGVLSSIPEEESSDEGTPAADAATLRKARSDSDQFVAAEAAAVAVVVVNYRGAAS >OGLUM02G11100.1 pep chromosome:ALNU02000000:2:8866155:8867851:-1 gene:OGLUM02G11100 transcript:OGLUM02G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGSRKGGRGRKALTAVLDNDANISAGKADVAAAAGILSPPQKAKRATSKSSKGKAADAAAAEEQASVVDAVSELQGMLEKLRLEKEKAEEMVRERDEVIRRKEEEQGRLQAELKKVQRAKEFKPTVSIPLVKALIEKDQEGEKKKGKGKAGHERKKPCPAYVLWCKDQWNEIKKESPDADFKEVSNALGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEEQMQRTAKELLEQYLKFRQEADDDGDGGDNKKASKKGKKKKKEKDPSKPKQPMSAYFVYTQQRRAALVAEKKNVPEIGRITGEEWKAMSEAEKAPFEAAARKQREEYQVEMAAYRQRKQEEAACQEKEEEEQKKIMKQEALQLLKKKEKTDNIIKKTKEEQRKKKVGGAAAAADPNRPKKPASSFLLFSKEARRQLAEERPGVASSTLTALVSVKWKELGEAEKQAWNGKAAEAMAAYKRDMEEYTKAAASGGDASPCTSSSASS >OGLUM02G11110.1 pep chromosome:ALNU02000000:2:8869898:8874136:-1 gene:OGLUM02G11110 transcript:OGLUM02G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGDMEGEERQRRQQQIRVVRCPKCEKFLPELPNYSVYVCGGCGATLQAKKNSASENSSEKSDGGHVKYLEVLESSPDNKGAASKDTCEAAQEGEAKNGEAKAEERQVLLDRMAACDDSRIPREPNALKLEASLRDDSREIREAKYRRIRSEDKGEAKHTVRARDRSPRSVVDAIPPNAYPAEGPSDYHMKSRFRHTNGEQADMRNLEGLNRVNGLEKDRADLLRMLDELRDQVQRSCEITNKPSGSTSTDKAVDASGLYNPRERLSRLRHGSPQLQRSGSQQSPSLNGQAPCIPQAYAPGTAQQDLHGYGEPMAHMGAPSYPVGTYPWRNFDNYFYGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVQGPPLGFNHRRVPPYVMNNPRVYPVDGPAMFGTQNYNSRVNASMQRNHMRAAMSKKPAQTCEPIACGAPFTICYNCYEVLQLPKKSPVPGKDEYKLRCGSCSHALVVKLDGSRLDVSAPSPISHISGSSKISSNDGQGSNANSAPHERVLPLYSFSAASHGSQDLPSNSSEAEKMQGISSSCSISEDENSPARSNSQRDTPGSRDLHPEAEVSTRVPSLHLRDHFGYSPSEKVVDGSGKGSRSTRSEHEKAVLTESFKQNTVKDVSVVNIMDLSDDEYDDPDYMQDRGDVAQPVDHPRAVKTGDSFFTNLIKKSFKINNGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPELNYPMPKKCAAGNTGVFVNGRELHQKDLDLLVGRGLPDSPGRSYRVEMSGKVSDEVSGEELYCLGKLAPT >OGLUM02G11120.1 pep chromosome:ALNU02000000:2:8886314:8886991:-1 gene:OGLUM02G11120 transcript:OGLUM02G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLLLTSLSCMVTMTTASAGALPAIGHLATARSTWHLSFPSFGFARLAEKKDQKAKTGLIDRNRSLHF >OGLUM02G11130.1 pep chromosome:ALNU02000000:2:8896716:8897507:1 gene:OGLUM02G11130 transcript:OGLUM02G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGGEDEMIQATTAGQAAQSLHTHITLYAVLSVTSAHLGYLDLLGAGMHTRGGLLLLLLTCILDACKKQEKESRNQNETSMQASAPSSPPSFADQVAGKNCNGNGAITHRIRLKRWGKRRGGNSS >OGLUM02G11140.1 pep chromosome:ALNU02000000:2:8904100:8904384:1 gene:OGLUM02G11140 transcript:OGLUM02G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGPSVVRLQPKAEKTTAAAGGEQQHGGGGGGCGGSSFRMPLHYPRYKKAEYEAMPEWRVDCLLREYGLPVDGDLDAKRRFAMGAFLWPDQY >OGLUM02G11150.1 pep chromosome:ALNU02000000:2:8908495:8911400:-1 gene:OGLUM02G11150 transcript:OGLUM02G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRCLSELARRRPDRAVDAMRVGLRCLMSTCPSTKATDDSQRLYATSSCANVPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAQGVQLTPNSELLSHDEIIRVAGLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDASIQLGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEMMDKVRQRFKGVERLQDHPSETAKNFKIDGHAGTISFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVDLALPAPEAIVPLDS >OGLUM02G11160.1 pep chromosome:ALNU02000000:2:8946689:8947149:-1 gene:OGLUM02G11160 transcript:OGLUM02G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVLLRRLVESGHCADGVNSASTFCVQVAFGGFHCGDSEVKALLGLPVLATATPSGAVHILEGVAIGVLIQLHIKGIQQVKTLDSFGLDDVALFLVSVCQCQGFHRFFCKLTVSF >OGLUM02G11170.1 pep chromosome:ALNU02000000:2:8949099:8950994:-1 gene:OGLUM02G11170 transcript:OGLUM02G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLGRSAFINFSNPNAYVGKFPVISTVSHPTASSQIDVLAHCSSYNLPRGTKRKFDGLSLGLGNSSSSESSKQSMGTGCTISSAKGSDDGSSIDLDLNHFTLGNEGTSRLDKWACDSRRALDKPELNLELSLSSQSAITGADFTAATEYNSPSLQPYYMDLVPTVDEGSTSARRPSGCQVLSFLNKTAKMSEFSPREVFPGSSNQSQGPAPMPTLLQLPKSPVACTSSLSRPQQRSSSTKNCTYPGCMKGARGSSGRCIAHGGGRRCQKDGCDKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSHEGCKRAARGKSGRCIKHGGGKRCQHAGCTKSAEGRSGLCIAHGGGRRCQQDGCGKGAQGSTNFCKAHGGGKRCTHPDCKKGAEGSTAFCKGHGGGKRCSAEGCTKSVHGGTLCCVAHGGGKRCVVEGCTKSARGRTDRCVGHGGGKRCQSSGCDKSAQGSTNFCKAHGGGKRCLWGHEGSDHGAGDTPCERLARGKNGLCVYHNPQVDENRVHGGFSVVSDALSQGDRPSNTETSRRSIFSHPMEAPRRVAAPADEGRVHGGNILSMFANGMSLGKHPANQAEASTSAPRNSKSTNGMVTGNSAARGSWL >OGLUM02G11180.1 pep chromosome:ALNU02000000:2:8972102:8973967:-1 gene:OGLUM02G11180 transcript:OGLUM02G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT1G35680) TAIR;Acc:AT1G35680] MATATLPLRLLASKTLAFPSAPSLPASRCSLPVAASAPRRCWRLLASAEEPAPAPVEAEAEAEVVEEEEVEEEEAAVPEPVEAQIAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVFKYKKKKKYQRKLGHRQPNTRLRITGISGYEDFPADPILEYVPA >OGLUM02G11190.1 pep chromosome:ALNU02000000:2:8976388:8977096:1 gene:OGLUM02G11190 transcript:OGLUM02G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRGDCCADKAVLGEEGRGRGHPQRRGSLAVIDCDGSNSNIKMKQDMSMKVLADDRTPKDSCKFTVTPGPCFPMLCLTYCHAQVAAISTGKCTPEGCQCTYCLPSPPLDKTN >OGLUM02G11200.1 pep chromosome:ALNU02000000:2:8978251:8980385:1 gene:OGLUM02G11200 transcript:OGLUM02G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECRVEPPINSRGSDASVQKQGRLVCDLSAISDGVLHISSSVMPTEIIKRYFKEKSCLLDLMELGNKSSDCHERRISRDTEFNDRLEKPLTGMVKEHI >OGLUM02G11210.1 pep chromosome:ALNU02000000:2:8981622:8989195:1 gene:OGLUM02G11210 transcript:OGLUM02G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPANQYMDPYYSHYRNHAPYAYYPPSGCWEVSHPRMAMDSSCRPPSYGPWPNMNHLHPPEFHSCCNHTYPPGYYSFRPPSPQEIPPPHLYYHGPFPQHPNAYPSYFAPPPPYPVDQTAYGYDKFKSHCCGCPNHSCHGGERSNIKIEEERPEVNPDTEQRDASGSDIVRHPNYQNQAIWLPSGNMNDKENKKSMELPPQFYNGWIPVSGKWVGDVKQQDQDDQKAKQFQWPIFWMPAGYNEKRQEAKELKEVDESPKVSEEAPPSPKIKIIPLSWFENGHNDQKPSVKDESHHNERSTVKKQSAGTEHQDGREMKNIPLMPKKESEEKKPARENYKTIPVMPRKDDEENKPAGGNYRIIPVMPVKESDDKKPEASVQRDEKKASSTEKEEENGKRSNEESSKAKNSKLPPVCLRVDPLPRKKSGSGSSRSPSPPTRKDADIAKKDVKEIHMQKQDAKQSDPKKERTVSEAKEKAHDEMNKGRAYGNETMQAASVKQMQEEQFPMSLADQKVQATGVNFDAQENVGEKNLQGSDKNTEGEAKIQGEPAKDYDTTPRINFSEVDAAVCIQSAYRGYNVRRWQPLEKLRMIKNVNEQMKDLKEQLQGIEASSKQLTVKEQVAINETIMNLLLKLDTIQGLHPTVREVRKSVARELISLQEKLDCLCKQSSGESIHTNGEKEKPEAMENNFQNTDPVSAIEASEKEKAAGVDEEQGLSTINSKLLMPDAVSSVVSMDTTQDADPSDHIEESNTTKEEAPNNGGKVATQCDCQGEPSMDVMGDAALLGHSTEQKQQIEESNAISMDKSCEREKDVPPVGGQEIPSGDHMEPLHDEALSENSNELQQCTTSERSSTVISPAAADNSMITMAATSVESSVSADKVSPVEGQVTEAAVEHAPVEKDQCEEPNTTIVDSGDSSVSLKNEELQDHDQAPSGSSIMSNSAEQPEEASDVNMQQQVENLDTTQDATEESDATPEIGMVDVTYADTENYVQSPLLQTTSKLQSTTGQNVLKEPEAAKQSDVSCEYESVLVGKQNESANNLTGDSAKEEPPLVGLGMEADTHESAPRELKDEPILPETERSELSCEHGDITGHEDSEMYAPPECETDVQKESCCVDRRRADMQVPKEVECDELGDDNPKEDASVQTENMASEEASLASATPDGMKDENKVAEETTSDYATPYSSKSDNENKLAEENQKLKEMLQNLLASGSDQMGVITELSEKVKTLERKLARKKRPKPSLTRSINVIRELNIFLVLFFWLRKLLLLHTYHMHIIISAISTKARTCGRGVGAELCATHAGFDLERRSIMITIGPSQVFEKFSDMQDSRR >OGLUM02G11220.1 pep chromosome:ALNU02000000:2:9007586:9012088:1 gene:OGLUM02G11220 transcript:OGLUM02G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMGSEEWELYPSSFIGAQVIDYGHVSGDMDDDQSGDLAVSMDAVLPDDLLEKVLSFLPVASVIRSGSVCKRWHEIVHARRQTWSKMVPQKPWYFMFTCSEEAVSGFTYDPSLRKWYGFDFPCIEKTTWSISSSSGLVCLMDSEDRSRIIVCNPITKDWKRLVDAPGGKSADYSALAISVTRTSHQYMVAVARCNQVPSEYYQWEFTIHLYESEINTWVSPFTELLIGWRGGDECVICDGVLYYLVYSTGVLVNNNEHRHCLLMYDLSTRPTHTSLMSMAIPVPCPLTCGRLMNLNERLVLVGGIGKQDRPGIIKGIGIWELRNKEWHEVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFELNQKLWKWSLKSPVTKRFPLQLFTGFSFEPRLDISS >OGLUM02G11230.1 pep chromosome:ALNU02000000:2:9016870:9017239:1 gene:OGLUM02G11230 transcript:OGLUM02G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLLANFKNIFDKFTFKDSSAAAKDYEKKDEAGTDAANKAAGSDSDDDDKKGTRQKEGIFERYVFCLSVCLQLLKCGFVNHPVIRI >OGLUM02G11240.1 pep chromosome:ALNU02000000:2:9018416:9021826:-1 gene:OGLUM02G11240 transcript:OGLUM02G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRVYCAADEEEEVNDLGVNEKYHPYYPQAAVMCQLAVSTLCKHTKNTVLQRVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >OGLUM02G11240.2 pep chromosome:ALNU02000000:2:9018416:9021826:-1 gene:OGLUM02G11240 transcript:OGLUM02G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTALLPPIAAAPPLAGSTLSFGVPYQNKRRILTMRVYCAADEGEISPLLPSSCRYVVMDMIPQGGLVRKSYQSNDSLVKKLDLYAALKNITCIIANYPPRTTAVVKISANFQLSGIGEGFTRCLDIVIMVPVCGGKWLE >OGLUM02G11250.1 pep chromosome:ALNU02000000:2:9029792:9034266:1 gene:OGLUM02G11250 transcript:OGLUM02G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPAAAQPSSSAASGAGAGAAGPVLGKVAGQEGASTSAAAAVAARRGEGDCRGEEEVPLRVRLGRAARRRAGPCTPSPSWKLEGEEVEVAAGELAPVHPAVAPARRSSASVSASARQLGASLWEIHDVMREGRGGGSRRHRSGRPLASAGGELHQNTSGFYTLFLGIGKKNSGGFGRHIADSSTNHQKLNQARNCTAQPFSPGSYRSSIGDSSINQAISPARSLDIKGRFRGADYNLKTSTELLKVLNRIWSLEEQHTADMSAINGLKLELQHAQEHIQELKCERRGYRHDVASLVRQLSEDKLVRKNKDKEKIAADIHSLQDELEDERRLRRHSEDLHRKFGKELSEIKSAFVKAVKDLEKEKKTKNLLEDLCDQFAMGIRDYEEEVRALKQRHVNYEYQFDKSVLHVSEAWLDERMQMQNTDVKKDSLKKSTITERLRSEIEAFLLAKRSVSFKNNDNYMHDSRPNARLRRQSLESVHFNGATSAPQLAEDDDDDSVASDLHCFELNMHGSSIQKHDHTGPRRSYTGNMDAPKRRTEYSHSVVGESSHMSDVQIYSQCNKARSSSSRPWHATRTQEIDSQASARTVPAEEQNEIPCPHISQGYHNGTTSKNNLGAHADCLGQESLDHYSRASLFCDGTTSGDLCNPHSPSRQLDYPSASLGHDIGECSTGLLVGMKENTLKAKLLQARLEGRHARLKASGGSVTSRRK >OGLUM02G11260.1 pep chromosome:ALNU02000000:2:9041519:9047003:1 gene:OGLUM02G11260 transcript:OGLUM02G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEAAAAQEAEMDPDFSGGGGGGPSFEFAFNSVNFSDRVLRIEVVAGDDDDDDDHAPGSSRDGGAGSLSDWARHRKRRREELLKEKESEAVMPDQINCKVEPEECDAYEENQEEPVAMMDDSPPSVGPDGDDGPSMDSPWSGGVSTPVLRVKNIYISSAILAAKSPFFFKLFSNGMKESDERQATLRITDSEENALMELLSFMYSGKLTSTDPTLLLDILMAADKFEVVSCMRYCSQLLTSLTMTTESALLYLDLPCSISMAAAVQPLTDAAKEYLSNKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDAIYDFLIRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLICTDLDHEQATKCVTEALLYKADAPHRQRALAADVTTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRMYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWSTFMADDSLFFLDGVLHLRAELTIKQPTV >OGLUM02G11270.1 pep chromosome:ALNU02000000:2:9054441:9056115:1 gene:OGLUM02G11270 transcript:OGLUM02G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPPPPPTKPKPKPATAAQPAPAPAPATARTTPPLKKPPPLAPPTQARPLKPPPAHQYRQQQQQPANRKRQYGRHRGGGGCSGRRVCCLATGFVLLALCLALAAACLAYLYYHPRPPSFHLQPLAATRFRVGNSSAVSAMDVTAAVRVVSWNPNDRVSFEYGDGEGRVALADADGDVALGWAPVVGFRHAPRSVATVAFVAAAKGVVVDEAVAARVRDRYRRRQLAFKVVVDTHVGARAGAVRTGMVPVRLLCDGGAMAPRGGVSGSVVGPMSKCQVYLFRVRW >OGLUM02G11280.1 pep chromosome:ALNU02000000:2:9089565:9092250:-1 gene:OGLUM02G11280 transcript:OGLUM02G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRVYYGDGEIMSNEMGFDLSNFSQCTLYHPNPDNLRMPEVWYWLTCSFSLDPQVYSVNSVMESFGHGHPMATKSSNPKSIMEGLIARLQMPRIRDGHVGAGLSKEGSTKQFVRPHNEDNIGIDKQSLHIEQAEQTVVAMSSTVQQDGSGEVDEREEINAIVDEIERVDRNAREDEANLAQEDDEEADDEEYEVQPVPTSWNREHLGYIGENELHDSVWFYGDGPINKGAMFSTKSAFQDAVKSWSFKMQRQFKVLKSSPTVYTVVCEMVHGHVPKYESYWLVSRVEEHNCMLRNTRSSHRNLTAAYGEK >OGLUM02G11290.1 pep chromosome:ALNU02000000:2:9106190:9109681:1 gene:OGLUM02G11290 transcript:OGLUM02G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >OGLUM02G11300.1 pep chromosome:ALNU02000000:2:9135650:9137181:-1 gene:OGLUM02G11300 transcript:OGLUM02G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAPLGVVAVVAVLVVGIFMPAAAQAPAPAPVSDGTSIDLGIAYILMLVALVLTYLIHPLDASSPYKLF >OGLUM02G11310.1 pep chromosome:ALNU02000000:2:9139246:9145393:-1 gene:OGLUM02G11310 transcript:OGLUM02G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDISLFGRVKQTLRVCKIGLDLLHVEAKLCAGHVRCHHAIGQLCHHLSEEPARRTDGRIHGWISSACRSRKNGASFSLQLGVNFQPIKWVENAAPAFN >OGLUM02G11320.1 pep chromosome:ALNU02000000:2:9145205:9149400:1 gene:OGLUM02G11320 transcript:OGLUM02G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVGAASWLLGKVVTQLSDGMVAAYVASTELGLNMEQIKTDLAYTQGLLDAAEERDVRNNHGLRVLLEILTKQADEAEDVLDELQYFIIQDQIDGTHEATPMVDDGLRGQVLIHGRHALHHTTVGRALPPKRPPTSSTITQDKLYGRENIFNQTLDAMTNFTIHSRTLSVIPIVGPGGIGKTTFAQYLYNDKTIEAHFSIKVWVCVSTHFDVVKLTQEIFKCIYHAENEGSRRVDELSNLDQLQITIAQRLISKRFLLVLDDMWKCGSEAEWGSLLAPFSKGDAKECIFGHDKPEYYEDNIIDIARKISKKLKGFPLAAKSVGRLLKYRISQERWIEILERNEWQHQRNDDDIMPALQISYDYLPFYLKRCFSYCALYPEDYHFNNIEISHFWEALGIIDSGSHKNRAEDIGLKYLDELEGNGFLVKKVDDRTGRQYYVMHDLLHELAQNISSQECINISSYDFRSDNIPWSIRHVSITLQDNYEDSFEREMENLKRKIDIGNLRTLMLFGEGNASMPILIKDLLKETKRLRVLFMHANSLQSFPHNFSKLIHLRYLKLEIPYDVELSLPNAVSRLYHLKFLDLGYSKCILPKDINHLVNLCLLNARKELSSNIPGIGKMKYLQRSEEYHVKKRDIGFELSELGDLTDLGGELKIFNLEKVATREEANKAKLMSKRNMEKLVLAWGMVQRTTRSDVLEGLQPPSNLKALVIKNPGGSIGPSWLCGNICVNYLKSLHIEGVSWGILPPFGQLMQLEELTLNNIPSTRRFGPNFGGVTQKSFSHLKKVEFVNMPELVEWVGGAHVICFQRLQASENKLISHGYRGALVFDNLDKVEDMSIEEMPHVSLTDLQKLSSLTRLAVKGCESMLFSEVEEGVIFPSVQQLEISDCRLTRNSLTKLLNRFPALTEFHLKFSSFEVGEEAVLQLPSSNLLSYVKIWCCKNLVLPVADGGGLHDLSSLQEVEIRGCGKMFDRWSMVEARTRTSKFFPASLRELNIIDESSIQSMALLTNLTSLTHLTLVNCDNLTVDGFDPLITCSLKELVVYNSPKADDEIHLSVADVLFLQVATRMTKVIPAGGSSFQQLEKLEVDSISAVLVGPICSLLAANLPSQEEALQLLTSLQCLKFGNCLRLQSLPEGLHCLYTLYELDIIGCPEIMSLPKDGFLASLEHLRIPECSIDLMVQVKELEASNPDLHIRLL >OGLUM02G11330.1 pep chromosome:ALNU02000000:2:9149484:9152490:-1 gene:OGLUM02G11330 transcript:OGLUM02G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCMTGSSAWTNTHTWHHETDHKEQEGGAENQLTVQMVWHQSLLAVYIRSVDAKVGYLKVLSQAA >OGLUM02G11340.1 pep chromosome:ALNU02000000:2:9154279:9156965:-1 gene:OGLUM02G11340 transcript:OGLUM02G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDAAEAEEVLERIWDLHDRLSDAILAVSRAHFLLPPPPPPPPPSQPSAPPAREGRNGYVFVKGGKGGGGGGGGGGVGDGVGVGSAKAAAAEAMAEARSLHAIRTALEDLEEHLEFLHTVQSQQRAEQDAAIARLEQSRLVLAMRLAEHQGKKYRVIDEALAFVGEVGDKSRFISPEDVRATHNQSGEDVMDSGSNGSSIMGNVLSCSLSLAKNSFRVDKIGSALGNAAAFAVTASSCLWKQISSNGLQEAQLPFWELTTEWQRKATRSVPSQRLRNHQPYGY >OGLUM02G11350.1 pep chromosome:ALNU02000000:2:9157523:9162206:-1 gene:OGLUM02G11350 transcript:OGLUM02G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGCGHHAEIDSTPPPLKFCFYWSNFQGVTRRAAAAGGGGGGVCMPRCRRRSPLRSGHGPADKQREAGGAAPPPRTSDKKPAAWRPHPKPPTTMRPSGAESSAPPLPIRSHALDYCNGVCWVMMSTNLHVSVQRSTTLNISKRGTIFCSIYTIVIYLILF >OGLUM02G11360.1 pep chromosome:ALNU02000000:2:9166862:9179826:1 gene:OGLUM02G11360 transcript:OGLUM02G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKDATVIAGKEEVGDEATASATVNGPDKGTRRKVRVMVSVVHEVKAAETVGEGHPPVPQGKIRLSQQTIDAILATKTMHYSTNALEYYRIKKDYEMLRLMHSCMRTHDEFQKRIVKRKAWVRCELEKKGYVDIDESNTATFTPIHWREELVTEDEESDSDGDDEDDFSEGEEESEDNDDDNGEDEEEVSNDDTTEEEDDLEDDDKFSSDDDGAPNEKFTVAPSSASRVQWRRFLLLLVVVALLMVASAGGDGGCAGPLNPGIISPEKYTSSSSLEYGLMAIVKASSGRYCAKFIPNQNYPFPIRIVILSALSKRMEDNMMYPIKDQETMLAVPMDINRQQDTDLKIIEINVLVWPVITKRVRQF >OGLUM02G11370.1 pep chromosome:ALNU02000000:2:9196001:9198972:1 gene:OGLUM02G11370 transcript:OGLUM02G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELCGNNWDLDAVVRLGCCRRRISPAAVAQQVDPFASFLQQGVAMEVAAEKEVGVEAAWSFPELTVRDGGGGGLGRDADELLKAFCAAFPSSSSSKSSPLPTPPPPPPTQPQPEQQKPVTVQENLPAPTTAPARASQPAAARQVPAGGVPRSKRRKNQQKKVVRHVPADGVSADVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRSDPNTFILTYTGEHNHSAPTHRNSLAGTTRNKLPSSSAASAQPQPPPPSVVVVGAGGGGAEAAGLSPTTPLRTPSMEEDEEEEEEEELLVEDMEMAGEDELLFLNGGDDNAALDGTPMSSLFDIADEPFLPSPWTEPTAAGS >OGLUM02G11380.1 pep chromosome:ALNU02000000:2:9199126:9199923:1 gene:OGLUM02G11380 transcript:OGLUM02G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGGVADVASLGDGDAITTEDDGGGADPVRGDGGGSEAVRGGGGCLDAVRGGGGGPDVARGGGGCTDAARGCAVAASGGDDCAVVAYIGVGGVSLVLLLHLVQVRSSPTLCFREQFIRRWLCSSLHQGGWIWDPSSSLSPGSGIHTGCAIRVELKLLRFNGELRGEVWLSPVKLTPKSTAQQQISNLCSFCGGDRRGLTVRQAVCMLKETQGCNRRGFAAAPCRFAPSALPSFRRLFVFLFQFSILCILLSLLTMFGGLPS >OGLUM02G11390.1 pep chromosome:ALNU02000000:2:9208046:9212889:-1 gene:OGLUM02G11390 transcript:OGLUM02G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47810) TAIR;Acc:AT3G47810] MVLVLALGDLHVPHRAADLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATMHA >OGLUM02G11400.1 pep chromosome:ALNU02000000:2:9213375:9223537:-1 gene:OGLUM02G11400 transcript:OGLUM02G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDGLDGRRKNWIVMIEDINAEEQDINAEEQKCHPCPSKRRSATQSMGDGVDAVGGGVGSTVGASYDAGCLEMRLAIVEEDHKENSRTGTSPHR >OGLUM02G11410.1 pep chromosome:ALNU02000000:2:9244735:9253188:1 gene:OGLUM02G11410 transcript:OGLUM02G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVELPLPAKVDFGKILTPAAVEGLEGGGGGGGGGGGGGDVLRRCADADRRHGDVKQHNQNVDSISSYRIKGTSEEVPMQKSLALGIKSESNGKRDYIGTETGQALHKQDSKVLTKKTIKLDAPPCSKRPKLEPVQITRETESKSHDFLLQKNVPELMQCTPSEKSRLLKQKRIYDPKRIDKKNFRSGVRSKYDCFTSRASLGNFDPCLGNNTLGTHGLRSDIRDITNHIENLSLSELLNGTYKYSSLGREKGKKVLRTKDELLVSVRKAFSMLSGRDSYSKDPNFLLSPKLPTASTSSCDGKDQCTDKPMKGPSQMEVCDSTIHCPKDILNRLTLPQGQDLDSLLSPGCESSAAVKPSLPSVTTHGASLPPFPWSHSQAGGYRPGADCGKHGSSRSNTQWQWVRVGSNLTALDSEDPSVHKIDDLLQEMDTAKTSIMDSYGKQSSLCCTESTSGSLGQIIQSRKKLNGHNPQQLFSMDNVDSSDSFQKNDNESFLLRTPQASPKVLQAADILCDMRSSMDVWSPQVFSNGTIKWPKSPSEKVMKARKPSSPFGTAESSSGSRNSDAARAGNNHSTKKIVDRKNDSVRMNNPGKGSIRWPVPIEDGASPVKSERGLVLDMRQNHVNAARHPNQVSSQAKEYENQQKLRKATLTSSLGSAGDWNRDRNKRM >OGLUM02G11420.1 pep chromosome:ALNU02000000:2:9253600:9254205:1 gene:OGLUM02G11420 transcript:OGLUM02G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDAAKPPSPRLRVALLACGIASAAVAAAVLLAVTLTVFRVREPVMTMNAISVKGFGGAAPGGGGGSSPLWMTVVADVSVRNPNAASLRYAGSKTAVYYRAARVGGASGPPGTARARRTVRLNVTVSVAVGALLGDPGFLGDVAAGAVAVTTATTVRGRVAVLGGVVRRRVVLEMNCTATVAVADMSIRNQSCLQRVWL >OGLUM02G11430.1 pep chromosome:ALNU02000000:2:9255946:9259005:1 gene:OGLUM02G11430 transcript:OGLUM02G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQ64] MAEPAGEAAPQADGVSEEAAARPRGFRLLGEDKSVHQALGGGKAADVLLWKDKKISAAVIGGATVLWVLFEVVDYHFLTLISHVLIGVLAILFLWSKATIFIKKSPPNIPEVKISEDLAVNVALALRTDINQALHLLREISLGHDLMKFLGVIVALWILSEIGSLCDFLTLFYVAVLMLHTVPILYHKYQDKVDHFAGKAHVELSRQYSVLDAKVLSKIPRGPAKDKKQN >OGLUM02G11440.1 pep chromosome:ALNU02000000:2:9260641:9264053:1 gene:OGLUM02G11440 transcript:OGLUM02G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQATVAPSISSVARQPQRFPAPSTSGNSKFPLFKTSCLAMSHGLVSNTAVSSQPNDGAAKLIQPVVKMCGITSAKDAETALEAGAKLIGMILWPNSKRSVALAEAKEISRVAESYGAESVGVFVDDDEETILRVSDSCDLNLVQLHGDESRSLLHVLSKNNRIIYVLNANDNGKLINALPDEKYELDWFLVDSAKGGSGKGFNWQKFQMPSVRSKNGWLLAGGLHADNVCDAFYALKPNGVDVSSGICAPDGIRKDPTRISSFMRNVKSLGRSQ >OGLUM02G11450.1 pep chromosome:ALNU02000000:2:9264653:9268210:-1 gene:OGLUM02G11450 transcript:OGLUM02G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03120) TAIR;Acc:AT4G03120] MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGGVPRPRLPILPTPGMPLGVPQVPGAPLMPGVRPPILPAPGIPGYPGAPNVPTMPQTGAPPGSMPPGSMPPGSMPMQMAPLPRPPTLPPPTSGAPGAPIPNSGAPPAMYQTNPPQPAGPTSGAPPPVAAPPPAAPPQAPFSYAQPPEGNH >OGLUM02G11460.1 pep chromosome:ALNU02000000:2:9268455:9272557:1 gene:OGLUM02G11460 transcript:OGLUM02G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16010) TAIR;Acc:AT3G16010] MASRAPCLLVARGIASSPHLAQRLKQTENEIVQMFRTPSPRNDDAVAALSPRYTNSVRVLDERFIRILKIFKWGPDAERALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKKRNYQHDTSTYMALIHCLELVEQYGEMWKMIQEMVRSPICVVTPMELSQVIRMLGNAKMIGKAITIFYQIKARKCQPTAQAYNSMIIMLIHEGQYEKVHELYNEMSNEGHCHPDTVTYSALISAFCKLGRQDSAIRLLNEMKENRMQPTAKIYTMIISLFFKLDNVHGALSLFEEMRYMYCRPDVFTYTELIRGLGKAGRIDEAYHFYHEMQREGCKPDTVVMNNMINFLGKAGRLDDGLKLFEEMGVSHCIPNVVTYNTIIKALFESKSRVSEVFSWFERMKGSGISPSPFTYSILIDGFCKTNRIEKAMMLLEEMDEKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLDDAINLFDEMSKLGCTPNVYAYNALMSGLARACMLDEALTTMRKMQEHGCLPDINSYNIILNGLAKTGGPHRAMEMLTNMKNSTIKPDAVSYNTVLSALSHAGMFEEAAELMKEMNALGFEYDLITYSSILEAIGKVDQE >OGLUM02G11470.1 pep chromosome:ALNU02000000:2:9273106:9276601:-1 gene:OGLUM02G11470 transcript:OGLUM02G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein / ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G24530) TAIR;Acc:AT3G24530] MPVPGSQNGRPRPAKAETIHGLARAGDLAGVQRKLRENPALINDRNPVMSQTPLHVAAGYNNTGIVKFLLDFQGTDKVELEAKNMYGETPLHMAVKNSSCESAKLLLERGAHIEAKANNGMAPLHLAVWHALQSGDCSTVNVLLSYNADCYAKDDCTEYCSYHTLPHQEGKIPSNHIPGGAGNEKLQKLLIHHMEEQRKRKALMSCREGKAMAEFEKAISQIVGLQDLKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGVEALEEIMSVMDNGKIVVIFAGYCEPMKRVIASNDGFCRRVTKFFYFDDFTTTELAEILHLKMNNPTESSLLYGFKLDPSCSIEVVGELIARETTEERRKQMNGGLVDTLLINARENLDLRLDFNCDDANTMITITLEDLEAGLKQISKQRQLQ >OGLUM02G11480.1 pep chromosome:ALNU02000000:2:9281380:9289840:1 gene:OGLUM02G11480 transcript:OGLUM02G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGASGFVDGLLGVVVDHGLRPESADEAQLVRDRVRGMGVVCEIATCEWPNGRPKLGHIQEAAREMRYQKLLDICIKQRIAVLLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKNDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >OGLUM02G11480.2 pep chromosome:ALNU02000000:2:9281380:9289840:1 gene:OGLUM02G11480 transcript:OGLUM02G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGASGFVDGLLGVVVDHGLRPESADEAQLVRDRVLFVRLLHVNGRMGDQSWGIFKRLPAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKNDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEHGYAVIDLEKLDPHNVDDLFLSRYLAYVLQFVSQRHRPLRGRSAQLLIDYIRTIPCKAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >OGLUM02G11480.3 pep chromosome:ALNU02000000:2:9281380:9289840:1 gene:OGLUM02G11480 transcript:OGLUM02G11480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24560) TAIR;Acc:AT3G24560] MMPLLLLLLPVRSAPLRRLLLCRCSSSSSAAAASASSSACPVPASSVLAPYHRAFARRMALAGVHPHHRVAVGVSGGPDSMALCVLAAAWKKAGEGREQEDEGEGGASGFVDGLLGVVVDHGLRPESADEAQLVRDRVLFVRLLHVNGRMGDQSWGIFKRLPAELFVLRLSRNSGVLGLAGTAFVSQLFAPNLKYDGDNFSRYGVILVRPMLEFSKNDMYKSELHKLIYACRLTRAFIDNACSMVLKKSLTIMEAALSVAGCYLCAVPRSKGTKVLVCCSVDLMESSSVHMSYKCSYVKQPPPVSEINQIVTEARIYSDQFRQNCPNTPFPSSKFSTDVLNKAKDLKLIGDCTLEKLNYLQTDEHQKFITTKEHGQEQYLEKTSFPYLEVLNLWPGETCHFMGRFLITWRTSEVVVNGMCLHDSQKHTCQYCMVNQDGSLAIRHMFDTDWLFLAEVCKIHSLEENKNYSNALCDKLEDAKLVQHSRYLQLSAMKSLQILRSIPAPARRMLPVLTNSQGAVLSIPCQPGVAEAIATFGTRQVEMKVPLDHCESNFVSAGGGSSA >OGLUM02G11490.1 pep chromosome:ALNU02000000:2:9291775:9291963:-1 gene:OGLUM02G11490 transcript:OGLUM02G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIISPRVHSPPLIVQNLWPATCRHAPEDPATLTRSPPPVHTGRDSTALQSPPRMRLARSHP >OGLUM02G11500.1 pep chromosome:ALNU02000000:2:9293662:9296110:-1 gene:OGLUM02G11500 transcript:OGLUM02G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCPSELDFEAFFHGERGEDDADAAADQKPGGGPHPPPFAMFSTADLSSFGFADSVTQSTITGVIPNHIWPQSQSLNARHPAVYTIESQSSICAAASPTSATTLNMKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPLDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARGALSCGLGHLGGLSPALNPRQGACRVPDVLTGLDYAGDDPFTGLSPPEQVQMPGGGEVGDAWGWDNHSNGAMSK >OGLUM02G11510.1 pep chromosome:ALNU02000000:2:9299684:9304269:1 gene:OGLUM02G11510 transcript:OGLUM02G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidic type i signal peptidase 1 [Source:Projected from Arabidopsis thaliana (AT3G24590) TAIR;Acc:AT3G24590] MSSIHFFPATSQAAAPSRRPLTKPSPKPPAATAAFHRGGGNEPVLFPRLFLRRRARPAAAPAEAPPVGPDGSSSGGGGGGGEGGGGGGDDEEDEGTRKGLLPEWLSVTTDDAKTVLAAIAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCINDIVIFKSPPVLQEVGYTDNDVFIKRIVAREGDVVEVHKGKLVVNGEVRNEEFILEPPSYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPSKNILGRSIFRYWPPGRIGSTTTDCLIPETNPSSLIDVKLAK >OGLUM02G11520.1 pep chromosome:ALNU02000000:2:9312932:9314611:1 gene:OGLUM02G11520 transcript:OGLUM02G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVARMLAAVVCAIMAAAAMAAGGVGALEPSGWVRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTAALSTALFNDGLACGQCYKLVCDRKTDRTWCKPGVSVTITATNFCPPNWDLPSDSGGWCNPPRPHFDMAQPAWEKIGIYRGGIIPVIYQRVPCMKKGGVRFTINGHDYFQLVLLTNVGAAGSIKAMDVKGSKSPDWMAMAHNWGAQWHSLAYLTGQGLSFRVTITDGQTLVFPNVVRPGWRFGQTFASNLQFK >OGLUM02G11530.1 pep chromosome:ALNU02000000:2:9327756:9328907:1 gene:OGLUM02G11530 transcript:OGLUM02G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLISDLPPELLPCIAGHLHAAVDVVRFHAVCREWRDALRYLPRRPSFLPWLLAPWPTPDDDTAAGGGACRCVFSRTTYHAPGLGIRDKRVAHSDGGASWFVGGLFVNPLTGRATACAVDDPYLSDWIDNEGSRCIFSGDGTLLTCRFYDAGPPLSIYGAIWCPDYQQDADSDERACAVAYHDGAAVCADLARCYVHEIGGPAAGDQTTFLPLPDEPGKVRRRSYLLELRGELLLASVLQDAGCTDDDDDDDDRLSVSVHAFDLVAALNALDQLDAAVDGAGGGDPPSPSVWEKMDGATGDHVLFLGYPSSFAVEAARYGGEVPGGSAYFVGRSRPCRVYRCSFEDDGTAATLVDTLPAGWNDERCMWFLPEPDIAPVIGVRT >OGLUM02G11540.1 pep chromosome:ALNU02000000:2:9330999:9332140:1 gene:OGLUM02G11540 transcript:OGLUM02G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSDLPPELVCLIGRRLHTAINVVRFHAVCSDWRQSLRHIPPSPPPAAALLPWLLAPSSGDDADAAAGVACRCVFSKTSYHAPGLCFRDRRVAHADGTASWFINDKLVNPLTGWINVGRVKKYPWMWTDGSKGFFHCVDSGDGSLLVYRLSPRWRSPDRLSITPTTAAPPCARARSGCRRAAAGGGTAAATLVETLPAGWNDERCMWFLPLPCIVDPVLTEEQEEDAAARANLQRLHQHQQRDLRIHVGDLSPKVDSLQLREMYSEHGKVVRARVAYDKRGRSRGFGFVTMATQEGFDRALGRGEA >OGLUM02G11550.1 pep chromosome:ALNU02000000:2:9337706:9347636:1 gene:OGLUM02G11550 transcript:OGLUM02G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRAFALVFFAVAAVGCTQLTTADDAAPPVWLKANATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYSAGIIPVIYQRVPCIKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSYLTGQTLSFRVTNMDGQTLVFKNIVPSGWKFGQTFTSKLQFNALLAAAQDAPSPPTPMAPSPSTDETPPVWLKAHATFYGGADASGTMGGACGYVDLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTVTATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGVYRAGIIPVMYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDIMGSNSTDWMPMVRNWGANWHSLSSGKHLQANCSSNMAPAGAFLLVLLAVAKASTAAANTTTTTTTNPVAAPTQWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPRWCKPGVTVTITATNFCPPNWNLPSDNGGWCNPPRPHFDMAQPAWEKIGVYSAGIIPVIYQRVPCVKKGGLRFTINGHDYFQLVLVTNVAAAGSIKSMEVMGSNTADWMPMARNWGAQWHSLAYLTGQGLSFRVTNTDDQTLVFTNAVPPGWKFGQTFASKLQFK >OGLUM02G11560.1 pep chromosome:ALNU02000000:2:9348704:9350685:1 gene:OGLUM02G11560 transcript:OGLUM02G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAFSRLSIYFCVLLLCHGSMAQLFGPNVNPWHNPRQGGFRECRFDRLQAFEPLRRVRSEAGVTEYFDEKNEQFQCTGTFVIRRVIEPQGLLVPRYSNTPGMVYIIQGRGSMGLTFPGCPATYQQQFQQFLPEGQSQSQKFRDEHQKIHQFRQGDIVALPAGVAHWFYNEGDAPVVALYVFDLNNNANQLEPRQKEFLLAGNNNREQQMYGRSIEQHSGQNIFSGFNNELLSEALGVNALVAKRLQGQNDQRGEIIRVKNGLKLLRPAFAQQQEQAQQQEQAQAQYQVQYSEEQQPSTRCNGLDENFCTIKARLNIENPSRADTYNPRAGRITRLNSQKFPILNLVQLSATRVNLYQNAILSPFWNVNAHSLVYIVQGHARVQVVSNLGKTVFNGVLRPGQLLIIPQHYAVLKKAEHEGCQYISFKTNANSMVSHLAGKNSIFRAMPVDVIANAYRISREQARSLKNNRGEELGAFTPRYQQQTYPGFSNESENEASE >OGLUM02G11570.1 pep chromosome:ALNU02000000:2:9369853:9370810:-1 gene:OGLUM02G11570 transcript:OGLUM02G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARPFALLCLAVAVGFALLTAADDSAIATATATTATTAMAPSPSTDDAAPPVWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRNAALSTALFNDGASCGQCYKIACDRKRAPQWCKPGVTVTITATNFCPPNWDLPSDNGGWCNPPRPHFDMAQPAWEKIGIYRAGIIPVIYQRVPCVKKGGVRFTINGHDYFNLVLVTNVATTGSIKSMDVMGSNSTDWMPMVRNWGANWHSLSYLTGQMLSFRVTNMDGQTLVFTNIVPSGWKFGQTFASKLQFK >OGLUM02G11580.1 pep chromosome:ALNU02000000:2:9371341:9373493:-1 gene:OGLUM02G11580 transcript:OGLUM02G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSYLLELRGELLLASVLQRGDDDDRLSVSVHAFDVDAAVHALDPDAAVDDDAEAVPVWEKRDDVLGDHVLFLGYPGSFAVEAARFGGDLPGGSAYFVVRSEQCRVYRYSFVDGGGGTATTTTLVETLPAGWNDERCMWFLPEPNIDWIKVEEEAAAAAPASRRRCRQDLRIYAGDLSPQVDSLRLREMYSEHEKVAQARVAYDKRGRSRGFGFMTMATQEGFCRALGRCNAVEKPATLYICLNDDSILKISLQPINHYTPLIGRD >OGLUM02G11590.1 pep chromosome:ALNU02000000:2:9385144:9390780:-1 gene:OGLUM02G11590 transcript:OGLUM02G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGDAKPSAVHIPMPARDRDRDRDRELLLVPPAAVATSASASASAAGRDSDSDDESKPSSASAAAAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYITWWFFRFVDGFFSPIYAHLGINIFGLGFVTSISFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKEVVIIRHPRIGEYAFGFITSEVLLQDYSSEEQMYCVYVPTNHLYIGDIFLVNSSDVIRPNLSVREGIEIVVSGGMSMPQVLSIVETEQNQWSRMRSSRS >OGLUM02G11600.1 pep chromosome:ALNU02000000:2:9433856:9439976:-1 gene:OGLUM02G11600 transcript:OGLUM02G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRSAFCRSALLLVLLVLIPLSASASTKLYIVYMGEKKHDDPSMVTASHHDALTFVIGSWDFLGLNYYEKSGVLKDAMYGEDVIIGVVDTGIWPESPSFNDDGYGPVPARWKGVCQTGDAFNTTNCNRKIIGARWYSAGATDDMLKGEYMSPRDFHGHGTHTASTIAGGRVWNVSHHQGGLGAGVARGGAPRARVAVYKVCWGVGGNFGDAAVLAAVDDAINDGVDVLSLSLGGPNEIHGTLHAVARGITVVFAGGNDGPTSQTVQNTVPWVITVAAATIDRTFPTTISLGNNEKLLGQSLYYNATVSSIKFQTLVVVNGSSAINVTAGNVVLWPEPYNKDTIDLLAKEGAKGIIFAQGNTFNLLETLDACNGIMPCAVVDKEIANRIASYATSTRHFFSLSSMPVVKVSPAVTVVGNGVLSPRVAGFSSRGPGTKFPGILKPDIAAPGASILAAVGDSYKFMSGTSMACPHVSAVVALLKSVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEGSARKVADPFDFGGGHIEPNKAIDPGLVYDIDPKDYTKFFNCSLDPQEDCKSYMGKLYQLNLPSIAVPDLKDSVIVWRTVTNVGGSEANYKVVVEAPAGVNVVVEPQVITFAKGGSQSATFKVTFTARQRVQGGYTFGSLTWLDDNTHSVRIPVAVRTIIQDFVSDTA >OGLUM02G11610.1 pep chromosome:ALNU02000000:2:9468846:9472033:-1 gene:OGLUM02G11610 transcript:OGLUM02G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPFLPTLHLILVLVVVLPIFADASSRLYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQAEELAKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSNLLKKANYGEDVIVGVIDSGIWPTSRSFDDNGYGPVPARWKGKCQTGAEFNTTSCNRKIIGARWYSGDIPDDFLKGEYMSPRDLSGHGTHTASTIVGGQVWNVSHRQSGLAAGMARGGAPRARLAVYKACWGDSNSTCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSFPTVISLGNKEKLVGQSLNYNSTMNSSNFHMLVDGKRCDELSLASVNITGKIVLCSAPLEAANSSPNNAFIATLAAVVKRRAKGLIYAQYSANVLDGLEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPAILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVYMPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIVVPDLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRISVEPSIITFTKGGSRNATFKVTFTARQRVQSGYTFGSLTWLDGVTHSVRIPIVVRTIIQDFVSDTS >OGLUM02G11620.1 pep chromosome:ALNU02000000:2:9485709:9486636:1 gene:OGLUM02G11620 transcript:OGLUM02G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEKSRQKELESAQEKNTMGNPTISTTKDFSSEKQIAKSIQMEESTNPRANLSSAGKIV >OGLUM02G11630.1 pep chromosome:ALNU02000000:2:9489576:9489852:1 gene:OGLUM02G11630 transcript:OGLUM02G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHACTTRRRGEFLVLPGMLEIASSPPVGGTAGRRSCRSLSSETSVAGDTSTCCRDVLASPATTSIPRRLYLAM >OGLUM02G11640.1 pep chromosome:ALNU02000000:2:9489909:9490166:-1 gene:OGLUM02G11640 transcript:OGLUM02G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTFSGKQRMMASIAWQRCVVYGLRRLDDIPDNSDEMACNVAGAGDALRAPSSDAPAGILLEQPADEFEEDGNVDESERSLVM >OGLUM02G11650.1 pep chromosome:ALNU02000000:2:9509168:9535852:-1 gene:OGLUM02G11650 transcript:OGLUM02G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALVVLRQLIVEEASVVLGGRWWRWKVTELYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVITVKPNTYHETHTTRSWDFLGLNYNEQSSLLKKAGYGEDVIIGVDTGIWPESQSFDDNSYGPVPARWKGKCQTGVAFNTTGCNRKIIGARWYSSGVPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLAAGVARGGAPRPRLAVYKACWGTAGTCSAAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGMTVVFAGGNAGPAPQTVENVVPWVITVAATTIDRSFPTVVSLGNKEKLVGQSLNFNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYVPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNVFDDLENCNKILPAGCMMVDFEIAARIASYLNSTRKPVAKISRAVTVVGNGVLAPRIAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWFTCHDQLVTVGGVKEKHAPDYGKNGCFRWNSKDEAMKSIVYSYMHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGVDFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRRSGLAAGVAREGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITGQSLNYNATMNSSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSSFAATFVAVVKRRAKGLIYAQYSANVLVGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPVILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVYIPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPDLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTRGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFVSDTS >OGLUM02G11650.2 pep chromosome:ALNU02000000:2:9509168:9535852:-1 gene:OGLUM02G11650 transcript:OGLUM02G11650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALVVLRQLIVEEASVVLGGRWWRWKVTELYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVITVKPNTYHETHTTRSWDFLGLNYNEQSSLLKKAGYGEDVIIGVDTGIWPESQSFDDNSYGPVPARWKGKCQTGVAFNTTGCNRKIIGARWYSSGVPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLAAGVARGGAPRPRLAVYKACWGTAGTCSAAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGMTVVFAGGNAGPAPQTVENVVPWVITVAATTIDRSFPTVVSLGNKEKLVGQSLNFNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYVPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNVFDDLENCNKILPAGCMMVDFEIAARIASYLNSTRKPVAKISRAVTVVGNGVLAPRIAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWFTCHDQLVTVGGVKEKHAPDYGKNGKDEAMKSIVYSYMHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGVDFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRRSGLAAGVAREGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITGQSLNYNATMNSSNFHMLVDGQRCDEDSLASVNITGKIVLCSAPLEAANSSPNSSFAATFVAVVKRRAKGLIYAQYSANVLVGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPVILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIVTTGMYSCHTTSSVYIPYMASVTDRFGMPIQAEGAPRKIADPFDFGGGQIDPDKSIDPGLVYDIDPKEYTKFFNCTLGPKDDCESYVGQLYQLNLPSIAVPDLKDSVTVWRTVTNVGGEEGTYKASIEAPAGVRMSVEPSIITFTRGGSRSATFKVTFTARQRVQAGYTFGSLTWLDGVTHSVRIPVVVRTIIQDFVSDTS >OGLUM02G11650.3 pep chromosome:ALNU02000000:2:9509707:9535852:-1 gene:OGLUM02G11650 transcript:OGLUM02G11650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALVVLRQLIVEEASVVLGGRWWRWKVTELYIVYMGEKKHDDPSVVTASHHDALTSVFGSKDEAMKSIVYSYKHGFSGFAAMLTESQADELAKLPGVITVKPNTYHETHTTRSWDFLGLNYNEQSSLLKKAGYGEDVIIGVDTGIWPESQSFDDNSYGPVPARWKGKCQTGVAFNTTGCNRKIIGARWYSSGVPDESLKGDYMSPRDLNGHGTHTASTIAGKQVWNASHHRSGLAAGVARGGAPRPRLAVYKACWGTAGTCSAAAVLAAVDDAINDGVDVLSLSLGIGSDIPGTLHAVASGMTVVFAGGNAGPAPQTVENVVPWVITVAATTIDRSFPTVVSLGNKEKLVGQSLNFNATKNNSNYHMLVFGSSCDEESLATVNVTGKIVLCYVPLEAAATSSPNPAFGTAAIGIAKGGAKGLIFAHQRTNVFDDLENCNKILPAGCMMVDFEIAARIASYLNSTRKPVAKISRAVTVVGNGVLAPRIAAFSSRGPSIDFPGILKPDVAAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWFTCHDQLVTVGGVKEKHAPDYGKNGKDEAMKSIVYSYMHGFSGFAAMLTESQADEVEKLPGVVSVKPNTYHKAHTTRSWDFLGLNYYEQSSLLKKANYGEDVIVGVVDTGIWPTSRSFDDNGYGPVPARWKGKCQTGVDFNATSCNRKIIGARWYSGDIPDELLKGEYMSPRDLSGHGTHAASTIVGGQVWNASHRRSGLAAGVAREGAPRARLAVYKACWGDTDRNATCGDASVLAAIDDAINDGVDVLSLSLGGYGEVAGTLHAVARGITVVFAGGNEGPVPQSVSNAVPWVITVAASTIDRSCDEDSLASVNITGKIVLCSAPLEAANSSPNSSFAATFVAVVKRRAKGLIYAQYSANVLVGFEDFCHLYLPASCVLVDYEIASRIASYAKSTRKSVVKISRVVSVVGNGVLAPRIAMFSSRGPSNEFPVILKPDISAPGVSILAAVGDSYKFMSGTSMACPHVSAVAALLKSVHPDWSPAMIKSAIHR >OGLUM02G11660.1 pep chromosome:ALNU02000000:2:9536372:9539749:-1 gene:OGLUM02G11660 transcript:OGLUM02G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSALLLLVLLVSPFFTNASSRLYIVYMGEKKHDDPSVVTASHHDTLTSVLGSKDSAMKSIVYSYKHGFSGFAAMLTESQAEELARLPEVISVKPNTYHQAQTTRSWDFLGLNYNEQSGLLKKAKNGEDVIVGVIDSGIWPESRSFDDNGYSPVPARWKGKCQTGAAFNATTGCNRKIIGVRNVSHRQGGKQLAAGTARGGAPRARVAVYKVCWGLPAQCGGAAILAAIDDAMNDGVDVLSLSIGGAVEHYETLHAVARGIPVVFGGGNDGPTPQIVRNTVPWVITVAASTIDRAFPTVISLGNNEKFVGQSLYYNATASSNKFQMLVDGSSCDAEKLASINITRKVVLCSPPSLMPPRLSLGDIIGRVIKAGADGLIFVQYSVSNALDFLNACNRASVPCVLVDYEITRRIESYMTSTSTPMVKVSSAMTVVGSGVLSPRIAAFSSRGPSSLFPGILKPDIAAPGVSILAAVGDSYELKSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTASVTDRFGMPIQAEAVPRKVADPFDFGGGHIEPNKAIDPGLVYDIDPSHYTKFFNCTLPEAEDDCESYMEQIYQLNLPSIAVPNLKDSVTVWRTVTNVGEAEATYHAALEAPVGMTMSVEPSMITFTRGGSRSVTFKVTFTTTQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTIIQDFVADTS >OGLUM02G11670.1 pep chromosome:ALNU02000000:2:9554990:9561265:-1 gene:OGLUM02G11670 transcript:OGLUM02G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLGNKREAGGTHGQSLYYKATAKSGKFEMLVDGGSSCDTETLALVNVTGKIVLCSAPLQAKLDPPRLMLSGIIGNVANAGAAGLIFAQYTVNFLEDLDACNGSMPCVLVDYEIAHRIRSYVATTRTPVVEVSPAMTVVGSGVLSPMVAAFSSRGPSTLFPGILKPDIAAPGVSILAAVGDSYEFMSGTSMACPHVSAVVALLKMVHPDWSPAMIKSAIVTTGMININPKDECESYMRQLYQLNLPSIVVPDLKDFVMVWRTIINVGVAEATYHAMLEAPVGMTMSVEPSVIKFTNGGSRSVTFKVTFTTRQRVQGG >OGLUM02G11680.1 pep chromosome:ALNU02000000:2:9577708:9578304:-1 gene:OGLUM02G11680 transcript:OGLUM02G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKHDDPFVVTASHHDTLTYVLGSKDGAMKSMVYSYKHGFSGFAAMLTESQADELAKLPEVISMKPNTYHQAHTTRSWDFLGMNYYEQSGLVKKANYGEDVIVGVIDSGLKLN >OGLUM02G11690.1 pep chromosome:ALNU02000000:2:9580742:9581815:1 gene:OGLUM02G11690 transcript:OGLUM02G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEKAQDSPPLVESRLAPLLLFGHGEAGDKFLYSIPSRRQLAAPPAFVDLIDHYSWITPRGWVLTLQPAGDGDGDAPAEAFLRDPFSSRRVPLPPPDEEISGLAAKALSGGDDDAAWCVLSHDAADPRCVVVVVHPTEPVLFYCRPGGGGAGRWRWLRHEYQPEAIIAPANHHHDDDDLDAIVIESMGWLTASGGKLYADMEWSGKMATLEFSPSSATPTLASAPLAMVPCPAWCNHWNSSLVDSRGELYVVHFRNSLLCQRTVLLVQVHRLDSTRRAWVKADGLGSNRVFLVTFQFGVSMAADDAGLEENCIYFTKSDDKGLYVYDVGQGTTALYDPGEDIPDSMEPILLMPVS >OGLUM02G11700.1 pep chromosome:ALNU02000000:2:9582126:9583268:1 gene:OGLUM02G11700 transcript:OGLUM02G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSMSSSSSSEWRDWSSLPEDLLLLILERLRWSSHPSVAAVCSPWRSAVPPSYPAWITPLLLNAADVGTTNIRYYSPYFHKNFEIDRTLTDPGAKICCSAAEHLTTLCTPDDVVLDADMVSGSIRELPRLPDSRFNFIVYDDGALRTTMYAIDTVGSLRICRTILNDDSEWGDWDLVYSPDEQMVPTLPISNPVFHGGMLYLLGKDGRLALYDPCNHERGFEILDKPESFGFETDDSYLVESDQGELMAILVGRRGTPVHIVKLNEEAMKWEEVESLHGRTLFTGTLTTMMTSVKIKWMQNKVFLPKLYDWPDTIQVNIVLRDGELAFVPKSGGENTILKDGEDYMEKMWCYEPGQRQAKKFWDTKSVDYGIWVNFST >OGLUM02G11710.1 pep chromosome:ALNU02000000:2:9594066:9595179:1 gene:OGLUM02G11710 transcript:OGLUM02G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTHDLRASLKSKGASSKCDGSSDENKLLSDLRACLKSKQGASSNCDGSSGENQLLSDLQASLMSKQRTSSKYKEGASSKSKQGTSSKSKQGFIDLCENTDTSEGESSELICTERPDPDSGEASQRIRDRLNWRKRARKKLVFLSSPVELKVSAVAESERHLQESKEMSPEVNIVSPPAVLQGFSPEVPSVCEKIVEPPLADFNQAKNVCSLETSSDPCTLEQHLANVSDLLDMSYIDGLMIIPPAGCPYDGDFI >OGLUM02G11720.1 pep chromosome:ALNU02000000:2:9596351:9597463:-1 gene:OGLUM02G11720 transcript:OGLUM02G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRSRSRSGTRRHQRDDDEEMRGGQRRHGETGGGGGEGHPRRLRSEIGEVSGSLRRITRGELLAAEVFARSPPPSPLPPTPFSSQVTKIIPGTDGVLFGGGGGQGGEASSSSLSKPAPAAPLPTVRTSSSSAVAADGLKLKPPPEAAATATSSKKRNSGPESSSTASSKRPKTVHRQQNKSPLPLPPPKRAARARFAAIPLPPPPRNEEVLATRKRVDEMRKKYAGLIAKSQDVIGVAHREAAVPEKAKGRRANLAAVAAAAEDELLLLRQRRRGRDAERAKAREALREMEREARRAGLFAMEAIRREHLRALDITRDIEYAVSPECHRGEDGVLRVIAPSRHSPVSSMLGLLLRPQDGGELELDEE >OGLUM02G11730.1 pep chromosome:ALNU02000000:2:9614090:9615285:1 gene:OGLUM02G11730 transcript:OGLUM02G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNENVLMIFIGTTETLVVWMQFSLNSSSKRSNIILGTWRIKMINIYSELFMMLYLQLLNFKTDEREKC >OGLUM02G11740.1 pep chromosome:ALNU02000000:2:9620298:9631722:-1 gene:OGLUM02G11740 transcript:OGLUM02G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSQRVARLCRRRAPAAAKQGGGRRRATVNLAWLLDLETAIVEMWHNDHLPVPPPDPVVPLSDDDRSDSPELPPESVPRRRRRQWRVMPRDFSQGRALNDENILHYYPLAKTQKSGGDRMSL >OGLUM02G11750.1 pep chromosome:ALNU02000000:2:9646085:9647743:1 gene:OGLUM02G11750 transcript:OGLUM02G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVLIIGAGPAGLATAACLTLQHVAYAIIERESCTTSLWRHRTYDRLKLHLAKEFCELPHMAYPSGMPTYVPKESFLEYLDSYTDRFGIQPRYDTSVESATYDQGKKHWAVLAQPNDTGVVARLTARFLIVATGENSAASIPLVPGLAGFEGEAIHSSAYKSGNGYTGKSVLVVGARNSGMEIAYDLATHGAHTSIVVRSPVLEGILKINANNVEFHCGRQIPFDAIVFATGYKSTVNTWLKNGESMFRNDGFPKKKFPNHWRGENGLYCAGFARRGLVSIAMDAKNIVDDIIATMDQVSC >OGLUM02G11760.1 pep chromosome:ALNU02000000:2:9654101:9656837:1 gene:OGLUM02G11760 transcript:OGLUM02G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFLRRHSLDKNSAQFHRQLSHDSSSSSSDTADMHEPHGNGSGGTPPLPNGRAAAAGAPRSRFGRDGPPSDLDVMKERFAKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEHRKLEPMAPDTKERWKKEVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLQMNVPALRKLDAMLIGYMDNFVDQSEFWYEKGGDNKRDDDKWWMPTVKVPAEGLSEVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEVYIESLPKKGKTSLGDAIYRSITDEEFDPIEFLEGVDLSTEHKVLDLKNRIEASTIIWKRKMQTKQEAKSSWGSIISFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYAILESYSRVLESLAYSVMSRIEDVLGADAAAQNLTATEAARRLMDGDAAARKLDAMEELEKLNEAPASMTLYDFMGWHFDQDELMRKKEEGTLDEAGEAKLKKAPSLAPKKFSYVDSLSAGGMRSPSARH >OGLUM02G11770.1 pep chromosome:ALNU02000000:2:9657517:9661954:-1 gene:OGLUM02G11770 transcript:OGLUM02G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGDALRQAFMPRRAYAALRDEERALPRLRRPLAAAAGACVAAAVAAAAGVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSALLFAVSSAYLVSGISVAYAAPRRHPLICVVENNFCASKRVFAVIFGLMAIILGSTLLALGSSCSVPLFWCYEITAWGLVILYAGTAFFLRRKAAVILDEGDYTPHNVGLEMLETTIEVTPEMQRRINDGFKSWMGPSTLSSDDEEEASDDYIEHNVPIPTASVQVRQENDLES >OGLUM02G11780.1 pep chromosome:ALNU02000000:2:9686237:9691905:1 gene:OGLUM02G11780 transcript:OGLUM02G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: positive regulation of catalytic activity, protein processing; LOCATED IN: integral to membrane; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Aph-1 (InterPro:IPR009294); Has 268 Blas /.../ to 262 proteins in 79 species: Archae - 0; Bacteria - 0; Metazoa - 212; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 22 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G31440) TAIR;Acc:AT2G31440] MTVAAGLGYALVALGPAFSLFAGVVARKPFLVLTLLTSTLFWLISLIILSGIWRVFLPIRSGAWWPYAILILTSVAFQEGIRLVFWRLYKKTEEMLDSFADRISKPRLCLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGRATFYVEKCSRMPFFLVSAIISLGFLVIHTFSMIIAFNGYDERKRSDQVFVPVVHLIASVMTLINLAPGGCVIGTPLLCVVGAVTLQYCWQMVWRRLSEQQHRQFSS >OGLUM02G11790.1 pep chromosome:ALNU02000000:2:9693216:9698591:1 gene:OGLUM02G11790 transcript:OGLUM02G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKGLQVFVFSELPLPASARAESFASCPDATSFTWSTAAGRAHSISMVRVGEGAGSATSSNRTVLPRLLMPRPLRGAQQLPGLTPSPWYGWGRVLAATAAQASIGPSPQEYGGYTYFVLQDPEIGGHMQSLSLHLLHFNEASVLSFGGLYQKNGGGASTPRLL >OGLUM02G11790.2 pep chromosome:ALNU02000000:2:9692681:9698591:1 gene:OGLUM02G11790 transcript:OGLUM02G11790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIHILSYKIRRLVAICNLYLYIYCISMRHPSCLLEAYIKKMEEVLVPLDFSDQAKVDFFNFRRLVQRGITDSVSSVIKCWSRSTTFSPNARNLDRFGGGFFNCLNLQLCFPSNRPDFDQWWMEARCKVKTQNRQGFDTQVILIAWGIWKEQYMMLEV >OGLUM02G11790.3 pep chromosome:ALNU02000000:2:9693216:9698591:1 gene:OGLUM02G11790 transcript:OGLUM02G11790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIHILSYKIRRLVAICNLYLYIYCISMRHPSCLLEAYIKKMEEVLVPLDFSDQAKVDFFNFRRLVQRGITDSVSSVIKCWSRSTTFSPNARNLDRFGGGFFNCLNLQLCFPSNRPDFDQWWMEARCKVKTQNRQGFDTQVILIAWGIWKEQYMMLEV >OGLUM02G11800.1 pep chromosome:ALNU02000000:2:9702786:9707174:1 gene:OGLUM02G11800 transcript:OGLUM02G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacid aminotransferase-like PLP-dependent enzymes superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57850) TAIR;Acc:AT5G57850] MMASLSTPPVTAGVSPSPRPSLLAYKKAAGLTPSPWCGWRRAAVATAATSSNRTAAPAETIVTGNDVPLLSFAEVAERLDEFHASGTRNQNYMAMYSSIFGGITTNPSAMVIPIDDHMVHRGHGVFDTAAIMNGHLYELEQHLDRFLKSASMAKITLPFDRSTIQSILIQTVIASKCTQGSLRYWLSVGPGDFQLSSAGCANSALYAIVIESPSLPVPAGCKVITSSIPIKSQQFAVMKSVNYLPNALTKVEGEENGGFTGIWLDDEGFVAEGSNMNVGFVTQSKELLMPRFDKILSGCTAKRVLTLAKQLVADGRLSGISSRNVSVQEGKTADEMMLIGSGILVKPVVQWDDQIIGSGKEGPIAQMLFNLILEDMRSGPPSVRIPVSY >OGLUM02G11810.1 pep chromosome:ALNU02000000:2:9742394:9761587:1 gene:OGLUM02G11810 transcript:OGLUM02G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYHKIDESFDCRAMVSVSRTPDIKKLLRDLLFQINKSEHEMSKEWEMEQLIPTLRDNLKDKSHRKFASSHLILTHACSHRLLCCPASRAGWSLSTIPASPAPSSPYIFALFDLTASVALPLPCCPPIPLLITTSVELPSPCRPPIPLLIAPPPMTIPLKWELTQHDLKWNVKLQCSNLTNATPKTFPRRYFVIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCHDFVGHMYEAMPLSEENSFKLFFRRVFSSEDCPKHLMEAASVILKKCGGLPLAIISIAGLLSNKSPTVEAWTKINNSISSMIEKDSPVDKMKRILFLSYFDLPQHLKTCLLYLSVFPEDSKIDPRRLIRLWVAEGLILGHIRECMEQLGENYLCELINRSMIQPIKIGADGTTVKICRIHDVVLDFIVLQAKEENFVTISNKFRRLSLQSGFSEGSEMPKASKDLSHLRSLHMFEYGSLPVVPSEFAKCRVLRVLDIKVHPEENYIKHVGHFCELRYLRIVGGIRELPEHIGKLQHLQTLHLEETRIEKLPASIVQLQKLVHLLIPFGVPLPDGIGNLQALEVLSNIDLDRASVKSVYGLGELSKLREVGIWSPKPDNDNSGEDHRTACISSVSKLVKFSLQSLRVEGGLSSSDGIASWMTSCGFISPLRRLILYDEFPTIPSQIASFVNLTRLRITVGGVGGLEILASLPMLQSLTLDTNSGIPNLRQVISGEGFQNLRKFYFQSWYSVVGLMFEPGAMPKLQRLKIFLYARWQLVVVQGGLVVGLNHLSALKSIALGLDCNGAVADMESLEDEFRAAAVSHPNHPTLEIRK >OGLUM02G11810.2 pep chromosome:ALNU02000000:2:9742394:9762154:1 gene:OGLUM02G11810 transcript:OGLUM02G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYHKIDESFDCRAMVSVSRTPDIKKLLRDLLFQINKSEHEMSKEWEMEQLIPTLRDNLKDKRYFVIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCHDFVGHMYEAMPLSEENSFKLFFRRVFSSEDCPKHLMEAASVILKKCGGLPLAIISIAGLLSNKSPTVEAWTKINNSISSMIEKDSPVDKMKRILFLSYFDLPQHLKTCLLYLSVFPEDSKIDPRRLIRLWVAEGLILGHIRECMEQLGENYLCELINRSMIQPIKIGADGTTVKICRIHDVVLDFIVLQAKEENFVTISNKFRRLSLQSGFSEGSEMPKASKDLSHLRSLHMFEYGSLPVVPSEFAKCRVLRVLDIKVHPEENYIKHVGHFCELRYLRIVGGIRELPEHIGKLQHLQTLHLEETRIEKLPASIVQLQKLVHLLIPFGVPLPDGIGNLQALEVLSNIDLDRASVKSVYGLGELSKLREVGIWSPKPDNDNSGEDHRTACISSVSKLVKFSLQSLRVEGGLSSSDGIASWMTSCGFISPLRRLILYDEFPTIPSQIASFVNLTRLRITVGGVGGLEILASLPMLQSLTLDTNSGIPNLRQVISGEGFQNLRKFYFQSWYSVVGLMFEPGAMPKLQRLKIFLYARWQLVVVQGGLVVGLNHLSALKSIALGLDCNGAVADMESLEDEFRAAAVSHPNHPTLEIRK >OGLUM02G11810.3 pep chromosome:ALNU02000000:2:9742394:9751958:1 gene:OGLUM02G11810 transcript:OGLUM02G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPADEVLDAAAAGVHYSALRLDELNINGSMTGEEQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKYGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDDKNRVSLPPFTLLHPTPFHIHTAAAAAAASSVSASSGAMNSVIAKLASLLTDEYTHLKGVKSGIRWLRDELSTMNAVLQRLGDMDDDQIDVQTKEWRNKVRELSYDIEDCIDRFLQKHSGGDANANFVRKMKKLWENHQIGDEIKQLKARVLEEKERHDRYKITDQLIDLVSNMCAWILEYQPCTSKPKTLWALISLGNR >OGLUM02G11810.4 pep chromosome:ALNU02000000:2:9749971:9761587:1 gene:OGLUM02G11810 transcript:OGLUM02G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYHKIDESFDCRAMVSVSRTPDIKKLLRDLLFQINKSEHEMSKEWEMEQLIPTLRDNLKDKRWELTQHDLKWNVKLQCSNLTNATPKTFPRRYFVIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCHDFVGHMYEAMPLSEENSFKLFFRRVFSSEDCPKHLMEAASVILKKCGGLPLAIISIAGLLSNKSPTVEAWTKINNSISSMIEKDSPVDKMKRILFLSYFDLPQHLKTCLLYLSVFPEDSKIDPRRLIRLWVAEGLILGHIRECMEQLGENYLCELINRSMIQPIKIGADGTTVKICRIHDVVLDFIVLQAKEENFVTISNKFRRLSLQSGFSEGSEMPKASKDLSHLRSLHMFEYGSLPVVPSEFAKCRVLRVLDIKVHPEENYIKHVGHFCELRYLRIVGGIRELPEHIGKLQHLQTLHLEETRIEKLPASIVQLQKLVHLLIPFGVPLPDGIGNLQALEVLSNIDLDRASVKSVYGLGELSKLREVGIWSPKPDNDNSGEDHRTACISSVSKLVKFSLQSLRVEGGLSSSDGIASWMTSCGFISPLRRLILYDEFPTIPSQIASFVNLTRLRITVGGVGGLEILASLPMLQSLTLDTNSGIPNLRQVISGEGFQNLRKFYFQSWYSVVGLMFEPGAMPKLQRLKIFLYARWQLVVVQGGLVVGLNHLSALKSIALGLDCNGAVADMESLEDEFRAAAVSHPNHPTLEIRK >OGLUM02G11810.5 pep chromosome:ALNU02000000:2:9749971:9762154:1 gene:OGLUM02G11810 transcript:OGLUM02G11810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYHKIDESFDCRAMVSVSRTPDIKKLLRDLLFQINKSEHEMSKEWEMEQLIPTLRDNLKDKRYFVIIDDIWSTDAWKQLKSALPANDNKSRIITTTRIRDVAKSCCHDFVGHMYEAMPLSEENSFKLFFRRVFSSEDCPKHLMEAASVILKKCGGLPLAIISIAGLLSNKSPTVEAWTKINNSISSMIEKDSPVDKMKRILFLSYFDLPQHLKTCLLYLSVFPEDSKIDPRRLIRLWVAEGLILGHIRECMEQLGENYLCELINRSMIQPIKIGADGTTVKICRIHDVVLDFIVLQAKEENFVTISNKFRRLSLQSGFSEGSEMPKASKDLSHLRSLHMFEYGSLPVVPSEFAKCRVLRVLDIKVHPEENYIKHVGHFCELRYLRIVGGIRELPEHIGKLQHLQTLHLEETRIEKLPASIVQLQKLVHLLIPFGVPLPDGIGNLQALEVLSNIDLDRASVKSVYGLGELSKLREVGIWSPKPDNDNSGEDHRTACISSVSKLVKFSLQSLRVEGGLSSSDGIASWMTSCGFISPLRRLILYDEFPTIPSQIASFVNLTRLRITVGGVGGLEILASLPMLQSLTLDTNSGIPNLRQVISGEGFQNLRKFYFQSWYSVVGLMFEPGAMPKLQRLKIFLYARWQLVVVQGGLVVGLNHLSALKSIALGLDCNGAVADMESLEDEFRAAAVSHPNHPTLEIRK >OGLUM02G11820.1 pep chromosome:ALNU02000000:2:9767471:9777125:-1 gene:OGLUM02G11820 transcript:OGLUM02G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTAPETERLLLPGISRRPRRRGAKPFLLLLWSLGGHMCRSFSTQRPCSRHLRQHPPPANYLINTASPVYERNYEFKRWISSHKFSSDIITFAGVCLPRASASRFCAPRERVGGGSPDDARWDARKKERAAEEGFFRSPDLRKKEGWDFGQRRVGGGSPDDGRWDLRKRTRGSPDDARWDLQKKRREEEERNGGGSPEPDLGKKNDDEEKKKKVVVVARGEEEVKRGKWCAGMRVPWVEEGPHMLYAGPSFLAGAAPDPSSLPIPSFGPPRRRTSSSGGGVAVRVALIFLAGLVLRLLLPRVLMPHLSRGAQQLAGLIPSPWCGWGRVPAAPQAPIGLKNGGGASTP >OGLUM02G11820.2 pep chromosome:ALNU02000000:2:9767469:9777623:-1 gene:OGLUM02G11820 transcript:OGLUM02G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSRGAQQLAGLIPSPWCGWGRVPAAPQAPIGLKNGGGASTP >OGLUM02G11820.3 pep chromosome:ALNU02000000:2:9767471:9777125:-1 gene:OGLUM02G11820 transcript:OGLUM02G11820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTAPETERLLLPGISRRPRRRGAKPFLLLLWSLGGHMCRSFSTQRPCSRHLRQHPPPANVCRCLSSASFRFPLLRAQRVLPRVLMPHLSRGAQQLAGLIPSPWCGWGRVPAAPQAPIGLKNGGGASTP >OGLUM02G11830.1 pep chromosome:ALNU02000000:2:9785076:9790651:1 gene:OGLUM02G11830 transcript:OGLUM02G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLVDRATNDMLIGPDWAMNLEICDTLNRDPGQAKDVVKSIKKRIAHRNAKVQLLALTLLETMIKNCGDIVHMQVAEKDILHEMVKIVKKRPDFHVKEKILTLIDTWQEVFGGVRARYPQYYAAYQELLRAGAVFPQRSNGSVPIFTPPQTQPLQNYPASLRSAQQEPPGSSVPDLPSLSLAEIQNARGIMDVLSEMLNALDPSNREGLRQEVIVDLVDQCRSYKQRVVELVNTTSNEELLSQGLSLNDDLQRVLAKHDAIAAGVAVRLEKTKSLQTKIDSSPPANPGTSKAPVQSSSGTASARNQSTLALPAPASSSSPKAPAAPVPVIDLLSGDDYIKPEPANSLALVPVTEYSAADQNVLALADMFEQNNANRSNNNLTNSFNTSAPNSNFPASQAYPAPVQPALPQHPVAYSNGVSSNAIVPYYDDQNGGLPPPPWEIQQSMDNPPQPTQLGQMPLQPGQPVGMHPQSPHSGQFGQGSFMSPQQMANGQLGGTQPRQSPQPQSAPNLQYGGMMMYPNSMQVNQGAGMYSQPMFGGQFYGMSHHHQMYAVQMAGYGFGQQPGAYYIPNAAYAYVSANELTQRMNAVSVQEGNSHGGAMASRPEDSLFGDLVSIAKMKQNKPAAGKVGGL >OGLUM02G11840.1 pep chromosome:ALNU02000000:2:9794429:9798476:-1 gene:OGLUM02G11840 transcript:OGLUM02G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRTLSCSLLTFVKTSPAALPLRWQRAMAMAPLFVPPPHLLFLLPATTSSSPAASLRRLLLPPLSCHARQVLDVMPQGDRVAGPRAAEGAGAKGATAQVGVATREAGTAGRHGRGRHGGGARPWRRGEDRPARGGQHAADDGEVQGTRRGGDLKQRREGRRWTRGETQARESGKAGNSGRGQSNASGGNASRKGKKKAKGGENEVKLRVELDMCSKRGDVIGAINLYDSAVKEGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQSVGNLEGDDVQSEGHSEDQEGNKADLFASDDGTEKPSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNESALTAVARMAMSMGNGDMAFDVVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLKASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDAGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDRNGACLACGEKLTIIDLDPKETEDFATLVAKLAIKRERRSNFDNFQKWLEKHGPFDAVVDGANINIVADVIRQRFQSRKLPLIVVHNRHLTGERMQKPSNRKLVEKWKLSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESENGQWHIPVVSEEGSLEKDRTWIKN >OGLUM02G11840.2 pep chromosome:ALNU02000000:2:9794816:9798476:-1 gene:OGLUM02G11840 transcript:OGLUM02G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRTLSCSLLTFVKTSPAALPLRWQRAMAMAPLFVPPPHLLFLLPATTSSSPAASLRRLLLPPLSCHARQVLDVMPQGDRVAGPRAAEGAGAKGATAQVGVATREAGTAGRHGRGRHGGGARPWRRGEDRPARGGQHAADDGEVQGTRRGGDLKQRREGRRWTRGETQARESGKAGNSGRGQSNASGGNASRKGKKKAKGGENEVKLRVELDMCSKRGDVIGAINLYDSAVKEGIKMGQHHYSVLLYLCSSAALGFVQPAKSGNAGSGIASIGQLHSSSTQSVGNLEGDDVQSEGHSEDQEGNKADLFASDDGTEKPSRIPVSDELREYARTRGFEIFEKMRSEEEKVPMNESALTAVARMAMSMGNGDMAFDVVKQMKDQGIAPKLRSYGPALTAFCNSGNVEKAFEVEAHMLESGVRPEEPELETLLKASVAAQQGDKVYYLLHKFRTTVRQASSTTAKLLEDWFQSPTASKVGKRKWDAGAITKAIENNGGGWHGLGWLGRGKWTISHSHIDRNGACLACGEKLTIIDLDPKETEDFATLVAKLAIKRERRSNFDNFQKWLEKHGPFDAVVDGANINIVADVIRQRFQSRKLPLIVVHNRHLTGERMQKPSNRKLVEKWKLSNAIYATPTGSNDDWYWLYAAIRCKCLMVTNDEMRDHTFQLLERDFFPKWKERHQVRFNFEDSCVTLQMPPPCSVVIQESENGQWHIPVVSEEGSLEKDRTWLCVTRRN >OGLUM02G11850.1 pep chromosome:ALNU02000000:2:9802726:9803085:-1 gene:OGLUM02G11850 transcript:OGLUM02G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVAARSRAIARAASSSLLARGLLPTSRRATCINRLPLVSGGLLSALPLHSAVASARLRSAIAPESQSWGLVPQGEVLGHCTF >OGLUM02G11860.1 pep chromosome:ALNU02000000:2:9834755:9844064:1 gene:OGLUM02G11860 transcript:OGLUM02G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enoyl-CoA hydratase/isomerase family [Source:Projected from Arabidopsis thaliana (AT4G29010) TAIR;Acc:AT4G29010] MAGAIRVTMEVGADGVAVVTICNPPVNALHPIIIQGLKEKYAEAMDRNDVKAIVLTGAGGKFCGGFDINVFTEVHKTGNVSLMPDVSVELVSNLMEAGKKPSVAAIQGLALGGGLELTMRLPRLVGLPKAIEMMLQSKFITAKEGKERGLVDALCSPDELIKMSRLWALEIANYRKPWIRSLARTDRLGSLSEAHSVLNSARQQAKKVAANLPQHQACLDVMEEGVLCGGHAGVLKEAKVFKELVLSPTSKALVHAFFAQRLTTKVPGVTDVQLKPRKIRKVAVIGGGLMGSGIATALLVSNTSVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKMNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFSDLEKVCPPHCILATNTSTIDLNVVGEKTNSQNRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKMIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSIGIDVFRIDRVISSFGMPMGPFQLQDLAGYGVALAVKDIYAAAFGTRNLDSNLVDLMVQNGRQGKSNGKGYYLYEKGEKPKPDPSVQVVIDEYRRCAKTMPGGKPVTLSDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASILGMGFPKFRGGLVFWADTIGTPYIHSKLSKWTEIYGDFFKPSSYLEDRAKRSLPLSAPNATQQASSRSRM >OGLUM02G11870.1 pep chromosome:ALNU02000000:2:9847763:9850447:1 gene:OGLUM02G11870 transcript:OGLUM02G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAEILKHNEDDNVVLSNGVSDDSDVEDDIEEEYDSHSDVEDSSETDGIAKDDEERSEDDEEAQENREHANKVKKNPCATITVTSDNVVLVQECSRPQKRFRPSVAAGTSQQKVHTDLPSQSSSLSITKKGQTMKVARTKTTKK >OGLUM02G11880.1 pep chromosome:ALNU02000000:2:9855980:9858783:-1 gene:OGLUM02G11880 transcript:OGLUM02G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMCAGGAAAIIFLTALSSAASALGVAAAAAAACVPSERAALLAIKAGFTSDPDGRLASWGAAADCCRWDGVVCDNATGHVTELRLHNARADIDGGAGLGGEISRSLLGLPRLAYLDLSQNNLIGGDGVSPSPLPRFLGSLSDLRYLNLCFTGLAGEIPPQLGNLTRLRRLDLSSNVGGLYSGDISWLSGMSSLEYLDMSVVNLNASVGWAGVVSNLPSLRVLALSDCGLTAAPSPPARANLTRLQKLDLSTNVINTSSANSWFWDVPTLTYLDLSGNELSGEFPEVLGNMTNLRVLNLQGNDMVGMIPETLQRLCGLQVVDLTVNSINGDMAEFMRRLPRCAFGKLQVLQLSAVNMSGHLPKWIGEMSELTILDLSFNKLSGEIPLGIGSLSNLTRLFLHNNLLNGSLSEEHFADLVSLEWIDLSLNNLSMEIKPSWKPPCKLVYAYFPDVQMGPHFPAWIKHQPSIKYLDISNAGIVDELPPWFWKSYSDAVYLNISVNQISGVLPPSLKFMMSALAIYLGSNNLTGNVPLLPEKLLVLDLSRNSLSGPFPQEFGAPELVELDVSSNMISGIVPETLCRFPNLLHLDLSNNNLTGHLPRCRNISSDGLGLITLILYRNNFTGEFPVFLKHCKSMTFLDLAQNMFSGIVPEWIGRKLPSLTHLRMKSNRFSGSIPTQLTELPDLQFLDLADNRLSGSIPPSLANMTGMTQDHLPLALNPLTGYGASGNNRIVDSLPMVTKGQDRSYTSGVIYMVSLDLSDNVLDGSIPDELSSLTGLVNLNLSMNHLTGTIPRKIGALQKLESLDLSINVLSGEIPSSLSDLTSLSHLNLSYNNLSGRIPSGNQLQALANPAYIYIGNAGLCGPPLQKNCSSDKNKTSQGGLHQDGKDMEDCLLSSCQQGI >OGLUM02G11890.1 pep chromosome:ALNU02000000:2:9885689:9892191:-1 gene:OGLUM02G11890 transcript:OGLUM02G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKSSPVRRKKYQENSLGEQILPEAQFQPLASSCTGFGGDIASNYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEPNGRCHSNQILVRDSNGIGFPEASWSNNCFFPRQIYVFQLS >OGLUM02G11890.2 pep chromosome:ALNU02000000:2:9885689:9892191:-1 gene:OGLUM02G11890 transcript:OGLUM02G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKSSPVRRKKYQENSLGEQILPEAQFQPTDHADVSQLASSCTGFGGDIASNYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINEPNGRCHSNQILVRDSNGIGFPEASWSNNCFFPRQIYVFQLS >OGLUM02G11890.3 pep chromosome:ALNU02000000:2:9886261:9892191:-1 gene:OGLUM02G11890 transcript:OGLUM02G11890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVRSAPVAPPPPPPPPQQKKPAVPVSRPWPMSFTPHPPPPPPPPYCECFAARVYCDGCHCSPCGNKIENENIRKEAIETILLRNPLAFQPKIENSPNTVTVRKDNSEAIPPIPKHNKGCHCRKSECLKKYCECFQANILCSKNCRCQDCKNFEGSEERKALVQMKNASDRNHIQEAANFALNGATGSLGYKSSPVRRKKYQENSLGEQILPEAQFQPLASSCTGFGGDIASNYQSKSSEMIYRSPLANTIPLIEVNDLVKNALVACRKAPEAFLTKAGNKVEMQAEKQYQTNDEINNDKTKEQNLKEASLKDIQNKACIDRQNINGTEPHLANSFKDSRPASPGTQALMCDEMDTTFGNEDYRSPFVVPSRDQDISELNADQERIVLTGLRDYLRVLITRGNINGECNLLTLLL >OGLUM02G11900.1 pep chromosome:ALNU02000000:2:9907383:9910512:1 gene:OGLUM02G11900 transcript:OGLUM02G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHLPKENVVENEGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPAMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPRRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSRGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >OGLUM02G11900.2 pep chromosome:ALNU02000000:2:9905206:9910512:1 gene:OGLUM02G11900 transcript:OGLUM02G11900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQTPPPQQPHAAPRRRGGSASPPPPRRRGSPLGFRPRYPQPREEPQGYHPHSGSMIPPRGRGVESSNFNDGSRYGYELASKGGERLQYVSPPNGRGRPHRRDGGAPGKDFIFINGEYVHRNDPNLSPREGDWICQNPTCGNLNFARRSHCNNCNKERYAPAMYKSSYSPDRRQFNSPPQGPPSRVIGPPSGRDLPREKQRYRSPPRGWGMVRPDDHRDYSTQLSQDRPRRMADPMHRDRINFGDELPHRQRGKFDWDGYNHREHPRDGPYLDRREPRLGSPRGNWGQAVRDRSHSPMRNKPMNRGLDLRERSRSPFRDRPLNKASIGRGGPDRDYVHPFDTHGRPHNLGNSRGHGYRQEDDLFPIRNQGDQRVLSRHRNGIH >OGLUM02G11910.1 pep chromosome:ALNU02000000:2:9918805:9919902:-1 gene:OGLUM02G11910 transcript:OGLUM02G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKERQRRRRESKAKKAWRLLRDAPTNIDDLPDDLLECVLLRLAASSPLCFIRAAFACKRFCRLVADARFRSLVDGGLPGGGPAVLGHYYTLNRPANCRHHPPASDPPFVPSSSSPPTGHCFSLDYLPFDRPRELIDSHGSLLLFVNGPWGTSWGHRHYRRFSDLLVCEPLTRRFQGIAHMPPPLGSLVFCGAYLIDGGGGERGVGMSNYRVLCMFGELACVFSPGSDGGWRFVDTGAKYGDGEDVEFPEDTQFVGRAAGKIYWWAPGGLVQVFDEATATFFLMEFPKHMRWEYHKSNLRVIGGVDGGGIRVVRMTGEDLEIYGESGGGEWAVERSVRLADATRGLPGRQEVFFTAEAPAATGS >OGLUM02G11920.1 pep chromosome:ALNU02000000:2:9927247:9934426:1 gene:OGLUM02G11920 transcript:OGLUM02G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >OGLUM02G11920.2 pep chromosome:ALNU02000000:2:9927338:9934426:1 gene:OGLUM02G11920 transcript:OGLUM02G11920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWKLKSSAYKRVPSRDAAMDLDVETPAKMADGGAPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISTDLGFAGNTLAEGLVVSICLGGAFVGCLFSGSIADGIGRRRAFQLSALPMIIGAAVSALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPSVRGTYGSFVQIATCLGIVVSLLIGTPVKDIDRWWRVCFWVAAVPATLQALGMEFCAESPQWLYKCGRTTEAEIQFEKLLGPLHVKSAMAELSRSERGDDGENVKYSELFYGRNFNVVFIGTTLFALQQLSGINSVFYFSSTVFRSVGVPPNLANICMGIANLSGSIVAMLLMDKLGRKVLLSGSFLGMAFAMGLQAVGANRHHLGSASVYLSVGGMLLFVLTFSLGAGPVPGLLLPEIFPNKIRAKAMALCMSVHWFVVLAASGATWSTSSVHNVFLSLCGSSNICAAPYFISEFEATTTYMISI >OGLUM02G11930.1 pep chromosome:ALNU02000000:2:9935466:9943058:1 gene:OGLUM02G11930 transcript:OGLUM02G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPFCIFVEHLNKCSTLYNSVIYSFTLPLALAASIDELDAPADVLAAPHVRHHLANVVVVPPRRALVVRRRVEARLRRRHPRARRVRHRRGRLLLPPRPPRHDPRPQPREPLRDVAEGGEAGGEQRVAGAEQVHLAQRPHVVRRVAHPLQPLVARLVHATAAATELVADLLVVPRAQ >OGLUM02G11940.1 pep chromosome:ALNU02000000:2:9941316:9947280:-1 gene:OGLUM02G11940 transcript:OGLUM02G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRRIEPLSWPLSTMLSTHSCIYMGSAGGGGWDDDGDGDEQCATPPPPPPRSFSPMMMTEAGMKLVTPPWRWRRWRGGCVESGRAVRAACVAAAVVLAVVVLSYYARWGGDRDDLPTSLFTTQGSEGATSANLTDDQLLGGLLTAAFSPQSCRSRYEFAGYHKRKPPHKPSPYLVAKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDCRYLVSISYNRGLGNRIIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPDGGRRFPLRHLRDLDGKSKESLGALLESNGIVSVAAGVNGSTSSSWSGRPPPPYVYLHLDGGADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARREKLIPFPTAGTTTNTSSSDQAVLVTSLSSWYYEKIRDELGGGGGGVHQPSHEGLQRMGDTAHDMRALSEMYLLSTCDALLTTGFSTFGYVAQGLAGLRPWIMPRRPWWEKEAATAVPDPPCARVATPEPCFHSPSYYECAARWNYDDIGKVVPYVRRCEDVSWGIQLVNGSSQSQW >OGLUM02G11940.2 pep chromosome:ALNU02000000:2:9941314:9946893:-1 gene:OGLUM02G11940 transcript:OGLUM02G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEAGMKLVTPPWRWRRWRGGCVESGRAVRAACVAAAVVLAVVVLSYYARWGGDRDDLPTSLFTTQGSEGATSANLTDDQLLGGLLTAAFSPQSCRSRYEFAGYHKRKPPHKPSPYLVAKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDCRYLVSISYNRGLGNRIIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPDGGRRFPLRHLRDLDGKSKESLGALLESNGIVSVAAGVNGSTSSSWSGRPPPPYVYLHLDGGADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQVLSCARREKLIPFPTAGTTTNTSSSDQAVLVTSLSSWYYEKIRDELGGGGGGVHQPSHEGLQRMGDTAHDMRALSEMYLLSTCDALLTTGFSTFGYVAQGLAGLRPWIMPRRPWWEKEAATAVPDPPCARVATPEPCFHSPSYYECAARWNYDDIGKVVPYVRRCEDVSWGIQLVNGSSQSQW >OGLUM02G11950.1 pep chromosome:ALNU02000000:2:9960652:9961134:-1 gene:OGLUM02G11950 transcript:OGLUM02G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSCENKTVVKRTSKTVSNLDRIFYTCPDHEKDGSGCNFWFWEEGYMKYLKKNGLIAGEEAADVNAQVAVSLKNAGQLDEAEVLREDDDELKQTLIIAVSIGRELVLVLKNMLVLGWLGVAVFVCILVVLMMK >OGLUM02G11960.1 pep chromosome:ALNU02000000:2:9969666:9973826:1 gene:OGLUM02G11960 transcript:OGLUM02G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDGDGGPSFSPASTTEVAVKAAPWWRRRPLLSGCGTGRKAVRAASVAAAVLIAAVVLSYYARGDYDEMPSSLFTTTTATGAAPPEVAELATGGGGSGLPGLEDAPPSNSNLTGDQLLGGLLSAAFSWQSCRSRYEFAGYHKRKPPHKPSPYLVAKLRSHEALQKRCGPGTAPYDKALRQLKSGDGAAAADGDDDDCRYVVSIGYDRGLGNRVIAIVSAFLYAVLTERALLVAPYNGDVAALFCEPFPGTTWLLPGGRRFPLRRLRELDGKSRESLGTLLKSNAVSVDAGGNGTSSWSGRPPPYVYLHLDGSADYHDKLFYCDEQQRLLRGVPWLLMKTDSYLVPGLFLVPSLRGELERMFPEKDAVFHHLSRYLLHPANAVWHAITAYHRDHLAGAGHLVGIQIRVYHEETPPVSQVVLDQPSHEGLQRMGDTAHDMRALSEMYLLSTCDALLTTGFSTFGYVAQGLAGERPWLMPRRPVWDKEPATEVPEPPCARAASPEPCFHSPSYYDCAARRNYEDIGKAVPYIRRCEDVSWGIQLVNGSSHW >OGLUM02G11970.1 pep chromosome:ALNU02000000:2:9993239:9997295:-1 gene:OGLUM02G11970 transcript:OGLUM02G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAGSGDEWSETAMLVIDMQKDFVDPATSSAALLAGEAILPTVTEAVAVARQRGIFIVWVVREHDPSGRDVELFRRHFYSSGKGLGVEGSKGAELADGLTIKDGDYKLVKTRFSAFFATHLDSVLKTSGIKNLVIVGKHKIYI >OGLUM02G11980.1 pep chromosome:ALNU02000000:2:10005303:10006067:1 gene:OGLUM02G11980 transcript:OGLUM02G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSSPSRHQRRQPHAFPRRGRARARQARPGSTRFFRLRLKLMKMTMMKYKHRRRK >OGLUM02G11990.1 pep chromosome:ALNU02000000:2:10011026:10013094:-1 gene:OGLUM02G11990 transcript:OGLUM02G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLVLLLSLDKFLLHYLKKRWLSPRISTSPSKSRRSMAAAAAGGGGAKWSETAMLVIDMQKDFVDPAMRSPMLVDGGQAVVPTVAEAVAVARERGIYVVWVVREHDPSGADVEIFRRRYYSGGKGPTVKGLKGADLADGLVIKEGEYKLVKTRFSAFFATPLDSVLKTSGIKKLVIVGVQTPNCIRQTVFDAVALDYEKVTVIIDATAAARPEIHLCE >OGLUM02G12000.1 pep chromosome:ALNU02000000:2:10014340:10022664:-1 gene:OGLUM02G12000 transcript:OGLUM02G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tocopherol cyclase, chloroplast / vitamin E deficient 1 (VTE1) / sucrose export defective 1 (SXD1) [Source:Projected from Arabidopsis thaliana (AT4G32770) TAIR;Acc:AT4G32770] MDLAAAAVAVSFPRPAPPPRRCAPRRHRRALAPRAASSSPSPSTAVAAPVYAPTPRDRALRTPHSGYHYDGTARPFFEGWYFKVSIPECRQSFCFMYSVENPLFRDGMSDLDRVIHGSRFTGVGAQILGADDKYICQFTEKSNNFWGSRHELMLGNTFIPNNGSTPPEGEVPPQCFCIKEFKSKYVPNVQTARWEYSTRPVYGWGDVTSKQKSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGAGFPRKWYWVQCNVFSGASGEVALTAAGGLRKIGLGETYESPSLIGIHYEGKFYEFVPWTGTVSWDIAPWGHWKLSGENKNHLVEIEATTKEPGTALRAPTMEAGLVPACKDTCYGDLRLQMWEKRNDGGKGKMILDATSNMAALEVGGGPWFNGWKGTTVSNEIVNNVVGTQVDVESLFPIPFLKPPGL >OGLUM02G12010.1 pep chromosome:ALNU02000000:2:10023948:10024504:-1 gene:OGLUM02G12010 transcript:OGLUM02G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMWQSALLVASGLCQPGVGCTAMGVGCSTASGSTTGLASLAFDDAGRCDRNSLGRSGGHTMTRALLRQRLATTRWPRWQAYTGKSGSTLLSHPLLLQLKDVDEVEHIGMSSSYLLADRQ >OGLUM02G12020.1 pep chromosome:ALNU02000000:2:10039973:10046806:-1 gene:OGLUM02G12020 transcript:OGLUM02G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVLHKSSALVGEMGEGHGWWSVNNLRPPFVEQLHNPASLFLPSSTTTSTTPSSSSSPLHSFSSLLLSNHYPLPSTATTSTAAAPWHDTGSRHGQHLQDSWNHILLGGLASGEEGYNKNWEGQVLFPTTPAAAAAEADHGSNSYNNIYSTTTTSHGSSTSDDASQLAVAARPSSSPWGGIHGHHPHHNPLQQQASSPRSSCITSTTSLGSNGVLEFSNNTSPRECISTASGAAFKKARTQEPSPAQATVKVRKEKLGDRITALHQLVSPFGKALSSPYLGNGGSSSNGGGGSNSKLQHQPEASRVQGERNSIFPEDPGQLLHDNAVKKRGQPDQDESCEEAKTMDLRSRGLCLVPVSCTVDVGVDAGPADYWAAPPAFGIGFGR >OGLUM02G12030.1 pep chromosome:ALNU02000000:2:10054024:10056643:1 gene:OGLUM02G12030 transcript:OGLUM02G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVACGLTRQRATWRWQAALRWTDLIETMSSSRRRHQQLGGGGGGGGGVNSGMYDESKLLAEAENNTYLGSGSTSFRASFKCLASTNKNPERVRSDTINQERVVV >OGLUM02G12040.1 pep chromosome:ALNU02000000:2:10059378:10065032:1 gene:OGLUM02G12040 transcript:OGLUM02G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G32360) TAIR;Acc:AT4G32360] MLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDMRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVAIILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKVAGELKNKPREKLTTWNELLMAAN >OGLUM02G12040.2 pep chromosome:ALNU02000000:2:10059363:10065032:1 gene:OGLUM02G12040 transcript:OGLUM02G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulphide oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G32360) TAIR;Acc:AT4G32360] MGRVRPLHHAARRLLLLFPRPRAFSSSAAAAATPSREPLHVCVVGSGPAGFYTADKMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANDRCQFYGNVTLGRDVSLSELRKTYDVVVLAYGAESDRSLGIPGEDMRGIHSAREFVWWYNGHPDMCNLAPDLQSTDSAVVLGQGNVALDVARILLRCTSELAATDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVHVFIKEADLVTLPADEEEIRNSRIKRRVYELLSKAAIAHKGKNNNGQKELHFVFFRRPTRFLPSEDGVTVGAVQLEKTCLKDDGVAGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVEGLPFDKYRGIVPNLKGRVLSSESENATVEPGLYVVGWLKRGPTGIVATNLHCAEETVAIILEDDKKGLFMGPSDSKKQGRRGLLEILEQKNIRFVPFDGWEKIDSKEKVAGELKNKPREKLTTWNELLMAAN >OGLUM02G12050.1 pep chromosome:ALNU02000000:2:10065574:10075085:-1 gene:OGLUM02G12050 transcript:OGLUM02G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLLCLPFRFNRAVSLAVWTSSNSQNCRISKSSISVETLSLSGPIPSSISGLAELSELDLSSNRLSGSIPTSIYRLHYLGLSFNLLSGHIPHELGMLYSLVSLDLSKNGIMSFIPRSIGNLTSLHFLNLSNNQIRGSIPSTFWKLTNLETSFTYTATQNTKMRRLVASKELLTVLLISLQCLSFLAIANPTLDQQAEALLQWKSGLSYFYYYCRLDLWSNTTSPCKWSGIGCSSMVAHGHGNERDAILVVTNITLFSCGISGGLSNLRFAKLPHLVHLDLSLNSLSGPIPSDIGHLAELSYLDISNNMLTGSIPPSIDLSSNQFTGSIPPQIGQCHNLSSLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLGGAIPMTFMKLYRLLELNLSYNSLGGKFFGFYTIEASSVVSLDHNTGICGDPLYGLTPCQPSNPNHDSLHKNENSDSKHLVPRLLLAFAMFSCICLVGGSITVVCSRRKLAKKGKDIIPGDFVSIWNFDAKVAFQDVLYATENFDEKYCIGVGGYGSVFRAEIQGKGVFAIKLLHRMEDYFDIGAFLAEIEVLTKIRHRRIVKLHGYCSHSQCKFLVYDLIERGSLVSIWHNQELAKELDWRKRINIVMDIAQALSYLHHDCDDPIVHRDIKCSNILLDHDFKAYLSDFGMAKKLKGNSSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVVLEVVMGKHPGDLLLPFFCRTEQHTKLKDILDQRIMAPTTEEEKDIILLVLVAFACLQICPKSRPTMQQVCQALATRSLPAPILKPLDEIKLQQFHDFCGTIQNI >OGLUM02G12050.2 pep chromosome:ALNU02000000:2:10065574:10075085:-1 gene:OGLUM02G12050 transcript:OGLUM02G12050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQLLCLPFRFNRAVSLAVWTSSNSQNCRISKSSISVETLSLSGPIPSSISGLAELSELDLSSNRLSGSIPTSIYRLHYLGLSFNLLSGHIPHELGMLYSLVSLDLSKNGIMSFIPRSIGNLTSLHFLNLSNNQIRGSIPSTFWKLTNLETSFTYTATQNTKMRRLVASKELLTVLLISLQCLSFLAIANPTLDQQAEALLQWKSGLSYFYYYCRLDLWSNTTSPCKWSGIGCSSMVAHGHGNERDAILVVTNITLFSCGISGGLSNLRFAKLPHLVHLDLSLNSLSGPIPSDIGHLAELSYLDISNNMLTGSIPPSIGNLTSLNSLDLSANKITGSIPSTFWKLTSLETLRLEFNVLNAILPPELGFLSNIVVLDLSSNQFTGSIPPQIGQCHNLSSLRLRNNLLTGPIPEELGYCTDLTELDLSRNNLGGAIPMTFMKLYRLLELNLSYNSLGGKFFGFYTIEASSVVSLDHNTGICGDPLYGLTPCQPSNPNHDSLHKNENSDSKHLVPRLLLAFAMFSCICLVGGSITVVCSRRKLAKKGKDIIPGDFVSIWNFDAKVAFQDVLYATENFDEKYCIGVGGYGSVFRAEIQGKGVFAIKLLHRMEDYFDIGAFLAEIEVLTKIRHRRIVKLHGYCSHSQCKFLVYDLIERGSLVSIWHNQELAKELDWRKRINIVMDIAQALSYLHHDCDDPIVHRDIKCSNILLDHDFKAYLSDFGMAKKLKGNSSSWSTIFAGTCGYIAPELSSTMVLTEKCDVYSFGVVVLEVVMGKHPGDLLLPFFCRTEQHTKLKDILDQRIMAPTTEEEKDIILLVLVAFACLQICPKSRPTMQQVCQALATRSLPAPILKPLDEIKLQQFHDFCGTIQNI >OGLUM02G12060.1 pep chromosome:ALNU02000000:2:10075139:10076155:-1 gene:OGLUM02G12060 transcript:OGLUM02G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPQLRTLSRQEWGIFSHKSGFLKARNDLKTKFFALELFVVVLLLSLQYMPLLSRKFYSDPARPAGRYASAMKIRTRQSELLPKLMEQ >OGLUM02G12070.1 pep chromosome:ALNU02000000:2:10089679:10095178:1 gene:OGLUM02G12070 transcript:OGLUM02G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELSIENHSPISMDELSFGSLCLVAMATLALALALMVVMGAHRRGGEKGATTGAKNLPPGPWNLPVIGSLHHLFFASPPHRALLRLSRRHGPLMLVRLSEVPTVIVSGSDAAMEVLKARDPAFADRARSTTVDAVSFGGKGIIFAPYGEHWRQARRVCVAELLSARQVRRLESIRQEEVSRLVDSIIAGSSNAAAAVDMTRALAALTNDVIARAVFGGKCARQEEYLRELGVLTALVAGFSMVDLFPSSRVVRWLSRRTERRLRRSHAHMARIVGSIIEERKEKKASDAGVGAKDEDDDLLGVLLRLQEEDGLTSPLTAEVIGALVIDIFGAATDTTASTLEWVMVELMRNPRAMEKAQQEVRNTLGHEKGKLIGTDISELHYLRMVIKETLRLHPASALILRQSRGNCRVMGYDIPQATPVLINTFAVARDPKYWDNAEEFKPERFKNSGADIRTSIAHLGFIPFGAGCRQCPGALFATTTLELTLANLLYHFDWALPDGVSPESLDMSEVMGITLHRRSSLHLHATLSRSGFFSHSGR >OGLUM02G12080.1 pep chromosome:ALNU02000000:2:10100421:10101684:-1 gene:OGLUM02G12080 transcript:OGLUM02G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQE9] MSRKLFHLTRLSKSAIMAQQVSISGQEDFTPQQLADTNTAKIDFPRRLECQLLSLELKRSDDEKLSGYGGDRAVPPRRRRRWRRRAGRRCRVASGGDVIRGDGFYRQVARFALVVRMLAFGAAEGELTLVEVVAGSVQAAGAGSNYRLVLRAAGGGGNGGVGTYEAVVWGVPGSTAWTWKVLSFRRVAGD >OGLUM02G12090.1 pep chromosome:ALNU02000000:2:10103177:10112912:-1 gene:OGLUM02G12090 transcript:OGLUM02G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLHSPPTAPAAFGGAGSADWRRRRRWSWSSSSRAPVAKGGHLRPCVWRRGGDDGGGGGGGGAAWRARATTAGVSSSSSTAKGLQANIIEHETPRITKWPNESRDLDDHQQNNEADEEADDELQPLVEQVRSMLSSMEDGAITASAYDTAWVALVPRLDGEGGAQFPAAVRWIVGSQLADGSWGDEALFSAYDRVINTLACVVALTRWSLHHDQCKQGLQFLNLNLWRLAEEEPDTMPIGFEIAFPSLVEAARGLGIDFPYDHPALKGIYANRELKLKRIPKDMMHIVPTSILHSLEGMPGLDWQRLLKLQCSDGSFLFSPSATAYALMQTGDKKCFAYIDRIIKKFDGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIEQNMDYVNRHWTEDGICWASNSNVKEVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQISFPGEDILQRARNFSYEFLREREAQGTLHDKWIISKDLPGEVQYTLDFPWYASLPRVEARTYIGQYGGNDDVWIGKTLYRMPIVNNATYLELAKQDFNRCQALHQHELQGLQKWFIENGLEAFGMTPEDVLRAYFLAAACIFEPNRASERLAWARVSVLANTISRHFYSDMSSMKRMERFMWSSLYEENGNVLRLEGYAKDGILARTLCQLIDLLSQETPPWIEWMMQQINMKDGRYGKGRVMHPGSCTVHNKETCLLIAQIVEICAGRIEEAASMINNTEGSWFIQLASSICDSLHAKMLLSQDTKKNETTINQIDKEIELGMQELAQYLLPRVDDRRINNKTKQTFLSIVKSCYYAANCSPHMLDQHISEVIFEQVI >OGLUM02G12100.1 pep chromosome:ALNU02000000:2:10161204:10164764:1 gene:OGLUM02G12100 transcript:OGLUM02G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tobamovirus multiplication 2B [Source:Projected from Arabidopsis thaliana (AT1G32370) TAIR;Acc:AT1G32370] MAAAAAGGGRGGGAQAAVAEQIAQAVQSTSNLLQLMEESSPAQAHLAKLPKKLLAKASLAKNTGQVLHQLPSVISSLDAYMDASLQSASQIKTVTQLLSNMENNQLRSILPASRLEKAQKKTQTGELRIE >OGLUM02G12110.1 pep chromosome:ALNU02000000:2:10169150:10173216:1 gene:OGLUM02G12110 transcript:OGLUM02G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFMNGEVGKVGSDRRQLNYGGLNALPVNHCLLLYYTAFRGVVRETEVAVQMTMTPFYLLLVLRKCT >OGLUM02G12120.1 pep chromosome:ALNU02000000:2:10183438:10185098:-1 gene:OGLUM02G12120 transcript:OGLUM02G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDGEDDADLRTTNKVSLDKAFPKDEDGDAPPVKDDRRLRRLAQTRAENKEELRADHRRIRQAEIVSTVDEENERQEAELEEEDDEEAQEERRRRIRARQLLREQEELLPQEEEEPIEEEEESEESEYETDSEDEQTGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIRKEEHIEKTLNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKPLRENKQKWKFMQKYYHKGAFFQEGADDVIQSAGKDDIYARDFSAPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKMKDWDTKQDD >OGLUM02G12130.1 pep chromosome:ALNU02000000:2:10190554:10197043:1 gene:OGLUM02G12130 transcript:OGLUM02G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLQGGGGGAAAMGAVVVVVAMVAGVASGGNFYEECDATWEPQNCWSSDNGKSLSLALVSNSSGSMIRSKRQFVYGSVSTSVQLVPGNSAGTVTTFYTSSLGDKHDEIDFEFLGNETGQPYTIHTNVYANGVGDKEMQFKPWFDPTDGSHNYTISWTPCRIVWYIDGTPIRVFRNYQSSNSVAFPTWQPMYAYSSIWAAEDWATQKGRVKTDWSKAPFVANYHGIDLDVCECYGGDCVYGCAAAFSQGGGCAGQQLTGGEMGQMKWVQDNFRIYDYCVDYKRFNGQMAPELSLLLVVFATAAAAAVGLAGASFRDECDIPWEPQNARFTDDGNGLSLSLVSNYSGCMLRTKKQFIFGSVSTLIQLVPGNSAGTVTTYYTSSVGDNHDEIDFEFLGNKTGQPYTIHTNIYANGVGDKEMQFKPWFNPTDGYHNYTVSWTPCMIVWYIDGTPIRVFRNYEKSNGVAFPTKRPMYGYSSIWAAEDWATQGGHVKADWSKAPFVANYRGLNINVCECSTTSGGGNSCAAKCASTYNSKSSVCQLSDSELARMRKVQDDYRIYNYCVDPKRYNGSVPVECSLPQY >OGLUM02G12140.1 pep chromosome:ALNU02000000:2:10193117:10193768:-1 gene:OGLUM02G12140 transcript:OGLUM02G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIHSQFHPRCTGKIREKRSSLAGVEVVDAVTDLLASSRHQAAIVTITEQFVTIAIVVIIGAIVTCNGGREAGGSRERNLVGCSLSATSGN >OGLUM02G12150.1 pep chromosome:ALNU02000000:2:10201274:10208926:1 gene:OGLUM02G12150 transcript:OGLUM02G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNITLSKTQGLVDSNAHGTNNPGPSTHL >OGLUM02G12150.2 pep chromosome:ALNU02000000:2:10201274:10208926:1 gene:OGLUM02G12150 transcript:OGLUM02G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNITLSKTQGLVDSNAHGTNNPGPSTHL >OGLUM02G12150.3 pep chromosome:ALNU02000000:2:10201274:10208926:1 gene:OGLUM02G12150 transcript:OGLUM02G12150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNITLSKTQGLVDSNAHGTNNPGPSTHL >OGLUM02G12150.4 pep chromosome:ALNU02000000:2:10201274:10208926:1 gene:OGLUM02G12150 transcript:OGLUM02G12150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGAKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGEDNVLVIDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRVEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLATPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLRRLFRDLADREGYQYDHVFDWTLLKCKQSQKAKAQQQDPGVSSRAVPTNIEKHQVSVSRPTEASGQLEAEQRPAIRMQFKSTAENSRSSNRHTDKLRVGTSTENVLLQSTSFGHADAPRRNITLSKTQGLVDSNAHGTNNPGPSTHL >OGLUM02G12160.1 pep chromosome:ALNU02000000:2:10209281:10211129:-1 gene:OGLUM02G12160 transcript:OGLUM02G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACASPAVPRRSLLLSTAAAALQPEPVRLSRGASAAPKLRASPPDAAQAATAAAAAFGSKEEAFAWAKSDNRRLLHVVYRVGDIDRTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGAEDNHFVVELTYNYGVDKYDIGAGFGHFGIAVDDVAKTVELIRAKGGKVTREPGPVKGGKTVIAFVEDPDGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEVVKLFGGQVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >OGLUM02G12170.1 pep chromosome:ALNU02000000:2:10211305:10224970:-1 gene:OGLUM02G12170 transcript:OGLUM02G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVPAKRREKTTCGGAQRRRPELQCCWRRKGAVHVGGHDSGSQIAAYKNAKWDSANATDIFVDEKNRQEGAVGVRPDAEHKLWLSGVRRGEEKASGGSVRLGVRQRGGDEGGDDGGLEDLRHVLCWWCSKTIAAQGT >OGLUM02G12180.1 pep chromosome:ALNU02000000:2:10214151:10218409:1 gene:OGLUM02G12180 transcript:OGLUM02G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLAGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNFSREFFNQPIERKQRFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLKKYASGTNRIRDDIIQAMAKLLELDEEYFLDRLDKAPAYARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSSLQIQRGGHSSHIADQLRVVTNAEKERISVAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVGEFRAGIFGKFSRGERYIDSLKI >OGLUM02G12180.2 pep chromosome:ALNU02000000:2:10214151:10218409:1 gene:OGLUM02G12180 transcript:OGLUM02G12180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLAGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNFSREFFNQPIERKQRFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLKKYASGTNRIRDDIIQAMAKLLELDEEYFLDRLDKAPAYARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSSLQIQRGGHSSHIADQLRVVTNAEKERISVAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVGEFRAGIFGKFSRGERYIDSLKI >OGLUM02G12180.3 pep chromosome:ALNU02000000:2:10216550:10218409:1 gene:OGLUM02G12180 transcript:OGLUM02G12180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADESWRAPAIVQELAAAGVEEPPSRYLLREKDRSDVKLVAAELPEPLPVVDLSRLAGAEEATKLRVALQNWGFFLLTNHGVEASLMDSVMNFSREFFNQPIERKQRFSNLIDGKNFQIQGYGTDRVVTQDQILDWSDRLHLRVEPKEEQDLAFWPDHPESFRDVLKKYASGTNRIRDDIIQAMAKLLELDEEYFLDRLDKAPAYARFNYYPPCPRPDLVFGIRPHSDGTLLTILLVDKDVSSLQIQRGGHSSHIADQLRVVTNAEKERISVAMLYSVNDEKDIEPAAGLLDENRPARYRKVSVGEFRAGIFGKFSRGERYIDSLKI >OGLUM02G12190.1 pep chromosome:ALNU02000000:2:10244814:10253859:1 gene:OGLUM02G12190 transcript:OGLUM02G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNQVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDQFHTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTTLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASIIVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPKEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >OGLUM02G12190.2 pep chromosome:ALNU02000000:2:10244713:10253859:1 gene:OGLUM02G12190 transcript:OGLUM02G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MGCSPSKVCSCPHYKGSLCFCDCGCFGQTPDSPRESRGKSNQVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDQFHTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTTLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASIIVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPKEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >OGLUM02G12190.3 pep chromosome:ALNU02000000:2:10245253:10253859:1 gene:OGLUM02G12190 transcript:OGLUM02G12190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein serine/threonine phosphatases;protein kinases;catalytics;cAMP-dependent protein kinase regulators;ATP binding;protein serine/threonine phosphatases [Source:Projected from Arabidopsis thaliana (AT2G20050) TAIR;Acc:AT2G20050] MNRGILCFCDCGCFGQTPDSPRESRGKSNQVRGKTDSSASDASSDDLEEDDDGLHQMNITRDSNVGINRLSRVSSQFLPPEGSRKVRIPLGNYDLRYSYLSQRGYYPESLDKPNQDSFCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDDQFHTDAVQALHSAFLATNSQLHADSLDDSMSGTTAVTVLVRGKTIYIANTGDSRAVIAEKRGEDVVAVDLSIDQTPYRTDELERVKECGARVMTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVVANPEIFILELNANHPFFVLASDGVFEFLSSQTVVDMIAKYKDPRDACAAIVAESYRLWLQYETRTDDITIIVVHINGLTDMECTQTVMKVSLQPSQQVVELVGSESPSTISLNPKNQRSRQDLSRARLRALESSLENGRLWVPPSPSHRKTWEEQAHIERILHDHFLFRKLTDSQCHVLLDCMQRVEVKAGDIVVQQGGEGECFYVVGSGEFEVLAIQEEDGKEVTKVLHRYTADKLSSFGELALMYNKPLQASVRAVTTGTLWALKREDFRGILMSEFSNIPSLKLLRSVELFTRLTTLQLSQLADSLVEVTFGDGQMIVDKNDDASSLYIIQRGRVKLKLAADQVNSDAWDLLSSQTKVAQSSREDGNYVFEIDEGGHFGEWALFGETIAFTAMSVGDVTCSTIAKEKFDSIIGPLPKVSQSDSKLKDSLVPKGHGADDSSFRKAQLSDLEWKMCIYAADCSEIGLVQLRGSDKIKSLKRFYIKRVKDLHKEKHVFDEKDLMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHAPLNESSARFYAASIIVALENLHQRSILYRGVSADILMVDRSGHLQLVDFRFAKKLQGERTYTICGIADSLAPEIVLGRGHGFSADWWALGVLIYFMLQSDMPFGSWRESELEPFAKIAKGHLVMPSTFSIEVVDLITKLLEVNENARLGAKGAESVKRHPWFDGIDWKQIADGTYTVPKEITDRVDSYVETLTEDLTASPSMPSEETADQAAPEWIQDW >OGLUM02G12200.1 pep chromosome:ALNU02000000:2:10263704:10267333:1 gene:OGLUM02G12200 transcript:OGLUM02G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEVRYRVASLPSTFFVLPLLALGLDSRGVLLRGFALLRKWSLAWASSLEWPPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKRIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >OGLUM02G12200.2 pep chromosome:ALNU02000000:2:10263623:10267333:1 gene:OGLUM02G12200 transcript:OGLUM02G12200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGLTDLTIRHIVQNCKRIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >OGLUM02G12200.3 pep chromosome:ALNU02000000:2:10263623:10267333:1 gene:OGLUM02G12200 transcript:OGLUM02G12200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58530) TAIR;Acc:AT3G58530] MAAAAEAAAGDEAWCRETVPRVMELVSPRLPQRDACALLAVSPWCHRALVANPKLWEPRYCHLKIINLEFAQDIDDRHFVRLKEMGCTSLQELELLNINACQKVSDKGIETITSLCPNLRALSIYWIVGYTISECFLCHTAPLVSNWWTFCFHRLTDLTIRHIVQNCKRIVDLNLSGCKNISDKGMQLVADNYEGLKKLNITRCIKLTDDGLQEVLQKCSSLESLNLYALSSFSDKVYKKIGSLTNLTFLDLCGAQNVTDDGLSCISRCVCLTYLNLSWCVRVTDVGVVAIAQGCRSLQLLSLFGIVGVTDVCLEALSKHCSRSLTTLDVNGCIGIKKRSRDDLIQLFPLLRCFKVHS >OGLUM02G12210.1 pep chromosome:ALNU02000000:2:10270770:10286290:1 gene:OGLUM02G12210 transcript:OGLUM02G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGAAKRRIDLFRWPNLADREQVWLAAHHHHPPSTDLKTWLWHRSCYWTFVLRRLYWARSAISSLHTRWSGTQDQRLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVTKLLPNLKDAVYNADDLLDEFRWYEQKVALEGRFNKVNDIIERLNNVSSELEKLGLREVPQRFDKSLRPETSSFPSDREIYGRDNELEKLMELLGVPKKYTGVHSKRKRGSNDASTSTSTSNQGSVPILPIVGIGGVGKTTLAQHICNHLRVKSHFNLVIWICVSDDFDVKRLTKEAIESSSGKQAKTDHLDSIQHVLRENVNNKRILIILDDVWDDALKENGQCWKKFCSPLANVCHGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTTHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDTSKKYFEDLIRVFSCAFLKEIPKSIGNLKHLRYLQISESCHLNSIPLQFCCLYNLQCFNALECVVESLPCDFDRLINLRRYKSQGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGALSKYLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYPGESLPCWFQEQNGCNEIAGVIANNNNGCISIFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHFLEELILDHCKIFDHSESLSIPSLKKLVLLYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEICLENVTSLVILRMIKCRGITSITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKGGMAGKIG >OGLUM02G12210.2 pep chromosome:ALNU02000000:2:10270770:10286290:1 gene:OGLUM02G12210 transcript:OGLUM02G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGAAKRRIDLFRWPNLADREQVWLAAHHHHPPSTDLKTWLWHRSCYWTFVLRRLYWARSAISSLHTRWSGTQDQRLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVTKLLPNLKDAVYNADDLLDEFRWYEQKVALEGRFNKVNDIIERLNNVSSELEKLGLREVPQRFDKSLRPETSSFPSDREIYGRDNELEKLMELLGVPKKYTGVHSKRKRGSNDASTSTSTSNQGSVPILPIVGIGGVGKTTLAQHICNHLRVKSHFNLVIWICVSDDFDVKRLTKEAIESSSGKQAKTDHLDSIQHVLRENVNNKRILIILDDVWDDALKENGQCWKKFCSPLANVCHGSMMLITTRSSKVSNALGTLEPFTVNCLENDIFWDFFKLCAFGSDSSNNDPELECIGRSILPKLKGSPLAAKTLGRLLRMDHHTTHWKNVQKSELWELKQEETDILPALQLSYMYLPLHLKRCFSFCAVYPKDYNFEKDSLCEIWVAEGFVEPEGDIPILDTSKKLINLRRYKSQGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGALSKYLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYPGESLPCWFQEQNGCNEIAGVIANNNNGCISIFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHFLEELILDHCKIFDHSESLSIPSLKKLVLLYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEICLENVTSLVILRMIKCRGITSITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKGGMAGKIG >OGLUM02G12210.3 pep chromosome:ALNU02000000:2:10270770:10286290:1 gene:OGLUM02G12210 transcript:OGLUM02G12210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVVPFLRRSILAPFPFPSLSSFFFFTGVFALSCGAEEASQHSLRAKKRRIQRRQADPGAAKRRIDLFRWPNLADREQVWLAAHHHHPPSTDLKTWLWHRSCYWTFVLRRLYWARSAISSLHTRWSGTQDQRLQDEVLQLQSGLQLLKDTLPAMYDLIDRAEWRSHEDCVTKLLPNLKDAVYNADDLLDEFRWYEQKGFVYDRMGQLHLGTHWEHEVRLMKNFNQFYGDLKLSNLGALSKYLAAEIKLNRKRYIGSLTLQWCLWISQEHNEMEVFQVLHPPTSLRSLKLMYYPGESLPCWFQEQNGCNEIAGVIANNNNGCISIFSSLTYLDISDCEKLSNLNQFLQVAHVPSLERIRISNCGRVASTPRFGDFHFLEELILDHCKIFDHSESLSIPSLKKLVLLYSGNPISKIECRSLTSLSFVCPSVTSIPLQVWSSNLPALQNLDIKWCPSLTFIGESEPADFTNLSHQVSSSSSRIRTFSSLTVLTIHGCEKLLTLDDLLKQEYLPFIKSIKISYCQGLLSLPGEICLENVTSLVILRMIKCRGITSITDQTLSSNLASLQELCIEDCPDLVSIGRGKLIAKLKKGGMAGKIG >OGLUM02G12220.1 pep chromosome:ALNU02000000:2:10309085:10318523:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIILDDMWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGGEYFPCWYNNSTISVFPSLTDLEISYCKNLSSLDHFLQVDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.2 pep chromosome:ALNU02000000:2:10313144:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.3 pep chromosome:ALNU02000000:2:10309085:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIILDDMWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.4 pep chromosome:ALNU02000000:2:10309085:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIILDDMWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGGRLHASSSENKKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGR >OGLUM02G12220.5 pep chromosome:ALNU02000000:2:10312466:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIILDDMWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.6 pep chromosome:ALNU02000000:2:10313144:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGGEYFPCWYNNSTISVFPSLTDLEISYCKNLSSLDHFLQVDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.7 pep chromosome:ALNU02000000:2:10313144:10317707:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGGEYFPCWYNNSTISVFPSLTDLEISYCKNLSSLDHFLQVDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12220.8 pep chromosome:ALNU02000000:2:10313144:10316714:1 gene:OGLUM02G12220 transcript:OGLUM02G12220.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDALSANKQWWERFCAPFRNGLQGSMMLVTTRSSQVAHGVGTVEHFTLDGLKDDVFWDFFKLCAFGSLSSNNDPELEQIGRSILPKLKGSPLAAQTLGRLLSTSFQTSYWNSILNSELWGLPQEISDILPALQLSYIYLPFHLKRCFSFCAVYPKDYKFEKSILSEIWVAEGFVKREGDIPIVDTGCQYFEDLVSRSFFQKVRGTYVIHDLMHDMAQLVSKHECFTIEDLSDFQKVPRNVRHLMILDSRNFDCSNLLSLCEHTKLRTLLCEKSLISNITLASVMNRWCSELRHIRVFSCASLKEIPISIGNLKHLRYLRISKRCPFNCLPPEICWLCNLQFLYATKCEIESLPTDFSKLINLQIYESMGFVYNRMKKLELVGDINLYPSTAGCNTNVRLMKNMNQFFGDFEIDYLQGLSEDLAAEIDLKNKKDLGRLTLKWYDSQEHSKIVFQLLQPPTNLKYLKVFGYGGEYFPCWYNNSTISVFPSLTDLEISYCKNLSSLDHFLQVDYMPALQRISITDCEKVAPLPAERKPVSANLKKLELHNSGIIVSNIECCSLTSLSFKCVHVTAIPIQLLSGNLPSLQKLNIIECESLTFIGESYPLNGAFSFLTVLIIEHCHRLPTLDGLLKKEHLPAIEIINIYSCTGLLSLPGERFGSFTCLSDLRISHCPNINWQSGLVLPSYLKRLSLNNCGNFSAWFPNCLGSLTCLVELTMIKCHGIESFPNQMWRSNLASLKELHIADCPDLVSIGAVKPISKIKTVYIYGCQKLTAVKQPFTRRGRYLGTCNPNHQFPSIQRVRRNRTGGTAHVIV >OGLUM02G12230.1 pep chromosome:ALNU02000000:2:10325942:10329436:1 gene:OGLUM02G12230 transcript:OGLUM02G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKTIGFISGINECFNFFQWAKSAMSCVHSRWSNTQDQKLQGELLQLQSSLQCLRDTLPAKYDLIDRAEWRSHERRVAELLPNLKDAVYNVDDLLDEFRWYEQKVALEGNASQSPFMDFFDSVIQGSFNKVNDVIKRLYNISSQLDKMGLHEVPRRFDNSLRPETSSFLNEREIFGRDNELQQVMELLGVPKNGTDARSKRRRKNNDESTSTSRCNQESIPVLPIVGIGGVGKTTMAQHILHDPRVISHFDMIIWICVSDDFDVKRLTKEAIQSYSKKESTADHLDSLQHALSEKVRDKTLLIILDDMWDDALRESGRCWKRFCAPFSNVLAQGSIMLVTTRSLEVAHEVKTMEPVRLEGLKDDIFWNFFKICTFGSSDSSDYPELERIGRNIVPKLKGSPLAAKTLGRLLRTSLDIAHWNNILQSELWELRQHNTEILPALRLSYLYLPFHLKRCFSFCALYPKDHLFEKAGLAEIWIAEGFVEPEGSIPILDIGCQYFEELVNQSFFQKVHGNYVIHDLLHDMAQLVSKHECFILKDKDDFEKVPSSVRHLFILPSTNFDCNLLLSLCKHKKLRTLLCHRSLQDKTLACVMDRWCTELQHMRVIVCPYTKELPASIGKLKHLRYLKISGDCPFKSLPQEFCHLYNLQIFSATKCRLENLPSDFNKLRNLRRFDSCAFRCDPKFQTHFYAINGQEVGAILQNVNHICGCLTIDNIGLIRKDIAAKAALKNKKYLNMMTLKWSSMGQQVQKLTEVLQVLIPPTSLSYLNLTGCPGEFLPTWFHPSNLPMLTSLELIACHGFVTIPISSMSQSIDPNEIPRVLTENNTGRPGIFSSLNHIIIESCNKLSNLDQFLQPAYLPAIKTIKITKCRQLVELPTDRLGEFHCLEELEVSHCPNLNDPQSLSIPTLKKLKLINSWNLLGDIECCSLTSLVFSLWHVTSIHLHVWSSSFPALQKLQISDSGITGESQSSVLTSLSVPGEYSSIRTFSCLTDLKISSCNNLTTLDHLLSPEHQPAVEKIYVALCSSLRTLPCELLKDFSVLKDLKICFCPSLKWHRRLVLPSTLQRLSLTRCGDLSPCVPSCLENLASLVSLEITFCSIVAYIPASLWRGNLSSLRDLHIRGCEDLVSIGGAGAIAEINKVKIEGCLKLKEIEQPMSRAGL >OGLUM02G12240.1 pep chromosome:ALNU02000000:2:10330678:10333088:-1 gene:OGLUM02G12240 transcript:OGLUM02G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein L53, mitochondrial (InterPro:IPR019716); Has 50 Blast hits to 50 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 6; Fungi - 0; Plants - 42; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G39600) TAIR;Acc:AT5G39600] MLKFLSKVVVEYCPLDPRKAAVVELLAQCNGRKAKDSNPACSVELRRLPSPPPADAAAAGEKNAHPPPRVLVTYFNGVEESFVAAEGATAQGIRDQILDRGRLLDTEQLFRDGGEKWPVLIPEEELTMSFPGIKPKKAEEKPQA >OGLUM02G12250.1 pep chromosome:ALNU02000000:2:10333353:10365663:-1 gene:OGLUM02G12250 transcript:OGLUM02G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPGIKGTPLCGVSVSPRNPHPRPRPSLPAAVLRRRRRRRRRANSDCVSETVRRLLLLLLFSRPTERLLLLLLCPTPPRARSIEPLHAAVVGSGPAAVGFDMTVSAPRRQGSPGNWEPRERYPHNHLSRGFGGSMALSPRPSAAIDG >OGLUM02G12260.1 pep chromosome:ALNU02000000:2:10335705:10336109:1 gene:OGLUM02G12260 transcript:OGLUM02G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGISLGEPSISSTRPPLQVGRHEKVPEFPDKTTVLGSLGTLIGKLEATVSKHAAQAAKEMHTNRWTGTCDVLVCIKLTHPEINLEEVLSKSGAEKTHEGIKYFPESELSVGSESERDRGWRSKRARWIMMVLR >OGLUM02G12270.1 pep chromosome:ALNU02000000:2:10359176:10362496:1 gene:OGLUM02G12270 transcript:OGLUM02G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKIVGAINGINEISTLCKMVKDIIKSRWSGIREQELQDKVMKLENDLERLRDILPAMYNLIDRAEWTIHKDHVPELLLKLKDAVYDAEDLLDELKWHELKVAMEGSANKSPLIDFLDSVIQGSFNKVSGTYEKLNNVSSLLEKMGLHEVTQHFDKSFRPETTSFPTETEMFGRDNELEQVMQSLGVPAKGSRVLSKRKKPRSAINALPSTSKTKQHNGTQMSDESGITCIPVLPIYGIGGVGKTTLAQHICHDSRVKSHFDLIIWICVSDDFDVKRLTKEAIQSCSTKEADNLDYLQRALSEEVMNKRLLIILDDMWGDVSRESGHCWKRFCAPLTNALQGSMMLVTTRSPDVAREVQTMEPIRLEGLQDDVFWDFFKLCAFGSKNSENYPELVHIGKSIVQKLKGVPLATETLGRLLRMSLDTEYWNRILKSELWELKQNNTEILPALRLSYLYLPTHLKRCFSFCAVYPKDHKFEEDNLAEIWIAEGFVQPEGNTPILDTGKQYFEDLVNLSFFQSVGGNKYVIHDLLHDMAQLVSKDDCFILKDKDDFEKVPPSVRHLFVLPSIAFDCTQLLSLSRKCKLESLPGDFSKLCNLQRFESCGFNCLPNGESHFDASTGQGVGLTLMKNVNQICGGVTINNLGAISKDIAAESAIKNKKNLDRLNLKWSSVRSQDHNDIEVLQVLIPPTSLKCLTLNGYLGQSLPNWFHPHNLPSLKSLEFHDCHRLCSLPFCGISPPCINLNEVPEVPIENACIPAIKRITLQRLTYTKTKVLPLPIGKFDCLEELDVAGYQIFNASESLSMRTLKELKLCNSGDLPCFFEFPSLTNMLLVVLPVTSIPLRVWCSNLPALLRLKIYQCMNLEFIGESVFTGNRPQRDSCSTTTFSSLTFLEIYGCEKLRSIGDLVTPEYLPAIEKFVVTFCGKLSSLPGERFGNFSALKHLDITSCGKLKWKGLVLPSTLQSLCLSDCGDISPWVPSCLENLASLVRC >OGLUM02G12280.1 pep chromosome:ALNU02000000:2:10365986:10366638:-1 gene:OGLUM02G12280 transcript:OGLUM02G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRWDSSRPARRRHRWGRRRCRGSHILVVDGVIVGPTAAPVVGAADSALRATTIPPHHAASDSAPLRCRRLCTTTLPTPPHHPSPPLLFTMPDPVGRAVNSAPWHRRRLRWAAPSTLPQRHIMTANRVHVLAGGVGVHGHGAVPGQRSRPLLLLRHRRHSQCSLLVVPPRISGATLSADRLSPICSCTGENKERREERKKRDIFTYMWAHVLF >OGLUM02G12290.1 pep chromosome:ALNU02000000:2:10367523:10394390:-1 gene:OGLUM02G12290 transcript:OGLUM02G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLPYPRPPSSLGWEMGGGGRGGGGVEGGGGRWESREKSRWEEEAMVRYTQKLALGIICQDISHANIVVCLTEKSQREGFAGGREALYLALLRPPRPRPRLREARWQPFDGDQLQLAASVAGNAAGDGTAVRAAPDGGCCVAHGGAVRVYNWVMEERRRPARASSAREMAGANRPRLGVYAKAFARGNSTSAKAPSEQNSSARVIMHILARIHESASALDQELAVIIPGVIMQMSGILAAARQSHDDSRDDHRQLLIWMMRKKKKMAKE >OGLUM02G12300.1 pep chromosome:ALNU02000000:2:10398113:10398328:1 gene:OGLUM02G12300 transcript:OGLUM02G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTSNGLLMLTNGTNQLKGHAFFPAPLQFHRAPNNTAMQSFSTAFVIGIIGAFEDQGSLAAAAGSGRAA >OGLUM02G12310.1 pep chromosome:ALNU02000000:2:10409283:10416231:1 gene:OGLUM02G12310 transcript:OGLUM02G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVAVLIIAVSLPSFSASDRQGDALYDMKQKLNVTGNQLSDWNQNQVNPCTWNSVICDNNNNVIQVTLAARGFAGVLSPRIGELKYLTVLSLAGNRISGGIPEQFGNLSSLTSLDLEDNLLVGEIPASLGQLSKLQLLILSDNNFNGSIPDSLAKISSLTDIRLAYNNLSGQIPGPLFQVARYKIFHITGGSHSSKIGIVLGTVGGVIGLLIVAALFLFCKGRRKSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFSERNVLGQGGFGKVYKGVLPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLKLIGFCTTQTERLLVYPFMQNLSVAYRLRDFKPGEPVLNWPERKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGSIVDRNLNQNYDDEEVEMMIQIALLCTQSSPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQEYERMQRRFDWGEDSVYNQEAIELSGGR >OGLUM02G12320.1 pep chromosome:ALNU02000000:2:10418484:10421598:1 gene:OGLUM02G12320 transcript:OGLUM02G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLCYLFVFRPIFKFMTLFMFHYSGCSVYIIQEPCVAMGVQFGSLFIILEKR >OGLUM02G12330.1 pep chromosome:ALNU02000000:2:10447047:10448248:-1 gene:OGLUM02G12330 transcript:OGLUM02G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEKTKCRMAMEAAEAAQLGMAHGSAGKAADGAHGGAADGGWGRSAWTAARRRAAVPAAAAGVARHVRGADAQGQGVPHPAAGERAPLPALHVYTRHARCSCVRVCSWFLLALAAFASAVCLVFKPRQSDYTLQGADLLVGLSALRRRYASRSTSRCAASAASMAAWHLVFSISAIASTASSACRASSSRRPYPSSLLVDERERGEEGEERGCMTGGPQHFFIIKC >OGLUM02G12350.1 pep chromosome:ALNU02000000:2:10461079:10461492:-1 gene:OGLUM02G12350 transcript:OGLUM02G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQJ3] MVKFLKPGKAVILLQGRYAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHIMPTRYTLDVDFKDVASGGPDALATRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >OGLUM02G12360.1 pep chromosome:ALNU02000000:2:10476843:10478109:-1 gene:OGLUM02G12360 transcript:OGLUM02G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWKTKVLPKIKVVFAKGGNAKKAAAAELIKSFDESKEGINGEFEEKKADLQPKVVEIYEAAPAPLKVLIKDRAKVSGIKKNSAAVTKFVDDLAKIEFPGAKQLSEGIAKVGPALLSGPVFATFEKVSTLLPADEEEIKPKEAPAAAAEEEKKEEAAAAGEEKKEEAEEKKEEEAAAPADEPAAAAGESAPAAAEAEPPVEAAATPAAAEAAPAKAEEEEAPKA >OGLUM02G12370.1 pep chromosome:ALNU02000000:2:10482699:10482965:-1 gene:OGLUM02G12370 transcript:OGLUM02G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAIAEFAACLQRPSSTACGDPTTLSLQIHHPGPEPPPVPLLDLGEGRGLEVLPEPSQGLAVVMVAVARSTSSTVTCPSPSPPGRRI >OGLUM02G12380.1 pep chromosome:ALNU02000000:2:10487630:10489204:-1 gene:OGLUM02G12380 transcript:OGLUM02G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPSPRMVVPAKDQSPDKPSGFRKSINPIYADAVVVDMAAAAARPSRTAAAAAVEDDDDGGAAPALVRRHTGGAGDGRWEAIRAASARESPLSLGHFRLLRRLGYGDIGSVYLVELRGGGSGALFAMKVMDKSSLVSRNKLARAQTEREILGLLDHPFLPTLYSHFETDKFYCLLMEFCSGGNLHSLRQKQPNKCFSEHAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVGAGAGLVHGCVLPRILPRRSGKKKKKQKWNDQEVTSATGDGNGKNRPPPATSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGSGNRATLFNVVGQPLRFPDAPAASAAARDLIRGLLVKEPQSRLAYRRGAAEVKQHPFFDGVNWALVRSAMPPYIPEADVAAAAVDCRSPLARATQGGGTPKKSAAGGKASSPRDDPSYVEFEYF >OGLUM02G12390.1 pep chromosome:ALNU02000000:2:10500804:10511207:1 gene:OGLUM02G12390 transcript:OGLUM02G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor family protein [Source:Projected from Arabidopsis thaliana (AT5G13650) TAIR;Acc:AT5G13650] MAMQAATTTTAARRLAPKPQPQANRCRPSSVSVVAAGRSRRRSARSSLRASASLDQEVKERASPAAGKSGQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPTARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLSPENLGDDLGPLFEAILRCIPEPRIEKDGALQLLVSNTEYDEHKGRIAIGRLHAGELQRGMEVKVCTPDDACRISKISELFVYQNFSRVPVDNVSAGDICAVCGINDIMIGETIADKVSGTPLPTIKIEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGFEFMIGPPKVINKTVDGKLQEPYEIAAVEVPEEYMGSVVELLGKRRGQMVDMQPSGPEGTTLLKYKIPTRGLIGLRNAVLTASRGTAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGILFVSPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEALSYSLDDCIEFIQEDELVEVTPASIRMCKNPKVSKKNR >OGLUM02G12400.1 pep chromosome:ALNU02000000:2:10501402:10508958:-1 gene:OGLUM02G12400 transcript:OGLUM02G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISHRGKNPRRRRRRCRLSSFLEQLLPDGLLDGFDEVEMQNIMAEPKSEGMKKMVTVIAVVMSIGSKALKPLQPDLPSLKMQGTKKKRRGNLYQAPAYTFCAHCT >OGLUM02G12410.1 pep chromosome:ALNU02000000:2:10508984:10510516:-1 gene:OGLUM02G12410 transcript:OGLUM02G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSQGLSPGFKFNPSDQMLVELFLLPYLIDGELPVCGLVFVEDDHLGGLPLPPWILLDRHGRGDEDEAYFVAPMGAGDGARQVRSVAGGGKWVKQRSEGKGEVVVAPGGEAFLWEKFSLNFHCDDRRSGSTGWVMHEYIVSPPAGSAVAASHRATHITFTGHGQNRKRVPDGYVLVLDDAAAAPPSESEQSNQEEQEYAPPPESEQSNQEEQEYAACTDQIQQQCFVPEQQMSNQDYFPAAAAAEQSNQQFFVPAEEQRSHQLFLPAEEQSSQQFFMPAEEQSSHQFLPAEEQSSHQFLPAEEQSNYQQFLPALEQMTQSNQEFAYGEQSQCYIVPEQQQLSNQEYAYSEQSQCYILPEQQQLSDQEYAYSEQSQCYILPEQQELSNQEAEYAFVCYDEQQQQSNQEAEYAFACYDEQQQQQQYLHGDLTSWQEPLVTSSSSSSQQFLGQEQLLPDGLLLDGFGEISQQQGDQEYAYCEESQCYIMPEQQQQSNQEAEFAFACYD >OGLUM02G12420.1 pep chromosome:ALNU02000000:2:10511853:10547441:-1 gene:OGLUM02G12420 transcript:OGLUM02G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNVPHNFTKLTQNQKTKKRIKGKKEKDGGTEGYFPRHQKSDHINTFTKARKIFTPERYLSPVEGGTGVP >OGLUM02G12430.1 pep chromosome:ALNU02000000:2:10552186:10554489:1 gene:OGLUM02G12430 transcript:OGLUM02G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPSVAPEPAPRLVCNATTSDLPAGCNLGLLARIELLVLFCSAILALLVFLGSSRRRSSSATVRLVVWGAFTVSYPVAAYTIGLMQSSPFHHELFVVWSCFFLFVLASSDSITAYTLADIKSPATVLLNRGLQVIYVSVLLHYYSSVLSPKLKIYLFIVWLVSLGKIALSALGYRLALRSDRLEADNKLVADYMTYEHDLSRQQGGSDEDPVTMEGYKYVVRGEETDVMEPTAPDYVKKIKVDGTRALVTVESVWRCKGRLLMGSSVADASAAARRDLCLSFALFKLLRRRCSNYPLAESGQPKTRDFVLKGLLGQGKDDDDDDGGDRRSRRDGRAFRVIEVELGFLYDLFYTRYPFICHAAVSTAPHLAMCALVMTIGVLTLSSHSLRHYHPTHHRSIEVNGVNLDVALTMFIIALVIVLEAYQFVAVLFSDWQKVKMLCRYVLRPSWQGNPFFEAVLRVLCYCGSGVYWKKTMSQYSIVRHASPGHAVKDWLSRATRRWLDRLMFNGGKTRSVKVSAAVESALASALRDRDDDDGVLGGGGRAAPALRQHGLDWAWGGATWRTCAHAILIWHIATCLCDMQMQAAITHKKTRPRARKAAGGGDGDGDRAVATSLSRYCAYLVSSAPELLPEHQYTTRTIAEAVLLELRGCLRGCASDKEVLDRLKAVAESTATASSPESGIHVHGARLWTQLMVIPDQDMTWKLLARVWAELMLFVTPADNATAHVQHLTMGGELITHLWALLTHAGIVDRPNSPSPHPHPAP >OGLUM02G12440.1 pep chromosome:ALNU02000000:2:10559438:10565894:-1 gene:OGLUM02G12440 transcript:OGLUM02G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQTNGGGSNKKPSTAAGGDASPDKQNAPPPDDKKPPPDGTAPSPTTPSTGNNNPSSNKEQRPKPASDTPAKSTSRGPARGGGRRAKKDPPPPPQPPLQPAAAAKGTLDAFGRDLTLEAANADPVEGSEEEIDRVVCILSCKSKNSAVFVGAAGVGKTAIAEGLAQRIARGEVSGVLAGARVVELNVAAMISGTSYRGTFEKRMTGVIADAEADAAAGKVVLFVDEIHMLLGAGRVSGGCIDASNMLKPALARGRVRCLGATTHDEYHRYMVRDAAFERRFQKVHVAEPSVDDTVAILRRLKPSYQDHHVMEIQDAALVAAPKLSGRYIPARHFPDKAIDLVDEACATARLLMDRRKKKQAQAAGSSNGGDTPVAVALAPKDENVGPDHIARVRRTPARATASTSRAGETLTAVDTHAYVQIVSKWTGIPVASLGEDERKKLLELPQLLHRRVIGQDEAVGAVAEAVVRSRSGLGNPNQPSGSFLFLGPTGVGKTELAKALAEQLFGNAKLLVRIDMSEYVNASTVTRLSRLIGSTPGTNGYDKGGQLTELVRWRPYSVVLFDEVEKADAAVFNVFLQILDDGRLTDGQGRTVDFTNTIIIMTSNLGAQHLSAGASHEDDATEAAAAAAKQRVLADVQRHFRPELISRLTEMVVFRPLSGEQLRKVARLQLHGMAARLAEKGIGLDVADAALDVVLARSSDQLRAYGARPIKRCLEKDVMTRISKMVVQEEVDDDCYADAEENDAAAELSSSSSAAGKKRKRRPPARYLVVIDDDEYMCIHRMLSSDPTFTAS >OGLUM02G12450.1 pep chromosome:ALNU02000000:2:10581812:10586902:1 gene:OGLUM02G12450 transcript:OGLUM02G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRNGDGYANFSPEVRRRPCEREASLRRRLATPSSLKLGWTTSSSSRHWLLRQNKSSAHPLTRSPLPTTPLPFPRRRTADAVGVDGQPRGGRLPGLRARGRSHRGAQQLLRAREATHPCAPEAQTPCVPYNELTSHNSKYSAVEFCIRHYPVITYLQKNHLLVLLGLSRVVYAYYLNPLPTLKCDPYKQTDIMLPRIGLNIGNIVMSAKPNSGSSSETAQGESCTVGEKDGVVIVDHGSRREESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >OGLUM02G12450.2 pep chromosome:ALNU02000000:2:10581812:10586902:1 gene:OGLUM02G12450 transcript:OGLUM02G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRNGDGYANFSPEVRRRPCEREASLRRRLATPSSLKLGWTTSSSSRHWLLRQNKSSAHPLTRSPLPTTPLPFPRRRTADAVGVDGQPRGGRLPGLRARGRSHRGAQQLLRAREATHPCAPEALWNSASATTLSSPICKRITYWCSWAFRGWSMHTISIPFQPLSATHTNKLTSWSIDVRNSASFVKLPRIGLNIGNIVMSAKPNSGSSSETAQGESCTVGEKDGVVIVDHGSRREESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >OGLUM02G12450.3 pep chromosome:ALNU02000000:2:10581812:10586902:1 gene:OGLUM02G12450 transcript:OGLUM02G12450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRNGDGYANFSPEVRRRPCEREASLRRRLATPSSLKLGWTTSSSSRHWLLRQNKSSAHPLTRSPLPTTPLPFPRRRTADAVGVDGQPRGGRLPGLRARGRSHRGAQQLLRAREATHPCAPEAVTVEFCIRHYPVITYLQKNHLLVLLGLSRVVYAYYLNPLPTLKCDPYKQTDIMLPRIGLNIGNIVMSAKPNSGSSSETAQGESCTVGEKDGVVIVDHGSRREESNLMLNDFVAMFRARTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRVIVSPYFLSPGRHWKQDIPALAAEASKEHSNIPYVVTAPLGLHELMVDVMNDRIKYCLRHVAGNVEECTVCAGTGKCQLYP >OGLUM02G12460.1 pep chromosome:ALNU02000000:2:10587043:10588144:-1 gene:OGLUM02G12460 transcript:OGLUM02G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAAVDGMFVPWDHEHEVNPLTAEASFVERLYYVEAADIARLREEASAGGARDERAGGVGKLAVVVSSSASPTPRRGAALQHGVLAWVDLRWRVRSPELRRTLSGYVGNATTYVEREEAADAVLRKPLGEVAAMVREAIAAVDYDERLQETVDWLEAHRPRSYTERAAVGLGSPTLHQTVWASFPCEAADFGFGAAALVLATSANGRMCSAYLCVGRQPGGDRQRLRVAAPRRRAVERPAPGLQAPHGGVPRPHRRDAPWPRLRMKYDLN >OGLUM02G12470.1 pep chromosome:ALNU02000000:2:10618748:10623125:1 gene:OGLUM02G12470 transcript:OGLUM02G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNLAMLLWNSFGTVAALLQEIVVVYPALSPPTLSLGASNRVCNALALLQCIASHPETRTHFLQARIPLYLCAFLETDNKAKQFEYLRLTSLGVIGALVKVDDPKIINFLLENEFVPLCLHNMTIGSELSKTVATFITEKIVVDDAGLAYVCANADRFYAVGAALATVVTSMVDQPSKRLLKHVIRCYLRMSENPRGFAALQTCLPPQLKDGTFNSSLRDDPSGRHLHQQLLVKMTSGKKGGGAGNSAGHMSWG >OGLUM02G12480.1 pep chromosome:ALNU02000000:2:10630538:10636572:1 gene:OGLUM02G12480 transcript:OGLUM02G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFISYPPSGAVSHSSPGQPHHMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRNMAHLDSAGLSTNNLQQNALNSGPIRPNGAMLTPGLVQHNLQRPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHSSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELADDVNLLEEHRERVAVRAASYQQALRRYHEKRIRARTLSISDYVLRRVQSQAGRNKLSPKWEGPYTITQVLRPGAFKIADGDGRELANSWNIDQLRKFYV >OGLUM02G12490.1 pep chromosome:ALNU02000000:2:10657714:10658364:-1 gene:OGLUM02G12490 transcript:OGLUM02G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTDIDFSYVSCAACCAPFPTKILDPNASTSFAAPSKSKGLAAVARGGFLGRLLLPINKSSIAKTNSIREPSSVDVLLTTETLTLLSIPGPLALGNLVQSVLLTPIAPLEPTPEDVCGHCCEGNG >OGLUM02G12500.1 pep chromosome:ALNU02000000:2:10673677:10673927:-1 gene:OGLUM02G12500 transcript:OGLUM02G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGKMDSRRRGEAGGERELEEELQRRRSSGGGCSRTWQGKRNRVRGGEDGRLFIGFGGRFAEEEEKEDTATAVAWGGG >OGLUM02G12510.1 pep chromosome:ALNU02000000:2:10673943:10674842:-1 gene:OGLUM02G12510 transcript:OGLUM02G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVKGPPVNFSFSFSFLSSSSSRLLLPSLLPVNSRERKRRGAGAPVMERPRRRWPSVFPSDTHPGKWSPSEGVRGGGRNGDGRSLARPIWEVAVVA >OGLUM02G12520.1 pep chromosome:ALNU02000000:2:10718380:10719251:1 gene:OGLUM02G12520 transcript:OGLUM02G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGLPVRVVSRHLVKASDATIQPHVLTLSHIDLHADDVQTTVTCVYPNPAPSGAGDFAAVVATFTANLPSFLSHLFFLTGRIASSSLDWGNAGASLNKIQLPYAAEVVMSVQLLSFACGGFAVVWATNHLLGDGHIAVVLLRSWSELALTGTFAGGLNLDRSVLSRPRSPPMYSAAVDGMXRQPPPHQIQPERQGSGGHRCRRPGRLPWPRERDPISETRSPPPLSLRLRGFAGGHSGGGEAEEEDEGEGAAGARRFPSCPGEGDARI >OGLUM02G12530.1 pep chromosome:ALNU02000000:2:10720185:10727523:1 gene:OGLUM02G12530 transcript:OGLUM02G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPSSSASTGDTKFDASQYAFFGNNAVEEVELGGLEDDDGIDAGFVGPGDEEYPSAYGRDMFEVCWLAYSMQEAVGPYVHDEGGGSFTGVDDLAGAFSKLTRSINEPTQSGIISRGGSISRQSSTPDWAQDSYWPTQPIFGAEPGLNNESRWSQPPHLARFADSRLHRTSSSPQQDAQYNPNEHILGAMPSPLHRTSSYPQQEPQYNHTEPIPVPKSSFIPYPPSGAVSHSSPGQPHNMNMPSPPTSFQMPVSAQNELHHPQFPRGGMPPGPLGRSMAHLDSAGLSTNNLQQNALNSGPVRPNGAMLTPGLVQHNLERPNGLVPPQMLSRRPQHGMAPIQHSPPQFSQFHAQMLGPRHPPPQGRQMYNPQHPSQMMSGFDANLAMPDLSDPRARAMLHHGVHGQHYLPQGFELAGMRMDNGWPWFRSKYMSTAEIENIARMQQAATQINDPYIDDYYHQACLAKKSAGGQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQTSESSDDVTEKSASKTLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQMAESLQLVDPLTPSNNAPLSPNDDLVFLRIVSLPKGRKLLSRYLELVSSGSELARIACMAVFRHLRFIFGNLPSDSSAVETTTKLATAVSTCVVRLELSGLSACLAX >OGLUM02G12540.1 pep chromosome:ALNU02000000:2:10729474:10730200:-1 gene:OGLUM02G12540 transcript:OGLUM02G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIAGRNMAQIRSADMACDQKGGLHGKELTPEGDFLVAFLRFGLLYFLLEDEQCDTLIWVDPEWHPRVQKAFEQLWHLLEEEGMKLELEMADRLPDMEISFEKKLSKQKTDDIRNWFIRTIDVVVFLLMKLFDNMYYACRC >OGLUM02G12550.1 pep chromosome:ALNU02000000:2:10733471:10737359:-1 gene:OGLUM02G12550 transcript:OGLUM02G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGGECKIEGRIRFNNHSKHANEIPAAKRSISSELQIILHSARPRRISPVRPRNSAVRGLKTRRRSFSSAAARRGHTPGLGYHNEARSSFSTAPELPSSRRWGSSTERGEDDGWQCRKWLRRVAAPDAVIGQ >OGLUM02G12560.1 pep chromosome:ALNU02000000:2:10761026:10765768:-1 gene:OGLUM02G12560 transcript:OGLUM02G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G08560) TAIR;Acc:AT5G08560] MARPLPSQGKEVMVGSKGVIKRDEFVRIITKALYSLGYEKSGAVLEEESGITLHSPTVNLFRRQVLDGNWDSAVVTLKKVGLLDENIVKSAIFLILEQKFLELLRNDNVMGAIRTLQSEITPLGVNKKRVHELSGCIISSPQHVLLGFSKLGIESSNSRLKLLEELQKVLPPTVMVPERRLENLLEQALTVQREACYFHNSIDGLSLYTDHHCGKDQLPSCTVQVLRAHRDEVWFLQFSNNGKYLASASNDKSAIIWKVDEDGELSLKHTLTGHDKPVMMVAWSPDDCQLLTCGMEEVIRRWDVESGKCLHVYEKSGIGLISCGWFPDGKHILSGLTDHNFCLWDLDGKEVDCWKGQRSSKTSDFAVSNDGKLIISMNRESTIRLFDRETKQERLIEEDNTITSFSLSEDGDFLLVNLISEAIHLWNIRNCPIRVNRYAGHKRSRFVIRSCFGGSEQAFIASGSEDSQIYIWHRATGDLIETLPGHTGTVNCVSWNPANPHMLASASDDHTIRIWGLKKANLKRKDVGSSNGIYANGNTPSNGVVHQCNGNSSK >OGLUM02G12570.1 pep chromosome:ALNU02000000:2:10780263:10785877:1 gene:OGLUM02G12570 transcript:OGLUM02G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPPPPPSPSPPPPPPVASSASSSSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAALHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDAVACTVVTLVSSEREVFAKVEKILRAGVWDVARTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSTADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMVMKDDFLFEIYPFMMVWPPIFPALIKTHDPEALRMCAPRPRHRRHSASIRVSCELGSGRPRAAMFDVIVAVV >OGLUM02G12570.2 pep chromosome:ALNU02000000:2:10780263:10785877:1 gene:OGLUM02G12570 transcript:OGLUM02G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRPRPPPPPPSPSPPPPPPVASSASSSSSSSVLDDGDLLREILLRLAHPTFLVRAALVCRRWLRHASDRAFLRRFAALHPPRLLGFYVDSASLPRPRFVPLPHPPELAAVVRRGSFDLGTAADLHFHLGTGIYCCRNGRLLVCHRGGGESTLQLRRPLHPASDGAVISTFRTPPRPLPPNQRHTRYILLPEDGGDGDAVACTVVTLVSSEREVFAKVEKILRAGVWDVARTSAPIELPAHWRRSLSRGFLVNGKLYMLGTTGYILGLELVSMSLFFIEVPDAVRDDCPESFQLSVKLSQAEKSGLYLIHVEGFKIHVWLHGTDGNSTADWNLVNTICLREVFGHLVKPSWESGDSRISLPGSGDNAEFVFLEVDGEVFCMDIISRTVEKVYEMVMKDDFLFEIYPFMMVWPPIFPALIKTHDPDIRVSCELGSGRPRAAMFDVIVAVV >OGLUM02G12580.1 pep chromosome:ALNU02000000:2:10786381:10787454:-1 gene:OGLUM02G12580 transcript:OGLUM02G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGDTGLSKVRRYWPGRAPEYWAGAAVAHDEIKHVEEEVLRSPRRRVRQPPEIVSAAAAAVDDWHEPDQIEEEEDEDDDDDAREERRARIRERALLLRQHEEEQLLLLHQQHQDEDEASESDETAAESDSDGEQMAIVYMAVPLFVPKSQRDTTRLREEEQYRRPRRLDLELDKKRLEDRKAQTRRILLQEIIREELLAATTASDEAAIDGVDTDDEVDQAEEHESWRRREAARIKRCREESGIDDDDKSIMEDENPVADDRPNKKMKIKKPMRRFMQRYYHKGCFFQDDADDAAQTAAGACEIYRRDFSGPTGLDKMDVSVLPKVMQVKHFGRRGGRKWKHLVNEDTTNRNFT >OGLUM02G12590.1 pep chromosome:ALNU02000000:2:10788748:10789551:1 gene:OGLUM02G12590 transcript:OGLUM02G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHSPPPPPAPPSPPPALPCHPMPPPPRHDDTALTLSLAPPAAAAAAARPLQAMVARAKCSSPTGDAPPCTECGRRFLSWKALFGHMRCHPERHWRGITPPGGGGAGAASSTAASQFTLREREVAASLLMLSGAHPARSGAGKGKGKKRLLAPAAAAAPHHSPATCADHKCAVCHRGFATGQALGGHKRCHWPDRSCADQAISMLAVSTAGSSSTTTTSASPPPAPATAATALDLNLNLPPPLPRKNLQDGGSNETLDLNLGLQS >OGLUM02G12600.1 pep chromosome:ALNU02000000:2:10790716:10792957:-1 gene:OGLUM02G12600 transcript:OGLUM02G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2043 (InterPro:IPR018610), ENTH/VHS (InterPro:IPR008942); Has 308 Blast hits to 279 proteins in 95 species: Archae - 2; Bacteria - 30; Metazoa - 120; Fungi - 19; Plants - 44; Viruses - 2; Ot /.../karyotes - 91 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G61800) TAIR;Acc:AT3G61800] MPRPAASSSSRPPPEGEPASSRATSSAESSIASLIDRATSTTSPSVDPALLRAIKSAARASDGAIRDAFRILLSLMSKPHAHVRLLAFTIADELFMRSKLFRSLLADVLDGFLPLAVGFRRAHPLPPPAASATLLRKAAVQALERWHHLFGAHYRQLRLAVEYLKESARVQFPGLRAAVEARAAREARTQEILTAKVEQLRRNLAAIKAEIRSVIDEIRNGLDIIRIESEKFNNYANDEDEEKEIASLAMRSIRMASLMAGEWVPETQENEAVFDALRESYRLLVSKHLVTVQEWISVLVRVNLADNRFRDSALKEFIDVKNEIRAVRNRCSELGLNLDNVHRRKGVQEEEDDDFWVEGNIEVPSPGRVGTSADVASSSKDTRKGKKPVGGTDTDDGKAPNAGNSPQELDPERAKLHAEAPVLPWSSVLDRWGSNRDALVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSIYREDPVEILPCLAPLKKGGLCQRRDLKICPFHGPIVPRDAEGNPIDQSDGHCDAEGNLVGQTDGRYDAAVSPIDPNEDSSDVRENMIMPDGSSDGECIYESSPSRTANINNDISDSDVGHDLGKITVEQLARQAVQNVRKRDRDDKKALEKAQRARIRQHNQDVLREAALASTSRSAADYDLPSPAVSRRGRQGKTKGPTLASMLKKKVTSKDRIAERLLNARARDATIREVSHTEDMSYREAFPNQW >OGLUM02G12610.1 pep chromosome:ALNU02000000:2:10796919:10797254:1 gene:OGLUM02G12610 transcript:OGLUM02G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGERRTIVPLVIALKLIAPVATRVTNVVAVLELAAFVGVIANKAVPILDLPSSVGIAANELTSLLALNRVAIELSSRCIISPVAPPLLPSNIEIAHVLALHGAHNCVFQ >OGLUM02G12620.1 pep chromosome:ALNU02000000:2:10802043:10811549:1 gene:OGLUM02G12620 transcript:OGLUM02G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease regulatory subunit X [Source:Projected from Arabidopsis thaliana (AT5G53350) TAIR;Acc:AT5G53350] MVGMGLMGTRGAVVVRGGAAPTWGDGSPRPRRFAVGSISSSSARSAPRRSAGDCSETDSCVSDTDGVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVDLEKTISERRHDSSIGFQAPIRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTKPKNALGRQYTKLFEMNDVKLHFTEKALRLISKRAIAKNTGARGLRSILESLLTESMYEIPEIRTGKDKIDAVVVDEDSVGSTNQHGSGAKILCGEGALDLYLDEHNKESTLRRSEKVDGEPDIDTEAPSRVASM >OGLUM02G12630.1 pep chromosome:ALNU02000000:2:10802505:10812333:-1 gene:OGLUM02G12630 transcript:OGLUM02G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMRWGCGECSNFKLTREGSGGVGVARLAGSRPRNRYRRHYQTRNPYMLATLEGASVSMSGSSHAYMAGESKGRRQSLAEQQLHQNQQPGDSKGNRKSAINEEAYSINRLNDWSMYPSLSDPSQGCCFSQAPLHQYHSRKNQSRYNLQQTYSLNPIYHLKLPIRRTQQVWQNWYLHNTNLLESNSQCILL >OGLUM02G12640.1 pep chromosome:ALNU02000000:2:10812146:10818366:1 gene:OGLUM02G12640 transcript:OGLUM02G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQM6] MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGGGGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >OGLUM02G12640.2 pep chromosome:ALNU02000000:2:10812721:10818366:1 gene:OGLUM02G12640 transcript:OGLUM02G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQM6] MDMASIECVSYSDSMDDDDDDGVGVGGVSHLPRPILVKPSSAAAAVNVVVVSAGSGGGAGGGGGGGVGVVAGAPAVPPATSVHELLECPVCTNSMYPPIHQVRHSWGSCGFFLLLGSPLTARESSSVGWNRVIRCCERAGSSLRVCLGVLDPGASWILPFPATGKHGVLAAFQGIGWLPRRCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEIFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQQNPDSGACIPNLFS >OGLUM02G12650.1 pep chromosome:ALNU02000000:2:10817314:10819935:-1 gene:OGLUM02G12650 transcript:OGLUM02G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSDESELSDADIDDYADKCYMDLKSGKPVVSLGNEKFRCPFCLGKKKQDYRYNELLQHAIGVGASNRAPKVKANHMALANLLKNDYADAAGSLPSRQAVGPSFPPRPLQDQEVYVWPWMGILANVPAEKTKEDGASLMQQLANFNPLQFTAVLCSEGRYTGYAVVGFSKDWIGFTNALAFHNYFKSRRLGKKDWAALGQEKYICGWMAKEEDYKSSDPVGRFLSANGDLKTVSGLENDLSRKTETLIANLSHQITAKSKYLVELECRCNQMNLSVKRAMEETDLLHKSYNEEMRNMQSAAREHSQKIFEETDQLRKQLDDKENAIERRSKQLSKFVAQTDIERRKLESEMKKNSEQNDSIHMARIEQQKSDKNVLKLVEKHKKEKEIALNKILQLEKQLDEKQKLELEIQQLRGRLLVVQHMEGEGVDVKKRTDELTEELNEKIEEMEYMEGLNQTLIIKERKTNDELQDAKKALISGLSELLGPRSTIGLKRMGELDEKPFLAACKKRYGTADGEAEIKAAEFCSEWQENLKDANWHPFKIVTRGGKTEQIINEDDEKLVGLKEQLGDEVYKAVTTALLEINEYNASGSYVLSELWNNKEDRKASMQEALQHVLEQWKLRRRRR >OGLUM02G12660.1 pep chromosome:ALNU02000000:2:10832787:10840927:-1 gene:OGLUM02G12660 transcript:OGLUM02G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQWRPDXPLALAAASGGPPRRRRPRRMADLGELATTLTGSGETDPLSVGVASAVELSWASALLPSQDMMVIAEIYMRCAVRFSVSIRAAASTWVPANRLGQSRNLTTTSDTLGKGGEVDRRGGTAGKATQVESTSGATEVLAKQAGSGGGENMSEVERDKQQEYNEQEDYSGGRWGDEEKVVGVVVSRRRGGGAATGGGGGKEESTRANLQDGSHPCCPWTQPA >OGLUM02G12670.1 pep chromosome:ALNU02000000:2:10857796:10858097:-1 gene:OGLUM02G12670 transcript:OGLUM02G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVVFTAGGEVEMERGDGALLLGFFGLGMDYWPVLISLSVSAVSGRFEEIHRVWIKPKRLPCGPRSM >OGLUM02G12680.1 pep chromosome:ALNU02000000:2:10858162:10858383:-1 gene:OGLUM02G12680 transcript:OGLUM02G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKLAAPLAGVHEGFKRGHRGDVYGAMAWLGLLRFDGNEDDVPMAASSARSRASSARFAFPPKHCMKWDET >OGLUM02G12690.1 pep chromosome:ALNU02000000:2:10871319:10872340:1 gene:OGLUM02G12690 transcript:OGLUM02G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGNELSKNHSPLQCSRGLEALQPYLPTTLKDGTFINLVKQWLQELLVKLRSEKIGGLPPSVLGGMLRV >OGLUM02G12700.1 pep chromosome:ALNU02000000:2:10895021:10900571:1 gene:OGLUM02G12700 transcript:OGLUM02G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCVVSHGDPSAAAAVEEGDVRIFYQRYGHGGTKVLLIIGFAGTHESWGPQVKGLTGAVEPVDEESPAGDDGAEHCDHGEGRASINGSSRVAESTRFRSLHGTSDSEIGTNYGDHGEAGSMIASKLAAIAPERVASLALLNTTGGGYQCIPKIDWQTISLACRFLRARTPEQRAGVDLDVHYTREYLDEIVGSNTRRQMLYQEYVKGLSSCGMQSRHGYEGQLNACWTHKLTQKELDRIRSSGFLILVIHGRDDVVAQLYHARRLAEKLQPAAKLVELHGGHLVSHERTAEVNMSLMEMIKASKSNTDQGEWSNLPKKSDDQLLAGSDSRLAKRECNIIVIYNLLGKLQLILLFFFGVFYIILEHARRVLRVLKPVRVSATSL >OGLUM02G12710.1 pep chromosome:ALNU02000000:2:10896971:10905013:-1 gene:OGLUM02G12710 transcript:OGLUM02G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAGSGGGWLAAVRKVFRPSKDAADKKGGGGGDREEEAAAEAAPEVLLLEHFPAGGTSLSAEGTGNDQGGTVVGKGEGEEEDGEDGYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLITDHLHAGVAPLCLPPLPPATAAATYSSRRREGGYSWHPLRNNSYHAQLGERDESDDDVDGDGGKVGEHARQQQQRQRGNDVRSRSPFRSWDGSSRTPEEDRAEGARRHDAAARRERARAYAYGYQQRQWQEKAGGFQWLDRWMAAQAQQHAPEPDKSRRRAALTAAADGTTMPERTVEMDTTSYRSPLNSHSAAVQGRPPAVPGYMAATQAARARARTAPPATPAHARSRSGAVLAGDTSSSGQSGSGSGGGGAHVQEPCAVYSPESRGTGDWTLPRLAVSSRATRYLLLWTWTR >OGLUM02G12710.2 pep chromosome:ALNU02000000:2:10896927:10905013:-1 gene:OGLUM02G12710 transcript:OGLUM02G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHAAAGSGGGWLAAVRKVFRPSKDAADKKGGGGGDREEEAAAEAAPEVLLLEHFPAGGTSLSAEGTGNDQGGTVVGKGEGEEEDGEDGYGEVERARALAAAAEAAVAAAEAAARVVRMSALRRASREERAAVRIQAFYRGYLIFIIMDMDTVTTHGEKEELLYVSM >OGLUM02G12720.1 pep chromosome:ALNU02000000:2:10908204:10941359:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVISIAILTVGDVPPTPTTCGNGIVAVEEAALNRELNVQWLVNPSEDAPVIQALRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGSVI >OGLUM02G12720.10 pep chromosome:ALNU02000000:2:10908204:10944572:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVNSTLGAASNSN >OGLUM02G12720.11 pep chromosome:ALNU02000000:2:10908214:10944987:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.11 gene_biotype:protein_coding transcript_biotype:protein_coding MSADRLKVKRIGDISGRGISPLRYCTTCRMVGLTLDIGCEHKSPNFNTKLASLMLMLPLSLGSITARICSLLQFSNTQSVSRSRCPSMSCSTGLRPLVTSRRNAPKANTSVRGDAFPVSANSGAI >OGLUM02G12720.12 pep chromosome:ALNU02000000:2:10908204:10912518:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVISIAILTVGDVPPTPTTCGNGIVAVEEAALNR >OGLUM02G12720.2 pep chromosome:ALNU02000000:2:10912282:10941359:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRLWFRENSWVFLFATNHGSSAPAVAVREDPTIADRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGSVI >OGLUM02G12720.3 pep chromosome:ALNU02000000:2:10912282:10942254:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRLWFRENSWVFLFATNHGSSAPAVAVREDPTIADRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGRRSCFRFYC >OGLUM02G12720.4 pep chromosome:ALNU02000000:2:10912282:10944477:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRLWFRENSWVFLFATNHGSSAPAVAVREDPTIADRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGRYVLASPEERSLVGVMTRAMPWPPTPGEAVTMPNTKDAEKDRTAAFFSPPSGDDDSSKRSGVGWNAWPLTCGLPLVSSSRPSGVTMAVPSRVRSFTPAKPE >OGLUM02G12720.5 pep chromosome:ALNU02000000:2:10912282:10944890:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRLWFRENSWVFLFATNHGSSAPAVAVREDPTIADRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGREKLGPVCAHLLVD >OGLUM02G12720.6 pep chromosome:ALNU02000000:2:10912282:10944887:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSRLWFRENSWVFLFATNHGSSAPAVAVREDPTIADRICVVCDEFWSSINDAGIRASGIFPPVMTIELTGVGADLRRRVERWRQAAAGGGGGCVRSVSASHRAFELVEGAYRGAEQASCGSRLLISWWLKISDGRELNVQWLLPSESK >OGLUM02G12720.7 pep chromosome:ALNU02000000:2:10908204:10909807:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVISIAILTVGDVPPTPTTCGNGIVAVEEAALNR >OGLUM02G12720.8 pep chromosome:ALNU02000000:2:10908204:10912020:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVISIAILTVGDVPPTPTTCGNGIVAVEEAALNRYVLASPEERSLVGVMTRAMPWLPTPGEAVMMPNTKDAEKDRTAAFFSPPSGDDDSSKRSGVGWNAWPLTCGLPLVSSSRPSGVTMAVPSRVRSFTPAKPE >OGLUM02G12720.9 pep chromosome:ALNU02000000:2:10908204:10908954:1 gene:OGLUM02G12720 transcript:OGLUM02G12720.9 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGGQHMRGAGAAVRRSAGRLRLGKPGAWSGGGKRRPVGEAAACARCLRRIEHSSWWKEPIEELSKRAVC >OGLUM02G12730.1 pep chromosome:ALNU02000000:2:10909773:10911821:-1 gene:OGLUM02G12730 transcript:OGLUM02G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVCILCLQCSLLYGVNLAAVAAAGDGNSVNQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFEESSSPEGGEKKAAVRSFSASFVFGIITASPGVGSHGIALVITPTKDLSSGLASTYLGFLNRLSNGDDRNHIFAVELDTIENPEFSDINGNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLISGKAMQVWVDYNDDATQIDVRLASVGIKKPFKPLVSTRFNLSAVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPRLPGTGRRSKKSYQPKTIVIALPIVSVVLVIAVAAGVFLLIKRKFQRYVELHEDWELEFGAHRLSYKDLLQATERFNNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLFGYCRLKKELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYNRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEKDIEGNPLLLTDWVFEHCSNEQIHAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSSKSLGTVSTLSIGR >OGLUM02G12740.1 pep chromosome:ALNU02000000:2:10926365:10928389:-1 gene:OGLUM02G12740 transcript:OGLUM02G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSSRTPQPELLPPLDSSRLTDAHQATALPGVRRSRCRHGRLRHPFLPAAASLATGASLAADHKNGIALASRSQNRRPLAQNRPSPLPASGKNANVGPIVEASEISVPGGDGLYDYIEPEDHEEEDACEDPVDPLEWDNYGFY >OGLUM02G12740.2 pep chromosome:ALNU02000000:2:10927724:10928940:-1 gene:OGLUM02G12740 transcript:OGLUM02G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding LSSSFLCFSFSFFLYLARPSQLVLPRANPVPHCAALARARAQLVPARASHTLARAHTRPIDGRIPGRHLQLPAFKTL >OGLUM02G12750.1 pep chromosome:ALNU02000000:2:10942220:10944274:-1 gene:OGLUM02G12750 transcript:OGLUM02G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMVKPVCILCLQCSLLYGVHLAAAVAAAGDGNGGDQFAYSGFAGVKDLTLDGTAMVTPDGLLELTNGKPQVKGHAFHPTPLRFEESSSPEGGEKKAAVRSFSASFVFGIVTASPGVGGHGIALVITPTKDLSSGLASTYLGFLNRSSNGDNRNHIFAVELDTIQSPEFSDIDDNHVGIDINSLVSSNASDAGYYDDNTGEFKSLTLVSGKAMQVWVDYDDDATQIDVRLAPVGIKKPMKPLVSTRFNLSTVITDEAYVGFSASIGTMTSQHYVLGWSFGVGTQAPAIDMDKLPKLPGRRSKKSYPPKTMVIALPIVSVVLVIVVAAGVFLLIKRKFQRYVELREDWELEFGAHRLSYKDLLQATERFKNKNLLGIGGFGRVYKGVLPTSSSEVAVKRVSHDSRQGIKEFVAEVASIGRLRHRNLVQLLGYCRLKEELLLVYDYMPNGSLDKYLYSHDDKPTLNWAQRFQIIKGIASGLLYLHEEWEQIVIHRDIKPSNVLLDNDMNGRLGDFGLARLYDRDTELQTTVVAGTFGYMAPELALTGKASPLTDVFAFGAFLLEVTSGRRPVEQDIEGHRLLLTDWVFENCSKEQILAVIDPRLNGNINISEASLVLKLGLLCSHPMSNVRPTMRQVVQYLNGDMPLPEMSPMRFTFSLSALMQNQGFDSSLKSLGTISNLSIGR >OGLUM02G12760.1 pep chromosome:ALNU02000000:2:10955297:10957473:1 gene:OGLUM02G12760 transcript:OGLUM02G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGRSAKRRRRLGPPEIGEAEEHPAAAEEDEEGADHISNLPDAILGDIVARLPTKEAGSTQILACRWRHIWRSSPLNLDCTTTSLSAKNDALAAGVVSSILSTHPGPCRRLFGFHYLRINSPSLRSIGARIISYRNSIGHLQLQELIIEDAPLLEKLPHLESRNDLHVILQGLSMGYKKVWWHKYRNLNKNIDIRLKTVVLDNYRGIWSQVHFAQLFVLNARVLESMKFVVKSEDYYDGFVAKQHRMLQLDKRASRGAHFYFTTDRCRCGDSDIKHVQDLSFTDPFECRCRNWFGP >OGLUM02G12770.1 pep chromosome:ALNU02000000:2:10958901:10960952:-1 gene:OGLUM02G12770 transcript:OGLUM02G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTKYVSWCLALLLRLAAVAGLADDGQFLYNGFAGVNLTLYGAARITPNGLLKLTNGTVQQTGHAFYPPPVRLRRTPSTKTNGTGNEKAVRSFSSSFVFGIVTADTQDLGGHGVVLVVAPRANLSTGLANNYMGLFNGTGSVGSASNHLFAVELDTIQNPDFRDINNNHVGININDLASRDNDKAGYYDDDDGRFHDMTLISGDAMQVWVDYDGDTTRVNVTLAPLGVRKPARPLLSATHDLSTVIVGESYIGFSSATGTLSTQHYVLGWSFGVDMPAPAIDAAKLPKMPKRRTRSDQSKTMVIALPILSVVLLLFMVSCVILVRKRYNYGELREDWEVEFGPHRIPYKDLRRATERFKNKNLLGVGGFGRVYKGVLPKSRLEVAVKRVSHESRQGMKEFVAEVVSIGRLRHRNIVQLLGYCRLKNELLLVYDYMPNGSLDKYLYGHNNMPILSWAQRFLIIKSIASGLYYLHEEWEQVVVHRDIKASNVLLDSEMNARLGDFGLAKLYNHGSDMQTTIIAGTLGYLAPEITRTGKASPLTDVFAFGVFLLEVTTGRKPVERDTEGGIHMLVDLISAHLDRETLPMDMVDPRLEGEYNTDEASLVLKLGLLCSHPLPDLRPSMRQVMQYLDGQLPFPELVPSHTSFSMLSMAQSRGLDSYAISKSLSSMASISQLSSFSSGR >OGLUM02G12780.1 pep chromosome:ALNU02000000:2:10968390:10974058:-1 gene:OGLUM02G12780 transcript:OGLUM02G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKCSLGNQTRFWPNHGQTKPNQARETTAQDSAGWTRGGPHLPVNADLLSLLSTLSSCETRGDERRASRLLSQRERREREERFSRRRMIGAHVWDNQILAAHRDTNPIPLSSPIPSLSSNLSSLPIIVSSARRLSPRFGFVPAAVLRRRRCRPAAAAGEICPVHMAKPANSIGRSTPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >OGLUM02G12780.2 pep chromosome:ALNU02000000:2:10968390:10974058:-1 gene:OGLUM02G12780 transcript:OGLUM02G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKCSLGNQTRFWPNHGQTKPNQARETTAQDSAGWTRGGPHLPVNADLLSLLSTLSSCETRGDERRASRLLSQRERREREERFSRRRMIGAHVWDNQILAAHRDTNPIPLSSPIPSLSSNLSSLPIIVSSARRLSPRFGFVPAAVLRRRRCRPAAAAGEICPVHMAKPANSIGRSTPRSNEGMRLIFSAVVGVMLGYLFGVSFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANRHNKNNSDSTSEEVPKIYVPTNPKGAERLPPGIVVSETDLFPRRLWGDPSEDLSSEPRYLVTFTVGITQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLDISQPGLQPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQVEWHYMFNFNIVYNSGILTHCLLQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGNAENGKAPWEGVRARCRKEWEIFQTRLADAEKAYYLSQGITPPNSTLV >OGLUM02G12790.1 pep chromosome:ALNU02000000:2:11015693:11019727:1 gene:OGLUM02G12790 transcript:OGLUM02G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVLGKALGPVTDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAAQGKDIGSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAGGCARNLILNVTHTAKAASKRLGFSKCSCAAADNGGHTYPRSEQGAQGRGLCCGWSHNDHDSEEEEEEEEGEEEEEEEEEEEATGGGIRKLVSGARNTIHAVGKRLHCSSFPAVHDDGDSSVSICCGACMRKPPQQRKDVIKETPKLKIDRVGLSIRMKDIVDQLKLVCAKVTAILNLELHHSIRSTDSSTASSRPITTPTSIEPKLYGRDDTTKNIIYDITQGTYCSKDLTVLPIVGPGGIGKTTLIQEIYNNQVKIHFQIKVWICVSQNFNVHKLIEEIKKYVPSVEGENKYGRAEELIEQRLKSKRILLILDDIWKCESDDWKRLLVPLKKGQSRGNIILVTTRFPVVAETVKTTNNTIQLGSLVHTEFWKLFLVCVFDHEQPINGDIELIKIGKDIAKKLKGSPLAAKTVGRLLRNHLDQYHWMRILESKEWESQTGDHDIMPALKLSYDYLPYHLQLCFSYCALFPEDHKFDSKELIHFWIGLDILHSECHNKTFEDVALSNLEDLVNHGFFKKDETNGHLCYIIHDLLHNLAVKVASRECVSLHCSNVRSVGIQPSIRHLSIIIGGEDGSDGITNKSFMTGLLKLKTRVRVENLRTLMIFGRLDESFVGTFRELFKEAHALRVLHLPNMAFPAESILYNFSTLLHLRYLRLGTTYGSDLHLTSVLSIFYHLKILDLKERRGYIDLPRDFSNLSKLRHFLTVDPHPAICNVGKLQFLQDLERFEVHEEEKGFELKQLGHLMELRRLGIYNLGSIHTKEATAEAKLLDKNHLLMLALSWDKCQASWDKQDQVLENLRPCKSLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVRLPNLRKWTAKEVLMLSHLEVLIVKECNELIELSFSYCTYCPSEGYKNLFPRLRKVEIKNCSQLMRIPPMPYTQTLCSIHIYGVGTSLGELHYKSTLYTLRITGNRDLNGLDDKILAFYNLTQLQELEINNCKHLAENYLRMLTSVKKLKLINSSVVFHPSESRSEDEWQLPVEHLSIRGRSFDGKALSQLLSHLPKLSELHLSKCHKITRMCIAVEQQQTTGVELEDTQAVESIQQHQVDQEDEDGTLIFPAHLSNSLQQLYLNYCLSLILDVARPALQTSHEEDTGGWGLRSLRSLQRLDILLCPKLLSAYEALGCPLPSSLQHLQIVGRMEGLQALDFLTNLNFLTGRITSRESS >OGLUM02G12800.1 pep chromosome:ALNU02000000:2:11027752:11029482:-1 gene:OGLUM02G12800 transcript:OGLUM02G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAESQGLSPGFKFNPSVEQLLCFFLLPYLRHQRLLVDGVVFLDDPASAPPWALLHRHGRGGEDEAYFIGPVPAGDGHGGRRQQQVSLTVTGGGGGKWIKQRTERPRGEEERVVVFGGETFRWEEFSLNFHADERCRSGSTGWVMHEFAVVPPAGSRVAATHTACRIAFTGHGQKRKRVPDGYVFVDVHVQTAAAAAAAVPPPLPMLCSCAEPPHPGASHVEHFSDDHPPPHSYTYYTQEYQQFLPAAEQSDQEQEYCLPEQQNFQDYHVAAAEQTDQDYFYTEMINQEQDYAYQQQDYAYQQQQQHLFHGDPLATSQQFLGQDHEVMFTGLGGGLVVSDNGELAAVAAPATEPPVHDVFLETLVPEPPENAYVDGAGESAMASASSAGGAPLLEQPFATPPQQFLDQEPAPAGLNDGGAMIYNNNGDGEHDAAPAAQPPARYYSGPVPAVDSVFLDKMREYLMADAKELCRIPVEHAPTNNGDHAAAPASAADDPLAAQHGHGSAPPPPPVPPDTAELERVVGHLLREVEDIIKVAAAGGYGGSSDKPLSSFDQAQNQILAKLMVVFNQVAES >OGLUM02G12810.1 pep chromosome:ALNU02000000:2:11059229:11062877:1 gene:OGLUM02G12810 transcript:OGLUM02G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPLWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKEASADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKAVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSLAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIINTSPSPDFKYAHGISQWWQPELNLQCSKYRYS >OGLUM02G12810.2 pep chromosome:ALNU02000000:2:11060890:11062877:1 gene:OGLUM02G12810 transcript:OGLUM02G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRDIFGISGPTYLNPVNWNCEHNRRSVAACLVQAVYVLERDRQLGRQSVEALGPLWWEFFHFELIRKLVDDADLSIFGAIFEFNPPSSKEASADNAPRFVIAFRGTITEKETISRDIALDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSTIWLAGHSLGAGMAILTGRNMVKKAVLLESYLFNPPFVAAPIERISDERVKHGFRIARSVITAGLAIAMKGKGEGSSQRSLAEDSFNILSSWTPYLFVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFYKALGWESEPLHLLPSADLIINTSPSPDFKYAHGISQWWQPELNLQCSKYRYS >OGLUM02G12820.1 pep chromosome:ALNU02000000:2:11071646:11072044:-1 gene:OGLUM02G12820 transcript:OGLUM02G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIALSIRNLISQYVWAFVGLFVTNIICSSLAVIVGTTMNYFLDGQINRAEILFPGVACFLIAIGFAFHSSNAKDEEMKLSMSGFRNASEHYQSEFL >OGLUM02G12830.1 pep chromosome:ALNU02000000:2:11072063:11073247:-1 gene:OGLUM02G12830 transcript:OGLUM02G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLWRRRTGRWRRTAIRSPRRRLPACCVASLLPFPSQLSTSPLHADHHGRRRRGRRGAQPPQRSTAATAVKKKPRESKRRCRRRKQNKNKAASTDVDAARDAGPDDSAAEQKPASWRPTGGAISPLPGVDMFVVEDRGGDMVVSLLFLGTWPALLTLLQRRGRLPQHTSLDYSVTNLLAAAVIASHLGSLEGPGLDN >OGLUM02G12840.1 pep chromosome:ALNU02000000:2:11080401:11083080:-1 gene:OGLUM02G12840 transcript:OGLUM02G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQR1] MAVGKNKRISKGRKGSKKKTVDPFSKKDWYDIKAPTVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKVRLRAEDVQGRNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKSTSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGMKLDRPAETDEAVAGEVAAAE >OGLUM02G12850.1 pep chromosome:ALNU02000000:2:11091323:11097351:-1 gene:OGLUM02G12850 transcript:OGLUM02G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCVLWSHLIVSHLRMTAMRPLVHPAICPCAQEQKDERNKKDHERRKRKKEESQVLNKSATNSGVVRSDASHLNITPRRLPFTIINNVAHYGPNEVPLSCVIQTTQNRDIKLRNATLTPEQKRDKPCTESIALECPKGCSSSLLNPMPSFETTRDVPATSSLQTEHAAGHLARSSNFDDGIIYICFHLYSQFIPFPILTLNSTKLDMDSFMDDDTNDEHYMFAGLGIVVIVPKSLTFTCMDFSIYNPFLLNQATMRMMRWSVEFQEIRMEHVFRTCWKVSAKVVFKSPMQQNKFGARYIWFILKDATERRMEALAYDLQADRFNGTIQRGLVYDFTNVGFQPTDVPTYANLTMQAKFCMILTPKIALRKPHSVDFRAIFTDAIRDDMVIDVVGVLIYMGEIQHHQLYGQSIPTRDIALVNHRKIWVPYFISLSLKQLQSVLKKSEPLEKCYCFGKDPVHHDNVDLANTVVSWSEIHYKLSQCKVILIPICHARSFIVLIVDQESQTLYLLDPNPLMPEYKNNPNMRYTRKLITIFVITSTKLCGRHALALGGTKT >OGLUM02G12860.1 pep chromosome:ALNU02000000:2:11101804:11102331:-1 gene:OGLUM02G12860 transcript:OGLUM02G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVKHTAHGWPRQLRSLERSSRVVSFPPRAAAVAAATANFSSAAVAAPFALPLPRTGPRAPFAPSSPLPFSVHRNRRRSSHFPEEATAAAAASFLRVQQLCRHHRSLPPQVACDRARRGVGAGGRRRLFGAFRRLRVRWLVALYRRSLRRLRAYYTKAVQDLLEGATAMSTLHS >OGLUM02G12870.1 pep chromosome:ALNU02000000:2:11102760:11104040:1 gene:OGLUM02G12870 transcript:OGLUM02G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQGTHPAMPAAVSVVLDDDDLLREIILRLALPTDLVRAAAVSRRWLRVASAPAFLRRYRALHPPRVLGLFAKHAGGSLFEPLPHPRELAAAARRAAKGAMLGSLGGGEPLPVYDCRNGRVLMDLSDPFDRGDGTLAVVSPLLHASRSLAVLPPVPLAARPPPVSAMGDDDTLLGRATDVCILLPEDGGDGQSYTVVTLVRSKRVGWRMWWEFFAKVSVLRAGSWDDDDVRATAPVELPARCRGDTTWNRALLVQGKLYVLGMQSHAILVLDLASMAASFINLPDGVRHEEDGDLDLFRSNDAGLNLIHVNGFQARLWRRGGDDDDGDGMTAGNWVLVDDIGARRAFDHLAKVGGEMDIGGPVGVIKVGDDCEFMFLCVDGDVLYMDIRRRMVKKIFEASPKRGGVLPVIHPLTMVWPPIFPVLV >OGLUM02G12880.1 pep chromosome:ALNU02000000:2:11106193:11107470:-1 gene:OGLUM02G12880 transcript:OGLUM02G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEATRPSSPPPPAASAASSVLADDDLLREILLRLAFPTTLVRASLVSTRWLGLASDPAFIRRFRARNPPRLLGFYHTARRDEQPAFVPLPQPPELAPVLRRRRRLAGFALGGADVSAVVFDCRNGRLLRAKFPPPPDELRFGVVSPLLPPARQPPDLPPNLHSQLHQVPNDARVLRPGWMLLPEEEDGGGDDLSYTLVVFIRRGRELFSRAVLVRGESDDQIRTSDPIALPKHYWPNKKMNRGLLFHGSLYMLGREHVLGLNLASMSLLLIKLPDGVEQLEHMGNLELLRDGDSGLYLAHLKGFQIHVWHRATDGSGNGGDWEMVDTMSLHQSFGQVARPDWESGDPSLGDALVSLRRVEDNAELFLTIDRVIFHIHIASRTANKVFEMTPKEDIGFEIFPFMMIWPPTFPALNYDDDDDDDQ >OGLUM02G12890.1 pep chromosome:ALNU02000000:2:11110512:11111750:-1 gene:OGLUM02G12890 transcript:OGLUM02G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKRTQPPEPAAAAAISSVLANDDLLREILLRLGLPTTLVRAALVSTRWLRLASDPAFLRRFRARHPPRLLGFYHTARARFQDEVPAFVPLPQPPELAAALRRARLRLAPGASGSGPDAPVILSCRNGRVLAAEFPPDGPRVSIISPMHPARHPPALPLVYELPRQPGQILHASCMLLFPDVGSDDPSYTFVEFLRKDQEMLAKAVSVRAGISDLNSVRESATIEIQESWERSIRRDVLVNGNLYLLGGKGHVLGLNLASMRLFLFRLPDGVQQLHRMGNIELLRAGDSGLYLIHLKGFQIHVWFRASDSDIGGGDWEMVDTICLLESFGQVAEPNWESGDALVALHRVEDNAEVFLRVDRVIFHIHIMSRTVNKVFEMSPEAYRYFDIFPFMMLWPPTFPQLRNDHDQDQ >OGLUM02G12900.1 pep chromosome:ALNU02000000:2:11113238:11116757:-1 gene:OGLUM02G12900 transcript:OGLUM02G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQR7] MGHAVARRLILLLVFLPCFLLPLSGAHAFSASALEAEAAARRHHRLRIALNETAVHVGKALEALSDAAVAPASAVMTTPFSAGSSSSSSPLAAAAARDDCAELLEDSLDLLAGAGEPGAAHDDALTWLSAALTNHDTCADSLNEAGIPHDADAAPHLAAARAMVRDCLTMYAEAASATMATSNKDGLAGVPVWNGGGKSKKQRKRGKRRRSLLPRWLSARDRRLLLGPAAPLVESADMVVAKDGTGTHRTISDAVKAAPERSGRRTVIHVKAGRYDENVKVGRKKTNLVFVGDGKGVTVVSAGRSVADNFTTFHTATFGSGFMMRDMTVENWAGPERHQAVALRVSADRAAVYRCNIIGYQDTLYAHSNRHFYRDCDVYGTVDFVFGNAAAVLQRCNLWSRSPLPGQKNTVTAQNRRDPGQSTGLVIHACRIVPDSPPSPAVAAAPLAPTYLGRPWKLYSRVVVMMSYIGGHVPPEGWLAWNATFALDTLYYGEYMNYGPGAGVAGRVAWPGHRVINDSAEAERFTVARFISGASWLPATGVSFLSGLSL >OGLUM02G12910.1 pep chromosome:ALNU02000000:2:11120055:11121794:1 gene:OGLUM02G12910 transcript:OGLUM02G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRAPTPPPPPPPPPPPEAESSADSSGEEEEGEEEERESPAAPPPQKPSGRGAAAAASSDEDEEEEDSDTDTYAQGFQLRKVGGGDEEGEEEEEGSGSSESEPEPGPVKKESAKKTKAEAKKKRAAPEPAPSGKAKKAKPEKSAAAAPEPAPSLGKSKKAAKAEAAKAAAAEPAPSTGKANKSKSAPEPSPSSKSGKALSRWTADDEVKILEVLVAHFKSHGTQLNVEGIIAAVGDSLERKSIKYSDMYEKVRRLKQRYEATAKKVEHGGDLPAKEDDLRMYQLSSEIWGKNAKDAGNSSKNKKGQAKKDKVSGDSKEAAKEDKVDEAATAVNEKGGTLAENKKGKTNKQKTGMETKVGSSKEAALAASPTKGKKKGSHKDKLDEEAKSGTAKVTSTIATDDDGTLGGSKREKAGKEELDGDTHIVMPKEATTTAARDDGTLVGSKKGKADNGKLDGDTHSVMPKEATAGTQNGGILTGGENHKEKVDKDANVPSIRREYAELQSLYPNLASFVNGIEVQHPCGSTFKRAFEFISDDKACTLESKIKKQKIAEVRMQLRLADTKKEVANALLGLLD >OGLUM02G12920.1 pep chromosome:ALNU02000000:2:11131484:11137312:-1 gene:OGLUM02G12920 transcript:OGLUM02G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLDRAASSSSKATGSRSAFTDAGFFSIITFSWMGPLLDLGRRKALDLDDVPTLDDNDSVQGILPNFEAKLVSVSGSGKYTDVTTIKLVKALVLTTWKLILFTAVCALLRTVSSYVGPYLIEYFVDYLNRSPRSAKEGYILVLSFVVAQFIEGLSSRHLLFRSQQLGVRVRSALVAVIYQKGLSLSNQSRESISSGEIINAVSVDAIILAMLILYSTLGLAAFAALAATVLTMLANLPIGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLKKDVYTSAMLISVFFGAPAFVAMVTFGSCLLLGIPLETGKVLSALASFRQLQGPINSIPDTISVIIQTKVSLDRICSFMHHEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKLHRERYEKVLEACCLKKDLEILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLRLLASKTIVYVTHHIEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPESSLIPGDSGSMLFRQDKQKDENEGAEEGIVQNGQLVQEEEREKGRVGISVYWKYITMAYGGALVPLILLAQIIFQVLQIGSNFWMAWAAPISKDVNPPVNSLKMASMSFFDSTPSGRILNRASSDQSTVDTSIFDLMGYVLFPVIELLETIILMSRVAWPVFVIFVPIIAASLWYQQYYIDGARELQRLTGVCRAPLMQHFAESVAGSNIIRCFGKERQFINYVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFILAFALVLLVTLPAALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERIFQYMFIPSEQSLTISKSRPNCQWPTNGEIELRNLHVRYATQLPFVLKGLTCTLPGGLKTGIVGRTGSGKSTLIQALFRIVEPSIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWEALNSCHLGDEVRKNELKLDSAENGNNWSAGQRQLVCLGRVVLKKRKIL >OGLUM02G12930.1 pep chromosome:ALNU02000000:2:11158738:11159401:1 gene:OGLUM02G12930 transcript:OGLUM02G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTQRWPGCCVLPEGTKLTLAHDDHGVAAAAPRFIYKDKADAVPSNLRAMDAILAMFGILPGSDKAAQVADTLRTCGELTAAGGEEPRHLPRGGARLRRLGAGHQRAARGHHARAREGAPQVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYFELLKASRGDAICHYKPQGYVLWLAN >OGLUM02G12940.1 pep chromosome:ALNU02000000:2:11168658:11169932:1 gene:OGLUM02G12940 transcript:OGLUM02G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTNQAIEVDGDRLSPPPPAASPAVSAVLENEDLVGEILLRLAFPTTLVCAALACRRWLRVASDPSFLRRFRELHPPRLLGFYVTSLKIPRAHPLFVPMPPPARPPELDPVVLRGGNFSLAYEGYTTSIYQCRNGSILLFKERHDRRELKYAVHRSLQHPERGLLAIPFSSTHDDDDDVEPDLGFDDENVWGFHFGEDGGSQLYRLSVMFTPRGATSAWFYAFRDGGWHVHTKATAQLPGLPPESAGFVVVRDKAYLAATASSVLVLDLKSSSLYTIQLPDGVEFPPVMMSYNDRSHDVLFGRASDDSGVYIADLKEPQLRIWLLKHGSTGWTLVDTICLRSMCANLHINCVGGDSRVVYMDYVGDDAEFLFLKTDECALYLDVKSRQLHKVYEVTEKDEILFSIMPFMMIWPPIFPVRKEIS >OGLUM02G12950.1 pep chromosome:ALNU02000000:2:11171598:11172489:-1 gene:OGLUM02G12950 transcript:OGLUM02G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGNECAAARRRFSLRAAAASSSSSFLPCLLLAAALSAGCCRAHAATARAPRSLLARFPTTKMTSELEKEAGRYVDDSSQAARTNNKITNLQVSFVGHGHDTPADTVTVD >OGLUM02G12960.1 pep chromosome:ALNU02000000:2:11204906:11210338:-1 gene:OGLUM02G12960 transcript:OGLUM02G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALHVGSDGDGDGGGFSRPDPAAAAASRDGYNDDDGGSFPRRIGRQRQRWLLPTANPAAPTAPAATXEIINAVSLDAERVADFNWSIHELWLFPVQIILAMLILYSTLGLAAFAALAATVLTMLANLPIGRIQQNYQEKMMDAKDARMRAMSEMLRNMRILKLQGWEMVFLSKIMELRKEEMHWLNKDVYTSAMLISVLFGAPVFVAMVTFGSCLLLGIPLETGKVLSALATFRQLQGPINSIPDTVSVIIQTKVSLDRICSFMHLEELSSDVVTKLPRGTTDVSIEVRNGQFSWNTSSEVPTLRNLNFRIRQGMRVAICGTVGSGKSSLLSCILGEIPRLSGDVQTCGRIAYVSQSPWIQSGTIEHNILFGTKLHRERYEKVLEACCLKKDMKILPLGDQTIIGERGINLSGGQKQRMQIARALYQDADIFLFDDPFSAVDAHTGLHLFKECLLGLLASKTVVYVTHHVEFLPSADAIMVMKDGQIIQVGNYAEILNSGEEFTKLVFSHKDAISTLESLEHSSGNPKSSLIPGDSGSMLFRQDKQKDDNEGAEEGIVQNGQLVQEDEREKGRVGISVYWKYITMVYGGALVPLILLARIIFQVLQIGSNFWMACAAPISKDVNPPDNSLKMVLVYVALAFVSSLFIFIRSHLLVMAGCKTAMILFDKMHRCIFRASMSFFDSTPSSRILNRACSDQSTVDTRIFDLMGYVLFPAIELLGTIILMSRVAWPVFQYYIDGARELQRLTGVCRAPVMQHFAESVAGSNIIRCFSKEIQFINAVSHFMDNLSRPSLYNAASMEWLCFRLDILSSFIFAFALILLVTLPAALIDPTKAIPSEPPLTISKSRPNCRWPTNGEIELRNLHVRYATQLPFVLKGLTCTLPGGLRTGIVGRTGSGKSTLIQALFRIVDPCIGQVLIDGLDICTIGLHDLRTRLSIIPQDPVMFEGTLRNNIDPLEEYSDEQIWELQKMAATGVQVSVSLFVWEGWF >OGLUM02G12970.1 pep chromosome:ALNU02000000:2:11217162:11218471:-1 gene:OGLUM02G12970 transcript:OGLUM02G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPSLMLLTRSSGVHVLMGLLNNQPPSLLLQAEFTDAAYSVKWSPRSVAPPKAPGIFAQHSNGNKAQFTDAAYPVDWNPRSVAPPTPPAALSSLAHPGIHIQRGMLFLMKKLHPGAVLPEGTKLALPHGDHGVAAAAPRFIYKDKGDAVPFDLRAMDAILAMFGILPGSDKAAQVADTLRACGELTAGGGGGEEPRACCATSREAVLDFAASALGTSAPRAVTTLVHGREPRRYVVAADGVARIGGDAVVACHPMPYLYEVYYCHRPADAVALRVDLHAVAGVGLGGATAVAVCHVNTTTWDSAYFELLKASRGDAICHYMPQGYVLWLAN >OGLUM02G12980.1 pep chromosome:ALNU02000000:2:11220082:11225682:-1 gene:OGLUM02G12980 transcript:OGLUM02G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSRASAMDTVPGVLSTDRSSTFIGRPSPKSVSYTAYRASRRWTSADLEDGIREGDAHDLSGARYSRSNRFR >OGLUM02G12990.1 pep chromosome:ALNU02000000:2:11225714:11227622:1 gene:OGLUM02G12990 transcript:OGLUM02G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSCFADGLKQLVGKAMVGGGTDFVSVSESWYPQCGQGDGGRWDRGSAVGHWGWSIVDLA >OGLUM02G13000.1 pep chromosome:ALNU02000000:2:11227118:11240535:-1 gene:OGLUM02G13000 transcript:OGLUM02G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNFSLNIAWTRGGLKADVDNARGDCANSVSGGRQVPIPISQSIIQPHTLADALLGAVNHPLRSTAAAGRSGMSGLHGLWECASDKQRRFRKEILMRLSPTLLPPAPPLPLPLPPPVTGAAAPSPPLPPVSPARRGDWEGTYQQRRFPSATPPFIHRRRSPSGTNPAAAAAPSTPCHLPPVVHPAAVAVAVAVSAGGGGSGSGGGGSLRPLSPPSCGRSSGGGGLAAAMGGSGGGGGCGGQIRRQRRRLPLSPLVSLPRLKRRWWRVSAAQLGKPLPAATPRPLTGILRPKYPWPWIERKREERKVDPDDANARWRRVASAQGWAATGGQGEEDGGEEGGRRRL >OGLUM02G13010.1 pep chromosome:ALNU02000000:2:11235212:11235986:1 gene:OGLUM02G13010 transcript:OGLUM02G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHIPTGACVSEEKEHPREFSRFGYHVLMGGRCTINLAKPSLRGMQGGDKTTATVNTVNRKKTPASAPSTMGSNDGDHWPCTEIAMAGPESLRRRRRLRIQPREVEGSGGGEEMPEERREMVAGGVEEAHPASRGGQEWRWTAAALAATKQEP >OGLUM02G13020.1 pep chromosome:ALNU02000000:2:11239474:11248210:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13020.2 pep chromosome:ALNU02000000:2:11240111:11248210:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13020.3 pep chromosome:ALNU02000000:2:11240111:11245454:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13020.4 pep chromosome:ALNU02000000:2:11241961:11248210:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13020.5 pep chromosome:ALNU02000000:2:11241961:11245742:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13020.6 pep chromosome:ALNU02000000:2:11241961:11245454:1 gene:OGLUM02G13020 transcript:OGLUM02G13020.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08490) TAIR;Acc:AT5G08490] MLMFPLARPPGRHYADATLRRGQWRLSHHLRAAAAATSGGGAPDVFDAVPALDDRMRCSALLRERAAGGDHYGCTSLLRWMLARGLRPNRLALAAAVKSSSALPDCRGGAALGRCLHGLAVKVGYADGAVVAKAVMDMYGRIGSLADAHTVFDEMSCSDAVCRNILITASSRAGLYNDVFHLFRAMLASGVDESMPTAVTVAVVLPVCAKLRVLRAGRSIHGYVIKTGLEFDTLSGNALVSMYAKCGGSIAMDDAHLAFSTICCKDVVSWNSIIAGYSENGLFEEALALFGQMISEECLPNYSTLANVLPFCSLVEYGRHYGKEIHGFVVRHGLEMDISVSNALMAHYSKVCEMRAVESIFRSSKMGDIVTWNTVIAGYVMNRYPSRALKLFQGLLFAGMAPDSVSLISLLTACAQVGNLRVGIRVHGYIFRHPELLQETSLMNALVSFYSQCDRFDAAFRSFITIQNKDSVSWNAILSACANSEHHIEQFFRLLGEMWHDVTQWDSVTILNIIRMSTFCGIKMVRESHGYSLRVGYTGDSSVANAILDAYAKCGYLHDAETLFRSLAGRNIVTGNTMISCYLKNNCVEDAEMTFNHMAEKDLTTWNLMSRLYAQNDLCDQAFCLFHQLQSEGLNPDTISITNILSACIHLSSVQLVKQCHGYMLRASLEDIHLEGALLDAYSKCGNIANAYNLFQVSLHKDLVIFTAMIGAYAMHGMAEKAVELFSKMLTLDIKPDHVVLTALLSACSHAGLVDAGIKIFKSIREIYGVEPTEEHCACMVDLLARSGRLQDAYSFALDMPPHVVNANAWGSLLGACKVHGEVKIGQLAADRLFSMEAEDIGNYVIMSNIFAADDKWESVEHVRKLMKSKDMKKPAGCSWIEVEKTRHLFVASDVQHQDRFSIYDVLGSLYQQIRGTQGGTEHLVVTYEL >OGLUM02G13030.1 pep chromosome:ALNU02000000:2:11249719:11267289:-1 gene:OGLUM02G13030 transcript:OGLUM02G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSWRQRRQTGETMSMETKVGTPPPSCRPLTSSLSFSSSHSQLLSSSPLSLAAAATKSPAVTARLASSPVVDWQLTSSSLGRPQWRSYCRYYIPCHLHRVDVLPLFSVATTAFSDRQATRSGDHGGVEQLGAPMKQVNDEIEEKSRGARMSDQDERPVRSMVLAGDRGAGNGWRPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADTTSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAAVKSSRCCNYRIPVAAPGARATRSARAAYLGHIHSGAPPLVACPTPHHQTAPLRLRAQGSTMELDAGWEAVLRGWNGSAWTPASPIADAIDDGKENKCHFILILDTSQNPCQQPSAMRWARKASAILGVATRVGHASGWHRQTSSSSSHAFWYISSNVAPAPPEKSIATVVGLVA >OGLUM02G13030.2 pep chromosome:ALNU02000000:2:11253036:11267289:-1 gene:OGLUM02G13030 transcript:OGLUM02G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSWRQRRQTGETMSMETKVGTPPPSCRPLTSSLSFSSSHSQLLSSSPLSLAAAATKSPAVTARLASSPVVDWQLTSSSLGRPQWRSYCRYYIPCHLHRVDVLPLFSVATTAFSDRQATRSGDHGGVEQLGAPMKQVNDEIEEKSRGARMSDQDERPVRSMVLAGDRGAGNGWRPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADTTSTGLNCFIEENMLEIPASQQILNGYQLVTVGIPIYDGRFRDMRWKAERLVAMCYVYFSRGSWKM >OGLUM02G13030.3 pep chromosome:ALNU02000000:2:11249719:11267289:-1 gene:OGLUM02G13030 transcript:OGLUM02G13030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGSWRQRRQTGETMSMETKVGTPPPSCVSVGERPEMAEHWAEGSKHASAQASYSRYQATSARSRQRNIFDLLAQREISPRTKHQAKKLWSKSPGNDADSNELRYAATDAKHDIYSWAESQSLHHWSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDYQTGKCLKVLSGHRRTPWVVRYHPLLPDILASGSLDQEVRLWDAKTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDEAAAPTIILRTRRSLRAVHFHPHGAPYLLTAEVNNLDSADSPLTLATSSGYSNYPSAVFFANINSRNCPHHEANSSSPCLLWPAYLRDDGSLCLIRNDLVSSSTNVHQRPSSLAQNPLASDVENQQPDQLVTPMDVCPGEPSTSHASASGLSGVEMQIDRGQPSSRLLGSSSTSNHESSTARDDVQMPSLSNSVPIPATSQPSEHDGRHGMPMNSFTTSSGLDVHMILRNSEGGNHHHDLFSDSRSWELPFLQGWFMAQNHTGASPSIPIDVGSSRGSNRHHASRRHVVGSLRGVGSSLLGPQIDEAEVHAASLGVGSELTTSLLAAGAAELPCTVKLRIWRHDIKDPCVTLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVQYDSAGAGTSPTRHPLPSHRVIYELRVYSLEEATFGKVLTSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSMLRSIVMDGETGIPVYTILEEGKLRILQHNGADTTSTGFRDMRWKAERLVAMCYVYFSRSVQRELEDVNQHNHVAAVKSSRCCNYRIPVAAPGARATRSARAAYLGHIHSGAPPLVACPTPHHQTAPLRLRAQGSTMELDAGWEAVLRGWNGSAWTPASPIADAIDDGKENKCHFILILDTSQNPCQQPSAMRWARKASAILGVATRVGHASGWHRQTSSSSSHAFWYISSNVAPAPPEKSIATVVGLVA >OGLUM02G13040.1 pep chromosome:ALNU02000000:2:11270450:11273928:1 gene:OGLUM02G13040 transcript:OGLUM02G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar essential protein-related [Source:Projected from Arabidopsis thaliana (AT3G57000) TAIR;Acc:AT3G57000] MSGSHHSQYLPPDEEEIAATLSKNPSFPPPRNPTTPLQRVRAAARGRRRPMGRPYAVKGRKKKRKLDDGGASREPPVDEEAAEELPPPEGVEEEEEGKEEDVAAAAAAGEVADGLPVVPRPVDGKRRPGAIFVLERACLEVGKVGKTMQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPPQLLQKLSITAVGKREKLLNVIKNPVTRYLPVGAKKIGLSYSAEKSVNLFDYVAKSSDDVPLVFVVGAMAHGKIDNEYSDDYIQICNYPLSAACCLNRICSALEQKWNIQ >OGLUM02G13050.1 pep chromosome:ALNU02000000:2:11274673:11276734:-1 gene:OGLUM02G13050 transcript:OGLUM02G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGIATLSPLLDQFCFVPHGEPRSQQLDSIVIFLAMPGVAPMPMRVLHSDSVASVKLRIQQSKGFVTTKQRLVFSGHELSLNNSHVRDYGLTDGNVLHLVMRLADLRAISIETANGKKFQFQVESCCNVGYLKDKLSAESGQQLGSLKDQRLVFDGEELEDNQLIADISKKGAAVIHLFIRRPAKVQTQQGDKETVVTVVTPKDNDNLQTDALNLVKPAKGKPAPVEPIIANGKVKLSPAVMEMIYSTISGIENGYLPVMSTEGSGGVYFMKDSSGESNVAVFKPIDEEPMAKNNPRGLPLSTDGEGLKRGTRVGEGALREVAAYILDHPVYGCKSCDVPGFSGVPPTALVRCFHMGKGSKVGSLQLFVDNNGSCEDMGPRAFPVKEVQKIAILDIRLANADRHAGNILVCQDGEDHLKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFGPETAAYIGSLDADKDVALLKFHGWALSPQCARVLRISTMLLKKGAERGLTPYDIGSILCRQTVKKESEIEAIIEEAEDAILPGTSEETFLETISEIMDFHLDKLAVKLKKF >OGLUM02G13060.1 pep chromosome:ALNU02000000:2:11276931:11277693:-1 gene:OGLUM02G13060 transcript:OGLUM02G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCAIGVVAETILPPLHDSFGSGLNALGAKKGL >OGLUM02G13070.1 pep chromosome:ALNU02000000:2:11280439:11285391:-1 gene:OGLUM02G13070 transcript:OGLUM02G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G24260) TAIR;Acc:AT5G24260] MRSGEAAGAVRSSSDQGNSRKKPRFDAGEEEEELARMPLADAFVGAGSSGGGGDGDGAAGAGGGCAAAPSVELLDIVQHPLPGYGAPVALSFSPDDRRVAFLYSPDGTLHRNVYAFDPAQRRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARLPGTPASRAGIVVPLPSGVYFQDLSGAEPVLKLQSSATSPIIDPHLSPDGSMIAYVRDDELHTVGFSDGQTTQLTYGASESGKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSSEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEITWMDLLCGEPNSIHGDEEYLARVNWMHNSAIAVQVLNRTHSKLKLLKFDIASGKREVILEEEHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYLHDKNGVCLGPLTQGDWMVDQIAGVNESSGVIYFTGTLDGPLETNLYSTNLFPDWSLPLQVPKRLTHGTGRHSVILDHQLLRFIDVYDSIKSPPVILLCSLLDGSVIMPLYEQPLTVQPLKKFQQLSPEIVQIEGKDGTALYGTLYLPDEKKYGPPPYKTLVNVYGGPSVQLVSDSWISTVDMRAQFLRSKGILVWKMDNRGTARRGLQFEGQLKYNIGRVDAEDQLAGAEWLLKKGLAKPGHIGLYGWSYGGFLSAMCLARFPDTFSCAVSGAPVTAWDGYDTFYTEKYMGLPSEQRDAYRYGSIMHHVKNLRGRLLLIHGMIDENVHFRHTARLINSLMAEGKPYDILLFPDERHMPRRLGDRIYMEERIWDFVERNL >OGLUM02G13080.1 pep chromosome:ALNU02000000:2:11286137:11296470:-1 gene:OGLUM02G13080 transcript:OGLUM02G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKITKAEQSNVATYYLKSETKKRPVLWRVSNSGPYNSRSARHPGLSRCQVKESGCSWLKSIEEIISQTLRHMDTS >OGLUM02G13090.1 pep chromosome:ALNU02000000:2:11289347:11296137:1 gene:OGLUM02G13090 transcript:OGLUM02G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10950) TAIR;Acc:AT4G10950] MALPFLLAFALLFQLSAPPRFCSAAPASSPPPSPPPSPAAAAAPRRTPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTRRPTGRFSNGRIPVDYIAEKLGLPFVPPYLEQNMRMGVGSVDLSNIDGMIQGVNYASAAAGILSSSGSELGMHVSLSQQVQQVEDTYEQLSLALGEAATTDLFRKSVFFLSIGSNDFIHYYLRNVSGVQMRYLPWEFNQLLVNAMRQEIKNLYNINVRKVVMMGLPPVGCAPHFLWEYGSQDGECIDYINNVVIQFNYALRYMSSEFIRQHPGSMISYCDTFEGSVDILKNRDHYGFLTTTDACCGLGKYGGLFMCVLPQMACSDASSHVWWDEFHPTDAVNRILADNVWSGEHTKMCYPVDLQQMVKLK >OGLUM02G13100.1 pep chromosome:ALNU02000000:2:11296730:11299323:-1 gene:OGLUM02G13100 transcript:OGLUM02G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQCKGTSVSSLGKLFLNHKIKVWFVWAAYHQSSSNELQSMRILLSWPKRPHLYEMVLAILNESDLLLSDDAVEQIVDQTFKQADLNSDGKIDPDEWKAFASKNPALLKNMTLPYLKDITMAFPSFVLNSGVDDEEL >OGLUM02G13110.1 pep chromosome:ALNU02000000:2:11323556:11325189:-1 gene:OGLUM02G13110 transcript:OGLUM02G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFNRAAQHEDPAILAKETTFSVSEVEALFELFKKISHSIFRDGLIHKEEFQLALFRNSNKKNLFANRIFDLFDLKRNGVIDFGEFVRSLSIFHPETPLGDKIAFAFRLYDLRGTGCIEREELHEMVLALLNESDLFLSEEAVEQITFKQADLNDDGKIDPDEWKTFASKNPALLKNMTLPYLK >OGLUM02G13120.1 pep chromosome:ALNU02000000:2:11330145:11333013:-1 gene:OGLUM02G13120 transcript:OGLUM02G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAAPSCQAPPTGLPLLTPPPAARTLSFARRLRSHGAATAAASPAASKGHLFLSQRQPRCSNLEAVGDVTAVPEDYTENMPSSSGSTNVATSISSHEDNLAGRVAKTNQTSKENQKMIKISDKLIGVFMVDKPTPTDWRKLLSFSREWDNIRPHFFKRCQERADAESNPEMKHNLLRLARKLKEIDEDVQRHNELLEVVKSTPSDEIGSVIAKRRKDFTVEFFNHLYYVAESYHDDPEKQRELAQLGNDCVDALQAHDDTSGSLEALSAAELKLKDILNSPSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEVLHTWASAIVDAYYNSREGTLLRQARDLMNPKIIKRVEEILKLIKDKYL >OGLUM02G13130.1 pep chromosome:ALNU02000000:2:11358806:11360569:1 gene:OGLUM02G13130 transcript:OGLUM02G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRGREQAELRRVAGGLDGGGRWPHTLAPASPPPTPSSTASSVAVTATECGCLDDVNAYAVQFNAAAKNLLERLNTKLPGASILNPTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVFWDAYHTSDAANQVIADRLYADMVSAGAVQGNVRERLHPRASRRRQRRRAAAPPPKHSSTDNISIGRCAPAQRRRLFGTTTTSRSVVAWSGHGRPRRGIGVAAPPAAAASSVDEEERSGRKSINT >OGLUM02G13140.1 pep chromosome:ALNU02000000:2:11403888:11431051:1 gene:OGLUM02G13140 transcript:OGLUM02G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSILAVMVAMASFVVLLAGGASAAAAAVAQPVPLFVFGDGTLDVGNNNNLPGDEDVGDPPRANHPYYGIDFPGGKATGRFSNGYTMADFIAKYMGYEMSPPAYLSLSGPVNMDGFTGVNYASADAGIRNSTNAGLTIPLSKQISYFAATRSQMESKLGRLAMSDLLSRSLFLIAVGTMDLLPDCNYFLTFPPSPPPDNKTEVQRLVELYNASCVTSLYGMGARRFAVVNVGLVGCGPTVDTRRGGGGGGCDARMNGLAAEFNAALGALLAGLRSEKRRLRYSLADFYAFSNATFANPSAAGFVNIDSSCCPGPCMPFPYFNRPPCDNRAQYWFWDGGYTTEQAAMVAAAAFYNGTAKFTTPVNFKKLIQRKNGSDAMGTFLAMAALTFQVMLLGGAVAAGGCNGTRRSSVPAIFLFGDGALDVGNNQYLPSSEAGDPIRADHPFYGIDFPGGNATGRFSNGYTMADFIAKAMGLEMSPPAFLSLNNSLIEVDVGFSGINYASAYASPDFPKHHTFIQDDAESVSLRRQVRYFSNTVEELNGTVTEHELTELLSKSLFLISAGTSDLYRIANILDSPSPSPPDNETDIPHLVASYGELVVRPLHALGARRFGVVNAPPIGCAPAVTEQPHSHSPGGGCDDRMNALAREFNDGLGSLMAGLSSSLPGLRYSVADFYGFSNATFMNPSANGFTNTDAACCKGHCNEQFGAPCGNRREYWLWDVGHTTEKAAKLAAAAFYDGERQFTTPLNFKRRDQPSPREDQAFKITRAPPRTPHDRLSLGSGSDEMGTFLAMAALTFQVMLLGAVVAGGCNDTRQSSVLFGDGALDVGNNQYLRSSEARDPIRADHPFYGIDFPGGKATGRFSNGYTMATSLESPFIFRDDVSSGGVPAKAMGLEMSPPAFLSLNNSLIEVDAGFSGINYASAYALGRVSLRTVASPGLAAFSAPSVVVRIPRRHRLSPPPTSPSPPPFIAVRLPCPRRLSRPLRRRPSAPPSPPPTPLASPPPSAPLPPPPSSYLALAASFDSISRLRRPTISLAASIASGALMALCPIGLLRLQRPRVWLWRFAGGSLCKTVARVKGICMPAKGFSVYRCDVEADARLFVMI >OGLUM02G13150.1 pep chromosome:ALNU02000000:2:11431416:11438463:1 gene:OGLUM02G13150 transcript:OGLUM02G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERRKPLVVGNGRRIRSYSPRSAGELTKKEDHFITYINKTKDNKVMVHIKGVKMMDAYISCFRDKEKGIRGDDKAFLNKAIWKAASCSARSGGCGLRACVGGTAMARYRDSREEETRRGLGLWPCGRRRGNAEGPRLRRPTVGLGRKPVNKPFVPVFRPILLESKCAAVVELPPFSLSTVFTTTAPPSPSVCAATPPPGRGDVMSSTGRHRRGEGRASLVSLLPAPAAHVTLRLHLVPPTSPAAVASLSLQAARVSEIPAGSTAARNLSWTLSAAGASLRTVRDHSWQAAGGDDASSVFLIIVLSLVIMASIQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIHIIMNDEATIPFKYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGNMDLSVNIWRVLRYSRKPSPFNIPNTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWVRQNLENNVNCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNIKSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >OGLUM02G13150.2 pep chromosome:ALNU02000000:2:11431416:11438463:1 gene:OGLUM02G13150 transcript:OGLUM02G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERRKPLVVGNGRRIRSYSPRSAGELTKKEDHFITYINKTKDNKVMVHIKGVKMMDAYISCFRDKEKGIRGDDKAFLNKAIWKAASCSARSGGCGLRACVGGTAMARYRDSREEETRRGLGLWPCGRRRGNAEGPRLRRPTVGLGRKPVNKPFVPVFRPILLESKCAAVVELPPFSLSTVFTTTAPPSPSVCAATPPPGRGDVMSSTGRHRRGEGRASLVSLLPAPAAHVTLRLHLVPPTSPAAVASLSLQAARVSEIPAGSTAARNLSWTLSAAGASLRTVRDHSWQAAGGDDASSVFLIIVLSLVIMASIQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIATIPFKYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGNMDLSVNIWRVLRYSRKPSPFNIPNTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWVRQNLENNVNCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNIKSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >OGLUM02G13150.3 pep chromosome:ALNU02000000:2:11431416:11435785:1 gene:OGLUM02G13150 transcript:OGLUM02G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGERRKPLVVGNGRRIRSYSPRSAGELTKKEDHFITYINKTKDNKVMVHIKGVKMMDAYISCFRDKEKGIRGDDKAFLNKAIWKAASCSARSGGCGLRACVGGTAMARYRDSREEETRRGLGLWPCGRRRGNAEGPRLRRPTVGLGRKPVNKPFVPVFRPILLESKCAAVVELPPFSLSTVFTTTAPPSPSVCAATPPPGRGDVMSSTGRHRRGEGRASLVSLLPAPAAHVTLRLHLVPPTSPAAVASLSLQAARVSEIPAGSTAARNLSWTLSAAGASLRTVRDHSWQAAGGDDASSVFLSVPEF >OGLUM02G13150.4 pep chromosome:ALNU02000000:2:11435761:11438463:1 gene:OGLUM02G13150 transcript:OGLUM02G13150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHFRIIVLSLVIMASIQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIAKDMGFKMSPPAYLSLNSSIKMETNFTGVNYASAGAGIHIIMNDEATIPFKYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGNMDLSVNIWRVLRYSRKPSPFNIPNTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWVRQNLENNVNCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNIKSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >OGLUM02G13150.5 pep chromosome:ALNU02000000:2:11435761:11438463:1 gene:OGLUM02G13150 transcript:OGLUM02G13150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHFRIIVLSLVIMASIQVLGSAEGHKTAVPAIFVFGDGMLDVGNNNYLPSDAPQADYPYYGIDFPGSEPTGRFSNGYNMADFIATIPFKYQVKNFNDTVSQMEANLGHQKLSKLLAKSLFLISIGNMDLSVNIWRVLRYSRKPSPFNIPNTLSSYKAIIMQLYGLGARKFGIINIQPLGCQPWVRQNLENNVNCNDSMNSLAREFNDGLKPLFSNLSSQLSGLSYSIADFYAFSNATFMNPRAYGFVNIKSTCCIPPCTPEHEPPCQNRKQYWFWDLSYTTERAAKLAASAFYDGPARFTAPVNFKRLIKMK >OGLUM02G13160.1 pep chromosome:ALNU02000000:2:11445050:11445889:-1 gene:OGLUM02G13160 transcript:OGLUM02G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEELDYVLIPLGMALMVGYHAWLLLRIRRRPATTVIGVNAINRRIWVRHIMEEASGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAILMSSAGGGGGGDGLLPGAPLVVGAAGETALSVKFFAILVCFLVAFLLNVQSIRYYSHTSTLVNVPVRLIQRRRRPGLAVDYVTATLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMFAACAAMVCALYFLDVCDDWEEEHDDHDEQDGSGSDERSGEAKV >OGLUM02G13170.1 pep chromosome:ALNU02000000:2:11465581:11465844:-1 gene:OGLUM02G13170 transcript:OGLUM02G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVEEATIVTSVMNGMGWSSVQAELFLHVSVVIGEEGCRAEKEVNRVAARSCQWPWSMTSWRRWAVELDVGRCCCSLSSAEHSPC >OGLUM02G13180.1 pep chromosome:ALNU02000000:2:11504793:11505685:1 gene:OGLUM02G13180 transcript:OGLUM02G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASFRRTHFTHFPFLSHLQSSHHRHHHSRPEPSSFPRRSGLISYSLFQKPPSPGARCSGTPPAAGVATFRPPESRRPTSLPPLCCPAAERRKRGRRREEEERKEKEKERLTATKKPPVGTLRLSWGRSRFSIPDVEPLVVKPDINQTQGRSAAPGTPRLDAYSGRSFTIPSTSTARRTVSSRALCDPSRSAATHGCRSNTSALLNLHPPPIPSGRYAVC >OGLUM02G13190.1 pep chromosome:ALNU02000000:2:11521473:11522189:1 gene:OGLUM02G13190 transcript:OGLUM02G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPSFAPVRRTSSTQPQMLVADDAYARSRNVAGVLGVLIWPGAASASRHRRYQLTLAGVVVFLTHTVVEPSYAINQTTTSSGMIIRRRSSSCHPAGAHRGSTEQAVVTTEPCPTEVSFELLEYRRAARIQEHRQDAGCPRWPGRGFYLMMHLPVAMTSARRWTPSPYRPQPLLEMRQDILILTSVAVFLMLIIKPLEKIASRSAATRCPYTSSLHQAEVVVPSIYNAFTSSRWSRL >OGLUM02G13200.1 pep chromosome:ALNU02000000:2:11539209:11541398:-1 gene:OGLUM02G13200 transcript:OGLUM02G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCNATAALPPDCDLRQLARIEALVLLCSVVLALLVFLGSSRRYSSSAMVRFILWGAFAVSYPLAAYTIGLMQSTPMHHELFLVWSCFFLFVLASSDTITAYSLADVKSPGIILLNRGLQVIYVTVLLQYYSNVLSAKLKLFVFGVWLVSLGKIALSALSYRQALQSDGLQRDNQLIADYMINQTESSHGGAEEPDTNTNTNPNPNPMLGYNYIVRGEEMDITVTEAPDYIKKIKTNKDDKKDKLVTVERVWQCEGRLLQRSKSRRDLCLSFALFKLLRRRCGNFPLAESGLAKTRNLVLNRLLGQGSTRAFQVIEVELGFLYDLFYTRYPFVCHAVTTTLPHLAMCAIMVTVGVLTLLSPALRHYHPTHHRSIMLYDINLDVVLTMAIIVLVIVLEAYQFVAVLFSDWQKVKVLCRYVLWPSSLQNNPFIEVLLGVLCYCGSGKYWTRKMRQYSIIRHAILGHPVKDWLSGVTRGWLDNLMFNNGKTRSVKLSGDLQDALASALKKSGGVLSDGCASLKGHKFEQMLSLGKACKHATCAHTILIWHIATYICDVKTRARASRAAAGDQQRRHREIALSLSGYCAYLVSSAPELLPDHQYTTQTIAEAVLLDLRLCLHGCTSNEAAVLKLQDTAKLAIRTPSTSAPDSIHVLGVRLAEDLMKIGEAKRWEVLADFWAELMLFVTPADNAMAHVEHLTMGGELITHLWALLTHAGIVQRPSHATQPQSV >OGLUM02G13210.1 pep chromosome:ALNU02000000:2:11541895:11544070:-1 gene:OGLUM02G13210 transcript:OGLUM02G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSSARGGGSSKQLGRRDLFELSSTTSAPSCCVSKCSMYSSMVAELDGEPAPLAKEKSGSATSSAETAPLAKLGPGRDESFVTSAKIDTSVNGSPVTNRHIWTHHRLGETLVSDKLENTGPSGVTITSDEPSPVKATSPMAAASPHDELDGGGVSRATNPRSRGVTVESDERPLPCWPAVAATLRRRLASGVLALDGRRCIALTRF >OGLUM02G13220.1 pep chromosome:ALNU02000000:2:11610673:11611955:1 gene:OGLUM02G13220 transcript:OGLUM02G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVVVSKSLEENFRAQCGGGTLSYDSICHLAIHRDGGPKHIMDMNMMSTFWAKGNITVLHRLAEFTLLKMLDHEDCKEVQNYHVKYICWLFLLRFLSLRNTNLPTSVTTLERLEYLFFSEMFSWRRWEIPVGLERMMTLRMLGTIRLPSDPNVVKEIAFKFPALKNFSAYPCATPRAIRFEKPAMEKVETFTVFFRDNGGTGRPILPGIENLTSLKKLVVLTRSRNAEIKILERLNVESVRHQNNFQVAAKYL >OGLUM02G13230.1 pep chromosome:ALNU02000000:2:11613272:11618529:1 gene:OGLUM02G13230 transcript:OGLUM02G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGAGAAQALVVNVGQLLGEEYRQLRGVGGEVAKLRDELATMKALLRMQSEAGEGAVDHFVREWMRQVSEVAYDAEDCIDLYVCRCRVRSLQLSDGVLSWARNLASTLFPRRRLAGDIKALRAPAIEISERHARYGVNREELRGGSVSSAALVIPASAATLDPAAVSSDQLVGIEGQANTLANKLMKAVDESSNLKVFSIVGFGGLGKTTLATEVCRKLESVFQRQAMVSVSQAFDASKDMQVLLKSIFVQAFESSQAEPASPPL >OGLUM02G13240.1 pep chromosome:ALNU02000000:2:11624545:11638087:1 gene:OGLUM02G13240 transcript:OGLUM02G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAGASQGLVLSVGQLLGEEYRQLRGVGGEVAQLRDELATMNAILRMQSEAGEGSVDHFVREWMRQVREVAYDAEDCMDLYVCRCRVSRWTMRDGVLSWARQLASTLFPRRRLAAAAFHPAASGSDQLVGTEGQANTLADKLKAVDESSNLKVFSIVGFGGLGKTTLAMEVCRKLEAVFQRQAMVSVSQAFDASKDLQVLLKRILLQVIKPKKSNENNINEQQSTADIDSMDVSSLFQKLETSLTGMRYEKHVIPPFLK >OGLUM02G13250.1 pep chromosome:ALNU02000000:2:11655036:11657568:1 gene:OGLUM02G13250 transcript:OGLUM02G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMVAAGGEAQPRQFAGGKIYNGTCRYLIVIDDVWTTQAWNAIRTKLPENNCGSIIMVTTRIETVAKASSSPSVSGDYMHKIKPLSVEDAELLFINRAFGSKEPCCPEELNKEMDSILKKCAGLPLAIVSIAGLLSSYRSPSPGSIRMWRRISNSIGSQMEIHPTLEGMKQIIALSYNHLPHHLKACMLYLSIFPEDYVIKKKRLLLRWIAEGFVMEKRGLTMFEVAESYYDELVSRSLIDAARVRLDGTVKAVKVHDMMLEVIVSKSLEENFVNFVGAQYGGGTPSYDSVRRLAIHGDGGPKHVVDVMSATHVWSLSTFGAQGNIAVLHRLAEFTLLKVLDLEDCKEVKDCHVKYICRLFLLRFLSLRNTDVSTISSQISRLQHLQTLNLYGTRIENLPTSVTMLERLEYLFFSKRWSMRRWEIPVGLKKMMALCTLRTIRLPNDPNVVKEIGALAQLQTLDITILNSSEEVLANLADALDKTNNLRSLFAYGTGKDEHKDRLLNFPLRLKTPLLLLESVRIDGVMDQLPKWFNSLVHLVKIHTWRVSLTGDHLLGVLCELPNLASVSLGYDSCTDDELLVRSAFKFPALKSFYVEPYIMPRAIRFEKSTMEKIETFRVFFYDNDGTGRPILAGIENLTSLKKLEVLTHSRNAEIEILERLKVERARHQVRGCSEIPLVTCMFTIPLVLICFQLLSSNFHMVFFMLFSV >OGLUM02G13260.1 pep chromosome:ALNU02000000:2:11671588:11675848:-1 gene:OGLUM02G13260 transcript:OGLUM02G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHVAELVPKHLGRTRKQEPATSSSAAGTVKSTKGRRKKRLHHQILI >OGLUM02G13270.1 pep chromosome:ALNU02000000:2:11677032:11677707:1 gene:OGLUM02G13270 transcript:OGLUM02G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVARMLGNGRCEAQCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDHVRLNEGVVDEDDAAAHDDYIQFEDEDIDKI >OGLUM02G13280.1 pep chromosome:ALNU02000000:2:11679539:11680020:1 gene:OGLUM02G13280 transcript:OGLUM02G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWQCKRSWRRWVVFLIADGHPHPSWSSSPSLRGVSKLRWQPVNGDSSGHRHDVVQSRVLLWPKLVRRLAGGGTEEAWASFQGWRQGVAACVKVGRWRGAGAVGWRPRAAIAGAVVSELMGNKLQSKVVGAPGESLAWWFIGPATATPLASQPPLGRC >OGLUM02G13290.1 pep chromosome:ALNU02000000:2:11685706:11686478:-1 gene:OGLUM02G13290 transcript:OGLUM02G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGEEDGWGGRVVHTKGRAWWPEMTTVTVAPSSGSRLAALVKSCITIVWIASALHVAVNSGQYPYGGFSPNRPMAHHRQMPERGTEEYTELERRPDAAFIRTITGQLRTLLGISLIEILSKHSDDEVYLGQRDTPEWTLDTATEEFRRFGDRLVGIEARIAEMNRVAGTRATGIARTRRGSPTRCCPPRPQHLRRLPQATSAGAPQVRPPPCHRSISLNGSPHTKSLHHRICLAEENPFP >OGLUM02G13300.1 pep chromosome:ALNU02000000:2:11686710:11692514:1 gene:OGLUM02G13300 transcript:OGLUM02G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGEAGSGGSAPVCSFVRKPPKNIRKRPTAPAGSDDDDEDGSGAIAAARAKKAPSSTSKLFFSSADGSSEPRRFQYESSRTIQASTDSRATATLETETEFDRDARAIRERQLKQAEESLKKNPSAPASGSGSGSGEVYKGIHGYTDYKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQIEKEWEEAEKARKRRIAMGGDGSDDEAGEEDDDDDEEALPFACYICREPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKPTLGIFNAAQEIRKKMAQDKKQ >OGLUM02G13310.1 pep chromosome:ALNU02000000:2:11701447:11705287:-1 gene:OGLUM02G13310 transcript:OGLUM02G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWARLPAPCRQGRSAGGVEASILRSLIASRLHYCGCIYYYTCSCIQSPPRVSTRSAAPSSLPTSATSERRRPPRAVRFREGGRPRRRRPAAMVSPDTIRTAIGVVGNGTALVLFLSPVPTFIRIWKKGSVEQYSAVPYVATLLNCMMWVLYGLPAVHPHSMLVITINGTGMAIELTYIALFLAFSLGAVRRRVLLLLAAEVAFVAAVAALVLNLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIRFDLYITIPNGLGVMFAVAQLILYAIYYKSTQQIIEARKRKEADHVAMTDVVVDSAKNNPSSGAAAAAANGRY >OGLUM02G13320.1 pep chromosome:ALNU02000000:2:11789869:11795403:1 gene:OGLUM02G13320 transcript:OGLUM02G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGPSDDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSASVADFDTKLKANGADLPDYFVRTLLTIIHAILPPPSDSRNPSSASQPAAGGSKFPGLSRPDDPDRARNLRLELERDAEEAAATAAPAPARDDRGRRRDERGRDRGRDDRGRDDRGRDRDYERGGRDHDRSRGRDRDHGRDRDRDRARDGDRQRGHDYGRDRDQDRDHDRDREGERRRDRDKDRGRDIDRDMDRDHRRGRRYDDEEEPEQFGGRKEGALVNSSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHISQMATRRVANAKEMVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGRDLLPIQRGGDDAPRANPSGGSASGVGVGSGKRLGLSGIMIAEEDEVAPPSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMLYQEEGAEEEQEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGRNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLTELAPRFYKSADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >OGLUM02G13330.1 pep chromosome:ALNU02000000:2:11807930:11813285:1 gene:OGLUM02G13330 transcript:OGLUM02G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIHVPNPTDPELAQRLVLDLLDPDLRGHALAELRKKREMFPDLAPLLWHSFGTIIVLLQEIIVVYPVLSPPTLSLLASSRVCNALALLQCVASHPETRSHFLKAHIPLYLCSFLENTSKTRPFEYLRLTSLGVIGALVKAEGTEVINFLLQYEFVPLCLHAMAVGSELSKTVATFIIEKIVLDDAGLGYICTTADRFFAVGTALAGMVTSMDDEPSPRLLKHIIHCYLRITDNPRGLEALQTCLPTTLIDGTFNNLTKDDPTMQQWLQELLVKVRSGKMGGLPPPVHGHMPRV >OGLUM02G13340.1 pep chromosome:ALNU02000000:2:11851831:11857007:-1 gene:OGLUM02G13340 transcript:OGLUM02G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLTLSHRPARRKSSSSLPVPGRCSRRSTKMAVVAGAMTAPIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHEFKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHMIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTGLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNCCIVGFFGRSGTYLDAVGVYFHPM >OGLUM02G13340.2 pep chromosome:ALNU02000000:2:11851831:11857007:-1 gene:OGLUM02G13340 transcript:OGLUM02G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLTLSHRPARRKSSSSLPVPGRCSRRSTKMAVVAGAMTAPIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHEFKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKFQFNSCLVLMLSQNHRYLIVIDDIWEKSAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHMIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTGLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNCCIVGFFGRSGTYLDAVGVYFHPM >OGLUM02G13340.3 pep chromosome:ALNU02000000:2:11856651:11857895:-1 gene:OGLUM02G13340 transcript:OGLUM02G13340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVPDRPPLIRKRRVRPPPSKLEPRSHRPRSASRSVGGASWRGFADVAVHRAILLVILQVCWLARIRLSGTEYGQLGGRVPAPFQFQDVVPALLNYT >OGLUM02G13340.4 pep chromosome:ALNU02000000:2:11851833:11856629:-1 gene:OGLUM02G13340 transcript:OGLUM02G13340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTAPIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHEFKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHMIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTGLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNCCIVGFFGRSGTYLDAVGVYFHPM >OGLUM02G13340.5 pep chromosome:ALNU02000000:2:11851831:11856629:-1 gene:OGLUM02G13340 transcript:OGLUM02G13340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAGAMTAPIAKLTTLLMDQYKLQNSARGDIIFIKAELESIQAALEKLFEVQVTDRQVKIWERDVREQSYDMEDIIDNFMVHVETHLLAKPHEFKGFMKGSLSLLRRAMVRYRVATDIKRIRRLVNETSARRDRYKVDTIVAAAKTETAIDPRLIGIYGEATKLIGISGPKEELTKLLMDSKGNSKNKLKVISIVGVGGLGKTTLANVIYQQLRGQFECHAFVSVSLKPDLKKVLSSILRQFSEQAWECIECALIENDRGSRIITTSRVLDAATPCSSEVDHTVYKLQPLSSDNSKKLFYKRIFYCEDGCPLELKDISEKTLRKCEGVPLAIITIGSLLAMRPQNLYQWDRVHNLIGSGLEKSHHVENMRHILSISYYDLPAHLRACFLYLSIYPEDYNIQKDQLIRRWISEGFILGEDMDTLHEGGKYFNELINRSMIQPAYIDSHGRVHACRVHDMVLDLITSLSNETNFVTSLGGQQPTYHPNRARRLCLQNSIYDHMIRQEKMKWPRVRSLIVFPHATNLLPSLSRFRILRVLDLEGCQDLKNHQIEGISDLFHLRSLVLKDTNIGSLPKKIGNLSCLHTLDIRHTIITELPSTVVHLRRLVRLLIDASVKLPDGIGKMECLQEISLVGISKSPNFLKELGSLTELRILQISESTGAWHDSYENTLIDSLCNLHKICDLYIHGCKLSTEFISNIRCSPRYLRYLSCGQLSILPRWINSSLLSLSTIDLILNVLRQDDLHSLGALQSLCCLRLNVFKIEPERLVVGTEHAKFHWLAEFSFTTNAMGLIFSQYSMPRLENLELAFNVRETKYFDIGLEHLSSLKDVTARIDCRDSSIFEVQNADAAIRRIAYMNSNQPKVHVIRHYEHMLMDEVKIQKETEEEKEILENRIGPWGGNGGVTCDIKVAPKRLESITICSGIIIDALAFSYLDKDGERHTTGLWGGLGGSVQLIDLDEREFLMEVIGTVGPFNVLSEAITSLTLVTNVRSYGPFGQPQGTPFRTPRKKNCCIVGFFGRSGTYLDAVGVYFHPM >OGLUM02G13350.1 pep chromosome:ALNU02000000:2:11866140:11866578:1 gene:OGLUM02G13350 transcript:OGLUM02G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGGDASAAAWRFGAANPAMEAARSQSIRALVYRVYACLDRGDARSVAPLGHGDPAAFACFRAAPAATGAVVAAAASGAHNSYAPAAGIAEACRLGTKEVQAQVTYMGPSYQVL >OGLUM02G13360.1 pep chromosome:ALNU02000000:2:11885731:11891458:1 gene:OGLUM02G13360 transcript:OGLUM02G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPVQIVNIFLDTLFSLGHQISDDDDASTVLTIGSPPSRFLSVGLCIRR >OGLUM02G13360.2 pep chromosome:ALNU02000000:2:11885731:11891308:1 gene:OGLUM02G13360 transcript:OGLUM02G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGAGGEGETAGWRFGAANPALAVAGSQSIRAAVTRIYRCLDGSGDARPVAPLAHGDPSAFACFRAAPAATDAVAAAAASGKYNHYSPAVGIAPACSAVAAHLSRELPYAVSPADVVLTAGCNHAVEIMMSVLASPGANVLLPRPGYPLYASRAALSGLEFRHFDLLPDSEWEVDLAGVEALADANTVAMVIVNPNNPCGCVYSRDHLAKIAETARKLGIMVISDEVYDHFAFGSKPFVPMGVFGDVAPVMTLGGISKRWMVPGWRLGWIAATDPNGILRNKKIIDSVIDYRAISVDPVTFVQGALPDILAKTDDAFFTNALGVVKKAAEICYEKLKEIDCITCPHKPEGSMFVMAKLDLSSLDGIEDDVDFCSKLAKEESVVICPGSGLGMKNWLRITFAVDPQLLEDGLERTKCFCKRHGKIKE >OGLUM02G13370.1 pep chromosome:ALNU02000000:2:11894627:11896000:1 gene:OGLUM02G13370 transcript:OGLUM02G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALEAKALLQASLQQHLLQIDAEETSGPWRTSLTSIGLSTHFGVLLYSPLHGGDPVCDVYDSEAVKTATTTSIFGSVAGSGSYRGRSGQTWME >OGLUM02G13380.1 pep chromosome:ALNU02000000:2:11897371:11897598:1 gene:OGLUM02G13380 transcript:OGLUM02G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHRRGFDTIATLVAWTIWKERNNRVVNQKSRTWAEIARAMTGEADLWRLARAAIPAMATPMSGGRSPHSLGD >OGLUM02G13390.1 pep chromosome:ALNU02000000:2:11899892:11904467:1 gene:OGLUM02G13390 transcript:OGLUM02G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGGGKRRGDDGAEAAPRAIAEYLSRDLPYELSANDIYLTSGCVQAIEVMISVLAQPGSNILLPKPGFPLYESRTTFSNLEVRHFDLIPERGWEVDLEGVQAIADENTVAIVVINPSNPCGSVYSYDHLAKIAETARKLGLLIIADEVYDHLAFGNNPFIPIGVFGKTVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVNQSIENYINISTDPATFVQGAIPQIIANTKEDYFNKILDQLRNAADLCYDKIKDIKGITCPHKPEGSMFVMVKLDLSYLDGFHDDMDFCCRLAKEESVIVLPGSALGLKNWVRITFAIDIPSLVDAFERIKSFCQRHGKLET >OGLUM02G13400.1 pep chromosome:ALNU02000000:2:11909113:11913459:1 gene:OGLUM02G13400 transcript:OGLUM02G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQY5] MPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >OGLUM02G13400.2 pep chromosome:ALNU02000000:2:11909101:11913421:1 gene:OGLUM02G13400 transcript:OGLUM02G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQY5] MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >OGLUM02G13400.3 pep chromosome:ALNU02000000:2:11909101:11913459:1 gene:OGLUM02G13400 transcript:OGLUM02G13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YQY5] MAAMAMDHDHDHASSPAPPARLPAPPYHHSPSAAAAAAVEEVATPPRKSAAGAGAQRSSPMPSPLQLSGCSLQELLLMSPPPGSARRNRSRHRGAGLDESLEMVAAPAAGTPPRRRRRVAAEQCAAPAVASPRNGRRARRRLEKEIEVEDDAARRARRRKSTRAAATKAAPAAADKVATAAADKEDTSMALVLASTDAIRGADALEQSGWEDLWERIVDLVMWKNVAKSALWFGLGSMFFFSCSFSREITFSPISALCHLGVMVLGLAFFKDSIPQSRQQVERGRSFRLTEDDVLRAARAVLPVANSMISTAQVIFSGEPSMTLKVLPALLFGAKYGSLVTVWRLLATGFFTSFTLPKLYSCYSSQIHKRDRALEAWKSCPRKKLVAGTAVTMCWNMFSVKTRIIAAFISVVILRYNQKYRKAVVNAGAESCQDEQEQKMEIEDS >OGLUM02G13410.1 pep chromosome:ALNU02000000:2:11916518:11918906:1 gene:OGLUM02G13410 transcript:OGLUM02G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIVLMQGGTESAMAQQVLIPLTQYVMMAHTLMMHINKNLFGKNSATKFHVLTEEAKRLIRTILKQLYFFHRNGQCPEKFTESNVFVTSSGRAKLIGVKLGDKNDTMVFQNYQDAHRIIVETVFQKRWKEIPADMMHLLCLMRSRATAIHMGYVICTHASLVPLENREPFFMKMYKHIMHVLPRDKPTAHRNILQALPYDLDWYNKLQGNDLLEELFSSNKGGYGNGSTEFLRFYRNATVHDMDHYYKRRYTPNEFQLILAVTYPLLLPRMQEELEKEKHLRILRLDSLL >OGLUM02G13420.1 pep chromosome:ALNU02000000:2:11923718:11929377:1 gene:OGLUM02G13420 transcript:OGLUM02G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRYKMHQISYEKYMHDEEFKPLTQYKEAEPIMDNIMTEKAKLLSRALLIELYFLHKMGKCPQHFDESNVFIREDGIAEIRECNLDDKSDSKVFENYQDAHKIIVEIVLQQHKDDIPKDVKHLLNLMNNPNKAISVELEYLICTHASLVPLRNRETFFLWMYRHIMFMLPCDKPAGDMPYKSYWHKKLKGDNLLKKLFRREKDMCYKKEITDFLKSYRNAIVHGMDKYSEERIRYTPDDIQLILLITFPMLLPRMQEELWENKQLEDLQLDSLFGSNMDKEFGVIMDPSAVSNCNKSNNFSFQVGSMPISANQDPNKNDIGVDSLPTKRGVVFPFRNTHHVSYAEYIHDLEFKPLIEYIKHTPVMLVGPTRQRVYRLMTEEAKFVIRALLKELYFLHKRGKCPRNFDESNVFIREDGIVQLRGSELDDKNDSLVSKNYKDAGKIIMEIVFGQHKEDIPEDVMHLLNLMNTGDKVISMDLEYLICTHASLVPLRNREAFFLWMYAFIMFVLPCDKSTEKDEIINALRKLDWGGKLQGTSLLRKFSWCKRDGSEEEIDSFLNSYRDTVYHGMDECNGNGKRYILWMFNYGYASITSRAEGVEGGWTAAASALENAAPQAEARPRGTAAMEGTEKTRTGIGGGSETARSEMRGKRKRTRSKLQQSRGQGCWPIAIHVIGPSTADARHPTAPLSGAAAAAAAIQATTAAPLLLKGERRGRGGERVGDRGEVSKKVIDKWVPLLTSKSMVTTRSRFPIHRKR >OGLUM02G13430.1 pep chromosome:ALNU02000000:2:11942584:11943240:-1 gene:OGLUM02G13430 transcript:OGLUM02G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPCRLAISVILLCCFSLLPLAHARLLLSDKNTLNDSKAFSIKGGDGAGGGRGFGVSISHGGHDISIGIGGGFGGGAGTTRGGGASVGGGAGGGVGIDVGHGGVDVGIGGGGGAAVNGGGVHAGGGGGGGVGVHIGRGGVSISTGGGGGAGGGESAGSSGGGFGGGNGVGRAGNAVGGGGGSGSAIGSSGSGGGSGVGSTSSAIGGGSGSGGGQG >OGLUM02G13440.1 pep chromosome:ALNU02000000:2:11952334:11952880:1 gene:OGLUM02G13440 transcript:OGLUM02G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKPAAGVGGCSKEAGERRRAAKNNKRRRTEKAEDADGGCGGDEDQVVTSKAMEAVSVPEEVLRAKKKNGDRRGRSKEAEERRRAKKAADKKRRAAAKKLRTEEAEAGRDDDGAKEAEEVQDFSVL >OGLUM02G13450.1 pep chromosome:ALNU02000000:2:12002875:12003260:-1 gene:OGLUM02G13450 transcript:OGLUM02G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQLLLLTLALVLLSSNKLANASAESNINPEADCSPAVIMIGDKCIPNVCLHKCLALGAARGNCIEGPACNCDFCGPNAPPPSIVQ >OGLUM02G13460.1 pep chromosome:ALNU02000000:2:12004699:12011953:-1 gene:OGLUM02G13460 transcript:OGLUM02G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSSRSSSLFHLHLFFPHHRRRRRRHRSGAGAIDDDMGCAQGKPSQGSPARSDGRGIDHLMRKNRYVPVASSRLSDPLPAAAPAAARLLLRPQGQQQDAARGTGRRAATAAVERELQTDQRDGGNDRQTDHHQAMDESSAAAVAEAEATAAAAAVDEEETPAPAPQPAPRREDELVDGWPTWLLDNVPREALQGIVPKSADAYDKIAKARTCTAMPPWPASLATLITAKTESLMAAAAMDGCWCSNVYKARERGTGRVVALKKVRFDTSESESVRFMAREMMILRRLDHPNVIRLDGIATSRMHRSIYLVFDFMYSDLTRIICRPDHRLTEPQVGKMLATWIEGGVGSGADEVKRKLSTKAINDRVEKGAVPDHEVESKPRAVAVGRSGSWFARPRGTRRIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGRRRPLTSRVVTLWYRAPELLLGATDYGVGIDLWSAGCLLAEMFSGRPLMRGRTEIEQLSRIFTLCGSPPDDYWRKMRLPPTFRPPRTYKPSMVDKIAFLPPPALALLATLLALDPAARGTAAQALQSSFFSTPPLPCHLSELPVVYKEEDEVAASHDGRKPKLRERSHKRRDNKPKAEEQHKDKEQNLNSSPSNKEEKIMEDTKKSAQDSKRFSDGQVQEVFPKGSPAPQDQQVPRTNTYSPDNDHHKNHKVVIFNHNLWTLGIVLVYVAKGPLAFWIPGCSTTGLQGGCLRV >OGLUM02G13470.1 pep chromosome:ALNU02000000:2:12021843:12025686:1 gene:OGLUM02G13470 transcript:OGLUM02G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43820) TAIR;Acc:AT5G43820] MARRLLLLHHLRRRYSVSVSSAEDMIVSSLRILSSASPSEPTTLPPPTIHPDPDATATSPTTTAAAAALLSPADRLRGVFLLKPPGRAALHRALSSTGIDAAAALSPEVLSGVVSHGNFSGAATVDFFDWAIANSKLPPSVDTCNIVIRALGRRKFFAFFEPALEIMRKNGVSPDISTLEIIIDSLIAARHVNTAIQLINTDHFGLGVWQTCQRKEIFTVLINCLCRRSHVGLASSLLQASRGETIDLDNHMYNEVIGGWARFGRVDKVEHFWETMLEDGLVPDQVSYCHLIEALGRANRAEEALQVFEKVVHEGYCPTTMAYNALIFNFISVGDFDRCIKYYKDMLDNNCPPNIDTYRKMIRAFLRERKVADALQMFDEMLSRGILPSTGMITLFIEPLCTFGPPHAALLIYKRSRKAGCRISMKAYKLLLERLAMFGKSGTVLQIWEEMQESGHPSDKEIYEFIVNGLCNVGKVDAAVSVVEESIRKGFCLGRVVYGKLNNKLLEMNKVETAYNLFKKVRDARVIANSRLVGLGSWETSVCSLRTGVVLNWKQTPKE >OGLUM02G13480.1 pep chromosome:ALNU02000000:2:12027825:12031054:-1 gene:OGLUM02G13480 transcript:OGLUM02G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQNHHHATATATAASHSHLDRYGYDDVEPEDEDEHPFESKVVRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTVKFSAPAVVENNWFKRTLPSKTWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >OGLUM02G13480.2 pep chromosome:ALNU02000000:2:12027825:12031054:-1 gene:OGLUM02G13480 transcript:OGLUM02G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDHWISRLMAAKRQYALQRAQNHHHATATATAASHSHLDRYGYDDVEPEDEVRPDFPCPYCYEDHDITSLCAHLEDEHPFESKVVRHHRLRRVAVPSNHALSLGGRDLQETYLKVLLGNSSRSSGTNAASSVTDSLLSSLVLNLSSSEAEDTVKFSAPAVVENNWFKRTLPSKTWKASSDSNLSQEERERRRRRAAVRSSFVQHLLVSTLFDD >OGLUM02G13490.1 pep chromosome:ALNU02000000:2:12039529:12043996:-1 gene:OGLUM02G13490 transcript:OGLUM02G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVVIRPLVSLLKEKVSSCLVEQYKVMKGMEEQRDSLARKLPAILDVIEDAQKGASRPGVAAWLEALKNVSYEAIDVFDEFKYEALRRDAKKKGHYNKLGMDVISLFPSRNPIVFRYRMSKKLSKVVRTMDVLVRQMNDFGFTQRQQVTPSMQWRQTDSIMIDSDKDIVSRSRNEEKEKIIKILVEQEGNGGLMVLPIVGMGGLGKTTFVQLIYNEPQVKEHFSLQRWCCVSDDFDIGNIARNICHSQEKNHEKALQDLQKELSGQRYLIVLDDVWNRDADKWGKLLTCLKQGGRGSTILTTTRDAEVARVMTMGVPGAYNLEKLGNKYMKEIIQSRAFRVQKPNSDELDVIVDKIVDRCVGSPLAAKAFGSMLSTKTSMQEWKDILVKSNICNEKTEILPILKLSYDDLPPHMKQCFAFCALFPKDYPINVERLIQLWMAHDFIPAREEDNPDMVGKEIFNDLAWRSFFQDVEQAPPPTGYYVRRPKFRYIMVCKIHDLMHDVALSVMGKECATIVNMPDMKSFINPTRHLFISYREIHTHLDGMLKKQSPTLQTLLYTDPYTYVSPPRLSKHNSLRAMQLCRLRKLPIRPRHLQYIRYLNFSNNWWIKKLPEEISLLYNLLTMDVSDCDSLCRLPNAMKYMKNLRHIYTGGCESLECMPPDLGQLTSLQTLTFFVVGSSSSCSNVSELENINLVGELELTGLENVTEAQAKAASLGSKEKLTHLSLEWNSGGPEELVQDCHAKVLDALKPHGGLEMLRIVNYKGRGAPTWMKELSLFQQHLTELHLVGCTLCTDFPEFSHLRALQILHLIKVDKLQSMCSKMAYVEFPALKKLQLHDLESFESWVATPGKEELSFPVLEEIDIRNCPKLTSLPGPPKVKVVKLNEDMAQLSLSLITSTRYMSSLSVLKLSVRDSETTLELDQNHELSISVIKITGCCFLFTSSPLQPVVGIWKWFGQLQKLKISRCDALIHWPEEEFLSLISLKELIIVLCSNIIGRAQVNGTATQARDQLLPQLTKLEIRHCKSLTELFVLPRSITYICIRGCPNFQCLWGRGTGDTESINLQVEHGNDLTPTSVSEKPGNNYLPCLDTLFIMGSDKLAMLRNLPPSLKNLSIYHCPELRSISGNLDQLVDVSIGGCNKLDSPDWGNLPALEDFGLLNCKRLTSLPGNLGNYSALRRVLVKYCPAINMKPLYKHLPQRRESLEYYDLSHAHSSDPVEALAHEQTFWEPPALYFWRFRMVRCDEWRPL >OGLUM02G13500.1 pep chromosome:ALNU02000000:2:12050302:12056393:-1 gene:OGLUM02G13500 transcript:OGLUM02G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVLFLLHHHLAFFGLRISPSVSAPSPRRRSAGEVALLAVVVVAALLTATTHAASATVESRPADALRSEVDELRLRVLHLESLLEENTKTLKSKANNLEENSNLIGTMEHDIEILMNKYESTKKSQSKSYPESNVKALEDEVQLLWRVVRKMNENADSIESLANGAEKRVESLSSEVKKMEGVIAEQWIQIRQLEQAFVLTKMMTSKVHQRSRLSETAYKWPGKDLVLKYFRNLHGTFLMGVSYTKSCFSHTYKHGRSFIQAMNRPYHEVSRFCKAICGQHIRDVDKPNVFFLGGSISRSCISAPYKQLKIFMLLAQNFHHKVQIFLQDAMRSNSYSRGFATEIITFCLVSTFQQLPLSRWCYSCWNTF >OGLUM02G13510.1 pep chromosome:ALNU02000000:2:12066949:12072354:-1 gene:OGLUM02G13510 transcript:OGLUM02G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASCCCAPPESVSHTRRISYKYSGTSYPTRTTTTTSSSAPEFTNKKQSMAMAPTTAAAAASSNGGGESDGSSKEWRLTAPTRGGAMAAAGDKMSIRAVRYKISASVDDRGPRPVLPLAHGDPSVFPEFRTAAEAEDAVADALRSGDFNCYPAGVGLPAARRAVADHLSRDLPYKLSSDDIFLTAGGTQAIEVVISILAQPGTNILLPRPGYPNYEARAAFNNLEVRHIDLIPEKGWEIDLNSLESIADKNTTAIVIINPNNPCGNVYTYEHLSKVAEVARKLGILVITDEVYGNLVFGSSPFVPMGCFGHIVPILTIGSLSKRWIVPGWRLGWVAICDPKKTLQETKIATLITNFLNVSTDPATFIQGALPNILKNTKEEFFKRIIDLLTETSDICYRGIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDVDFCCQLAKEESVILCPGSVLGMKNWVRITFAIDSSSLLDGLERIKSFCQRHKKKNPLNYIEIVLGLE >OGLUM02G13520.1 pep chromosome:ALNU02000000:2:12070424:12070627:1 gene:OGLUM02G13520 transcript:OGLUM02G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNEGSIQAVRPPRRTSRSSRGACQHAVGAGVDDAGLVLPRRGRVRRSGRTSRPSPAVGSTSVLLPY >OGLUM02G13530.1 pep chromosome:ALNU02000000:2:12079707:12086378:-1 gene:OGLUM02G13530 transcript:OGLUM02G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAAILAAVVAFLLPLRLLSLLARLSLTGSAGDLRRPCAAFALSAALLAAIFALPRDHARECAAASVVVPDDGEGAFRGEVRSDIEQLKLQLARLESLWDNNSKPLDGKSGALEEEDGEVVRAMGMDIQSLINEHENIKESLCGSYSDNIIKAMEKEIQILMDESRKMNSNIHNIWSMAKDTDNRVSALHSDVNMVLMDESRQMNSNVRELWSLAKDTERRVEGLHSDMRKVQILIDESRKMESSIYKMWSFAKQTEKRVEDLYSDVKKMSKVKCNDEHTMSPWRNV >OGLUM02G13530.2 pep chromosome:ALNU02000000:2:12079707:12086378:-1 gene:OGLUM02G13530 transcript:OGLUM02G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIDAAILAAVVAFLLPLRLLSLLARLSLTGSAGDLRRPCAAFALSAALLAAIFALPRDHARECAAASVVVPDDGEGAFRGEVRSDIEQLKLQLARLESLWDNNSKPLDGKSGALEEEDGEVVRAMGMDIQSLINEHENIKESLCGSYSDNIIKAMEKEIQILMDESRKMNSNIHNIWSMAKDTDNRVSALHSDVNMVLMDESRQMNSNVRELWSLAKDTERRVEGLHSDMRKVQILIDESRKMESSIYKMWSFAKQTEKRVEDLYSDVKKKCLRGACQMSKVKCNDEHTMSPWRNV >OGLUM02G13540.1 pep chromosome:ALNU02000000:2:12091889:12100980:1 gene:OGLUM02G13540 transcript:OGLUM02G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKLLQVERGATEEELKKAYRKLAMKWHPDKNPNSKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPGGSSYYGGDGSTFRFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGMGGGVDRGMRGSKFGMYDNDIFGSFSQFPGEASMHAPQRPQKAAPIENRLPCNLADLYKGTTKKMKISREILDSSGRTMVVEEILTIDIKPGWKKGTKITFPEKGNESPHVIPADIVFVIDEKPHDLFTREGNDLVMTQKISLAEALTGCTVQVTALDGRNLTVPINNVVYPGYEEVVLREGMPIPKDPSKKGNLRIKFNIKFPSRLTSEQKSEIKRLLAS >OGLUM02G13550.1 pep chromosome:ALNU02000000:2:12097751:12108100:-1 gene:OGLUM02G13550 transcript:OGLUM02G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKDRDRGRRGGDEASPGPGSPWTPSSSASSPRSPFAGGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYSTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVCTDIYAPLKDSNGDVNTLMSVKTVALMEAFVSYRDILSWKLLWCYFTLLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVAESKAQSHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >OGLUM02G13550.2 pep chromosome:ALNU02000000:2:12097751:12108100:-1 gene:OGLUM02G13550 transcript:OGLUM02G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLGLRGSASKDRDRGRRGGDEASPGPGSPWTPSSSASSPRSPFAGGGGGRPLRLVYCDERGRFRMDPEAVAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGKSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRALFPDRECFTLVRPLNSENELQRLDQIPIEKLRPEFQAGLDELTRFILERTRPKQVAGTVMTGPVLAGVTQSFLDAINNGAVPTISSSWQSVEEAECRRAYDSAAEVYLSAFDRTKQAEEDALRDAHEAALRKALEAYSTVAVGTGTSRMHYEKVLSNFCRKTFQEYKRNAFLEADKQCSNMIQIMERKLRAACSAPGVKVSNVIQVLESLLTEYETSCSGPSKWRMLAAFLRHLEGPILDLCLKLVNEAESERTSFALKYRSNEDQLELLKKQLEANEAHKSEYLKRYEAAISEKQRVSEDHSAHLANLRTKCSTLDERCLSLSKELDLVRHECTDWRVKYEQYVTQQKAEQDGFISQLATLESRYSSAEGKLGAAREQAAAAQDEATEWRDKYETAAAQAKAALERLASVQEQINKIAHERESGIRAEFASHLEEKEEEMKRLVAKIRHAESEESVLAERLQVAESKAQSHNKETAALKDEIRELTGKLEFLRDRAVSFEKQARMLEQEKNHLQEKFLSECKKYDEAEERYKAAEREAKRATELSDVARTEAVTAQKEKDEAQRLSMEKLAVIERIQRQVDRLEQEKVNLLDEVQKMRKSETDALSKVALLESRVAEREKEIEELMIQSNEQRSSTVHVLESLLSTERAARAEANKRAEALSLQLQSTQSKLDVLHQELTSVRLVETALDSKLRTTTHGKRLRENEVGMESVQDMDIDRPERSRKRSKSNTSPLKHFQSEDGGSVHMGEDSVTVSTDTKDGNPDGYKKLTIAKLKEELTKHGFGAQLLELKNPNKKDILALYKKLVLGK >OGLUM02G13560.1 pep chromosome:ALNU02000000:2:12112929:12113744:-1 gene:OGLUM02G13560 transcript:OGLUM02G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCQLSNLEKWTENTVGEADTILVTFPELAKLCIFDCPKLASVPDCPVLKELNSARCYSLAMSSLAHLTTLSELIYEDNERVRMSLGSWPSLTKLDVSSSYNKMSTLEVGTNQGPLENLWSLTLYRLNCFTDVFGFSKIHLGLWKCFAFVEDLSISGCDGLVRWPIEELMSLIHLRSLSIETCRNLEGKGSSSEDGQLHIPMLLASLEKLCLVYCPRLVALPSKLGNLARLKIMSLQHCYDLKELPDGMDGLISLEELKIWNCPEIEKFM >OGLUM02G13570.1 pep chromosome:ALNU02000000:2:12131172:12158627:1 gene:OGLUM02G13570 transcript:OGLUM02G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >OGLUM02G13570.2 pep chromosome:ALNU02000000:2:12131172:12158627:1 gene:OGLUM02G13570 transcript:OGLUM02G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >OGLUM02G13570.3 pep chromosome:ALNU02000000:2:12131172:12158627:1 gene:OGLUM02G13570 transcript:OGLUM02G13570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >OGLUM02G13570.4 pep chromosome:ALNU02000000:2:12131172:12158632:1 gene:OGLUM02G13570 transcript:OGLUM02G13570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKDPLNSLQPSSSSKIYSAQQRTGKSKKQSHKLHKSQIPGHGHIQQRLHPGSDSRLRLWDIDSGCNTLVNFEAMRLQTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMYFILQFHPKYHFDKDS >OGLUM02G13570.5 pep chromosome:ALNU02000000:2:12131172:12158627:1 gene:OGLUM02G13570 transcript:OGLUM02G13570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWCEAVRRRERGELGARRFETAARARRTASLALSNRKEFATPHNGAVNSLQVDLIERRYLLSGASDGSAAIFDVQNAIEYEAGFIAKHRSILLVDKQHENGHKFAVSMAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVYSAAMSPIATTHMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSTSSEWILMSGGCDGAIRFWDIRRAGCFLVLDQSRSQLGRRPPFLEGTSDKTSKPLQLAVTEDPSLVFIPCMASIKAYNLWSGMTFQTFRGHYEPVNCCYYSAQEQELYTGSNDRQILVWSPSTPAFTEMEDDGKRQMDFVVDEDNWSD >OGLUM02G13580.1 pep chromosome:ALNU02000000:2:12148732:12149898:-1 gene:OGLUM02G13580 transcript:OGLUM02G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKAIDVLVQKVTRMYGIDGDRRKLEQQLLAVERLLADAESKSETNPAVKRWMKDLNAADDEADDVLDEFQYEVLHREATSLKSLGHKVRSYMTPLEFHFTMRRKLAKVLKKINELVEEMNTFGLLLRDEPQQLSYRQTYSVLPSNELDDIFGRDDDKEVVVIKLLLNQRDQHKWENLQVLPIVGMGGLGKTTLAKMVYNDYRVQNHFELKMWQCVSDNFELLQKELQKAIGRRRFLLVLDDVWNEEKKKWEEDLKPLLSSVGGGDGSVILVTTRSQRVASIMGTLEYHNPACLSDDDSWELFSKKAFSKEVQQQAELVTAGKLIVKKCKGLPLALKTMGDMMSSKQQVKE >OGLUM02G13590.1 pep chromosome:ALNU02000000:2:12159810:12162199:-1 gene:OGLUM02G13590 transcript:OGLUM02G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVTAESAPIRTVSWAPSVSKENVNTFVTAGEDGLKFGDLRDPYRHLWELTTARRAVISLQWLKDARGIVISLEDGALKFVILLRIANDVPVTGRPFVGTKTQGVSTYQLPEYLIWSVHASEITGYAAYCVADGTAVCFEGTTIKIGIALPNSPLSNVPLGTKRATKTCKDVAQLHVIEEGKLLTNSECNCAINPSIRDGQQDEPDEGQETGAIVLAAPSMQENFGTSTSRGSESPENFEVFPPKAVAMHRLRWTMNKGSEKWLCYGGAAGIIRCQRI >OGLUM02G13600.1 pep chromosome:ALNU02000000:2:12165091:12165871:1 gene:OGLUM02G13600 transcript:OGLUM02G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNGVTGGVFSLKRAVLLKNSSNNTGKIEMQEAKISPWIASNSTEYLCSRRWDLRLLLIPEILLMLFIFKNKLYVRCRKRLQRSPEAWRLRLSHKVYVNTTTLKNTTTLNQQCRSWVDVAKGMADEASLWHKANPAIPALQFRREGVFSSRQN >OGLUM02G13610.1 pep chromosome:ALNU02000000:2:12166433:12170612:-1 gene:OGLUM02G13610 transcript:OGLUM02G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVIGKATDVLVQKVTRMYGIDGDRPKLERQLLADAESKSETNPTIKGWMKDLNAAADEADDMLNEFQYEVLRRGAMSLESLGHKQTYSALPSNELDDIFGRDDDKEAVVIKLLLDQRDQHKWENLQVLPIVGMGGLSKTMLAKMVYNDYRVQNHFKLKMWHCVSDNFEVVSLLKSIIELATNKACQLPDNVELLQKELHKVVGRRRFLLVLDDVWNEEKKNAILVTARSQQVASIMGTLESHNPACLSDDDSWELFSKKAFNKGVQQQAELVTAGKLIVKKCKGLPLALKTMGDMMSSKQQVKEWETIARSNIGDNDRGEDDILLVLKLSYRHLPPEMKQCFAFCSVFPKDHEMDKEVLIQLWMANGFIQEDETMGLEQKGEYVFLNLVWRSFLQDVKQESQVINPKHLRYLDLSGSDMDVLPSSICTMYNLQTLRLNRCKKLRYLPEGCKDLSTVWFLVSIECMSLSKMENLTTWFMNVVGVKAEGYYIPLQIFPRLKDMTLSQLSNLEKWTESTAGEANTSLVTFPKLAMLCISDCPKLASVPDCPVLKELKTYGYCSLAMSSLAHLTTLSELIYRENESMRMSLGSWPSLTKLHISSSYNQMATLEVDTNQGPLENLRILRLYGLNFFTAASGLSKMHLGLWKCFAFVEDLCIGACNDLVHWPMEELMSLIHLRSLSIEHCDNLEGKGSSSEEIMPLYYLEKFHIKDCKSLLDIPTMPASLEELCLLLCPRLVALPSNLGNLARLKTMSFEHCHDLKELPDGMDGLISLEELKITGVPRDREISTGSPPSDSDPLIPNGSRMP >OGLUM02G13620.1 pep chromosome:ALNU02000000:2:12182457:12185861:1 gene:OGLUM02G13620 transcript:OGLUM02G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSSPRLLLRHRLLLPPSRDLLPAASASALRLRRSVAVRAEPELSTSAAEPPPGDDGEGDGPVELRTPTLFSIDENPTPLQTATSVLLTGAISVFLFRSIRRRARRAKELRVRSGGVEKPNNLSKEALEGLRLVSTSPIEVDKPPSPVQALLGGIAAGVIALILYKFTTTIEAALNRQTISDSFSVRQITITIRTIINGICYLATFVFGINSIGLVLYGLQLTFASIMGDDNSSSAAEKISEQSNTMASSNSSTDSTSDNESTSNDKSKG >OGLUM02G13630.1 pep chromosome:ALNU02000000:2:12186196:12187582:-1 gene:OGLUM02G13630 transcript:OGLUM02G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWFHSSSSSSTHHHHRHVVHHASTYFDGDDDEGDVKPAVTQHWRYDARAAASYGGGEDVKPAVVVKQPPLPRPRGRKLHGVRRWRPGRRGRCWAMASAPAVACFELQAKDKALAKAQGEISRLKAQLGSAKARELEEARQALEYERKLGTQVLKSDGAAAGASKRRRGGQ >OGLUM02G13640.1 pep chromosome:ALNU02000000:2:12206934:12210642:1 gene:OGLUM02G13640 transcript:OGLUM02G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEHRFYDSNGDVSRYMLDDMEFLPLFGEAEAATTLPLPVLPDVEPLPTAPAPAATAHVEPAPAADFGLGNPVLADLGFDVDLDFFPELNFQSPPPPPAMNAGGYTHQVQASPPVMHHQQQQQQPLAPLPAAHGFAGQPAPATTMAPSGGDDGLFLAAPSRDAPCSPVMFNFMDFNVDMGDVDMDDVLMWADQDTHGAAAGGDTAPPVVVDEYADFVPFQAGDLDCSNCHLVREMMHANASRTIYFLVHATGVGSFQHAIVDRRYTATGAEGLHFPGRQLLYFDLTNHTIESASDFIASNVEKLKNDTTGHHFLDTGYNFSGAVRTDMANSHTAMEMNMLHTIVSAPFENVTTDAASPPAAQFIGAPPAAELPAPVPAPAPPAAHEQNAVVATLLFKVEEFYAAANSRPAAKRADVKILESSQVTQQAGGSSAATATMYPSMVDRKRKRAQATPSRMAPHEVIQYLRATAVETDKELETLNNFFKIRSIKKKIGRIINKPVTAMSSRRMARFIDEIDTIKEEKARVFEEIIKILKNPRRKRENDGSSGSNRKNVGGSSGGKKKTVGGSSGSKKKNVGRPSAKKAQK >OGLUM02G13650.1 pep chromosome:ALNU02000000:2:12214522:12215325:-1 gene:OGLUM02G13650 transcript:OGLUM02G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHTTLILLLPLLAAAAVAAADVAPASAPPAAQTINLTGILEKGGQYNTLLRLLNATRVGEQLGSQLKTTYDGLTFFAPTDAAFAALRPGTLNGLSDQEQVQLVLYHVLPRYYTLATFQTASNPLRTQATGPAGVYTVNVTTTTGQSLVNVSTGVAAVPLGTTLSADFPLAVYSVDGVLLPEQMFGKAKAPAPAAAPASAAGKGANKEHKKGGAMPKNEVAATAPTAGAGGEDSDDSATTNAAAVAGAAGVVWTAALVGIANLVIA >OGLUM02G13660.1 pep chromosome:ALNU02000000:2:12228689:12229495:-1 gene:OGLUM02G13660 transcript:OGLUM02G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSTVFLVLSLTLATVSVVVVSGAGEAPSPAPTGPLNLTEILTKAGHYNTFVRLLKDTEVTSQVSSLLNNDRNGDGLTVLAPTDAAFGRLRPGTLNRMDAQAQAELVLYHVLPRYYGFVTFETTTNPVRTQASGQRGVCTVNVTTAGEDRVRVSSGVVEAELGRPLRDGHPLAVYSLDAVLLPPDMFGPGAKKDYGAADAPAAAGKHGKPQTAPSSSVAAAPDEAPSKEVDATATAAAGRMAPAGWAAAFAGVVTAVAAVSLLSY >OGLUM02G13670.1 pep chromosome:ALNU02000000:2:12238758:12243283:-1 gene:OGLUM02G13670 transcript:OGLUM02G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTDVTFNIGQDIFSAHKCILAAHSSVFKAELFGAMSAKARRTIKIEDMEAGVFRSLLHFIYTGTLPETALDVVMTQHLLVAADRYNVERLKLICEEKLSKHIDSNMVATTLALAEQHSCHGLKEACFEFLSSDANLERMKASEGYEHLKVSCPSVLKELIARFISPEMEAAREITMDLQMANHCNNTSSVTVAEVARGSHVIKIDGYLRTKELMENGKYVSSTPFSVGGHSWFITYFPNGVNTESKDYLSVFLTLDSACAGGVKATFSFALLDKNGRSVQLYSKLYPLHTFTEKGSDWGHSKFMKKTNLERSVHLSNDSFSIMCDLTVMKDICSKETTQKQFVVVPPSDLHQHLGDLLLKNMDGTDVTFNVGQDIFSAHKCILAARSSVFRAEFFGAMSAKARRTIKIEDIEAGVFRALLHFIYTDSLPETAQDIVMAQHLVVAADRYNVGRLKLICEEKLSKHIDSNMVATTLALAEQHSCYGLKEACFEFLASRSNLERMMASDDYEHLKISCPSVLMELVARFLPQQEK >OGLUM02G13680.1 pep chromosome:ALNU02000000:2:12243311:12243824:-1 gene:OGLUM02G13680 transcript:OGLUM02G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNITSSAIVAEVVSGSHVVKIDGYSRVKLMENGKYVSSVPFSVGGHSWFIKYYPNGNNTDSKDYLSVFLTLGSACAMAMKANFSFALLDKNGKSLQSYSQSHPLHTFTGKSSDFGYSKKLIKLEGSVHLMDDSFSIKIDVTVMKDICSKETTQKQFVVVPPGDLH >OGLUM02G13690.1 pep chromosome:ALNU02000000:2:12243846:12247076:-1 gene:OGLUM02G13690 transcript:OGLUM02G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDCNTISSAIVAETVSGSHVMKIDGYSKTKALINNEECMSSTPFSVAGYTWTIRYYPNGQSTECREYLSLYLFLDSFARDVKAIYSFKLLDKNGRPLLLNSITSPVRTFKLRGTGLGYPMFIKSKDLKASESLRDDSFSIRCDVTVMKPICSKETPAMPKPSVEVPLGDLHQHLGDLLKNMDGADVTFDVGQERFSAHKCMLAARSSVFKAMFFGATRAKPCRSNIKIEDMEAGVFRSFLHFVYTDLLPDTLQGVVMAQQLLVAADRYNVERLKLICEEKLSEHIDSNMVATNLALAEQHRCHQLKEACFKFLIDSPSNIESMVESDGYEHLKTSCPYVLNELAARLLPHEMKAAKQITMALSVPP >OGLUM02G13700.1 pep chromosome:ALNU02000000:2:12273121:12273933:-1 gene:OGLUM02G13700 transcript:OGLUM02G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDTNNTSSSVIATETTSASHVIKIDGYTVTKDLMENGEFVSSIPFSVGDFLWNVRYYPNGNCSKNADYLSFSVFLESHWAEDVKAKFSFKLLDTNDKPVRSRNFISNTHNFSRRGSNWGHSRFIKKRDLEQSEHLIDDSFTIRCDLTVMKGFSSKGSHCKPSVEVPAGRLDLHLGNLLSNKKMNGKDVTIYVGKERFRAHKCILAARSSVFRALFFGAMIAETPRTIEIEDMEAGVFRLLLHFMYNDSLPETWSQDAMMAQHLLVAAD >OGLUM02G13710.1 pep chromosome:ALNU02000000:2:12318306:12320865:-1 gene:OGLUM02G13710 transcript:OGLUM02G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERQEAVVVAEEAEQTKEMERVRGNSNSSKRSEPVDLVKEEEEDGEDWFDALLRKLGHPFTVDEKGRPVDDADDREKGIWNASMVYMRAQNMSSEYDMVPNNLVLYPMMFEKRRWYHCNILGCKRLNDSTGEHSTQQRFFVELIINGGFIYNVLSCTKVDGDIDNNLCIACLPNSGIVHPPAGGFICGVSKDQRIFKVPFY >OGLUM02G13720.1 pep chromosome:ALNU02000000:2:12331566:12332699:1 gene:OGLUM02G13720 transcript:OGLUM02G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNCNTFSTIVAEAVSGSHVIKIAGYSRIKVLLRNGESLTSIPFSVAGHSWTIRFYPNGDSAESQDYLSFYLILDSANSYDVKVIFSFELLGKNGRSVSSYSFTTDLRTFSYKGSLWGYNKFIHQTVLEESSAHLRDDSFSIRCDIKVFKEIYSQETKGVHSKFVEVPPSNLHQHLGNLLDSMDGSDVVFEVGEERFSAHRCVLAARSSVFKAELLGTMKEKADGAIQVDDMEPGVFKSLLHFIYTDSLDTMAQEDQSRDEASEEEDLVMAQHLLVAADRYNVERLKLICEEKLCESIDSSMVATSLALAEQHNCNGLKEACFEFLASPSNLLEMMASDGYDHLKTSCPAVLKELTTRFLPPETKASEEITIGLYN >OGLUM02G13730.1 pep chromosome:ALNU02000000:2:12374614:12375102:1 gene:OGLUM02G13730 transcript:OGLUM02G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAEKMVECPASGKTKARSCRRMRQQAEMEFGRARSIRVHAIRMRQRAQMELGRTRVLCDAAVRMRQQAQMDIALARMVRLHALRMRLQEERANHVNDARTAMDAETCDDSVVESTIMGEGLAASADHLINSDDVRYVIDSLVNDTIVPYSLEQTDDKEDS >OGLUM02G13740.1 pep chromosome:ALNU02000000:2:12376832:12377483:-1 gene:OGLUM02G13740 transcript:OGLUM02G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLNQPFNWDEVEDLEGEIPDLNYDYVWYLENEDEEGGHEDVDNAAARGDNDDGGGGGGDNDDGSRGGGGGGDNDDGGDDGGGSGGGDGDNDDGGGGGGDNDDGSRGGGGGGDNDDGGDDGGGSGGGDGDNDDGGGGGGGAHAGTACLLDEPLHVAMHAQYHANHE >OGLUM02G13750.1 pep chromosome:ALNU02000000:2:12378683:12403977:-1 gene:OGLUM02G13750 transcript:OGLUM02G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLWEPTVLQMLLLLLVVLYELVLQALAFLPQVLMPPVHGGKNHLLIARNDQVLLFWCCCAAGGNAMDQVRMLSKRVADFASRRTAHVIRD >OGLUM02G13760.1 pep chromosome:ALNU02000000:2:12458442:12462487:1 gene:OGLUM02G13760 transcript:OGLUM02G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLAKLGTLALKTMSKPIAIRLKTEASRHPQFRQLIINVAQTNHRVSTNIQRRIYGHSTKVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARRNEVEALRQKEDQLAREIEIVKQKLGEIERQANSRGLSGVLFRSSSAPDQTKAS >OGLUM02G13770.1 pep chromosome:ALNU02000000:2:12463119:12465005:-1 gene:OGLUM02G13770 transcript:OGLUM02G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MSAARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >OGLUM02G13770.2 pep chromosome:ALNU02000000:2:12463119:12465005:-1 gene:OGLUM02G13770 transcript:OGLUM02G13770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 2 [Source:Projected from Arabidopsis thaliana (AT5G45970) TAIR;Acc:AT5G45970] MSAARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLGKMTWHFLQTEEKTLATKSDKNRILADLREDKQFFLDHPGLAPISTAQGEELKRMIGAAAYIECSSKTQQNVKSVFDSAIKVVLCPPKPKKKNTRKQRSCWIL >OGLUM02G13780.1 pep chromosome:ALNU02000000:2:12477075:12479898:-1 gene:OGLUM02G13780 transcript:OGLUM02G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein complex assembly; LOCATED IN: mitochondrion, chloroplast; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: ATP11 (InterPro:IPR010591); Has 304 Blast hits to 304 proteins in 167 sp /.../ Archae - 0; Bacteria - 0; Metazoa - 101; Fungi - 112; Plants - 39; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G34050) TAIR;Acc:AT2G34050] MRRLPLRLLRSAASSPRRRPAPSPPPSPNPAAPPALLSRWGWGWAPPRRGYSRFATGFTPLQPKPLASILDVERASGLSPDHLVAAWDDYHLGRGHIGASMSAKLYHLMEQRSATCRHFVIPLWKGTGYTTMFMQVQMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLESAHSFYLNDVRYRLVERFNKEPHEFEFKDVLQVLEMPTM >OGLUM02G13780.2 pep chromosome:ALNU02000000:2:12477075:12479898:-1 gene:OGLUM02G13780 transcript:OGLUM02G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein complex assembly; LOCATED IN: mitochondrion, chloroplast; EXPRESSED IN: 19 plant structures; EXPRESSED DURING: 9 growth stages; CONTAINS InterPro DOMAIN/s: ATP11 (InterPro:IPR010591); Has 304 Blast hits to 304 proteins in 167 sp /.../ Archae - 0; Bacteria - 0; Metazoa - 101; Fungi - 112; Plants - 39; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G34050) TAIR;Acc:AT2G34050] MRRLPLRLLRSAASSPRRRPAPSPPPSPNPAAPPALLSRWGWGWAPPRRGYSRFATGFTPLQPKPLASILDVERASGLSPDHLVAAWDDVIPLGERSYRCIYECKALPSHGAKISYMPSFCYPFVERNWIYYHMPHMIFTGLEDYKARGTQASPYYTITHYTEFAETKDTVLIRGDVVFTSKLTDSEAKCLLESAHSFYLNDVRYRLVERFNKEPHEFEFKDVLQVLEMPTM >OGLUM02G13790.1 pep chromosome:ALNU02000000:2:12481013:12489827:-1 gene:OGLUM02G13790 transcript:OGLUM02G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:negative regulator of systemic acquired resistance (SNI1) [Source:Projected from Arabidopsis thaliana (AT4G18470) TAIR;Acc:AT4G18470] MATRRAPPPAAAAAADGSIEENAMAILDTAGIKDARDLHDDRCAFLETVRSACLAADSPSPPSWRMYNAVFQILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRHIAYKESLDWVIFRESLLQMLLVSRKVAFKSLVKNCISFLLNQYNQGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSAFHNMRKINPDMQLSPFEKEALFTAASMARQTFRKVRRIIEEQRSCLAPEIDDAIFCRKDWMEVDQWTQHCP >OGLUM02G13790.2 pep chromosome:ALNU02000000:2:12481013:12489827:-1 gene:OGLUM02G13790 transcript:OGLUM02G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:negative regulator of systemic acquired resistance (SNI1) [Source:Projected from Arabidopsis thaliana (AT4G18470) TAIR;Acc:AT4G18470] MATRRAPPPAAAAAADGSIEENAMAILDTAGIKDARDLHDDRTPASQSPPSPFPPLRLPGDRALRLPCRRQPLSPFVILQDSSSLELTMASLHLLMELGKQYPRAYLTDSGSGQALVAVKEAWSPFHLRSDVGCGEIGGNNRHLDHLFDSSRFSSLIEDMVETANDTDANNGIEHIKNMVLLEYLVSTLEADFVPRHIAYKESLDWVIFRESLLQMLLVSRKVAFKSLVKNCISFLLNQYNQGVEDGISSKEGSAKSAPDLESSLAIISFEFERKALASVQKLFTMVMNLDLIRKEADTMGLTSRADGCRNPILDVILDELTYNISYLSPFLLIFVEWKWKLEIILQYFSKYCGKPAVRTRRSDNSQHDLTLENVLTLFSTAATTKAIVKKMSSEVVQLLLANAYQVCLHLECDSSKDSDTTKKIGATLLQISESFVSAFHNMRKINPDMQLSPFEKEALFTAASMARQTFRKVRRIIEEQRSCLAPEIDDAIFCRKDWMEVDQWTQHCP >OGLUM02G13800.1 pep chromosome:ALNU02000000:2:12499021:12499802:1 gene:OGLUM02G13800 transcript:OGLUM02G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLGLCVINGHCRGFLGPCVGRVTCTSLPLAKMITLFVAKAIRCAQIIAKSLEKLKPQGMKEKPKKTVEIFKLPKLAILPIHFINTIPPNEPIFIRRDMVLV >OGLUM02G13810.1 pep chromosome:ALNU02000000:2:12504180:12508257:-1 gene:OGLUM02G13810 transcript:OGLUM02G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSMLWQMLHQTMLNEEGQGDFVLLFAIDWPLVDISDFMYSTGTIKSYQVAFLCAFDEVADFYRSQLITSETRRGIVVATALEVDKASNCLSTIAATTMMFLHNRDYQRYALLEEVRNSLLKEPTLHDAIKIAVKQELLQLEEQNNDPAEPEVVIVEDDEVMLDYEISSPVVKLESPE >OGLUM02G13820.1 pep chromosome:ALNU02000000:2:12510735:12521054:1 gene:OGLUM02G13820 transcript:OGLUM02G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDADAAEVERLYELGERLSSAKDKSQHAADYEAIISAVKGQSVKAKQLAAQLIPRFFRSFPALAPRAMEAMFDLVDMEELATRIQAIRGFPLLAKDAEFVSKIADILGQLLASEENVERDAVHKALMSLIRQDVKSSLQPLFKHVESGSEIREKVICFLKDKVFPVKAELLKPRAEMERYITDLIKKSVLDVTGLEFKLFMDFLRSLSIFGDSAPRESFQELIEIIQAQADLDAQFNIPEEKKLDLLKTVAASSPYATAQDARQLLPPVVQLLKKYMPGKKVEDINHNYVECLMYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTESTRVKESILSEYDPEKSDQQKSTMTMRAYNNILAMAQPLRAKSPLFIGDKKITLSWMEQPKKPAPTTTGGKRSQPATNGNTPASKKGRGEGAARNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGWGYR >OGLUM02G13830.1 pep chromosome:ALNU02000000:2:12523274:12535390:1 gene:OGLUM02G13830 transcript:OGLUM02G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMTKKWDRWKPGSAQNVEASATAAAAEGRKDNNPQGDWSTLPRRENKGLPMRKLRKLIEEQNGRAAERRNDAKAKLRAAKAKEKELREGLKNDMKEGATPIEGNRNQLISDIKKAKEVKLTAIKEKKLGTVLRSKPLMLEDREAYWKLDGYSNNKMLLLQEFDNENFTGNDIWFEFTEDEEKTIENHAAIRRQLGGQTYQYQQYVS >OGLUM02G13840.1 pep chromosome:ALNU02000000:2:12523691:12536485:-1 gene:OGLUM02G13840 transcript:OGLUM02G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAMTKSNGYSSVGDFPWWILGRQLLPGTVATMVMEKEARPSPSNYTGVLKPPHQNFPDE >OGLUM02G13850.1 pep chromosome:ALNU02000000:2:12536514:12537976:-1 gene:OGLUM02G13850 transcript:OGLUM02G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKINLPPHQYFQKYLSSLALWDLSIVGVLIHSTRLPVMRAMRSASIHPEAVQAVEAATCGAGGGGTAPMAGQIPWQI >OGLUM02G13860.1 pep chromosome:ALNU02000000:2:12538619:12545131:-1 gene:OGLUM02G13860 transcript:OGLUM02G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEVTVVHHAALVLLALWAAVSAGWAHPAIFLAALLYLFAVNERYTMRLRKRIQHEERKCANQRRLLSDAETVRWLNYAVEKIWPVCMERVASEQFLLPIFPWFLEKFKPWTARKAVIQDLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLSADDMNATMAVQLRKRLGFGITANMHITGMHVEGKVLVGVRFLRQWPYIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVESQTSEVLGINIVQNATFTVLPNMLVIDLEKFASESTDSWFSVDEKPPIAHAKVEILEGADMKPSDPNGLADPYVKGHLGPYRFQTKIHKKTLNPKWMEEFKIPVTSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWIALKNIKTGRIHIAVTVLEDENEKVPNDDDEQCGTPKGGKASTPRSSFSSRTNNESESSEEFRKISDEYEPVDIEGLEKVDVWVHRPGSDVAATWEPRKGRARCQDSRILRENDVYCDSPKSCQSESQRSDSSTEEPANGKSRHHLHKVKKGLGKLAGAMFKSPKKESDDEASPCVTPHPNIKPLGEKRVSVRYVVDEDPSENLPDDQHSSPERGESESPTKRHLRKKAVHMVKHAGNKTAHNLKNMFSRKGSDKSKEGQDDQKDDPDTIVVEPSKVKKDNPDASEVDIDPSQVENDIPDSTAIDPLKVDSNVANNIVVDALEYASESDAKDKPQ >OGLUM02G13870.1 pep chromosome:ALNU02000000:2:12549944:12550321:-1 gene:OGLUM02G13870 transcript:OGLUM02G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHAELFPFPPWRRSTLTPAAKLDAPQGRNVVRLASLCLPQQREKREMGEMSRRTKLFWIESREVIHPVLMVEGENILFCSLGK >OGLUM02G13880.1 pep chromosome:ALNU02000000:2:12552587:12563599:-1 gene:OGLUM02G13880 transcript:OGLUM02G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSIIELKMQMDMFKIKGSYFNRSCIFSLRGRKGRARRGATSGFRYTMYGVRGGLFAKKPSGSRPPPPASPPRPRSRLAHSLSCLASRRRRRRRRRLAPPPPPPRTAAERRRRPSSLSLNHPPPPPPVHSPLTLAAVARLPSSATVVAVAAERRHFRLRLAPPRPHLPRPRAAASTSTDAAVVSPSPSAFTSAFPSLRRLSAERGLRGEHGESEEANTPLHPRRRRCRRRRRLPIAVPPPALPGRLRLALPLLSSLSIAVSGWLTSANAEQCLNLFGPNKLKEKKESKFLRFLGFMSNPLSWVMEAAAIMAISLANGVLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKVCSCDDCVFGHRIELSLSSLPPLSTMTRGRIGHNREAKGLRSSFPLSLPREKITGSIGDSNGRSTLVAVPRPAASAARSGREAGGWRRPSPIPKALPSGHLVRVAGFLTMLRGRDGSSGWSDVMGNKGGGQHQVGQEGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDMRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVAHTSIDINLISKATMRSVEND >OGLUM02G13880.2 pep chromosome:ALNU02000000:2:12552587:12563599:-1 gene:OGLUM02G13880 transcript:OGLUM02G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSIIELKMQMDMFKIKGSYFNRSCIFSLRGRKGRARRGATSGFRYTMYGVRGGLFAKKPSGSRPPPPASPPRPRSRLAHSLSCLASRRRRRRRRRLAPPPPPPRTAAERRRRPSSLSLNHPPPPPPVHSPLTLAAVARLPSSATVVAVAAERRHFRLRLAPPRPHLPRPRAAASTSTDAAVVSPSPSAFTSAFPSLRRLSAERGLRGEHGESEEANTPLHPRRRRCRRRRRLPIAVPPPALPGRLRLALPLLSSLSIAVSGWLTSANAEQCLNLFGPNKLKEKKESKFLRFLGFMSNPLSWVMEAAAIMAISLANGVLPGWQDFVGIITLLIMNSTISFIEENNAGNAAATLMGRLAPRAKFLDLLLPLLVAVVRQVAGGVQAPSPRLFPVAISGIEMLSLGSFKTGAILLGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPETSRNDLRGEVGVHPQEDDMRVAAAGAKAKKEAEAGGNVSGKQSTAGEGRGAVVLLHPVRASELGSTTMSTVAHTSIDINLISKATMRSVEND >OGLUM02G13890.1 pep chromosome:ALNU02000000:2:12564392:12566414:-1 gene:OGLUM02G13890 transcript:OGLUM02G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAQAKDGPRSATSSPPVSGERARGHPPGDDGDEKARRRREQTAHCVAEGTARGGAEMLEPAAGWSTRRTTTKEMGPAAASDTLAAMPLLPPAGCRHRQRRGGRGREAIRVLPLHFA >OGLUM02G13900.1 pep chromosome:ALNU02000000:2:12569911:12586264:1 gene:OGLUM02G13900 transcript:OGLUM02G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEASKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGAAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKRQADVSIGLLLLGVLCHIATLVSKYTSSTGDSINSSSVMQLSRSCAIVMLIAYFGSLMFQLKTHRQIFELEEDISDSSSSEDDATDKSVIGFASAVVWLIGMAVVTAMLSSYVVTTIEEASESMGIPVRFISIILLPIVGNAAEHAGAIIFAFKNKIVPVILIVSWVNAIPMDLDFNLLETGSLAMAVITTAFTLQDDKWHYLKGLNLVFSYIVIAVCIFVMKALPKTDETMHACELKTVARSVISVDLGNDLEVFVGWCF >OGLUM02G13900.2 pep chromosome:ALNU02000000:2:12569911:12586264:1 gene:OGLUM02G13900 transcript:OGLUM02G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPESSHHHLLESGLLEASKAPSAAVAAEEEEKKEAAAWTPSSSSSMTGRKIKSEASPLLRRLLGGAAAQLQEVLLGTKLYPLFSAVPLAVAAESLRLGRVWVFAFSLIGLAPLAERVSFLSEHIANTVGPTAGGLMNATCGNVPELIIALFALHKNKMEILKWSLLGSILSNLLLVLGSSLLFGGIVNIGKERPLDKVSVKVHIQAVTITYVTVLTFCDRSILTETSRCKHRPSVVGCSLSYCYPSV >OGLUM02G13900.3 pep chromosome:ALNU02000000:2:12569911:12571230:1 gene:OGLUM02G13900 transcript:OGLUM02G13900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISDTIINYCAHCRLFFPFLYKYTHAFRRFHSTQTPRWIASIHRNTRVFFDRFNSSRRATWRRRRAATTTSWRVACLKPARHRRRRWLPRKRRRRRRRRGHRRRRRR >OGLUM02G13910.1 pep chromosome:ALNU02000000:2:12587121:12592294:1 gene:OGLUM02G13910 transcript:OGLUM02G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFSSQVHHLLCSAPAAVVAHVPPISSVLNRQWPKPSSSPKTKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >OGLUM02G13910.2 pep chromosome:ALNU02000000:2:12587121:12591848:1 gene:OGLUM02G13910 transcript:OGLUM02G13910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFSSQVHHLLCSAPAAVVAHVPPISSVLNRQWPKPSSSPKTKLGIMAWINEEALSKPSDQVKVQTYHEGLSELIRSVFAACNPNRPITHTPSGQTPLPLLS >OGLUM02G13910.3 pep chromosome:ALNU02000000:2:12587121:12592294:1 gene:OGLUM02G13910 transcript:OGLUM02G13910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNFSSQVHHLLCSAPAAVVAHVPPISSVLNRQWPKPSSSPKTKLGIMAWINEEALSKPSDQVKVQTYHEGSIKKIPAL >OGLUM02G13920.1 pep chromosome:ALNU02000000:2:12587461:12600618:-1 gene:OGLUM02G13920 transcript:OGLUM02G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSRPALSHTGKLSPIGWWAWSGNNLDVGGLSLIRCCRALIRWAGASRIIHTITIIQEGLWMNMIGMDNDAKCRSRTEFFWMRE >OGLUM02G13930.1 pep chromosome:ALNU02000000:2:12602565:12604109:1 gene:OGLUM02G13930 transcript:OGLUM02G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAVRSLVVLFLAVVSPFLVAAGGAKLNASSSSSPLYGIEFPPFNAGVADGGCDGKLMAQGVEEVTRSPSLKLHMTHRSAAEAAAAGRTRKESFLDSAGKDAARIHTMLRRVAGAGGGRAATNSTPRRALAERIVATVESGVAVGSGEYLVDLYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASLSYRNVTCGDPRCGLVSPPTAPRACRRPQSDPCPYYYWYGDQSNTTGDLALEAFTVNLTAPGASRRVDDVVFGCGHSNRGLFHGAAGLLGLGRGALSFASQLRAVYGHAFSYCLVDHGSSIGSKIVFGDDDALLGHPRLNYTAFAPSAAAAAADTFYYVQLKDVLVGGEKLNISPSTWDVGKDGSGGTIIDSGTTLSYFAEPAYEVIRRAFVERMDKAYPLVADFPVLSPCYNVSGVERVEVPEFSLLFADGAVWDFPAENYFVRLDPDGIMCLAVLGTPRSAMSIIGNFQQQNFHVLYDLQNNRLGFAPRRCAEV >OGLUM02G13940.1 pep chromosome:ALNU02000000:2:12622962:12623495:1 gene:OGLUM02G13940 transcript:OGLUM02G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSDSATGGAAAAQAHGSDFDSIDPLFHVLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFALILLTYFAVVSGLVYDVIVEPPGIGSVQDPATGAVRPVVFLPGRVNGQYIIEGLSSGIMFVIGGIGIILLDLAVDRNRPRSLRVSFGGSGVAAIVIAYAMAMLFLRIKIPGYLW >OGLUM02G13950.1 pep chromosome:ALNU02000000:2:12640841:12641626:-1 gene:OGLUM02G13950 transcript:OGLUM02G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDHGYYGGRDSPPQGYGYGGGYGYGGGYGYDAGGYYSSGGGGGYPSAGAAAAAAAAAYEDPMVGRRTHDFPAPMNELEFQPSATCPKNYVIFDQTCTKSRVMFHPSLAHKFGGGSSAYDNNVYGGGGAHDAAAAKGAYRDNVGYDDDSCSVRQKEDTDEIDALLSSEDGDEDDVVSTGRTPGYRDGSSPDSTCSSSYGGGGQARPGRKKERMKKMVRTLKGIIPGGNQMDTPAVLDEAVRYLKSLKVEVKKLGVRGSDN >OGLUM02G13960.1 pep chromosome:ALNU02000000:2:12663882:12668129:-1 gene:OGLUM02G13960 transcript:OGLUM02G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G03030) TAIR;Acc:AT4G03030] MASSSSSSSSAGRRLAGNLSLRPGMASIIPGLPDDVAAVILCLLTFPDQSRLRATSRAWRLLLSAATLLPLRRSLRLPRRHLLCLFPTDPSLASPILLDPAAPTAWWSLPPIPCSPQHYGLANFAAVAVGGQIYVLGGSRFDARSYPLDNPSPSAAAYRLDLALSRHRWERLPDMRVPRGSFACAPAPSGGVIVAGGGSRHPTFPSYGSRTSGVELYDAAARAWRVTAAMPRDRAGCVGFVAHGVGDGREDEFWVMGGYDGYTTVGGVVPSDVYCRDAVALGLWSGKWREIGDMWEEWERERLGPVAVISAEDGRVTEVFMLDGHDIFRYNFSSNSWSKEATLRRKIPSTQSCGFIAMNGELYVLTSAKLPVETSSPWKQSKKRLALEFQVYNPAAKMWRVLTTHPPVNVPIDFRTAALCTVEL >OGLUM02G13970.1 pep chromosome:ALNU02000000:2:12690342:12691590:1 gene:OGLUM02G13970 transcript:OGLUM02G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVGGLPQYILRMLRTGCGCPQPAPPAPLPGAKRRTAWLGLLAWSNAAHSAEHGRRLVWCSGRDWHGVRHSWGMGRLGGGGR >OGLUM02G13980.1 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIEVVTERSDTIATTTGTGCGGRSRLGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVER >OGLUM02G13980.10 pep chromosome:ALNU02000000:2:12693182:12720395:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVER >OGLUM02G13980.11 pep chromosome:ALNU02000000:2:12693182:12720395:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.11 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVER >OGLUM02G13980.12 pep chromosome:ALNU02000000:2:12719486:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.12 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.2 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIEVVTERSDTIATTTGTGCGGRSRLGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVER >OGLUM02G13980.3 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.4 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.5 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.6 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.7 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNVLHRLLGAQLVEKIAANVDDKIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.8 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYGFVVNNKLLFEEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVNAYDGVQIVDSLHKPKYHITTLAEYESTGDTRNIMKEKYAVPINLQETVKKLGDGHIVSVLNVQIKLTFAQSPGDDEGTSTWHWGSGCSHVCKSHIYHPILPWLNSDGSINSTVYECLSRRIIGYVMQYPGIMEEDVINWLDVLNPQTCRTLLGKLTIDKHLYVRVFDEPVPTAPTTLQNILRQGHNREPSKCGRRYFANPMCTFML >OGLUM02G13980.9 pep chromosome:ALNU02000000:2:12693182:12720924:1 gene:OGLUM02G13980 transcript:OGLUM02G13980.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDMLVSAALEEVCARLSLGLPVTEMWTALSGAFNMAGLPMDLAVKRVLFARLIALPVISLVVEGVLAHPPWMDMEEAERYGARLLASRPLRDNFLGIYDHRCSASKLSDIQRKTLELIGASRTSGLTQRSLSKEAEIKGNNFHYVVKTLKSQGLIVGKQAIAKINTQAKRKAVSQDKHVISTNSLYLSRYAKNLNMNSYQRIEITKPKLGCNEETNIDALQEDETLSVDYKNDVSIHDYLPAMKAICDKLEEASGKVLAVSDIKKDLSYRMQPGHRAWRNIVHCLRLLKRFNPDEFKPKGTISNYKLGKKGLETDQVMELPLDNCIYDMIDAQGPKGITLIELGKRLGGKYNNSKELHDRVSSMHDRFNLTVEVEVVGKSKQGRVWTSKNFSLYNATLRNCDVPDDHDYCSVWPLIPSEEPTSVSPYEDCHLNSHEACVGVSQPVEQDKVAFQRKRHCWPTSISDDRRQKRIIHILKKHSFVLMVELHKWLERVEKENRKIFDRKTLIRTLDKLQQEVMSPELMDQIRNRLRNFDSQSRSGAAAKLKQKQDTAAIHGLRVQRRVKVKKISISEAIHDNGFIAIKKMPLELFLQVVGSAKVHTLIKKCSLGKTLSDIYNQLMDTHAKGRLSRLINILDKLKLIGLLNGYIEDSNVQPDDLPTHSLELRPYIEEPTPRIILSSHVNGNHCPKFRHDFQLSKLESVDTYWETLKYSYVTAGSAETSAFPGCCVPEVSHPRSWSSVRVMTTDQRLELQQRLMNESETGKLSYKVCHTIAKELNLSLQQVLCASSRQLHGQASMSGTQNQRKFSSRSTSQKRKRSAIEISMKFIKQKAEASGSAEQRKEITDIISSTSTERGFPEHAKLSRHSSSIHESKSMPILFKSHENVIKFNEAEITKRGVCKSLAISNALELLKVFFLSSSSGSEVQATLTATFQLYSEREILTAVSFLRDKNFLVTGNGMKPATLSGKFFIDASRSPFPFGSGKKASEFSKWLIGQQKNTTDSTVYWYPDLQCGEIVHLFSLVFSGELLISPSLPSEGVGETDEPSSFRPFIKDSSELDDCTHKRKAVELISSKSKKRKPLPKIDTDFCYRREKGFPGIQVALNQERIQTSNLMQVLHHKECLMFTLAREMGSKDVDSQVERSEMLTDLNNSSSFRCLLSASHLENSYTGWPWDAMKIYAEQLPSLSCSILSSDLFRNAFCIIHQSGEQGVNLREISQALHPLGMQYIELVVDTLERFQLAIKVER >OGLUM02G13990.1 pep chromosome:ALNU02000000:2:12723649:12725429:1 gene:OGLUM02G13990 transcript:OGLUM02G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADANMAWAELPEECVAGILHHLLCLPDHSMFSGVCTRWRTIAMRHLPPMQPWLFMPSTNATSFFCVACERTHQGPCLPDNARGARFCGAHLEGWVAAAEIPHDDRSVPGNRAPALLNLCTGERVLLPRSLRNNNPDTTFINHIQAVILSYTPCQAHPYYAAAIVSGKPNIMFWRPGMSDWVPQMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYAPKANDRHGELTMSSVNKYQLRRNPRPTMPEPGEVLARYLVESRGHLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADQTIFLGRGCSFAVEMRKSSQCPPNIYFLDDSASFSGAGSSTSQAQQVQGPFPCGDTGRCCEQGIVRCPPREPPSDSSPWTWFYLPPYVAFLEWFKTQAIKQLEQVLSKFLRISNVNLIGNCCAKMKHDSAVLI >OGLUM02G14000.1 pep chromosome:ALNU02000000:2:12726660:12728681:1 gene:OGLUM02G14000 transcript:OGLUM02G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAELPKECLAGILRYLPCLPDKAMFSGVCRRWRSVASAHLTPMQPWLFMPSATAISFFCVACERTHHHQSPRLPDDARGARFCGTFLECWVAAAEIPYDEPLPWNRFPALLNLRTGERVLLPRHLRTNNPGSTAINRIQTLVLSDSPSQTYRYWVAAVVSGKPNLMFWSNNMNEWAPPMLKWDSGFKIWQKMLPKDPIEDVKYFYGGPLGGGFYVLNNKEDLLVYTPKADDEHGELTMSSVKKFEVRRNPRSTMPGPGEVLGRYLVESQGDLAMVVRFFSTEKATLAFDVFKLELEPLSWKKITLDAFTDRRIFLVRGFSMVVEMRNPCLPSIYFLDDSARIDGAGASTSQAQQVQGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPQNDGLREWYEMHVFKQQE >OGLUM02G14010.1 pep chromosome:ALNU02000000:2:12732627:12735957:-1 gene:OGLUM02G14010 transcript:OGLUM02G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPTEMAEGEVESRDWAEMPSDALAAVFGKLDVTDLLVGAGVVCRAWRRLAATDPTLWRRVDMSHQGDIMEVDEAVAMARAAVDRAAGTMEAFWADSFVTDGLLLYISERASSLKSLQLSMCLNVSNEGMAEAMKGFPRLEELDITFCSLYGDVCASVGKACPELKCFRLNERYTLQMDYAAPDIMDDDTEALGIASNMPKLRELQLIGNKLTNDGLMSILDHCQHLESLDIRQCYSIQMDDALKSKCSRISDLKLPHDSISDFKYRAYIFSSSAFSGSDLELDMYDDLLDVVTDDDDADFDDMDDYDDGGSDGGMYDEFDI >OGLUM02G14020.1 pep chromosome:ALNU02000000:2:12740997:12745422:1 gene:OGLUM02G14020 transcript:OGLUM02G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >OGLUM02G14020.2 pep chromosome:ALNU02000000:2:12740980:12745422:1 gene:OGLUM02G14020 transcript:OGLUM02G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEAEITLFDGYIVVYKFVQDLHFFVTAGDDENELIIANVLQGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIVDGGIILETDANTIAGKVATNAVDGSAPFSEQTISQALATAREHLARSLLK >OGLUM02G14020.3 pep chromosome:ALNU02000000:2:12740980:12743220:1 gene:OGLUM02G14020 transcript:OGLUM02G14020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFSKESCPSVKNILLLDSEGKRVAVKYFSDDWSSNASKLAFEKSVFTKTLKTNARSEGISFLALLHVLSLHTMAHAFATLTIWHITHSAS >OGLUM02G14030.1 pep chromosome:ALNU02000000:2:12746189:12750289:-1 gene:OGLUM02G14030 transcript:OGLUM02G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPEWADLPVDAVLAVFERLGAAEVLMGAGVVCRSWLRAATREPRLWRRVDLTACFDPTVEMEAMARAAIDRAGGRLEHFAAERFVTDELLLYVAKRTSCLKSLRLRDCIKISEKGLVAVGKTSPCLEELELTTCTISIVLKAVGEAFPNLKCLRLNHRWFDVQFDEFRDNFHALGIACSMPRLRHLQIFANRLRNNALAAILDNCPHLESLDLRQCFNVDVDAEVRAKCARLKDVRFPNDSTKDYEYETFIETPSLDSLPLPFPAAVPQWPFHGNDEDDDNDGDQDDDDDGDQDDDDLGGHRVTEYGFIIGDYHVRGRIIHHE >OGLUM02G14040.1 pep chromosome:ALNU02000000:2:12752811:12753338:-1 gene:OGLUM02G14040 transcript:OGLUM02G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAWNPVDRSGDGDESADEGRLFSNTPPSSLQNSHQPNPGAEAPDLVIATATTKQERASSRGDPRSSDCRCKALDPDERLAGSRSGRETDRKYTTVAESNPCRCRGHSTPSTARAALWPRCMTRCRHEVKMGSQQGEKELGDGGLGDQQAWHGRTRRCARGGRWWEGIRGNIST >OGLUM02G14050.1 pep chromosome:ALNU02000000:2:12753251:12757674:1 gene:OGLUM02G14050 transcript:OGLUM02G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYVFSPREMDPRVGMVMQMAWYQHQASLKCIYIVIGTQKLQLPRQEIKMSRMEDRIRTKQTGL >OGLUM02G14050.2 pep chromosome:ALNU02000000:2:12753251:12757609:1 gene:OGLUM02G14050 transcript:OGLUM02G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYVFSPREMDPRVGMVMQMAWYQHQASLKCIYIVIGTQKLQLPRQEIKMSRMAL >OGLUM02G14050.3 pep chromosome:ALNU02000000:2:12753251:12757609:1 gene:OGLUM02G14050 transcript:OGLUM02G14050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRPSSADSSPSPDRSTGFQAACIAASRHGGCTVLGFSYGSFVVFVACCGVLCVVDSGRGPEADYVFSPREMDPRVGMVMQMAWYQHQACIVNL >OGLUM02G14070.1 pep chromosome:ALNU02000000:2:12762053:12765185:-1 gene:OGLUM02G14070 transcript:OGLUM02G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT5G54855) TAIR;Acc:AT5G54855] MAAAEAEAAGPLRRALFVLAVLALLLVAAPAAEAWTGEIRGHVVCDVCGDAAIGPEDHVLEGAEVAVLCITRSGEVINYQAFTNSKGVYIVAETMPESDRWESCLARPISSFHQHCTKRGDTHSGVKFTYSKPSGNSHTVKTFLYKPANAPLYCS >OGLUM02G14080.1 pep chromosome:ALNU02000000:2:12765323:12771632:-1 gene:OGLUM02G14080 transcript:OGLUM02G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASRERKTGSRIQRLAFTLRMCKSGQVERTRVAHMHMQQRALELFHRDPPSPPSSVNLSQYLRFHWWRGCRCLRLFFHRASPLKEGVEP >OGLUM02G14090.1 pep chromosome:ALNU02000000:2:12773803:12776158:-1 gene:OGLUM02G14090 transcript:OGLUM02G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLGYIETLEYIADVSDDNSKHNVGTLGTSSTRYLGSVRLSTLLLDFRAHAFQTAISSLGQLVSSYNLTRFENENLLVQQVMSWCRFKFSANYFLSKPYQWRKYMVDAPAYQGFPFQGMIKQQIDGVDKMLLYYHQISIVYCSVSENIVYDVTGTPVMPSKWIHVVAVGRTWLLSAFALINFLEAGTVQLAVKLVHVKIIEMTRIRSWDPGIVNLVTIIACQISTEVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFVVLPYRKFGTSIKIPNYNSGQMEVQCIHQSASFFTSIGMESSLVVAFYGSAGAYGLALDDHLQLPWDPGGNDLELQLHQLGDKLIFKAGRMSCNWVRLVCILSSGLARPNPLR >OGLUM02G14110.1 pep chromosome:ALNU02000000:2:12777850:12778178:-1 gene:OGLUM02G14110 transcript:OGLUM02G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKGYLEEEINRPAQRFDRPPGNLVTERRRVVVFRQIDRHVSFCMRTRKQDHFHTVPSSVTSLVGATPQ >OGLUM02G14110.2 pep chromosome:ALNU02000000:2:12777850:12778178:-1 gene:OGLUM02G14110 transcript:OGLUM02G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAKGYLEEEINRPAQRFDRPPGNLVTERRRVVVFRQIDRHVSFVRVPRLFNSRCMRTRKQDHFHTVPSSVTSLVGATPQ >OGLUM02G14120.1 pep chromosome:ALNU02000000:2:12780323:12789216:-1 gene:OGLUM02G14120 transcript:OGLUM02G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSATSLASSITPCSPVFANQQQHPPCNLPWLLMPSTAATSLFCVVSEDTHRPGLLGDARCARFCGSFPGAWLAAELPESRGRGPVLLDLCTGECVALPRGCEAGESSSVDSASSPSTTSGRGPPARYACTSWCSPATSCSWSSGWSTATGSSRLTRRSSPSAPLSGRRIFLRRGCYFSVDLCNPCPLHIYFCDAAASFHGAWCGDLAPGDGLGSLRNNNPDTTFINHIQAVILSYTPCQAHPYYAAAIVSGKPNIMFWRAGMSDWVPPMLKWDSGFKMWQKQLSKDPIEDANYIFFGPLGGGFYVLNNKEDLLVYTPKANDRHGELTMSSVNKYQLRRNPRPTMPGPGEVLGRYLVESRGQLLMVVRFVSTEKATVAFDVFKLELKPPSWKKLTLDTLADRTIFLVRGCSCAVEMRKSSQCPPNIYFLDDSARFNGAGSSTSQAQQVEGPFPCGDTGRCCEQGIVRCLPREPPSDSSPWTWFYLPPYEALSRKWFMEQLIKQGEQLRLQEHQDGNVKLVLRNVGQQYIVELYNVVKEQNRNPYCAAAIVSGKPNIAFWRPCMNYWTLMLKWDAPKIPHPFVLI >OGLUM02G14130.1 pep chromosome:ALNU02000000:2:12795792:12801564:-1 gene:OGLUM02G14130 transcript:OGLUM02G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRETLDFSRWCLGIGSRYDMLTEISRRERNAGIKPDPEIDAFMKATAMQGQETNIITDLILKVLGLDICADTIVGDEMIRGISGGQMKRVTTGEMLTGPARALLMDEISTGLDSSSTFHIVKFIRHLVHIMNETVMISLLQPPPETYNLFDDIVLLSEGYIVYHGPRENILEFFEASGFRCPQRKAVADFLQEVTSKKDQQQYWFLDKEPYRYVSVPEFAERFKSFYIGQQMMKEQHIPFEKSKIHPAALTTMKNALSNWESLKAVLCREKLLMKRNSFLYIFKVTQLIILAFLSMTVFLRTKMPHGQFSDGTKFLGALTFNLITVMFNGLSELNLTVKKLPVFYKHRDFLFFPPWTFGVANILIKVPVSLVEAMVWVVITYYVMGFAPAAGRFFRQFLAFFVTHLMAMALFRFLGAILQTMVIAISFGMLVLLIVFVFGGFVIRKTKMQYRSTNFWPVGGPFWALILQKLALLAIRSANALVIDDHNETELYTETRNEEHRSRTSTTTSSIPTSANGEGNRPTQSQFVLPFQPLSLCFNHLNYYVDMPSEMKQQGLMESRLQLLSDISGAFRPGLLTALVGVSGAGKTTLMDVLAGRKTSGTIEGSITLSGYSKKQETFARISGYCEQADIHSPNVTVYESILYSAWLRLPSDVDSNTRKMFVEEVMALVELDVLCNAMVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTLLLLKRGGRVIYAGELGDHSHKLVEYFETISGVPSITEGYNPATWMLEVSSTLEEARMNVDFAEIYANSLLYRKNQELIEELSIPPPGYRDLLFATKYSQSFYIQCVANLWKQYKSYWKNPSYNSLRYLTTFLYGLFFGTVFWQKGTKLDSQQDLYNLLGATYAAIFFIGATNCMSVQPVVSIERAVYYRESAAGMYSPLSYAFAQASVEFIYNIIQGILYTVIIYAMIGYDWKASKFFYFLFFIVSSFNYFTFFGMMLVACTPSALLANILITFALPLWNLFAGFLIFRKAIPIWWRWYYWANPVSWTIYGVIASQFEGNGGSVSVPGGSHVAMSQILEDNVGVRHDFLGYVILAHFGFMAAFVLIFGYSIKFLNFQKR >OGLUM02G14140.1 pep chromosome:ALNU02000000:2:12806024:12813956:-1 gene:OGLUM02G14140 transcript:OGLUM02G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDMSHRHHAALVASASGRSPSWGSAISQSFRQVEAEDPFRRAQSMRGHDEEEEDLRWAALEKLPTYDRMRRGVVRSALLRDDDDDHKDDDDAGTGKAVELVDIGRLATGDAARALGLIGQFGSSNKKTINILKQVNGILKSSRKQSMPWNPTHIPNSSGPMPSLQHVTLRRCHNSDYMTTNFIMIGLRQDKRSPTNHESQSRVPSDGGEGLSSVGICFFGCNLHASLYQHHLLRATMDDYCSSSNTPSISLAHSGHVATEASSNSNR >OGLUM02G14150.1 pep chromosome:ALNU02000000:2:12816204:12816428:-1 gene:OGLUM02G14150 transcript:OGLUM02G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVTLMLSPPSRYDDRCAWPPWVFKTDGSGHVPTNPTPYSQIPCRHFGLSMYKCRPSLVLSGQIHKNIGGDV >OGLUM02G14160.1 pep chromosome:ALNU02000000:2:12832691:12842668:-1 gene:OGLUM02G14160 transcript:OGLUM02G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLYVSLFWYSIQVEKRMKLMKIVKIVFQSIEYLCFYFCQFSEYHHTHIYAPSLVGLHLEAIVTPHQNCDDRCSNTYSGYCEDENCHGCHGMLGETGNDSANVCSWEDYQKLRTLIPNEWCVATDLWPLPCILKQCPVLNKLTLRNSKKQNALVKSAAISEHLKFVKIVCKEADDGVYKIVKCFSTWKLLSKGGISRQNVNY >OGLUM02G14170.1 pep chromosome:ALNU02000000:2:12869454:12877629:1 gene:OGLUM02G14170 transcript:OGLUM02G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQREDHTKSSVPGKEREYNAQPKDDMFPMMKQPGTNARVADPMDDVAQHLIGNLLPDDEELLAGVIEDFDHVKLRTQVEESEEYDVFRNSGGMELDIDPLESITFGTAKASLVNGTGSSTNQYSIQNGAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRHARNAKTALQSKPLRRRKLDIHYSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLQIFGAFGEVREIRETPHKRHHRFIEFYDVRAAESALRSLNKSDIAGKRVKLEPSRPGGARRSFIQHFNHEFEQDETKHNSFQIGSPSANSPPSLWSQLGSPTDENKLNALNETAFNGGMSPLGSNHLSGFSSGYPPMKSPVGKSSYWNNRADNIFHGSSTLHNSHSFPEHHGGIISASPLVSSAASSASTASGFTALTGTSFLWGNNNNLRDHGQPSSIQSQALSNSLFPNNQPQRQSNLYQNLRGSFGASEHFSQFNVGSAPSVFPFESNFGYFSDSPDTSYMRQGKFGGTGPTRVSGSLMTNFGAYPRINVASMQNGSVGFEGLLDRGRNQTVGNSGCQEDSRVQYQLDLEKIIAGKDTRTTLMIKNIPNKYDILELIGVMLCYYSVMRSTFVAHVLLNFRYTSNMLLEVIDETHEGTYDFFYLPIDFKNKCNVGYAFINMASPGYIVSFFKAFAGRKWEKFNSEKVVSLAYARIQGKAALVNHFQNSSLMNEDKRCRPMLFDPKHTENNNQVKILLNGTFISMAQQDATQERRDLPENPREDNFS >OGLUM02G14180.1 pep chromosome:ALNU02000000:2:12878143:12878475:-1 gene:OGLUM02G14180 transcript:OGLUM02G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLNIALDDRDEHAIPNLNEAVAEEAAVADQEDDQVGGDLQEGANHELPEDDFQEGANHVLLFDLNLYASDHQEEIHLGNLVNINRKQLIIVDMACINHSKIFVGTVG >OGLUM02G14190.1 pep chromosome:ALNU02000000:2:12879436:12879690:1 gene:OGLUM02G14190 transcript:OGLUM02G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVRMRVVLVVLVPVMLASSLQEAYAGRSRSPGTSGLSKGLSRSPGTSGLSKGVMGCNILGKCDAGDKTGLAKVFNFNFGHG >OGLUM02G14200.1 pep chromosome:ALNU02000000:2:12880490:12884770:-1 gene:OGLUM02G14200 transcript:OGLUM02G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPLVFASSKPQAARRRQEIGDAQGGARSSSQALDGGAYIRVMLLPAADLNEISRGKDQGSGEALGGGGQKLGDAPQLRSWATGLEDAASCTADFPLWSDAASIPRPQPPPPLSSPVKLGCFVIVQPHHPKAAARLPPPLRHYWNFLPGNPPPATAPAHKTRPHPSSSNSNYQISPGRMLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLDLYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDKERFSA >OGLUM02G14200.2 pep chromosome:ALNU02000000:2:12880490:12884770:-1 gene:OGLUM02G14200 transcript:OGLUM02G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPLVFASSKPQAARRRQEIGDAQGGARSSSQALDGGAYIRVMLLPAADLNEISRGKDQGSGEALGGGGQKLGDAPQLRSWATGLEDAASCTADFPISPGRMLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLDLYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDKERFSA >OGLUM02G14200.3 pep chromosome:ALNU02000000:2:12880490:12883507:-1 gene:OGLUM02G14200 transcript:OGLUM02G14200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRLPHHSSPLVFPCRLSAAAAARTLSTATGSNSTTVKMARSALDEVTDAGAFDRSPSTFRSSISRDSSARFPAVPGRYHLYVSYACPWASRCLAYLKLKGLDHAIGFTSVKPIFERTRETDDHLGWVFPATGDEEPGADPDPFNGAKTIRELYEIASPNYIGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNEIAKNPDLDLYPAHLQTSVNEINELVYDAINNGVYKCGFAKKQGPYDEAVTRLYEALDKCEEILSRQRYICGNQLTEADVRLFVTLIRFDEVYAVHFKCNKRLLREYPNLFNYTKDIYQIPGISSTVNMEHIRKHYYGSHPSINPYGIIPAGPNIDYNAPHDKERFSA >OGLUM02G14200.4 pep chromosome:ALNU02000000:2:12883578:12884770:-1 gene:OGLUM02G14200 transcript:OGLUM02G14200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSPLVFASSKPQAARRRQEIGDAQGGARSSSQALDGGAYIRVMLLPAADLNEISRGKDQGSGEALGGGGQKLGDAPQLRSWATGLEDAASCTADFPLWSDAASIPRPQPPPPLSSPVKLGCFVIVQPHHPKAAARLPPPLRHYWNFLPGNPPPATAPAHKTRPHPSSSNSNYQFGTTIPRDHLPAFPHKVH >OGLUM02G14210.1 pep chromosome:ALNU02000000:2:12885822:12887124:-1 gene:OGLUM02G14210 transcript:OGLUM02G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAASAACRAAEKEESGGGCGRGVDDWKWVTARHGWPRAASWGGVADDRIWPARQRREECSEASLVRRGAAGGSGGRHGARRRSRRRQRRRCWWVRCGLQCTKAGRQGAPVQWSHMSAEVGRWWSIGASDVDSQVRSVTLSGGRSSASLLLFGVLALPMCGWWVVIL >OGLUM02G14220.1 pep chromosome:ALNU02000000:2:12888715:12893542:-1 gene:OGLUM02G14220 transcript:OGLUM02G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54520) TAIR;Acc:AT5G54520] MDLLSAAYGATSDDDDDGAEATPTPGWAATGYASAAGPPPKRPRWEPPPYLPPPPPYPIPQPARPRAAPPPASTASGRYVSKRERALMAASSSPVDSSSPLPPSAAAQFGSPVVGSISDSNLRADIFHSLQCQPKPGSTRRMPLKLSVSLTGHTKAVNCVDWSQSHAHLLASAGMDHTVHVFNVWNKGNTTARVFKFHTAAVKDVRWSLNGLSLLSGGFDCSLRLVDVEEGKEIKVFKEDQAVEVIKFNPSNSNLFLSGGSKGSLRLWDIRSGLVTKEYQRNLGTILDIEFSSDGKQFICSTDTSRSNVSENSIIIWDTLRQVPLSNQVYTEAYTCPCVRYHPFEASFVAQSNGNYIAIFSAKSPFKLNKYMRYEGHGVWGFPIKCNFSFSGKELASGSSDGCIYFYDYKSSRLLSKIQAFKEPCTDVAYHPVIPNNSNPGITVLRNDK >OGLUM02G14230.1 pep chromosome:ALNU02000000:2:12893557:12898378:-1 gene:OGLUM02G14230 transcript:OGLUM02G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPLDRAVKHPIATAGSPASRSSALPSPIRSSSISPTHPVASFHFASHRPRHPLSAPPLRPRPRTRLSFHLPAPKHTRGPLAVSSH >OGLUM02G14240.1 pep chromosome:ALNU02000000:2:12898686:12899279:1 gene:OGLUM02G14240 transcript:OGLUM02G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMPDHQRRLPQSHTLTAVVAARDDLGSGEDSDGGRRRLGTTSATTGGSGGVRWRRWLRPGATTARSLLWSAAIAAIARGDLGSVSGGATMECGGGSTARDDLGFDRSSGGMRQQRGHARRSEERGGGVFARAKITVDG >OGLUM02G14250.1 pep chromosome:ALNU02000000:2:12900145:12904889:1 gene:OGLUM02G14250 transcript:OGLUM02G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTITPADVGLVMKGGVTADILKDSLSAVTPPFMYLHVYNCDAFSIGIFCLPTSVTIPLHDHLGMTVLTKLLYGSMHVKSYDWVEPAVLASNSNSKPVRLGELHKDDVMNAPCPTAVLYPQSDGNIHCITSVSSCAFLDVVTPDPQYQFESTGHVCSYFHDYPFSSFSVGHAKVDDVPDNCVWLEKDEPANINGRNGMYAGPTVQEHLP >OGLUM02G14260.1 pep chromosome:ALNU02000000:2:12905653:12909053:-1 gene:OGLUM02G14260 transcript:OGLUM02G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66180) TAIR;Acc:AT5G66180] MADARSAPESLPPAFLEFLQENGLDPMMYSMVDTIPRYIRLKPGMEPHIPEIQSELKCHLNKVSWLPDFYAIPPQVQIASSMAYQQGKIYGIDAASGAAVLALDVQPGEHVLDLCAAPGAKLCMLADMLGGRGSLTGVDVAKHRLAACRTMLQKYSLGDRCRLFVADGTSFSILPVNSSLGNGEGSTCHKDNGSTLSEWTSKRSWKDRQKSKKARMAGSPHLTSTSEPELIYYGKHSGLVGLRKCDALRPSADDEAQTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDDLLHLQLCLLTNGFKLLKTGGSLVYSTCSLTVAQNENVVQQFLCKHSSAELQKIDSADSWPCRSGSIFKTLRFDPATSQTSGLFVAKFTKLQI >OGLUM02G14270.1 pep chromosome:ALNU02000000:2:12912317:12919283:1 gene:OGLUM02G14270 transcript:OGLUM02G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G53520) TAIR;Acc:AT1G53520] MSAVPVVSSALAGPAVAVAVARPASGSVTRLRAPAPHGVPAGSVPLASGLANCYRRSAAATRRLPIPSAAGGGTVGDAFVIEDTTNVKFPREIAVPGYTEPLVILGTGYREKFFLKIYAAAFYVDCSIGVDTMRWREKVGIETFDASSVFDSIFKAPVVKSLSIILVRDVDGKTFVKALDDIIARQIKKPSAEEEQSLSTFQKTFLGRSLKQGTTVYLTWLEPSKLLISIAGNQDPCQVDAEITSATVNYALYDGFLGSSPVSPTLRSSTALLLEAILTK >OGLUM02G14280.1 pep chromosome:ALNU02000000:2:12924696:12926328:1 gene:OGLUM02G14280 transcript:OGLUM02G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCISMLRKMTRRRQPEPTRGTPKPPLFVVRGDRRPPLRSKPEALPFQAQSFGQLQFAQKPAAGRADSSRSGHETKFRHEVDPGVLDRRRCAPKLVRLPCRSTCSTSAVEPVTAEERPLVPSATKAPPQEGSRTPMVAPPMTPMRPVWQRRILMGMRCELPRFSGLILYDEHGRPIRGTTPGRSYPQIYHRFRAQWKKRNAKAATTLRDLL >OGLUM02G14290.1 pep chromosome:ALNU02000000:2:12935741:12937913:1 gene:OGLUM02G14290 transcript:OGLUM02G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELTSASKEFFRQPLQMKREFSNLNDGEQFRAEGYGNDKVRSKDQILDWSDRIYLKVEPEDERNLALWPKHPSSFRDALHEFAVRCRRVKRDVLRAMARIAGLDDDDQHFVDQLGGRATVHARFNYYPPCPRPDLVMGIKPHSDGTVITVLLVARGADGLQVMSNGMFRSPVHRVVNSAEKERISLAMFYAVDPERVIEPAAGLVDEKRLTLYKKMKARDFLVGLSEHFSRGTRFVDTLKISP >OGLUM02G14300.1 pep chromosome:ALNU02000000:2:12962395:12967523:-1 gene:OGLUM02G14300 transcript:OGLUM02G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASAAALLPLPSPSCSSSEDSDDGKHLPSPPAPEANTPPTQQQKRRRLERDYNVAMKALALAGDLDEVVAVFAELKRTAADGGDGGAPPNVLCYNTLVNALAEAGREGEALKAFDEMLASGVAPNASSQNILIKMHARRSEFDLAWELIHKSGVEPDVGTYSTLIAGLCRAGKIVEAWGVLDWMLEKNCRPMVQTYTPIVQAYCRDGRIVEAKLLMAEMERLGCLPNVVTYNVLIRALCDDDKFDEVEQVLMESSTKDWKPSTVTYNIYMNGLCKKGKAKEALELLDVMLGEGLEPTAYTWSILLNCLCHSSRLLDAIYLLERSTELKWYAGVVAYNTVMSSLCEMGKWRGIMKLLTDMIKKGIEPNTRTFNILIRSLCVGGKSSLAKSLIHSQGFAANVVTYNILLHWFYYHGKLAEANRLISVMEEKNIAPDEVTYTIIIDGLCRERKFDAATACFLKSLTSGLSMDVLTVLLNRLVYADKIWEINRIFDGKDFVPDHHVFDLTIRTFCRAGYCHYRTFYKLNLILDAMLKRNSLCAHYTMEYQTVEDHALGNTQAHMSGSGVEMPIPLVKRNRMRRNRSRKRSRMKVARRTGANEGIQRWIGRSRGLTAASPPVHRHCHCCLLPTATAKAFYLSPSPPLLPFGASHSFAKVCTIEAKARNKPATHSPLPKPYSPTLPPCSLPQKHRFNVVHTQPTWTGATDVVFFAL >OGLUM02G14310.1 pep chromosome:ALNU02000000:2:13008531:13010823:-1 gene:OGLUM02G14310 transcript:OGLUM02G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELCLSFAEAHARFHRIVFEVNSIYTILRLHRPQRMRPSHRSPPPSFLLAASLLPTHRQASSSGFYLPLPPNNRHPSPCWSPATTHFSRDSASGILFACMHGYSWSQWCSQLCSGVATYSISQLLEILSPILTVWLHTTTIRTRCRCATNCHATICCTGTTTIARSGKGENKAAEPDLSKQVFAIFSFEFGHKFRL >OGLUM02G14320.1 pep chromosome:ALNU02000000:2:13011257:13016390:1 gene:OGLUM02G14320 transcript:OGLUM02G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G63060) TAIR;Acc:AT5G63060] MAAACSFRSVARAPPPLRGLARRGVVHCCSTAPPSGGTSTSSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETVSKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLVRFCDVETVRKEYFTKETVPPDFRN >OGLUM02G14320.2 pep chromosome:ALNU02000000:2:13011257:13016390:1 gene:OGLUM02G14320 transcript:OGLUM02G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT5G63060) TAIR;Acc:AT5G63060] MAAACSFRSVARAPPPLRGLARRGVVHCCSTAPPSGGTSTSSSKLVLEVKERLEREHPGLPTGRNGRDDEEMILWFLKDRKLSVDETVSKLTKAIKWRQDFQVSELSEESVKGLYQTGKAYVHDSFDIYGRPVLVVVASKHFPSKQDPVENEKLCAFLVEKALSRLPLGTENILGIFDLRGFQVENGDLQFLKFLIDVFYYYYPKRLGQVLFVDAPFVFQPMWQLVKPLLKQYASLESSKPSRLS >OGLUM02G14330.1 pep chromosome:ALNU02000000:2:13011483:13016652:-1 gene:OGLUM02G14330 transcript:OGLUM02G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILARTGGFLRPSRNDSTQDILERLANDFEMVLTVKIENIRGVAGTLEEEVEVPPLGGAVLQQCTTPLLARPRRGGGARATERKEQAAAIACGARIEQETGCERRSEGLGKNWRKKGGTGKTVGVWVERDAHRRVTMRWKQEDAGRHLSSQDGDRRKRQARRRNSRPRPDGMD >OGLUM02G14340.1 pep chromosome:ALNU02000000:2:13016885:13017848:1 gene:OGLUM02G14340 transcript:OGLUM02G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICMSSVAVWKEKVVYAGRRRGEVAGAEDVGGVGAGGGCGGPYGLLGGDADADVLEIEEEAAEKVVKCGAEAEAALTVAAGGTPARPIWQRKVLMGVKCQLPRFSGMILYDERGRPVCSGVRDRARDKEKHAAAIMVLRDML >OGLUM02G14350.1 pep chromosome:ALNU02000000:2:13042993:13048062:1 gene:OGLUM02G14350 transcript:OGLUM02G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLVAEAAVAAVLLFKTPLRKLAVMGIDRLKRGRRAPVAVKTVAGVVLALLASTLYSMAEISGRAGGDPESGGGGGGASLSPTDQVLFSRHLLEASLMGYSLFLALVIDRLHQYIRELRGLKKNVEAVTKHNKMLEEAKHGRSEETKKYQEEIGALNEDMKKLKLQVQEKTEEVHVAEDKALAIRKQSESLLLEYDRLLEDNQHLREQLQSIDHRLSSPK >OGLUM02G14360.1 pep chromosome:ALNU02000000:2:13053392:13056331:1 gene:OGLUM02G14360 transcript:OGLUM02G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQSDALREAISQIANDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKMGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNCAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRVF >OGLUM02G14370.1 pep chromosome:ALNU02000000:2:13053848:13064339:-1 gene:OGLUM02G14370 transcript:OGLUM02G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTELPTANYKYGTTNPASTEAIQDPRTQPLRHYQRDHLPMVFYGCCPQVMQHRKSFPTTPHPRPTPQSAVQPAKSGM >OGLUM02G14380.1 pep chromosome:ALNU02000000:2:13072453:13073367:-1 gene:OGLUM02G14380 transcript:OGLUM02G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSSGGLDGRRSRRGGSIHRWRVTAGLASDRLDQTPLLPHSLGFFSPNNQRTQGGRYGLHLHRKNRSSRAGEPPHENIAIFVDISGKMCHLSSLPSLSKLPLTLMVNLELELICAKLLKEEAVELENEEARGEHDSEARSQGRIRQRTSWSGSLAGG >OGLUM02G14390.1 pep chromosome:ALNU02000000:2:13080594:13082383:1 gene:OGLUM02G14390 transcript:OGLUM02G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGEWRRGPVIGRGATATVSIATDRRTGGVFAVKSVDVARAGALRREQGMLSALASPFVVPCVGSGVSAAVDGSGGACYDLFLEYAPGGSLADEIKRCGGRCEEPLIRSRVGDVLRGLAYVHAAGIAHCDVKGRNVLVGADGRAMLADFGCARWMAAEDCNAGGVTIRGTPMFLAPEAARGEAQGTAADIWALGCTVIEMATGGAPWPRFADPVAALHHVAHSVDVPETPAWFSAEGKDFLARCLIRDPAKRWTAEQLLEHPFVASAASDSTSKAVQIEQRVSPKSILDQCLWESTSTDSDATVALAPADRLRALSAGASVAPDWTWSMDDWITVCGGRADDHDTTPSPQPDTTTSFFRGDEVSSDLVFPGGGETERGAVAVAATVPLMAKSSEITGVERSIESRVASGSG >OGLUM02G14400.1 pep chromosome:ALNU02000000:2:13090363:13116444:1 gene:OGLUM02G14400 transcript:OGLUM02G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTAQLRSSSRTPSLLFYCCSSGSKGDTFDHLTTWIEEARRLGAGGAKLTICFIGNKCDLSDRRAVSYEEGEQFAKQNALLFIEASAKAAHNVNELLKKLTRVELH >OGLUM02G14410.1 pep chromosome:ALNU02000000:2:13117364:13125296:-1 gene:OGLUM02G14410 transcript:OGLUM02G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGDGGGGAGAGGGGGGGAKRVPMRRLFAFADRLDAALMAVGGVAAVANGVAMPFLAFLIGELVDAFGAADRAHVVHVVSKISLRFTYVAIGSGIAGFLQVSCWMVTGERQAARIRGLYLEAILRQDITFFDLETSTGEVGKFLQLLSTFLGGFIIAFARGWLLSLVMLSSIPPVALAAAAMSIAISKLANRSQLAYAEAGKLVEQTIGSIRTVVSFTGERRATDKYNEFLKISYRSAVHQGVAMGLGIGSVIYGLAVWYGAKLIIEKGYTGGYIINVLMAIMSGAMALGQSSPCLNAFASGQIAAYKMFATINREPEIDASDRSGLVLENFVGDVEFKDVHFSYPARPEQLIFTGFSISIPSGMTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNMKLLNLSRIRQKIGLVSQEPILFTTTIRENIEYGKKDASEEEIRRAIVLANAAKFIDKLPNGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESEHVVQDALNNIMVNRTTIIVAHRLSTVRNADTISVLHRGQLVEQGPHAELIKDSNGAYYQLLQLQEVNARRNGTYELDPNRLSDVANRLSDVANRLSDAANRLSDAGNFVSRHSIRKLSFERSMSRHSSLGGSRRNSQTYALTEDEIEGCDDTKSGKNVLRRLLHLHKPETSILLLGCIAASANGAILPVFGLLLSSAINAFYEPPHKLRKDSVFWAEIYVILGVVSIFIIPVQHTLFNMAGGKLIERIRALSFSRVVYQDIGWFDDPLNSSGAIGARLSADAASVKSIAGDVLSLIVQSISTALVGIVIAMIANWKLAFIVLCFVPCVFAQSYAQSRLMRGFGADAKEMYEQASTIASDAISNIRTVTSFCVGEKIIESYRNKCKGPVKKGVRQGAISGVGYGFSFALLFCFYAVSFYVGARFVHNGTADVGEVFKVFFALTMMAVGVSQSSSLARDFSKVQDAAASIFKIIDRKSKIDASSDDGMAPEKIEGNIEFQHVSFKYPARTDVQIFTNLCLRIPSGKTVALVGESGSGKSTVVALLERFYDPDFGAIFLDGMDLKTLKLTWLRQQIGLVGQEPVLFNGTIRANIAYGKQDQVSEEEIVAVAEAANAHRFISSLPHGYDTSVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDSESERIVQEALDRVMVGRTTVIVAHRLSTITGADKIAVIKNGVVAEEGRHGRLLRLPGGAYASLVALQSSSS >OGLUM02G14420.1 pep chromosome:ALNU02000000:2:13129689:13133084:1 gene:OGLUM02G14420 transcript:OGLUM02G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKELTLEFDRKGYLDKHESFFLEYQEPCSLKGNVGPTDQPRAPAHDTPARSTRETRRLPASERR >OGLUM02G14430.1 pep chromosome:ALNU02000000:2:13134110:13195241:-1 gene:OGLUM02G14430 transcript:OGLUM02G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLESSSRGELTGRRYFSAIPTANGASSADATKRRIVEEFLANPPKVVSSPGMDGIDAGSSASGDAASIWRRDLTAARWRGVDGVTRDGGASESMAAESRAMAGRRDSMAAVATRTSRDDDGGAARERREIVYGESATRGWGDVG >OGLUM02G14440.1 pep chromosome:ALNU02000000:2:13141504:13170275:1 gene:OGLUM02G14440 transcript:OGLUM02G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVPPRPFQIQKSLGDLEDRAVDKLDIYPEFILSAGLSSPYSPLFRPVQAATQASVSFPALLDTTCTHPSKPSPLALLPASIPSIPGDETTFGGFARNSSTILLLVASAEEAPFAVGIAEK >OGLUM02G14450.1 pep chromosome:ALNU02000000:2:13196341:13197951:1 gene:OGLUM02G14450 transcript:OGLUM02G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIFSTNAWLTIALVFIITLAAKVVRSSVTLPAEKTSKPRPPPEAKGAPLVGIIPAVLRRGLQAVIREQHRALGSVFTLRSLGLAVTFLVGPECSDHFFHAPEWEIAIDGLYEVTVPIFGKEVGYDIDLDTRNEQHRFFAKMLRPAKLRGHVLPMEYFGKWGECGVVDLMQEVDHVLMLIASRCLLGKEVRENMFDEVASLFHELMGGMHLISMFFPYLPTPGHRRRDKARAKLGEIFSQIVKTRKMSGRVEDDMLQDLIDSTYGDGRATTDTEVTGLLVALLFAGHHTSSTVAVWTALRLLTHPEHLRAVRAEQERLVAAAEQQRSHHGGGGGGGIDYGVLLQMDVLHRCIKEALRLHPVTPMILRRARRGFTVRDKEGGEYSVPAGRLLASPLVVNTLLPNIYKDPHVFDPDRFAAGRAEDKAVAGARDLAYLSFGAGKHACMGEGYAYQQIKVILSHLVSNFELKLESPFPETEDMLSMRPKGKVIVSYKRRTLS >OGLUM02G14460.1 pep chromosome:ALNU02000000:2:13203519:13207994:1 gene:OGLUM02G14460 transcript:OGLUM02G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLQGRAAPPPSPRSLPPHSCDAPTPPLPRPSAQTLIFLPHGSRFPLPPPAGASLHHSRIGAMPGRHRPTARKQVERRGRGQLLRQLLLTPPLTTISYPRESPVVSFADSTRSAFLTPKLPQPPTQRFGICKRSAPRLDATFMPIGSSRSGGVGVDAEVVAWYLGSGQCRGGHVVPRLD >OGLUM02G14460.2 pep chromosome:ALNU02000000:2:13203519:13207994:1 gene:OGLUM02G14460 transcript:OGLUM02G14460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLQGRAAPPPSPRSLPPHSCDAPTPPLPRPSAQTLIFLPHGSRFPLPPPAGASLHHSRIGAMPGRHRPTARKQVERRGRGQLLRQLLLTPPLTTISYPRFGICKRSAPRLDATFMPIGSSRSGGVGVDAEVVAWYLGSGQCRGGHVVPRLD >OGLUM02G14460.3 pep chromosome:ALNU02000000:2:13203519:13206263:1 gene:OGLUM02G14460 transcript:OGLUM02G14460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLLQGRAAPPPSPRSLPPHSCDAPTPPLPRPSAQTLIFLPHGSRFPLPPPAGASLHHSRIGAMPGRHRPTARKQVERRGRGQLLRQLLLTPPLTTISYPRFGICKRSAPRLDATFMPIGSSRSGGVGVDAEVVAWYLGSGQCRGGHVVPRLD >OGLUM02G14470.1 pep chromosome:ALNU02000000:2:13204123:13204380:-1 gene:OGLUM02G14470 transcript:OGLUM02G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMRLWWREAPAGGGRGNLLPCGRKIRVWAEGRGRGGVGASQEWGGRERGDGGGAALCWGVGAGPRWGGIARRRSGPFDMNMSR >OGLUM02G14480.1 pep chromosome:ALNU02000000:2:13224616:13226335:-1 gene:OGLUM02G14480 transcript:OGLUM02G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGGRLEEGGDNLDDGCPHQRWSGSRVATGQYSNIGTKDYTSKLSAIGNVMLHCEPSYYPLMGWRYRIEMIAKNIWG >OGLUM02G14490.1 pep chromosome:ALNU02000000:2:13226374:13226595:-1 gene:OGLUM02G14490 transcript:OGLUM02G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASWPPGGRRSGLGDGVSCAAGTHLSLAIFLRHHCPSSSDDELDGGGARASQGQSFLTPLAFAPHSRQMAS >OGLUM02G14500.1 pep chromosome:ALNU02000000:2:13226622:13227574:-1 gene:OGLUM02G14500 transcript:OGLUM02G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRPCHLPRSVTPASSLSLATALALRRFLVLVCPLVGDVGSGSEGSEEEHAAHLHRIWTPLSGDLSPQAAAFRSAPQQT >OGLUM02G14510.1 pep chromosome:ALNU02000000:2:13228271:13234913:1 gene:OGLUM02G14510 transcript:OGLUM02G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNSEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVHLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMASRVKPSSWQSAGSGSSRTQMPIMATRK >OGLUM02G14510.2 pep chromosome:ALNU02000000:2:13228271:13235214:1 gene:OGLUM02G14510 transcript:OGLUM02G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNSEKKQVGNYYSTKIWSFTMKSPCCKQEIVIQTDPKNTEYVIISGAQRKTEDYDVEDAETLLLPADEERDKLADPMYKLEHQEEDLKKKKEAEPVLVHLQRLSDSRHSDDYALNRALRDRLRSQKKRVAEEKRSARKMGLGVRLLPPSAEDATAAASVKFASKFEKSRRDKRAAIKAASIFPESSSSTSKNKLDLALKRRNIKAGAASALMASRVKPSSWQSAGSGSSRTQMPIMATRK >OGLUM02G14520.1 pep chromosome:ALNU02000000:2:13236633:13237028:1 gene:OGLUM02G14520 transcript:OGLUM02G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLGAAAAVLLLWLAVLTFAFHGYYGGRLGSARRRNILLQHPALALHLPTRKMLLAVASFDDASSPSSLTTTDRHHHHHRHHGHHHHRGHDRWNRKGVPPTAAGPGEEVDPRFGVQKRLVPTGPNPLHH >OGLUM02G14530.1 pep chromosome:ALNU02000000:2:13259927:13260797:-1 gene:OGLUM02G14530 transcript:OGLUM02G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAANRKVVVIHVLYHLCKAFKKIHVRLVRELEKKFSGKDVVFDATRRIVRPLNKGSAVHHPRTRTLIAVHDGILEDVVS >OGLUM02G14540.1 pep chromosome:ALNU02000000:2:13272554:13275352:1 gene:OGLUM02G14540 transcript:OGLUM02G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGKAESARGKVAPAGSGGRARMLVTVTVLGSAGPLRFLIDEGETVAGLIRAALRCYAREGRMPLLGADAAGFLLYTANGGSDALSADEKIYFNGCRSFLLWQKAARDTMAKGGRPELANVATCNPCKKRGGGGWKGGLNKFLLSFSFKV >OGLUM02G14550.1 pep chromosome:ALNU02000000:2:13276831:13277914:1 gene:OGLUM02G14550 transcript:OGLUM02G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSNKGKEGCNTLAHVVVKEGAYSPFRAGDVARRSTTFMAPTIISSSSFRRPDTHSLLFGSFLLGFFLTVVDAHHAEPPHLSERGGGHGDDEQHQPDIGALYWHQAMQAAEEAARDGDNSAVLCTPSAPILRGAACTPSTPTPAPPKLAEAMVGWTTTVKIEHGKR >OGLUM02G14560.1 pep chromosome:ALNU02000000:2:13285156:13289912:1 gene:OGLUM02G14560 transcript:OGLUM02G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle triple-A ATPase 3 [Source:Projected from Arabidopsis thaliana (AT5G58290) TAIR;Acc:AT5G58290] MSTAATAPPPAAVLPAAPPPSYPATSAPSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >OGLUM02G14560.2 pep chromosome:ALNU02000000:2:13285156:13290311:1 gene:OGLUM02G14560 transcript:OGLUM02G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle triple-A ATPase 3 [Source:Projected from Arabidopsis thaliana (AT5G58290) TAIR;Acc:AT5G58290] MSTAATAPPPAAVLPAAPPPSYPATSAPSASAAAAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYTDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >OGLUM02G14570.1 pep chromosome:ALNU02000000:2:13292240:13295159:-1 gene:OGLUM02G14570 transcript:OGLUM02G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQPWWRFLALLLPLLVAVVMRVARFLNMSRRRDGSSSWSYVMGNKGGGQHQVGRGDEGNSALLSIFT >OGLUM02G14580.1 pep chromosome:ALNU02000000:2:13295706:13299562:-1 gene:OGLUM02G14580 transcript:OGLUM02G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAVASAKTNGAGELRKCRSRRREMIGAEVAGDGDDDEGSGERKDQRHDGVEAGLQIWSFWVGWRERSRSRSFRAIPAEEVGMVWRYSCFTLMAEQRLWRMGVHAAVGVGARMLFYPTVVYNVVKSCFEPHFYWWDQVDMHVLLSAHPCPSNIMWLKKLGLYDVVTLSESYERLVCQAHGIENLVLPTRGYLHAPSFENLCQTVVSFTNLLKMGLIESKFFKFLGFMWNPLS >OGLUM02G14580.2 pep chromosome:ALNU02000000:2:13295302:13295702:-1 gene:OGLUM02G14580 transcript:OGLUM02G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTIMAIALANGGGKPPNWQDFVGIITLLIINSTISFIENNVVNAAAALMARVAPRAKRTQDRALLE >OGLUM02G14590.1 pep chromosome:ALNU02000000:2:13306381:13312299:1 gene:OGLUM02G14590 transcript:OGLUM02G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCNGLFLWLKRRFGAGISARPTVVYSSDLKRAAETAEIIERACDVSNLVLTEALRERHMGYLQGVFKGFANFEVKNGLDFDGRNQELPIQKKIQNKIHKVFNLI >OGLUM02G14590.2 pep chromosome:ALNU02000000:2:13306381:13312299:1 gene:OGLUM02G14590 transcript:OGLUM02G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCNGLFLWLKRRFGAGISARPTVVYSSDLKRAAETAEIIERACDVSNLVLTEALRERHMGYLQGVFKGFANFEVKNGLDFDGRNQELPFNLI >OGLUM02G14600.1 pep chromosome:ALNU02000000:2:13317111:13317647:1 gene:OGLUM02G14600 transcript:OGLUM02G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGADKDASCGERGRRRHDGGGCTGQRRRRQTDVTNAMDEADASEGGGQAGHGLRDGCGRSRGGRRQRARMMRRMVRRPQRTRRWQMQSTAMGAVNGDSDNSGDGRGRWQQQRWRWRLRRTRTRTAADADEGGCGGREKGRRRLTRTMTTVTVTMHVVDDDGSHGGRGHQRRQSGGA >OGLUM02G14610.1 pep chromosome:ALNU02000000:2:13319224:13321378:1 gene:OGLUM02G14610 transcript:OGLUM02G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDHADRDGARRRCREYLLALEEERRKIQVFQRELPLCFDLVTQTIEGMRSQMDAAGSEETVSDQGPPPVLEEFIPLKPSLSLSSSEEESTHADAAKSGKKEEAETSERHSSPPPPPPEAKKVTPDWLQSVQLWSQEEPQQPSSPSPTPTKDLPCKPVALNARKAGGAFQPFEKEKRAELPASSTTAAASSTVVGDSGDKPTDDDTEKHMETDKDNDKDAKDKDKEGQSQPHRKPRRCWAPELHRRFLQALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSSTGQSSAAAGVPAPPAPQFVVVGSIWVPPPEYAAAAAAQQHVQLAAAGNNASGSANPVYAPVAMLPAGLQPHSHRKQHQQQQQGQRHSGSEGRRSGDAGDGSSSSPAVSSSSQTTSA >OGLUM02G14620.1 pep chromosome:ALNU02000000:2:13342091:13343282:-1 gene:OGLUM02G14620 transcript:OGLUM02G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVSVDGGGRRRLRRRHCFSPRCRTLGSTLTSKEFDSPVSIASYDVEAFMRVNLLLLNEQMWKAGSK >OGLUM02G14630.1 pep chromosome:ALNU02000000:2:13346281:13365176:-1 gene:OGLUM02G14630 transcript:OGLUM02G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLLRLHPCPLLFPPPPPHPHLRRQLAVYSIPKSSFRSAAAAARARNPPRLAAVGGAERRRVGDDYDEEEEDLGQALERTRQLVECAMFASVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTALMHGVVGLAMGTMWRLETNWIVSIILCSITRALGACGFYSTVDSLSSYYI >OGLUM02G14640.1 pep chromosome:ALNU02000000:2:13360440:13375149:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGLMAKNLVAAS >OGLUM02G14640.2 pep chromosome:ALNU02000000:2:13360440:13375364:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGSSSLRRHPVPIDRRPRRLHTIPASPSASPPSALGPRTSPRSAAPYRRPRRISLIFWFCSLPPPCKSLSIRRHSVFIVKSPSPRTSVVGASIIVRMIATSSRLRKSLSIRRHSVFVAKSNCVAAVTIFTFTFTDLSSQNM >OGLUM02G14640.3 pep chromosome:ALNU02000000:2:13361788:13364102:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTTPCMSCRKHKCKGRENVFSQRQSHLLGRSGDLVLNQRQSHLPGRSGGCPGSTAAVSSIDSH >OGLUM02G14640.4 pep chromosome:ALNU02000000:2:13360440:13375364:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLLLSPPTAAAAGPAPAPAPAPVAVSLPPPSRLRASHLLFAFPRLRKYGRRDREPVATSLGELEEEDEDDEEEEEEEEDDEEVEVEVEVDEDEFLKNRPKPVGFGAGKTYSTDIEEQLLREMGLGGRRRSSGSGPTPAKNRAAANSAKGTGEDLNDGGVCVRVWNLPKKKNIHKDLNLAFKGFPGLVNIEPAVSANKKTRDPICKGFAYLKLESVEAATRFVELYSQKAVSFGKVQKPIRCCVVDSQSSVDSQNQPSSGQAIRQPGLMAKNLNM >OGLUM02G14640.5 pep chromosome:ALNU02000000:2:13360570:13365447:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWAARAPHLGSTPLRASDRARQGLHASVHTAVRSIHTASAGLRVSPSAPHHPLLIHAPPPPPLHALAPLLLLPPRVGVAAPPSERQSWPSLPSSLRAAAASATSPPCVEPPIPPSAPAPPLLLPPRAGAAPPSLRAATAGALLLRAPVPPPICAPVLPLPLSAWQQPPPLLLHALAPTVVPLFAQWQKAADLDGEGVAEEVREASDGCEHRALDKLPRSLESLSQVLFLFIIIVTHAPSLGSTDGGQTRRVSSSSRGGGGSEGGFRDRIDGQLAAEMWVRWRRRKEERAGMKAKKHSRHGYPKLRPDRVACEPWFPSCYHRGGGDGVDTSQRRG >OGLUM02G14640.6 pep chromosome:ALNU02000000:2:13364446:13365447:1 gene:OGLUM02G14640 transcript:OGLUM02G14640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQNREWQKAADLDGEGVAEEVREASDGCEHRALDKLPRSLESLSQVLFLFIIIVTHAPSLGSTDGGQTRRVSSSSRGGGGSEGGFRDRIDGQLAAEMWVRWRRRKEERAGMKAKKHSRHGYPKLRPDRVACEPWFPSCYHRGGGDGVDTSQRRG >OGLUM02G14650.1 pep chromosome:ALNU02000000:2:13365233:13371465:-1 gene:OGLUM02G14650 transcript:OGLUM02G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVVFCERIGAGGGDRRCFRREMDGRKPSDLRAESKHTTTVKKHEEFAHQVINRWLNIYKAWKSLESKIQHRVLCLLFPTPPAAPPLPPSPRALLPHPRRCEVSTPSPPPLW >OGLUM02G14660.1 pep chromosome:ALNU02000000:2:13371584:13371937:-1 gene:OGLUM02G14660 transcript:OGLUM02G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDIILTMMLAPTTDVRGEGDLTMKTEWRRIDSDLQGGGSEQNQNMREMRRGRRYGAAERGDVRGPSAEGGDADGDAGMVWRRRGRRSMGTGWRRSDDDLRGKRAVSDGDLRGGGG >OGLUM02G14670.1 pep chromosome:ALNU02000000:2:13378227:13383714:1 gene:OGLUM02G14670 transcript:OGLUM02G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRAASPSSGAGAGAGAGSDPTPRVAMACVLASEVATVLAIMRRNVRWAGVRYGGDDGADDEHLDHPLIAGLKSLRRRAASWDTRQWRDVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPDAPNVAEAMGAVVEAVTGCRFEVTDPASEETVLARVLQVLLACVRGRAAPALANRHVCNIVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRCVFARLPDVDATVVADGQTAGSKNQGLSDGEIGNGKSDFVCLNSSGDEVGGGFGVVQDQAMSELFGVPCMVEILQFLCSLLNIAEDIEVNPRINPIDFDEDVPLFALGLISSAIELSASSINKHPKLLAFVQDELFRNLMQFGLSMSPLILSTVCSIVFTLFYHLRQELKLQLEAFFSCVIIRLGQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQSSNIFEDLANLLSKSAFPVKSPLSTLNVLALDGLVSVIQAIAERTDNAPQHHEQTVPEISEYFPFWQLKCENTNDPDQWVRFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGAHLLPERLDPRSVALFFRYTPGLDKNLLGDYLGNHDEFSILVLHEFAKTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDAALVLSYSVIMLNTDQHNIRVKKKMTEEDFIKNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWKSKRTSAYIACDSFPFLDHDMFTIMAGPTVAAISVVFDNVEHEEFLTGCINGFLSVAKLAAFYHLDDVLNDLVVALCKFTTLLNTSYINDPVTTFGEDTKARMATEAVFTIATTHGDHIRSGWRNIVDCILRLHKISLLPGCLTGDTADDQESSSDMLPSKLASSRAAPQVVPISTPKKSYGLMGRFSQLLYLDAEESRFQPTEEQLAAQRNASETIKKCQIGTIFTESKFLQADSLLNLARALTQAAGRPQRITSSLDDESTSVFCLELLITVTLNNRDRIVLLWQGVFEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITQEVTRLVKGNATHIKSQMGWRTIISLLCITARHPDASDVGFEALVFIMSEGAHLSPANFVLSVEASRQFAESRLGSAERSIHALNLMAESVNCLTRWSREVKEAGGEADRILEGIAEMWLRLVQALRKVCTDQREEVRNHALLSLHRCLVVDGISVPSSAWLMSFDIIFQLLDELLEIAQNYSPKDFRNMEVSLLHAVKLLCKVFLQSLNDLSSQSSFSKLWLEVLDMIEKLMKVKVRGRRTEKLQEAIPELLKNILLVLKANRVLSKTSTSEENSLWEATWLQVNKIAPSLQPEVFPDSEGDVATQSAKNKSDSPAQSEGVNV >OGLUM02G14680.1 pep chromosome:ALNU02000000:2:13390169:13397902:1 gene:OGLUM02G14680 transcript:OGLUM02G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTTVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPVRNSDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVCKRLLALHPLVLVPSHGFWSSMAEALSLSKMSSTGRAAGSSCSGLCRLSSSSLVIHPQER >OGLUM02G14680.2 pep chromosome:ALNU02000000:2:13390321:13397902:1 gene:OGLUM02G14680 transcript:OGLUM02G14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPLASSSFRCLLLLLARRAYDCCTKQLAPSAVKLQAGTYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTTVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPVRNSDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVCKRLLALHPLVLVPSHGFWSSMAEALSLSKMSSTGRAAGSSCSGLCRLSSSSLVIHPQER >OGLUM02G14680.3 pep chromosome:ALNU02000000:2:13390169:13397902:1 gene:OGLUM02G14680 transcript:OGLUM02G14680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCCALGILTLQTLEHFRYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTTVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPVRNSDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVCKRLLALHPLVLVPSHGFWSSMAEALSLSKMSSTGRAAGSSCSGLCRLSSSSLVIHPQER >OGLUM02G14680.4 pep chromosome:ALNU02000000:2:13390169:13397902:1 gene:OGLUM02G14680 transcript:OGLUM02G14680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCCALGILTLQTLEHFRYLMAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTTVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTFAYTRQASCAMRKSPHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTSELTVCGTGPVFALIGAWLVYQSQNKDAIDKNVSETMFSQAVVATTLSFLLSSFGRIDNWTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVCKRLLALHPLVLVPSHGFWSSMAEALSLSKMSSTGRAAGSSCSGLCRLSSSSLVIHPQER >OGLUM02G14680.5 pep chromosome:ALNU02000000:2:13390169:13397902:1 gene:OGLUM02G14680 transcript:OGLUM02G14680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVIKWKMMSCEQSGRRSAEIARECLSLTPNRYKCHSYQHIGYLGDSAEGFICRPLKSKLRSKVGLHVAAKVHNKDDEGSCSSRISDEDNETLSNASRKMEVNHLGALRCYFSKLNTEDAQKPYSFHQTNKQRTGPLSTNIEEANMATDYGDFRNTLESFEINFNRRKKGTKGYLNTTVEDYTNYLIFDEKNFLDMQQDDQTSSFCLTNLLAAINIAVLLFEIASPVRNSDIENLSLPLMYGAKINDLILSGEWWRLLTPMCLHSGFLHIALGCWVLLIFGPRVSRAYGQTTFLLMYILGGVCGNLTSYLHTTHLGATICGLLFGYLTCPSVQVDNAAKKGQKAVCKRLLALHPLVLVPSHGFWSSMAEALSLSKMSSTGRAAGSSCSGLCRLSSSSLVIHPQER >OGLUM02G14690.1 pep chromosome:ALNU02000000:2:13397781:13398062:-1 gene:OGLUM02G14690 transcript:OGLUM02G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVIPRPQADAEGRYEANPNGASIPLSKDPPPMTKEVNVLVDVSLEKKTIAGTTRYKKNLLLFQLMTSSTNSELQP >OGLUM02G14700.1 pep chromosome:ALNU02000000:2:13411886:13413883:-1 gene:OGLUM02G14700 transcript:OGLUM02G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTGVISPTLGFNIKTIKYHKYSLNIWDIGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPSEIAKVFSAT >OGLUM02G14710.1 pep chromosome:ALNU02000000:2:13413884:13419150:-1 gene:OGLUM02G14710 transcript:OGLUM02G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAHHVQRRHHSPHRGASERGGMRSERGLEQVHRCCGLNPSCYRHRFDAASSTASSSAASAAVPSDLTDLCQHCRFQCALQGTFVVIAGHGGAFIVARRTHSARSVIFCGWCYTSFCFNPVDIYTGDSRGRGTGFKYLEGQSKAPRERSGDDRRLPSSAGSGEPAPPSSKESSPPPSGNRFPPPPCRRPGITGAERHQRAGAAPAGRPPPLCLALLPLPSPAHRPRLTPRRRLAARPCPPPTAGPPPPRSSLQTRSPTSPSPAPPSPTPPHAVAVPPLPASSAHLSIASLRHCLLPIARGAAAAAAAKSPVSAS >OGLUM02G14720.1 pep chromosome:ALNU02000000:2:13420739:13423533:1 gene:OGLUM02G14720 transcript:OGLUM02G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLVGLVKVRVVRGVNLAVRDLRSSDPYVIVRMGKQKLKTRVIKKTTNPEWNDELTLSIEDPAVPVRLEVYDKDTFIDDAMGNAELDIRPLVEVVKMKIEGVADNTVVKKVVPNRQNCLAEESTIYISEGKVKQDVVLRLRDVECGEIELQLQWVDIPGSKGV >OGLUM02G14730.1 pep chromosome:ALNU02000000:2:13437325:13448187:1 gene:OGLUM02G14730 transcript:OGLUM02G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKNVQQQQQHRVDVVVPVPAMVVAAMASPCPANKLLRGAIQLAFGFALGIAVAIYLIGSATPAAVPGGSSLELFFPLPPPAAASTANLSAVRQKQPPTPSPEAEKTTTAIKSQSWPADDASGGNSTADQAGGGFVDISDEELMKLAAAAPREVRTGGGGGPRPKVAFLFLTRWDLPMAPLWEKFFEGHRGLYSVYVHTDPAFNGSDPGEASVFYRRTIPSKEVKWGEISMVEAERRLLAHALLDQANARFILLSESHVPLFDFPTVYSYLINSTTKVYLESYDLPGVTGRGRYKRSMSPVVTAAQWRKGSQWFEVDRGLAADVITDDVYFPVFARHCSRNCYADEHYLPTFLGIRHPSRVTNRSVTWVDWSHGGPHPARFTRMEVTPDFLRWLRAGAGTTCDYNGATTTVCFLFARKFLPNSLTRFLRFAPKVMGFG >OGLUM02G14740.1 pep chromosome:ALNU02000000:2:13469965:13473799:-1 gene:OGLUM02G14740 transcript:OGLUM02G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAIKQGIWRRRIGAPFAAVLVAAVLAVVVFSGQFAKGPNDLERTVSSKLEGEDTEQIRLEDGQSPNKEAAIEEQKPSQAAAIDQDDNTLNPGLKQASGDERSAGGSDSLGKESPPQSQEGDGGTAESGAEPYIKCTAQSDIKICDLSNPRFDICELCGDARTIGQSSTVVYVPQNRASNGEEWIIRAQSRKHLPWIKKVTIKSVNSSEPEPICTSKHHIPAIVFALGGLTANVWHDFSDVLVPLFLTARQFNGDVQLIITNNQPWFIKKYSAIFSRLTRHEIIDFDSDGQIRCYPHVIVGLRSHRDLGIDPSSSPQNYTMVDFRLFVREAYGLPAAEVDIPYKADKDDPDKKPRIMLIDRGKSRRFVNVAHVVQGLDWFGFEVVKADPKIDSNLDEFVRLVDSCDAIMGVHGAGLTNMVFLRSGGVVVHIVPYGIKFMADGFYGAPARDMGLRHVEYSISPEESTLLEKYGWNHTVINDPETIRKGGWEKVAEFYMSKQDIVLNMTRFGPSLLNAIEFIM >OGLUM02G14750.1 pep chromosome:ALNU02000000:2:13473854:13474555:-1 gene:OGLUM02G14750 transcript:OGLUM02G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCPHGQGNGKGGSCSSEKPANVSRAEGGGATEYNDDGLVRLSIDAKLDGNDDTNLTAEICERAVRMAYRKNRAAEKGIRMVSGLALQFPKTRRLLLVLSASSSSSSSLLHGAAPAQHHTTCLAPSSNRSR >OGLUM02G14760.1 pep chromosome:ALNU02000000:2:13529323:13529621:-1 gene:OGLUM02G14760 transcript:OGLUM02G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVWSTGPDVRSLVVKPGRTKPKVEVLLLAPHALMRTASFTIPLTSTARCTVSSRALCDPSRSAATHGCRSNTSALLNLHQPPIPLADMLSVDTN >OGLUM02G14770.1 pep chromosome:ALNU02000000:2:13532870:13544690:1 gene:OGLUM02G14770 transcript:OGLUM02G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAVLCGQKQMSEEITSALVADGVSPDKILTNY >OGLUM02G14770.2 pep chromosome:ALNU02000000:2:13532870:13544053:1 gene:OGLUM02G14770 transcript:OGLUM02G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANTVTTLPLLPSPHAFAVPAMGSLPFLRRRMRSRRLAAVQQDAAVWTPAPVSSFGPATADGSLVHFSVDLSDATDLAASYTTPGQYLLIRVPGEDELKPAFMAIASPPGGAAFEFLVKTVPGTTAEKLCGLRDGDVLELGAIMGNGFPISRINPPDEAQTVLLFATGTGISPVRSLIEFGFAADQRADVRLYYGARNLQTMAYQDRFTNWESTGLKIIPVLSRADDSWKGERGYVQDAFLKAQNIANHFSTGAVLCGQKQICGRLKPPWCFNTMASTAMSISLSMSLFGSTHNTGQPALGYQYMGASGAKLQISLRFAPCTVRIFDCTDEFIGLCCLVLLDGSLVRVISLSSIVN >OGLUM02G14790.1 pep chromosome:ALNU02000000:2:13548279:13548639:-1 gene:OGLUM02G14790 transcript:OGLUM02G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLLKSLNERKCWKIERRIKIRELRSERLFQLIRESDIACISELRMDRRTFRILCDMLRDVGGISSFILIYTISPFKE >OGLUM02G14800.1 pep chromosome:ALNU02000000:2:13553451:13561634:1 gene:OGLUM02G14800 transcript:OGLUM02G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRFFTVRLTTANQRYVRRPAAIAQGYQLEDGQRLKLVTTHNLMVYIELKRASGKIYITTGWPQFIEATGLQLKEYVVFKVLSRSKMHATPNALSMKCASAPGRDINLSTELKDYIKDIAQFLHPSNEFYVTTINPTFMKQDRVHFSKQFSMTYIALIVRKKTSQIEVRIPGHPSTTMVLHHSTEKRFNLKSGWTHFPTSNGIQVGTICIFHFHQTNQLQATIDVL >OGLUM02G14800.2 pep chromosome:ALNU02000000:2:13553451:13561634:1 gene:OGLUM02G14800 transcript:OGLUM02G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRFFTVRLTTANQRYVRRPAAIAQGYQLEDGQRLKLVTTHNLMVYIELKRASGKIYITTGWPQFIEATGLQLKEYVVFKVLSRSKMHATPNALSMKCASAPGRDINLSTELKDYIKDIAQFLHPSNEFYVTTINPTFMKQDRVHFSKQFSMTYIALIVRKKTSQIEVRIPGHPSTTMVLHHSTEKRFNLKSGWTHFPTSNGIQVGTICIFHFHQTNQLQATIDVL >OGLUM02G14800.3 pep chromosome:ALNU02000000:2:13553451:13561634:1 gene:OGLUM02G14800 transcript:OGLUM02G14800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRFFTVRLTTANQRYVRRPAAIAQGYQLEDGQRLKLVTTHNLMVYIELKRASGKIYITTGWPQFIEATGLQLKEYVVFKVLSRSKMHATPNALSMKCASAPGRDINLSTELKDYIKDIAQFLHPSNEFYVTTINPTFMKQDRVHFSKQFSMTYIALIVRKKTSQIEVRIPGHPSTTMVLHHSTEKRFNLKSGWTHFPTSNGIQVGTICIFHFHQTNQLQATIDVL >OGLUM02G14810.1 pep chromosome:ALNU02000000:2:13563550:13609346:1 gene:OGLUM02G14810 transcript:OGLUM02G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERRRQKRLEKGRHWRSQETRTQSPLRLAMPSSAMAVDTTAPEYWLNWRFMLCAVWVYSCMVLACFLIWKYEGPSSQDGNGDGGGDSEDARLPWSASGQLRALAAEVGYCITPEYHVVLNFEDEGVLEPLWSAKVMIISNSMMLESCWFTGEGDSRESVFQEAAFHAIGALCQSHSDQLKTTPFHYHPARLGFAEDADFRDARNEEDTTIVHMSKMMAAMDKYHMVYYRMTERMFEAVLQKNRDKENELRAIRKELKDLKSGMPGTVVKTLPPIIRNDT >OGLUM02G14810.2 pep chromosome:ALNU02000000:2:13563909:13609346:1 gene:OGLUM02G14810 transcript:OGLUM02G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIISNSMMLESCWFTGEGDSRESVFQEAAFHAIGALCQSHSDQLKTTPFHYHPARLGFAEDADFRDARNEEDTTIVHMSKMMAAMDKYHMVYYRMTERMFEAVLQKNRDKENELRAIRKELKDLKSGMPGTVVKTLPPIIRNDT >OGLUM02G14820.1 pep chromosome:ALNU02000000:2:13564344:13564734:-1 gene:OGLUM02G14820 transcript:OGLUM02G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNLQGTRPRMQTREAAHPHCPRRHRHCHPASSAPRTSRSRSMPGPCRNPDRAEHEPPVQPILRSSGVDGHG >OGLUM02G14830.1 pep chromosome:ALNU02000000:2:13618040:13631958:1 gene:OGLUM02G14830 transcript:OGLUM02G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQTLIRCGRSSTPHNPESLGRSCKESSASWSSRELCHGATPGYLHSPPAVGRDKVFVELLWQLSVHALREVHRRTFASDVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNKRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAPLSETDTALMEH >OGLUM02G14830.2 pep chromosome:ALNU02000000:2:13618040:13631958:1 gene:OGLUM02G14830 transcript:OGLUM02G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQTLIRCGRSSTPHNPESLGSELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFASDVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNKRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLAPLSETDTALMEH >OGLUM02G14830.3 pep chromosome:ALNU02000000:2:13618040:13627555:1 gene:OGLUM02G14830 transcript:OGLUM02G14830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 6 [Source:Projected from Arabidopsis thaliana (AT5G40740) UniProtKB/Swiss-Prot;Acc:Q94BP7] MATDREKEREAELESAMYTNCLLLGLDLAVLGSPSSPAAGPRVGLFRHSNPRLGEQLLYFLLSSLRGPAQTLIRCGRSSTPHNPESLGSELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFASDVASSPLPAALTDVSYLHAAALLPARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKSKLEGELWDERISSSSGQNSHLVSKATRLWETILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDLSSSVPHSELLSARASEASPFLDKQEQMSALFQGKEEALSRLDDRNGRAQQQTVDVAEILRRWTHALQRIHKQSLHLAKANDGDGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIADLSEEVNSVSSNPMDKIISRLSPSVQNSGFGRAEESSSELSEMTSKLSSTHLDKAGSSPALKLPPLFSLTPSSSGKGTQAQKRNALGRQPSQEILSEEKTLPLPSTKDETNGSIHENEGYFAHNIRRSVREAALSKPLRNPERPHDQSSDDGSEHFFIPLSTGASKMEIDAVDNNKRMQKLGFSSPQMKFSDLHFNVDSPMHATPVLSSKLNGHDDPNTVTSMLDPVSGLGHQSFITDDALDQVFSPPLLLETSLFQDAYEDLLGKSSQTHCHA >OGLUM02G14840.1 pep chromosome:ALNU02000000:2:13626524:13631283:-1 gene:OGLUM02G14840 transcript:OGLUM02G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSISGISSTTNIDSGSDKYSCIVVRSTSVYHDSPLQLGPSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHDIFEVLLVKIHPSQAGGNWQATQPARNSPKMSQQQSEPNHKNLQAKNLESD >OGLUM02G14840.2 pep chromosome:ALNU02000000:2:13626524:13631283:-1 gene:OGLUM02G14840 transcript:OGLUM02G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSISGISSTTNIDSGSDKYSCIVVRSTSVYHDSPLQLGPSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHENARNLKHPSQAGGNWQATQPARNSPKMSQQQSEPNHKNLQAKNLESD >OGLUM02G14840.3 pep chromosome:ALNU02000000:2:13626524:13631283:-1 gene:OGLUM02G14840 transcript:OGLUM02G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRSHELLWTHGRSCIHLIGDHENARNLKHPSQAGGNWQATQPARNSPKMSQQQSEPNHKNLQAKNLESD >OGLUM02G14840.4 pep chromosome:ALNU02000000:2:13626524:13631283:-1 gene:OGLUM02G14840 transcript:OGLUM02G14840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSISGISSTTNIDSGSDKYSCIVVRSTSARPGNAGSFTSPLLAVTNHMNFCGPTAGVVYTSLVIIHPSQAGGNWQATQPARNSPKMSQQQSEPNHKNLQAKNLESD >OGLUM02G14840.5 pep chromosome:ALNU02000000:2:13626524:13631283:-1 gene:OGLUM02G14840 transcript:OGLUM02G14840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPIDDHMNFCGPTAGVVYTSLVIIHPSQAGGNWQATQPARNSPKMSQQQSEPNHKNLQAKNLESD >OGLUM02G14850.1 pep chromosome:ALNU02000000:2:13635584:13638271:1 gene:OGLUM02G14850 transcript:OGLUM02G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTAYSRPSKLPGGGNGSDRRLPPRLMRGLTTKIEPKKLGVGLLAGCCLALLTYVSLAKLFAIYSPVFASTANTSTLMQNSPPSSPETGPIPPQETAAGAGNNDSTADPVDLPEDKSLVEAQPQEPGFPSAESQEPGLPAVLSRKEDDAERAAAAAASEIKQSEMKNGVAAGGDTKIKCDENGVDEGFPYARPSVCELYGDVRVSPKQKTIYVVNPSGAGGFDENGEKRLRPYARKDDFLLPGVVEVTIKSVPSEAAASKCTKQHAVPAVVFSVAGYTDNFFHDMTDAMIPLFLTTAHLKGEVQILITNYKPWWVQKYTPLLRKLSNYDVINFDEDAGVHCFPQGYLGLYRDRDLIISPHPTRNPRNYTMVDYNRFLRDALELRRDRPSVLGEEPGMRPRMLIISRAGTRKLLNLEEVAAAATELGFNVTVAEAGADVPAFAALVNSADVLLAVHGAGLTNQIFLPAEAVVVQIVPWGNMDWMATNFYGQPARDMQLRYVEYYVGEEETSLKHNYSRDHMVFKDPKALHAQGWQTLAATIMKQDVEVNLTRFRPILLQALDRLQQ >OGLUM02G14860.1 pep chromosome:ALNU02000000:2:13638104:13675301:-1 gene:OGLUM02G14860 transcript:OGLUM02G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTVQVSFAAKKQQRQQMPRNSGRDTPPETHAMSGSGAAEDALSGMVVLLRRGDAPLDLRPLSATIPSPFHCGYRWLGSWDTQKRRGSPPVSIDGEGHLRQQTPLGEPAPNVAGCIVADMVATVHSGCRVVHPRAAATANLRRRRGEFFSCIPAPIAATTKSMLMLQATPTGPSSNVTQLSFDKAKLGFHYLPFLFLRKECIVLNGLAK >OGLUM02G14870.1 pep chromosome:ALNU02000000:2:13675468:13676429:-1 gene:OGLUM02G14870 transcript:OGLUM02G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGAQRAWWRVGRHPAAGGRKATAGGGRHRPHAPLGAAPAPRAVVLQLYACHGHHGHGGARGGVGSVVVHLLAWSSSSSMRALTDTVSTTSSAFSSSATSPSRARSRRCSRLRFSVHIMKKNTKHRVKLCISCVRLSYEEPPFSMALRAFSPYSFAFLLLSPPSISCITTHATSPRVTAMASSPNNSTGVITPTPSTWTANRSFRNWLEKCGHVTTSTPWAMDSSIEFQLQWVTKPSRARLRSPSRARSRLHSLE >OGLUM02G14880.1 pep chromosome:ALNU02000000:2:13675559:13675891:1 gene:OGLUM02G14880 transcript:OGLUM02G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESIAHGVLVVTWPHFSNQFLNERFAVHVLGVGVMTPVLLFGDEAMAVTRGDVAWVVIQLMDGGERRRKAKEYGEKARRAMEKGGSSYESLTQLIHSFTLQGAKNAVEQ >OGLUM02G14890.1 pep chromosome:ALNU02000000:2:13685771:13689082:1 gene:OGLUM02G14890 transcript:OGLUM02G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVVISKPDALLFNLNGRLSVDHAPRSLLIRQRIHADSRRSADTFPAAEDPKVVDEDEGAEDATAKGTSEEEKRLLSSEPEQGKNEEAATASEVLGGGGEEDNKNGEEEGHTQHSKVTLPTVSNYTIRDAEDTDNGKQEDGKPNEKYEFEMDADKGDNVEPETDNEEWNKKPLCDFSNFRANVCEMRGNIRIHPNASSVMYMEPASSKREEIWKVKPYPRKGDELCLGHITEITVKSSKVAPECSKYHNVPAVVFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYKVVFDKLSKYPLIDFNNDDQVHCFKHAIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRRTYSLPRDFVTALGEIPKAKPRLLIISRQRTRMFLNLNEIVAMAEEIGYEVVVEEANVSSDLSHFGKVVNSVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLDWISRIDFGNPAEQMGLRYKQYSIGVHESSLTDQYPLDHEIFTNPLSFHKHGFEFIRQTFMDKQNVKLDCNRFKPVLLEVLDQLNQ >OGLUM02G14900.1 pep chromosome:ALNU02000000:2:13707389:13710678:-1 gene:OGLUM02G14900 transcript:OGLUM02G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTCGGKYLSIIRFSTGHPRFIAVTAVVLPSSSSLPPSPLPPPLSTAKKRPGEPRSPSQSHLKFRRPPPPPPRRLRCRIVVFATASWMNDATAASTAAAAATRG >OGLUM02G14910.1 pep chromosome:ALNU02000000:2:13710824:13714328:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGFWGSPPPFQSSPTLPVPPSTAAGLELRLCAAFVPPFWLTHIKIWITILGAPHQHRPFADINNVSAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGSDQQHMITPRRLPFTVINNLIMIMWITLDPLSAAYFKVQHKTAIHYLQIQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.2 pep chromosome:ALNU02000000:2:13710824:13714328:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGFWGSPPPFQSSPTLPVPPSTAAGLELRLCAAFVPPFWLTHIKIWITILGAPHQHRPFADINNVSAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.3 pep chromosome:ALNU02000000:2:13710824:13714328:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGFWGSPPPFQSSPTLPVPPSTAAGLELRLCAAFVPPFWLTHIKIWITILGAPHQHRPFADINNVSAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGNIFILTFILHKQYGDTVVLKVMFYVPTGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.4 pep chromosome:ALNU02000000:2:13710824:13714328:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMQLNVTSLPPGLGFWGSPPPFQSSPTLPVPPSTAAGLELRLCAAFVPPFWLTHIKIWITILGAPHQHRPFADINNVSAGDSPKKRNNIGVFTPTSLTKSKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGNIFILTFILHKQYGDTVVLKVMFYVPTGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.5 pep chromosome:ALNU02000000:2:13710824:13713010:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRINNVPQKENMQKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGSDQQHMITPRRLPFTVINNLIMIMWITLDPLSAAYFKVQHKTAIHYLQIQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.6 pep chromosome:ALNU02000000:2:13710824:13713010:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRINNVPQKENMQKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.7 pep chromosome:ALNU02000000:2:13710824:13713010:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRINNVPQKENMQKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSGLTQTTTNYVKAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGNIFILTFILHKQYGDTVVLKVMFYVPTGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTVQSSRPHFISLSLKQLQSILKKDEPLESDCFNMAIRKFMYEKIQTIHKTKEAISNHCLDLQFWSASGFGKDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14910.8 pep chromosome:ALNU02000000:2:13710824:13713010:-1 gene:OGLUM02G14910 transcript:OGLUM02G14910.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRINNVPQKENMQKLQEDGAKLIALELRRKRARERYASMSPKKKEARKMKARVYKQLKEDEYSAPLGDITNVSVDDLRRCHVNDCSTLQQGSNHVLLQPVDRTGPGSDQQHMITPRRLPFTVINNVAHYDNVDHTGSPFSCILQGATQNSHTLPANTDPVHHDNVHLEKTIGSWSEIHYKLSHCKAILIPVRHARSFIVLIVDQ >OGLUM02G14920.1 pep chromosome:ALNU02000000:2:13721985:13723906:1 gene:OGLUM02G14920 transcript:OGLUM02G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERVQGTGSRRGKEEKGKQKQNWGFSRIPQIHLKFVFLLCKDSVRRFASHVWRVLMLEEFSRIIEGVKVPNDIDRHGAGVAPLDYTLLLHTIEI >OGLUM02G14920.2 pep chromosome:ALNU02000000:2:13721985:13723906:1 gene:OGLUM02G14920 transcript:OGLUM02G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREERVQGTGSRRGKEEKGKQKQNWGFSRIPQIHLKFVFLLCKVGLSKELRYLMTLIGMGRELHL >OGLUM02G14920.3 pep chromosome:ALNU02000000:2:13722001:13723906:1 gene:OGLUM02G14920 transcript:OGLUM02G14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEFSRIIEGVKVPNDIDRHGAGVAPLDYTLLLHTIEI >OGLUM02G14930.1 pep chromosome:ALNU02000000:2:13749269:13752193:1 gene:OGLUM02G14930 transcript:OGLUM02G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRNLTRGDGRRLGNAALIAFMLGSLLVLSLVRARFSPIGKPGEAIKTEEQEAMRKGSVKMETLEAADEAAASAAAAEEEEETQPKAGDTTAGGGGGGGGASSAAVAAASTTATDHLTKPVCYESSRRSDTCEATGDVRVHGRSQTIHISPLEQEWKVKPYCRKHDAFALSHVKEWALRPLSTADTYPAVPHCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFAGEVQFLVSSFKSWWTNRYMQIFQRLSKYELVDIDNDDEVRCYRSVVVGPTFHKELGVDASRTPSGYSMVDFRTMLRGALGLERAVAEPSGDRWDIRRRPRLLIISRRNSRAFLNERAMADMAMSLGFDVRLGEPDISTDVSKFARLVNSADVMVGVHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPAADMQIHYLEYMIQLDETTLSEQYSKDDPVLKDPYSIHKQGWNALKMIYLDKQNVRPHLGRLKNTFMEALKLLPHGHTN >OGLUM02G14940.1 pep chromosome:ALNU02000000:2:13776524:13778694:-1 gene:OGLUM02G14940 transcript:OGLUM02G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G18200) TAIR;Acc:AT3G18200] MESAPEKLKLLLGVLALQCCLAGFHIVSRAALNMGISKIVFTVYRNCIALALLIPFAYFLEKKNRPPLTFSLLGQLFFLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFALAASLRLEQVNINKRYGMAKVIGTVTPVLKKYPARLSMLALTLAFGLVQFLAIAAFWENDIGKWRLHSGEELFTILYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVILGDLLYTGGIIGAVLIVIGLYLVLWGKNEEKKSNSNQPDLSRHLLSEESSRPTIVTSDVP >OGLUM02G14950.1 pep chromosome:ALNU02000000:2:13815270:13816425:-1 gene:OGLUM02G14950 transcript:OGLUM02G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGWHASPTSPWAQGLHLPHRPGTTPPAGALHLHPASTLHQPRHGLRGFTSLFTRQARFADLATGLLRPGATPHVGGSAYTRPKRLRSGRDYYARGLHRMLGAPIAPGRHDSALGKTTTPRGFAARWSIATGSRALPPSPPGRYAARRGSSSFTRLARLADLATRAPPSPGRHDSTLGVITTPGGYAARRGLRITRPTRLSPGHDSYARGLRHTLRAPPSPGRHESALGVIATPGATPHAEGSAFTQPTRLGLGHDCYARGLRRTLGAPPSPGRHDSSLGIIAMPGGYTSCRGLCLQPADTTRPWARLLRPGAAPHAGGSDFTRSARLCPRQDYCAGGLRRMLGAPPAPGRHDSALGATTTPGGSPHAGGSAFT >OGLUM02G14960.1 pep chromosome:ALNU02000000:2:13816507:13816868:-1 gene:OGLUM02G14960 transcript:OGLUM02G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVASSTATSTTKGGSIIYYTARRRSSSFTRLVYFTNFSMGLGGFTTRTAWVPCRTPGASSYTRLARFADFAMGSGASPPSPPRRYAARRGLHPSPG >OGLUM02G14970.1 pep chromosome:ALNU02000000:2:13817637:13817858:-1 gene:OGLUM02G14970 transcript:OGLUM02G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSDGDTSSPSNKHATWPMRRPHHHHTTRPCKQLRPHPATTMKTQETAQHAKGLAFNLPANQPSPESGLPA >OGLUM02G14980.1 pep chromosome:ALNU02000000:2:13817888:13818306:-1 gene:OGLUM02G14980 transcript:OGLUM02G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSEKKKHHRPHLSPPPVHAAAVNNSDVPDLTAAAADKRGAIVFHSCIVVRTLKIDDHYQTKAVVSAPLQGRRPAESSPQPRPPQYSTVFLFASIPSSSTVRAAVRTTKSNGLQLHIVTARRQDH >OGLUM02G14990.1 pep chromosome:ALNU02000000:2:13864290:13864807:1 gene:OGLUM02G14990 transcript:OGLUM02G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSIACMSESLHATCTTPSQVATTREIDQWLTGWMGIDDGGTRSESDKTGFLGRFGEDDEAKLVVQQLIRICLEAAGTATRSSGRALSLREKRQHRDDAACSVGSLLCMMGKTESVRRREKGDVLGLEGNPPRQRWQRRVLASAENDPRILDDPEVFPP >OGLUM02G15000.1 pep chromosome:ALNU02000000:2:13864824:13865305:1 gene:OGLUM02G15000 transcript:OGLUM02G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARARHWRGGRLMGGGLVDVLRPQAMRRWNGMAGWCRCVSKVQWLSREGLMAIDRVGVDGFGQNVFADGRRSRTHVLVMLCGTRGWAGGCGLVQGGKGARLSRERMVEPGLGQIEVRGTHIARHVFDELPKRAECGEEERGWVGRLLGLYYE >OGLUM02G15010.1 pep chromosome:ALNU02000000:2:13870220:13874932:-1 gene:OGLUM02G15010 transcript:OGLUM02G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9YRL3] MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTSTVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >OGLUM02G15010.2 pep chromosome:ALNU02000000:2:13870220:13874932:-1 gene:OGLUM02G15010 transcript:OGLUM02G15010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9YRL3] MATAVAASPAQSRKTETYTDTKRRDDVRGLNIAAARAVADAVRTSLGPRGMDKMISSGEQEVIITNDGATILSRMSLLQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAVEVLHAMAIPIELSDRDSLVKSASTALNSKVVSQYSSLLSPLAVDAALSVVDPAHPDLLDLRDIRVVKKLGGTVDDTELIRGLIFDKKASHAAGGPTRVENAKIAVIQFQVSPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKASGCNVLLIQKSILRDAVTDLSLHYLAKAKILVVKDVERDEIEFITKTLNCLPIANIEHFREDKLGYADLVEEVSVGESKIVKIMGIKDMGRTSTVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEFAEALEVIPYTLAENAGLNPISIVTELRNRHAKGEKNAGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >OGLUM02G15020.1 pep chromosome:ALNU02000000:2:13877107:13878709:1 gene:OGLUM02G15020 transcript:OGLUM02G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLSLSTTELSLFLSLLPCPAITTVGRSPSPKLRKPNPCATNSASPSRHHHLLLSPELSSCCRRSGHPSLSLRLPPPSPGARCSGTPSPTGVPTSRPPESRRPARPLLSLLSDQRSREEEEPPKSRRENFTPSMETFAWSQTPTSNRRSVALRTPRLNAYSGRSLTTPSTSTSMPHTNRTASFISIQGADVDPDGYAKAAGNLKAQGKT >OGLUM02G15030.1 pep chromosome:ALNU02000000:2:13910467:13915066:-1 gene:OGLUM02G15030 transcript:OGLUM02G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAEAIRGHNRGPPNAPLPALTWSLCRCRCPYWPPIARVSTCVEVRPPIGSMLSRVKSSAELEEEMLANIPKFRARPFNKKEFHLKTMVRATRHADTCSRKLLQWGLSGVKSSTPYRLCHGRCAVVGALTGLRLHVPPTGSVQSRVKSSAELEEEMLANIPKFRARPFNKKSYATCRHMFSEASSVGTVRSESSKPLTLAKPKPPNFRQHCELGHQDDKMAI >OGLUM02G15040.1 pep chromosome:ALNU02000000:2:13932205:13940561:1 gene:OGLUM02G15040 transcript:OGLUM02G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPIPSVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGLVADDQRSTVQT >OGLUM02G15040.2 pep chromosome:ALNU02000000:2:13932206:13940561:1 gene:OGLUM02G15040 transcript:OGLUM02G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSSQAQEDGFFHPRDGACPADSSGKTECKTQGSIATRKVQKADHPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGLVADDQRSTVQT >OGLUM02G15040.3 pep chromosome:ALNU02000000:2:13936667:13940561:1 gene:OGLUM02G15040 transcript:OGLUM02G15040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLADPDRPRNDKATILSDAIQMLKDLTSQVNKLKAEYTSLSEEARELTQEKNELRDEKVSLKFEVDNLNTQYQQRMRVLYPWTGMEPSVVIGPPLPYPFSVPVPVPIPSGAVPMHPQLQAYPYFRNQTSGTVSNPCTPYMAYTQPIHPPTDQLSNQFSAPVQHSSSNRSHSMAQDSRSKSSALQQVSCRGKHDDFDDVATDLELKTPGSSAPLQSEIANKDSSSDLKKKQQFIQETKGSSLTEGSSSSSRCSSSGPPDVSNSIEGGLVADDQRSTVQT >OGLUM02G15040.4 pep chromosome:ALNU02000000:2:13932207:13935899:1 gene:OGLUM02G15040 transcript:OGLUM02G15040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQPFLPSQQ >OGLUM02G15050.1 pep chromosome:ALNU02000000:2:13994373:14001641:-1 gene:OGLUM02G15050 transcript:OGLUM02G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocation protein-related [Source:Projected from Arabidopsis thaliana (AT3G20920) TAIR;Acc:AT3G20920] MAGKGAKATAAKSAEKEKGKKAPVSRSSRAGSQEKPAPNKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFMRNHPEVREILGPDKDLEVEDIVNTLLSKNLVIRCDRVWKTVRPGKKKLSSWPAHLEIHREQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYATVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLALSGMMEKHTGTNASETSNHTSGTGSSPVPPTNEGNTAKADPDMDRQTNSDKVQDGDEYGDDMRTRFSEV >OGLUM02G15060.1 pep chromosome:ALNU02000000:2:14006880:14014266:-1 gene:OGLUM02G15060 transcript:OGLUM02G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVCTLRHRRPFLRVHYTAHPHHPATTSWCGKHGRDQPSLKRRWQSSEKKLAKRATPGRRPAGDLPPATAPRPPVARPVVPPSTVDRRPSPHQRIALRASCAPAARALRPARRAVTPSTVDRHPLLHRGSTHKRCDSPSPLQALGPYIAICRCLRQGRSSAYCSKYKSTKHNWNIMDKGWMKASRSSIEYNIGELAEKVHLELDAFGHDHHDHNYDHHHGYDNLQLEFSPWGKPSKTMVDCLATFVEARSWSHANLPYANVFGSFKIRPCCIDQIKQVS >OGLUM02G15060.2 pep chromosome:ALNU02000000:2:14006880:14014266:-1 gene:OGLUM02G15060 transcript:OGLUM02G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVCTLRHRRPFLRVHYTAHPHHPATTSWCGKHGRDQPSLKRRWQSSEKKLAKRATPGRRPAGDLPPATAPRPPVARPVVPPSTVDRRPSPHQRIALRASCAPAARALRPARRAVTPSTVDRHPLLHRGSTHKRCDSPSPLQALGPYIAICRCLRQGRSSAYCSKYKELAEKVHLELDAFGHDHHDHNYDHHHGYDNLQLEFSPWGKPSKTMVDCLATFVEARSWSHANLPYANVFGSFKIRPCCIDQIKQVS >OGLUM02G15070.1 pep chromosome:ALNU02000000:2:14008458:14008685:1 gene:OGLUM02G15070 transcript:OGLUM02G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVMVIVAEGIELEMHLLRKLLQEKPPQDLTAVTEMESPIHMIPLIEMFDLGAARRRRRWVADSGGSRKS >OGLUM02G15080.1 pep chromosome:ALNU02000000:2:14014741:14015717:-1 gene:OGLUM02G15080 transcript:OGLUM02G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASSAPATTTVLTAALHVEHGKNNGNANGWASANNNGGSSPDTRRLMDEPEKRELLESVRLSRTLANQTHPASGNGNVMAATMDIKPTYMCDPTLKHPR >OGLUM02G15090.1 pep chromosome:ALNU02000000:2:14016104:14016877:-1 gene:OGLUM02G15090 transcript:OGLUM02G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQPKVTGVAAHMWKIGCYSVREVHGEFCIDEKAFNMNGLYIRKGYQVYFPSNQTACHSKLSTNGNCKLKTSCAGRPGDAQVTTMVMSVGFARHGWMELYYHMAHLGLGRSPSIISPRIEAFQNQEFQDKKGKIQFGLSLGQPGYTWTKTLVSLSSGVRFGRVSTRWKANFMAHVVDRAQDTNSFWFHRKSRNKLTVLQRNFHIVMYLILS >OGLUM02G15100.1 pep chromosome:ALNU02000000:2:14018285:14020634:1 gene:OGLUM02G15100 transcript:OGLUM02G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAIDEYSAEDPLQPWLERGLLKSAGGDLRPDDDLYKKDLRYLKTKSGTSAFMDFTARQFHSPKSVVQSNKCKTSPLMNMGHFANPK >OGLUM02G15100.2 pep chromosome:ALNU02000000:2:14018269:14020634:1 gene:OGLUM02G15100 transcript:OGLUM02G15100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREGIAQVGWW >OGLUM02G15110.1 pep chromosome:ALNU02000000:2:14020157:14021778:-1 gene:OGLUM02G15110 transcript:OGLUM02G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTTGSESSTTICERRRRIVGGGAEEIGEAAPIWMLVRARGFVPIDPAAAQVELLRGVWDWGSARRGESEGAAMMADAVSVGLGYGSGAAIPWDGSDARWEVRERNGPCSYREQIQEDFGEWNCRAVKSMKAEVPDLVCTEGFISTQCKLGVKKNIMTEYLFKWGGNVHCVQAEGTTGFVDGMPSKTDT >OGLUM02G15120.1 pep chromosome:ALNU02000000:2:14051620:14056065:-1 gene:OGLUM02G15120 transcript:OGLUM02G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAIDAARWVVDKALSPLSGGLLETWAASSELGVNIDAIKMELLYAKGMLENAQGREIRSQALKELLQKLQQLAFDADDVLDELDYFRIHDELKGTYEAADVDDASCFRGILVNSRHTAKAVGKSLSCFQSDAYHGDPDNPRTEARQRVHMLSKCLPCYSCPLVHENDADDAVKSQKWWQKHCGACCCSPNPGQDHANEVPMHKFDRVGISTRMKHIVEQLQPICAKVSVILNMEMLGSNNSNTQDSTTSQRITISESVEPKLYGRNKMKDKIIRDITNGIYSEQDLSVLSLFGPGGIGKTTLVQYIYNNQEVHSHFQATIWVCVSFNFNVSMLIQQIKDQIPEVDGENGTAEDRIEQRLKSKRFLLILDDMWKCDGEDMWKRLLVPFRKSQAKGNVVIVTTRFPALAEMVNTMDHPIELERLEQEEFMQLFEACVFGEAKAPWQDHSELLDIGKKIIGKLKGFPLAAKTVGRLLRNNLTLDHWRRVLASKEWELQTGHNDIMPALKLGYVYLPFHLQKCFSYCGLFPHDYEFDSGELIHLWMGLNILCCNGQKTFEEIGLSYLDDLVDHGFMKKNEKDGHPIYVMHDLLQDLARMVSSYECYTIDCSHERLREIPPDVRHLSIIMGGAQEDASINETFHGNVILIMKRFKVENLHTLMIFGCYYRSMVNTFGDVFRKAKALRVLHLSTMYYPVDHILHNFSALMHLRYLKLGSEYDKISPPRCISRFYKLIVLDLKEWKGSINLPVDMSNLARLRHFIVSHDETHSKICEVGKLQTLQELRRFEVNREKSGFEIKQLEHLIQLSGSLSICNLEKMQAKEADEVNLLSKNSLKKLTLEWDVQRSQKEPDKEQHILNVLRPHDNLQELCIRGNGGHSFPPWLGSKLSVKNLQSLHLDTVDWTVFPPLGELWLAGQPGQEYLRSIQGKSFQNMKTLELVGLTRLEKWVHNDKFLLFSLLETFIIRDCPELVELPVSQYSSQKFKQDVMIDLFPKMQEVRIADCPKLESLPLIPWTDTLHTVDIKNVGSSLEKLVYSTKSSSSKLLLEIKEDHHLECLDEMVAFHNLSKIHELEVSKSPPLMNKHLHGLTSLKTLKISDSSITLQLLGGPDDEKHMLVLERLEIQNCSANGKELTQFLLQLPKLSFFRMSSCQNVTRLGVMTQLATAEPTSMPSSSTSSNETGSQLQIEEVGDEGGLLLFPKHLTISLHELRITMNPGLSLLASLPPENNSRPGGLHNLHSLQTLFIRGCPKLLSAYSSSSSYCFPFPSTLDSLRIEDVEDMHTFAPLSNLTSLTYLFVENCGKDLRGEGLWTFFTQGCLTRLCVYRSPNFFDNLVSHQQEDLPAYCKIEMLRTDDIAGVLVTPICRLFSSSLNVLGLCSNKEIVSFTKEQEKALELITSLQELCFFRNEKLQSLPADLRGLNNLRILEILRCSAIRSLPKNAFPNSLQKINVDRRCSEELQHHCIMLEGVTVNIDRPVNTNL >OGLUM02G15130.1 pep chromosome:ALNU02000000:2:14056099:14091695:-1 gene:OGLUM02G15130 transcript:OGLUM02G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTVFLLPSTTLGIPAMASANPSSASVAEFPESSIKLSSCFSLDFPLYWAGLILGSISLRQGPAQQEQGCDPLQANAAPLPPRRRKAQLAKPLYNADTHTGASLSTGCSED >OGLUM02G15140.1 pep chromosome:ALNU02000000:2:14059247:14059750:1 gene:OGLUM02G15140 transcript:OGLUM02G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMWAADEAYEEALEELDMLWAKIAGWEEQYNHLDKEHTTRGQLLEAIKFRLQWYFRTPSQAQTQQTLPPPPQRVTRSDGEDYNQINAQQACLERTEVKLDRAISQDYLQGYKPPSESLDTIVWPLVEGKHDNTSSGRRNEVKETAHNNQGTLLGQSSERELDQLHI >OGLUM02G15150.1 pep chromosome:ALNU02000000:2:14092023:14092421:1 gene:OGLUM02G15150 transcript:OGLUM02G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTMKMYHWIQQPYGHNDACLTKACSSSPRTEEETDAASEVGTRGSAAGTGEPACWRRRQRSRQGAGARARQMEEEEEARRRPELAGVIGSKQLTAAVGHHAEQLLHAAPRDGGEGPAARAAAATTDYAEA >OGLUM02G15160.1 pep chromosome:ALNU02000000:2:14093440:14098866:-1 gene:OGLUM02G15160 transcript:OGLUM02G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTFATHPAAAAAARRRGPIRWRLPFCSQIVTVTLRRRFPMARLSITNALASQSLESAPAAPPKHSFPILVNSCTGKMGKAVAEAAVSAGLQLVPVSFSAIEVPDGKVEICDREIYIRDPSEGESILPSIAKDYPDMIVVDYTVPDAVNANAELYCKLGLPFVMGTTGGNRQLLHKTVEDANVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDISGTAKAVISCFQKLGVSFNLNEVKQVRDPQEQLTLVGVPEEHLSGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAALFLHKKIQSGANKKLYDMIDVLREGNMR >OGLUM02G15170.1 pep chromosome:ALNU02000000:2:14103570:14104321:1 gene:OGLUM02G15170 transcript:OGLUM02G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASSSSTAAHKNYMIHHYHLVQDSCFMQDTEGVEEEVRGVCNAVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKMKAVTCGICRHNYGRTGLAWIINGLH >OGLUM02G15180.1 pep chromosome:ALNU02000000:2:14126827:14128954:-1 gene:OGLUM02G15180 transcript:OGLUM02G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSFFASKKPSRSSNPFDSDSDDGGREQRPARASSVPPPADQRGSLFGGGDGFSASSLAARSRYRNDFRDTGGVEAQSVQELEGYAAYKAEETTQRVQGCVRIAEEMRDTASKSLVTIHQQGQQITRTHMMTLDIDQDLSRSEKLLGDLGGIFSKKWKPKKNGEIRGPMLTRDDSFIRKGSHLEQRHKLGLSDHLPQSNARQFHSEPTSALQKVEMEKAKQDDGLSDLSNILTELKGMAVDMGTEIDRQTKALGDSEKDYDELNFRIKGANTRARRLLGK >OGLUM02G15190.1 pep chromosome:ALNU02000000:2:14140282:14147055:-1 gene:OGLUM02G15190 transcript:OGLUM02G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHELTPSSPAPICHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKSIAHQLSDRSIAVIWQEQKRPIHLGIFLKSSYLLPVDTFTQAYGTIQVYSDFRLQITDTSRAVIPTPGLLAPATASLPQHRQVISFTP >OGLUM02G15190.2 pep chromosome:ALNU02000000:2:14140282:14147055:-1 gene:OGLUM02G15190 transcript:OGLUM02G15190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHELTPSSPAPICHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKTIQVYSDFRLQITDTSRAVIPTPGLLAPATASLPQHRQVISFTP >OGLUM02G15190.3 pep chromosome:ALNU02000000:2:14140282:14147055:-1 gene:OGLUM02G15190 transcript:OGLUM02G15190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFSSSLSCRACNARWHELTPSSPAPICHHASHRVAPSLRIVNCLRSYVSHQSPPLHLVNRLRASTCLGLFNNVSRLDLIHRKITDTSRAVIPTPGLLAPATASLPQHRQVISFTP >OGLUM02G15200.1 pep chromosome:ALNU02000000:2:14143001:14143390:1 gene:OGLUM02G15200 transcript:OGLUM02G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANWPRAAGSGWRSRSASAATWRRRASGGGEVWENGSSRATANWPRAAGSGEWVAGQIGVGSDLATEGFRRRGGVGARVTARDGELVACSGEWVVEQISIGGDLATEGFRRRGGTRFGEAMEGVGRDR >OGLUM02G15210.1 pep chromosome:ALNU02000000:2:14143424:14146231:1 gene:OGLUM02G15210 transcript:OGLUM02G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRGAMGDMARADEGGEIGAIHGNREIDGGIARRSRVDVGKSAEGEGNLIATNTIV >OGLUM02G15220.1 pep chromosome:ALNU02000000:2:14168920:14178539:-1 gene:OGLUM02G15220 transcript:OGLUM02G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 45 [Source:Projected from Arabidopsis thaliana (AT1G77140) TAIR;Acc:AT1G77140] MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPLFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFETVGEAPTAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKGFLDDLGEAQRISKSSSLM >OGLUM02G15220.2 pep chromosome:ALNU02000000:2:14168920:14178539:-1 gene:OGLUM02G15220 transcript:OGLUM02G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 45 [Source:Projected from Arabidopsis thaliana (AT1G77140) TAIR;Acc:AT1G77140] MTLITLIRDYIDRMLHDIPGMKVLVLDPDTVGMVSVVYSQSDLLRKEVFLVETVDNASSSRESMAHLKAVYFLRPSSDNVQKLRRHLAAPLFSNVLKIPQIQVLADSDEQEVVQQVQEFYADFCAIDPYHFTLNIRNNHVYMLPMVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLREYPNVPKDQKEVVLSSVQDEFFRANMFENFGDLGMNIKRMVDDFQHLSKTSQNIQSISDMSKFLSNYPEYRKTHGNVTKHVALVSEMSRIVEERKIMLISQTEQELACTSGQAAAFEAVTSLLNNESVSDIDRLRLVLLYALRYEKESPVQLMQLFNKLASRSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKARLRDADYPLVGNHFQQNRPQDVVLFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKGFLDDLGEAQRISKSSSLM >OGLUM02G15230.1 pep chromosome:ALNU02000000:2:14193827:14199761:1 gene:OGLUM02G15230 transcript:OGLUM02G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAVCDLLISDEVGPPTTTPQAAQRANGPDPGIGSHAAAPADGHGEEEEEAPSPRPSSAGCYTFLRSASRRGRHRRLDSSASASAAAHVVRVEVGTTKGERSLFPRRPDRARGRAGVAPPRGGRAADPRRRGGSRRGRFAVRAPVHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPQPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGWDAGSDRCSGRGDDCFFLGWEPPFADLAATDARISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPGELDGLFRDLWDASNSSNSDRAHLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMADVRTGMGQSGFIYFVARGLFKSVVQVPLAFIVAPDIFRINRDILSFVSCIVSNQIKQTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQMAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKITRLEATFDVL >OGLUM02G15230.2 pep chromosome:ALNU02000000:2:14193827:14199761:1 gene:OGLUM02G15230 transcript:OGLUM02G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAVCDLLISDEVGPPTTTPQAAQRANGPDPGIGSHAAAPADGHGEEEEEAPSPRPSSAGCYTFLRSASRRGRHRRLDSSASASAAAHVVRVEVGTTKGERSLFPRRPDRARGRAGVAPPRGGRAADPRRRGGSRRGRFAVRAPVHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPQPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFADLAATDARISFPVCVPEDLWDASNSSNSDRAHLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMADVRTGMGQSGFIYFVARGLFKSVVQVPLAFIVAPDIFRINRDILSFVSCIVSNQIKQTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQMAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKITRLEATFDVL >OGLUM02G15230.3 pep chromosome:ALNU02000000:2:14193827:14199761:1 gene:OGLUM02G15230 transcript:OGLUM02G15230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAVCDLLISDEVGPPTTTPQAAQRANGPDPGIGSHAAAPADGHGEEEEEAPSPRPSSAGCYTFLRSASRRGRHRRLDSSASASAAAHVVRVEVGTTKGERSLFPRRPDRARGRAGVAPPRGGRAADPRRRGGSRRGRFAVRAPVHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPQPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFADLAATDARISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPGELDGLFRDLWDASNSSNSDRAHLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMMFAQAWGNPVSSILLPDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQMAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKITRLEATFDVL >OGLUM02G15230.4 pep chromosome:ALNU02000000:2:14193827:14199761:1 gene:OGLUM02G15230 transcript:OGLUM02G15230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAVCDLLISDEVGPPTTTPQAAQRANGPDPGIGSHAAAPADGHGEEEEEAPSPRPSSAGCYTFLRSASRRGRHRRLDSSASASAAAHVVRVEVGTTKGERSLFPRRPDRARGRAGVAPPRGGRAADPRRRGGSRRGRFAVRAPVHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPQPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFADLAATDARISFPVCVPEVNGSFFLFFHPRPWRSHWYLWAGGARTVHTVALPGELDGLFRDLWDASNSSNSDRAHLIPAMFSIMYGKTCASIIQDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQMAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKITRLEATFDVL >OGLUM02G15230.5 pep chromosome:ALNU02000000:2:14193827:14199761:1 gene:OGLUM02G15230 transcript:OGLUM02G15230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRAVCDLLISDEVGPPTTTPQAAQRANGPDPGIGSHAAAPADGHGEEEEEAPSPRPSSAGCYTFLRSASRRGRHRRLDSSASASAAAHVVRVEVGTTKGERSLFPRRPDRARGRAGVAPPRGGRAADPRRRGGSRRGRFAVRAPVHTPTSSLPSPSWVINSPSSRPLAPPDSVDVIPPLAIVGRRAATPQPLPSHAAGPCRKTSTGHNHRCPLLQQIHATGRRPQSQCDLEVEKLGVGWVGLYEGFEGVCIADHGCGVVLPSWDAGSDRCSGRGDDCFFLGWEPPFADLAATDARISFPVCVPEDLWDASNSSNSDRAHLIPAMFSIMYGKVGISADTPSSTSDSFFSDSLNSSCGQSLIGMMFAQAWGNPVSSILLPDTSDTSSNTKTDLSSDTPSNTTMTGSTKRTFNELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYCTKEFSQDYLKPLMEGKETISIGVQMAGGVSKNMILHMSTDGRCNLKKGWAKFATRNHIYLQSLCTFHFYKITRLEATFDVL >OGLUM02G15240.1 pep chromosome:ALNU02000000:2:14194159:14194885:-1 gene:OGLUM02G15240 transcript:OGLUM02G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGMTSTESGGARGRLLGELMTHDGEGRDEVGVCYSHHRCTNSKASTATATAPPRVSCPAAARRRHTSPASSTVGSTGEQAPLPLRRPHLHPDHMRRRGSGGGGVEASVPAAARRGSEEGVATGGGRTRRRGLLLLLAVTIGGRSGVAPDARIWTVSSLRRLWRGPTSSLINKSQGQTIPNVGIYLPEPVFSHGQLYVALSRGMSRLTTKILAKPKKEVDSTGKSTRNIVNKDVLD >OGLUM02G15250.1 pep chromosome:ALNU02000000:2:14195019:14195906:-1 gene:OGLUM02G15250 transcript:OGLUM02G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKQGGNAQHSSAQTVEEQEETAVYLWHTDREGYASVGSSKHNKSNQGKPHCHCHILESLDEQAAQQPTNVHLGEEDEVDGVRLCQQPHQRVVVVPPKQKSPEISVERKKLTHISLARLTLVLAKKPQPGLSSRVADLRMNSYHTKLLHLQITL >OGLUM02G15260.1 pep chromosome:ALNU02000000:2:14200509:14200838:-1 gene:OGLUM02G15260 transcript:OGLUM02G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGSKKPGQLKQMLRRCSSSLGIKGAGGDDDGLPGDVPRGHFAVYVGISRRRYIVPVACLAAPEFQELLRKAEEEFGFDHDMGIITLPCDEATFHGVLASASATSIR >OGLUM02G15270.1 pep chromosome:ALNU02000000:2:14279100:14279793:-1 gene:OGLUM02G15270 transcript:OGLUM02G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLWFQLGACTALRVHIKDPSSQKCLNRLEPGHHLSNGLLLSPRKRDDSILQQQLQSMSQASNINVVVNISHASPAAPTQMSGK >OGLUM02G15280.1 pep chromosome:ALNU02000000:2:14403748:14404880:1 gene:OGLUM02G15280 transcript:OGLUM02G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPAHQSVVYLLLVFLVSVSGVRSKTFTVTNNCGYTVWPGILSAGNSPAALDSTGFALAPGESRTMPAPHGWSGRLWGRTFCSTDPAGRFACATGDCGSGRLDCAGNAAKPPATLAEFTLDGSGGMDFYDVSLVDGYNLPMLVTPRGGVTGENCAPTGCMVDLNGKCPAELSVASPAAAGGGGVACKSACEAFGSAQYCCSGEYGNPNTCRPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTLYDITFCPSTASVKSVGSDHGMVYAGGAQLVSSSPRVAAKTWLPPGVIVVGVVLLALSRAF >OGLUM02G15290.1 pep chromosome:ALNU02000000:2:14450042:14451549:1 gene:OGLUM02G15290 transcript:OGLUM02G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKERSIHRSAALILRLLSAFVVRDGAIEMHDAPSFCYFLLTFCFFRRTPMPLTSAVAGWSSSQQSTCPWHSLSSPACRSTAATGQQQQAATGATIVISTAEFSCPVLESEQLNTVLSSNDNEMNYKY >OGLUM02G15290.2 pep chromosome:ALNU02000000:2:14449514:14450323:1 gene:OGLUM02G15290 transcript:OGLUM02G15290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPCIMYATGRVSAPTGGCCDGVRTLNSAAADHQTTCACIKQQTSGMGGLRPDLVAGIPSKCGVNIPYAISPSTDCSRVH >OGLUM02G15300.1 pep chromosome:ALNU02000000:2:14460361:14460651:1 gene:OGLUM02G15300 transcript:OGLUM02G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKIAPAANLKQILRRCSSLGRRQQQQGAVPRGHFPVYVGESRCRYVVPIACLEHPDFLLLLRKAEEEFGFEHDAAITLPCHEADFEALLAALTA >OGLUM02G15310.1 pep chromosome:ALNU02000000:2:14492633:14496168:-1 gene:OGLUM02G15310 transcript:OGLUM02G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEDKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKRQESFKDEGCTLSRKISCARIEHPSLSPVCEELPPTILPTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTVTKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKVKKGN >OGLUM02G15310.2 pep chromosome:ALNU02000000:2:14492633:14496168:-1 gene:OGLUM02G15310 transcript:OGLUM02G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVLSRPLEHRLSSPTLDGHYEDKRKSNVEYSEDEKKAKIISLKKKAMSASQKLRHSMKKGRRSSKVISISIADERDPEEVQAVDAFRQLLVLEELLPSHHDDYHMMLRFLKARKFDVEKAKQMWVDMLRWRKEFAADTILEDFEFEEADKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDAEILKMVQSGAGWCGNLSLNHLDAEEKMMICEDDTMHTKTLGSAYSCDVPMVEKAIDAICQSKGLPDENVTVTKAIVNASNGSNPPLYGGIMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSEISVEAVSVAEYVSSTKRLSDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKVKKGN >OGLUM02G15320.1 pep chromosome:ALNU02000000:2:14504708:14506233:1 gene:OGLUM02G15320 transcript:OGLUM02G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRVSWREGSIYRRGRSERQVRGIVAKCSASLARAGGGRRGTRLAAGAGFAQGLQRGCRDRAVGLALSLRASALAGGGGSGLARRAARPALPGAASAVQTRVSGLADGGSGIGFAQGGGRGGRLCRSSLVGIGQRATVLDWPRRLRWSEPGRGRESAGTASALCSLGTAGFAGRGGNRGQRLLGRLGNDDLDLAR >OGLUM02G15330.1 pep chromosome:ALNU02000000:2:14513399:14513721:1 gene:OGLUM02G15330 transcript:OGLUM02G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVAGVEVLVGGGVSTTNVGCSRTSMSISTPIMPRSCVLESAYEFWILDPHNDLYVCQVMAIVSNMKVCIHRTIMHMIFRW >OGLUM02G15340.1 pep chromosome:ALNU02000000:2:14526483:14534286:1 gene:OGLUM02G15340 transcript:OGLUM02G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANLLSRALLPALNPNPSSHSNRVSPSAVSLRRSHGLTASVRASLSTAAPSPPPRPAAAAAADGRAPKRCFRRGADGHLYCEGVRVEDAMGAAERTPFYLYSKPQVVRNFTAYRDALEGLRSIVGYAVKANNNLRVLQLLRELGCGAVLVSGNELRLALRAGFDPTRCILNGNGKTLEDLVLAAESGVFVNIDSEFDLENIVTAARVAGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDITLVGVHCHLGSTITKVDIFRDAAGLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNRVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPSPDAEVATFDIVGPVCESADFLGKDRELPTPDKVEDDGSIAKIRRGESFDDYMKFFDNLSA >OGLUM02G15350.1 pep chromosome:ALNU02000000:2:14534805:14538204:1 gene:OGLUM02G15350 transcript:OGLUM02G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSSASRASRSSLSVPLSAHGGPSPSGPVDAVGCPTPPRETMPSPSLWARRTLSSVHAASRPSGKKRNRRCGGADTHTTSFDHVLVIDTVEFDPFTAITGNVDIAKSYLKTFWLHSNKKFPASLTTSGGYNLQEHVQLPDNNPLLIFPEGTCVNNRYTVMFKKAAFELGCIIRKMIWEKNIYMCGREVEDICMCHFEYHEETHADVHQTRFGISLQTTLEDPRH >OGLUM02G15350.2 pep chromosome:ALNU02000000:2:14534805:14538204:1 gene:OGLUM02G15350 transcript:OGLUM02G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPSSASRASRSSLSVPLSAHGGPSPSGPVDAVGCPTPPRETMPSPSLWARRTLSSVHAASRPSGKKRNRRCGGADTHTTSFDHVLVIDTVEFDPFTAITGNVDIAKSYLKTFWLHSNKKFPASLTTSGGYNLQEHVQLPDNNPLLIFPEGTCVNNRYTVMFKKIRKMIWEKNIYMCGREVEDICMCHFEYHEETHADVHQTRFGISLQTTLEDPRH >OGLUM02G15360.1 pep chromosome:ALNU02000000:2:14538912:14542583:-1 gene:OGLUM02G15360 transcript:OGLUM02G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G38710) TAIR;Acc:AT4G38710] MAVASAWAKPGSWALAAEEQDDLPPPPPPVPAADFPSLATAATTKVPKKKKPQPVPLGEFNSTKFVAPAYRGPTQDDLLSLPTGPRERTAEELANATRGFGARWGGAGAGGPRGDDEPRRGGSGPQDFGPSRADEADDWGAGKKPLERRERMGGFGVDSSMSRADDVDDWVSTKRAAAPAPMERRERSVAFGADSHSRADDSASWVSNKGYSAAPPPPSDSRRGGPVWGFNRDGGPDADSWERRREEVSGGGSSGGARPRLNLQKRTLPLANGTDGEGKEDKEEEKGEMQPKSRTSNPFGAARPREEVLAAKGEDWRKIDEKLEAMKMREAPPPERRSFGRRGSPVRGEENGSRPLPESHVEGAWKKPDAVQAVGESEDGSDKLNTAEAARKFEEGSDATKETAAAN >OGLUM02G15370.1 pep chromosome:ALNU02000000:2:14587499:14589918:-1 gene:OGLUM02G15370 transcript:OGLUM02G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSRLNSSTADAAKSSKSTPHVAATARPVACGIPRHAAARAERSPALVEKTPSPSSADHRSPKISSRISTLPSAEKHRTAVKKQSMEQLAAIQEDLRRAKEQLAKKETEHRKVADDARRTADEANAKLRYALAELKKAEEASETEMFRAIELEQTTIESTQRKDELQRRLEATRRQQEADAAALRSMVAQLEEARLELADAIDAKNLALSHADDAVRAGEANAAQVELLNAEINRLKDSFNSELESKVKESAEKTRKLEAETSVLRIKLKKAKVAEEKVAELEGAVEGLRADVANAIKARREADGLVGEWKKKAQLLEIKLELANQSSILKAESMSSVMKELDAANALLQVKESQIALLHDKIESLEHEVVRQNEDINASGQRVDAAHRGALALRTEIQELRSRLGAMEQEKRGTIKDGSFTSSQIEAICEEKDKLAKELESSKYECEKVRKAMEDMASAMQEMSAEARESQENYLHKEKEIEHTRAKLQELNISLNNTRDNYEVMLDEANYERICLKNKVEQLEAEAKTTSEEWRSKELSFVSSITKSEEEIMSMRTRLGKALETARDMENRNAQLEEKVRELEALMDKDNNYRGGKDTKAYKENDGLHLHVKESSGSEKIKDLYSLIGNDEGNTEKDGPVLLVSKMWENSYNLSKERDDGEPEVDLLDTDRDIAADGNGSRLSTEKTNSNTKLVVKQNQQKKALMKKFGGLLKKKSQH >OGLUM02G15380.1 pep chromosome:ALNU02000000:2:14596607:14598571:-1 gene:OGLUM02G15380 transcript:OGLUM02G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQGGARVAPDNGAWARGGGRATPAGGGSEAGGGGASLPGVHQENMGLQRKIKILAKRTIQEAQAVTLHPAKTKRPRSEEEEEAAASTPTALCGGGGGLEGALHCPPAPKKPRLVMGCSLNGFKVLSVVDLRFFLR >OGLUM02G15390.1 pep chromosome:ALNU02000000:2:14638881:14640012:-1 gene:OGLUM02G15390 transcript:OGLUM02G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGTGNATKERVGAGVGSSRGLGGKERSEGGRSARRGAHRSPITSPPWERKGMKETWGEMKKKGKMAILSLDRRPSVTATVAEPARACTRHSTIVSALRYTVNSKF >OGLUM02G15400.1 pep chromosome:ALNU02000000:2:14640338:14641687:-1 gene:OGLUM02G15400 transcript:OGLUM02G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLPWCLAWEGDQEKDAAAAGSNRRRSDEEGRRRRFGMADRIRHRSANFLRSVPFRTPNVNHTVIFATSRARVRHAVVRPPPPALMCATQSSVRPAPPSSVRHRLYPRQPRRCPFAAAIVVVLRSFRASKRGHRACLGRSSACGARRCSRAEPGAASRWRRYDAGSSLPGLVSSMPWTSTRRCDHQGRRGHRRRGLMPRPKENLSKRLCGGAGEQAEAATYDNVDELLVRTTTGRLMVGDDDGQADDDDEGGAGRTDDCVAHARAGGGGRTTACRTRAREVTN >OGLUM02G15420.1 pep chromosome:ALNU02000000:2:14646078:14649329:-1 gene:OGLUM02G15420 transcript:OGLUM02G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQTSKLSCEHMYSWYFTREELEKFSPSRKDGITEIMESEIRQLYCSFIRDVGIRLKLPQMTIATAIMFCHRFYLYQSLAKNGWQTIATVCIFLASKVEDTPCPLDQVIRVAYGTMYRRDPATARRIHQKDVFEKQKALILTGERLVLTTVRFDFNIQHPYRPLLDAMEKLGISQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKFQNVKLPVHGGHVWWHQFDVAPKPLEAVLQQMREMVHMKAKLFAHPSPAKQKEVRFEGMLLISNSPDSVLTQSSLSVSSSSPEIGDPNDHLQVDSSQDIVHIEDRSKSYPERNLSNLTADMNNPGKTHNKESLDQALKIKHGGLISCNQQIPLDAIAKIDSSTAKCVEQNIGICCSSSNTFNGKILNPFSISQRSGDKTKLCSEGGSSLTDVDSKSTQSVEPPTTICNHTSDSLNVDSLCSDQRLANSTAGTTEKASFVLPVQIKVDHLCVERKKVDVARIKDLLMKRKRRRERQGRCIPSVDLSEEAWIERELESGIVFKKVDHVVASYDLSDEGWIERELESGIVIGQKNDQPVSLDGLTEDDWIERELESGIIVEPGPAGKKLKSKLLSEGHEIMNSRWEINGKSMQNQVT >OGLUM02G15430.1 pep chromosome:ALNU02000000:2:14730162:14731177:1 gene:OGLUM02G15430 transcript:OGLUM02G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDVTMTNTTATTSTRHGKVICLQYREGKVKITCEPEANTPLQKGSGFGRSGDEGELSVTDPVMAEMTGCRSCGDEQQEHGSGDDQHKKHAPTVAGVIVGQHLTIT >OGLUM02G15440.1 pep chromosome:ALNU02000000:2:14789864:14790814:1 gene:OGLUM02G15440 transcript:OGLUM02G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVTASIHGHHLPPPFNTRDFHHHLQQQQHQLHLKTEDDQGGGTPGVFGSRGTKRDHDDDENSGNGHGSGGDGGDLALVPPSGGGPDGSGSESATRRPRGRPAGSKNKPKPPIIITRDSANTLRTHVMEVAGGCDISESITTFARRRQRGVCVLSGAGTVTNVTLRQPASQGAVVALHGRFEILSLSGSFLPPPAPPEATGLTVYLAGGQGQVVGGSVVGALTAAGPVVIMAASFANAVYERLPLEDDELLAAQGQADSAGLLAAGQQAAQLAGGAVDPSLFQGLPPNLLGNVQLPPEAAYGWNPGAGGGRPAPF >OGLUM02G15450.1 pep chromosome:ALNU02000000:2:14830327:14838692:-1 gene:OGLUM02G15450 transcript:OGLUM02G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRTVLGFFGFGVGVTMGLVIGYYLFIYFQPTDVKDPVIRPLVELDTKSLESMLPEVPHWVKNPDFDRAICKTAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSIKWAGNPNITVVVKAFGLKATAQVIDLHVFALPRITLKPLVPSFPCFAKIVVSLMEKPHVDFGLKLLGADLMAIPGLYVFVQEIIKTQVANMYLWPKVLEVPIMDPAKAQKKPVGILHVNIVRAVKLTKKDFLGKSDPYVKLKLTEEKLPSKKTSVKRSNLNPEWNEDFKLVVKDPESQALELTVYDWEQVGKHDKIGMNVIPLKELIPDEAKSLTLDLHKTMDANDPANDKFRGQLTVDVTYKPFKEGDSDVDTSDESGTIEKAPDGTPEGGGLLVVIVHEAQDVEGKHHTNPYVRIVFRGEERKTKHIKKNRDPRWEQEFQFVCEEPPINDKMQIEVISRPPSIGIHSKENLGYVVISLADVINNKRINEKYHLIDSKNGRIQLELQWRTS >OGLUM02G15460.1 pep chromosome:ALNU02000000:2:14861121:14863252:1 gene:OGLUM02G15460 transcript:OGLUM02G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKSRSRLASIRLGRSPAGLSSSPSRRRGSGSGGRRVRLAVRPAPASRSLPRREGSRALARSASEPALLLSGGRVHPEPRGLSPPSPPPPPLERPHTCFDVFTPDSPFGRSASAASLSNCNPWEVQKWTVVVVSRAVRGSELAGLRLVQESKVVVSVTVEGSVGPVKAMVRLGASVGEAIAAVVERYAKEGRSPRLDPAAAEAFQLHHSHFCLQSLNKNDKIGDVGGRNFYLHKNDGNNRIYLQSEESGTNLVGGEIAHSFGGQQIVAINHDQFFAIFIKKLDKIGRLTKRIWRLLTCNCT >OGLUM02G15470.1 pep chromosome:ALNU02000000:2:14919403:14921543:1 gene:OGLUM02G15470 transcript:OGLUM02G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLASGPVERMKIYFLHLPLLALPLSRETSPLLEYYSSSPLRASSPLTPGGDGASNCRLVIVRHSGGGVVEEATRPPPRLPRRGGVASSPSTAPLILTPPAPPRSAPSSIDAATDGSAFPDGGGGEGGGNRRQRGRSGCGNSGLLCNSYLCSCVIPLLFVFLGDSSCVLV >OGLUM02G15480.1 pep chromosome:ALNU02000000:2:14956731:14960965:-1 gene:OGLUM02G15480 transcript:OGLUM02G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFLEKEASMGRPRPRSAPGKLGAQAKKPMTPAAKKPQATPKTKKRRITRLFKKGSSSQSSCHQDELSTHSSVNVLIEDADIPPRLFSDSDLDLVGDREMQAYHMLKDRFFAHTRAYDPELLRKIGMNLDFRKVWKAVVKVH >OGLUM02G15490.1 pep chromosome:ALNU02000000:2:15008615:15011047:1 gene:OGLUM02G15490 transcript:OGLUM02G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAKEEAAASAPAPAMGGEEAAARAAQKRYEGLLTVRAKAVKGKGAWYWAHLEPVLIPAADTGMPPKAVKLRCGLCSAVFSASNPSRTASEHLKRGTCPNFSAPPPGATAASGSSGSQHQQQTPQAALQALPPPNSTASSPIPISSIAPSSPRHPHHHSQPQQPQSHHHHHHHSGSRKRHSMPPAYTAAEPVSHHHHLVVVDPSTVYSPPLPALPPPPPQQPQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPGAMLPKPQADAALALLAEWFLESSGGVSLSAVANPKLRSFLRHVGLPELQRTDLAGARLDARFAEARADATARVRDALFFQLAADGWREQVVTLCVNLPNGTSVFHRGVPVPAPAPSDYAEEVLLDAVASVSASGSSNDLHHCAGIVADRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKPTVRSLLHKHQIQELGHASLLRVAHVPFNSSGSDYRAAFEMLEDVLTSARPLQLAVLEESYKLVCIDDSAAREMADMLQDGSFWSEVEAVHLLVKLIMDMVKEMETDRPLVGQCLPLWEDLRGKVRDWCDKFNIDEGAALNVVEKRFRKNYHPAWSAAFILDPLYLIKDASGRYLPPFKFLTPDQEKDVDMLITRMVSREEAHIAVMELMKWRTEGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARGFRCSPSMLRWLSAPGSLAGGIDRAHRLVFVAANSKLERRDFSSDEDKDAELLTEGDDDVLNEPGSLERSSV >OGLUM02G15500.1 pep chromosome:ALNU02000000:2:15012080:15012301:-1 gene:OGLUM02G15500 transcript:OGLUM02G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMGEEQKAYRVCTFNSGFSSLSGPEPFRYRRDEAMRTGAIIARLGDDQGKEHEEEDDDDDDNDRAHDNLT >OGLUM02G15510.1 pep chromosome:ALNU02000000:2:15014573:15021962:-1 gene:OGLUM02G15510 transcript:OGLUM02G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDIVVVDGGGRRAAKSNNRRLLHFDDIDRTSNVPSTAPLRLRAQVSTTELDAGWEAILHRLNGSTWTPTIRPSPMPSAMRWARKASAMPGLATTMDRASGRHRRTSSSRRVLWYISGNIAPPPPEKSIFALSLLPSYVAKYPIKK >OGLUM02G15520.1 pep chromosome:ALNU02000000:2:15077145:15077405:1 gene:OGLUM02G15520 transcript:OGLUM02G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGKSLGLSYLSAAFGLPLHSTSDTGEDVRLIHLLNFKVHELLQSIENPYRLSISVIDGCRSGVLRTRGTCRPERRKKT >OGLUM02G15530.1 pep chromosome:ALNU02000000:2:15089679:15095452:-1 gene:OGLUM02G15530 transcript:OGLUM02G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLIGSGNLSLGAMIVLRSGHWFLLRRGQCTKVLRFRKTNLMPKLFNKETSRLKDIADREGPKHPSFYPMAGLLEKIDHLCKAKEIMQAAQIPSPTSLPFSSPFMTLVLPKRKAMFDHAPPAEILDVAPLQAQPSSPEVIPAVLQIPMAPLKFDHRLGIGKPRGKSAKKLKELAGISKILIPGSKITESDFNVLEDDINSDSSPSNFSLSLLQKMGVDLCGLNPEDVAESSLGGEKRKKLPRPNMDD >OGLUM02G15540.1 pep chromosome:ALNU02000000:2:15143619:15143948:-1 gene:OGLUM02G15540 transcript:OGLUM02G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRLHRERGKFKRKGKAMGTDSQELHQYYMEESNSMIMNMNAHNKAARQRALPRATRLYHSGFLLSF >OGLUM02G15550.1 pep chromosome:ALNU02000000:2:15146411:15149739:1 gene:OGLUM02G15550 transcript:OGLUM02G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCPRRLLLLSILLVVLLLATKWMTMLKVVTHRFFTIVTSLVECLNPHIGDIYELSRKWLSLQTDSHIAGPTGQFCAGSTAIGPHWGPFHCLYPAPPSSSTPPPGAASGGGGRVGEGKMWWERKKYRD >OGLUM02G15560.1 pep chromosome:ALNU02000000:2:15240612:15252156:1 gene:OGLUM02G15560 transcript:OGLUM02G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAAFSPSSPAMVAPSPRRNCPPTDASRWIWRACQEKGEGAWGNGGGGSRKQARWHELGLEIDGTPLIWSQRNTPFTRLELEVDGEPHPVRTSSSMQFLCIQQQESGVD >OGLUM02G15570.1 pep chromosome:ALNU02000000:2:15246042:15269534:-1 gene:OGLUM02G15570 transcript:OGLUM02G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLCGICMLFIKQVKDCSIAQNQILVEKTNGTNEKGSEEYGWINSYAELLRDQTGRGTDEALGSRYLRSSNFHETRTIQVKSTVLAREVARWP >OGLUM02G15580.1 pep chromosome:ALNU02000000:2:15273464:15292283:-1 gene:OGLUM02G15580 transcript:OGLUM02G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKILQKKGFHEAKDSSRRIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEGGDFLRGKNQREIREIGKRREKPEGERRGRAEVKNLEGGEKTGGRQRRREEGVPGGGRRISKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >OGLUM02G15580.2 pep chromosome:ALNU02000000:2:15273464:15292283:-1 gene:OGLUM02G15580 transcript:OGLUM02G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARYPIEICKKWFKLFHSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >OGLUM02G15580.3 pep chromosome:ALNU02000000:2:15273464:15292283:-1 gene:OGLUM02G15580 transcript:OGLUM02G15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKILQKKGFHEAKDSSRRIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARYPIEICKKWFKLFHSKLNSIIREQGLRDVGQLEQDLGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >OGLUM02G15580.4 pep chromosome:ALNU02000000:2:15273464:15292283:-1 gene:OGLUM02G15580 transcript:OGLUM02G15580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSDTSSQGGDHRSFRQITRDRLLFEMLRSTRKHSKSTWKVLIMDKLTVKIISCSCKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIGMFLNDMSGRNPLYKNSPIQKELVTQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENALDSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASVTTTLRDLVPTKLAAAVWNCLSKLKTSIPDYPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSSTEKKDVLLEDHDPIWVELRHAHIADASERLHDKMSNFVSKNKAAQLQQARTGGEISNRDLQKMVQALPQYSDQIEKLSLHVEGVSRENKLRLLMIYAAINPEKFESDKGEKLMQKKHGLRKERTGEESTWALSRFYPVLEDLIEKLSKGELPKDEYYCMNDPSPSFHGLPMSSSVRTSPAHQPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHSSSDFKKLGQRIFVFVIGGATRSELRAVHKLTSKLKREVILGSSSLDDPPQFITKLKMMSVDELTLDDLQI >OGLUM02G15590.1 pep chromosome:ALNU02000000:2:15294530:15307378:1 gene:OGLUM02G15590 transcript:OGLUM02G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRDSGAFGNGKELRGGDRGGSRGQCRRWHRVRNSCGLQCGPVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTVTAAATWWSQCKVEEELGKGGVQESSAHNLFDRMTSQHKVFNDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEAHRSKAAEETIASIKATTAVLKATSPTAPMASPTPARTKCLTECPNNNLTWATASSSHISEDTAPTVAWELGDNKDKGHAPCVVTKDSLEVTPTMCSTKCSGPTVEPDLTVAVVVTSATTAAASMELVAAGNAIGATYINNLDHPKVTHAKCLMLDLGSNSGDNQTMVTFQTLVDMTKGVFTPDATIEVSSPRKIAEMDLVIVMPTGCSMLFFDKGASELLPVRRHVMWQLLLEQCKRNPWSPPNSVYQVNGIWELWHVPWLDFNYFRTRLCLMPPWPSSTQIGTIMLWLVANSWLRIVELKPWPDPQSSQCSIGGRWTELKVPWSALDCECSMGDDLCSANCIRNEALSVALSCAPKGDLNHKKIDGSQKDTLVALLIRIDSYAYITVETQIRHIMGCNYLILIMCAAIITTTFHLAMITTQGNNVYGTNQLHEKLIARLILLTMRGLPLPIHGNGSNVRNYLYCDDVAKAFEVVLTRVSHVYHIGTAKERRVIDEAKDISHSILWPKLKHVDVQEDGNNRWTRDFSSRSIVLKGRKDCGLCWCALDVIRDVKVDRVLQLLNIPWDPGGSYVTLAGHSSCHIGYITREALTEEIKYKSLHQTYPLVKFPQLRSSAWGQAEFQEMGIPNQDKEENYKTAKLHFQGLSQDQLVNGDGGGDLGAVALLDIDITTTWTGKSYEEFTLQSNW >OGLUM02G15590.2 pep chromosome:ALNU02000000:2:15294530:15307378:1 gene:OGLUM02G15590 transcript:OGLUM02G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRDSGAFGNGKELRGGDRGGSRGQCRRWHRVRNSCGLQCGPVQWPSKPSPTKTRRRTTKQQSCTFRGYRKINWQTVTAAATWWSQCKVEEELGKGGVQESSAHNLFDRMTSQHKVFNDDERIAEPVPIKSTMNKEGISMDEALDRILERFELMEANRRQEEKFNQILQKLEEVEAHRSKAAEETIASIKATTAVLKATSPTAPMASPTPARTKCLTECPNNNLTWATASSSHISEDTAPTVAWELGDNKDKGHAPCVVTKDSLEVTPTMCSTKCSGPTVEPDLTVAVVVTSATTAAASMELVAAGNAIGATYINNLDHPKVTHAKCLMLDLGSNSGDNQTMVTFQTLVDMTKGVFTPDATIEVSSPRKIAEMDLVIVMPTGCSMLFFDKGASELLPVRRHVMWQLLLEQCKRNPWSPPNSVYQVNGIWELWHVPWLDFNYFRTRLCLMPPWPSSTQIGTIMLWLVANSWLRIVELKPWPDPQSSQCSIGGRWTELKVPWSALDCECSMGDDLCSANCIRNEALSVALSCAPKGDLNHKKIDGSQKDTLVALLIRIDSYAYITVETQIRHIMGCNYLILIMCAAIITTTFHLAMITTQGNNVYGTNQLHEKLIARLILLTMRGLPLPIHGNGSNVRNYLYCDDVAKAFEVVLTRVSHVYHIGTAKERRVIDEAKDISHSILWPKLKHVDVQEDGNNRWTRDFSSRSIVLKGRKDCGLCWCALDVIRDVKVDRVLQLLNIPWDPGGSYVTLAGHSSCHIGYITREALTEEIKYKSLHQTYPLVKFPQLRSSAWGQAEFQEMGIPNQDKEENYKTAKLHFQGLSQDQLVNGDGGGDLEFTLQSNW >OGLUM02G15600.1 pep chromosome:ALNU02000000:2:15299987:15300434:-1 gene:OGLUM02G15600 transcript:OGLUM02G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKSRLDPMFWPKDRISYRYVLSLINHPSFLRSTDMIHMADLTLVRTTSKALATSSQYK >OGLUM02G15610.1 pep chromosome:ALNU02000000:2:15307658:15308480:1 gene:OGLUM02G15610 transcript:OGLUM02G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVALECGDDRAKGGVMSRLAESSRSRGHVAGRPVRRHLGARLSALPPLAAAASTATLGFEGGALQQGRRHCATMVVMALVPGGQEGREETSLRDPDAAAAAAGSCTIVGSRQCRPLTSSVPRHPSPFTTVTLFPYLCPRFIWVLVREFLLIRVHISVLQFCSVHGISH >OGLUM02G15620.1 pep chromosome:ALNU02000000:2:15313997:15315729:-1 gene:OGLUM02G15620 transcript:OGLUM02G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTMRTTTRRRCDDCCSRPPTPLAKMLVWSVYGLPKWNFTNHINQRAKSQKLPYSMISGAGATCRGRGLDDEAEVEARDVVERLDAAEEGRVWGRDGGAVEHGGVDLDLLILGAAGGVEAHPRGRHRRPLLTVERRGSREECGEKVSDQITPHFSSPSSLLPYLICEQFPGSTWTLPEGDFPFSGMRGFNACTRESLGNALRRGKGAARDPLPPWITTYFNRNGNEPRFFCDDGLDALWRVDWMVLLSDNYFVLGLFLVSRIERVLPRMFPCHDAAFHLLGRYLLHPRNVVPCLYYEQDIAGRESMSVFQPTHLDRQQSGEKLHNQEEEEEYDKWGQGYF >OGLUM02G15630.1 pep chromosome:ALNU02000000:2:15315124:15315750:1 gene:OGLUM02G15630 transcript:OGLUM02G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDGAAVPPPDAPLFRRVESLDHVPRLHLGLIIEASTATSSWRLGRGSTRGRRRRRRPGEAALPREIHDEIGLEFLGNVTGKPYTLHTNIFANGVGGREQQSSHLLLVVVLIVHIVARLP >OGLUM02G15640.1 pep chromosome:ALNU02000000:2:15321624:15323488:-1 gene:OGLUM02G15640 transcript:OGLUM02G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTILPLCLGLLLFFQVSMAQFSFGGSPLQSPRGFRGDQDSRHQCRFEHLTALEATHQQRSEAGFTEYYNTEARNEFRCAGVSVRRLVVESKGLVLPMYANAHKLVYIVQGRGVFGMALPGCPETFQSVRSPFEQEVATAGEAQSSIQKMRDEHQQLHQFHQGDVIAVPAGVAHWLYNNGDSPVVAFTVIDTSNNANQLDPKRREFFLAGKPRSSWQQQSYSYQTEQLSRNQNIFAGFSPDLLSEALSVSKQTVLRLQGLSDPRGAIIRVENGLQALQPSLQVEPVKEEQTQAYLPTKQLQPTWSRSGGACGQQNGLDEIMCAFKLRKNIDNPQSSDIFNPHGGRITRANSQNFPILNIIQMSATRIVLQNNALLTPHWTVNAHTVMYVTAGQGRIQVVDHRGRSVFDGELHQQQILLIPQNFAVVVKARREGFAWVSFKTNHNAVDSQIAGKASILRALPVDVVANAYRLSREESRRVKFNRGDEMAVFAPRRGPQQYAEWQINEK >OGLUM02G15650.1 pep chromosome:ALNU02000000:2:15339765:15341504:-1 gene:OGLUM02G15650 transcript:OGLUM02G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERGDLFAPTPSRLLLQLRPALAALPYHAIKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQREEKTSLIAHSLSGCSKSVIIASGESSYHHSSLIHLYSLQNLTCFFCVVPCLHGVSLNGLLGLRFGSILAFK >OGLUM02G15650.2 pep chromosome:ALNU02000000:2:15340305:15341504:-1 gene:OGLUM02G15650 transcript:OGLUM02G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERGDLFAPTPSRLLLQLRPALAALPYHAIKDFRKVHLSMLPLQRRSSSRGSSHQHAGPDDQCQPHVLTVPFYHQLVSRSEVVEVEVNGLRQKSSADKRMQVYTSHWYNIHFRFL >OGLUM02G15660.1 pep chromosome:ALNU02000000:2:15381973:15382593:-1 gene:OGLUM02G15660 transcript:OGLUM02G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSIRWQSTQDTAVEEIAVVFLKSGYRLSSSNPCIRAEAWGVVVAVALPFFAAAGVAIAVHPSPTYRRPSWSPPLALFPASIPAISGDKTNIVGCARAWCTFLLLGATSDSPPFAADVDVKPAGR >OGLUM02G15670.1 pep chromosome:ALNU02000000:2:15382241:15382831:1 gene:OGLUM02G15670 transcript:OGLUM02G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHERGNAPKLTRMQGFDDDSRKTTAISSTAVSWVDCLTFWNLCDDDGAVVRPETHRMDGNGIATSGATMSSTQGVRPVRNEISQTQMDQVEVADHVSMDEMRRRSPEKTRNGSNIFGLKIEKSVLGSSEKVLGVFPSGTR >OGLUM02G15680.1 pep chromosome:ALNU02000000:2:15390241:15390636:1 gene:OGLUM02G15680 transcript:OGLUM02G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRVKVLIPGLAPSEMLKAEVSLDSQPIRWCLSPPPIKRRLKDTLPKDKVELDELRVDLASLRRGFCAKHFHLYKAVGKEDLYCAPILMRTQIAGI >OGLUM02G15690.1 pep chromosome:ALNU02000000:2:15401420:15405822:1 gene:OGLUM02G15690 transcript:OGLUM02G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAVVNVLVVVAAAMLYALLVASHLAPGIGRLLALTLVLALLLNIPFAIPRFTAPAAPRPSSSSGWLLLLASGRGPLDPSIPPLAFVFSAALPVKLIRVPSDDAVVTKLASMPIMSLAIKLAVMAVAIFYLLHKKNEIHGYTAFTIYAILTYCFLDFLMPCVAAVGAALGMELEPQFDRPYLSASLQDFSGRRWNLMALAMLRPAVYDRVRARLGAPGGVLSTFLVSGLMHEVIAYYISFRAPTGQVTAFFALHGVCMCAERWCTRRCRRSPPRVVATLAAHRGTGSGVLSTVCERMATAVMDSELGSLVKVSAAVWAAMFYARLAAASLRPGAPRLAALLPVVALFCVVPFSFSTTTFRGCSAFFLSWLGVFKLLLLAAGRGPLNPTHPLHHFVFSASLPVKLRHLASAKPAKGVDPAPANESAAGKILVSGAVIPLIIYTYQFKNAMSRYQLLILYTGHIYFSLQLLLAVVHGLIHGVLGMEMEPQVDRPYLASSLRDFWGRRWNLMVPAILRPSVYRPVRARLGDAAGVLAAFLVSGLMHEAMFFYIMWRPPSGEVTVFFLLHGVCTAAEAWWARHAGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMIKAGLDEMVLHECQGMVAVMEQSGRWLAGATNLTFATR >OGLUM02G15700.1 pep chromosome:ALNU02000000:2:15508956:15509615:1 gene:OGLUM02G15700 transcript:OGLUM02G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWCPEMASKAYIDGVRALAGHDLAGAAADVAELVSAMAGGWNARLVVEAPDSAAPAAAATSLALAAAARRTGGRYALVLPDRDAAAASAAETAEVVVGEADEAMAGLHGVDLLVVDARRRDAAAVLRAARPGARGMVVVRHGDGRQRGAKDLAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLQNHRDRRSTSRWIRHVDHDTGEEHVFRRQ >OGLUM02G15710.1 pep chromosome:ALNU02000000:2:15517798:15518166:-1 gene:OGLUM02G15710 transcript:OGLUM02G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVWVFKDGIVRRVENPGSEESSSAGDGGGGGRRKVLVHVPSGEVVASYEVLERRLRELGWERYLTDPCLLQFHQRSTVHLISVPRDFSKFKLVHMYDIVVKTRNVFEVRDAAAPAVSPAI >OGLUM02G15720.1 pep chromosome:ALNU02000000:2:15522882:15523685:1 gene:OGLUM02G15720 transcript:OGLUM02G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLPLSAAIVGAEESVDKEVLEMEYLFEKFLMPSDLCSNTEWLGIPEEHVRKFGMMLEDRDGYSVIFFQDGVVPGKLWCFRYWKSNGVHGLTKGWRCFVREKGLKAGDTISFFRGSACGRLFICCRLGTHATFASSSTLHHGFSMPPPPARPLVGLESGMLARDVPLLGQARLHDGNQDGGSAPSRHVPSSGQRVEAQLSRVSSRRQGRTMKHSIPEPTIETPPILESMFLIAAPPAVKCLRLFGVNIYVLPVSSSGQPKQESSP >OGLUM02G15730.1 pep chromosome:ALNU02000000:2:15526460:15527278:1 gene:OGLUM02G15730 transcript:OGLUM02G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPLPTSIDGGQVLDDMEVVEMKYLFGKVLMPSDVSWDTEQLVIPDEHVGKLLDMVVMNRPEGGFFVVVVEDGEVTGKLWLFRYWKRDDVHCLTKGWGCYAREKGLRAGDTVSFFHSTACGRFFICCRRTCMSFLSLPTTSHRIHGSSVLPQPRAAQAAHHPFSGHATLCLGNKASDHSAPARHATASLGCAAAQPPQVPPTPTPRRRRRSMMVHPEPPEHTTDGMPVILESMALVSTPPVAKRVRLFGVYIDVPPLRPGGEATQDFNP >OGLUM02G15740.1 pep chromosome:ALNU02000000:2:15531476:15535932:-1 gene:OGLUM02G15740 transcript:OGLUM02G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COG4 transport (InterPro:IP /.../7), Pentatricopeptide repeat (InterPro:IPR002885); BEST Arabidopsis thaliana protein match is: Pentatricopeptide repeat (PPR) superfamily protein (TAIR:AT5G46100.1); Has 26268 Blast hits to 8959 proteins in 289 species: Archae - 0; Bacteria - 3; Metazoa - 247; Fungi - 222; Plants - 25350; Viruses - 0; Other Eukaryotes - 446 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G01400) TAIR;Acc:AT4G01400] MAVASYPLPIPRSPRPDAALPPDASTDTAPSLDFGDPASLVALRALTDAGAATRLLHECVAYQRALDARLDALLARRPDLDRAAASLLRSAPPLLSLAASDAAALRDSSSSTAALAEALSSRVRHLDAAHSRAEAALARAEAALDRSRALDAARRALAADDLTAAATAAHDFLAIDARFPTDDDLRRDLLDIKRRLEGLARRRLADAVDALDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGCLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILHRYADYRKLARLASDINSYTKNLLSVVSSVANAAGGNEGPDPREVELYLEEILSLTQLGEDYTEFMVNKIRGLQDVKPELGPQAMKSFRNGSFNKMVQDLTGFYVILEEFFMVENVRKAIRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSIFAVLGGAASLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDVSSEYVLKLRHEIEELCSEAFHAPADREKIKSCLSELGEISASFKKILHSGLEHLVASVAPRVRPVLDTVATVSYELDDAEYGENEVNDPWVQKLLLAVNTNVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >OGLUM02G15750.1 pep chromosome:ALNU02000000:2:15550964:15552919:1 gene:OGLUM02G15750 transcript:OGLUM02G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSTIVPLCLSLLLFYQVSIAQFSFGGGPLYSSRGFRGDSVSQHQCRFEHLAALEVTHRDRSEAGFIEYYNTEVRNEFRCAGVSVRRLAIESRGLALPVYANAHKLLYIIQGHGVFGMALPGCPETFQSVQSAFEQSSTQKLSDEHQQLHKFRQGDVIAVPAGVAHWLYNNGDSPMVAFSVIDFGNNANQLDPIPREFFLAGKPTSWQQEQYSYQAEQQSDNQNIFAGFNPDLLGEALGVSRQTAMRLQELNDQRGAIIRVAQGLQALHPSFQTEQVQEEQSQEQQQQPTWSGRGCAQNNGQDEIMCAFKLSKNINNAQSTDIFNPRGGRITRANS >OGLUM02G15760.1 pep chromosome:ALNU02000000:2:15554748:15571708:-1 gene:OGLUM02G15760 transcript:OGLUM02G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDADPAPAPAPAIASLQPADDDWAARDDLEEAPAKPHPHSAARDDDDAREAPRARAAQDNESSNVSYIILSDVNGIKSSLQSLELQSVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVLLAKTLGVSKLVVVINKMDESTVGWSKERYDEIEGKMVPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSNCSWWSGPCLFEVLDCIQVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTISEGDNMLVMPNKANVKVISVHCDEDRVRSAAPGENVRVKLSGIEEDDITAGFVLSSIKNPVSAISEFRAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRRKEGDIKKKKSKRKPLFVKNGAVVVCRVQVILETSSVNCSDTIWIHRSGIKV >OGLUM02G15770.1 pep chromosome:ALNU02000000:2:15577728:15578399:1 gene:OGLUM02G15770 transcript:OGLUM02G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWASPAGQHLGRQQRLGGGGDKAASARGDKEVVMARDGEEVTAALHRLWGSDEASSAGGSRSVRWRRKTVLRVLTGHPPVAQAPRSRFISSSLTLASQSHDSVGSNAGWGRGCSLRSGSTS >OGLUM02G15780.1 pep chromosome:ALNU02000000:2:15590476:15593745:1 gene:OGLUM02G15780 transcript:OGLUM02G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLDSFVTRCTASLEDFAGQEACGALGIGDDVRCLLATLRRVQAVVSHEERRGRVLSAKVDAWVAQVKDAMYETDDVLDVSMVEGGKMLAEGDSPPTPKARCSLMFSCFKTASAPKFHHEIGFTFREIDAKLREIEEEMPRLPAGSLHSESRRDWFSRGICSNFSDAIRPLAVGTQVQKSLDGLVPRMIREGKKKVDVLAIVGAVGIGKTMLAREIYNDERMTETFPIRVWVKMTKNLTDVDFLKKIIIGAGGGVNVGEIESKKELLGIVSSTLSKRFLIVLDDLDNPGIWDDLLKDPLGDGVARGRILITTRSEEVATGMKAMVHRVDKMDAENGWALLCRQSLPECSSEELASLKDVGIKIVERCDGHPLAIKMVAGVLRSRGKSKAEWEMVMRSDVWSMRPIIPELPQALYLSYVDLPSELKECFLHCSLYPEELPIQRFGLIRRWIAEGLVSDKDNKLLEDSAEEYYAELVSRNLLQLYAGNLDQCWITHDLLRSLARFLITDESILISGQQRLSTDPLSLSKPRHLTLCNMENRFDDPISVKQQMSLRSLMLFNSPNVRSIDNLVESASCLRVLDLSKTALGALPKSIGNLLHLRYLNLDETQVRDIPSSIGFLINLETLSLQNCQRLQRLPWTVRALLQLRCLSLTGTSLSHVPKGVGDLKNLNYLAGLIIGHDNGGPEGCDLNDLQTLSELRHLHIENLDRATSGASALANKPFLKDLHLCEQAPLIEEQQSEQEQENQDDQKETEEEEKEVLDVTNSQFSREESIKTSEKIWNELTPPQNIEKLVIKNYRGGKFPNWLTGPKLGISFPSLVYLDIDNCMSCTALPALGLLNQLQSLQISNADSVVTIGPEFLGAASSSSATASFPKLEILKLRNMKKLEEWSLAVEENQILLPCLKSLHIQFCPKLKALPEGLKNVSLRELHVEGAYSLTEIKDLPRISDDLQLKDNRALQRISSLPVLQSLTIDNCPKLKHVSGLDTLQHLRLAFPPSTETFYFDELIIFWSIAFPRWLELLIRKRDGLRHFELQCSLSLLRSCLDGGKNWSIVQQIPEVRITSTDGKRYIRYNKRRCIYETNAQSED >OGLUM02G15790.1 pep chromosome:ALNU02000000:2:15601545:15604718:-1 gene:OGLUM02G15790 transcript:OGLUM02G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNVEVSHRQSSPSLSVSRKRWKVGFGRGSGPRRRRRRMELRSEPATVAPAAGKKQRLRQRGCDDSLRGKEAPAPVMGKNQRRRRRGCGGSPRGEEAPAPAAGKNQRLWRRQSTRGRSPERMRRRGGWLVLSRQLGNPREERPLESHSIAAVMPAPSSRGVARKSVPFIGVKKPRRHRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQHFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTIMSKDVQLARRIRGERL >OGLUM02G15800.1 pep chromosome:ALNU02000000:2:15635140:15636011:-1 gene:OGLUM02G15800 transcript:OGLUM02G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YRW1] MARTKQIVRKSTGGKAPRKQLVAAIHAAARKSAPCIGGVKKPHRYRPGTVALREIRKYQKNTELLIRKLPFQRLVREIAQLFKHDMRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHSKRVTVMSKDVQLARRIRGERL >OGLUM02G15810.1 pep chromosome:ALNU02000000:2:15639821:15645091:-1 gene:OGLUM02G15810 transcript:OGLUM02G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRAAAVAAAAREEEEASPAQRLVEAALRGDVATVEACLAAAAAAAAAAADDDTDDGVPAASRVGVARLRVRCAEVALREEAAGEVIVESRELKTDVSPLFAAAHAGHAEVVRTLLVAGADVNQKLFLGYATTAAAREGNIHILEMLLQAGVTREACEDSLSEAALFAEAEAVRLLVCSEMIQPEAAAHALVTASSRGFDDVVVILLQNGVDVNSMARVLLRSVKPALHANVNCTPLVAAVMSRQISTVKLLIEEGSYLDCLVQVGSWCWDSATGEELRVGACLGEKYNAIWCAVEYYECSGEILKLLLDKAPWLLETPRKGRNLLCHAILCQNPNAVSVLLNAGANPRFPIMMTNGTHVSYPIHFAARLGHAPVLKQLMLDGANINAQTSTGDTPLMVSARCGHSDCFLELIKSGADLGIVNNAGDTAIMLAKKSSFSSTIIDILSRALSCGGCITSSDITVFSPLHFFAASDSAEALLMTLHYSAADLNRPDGSGLTPVMVAAESGHADIFRLLVMAGADIAATSAEGKSAMSIIRSRAPETRDRFEQILLQASLADAIAGQQPSFRPLHYAARIGDTSSLTQLLKMGHDPNAMDEDGYTPLMHAAAAGKLEACRALVSRGGAADAGSETALSVARRSGRSNKATEEWLLDHVARTHVLAGEELTKHTRGGRGPPHRKAVRMMRSGVLTWGATRRRNVACREARAGPSAAFRRRNRRIIRPGSEEQLILMVETVTGREIHFEATSASSVELWVRGINLIVQDCAWSRPDEAEQA >OGLUM02G15820.1 pep chromosome:ALNU02000000:2:15658132:15673513:-1 gene:OGLUM02G15820 transcript:OGLUM02G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYYYLQAWMIRLEKLKEEVATMITSSIACSLLERLQLVDALERLCVDHLFEEQINDLLVQISSTNVMDCDDLHTVALWFYLLRKHGYRVSQDVFVKFKDEGGCFAVNSPRDILTLYNAAYLGTHGEIILGEAISFAKRYLESTLPNLEGLLAHETKCALSIPLPRRVRIYEAKDHILTYEKEHATHEVILELAKLSSNIMQLHYQEELKIISRWWKDLQVESRLSFARDRIVECYFWIVGVYFEPKHSRGRIILTMVIAIVTLLDDIYDIYGSTEECEVFTRCMERWDRKAAHDIPEYMKFVYEKEQRKKIDFKVLSRFKG >OGLUM02G15830.1 pep chromosome:ALNU02000000:2:15761293:15766288:-1 gene:OGLUM02G15830 transcript:OGLUM02G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSVHAQPWSSSCQPAAAASSQRQCSTTPPWRRPWRQPRTRSPGTLRCRQAQQQLLLSTGEWIVQLERLKEEVGNMITSSVTSSLLERLHLIDALERLCVNHLFEEEINILLMQISSSNNVNDCDDVHTVAMWFYLLRKHGYKVSQDVFVKFKDEEGNFIAKNPMDLLALYNAAHYRVHGEKILDDAILFTKRCLHSMLPSLEGSLAREVKCALEIPLPRRVGIYEANYYISTYEKEGKVHDMIVQLAKLNFNLMQLQYQEELDIITRWWKDLQIQSKLPFARNRIVECYLWMLGVISIATIFDDTFDSYGTIEECELFTKCLERFYFIWELVADELPDCMKHVLEKVFQSYQIIEQELSEDEKYRMPYLRSFTEDLVRNYNREVKMREESYVPKSVEEHLQISARTGACHLLACASLVGMDVTATKESFDWVSTMPKMVLALCTILRLVDDLKTYEREQLTPHVASSIDSYMKQHDVSIEMARFKIEELKEEQWKDFNDEWLDPDSAQPRKLLEAIFNLTRTMEFIYNQADNFTYCHNLKDTISSLLVEAFPVN >OGLUM02G15840.1 pep chromosome:ALNU02000000:2:15845747:15847580:-1 gene:OGLUM02G15840 transcript:OGLUM02G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMKLPATFLSFSSHQPRGSSHCSVASAAYAAEPRLGEGAVVSKKTPSRSPCRTMAKRSMEERAEYLKKEVAKLIVNSRTDSLPEKLHLIDVLERLCVDHLFEEEINAVMDEISDADVSDCELHTVALWFYLLRKHRHRVSPDVFLKFRDEDGIFEAEDARDLLSLYNAAHLTTHGEGILDEAISFTKRQLRSLMPKVVEGSLAHDINSALEIPLPRRVRIYEAKYFMSTYEKGASVNEMIMELAKLSYNIMQIHHQQELKIITRWWKDLQLETRLSFARDRVVECYFWIAGVYFEPCYSRGRIILTKVLAIVSILDDIYDVYGSPEECEQFTKCIERSVFSHIWIKLFIYCE >OGLUM02G15850.1 pep chromosome:ALNU02000000:2:15889127:15890788:1 gene:OGLUM02G15850 transcript:OGLUM02G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSGPHRQLLGGILLLLLLLLGRTAAVGARVTAVIVFGDSTVDAGNNNAVQTVVRSNFPPYGRDFPGRRATGRFCNGRLATDFYSEAYGLRPFVPAYLDPDYGIRDFATGVCFASAGSGLDVTTAGVFVSETL >OGLUM02G15860.1 pep chromosome:ALNU02000000:2:15900031:15902713:1 gene:OGLUM02G15860 transcript:OGLUM02G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYSSIFNLQAIVPCPYDAGSVIPLWKQVDYFREYKRRLAAHLGAAEAEAVVSDAVYAISMGTNDFIENYFAGTTRRYLQFGVGEYTDFLVGLARGLLVELYGLGARKVAFTGLAAAGCLPLVRARRMMFCAEEYNAAARAFNGALRGMIAELADGLPGAQLRFADAEVGCCGTGTYEMGYTCSAWDARTCRDADRYVFWDAVHPTERANRIIAEYLFNTTFSHFL >OGLUM02G15870.1 pep chromosome:ALNU02000000:2:15910789:15919754:1 gene:OGLUM02G15870 transcript:OGLUM02G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKQEIVNPIATKEVRTPKTFFGLGANNGEDIEMGGGDSDSEHVDLTYEHLRCSSTSKIGFELPQALFPHVVNHAQAHNHAQAHVLPTHS >OGLUM02G15880.1 pep chromosome:ALNU02000000:2:15925741:15927175:-1 gene:OGLUM02G15880 transcript:OGLUM02G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin family [Source:Projected from Arabidopsis thaliana (AT3G05330) TAIR;Acc:AT3G05330] MVARSPDARQSRQTAAAAAAAAALNPALVRETLKKVDRCMARLQELQYTVAGGAKVVSGVSLSPRSTRGYLRTSLRCKQETVRQVLDPDPLMRATPARKTSPNGKFGGSDGGATQWRRMSLPAMLLGETVLEIVQASKFASDIVAVVDAGANKNREAPKTPNPVTRTRKVNAEATPLRARRAREKQSQRGTARAEASTPPSLGRVRSRIQFKPASPLGRPSVSANRVSPRNRPWAKKTVMFPNPAFLASTSSAAYDSPSPSKKQKRFYKTRSPIIARQTPHKFLVKSPPSSLGSKLKSHGKLLPSRPFTVSPPGKVQVAAAAASVSKTRRCTFSPSRLVSRLVSSPGKAQAAASNNNNNKGRRCSFSPSRLATRLVSPIKARLSLNRSRDGGVHGGGGGMVCGLKQRPGVSMTVRTVSSRIPS >OGLUM02G15890.1 pep chromosome:ALNU02000000:2:15931205:15933292:1 gene:OGLUM02G15890 transcript:OGLUM02G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G42120) TAIR;Acc:AT5G42120] MPPRCRRLPLLFILLLAVRPLSAAAASSIAAAPASSYRRISWASNLTLLGSASLLPGAAGVALTTPSRDGVGAGRALFSEPVRLLLPQDAAASASASRAATPASFSTRFTFRITPSPTYGDGLAFLLTSSRTFLGASNGFLGLFPSSSASDEGELRDVSTVAVEIDTHLDVALHDPDGNHVALDAGSIFSVASAQPGVDLKAGVPITAWVEYRAPRRRLNVWLSYSPSRRPEKPALSADVDLSGLLRTYMYAGFSASNGNGAALHVVERWTFRTFGFPNSSYAPPPTKYIGPMPPNNQPLPPPPSPSPSPPPPSPPPPPHPNHRRRHLFYKVLGGVLGGMVLLGLVVVGSAVLLGRSVRRKNQEHAVASEDMGETTLSMEVARAATKGFDSGNVIGVGGSGATVYEGVLPSGSRVAVKRFQAIGSCTKAFDSELKAMLNCPHHPNLVPLAGWCRSKDELVLVYEFMPNGNLDSALHTLGGATLPWEARFRAVYGVASALAYLHDECENRIIHRDVKSSNVMLDAEFNARLGDFGLARTVSHGGLPLTTQPAGTLGYLAPEYVHTGVATERSDVYSFGVLALEVATGRRPAERGISVVNWVWTLWGRRRLVDAADRRLQGRFVADEMRRVLLVGLCCVHPDCRKRPGMRRVVSMLDGTAPLILVPDKMPPVLLQPVPNASSMNSADTANTAFFSCR >OGLUM02G15900.1 pep chromosome:ALNU02000000:2:15960690:15964355:-1 gene:OGLUM02G15900 transcript:OGLUM02G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHQLKQESSTNVAGGVHAKSLLLLLRARFCLLADLTSGAITTESLRRSAASVLGLGGGAVGGEITVEETTAMVQEGDQDSDNALSKVEFYVLMVRLSPGIMGDTDGWHDEAIANKLLHSTLPGAFSSMET >OGLUM02G15910.1 pep chromosome:ALNU02000000:2:15966658:15966981:-1 gene:OGLUM02G15910 transcript:OGLUM02G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPAAPPSRGLDPTPLAPEWVTAELEGGGSGSAALRRGGSAILSPGQQQQSSRAVDPAVPPSASREAMATTEIEGSRSGGTVLGPRRGVGDGGVQGQRIQQRHPQP >OGLUM02G15920.1 pep chromosome:ALNU02000000:2:15968543:15971050:-1 gene:OGLUM02G15920 transcript:OGLUM02G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGTPLINMLTLSLISRKDGEELAIVEAPSVTVAGVEGRTSLAANTSDELPTESSPRPRCQHPSIDRISYGGGAEQQRGGGAREGEATSLRPLPACASSSAFCGDDKKHGDRTPVTGALPEPNAKRERYVYYGNRESNSEEGINWKM >OGLUM02G15930.1 pep chromosome:ALNU02000000:2:15979280:15980450:1 gene:OGLUM02G15930 transcript:OGLUM02G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTGTGSGGEVASQEALAAGEWHWSLDALALAIRETASQEALAAGGEAASHNGTRQAGGVATGSRWGSRGAVAVASRVCGAGMGTGLCGTRV >OGLUM02G15940.1 pep chromosome:ALNU02000000:2:16004228:16004854:-1 gene:OGLUM02G15940 transcript:OGLUM02G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPVLLLLAAASPAALGAFDVNQMLADKSQYGSFLKLLTQTKVAEETNRLKSASLLVLQDKAMKPITSLPADKQRMAMANHVLLKYFDPIQLGEMKDRTAMLPTLLSNTDKKLGVVNYTKASDGQMYLGAPGAACVAKLVKVVAARPYAISIMEVSEAILPPALGGSGGPGRRAKGGKGKVKPKSSDADEAAAKPATEPKATDVPK >OGLUM02G15950.1 pep chromosome:ALNU02000000:2:16009486:16013276:-1 gene:OGLUM02G15950 transcript:OGLUM02G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFATTSLPADPVTRRRGTAVSSMGKCSAPHPHLSSFQHCAGFGKMSNTVLHGSLKPLWPATASSKNSIVLGKWSTIYSCFASHTRATNEAPQHSGNCIPVDAAGFINNWQEIEMPQDGTKLRLLRNKQPSSYCPGMAKLSKNN >OGLUM02G15950.2 pep chromosome:ALNU02000000:2:16009486:16013276:-1 gene:OGLUM02G15950 transcript:OGLUM02G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGFGSHDLLAGGSGHPEARVGLAQEAHRQWSTIYSCFASHTRATNEAPQHSGNCIPVDAAGFINNWQEIEMPQDGTKLRLLRNKQPSSYCPGMAKLSKNN >OGLUM02G15960.1 pep chromosome:ALNU02000000:2:16013454:16018409:-1 gene:OGLUM02G15960 transcript:OGLUM02G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIRGGDAKVTGSGYAFGRGNLLGGIVEDLYKTKYSDQRSEKSENKTALENMETKGIFRKTCNPIPVLHPTKT >OGLUM02G15970.1 pep chromosome:ALNU02000000:2:16014092:16019330:1 gene:OGLUM02G15970 transcript:OGLUM02G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAGELEGFRRLSGLAFDELSRRDLSSASGPPPADLPTLLRLCLLSLPLSADAELALRRCTRLLASLRGILCRDLDPSLLPALEVFLDNLVSSNQLMTCFTAANAVMPRRSRITSLGSVCSGGNLFVMELMSHHFISSVQDEEGFLSALSWSAKAKLEVPEIGLSGALSLLHKSCLLSIPPAVQAHFLLLACRCADNGDLNMNLLAFEHAMDVYLSYLPALGVFRRTSGVKRPLGCSMKRRPLSSCLQAATHQKLACDINRLVLFCNLHSNDDLPINESDIVRFIEENQQVLHEQSRQDTITAVKSIVSNVLLLAKQEEMDRLYPNVSEEIICLAAALRLMGSSFIRIMHCIRQMTVGDGSQTTHCLEPCKVFNIVSETISLLGHYEPNELQRNDLFDTIGKPGDGQQGAVLMLSHFATLSVHCLRMRFNFMWKGCIFMMMIANLCATEMYHFLIDGSKASKVRCADQDGSLKASVPRKSSTVIALRFQNTQQVYIQDKLGPGFGEVCSSDSLQRCTSSYGRDNVRTILKGLTGRGEDSSDLYDFIECNPSLDYSNWWTQRKKFKKFKDHKWIRSKRHSMSRLRASKSSLQNRMDAVGRVFRCK >OGLUM02G15980.1 pep chromosome:ALNU02000000:2:16033555:16060770:-1 gene:OGLUM02G15980 transcript:OGLUM02G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKERDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGSNQTMACKNASSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGIMVFGSAEPGAQATSQMVKVVVTRPYNISVLQVSSPIVPPGIASVSNSNTGAPPPHPAKSSAPAPSPSKSKGKKSDAPAPGPSDDVDDDTAADAPGPAVDGPTADGPAADGPAADGPTEADAPAHDKGDVADAPSAAGRAVASSAGLGVVALVGLIFPSFIHGNGTTN >OGLUM02G15980.2 pep chromosome:ALNU02000000:2:16032188:16060770:-1 gene:OGLUM02G15980 transcript:OGLUM02G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKERDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGSNQTMACKNASSSAMPLLLVAGTVVLALLASPAAAFNITRILGEFSDFSTFNHLLTQTKLADEINRRQTITVLALDNGAAGGVSSLPSDEQRKVLSVHVVLDYYDTEKLGGMKMKNRSAVLTTLFQSSGQATDRMGFLNYTKRSDGIMVFGSAEPGAQATSQMVKVVVTRPYNISVLQVSSPIVPPGIASVSNSNTGAPPPHPAKSSAPAPSPSKSKGKKSDAPAPGPSDDVDDDTAADAPGPAVDGPTADGPAADGPAADGPTEADAPAHDKGDVADAPSAAGRAVASSAGLGVVALVGLIFPSITAKNFSTCGRPAMR >OGLUM02G15980.3 pep chromosome:ALNU02000000:2:16032871:16060770:-1 gene:OGLUM02G15980 transcript:OGLUM02G15980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKERDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGLQPSTHTKSSQVHHGF >OGLUM02G15980.4 pep chromosome:ALNU02000000:2:16033500:16060770:-1 gene:OGLUM02G15980 transcript:OGLUM02G15980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRRRDREKPRDRDRERGRDGERDRELDRPRDRDHRDRDRDKERDRDRDRDRERRRGERDRKRSRSPSADRSHRRHSHSHSHRGRSSPSPDAGRHKRRRDGSPAAAATDHKDDKKPEAPVVPKSAAGDGVAPGDGDVDVEELEMMKMMGIPVGFDSTKGKHVPDADVSGVRVVTKRQPRQYMNRRGGFWNEFIGDG >OGLUM02G15990.1 pep chromosome:ALNU02000000:2:16032506:16032868:1 gene:OGLUM02G15990 transcript:OGLUM02G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAAAATSPAGLLHGAGGSDELGHSSRVYRPASGRVPFEWEDEPGKPKSPPPLDAAPPLLCPSPAMQSARLTSRGDGGGGRRGRKRGKELELDGCLPVKLQLGRAMKRWHLICFFRGE >OGLUM02G16000.1 pep chromosome:ALNU02000000:2:16066350:16069294:-1 gene:OGLUM02G16000 transcript:OGLUM02G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSPSPPPPPMIGRAGNLTVFITPPSPASTPRSSRPSESPRSGFSTPATAPRTAASPSPPSPAPSPQQQRVASPPPTIPVKFSPPAAPVKVPPPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYESTGKEVECGKAGKPKELTTSKVQKLSKCVEKFDLLDLGGVKVYGLRWKGIETARRFVHSCQDLYCNPML >OGLUM02G16010.1 pep chromosome:ALNU02000000:2:16073849:16082828:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRLANENETTRRSLSLFSSSPVRCGGRRRARAHGGGGARRRYLLPVRLGGKVSRMDGGGKRGARAPMALTKLVRRRNCWSARMGSWVPGCRSWTMKSAVPCCCEWMKKLSQMSTGMFPCGFAYNYIDFSKGCFRCM >OGLUM02G16010.2 pep chromosome:ALNU02000000:2:16072681:16082828:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLALLLSNRSPCHGPPPSPPSRAATSYAPLAPATSRASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKSWTMKSAVPCCCEWMKKLSQMSTGMFPCGFAYNYIDFSKGCFRCM >OGLUM02G16010.3 pep chromosome:ALNU02000000:2:16073025:16082828:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKSWTMKSAVPCCCEWMKKLSQMSTGMFPCGFAYNYIDFSKGCFRCM >OGLUM02G16010.4 pep chromosome:ALNU02000000:2:16077268:16082828:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLVRRRNCWSARMGSWVPGCRSWTMKSAVPCCCEWMKKLSQMSTGMFPCGFAYNYIDFSKGCFRCM >OGLUM02G16010.5 pep chromosome:ALNU02000000:2:16077268:16082828:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALTKLVRRRNCWSARMGSWVPGCRSWTMKSAVPCCCEWMKKLSQMSTGMFPCGFAYNYIDFSKGCFRCM >OGLUM02G16010.6 pep chromosome:ALNU02000000:2:16072681:16073641:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLALLLSNRSPCHGPPPSPPSRAATSYAPLAPATSRASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSPLLTQKL >OGLUM02G16010.7 pep chromosome:ALNU02000000:2:16073025:16073641:1 gene:OGLUM02G16010 transcript:OGLUM02G16010.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGAMKRLFASNSGREATVLCAPRPRRIQIHPCSADLILSPPHFLLTSNTTNKEVAAHKAAAPVLRDRASEPERSSARGNLAISKKFSPLLTQKL >OGLUM02G16020.1 pep chromosome:ALNU02000000:2:16080060:16081370:-1 gene:OGLUM02G16020 transcript:OGLUM02G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRREPDARNNRTIRAMSTGIKPTDPAKGLRRARSVPSSPDRKLSPSHDASSSNAYRPSSSFSTRTGTSRSTFGSASSSIHSSKAPQTSSSTTTAKPANTTKGKADKSGGSSVWPPALTARNRSSKDMNRTAKSSSAMQKSNLSSRPGVDKMAASSAKQRTQKATPGALAGGKTQAVPSVRAPGTTTKKTMGVANSVPSTQRTSIPSRPIEAPKVNEQEVELLMEFDEMESISTPSIEEHLQERLPDPVELKQVDLIAYLLFGDNPSEPASNQQEDKNEEVVELISEEKHQVPDNNSFNGRDNADIGINSKVQAVKEAIDNSELKEAANETELKEAVDETELNEVVSESELYKDVNTTKYTEDALEPMLIEKEEAEENVEMVVPPKKTLKPVQGWSKDDGKSNEMKEEGRSKPTEERKSKVMALIGRFETAMSG >OGLUM02G16030.1 pep chromosome:ALNU02000000:2:16086107:16090702:-1 gene:OGLUM02G16030 transcript:OGLUM02G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFPASLILPSPAMGAPPPPARPGRRSRSRAVARCPGPPLPSKNRIIPGRPSRLWLDRCLRALFVAALALSLSLSPPPPSLLLPAAPARAASSPVGERRRNPEAPPYPCEDVGRYYAGLDGLAGDELMAKLRAVVSPHAALRYKDVWEALKILDAADAPKPEASLDVIEIYSQRAVPKNLAGKPDGWNINSSRGNKYYGHCAATSVRCMRPANHEAAPDTETDSERWAPPLKVRGDVSRALMYMAVSYGSDQKDGAPHLELSDSPSIQSRKMGLLSALLKWNELDPPSRSEQLRNNRVCSLYQHNRNPFVDHPEYANLIWGNSLGESSSSVRTFPEAWVNEFHYENKGKDENEFVELAVRTSLDAKDLTLILYNGANGRMYNSLNLDDKDGFSVAESSSSSSYLIYTAFITLQNGPADGIALVYKNGNRKEVLDFLSYEGSMRALDGPAKGMVSVDMMLKETDESSQQDSLGLTGNKIGDFAWRKLEGYATPGKLNVGQMF >OGLUM02G16040.1 pep chromosome:ALNU02000000:2:16093878:16096092:1 gene:OGLUM02G16040 transcript:OGLUM02G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQHPQPPAGSRLLDAVPLFVVVLLAAHVLALVSLGQAAAAEEDAVATTTTGPDGFVLAFIKS >OGLUM02G16050.1 pep chromosome:ALNU02000000:2:16098359:16099641:1 gene:OGLUM02G16050 transcript:OGLUM02G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPFPAAARGGEQGGGTAGQLVATPSRLRTAVASMLNRTGHARFRRAAPVVVQEEEDEAAAAARDAVVRCDGLSASASSSFPSSVTGVTGDGSVSNARAVLPAAGAGDKPPPMQSASDYASDGRLKRSSDDDGERCHCSKKKRKASWRARRRIRVPAISSRNADIPADDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYDGDHRHGEPGHRRPDEAATTTEHRTTDQTTGRLL >OGLUM02G16060.1 pep chromosome:ALNU02000000:2:16101005:16105251:1 gene:OGLUM02G16060 transcript:OGLUM02G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PREFOLDIN 1 [Source:Projected from Arabidopsis thaliana (AT2G07340) TAIR;Acc:AT2G07340] MADEANRAAFVELQGRMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPDNTNTYKTPKSLLLNEQEQKLNDSESAIASMQTSKEYLEKQLAEVENNIRELLQQDPGLARQILSMTVQ >OGLUM02G16070.1 pep chromosome:ALNU02000000:2:16120273:16120476:1 gene:OGLUM02G16070 transcript:OGLUM02G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVVLVCDEPERVVATYQAPGRCPYCGGGVVATDVESAPRLCFLPLCFRLRRRFFCSLCSRRLVSVA >OGLUM02G16080.1 pep chromosome:ALNU02000000:2:16120842:16121087:-1 gene:OGLUM02G16080 transcript:OGLUM02G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHASHGGGEPASAQQKQRQRSCDDSQLPASSLNPTAARLLREAIVSAPSGGGEKTAAEGSSDILAFARAVDRVDSPLE >OGLUM02G16090.1 pep chromosome:ALNU02000000:2:16146427:16151966:-1 gene:OGLUM02G16090 transcript:OGLUM02G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRASTAISAGRVVVVAGAAALVVVLLCAAPAPAAVEAVPAGAEVSEFPGFDGDLPSKHYAGYITVGHQPLKRYMYYYFATSERNSTTDPVIIWINGGPACSGFSAFLHSIGPFKIEGPMIHARDEPRTKLNPFSWTKMSSVLLVDSPAGVGYSYSENEDDYVTNDTSRVLDLYDFLSKWFSEYLEFLSNPFYIAGCSYSGVIVPVLAQEILKRNEDNGRIKINFKGYSLCNPAVDVEIENNAFVPYAFRMGLISDELYQNLVSTCNGKYWNNKGPSCLANLEQFHKQISGINMEHILCPPCRYQMGITKEANEEYDFGQMFELLSESSEYGLECNNQELVLEKLFDTKSSREKLHAKPVQYSILLQ >OGLUM02G16110.1 pep chromosome:ALNU02000000:2:16174451:16183203:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYASAPKTNQTISRSTPNSRHHPQPQRRRHRPTPHSCARCAATASRGQRRARPPPSPVTAVRCHRRAPPAPSPATAARKLRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENELAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKADASNGRLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKGCACMRWRRLGLASDFSVKNRMSDL >OGLUM02G16110.2 pep chromosome:ALNU02000000:2:16176385:16181223:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGQRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENELAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKADASNGRLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKAVVTSGNVLDEDSFSEPNKVVPVTSELPDASEEMGALLAPYSFTSFDLALDQ >OGLUM02G16110.3 pep chromosome:ALNU02000000:2:16176385:16183203:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASILFGQRNLCVFPLRRHILDEVYQHGPYNCTIQRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENELAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKADASNGRLLASLAEAAFLTGLEKNSWNPDAIVFNSWQQYGTHILATLMRWLHLQSPGKTLRYLSESKGCACMRWRRLGLASDFSVKNRMSDL >OGLUM02G16110.4 pep chromosome:ALNU02000000:2:16174451:16181223:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYASAPKTNQTISRSTPNSRHHPQPQRRRHRPTPHSCARCAATASRGQRRARPPPSPVTAVRCHRRAPPAPSPATAARKLRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENELAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKADASNGRLLASLAEAAFLTGLEKNRWQVYAPLFVVPVTSELPDASEEMGALLAPYSFTSFDLALDQ >OGLUM02G16110.5 pep chromosome:ALNU02000000:2:16174451:16180185:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAYASAPKTNQTISRSTPNSRHHPQPQRRRHRPTPHSCARCAATASRGQRRARPPPSPVTAVRCHRRAPPAPSPATAARKLRRWKKPVDSARTRLEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNKYASLQLLSRWRHEVGLNIEIGAFLKKYPHIFDIYVHPIKRNECCKVTPKMSELIAQEDAAIRENELAIAKRLKKLLMLSKDGTLNMHALWLVRRELGLPDDYRCSILPNHQSDFSLSSPDTLTLITRDENLAVADVEEWRAKEYTEKWLAESETKYAFPINFPTGFKIEKGFRGKLGNWQRLPYTKAYEKNELHPIRNIERLEKRIVGILHELLSLTIEKMIPLERLSHFRKPFEMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVHPNPVYNDVVALMKWTVHFGFLRSTIRKADASNGRLLASLAEAAFLTGLEKNSSGYSDALAASAITWQDTQISF >OGLUM02G16110.6 pep chromosome:ALNU02000000:2:16174467:16175736:1 gene:OGLUM02G16110 transcript:OGLUM02G16110.6 gene_biotype:protein_coding transcript_biotype:protein_coding RQPPKQTKPFPVPLQTLDTIRNRRGAATAPRRTAVRGAPPPPRAASAAHGHRRAPSPPFAATAERRPRRALPPPQESWLTPAAAAASAPCSTADLFPPSAVSGAIAALPSHPLPCRRRLLCRPRHR >OGLUM02G16120.1 pep chromosome:ALNU02000000:2:16183372:16183608:-1 gene:OGLUM02G16120 transcript:OGLUM02G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQRDVGNAVASTPPTRRRAAGRRMGKRLGARRRAAGQRMGKRRGEEGTGTPGAGAAGRRRCRAQGCGLWACGGWRD >OGLUM02G16130.1 pep chromosome:ALNU02000000:2:16199408:16202628:1 gene:OGLUM02G16130 transcript:OGLUM02G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEAHKLRFVRCPKCLQLLVEYPSISVYQCGGCGAVLRAKNRFMSVTQTGSKPDEHNNISSSLNGSSQDNESACSDGQKIDSSSAQPNEDAVEENIPSTTKDAKSCEAVNQEQNTTTVQSVTPTEDENKEKCPTTDADIRDTGCMVKVPHDMCTGADSSPMLIDKVENIGTSENTDLGKGYSYDCVSDGNAGSDVAAVHIAGEEPGAISNHSMKGEVDSVTDQIFSVSNKNVNCKELDERTNLCKETEAKSCNELIQMEERSQPNEGFHVESHEDLIEELERSLSFSDDEESLLDATGNNELNEALQFQIGSRRFSPGSKMNDASRSDPHGRLIEELERSFSDAEEAAEQHVVVVDKVITERDFGNEHGKVPTSLVAESGHPCEGNISSYDDGHQKSGQSFQQNELTADETEEKEHGLLENDSKINCIHGNEHAMVADNDIAEIHSEHDKDPQLLDGESAKLCEGTISSFDGHLKSGQCFQEDEPTADGNKQKEESHMGNNNVTDCAHEDNAAVVGFSSLSNDGIHCKSPIFNEKEEERSDKYRANQLYQGLSLDSEDFMSIQNFIESQMDGTSSSLSSGSPNQGNLSLKSSTKFKFDRLERLKKIDELRDQLNRLCSKKRLENRYRMKGLEYQPQLSSYYVDQHSQNVDADSIQSSSTLGSYYWNGKQPSYPPRNQFSPPHSCTHCHFGHVETHMPHNYGAWDEFNSYYQPSYAGSSIIDHDSLNSSYKEQKRVVRKHILRPVSGASPFTVCNSCFNLVQMPSDIYISKTKMGKMQCGQCSKVLVLSFPAIHHACANSSKEVASKSNKHKGSIVVKPEDAASHSVESFTRDPVSMNEEYGASFTRSFSTQAGSALAASQSGKNVSDSTLHRLMGYDSASQLLHDLRHSKVYDDGYESFESMVPVSSRVSRRKNT >OGLUM02G16140.1 pep chromosome:ALNU02000000:2:16206205:16212381:-1 gene:OGLUM02G16140 transcript:OGLUM02G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQMLIIIIPTAILVFAKKALLFLAVPPVATADDPGLAVYWGRHKEEGSPREACDTGRYTTVIIFYNAFGYGRYSLDISGHPLAAVGADIKHCKSRGVTVLLSISGQGGGYSLPTNASAAYVADNLWNAYLGSHRAGVARPFGDDAAVDGIDFFIDQGGDDHYDDLARRLNGYNYYCGRVVVLLTATTSCSYPDHRLEKALATGVFARIHVRMFGDEQ >OGLUM02G16150.1 pep chromosome:ALNU02000000:2:16218680:16221708:-1 gene:OGLUM02G16150 transcript:OGLUM02G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTVHQALSADAAAVLKLALALARRRGHAQLTPLHVAFTLLRSSSSSSSSPSDPPPFACSGGEPSCCAHGLLRRACVRAHPAVSACAPAAAASHPLRCRALELCFNVALNRLPATNAMADCGRACSPASSLVPPDPTLSNALVAALKRAQANQRRGCIELQSLQPPQHALQPQQQPLLAIKVELDQLIISILDDPSVSRVMREAGFSSAAVKSTLEEGGAMLPSLGGHHVCYSSSSPEPHIDLDAHAASGGGGGGAPWPAQFLHRPDTGTSCKEDDVRAILEVMVRRQGARPNPIVVGDSVSVAEASVAELMRRLETGDVPGELRGAHVLRLHLSRVHLRLMTRADVDAQVAELRRTANSIVDAKAAGLVIYVGDVRWAIDDDDHHHHHALAEYSAPEDHMVAELARLMAELRAASRGRAWLVAAASYQTYVRCQQRRRRGRVPSLEATWSLQPVVVPAGADAGTGLSLGRRAPPAPPPRVAEDDQITKLGEIPVLDLALGGDENGVLALCAECADGYEKEASKVRAKADGTTLALTYFPGWPHANEPQTSHKAELMELRRKWGILCQRVHSRSHNDQASVPSPMPWWCKPSSVSRDGEARTELNPSSAGLRLSFGTPGDHDRSESVEEHGADTTLSLLPPDSAAAAAATWQDTRGRWSEGGGGGGADGEMMTVNGLDATVDAVSIRRVWLEQLLLSGDLKRKAEEGQLSGEPKPRRRGGVSLDLNICAAVDDDDGGDSEEEAAPSDLTNEGGCDGGEPGRLDDSLDSHE >OGLUM02G16160.1 pep chromosome:ALNU02000000:2:16228096:16230464:1 gene:OGLUM02G16160 transcript:OGLUM02G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAIRRHEVGEEQIGRRHGAQIPKRGSTDREETVASVCPSAGTTGTAAAAAPAAAAVIFSLRRRAGPEDQHDHWTSTAAAARPVPLVALGAGDLLRPVVVQLAVAATSLRVELLAGHHYNYLQQQLQAPDFKSGDHARQGTNAARPECIRWQKQDIYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGLPEQAEASAVVQALSVPAAVHAFPTSG >OGLUM02G16160.2 pep chromosome:ALNU02000000:2:16228099:16230464:1 gene:OGLUM02G16160 transcript:OGLUM02G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAIRRHEVGEEQIGRRHGAQIPKRGSTDREETVASVCPSAGTTGTAAAAAPAAAAVIFSLRRRAGPEDQHDHWTSTAAAARPVPLVALGAGDLLRPVVVQLAVAATSLRITTCNNNCKHLILSQVTITEADIYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGLPEQAEASAVVQALSVPAAVHAFPTSG >OGLUM02G16170.1 pep chromosome:ALNU02000000:2:16228313:16228717:-1 gene:OGLUM02G16170 transcript:OGLUM02G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHCQLHHHRPEEIAGAESHERDGSSGCGGAGPMVVLILGSGAASEAEDDGGGRWRCCCGCSCGAGGGADAGDGLLPICGPSFWDLGTVSPPDLLFPDLVASDRCRRHRHLRLNLGDHAAAD >OGLUM02G16180.1 pep chromosome:ALNU02000000:2:16246723:16251918:-1 gene:OGLUM02G16180 transcript:OGLUM02G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSGTSRGSVSSSWVGGREPGRMQPPVPYREGPLDYEPPVFCDCKVKAARWISWSVGNPGRRYFTCYNARQLLLDLRNAVWTAREEINGLKAHLQDSRNEALKNRAVSRSKESNELESLRAALEQIEATNCVLVDRITQQQKCMNMLMYALAFAVVVLLRRSYFILLDKQPVLAVVESVAATTVPQRSLPVALQRAVPVTFERATLVALGAAHSPAWSAPPTLAGVADEIACAS >OGLUM02G16190.1 pep chromosome:ALNU02000000:2:16254014:16262321:-1 gene:OGLUM02G16190 transcript:OGLUM02G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] MAKPRSAAAAAAAAAKAPAAAPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMVAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKTTNGETKSSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFDHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPCRD >OGLUM02G16190.2 pep chromosome:ALNU02000000:2:16254014:16262321:-1 gene:OGLUM02G16190 transcript:OGLUM02G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 family [Source:Projected from Arabidopsis thaliana (AT1G50430) TAIR;Acc:AT1G50430] MAKPRSAAAAAAAAAKAPAAAPPKTVHSALVTYASMLSLLSLCPPFVILLWYTMVHADGSVVRAYEHLREHGVLEGLKAIWPMPTMVAWKIIFGFGLFEAALQLLLPGKRFEGPVSPSGNVPVYKANGLQAYAVTLITYLSLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHLAPSSSDSGSSGNVIIDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYVTKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSIWGKAPSKFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPCRD >OGLUM02G16200.1 pep chromosome:ALNU02000000:2:16266623:16271639:-1 gene:OGLUM02G16200 transcript:OGLUM02G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELANKFLVLHLDGEGGGADDTEEALIQTSSSIKEADAGENALSDTLVLNYDEGSLVSSSGDYQMPLVWIDLEMTGLDVAKDRILEIACIITDGKLTKQIEGPDLVINQKKDLLDNMDEWCKTHHAASGLTQRVLQSTISEHDAETQVLDFVKKHVGSSPPLIAGNSVYVDLLFLKNYMPQLAAIFSHVIVDVSSIMALCIRWYPKERKRTPRKGKKHRAMNDIKESIAELKYYKDNIFKPQKSKQ >OGLUM02G16210.1 pep chromosome:ALNU02000000:2:16279798:16281003:-1 gene:OGLUM02G16210 transcript:OGLUM02G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADAADSVLHGDLLECVLLRVPHGELTASPALVSREWRRAAREAHQRHRRRRRHLPCLVAHVHGAAAGVGRSTHVYDPRAGAWASDGWRVAGALPVRRCACAGGDRVYALSLASMAISEDAVGAAWRELPPPRVWRVDPVVAAVGPHVVVLGGGCGATAAAGVVEVLDEGAGWATCPPMPAPLASRWVSSAASERRVYVVERRTGWASWFDPAARQWGPARQLQLPEGNNTASVESLAACGVTTSGGGGASERLLVLAGGGGGNVSLWGVDGDTLLLDAEANNTSMPPEMSERLGGAGSIAAAAAGAASGYVYNASEPSKGAVRYELVDAGVGGGHGSYSDSDSKNGRHEKTWGKRSSGGSRWEWEWLPCPPAAAAAMSTSSSAVVVFACCGSSSAPNK >OGLUM02G16220.1 pep chromosome:ALNU02000000:2:16311334:16314190:-1 gene:OGLUM02G16220 transcript:OGLUM02G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YS19] MVLWVFGYGSLIWNPGFDFDEKILGFVKGYKRTFNLACIDHRGTPEHPARTCTLESDEEAICWGIAYCVKGGLKKEQEAMKYLETRECEYDQKISVDFYKEGDSLKPAVTGVLVFVSTPDPVGNKYYLGPAPLEDMARQIATANGPNGNNRDYLFSMEKALSNICHEDDSIIELANEVRKVLSRPKEKITGSDSPLKSHALVHLSALPEGTVVDSR >OGLUM02G16230.1 pep chromosome:ALNU02000000:2:16325597:16326638:1 gene:OGLUM02G16230 transcript:OGLUM02G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELALGLETSGRPFLWAVQPLVGFDHKDGFDPSWLPGTSGGWVGADPGALVHGRVLESIRHGVPLLGWPVGAEQFFNAMVVVEWGGRGLRGGGAWEPGELGGGEWGGGDGNAGEGEGRGDEEEGGGDRRRNGSGVGGAGRVVGGEIGTVPYYTSPAPLRVHIH >OGLUM02G16240.1 pep chromosome:ALNU02000000:2:16328017:16328511:-1 gene:OGLUM02G16240 transcript:OGLUM02G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGRNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEGQVEVMAWLHKSGMS >OGLUM02G16250.1 pep chromosome:ALNU02000000:2:16328671:16331314:-1 gene:OGLUM02G16250 transcript:OGLUM02G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSPSLCILIERRHPHSFFSASAAHSAHTPSRIALPPTTVAIAPCHRRPPCPACSGIHASSVRRPTPPNPRRHFPRRHRLRPTLQLPRDAAAFSLSFVVHPITAIPRLLAAVAAPTPHTPTPVSSTPPQRIANGAVLSLLPRDDGGAAADRKAPDVKDAASRATDVVDSNLK >OGLUM02G16260.1 pep chromosome:ALNU02000000:2:16334620:16335877:-1 gene:OGLUM02G16260 transcript:OGLUM02G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGAGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESSIHKLTYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPALWENPEEFRPERFLEKESGVDATVAGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >OGLUM02G16270.1 pep chromosome:ALNU02000000:2:16346866:16347933:1 gene:OGLUM02G16270 transcript:OGLUM02G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G16760) UniProtKB/Swiss-Prot;Acc:Q9SBA5] MAPELSSPSSSPRYTVGYALLPEKVSSVVRPSLVALAADRGVRLVAVDVSRPLAEQGPFDLLVHKMYDRGWRAQLEELAARHPGVPVVVDSPGAIDRLLDRATMLDVVSGLRAPVSVPPQVVVSDAAADADELLARAALRFPLIAKPLAVDGSAESHDMRLVYRRDGVLPLLRAPLVLQEFVNHGGVLFKVYVVGDRATCVRRSSLPDVPAHRLLDLDAEPSVPFANISNQPLPPPDDDGGAADDDTPAAGFVDEVARGLRRGLGLHLFNFDMIRERSEEHGDRYFIIDINYFPGYAKMPGYEAALTDFFLEMLRGTRPVPEQLGPGSGLDMEARKLEPGLGIGLRELESGRAQA >OGLUM02G16280.1 pep chromosome:ALNU02000000:2:16348717:16350526:-1 gene:OGLUM02G16280 transcript:OGLUM02G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVVRVAIATGASLAVHLFVKSFLQAQHPALTLLLPVAVFAGIAVGAKGGNGGDGKAPPGPPAVPVFGNWLQVGNDLNHSKI >OGLUM02G16290.1 pep chromosome:ALNU02000000:2:16355313:16357423:1 gene:OGLUM02G16290 transcript:OGLUM02G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMRVAIATGASLAVHLFVKSFVQAQHPALTLLLPVAVFVGIAVGAKGGSGGDGKAPPGPAAVPVFGNWLQVGNDLNHRFLAAMSARYGPVFRLRLGVRNLVVVSDPKLATEVLHTQGVEFGSRPRNVVFDIFTANGADMVFTEYGDHWRRMRRVMTLPFFTARVVQQYKAMWEAEMDAVVDDVRGDAVAQGAGFVVRRRLQLMLYNIMYRMMFDARFESVDDPMFIEATRFNSERSRLAQSFEYNYGDFIPILRPFLRGYLNKCRDLQSRRLAFFNNNYVEKRRKVMDTPGDRNKLRCAIDHILEAEKNGELTAENVIYIVENINVAAIETTLWSIEWALAEVVNHPAVQSKVRAEINDVLGDDEPITESSIHKLTYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPALWENPEEFRPERFLEKESGVDATVAGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPPGVEKLDVSEKGGQFSLHIAKHSVVAFHPISA >OGLUM02G16300.1 pep chromosome:ALNU02000000:2:16360348:16363324:1 gene:OGLUM02G16300 transcript:OGLUM02G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 28 [Source:Projected from Arabidopsis thaliana (AT4G16710) TAIR;Acc:AT4G16710] MGRGTYVPSKVSGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >OGLUM02G16300.2 pep chromosome:ALNU02000000:2:16360348:16363324:1 gene:OGLUM02G16300 transcript:OGLUM02G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 28 [Source:Projected from Arabidopsis thaliana (AT4G16710) TAIR;Acc:AT4G16710] MGRGDGTLQVDYFTFSPSIADYIRDASLVISHAGSGSIFETLRHGKPLIVVVNEDLMDNHQSELAEELATRKHLFCASPQTLGETIQEMDIETLNPYVPGDAKPVVSLINKFFGFPDD >OGLUM02G16310.1 pep chromosome:ALNU02000000:2:16380728:16384724:-1 gene:OGLUM02G16310 transcript:OGLUM02G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAPSTPVLSLHLATLSLAYHLVRLVDVVVRAHQSPNPSTLTPSSTTSTVTDRRRRSTLRPPRRRRAAPATFTLNRDVVVRPSRAGEATEPPPLLPCLVPLAPPFAAAAAAAREGDARRMRVKRRSKNRKVVRFYATCFGFREPYRVLVDGTFVHHLLSHSLLPADDALQSLLSASRPPPLFTSKCVLAELRRLGKSHADAFDAAALLATAKCEHDKVVSAVDCVLSLIGEKNPEHFFVATQDSDLRAKLREVPGVPVIYGLKSSLFIEQPSVQQRKFAQLDEEKRLHMGKSEYQKLLKVPSDGKAAASENASDDEKNRRPISSLVENALGVADKSKFKKKRPKGPNPLSCKKKKPKPQLSAAQNQ >OGLUM02G16320.1 pep chromosome:ALNU02000000:2:16396849:16401349:1 gene:OGLUM02G16320 transcript:OGLUM02G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAAAAAVPLATTVAMLYARLAASLTGPGPRRLAALLPAMALLPVLPLALPYYSYRGFSAFVFVWLGEFKLLLLSFGHGPLHPALRPLPFVFTAALPVKLVDAAAAAAGASASRPPPAAPAATFKFVVSSAIKVGAMAAIVRVLHAKEEMHRYAAFSLNAVFMYCFLDVVLPALGAAGVALGMEMEPQFDRPYLSASLRDFWGRRWNLVASAVLRAAVYDPVRARSGDPEAGVLAAFLVSGLMHEVVILYLTSRAPTGRVTAFFALHGACVCAERLVAHRLQP >OGLUM02G16330.1 pep chromosome:ALNU02000000:2:16458339:16459859:1 gene:OGLUM02G16330 transcript:OGLUM02G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18475) TAIR;Acc:AT5G18475] MKPSPASTKAPLPWISPLHYRSPTRAAPPSPPPPPPPLPEAPLTQLRYVHHPDLARLIASSPSAQRALDLFNAAAAQRGFSHTPATFAALLVRLARARLPSAAAAVLRRAASAPCRFLEPQFLPLLRLLPPDHSLALLRLLPALLRRGRVSRKALAVCLDRLVSSRRCPDVLTELLADLRDPRSKYLPQPNTCIYNILIKHYVKKGDLGTAFQVFDEMRKMNCADVRPSLVTYSTLIGGLCRGAQMKEAFELFEDMIEKDRIVPDQLTYNLLIGGFCRLGQVEKAQSIFGFMRKNECEPNAFNYATLINGHCKKGEVEAARGVFEEMIRSGVQPDAVSYTSLVGCLCRHGNVDEGINLVQEMWQKGCKADVVTYNLLLEGLCKDRRIAEAVTLLEKLPSEGVQLNVASYRIVMNCLCSCGEMEKAAGLLGMMLGRGFVPHYAASNMLLIGLCDVGRVSDATVTLYGLVDTGFMPEARCWARLIESVFRERKLRRSIELLDVLIAEG >OGLUM02G16340.1 pep chromosome:ALNU02000000:2:16465671:16470719:1 gene:OGLUM02G16340 transcript:OGLUM02G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSVPSLRLLLFFFFFFFSLAHPSSPFSSLSPPPRKEPTLLRSPTKRPRRSLADQRGSHARRANMAGATDSTPMAAGRAVPPPPEAAAPRLLLLGGGAELWRPVARGGGWATAAALLLLLASHLSVLLLRRLRLRRRLRPADAVSSSSAAAAAVATADSVPGSAAGMDGLVTEGDLRELVGNLGVAAREPEREGWQQVVAKGNDDVSYRVWCDKPMEGPPRYLSVTTYERCSTELLRDFYMDNEYRMEWDNTVIKHEQLQFDENSGIEIGRTIKKFPLLTPREYILAWRVWEGNDKSFYCLVKECEHPVAPRQRKFVRVQLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFAKGIWNYICKMNSALRRYPQRNISSISILTMQRLTKKFPQALETDVDANHHPQGNTRANVVPSHFARTSSRQQPGKKSSRATIASGLLLIGSIVCLSRGRSNLGAQLAMAFFLKKAFKQDKGSSSQRSISRADVTEPRHLE >OGLUM02G16350.1 pep chromosome:ALNU02000000:2:16475184:16475920:-1 gene:OGLUM02G16350 transcript:OGLUM02G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGLPFGDRRSGSPAIRAAPPLAPLLLRRVAPVGTPLHAASAATACLLPVQMHPRRSSATAPRSPDRRRPSARQLDLLEKLRVPENPDGIDARDHAEPPLTS >OGLUM02G16360.1 pep chromosome:ALNU02000000:2:16488242:16490053:-1 gene:OGLUM02G16360 transcript:OGLUM02G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTPPTTTATTTALREWNRLIQHAAASGSYSRCLRHYASLLAAGLGGGGASTFPSLAKSCAALRLPRLGAAVHAHALLAGAASAVFVRTSLLDMYAKCGRLPDARRLFDEMPRPTLVSWNCMVAAYGRSSQVEESVAVFNAMRRAGVRPSEGTLVGVLSGCVDSVSASNPGMCVYGFSVKSGLDAGLPVLNSVLTMLVRGSHLDAARLLFDGICNKSVVTWTALASGYLLRGDYLEVFDLFNRMRGVGQNVDSVVLVNLISAAVLFGNLSVAKGVHALIIKLGFECEEDLAASLINLYAKCGDLESAREVFDAVHMANVVVWTSMISGYVEGGHLNEALVMFDSMVCANIEPNEATLSSVLSACAKLGSANLGKKVEEQAIATGLHSEPRVATGLIDMYSKFGSINLARKIFEGVTNRDIAVWSAMINGYACNGEGSEALVLFKEMKNKGFQPDGIVFTHVLTACNYSGLVDEGLECFHSMTMEYGIEPSIEHHMCMVDLLCKAGHFGSALKFFKQMPSEVQNKVLAPIISSYSARCADSSIDFIPEELLNLETQDSDHCVLMSNMLSCLGKWKKATSYRRQLSKQGLMKEPGWSCIELSG >OGLUM02G16370.1 pep chromosome:ALNU02000000:2:16492587:16497587:1 gene:OGLUM02G16370 transcript:OGLUM02G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEDGDISALLSEPSIPEEQPEASEFDDVVPAILESIKSSEKAFKPSPEEAAWADSCFVQTSELSDSDWGAMKHALLNALEKPTEIPNNTSEIVHEEGSHAILEVKPHSLPAEIVSQHDDMQMEQKENNDYDTGTTEASEVANVIRGTNEHGKQMDGYTARPEDGDELSSSEVLEQTESRETIFKVWDLDVPFSDEDELELIKDLKKLLKDNPQESEFRPPSGTAKTLSQIAVDDLVADLSDLSLQQTDE >OGLUM02G16380.1 pep chromosome:ALNU02000000:2:16500099:16520611:1 gene:OGLUM02G16380 transcript:OGLUM02G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSELKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCIGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYIVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALMMAFSMARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATSEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARERGFKLFKAQERVLVEKYNSVVSLWRRVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >OGLUM02G16380.2 pep chromosome:ALNU02000000:2:16500099:16520611:1 gene:OGLUM02G16380 transcript:OGLUM02G16380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSGRLPAPFVFPLVLLLLALVPSPEAAESTPGTRTRKIGGAASVFSLFNLKPQNKFWSESVIRTEFDDLEGSTSRDSSKKALLNFTRAGNIANYMSLAEVDSIYLSVPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEQTRIPPVGEVLTPFYKTSVKKLKQYDLPLISHINHKEGAEALWQVDSDQMEHLFSTLVDHLQIQEAYNIFILNPKTIGKSTQYGYRKGFSESEINLLRENKTLQARILQSKSDKRLYLDIEKGVNRRPLYESHPLSSFSWTTSDNMDMGDWSKKCKEALSNFELLKEGKSKDDIIYDKAVQVLHGKKDELHDIFESALKSSELKGLHAECLTDMWIGRDRFAFIDLSAGPFAWGPAVGGDGVRTELSLPNVAKTVGAVAEVIEEEAEAKLQDTIRERFSSFGENYHAVDILLAEIDVYELFAFKHCIGRRVQLALCKELDERMHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYIVARDSFLAHLGSVLWGSMSHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNMKQFPVNVKSVTEGLSSVLLQFQKPMFSQHMLSLSEDPALMMAFSMARRAAAVPLLLVNGGHSNHRSTLEIPIFWFIHSEPLLLDKHYQAKSLSNMVVVVQSEVDSWESHLQCNGRRPVKAAIAATSEYVSGLLPSHLAYSPAHETATEDWTWSVGCNPLSITSKGWQLSEFQRDVIARCGLNLNQEQVSAMSKGLRYGDAVKLTSMLEEASHGFANAVNSTISSLHPVQCTRERKVDVQLDLTTIPAFLAVFLLLWFLLRPRRPKPKIN >OGLUM02G16390.1 pep chromosome:ALNU02000000:2:16529635:16540614:1 gene:OGLUM02G16390 transcript:OGLUM02G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSDSGMDHIRVHPRFLHSNATSHKWALGAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSTEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLRSYASILYLQVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRLWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >OGLUM02G16390.2 pep chromosome:ALNU02000000:2:16529635:16540614:1 gene:OGLUM02G16390 transcript:OGLUM02G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSTEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLRSYASILYLQVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRLWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARSDNCHRIGYGGNSANRKSGREYKGPTSDQSPEGCRSSNYLQRKRSFGSPYSGSSNNNSKTGITSLNTSKISLPESRFSLRTTAQQTVEKTKRTLRYTRPLLHGLSHTSNDSDAQTSGTPSRSTSHILKTPEKSCHNENTLPLIPSSEAIRSEGTTRYQSEERNVTNNGDGQTVDNPETVIKLLTDENSSLKESIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >OGLUM02G16390.3 pep chromosome:ALNU02000000:2:16529635:16540614:1 gene:OGLUM02G16390 transcript:OGLUM02G16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGEPSAAAGGGEGDTEAHAVIDVSSSETDSDPDPGFGGAGKRPRRVVATAGSGREAEKRARILAAAVPPGFLDPLPRPSAPPPPPPPRGRRRVTRQFWNAGDYDGKPDLLGGDPSLRSAFAELLDNSLDEVANGATYVNIDMLENKKDGTRMVSVEDDGGGMDPDKMWHCMSLGYSAKSKVKDTIGQYGNGFKTSTMRLGADVLVLSRSCGNGGRRRTQSIGMLSYTFLRETRKDDIIVPMIDYEKGQQYWKRMMRTTSIDWQTSLATIIEWSPYSTEAELLQEFSSIKEQGTRIIIYNLWENEQGELELDFDTDVNDIQIRGGNRDQKNIQLAKQFPNSRHFFTYRHSLRSYASILYLQVPSVFQMILRGKEIEHHNIIGDMMMKNHVIYKPVMTDGFPRDIDMMTDVTIGFVKDAKHHIPIQGFNVYHKNRLIKPFWRLWALPGIQGRGVIGVLEVNFVEPAHDKQDFERTNSLARLEARIMKMEESLSRELHIERDKNKSLIERLENVQKQLETANKEQEALVDIFTEERARRDQEVENQRTKLKEASSTIQNLLDQLNAARSCRKN >OGLUM02G16400.1 pep chromosome:ALNU02000000:2:16550635:16554861:1 gene:OGLUM02G16400 transcript:OGLUM02G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRLLLLGLLLLSPAVAAASVPGEEEPLIRQVVGGGDDNELELNAERHFASFVQRFGKSYRDADEHAYRLSVFKANLRRARRHQLLDPSAEHGVTKFSDLTPAEFRRAYLGLRTSRRAFLRGLGGSAHEAPVLPTDGLPDDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGANYLATGKMDVLSEQQMVDCDHECDSSEPDSCDAGCNGGLMTNAFSYLLKSGGLESEKDYPYTGRDGTCKFDKSKIVTSVQNFSVVSVDEDQIAANLVKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGASGFAPIRLKDKAYWIIKNSWGENWGEHGYYKICRGSNVRNKCGVDSMVSTVTAIHTSKE >OGLUM02G16410.1 pep chromosome:ALNU02000000:2:16570579:16571694:1 gene:OGLUM02G16410 transcript:OGLUM02G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSSVPRWVPFLSSSSHRGLSFFPSMLEFSPLIASASRLLPLRPALPLPTFPSFPVGVGVFTGGEEIDICTITLLSTDLLMAASLVERRTGSWRTAWMHGGSQQCLGFCRDVIHRHPPPLHYRSLTCIFPSLRCPLKSSTLVAAARESSLPAAMAAGEQCKTMTGLNGKRSPATATVTTTRYVGLRSLVMVGLRASQSLRRPPPSLAAARRDEVSSPYCWVAGPTVVRRWRVGAMGEDHLAAAYVPPPGTTQASQAGRLD >OGLUM02G16420.1 pep chromosome:ALNU02000000:2:16594458:16603649:1 gene:OGLUM02G16420 transcript:OGLUM02G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G04880) TAIR;Acc:AT1G04880] MMGFFSSWGGMSAAAEEAAAAAAAEEVEGVEAGKKGVADAKGKGKEKVVVAEEDSAAAAGSGGSGGGRRTLVAYPARVAGYKDVVADAAVFRRALEGLHAQMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETDSRSISCIEMKAEGQASRKRKRGSNSCSSDLAASLDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSSSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >OGLUM02G16420.2 pep chromosome:ALNU02000000:2:16593882:16603649:1 gene:OGLUM02G16420 transcript:OGLUM02G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G04880) TAIR;Acc:AT1G04880] MSAAAEEAAAAAAAEEVEGVEAGKKGVADAKGKGKEKVVVAEEDSAAAAGSGGSGGGRRTLVAYPARVAGYKDVVADAAVFRRALEGLHAQMGTKLKVPIIGGKDLDLHQLFKEVTSRGGIDKVKSDNRWREVTASFIFPATATNASFMLKKYYMSLLYHFERLYLFEAQGWYQETDSRSISCIEMKAEGQASRKRKRGSNSCSSDLAASLDNDVQVIIDGKFEHGYIVTVIMGSKSTKAVLYNCTEEPAVPTAVPHVAIDSAEGIRPRRRRRRKKLSTTDPNHPKPNRSGYNFFFQDQHRKLKPEYPGQDRLISKMIGERWNNLGPEDKAVYQEKGVEDKARYQRQLALYREQRTGQPISNAVPIQQRLPQKEVTIDEVDSKVSEGDILLSNQGYSSSSSSSDETADSGEKNVEDDEEFNTETSPEPSMETTDSHGQPDPSADGERFELRRRENPKIDEKRDMPPN >OGLUM02G16430.1 pep chromosome:ALNU02000000:2:16602632:16603574:-1 gene:OGLUM02G16430 transcript:OGLUM02G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSSSSPPAAHHPFSFPRRAKQHGRPRLAAASSRWANRRASSSIWVNPAAPPRPGQTLRRLVQLGDLDAALRLLLLPGALPAPAAALISCNILIKKLCATRRLADAERVLDALKAAAAADAVSHNTLVAGYCRDGRLADAERVLGAARATGAANVVTYTALIDGYCRSGRLDDALRLIASMPVAPDTYTYNTVLKGLCIAKKWEEAEELMAEMIRNRCPPNEVTFATQIRSFCQNGLLDRAVQLLDQMPRVYASPSDGRISVS >OGLUM02G16430.2 pep chromosome:ALNU02000000:2:16600123:16602632:-1 gene:OGLUM02G16430 transcript:OGLUM02G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVRKGCSPNEATFSMLISSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNIIINSLSERGRVDDALRLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFRDDCPLIEMTFNILIDTLCQNDLVNYATQVFEQMPRYRCTPDIVTYSSLLNGFSEQGLVEVAIQLFRSMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCPPNEVTFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEQGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWQDAEELVAEMLRNKCTPNEVTFKFFVYELVMVMGMTMEHSVSISTFTW >OGLUM02G16430.3 pep chromosome:ALNU02000000:2:16600123:16603574:-1 gene:OGLUM02G16430 transcript:OGLUM02G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSSSSPPAAHHPFSFPRRAKQHGRPRLAAASSRWANRRASSSIWVNPAAPPRPGQTLRRLVQLGDLDAALRLLLLPGALPAPAAALISCNILIKKLCATRRLADAERVLDALKAAAAADAVSHNTLVAGYCRDGRLADAERVLGAARATGAANVVTYTALIDGYCRSGRLDDALRLIASMPVAPDTYTYNTVLKGLCIAKKWEEAEELMAEMIRNRCPPNEVTFATQIRSFCQNGLLDRAVQLLDQMPRYGCTPDVVIYSTLINGFSEQGHVDQALDLLNTMLCKPNTVCYNAALKGLCIAERWEDIGELMAEMVRKGCSPNEATFSMLISSLCQNNLVDSAVEVLEQMEKYGCEPDTVNYNIIINSLSERGRVDDALRLLNSMVCKPDALGFNAVLKGFCRAERWHDASELIAQMFRDDCPLIEMTFNILIDTLCQNDLVNYATQVFEQMPRYRCTPDIVTYSSLLNGFSEQGLVEVAIQLFRSMPCKPDIFSYNAVLKGLCRAARWEDAGELIAEMVGKDCPPNEVTFNILINSLCQKGLVDRAIEVLEQMPNYGSTPDIFTYNALINGFSEQGRLDDALKLLSTMSCKPDAISYNSTLKGLCRAERWQDAEELVAEMLRNKCTPNEVTFKFFVYELVMVMGMTMEHSVSISTFTW >OGLUM02G16440.1 pep chromosome:ALNU02000000:2:16614798:16674101:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGHVLYLLRKYLGEYVEGLSVETLRISVWQGDVVLKDLKLKADALNSLRLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRLFVLAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRSSKGGPVPGSNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGITLSRLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAAIGSHGRELGISASFVDAMFNCMPHYCNKHLMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.2 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGVEPNYNVLSNRIGSVNQANWPFYWFSWERTRHLCQLRRRYVQLYATLLQQASNVDMSEIRQIEKILDTKVIILWRLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.3 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGVEPNYNVLSNRIGSVNQANWPFYWFSWERTRHLCQLRRRYVQLYATLLQQASNVDMSEIRQIEKILDTKVIILWRLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.4 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGVEPNYNVLSNRIGSVNQANWPFYWFSWERTRHLCQLRRRYVQLYATLLQQASNVDMSEIRQIEKILDTKVIILWRLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.5 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAGWQQVKLAVGGPQIISSLTNPLPWSAHWHMEFALMVVDTACEATHVCGVVHLKDSMELQQRTAQVVQGTRGERSCVGVEPNYNVLSNRIGSVNQANWPFYWFSWERTRHLCQLRRRYVQLYATLLQQASNVDMSEIRQIEKILDTKVIILWRLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.6 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAAIGSHGRELGISASFVDAMFNCMPHYCNKHLMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.7 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAAIGSHGRELGISASFVDAMFNCMPHYCNKHLMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMVTPIFDTTIANINLATHGKFETMNAVLICSISASTFNRHLEAWEPFVEPFDGIFKFETYDTSKHPPSKVGKRIRVAATSPLNDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEVIEDCSTDYVMLSPQDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16440.8 pep chromosome:ALNU02000000:2:16614798:16664129:-1 gene:OGLUM02G16440 transcript:OGLUM02G16440.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGSGGAGRVLPRGDADDGKEQRRSGGGLDGGGDGGGLDRELFREATNSVELHRLAIYHDSDSSAWKLAKKWEDLNPTEWGEIFQDGIDDHSGNSVWAMNRNYLVSPINGTLNYKRLGKQERGGPDIPLEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVNVDCRAWWRYAVLAAIGSHGRELGISASFVDAMFNCMPHYCNKHLMLLGHAKVETVKSKEILHKKGASKRRWWTFGWNSAELPSEENALLEPQLDEEERLTKEEWQAINKLLSYQPEDDLSSPLEKVSPNTTRFLVDVSIGQAAARIINIDRTEILCGRFEKLQVVTKLYPKSTRCDVTLRYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPVGLDLDWQLVAKISPCHVTVLKGSYERFLEFIKRSKAVSPTMKIEQVTRRAQEQLQMVLEEQSSFGLDIDLDAPKVRIPLITRQPLLGNEHFVLDLGHFTLHTRDGTREEERRSLYSRFYIAGRDMAAFVVCDTAEDIYSVPENQRVLSGPTVDANQFCSLLDRCGMSVIIDQIKVPHPSYPSTRVSFQVPNLDIHFSPKRYGKIVELLGVLCKLKGSDSEDSDSCENCNLAPWYPADLAGDARTLVWKGLGYSLAEWHTSYVVLSGMYLYILESEVSQDYQSMASRQVIEVPSTSVGGSLYSIAVCSRGVDMQKALESTSTLIIEFHNEIEKANWMKALVQATYQASAPPEVNILGDPVSTTEPSTPRLSSLGSVDLLVNGSVVETKLSLYAKLDRKKKDPEEVVMLELLGSGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSNYLACSVINDNLETVDSSSPDEEDHRKSFSVEEDSFMDALTDFTPDQSPNLQDLEIPSNSIFDPDGHTQLSSKDGLSFDGDQQKVKPTEVFYEAQDNNINDFVVLTFLTRTPDSCLYDGIDSQMCIRMSALEFYCNRPTLVALIEFGFDLSMVNSAPKGDSDTTPAVRNAKPTGTEDNARNFVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPGSFSIDGMLGNMRFCDMSLGPEHRWGWLCDIRKPGVESLIKFAFQSYSVDDDDYEGYNYSLIGQLSAVRIVFLYRFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSKDYIQLDLGQLKVRNDFCWRGGEESDPSAVRLDILQAEINGINMAVGVNGILGKSMIREGHGINIEVRRSLRDVFKRVPMLCMKFQIGLLHGIMSDKEYNVITSCISTNLSEAPNLPPSFRDNVNRTKDSIRLLADKVNLNNHLLLSRTVVVMTVDVQYALFELRNGPDAESPLAELVLEGLWVSYRTTSLFEMDLYLSILKFLIHDIRPDTKSEMRLMLGSYSETSKLSTQDPSSDVGVSNLTMVILDYRWRSSFQSFVIRIQEPRVLVVLDFLLPVVEFFVPNLGTITGREESLDPKNDPLIKSDDIILCEPVFFQRENFIQLSPGRQLIVDGCDIDDFTYDGCGGTISLCDEYDKKGQLYSGTIIILGRGKKLRFKNVKIENGALLRRCVYLNAGSSYSISAEDGVEVSVLESSLNDNEDDNTQNEEYKRINALQPGADTPSAQMLNFTFEAQVVSPEFTFYDSSKLSIDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVVKDLTIEAGSGLLVLEPVDVSWKYTSVSEKTNIVLASTDVYIHLSLSVASLLLKLQNQTLAALQFGNNNPLVSCINFKRVWTSPNGELPGYNLTFWRPQAPSNYVILGDCVSSRCVPPSQVVVAVSNTYGRVRKPLGFRLVHVLPVSLEQMNSSQAAEDNECSIWIPVPPPGYIALGVTPGFSIWRVDNVIASFHAHNSIEQPTRVEALDLHHVLLRNPNCYIVKDLNADSSVRSNQPADQLTHRKSTSGWDAVRNLSRPSSYCMSTPHFERIWWDKGGDTKRPFSIWRPIPRFGFSSVGDCITEGFEPPTLGILFKCDSAIVSERPTQFKKVAQIDRKGSDEILFFWYPVPPPGYASLGCVATKTDEMPSNDSVCCPKMGLVNHANILEDPISRSSSSKGPNCWSIWKVSNQGCTFLATSDTKKPPAQMAYRIADHAKPKVRENITAELKFGCLSVSILDSSCGMDTVDSVKNADDLSCSALDEDDFQRIVFENKLGCDIYVKKLEDNEDIVELLQHENQVSLFMPPPRFSDKLSVLSNSTESRYYVIIQIFESKGLPIMDDGNDHSYFCALRLLVGSDVSDQYKVFPQSARTRCVKPLKTCESQTHHAKWNEHFIFEVPEQASAHLEIEVTNLASKAGKGEVLGSLSIPIGRGATILKRAASMRIIQQAADVKRVLTCPLTRKGQALNHENVKHCGMLVLSSCYVERSTQTNFQSWKDSLSNAKSGFWIGLGPDGPWECFTAALPLSTIPKSLNNSHFALEVTMRNGKKHASLRALAIIANGFDIKLEVSVCPVTMHSNSVSNAGSTSSTSIIDEVFENQWYRPTSGWGSNPASDQGCDVGPWSTKDGSYSSKAFFEPRLPPGWKWTSPWKIEISSSVDSDGWAYAANFQNLNWPSSWKSSKSPHDFVRRRRWVRSRQSMQEQSAEIPRKIIAVMEPHASTALPWTAMIKDMDLCLQVRPFSEKSQESYSWSQVLSLGSESIPKQQQSSLSRQSTLKQSSVPSKNSVLRLADLEKKDMLSYCCPPVGIKQNFWLSVGIDASILHTDLNMPIYDWKICFNSILRLENKLPYEAEYAIWEKSTEGSMVERQHGIVSSGGSAFIYSADIRKPIYLTMFVQNGWIIEKDTVLILDLMSLEHVTSFWMVQNRSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNISSIPLSYRIVEVEPTENSDAESLSRPDSLSRAAKSSKFSLRYSSKSLIRRGPVAQRNMHILEDYMNRSAGVRFESRDNNSSPARVAICVAVGSCKQYSIGVSLFDLENKEHVDVKAFTSDGSYYWFSAQLKMTSDRTKVINFLPRALFINRIGRSIILSEYHSETEEHLHPSSPPQAFQWRSEFGNELLKLRLEGYKWSTPFSIDANGVMCVLMNNTTGNDQALVRVNVRSGTKCSRYEVVFQLACWSSPYRVENRSMFLPVRFRQVGGDDYSWRSLPPNSSASFFWEDIGRRRLLEVLVDGSDPTTSMTYDIDVVMDHQPLAASSRVKKALRVTVLKEGKFHVTQINDWLPDNRTREQTTERLLSPIFQPSEVDSGQSSPDLDSEFHVTLELTEFGLSIIDHMPEEILFLSVQQLLLAYSSGMGSGINRLKMQMHWIQVDNQLPFVLMPVLFCPQRMENQSDYIIKFSMTLQTNNSLEFCVYPYLGVQPIIWRLHEMIQNLKFDRISSSESSAVSVDPILKIGLLNISEIRFRVSMAMSPTQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMSSAMSNIQKDILSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQGRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLARRRLPRAIGGDSLLYPYDDHKAAGQAILQLAEYATFLGQVDLFKVRGKFASTDAYEDHFMLPKGKILLITHRRVLLLQVPMMTQRKFSPAKDPCSVIWDVLWDDLVTVEMTHGKKDAPGSLPSKLILYLKAKPTNSREVVRLVKCNRGSDQATLIYSSIDGAYKAYGPKSTKGSVNILQELLRWKVPRPYAPRNTSGRTVQDLSYVDYKHISNLYIN >OGLUM02G16450.1 pep chromosome:ALNU02000000:2:16693529:16695584:1 gene:OGLUM02G16450 transcript:OGLUM02G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECLSLERVIHDMEHKLLSKILTIQQELTEIKMHDTLPTRVYNLEVDYTDDYILTKEDEEVLHFVRNSYIWATIALIADIPLAINFLLPNVNGGWLYDTVIDAYGYTANIANHNAGVTTTFQSNLLFDDFEDFDSRFDHHWVSQVGKICVVRHMNVTKFGDHPTNILSRKLNFSPRLQETLREKSKLWMLMSK >OGLUM02G16460.1 pep chromosome:ALNU02000000:2:16697415:16698285:1 gene:OGLUM02G16460 transcript:OGLUM02G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQGEVKTPALCSAHMMSWSPSLAGAPRWRWERSTARRRDSDICVGDKVGMKAWSMDRARVPSRLFPSASVTSTSTCNPTDGWRGAPQSGDSGVGRRELAVMGTEVAVVDTRSEGRGSRAPPPLRLKWIDGGATAETAAASSRCWAPSSDGGQSRVPPVLPLCQLSRRPPQTPRIIIDQGS >OGLUM02G16470.1 pep chromosome:ALNU02000000:2:16698743:16701666:1 gene:OGLUM02G16470 transcript:OGLUM02G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEVVEDAAHGIVIVGGGICGLATALALHRKGISSLVLERSEALRADGVAIGIHANGWRALEHLGVAAVLREATNAITAYRSVWQLQNKTTLLPARKELRCLTRKDLVETLAKNLPAGTIRFGCRVAAVDEESGSRCPVLTTEDGHTIKAKVLIGCDGANSVVAKYLGLGNPSELPRLAILGLASYPDGHPFGTEFLTIAGDDLAVGRLPINDHLVHFFLSRRRPSTDMARDASAAREYVLEKLQECPADVVDMVRRCDHASSLWTTTKVWYRPPWQVALAAFFQLRRRAAVTVAGDAMHVMGPFIGQGGSSALEDAVVLARSLSSSRATVEGGADDLAGDRGRRHDQPQVDGEMGAAIGRYVRERRARVIRLSLESFTVGTLLRTKSAVVRLVCAVVMALLGTRSRRHADYDCGSL >OGLUM02G16480.1 pep chromosome:ALNU02000000:2:16711350:16711955:1 gene:OGLUM02G16480 transcript:OGLUM02G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALLLRCMVTTLLLPSAIAAFVYGDIVIDIPLNNTERFLYLQNRARADVGVAPLAWDGTVAAYAERYAAAREGDCDLKHSNGPYGENIFWGSAGANWTATDAVASWASEKQFYNCSDDSCAAGLVCTHYKQMVWAKTTKVGCASVSCDANRGTFMVCEYDPPGNVPGVQAYAGCGHFNQTDHVW >OGLUM02G16490.1 pep chromosome:ALNU02000000:2:16716993:16720754:-1 gene:OGLUM02G16490 transcript:OGLUM02G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAAGRRSGANRRRPSGGGERRRQQHXAQTPRGPAPGADGRRAAAELRGIPGRIAGNGACAVASLYTLQGKKGVNQDAMIVWENFCSREDTIFCGVFDGHGPNGHLVAKRVRDLLPIKLGADLGTDEGRQTSTSNIKSNGDETGSPGNMGRDAEQNGEYPEIFTALRTSFLRAFNVMDRDLKLHKSIDCFFSGTTAVAVLKQGRNLIIGNLGDSRAILGTRDKDNQLMAVQLTVDLKPNIPSEAQRIRQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDYGLISMPEVSYHRITEKDEFVVLATDGVWDVLSNTEVVSIVNRATSRASAARLLVESAHRAWRARFPTSKIDDCAVVCLFLDTDELSETSSSMARDMTNAVEVSSGQHSNTIQLSTGVSSDVVTAVLTDGDDLSAVDAVAKLVTLTDLPNNASGATQSITTK >OGLUM02G16500.1 pep chromosome:ALNU02000000:2:16745934:16748894:-1 gene:OGLUM02G16500 transcript:OGLUM02G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGPPAHHQKAAVGILHLLWRCLCGLKPRRQTIGRKTRHNLILRSKCCSSHPTPRRVQWSEPHYSLDLHSIPHTSFQALCDPSRSTATHGNRDWGERMRKEVGGMVHNGGTRESSDQVALPRPSKCPTARTDQYPTRAVTSTWLAVGIVWVKLDSLCKGELNHSNVPYSWFGSCVIRVIPTPKV >OGLUM02G16510.1 pep chromosome:ALNU02000000:2:16778995:16787966:1 gene:OGLUM02G16510 transcript:OGLUM02G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLPCLCVVTVLAAPAAADSLGSAQPFLDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASSTTAATARAPVTKMVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTAQSSPQDFLNLHNAARAGVGAGMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCNGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGGGTFIACNYDPPGNVAGQRPYSCSQAGISLPGLANGNSSTGNSSSNQSKGSKSNPAILPIVLPVSIGFGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQGLRELKNELLLVAKLQHRNLVKLLGACLNEEDKLLVYEYIPNKSLDTFIFDDEKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTNHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITEIVDPSLRCRSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENQIHHGINNLNPSLNKSERRVTYGRMGFKIIPNSQTAAPIPNRQAGRERGGGREDAGGGGGGGGGGAWEREEKMALRRGYDRMIARRVKMALRRLDFDSRKILDRRQTPYSVVVDETNYKDVDADAFLGIPKAPCYCCTLRSQELQEALLRQQKRD >OGLUM02G16510.2 pep chromosome:ALNU02000000:2:16777651:16787966:1 gene:OGLUM02G16510 transcript:OGLUM02G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLPCLCVVTVLAAPAAADSLGSAQPFLDLQNRARADVGVAPLTWDDTVAAYARRYAATRKGDCNLQHSGGPYGESIFWGSAGANWTAANAVASWASEKQFYNCSDGSCAGDQGGHSEKQFYKCGHYTQMVWAKTTKVGCAAVNCDADRGTFIICEYDPPGNVLGVQAYGGCGRFNQTAQSSPQDFLNLHNAARAGVGAGMLSWDSTVAAYAADYAEKRKSDCRNVHSNGPYGENLFQGVAHISWTASDALFSWLGEAKNYNCNGNTCKDGQECGEYTQLMWTNSTRVGCASVTCDDSAGGGTFIACNYDPPGNVAGQRPYSCSQAGISLPGLVPDKGNGTNQQANGNSSTGNSSSNQSKGSKSNPAILPIVLPVSIGFGIISAISICLWRNRSSLKRRQSSCSEEVEDIKSVLLDPSVIRSATGNFAEENKLGEGGFGKVYKGLMPDGQEIAVKRLAKGSKQGLRELKNELLLVAKLQHRNLVKLLGACLNEEDKLLVYEYIPNKSLDTFIFDDEKREQLAWDARYKIICGIARGLVYLHDESRVKVIHRDLKPSNILLDMDLNPKISDFGLASVFEGDHTNHITRRVAGTYGYMAPEYAVLGHVSTKSDIFSFGVIILEILTGRRNTISSETIWTEHLLSYVWENWTRGTITEIVDPSLRCRSAESEILKCIHIGLLCVQENPGDRPRMSNVILMIVGKSTTLPAPSRPAFLFRLNDENQIHHGINNLNPSLNKSERRVTYGRMGFKIIPNSQTAAPIPNRQAGRERGGGREDAGGGGGGGGGGAWEREEKMALRRGYDRMIARRVKMALRRLDFDSRKILDRRQTPYSVVVDETNYKDVDADAFLGIPKAPCYCCTLRSQELQEALLRQQKRD >OGLUM02G16520.1 pep chromosome:ALNU02000000:2:16788315:16794075:-1 gene:OGLUM02G16520 transcript:OGLUM02G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT3G47390) TAIR;Acc:AT3G47390] MPPAHPPPCGVAPAAAATCPLFLLPRRSLSRSLHLRLRRLALPAPRAASHAHDAVLLRRAADAADRSAGLTSPHPNFGCVIARPQLETDNAEAWVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAVSTLVQAGITRVVVGLRHPLKHLRGKAIQSLRNEGIQVDVVGEDLHSKLFKEALKSCLIVNAPLLYRAAFRVPFSVLKYAMTADDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVHDAYTIVATQRGARRDLQKKLALKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVYAFLAPKIIGGVNAPTPVGELGMNQMTQAIDLIDVSYEQIDRDMLMSGFIQPIPDLSPVIPSVDEMPSVDPEVSPYETNIISFYKTWDTFGAFSNFSPHPIHMPDENGDYFTWPTVEHYYQAHKFIGVDDPQAREIVQEIKLAKSPEEAARIGRTWQREFQELVRPDWDSIKIEVMYRATKRKFSTYSHLTDMLLSTAGSVLVEASPHDLFWGGGREGEGMNYLGRLLMQLRSEILGTIQTAVEVGEPA >OGLUM02G16530.1 pep chromosome:ALNU02000000:2:16798532:16803364:-1 gene:OGLUM02G16530 transcript:OGLUM02G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGACDPPGASLRRLVLLLALSVSALAAADASGVFEVQRKFTRHGDGREGHLSALREHDGRRHGRLLAAVDLPLGGSGLATETGLYFTRIGIGTPAKRYYVQVDTGSDILWVNCVSCDGCPRKSNLGIELTMYDPRGSQSGELVTCDQQFCVANYGGVLPSCTSTSPCEYSISYGDGSSTAGFFVTDFLQYNQVTGDGQTTPANASVSFGCGAKLGGDLGSSNLALDGILGFGQSNSSMLSQLAAAGKVRKMFAHCLDTVNGGGIFAIGNVVQPKVKTTPLVPDMPHYNVILKGIDVGGTALGLPTNIFDSGNSKGTIIDSGTTLAYVPEGVYKALFAMVFDKHQDISVQTLQDFNCFQYSGSVIDGFPEVTFHFEGDVSLIVSPHDYLFQNGKNLYCMGFQNGGVQTKDGKDMVLLGDLVLSNKLVLYDLENQAIGWTDYNCSSSIKISDDKGSTYTVNADDISSGCEVQWRKSLILLLATTVISYLML >OGLUM02G16540.1 pep chromosome:ALNU02000000:2:16808591:16809515:-1 gene:OGLUM02G16540 transcript:OGLUM02G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNRHPPLAPRDSATSARSEATCSHACVLARGRLRVSFAPPPWFPPVGRGARSRFQRHVQGVHRVAPGWGGDVAARGRRSRTLRRRRAARGRDVDSGGAEETASGEVSAASLVARRPVQPAETAELDADGRGWGCPGRDGCGIARGEEASMVEPTHVGRRVHAGERRRRSSTRR >OGLUM02G16550.1 pep chromosome:ALNU02000000:2:16815045:16815653:-1 gene:OGLUM02G16550 transcript:OGLUM02G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPTAAAITQALHNKQEFPLAAGINDLLVVSICSGSSSTAAPSATPSSRGLLLGRSARPTWSSRLHRRWAGGRGSCGRRSGSSRRLAREGEAAHTVDAAAAEEDVGHRAAAGSGCGRGGAEEDADDHPGDADNHHARRPPRARTPPLSAAPQPRSPLPVPCYSPAAKRSKKRRQKRKGKREMVWHADTWGPHGSHTDSAAT >OGLUM02G16560.1 pep chromosome:ALNU02000000:2:16815682:16816614:1 gene:OGLUM02G16560 transcript:OGLUM02G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPSTERTAVAVAPPAHVAEAEVKAAARRCHAAWCRRKPAAATTSPPTHAGTAPPHHPPFPRLTATNASASAAVYLGRPSAPFKNNIAASIEQERHGAEVARIPPACSPSCPMRPGTRRCCSPTQSRASSPRFISPQPRAFPPPSASPSARPPSSPSWPGMTPCPLLPSRTSPPTRSSLVLVSAVQKSHLSVRLWTLRGGGGGGHGGGAGSGGAWTEVVRMPPEVHAQFAAAEGGRGFECVAHGDYVVLAPRGPVAQAPTSALVFDSRRDEWRWAALARVSGCSRTSPAWRRQPLASSTPRWSTAWME >OGLUM02G16570.1 pep chromosome:ALNU02000000:2:16821947:16823213:1 gene:OGLUM02G16570 transcript:OGLUM02G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKMGVKRKRKSRGSTQTRRRTPPELMEDMVKEILVRLPVKSLLRFKPACRAWQAIIDDPVFIRAHLRRSIRLQVGAEPQLHPQPSQHVPSNRYRFHQWQLQRGTTTTTSPRNNVATFLHAKDLSDDQQFYTTEFTHCDGLVFSTTTTSLHVFNPATRDGITLPTSSRSILIGGGRFNYHCSGLGLDPRTGMYKVVQAFFRSQSMEPAETKMGMEVFTIGGGGGGAGWREITSDPPYPAKRFQIGVSVCGYMFWRFSERHTKLERGILHLSLEEEEFGITGLPDELDTDSSFLLDELLGRDLCVSASNTSCTMLNIWTLPVADESLCTLWQWRYCIEYPWSLCSVMALPPFSDEIILLRGNNICRYDLATSKLKIFGRLDRMRYQLGEGARKSELFSAMPFIESLVRITY >OGLUM02G16580.1 pep chromosome:ALNU02000000:2:16826801:16845731:1 gene:OGLUM02G16580 transcript:OGLUM02G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPRHYANRAAAAALALDPPPPPAGAAPAMLGVSQRCSYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRPRLPVRLKSGSFFATGLMSNHNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLFGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSQEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRMALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKKALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDASNKLHFSAGYTMKIAQKLYEGITLSSEDASGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSSVVIYLAASLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >OGLUM02G16580.2 pep chromosome:ALNU02000000:2:16826801:16845731:1 gene:OGLUM02G16580 transcript:OGLUM02G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPRHYANRAAAAALALDPPPPPAGAAPAMLGVSQRCSYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRPRLPVRLKSGSFFATGLMSNHNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLFGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSQEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRMALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKKALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDASNKLHFSAGYTMKIAQKLYEGITLSSEDASGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRRLPSSLVSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >OGLUM02G16580.3 pep chromosome:ALNU02000000:2:16826801:16845731:1 gene:OGLUM02G16580 transcript:OGLUM02G16580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPRHYANRAAAAALALDPPPPPAGAAPAMLGVSQRCSYTFMPYSPFRPCEMCGVSVTNNSSRQLSSIRPRLPVRLKSGSFFATGLMSNHNFVTNNIGNSRCFLTVCNKNLSFLIRNKSLFGNPNMRREEGSDMHSLFHRSEKKKSTLPSCSIITDEASTSTSNHSKSAIGTENSSTSRIKDVSEDKKVSIKKQSKSAKTIAAAKIGTTDVSQEENKREISKSEKNANISRDKKTSNRLKKFSKAKKSAAAKAEICTKSSVDSSDSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKRIRMALRGAENLILASDPDREGETIAWHIKEMLERQDALGCNVTVARVVFHEITEDAVKKALMSPRYIDMNLINAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQFAALALLCDREAEIEQFNPEEYWTIDTDFKTQHSGPSNGLNLQAQIKHLNSKKLDQLSIWSQEEAQDIEKRIYSSQFEVIGIKRSKIHKNPPMPYITSSLQQDASNKLHFSAGYTMKIAQKLYEGITLSSEDASGLITYIRTDGFHISDVAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQESHEAIRPTSIRSTLDDDSLKLYALIWKRTMACQMEASRAEMIQVDIGNSKGDMIFHSSASNLDFKGYQAVYDDTEASPSSNNSEDDAVHQDNFEALSKLKVKDLMSPVNVHLAQNFTKPPSRYSESALIKRLEELGIGGPSTYSSIMKDRNHVTVKGQVVHPEFRGRMLDNVSAGSTEWKGLLKDFWERFNKYCGNASRLQVRKVERMLEEKFGSILFPDLDNDSRICPSCSEGTLRLKVSKHGEGYFIGCDRHPKCKYIARTLSVEVDETEASDEIQSTFTPRLLGVLPDSDEKVFLKQGPYGHYIQVGEDIKGVSRKRAPLSEVKDIDSITLEDAIELLQYPKILGKHPDDDLPVLVTHSKSGFRIRHRRTLAPLPKSADPKEITLERALKLLTGKNVIRFGRPKGIKNPEPLEYHS >OGLUM02G16590.1 pep chromosome:ALNU02000000:2:16860661:16887776:1 gene:OGLUM02G16590 transcript:OGLUM02G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGPTLTLASIVLMELHRTRAKKKASDTLEDLAFIKREFGIMQTFLVDAAAATTSASFKLAWFRRIRDLAHDAEDSIQEFFLHVEKPPRTTSSRLLLPLDAITKQMRNLRREIEHVNQSCGIYANAAFRFNTAAAPPQSNSGTRVAASRNALLIGRESERSHLIKLISQDQEQCETIFICGMIGIGKTSLVRGVYQSKNIGSIFEQRAWVTISHPLNIREFVTSLTQTNIKIVVITKDAIIAEHCSTTCKYIYKLEGLKDNEAPALFKNKVFMDSSNIELHPDMTTQAKLIIKECDGHPLAITNIAGFLARKPKTTTEWRKLNDDFSAGSRRNRSLEMISTALAPSYDDLPYHLKLCLLYLSVFPKGHNIRRRRLVRRWVAEGYDTSMNAEEVCANYFAELINRNIIQPSEKIAHNASNIQYCHVHNLIRKISISKSMEENHVFVFDSRFVKHGTIRHVSIINTTDMDKNILKDADLSHVRSVTVFGEWRASLDSRKMRLLRVLDLEGTSGLKDHDLVQIGNFLHLRYFSLRGCVDINQLPDSLGNLWDLKVLDVSGTNIIKLPKTIVKLKKLQYLRAGKVPKDDVLYSSELEESSDLSKMVHGAIDDVELPDLVSKTVQFSTKLDTTAAYCTNIVQNTDKVKKRDIFHKYYNVLLPSIPWGIDIYGVEAPDGIGQLNDLWTLGVVNVAVGKTILRDLEELKKLHKLGLTSVNQKNSQSVMSVIANLFLLHSLSLRAEGDQGLEDCLDHKFSPPSKLQSLKIYGNLVTLPTWITQLQNLTKLKLQSTQLKLDLSLEVLGKLLHLAILRLWMNSFQGKELCFNFQQETFLSLAVMELKDQEGLKSLTFMQGAMPRLVLLQIDNSIHIDENGLSGVSSLPSLREVMLKGDHNEELIKNLRDQITLNQNQPVLKGA >OGLUM02G16600.1 pep chromosome:ALNU02000000:2:16891650:16895124:-1 gene:OGLUM02G16600 transcript:OGLUM02G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPPPSPLPVAAKPTPPLPRGCGSPSSAAFSTTVVVSSTAAPCRDCILAPTPPPPSPRAYRRAGVAVTDHLQHRPRCLLHCHSLVKLRLFSNNLDNLGNLFSPTAVARRAPATKATASGAAAREDLEGCSAGGAEPLSPRWGRGRRSRQASGGPGVQAARARQHDWTLTSISQGLNELCEQQRMTKCTTTSGHDISNQMCSANQTPEATSSRWTEI >OGLUM02G16600.2 pep chromosome:ALNU02000000:2:16891720:16895124:-1 gene:OGLUM02G16600 transcript:OGLUM02G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPPPSPLPVAAKPTPPLPRGCGSPSSAAFSTTVVVSSTAAPCRDCILAPTPPPPSPRAYRRAGVAVTDHLQHRPRCLLHCHSLVKLRLFSNNLDNLGNLFSPTAVARRAPATKATASGAAAREDLEGCSAGGAEPLSPRWGRGRRSRQASGGPGVQAARARQHDWTLTRS >OGLUM02G16600.3 pep chromosome:ALNU02000000:2:16891720:16895124:-1 gene:OGLUM02G16600 transcript:OGLUM02G16600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRPPPSPLPVAAKPTPPLPRGCGSPSSAAFSTTVVVSSTAAPCRDCILAPTPPPPSPRAYRRAGVAVTDHLQHRPRCLLHCHSLTCSDCVAIVPYKFFWS >OGLUM02G16610.1 pep chromosome:ALNU02000000:2:16900008:16901491:-1 gene:OGLUM02G16610 transcript:OGLUM02G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGDGAASTVFYAEKYHPIQAGSIDSTDVAPHDNAPSSCSLPSSTTLPASATNNGGRPTATASSKRRWAVGVEPRISRRVRWRHISEHLSKGTGRRDRWCSGADCQATSRSGLPPLGHQGVRRQAVANRGRAKLEEATREDLPLVVTMDELSQLQLQLESTLSKVCERLEWPPFLLFENFHIALVYVMATTSPRNGISEPQHVNCMYFLFW >OGLUM02G16620.1 pep chromosome:ALNU02000000:2:16903372:16906104:1 gene:OGLUM02G16620 transcript:OGLUM02G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWICNNMVSEPTIRGIPGQETRWMTHGGSSDVQRLDPSIGGGCTGGACQVFEEMPSWLGAGAGVALRVQVSRVLYPVTGEVLHQVYNGYGAVAVQVLATSCWGVEALVWFRSSCDTERARSDTNERNIYDGCCLLDVQHTQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATECVFPATKASLAPSTSSTTMATPAPSTETKVVGAGMDKEVLKSEETTQDLNTKMMAMIDKMLETCRNTKEDYTVSVDSNGDATALSVNIDPVPILSEVSNEANSTYLVNTNKLSMVKVKPTKGLTKSKKEKVDGDAGGMVTDDCVEFTKVDTKLIYVFRPFTDVSLALYRSNYIGVTNLPVVSSECEVRYDDFVSGADFTARPQVVPPWRLAVPLDFRFLPWPDIFNQGSGGVVKLEIQIIVTVCSIPKATIEGLQLLGERMLQEEQLKCEVVKSNWYSFSNLLVGDMKDIALPMQSLGQLVPSYSLAQFENENLLIQQAMSWCRFKLSANYFLSKPYQWRKYIVDAPAYQGFHFQGMIKQQIDGVDMMLLYYHQISIVYCNVSGDVVYDVTWTPVMPSKWIHVVAIGRTWLLSAFALINFLEAGTVQLAVKLVYVKIAEMTRIRSWDPVIVNLVTIIACQISTESMSVGLSGVKVWLLFALTLVRFRGLVTTYYAKFWRFSPNVHYFDGMTTGNSVLWEPKLLPLSILLAEPKLLPAQVKFRLVVNNVTRGLKHQSIELFRKYATTVKKGGSDTLDLSSVCKYKNAHDSIQVGTVSSISLSLTMFPVLPSKKLVTSIKIPNYNSGQMEVQCIHQSASFVTSIGMESSLVVAFCGSAGAYVLALDDYLQLPWDPGGTDLELQLHQLGDKLIFKVERMPCN >OGLUM02G16630.1 pep chromosome:ALNU02000000:2:16918896:16933089:1 gene:OGLUM02G16630 transcript:OGLUM02G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEESLNQFQYAPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWSPNDPEESQKISESDKLMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPGLLHWVTHNLESENQIFGALYVLRVLSRKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMSLFINLLERPVPVEGQPLDPDVRKSWGWWKVKKWTIHILNRLYTRFGDMKLQKPESKAFAQMFQKNYAGRILGCHLQILNAVRTGDYLPDRVINLVLQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVDIFRRYDEASIEIKPYRQKDGALLAIGTLCDKLKQTDPYKAELERMLVQHVFPEFNSHVGHLRAKAAWVAGQYAHISFSDQDNFRKAMHCIVSGMRDPDLPVRVDSVFALHLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASQEADDEADDSGALAAVGCLRAISTILESVSSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPSISLDMWSLWPLMMEALNDWAIDFFENILVPLDNYVSRGSDHFLACKNPDYQQSLWSALSSIMMDQNMEDSDIEPAPKLIEVVFQNCKGNVDQWVEPYLSLTIDRLRRAHKPYLKCLLVQVIANAFYYNPSLTLATLHKLGAVTEIFNIWFGMLEQVKKSGVRANFKREHDKKVCCLGLTSLISLPADHIPGEALNRIFKATLDLLVAYKEQVAESKKQDDADGDDMDGFDADEDEDDDEVESDKEMGLDEEDADEVNSLHLQKLAAEARGFQPADEYDDSDDDFSDDEELQSPIDEVDPFILFVETVQGLQASDPIRFQSLMQTLDFRYQALASGIAQHAEERRVEIEKEKLEKANAQ >OGLUM02G16640.1 pep chromosome:ALNU02000000:2:16971965:16973131:-1 gene:OGLUM02G16640 transcript:OGLUM02G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRKMPAKVKRIRFADSQTGCEVVLPQTMTSGATSSSLAVDETAQTRPKRRRQAQSASRGATADIGTTPTNLGSEVLLAMSLLGLLVSTNPSVEHCKLVASLFSVGFLTMDPVVKGPNLTCCSAALASEACRALSKTPHEKLEEIGLDAMACMTLESLEKPDLIRWLMDKTGPNSMCILIDDDRKIQITPRTVYLIMGNLLGSKDIVIPPNKVVRNTHDRITKELGIQ >OGLUM02G16650.1 pep chromosome:ALNU02000000:2:16977011:16977223:-1 gene:OGLUM02G16650 transcript:OGLUM02G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGSGSGGEEAWGQRIQLPLPCVDGEGSGRTQPPLAPYAPTTRMRTRTRTATTTMTRRTAGIRDMDNIT >OGLUM02G16660.1 pep chromosome:ALNU02000000:2:16986157:16986696:1 gene:OGLUM02G16660 transcript:OGLUM02G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTKSKGKPLLLVVISFLSVLPWHTLASGGGKPLVTAITKDSATKLYTIAVKDGHPLALDLSGELVWSTCDASHSTILPYERECVEIILSSSLWGPHKARRRGGGGGRAGSSHGPMVGPSTSVSATPISSSTGSSGPPRAPICVATASQLSSSSSCVTEEEEETTKPAVASLKLGDV >OGLUM02G16670.1 pep chromosome:ALNU02000000:2:16990375:16994494:-1 gene:OGLUM02G16670 transcript:OGLUM02G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDPRPAPRVPRPTRPSASTESPPRRRRPLLPAPSTAAAPRPPPPPPRAPSSPSSLTASPTFPDSTASTPASPPTACFAIAIAVLPHHVADFPRLHRIHAGIAASTPASPQREKEVSARRILKTLRSSPSRLVPPSPPIDRLQNTASAGAGERCGLLPQPRGPPHQRRFAGSTGRRPTSAEMDKIVGGSTPSGMNYQVNLSHWPTMVMLAAFRACHYVEWESSDGGSSHLPLAVDGRPVTWRQLPKIKENNLAIAANSKAKVKVKVKVKFNASEELILPANTEGSTKFADETDRCVYLSQPSMADFVV >OGLUM02G16680.1 pep chromosome:ALNU02000000:2:17008994:17014541:1 gene:OGLUM02G16680 transcript:OGLUM02G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQFTISVSNEIFFGKKEKNSTDSEQNWQRVKKPRLASMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMALGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVIHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >OGLUM02G16680.2 pep chromosome:ALNU02000000:2:17008994:17014541:1 gene:OGLUM02G16680 transcript:OGLUM02G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGERAAMALGAGFDLTSDFRLKFAKEGRLVELDEAGARDVPVPGGGVGGGAAAVLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNTLFDLSGAWMTDAKETKHLAFDGYFISLYKLHLKTSPLVLRDEVRSAVPPKWDPAALSRFIKTYGTHIIVEMALGGQDVICVKQSPSSTISSADLKLHLEDLGDFLFSDGRNHSPIHRKTRDGKSKVPDVFVRMEQQPNNLHLSSYSESSTKDGLTITCSKRGGDASIASHSKWLQTVPRVPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDPEDLQHFLEFQVPLQWAPLFNELILGPQKRKGSYPSLQFRFLGPKLQVSTSQVSSSHKPVVGLRLYLEGRKCNRLAIHVQHLSSAPSMLGDSLSSSMSEWRESEEVGAGYIEPIQWKSYSCVCTSKVDYNPEWLKRVPGGRGVFVVTGAQLVTKGTWSRKVIHLRLHYTHVPGCAIQRTEWAAAPAASQRGSFLTTISTTLSSPFTQLQAAAAPAAPPRNEPAPAALLNSGVYPDGPPVPLQSRKLLKFVDMSEVVKGPHDVPGHWLVTAAKLVKDGGKIGLNVKFALLNYDGTQPATATMAGGDQGHGLLN >OGLUM02G16690.1 pep chromosome:ALNU02000000:2:17012592:17012933:-1 gene:OGLUM02G16690 transcript:OGLUM02G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAPTSSDSRHSDMDDDSESPSMLGALDRCWTWMASRLHFLPSRADAHAHTTTMLVCTFECVFTDIMVLQKLR >OGLUM02G16700.1 pep chromosome:ALNU02000000:2:17015145:17018829:1 gene:OGLUM02G16700 transcript:OGLUM02G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G25410) TAIR;Acc:AT3G25410] MAAAVAASSSSTSSSCAAVGAATASHPHRHRQARFVVSPPAPAPPAALLWRRPRRVAPTTFCSAPSLGRVGWLRREGAAWLLSFRVGPASSPSSAAAGDPSQALSALLPLVVAATAVAALGNPATFSWVSKEYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLTIGYMAQYIVKPLMGVLIARAFGMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTLGLLLNTYAKAVVNVIQPVMPFVAMLCTSLCIGSPLAINRSKILSSEGFLLLLPIVTFHIASFIVGYWISKLPMLRQEEPVCRTISVCTGMQSSTLAGLLATQFLGSSQAVPAACSVVIMAIFGLTLASYWGNGSRIRDIGSRFVPQASAGYYAVYLASRVALCSVISCECHRLRPESNYDWPI >OGLUM02G16710.1 pep chromosome:ALNU02000000:2:17020229:17029233:-1 gene:OGLUM02G16710 transcript:OGLUM02G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWEIGLEATIAIATLVLTEVHRMRDKKKVPTDTLEEDMAFIKKDFQLMESFLVDAAEKRRQTAAATTTTSRSLSTWLRHLRGLSQDVEDCLQEFCLHLERPPRAKSKLLLPLDTITKQIRRLRNEIEHVNKSSAIYCNAINFGPDAAQPMSISVPTGPAFTNAPHIGREMEKSHLIQLVSQNSENHNIISIWGMIGIGETSFIRSAYGSEEITSMFEQCAWVTISHPFNLHDFITSLAHELNAHDFSVLGNGLQKSEESIKPSKRRCLLVLDDVLSIEEWNLIQPHLPNETNTKIIVTTREASIAEHCSMTCKNIYKLEGLKEDAAFALFKNKVFVDSSNIDLDLDMITQAKLIIKECDGHPLAITNIAGFLARKQKTTTEWNKLNDNFTSGSVNKENLEMISTTLEPSYNNLSYHLKLCLLYLSVFPKGHNIRRKCIVRRWVAEGYISKTHSLSAEEVGESYFAELINRSIIQPSEPVPAHNVDNIEYCRVHNLMHKISVSKSMEENHGFVLEVSSNNEGTVRHLSIINTGETNKNALKCVDLTHVRSVTIFGECRASLDFSMMRMLRILDLEGTSGLKDRDLSRIGNFLHLRYLSLRGCADIYHLPNSLGNLWDIQMLDVSGTSIIKLPKTITKLKKLHYLRAGHVPKDDATTSSIEMKESSDLSKMGHEPINDLEIPDVEVKSVQFGMTVLDTTKAYITKTMQNNDNVKKHDIFHKYCKVLLPGIPQGLDMYGVKAPEGIGQLNDLNTLGVVNVAAGKVILRELEKLKKLHKLGLTGVNKKNSQAILSAIANLALLHSLSLQAEGEPGLQVFLDHTFAPPSKLQSLKIYRNLVTLPIWITQL >OGLUM02G16720.1 pep chromosome:ALNU02000000:2:17030440:17031030:-1 gene:OGLUM02G16720 transcript:OGLUM02G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAATAASVAEAEVVVGRGGGARARGDALADGGEAMVAAGNGRRKVEGDGSGGVYGGFDCSGFEGKEESLVASERRTKGGGTVATRWAWAQRRRSVWTASRRRKRGTVAARQRERGSHGRRGRMAEGAEVVALAWHAKDNMARPGWAAKVEREVGRPREGKELGGVWAEGRREREDWWPGGTGGFGIFPRNLKEI >OGLUM02G16730.1 pep chromosome:ALNU02000000:2:17046292:17046607:-1 gene:OGLUM02G16730 transcript:OGLUM02G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSEQRTLFKFSARDFPEPYNENGFSGVSSLPSLREVMLKGDHNEELMKNLRDQIALNQNEPILKGA >OGLUM02G16740.1 pep chromosome:ALNU02000000:2:17046670:17049490:-1 gene:OGLUM02G16740 transcript:OGLUM02G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAFRNAPHIGREMEKSHLIQLVSQKCENHQIISIWGMIGIGKTSFIRSVYESEEITSMFEQYAWVTILHPFNLHDFVTSLAQELDAHDFSLLGNGVQKSEESIKASKRRCLLVLDDVLSIEKWSLIQPHLPNETNTKIIVTTREASIAEHCSVTYKNIYKLEGLKEDAALALFKNKVFVDSSNTDLDLDMSTQAKLIIKECDGHPLAITNIAGFLVRKQKTATEWKKLNDDFSSGSVSKTNLEVISTILEPSYDDLSYHLKLCLLYLSVFPKGHNIRRKRIVRRWVAEDYISKTHSLSAEEVGDSYFAELINRSIIEPSEPVPHNAGNIKYFRVHNLMHKISISKSKEENHGFVLEVSSKNEGTIRHLSIIDCADLTHVRSVTVFGEWRSSLDFSMMRMLRILDLEGTSGLKDHDLDQIGNFLHLSYLSLRGCADIYKLPNSLGNLWDIQVLDVSGTRIIKLPKTITKLKKLQYLCAGNVPKDDATSSIELKESSDLSKMVHEAIDDLEMPDFVAKSVQLGTTALDMTAAYCRKKMQNTDNVKTRDIYHEYCKVKFPSILHGLDMYGVEVPEGIDQLNDLHTLGVLGLTGVNKKNSQAVLSAIANLVLLHSLSLRSEGEPGLQGCLDHTFTPPSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRST >OGLUM02G16750.1 pep chromosome:ALNU02000000:2:17053957:17055033:-1 gene:OGLUM02G16750 transcript:OGLUM02G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVLTELHRMRDKKKASDTLAEDVAFIKKSISRLRKEIEHVNKTSGIYCNALNFGPDAAQPMVH >OGLUM02G16760.1 pep chromosome:ALNU02000000:2:17055875:17056213:-1 gene:OGLUM02G16760 transcript:OGLUM02G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSSPGKVAADPRLPSLDLACPRLDSTEAGGAVARRDGGGVADDKGGRCEMAHEAGTQVAEGGTHEEAPTVTPSPAAKAARREPACEARMREAVPTVALSGAAVGGGARR >OGLUM02G16770.1 pep chromosome:ALNU02000000:2:17057292:17062247:-1 gene:OGLUM02G16770 transcript:OGLUM02G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDQFALGPSYRLRWTNYLLLNLKHSEGVDHQAPLRRRQQMVGNHGATAWADGQQHEEPLKHEAEEEAVQDGHQPRHAQDEMLHFLTKKHPFDISLPSVLDGSITSALVAPYVLSVSPHHPQVDSTLTPSTRFVPRTVMSDPSTAVVTTLVAPVEDKP >OGLUM02G16780.1 pep chromosome:ALNU02000000:2:17067259:17069692:1 gene:OGLUM02G16780 transcript:OGLUM02G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIDFVTETLVEPCTNRWWHLNRMKNDGNSTTDLSTQSGFFVSANALRCSREGTSDAVGERGDDPGAWPYCFLSFASSSNEGHGDLLEQHGNSGWYKIDRKDDGENWYKSYMPTCSTKYFGIPAVLTRRNFEQPLW >OGLUM02G16790.1 pep chromosome:ALNU02000000:2:17070673:17071285:-1 gene:OGLUM02G16790 transcript:OGLUM02G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKLLLSPVHNDTYKFIRFQDEKISAYILSNKIKHELIFPNGVTSRYSEHRKNHIYDPYNYTFIHQVIPHTCSF >OGLUM02G16800.1 pep chromosome:ALNU02000000:2:17090171:17090590:-1 gene:OGLUM02G16800 transcript:OGLUM02G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAASIGTTASIRWGAGRRAFSHGRHRQGWPVDRTVATVEGRNQEAAVEEIQGDGDKDSAIGRSALGRRSLNHSRDIEELVHLEINYSVYGRILRHEGRLLTAADHTYEEWHPEDNRMTAVARQWGSNMASLEQHRLS >OGLUM02G16810.1 pep chromosome:ALNU02000000:2:17091475:17097653:-1 gene:OGLUM02G16810 transcript:OGLUM02G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSADPGGLEAGECIAWEAHRGPVFALTTSLYGDLWSGSEGGVIKVWYEEGIEKSLSLQREEKRKTSFLVERSFIDLRAMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSLALWDSCTKELLKVISVDGQDPFGYETKQNLFSAPRKDKARSPVGFFQRSRNALMGAADAVRRVAAKAGFGDDSQRIEALAMSIDGMIWTGSANGCLARWDGNGNRLQEFQHHLCSVQSIFSFGTRIWAGYMDGSIQLLDLEGNLLGGWIAHSSPVLSMAVGGSYIFTMAGHGGVRGWNLSSPGPIDNIMRSTLIEAEPLYKQFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGLVVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGDWWLDAIGQHLKGYSFERVGSRQMAGLLICVWVRTHLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMKIHDRSICFVNCHFASHMEAVSRRNEDFDHVFRTMTFATPSSGIMTTSVSSSTGQLLRGANGSRMPELSDTDMIVFLGDFNYRLYDISYDDAMGLVSRRCFDWLKNNDQLRAEMRSGRVFQGLREGDFKFPPTYKFEKHTAGLSGYDSSEKRRIPAWCDRILYRDSRVSSGNECSLDCPVVSSISLYDSCMEATDSDHKPIKSVFNLDIAYVDKQTMRQKYVELMSSNNKVVHLLQELEAFPGVNINNSNIILQDRNPSVVKLQNRTEVIACFEIIGQAPNLSSTHFSAFPAWLKVSPAVGIISPGQTVEVTLQHRDLHSQQNYNGTSLDILPGGATQQKAATVFAKITGVYSTVAKYYEIHVQHQNCRSTLPSRGYNLGDRFF >OGLUM02G16820.1 pep chromosome:ALNU02000000:2:17139574:17140255:-1 gene:OGLUM02G16820 transcript:OGLUM02G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAQHPSQQDGKTLDRDDGRRHGTRGRKAGSTTPPSRWRGSSARIDPGTAYLLKIRLHGHHSRENFTYKIEEVVDSGRTNFKDFIDDIREKYP >OGLUM02G16830.1 pep chromosome:ALNU02000000:2:17149864:17150455:1 gene:OGLUM02G16830 transcript:OGLUM02G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKWELGLEATISIASLVLTEVHRIRRDKKKVPANDTLEEDVGFIKKDFQLMESFLADAAEKRRQTATTTSRPSRSLSTWLRHLRGLSQDAEDCLQEFCLHLERPPRAMSKLLLPLDTITKQMRRLRNEIEHVNKSSAIYCNAVNFGPDAAQPMI >OGLUM02G16840.1 pep chromosome:ALNU02000000:2:17157701:17159221:1 gene:OGLUM02G16840 transcript:OGLUM02G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSHLIQLVSQNGENHHIISIWGMIGVGKTSFIRSVYESEEITSMFEQCAWVTVSHPFNLHNFITSLAHELDSHDFSVLGNGLQKSEESIKASKRRCLLVLDDVSSIEEWSLIKPHLPSETNTKIIVTTREASIAEHCSMTCKNIYKLEGLKEDAALALFKNKVFVDGSNIDLDLDMTTQAKLIIKECDGHPLAITNIVGFLARKQKTATEWKKLNDDFSSGSVSKENLEMISTGLEPSYDDLSYHLKLCLLYLSIFPKGHNIRRKRIVRRWATEGYISKTHSLSAEEVGESYFAELTTTNLGFYDDYLMTEHISVINRGCL >OGLUM02G16850.1 pep chromosome:ALNU02000000:2:17186494:17187111:1 gene:OGLUM02G16850 transcript:OGLUM02G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFELVTLLGPSISLTSIVLSELHRTRAKKKVSDTVEKDLAFIKKEFEMMQPFLVDAAAESAATTTASFKAWFRRIRDLAHDAEDTIHEFFLHVEKPPRTTSSKLLLPLDTITKRMATLRSEIEHVKGNGIYANLISNFHTAAVPPQSRFS >OGLUM02G16860.1 pep chromosome:ALNU02000000:2:17198288:17199343:1 gene:OGLUM02G16860 transcript:OGLUM02G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENHGFVLEVSSNNEGIVRHLSIINVGETNKNVLKCVDLTHVRSVTIFGEWRASLDFRKMRMLRIFDLEGTSGLKDHDLSQIGNFLHLRYLSLRGCADIYYLPNSLGNLWDIQVLDVSGTSIIKLPKTITKLKKLHYLRAGNIPKDDATSSIELKESSDLSKMEHKPIDDLEIPDVEAKSVQFGMEVLDRTTSYCTITMQNTDNVKKRDIFHKYCKVLLPNILQGLDMYGVKAPKGIGQLNDLHTLGVFNVATGKVILRELEKLKKLHKLGLTGINKKNSQVVLSAIANLALLHSLSLRAEGEPGLQGCLDHTFAPLSKLQSLKIYGNLVTLPTWITQIQNLTKLKLRST >OGLUM02G16870.1 pep chromosome:ALNU02000000:2:17204565:17215601:1 gene:OGLUM02G16870 transcript:OGLUM02G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQVLRRLRPATAAVQRRRGAAEWEEAKRDEGLGRVGEELFSSTPPCPICALWGLSPSTSNTRTIVAASRSSPLIGRESEKSHLIQLISQDQEQCQIISIWGMIGIGKTSLIRSVYESEEISNILTQELYAHNFVVLGNESTKNEEAIKASNRRRCFIVIDDVLSIEEWNMIQPHLPEETNTHIVVITNDATFALIKNKVFMDSANIELHLDMETQAKLIIRECDGHSLALTNIAGFLARRPKTAMEWKKLNDNFSARSRSNKSLGMINTTLALSYDNLPYHLKLCLLYLSIFPKGHNIRRRRLVRRWVAEGYTSKTHNLSAEEVGENYFLELINRSIIQPSKTIAHNAGNIEYCRVHNLIHKISISKSMEENHGFVLDNSSNDQGTIHHVSIINTSETDKNTLKDADLSHVRSVTVFGEWREYLDSSKMRLLRVLDLEGTSGLKDHDLEQIGNFLHLKYFSLRGCADINQLPDSLGNLWDLQVLDVSGTNIIKLPKTIIKLKMLQYLRAGKVPKDDLLSSLDLKESSDLSKMVHEAIDGVELPDVVAKSVQFGTTALDMTAAYCTKIVQNTNNIKKRDIFHKYCNVLLPSILWGLDMYGVEAPDGIGQLNDLRTLGVVNVAVGKAILRELEKLTKLHKLGLTGVNKKNSQAVMSVIANLSLLHSLSLRAEGIKFSPPSKLQSLKIYGNLVTLPTWITQLQNLAKLKLRSTQLKLALSLEVLGKLPHLAILRLWKNSFKSKELIFLFQQGTFPSLLLLEIKDIDGLKSLSFTQGAMPRLELLHTDNCIHIDNNGFSGVSSLPA >OGLUM02G16880.1 pep chromosome:ALNU02000000:2:17219121:17224040:1 gene:OGLUM02G16880 transcript:OGLUM02G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGKLDLLLKTLEENERKRAEAEDRNRADLKELRAAMEAKLPHVEKQVVELHATMGDLSVKVKKLKNALLRQAKVEKMTGEVKEDPSIASPSPSPSLIHGIDLEIRGEGGGGSDPVGS >OGLUM02G16890.1 pep chromosome:ALNU02000000:2:17224431:17225599:-1 gene:OGLUM02G16890 transcript:OGLUM02G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHGCRHLRLNAAAIKSLPSAMAARGSGRRGRQRRDLLTRVNSHPQALAQCELTLNAMFLNSAVKSSSAARTCVKFCTKPIVVSATKSHPSMTPWHVADAELRETAAIASSCAVDLYGLQVLADGIQDDAGDVTRFVTKIEREGTSVLFKVLPAFAFRDISLTKIESWPHRHRSIRLIDDTNVGPPLRRAARATVRRPLYAGPPLHRAARATMRRRLTPTTPRRPRQWRRAARHAEEEEGRQTTAALLPARRQPSAAPPVASALLSAALLPCQPSATLAFLPTASKTLPTSTRRGKKERGRERERVVTWST >OGLUM02G16900.1 pep chromosome:ALNU02000000:2:17225905:17230894:1 gene:OGLUM02G16900 transcript:OGLUM02G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCVYTQRRWAHRRGGFVTGGTGWSQRRAPAAAAAVGAGAGAKKSEWWAVDGEMHEIGEGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDTEHEAWCKKYMELYQELRENWERLYWDEGYSKKIAEDHANYDSAEEDDLDFSPYSRRRHTNVEPNKDIGFTASKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMENNFGQHDSRFRNRHDSNYAPRNMENKFGSNDSDFGTQSGRSFRHDPSFRNQHGLNFQNESSFRNHQYPNFQNQRDPRNRVMSSEDQELMSSDDQEF >OGLUM02G16910.1 pep chromosome:ALNU02000000:2:17231547:17233890:1 gene:OGLUM02G16910 transcript:OGLUM02G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSVLNDALKTMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OGLUM02G16920.1 pep chromosome:ALNU02000000:2:17236953:17238925:1 gene:OGLUM02G16920 transcript:OGLUM02G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSA9] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >OGLUM02G16930.1 pep chromosome:ALNU02000000:2:17241073:17244780:1 gene:OGLUM02G16930 transcript:OGLUM02G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIARAQEQRRNVEDDALAAVSSVPFDADLYGGVSDPDRFAGYETSIAATAEDDDDDDDDDATEPVNPAARSLASYSGHAIASSSSGLPRAADEEGDGLRAREGEYHRRHPVRGMSPDRHDPFAAAETTPDPSTRTYADAMRDNQPAPATKRRNRWDQSQEDEGDGAAATGAKKAKTASSSSSSHWDAAPDAATPGIGRWDATPGRAGGATPSLKRNRWDETPTPGRMADADGTPAPSVAWDSSFTPTPKKQRSRWDETPAGVGSTAPRATDAVTPAGYAPGPTPFDAADLATPSPGQIARGPMTPEQYQLLRWERDIEGRNMPLTDEELDTMLPQVGYKILDPPASYQPIRTPARKLLATPTPLFTPLYAIPEENRGQQFDVPKELPGGLPQMKPEDYQYFGTLLNEGEEEEQLSPEEHKERKILKLLFKVKNGTPQQRKAALRQLTDKAQEFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVIYKLDDLVRPFVHKILVVVEPLLIDEDYYARVEARQIISNLSKAAGLATMIATMRPDIDNTDEYVRNTTARAFSVVASGLGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLRSLVDIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLRPLWKGTKSHRGKVLAAFLKAVGFIIPLMDVEYASYYTRGVIPILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNGILPEFFRHFWIRRMALDRRNYKQLVETTVGIANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVAHLGASDIDRHQEELLIDGIVYTFQQQTSDDSNVILNGFETVANALGQRVKPYVPQICGIIKWMLNTSSAKARQRAADLMSRIAIVMKLCQEERLMCHLGHILYESLGEEYPDVLGSILGALKAIVNIVGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRVADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPEDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVRNGILKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNLVWPNIFETSPHVINAVMEAIDGMRVALGSAVILNYCLQGLFHPARKVREVYWKTYNSLYIGAQDALVAAYPALDIDGNNIYSRPELAMFV >OGLUM02G16940.1 pep chromosome:ALNU02000000:2:17246271:17247105:-1 gene:OGLUM02G16940 transcript:OGLUM02G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPTGADEETPEAKAPLLASSDDGQTTQASLVCKALNSTADLAKHLPTGAVLAFEVLSPSFTADGSCTAANRALTACLVGACALCCFLLCFTDSYRDATGAVRYDFVTPSGRLRLIDSGSGSGSPPPPRDDRAS >OGLUM02G16950.1 pep chromosome:ALNU02000000:2:17257276:17259620:-1 gene:OGLUM02G16950 transcript:OGLUM02G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVEVVGEGRGGRDAEAAATVGLEELRRRMADFARERDWEQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPGWDEAEKVHLGEELADVLLYLVRLSDMCGVDLGSAALRKLEINARKYPASQCKGSSKKHTYYSSRCHVSGNSNGTNHLTSNEEHDNNTSSNNDNNGV >OGLUM02G16960.1 pep chromosome:ALNU02000000:2:17261648:17270939:1 gene:OGLUM02G16960 transcript:OGLUM02G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVMSCCDPIPNVTNDGAGNLEALAAQTIYTVNEIEALYELFKRIDGAVIEDGKINMEEFNLRVFGPEKGGTLFADQMMCYFQGNLPLNRMHHPRRLIEAEQGKGTKLARGCCSTSSDFAQKLLLDLRRRRERLGFNSPAPPQSTSSSNAAALPRRPTLPRKGRAASNTFSDCTPPPPRRPSRRGCLSWRPTMTRRASGSSLRRAPPPPTSPRHGAADPAPPRRQERRLASDHYSCVPSSEFDHAIAAAVAAAVHHLTSIRKQPHHHPCRSPEAAAATRRCVEREVAALRRMHGHPHVVGLLDVLATRSTVYLVLKLARGVIATSVSPFPLSPRRARRRRSGGGGS >OGLUM02G16970.1 pep chromosome:ALNU02000000:2:17287123:17291218:1 gene:OGLUM02G16970 transcript:OGLUM02G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAILAQWLSDMAGLVMSCCNLVANEIEALYELFKRINGAIIEDGEINKVFDLFDMKHEQSLGFEELAVALSIFHPDAPIHDKINFSFQMYDIKNQGFIEREAVDTKKDRKIDFEEWQALVKAHPCLLKNMTLTYLR >OGLUM02G16980.1 pep chromosome:ALNU02000000:2:17307574:17309715:1 gene:OGLUM02G16980 transcript:OGLUM02G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNLRVFGPKKGGTMFADRVFDLFDLKHEQALGFEEFAVALSIFHPDTPIEDKIDFSFQLYDIKNQGFIERAELKQMMVATLAESDLNLTDQVIETIIDKTFEEADTNKDGKIDFEEWQALVNAHPCLLKNMTLAYLG >OGLUM02G16990.1 pep chromosome:ALNU02000000:2:17313363:17321590:-1 gene:OGLUM02G16990 transcript:OGLUM02G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKLLRSACDTCRPHTPQPNDTSRATRRVSSARARGAKHQKKKKNSSSPRADHRFARSFASSSLLPLPPSPRRLRRRAPPTPSPLPPSRRPELKLGFPPPEPALPPSPPPARPPAFPLARSRAASGAMNHPQQTMPPRASANGFPHRKLDREGSGRHDNKTQLLRSSSGGFSGAENGGKLGHGSPSRDRLIYVLTQLIGHHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSDRGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTADEESSSHLFGTDLPVTNNVSQLGSQSQLNKLLPIDESRSDDKLTKDSSGNRDTSNLQTENIISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRPPQPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >OGLUM02G16990.2 pep chromosome:ALNU02000000:2:17313363:17320909:-1 gene:OGLUM02G16990 transcript:OGLUM02G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQSGAMNHPQQTMPPRASANGFPHRKLDREGSGRHDNKTQLLRSSSGGFSGAENGGKLGHGSPSRDRLIYVLTQLIGHHVDVHVKNGSIISGILHATNSDKDLGVIMKMAQVIKDGSDRGQKSAADVVKKPETMIIPGRELVQILAKDVALGGDELPKGPSQEKRKDLMIDSAISRSHYPEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEELYTTKLERGPHMRELEKHASRIAREIEGEDTKDMHLAEERGLYLDDDFDHDEEIKYSAVRRDTDNTKFKSSTNVLSNTNQVDSLTRAGNTNPKALLSTADEESSSHLFGTDLPVTNNVSQLGSQSQLNKLLPIDESRSDDKLTKDSSGNRDTSNLQTENIISEGGRSSISEDLEVPSSSSHASEPSSSGQAKKSSESLPADSSLSRKVPSSGEYVNSSQRPGSSTSSTSERIAANSVACAPGLSPSSSMGSLSSEKSSLNPNAKEFKLNPNAKSFTPSTSVRPPQPPASDGPYYYANNMPTAPLGPPMFPPAYGGQPMVYNAQPGPSPQGYMHPAGPQYGQQMMMGQTRPVYYYAPEMQQYRGRNF >OGLUM02G17000.1 pep chromosome:ALNU02000000:2:17326306:17328460:-1 gene:OGLUM02G17000 transcript:OGLUM02G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQRGLGLLRRSLGLGPLSTQRALSSTSPAASAEGGAAAAAAAEAAKESKGRKKKKNLFDVVQFLPSWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAAEAPTKISGVNKRGWKYIKESQKKLQDTPKVETPVTA >OGLUM02G17010.1 pep chromosome:ALNU02000000:2:17337611:17337905:1 gene:OGLUM02G17010 transcript:OGLUM02G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVYQVLRKSFNEFELGGDARNHHGRMHVEDLAVALRIKDSNKKEWCPSTSKEDSHIIFVLALSLFPCSHGSYRHEGLVSMKHA >OGLUM02G17020.1 pep chromosome:ALNU02000000:2:17372707:17381107:-1 gene:OGLUM02G17020 transcript:OGLUM02G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase class 3 family protein [Source:Projected from Arabidopsis thaliana (AT3G07400) TAIR;Acc:AT3G07400] MMRYINKFKSDFGGNIVSLERVQPSLDHVAHRYVLAEAGDTLFATFIGTKQYKDIIADVNILQGTVFHEDTAQDLADAVECVQNDDQKGEENLGTSYREKSKQLRKSKPAAHRGFLARANGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVLASSSPSKEPNRLQVKCITFSQPPVGNAALRDYVHRRGWQDYFKSYCIPEDLVPRILSPAYFHHYNAQTPDNTNAKSDEEKDTKSVSSKENNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVLQKQTNIFGKAPSQLDNFLQSKVDESEEGLQSLEIQEGSEGIALTPLSDKDGGLTEENNKTDKTNVSEVGGSKRWNRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVITELRERLQSHSMKSYRARFQKIYDICMSANAQLFTGIEQLPQFSHLQELLGLTAADSVELGHIVEPPTIRTATSILPLGWNGYPGDKSAEPLKVDIIGHDLHMCTLFQAQINGNWYSTVIETLPMVSYSSDQEVQPTLQKMRILVGQPLKQPPNYISEDFMVSVATGSNPDYGFDSLFEDKGCCKGLNEFLIYGTSDFVTICKEVYVRTRRVRLLGLEGAGKTSLLKALLGQFKERSKAVLECIHVDLHGKGVSNGLCYVDSATVNLQELPLEVRQFKEELQLGIHDLSRKTDLVIVVHNLAHRIPQYHQSNTSQPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNALITSAMEAYQASPDMTKVVNSSPFLMPSATNSLRPISSASGSLRNENPSGRAAFYPVNFSLSPFQRKDIVMHVEGVTALRQLVHQVIHSNEEPAFEELSRERLSLELEREKAASLQGKRKPQKRDGSVTAAAVGASLGAGLGVVMAVIMGAASALRKP >OGLUM02G17030.1 pep chromosome:ALNU02000000:2:17386358:17402429:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTVDKPLRPFDQLMAVLPLRSSCALPECYRKVMGRKEFDHPKLQADTIGKRFLWKCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >OGLUM02G17030.2 pep chromosome:ALNU02000000:2:17386358:17402490:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLFGHDADLIMLALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDELRLGLPGWKSRFYREYFGVETSNKIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAGC >OGLUM02G17030.3 pep chromosome:ALNU02000000:2:17386315:17402492:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMDADLIMLALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDELRLGLPGWKSRFYREYFGVETSNKIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAGC >OGLUM02G17030.4 pep chromosome:ALNU02000000:2:17386358:17402429:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTVDKPLRPFDQLMAVLPLRSSCALPECYRKVMGRKEFDHPKLQADTIGKRFLWKCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRGW >OGLUM02G17030.5 pep chromosome:ALNU02000000:2:17386358:17402490:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDELRLGLPGWKSRFYREYFGVETSNKIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAGC >OGLUM02G17030.6 pep chromosome:ALNU02000000:2:17386358:17402490:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSFYRWLVNRYPSIVSPAKESRPADGIVVYDNLYLDMNQIIHYSFHPQDQMNAGTDVCAPTTVSEVFESMFDYLDRLFRIVRPRRLLYLAVDGVAPCAKMNGMRRGRRFAWASEEEEMQKISEGVSDPNVITPGTEFMEKISQALTYYIRARLNSSDPGWKHIMDADLIMLALASHEVHFSILREDNSQLTEADPEKQYLFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDELRLGLPGWKSRFYREYFGVETSNKIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAGC >OGLUM02G17030.7 pep chromosome:ALNU02000000:2:17386358:17402490:1 gene:OGLUM02G17030 transcript:OGLUM02G17030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVINRFGYVNNTIFFQFLNIWVLREYLEIELKILDPVCEPDIERLIDDFIFICFLMGNDFIPHIPSLEMKEYALDLLIEVYKTTFNKMGGYIVNIEKESLKKSCRDVLREASESERLELSRKLEDLFFNEERPYDELRLGLPGWKSRFYREYFGVETSNKIGNLQNDMAQKYLEGLCWMLQYYLADVPSWSWYYPFYVAPFVSDLKSLCRFEISFTCISEEELLQATKELDKELSMHEMRRNTPRQEKIFLQRNSNAQALAKVIVQLQTSSCSPEQKLPIDSAISGLGGWLSPDGLSNGFFCSPLQNLQDITNDQAISAMFFNPEAGNPIPRLLSNVKVPDKTVTEADISRRLLWHTYPGSRPPPPIVERPDTIWKPISKPSAPREEHKNAGTGWMGRGRGNAALAAAETTTQLLTASSSSSSSYGHGAAGSRTAAETQRSSSSSSSRRGSRRADMVAPQSRNSRFDDGGAYGFRPLGIGSAPWTGDGSGGGHGRGSAQPRAGC >OGLUM02G17040.1 pep chromosome:ALNU02000000:2:17407362:17408718:-1 gene:OGLUM02G17040 transcript:OGLUM02G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEGYQMEAAVLAAPVVKDREVLRLRRDAAGRRDVTGLVRTIVAAAPPPDSTTRAAAAGAFNVLDLGEVARLFAAWWRGLRGVRPYYAVKCNPNPALLGALAGLGAGFDCASRAEMEAVLALGVAADRVVYVNLCKLEPHLEYAAGVGVDLTTFDSEEEVGKIKRCHPGCRLLLRIKAPDGDDGGGAMLNLGTKYGAHRDEVVPLLAAARRAGMAVVGVSFHVGSAVSRVGIYAAAIEAARAGGGGGGGESTFQEASAVISAALARHFGGDDMPSGVEVIAEPGRYFAETAFALAARIFGKRTRGEVRVYWIDDGMFGTLCCVHFENYVPRPAPVTATADDGDEQAATMGGEMMITSTNTSTKTHPSTVFGPTLDSFDEVVRGYQLPELCTGDWLVFDDVGAYTTVCSSDFNGFSTSNMKTYLAYSC >OGLUM02G17050.1 pep chromosome:ALNU02000000:2:17430095:17432252:1 gene:OGLUM02G17050 transcript:OGLUM02G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILCHGSHTGVTPYTTQLRLGSVIRELTRGVPSFGGGWRHRRATATCLVANRRGAPEDTPPAATVSSNLDLEDSMDGGSLIWTWVIQRTVQARECQMMPTLILEGCILFDLNLMNRIFGLFKSIFCC >OGLUM02G17060.1 pep chromosome:ALNU02000000:2:17469027:17469336:1 gene:OGLUM02G17060 transcript:OGLUM02G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGEQRGVSGGMEATGMEESGGPIGASGGGSDGRKAPKAGKQAGWEDLSTVSLGKGEVMEEASQGASSASLMPPGQLVRPSGGEEGGADF >OGLUM02G17070.1 pep chromosome:ALNU02000000:2:17472327:17473796:-1 gene:OGLUM02G17070 transcript:OGLUM02G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRARGGSFRVLRTARVAPSSPDGVPMLDERAVPLTFLDAIWLPTPPVDRVFFYRLGADDDGVDAVLSRLADSLSRALHVFNPLAGRLRLTPGKTNRYELFYQPGDAVAFTFAEHDDGVGVDELAADDPREVAKIAPLVPELPDGGAVLAVQATVLPPARRGLALGVTVHHAACDGSSSTHFLHTWAAACAGAAVLPKPPVIDRTFIREREDLYDYMVSRTKEESDKFRSPDVADSKLLATFTLSGEILQSIKDRVAGVAARRGKSPPPRCTSVVATFAVVWQCHIRAALGDVEADNKHHGRAHFIFPTDHRARMEPRVPDKYLGNCVGPCFASAPKEEIAAADAEDGLYTTCAAIAAAVDEGTRYDPDYWKRCREHVGGMSASDGPPLAVAGSPRFRVYDVDFGFGKPAKVDVVSVAKTGAISVAEGRRGGIEVGVGLPPERMERFRRCFADAVAWLSSPSRPVTRDMDRSAPGHSPA >OGLUM02G17090.1 pep chromosome:ALNU02000000:2:17491603:17491854:-1 gene:OGLUM02G17090 transcript:OGLUM02G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAVTGSGRLGGSCARRRATGRDGGSRPDKYLGNCIGTCFASAPRTDIAAAGVDGLLSPPPSTKERDVIKATGTGAGSAAQR >OGLUM02G17100.1 pep chromosome:ALNU02000000:2:17493453:17494685:1 gene:OGLUM02G17100 transcript:OGLUM02G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATQMAAPPPRGGSFRVLRTARVAPSSPDGVPSLRQRAVPLTFLDAMWLPTPPVDRVFLYRLGAADDDVDAVLSRLADSLSRVLHVFYPLAGRFRLTPGKTNRYELFYQPGDAVAFTVAEHDDGVGVDELAADDPREVAKIAPLAPELPDGGAVARRRRQQAPRHFHAVRRDPSEHQGYSRRRGGAPHCVGPCFASAPKKEIAAADAEDGLFTTCAAIAAAIDEGTRYDPGYWERCREHVRGMSTSDGPPLAVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTWAISVAEGRGGGIEVGVGLPPERMERFRRCFTDAVTWAIVAVVQ >OGLUM02G17110.1 pep chromosome:ALNU02000000:2:17500412:17500855:-1 gene:OGLUM02G17110 transcript:OGLUM02G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVPDKYLGNCVGPCFASAPRTDIAAAGADGLFAACSAIAAAVDEGTRYDPGYWDRCREQSLEVSTSGAPPLSVAGSPRFRVYDVDFGFGRPAKVDVVSVAKTGAMSVAEGRGGGIEVGIALPPERMERFRRCFGEDVAVAWPSS >OGLUM02G17120.1 pep chromosome:ALNU02000000:2:17518286:17519704:-1 gene:OGLUM02G17120 transcript:OGLUM02G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHGSSFRVVRTARVAPSSPAAGAGCNPQPEAALPLTFLDAMWLIAPPVERVFFYRLGDGGGGATCDVDVALSRLVDSLARALHVFYPLAGRLRRTPGKANRYELFYQPGDAVAFTVAEHDGVGVDELATDDPREVARIEPLVPELPDGGAVLAVQATVLLRPAAPRGLALGVTVHHAACDGSSSTNFLHTWAAICSGAAATPMAPPPPVIDRTSIPEREDIHHVKTRTTNSPDPDVVDSKLLATFTLSRENLQSIRDRVAAVAARRGVLPPRCTSVVATFAVIWRCHVRAALGAGGDVEAEPRNHGRRAHLVFATDLRSRMEPRVPDKYLGNCIGTCFASAPRTDIAATGADGLFAACAAIAAAVDEGMRYDEGYWDRCREHSAEVTTWPLSVAGSPRFRVYDVDFGFGSPAKVEIVSVAKTGAMSVAEGRGGCSGGIEIEVGIALSPERMERFRRCFGDDVAWLSS >OGLUM02G17130.1 pep chromosome:ALNU02000000:2:17521538:17521870:-1 gene:OGLUM02G17130 transcript:OGLUM02G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQGWLPVDGKEGIVERRLAVWHVEEGGLVGAVAATVIDAWHGTEKPSAAAATHSTAERTGSKRSVWVDDSGRRRRRLQWRSASWRWHEGEFSKLPTPRSDSLRLHPP >OGLUM02G17140.1 pep chromosome:ALNU02000000:2:17521796:17522017:1 gene:OGLUM02G17140 transcript:OGLUM02G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHCEASLYDAFFAVNWEPPLLLRHVCVLGNNFHNYAIQAEENRSGPAAKAKLILTALQFSGRGRWHRGNLAI >OGLUM02G17150.1 pep chromosome:ALNU02000000:2:17524194:17526071:-1 gene:OGLUM02G17150 transcript:OGLUM02G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPSGGYRPLCLVVSCAWVMVARESCGGCAQGVTEAVAMREMMTTTIMIWMLSEAAVWLRFSSITIDFPKRTIFAYKSWTVIGVQSQAVIMIASKN >OGLUM02G17160.1 pep chromosome:ALNU02000000:2:17526629:17527031:1 gene:OGLUM02G17160 transcript:OGLUM02G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEATSLEKARTSTSTEATTPSMHHPVPKRYPGLKYDYIFHVLHLLCFTVIAALMSMLSWSRNAGSTTSPGVGGHIEKWGLVSGN >OGLUM02G17170.1 pep chromosome:ALNU02000000:2:17529254:17530744:1 gene:OGLUM02G17170 transcript:OGLUM02G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPAAHAAGLRVLRTTRVAPAPPAGEPSLPERALPLIFMDAGWLHAQPVERVFFYRLGPCDDDDVDAVLSRMEESLPRAIHAFYPLAGRVRPTPGETNRYELLYQPGDGVAFTVAEHDGVGVGVDELATDEPRELAKIAPFVPELPKGGAVLALQVTVLPPKRRGLALGVIVHHSACDGVGSTHFLHTWAAACAGDRMLPEPPVIDRTLIRDLPNMHDEITSSTNEAKDLFKAPDAGKLLDVLATFTLSKELLQGVKDAVAGEAARRGVPPPRCTSLVAMYGLMWHCYRRARRDGGGRAAAAAHFIFAVDHRSRLVPRVPDKYLGNCVGPGFASAPEEELAVADAAGGVFTACATVTAAIDEAVRGELAYWEGWTERVVEACRDDAPFSVAGSTRFRVYDVDFGFGRPAKVEIVSVAKTGTVSVAEDRSGAGGIEVGIALPPERMDRFRRCLADAIAWLSSSSQCNYRGKTAVRGVDFIRDSVFMSVCWLILSL >OGLUM02G17180.1 pep chromosome:ALNU02000000:2:17535475:17537614:-1 gene:OGLUM02G17180 transcript:OGLUM02G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTCQSQAVTVRALRARIYHAVAPAELLLAAFRRVSVLAEEAAAALPADPADAECVAGSSPRRWCARIDRAIAPAELLLAAFRRVSALTKEAAEALPADPAYADGAVGFVGHVDQLCDAIEEAVARGDEAVRRVEEVVGFLGQTKAIGRSCVRRLTDAVAAALRAVYEAEAEEMRFEGPLDEALLDLQDLFEAARRRSERPATMGKKRAAGHTRHPAALLDHALQETNARIHAALDCARGYLAGKLPLRLDSGKMMGEGRKKTEKMGDGWKM >OGLUM02G17190.1 pep chromosome:ALNU02000000:2:17582598:17587299:1 gene:OGLUM02G17190 transcript:OGLUM02G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDYPHHLRLRDCYLACGSRGNRKAHVEYSDTDLAPQEDQMALEAILRAMPVEMMAIAVIAANALARRGEPEHVDEPHHRRLIRVKINATARSATPGAPPHAPPTSTSTSKFRPPQLVTQELDLDKAKVSANLHREELAEDDGIWHLDTVHENFTINSYNLFANSVAPIVHDEQEAFTVVVRIGPDIWE >OGLUM02G17200.1 pep chromosome:ALNU02000000:2:17590216:17594002:1 gene:OGLUM02G17200 transcript:OGLUM02G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRNASRVLGSAYFTTQSEASRAYVSNLPPTSSAPVPVAYGGGGGDLGGPMVTPDGACQLSLSPWDLPYELEDLDPLEAPFDRYMACIPFRASFVSDSDNNDDDQMEVDEDKSWNQVENEEVNDQQQPADHKVDQSGDPAARKMKGKMNESSMVVMEMQDADNQAGVWYCNKNDGKKWHCRNIVDGPKTLCDYHLAKSRSYYTRTGEAGAAASSKSGRAKAPAIAKPKSSSKRTPAGESSAQNNSIAAAAAAAVSVLPTISSQPSKRKASNGLLGGDAYYFYDMFVPYRKKDRGGSSSKQQAGAEEKEILPQDNAVAMEEKMDGKKLYDGVYNSSDYSSDTASDDESDEDYTVGGASNRRTKKRKMKLSVKKVQFSKMMKKRVKERSLKSLL >OGLUM02G17210.1 pep chromosome:ALNU02000000:2:17596916:17597788:1 gene:OGLUM02G17210 transcript:OGLUM02G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLALDAAYPLPLLPVMLNKFPKVVEPARWWQPTKKQQQQQQRAPIFNTSKVAATNGAAGRRATAMAGNGWTQEVEEEMRDILCVIRAKDENEYVSVSKLVLALNKRLAVAGPALAGAATLAAAFIGSGEVGAWASGVAVLGGALAAAVNTVEHGGQVGMVFDLCRNMASIYRKIQEDIEANLKEADVERRENCKVFETKVALQLGRSTSKLKKFRAIASPTVKDEDIKEFAGREGGLGGARVGAAAVVVVAVLHDAGEGIALDDVGKVDDEVVLAAAVCRHPPRASS >OGLUM02G17220.1 pep chromosome:ALNU02000000:2:17609625:17611757:1 gene:OGLUM02G17220 transcript:OGLUM02G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHKPTHPPLSSPIPQIPNPCNFRSCSLTTVLPAGDLIPVMQLQRAATRVLRPAYSTTQAGGPRTSSSNLSSELVMYVLRMHGGGGGLNNPVVDLEGACSLNQSPWDLACELENPNPLEDLFDKYLVHIPHRASFTFNNDNDEEMEVYDMIWKQQQGEEMKDLNPSQKEKQDGETKMVKDHMNVEPLVPEEDMLDKGIEYFGEKKASVWYYHKNNYKRWHCQNIVDGPKALCEYHLAKSHSNTPTSVKVATAHSKSCCTITMSHLLKSSFKPTLTGEASSLRAASASIQKNSQSHKRKAGNGLSEDTYYSYSLFSPFHGKDQDDSSKGDDGQSDKDYFVGGANNPHVKKRKQ >OGLUM02G17230.1 pep chromosome:ALNU02000000:2:17617273:17619141:1 gene:OGLUM02G17230 transcript:OGLUM02G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRAASRLLGSASAAFSAQAEAPPATATATASELPPPPAPAAADATAAVDVGLAGPEGPCEQSLSPWDLPCELLDDSTDSQNLQEAPFDKYLVRIPRRASFVLNSELELEDDTMESKDKIWNHDDEEEEVKNQKPAAHSLKKDGAVRKRSRKGNDEPILQEEEEDMVMKTEESEDKEATIWFCKKNDGKKWHCRSIVDRPNALCDYHLARSRSSYTPSSENGASATAAATCSSGPTKADAIGKIKAPPAKSSGAKRNSPGGAAASSSKAAAATATAPSSSKASSSSSVSVTVPTSSISQRRKRRKKSTNGSGGDYYFYDLFGPFRGKDRRNHGVVSASEEDHKGLLKAKEKMEYIDVDNLSNNSSITGGGDKENDEDYVVGGAGKARAEKRKGKIAVEKMPFPKMVKKRTVKERSLKSLL >OGLUM02G17240.1 pep chromosome:ALNU02000000:2:17632271:17635976:-1 gene:OGLUM02G17240 transcript:OGLUM02G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSE7] MAKSTPAAAAAAPEEATAAALRLRLRRLVAAVTAGGVGGEEAFDEAASALAALRDAELGPPPKDRPGAGAERRRSGHAEAAAVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLSAGNRTCPQTQQVLSNTILIPNHLVRSMIAQWCTENGIALSPLENQEEDLVTNNERKSFSELFDRISSSSNISEKRQAIKDLRLLTKRNSSFRAVIGENPDSISQMISAVSNPELESNSEVLEDTVTTILNLSIHESNKKIIGDDTKAITFLINALQSGTMEARSNAAAAIFSLSALDSNKAKIGESGAMRPLVDLLEHGSMTAKKDAASAIFSLCKLHENKSRATKSGVIDVVLKAISDESLTDESLTILALLSSDHETVEEIGETGGVPCMLHIIKDDQCKRNKENAVAVLFSICMYDRTKLREVVEDENLNGSLAWLAQNGTSRARRKAAGILDKLKRTIHKTHYSC >OGLUM02G17250.1 pep chromosome:ALNU02000000:2:17655499:17655948:1 gene:OGLUM02G17250 transcript:OGLUM02G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAGSTSLNLVEAGSGGRRLGGVGGVGEEAADTDGWGMEAAAAGSTSPNLVEAGSGSQRSGGVGGVGEEAADAGGWGMDVAAAGSTSSSLVKAGSGSRLSRKRLWRPDLHPGGQIRGWSSVGRQGRQQRRLDPCPGGQRRMDPSRI >OGLUM02G17260.1 pep chromosome:ALNU02000000:2:17683691:17686326:1 gene:OGLUM02G17260 transcript:OGLUM02G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSE9] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAEGQDAEATTEEAKKSNHVVRKLEKRQQGRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGASA >OGLUM02G17270.1 pep chromosome:ALNU02000000:2:17718694:17719656:1 gene:OGLUM02G17270 transcript:OGLUM02G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63100) TAIR;Acc:AT5G63100] MAMITLPVAAHAPSPWLPPSILRLRSPSTTIRSAAAARSSAPHPLPDELHLVADIRSPHNHIRVADVSRTAAGHPLAGARLLLLDAPGNIHSLSFPRSACPLTSTYLDVFATLPPLLPASASSLAVLGFGAGSAARAVLHFFPGISVHGWEIDPAVVSASRDFFGLAELEAEHAARLSIHVGDALEASADAVAVPGGFGGVLVDLFAGGSVLPELQEAGTWRRIGRRMVAPGGRVMVNCGGPCVEAEEEGRGGEAVKDATLRALTAAFGHGMVSVMDVDESWVAMTGPAVSSAPEEAAAWKAKLPPELRRYVDMWRPCLL >OGLUM02G17280.1 pep chromosome:ALNU02000000:2:17723623:17735275:-1 gene:OGLUM02G17280 transcript:OGLUM02G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04240) TAIR;Acc:AT3G04240] MLSLQQQGGVGDPRPQQPAAAPALLGGADWLGFGRAAAELDESVASSPASFLLPPAPPVDGRAQAQPEPKPKQLGAVDVERHLALAHQNYRSGKYKEALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKAMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDIAIRCYNQSIICDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRNAMFRDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEDMIVRNLERAYYKMWNLYCSGRHREPFKVIEDDNEFPYDH >OGLUM02G17280.2 pep chromosome:ALNU02000000:2:17723625:17735275:-1 gene:OGLUM02G17280 transcript:OGLUM02G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04240) TAIR;Acc:AT3G04240] MLSLQQQGGVGDPRPQQPAAAPALLGGADWLGFGRAAAELDESVASSPASFLLPPAPPVDGRAQAQPEPKPKQLGAVDVERHLALAHQNYRSGKYKEALEHGNIVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPNFAECYGNMANAWKEKGDVDLAIRYYLTAIQLRPNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYNCYIEALRIDPQFAIAWSNLAGLFMEAGDLDKALLYYKEAVKLKPSFADAYLNQGNVYKAMGMSQEAIISYQRAVQARPDYAMAYGNLATIYYEQGQLDIAIRCYNQSIICDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRVDPTAADALVNRGNTFKEIGRVNEAIQDYIQAATIRPTMAEAHANLASAYKDSGHVETAIVSYKQALRLRPDFPEATCNLLHTLQCVCDWENRNAMFRDVEEIIRKQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRDNVEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMTSDMIARIINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEKNRDCLDPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRAHAAARGVRPDQIIFTDVAMKNEHIRRSSLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCLATGLGEDMIVRNLERAYYKMWNLYCSGRHREPFKVIEDDNEFPYDH >OGLUM02G17290.1 pep chromosome:ALNU02000000:2:17750043:17757976:1 gene:OGLUM02G17290 transcript:OGLUM02G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSKLSRAPASPPPPPPHAAEGGGGDAHTPSSHGHRHRRFPKENVDPSPSPGPYDHHSAYRSPSGKQQQQQPLAAKNRSLPPRPPLKRKLLDVSAASPAPEGAPSGGGGGDSGVQVVVRVRPPSRAEEEDEGAGKEVCVRKTGPGSVEIHGQGFTFDSVADEASTQLCASSICANLIAYEEYWVMFTEDIFQLVGRPLVENCLDGFNSSIFAYGQTGSGKTYTMWGPLSALSDDTVSKERGLTPRVFELLFSRIKEIYNEQITDLLDPVQRNLQIREDVGTSSVYVESLTKEFVFTINDVTQLLEKGLANRRTEATTANAESSRSHCVFTCFIKSESKNMEDGSNFTRTSRINLVDLAGSERQKLTNAAGDRLKEAGNINRSLSQLGCKSETLSTLRFAHRAKDIKNNAVVNEQREDDVNVLREQIRQLKEELQHVRSNGSLPGSNGSPSTGWNSQNSFLLKMSLSRPTAFPTIKDDSDEEMEIDDNDVEKPCNLENKSSFPHGDVETSRCKSNLAANIQKGLQVIESHRNSVTWRRSSLGLNTRLMDAHLSVPVCKVDVAIQTDPEESEPRQNTMALIPSNQPEATTDGNREISDCINLQLVTVDGSIPSNDLKQQEQVFKAVEKVLAGAIRREMLRDEQCAKQAAEIQQLKRLVQQYKHERECNAAIAQIREEKIARLETLVDGILPTEELMHAENLSLQDENKILHQKYENHPEVLSAKIELERIQEELERYRNFKDEKEVLLEEIQHLKNQLHYMLSSSTALCRPPVELVQAISTVSDRPTISALEEAGDDGHSIVDAAESRWITLTEELRVELEKSKSLSERLQLEVESEKQCSEELKGALEMAMQGHARILEQYCEVQEKHASLLSMCRTINDGIEDVKKEAAKAGVRGAESKFINALARQVSILRAEREKERRFWMDENKGLQQQLSDTAEAVQAAGELLVRLNDAEEAASLAQKRAELAEQEMNKAFAEIDNLKRDHDQEVLVLNQRLAESKLPSNVVQSPEPSETGPARYDTGGSFGDEQWREEFKPFQSVEVSKSSDPSSWFYGYDKCNI >OGLUM02G17300.1 pep chromosome:ALNU02000000:2:17759379:17759927:-1 gene:OGLUM02G17300 transcript:OGLUM02G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSASSRPRRRRMDPTAHLGRHHASDLATPHPPTTPTSPSNTNNIHIHPFTRATNQFGESQRRRRRRWRKRRRVDLAGGSDDEVVVAAKPAVEIGGNFGSAVFGKRHGVRRRRWGWLQQWHMDDGQQQNIVGGEMIPVAGGEKGGVLGQAVEHMHMSSSSGAAAANMGAALAHVRRHRHR >OGLUM02G17310.1 pep chromosome:ALNU02000000:2:17761440:17764980:1 gene:OGLUM02G17310 transcript:OGLUM02G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSF5] MDPEAEEAQLRLEMELAKKAKADMSGLQRSSSLGLDHAGLYPLPLPPGWRSAPTSPLRTPSSSPPLQFPPAWAADVAGTSGSAAPEDDGPARNAGADEATAGSAPKNEDPARAAGADDGPTRSDYAAMMRMALAKFQDDDAAADDEEAASAVMEQAMTGLMDLTYRKAKPPELPYEFATRWPIPIAHDGTLQAEVMRDPVILPSGYSVDQTYQNNQKRQNPWTNTSTFTDHSLPYSLSVPNHLLRDMISAWCLDHSDLSPSTTSDTPSTPLEPSEEEQIQRILKLFSGNSASQREALKLIQLLTKTTKGVQPCLAKYADIIPVLINLRRKYKSSWTQDLEEERLTIILNLTMHRQNREILAGQNELAGAIKKIVKKAGNRGKRTSSLAKVASIVAVLSEFDMFRKRMLDAGGMKMLRGMLKIKDTEVITEAATAILALYADGEGEQPARFHDVPQMLLECHMFTDGILLLLDRLPKSPRVFRKICDQALQLVNIVMAEDASGPVTRKGILSAISLIYEIVERDVGKMNAVKNMEDFIERLRQLSSDRLPMQKMLQVERIIRTLSDAFPAPTVRGRRQEPSGSRLLA >OGLUM02G17320.1 pep chromosome:ALNU02000000:2:17784419:17789245:1 gene:OGLUM02G17320 transcript:OGLUM02G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSF6] MSSPSSSPPPPPPAHAVFFPFPVQGHVASALHLAKLLHARGGIHITFVHSERNRRRVLRSRGADALDGVPGFRFAAVPDGLPLDDGDVDAPPPGMVPLLVSIRSTVQQFKDVLDEAAAAGEPATCVVSDMDHILLAAGEMGLPTVVSWLPSACSLLASQHYQQLIDRGLVPLKDAEQLSNGHLDSTVVDWVPGMPSDMRLRDFGPFVHTTDRHDAVLTMYLFYMDCLRTMPSAIVLNTFDDLDGDVVAALSRLMPPAVYTVGPLPQLAAAHVAGSRSPVDALGASLWPEDGGCLEWLRGKPPRSVLYVNFGSIVVVTREQLVELAWGLAGSGHNFLWVIRDDQAKAKGGNPIDMLPREFAEETKGRGYVTSWCPQEALLRDEAIGAFLTHCGWNSMLDGICNGVPMLCYPLGADQQTNCRYARTEWRIGVEVDEDIRRESVARMVREVMGGERGEEMRQCAVKWKEKAAMAVAPGGTSWVNLQRLISEVFCSRKDP >OGLUM02G17330.1 pep chromosome:ALNU02000000:2:17800784:17802658:1 gene:OGLUM02G17330 transcript:OGLUM02G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSF7] MPTASPAHAVFFPYPVQGHVASALHLAKLLHARGGVRVTFVHSERNRRRVIRSHGEGALAAGAPGFRFAAVPDGLPSDDDDDGPSDPRDLLFSIGACVPHLKKILDEAAASGAPATCVVSDVDHVLLAAREMGLPAVAFWTTSACGLMAFLQCKELIDRGIIPLKDAEQLSNGYLDSTVVDWVPGMPADMRLRDFFSFVRTTDTDDPVLAVVVSTMECLRTATSAVILNTFDALEGEVVAAMSRILPPIYTVGPLPQLTAASHVVASGADPPDTPALSAASLCPEDGGCLEWLGRKRPCSVLYVNFGSIVYLTSTQLVELAWGLADSGHDFLWVIRDDQAKVTGGDGPTGVLPAEFVEKTKGKGYLTSWCPQEAVLRHDAIGAFLTHCGWNSVLEGISNGVPMLCYPMAADQQTNCRYACTEWRVGVEVGDDIEREEVARMVREVMGEEIKGKEVRQRATEWKERAAMAVVPSGTSWVNLDRMVNEVFSPGNNM >OGLUM02G17340.1 pep chromosome:ALNU02000000:2:17806701:17807604:-1 gene:OGLUM02G17340 transcript:OGLUM02G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSWLASLWLSSPSVALALVVASAGVGVCAALDGVRHFPYSRHLQYASRCYPWCRFFLQADAFRILVALFLAIPALVARHKSIGSLSKASLLMVGWSTFNDESRGDASLSEIMLTPKSTAQQRTSILCHFRGGNRRGSMRCALQLRPKVAVIAVLRHRGSVSRHGSTAAPCRLAPFSAVWSHLEGFRSSVNPLSSGLSLILTVSILCCVLGFIP >OGLUM02G17350.1 pep chromosome:ALNU02000000:2:17867367:17869118:1 gene:OGLUM02G17350 transcript:OGLUM02G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFRISLLLLLVPLIPTAAASHHHSPAGGGGAAVPLHPRRHHRSVANTATALFYPAPSMHQNHIEAEEGQSLHVLADPFAAAPAAAEAPSGETAIAAVGAAAEEATPTLIDDSPQQAAAASPPPPPPPPPPPPPLFAKPDLDSTAPPQPKEEGVDGYGSTTATATVTAAPPLDEPAAATATTTTTTTTTLPLPRYSHVASPPPPPVHAGVAGLGDEQRLEQLARVLSSLGYNEMASAALLLANSALLAAWPGSITVFAAPDVFLRASCPMCSRRHVLLEHIALGYFPYTELAAASTAKLPSASPGLCLNLASDHGPFAIHHVRLYVDGVEVSHPELYNDGRYVVHGLHGFLPPLSHGSCSHGSNHRHHYHYQDHHHHHHIIASSAASSAATAASVVRIMIREAIARLRDSGYGFVALAMRVKFAELERLANMTVFALDDQAIFVGGGHDYVSAVRFHVVPGHRLTHADLQRLHPGTMLPTLAGEGQNLVVTQGASGSGSGPRDVRINYIPIKDPDVVINSRIALHGVYVPFPRLHLANLAAAVALASSNQINATCGVFGDCASAAATSTTVPAAHRYGQGQ >OGLUM02G17360.1 pep chromosome:ALNU02000000:2:17873544:17877713:-1 gene:OGLUM02G17360 transcript:OGLUM02G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSG0] MDDDDLGYPPGMAGLDAMAGLGGLGGMGGLGGLGGMGGLGGLGGMGGLGGLGGFGGGGMEDLYGGGGVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELISWESVKDICKDGGILKKVLAEGTKWENPRDRDEVFVKYEVRLEDGTVVAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPFEFKTDEDQVVEGLDKAVLSMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIEYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDSMNVKAFYRRAQAHMCLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCINC >OGLUM02G17360.2 pep chromosome:ALNU02000000:2:17873544:17877713:-1 gene:OGLUM02G17360 transcript:OGLUM02G17360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSG0] MDDDDLGYPPGMAGLDAMAGLGGLGGMGGLGGLGGMGGLGGLGGMGGLGGLGGFGGGGMEDLYGGGGVHYTGTLLDGTKFDSSRDRDAPFKFTLGQGQVIKGWDLGIKTMKKVKYEVRLEDGTVVAESDGVEFTVKDGHFCPAISKAVKTMKKNEKALLTVKPQYGFGEQGRPAARDEAAIPPNATLHINLELVSWKAVTEIGNDKKILKKILHEGEGYERPSDCTLVRVKLIGKLEDGTIFVTRGHDGDEPFEFKTDEDQVVEGLDKAVLSMKKGEVALVTIPPEYAFGSDETRQDLSVVPPNSTVYYEVELVSFDKEKESWDMKENTEKIEAAAKKKDEGNAWFKMEKYARASKRYGKALNFIEYDSSFSEEEKQLSKPLKVSCKLNNAACKLKLKDYKEAKELCTEVLELDSMNVKAFYRRAQAHMCLVDFDLAELDIKKALEIDPDNRDVKMGYRRLKEKVKEQKRKETKLYGNMISKLSKLEDSETEGGTTQAPSKKHGLWPLTALLRRLFTRSDGSKESMLWLVLRLLIPVVLLVAVCVAFYMRSGPPEVDCINC >OGLUM02G17370.1 pep chromosome:ALNU02000000:2:17882138:17882947:-1 gene:OGLUM02G17370 transcript:OGLUM02G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTWFFLLALLAVSISNAFASDPSQLQDFCVADKMSQVLVNGFACKDPAAITVEDFFFSGLHMAGNTSNRQGSAVTGVNVAQISGLNTLGISLARVDYAPYGLNPPHIHPRATEILTVLEGSLYVGFVTSNPENKLFTKVLNKGDVFVFPQGLIHFQFNYGTKDVIALAALSSQNPGVITIANAVFGSKPFISDDILAKAFQVEKKIVDRIQAQF >OGLUM02G17380.1 pep chromosome:ALNU02000000:2:17884367:17884866:1 gene:OGLUM02G17380 transcript:OGLUM02G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGACFLQQLAVVALLALWCSHGAIASDPGLLQDFCVVDKMSQVRVNGFPCKDAKDVVAGDFFFSGLHMAGNTTNKQGSNVTTVNVAQIPGLNTMGVSLVRIDYAPNGLNPPHTHPRATEILTVLEGYLSMSAS >OGLUM02G17390.1 pep chromosome:ALNU02000000:2:17902696:17903367:1 gene:OGLUM02G17390 transcript:OGLUM02G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRASFLLAAAALLALWCSDHGGVVASDPSHLQDLCVADKASTVRVNGVACKDGEDVAAEDFFFSGLHMAGNTTNKQGSAVTAVNVAQVPGLNTLGISLARIDYAPHGLNPPHTHPRATEMLTVLEGSLYVGFVTSNPENKLFTKVINKGDVFVFPKGLVHFQFNHGTTDAIAIVALSSQNPRVITVANTVFGSKPSITDDILAKAFQVEKTVVDQIQAKF >OGLUM02G17400.1 pep chromosome:ALNU02000000:2:17904869:17905514:-1 gene:OGLUM02G17400 transcript:OGLUM02G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIALNPTDASLFSNRSLCWLHLGEGKKALMDAEACRMMRPDWPKACYRKGAALMLLKDYKKACNSFLDGLKLEPENIEMKNALRHS >OGLUM02G17410.1 pep chromosome:ALNU02000000:2:17911600:17914754:1 gene:OGLUM02G17410 transcript:OGLUM02G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVDADDDDDSTSFRFSYASKAIVVGGELGTVGWVDLWHGILVCDILLDNPRLRFIPLPPPLVPRQLKGDPMFLRNIVVLEGYIKFFEMYNHTTGSASAQGWVAATKKMKISSIASGNSSSSSWEDDCAIKFSEIPVESLTFAQMLRLQPNLKQGTGTTRLTLKRLHAGYPALSLHDSDVVYIMHTPDPDEEDKALVIAVDMRNKALKGVADFGFGRPVGYGFTYLQTGISKHLSNCSSSSRDGILGAGEKEVPGEGVATAA >OGLUM02G17420.1 pep chromosome:ALNU02000000:2:17916426:17923674:-1 gene:OGLUM02G17420 transcript:OGLUM02G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRFPPSSSAARLPPRSTPPEPRSSPPAAAAGAAALAPGSLEEVLLQAAFDGNLRLVRSETALTFAINSGNADMVRYLLDHGADTEKLNNDGLTVLHFASGEEVAARCGARKDVETLFPVTSRIPCVHDWTVDGIINYAKSLPDVKDEEFCEAMLDMGKFQGREAVKKKIIVVPCTFIPRSLCWLKLGEGEKALIDAEACRMMQPNWPEACYRQGAALMLLKDYKNACRSFLDGLKLEPENVEMNNALRLCLVQRKVWILVEIRDDVTEKLCV >OGLUM02G17430.1 pep chromosome:ALNU02000000:2:17931442:17931810:1 gene:OGLUM02G17430 transcript:OGLUM02G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLMLRAALSPAVALSTIDGVVSVAAAASDHDRVGAAKRARDGHLLPRSEEHDADADADADADADACGWSSAVMESSLALRRRRGCASSPCCSSSFRRAATVAVVQEQGFPTSAAAARDVM >OGLUM02G17440.1 pep chromosome:ALNU02000000:2:17932345:17952821:-1 gene:OGLUM02G17440 transcript:OGLUM02G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLPSDPRAPTRRLLQAAADGDLAAFKRIAGKLDGGKGRLRETVEGVRDRGAGALHVASGRGMLAVCSYLVEELQVDVDAADDSGDTPLAYAVRGRSIDGVKYLLDHGSNPDKPDNKGYTPLHVAAIKGECEIAKILLSRGAHVDSFSSHGTPLHLSAFCQQDGVMKILLDHHADFNKLLKPVFTPLIMALNAGSLKCVVLLLKAGADVKGVGTVTPLITAANNGQTDFYKCLLEAGANPNVPDEDDPMYKMSPADMKLAASEAYRRQDYITAMKLYSRLTDICPHDATLFSNRSLCWLKMGAGVNALQDAQICRLMHPDWSKACYLEGAAQMLLKDFEKACDVFFDGLKLDPASDEIAEALRKSFESLKISHAAKVGPGVPQQLLIQSAAAGDLPAFKKFARMLDGGKGRLKEAVEAVKNRGAGALHQAARYGRTAICAYMVEELQVDIDAADELGATPLGYAIYGGIVDTVSYLLDHGANPDKPNEKGCTPLHLAVEQGHCEIVKVLLVKGANVDSSSDHGTLLHVAASKSQDGCMKILLDHHADCNKTFSTVCTPLIAAMMGRSLKCCKLLIEAGADVKGVGTFTPLIVAATEGLTDFYKCLLEGGADPDVPDKFGFLPIEIAARQNRRKDVEILLPVTSRIPSVHDWSVDGMITYVNKQVEVDPFFKIRPADLKLEGNRAYMRKDYLTAAKLYNMAIEHDPEDMTLYSNTSVCWLKMGKGMNALETAQVCRILRPDWPKGCYREGTAHMFLKDYEKACNAFLDGFKLDPANIEIENALREALKSLKASRAA >OGLUM02G17450.1 pep chromosome:ALNU02000000:2:17960838:17965281:-1 gene:OGLUM02G17450 transcript:OGLUM02G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKEALVGVSMDHMRSSMQRGGLPPEMLEVGMELMRGRGGGGVVAAVSRVLAAHPGPFRCAHLTTTSMEAHRGEVARWLEALAAKGVQELVFVNRPWPLDLRLPAALFACSSLTRLHVGVWRLPDTRAVPRGAAFPHLREMVLSCVVMEDRDLAFLLDRSPALEKLAIITCQDGARVRLASRSLRILQVCLTVVNYVDVVDAPRLERLMLWMTSKHRSCLSSMVKIRNAPKLRSLGFMEPGMHELEIGNTIIQAGMKLSPSTVVRSVKILALEVKFTVRDEARMLPSFLKCFPNVETLHIHSAVEDEPTGKSKLNLKFWQDAGPIECVQHHIKKVIMREFRGTKSELTFLKFVVERARKLERMVVVVTNGCFCSSGCQGDTQAQMETLMASAKWASEGSKLVAFENPHSQVGTPAWSFRFAFNFDWSDPFDYGYDQASLGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSQAVDLYPDDATLFSNRSLCWHHMGDGHKALLDAYECRKLRPDWLKAYYRQGAALMLLKDYESACETLYDGFKLDPGNSEMEDALREALASLKASASTEAR >OGLUM02G17460.1 pep chromosome:ALNU02000000:2:17966307:18002533:-1 gene:OGLUM02G17460 transcript:OGLUM02G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRVPPPARGSRRRPPPSSPVPLSPRQEMLLEAASDGDLGFLKRVVRSLDGGRGRPAEAVEAVRECGAGALHLAAGAGKLAVCRYLVEELRVDANAIYDQGPHPLTRSHTRERHCETPLAYAVNGANVATVRYLLDHGAHPEKADNKGFTPLHFAAEEGYCNVVELLLAKGAQVDSMSVRGTPLHLAATNGQHRVVKILLDHNADCNKIVSAVYTPLLVAIYGSSLKCVKLLIKAGADVNGVGNITPLIASVGSTEIMKCLLEAGADPNVPDEFGRMPIEFAVRCGTLKDVNILFPLTSPMPTVPDWSVRGIIRHVNTLPGQKALCLDFNDATLYSNRSLCFLRMGDGDKAYGDAYTCRMMRHDWPKACYRQGAALMLLKEYQKACDALLDGFKMDPGNSEIENALREAMESLKISDVKYGDWIAISREC >OGLUM02G17470.1 pep chromosome:ALNU02000000:2:18002966:18003580:-1 gene:OGLUM02G17470 transcript:OGLUM02G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSWTRAAKTTPAPAPRLCSTITGGGDDGGVEVGLHRVDDVLAELHQAEGLVDDRSQHAKAAAADAHDVAAHDVERRRAGWQQRRRRPFSLSPLSPCSLS >OGLUM02G17480.1 pep chromosome:ALNU02000000:2:18016841:18019818:-1 gene:OGLUM02G17480 transcript:OGLUM02G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFNGAMYAGGWMQHMLFEAAHNGDLDLVRGMAMLLGEAVQAARLRGTGPLDGMGALHIAASKGRLEVCRYLVEELRLDVDDTDQEGRTPLIIAIVFNHVSTVEYLLDRGADANKASHNGLTPIHFAICLGFVDPKVALYLYPDDATLFSNRSLCWHRMGDGGKALLDAHECRKLRSDWPKAYYRLGAALMLLKDYESACEALYNGFKLDPGNSEIEDAFRYPFCLFWSHYGNMAYDDSFG >OGLUM02G17480.2 pep chromosome:ALNU02000000:2:18016841:18019818:-1 gene:OGLUM02G17480 transcript:OGLUM02G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFFNGAMYAGGWMQHMLFEAAHNGDLDLVRGTPRTHAAPRFRSRSILFVFLGCSGCVLNFLPPDSRAVAGMAMLLGEAVQAARLRGTGPLDGMGALHIAASKGRLEVCRYLVEELRLDVDDTDQEGRTPLIIAIVFNHVSTVEYLLDRGADANKASHNGLTPIHFAICLGFVDPKVALYLYPDDATLFSNRSLCWHRMGDGGKALLDAHECRKLRSDWPKAYYRLGAALMLLKDYESACEALYNGFKLDPGNSEIEDAFRYPFCLFWSHYGNMAYDDSFG >OGLUM02G17490.1 pep chromosome:ALNU02000000:2:18031545:18039136:-1 gene:OGLUM02G17490 transcript:OGLUM02G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSAPTGYADGMVEKLLFDAAHNGDLYIVRGMATLLDDGRGRIGEAVQAARVRGAPMGGMGALHLAAGKGRLEVCRYLVEELRLDVDDADQEGRTALIIATLCKHLSTVKYLLDHGADVNKASHDGRTPLHYATHLGDCGTVQLLLAKGACVDTVANCGTPLHVAASKGKDGAMKILLDHNADFNKMVDGHLTPLATAITAGELKCVNLLIEAGAVVSGDCISTAAKGGSNECNYSMEETGANRNISDNGEPVSKRKATELKSLGNKAVEKKDYLSATGFYSKALDLYPDDATLFSNRSLCWHHMGDGGKALLDAYECRKLRPDWPKAYYRQGAALMLLKDYESACETLYDGLKLDPGNSEMEDALREALESLKTSASTEAR >OGLUM02G17500.1 pep chromosome:ALNU02000000:2:18042389:18045911:1 gene:OGLUM02G17500 transcript:OGLUM02G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVHFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIITDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >OGLUM02G17500.2 pep chromosome:ALNU02000000:2:18042428:18046025:1 gene:OGLUM02G17500 transcript:OGLUM02G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLVHFGRKAWFVVRVLSGYEERRIRAHRLQMQQQIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEETETAIDEYFKPIDKNAKIITDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDTQTSDKIAEGPPKEETMK >OGLUM02G17510.1 pep chromosome:ALNU02000000:2:18077231:18077929:-1 gene:OGLUM02G17510 transcript:OGLUM02G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPAAIVLPSEGDDADGMVLLRDGSDGLPPLKVDRETHRQVLLRFYMAYCISDFKHDIRELVEAYLKPCHELELDWTRQLSADRAAAMDHLLFVGLDATEPPEPQQQLQEEEEEDPPVSAARFVDRVHMRRMIQAFFHPDMADVEDEGKDTRIELDGQLHGRHRLRQPPVGRAQDHRRVPRRRHGRRRARGGRGGDAASAGGVAQRSSQGGRLWEIQAKGSPVEQSTGKK >OGLUM02G17520.1 pep chromosome:ALNU02000000:2:18096529:18101489:-1 gene:OGLUM02G17520 transcript:OGLUM02G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTAAAAAASSMSPRAGSATRAAHGTGERSALPRYVRAREARGKGRGGTGMRSAQELASACTGAAVEEVELLRVVEANARDSMGGSRGESSSAPPPPPRSRVLRQGGLEEEYAKNSPSPLGFAPRLIRHDRQTSYELASTSRPPAPEMGEPLRIITKKAMSKKNRAYTGGNQGRSSSALLPQSRFWQGMCEEAKKVLGIAKYSSSPSRLAARILCDKQVLPESAGTSRPVLEFVDESYQQWLCSQKSTSLVADVIAAVYHDQSVLSPSASTGTLSSLLVPSEEKIQAILGNLGKSVLCTEALHQIRILCDSSKGAQSFLNKCPDMIQVLVDLTTEWKSIWTWALEEERLSIVLSLSIHRPNRERIAAQKKMPCFLKQTVEVAIESGASAAASLVKVASIVSILSEFDIFRKSVLDIGVVTLLCNLLNFENDAVRKEAAIAVLALCGYIGNNMVTDDVLLLLDYLPKGPCVLEVICNQTVVEQLVNIVMAEHESGLVTSQGIYSALSLILVITQNDVSKMKVEHMENFMKWLRELSSNELPMQTMFQLIEIISLLSQRLYSHKPKEFVVKWRDDDDQIWYPLYAEVTIGRPISTSKVARRREWTDIRIVRRLRPLRAQEKMLTKLEFCDWYQNYIQGKNTYKQLYRVAMDCNGSKIAPLSFVRKSHAVPGDNCNRPDF >OGLUM02G17520.2 pep chromosome:ALNU02000000:2:18096529:18101041:-1 gene:OGLUM02G17520 transcript:OGLUM02G17520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSPGGGKGRGGTGMRSAQELASACTGAAVEEVELLRVVEANARDSMGGSRGESSSAPPPPPRSRVLRQGGLEEEYAKNSPSPLGFAPRLIRHDRQTSYELASTSRPPAPEMGEPLRIITKKAMSKKNRAYTGGNQGRSSSALLPQSRFWQGMCEEAKKVLGIAKYSSSPSRLAARILCDKQVLPESAGTSRPVLEFVDESYQQWLCSQKSTSLVADVIAAVYHDQSVLSPSASTGTLSSLLVPSEEKIQAILGNLGKSVLCTEALHQIRILCDSSKGAQSFLNKCPDMIQVLVDLTTEWKSIWTWALEEERLSIVLSLSIHRPNRERIAAQKKMPCFLKQTVEVAIESGASAAASLVKVASIVSILSEFDIFRKSVLDIGVVTLLCNLLNFENDAVRKEAAIAVLALCGYIGNNMVTDDVLLLLDYLPKGPCVLEVICNQTVVEQLVNIVMAEHESGLVTSQGIYSALSLILVITQNDVSKMKVEHMENFMKWLRELSSNELPMQTMFQLIEIISLLSQRLYSHKPKEFVVKWRDDDDQIWYPLYAEVTIGRPISTSKVARRREWTDIRIVRRLRPLRAQEKMLTKLEFCDWYQNYIQGKNTYKQLYRVAMDCNGSKIAPLSFVRKSHAVPGDNCNRPDF >OGLUM02G17530.1 pep chromosome:ALNU02000000:2:18110689:18111876:1 gene:OGLUM02G17530 transcript:OGLUM02G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRTFSAKETGPAATLLRVLEHPLAFSSSEGRTDANSSIGGRRRRWRDRSVGWVRAGRQRRGEERRRRRWGREDGGDGGGQTMAAANPRRRRSRWEAAASGQGGDGGGSQFYRHGYLLIVIKEGQLLAGGGRPVVATLGTAVAAAPGTTVMGTEALGHRQWRGGGRLDEAAVLRATKPGCRRWRCGWRSMGRAAALRATAPVRQR >OGLUM02G17540.1 pep chromosome:ALNU02000000:2:18116611:18120034:1 gene:OGLUM02G17540 transcript:OGLUM02G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVVGYGVMQLTSSRNDQLEAHLRSTARPETAMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVNKEVTPESPPVKEQATTESVKAK >OGLUM02G17550.1 pep chromosome:ALNU02000000:2:18122064:18125411:1 gene:OGLUM02G17550 transcript:OGLUM02G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:winged-helix DNA-binding transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G13980) TAIR;Acc:AT4G13980] MEVAAGARGGGAGGGGGGPAPFLLKTYEMVDDPSTDAVVSWSDASDASFVVWNHPEFAARLLPAYFKHSNFSSFIRQLNTYGFRKIDPERWEFANEYFIKGQKHLLKNIHRRKPIHSHSHPPGALPDNERAIFEDEIERLSREKSNLQADLWKSKQQQSGTMNQIEDLERRVLGMEQRQTKMIAFLQQASKNPQFVNKLVKMAEASLIFTDAFNKKRRLPGLDYSIENTETTSFYDDHSSTSKQETGNLLNQHFSDKLRLGLCPAMTESNIITLSTQSSNEDNGSPHGKHPECDMMGRECLPLVPQMMELSDTGTSICPSKSSCFAPPISDEGLLTCHLSLTLASCSMDVDKSQGLNANGTTIDNPTEAATATIEKDDTIDRCHNQKKSADSRTADATTPRADARVASEAPAAPAAVVNDKFWEQFLTERPGCSETEEASSGLRTDTSREQMENRQAYDHSRNDREDVEQLKL >OGLUM02G17570.1 pep chromosome:ALNU02000000:2:18126927:18127401:-1 gene:OGLUM02G17570 transcript:OGLUM02G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLSPSSTVDGVFTVKSAYKVQKNYERRNCRTALASTTSSNEGGQKEWKKLWNLKCPNKMKHFLWLLAHNSLALRMRLSRKGMEIDIKEAVEGSEYGRNSSQIVRAKICIGGTEEYNGIGIRFPVNCSPTDVYVVA >OGLUM02G17580.1 pep chromosome:ALNU02000000:2:18134763:18139565:1 gene:OGLUM02G17580 transcript:OGLUM02G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRSEMARRRSEMATACMARRRALKRRVAEAAAKAAAMKVVWTHHIHVVEGGGWHDGGVVGADGIYFTTELEQQDGSDVSDVEQHPDGGAPPDSELQVGGGGHRRGAVLGTKDIYFVPESELQGGSDVPDFEEQVGAGVPNGEQQLNNGLFADLDDQQMDDVEEPIDVEEMAILGDDDDTVAGDEGIDEFAEIREDSKHGTTKMTIYYYYLLGFGNKVEIKLEGSITVFFVLHIILSETMFFTALFIFL >OGLUM02G17580.2 pep chromosome:ALNU02000000:2:18135049:18139565:1 gene:OGLUM02G17580 transcript:OGLUM02G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVWTHHIHVVEGGGWHDGGVVGADGIYFTTELEQQDGSDVSDVEQHPDGGAPPDSELQVGGGGHRRGAVLGTKDIYFVPESELQGGSDVPDFEEQVGAGVPNGEQQLNNGLFADLDDQQMDDVEEPIDVEEMAILGDDDDTVAGDEGIDEFAEIREDSKHGTTKMTIYYYYLLGFGNKVEIKLEGSITVFFVLHIILSETMFFTALFIFL >OGLUM02G17580.3 pep chromosome:ALNU02000000:2:18135049:18139761:1 gene:OGLUM02G17580 transcript:OGLUM02G17580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVWTHHIHVVEGGGWHDGGVVGADGIYFTTELEQQDGSDVSDVEQHPDGGAPPDSELQVGGGGHRRGAVLGTKDIYFVPESELQGGSDVPDFEEQVGAGVPNGEQQLNNGLFADLDDQQMDDVEEPIDVEEMAILGDDDDTVAGDEGIDEFAEIREGKPCDLARL >OGLUM02G17590.1 pep chromosome:ALNU02000000:2:18140337:18149089:1 gene:OGLUM02G17590 transcript:OGLUM02G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQSRSVSRPEEEEEECASCTQDSTVSPPVSECGDRTAQQEPSTQEYTVSPPPVSECGDKVVQQESSNQESTVSPPPVSECGDKIAQQGSSTQESTFLPPESSTQESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKAKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAELMEDLRCLAKVHGLRDDLGAGKRSKKGKKRKKMTGEHQDNGESALVPYQKAPAATSSSALVPIQNSTQLAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLREWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFIFIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLISILGLGDKSVDCIRLLSLKHKGFPAICTKVSPNCRACPFSAKCKYYNSSLARLSLPPAEGHGHEYGEEQASTATPGRLLLSNDNHIAGFQQVCQPQIKINMPAGRESIYKCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDTIPELHYDFEIDLCSLKHTVNNGSWTPNSGKDLALINSQHASVQNKRLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILIPCRTATRGNFPLNGTYFQDHEVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGYICVRGFDRNTRYPKPICAKLHATNERNGTGENSRKKKKTSQEGKKIDDKSSFGKLEIN >OGLUM02G17590.2 pep chromosome:ALNU02000000:2:18140337:18149089:1 gene:OGLUM02G17590 transcript:OGLUM02G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEESLDHPGSLSGMSPATPDVAWKPAERRRRRSEADAEGSSCCSLSAATAAWVGAGNVESDDPSVRSVAAGEQSRSVSRPEEEEEECASCTQDSTVSPPVSECGDRTAQQEPSTQEYTVSPPPVSECGDKVVQQESSNQESTVSPPPESSTQESTVSPPVSECGDKIARQEGAASAIPTPEKVEATPRRPRKRSTKGLTRFKIMKDHKAAQRTATPVEVKIKRKAKDNGRRPLGDKSVRRKLNFEGDAVDFEGNREFSRAELMEDLRCLAKVHGLRDDLGAGKRSKKGKKRKKMTGEHQDNGESALVPYQKAPAATSSSALVPIQNSTQLAIVHHRNHLKNLRTKVLGLDEKTLQVYNVLREWDETDSESFEGVDIGSGPEWNETRRHFEHYVDVFIATVHGPRRFSEWGGSVTDSVVGTFLTQNVADNLSSNAFLNLVAKFPPTKRHINAEACSNLSLLIDDMRRKLNLNEQSNGTDSGSSDFTKPVDFEKENGYNEEVKGNYGRDYSTIIENFIFIIEKHHKDMSTWDNARLENMVKDKSGTPVCSHRTLRKFMDTFEEKDTSHWDKLREEAYSKGYKIKGTGISDSADWEAVLHAPAVEIANSIAVRGQHYVIALRIQAFLKRVKKDHGNFDLDWLRYVPRESAKNYLISILGLGDKSVDCIRLLSLKHKGFPAICTKVSPNCRACPFSAKCKYYNSSLARLSLPPAEGHGHEYGEEQASTATPGRLLLSNDNHIAGFQQVCQPQIKINMPAGRESIYKCEPIIEIPPSPEHEYEESPYEQELYEDDLCDIEDTIPELHYDFEIDLCSLKHTVNNGSWTPNSGKDLALINSQHASVQNKRLKNIGRLRTEHNAYVLPDDHAILEEFEDRVPEDPCPYLLVVISCSDEHTVKGTILIPCRTATRGNFPLNGTYFQDHEVFADHSSSRSPITIPRECIWNLDRCIVYFGSSIQSIMKGQTRQDIEDCYKKGYICVRGFDRNTRYPKPICAKLHATNERNGTGENSRKKKKTSQEGKKIDDKSSFGKLEIN >OGLUM02G17600.1 pep chromosome:ALNU02000000:2:18150196:18151079:-1 gene:OGLUM02G17600 transcript:OGLUM02G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPLPSTPLGSPPLPSLPLQIQRRGGMGAAVAAAGAGGGDDGGPWLLPSLSPPRWAANREHGHHRLTAASMHSESEVARMSLDLPLLKILKWDTLWNLM >OGLUM02G17610.1 pep chromosome:ALNU02000000:2:18157905:18158183:1 gene:OGLUM02G17610 transcript:OGLUM02G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKRGGGGEGEGILASFSRSSVAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQALLGGPPPPAPLK >OGLUM02G17620.1 pep chromosome:ALNU02000000:2:18159281:18165097:-1 gene:OGLUM02G17620 transcript:OGLUM02G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICPASSSQFFPRPACLLPSLLLLPSFGSLLPDPAMEIGNIDSCGCRSLLGGVSLWTLSHLWVKTQSNFWASVSNGFGRCSPPWRLRLKGHALPSVSLVLETAKVAMNSIGDAVGLDYSEAFQHVNDELYRVFRLYRTKLGGTPRVPEQTSQKKTSKSSAVNLWMHIGQSTWSEAKTLGTRGVGSRSSAAAALGVGSPRCGPHGVATAAMRGRATSVLSGGGGSRRRQPSARAARRDDSGYVRPHDVGPWRWLSASAAGTGSATGSRRRRRRAPMETQELVRHLFVSQLKNLHKPRKRRNLVGHFFFCPHGKLTSSEYGMVVDALRDPPSPPWLGNDIDTLPQVGNPEDQPDKAWERPSTDREEATQSHIINTGVKSEEMGSSHIRRLQTLYKQQGARDGQLELAKHSEGLGHEPGSLSL >OGLUM02G17630.1 pep chromosome:ALNU02000000:2:18177143:18194263:-1 gene:OGLUM02G17630 transcript:OGLUM02G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDGGGVGNYDDDALSATRDGASFSAIVPVRGCGGGTGDDDALFTAIGPIGGGAAAPGCSAIFSVVVRIRGCGSAVTPHNSPVGARWGTINSAIAMEDHRVASSPFPSATTAAARDLPEASSSGGCDYAERCSTTGSITCATRVGAGGSWFLATPRRQPFSHAAGAHSRYGASSCGDRGMHGARDATSGGGARDGNGSSFVPAVRWVNWTVSFWLVTNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVEMIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERVKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKLAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >OGLUM02G17630.2 pep chromosome:ALNU02000000:2:18177143:18194263:-1 gene:OGLUM02G17630 transcript:OGLUM02G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESKKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDGGGVGNYDDDALSATRDGASFSAIVPVRGCGGGTGDDDALFTAIGPIGGGAAAPGCSAIFSVVVRIRGCGSAVTPHNSPVGARWGTINSAIAMEDHRVASSPFPSATTAAARDLPEASSSGGCDYAERCSTTGSITCATRVGAGGSWFLATPRRQPFSHAAGAHSRYGASSCGDRGMHGARDATSGGGARDGNGSSFVPAVRWVNWTVSFWLVTNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVEMIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERVKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKLAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >OGLUM02G17630.3 pep chromosome:ALNU02000000:2:18177143:18194263:-1 gene:OGLUM02G17630 transcript:OGLUM02G17630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVEMIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERVKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKLAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >OGLUM02G17630.4 pep chromosome:ALNU02000000:2:18177143:18194263:-1 gene:OGLUM02G17630 transcript:OGLUM02G17630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESKKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADESEKAQTFDDFVSVLAQVKMDKDAVQVLLQPVEMIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERVKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKLAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >OGLUM02G17630.5 pep chromosome:ALNU02000000:2:18177143:18194263:-1 gene:OGLUM02G17630 transcript:OGLUM02G17630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair-recombination protein (RAD50) [Source:Projected from Arabidopsis thaliana (AT2G31970) TAIR;Acc:AT2G31970] MSTVDKMLIKGIRSFDPENKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTLKDQAYRLRDNIAQDQEKSDALKIQMEELRTNVQGVEDKIRRTEKSLADLRRLQQEINSSTSARTTYFTLQQQQYAALSEENEDTDDELKEWQTKFEERMALLQNKISKLERDVDDENTTSSFLSKAINDLMRETGRLQAEADAHMSVKHERDSAIRKIFTKHNLGPIPDAPLTDAAAMHLTNITKAKLSNLNDDLQDKKKSNEAQKQFLWGRYLEVNTRYSEVVGQIESKVASKKGISRRMKDKESERDAAEMDLSKYNLPRIDEKERHLQIEVERKALALGERNYDSIVNQKRTEIFSLDQKIKTLQWEKDSIISDSNDRVLLDVKKDELEESKKKLKKMHDKIRIVFKGRTPSEKEVKKELSQAFGSVDREYNDLNSKSQEAAQELKLVQMKILDARSHLSKLQKELDAKRSYVESKLQSITKMSADINMFPKHLKDAMDEREKQKNNLSYAKGMRQMYEPFENLARELHMCPCCQRAFTPDEEDEFVKKQRTTCESTAERMNKISLECSNAEDFFQQLNKLNATYEEFVKLGKEAIPLAEKNLKQLLADEIKMDKDAVQVLLQPVEMIDRHVQEIQQLGPQVENLEYKLDVRGQGVKSLEQIQLELNSVQRTRDTLNNEVDDLRDQQRTLTDGLTNAQMRWHDIREEKLKASGAHLEESLGPLSKERESLLQEHEALKEKLDQEYHQLAERKREFQQEIDALETHNERVKGYLNSKKGEKLNELQEKHTQLQSDLQKSKERKEEKLAELSKNKELLKSQDQLKRNIDDNLNYRRTKDEVERLTHEIELLEDKILSIGSLSTIEADLKQHSQEKDRLLSEYNRCQGTQSVYQSNISKHKLELKQTQYKDIEKRYFNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAGALLRIMESRKGQENFQLIVITHDERFAQLIGQRQLAEKYYRVSKDEQ >OGLUM02G17640.1 pep chromosome:ALNU02000000:2:18197547:18202293:-1 gene:OGLUM02G17640 transcript:OGLUM02G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQASPSPSAQVVGNAFVQQYYQILHQSPDLVYRFYQDASRLGRPPADRYGDMVSVTTMEAINEKIMAMDMSRAEIKTVDSQESLGGGVTVLVTGHLTVRDGVCREFSQSFFLAPQEKGYFVLNDMFRYVGDGPTPAAAAAVAEVQPEADAVAPPLANGTATAPLQPAAPDYDAMPQEEPDVVENVAVPPEEEEEVYNPPLEEVEGGAVEEEQSVPEVINEVPNNVVPVVAPAAAPVSHEEAPKKSYASIVKVMKEAPVPAPIPATRPAPAARPAPPKPEKQSPAPPAPAPVADATPFSSNAESSNTHEPEVDAHAIYVRSLPLNATTTQLEDEFKKFGTIKPDGIQVRSHKIQGFCYGFVEFEEATAVQSAIEASPVMIGGRQCFVEEKRTPGSRGSSRGGRFAPGRGNNNFRADGMRGRGNYSGGRSYGRGDFSYRSDYGGRGGGRGGSARGPDVGYQRVDGGRGGRTSAGPGAPAK >OGLUM02G17650.1 pep chromosome:ALNU02000000:2:18207335:18207977:-1 gene:OGLUM02G17650 transcript:OGLUM02G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPIRPLAQLRPSRSRPQRSKRRDGSLCTGLRSAVAELERGGGEEMERSRAAAVFGGVYIGDVRVGREQCQNVDIATVPDFWWLAEKESMSGCQVGWGGNTDFG >OGLUM02G17660.1 pep chromosome:ALNU02000000:2:18208862:18209398:1 gene:OGLUM02G17660 transcript:OGLUM02G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDRPLSVPITAGPPLHLHCLPSPVSISSPPNLHSSFTTAASKTTPQAVAPPTTGLPSLPHHRPTVPGRRRHPAAGPSSPTALGRRHTPPLGRRPSPLPSRPAAMPPSLRAPPDTVAHGRAAPSPSALARPPPHRASPCHRRPQAAAKPPLEPLVLWRSRGGNERAERMRSRERERG >OGLUM02G17670.1 pep chromosome:ALNU02000000:2:18215857:18223257:1 gene:OGLUM02G17670 transcript:OGLUM02G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSK1] MSPIRPKDRPGIGERWSGLGSGFRAAVAIPTVKSVPLPLPSAARCWPPPPPQVSLPFPSLPFPFLSLLLPPRVLHACHASASTHGRRRPTESRTYASPTARARSRIGEVLIPEGMVTSIDNVRGLTLAISSSAFIGSSFIIKKIGLKKAGDSGVLEVSHTCMSHYGVTLGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFVLKEKLHMFGVVGCILCVVGSVGIVLHAPKEREIDSIDEIWHLATEPGFIVYSCVAVVSVLFLIFWVAERSGHRKMLVYIAICSTMGSLTVISVKAVAIALKLSFGGSNQFIYIQTWFFIVVVILNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDSFSRNATQIATQLCGFVTIVAGTFLLHKTRDMGNEPPLPDDEICLDGGSVRPDRLSQSSS >OGLUM02G17680.1 pep chromosome:ALNU02000000:2:18225284:18225682:-1 gene:OGLUM02G17680 transcript:OGLUM02G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCDDDGGCSWCWCPRARSGAGSDHDQIRMTATKVLDDCIIGKVATKQASTQRSGCGYVSMAVECLRQVLDCRGSACHARRLHDALEATVISMVYRRWWWRNKAAS >OGLUM02G17690.1 pep chromosome:ALNU02000000:2:18242891:18246031:-1 gene:OGLUM02G17690 transcript:OGLUM02G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25140) TAIR;Acc:AT3G25140] MGGRGALPVVAGVVLVLLVVAARGASAAGGGGGGGAAAAAVNGDRLRAEQIRKQAKDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTATLRDLSAAASASASASASEAGYASSGDEVRRLDLQAKDLIRAARAAIADAKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPENYADPVPPPHALEDPAMFHYAIFSDNVLAASVVVRSAVANSLDPSKHVFHVVTDRMNLGAMQVIIRLMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKEKFNPNACGWAYGMNFFDLDSWRREKSTEQYHYWQSQNENRTLWKLGTLPPGLITFYSTTKPLEKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDSFIRQCNFAPP >OGLUM02G17700.1 pep chromosome:ALNU02000000:2:18256868:18263333:1 gene:OGLUM02G17700 transcript:OGLUM02G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13040) TAIR;Acc:AT4G13040] MTRKDSLPVDFPKPSDNENSVEVAHPNIKPFSVHPLPLTKNSDVLLESSNGSDSLKEEKNHYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKKELQNYTWKDFLDMTRDTITSKKQRKVGLIRRNKTDSLVGQSDGDTEMINGGGSSHSEDGDAETSAS >OGLUM02G17710.1 pep chromosome:ALNU02000000:2:18287207:18288670:1 gene:OGLUM02G17710 transcript:OGLUM02G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLYVAALAGILEGFRRGGRGDDDGATARPDLVHGGRRDDGDAAVALGARSRASECAVAFLFNRCMEWDEIRWNGDGIKSRLRNAKEEETTAAPWHWRPSSSRWPAMEMRAMEPSASSALDTSRPCVEHAQSSVGSDSLRSLSVSLFSCRLREVNKCKDKPTSISYLRSPHRQNTSIDSLQGWSRGELGLDISVPLELASDIDSKFEWDTDVEVETPSVPGLRNVDATRVCKGCEGVTGFVAAALLIAGLDLGQIREEGDGREEGEDPERILHLEAHRRPCLAIADVAPIHSSVSAALPPHNPFRD >OGLUM02G17720.1 pep chromosome:ALNU02000000:2:18288563:18300608:-1 gene:OGLUM02G17720 transcript:OGLUM02G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKKLGEKDVAYLSVLPFMLLRILHSQVWLTISRLMDARGNRRRIVERGIEFEQSGSHLEYLVLLLMPLGQGMPLWRRDGALVMVLMHAGPVEFLSYWFHRALHHHALYSRYHSHHHASIAMALEGEQTPGQATEAAREMDGDGGGDGGEWRQELVAYDVLFAIPVVTCLLTGTISILAYAIYIFYIDFMNNLGHCNFELVPNYLFKCFPPLKYLMYTPSFHSLHHTQFRTNYSLYMPFYDYIYNTMDKSSSTLYESMLKISKEKSLDVVHLTHLTDLQSIYHLRPGFSMFVARGYNQSNWSMITILSPLSWLIAMCTWAFSSSPFAVDRSVLDKNLNMQTWAIPRYSFHYHLKRENKAINDLIGKEIHEADRRGAKVFSLGLLNQGSSLAAAVVSNSVASRTDQVILAGNLDKVARAVAMALCKRNVKIRWEALEVSVAKGIMWRQRGGHRGMDGGDIGDGEARATVSFQM >OGLUM02G17740.1 pep chromosome:ALNU02000000:2:18361730:18362627:1 gene:OGLUM02G17740 transcript:OGLUM02G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVALDNEDEWKNNNPVIDRNNIKGLIADLFVAGTDSGSTAIEWAIVELLQNPQSMQKVKDEFRRVLGTRTEIEESDISQLPYLQVVLNETLRLHPSVPMTYYKAEATVEVQGYIIPKGTNIILNIWAIHRKPDVWADPDRFMPERFMETDTNFFGKHPEFIPFGGGRRICLGLQLAYRMVHMVLASLLFHFDWKLPEGAEKDGVDMREKYGMVLHKETPLKALAIETYNRK >OGLUM02G17750.1 pep chromosome:ALNU02000000:2:18433854:18467679:1 gene:OGLUM02G17750 transcript:OGLUM02G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCDIQINDAWRLQELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >OGLUM02G17750.2 pep chromosome:ALNU02000000:2:18433854:18467679:1 gene:OGLUM02G17750 transcript:OGLUM02G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCDIQINDAWRLQELITGSTDTTSVSVEWAMSEVLRNPSVLARATDELDRVVGRRRLVAEGDIPNLPYLDAVVKESMRLHPVVPLLVPRVSREDAFSVSVAGAAASYDIPAGTRVLVNVWAIGRDPAVWGDDAEEFRPERFAAGGERGGVDVKGQDFELLPFGSGRRMCPGFGLGLKMVQLTLANLLHGFAWRLPGGAAAEELSMEEKFGISVSRLVQLKAIPEPKLPAHLYDE >OGLUM02G17760.1 pep chromosome:ALNU02000000:2:18477483:18478646:1 gene:OGLUM02G17760 transcript:OGLUM02G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQELVPSPWPSSSSFLVLVLATLLFVAAFLRRRQGARRKYNIPPGPRTWPVIGNLNLIGALPHRSIRDLSRRYGPLMSLRFGSFPVVVGSSVDMARYFLRANDLAFLDRPRTAAGRYTVYNYAGVLWSHYGEYWRQARRLWVTELLSARRLASTEHVRAEEVRAMLRGLRRRAGAGTAVVLKEHMLMVTLNVISRMGYIGRMKRLGGMFDRFLEHILDEHVERRRREGDGFDARDMVDLLLQFADDPSLKVPIQRDGVKAFILV >OGLUM02G17770.1 pep chromosome:ALNU02000000:2:18487571:18492468:1 gene:OGLUM02G17770 transcript:OGLUM02G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40980) TAIR;Acc:AT2G40980] MESQRGQSPDRGSDASGPKQSSVSSHGRQRNSSSSICKDFLRKFVDNELLTSSLEDWFSGHSEDCGFKKPAFDVPFDLSELQNFDYAIEGVTFQQLVRMPNALHASTSDIFEATAHLALEDFLHASIKGLWETFWGPDESMPFSVACIHSTSSKFYPAEKAISSGRLDGVCATAVLLKSLKHSQGRWDHIVVLALLRPDIGMFSGQNDQQPSPAVLGEALFFALRVLLSRSLSRSSTVLQNSDCVYVLLVDSQFGGVVNVQGDLNKLDFDLNNVYDSAAEWIKKHAQITVSSIDRVWNKLGNANWGDIGTLQVLLAIFHSMIQFCGAPKYSLDELATEHSSRLQSRRSERHLVGRQANTNGLFRFQQQSHSPEIVEVQEEGAVNVKPKEILKLEVGSVVLMEDADWQKGFQINDILTDSEPPIYSATPVEEPTKTHLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYVPQMVASGRVVHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEDALRCCHDCLSALAAASSAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPLMNLFFSSTFALQEGKLCAASDAESLIYLLFFCCGGVCPELDSVEGALQWRETSWSRRVIQQKLGDVSAVLKAFADYVDSICGTPYPMDYEIWLRRLRRTINEDHGKEIDTSS >OGLUM02G17780.1 pep chromosome:ALNU02000000:2:18500632:18502467:1 gene:OGLUM02G17780 transcript:OGLUM02G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQRAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >OGLUM02G17790.1 pep chromosome:ALNU02000000:2:18502730:18506939:-1 gene:OGLUM02G17790 transcript:OGLUM02G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAAAKAAAAAAVFQSPCSPAPAASFPARSVRPDRRRAVSLSVSGVRTHVAAVEQAVVQDAIAQPEAPVVVVTGASRGIGKAIALAFGKAGCKVLVNYARSSTDAEEVCKEIEGFGGQAITFRGDVSNEADVDSMIKAAVDTWGTIDVLVNNAGITRDTLLLRMKKSQWQDVVDLNLTGVFLCTQAATKVMMKKKKGRVINIASVVGLTGNLGQVNYAAAKAAVIGLTKTTAREFASRNITVNAVAPGFISSDMTSQLGEEIEKKNLITIPLGRYGEPEEVADLVEFLALSPGGSYITGQVLTIDGGMVM >OGLUM02G17800.1 pep chromosome:ALNU02000000:2:18527486:18532809:1 gene:OGLUM02G17800 transcript:OGLUM02G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAVVSAATGVLSPLVGRLSTLLEKEYAGLKGVRKEIVSLREEVSSMNATLLKLASEEDPDVQDREWGNQIRDLSYDIEDCIDDFMLRVDKHGHTTASPVPDDDKGFFQRNLSKLRTLGARHDIAGKIRELKARVDVVSKRHERYRFPASSSSSGGAVPIDPRLHAFYAKEDSLVGIEQPRDEVISLLTQGQGEEALAKKLKIVSIVGFGGLGKTTLASVVHRKLDQEQFDCRLVVSVSQSPDIMRIFHRILIEEFKVQPCIHNDLQGMINQLRNHLLHKRYLIIIDDLWDVSVWENALLCAFPDNNLGSRVIMTTRDNTVAENCCGQQRDCIYEMKPLNETDSRKLFFKRIFGSEDDCPNELKDISDEILRKCGGLPLAIITIASQAGKDYVIWKDDLVRQWVAEGFVHGLESAGGYFNQLVNRSMIQPVKIGYDDEVLSCRVHDLMLELIIRKYSVEENFLTAVVGNSQEIKGTVHNVRRLFHYSDVLGRRRSAPALRIGLQKVRSIASCVTDIHQVRFQDMKFLRVLVLELVYNPKDESTTQAVVDLSVICKLLLLRYLKIQSEYLLKLPPQIRMLQHLETLEIASKFDKAGLAIPSDLAQLPRLSYLSILPYMAGGLPANVGTMTQLRSLAFLVLEENTLDSIKSLHHLTNLRELYIISASGDSSAGGDEDETAHVDALQSSLSGLADCKLYLTAWSTWLSRVPQWVGRLRNIYGLEIGVGELCKDGVSVLAGLPAMARLDLWIRSAPTESIVIAGDGFPVLKHLIFTCRALCLTFEAGAMPKLRRLDLEFNDDGGGDGGFGNALVGVEHLAGLRVLSAKIGGFRSAVDAAATTGEEQADDRSAAMSRLRDAIDLHPSRPRVDITYTQGRYGLS >OGLUM02G17810.1 pep chromosome:ALNU02000000:2:18531271:18531507:-1 gene:OGLUM02G17810 transcript:OGLUM02G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAGQNLIIVANFHRLNHASVYKLVEITPCTFQSVHKTFGYPLPDQIIFPDYIILWICAKLQEAGLEIRMKILVTEV >OGLUM02G17820.1 pep chromosome:ALNU02000000:2:18560498:18579636:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAISMDTSTLLIALTLLLLLLTARRRRSGRPRLRLPPEPPGLPLVGHLHLFRKPLHRTLARLAARHGAVFRLRLGSRRVAVVVSSAPAAEECLGAHDVAFAGRPRLPSAGILSYGWSTMGTAAYGPYWRHVRRVAVAEILSAHRVCGWKKRREDGDPVWYGETACFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMIHLYQLWLCQLENSGKYLSGSYYRGWLAAYTVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNAREVRAMARRLYRRAAAAAASAGGRARVELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHELSADCDVAGFHVRKGTMLLVNTFADGQNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELVDMGESSGITMPKKLPLEAFYQPRACMVHLLSS >OGLUM02G17820.2 pep chromosome:ALNU02000000:2:18540010:18559561:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFGSRRVAVVSSAPAAEECLGPHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVQHFADVNVREVRALARRLYRRAAAAAAAGARTRVELKSRLFELLMNTMMSMICERTFYGADDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPAKRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPQVWDEPEAFIPDRFEDGRSEGKMAIPFGMGRRKCPAENLGMQMVGLGLGTMIQCFEWERVGEELVDMTEGSGLTMPKKVPLEAFYQPRASTARVRRSGTQRRAGNVPPPPPEPAGLPLVGHLHLFRKPFHRTLARLAARHGGAVFGLRLGSRRVAVVSSAPAAEECLGAHDVAFADRPRLPSGRILSYDWSTMGTASYGPYWRHVRRVAVTEILSARRVQNFADVHVREARAMARHLHRAAVRHGVGGAARVRVELKSRLFELLMNTMMAMICDKTYYGDDDDGEVSKEARWFREMVEETMALSGASTVWDFLPAALRWVDVGGVGRRLWRLRESRTRFLQGLINDERKEMEQEQGGDRAQPAARRRTMIGVLLYA >OGLUM02G17820.3 pep chromosome:ALNU02000000:2:18568924:18579636:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAVLVLPLLGGDSAPAVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNAREVRAMARRLYRRAAAAAASAGGRARVELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHELSADCDVAGFHVRKGTMLLVNTFAIHRDPQMSSRCAGGSSGTLGGREVRRRRRRQQPVARGLLPLARTPSLSGMGTCRSARETKAVGLFSLFASWRASSTFAIGMNARLVSGYMAQFVEDSDDDDVVDHDRIKPDALDDEFADGQNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELVDMGESSGITMPKKLPLEAFYQPRACMVHLLSS >OGLUM02G17820.4 pep chromosome:ALNU02000000:2:18540010:18579636:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFGSRRVAVVSSAPAAEECLGPHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVQHFADVNVREVRALARRLYRRAAAAAAAGARTRVELKSRLFELLMNTMMSMICERTFYGADDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHELSADCDVAGFHVRKGTMLLVNTFADGQNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELVDMGESSGITMPKKLPLEAFYQPRACMVHLLSS >OGLUM02G17820.5 pep chromosome:ALNU02000000:2:18560498:18568696:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAISMDTSTLLIALTLLLLLLTARRRRSGRPRLRLPPEPPGLPLVGHLHLFRKPLHRTLARLAARHGAVFRLRLGSRRVAVVVSSAPAAEECLGAHDVAFAGRPRLPSAGILSYGWSTMGTAAYGPYWRHVRRVAVAEILSAHRVCGWKKRREDGDPVWYGETACFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMVDLLTKI >OGLUM02G17820.6 pep chromosome:ALNU02000000:2:18568924:18579636:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAVLVLPLLGGDSAPAVMVDAMSGGVLVALMVLLLVAAPALLSRLERRRRPPPGPVALPVVGHLHLLRRPLHRTLARLAARHGAAAVMGLRFGSRRVAVVSSAPAAEECLGPHDLAFADKPRLPSGEILSYEWSTMGTASYGPYWRHIRRITVTELLSAHRVQHFAGVNAREVRAMARRLYRRAAAAAASAGGRARVELKSRLFELFMNIMMAMICDRTFYGDGDDEVSEEARWFRSVVKETMELSGASTAWDFLPAAARWLFARRLTRRMRELSDSRTRFYQRLITDHRTKEKTDDDNAAAGDHSPAPRRRTMIGVLLSLQSKDPDACPDQLIRALCIGSLQAGTETSAAVVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAHELSADCDVAGFHVRKGTMLLVNTFADGQNEAKMVIPFGMGRRGCPGENLAMQMVGLTLGTLIQCFDWERVGEELVDMGESSGITMPKKLPLEAFYQPRACMVHLLSS >OGLUM02G17820.7 pep chromosome:ALNU02000000:2:18540010:18569027:1 gene:OGLUM02G17820 transcript:OGLUM02G17820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFGSRRVAVVSSAPAAEECLGPHDLAFANRPRLPSGEILAYEWSTMGTASYGPYWRHIRRIAVTELLSAHRVQHFADVNVREVRALARRLYRRAAAAAAAGARTRVELKSRLFELLMNTMMSMICERTFYGADDDEVSEEARWFRSVVKETMELSGASTVWDFLPAPARWLDAGRMTRRMRELSDSRTRFLQRLIDDQRKDMDADSDDHAPAKRRTMIGVLLSLQSKDPDSCPDQLIRSLCIGSLQAGTDTSAATVEWAMSLLLNNPGAMARARGEIDACVGQPAARLLEAADLPKLHYLRCVVMETLRLYPPVPLLAPHESSADCVVAGFHVPQGTMLLVNTFAIHRDPQVWDEPEAFIPDRFADGKNEGKMVIPFGMGRRRCPGENLGMQMVGLALGTLIQCFDWERVGEELVDMRECSGLTMPKELPLEALYQPRASMVDLLTKI >OGLUM02G17830.1 pep chromosome:ALNU02000000:2:18579085:18582671:-1 gene:OGLUM02G17830 transcript:OGLUM02G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEVSSRLLRTSLLSPFSPLQFSWVSFTVRLRIAFPSELLASTVWSAACARSGGPRASTLSAKSSTTNYVWKTAD >OGLUM02G17840.1 pep chromosome:ALNU02000000:2:18584723:18588780:1 gene:OGLUM02G17840 transcript:OGLUM02G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSNASTPAPTPAPPPPSLSSSLRSLSLSSSPRGRHHRGATTRPSSKIVHAAGCVSRWSTLPPFPDDDGDDDGSLRLEPFPCDPIERRTGAKPLALVSSSAAEASSPCSAAAAASTVTERFLPDLLAAAGRAKAGDVPEEEEEVKLSVVARVGKVLFQSSGGGSPVSMNSLREAVKAGEEGSRSNLHKSFYTNVPSECLDDMERSAAEKMGLEFDSSKEHYHVKVFDKRQSDSTISCKCTVQEDGKLAIHKVELNQVRHLVEDISCLFKDLDLRLMLSTKRILKNLDAEVENAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLEAVDQEANSLQEMLESVVGMIWESGLSYKKAP >OGLUM02G17840.2 pep chromosome:ALNU02000000:2:18584535:18588780:1 gene:OGLUM02G17840 transcript:OGLUM02G17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLPPHKRHSNASTPAPTPAPPPPSLSSSLRSLSLSSSPRGRHHRGATTRPSSKIVHAAGCVSRWSTLPPFPDDDGDDDGSLRLEPFPCDPIERRTGAKPLALVSSSAAEASSPCSAAAAASTVTERFLPDLLAAAGRAKAGDVPEEEEEVKLSVVARVGKVLFQSSGGGSPVSMNSLREAVKAGEEGSRSNLHKSFYTNVPSECLDDMERSAAEKMGLEFDSSKEHYHVKVFDKRQSDSTISCKCTVQEDGKLAIHKVELNQVRHLVEDISCLFKDLDLRLMLSTKRILKNLDAEVENAINCLVSSAVIDPDVKGGLRWPLGKESIDERFSIVGVWHTNYKAFRNEKLRLKLRHADRFDHRSSTGEVSNEVTFKLIGISASLEAVDQEANSLQEMLESVVGMIWESGLSYKKAP >OGLUM02G17850.1 pep chromosome:ALNU02000000:2:18590218:18594087:1 gene:OGLUM02G17850 transcript:OGLUM02G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAVFAGKAIATSVITYVINKAFDYLKDNKEAGGLKPTRERLEKLLPQIKVVLDAVDMEHIGDQSDALDAWLWQLRDAVEEAEDALDELEYYKLEREAKKIQAGSKVSGSLHQYKGKIVQRFNHTFNTGSLKRLKNAVKALADVASGVERFIQVLNQFGNKVNFKQEVEFKNLRETSSLPHSLVLGREEESNIVVQWLTKRENSASEQIVGNIPIFCIVGLGGIGKTTLAQVICNDNKVKDYFDLFVWVCVSHIFDVETLTRKILQGVTRTEIGMIGLDALHKALQEKLSSRTFLLVLDDVWNDESLRGWETLVSPLRYGKTGSKILLTTRMESVANLAARAMQGECQSLSLSGLKETELLLLLERHAFFGVNPDDYRNLQHISKKMVSKLSGSPLAAKVLGGLLNNKRDSNTWNRILASSVHNIQQGKEGIMTVLRLSYQHLPTHLQSCFRYCSLFHKDYEFTKKELVYLWMGSGLIQQSVDGMTPEDVGMGYLDALTRKSFFEIKSRPRSSRDIKCRLFEEYYEERFVVHDLLHELARSASVNECARVSISSEKIPNTIRHLCLDVISLTVVEQISQSKKLRTLIMHFQEQDQAEQEHMLKKVLAVTKSLRVLSLTANYPFKLPDAVGGLVHLRYLSLSLMWGEGNTTHSCWFPQVVYNLYHLQTMKFNNPRPAVPMEGQMEGMCKLVNLRHLHLTLVIRPMIPFIGKLTSLHELYGFSIQQKVGYTIVELKNLRDIRHLHVSGLENVCNIEEAAEIMLDQKEHLSAVTLVWAPGSSDSCDPSKADAILDKLQPHSNTSKLQLEGYPGSRPPFWLQDLILINLTYIYLRDCQSMQCLPYLGHLPSLQYLYIVNMKSVECVDSSFYGSGEKPSGLQSLKVLEIENMPVCTEWVGLEGENLFPRLETLAVRDCQELRRLPTLPTSIRQIEIDHAGLQAMPTFFVSSDGSSSSMFNLSLSKLMISNCPYITTLWHGCSLYALEELSIQQCASLSCLPEDSFSSCSSLKTLEIVKCPNLIARQIMLPHTMRTITFGLCANAELALLDSLTGLKYLKRIFLDGCAMSKLPLQLFAGLIGLTHMVLNACSIAHLPTVEAFARLINLEYLFIWDCKELVSLIGIQGLASLMSLTIASCDKLVEDSSILSPEDADSSGLSLNLSELDIDHASILLREPLRSVTTIKRLQISGGPNLALLPEEYLLHNCHALEELVLTNASHLQCLPQAVTTLTSLQSMHINNAVKIQTLPDMPASLTSLHIYGCSSELKKRCQKHVGHDWVKIAHISDADIR >OGLUM02G17860.1 pep chromosome:ALNU02000000:2:18622868:18624226:1 gene:OGLUM02G17860 transcript:OGLUM02G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEITVVLNISEGLAEISKKLMDLALAAQSAEPAAFLEDAESSYWHVASLRPRGRVFKRHGWVSIGDASCLRHSRMRSPAHRPGSSAMAFCNHAGVGVTHGEALTLPRAAAQVPRVAGGRATSASPLGLAMVPSLLAPSTESEKTTSSGVCLELLKKRGVMSWALGRES >OGLUM02G17870.1 pep chromosome:ALNU02000000:2:18625611:18631286:1 gene:OGLUM02G17870 transcript:OGLUM02G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSLRCGDCGVLLRSVEEAQAHAEATNHANFSESTEAVLNLVCAACGKPCRSQTEVDLHTKRTGHTEFTDKTMEAAKPIDLEAAPPKPAGEAMDVDASASAEPQEMVAPEVNKEMLADLEAMGFTTARATRALHFSGNSTIEGAINWLSEHQEDPDIDEPLLVPANTITEANKPSLSPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEDNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAAPKPSAPPPVEEKKSALPVRPATKAERMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLQLCGFEKLEGNEFLFLARDKVDKAVLNTAGAELNSAITNPFFGVL >OGLUM02G17880.1 pep chromosome:ALNU02000000:2:18641666:18644314:1 gene:OGLUM02G17880 transcript:OGLUM02G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSHCQRQDLLLPPLLLLMSVFVYIPLAGAAEWSRNVPSLIDFKHQQFSPTGSVFGSNLKWMTSQGGVPTFGNWSAAGDTPYTQKFENLRRSKKTATGVYSNPNEVITETPDQPPPPLRSPLHPSSHDALNQRQRYERKPETGHPRPAGSPLHRETAPRRHANPLQQQQHLDHGGYGGSPRSPYREVAAAAAASPRSRYRSAGMQTPDRKASSSDGRVPVTPGRSRLKQGGRGFEPALDEVTVPPFGDWDDANAASGEKYTGIFNRVRRDKLTPNSSVKQQPPSSPSGGRRQEHKVQQIYVYRYIAK >OGLUM02G17890.1 pep chromosome:ALNU02000000:2:18645602:18650682:-1 gene:OGLUM02G17890 transcript:OGLUM02G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAGVGGIGNPTPRGKKPFAPWREVPPQVTHTLPPEKKEVFDSLEGWAADTILPYLKPVEESWQPQDHLPDPRSPSFGDEVAALRERAAGLPDDHLVCLVGDMVTEEALPTYQTMLNTMDGGVRDETGAGGSAWAVWTRAWAAEENRHGDLMNKYLYLTGRDPRTENDPYMGFIYTTFQERATSISHGNTARHAGRHGDAALARVCGTVAADEKRHEAAYAAIVAKLFEVDPDYTVRAFARMMRRKVAMPARLMYDGADDRLFARFAAVAQRLGVYTAADYAGIIEFLVARWGVPGLAAGLSGEGRRAQDFVCSLGPRFRRMEERAQEAAKRAPPAAAAPFSWIHGRQVQL >OGLUM02G17900.1 pep chromosome:ALNU02000000:2:18652409:18655249:-1 gene:OGLUM02G17900 transcript:OGLUM02G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKAPTRAKYSQGFMPIGESDAYCALIPGLPEDLAKICLALVPRSQFPVMGSVSKRWMSFLESKEFIAVRKEVGKLEEWVYVLTADAGSKGSHWEVLGCSGQKHSPLPPMPGPTKAGFGVVVLDGKLFVIAGYAADHGKECVSDEVYRYDSCLNRWVELSKMNVARCDFACAEVNGMIYVAGGFGPNGDSLSSVEVYDAEQNKWTLIESLRRPRWGCFACSFEGKLYVMGGRSRFTIGNTRFVDVYNPNDNAWGEVKNGCVMVTAHAVLDKKLFCIEWKNQRSLAVFNPADNSWQKVPVPLTGSSSTRFCFGIHDGKLLLFSLDEEPCYKTLMYDPAAPTGSEWCTSELKPPGLCLCSVTIRA >OGLUM02G17910.1 pep chromosome:ALNU02000000:2:18668867:18670936:1 gene:OGLUM02G17910 transcript:OGLUM02G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPPPPPESPVAAAAGGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSQITSDLFPSPDLAADSDERGNPLWGQISAAAFDAAEQLIQVWDGTPEALVFEATEDEVAEYLSAVDVAIEHLARGSGGGGGGAGSSSSSTAGRAGVAVQLAMARLEEELRHLMVRHAVPLDPTGLFFSLRRLSLGSMDDLDTSSEFDAATPHSIDVAPETARGGPLVNPFEDQVFDPVRPEAVDDLRAIADRMARAGYSRELADAYCGIRRDLLDEYLSALGVERLSIDEVQRIEWKHLNDKMKKWVQAVKTVVRVLLAGERRLCDQVLSVSDELREECFIESTKGCIMQILSFGDAVAVCPRSPEKLSRILDMYEALAEVIPEMKDLCLGSSGDGVISDVQANLDRLGDAIRGTLFEFGKVLQLESSRRAMTAGEIHPMTRYVMNYLRLLVVYSDTLDALLDDDADDQIDLARAEDQDQEHLESMTPLGKRLLKLISYLEANLEEKSKLYEDSALECIFSMNNLLYIVQKVRDSELGKILGDHWVKRRNGKIRQYSKSYLRISWMKVLSFLKDDGHGSGSGSSSGSGSGHSSSRMSIKEKFKNFNLAFEEIYRNQTTWKVPDPQLREELKISISENVIPAYRAFLGRYGSQVDGGRNSGKYIKYTPEDLESQLSDLFEGAPGPANHSRRRT >OGLUM02G17920.1 pep chromosome:ALNU02000000:2:18675183:18675836:-1 gene:OGLUM02G17920 transcript:OGLUM02G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKASRFLKGLLSAIVAAVKARSAAVRAKTSAVRTRLIVLGILRNKKLLLSAINRKIHAIVSSGGGGGSSHGEYGGGGESYGGEQQQHLSGIHLVGGGGYRKAAVLHSLPSFVVEQERSAVVLLSSLPSFAMDRDVYGGGGEAEAEEEQEVGGKQQQQSVIELARGAAAAEAGGGAEFRLEDEIDHVADVFIRRFHEQMKLQKLESFKRLCEMLDRS >OGLUM02G17930.1 pep chromosome:ALNU02000000:2:18678150:18679524:1 gene:OGLUM02G17930 transcript:OGLUM02G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYSIFAKKKYHLRDKNSLSTSLTEENITPFPAERTQLYDLGTMKFCSDSSGGDEPRAPIAGDGGSDEPAKRQWTDPSSNSSQGEASSSLLGVKTGQVRSDLTLPIS >OGLUM02G17940.1 pep chromosome:ALNU02000000:2:18690041:18699362:1 gene:OGLUM02G17940 transcript:OGLUM02G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVAAARVVLARRRRRRSGGGGGGVEEATAMLRRGAVVVDRDGEVVVATKIRKRCALSSSGASDPLRKLRLKKRGVVVLGRRGVGGGGGVVLSPWSSRKMSESSWNGRRCHGGAAAAAADDGTRSAASARKLVGALRQLSSPDDEDAARRSSAHRRCVSVEFSKRSRTRSKASEADGQRSWHNGHGHWFPDMLSNGSTMEVHAWRSQDCASPCRGGETMVPHLKEVCSSLAASKELVKALAGIWGPGDGALNPSTAAGGEEEVEEMRREAERAQEELEKEREMLRLADELREERVQMKLLEARLQFEEKNAVVEQLRDELEAFLGSKKDRQQQEEPPPPDADDHHRRRPDGHQFQSILVAVNKNGDHEDDNDGDEEDDGGGRGECVAEDSDGSEMHSIELNVDGNSKDYSWSYTTASKDMTTTARSKNAAAIDRRSQEGAGEKDRWDDGGCSERSKDLDEEDAERYEAIKNLREQMLAGHGFVLVSQEWGQC >OGLUM02G17950.1 pep chromosome:ALNU02000000:2:18711230:18711495:-1 gene:OGLUM02G17950 transcript:OGLUM02G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNRHLGNATRVWKWSILREPRVKTLPSAILGENRVPFRMGVDSIFDVVPLLKASLRRFLLH >OGLUM02G17960.1 pep chromosome:ALNU02000000:2:18711823:18714073:1 gene:OGLUM02G17960 transcript:OGLUM02G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSPSPAKTAAGLLPPARSTRQPALERAPPRAQLAGGVTTDPMPSSPTNPHRRCPWVIAFQGAACVGV >OGLUM02G17970.1 pep chromosome:ALNU02000000:2:18718539:18719976:-1 gene:OGLUM02G17970 transcript:OGLUM02G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKRGAGACALSWDEEGEVSRGEKRRRADGDGSSDVGGGGGMGAFDALHDELVVSILADVAASAGSPADLAAAMLTCRRFRELGKHGLVLARASPSAVAVRAAAWCDDAHRFLVRCAEAGNVEASYLLGMVRCAALLAHIPFLVAFLAYIMFYCFENRKLGAELLGAAARRGHGEALYSMAIIQFNGSGLPKDGRNLQVGAQLCARAASRGHNDALRELGHCVSDGYGVRRSLSGGRRLLIQANFRELCAAVANGGARFAAALGRSGECKPPGPHMCLLSDYGCHVAGAAGRRAHAANAFLAGWYASRPLASGAGAAALRMCSQPTCGRPETRKHEFRRCSVCSGVIYCSRACQALHWKVAHKSACVPMAHWLVAANAGAGNAVGAAAAAAAQMAMP >OGLUM02G17980.1 pep chromosome:ALNU02000000:2:18750013:18761006:1 gene:OGLUM02G17980 transcript:OGLUM02G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05350) TAIR;Acc:AT1G05350] MDEEQLRALLRDLDALKQRPDPAAIDRMRERVAGMVTPAAAARSKIKDMSSEVVDSNPYSRLMALQRMGIVDNYERIRDYSIAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPDQVGMTKTDAAVQTLSGINPDVTLESYSLNITTVKGFETFLGSLKARSSDGRNTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLKFGQVSPYLGYNALKDYFPTMEMKPNPQCSNPACVQRQKEYMQSKPARDAAAKAKMEAEASAADECPVHLDNDWNISVVDDSDTVTPSILSTGADSLPEGLVRELPTADSYQEPVAPVTSGAIDDDLEELQRQLDALNSS >OGLUM02G17990.1 pep chromosome:ALNU02000000:2:18794525:18794887:1 gene:OGLUM02G17990 transcript:OGLUM02G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT5G43260) TAIR;Acc:AT5G43260] MVGPIVIASAGLGMLAGVAMANRTMGGGGDGRQLPAASRWDARPRCATCGGSGRVDCLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLIARGHHHHHNPPPSSAPGRGGDYS >OGLUM02G18000.1 pep chromosome:ALNU02000000:2:18802788:18803345:1 gene:OGLUM02G18000 transcript:OGLUM02G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHHVRPDGELRLLLLPATAPTTPRDDDGAAATLFPPAQPPRDHPQLDLSLSIRIGPPPPPPSHTRTATATAQAQAGQQKKASAAAGFDDGDGDDVRALKQQAAEQARLASAERAYAERVMELARRELEVAEREFARARAIWERARGEVHKVERMKEVAAAHRIGAAALEITCHACMQRFHS >OGLUM02G18010.1 pep chromosome:ALNU02000000:2:18834327:18838378:1 gene:OGLUM02G18010 transcript:OGLUM02G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACRALALLPLPAPSRLPRPRAASLARLDAARRRASASLLVRCCANPAGPGGQEDPPQDAVLKAISQVANSKGRVAQTTNVVMGGTVTDDATDEWLVLDKQVNTYPTVRGFTAIGTGGDDFVQAMVVAVESVLEEQIPKAQISHKVSSKGKYVSVKIGPIPVVSSEQVQAVYNAMKKDERMKFFL >OGLUM02G18020.1 pep chromosome:ALNU02000000:2:18844255:18845064:1 gene:OGLUM02G18020 transcript:OGLUM02G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLCPKPGKGGKIHPSPLGGGDGGPVRAALRLLPAAILALATALRPEDQQVLAYLVTRCLQGAGAAHHPEREHPPARGGGGGRRRRGAHPPAIGCGCFDCYTSFWSRWDCSPSRELIHDAIEAFEDHLAAAESSAPPSSSSSKRRDKGKRRPPPPPSPMSPKVTPAQQQPPQPAEKVHDASPPPSSLFPPLPPPPPPAPEATTTFESDDDDDEKVPEDPSAAAAENASEGEEEEEEEEEERKRGWADVMGMLNLRLWGIWSPAVESAT >OGLUM02G18030.1 pep chromosome:ALNU02000000:2:18854786:18855106:1 gene:OGLUM02G18030 transcript:OGLUM02G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGESSRSSVATRSLPLPILSPSAGGARRGGGEEREARREPVMVAGRDAHWRLKERRRRPWRKPYVQIQFDYDDWGGSYFDPCRLYQLKKERRWRPGRKLPRSAA >OGLUM02G18040.1 pep chromosome:ALNU02000000:2:18862568:18863320:-1 gene:OGLUM02G18040 transcript:OGLUM02G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHVTFQDDEIDLEAGHTPPPPPPPADHETKLPKRQQQQRKKKKKRGCCCRLACAALVLVAAVATAAGALYLALDPRLPRYAIESLTVQAFDMDYDDHGGEYGYGDPQLTARASFDAAVRFENPNRAIGISYEEGSSLAVFYGGHRLSEGALPAFYQGHGDAGVVHVAMSDATLEGAGAVAEAMQQVVGGGGELPLVFRGELPVRVKVGPITTGKLTPTIRCDLVLDRLSTEGEIRVKNMSCKIKLW >OGLUM02G18050.1 pep chromosome:ALNU02000000:2:18864580:18867997:-1 gene:OGLUM02G18050 transcript:OGLUM02G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLSRLSRRAAGPSLRRLLSATASAPSPAAASPPPPPPSAAAAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVYDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWSAPTGLPADTLVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGNPARFVRKLTNEEIMEIPKLAVAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSIPL >OGLUM02G18060.1 pep chromosome:ALNU02000000:2:18873440:18873649:-1 gene:OGLUM02G18060 transcript:OGLUM02G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGVAVLVHAVIYFALITIFLIAIGVHIYAG >OGLUM02G18070.1 pep chromosome:ALNU02000000:2:18876993:18877205:1 gene:OGLUM02G18070 transcript:OGLUM02G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDWGPVVVAVILFILLSPGLLFQVPARTRVVEFGNMCTSGVSVLVHAVFFFVLFTVLVVAIGIHVRAG >OGLUM02G18080.1 pep chromosome:ALNU02000000:2:18885726:18885935:1 gene:OGLUM02G18080 transcript:OGLUM02G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAPVVVGVVLFVLLSPGLLVELPGTHRHVDFGSFRTNGKAIFVHTLIFFAAFAILTLALHLHIYTG >OGLUM02G18090.1 pep chromosome:ALNU02000000:2:18890327:18891875:1 gene:OGLUM02G18090 transcript:OGLUM02G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATLNQSMDPETAAFVARDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRMRGERQRRRRRQGGDGGGEEVRRDHEEEEDDLLSSLLSKVDALQNDAALDQAKPNCSHPNSEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLKGRGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >OGLUM02G18090.2 pep chromosome:ALNU02000000:2:18890156:18891875:1 gene:OGLUM02G18090 transcript:OGLUM02G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETAAFVARDIDAATNGGEVAEERRRKVELVQEAIRELLEEKRMRGERQRRRRRQGGDGGGEEVRRDHEEEEDDLLSSLLSKVDALQNDAALDQAKPNCSHPNSEISKEVKLGDVAKDLNKIKRQNMITHILLGTVIVMTAVWQFNEVSFLLAVKRKLSNPFKSLGDLIKSSLKGRGKPMIEAPPLPPVGVPDVTRNDLPLLLISNGNGNNDD >OGLUM02G18100.1 pep chromosome:ALNU02000000:2:18896615:18902485:1 gene:OGLUM02G18100 transcript:OGLUM02G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRAMMDEALKAKDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKIDGENDWYRILSLSTSADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMQYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAASAAAAAAAAAAAAEATTCPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNSSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTAGRGRFSKRRQNINNGYVSVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >OGLUM02G18100.2 pep chromosome:ALNU02000000:2:18896615:18900263:1 gene:OGLUM02G18100 transcript:OGLUM02G18100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVELNSRAMMDEALKAKDAAERKFHARDVKGARRSAIKAQNLCPSLDGISQMVSTLEVLLASESKIDGENDWYRILSLSTSADEEEVKKQYRKLALQLHPDKNKSVGAEGAFKLISEAWAVLSDKSRKMQYDQKRKDHPVTNGANGLYTYDKKAHKRARKNAAASAAAAAAAAAAAAEATTCPVGLDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGYPCNGTSSSFSWSTKQQQQNHKHSYSSASRTSGVPGTGHGVYQQENTYETYNNQSFQWNQYSKTNSSAGTNAYSSTASEKPKRKHEESYIYNYSSSGNEFGQERPTAGRGRFSKRRQNINNGYVSVDCNGDNKETVAATAGTTVLADVGRVNGTSVEKFRSAVSGRRANVMREIFQLDTRGLLIEKAKAAIREKLQDLNISATRHIAAKGKAERKNHVDHDVKGNGILPHNPSHKFKICNSKGADVENPATDENNLEQKRVPVSIDVPDPDFYDFDKDRTERTFDNDQVWATYDSEDGMPRLYAMVQKVISRKPFRIRMSFLNSKSNIELSPINWVASGFSKTCGDFRVGRYQIFETVNIFSHRVSWSKGPRGIIKIVPKKGDTWALYRNWSSDWNELTPDDVIYKYEIVEVIDDFTDEQGVTVIPLLKVAGFKAVFHRRTDSDVVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEVATTEISE >OGLUM02G18110.1 pep chromosome:ALNU02000000:2:18900768:18905221:-1 gene:OGLUM02G18110 transcript:OGLUM02G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEKSGSGGGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNDCGLLHS >OGLUM02G18110.2 pep chromosome:ALNU02000000:2:18900986:18905221:-1 gene:OGLUM02G18110 transcript:OGLUM02G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEKSGSGGGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGA >OGLUM02G18120.1 pep chromosome:ALNU02000000:2:18905607:18907541:1 gene:OGLUM02G18120 transcript:OGLUM02G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSQ6] MATTAAAAAATLSAAATAKTGRKNHQRHHVLPARGRVGAAAVRCSAVSPVTPPSPAPPATPLRPWGPAEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPVITNHLFRHEQGEAFAASGYARASGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVIQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTLMNLPGYIARLPKPPATELLEQVLRLVGESRRPILYVGGGCSASGDELRRFVELTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGLNALLDQSTTKTSSDFSAWHNELDQQKREFPLGYKTFGEEIPPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGASVANPGVTVVDIDGDGSFLMNIQELALIRIENLPVKVMVLNNQHLGMVVQWEDRFYKANRAHTYLGNPECESEIYPDFVTIAKGFNIPAVRVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >OGLUM02G18130.1 pep chromosome:ALNU02000000:2:18908832:18911070:-1 gene:OGLUM02G18130 transcript:OGLUM02G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEQEESSAQRRERLLALRSSAAAAPSPSAAPHPPPPAADAWDLPVRDLMDASATTTAAAPRPPPRFDYYTNPAAAFASSSAASHKRKVAEPPPPGSGNYGSGYPPPHQHHMAPPPIHTPSPLSHDSPGGSPWRSPMQFQAPMSGYRGPPPGAPPPWSPHSGVPPPWNPHSAPPSQGPYPHPPSYGPRNYNPGQGGGRMNYGPRGRPDSPYGRGRGQNNYNNPGSRGRGGRDGGRDGSGTQNYSGWQDGRVRYHKSMTDDPWRDLQPIVGNIMIPRDGSRSWLPESLRAKKDTSDRGQVKPPSGLSLAEYLDLSFNEASNDT >OGLUM02G18140.1 pep chromosome:ALNU02000000:2:18916870:18920348:1 gene:OGLUM02G18140 transcript:OGLUM02G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKVKEEAAAEKGKEAAVAEEKEAAAAGEEKKEDAPPPPPPPEEVVMRVFMHCEGCARKVKKILRGFDGVEDVVADSKAHKVIVKGKKAAADPMKVVHRVQKKTGRKVELLSPMPPPVEEKKEEEKKEEPEPPKPEEKKEPTVIAVVLKVHMHCDACAQVIRKKILKMKGVQSAEPDMKASQVTVKGVFEESKLTDYVHKRIGKNAAVVKSEPAPPPENAGDANAKDDKKAAEGGEEKDESKEEKKEGDDAGGDEKEKEKEKDDSNAAEVEEKDKEKDPSALAAANLYMHYQRFSNPGGYGVPGYAYPYAPQLFSDENPNACVVM >OGLUM02G18150.1 pep chromosome:ALNU02000000:2:18974286:19029459:1 gene:OGLUM02G18150 transcript:OGLUM02G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLAIHTVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREELRGKVVLITGASSGIGEELAYQYASEGASLALVARRKQALKSVAAAARERGAPDVLVLHADVSDAGQSRRAVEETIAHYGKLNHLVANAGIWSTCPFDEITNITAFTTIMRVSNLQLLCSCIYINITILPGRRNPSTKNTSSPSPNLSLSLEQKGEMDLYLMFHSVLMHVAAALVVLVYIPLSMPVKLFLWAFVKPQRKENLRGKVVLITGASSGIGEELAYQYAAQGACLALVARRKKALEGVAAAALERGSPDVLVLPADVSDADQSRRAVEETVAHFGKCMNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >OGLUM02G18150.2 pep chromosome:ALNU02000000:2:18974286:19029459:1 gene:OGLUM02G18150 transcript:OGLUM02G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVYLAIHTVLMHVVAALVVLVYIPLSVPVRLFLWAFVKPLRREELRGKVVLITGASSGIGEELAYQYASEGASLALVARRKQALKSVAAAARERGAPDVLVLHADVSDAGQSRRAVEETIAHYGKLNHLVANAGIWSSCSFDEVTNITAFTKMMDVNFWGSVYPTYYALPHLKASKGKLVVSCSAAGTVATSRMSFYNATKAAQLRFYETLRAELGSEVGITVLTPGYVESEITKGKGIQSGGDVAVNEEARDEQIGVFPVGRVAELGEVAMDGIRAGDWYVTWPSLFRPLQLVACLAPGVLDWACRALYGTRKGARPPLGKRIMEATGMKRLFPEALRRNPAIKTEDEEYCDGEEGYGAADDAAAAYLLQCRKGL >OGLUM02G18160.1 pep chromosome:ALNU02000000:2:19031633:19037038:-1 gene:OGLUM02G18160 transcript:OGLUM02G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSASDRHRDKEKEKERRETHRREEKDHHGSGRRDRDRDRESYRDKDKDDRREKEKEKEKERDSERGRGRDRDRGKDRDRGEAERDKERERKDRDKEKSRGRDKSKEEREDKDYRDKSRGKDRGDDGGDLSKGEEGDQKKRVEAAEEAEKPSTTELRERIARSKEERLNDTKQGGILDDNDGDGEILSWVGKSRKLDEKRQAEKEKALHRARALEEQDNILAENDEDDDEEQQEDNRVGEHLSGVKVLHGLDKVMEGGAVVMTLKDQSILADGDINQEADMLENIEIGEQKQRNEAYKAAKKKGTYDDKFNDDPLSKKSILSQYDDPMEDEGVTLDEGGRFSGEAEKKLEELRKRIEGGFVQKKTEDLTSAAKMSSDYYTPDEMLQFKKPKKKKSLRKKEKLDLDALESEAIAAGLGTGDLGSRKDARRQAAREEEQKVDAEKRNYAYQAAIAKAEEASKALRPEKNISGKHAESEELVFGEDYEDLQKSLEQARKLALRKQEETAASGPLAVAELATARIGQKDADAAEGDAQQNKVVITEMEEFVWGLQLNEETRKPEAEDVFMDEDDDMIMSDTVAKDDTSGLAVVKEETSVEVPVKNEEEEELKPDEIVHEAAVGKGLAGALKFLKERGTLNEGTDWGGRTTDKKKSKLAGIEDGPKDIRIERIDEFGRVMTPKEAFRDLSHKFHGKAPGKMKQERRQKKYRDELKTKRMISSDTPLMSAEKMREAQALSKTPYLVLSGNAKSGQTSDASGFATVEKEHPGSLTPMLGAKKVEHFLGIKRSAQGGGLPPPPPKKPKN >OGLUM02G18170.1 pep chromosome:ALNU02000000:2:19051744:19052515:1 gene:OGLUM02G18170 transcript:OGLUM02G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAAAFVFVSLALLCDASQGEGAAAAAACRAADLVVRQRATGRVVEGKPEYAVEVANRCRCAQSRVVLRCYGLSSVESVDPRAIRPVDDERCVLRGGRAIRRGAPPVRFTYAWMTPFDFPLVSSQVHC >OGLUM02G18180.1 pep chromosome:ALNU02000000:2:19063766:19066967:-1 gene:OGLUM02G18180 transcript:OGLUM02G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGTDDPPPFAASGHYSLRPRDRRAPPSTNPRKRPRISIENDVIGDIGEARGYARPMGLAAVLDLALSPSPSSDIWQNFAMEYRAKFVVWRLAFQVFTDKSLAINCDTGVDDQLNLMITKYHRKGGKIAVGKPEYKTIIEANLGISCLYDEFVMEVMWGLTNLMHSLVPEENSQLSKEDRLQMSQGLKMLLNRYGFDVKPGMVNKRILEAASDLYDCDDCEKKNNWSLRRAGRNLMDISSINSEDWGLLKLSTALMILCYPEEKIIACLLKHSTDLLIASYPRREVIECSQEMFSPDVLSKLVTDAPKYGIWIKKRTSKRIHEEMVFLYQQRIEKRKLLATLIGEATKVYEAEQAELLTN >OGLUM02G18190.1 pep chromosome:ALNU02000000:2:19068865:19090511:1 gene:OGLUM02G18190 transcript:OGLUM02G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGDGRRRRPSAGGGGGGGGGGRGGGWRSGSSAAKEQRLRLGAEELLESRLGFAPYTDSERRLGWLLTFSPSSWEDEDTGKIYSCVDLYFVSQDGSTFKVKYKFPPYFYAATKDKMELEVEAYLRRRYEGDIADIEIIEKEDLDLKNHLSGLKRKYLKIQFDTVQQLMRVRSDLLHVVEKNEEELNAAEAFESIYGVKSLCSDDLVHYDRVERPQDYINCIIDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSDVLLHRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFKVKNVANELDLLKAWFSHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDNNQGECRAKFSCHLDCFAWVKRDSYLPQGSHGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANIICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSACEQLIANLDRDLQYAISVEGKLDIDSVTNYDEVKDAIKQKLVSLRDHPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRTLEWVWRGETYMAKKSDYHHIKRQIESEMIQAGGVTPSKPFLDLSKPEHLLKLKDRLKKYCQKAYKRVVDKPITEVRNAGICMRENSFYVDTVRSFRDRRYEYKGLNKSWKGKLAEAKANGNSIKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGSFPENFTFKTNAGKKLTISYPCVMLNVDVARNNTNDQYQTLNDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGVLLKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDRFLHGSTLEECYTAVASVANRWLDLLDNQGIDISDNELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDMFNPLEKDRGVQNLDGTGDMEDLLTLDGGMRKSHVPNGFGKENKPNDAPSTEAGSKHSKNKQKSITRSNEPLAVHIQNDAADEQVDRSTDYQGWLDAKKRKWKYVREQKKRQRLGAAVTSDGPTNDLFSARNVVIYSFTLMPDKLIYCPHQIIQLASSTLPGHFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTSEEQFRVEGKKLAAHLAEPDVEGIYETKILPELNAILQIGCVCKVDKSAKRRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRGVYVMYFPTSFRIHAVVVNPFRNKELSPSFLEKQYRDVCQTLGSLHENITFLVDYHTSIDAGSKHVQRMLLEYRQQHPGPVIGVIECPKLEALKSAVRALDDFPCVTIPCNARDNNYQALGWQATAGRTSMQRCAASTQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVAVELKIHHLAVNSLLKSSQVDEMEGGPIGSFANDMPAGPNGTETEFDDATLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALMLAEFRKLGANVIFANFSKIIIDTGKVDLSSARAYCDSLLKTLQTRDIFEWVELEPLHYWHSLLFMDQYNYGGIQAKMQNATSEGSSDGDNDIDIVSSWNIAEYLPKATQDHFVLIVSEFLYVPWKYMKEQVVLRSTTRDETSCTPSITIMAAENLEVQVIGYLRDQISNYFADKLLRIVSDILHHFKGKGKSESVELTNREPDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQDPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGAFRCKEESSQFLSKMRVFLNVAVSQKFELLQDVVQWILEVR >OGLUM02G18200.1 pep chromosome:ALNU02000000:2:19091833:19092342:-1 gene:OGLUM02G18200 transcript:OGLUM02G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGGGGGGERRNILAKTIDRCRSSLGHRTTRRPASAAAGGGYGGAAVPAGFFAVLVGPEKERFAVRARCANHPLFRALLDQAETEYGFAGCEGPLELPCDVDAFMDVMWEMEQADPAASPRCGARFGGPAAAGSGRGYGGHGGHGHHHQHQGYQMMSTPVRLLVAGRS >OGLUM02G18210.1 pep chromosome:ALNU02000000:2:19097399:19097796:-1 gene:OGLUM02G18210 transcript:OGLUM02G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAALASAACARAPPIPVATRLSMARRPVWTSAAATALTGLKPPRIEPGRLFVRFAKLEVKNVRFCGSGGNSVDRDRFCTFSKYK >OGLUM02G18220.1 pep chromosome:ALNU02000000:2:19097911:19098567:-1 gene:OGLUM02G18220 transcript:OGLUM02G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAAAQVAAADAAGGERRRATAPRGGGRWCTGADGAEARGEAAWLVSAVEYTQSPEEEDAEETDDDEEAAAELEPTLAVGTAASVKKRYNRGQSFSSSSSMESDVLVIGARDRQAPHGGAIAEEPLASPAAVHPSASAPRPPAARRRLLARPPLATIPAAVLSSSPAARTRLCPAQLPSSVPHPPPPRHLQPPPRPPAARARLRTHPQRRPRLITPRQ >OGLUM02G18230.1 pep chromosome:ALNU02000000:2:19105056:19110663:1 gene:OGLUM02G18230 transcript:OGLUM02G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G51140) TAIR;Acc:AT5G51140] MAAGPAGIVWQTPANPPERQDYIFRDGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRPREYYVHAVKCGRLQVDDQMVHADYVVQSSQKISHFLHRHEPPVLGGDITILQNEADVVTVCKPASVPVHPCGQYRKNTVVAVHRLDRLVSGLLIFAKNADKAESFRQQIEASLLQKEYVAKVVGVFPDGEQTVNANVHFNAREGRSTAEVCDGDGKAPIGKQACTKFQRICTDGIHSIVLCKPVTGRTHQIRVHLKHIGYPIANDEVYLSKNFSPRSSKGTRINRATTLACSLPSSDPDSCADLGNNDTNKDTEADEEFSIDPMCTNCPNLAPVGYDADEEALWLHCVRYTGPDWSYECPYPDWAFLDNVSRKKLKS >OGLUM02G18240.1 pep chromosome:ALNU02000000:2:19134232:19134639:1 gene:OGLUM02G18240 transcript:OGLUM02G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAEQAWYMPAAAPAPMVESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPRGRELERALARLVGYGGPAAASPPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >OGLUM02G18250.1 pep chromosome:ALNU02000000:2:19177207:19182702:1 gene:OGLUM02G18250 transcript:OGLUM02G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSPAEEQLNPKVGGPYGGGSSSSAAAAAYGGGGGSGAGRHGERGGGYPDLHHHHHQQQLPMAAPRVEKLSAGAEKTRVKSNAILREPSAPKDANGNVISAQTFTFRELATATRNFRPECFLGEGGFGRVYKGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMHFGSLEDHLHDLPPDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDESFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAAHASRKPGGDQRSKVGENGRVISRNDEASSSGHKSPNKDREDSPKEPPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRAIENGQGSLDSPTENG >OGLUM02G18260.1 pep chromosome:ALNU02000000:2:19187292:19189435:-1 gene:OGLUM02G18260 transcript:OGLUM02G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSS1] MAFMSMERSTWAFTFGILGNLISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWMYYAFVKSGAELLVTINGVGCVIETVYLAMYLAYAPKSARMLTVKMLLGLNIGLFGVIALVTLLLSRGELRVHVLGWICVAVSLSVFAAPLSIIRLVIRTKSVEFMPFSLSFFLVLSAVIWFLYGLLKKDVFVALPNVLGFVFGVAQMALYMAYRSKKPLVASSSSAAVAAGLEIKLPEHVKEVQAVAKGAVAAAPEGRISCGAEVHPIDDVMPPEVVEVKVDDEETNRTDEMAGDGDHAMVRTEQIIKPDMAIVVEV >OGLUM02G18270.1 pep chromosome:ALNU02000000:2:19204680:19205311:1 gene:OGLUM02G18270 transcript:OGLUM02G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWEKEQSDEWTIVKRKKGKSPSNHSVIPVSYVFNRFRVLNSSSSRPAGVLLNNVSSKEPEKAARRLADDRYA >OGLUM02G18280.1 pep chromosome:ALNU02000000:2:19205563:19207160:1 gene:OGLUM02G18280 transcript:OGLUM02G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPHPLWFIPPGQHLHPAGPNHRRRVDTVNFIPTPMRHEDFVLAIVHPALPEDLWDEHRAEITRVWYKDLYSVPQFVVWEQPNAPNVLDHYVYILNGEFADILPPDDDLPHGEGPVDPNVEDAPAWQFGNEQQQHKQQNPNWGAWEDNQGGNQVDEHLMPLVPQLLIDLQASSASSLSTYPSASSASIADSAVSISTDEQVLTSPYKSIVVHKDSVHADLYNYLCERFPHIMFDKHFTQDSSFWAALSIGPYMPGESSSSGQHLGYSQGMESELPVLMLTHLVIVPPVVHVLPAPVKSPKIRIDTPITTVGLRRSSRLSALNDGHKDEFLTQPDPNQGIGKPRGKSVKKLKQVAKEVGLLFSGCDLQDSDFEEGSTTEAASGALADCSCLFCKKMAIDLCGASAQEVSQIDLLKTRAPNESDGNN >OGLUM02G18290.1 pep chromosome:ALNU02000000:2:19211279:19212450:-1 gene:OGLUM02G18290 transcript:OGLUM02G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKRALQDQHSSNTAMSSKRSRRRDDDKHIYVVLDWDMGYVIHKLDVDEFTDSGAGAAMFHHLPEHAAVRIEAPVDRSFPAVAAVGSKIVIATHALLEDAPVFMYDTGTSSLAAGPRPTAPLMPGIMVPVHGQRLYALDPRSASKHYLQAFRAGRAVVMGERPLAVAGRDPMFVTAYAVYPDGRTVFVSAHNRRAGDDERRRQGTYALDTARRHPAAAAWTPLGDWLLPFQGQGHYVDDLDARVGLDDDGRLCSCDVASRGAATSAAALGSKITEETLLREDPKRHVGHPSGATLAYMGDGVFCLVECALRRGLDMADALCAEDGCVLYVTVFGLSYDKAGELRISPRRRGRTYLVSRYNHVVAPKVFWM >OGLUM02G18300.1 pep chromosome:ALNU02000000:2:19221056:19225528:1 gene:OGLUM02G18300 transcript:OGLUM02G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSGGNEEAKPPLAEEQRDLGVSDDGEVVVAASPVGSGDDESDAYVASAVSQWTPTAVPAAVARDLLPRFLCSTWWAHLDDGESASSKATARPVAPAAFRVHVTRMRSSFPECSEAPYTAVVAACSLRSSAPPRPESPAAAAAAMPTELSPKHDGSRVASGFGTGKDPKSSSIVFTY >OGLUM02G18310.1 pep chromosome:ALNU02000000:2:19224982:19233265:-1 gene:OGLUM02G18310 transcript:OGLUM02G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASNPPKGKEPIHGRGGLGFGDILRDIKSLTLNVTENVTGGMSPNPNPGAGDASPTPRSPAPAPPQPRAAPLSLGRRGRRARLLARPPSASPRGGPVSSPEAEAAASTVRAPPLHWWTAKERERSEKDLLQSLMIGNRKEERTSDSPKVKLLRSSIRAYHGDAEEKLSDRKGEPVNYPIHNCGASTSKLPAHVYHKKIPMGEAANHYFRNIDNSFQQPEVGLRLKYLSHHYSEFRPVHRDEECFYRSFIFSYLEQVVDSIGTREEDRLLAAVRALATKAENLQWASEFSQKHKAFERLIEKIKGWKRMQEHPISIIRLVAATWMCTRIWNYEWCATNCGENQNLEDWCSKHVIAPRVYATSAAVKACAEALRVTVQVENVHDGTCESTHYIVRGAPRVTLLRIESHYDIIYPLPPSSINSSNPHEEKLLPIPSSILAYDRRKIFDRKQKHLDRSNQNTRASTSKSPPHEDQKVEKESDLAAPIKILEQACQNHRFARIIRRRRTRLTDTIIPPGCGRTRKYEITRMSTRLVLRRSFRSLYKLHSQSNSLAGRFSLRNFLISDEMTIEMDEFQADDLDPYTKANAEVDFYQYVKTIEELFGSLPVPEDIHRWLSMIMRDPTAYQYLICYHYCLMEEHQMMHVFTSLYNKLLVLPTTDPAGYNFVLERFKIFSGWSPMDLHNVYFIETFYWKDPITGVPIIYGDDVLSLLRLVRNTYQHFMSKVVEGRKLLFSEKDFGNMVNEQFPGLLDEFFEAMFIATYYADLQLEHTMV >OGLUM02G18320.1 pep chromosome:ALNU02000000:2:19259849:19263915:1 gene:OGLUM02G18320 transcript:OGLUM02G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASPPRIFPIPASFLPSFLPFSNDPHPTLDPFPLPPPCYKLGSAPASSRRVASRLVVALHCAHDPPIRAQLGHPPNIMAQLKAARVADVPMALDVLAAGAPATSAILAEVDAAGARSAAAGGGGGGGGQRFAVIGHRGKGMNALASPDRRMQEVKENSLRSFNEAARFPVDYVEFDVQVTKDGCPVIFHDNFIFTKEDGKILDKRVTDLQLEDFLLYGPQNEQGKGGKPLLRKLKDGRIVNWNVQSDDPLCTLQEAFEKVNPRLGFNIELKFDDNLEYQEEELTCILQAILKVVFEYAKDRPIIFSSFQPDAAQVMRKLQSTYPVYFLTNGGTEIYADVRRNSLEEAIKLCLASGMQGIVSEARGIFRHPAAVPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVQEITEAVSELITVPEPDLNADNLSNGAAKDAATPHFSQCEISFLLRLIPELVQ >OGLUM02G18330.1 pep chromosome:ALNU02000000:2:19269677:19270169:1 gene:OGLUM02G18330 transcript:OGLUM02G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTWNARPHSGSRRRSSPSRNSPRQTGQSVAPSMPAPYVAVPREGNGFDRGLIELLLLLLLVLVPTVDEAVDFLNDDEAMDVFGVRRHDGAALAVAGRRKQLHRRRLYCAPPRRPPASTVRIIHRPGSPSPSAGGTIPRTRVISSTTGVEADEAHATKRRR >OGLUM02G18340.1 pep chromosome:ALNU02000000:2:19270882:19275225:1 gene:OGLUM02G18340 transcript:OGLUM02G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKYDGLARHYASYGVINMSDISALSYLKVFIDAHCQLGDLVDAVVPFMGESITDGTLATFLKKPGDRVEADEPIAQIETDKVTMDVASTEAGIIEKFVASEGGIVTPGVKVAIIYKSAAQSKTHTQSSEDTSQKHSTTPPSTKENKVEDKPPKVPMPRLRKCIANRLKDSQNTFAMLTTFNEVDMTNLTKLCSDYKDQFVEKHGVKLGLMSCFVKVAVSALQNQPIVNAVIDGDDIIYREYIDISVAVGTSKGLVVPVIRDIDAMNFADIEKGINNLAKKATEGALSINDMVGGTFTISNGGVYGSLISTPIINSPQSSILGMHSIVQRLVVVNGSVLARPMMYLALMYDHRLIDGREAVLFLHRIKDVVEDPRRLLLDI >OGLUM02G18350.1 pep chromosome:ALNU02000000:2:19276347:19276632:-1 gene:OGLUM02G18350 transcript:OGLUM02G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKILVCNSGKMKIKLGDALFDVLAGTKCEFVQEVVAINTREKHFCSLGKFKKHLIGTTDIDNLLDK >OGLUM02G18360.1 pep chromosome:ALNU02000000:2:19284909:19285229:-1 gene:OGLUM02G18360 transcript:OGLUM02G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYKCLDKIGRKSRVWCIGGINYGKVECFREPRCLEACQGHPYLVEHPTTHREMKRGGDGRACPCCYVMMEYINRSSLVRVVQEERRDN >OGLUM02G18370.1 pep chromosome:ALNU02000000:2:19286949:19287188:1 gene:OGLUM02G18370 transcript:OGLUM02G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWCLCLITMVTVSYWNNSVTTNSAITARYSSNVPATKSASAHAALLTLSHLNPSANPPSHAAGPPAAGARVHVSFA >OGLUM02G18380.1 pep chromosome:ALNU02000000:2:19294647:19302704:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSECHMGDRAVVWLVAAVKGDRSERMEFIGNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGSIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSEVRMKLSEALQSNGRDATSDGSDSELKNNRKNYPLNGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILRANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLASSRNWRSFAWTITTYLGSCHLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINHCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGAIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPISSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >OGLUM02G18380.2 pep chromosome:ALNU02000000:2:19294647:19302704:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSECHMGDRAVVWLVAAVKGDRSERMEFIGNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRDMKWLPEGNTRFYPSDTWSEVRMKLSEALQSNGRDATSDGSDSELKNNRKNYPLNGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILRANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLASSRNWRSFAWTITTYLGSCHLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINHCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGAIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPISSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >OGLUM02G18380.3 pep chromosome:ALNU02000000:2:19294456:19303670:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGEQFQWQGPRIYRRAQETGGASHGP >OGLUM02G18380.4 pep chromosome:ALNU02000000:2:19296572:19302704:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLSFCRVRNVPESSELVDGIKWLQISTTLIDGLIEPTFMKWIQEEKAWENSKINEELMKTVTSKIKEDDRILKRFNRLGKSELYLDLLYFLRFGSARSYSYFDAKFLAEHGARILEDLVIFLADAVASIYIELISVDGDMPTDVVGSSLALCSLSTRELQRMRNEVAINGWLHQYFESVVSMYEDRFELYVLSRKLCEKPADNQAEVTNWWRLGFGKPSTVTLLEYVHISSFSLPVRRTKELRALAGWRYYFSLLLEMSDIAMPFIRAVVTKVSAAVSYFWVSMIGRIPAYIGKPCSVACQFLTEILTKKPNHILRANKASSFRMNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLASSRNWRSFAWTITTYLGSCHLANCTNLAAIVLVSNKFTGDLAKVNFSNLPNLKTLDLCTNYFTGTIPASIYSCSNLTWLRLSFNKLHGQLPEETEKLKSLTFVSLSYNYFTNITGALHILKSLRNLTTLLIGGNFMHETIPQDETIHGLENLQVLGINHCALTGKIPSWLSKLKKLELLLLYNNQLSGPIPTWIKSLNYLKYVDLSNNSLIGEIPTSLTEMPMLRSDKIADHSNPRLFRMPVFVAPSLQYHTANAFPKMLNLGNNKFSGVIPMEIGQLKALLSLNLSFNNLHGEIPQSASNLKNLMVLDLSSNHLTGAIPSSLANLHFLSNFNISYNDLEGPVPIIGQFSTFPISSFAGNPKLCSPMLLHRCNSAGAAPVSTIPTKQYIDKVVFAIAFGMFFGVGVLYDQIVVSRFFG >OGLUM02G18380.5 pep chromosome:ALNU02000000:2:19294647:19303670:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGEQFQWQGPRIYRRAQETGGASHGP >OGLUM02G18380.6 pep chromosome:ALNU02000000:2:19294647:19303670:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFHLALVLLPLSFICPPVGSCIEQERLTLLRFLAELSPPHDNGLAASWRNRTDCCTWEGIICDVDGAVTEILLASRGLEGRISSSLSELTSLSRLNLSYNSLSGGLPPELIFSGSIVVLDVSFNCLGGELQEVDSSSSDWPLQVLNISSNLFTGAFPSTTWEKMSNLVAINASNNSFTGHIPSSFCISSLSFAALDLCYNQFSGEIPAGIGKCSALRMLKAGHNNITGALPDDLFHATSLEYLSFPNNGLQGTIKLVIKLSNLVFLDLGGEQFQWQGPRIYRRAQETGGASHGP >OGLUM02G18380.7 pep chromosome:ALNU02000000:2:19294647:19298563:1 gene:OGLUM02G18380 transcript:OGLUM02G18380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTSECHMGDRAVVWLVAAVKGDRSERMEFIGNHSHKIQLPCQSNLGRKQKTGAFAPLGFHGRCCRIETSVKCYFLQSLVDSESMISPNLLLLSDEALLTISIVFAYLAGVVPSGHAFPHARNHSQNQHLGAPGPSDSGRDMKWLPEGNTRFYPSDTWSEVRMKLSEALQSNGRDATSDGSDSELKNNRKNYPLSMLAIHGGPRLRLLLATFQLLEMEARGPSTFSL >OGLUM02G18390.1 pep chromosome:ALNU02000000:2:19305982:19311349:-1 gene:OGLUM02G18390 transcript:OGLUM02G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLRLKGFGHHQQHRERKSRQPQPQPPPAKLDELADAAQDVEEMRNCYDGFISAAAATTNGVYEFAEALEELGSCLLAKAVLNDDDDDSGRVLMMLGKAQYELQKSADRYRTNIIHTITTPSESLLKELQTLEEMKQQCDMKRDAYETMRASYSDKGGSRHSKTESFSTEQLDASFLEYQEDSALFTFRLKSLKQGQFQSLLTQAARHHAAQLSFFRKGLKCLEALEPRVKAISEKHHIDYNFSGLEDDGSDNDGYSTYDSCSDDGELSFDYEINDRDQDFLTSRGSMDFDKSDQTTSPKPIKENKQEQAKQAEAEIVFPQLKPEFATHSAPLFAGNLLDQTDRLRQMRPSSTKHSYRLPTPVGADNPVPSGSHRLHHSAQFFETKPHAPTNLWHSSPLTKDYNGATHTAATKPSSSSSTDDLKKLKRESWSGPIPIKAGSGGKPFSQADHRPSPTMAYPGAMPAAKPHVRHASSSSVSPKVSPKMSPVPPASSLKISELHLLPLPPANVDPVRPSGLVGYSGPLVSKRAPTPARASPKASRTASPLPRPPAALARSYSIPSNSQRTPIITVNKLLEAKHSREGSDASSPPLTPLSLSDLCHQEKAGKAAAGNTRRKETL >OGLUM02G18400.1 pep chromosome:ALNU02000000:2:19320496:19321002:1 gene:OGLUM02G18400 transcript:OGLUM02G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGNVAAVGGGAVGGRRAAELEQEADAEEDECAAVGDANSGGAKEGDNGRRREVALEELEKERRAAASAAAELEQEADAEEDECAAASDANGGDMEEGGSVEQLRRRFVTEGRRREVALEPGGAREGEARGGVRGRRGRAGVGGRRGRRRVHDGQ >OGLUM02G18410.1 pep chromosome:ALNU02000000:2:19322854:19326339:-1 gene:OGLUM02G18410 transcript:OGLUM02G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: LPS-induced tumor necrosis factor alpha factor (InterPro:IPR006629); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eu /.../es - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G13190) TAIR;Acc:AT5G13190] MAAKAAFPAPAGDEPAIGIPYHPAAAGAQGGYYYAPDPYAAGMPPPNAIYAGAPKGVPLQQTMFRDTPAPFHCQACGAAAVSSLRSKPSLASVVACMMPFMMGVCFLCPSMDCLWHKYHYCPSCGEKVAEFKKSDPCLVVDPTRWSEPSFAVPA >OGLUM02G18420.1 pep chromosome:ALNU02000000:2:19387891:19388195:-1 gene:OGLUM02G18420 transcript:OGLUM02G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDYSTISPFTHWCPLAVHRPFTISPWSLRIIKGLSYPKKLDYEVRGSPTYILGPLPFHKQCGTIQQ >OGLUM02G18430.1 pep chromosome:ALNU02000000:2:19400480:19403204:-1 gene:OGLUM02G18430 transcript:OGLUM02G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHASYAAYATPGGPRAPPPPPRHRQPLRQQQQKQIPFSSSFSSSSSSFFFFFFFFLAVRLVLVGEGEGWGGGVVVVVVDLMTAPNIEMIASSLRNCSLNGGGGGGGGRRRGRRAAAAEGSDDSEGVTVELNSEVALPYHWEQCLDIRTGQVYYINWEDGTRTTIDPRSSSAYSPSPASRSASYGYGYGYDGGDGDDEESSSSSSSSSSSSSASSSRGSAVSSTLSSFSPTDESASGAGSGYAVGDNGAHVLVAAGCRACFMYFMVPKTADVCPKCGSSGLLHLSRNGYV >OGLUM02G18440.1 pep chromosome:ALNU02000000:2:19410740:19416267:-1 gene:OGLUM02G18440 transcript:OGLUM02G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26730) TAIR;Acc:AT3G26730] MSISPSESTRGSQPLAPRPSNPSPGPRHGNSSAPPRRRGRRSPTAPVSPPSAAGGSGGPSSDSVVPAIREYVDTSQKVVGFRISREENDDSYTQEVGNFSECHSSEHENSGFSANNGTSGQATPQRPELMESLKIDQSTTNSSGNGTQVTARKNQSVNANYLLNFHYDPISRPQPRGPRTYPPRRQRKIRPYNKDLFLQANFKFVVLDTGSYEIELMDPDKMLQWEDIVCVRYYSPCEVQCPICLESPLCPQITSCGHIYCFPCILRYLLMGKEDYKGESWKKCPLCFMMISTKELYTIYITQVQHFHVGDNVTFTLLSRSKNSLTPSIKNLTDESTSIDEDPCSAFSKFILTSDVELSVREAKTDLVNWLHMADLGLVDDLEKLPYVSTALEQLEERMKYWSEYRNFSVSPPLKDSFSPVTSSKSRNPNNAQSSRQNSEHKLSLSDEDMIAGVSELCISPESNKIFNKGMPSKTEERCMAPIDSNENDTYNFYQVSDGQHLILHPLNMKCLINHYGSSDMLPPRIHGKILELETVTQSEATRKRYRYLSHFSLTTTFQFCEIDLGDMLPPSSLAPFMDEIKKREKQRKRTAKKEESDRVKAEVAAAAQASAMLFEHTSFSPSSGPHGDFMFSLDDFEALGNNAGPSTSPPASERKLFSDVARLGFASAQDSPPLRVESGDLTGKSESTGEQGPAATPALSFASIISSTRASDNSLDTHKPNGVGKKGKKPTKVLLSTGGGRRY >OGLUM02G18450.1 pep chromosome:ALNU02000000:2:19428146:19431952:-1 gene:OGLUM02G18450 transcript:OGLUM02G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGHPAAAAAAAAAATPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGGRGGRGRAAAAAAAAAAAAAGGSGGEAAVAALEPKWAAVAEYCRRHGVERGAVQCRKRWSNLAGDYKKIKEWERAAAAAAPPREPSFWAMRNDARRERRLPGFFDREVYDILDGRGRGTLVAPSGGGNAAAGEEEPARAAAEVEVEVEEEEETGKTRARAEETVFDSGRPAAEESLFSDDEEEEDDDEAPPATAAAVAATAQAPPRAVIALPISGTSKDKQPEQQAASRGTPPPPPPTTQQQQQQQQQQGGQKRRRADDDDEEEDDGRRGGELQSKLVEILDRSSRMVAAQLEAQNANSRLDREQRRDQAASLAVVLGRLADALGRIADKL >OGLUM02G18460.1 pep chromosome:ALNU02000000:2:19456627:19457553:1 gene:OGLUM02G18460 transcript:OGLUM02G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLLTPPSYALVDDHPAGVTTMTGQPHRENIEVLHLRTRRWNTVVAVYVRHLDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGFAAILSKITQIRHILHGVIC >OGLUM02G18470.1 pep chromosome:ALNU02000000:2:19470127:19480484:1 gene:OGLUM02G18470 transcript:OGLUM02G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHPPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >OGLUM02G18470.2 pep chromosome:ALNU02000000:2:19470127:19477092:1 gene:OGLUM02G18470 transcript:OGLUM02G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHPPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >OGLUM02G18470.3 pep chromosome:ALNU02000000:2:19470127:19477291:1 gene:OGLUM02G18470 transcript:OGLUM02G18470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVESDGGGGAPFSSSSSAAAPDNFDAAQYSFFGKEPLEGLELSCLEDGGGGGGDANGGGFSGGAEEGLYRLSSVGEEIDNLSNLSDIDDLASTFAKLNRTISGTRNPGVIGDRRSISRGSSLTVDWAEDVEFPNWVDQDILEDEEFQESKRWWSQSHTLVQQGDAKPLSRTSSYPQQPLQHRASEPIIPPKSPSFTSFPPPGGRSPYTSQGLTRHGSIPSLGAGLQMGSPSMSLPSSPYHIAGLSHGLPYGGSMSFGTSNLPVNNPMQNDWPSQANLYAGEQFNLLPNMLQKQISLPNSPMSSLLFSQQQQRLAQVQVQPSHQNYLNLPPHIFYQHHPPELTGRFDSVSSVPSSRDKRSRSGRGKHNIRFSQPLPDTGNQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACIAKRGANSRPKANFAPTSMKDLPSKSRSSGDHHAYLQVDAVGRVSFSSIRRPRSLLEVDLPSSSDGSHDQKSSLRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQAQDNSFQLRRRRQVLLEGLAASLQLVDPLGPSTSSHSSGLAAKDDLVFLRIVSLPKGRKFLSRYLRLLTPGSELTRIVCMAIFRHLRYLFGGLPSDSSAAETTVTLAKTVSSCVRHMELGALSACLAAVVCSPEQPPLRPLASSAGDGASLIIKSVLDRATELLTDQHAAASYTVSNRTLWQASFDAFFGLLTKYCLSKYESIRQMFTMQSPGSVIGSEVSKATSREMPVELLRASLPHTNDQQRQLLLDFAQRTMPVTGFNASGANGGHITSESVPG >OGLUM02G18480.1 pep chromosome:ALNU02000000:2:19479532:19482012:-1 gene:OGLUM02G18480 transcript:OGLUM02G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRVWVAGTTGVVGREASDSAGSGMAQAAGKRAPRRRRGSAVGGGAVEELAVLLPDLTVVVHLLLPDGHGRLDLVDDVAARLHRVGAVHRGRRHEHARLPRGDRPEPVRHGEPPQQQGAARGAGLADDGGQPAPSWHAF >OGLUM02G18490.1 pep chromosome:ALNU02000000:2:19492267:19492998:1 gene:OGLUM02G18490 transcript:OGLUM02G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWPEEVEKQRQARRFRRCKRQGTQFGTGRRRLARWSAGCSRCRLVVHEAPVAQPLVDEFGWNRIFLARYGKLGNDNPYLSSWKRLRWPPDVNVRWCVGMESELFTRDGKLGNDNPLLLSSW >OGLUM02G18500.1 pep chromosome:ALNU02000000:2:19526786:19527476:1 gene:OGLUM02G18500 transcript:OGLUM02G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPALRSSVAANPAAPASGGSAAAGLRSRGALAATVGATHCGDGNDDGGNGVDQSYLRYIGGGEPLSRL >OGLUM02G18510.1 pep chromosome:ALNU02000000:2:19545533:19553939:1 gene:OGLUM02G18510 transcript:OGLUM02G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQVMDQRHHMSQYSHPTLAASSFSEELRLPTERQVGFWKQESLPHHMGSKSVASSPIEKPQPIGTRMAGRLELLQPYKLRDQGAAFSLEHKLFGQERHANLPPSPWRPDQETGRQTDSSLKSAALFSDGRINPNGAYNENGLFSSSVSDIFDKKLRLTSKNGLVGQSIEKVDLNHVDDEPFELTEEIEAQIIGNLLPDDDDLLSGVVDEVGYPTNANNRDDADDDIFYTGGGMELETDENKKLQEFNGSANDGIGLLNGVLNGEHLYREQPSRTLFVRNINSNVEDSELKLLFEHFGDIRALYTACKHRGFVMISYYDIRSALNAKMELQNKALRRRKLDIHYSIPKDNPSEKDINQGTIVLFNVDLSLTNDDLHKIFGDYGEIKEIRDTPQKGHHKIIEFYDVRAAEAALRALNRNDIAGKKIKLETSRLGAARRICLQNCVRKSLVYANWGVQAQVALQLLRLVHFLTRMVILQGSTNLATITSTGHENGSIQGMHSGLQTSISQFRETSFPGLSSTIPQSLSTPIGISSGATHSNQAALGEISQSLGRMNGHMNYSFQGMSALHPHSLPEVHNGVNNGVPYNLNSMAQVVNGTNSRTAEAVDNRHLHKVGSGNLNGHSFDRAEGALGFSRSGSSSVRGHQLMWNNSSNFHHHPNSPVLWPSPGSFVNNVPSRSPAQMHGVPRAPSSHMIDNVLPMHHHHVGSAPAINPSLWDRRHGYAGELTEAPNFHPGSVGSMGFPGSPQLHSMELNNIYPQTGGNCMDPTVSPAQIGGPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSNGNQSDNKKQYELDVDRIVRGDDSRTTLMIKNIPNKYTSKMLLAAIDENHKGTYDFIYLPIDFKSCLIKLLNGMCPTFQNKCNVGYAFINMTNPQHIIPFYQTFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRASSGEESHQDISITSVNCDTSTNGVDTTGPAKD >OGLUM02G18520.1 pep chromosome:ALNU02000000:2:19554380:19555072:-1 gene:OGLUM02G18520 transcript:OGLUM02G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDMDDLVARFMDITMRDSRDAAANHISSCRGSLDDALALYFAAADDEPPIRPPIPTRTERLYGDDDDDHGHLTATPPPPPPPPVPVVSPPMPVPARTESFFQDAGYLRAVLGNSNVVEEEDGDAASDYGEAAEGEEVCSVRVRFPDGRVVQKEFGAARPVEALFRYCHRHSVSAAGGGRRAFRLVRFAGAASEEILRGDATFQQLGLHCWTLHLLFGLGPRAHSDGH >OGLUM02G18530.1 pep chromosome:ALNU02000000:2:19563580:19569517:-1 gene:OGLUM02G18530 transcript:OGLUM02G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGPAKDQEGPVHGPQESTSSAAAAAADASIPAPPEGAGDVSPPSPPPPPPPSSVQSRAHERAREQLGDGGRGADRSSSAAAAVDRKGKKKIGEDSSSPAPPDDRGQAPRKEGRKKSDSPMKFLRSSILAIHGYAKKKVRRSFLDILAPSFVLGTSDSWIMSRSCEILGSSCVGLGVRFLCQLLSDRKGKQPNYPNQTSKEIISKSPPHVGHKKVPIDKAFIHYFGNIHNALQHGYVPLHLAHLDTRYSELRPVRRDGEGFYRSFMFSYLEQVADRVDTREEDRLLDAVRKLATRAEHLQWASEFSRRCEAFETLIEKIKKLKCMSEQPTSAIRGELLLELFSSYDTTDDSERNTLSLRSFSLILIHDSDMHSCCISFCFPQWCSTQVIPPRVHADHVTMSALSRALGVAVRVEDTLDGRKKDLMAAELQSITRASNPRFRGIEDMYCVARGTPRVTLCLLVLLLALVRICYSLSDNNKEEEEEEGASILLLQFRLQVEGLAREMQIVPRVQVKGQRVRAGSTAADVVVPRRSSLELMELVVVIAAAAARFDVKNM >OGLUM02G18540.1 pep chromosome:ALNU02000000:2:19572365:19572928:-1 gene:OGLUM02G18540 transcript:OGLUM02G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRCRRRTASAAAAAARRRLCSLLALAGDYLKYLLTNRGRFLGRVARRSLAALLLSSGGGGKPCLATAPWPPCALAEREFSCSNSPSPAFLAARRLRSRLKRRAGAASCFGALRSPCGCGPSATEAADQEEEEEDEEVDQYGAWECGGGELIDVDYRAEEFINMFYEQLRAQSFHPPTVLQCRSP >OGLUM02G18550.1 pep chromosome:ALNU02000000:2:19577933:19578817:1 gene:OGLUM02G18550 transcript:OGLUM02G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNPLVVDGRTSSPSSVASPSVEAEGETSSMCDVAEQKPVLAVGVSSPVSSGEHHKPTVEEDRTVSASGDVQQIPAVVAGGSLPASSFAQQKREVLEDGKLTKGCETGFVCCMATADRSEAMNRSPEVRHPFGEKSSSFHNKSYKEMRLVFAS >OGLUM02G18560.1 pep chromosome:ALNU02000000:2:19583664:19587771:1 gene:OGLUM02G18560 transcript:OGLUM02G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVMRTKLGDGGAARRGHDEVRRRHDLAVAGEVWMAAGRGRAALRWPARSGAASRGRLGAAARGQRAAEVRRSSRPEAEVWWLIEAAAAAIHQIGIINSNLLFKAGMVEGNSETQFGISSSQSGNARFMHSFGAFEIVFNHLGDAMTWGTCHHVKSASIHKGFPKLAASADTSFLLEQHGEL >OGLUM02G18570.1 pep chromosome:ALNU02000000:2:19584317:19588019:-1 gene:OGLUM02G18570 transcript:OGLUM02G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNNTLSAPILRDAHLCSLAAGANVSGGSGSSSVFTRALHAARARKMYQRKQLADIGDQQKELVRQMGFDGLLSMRLTKLNKQFGAWILCKLDPSSGNLFAGSKHEICLTCEDVSLLLGIPCGWKQILPAIKNKVKDVKAYMCEIFEKDSFDGITIATIQRILEKKFTRTMTVHEQIVFKTAFIIFVVTKFLAPQSVNSHISIRYMKALVDVENIHKYNWAEFVLHEIKDAAAALQDKIRHRKSIGYINGCIILPQPPSFDLGITQDIEDANMVACTPGHGISKVVEDSEKAQELKAHTPDQAISKLVQASDEAGEDNAVQKTPFSQSGYRQTKLSSFSPHSLLKETGGARIYMREEHDLKALDDLYTYVTSITDEEAVKKIWVHISQPVPMSLSLHDIQQAIWLDTQMQEETFNVAVQVLASYEIHRFGGTYFVGWRHFLNQDFAMFATASDDLWNPKDHLPSFKDDSLIPYDLPSCHLDQGNLRKEFLSNLLSFKKNEAILLDFVTHCLKLSKKI >OGLUM02G18580.1 pep chromosome:ALNU02000000:2:19590747:19591766:-1 gene:OGLUM02G18580 transcript:OGLUM02G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRWWPRRRPEPAAIDITWVSCRGVRSSVPFHTPCLYASIYLHHPSSCGRRRPHRVKTATDRAGGGNPEWDAPLRLYLPSSSSSSPATSSDNKDEVLLRFELKSEVAVLGDVLSATAAVPVSELVADGATRRVSYQLAGPDGKHPNGVISFSYAVHAAAAADTSSSSPSSDADDDRRSTTTTTTSGSECDEYSITPPRSAASRAITLPPPPPSSTMYPAIDWPPTEQLIPRLLYPPAKPHTTAIVKGSTCYPPPPPPSSTPPVEPVAVFPPPPSPACGVYYPPPTVREPVINRSGMYPKVDLDIPVSCYPPPPTAATMYGGGCGYAAAPEWDGRWLHG >OGLUM02G18590.1 pep chromosome:ALNU02000000:2:19594026:19596465:-1 gene:OGLUM02G18590 transcript:OGLUM02G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSW2] MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSTTNNNQGNDKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLVAKYSNLVFVLYCMSLVFVVAFNQYLYRSGETIISDSAKHTGSHWRTLLPFSYAIVSGAIGSCSVLFAKSLSNMLRLTMSSRYQFHSWFTYSMLLLFLFTAGFWVIKLIAGTNLKRCSLDFCSCHCDNNFFF >OGLUM02G18600.1 pep chromosome:ALNU02000000:2:19596612:19597629:-1 gene:OGLUM02G18600 transcript:OGLUM02G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLPSPPGLPPLSSLSLPRLSSSPSSSSSSSTLPQISDLLLLLIQLARSLAAENPARAGWLVLELQSPAPSQAPYQPRYKLHTVY >OGLUM02G18610.1 pep chromosome:ALNU02000000:2:19603236:19617354:1 gene:OGLUM02G18610 transcript:OGLUM02G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRFDHKMERDEEDRDQGKQRGGTMAVKSRQEAILRPERGWKIDGQTLDTDIHDYTYFGTEKNVSEEEDVKMMRNKMSFAAVMFVRVSRSEPSES >OGLUM02G18620.1 pep chromosome:ALNU02000000:2:19605048:19608273:-1 gene:OGLUM02G18620 transcript:OGLUM02G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSEEESLNNLQQQPKLEACAAGSSKGDTVMPVVKKRRGHPGNPDPDVEVVALSPKTLLATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPEITCPHHDATRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDTSRVNHSLATMVGSLHGQQQDMFSHGVPSFSSSPTDMIANLASNDHNSDSHLRSLSPYALVTRNTALFSNQISPKESGFPLDGSVTSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPNNLTSSRDHMNISSRSQGDSLGNSTVNSDCMKTTEDENSYMIGRGNILINAPWSSGIMRPGTVPLIGLMNHPFSMREEKDNPSIFPENQTQHNRQDNISGVVDADAGLTQDFLGLGGSGTLDMSSETYNADVTALSYSDEQEKPQEHIYSYHQSSLDPTALEKPIWDS >OGLUM02G18630.1 pep chromosome:ALNU02000000:2:19611844:19614840:-1 gene:OGLUM02G18630 transcript:OGLUM02G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSW6] MSVQEDGAARPEPDVLRRHDSLYGDAEKVSNNKRHGAGGSWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPNGIKHPDDLVGVLSLILYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQSQVVWISVAILFVLFSMQRFGTDKVGYTFAPVISVWFLLIAGIGMYNLTVHEITILRAFNPKYIVDYFRRNGKEAWVSLGGVVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPENVGDTFYRSIPAPLFWPVFVVAIMGAIIASQAMLSGAFAILSKALSLGCFPRVEVVHTSNKYEGQVYIPEVNFLIGAASVAVTLAFQTTANIGNAYGICVVTVFSITTHLMTVVMLLIWKVRLPFIAAFYAAFGLAEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDRVVPAAETTALLARRDVRRVPGVGLLYSELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPVPRVAPAERFIFRRVVGADAGAGHRLFRCVARYGYTDQLEGAKEFAAFLLDRLKVFVHEEAVFACSRGDNDDDDAMRRAQAMAEEEKRVIDAEAERGVVYLMGEANVTAAAGSSVMKRIVVNYVYTFLRKNLREGHKALSVPKDQLLKVGITYEI >OGLUM02G18640.1 pep chromosome:ALNU02000000:2:19625775:19629350:1 gene:OGLUM02G18640 transcript:OGLUM02G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRRRAVPTPSASPHPASSTASSSRGPGRAVPDPAIPHTGLPHPDDPIPAASHRRRAGDDRGRGDIKEEDGNPPSATLALQSPFTVEPRIVTFPRRCHHIEAPRAWSSVTSSPPPHHHRSVHSFPEAGRNGRSLAKAPSRSGPLPQQQGVHGPLHCSVRGSGLFCQRGHCLNHHRRHVVMGDDLDVRTSKPSLDIFLAEMRRFEPEPLSSLSAAESRSPFAAITAAAAHTDLFSCRRRPHPPRSAPRAAPSSAAATTITAVHSTAGEPTSSPFAADEAEVIPSRRHCRRRTPLLPQVCCFIIIDHMCISEYIFCGKLLWQSQLLSRVKIFMWLALKGRCLMADNLQKIGGLIRRIAPYATWHFEMTPNLLFNVLSQTGFGDCSGAK >OGLUM02G18640.2 pep chromosome:ALNU02000000:2:19625775:19626895:1 gene:OGLUM02G18640 transcript:OGLUM02G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRLLAPGRHRRRAVPTPSASPHPASSTASSSRGPGRAVPDPAIPHTGLPHPDDPIPAASHRRRAGDDRGRGDIKEEDGNPPSATLALQSPFTVEPRIVTFPRRCHHIEAPRAWSSVTSSPPPHHHRSVHSFPEAGRNGRSLAKAPSRSGPLPQQQGVHGPLHCSVRGSGLFCQRGHCLNHHRRHVVMGDDLDVRTSKPSLDIFLAEMRRFEVLPSVKKCWNVTLLLLLAPVYCRRAAAS >OGLUM02G18640.3 pep chromosome:ALNU02000000:2:19627316:19629350:1 gene:OGLUM02G18640 transcript:OGLUM02G18640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKQPHVTFCEFFDDLEYIFLSKTMLLHDERRKVCCFIIIDHMCISEYIFCGKLLWQSQLLSRVKIFMWLALKGRCLMADNLQKIGGLIRRIAPYATWHFEMTPNLLFNVLSQTGFGDCSGAK >OGLUM02G18640.4 pep chromosome:ALNU02000000:2:19629351:19629975:1 gene:OGLUM02G18640 transcript:OGLUM02G18640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFRFQVTSMLTWLIGGWLQGEASEIVSEKFSILSSSSEIISGSCMAVQLFGDIKEKITIWREAGFMVLSICKN >OGLUM02G18650.1 pep chromosome:ALNU02000000:2:19639247:19650118:1 gene:OGLUM02G18650 transcript:OGLUM02G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSGPTALLYAVTAPLLVNVEQSGPRATAPGGVEAASPSKAAVGATQVAIASLKSVGGGVPPLLIGPETTREDANDGTVKLGRSGLGLIKFGLERWRLIVRALREDGSSSTPAPLHPSLRR >OGLUM02G18660.1 pep chromosome:ALNU02000000:2:19639853:19645322:-1 gene:OGLUM02G18660 transcript:OGLUM02G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDDDAAGQEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFVLIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALPLGCFPRVEVVHTSNKYEGQVYIPEMNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLMTVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDHIVPPDEMAALLARRDVRRVPGVGLLYTELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPIPRVAPAERFIFQRVGPDAGHRIFRCVARYGYTDPLEGAKDFAAFLLDRLKMFVHEEAIFACQCAEDGGGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYVYTRLRKNLREEHKALSIPKDQLLKVGITYEI >OGLUM02G18660.2 pep chromosome:ALNU02000000:2:19639853:19645322:-1 gene:OGLUM02G18660 transcript:OGLUM02G18660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEDDDAAGQEPDRLRRHDSFYGDAEKVSNDKSHGTGENWARTLQLAFQSIGVVYGDVGTSPLYVYSSTFPDGVKHPDDLVGVLSLMLYTLILIPMVKYVFIVLYANDNGDGGTFALYSLISRHAKIRMIPNDQTEDANVSNYSIEAPSSQLRRAEWVKQKLESSNAAKIALFTITILGTSMVMGDGTLTPAISVLSAVSGIREKAPSLTQLQVVWISVPILIVLFSVQRFGTDKVGYSFAPVISVWFVLIAGIGAYNLAVHEITILRAFNPMYIIDYFRRNGKEAWVSLGGAVLCITGTEAMFADLGHFNIRAIQVVCQLNEIPISSFVITTEILALFIALVPSELQLSFTCVLFPSVALCYMGQAAYLRKFPEDVGDTFYKSLPAPLFWPVFVVAIMAAIIASQAMLSGAFAILSKALPLGCFPRVEVVHTSNKYEGQVYIPEMNFLIGVASVAITVAFQTTANIGNAYGICVVMVFSITTHLMTVVMLLIWKVRLPFIAAFYVVFTFTEFLYLSSILSKFAEGGYLPFCFSLVLMALMATWHYVHVKRYWYELDHIVPPDEMAALLARRDVRRVPGVGLLYTELVQGIPPVFPRLVDKIPSVHAVFVFMSIKHLPIPRVAPAERFIFQRVGPDAGHRIFRCVARYGYTDPLEGAKDFAAFLLDRLKMFVHEEAIFACQCAEDGGGGGDDDGVLRRAEEMAAEEKRLIDAEAERGLVYLMGEANVEAAPGSSLMKQIVVNYVYTRLRKNLREEHKALSIPKDQLLKVGITYEI >OGLUM02G18670.1 pep chromosome:ALNU02000000:2:19645349:19645849:-1 gene:OGLUM02G18670 transcript:OGLUM02G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKRFYYSGSWWGPPAGSSFLLPLYHLLTVEHLVGTQRDGFGAGAVDGGKVAGRGCGTIPVTGGSGTSRADGGRSKLVGRDGPAVT >OGLUM02G18680.1 pep chromosome:ALNU02000000:2:19652895:19655982:-1 gene:OGLUM02G18680 transcript:OGLUM02G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRGRKRAAAVAEEEDAATRVRGKRVKASPRPETEAEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYEKTVYLFGSTEPQLLVVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEILPMKAMKMAWVPYVPLEDRYSHSIS >OGLUM02G18690.1 pep chromosome:ALNU02000000:2:19656285:19657620:1 gene:OGLUM02G18690 transcript:OGLUM02G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGDEQALSFDDSGGGGIIDELPHRSSAEEVEVDTAVLTLAEDKNTTRLSRVKATVLVEDMLLEMREIVEGHDIIGGQRPKRAP >OGLUM02G18700.1 pep chromosome:ALNU02000000:2:19662618:19665143:1 gene:OGLUM02G18700 transcript:OGLUM02G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWSLSSTCLPSTHVASGQTQRAGVTQRCRPRTSIPQLMTGFGGRRCQKSSRLMPSGLIIFDNECICVFVTMMARSIKKKPLYVYSRVVKYLLP >OGLUM02G18710.1 pep chromosome:ALNU02000000:2:19668699:19674063:1 gene:OGLUM02G18710 transcript:OGLUM02G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDEFKMMERLWGENFFDPATKKWTNKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKELMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQRYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKESDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEAGSQASTLVQDIRKRKGLKEQMTPLSDFEDKL >OGLUM02G18720.1 pep chromosome:ALNU02000000:2:19675439:19676152:-1 gene:OGLUM02G18720 transcript:OGLUM02G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYFFSSSAPAPEKKTRRRQQREQEGGGGGNEARYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARTIRGAAARTNFAYPDLPPGSSLTPYLSPDLSADDLHRHYYGAGAGADTQTAAAAALPAPAQPAHGGDAQEMAYGGGGGQNVGGVFDVVGGGGGAAWCDASELEFGGYDDAGASAAAAAVYFEEGYVHSPMFSPMPAADEVAADGFQLGGSSSSSYYY >OGLUM02G18730.1 pep chromosome:ALNU02000000:2:19688308:19690645:-1 gene:OGLUM02G18730 transcript:OGLUM02G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDKVVARTGRLRQRYDNEYRLVAGCVPYRVKKDEANPRILGDVPGQVEVLMVSTPNRADMVFPKGGWEDDEEVYEAASREAMEEAGVKGIVNRTTLGHWVFKSKSSQNSSSPRGACKGYIFAMEVTEELESWPEQATHGRRWVSPGEAYQLCRYEWMREALTALLERLSMIEPVASAQELSDQTSMYMMLQASSDSAVALC >OGLUM02G18740.1 pep chromosome:ALNU02000000:2:19708553:19708972:1 gene:OGLUM02G18740 transcript:OGLUM02G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRASCVLILLLLAIAGLADVLAAGGATPLSSSSQVSTTTAAELKEVNGMLACMIGCFTQMFGCAFGCMAKGPDTTLCVVSCNQNSIVCMVRCALTPPPPKPKPTPPPPAPTPKPPAPSPSPPPPKAAGHGVAGDPLA >OGLUM02G18750.1 pep chromosome:ALNU02000000:2:19755672:19758761:-1 gene:OGLUM02G18750 transcript:OGLUM02G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGARAGRVPAAAAAAAAVLIVAACVFSSLAGAAAAAEVVGGAAQGNTERISGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVAKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPEQADWFYAPVYTTCDLTHAGLPLPFKSPRMMRSAIQFLSRKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQKNHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGARASLWENFKNNPLFDISTEHPATYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWDEIGVFVDEEDVPRLDSILTSIPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPDSVYLKPGEKHLNWTAGPVADLKPWK >OGLUM02G18760.1 pep chromosome:ALNU02000000:2:19763703:19766618:-1 gene:OGLUM02G18760 transcript:OGLUM02G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSY3] MLRVAGRRLTTALAWRPAAAAGARGPLAGGSLPGDDDFSREPQRPRFAVDSPFFAASRGFSSETLVPRNQDVSLTELPATVSAVKNPSAKIVYDEYNHERYQPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDIGSLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >OGLUM02G18770.1 pep chromosome:ALNU02000000:2:19767501:19767701:1 gene:OGLUM02G18770 transcript:OGLUM02G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATVTAADLAPWSQQCRPVGEEEEGGVAAVAKEKEGRQIQKEKGGRRRGSGGGLGGGSRSGSNG >OGLUM02G18780.1 pep chromosome:ALNU02000000:2:19769607:19770914:-1 gene:OGLUM02G18780 transcript:OGLUM02G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQPIGSGGGGAPPDWHDVEPQWQPVDDVVTVAAAAAYLAAPAGATLSSESADRRWRPVGPSAAAAAAALQAVEAQGAAAAERHYRGVRRRPWGKWAAEIRDPNKAARILDFAAQRLVGVSPAMAPRPPSTLPTTTTAASSPSAWPHGGGHGS >OGLUM02G18790.1 pep chromosome:ALNU02000000:2:19780828:19787694:-1 gene:OGLUM02G18790 transcript:OGLUM02G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQVEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEVRKNDLNPKWKPENPLIIECFNFSSNGKHDLVGKIVKSVAELEKMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLANNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >OGLUM02G18790.2 pep chromosome:ALNU02000000:2:19780828:19786990:-1 gene:OGLUM02G18790 transcript:OGLUM02G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDEMGGGGGHAGRHSVGPAAAAAAAAAEAASAAADRFLRSRGAGASTQVELSLSASNLGDQEFFTKSNPMVIVYSKSKEGALEELGRTEVILNSLNPSWNARINVHYQFEVYDIDPQFHDVNEKMLKLEEQQFLGEAVCLLSEVITKQNRLLTLKLGVSEHNLPNPSKFGELNVQVEESAGSKAIMEMVFRCSDLEIKDLLSKSDPFLLISRISESGVPVPICKTEVRKNDLNPKWKPENPLIIECFNFSSNGKHDLVGKIVKSVAELEKMYHSQDGENFFVPASTAHDSHSKEVLKSQVYVEKYLENNRQTFLDYISAGCQLNFMVAVDFTASNGNPRLPDSLHYIDPTGRPNAYQRAILEVGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVEGIQGIMSAYISALRNVSLAGPTLFGPVVSTATAIANQSLANNQQKYFVLLIVTDGVVTDFQETIDAIIKASDFPLSILVVGVGGADFKEMEFLDPNKGERLESSTGRVASRDMIQFAPMKDAHGSGISTVQSLLAEIPGQFMTYMRTREIQAIS >OGLUM02G18800.1 pep chromosome:ALNU02000000:2:19790666:19794789:1 gene:OGLUM02G18800 transcript:OGLUM02G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTAKDQPVHGRATQRRESTSTSTAAAEGGAPGEGGGRGDGRGATGTGSSSAAAAVDRKGKKKVDEGDRDPEQALRKSGPLEGKLLQSSIRAYQGPPAAEKPSGAPPAATPPARNTGRLGLSDREADDALNDIDLAMARQLPVVDTTVQKEEEEKEKEKEKEEEEEEDDDDEEGELLSHVSRRKNPLRSRIDGYISMDMNGKRRAILEIPVLFPCYIGVYKFHAFPVSLEQVLDREDTDEEQRLLAALEIEVKPMAMQIDYPEWATAFSWGHEVFKKLIENIIGWKNPASTYRSLAATWMCSHKDEYEQYVDDLGDDYPLEFWCATNLLPPRLYTDHVPMRALAASFRVPLQVENLHNGPAQDIYTADGVDVPRVTLLYTGAHYDILYPRPPGERSRRRAAGWLCRFW >OGLUM02G18810.1 pep chromosome:ALNU02000000:2:19799304:19802849:1 gene:OGLUM02G18810 transcript:OGLUM02G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDPAEVELHGQREPHASSSSTDASIPAPTSAAAAAADVPPPPSSSSSPPPPPPSSVEGRTKQPGGGGRGADAASSTSAAAAVEQKGKKKISASDDREQAEGDEEERKSDSQKKKLAGRRRRRRLNLAAYQGDAKKPSDDVNLARRPGKLPWDDPRVWKPHVTPVSTVKKSLGISILVYISNKFLRRKGSPAGFSNLKYKQQPLVQHQKIPLASAPEHYGLRSGLRRIMSANLLILLNEYSDFRPEQVLDMVGTDEENRLLAAVGAIDHCQWASGFSQSHKVFEKLIQNVMRWKRRQKGVASADSRRQKLLEFFSSYSKSDGILAFLKYAAANWICSHREEYEPNIAGLGGGYTLEAWCEIYLLQPREQTDHIQMTAVAAALGVPLRVENLHNGPAQDIYTADGVNIPRVTLLYTGVHYDILYPRHPSGGSGSRSSTQRAGCFRPFW >OGLUM02G18820.1 pep chromosome:ALNU02000000:2:19804147:19805536:1 gene:OGLUM02G18820 transcript:OGLUM02G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G29590) TAIR;Acc:AT2G29590] MAMGDDYSKARQALAVSAHECSLVDAVSSAATPPTADDGEGHAAGFFEGFVLGGIRVDSVRPGLVDCSFTVPSRLTDRSGCLAAGAVVSLVDEVGSAASIADGRPVKVSTDMSVSFVSLAQARPGDRLRITARALGHKGAYSATHVLISNAATGEVVAEGRHSLFGRMKVVSTSTATATSKL >OGLUM02G18830.1 pep chromosome:ALNU02000000:2:19808968:19814977:1 gene:OGLUM02G18830 transcript:OGLUM02G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARTARLRRPARHAMARRGDRCGRRGCSAHARSRRGDRHGGSRRFTASFTYAQAAIHRDEDETIVLDHQAEVADAVGISCLREDKWEAETHVEFEVDDYSAK >OGLUM02G18840.1 pep chromosome:ALNU02000000:2:19848075:19848605:1 gene:OGLUM02G18840 transcript:OGLUM02G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRVQDCRFSAAPVVELSDPSTAAAAAASMEMEQLTARFNDAVAVRGSNQLATGMEMAAAPGRGADDDMEELVARLVDVTVCDDGPAARGEAACAVRVRLPDGRVFDRVFGAARPVAALFRYCGAAVAACGMAGRPFRLVRLAGGASEEIPPRGDASLQDLRLDRCIVYVVFSP >OGLUM02G18850.1 pep chromosome:ALNU02000000:2:19852944:19857450:1 gene:OGLUM02G18850 transcript:OGLUM02G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVRFLKRYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHIMMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGVSRFYCCIGGDSNLQRLSQQQERGGGGQ >OGLUM02G18850.2 pep chromosome:ALNU02000000:2:19852943:19857450:1 gene:OGLUM02G18850 transcript:OGLUM02G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDIFAFLRLAAAIWMCSPDHIMMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGVSRFYCCIGGDSNLQRLSQQQERGGGGQ >OGLUM02G18850.3 pep chromosome:ALNU02000000:2:19852943:19857450:1 gene:OGLUM02G18850 transcript:OGLUM02G18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPPGHHDERHESTSSSADDDEITAAAEASPEQGGGGGRGTGGSGSAAAEGDQKGKGKVVEGSQEAAKKDKVDSQLKKFIRRSFLAVSEGFKKKLSDRQGKQLDGASTSNSPPHVDHKKIPMEEAVYHYFGDIHNVLRLSKRERESVIIMRLVPLHSHYSALRPVYRDGESFYRSFIFSYLEQIVDRVDMGEEDRLLAAVRELARRAEHFQWASEFPRRREAFEKLIEKIKGWKRMLQYPTLRVSYNRGEFLLEFFSSYDTTDDSEKNTLSSCCFNVILIHGSDICILHSVLSVFAFLRLAAAIWMCSPDHIMMYAPGVIGPGEGRSLEDWCSTQVIPPRVYADEVAVRALAAALQVFIRVEAPEYGGRQDSYYIARDRPRVTLLRVDSQYDIVYPLSPELIHQRAKRGGVSRFYCCIGGDSNLQRLSQQQERGGGGQ >OGLUM02G18860.1 pep chromosome:ALNU02000000:2:19875894:19879917:1 gene:OGLUM02G18860 transcript:OGLUM02G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWRTHTLGSGRISNFSAKDAVHGQRPREHQGSRAAASSTDASSAAAAAQGGAVAPPPPPSSADRRTPQPGDGGRTDGSSSAAAAADRKGKKPMSASDDRKQARRKEKRKSGYPKEKLLESSMRSYQGPAKEEKLPMGDAVYYYFKDIYDALRIAQVGVRLIFLDHDYSEFRPVVPDEECFYRSFIFSYLEQVVDRIDTLWEDRLLAALRELDRRAERFQRASEFSRRRKVFVKLIAKIKGWKRMRDYPPSRVSFCFPQISSSYLDLHAQREIWTACKRRSKSGRC >OGLUM02G18870.1 pep chromosome:ALNU02000000:2:19892487:19893086:1 gene:OGLUM02G18870 transcript:OGLUM02G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRDQEQAIAKLTSGGGGGRDDLLIIFMWTKKAMLAAVVVVVAKCRELWEGVLTAGSGCGCVSRSAAAADDDDGYYFGRSYEFSCSATPVAFAPAKGRRRRRRCLLLPPCVGAKQAREMLREAAMMSPAPPVGAGGGRRSPPERSPQWWREQEIDGLAEEFISRFYEQLRSQVADEERRRAPECKSRASSSPPSPSPP >OGLUM02G18880.1 pep chromosome:ALNU02000000:2:19897958:19903509:-1 gene:OGLUM02G18880 transcript:OGLUM02G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YSZ8] MVLPQLNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFEVLQGFDTVLLYWDKTAPGYCEKTGIYVSHLSQMSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVERTITLLGLTDSMSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHAVNHLFKKLNEVCLVEDGECLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGLRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVIHEFNIDQHGNYISQQKFRPDTSSIRIQDKREDIIEESTGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADNAPVNKTIVHSKSNVQETEEVCGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSAEVESYFNLSCYENPGITACQEMLERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSRTIPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLEALYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDHIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISSKGRAQGFGIEALDVLNFTYKVSWPLDLIVNTEALKKYNQVMAFLLKVKRAKFILDETRKWMWKGGGSTMHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECAVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRQEGITISQDANAFLQNV >OGLUM02G18890.1 pep chromosome:ALNU02000000:2:19906075:19911345:-1 gene:OGLUM02G18890 transcript:OGLUM02G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATGASGWLRGKVKGVTSGDCLLIMGSTKADVPPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNVGREFGTVYLGDKNVAYSIIAAGWARVKEQGPKGGEPSPYLTELLRLEEVAKQQGLGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTVRVYLLPSFQFVQIYVAGVQSPSMGRRPPNPTVVAAAESTADGATNGGDSEEAPAPLTTAQRLAAAAVSTEIPPDRFGIEAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYSDGDTLKDLALELVENGLAKYVEWSANMMDVDAKIKLKNAELQAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRVNLSSIRAPKMGNPRRDEKPDNFAREAKEFLRTRLIGKQVTVEMEYSRRISTVDGQPTTNTADARVLDYGSVFLGSPSQADGDDVSSIPSSGNQPGINIAETLLSRGFARTSKHRDYEERSHYFDLLLAAESRAEKAKKGVHSAKESPVMHITDLTTVSAKKARDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSFSGVRCPGKDEPYSNEAIALMRRRILQRDVEIEVEAVDRTGTFLGSLWESKTNMASVLLEAGLAKLSSFGLDRIPDANVLMRAEQSAKQQKLKIWENYVEGEEVSNGSASESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSADNSWNRAMIVNGPRGAVSSQDDKFEVFYIDYGNQEVVPYSRIRPADPSISSSPALAQLCSLAFIKVPNLEDDFGHEAAVYLNDCLLNSQKQYRAMIEERDTSGGKSKGQGTGTILIVTLVDAETETSINATMLEEGLARLERSKRWDTRERKAALQNLEQFQEKAKKERLQIWQYGDVESDEEEQAPAARRTGGRR >OGLUM02G18900.1 pep chromosome:ALNU02000000:2:19911353:19912622:-1 gene:OGLUM02G18900 transcript:OGLUM02G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITSSSYQEWIGFAITPTRLCLTVSLVLFPNKSTTPCVGGRSAQYKTKPPPYPSPPFRASLHPKNLSRALSPRLAAPLRRRRRDRGLVERAPPPLSPPDGSSRLHPAPRALSRGDRAELRV >OGLUM02G18910.1 pep chromosome:ALNU02000000:2:19919974:19923768:1 gene:OGLUM02G18910 transcript:OGLUM02G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YT01] MASDLRPPEHQVAGHRASADKLGPLVDGEGLFYKPLQAGERGEHEAAFYAAFTAHPAVPPRVRGAFFPRFHGTRLLPAPASPGGAPYPHIVLDDLLAGLPSPCVADVKIGACTWPPRSPDPYVAKCLAKDRETTSALLGFRVSGVRVVDARGGAVWRPDRSELKGIDAAGVRRVLRRYVSTGGGDGLDCALAAAVYGGEGGVLAQLRELKAWFEEQTLYHFYSASILFGYDANAAVAAAPGGGSGGVRVKLVDFAHVDDGDGVIDHNFLGGLCSLIKFIGDIVAEVQHCVLANVTHNKVPSNGESQLFERGNFTELPVT >OGLUM02G18920.1 pep chromosome:ALNU02000000:2:19964179:19968155:1 gene:OGLUM02G18920 transcript:OGLUM02G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILSLFHNILNKEAADKVLGEREIPDVQPGCILQMRLQVPENKRRESTLKGIVIARRNAGINTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALKK >OGLUM02G18930.1 pep chromosome:ALNU02000000:2:19969426:19970227:1 gene:OGLUM02G18930 transcript:OGLUM02G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWATAAGGARMMERQQQVAWETRATTRLAGGTQVAAADDTGRLGAAGVLGRRRAKSQGGRATPASLVVAALVEKLGQPCGVEAVPAGTLCAVVCGGGCSLVAAVGEVVPMGSSGVLGRRRSVSRGQCGILAIVVSADWLGAQGTIRESLAMPSGSGNAFGAVSILGGIVKTCSLFFHHCAPGESLAPVNGQAAAAIHISSLLGVPLWRTFSCTCLVMILTLAPGLPTSTPTLNKAKMFSETFSLLDPLLALWQAANILV >OGLUM02G18940.1 pep chromosome:ALNU02000000:2:19973311:19975663:1 gene:OGLUM02G18940 transcript:OGLUM02G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVQAQYVAHASPSSSSAAYAIRPALENAAPSSGASALFLDEAVSAALLQQQLVVAAAAVGGGGNNNNTAVFSDLRSELTCSQRRFDDFGGGFVPRKRARVGGEGEAAAGLLMSSSVMEGGGHRALLPPPPPPPPQMTPQAFGDVHKSSSRVVGSGAASTSGRPVCGGGLLLSHLYRQSVEVDALVRFENERLRAGLEEARRRHLRAVVSAVDRAAARRLHAAEAELERALGRNAELDERLRQMGAEGQAWLGIAKSHEAAAAGLRATLDQLLQSPCAAEGEGDAEDAQSCCFVQAPDGGAAEVSGGGNGRRACRACGEADACVLLLPCRHLCLCRGCEAAADACPVCAATKNASLHVLLP >OGLUM02G18950.1 pep chromosome:ALNU02000000:2:19985546:19986781:-1 gene:OGLUM02G18950 transcript:OGLUM02G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAGGDGEAWVDQEQGNGGGRGGGGGEAKRSEIYTYEAGWHIYGMNWSVRRDKKYRLAIASLLEQHNNHVQVVQLDESSGDIAPVLTFDHPYPPTKTMFVPDPHSVRPDLLATSADHLRIWRIPSPDEAAAAAAASSNSGSVRCNGTASPDVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGSSNSAGADGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHSPVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGTGSNNGGNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFSTKLQILRV >OGLUM02G18960.1 pep chromosome:ALNU02000000:2:19993915:19994241:-1 gene:OGLUM02G18960 transcript:OGLUM02G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEEYVHKRREQRRRRGRRPAGVALQLLQVQSSSTTTKPEKKAAAAAAAGGRRPLAPLATELQDSPWDLPAGSGGGASPSDAAGSPAAAAAATVSFADHLLGYL >OGLUM02G18970.1 pep chromosome:ALNU02000000:2:19999800:20004452:1 gene:OGLUM02G18970 transcript:OGLUM02G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YT07] MEAMAGELERLRAEREELDSRIRLLESQFGASLTPAGEGDAAGTGAGGGGGGGGATACPIRRRGNGFAAADGLPADMIYRYSRHLLLPDFGVEGQRKLSQSSILVVGAGGLGSPVALYLAACGVGCLGIVDGDDVELNNLHRQIIHKEAYVGKSKVKSAADACREINSSINVMEYHHTLIPCNALEIVRKYDIVVDATDNLPTRYMISDCCVLLNKPLISGAALGLEGQLTVYHHNGSPCYRCLFPNPPPVAACQRCSDSGVLGVVPGVIGCLQALEAIKVATDVGEPLSGRMLLFDALSARIRIVKIRGSSTVCTVCGENSAFTQDDFQKFDYENFTQSPMSDKSAPSLDILPGSARVTCKEYKRLVDNGERHLLLDVRPAHHFQIASVSQSLNIPLSELEEKLQMLETSLKDTTDASSSDKPPSLYVVCRRGNDSQIAVQLLREKGFLSAKDIIGGLQSWAQDVDPDFPVY >OGLUM02G18980.1 pep chromosome:ALNU02000000:2:20014121:20015276:-1 gene:OGLUM02G18980 transcript:OGLUM02G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRTITLSSLVQSSRTVPRVALPALSPRAGAARPLSPRVRVASRGAARRHAAVAGNPGQGGGERAACPARGAVRHYKTGAKARITQFCRPVSSLLPSSSSSSSTPRYIRSEKKEMASNYVDTTGDEGRFHGHHSTNTTPTGAAASSPRTMRRSFSNASSGSHGGGGGGGGAKCVCAPATHAGSFKCRLHRTNSQGHGHGHPHPSPPTSPAAGGASAAPRPSSASSRTVEAQ >OGLUM02G18980.2 pep chromosome:ALNU02000000:2:20014121:20014691:-1 gene:OGLUM02G18980 transcript:OGLUM02G18980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCFDCSAFREEISDLSQIRSEKKEMASNYVDTTGDEGRFHGHHSTNTTPTGAAASSPRTMRRSFSNASSGSHGGGGGGGGAKCVCAPATHAGSFKCRLHRTNSQGHGHGHPHPSPPTSPAAGGASAAPRPSSASSRTVEAQ >OGLUM02G18990.1 pep chromosome:ALNU02000000:2:20021855:20023146:1 gene:OGLUM02G18990 transcript:OGLUM02G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGFSALTNRMTDDRSTTPPMYWDGVASTSSSSHPVAPYSSSSVIGENLLFHELWVCSFRDSCLWRAMSLDTFLMITPFGPTGECLLMTTLMMRKGCRSGNAELGQITDCQITVQLIVTSLRSLLPIEGHKQEECCGFYRQEKFFDPTECVSRVSGRSQFHTSDIRVSAQARGN >OGLUM02G19000.1 pep chromosome:ALNU02000000:2:20029262:20037417:1 gene:OGLUM02G19000 transcript:OGLUM02G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YT11] MGTASGDQPAGASSDKLRHVESMSELPSGAGRISGINAVVLGESLAAEEHDLVYPSAEFSADALVPSPKKYQKMYERSINDPAGFWSEIADAFYWKEKWNPSEVCSENLDVTKGPVQISWFKGGKTNICYNAVDRNIEAGNGDKIAMYWEGNEPGQDGKLTYSELLDRVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAGLAESEKQGVAVGLCLTYENQSAMKREDTKWQAGRDVWWQDVVTSFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYKVTIFYTAPTLVRSLMRDGTEYVTRHSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGKEIEGECSGYLCIKKSWPGAFRTLYGDHDRYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVAVEHEVKGQGIYAFVTLVDGVPYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLADPGVVDQLIALKDC >OGLUM02G19010.1 pep chromosome:ALNU02000000:2:20036128:20045951:-1 gene:OGLUM02G19010 transcript:OGLUM02G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYELVRSDDAAAAGPPDLELGGSGSGNGGGVSAKSRPPSSPPSQGGARQRLVSLDVFRGITVLLMIIVDDAGAFLPALNHSPWDGVTIADFVMPFFLFMVGISLTLAYKRVPDKLEATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDITKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDCGLDVIRRYRYQLVVALLLSTMYTVILNGVYVPDWEYQISGPGSTEKSFSVRCGVRGDTGPACNAVGMLDRTILGIDHLYRRPQCSINYPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHKGRIINWLIPSFSMLALAFSMDFIGIRMNKPLYTISYALATSGAAGLLFAGIYTLVDVYGFRKLTIPMEWMGKHALMIYVLVACNILPIFIHGFYWREPKNNLLKFIGVGA >OGLUM02G19020.1 pep chromosome:ALNU02000000:2:20070479:20075235:1 gene:OGLUM02G19020 transcript:OGLUM02G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCCCSTSSAVPGRRFAPAGAAAAAVAARAVFERFTERAVKAVVLSQREAKGLGEGAVAPRHLLLGLVAEDRTAGGFLSSGINIERAREECRGIGARDLTPGAPSPSGSGLEMDIPFSGSCKRVFEVAVEFSRNMGCSFISPEHLALALFTLDDPTTNSLLRSLGADPSQLASVALTRLQAELAKDGREPAGASSFKVPKKSPAGAGRSAFSKSLNSKKEKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRIMSLDVGLLIAGAKERGELESRVTSLIREVREAGDVILFIDEVHNLIGSGTVGKGKGAGLDIGNLLKPPLARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVEEPSQDDAVKILLGLREKYETYHKCKFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQNMHEVVSSNQMKYSPRQENGSAAIKAPSEDMNELTSELQVEEPIVVGTEEIARVASLWSGIPVQQLTADDRKLLVGLDGELRKRVIGQDDAVMAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLSDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSMGFMTEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILQEVKGRLLALGIGLEVSDATKDLICEEGYDKSYGARPLRRAVTHLIEDVISEAILFGEYKPGDTILMDIDAAGKPRMSHLNEKVVQLSDPTRTF >OGLUM02G19030.1 pep chromosome:ALNU02000000:2:20075952:20078997:-1 gene:OGLUM02G19030 transcript:OGLUM02G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSSASAAAARRGSLVEEWSGRVKALEAGFRKWMAEQPTHIEAAVTTAVGAVQGAALGGLMGTLTADGGSPFPTPPPPPPNANPQAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGGEDIQGSMAAAFGSGALFSIVSGMGTPNPVANAITTGAAFAIFQGGFFMIGQKFSKPQSEDLYYSRARSMLQKLGLEKYEKNFKKGILSLEKHGEASQPLAHGSLDCVGLYYLKLCHLCFFYDMLPRQRIHHKGFFFFERRGGGSYCWMVISFYLPIEFCATISHMLVIHCIHPVQEADHLQGE >OGLUM02G19040.1 pep chromosome:ALNU02000000:2:20079223:20080419:1 gene:OGLUM02G19040 transcript:OGLUM02G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G48040) TAIR;Acc:AT5G48040] MAGRWLRAARGMGGRRRRGWSEAPAFAAEQRATLVNVKLKWVKDRALDGAVSRERDLRAAHHLLDVVSARPGHRVSRPELLADSSVRRAFGGVDGVDAFLARYHTLFALRRGGGVFLTDAALDLRRREVDCLVESEPDLVSRLRRLLMLTLPRSLPLHTVDLLRWDLGLPRDYRASILRRYPDHFALDQPEGDERVWLRLLWWDDGLAVSELEKSTAGGGGGDTTCLPFPVSFTKGFGLRSKCINWLREWQALPYTSPYADPSGLDRRTDVSEKRNVGVFHELLHLTVAKRTERRNVSNMRKLLGMPQKFTKVFERHPGIFYLSRVLGTQTVVLREAYGGGSLLLAKHAHPLATIREEYSAVMRAALPPRRRRRSRESDSCSEQDEECVGGEEFELTE >OGLUM02G19050.1 pep chromosome:ALNU02000000:2:20090635:20091978:-1 gene:OGLUM02G19050 transcript:OGLUM02G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKVSEAAAGVEDQDGGVAAAAAAAGMDGIQYCSEHPYRPGAAAAAVAGGGICAFCLQEKLGRLVSSSKSSPFFPLGGHPPPSASPSSPPSFRRAAAVVAEPPPPLRSSSGASRKLIPFGRRKASSSSSSSSSSASVALAGGGLKRSKSVAPRPEEHYSSSASSVTAESPRKKSFWSFLYLSSSSPYTHQVVTSTSYGANGGAAAAARRKSVSVASAAWASRGGSAAGAHEQQQPRAAATSSVSGRRLEAIGEPESPSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERISNGFGDCTLRRVESQREPKPNKMRALGHLGGGGGGGADDDDDDDDVYQHQHRIKCAGFFGGMGPTPSYWLSAAEGAATAGGARKSGGRSHRSWAWTALASPMRALRPTSSTTTTTTKTITAVHSSHVVAHSNGSTPAAALSISSPVPASSTAATD >OGLUM02G19060.1 pep chromosome:ALNU02000000:2:20111092:20120808:1 gene:OGLUM02G19060 transcript:OGLUM02G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAEKPRPSVAPESTNGGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDVLQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATAEFPSTLQLARGGILADAMGLGKTIMTIALLLADSSKGCITTQHSTHICEASGLGELPIQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >OGLUM02G19060.2 pep chromosome:ALNU02000000:2:20111092:20120808:1 gene:OGLUM02G19060 transcript:OGLUM02G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G22750) TAIR;Acc:AT5G22750] MGKERGREEQVATVRAVLGDGTPEMDIIRALHMAGDDPTRAINILLDFPHGPPPPPPPSPSPSPSPPAGKPTKPHPESTPPTKTPARSKPAAAAAEKPRPSVAPESTNGGGGGGEHWWLVGSVEMAGLSTCKGRRVASGDAVTFSFPNSPVAAAAGGKSRSGRPALVSCSSEIMRFSTPRHGEVGRIPNEWARCLLPLLKEGKIKIDGVCKSAPEVLSIMDTVLLSVSVYINSSMFHGQKQSTPKAARAATEDSTFHPLPALFKLTGLSPFKKAAFTPEDLYSRKRPLETKSSAPATKLTTEKLRLSSDGNEDDHAERIVSDSELDDIIGISDSSALEERDPPDVLQCDLRPYQKQALYWMMQLEKGSSSQDAATTLHPCWEAYKLEDKRELVLYLNVFSGDATAEFPNAMGLGKTIMTIALLLADSSKGCITTQHSTHICEASGLGELPIQPHDDVKKLAIPFSFSKLRKPKTPLIAGGNLIVCPMTLLGQWKAEIEAHATPGSVSIYVHYGQNRPKEANLIGQSDIVLTTYGVLSSEFSNENSTESGGLYSIHWFRVVLDEAHMIKSPKSLISLAAAALTADRRWCLTGTPIQNNLEDIYSLFRFLRVEPWRNWSLPILILPPANIEVKYCDLSETEKDFYDALFRRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVLSRGDTQEFADLNKLAKRFLHGGNGAVNGDSSLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWRSASAGLCPVCRKSMSKQDLITAPTDNRFQIDVEKNWVESSKISFLLQELEVLRTSGAKSIIFSQWTAFLDLLQIPLSRHNFSFARLDGTLNLQQREKVIKEFSEDKSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAIMRIHRIGQTKSVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRSARIEELKMLFS >OGLUM02G19070.1 pep chromosome:ALNU02000000:2:20120870:20121181:1 gene:OGLUM02G19070 transcript:OGLUM02G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSTITYSSGQKNPSHGANTLGFLAELASSSPDKPPASSPDETAATAAAAALGQRKKLLLPSSMSFSSGLKPTLKKTRQPFPIRGGALAVAGAGNEGD >OGLUM02G19080.1 pep chromosome:ALNU02000000:2:20120896:20121623:-1 gene:OGLUM02G19080 transcript:OGLUM02G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDRQRHAAAAVAKPRQRSASFHGRGEAEQRHSLLKQRPRTQPDLLAGLRGQSFRRGGGEGRAPAGPSRVLLTVAVRQSMWPLHVMARAEWSVADLVAAAVELYIREGRRPLLPSADPAAFGLHFSQFSLQSLSPEEKLMELGSRSFFLCPKAAAAAVAAVSSGEDAGGLSGEDEANSAKKPSVLAPWLGFLHFWPLL >OGLUM02G19090.1 pep chromosome:ALNU02000000:2:20126580:20129750:-1 gene:OGLUM02G19090 transcript:OGLUM02G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDGGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKNALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKVHRQQKEIDSTRVKRKFLKHVPHGNIESDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >OGLUM02G19090.2 pep chromosome:ALNU02000000:2:20126580:20129750:-1 gene:OGLUM02G19090 transcript:OGLUM02G19090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDGGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKNALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKVHRQQKEIDSTRVKRKFLKHVPHGNIESENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >OGLUM02G19090.3 pep chromosome:ALNU02000000:2:20126578:20129637:-1 gene:OGLUM02G19090 transcript:OGLUM02G19090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDGGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKNALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKVHRQQKEIDSTRVKRKFLKHVPHGNIESGESSSQHTGESNLDFSPTSLDLPATHSDILDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >OGLUM02G19090.4 pep chromosome:ALNU02000000:2:20126580:20129750:-1 gene:OGLUM02G19090 transcript:OGLUM02G19090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNTDPPPTTMVDAAAALLLEPKLEGYDDGGGGEPLQPAPFVSPLDQLMQPPRPLEALLQGPQLPPFLSKTYDLVCEPELDGVISWGHAGNSFVVWDPSAFARDVLPHHFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRHSKHLLKKIVRRRSSPTQQSGLQPGSSGESGLDPELNTLRREKNALLQEVTRLKQEHLQTIEQMSTLNQRLESAEDRQKQMVSFLAKLLQNPTFLRQLKVHRQQKEIDSTRVKRKFLKHVPHGNIESGESSSQHTGESNLDFSPTSLDLPATHSDILDLQNFLLEDGDLNLAMLPENIGLDGIEAPDDIGALVQGFDTQEELELGSGVELLEIPPASGPRGQDPTIGRSKGKNVLSPGLDATSSEADCLGSFSDNMGMLSDSMLQTAGKLMDADDDERIWGVDASSALQSSCSGTSQQAYGSLVSDPYLMEMANKPEKFWELDFQALDDGDLQLDKCVIDDPALQQQRGNMNS >OGLUM02G19100.1 pep chromosome:ALNU02000000:2:20138629:20139024:1 gene:OGLUM02G19100 transcript:OGLUM02G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVPEGSYAVRLGNEASIRQRLAGAARGARYALTFSAARTCAQAERLNVSASGQWAVLPMQTMYSSNGWDSYAWTWDAAANVFDVVIHNPGVTEDPACGSLIGNTVENSSQIVIYKMWRQWLTENSNSV >OGLUM02G19110.1 pep chromosome:ALNU02000000:2:20139991:20147544:-1 gene:OGLUM02G19110 transcript:OGLUM02G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPAMVLGGGGGGRRASPGPAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKVAAIMESCWTKTLATTVFCQYHGIPETSNQNSPSTSRGYQLTIVLDVVHVVEVSTGGGDQIGVRLWLTAEVEINHHLGCQ >OGLUM02G19110.2 pep chromosome:ALNU02000000:2:20141486:20147544:-1 gene:OGLUM02G19110 transcript:OGLUM02G19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKADAKWPAMVLGGGGGGRRASPGPAPPPAAPAAVAYSLLATSPPASIGNGGGSPHCDDGDASRGLGVADWLRLQRHSSGSSAGDDGDGFSSVSTLATADKGGDPADRPAGSSGGGGSKSWAQQAEEAYQLQLALALRLCSEASTAPDPNFLDSAVAAADDHHRDAPSPQSLSHRFWVNGSLSYSDKVLDGFYLIHGMDPFVWTLCNDLRDGARVPSIESLKAMNPTESSVEVVLIDRVVDYDLRQLISTAIDVSRSRADSREITTRLAGIVSSKMGGSVASTEEHELCPRWRDSAGFLKISSGSVVLPIGKLSIGLCRHRSLLFKTLADTISLPCRVVRGCRYCKSAGAASCLVHFGNDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKYNSADIVNNFKSLAKQYFLDCQSLNMMFNDPAAGTVVDLDEAMGSNIGPNLSPATNSDFQANFSHRSRGAQSSGQDGNFLIQKSSPEDTQSAQSDPFSDISLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMKSLRHPNIVLFMGAVTQPPKLSIVTEYLSRGSLYRILHKHGARENLDEKRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELMTLQQPWSTLNPAQVVAAVGFNGRRLEIPSSVDPKVAAIMESCWTKTLATTVFCQYHGIPETSNQNSPSTSRGYQLTVHGHLQNPEDTSP >OGLUM02G19120.1 pep chromosome:ALNU02000000:2:20148142:20148459:-1 gene:OGLUM02G19120 transcript:OGLUM02G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRRRKAAESSARRRRSSGRGGGALGGGVGQAGGQRRWSSGGDDGSARLAGGLGARRGREEEKTRLWERTNGRQGPYVSEVETGAFWAIRNYNGLHVGPSIYRV >OGLUM02G19130.1 pep chromosome:ALNU02000000:2:20148463:20149126:-1 gene:OGLUM02G19130 transcript:OGLUM02G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPPTPPPSSSFDRRRRPHPQAPSSLSSPITAAVSAAVVVRHILRLRCCCGPHP >OGLUM02G19140.1 pep chromosome:ALNU02000000:2:20158094:20159662:1 gene:OGLUM02G19140 transcript:OGLUM02G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRCIVVLCVAVAAALASLGGGAVDAAAAAAAAQEMRRGFSAAHDRSYSQFEQVLSDPTGVFALGFLRVNSTMLDLAVVHLPSSFPLWRAIPDRPAQWSAPASLSFDGDLVLTDPAANKVLWSAGAATGAGGDRVVLLNTSNLQIQSGGGGGDGGSSPGIVWQSFDAPSETIVQGQNLTSAAALYTSDRRFSMRMGTSYFGLYIEPPASSSGGGGVAAAMYWKHTALQAKAAIVDGGGPTYARVEPDGYLAMYQKEGPPADVLSFDTFNHGVRALRRMTLEADGNLRAYYWDSTGSRWVLDYTAITDPCGLPSTCGAYAVCVPPSGRCACLANATDGSGCAAASVGGGGGLCGRTGGEVGGLYWEVRRQRVEPANKEFLPFEHSPSAADCEARCARNCSCWGAVYSNGTGYCYLMDYPAQMMVAADERKVGYFKVRSLEEAAAASGGGRAAGVKAALLAVGVTVLVAAAAFGAYRVWKRRYRTAVDARRQVVADDEGLSPGPYKNLGSFSSVELSSSFRR >OGLUM02G19150.1 pep chromosome:ALNU02000000:2:20163320:20184703:-1 gene:OGLUM02G19150 transcript:OGLUM02G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEKSTSGGGGMSMNTVTTVMAFSVSAFFVLFIFVRLLCARIHLRAGQSAAAAAAAHGDAFPAYSVERGIRGLEPAVVTSFPTAKFGDGGSRPRAAAALEESQCPVCLEEYEAKDVVRVLPSCGHAFHVACIDAWLRQHSTCPVCRASLRAAAANAKHPPPSPAAMLPPPLYCPPAAARPSTPAPARATFRQQQQQLSATASSSSDADALQPAAAADDRLEIVVSDEQPAPSAAAGGQAEEHPHPPPPAAAAGHR >OGLUM02G19160.1 pep chromosome:ALNU02000000:2:20181742:20184767:1 gene:OGLUM02G19160 transcript:OGLUM02G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLDNECSEVGMKLNAAAARGREPPSPNLAVGKLVTTAGSSPRIPRSTCAPREPITTRTYSRTINERIWFAAYAGKASPCAAAAAAADWPALRWMRAQSSLTKMKSTKKALTEKAITVVTVFMLMPPPPLVDFSAGEDIRPPASHSGLHHHHHPSRELS >OGLUM02G19170.1 pep chromosome:ALNU02000000:2:20186367:20188294:-1 gene:OGLUM02G19170 transcript:OGLUM02G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGGGREQQMQIVCVRGGGGEEDGSSEWDQSSSRSALSLFKEKEEEIERKKLEVREKVFSMLGRVEEETKRLAFIRQELEVMSDPTRREVETIRKRIDKVNRQLKPLGKNCLKKEKEYKACLEAYNEKSNEKATLVNRLMELVGESERLRMKKLEELNKTVESLY >OGLUM02G19180.1 pep chromosome:ALNU02000000:2:20189625:20200539:-1 gene:OGLUM02G19180 transcript:OGLUM02G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASAVPGSAAGLRAGAVRFPVPAGARSWRAAAELPTSRSLLSGRRFPGAVRVGGSGGRVAVRAAGASGEVMIPEGESDGMPVSAGSDDLQVPALDDELSTEVGAEVEIESSEASDVEGVKRVVEELAAEQKPRVVPPTGDGQKIFQMDSMLNGYKYHLEYRYSLYRRLRSDIDQYERGLETFSRGYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWNPNADRMSKNEFGVWEIFLPNNADGSSPIPHGSRVKVRMETPSGIKDSIPAWIKYSVQAAGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYETHVGMSSTEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHAYYGSFGYHVTNFFAPSSRFGTPEDLNHASNNTLDGLNGFDGTDTHYFHSGSRGHHWMWDSRLFNYGNWEVLRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYSEYFGFATDADAVVYLMLVNDLIHGLYPEAITIGEDVSGMPTFALPVQDGGVGFDYRLHMAVPDKWIELLKQSDESWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPATPSIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRAPQVLPNGKFIPGNNNSYDKCRRRFDLGDADYLRYRGMLEFDRAMQSLEEKYGFMTSDHQYISRKHEEDKMIIFEKGDLVFVFNFHWSNSYFDYRVGCLKPGKYKVVLDSDAGLFGGFGRIHHTAEHFTADCSHDNRPYSFSVYSPSRTCVVYAPAE >OGLUM02G19190.1 pep chromosome:ALNU02000000:2:20219296:20272213:1 gene:OGLUM02G19190 transcript:OGLUM02G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWTVSGATPPCPSGSCIETWVLDRVKREIVHTANHETTHWYPWLFVLWKACGALGVLTFLRRDFTLSARLRYKKVQAPAIPDDDTLLAHASGSKSKSRKSATRVRRPFQAHGVEAGAGGAPLLPEEPCTFQLRFWGDDNACQCESQLRKLCFGIVNVVTIKGSARERMQEQERRRRKRKRKKKRSYLLAIVVLCCWEAQEMRFGSGERDIGEWGTGDMTREIEIIFKNEHFRHASCDGVTKLSCHAIGSGMAKGAPCQSLPLTRLIGADVAGGGWACYAKLGGEHRHAISPGVKHKIAGLFALPRQQAGHGSSHLQDGRASTIAKRIKPAGVACHDRQGSVQRSEDTIADSLDRLFSSFTGDDVGMRSLKRIGRLRLGHWFSYKDMFRATNGFSDERLLGFGGFGRVYKIAVKMSLESSPYTHYCPLNGESFHLTCTCGIPAADDFNLSSFCIIDKPNIKPNDACYQHATSCSHHNSTHCPRSFRAATMGQYPAIHGKGTMKPDMRFCRFITY >OGLUM02G19200.1 pep chromosome:ALNU02000000:2:20263598:20282284:-1 gene:OGLUM02G19200 transcript:OGLUM02G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEVVEMEDKKQQQQQQREEAEAAAEAEEEAPVVPSSLRAAATCRSLSSLSSSLRWDHRGDDDEEEAELRWAAIERLPTLDRMRTSVLSSEAVDVRRLGAAERRVLVERLVADIQRDNLRLLRKQRRRMEKVGVRQPTVEVRWLDVRVEADCQVVSGKPLPTLLNTVLATARGLSRRPHARIPILNDVTGILKPSRLTLLLGPPGCGKTTLLLALAGKLDKNLKVTGEVEYNGANLNTFVPEKTSAYISQYDLHVPEMTVRETLDFSARFQGVGTRAEIMKEVIRREKEAGITPDPDIDTYMKAISVEGLERSMQTDYIMKIMGLDICADIIVGDIMRRGISGGEKKRLTTGEMIVGPSRALFMDEISTGLDSSTTFQIVSCLQQVAHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCIMNFFESCGFKCPERKGAADFLQEVLSKKDQQQYWSRTEETYNFVTIDHFCEKFKASQVGQNLVEELANPFDKSEGYNNALSLNIYSLTKWDLLKACFAREILLMRRNAFIYITKVVQLGLLAVITGTVFLRTHMGVDRAHADYYMGSLFYALLLLLVNGFPELAIAVSRLPVFYKQRDYYFYPAWAYAIPAFILKIPLSLVESITWTSISYYLIGYTPEASRFFCQLLILFLVHTGALSLFRCVASYCQTMVASSVGGTMSFLVILLFGGFIIPRLSMPNWLKWGFWISPLSYAEIGLTGNEFLAPRWLKFHSLKRYSDTIWTSATGTSRAIISRDKFSTFDRRGKDMSKDMDNRMPKLQVGNALAPNKTGTMVLPFSPLTISFQDVNYYVDTPVEMREQGYKERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRVGGYPKIQQTFARISGYCEQTDVHSPQITVEESVAYSAWLRLPTEVDSKTRREFVDEVIQTIELDDIRDALVGLPGVSGLSTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRAVKNVADTGRTVVCTIHQPSIEIFEAFDELMLMKRGGELIYAGPLGLHSCNVIHYFETIPGVPKIKDNYNPSTWMLEVTCASMEAQLGVDFAQIYRESTMCKDKDALVKSLSKPALGTSDLHFPTRFPQKFREQLKACIWKQCLSYWRSPSYNLVRILFITISCIVFGVLFWQQGDINHINDQQGLFTILGCMYGTTLFTGINNCQSVIPFVSIERSVVYRERFAGMYSPWAYSLAQVAMEIPYVLVQILLIMFIAYPMIGYAWTAAKFFWFMYTIACTLLYFLYFGMMIVSLTPNIQVASILASMFYTLQNLMSGFIVPAPQIPRWWIWLYYTSPLSWTLNVFFTTQFGDEHEKEISVFGETKSVAAFIKDYFGFRHDLLPLAAIILAMFPILFAILFGLSISKLNFQRR >OGLUM02G19210.1 pep chromosome:ALNU02000000:2:20273958:20285125:1 gene:OGLUM02G19210 transcript:OGLUM02G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLELPKPRTPLLSAAVNLSAVIEDEAYVGFSSSTGVVASRAPLRACLELQDGRASTIAKRIKPAGVACHDRQGSVQRSGDVRVSLHLVPPLASSYAELHTNDNVAMGPSVPVADGIFLQLLRQCGSSLP >OGLUM02G19220.1 pep chromosome:ALNU02000000:2:20285415:20290693:1 gene:OGLUM02G19220 transcript:OGLUM02G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMPEPDAVRTAFVKSTGGPARCCPVPRAPAADADGSTQSESSREASPTTGAEEHEQRKRRGQGEREVEDEEVHVKKKTYNKRITCRHSSRLARMKGISRLYDRGTDPHTTHVVSTIGYLAPELGHTGRPSKASDIFAFGVFMLEVTCGRRPVSQDTNTAVTSCWWTWCWSIGGKGRSLTLWTHGCKATLPWKKQACGSTTTLMVE >OGLUM02G19230.1 pep chromosome:ALNU02000000:2:20287365:20287961:-1 gene:OGLUM02G19230 transcript:OGLUM02G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPSDFASKPACYSLDELVIALGVAWHRLATARLAVCPDEHWAEDHQACFFHGKVALQPWVHSVSDRPLPPMLQHHVHQQEVTAVFVSCETGLRPQVTSSMNTPKAKMSDAFDGLPVCPSSGARYPIVLTTCVVCGSVPRSYNLARPKLPSRQFISASNNTLLVLMSRCRTTCSQSSWRYNIYSMRCL >OGLUM02G19240.1 pep chromosome:ALNU02000000:2:20292844:20293665:-1 gene:OGLUM02G19240 transcript:OGLUM02G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGSGSSVRAKVNRLGTWRWRRLEVVATTKVCASRGEGIVGSSFPRPNMVKETRSGNVDHLYASVVAAMGAKVAQVWLCRRDIAGRELERQHEEVAWRDKRGAWRRHRIRVTRMRMIAGASCWAKGQVVAQAMRTRSCGSELTHLVEMRCTRLPWLNHALVC >OGLUM02G19250.1 pep chromosome:ALNU02000000:2:20295439:20300436:1 gene:OGLUM02G19250 transcript:OGLUM02G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAEVALHSMPGAFAAHSPASNLPLAADAARGRRRRSANSLHSSRALQGPVRFPGLRAAVECQCQRIDDLARVTEGNGAWVKDAVDKASHALGDVRVPGQAVGGNGSVNGSAAKPPPQRRKASSVEDEAWELLRESVVYYCGSPVGTIAANDPNDANPMNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLTVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTHQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVASIKMNRPEIAAKAVEVAERRIAIDKWPEYYDTKRARFIGKQSRLYQTWSIAGYLVAKQLLDKPDAARILSNDEDAEILNALSTNRKRGKKVLKKTFIV >OGLUM02G19260.1 pep chromosome:ALNU02000000:2:20302240:20304687:1 gene:OGLUM02G19260 transcript:OGLUM02G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAVEIDQDLSKGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDDSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMACDMGSELDRQNKALDDLQGDVDELNSRVKGANQRARKLIEK >OGLUM02G19260.2 pep chromosome:ALNU02000000:2:20302571:20304687:1 gene:OGLUM02G19260 transcript:OGLUM02G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSRGGKAASSKADPFDSDSDSDLVPKKKPGAYTAPSGAAKARYKDDFRDSGGLEQQSVQELENYAAYKAEETTDALGGCLRIAENIREDAANTLVTLNKQGQQISRTHEKAVEIDQDLSKGESLLGSLGGFFSKPWKPKKTRQIKGPAHVSDDSFKKKASHIEQREKLGLSPSGKSANRSYAEPTTAMEKVQVEKQKQDDALDDLSGVLGQLKGMACDMGSELDRQNKALDDLQGDVDELNSRVKGANQRARKLIEK >OGLUM02G19270.1 pep chromosome:ALNU02000000:2:20310109:20311551:-1 gene:OGLUM02G19270 transcript:OGLUM02G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEGMGYNNGKGGGGGGGGLPMTAPRPRGASPLSSHGHHHRSRKIHRTFNNVKITVLCGLVTILVLRGTIGLNLSLPNQPTDADALAGAKAVEDIDRILREIRSDGGADDDAAAAGDLAGSFNATALNATEAAAAYASAVERYALGPKISDWDGQRRRWLRQNPGFPSTVAGGKPRILLVTGSQPGPCDNPLGDHYLLKTTKNKIDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYQDRNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDEAGKILTANLKGRPAFEADDQSALIYLLLSQKEKWMNKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLRLYGFAHKGLESPKIKRVRNQTTKPIDDKENLDVKAKISTTS >OGLUM02G19280.1 pep chromosome:ALNU02000000:2:20313635:20315299:-1 gene:OGLUM02G19280 transcript:OGLUM02G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFISAYLLATLAGNPNPSAEDLTTILESVGAEVDHGKMELLLSQLAGKDITEIIASGREKFASVPCGGGGVAVAAAAPAAGGGAAPQSEAKKEEKVEEKEESDDDMGFSLFD >OGLUM02G19290.1 pep chromosome:ALNU02000000:2:20317480:20321653:-1 gene:OGLUM02G19290 transcript:OGLUM02G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTILLSLALSMLLAILLSKLVSISKKPRLNLPPGPWTLPVIGSIHHLASNPNTHRALRALSQKHGPLMQLWLGEVPAVVASTPEAAREILRNQDLRFADRHVTRTVATVSFDASDIFFSPYGERWRQLRKLCTQELLTATRVRSFSRVREDEVARLVRELAGGGGAAVDLTERLGRLVNDVVMRCSVGGRCRYRDEFLGALHEAKNQLTWLTVADLFPSSRLARMLGAAPRRGLASRKRIERIIADIVREHEGYMGSGGGGGDEAAAAAAGKDCFLSVLLGLQKEGGTPIPITNEIIVVLLFDMFSGGSETSATVMIWIMAELIRWPRVMTKVQAEVRQALQGKVTVTEDDIVRLNYLKMVIKETLRLHCPGPLLVPHRCRETCKVMGYDVLKGTCVFVNVWALGRDPKYWEDPEEFKPERFENSDMDYKGSTFEYLPFGSGRRICPGINLGIANIELPLASLLYHFDWKLPDEMASKDLDMQEAPGMVAAKLTSLRVCPITRVAPLISA >OGLUM02G19300.1 pep chromosome:ALNU02000000:2:20325221:20327584:-1 gene:OGLUM02G19300 transcript:OGLUM02G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPSSLSRSSRVCVGVAHAVPHRSAETVPDAAPQLLLMRAHARAGRMQPARQAFDAMLPRDRSLVAWTVLMSGYATHGPASEALDLLLRMVEWPMRPDAFVFSVALRACAAAGSLGVGRQVHAAAAKMGYVGADLFVANGLVTMYASCRSLGCAEKVFSGIAAPDSVSWTSMLSAYTENGCDTQALMLFLEMIHGGVSCDAYTLSVALRAASSLGHVRLGYQLHCYMIKSGFVPSEFLENCLIEFYGRCRELQLMQKVFDEMNAKDLVSWNIVIQCYADNLCDEEALVHFRDLMYKCAECDEYTLGSILHVITRRCAFDYGREIHGYLIRAGLDSDKYVMSALMDMYVNWATLRKSRSMLPLRMLKYYLSVQGKLDQFIVASSLKSCASDLDLAAGRMLHACVLKFDVNPDPFVTSSLVDMYAKCGSLEEAHILFSRTKDPCTVAWSAIISGSCLNGQFERAIHLFRTMQLEHVQPNEFTYTSVLTACMALGDVVSGMEIHSNSIRNGYGTSDSVLRSLISFYLREGQFNQALRLCLSLSNSEISWGTLFQEFAELGDHLGILNLFHVIQRSGGVLDYPTACLILSSCGKKAHLPEGLQAHAYLMKRGFSSTGCMCDYLIDMYSGCGSLTHAFEAFRNTSGRNSSSWTSIIMASVENGCPETAIRLFVQMLRKEKSPNSLAFLSVLKACAEIGLVNEAFQFFVSMTEVYKIQPSEEHYSHMIEVLGRAGMFKEAEHFIDSVVPSESGASAWSLLCSAAKQNGNAKTMRLAADRLSKLTPDGC >OGLUM02G19310.1 pep chromosome:ALNU02000000:2:20327876:20328867:1 gene:OGLUM02G19310 transcript:OGLUM02G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQHKKNKKSRESHIVIVLVMLLLSWGELRIHIGSTSPSRSASSPPPKHHLASDPDDERGVQAILVVLFPAAQRGYLDRGK >OGLUM02G19320.1 pep chromosome:ALNU02000000:2:20330756:20340295:-1 gene:OGLUM02G19320 transcript:OGLUM02G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSRQARSGGGRASGDGRRRRAREETITSAGQAAFRSQPIPGGKPARRATSNRGGRMMSRDRRYDSFKTWSGKLERQLAHLAGAGPEFPEEEEDGCDAISSHHTKSMPQVDRFFAALECPELDKLRSSEELVLPLDKTWPFLLRFPVSAFGICLGVSTQAILWKTVATSAPTRFLHVTTKTVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAAEAASDSAAAPAPAPATAEAEAEAAPPTTTAAEAPAIAAAAAAAPPAPAAPEAAPAKADA >OGLUM02G19320.2 pep chromosome:ALNU02000000:2:20339108:20340295:-1 gene:OGLUM02G19320 transcript:OGLUM02G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGSRQARSGGGRASGDGRRRRAREETITSAGQAAFRSQPIPGGKPARRATSNRGGRMMSRDRRYDSFKTWSGKLERQLAHLAGAGPEFPEEEEDGCDAISSHHTKSMPQVDRFFAALECPELDKLRSSEELVLPLDKTWPFLLRFPVSAFGICLGVSTQAILWKTVATSAPTRFLHVTTKVNLCVSVALMCVIAAIYACKVVFFFEAVLERQGSWAASGGGGGRAHREERGDVVAAAGIPASRADEGERRATQTRGRRRGRSGARAEAEYIAGLHAMAMAAAAAAAVANVVGEIPHLTLSMAAIATLRSPASSLPGVSAARHRLSLPAAALPPSPNRRPDRRTPPPSPLLLRG >OGLUM02G19320.3 pep chromosome:ALNU02000000:2:20330756:20331767:-1 gene:OGLUM02G19320 transcript:OGLUM02G19320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETVVLKVGMSCQGCAGAVRRVLTKMEGVETFDIDMEQQKVTVKGNVKPEDVFQTVSKTGKKTSFWEAAEAASDSAAAPAPAPATAEAEAEAAPPTTTAAEAPAIAAAAAAAPPAPAAPEAAPAKADA >OGLUM02G19330.1 pep chromosome:ALNU02000000:2:20331877:20338307:1 gene:OGLUM02G19330 transcript:OGLUM02G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEEAEDGDRCPVGGRAPNTHKAREKSHPHPHPPHKRIDAESQSALDFVVPRPRGIGTQLIVGSPLAQTTQSQQTGDTGPVAGDLLLMRTLIAAAAEGRDGEGQRITACQCPRDKRFKHLGVSWLRVSFLMMRRPRRLHSSSAT >OGLUM02G19330.2 pep chromosome:ALNU02000000:2:20331877:20338307:1 gene:OGLUM02G19330 transcript:OGLUM02G19330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEEAEDGDRCPVGGRAPNTHKAREKSHPHPHPPHKRIDAESQSALDFVVPRPRGIGTQLVSWLRVSFLMMRRPRRLHSSSAT >OGLUM02G19330.3 pep chromosome:ALNU02000000:2:20331988:20338307:1 gene:OGLUM02G19330 transcript:OGLUM02G19330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVRTLIAAAAEGRDGEGQRITACQCPRDKRFKHLGVSWLRVSFLMMRRPRRLHSSSAT >OGLUM02G19330.4 pep chromosome:ALNU02000000:2:20331877:20338307:1 gene:OGLUM02G19330 transcript:OGLUM02G19330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSEEAEDGDRCPVGGRAPNTHKAREKSHPHPHPPHKRIDVSWLRVSFLMMRRPRRLHSSSAT >OGLUM02G19340.1 pep chromosome:ALNU02000000:2:20342365:20342829:1 gene:OGLUM02G19340 transcript:OGLUM02G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQRWQEGCHRLCANNCGFFGSPATLDLCSKCYRDRQGRESTAPVVVAAAASACPATHPSSPSSSSCPAFLPSSTAAEAGVVVAAVAKASRCASCRKRVGLTGFACRCGGTFCGAHRYPERHACGFDFKAAGRDAIARANPLIKGDKLKDKI >OGLUM02G19350.1 pep chromosome:ALNU02000000:2:20349041:20349250:-1 gene:OGLUM02G19350 transcript:OGLUM02G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVEEVDPAAAVLRTTGFAFTEAKVRHNGGGGQSSGVVLNILRSTSMESSRMMTAATTMTTTIGP >OGLUM02G19360.1 pep chromosome:ALNU02000000:2:20357516:20358619:1 gene:OGLUM02G19360 transcript:OGLUM02G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNTKLYLQNCYMLKENERLRKAAVLLNQENQALLSELKHRLARSPSPAAAAPGVANDSKNAAAAAGRHAGPPPVQDKSASKSK >OGLUM02G19370.1 pep chromosome:ALNU02000000:2:20364104:20368872:-1 gene:OGLUM02G19370 transcript:OGLUM02G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ ADP-ribosyltransferases;NAD+ ADP-ribosyltransferases [Source:Projected from Arabidopsis thaliana (AT5G22470) TAIR;Acc:AT5G22470] MVHETRSRTLAASQEEGKAAPKKQKTESKEQEGGQQAPSKNKKTADNEEHDGEQEPSKNKKLKAEESDLNGKATAVKEFSEFCKAIREHLTIEDMRKILQANEQDASGSEDAVVPRCEDVMFYGPLDKCPVCGGQLECKGLKYKCTGTHSEWACCSFSTNNPSRRGCPIKVPDDVKNDFVRKWLKQQEGNKYPKRNLDDEGIFSGMMIALSGRMSRSHGYFKEQIMKHGGKVNNSVIGVTCVVASPAERHQGGSGGFAEALERGTPVVSENWIIDSVQKKEKQPLAAYDIASDVVPEGRGLPLGNLDPTEEAIETLAAELKLAGKRAVHKDSKLEKDGGHIYEKDGIIYNCAFSVCDLGGDINQEWVAVKCIWIQQLKTALCRLCIMQLIMVPENHLHLYYKKGPIGHDQMAEERVEDFGSRFNDAIKEFVRLFGEVTGNEFEPWEREKKFKKKCMKMYPLDMDDGVDVRHGGVALRQLGAAAAHCKLDPSVTFIMKQLCSQEIYRVHQLFHLAGEETLLEWKQDVESAPESGPAADAFWMEISNKWFTLFPTTRPYTMKGYEQIADNVASGLETVRDINVASRLIGDVFGSTLDDPLSQCYKKLGCSINRVVEDSEDYKMILKYLEKTYEPVKVGDVVYSATVERIYAVESSALPSYDEIKKLPNKVLLWCGTRSSNLLRHLRDGFVPAVCHIPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGKEIQEITGTPGSEDVKRMEEKKMGVKGVGRKTTDPSEHFTWRDGVTVPCGKLVPSTNKDGPLEYNEYAVYDPKQVSIAFLVGVKYEEQNMEVVPDE >OGLUM02G19380.1 pep chromosome:ALNU02000000:2:20374435:20374928:1 gene:OGLUM02G19380 transcript:OGLUM02G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRASLLLLMVCLILLLLCSGASTTPGGARRQDNEYVHGESAARRHVDGFMVVVVACSSLPPVTAPMRTLDDVGVWTVEDAASAMSSGVGHGRWRTRPLATSPAWGVAEEDAAAGDELRRGTWMAEDVAMPVTISGVDRG >OGLUM02G19390.1 pep chromosome:ALNU02000000:2:20375536:20382938:1 gene:OGLUM02G19390 transcript:OGLUM02G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium Bile acid symporter family [Source:Projected from Arabidopsis thaliana (AT3G56160) TAIR;Acc:AT3G56160] MVTTHHLCLLRSTVLSVPVRLRAPRAPPHPRLPTASASASYHGPTHLRRLRPLRAAAAAGGASPDGADGGKRPAPAAASSSLGAALVGFARSNFLPLALIAGIALALMDPTLGCLAHKYSLSKYSTFGIFLISGLTLRTKELGAALEAWPAGLFGLASILLFTPFLAQFIMQIKFFPHEFITGLAMFCCMPTTLSSGVTLTQLVGGNTALALAMTAISNLLGIMIVPLSLAKYIGVGAGVSLPTEKLFKSLVTTLLIPIILGKVARETSKGIAGFVDGNKQGFSVTSAILLSLVPWIQVSRSRSLLLSVQPKAFAVAVTVGVLLHFALLAFNAAALHILSRLEQRGVSVFARNEYARAVILVASQKTLPVLVAVVEQLGGALGESGLLVIPCVAAHINQADIL >OGLUM02G19400.1 pep chromosome:ALNU02000000:2:20386332:20387141:1 gene:OGLUM02G19400 transcript:OGLUM02G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGREGIWELRITRHWPNQYSFHNQCIPLRHLKATKKSIEKCTYLAADKHDGITWKLTQAMGSIRRDPLTKHCFWLYQHELRDDYLKALSIEEMQDLRLANRAE >OGLUM02G19410.1 pep chromosome:ALNU02000000:2:20386921:20391436:-1 gene:OGLUM02G19410 transcript:OGLUM02G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNLSGLTQTFRIKFTVASNNVLRPLTVRDVARNLASPARICVCVTLEHGALFGCSPPIWETSYLVENEGACCRSLRCGGRSLARHLAPVLESSILSGSGEEGEKLEDGMKRNATAYDEATAEVTVVGRAAAAVAWAAASPSRGYRAASFVVGIRMRKNSMAQKKGLAGQGRHQLDVMRIWQWQPHTRPVQAGGDGDDHVCAMQCKPMCRYAIVVQRAAASPSFSPPTGSLETGHRRVHVAAPAPPRATDRPVLAANAFHHSWTVKAMLHIISLICSSEIHVLFVYLCPVRRWKDITKPKTMLCKRIPPYTPHGLCELPSDSIMFVGSKVRALLLLCFIR >OGLUM02G19420.1 pep chromosome:ALNU02000000:2:20393648:20394811:-1 gene:OGLUM02G19420 transcript:OGLUM02G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLIVGKVIGEVLDIFNPTVKMTATYGANKQVFNGHEFFPSAVAGKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVVSYESPRPNIGIHRFILVLFRQKRRQAVSPPPSRDRFSTRQFAEDNDLGLPVAAVYFNAQRETAARRR >OGLUM02G19430.1 pep chromosome:ALNU02000000:2:20416495:20422581:1 gene:OGLUM02G19430 transcript:OGLUM02G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGKKRDGSANGAEKKASPTPKPPSSSTSGFSKNVPLLVFVLLLGLLYRQLQPPAPKICGTPGGPPVTGPRLQLKDGRHLAYHEYGVPKDQAKHKIIFVHGFDSCRYDALQVSPELAEELGVYMVSFDRPGYGESDPHPGRTEDSIAFDIEGLADGLQLGPKFYLIGYSMGGEIMWSCLKNIPHRLAGVSILGPVGNYWWSGYPSNVSTEAWYVQLPQDQWAVRVAHHAPWLAYWWNTQKLFPASSVISFNPAILSREDLTVIPKFAYRTYAGQVRQQGEHESLHRDMLVGFGKWGWSPLEMENPFPAGEAAVHLWHGAEDLIVPVQLSRHIAQRLPWVRYHELPTAGHLFPITEGMPDLIVRSMLLTDE >OGLUM02G19440.1 pep chromosome:ALNU02000000:2:20421338:20422027:-1 gene:OGLUM02G19440 transcript:OGLUM02G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10080) TAIR;Acc:AT3G10080] MAHRRRCLLLLLAVLLPAMAARGDPDAVQDFCVPDAGRGRPVELAMLPAYPCRSPANLTAGDFAFSGVRAAGNFSPETGFAGVSVTPAQFPGLHTLGMSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRLFAKVLEQGEVMVFPRAMVHFQLNVGDTPATVYGAFNSENPGIVRIPATVFGSGIREAVLERAFGLTPAELRQLEKRFGPPKKAEMED >OGLUM02G19450.1 pep chromosome:ALNU02000000:2:20437310:20437891:1 gene:OGLUM02G19450 transcript:OGLUM02G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRSCMAPSESCAARPADERPAVCSCGGAGGGQAAAGTSSDRHHQLVLLQAEAVEKKKGGRGAAAPDEAAVADGGGGGAGDHHQQAALLAPLPVSRRPAPSSVAAGVERESARERLKRHRTEMAGRVRIPEMWGQERLLKDWVDCAVFDRPLAATRGLLTARDALVAECAAPARRPPHGPTARPLRVQNGCS >OGLUM02G19460.1 pep chromosome:ALNU02000000:2:20445022:20449641:1 gene:OGLUM02G19460 transcript:OGLUM02G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHRGTATARAHTNQAAVLTRARSLAYIAAKLLARLSFVSSKPIQVERNRGVVSPLLDLSQLEVSRAREATSARSTGKDGDGSESGVVHPRRLRCVGHPHPSPDHGRASASRGGAVHRRELRADREQPPVAVAGVVAAPVAQDQQGEAVRRRPARPARVPRHGRGVRGRHRQRGRPGDGQPRGGGVVAAAARGAAPPRRRAHHLHHRRQRGVQGQRHRSPGQPPPGDAVRAPGARRARPPGPRQRHHRALAGHHGRVLPAVRRHIPPLRRAAPAAVPGLPVGGEGAVPHQLLPVLRLQGRPGARAAGVRAVPAQRRRRRPAHEARLRQHAVRAGGRRVRGDPGDGAHGHRREGVGDRVAVPRRPRRGRRDARERRDVHREPPPEDRDEAGHAAAAAGAHRRLRLRALQREPQARPGVGAELRPLLPRRHAGLQRRPPRLPPAHGITRGSDPGDPLVPTYRHRIGRFRLIVTVSATVLSES >OGLUM02G19470.1 pep chromosome:ALNU02000000:2:20449612:20454459:-1 gene:OGLUM02G19470 transcript:OGLUM02G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03900) TAIR;Acc:AT5G03900] MAPAASLSLSLHLRLAPPPPPPHRRGRPPCHAPFLPLSPHHHHLRVAHHHVPHPPWRHGVRARAGTIQAPGLARPGGAVETDRLPSGVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTGGFLEVSEEGEVLYVFPKDYRAKLAGKSFRMKVEPLIDKTKEVGAYLVRVSFGTALIASIVLVYTTIIAIISSSSDEDNRGRRRRSYDSTIIIPTDLFWYLDADYYRRRRRVEKEDGMNFIESIFSFVFGDGDPNDGLEDKRWKMIGQYISSNGGVITAEELAPYLDVPPISEQSKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKGSGVREYVGNKWSAMFSSVEKYLEEKPWKFSKANASERAMVAGLGGLNLFGVIILGNLLKQMTVPPGGLISFVAQLFPLLQVYAGSFFAIPLFRWFLLRKTNNGIERRNKAREQRAQELALPEPSLRRKLLSARDMAQRKVITPEEIVYTTEKDLLDQDYEVKEWEKRFKELESD >OGLUM02G19480.1 pep chromosome:ALNU02000000:2:20462602:20469597:1 gene:OGLUM02G19480 transcript:OGLUM02G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G10130) TAIR;Acc:AT3G10130] MALLCSASARPPLAAGARGRRPARGTARVIGGGGGGAEARASLVLALATQALAASQRRAAGLAAEAVKYAFPPRRFEPRTLEEALMSVPDLETVPFRVLKREAEYEIREVESYYVAETTMPGRSGFDFSGSSQSFNVLASYLFGKNTTSEQMEMTTPVFTRKGEPDGERMDMTTPVITKKSANENKWKMSFVMPSKYGPDLPLPKDPSVTIKEVPAKIVAVAAFSGLVTDDDISQRESRLRETLQKDSQFRVKDDSVVEIAQYNPPFTLPFTRRNEIALEVKRLDANF >OGLUM02G19490.1 pep chromosome:ALNU02000000:2:20472283:20474547:1 gene:OGLUM02G19490 transcript:OGLUM02G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQGAEILFYPTAIGSEPQDNNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGESTITFFGNSFIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGIFRDRRPDLYKVLLTLDGEKS >OGLUM02G19500.1 pep chromosome:ALNU02000000:2:20475011:20475589:-1 gene:OGLUM02G19500 transcript:OGLUM02G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTTCRGGHHAAVMPRPPPPPPPLFGQAGQDQRRRYLGLCTEGLGSESSESSGGDVDLGTGGGDDTGNDGVGHALSCKRQHRPIDDEEEEEEKTVVPAALAPPLPAWTRAAFPPPISVIGAGGKPWLYLRAHRGDGRLVLREVRIPSRELLHGRREDGRFKLHFAHPDEQLQQQQLLLLADDQDPAEKNE >OGLUM02G19510.1 pep chromosome:ALNU02000000:2:20475698:20475988:1 gene:OGLUM02G19510 transcript:OGLUM02G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRHRELGGAKLSGWLSLAAGSGGVVLGTRRYGRRGLVASWRCVSRAEGGGRRTGVGLRDTVCCCCRRLPVVAACGRAMGLATAGLDETRSVRF >OGLUM02G19520.1 pep chromosome:ALNU02000000:2:20480758:20481057:-1 gene:OGLUM02G19520 transcript:OGLUM02G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHDVEMLFLRAIDVNWLAPAAETTMARGGAAARRTRSPARPPPTACSPAGSDDEESGGGGSRVPRPGQGREQQQQQQGEEKREERKEKKREENKICS >OGLUM02G19530.1 pep chromosome:ALNU02000000:2:20498100:20499139:-1 gene:OGLUM02G19530 transcript:OGLUM02G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAWVGEAVVAVDSAAERRAPPSDPPRGWWNPLHESLRLACFRWIGFGRAGSREAQTRMLVPAFSSQSHIPILIFGFAPQNGQDESIRRTLTKWAPRPHVEVGKLPRGIAIRESSSTRRRRTLLLGSKKRKKKTFLGSKKRKKKMATRTAVARVVAPHQPAWGAAVLARRMEGGRRVARYFSDGTGRVLSEEERAAESVYIQKMEREKLEKERRKADKDKADAAKRAAAAKGDKKGGEARPT >OGLUM02G19540.1 pep chromosome:ALNU02000000:2:20499296:20500038:1 gene:OGLUM02G19540 transcript:OGLUM02G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGSKVSVAAVQFACTDVESENVDTAERHGYHQGVTVAGGGHGKWEVAPVGSHGETTVGPNDEEVTGDVTSTQDGSSISRHNMHDWRLRW >OGLUM02G19550.1 pep chromosome:ALNU02000000:2:20501189:20506886:1 gene:OGLUM02G19550 transcript:OGLUM02G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTLDSLLHGGGEPEDECEDEFSGSDDDDGEDGGGGSEEWGGDVDGEYDPYSPAESLWLRIGEDIDWSEVGAVLEREDSTKGASNPKSAAAFSCAGAPAARMPTCAGGGGTAKAVG >OGLUM02G19560.1 pep chromosome:ALNU02000000:2:20527966:20531694:1 gene:OGLUM02G19560 transcript:OGLUM02G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTQFRDPTTAWYADGHWRMLVGGLKGAHRGLAYLYRSRDFKTWVRAKHPLHSALTGMWECPDFFPLQAPGLQAGLDTSVPSSKYVLKNSLDLTRYDYYTVGIYNKVTERYVPDNPAGDYHRLRYDYGNFYASKTFFDPVKHRRILLGWANESDSVTYDKAKGWAGIHAIPRKVWLDPSGKQLLQWPIEELETLRGKSVSVSDKVVKPGEHFQVTGLGTYQADVEVSLEVSGLEKAEALDPAFGDDAERLCGAKGADVRGGVVFGLWVLASAGLEEKTAVFFRVFKPAGHGAKPVVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSMAIGDKAHLYVFNNGEADIKISHLKAWEMKKPLMNGA >OGLUM02G19570.1 pep chromosome:ALNU02000000:2:20554666:20555202:-1 gene:OGLUM02G19570 transcript:OGLUM02G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSSPALVVIVATAILALSGAAHADVQGTCKAAAGIDSRISYKFCVSKLSNHHLSPDADTRGLALIAASLGISNAEDTVFDIKGLVAKPGTGAKAKPLLARCQELYNEMSFAFAEGYDRINARSYAAGKEKVGEAIPLARQCDNAFAKAAIPSPLVQRSWCSVQMSIICTAITNLIK >OGLUM02G19580.1 pep chromosome:ALNU02000000:2:20557784:20573288:-1 gene:OGLUM02G19580 transcript:OGLUM02G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIGKHTNIYFSA >OGLUM02G19590.1 pep chromosome:ALNU02000000:2:20566952:20573330:1 gene:OGLUM02G19590 transcript:OGLUM02G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLAVLVALALAAAAAVAHGEAGVRGAGTLRGYVACLDCAPGHDLSGVVVAVRCGGGDGGVGQLRAAQTDERGGFDVAVPVAGGDDVDGRRSHPRCAARCVSRETYHGGGGGDGDGGRGEWRSGGGGGSGDKTLAQGTAAGAIRFI >OGLUM02G19600.1 pep chromosome:ALNU02000000:2:20575010:20577433:-1 gene:OGLUM02G19600 transcript:OGLUM02G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT5G06130) TAIR;Acc:AT5G06130] MYYCLAAPPAAAAPAAPAPAPAARRASSFLFVVSVPPPTLWGRVTGGAVSAQRLVRRRCSSAGEPRAAGDGGLSSFCIIEGPETIQDFVQMQSQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIRTSESRGASSEESEMPEIPSSIPFLPNTSPKTMKQLYLTSFSFITGIIFFGGLIAPVLELKLGLGGTSYEDFIRTMHLPLQLSQVDPIVASFSGGAVGVISALMLVEIRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLNSKSFSLSCDNGHNMWSTTERCPNCSGAGKVMCPTCLCTGTAMASEHDPRIDPFD >OGLUM02G19610.1 pep chromosome:ALNU02000000:2:20586527:20588883:-1 gene:OGLUM02G19610 transcript:OGLUM02G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G51700) TAIR;Acc:AT5G51700] MSTEAETTSAAAPAPAPASAPARCQRIGCDATFTDDNNPDGSCQYHPSGPMFHDGMKQWSCCKQKSHDFSLFLAIPGCKTGKHTTEKPITKAVPTKPSKAVPVQTSKQSVGADTCSRCRQGFFCSDHGSQPKAQIPTATSDTNMVPVEKPAVPPPKKKIDLNEPRVCKNKGCGKTYKEKDNHDEACDYHPGPAVFRDRIRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAA >OGLUM02G19620.1 pep chromosome:ALNU02000000:2:20606806:20607465:1 gene:OGLUM02G19620 transcript:OGLUM02G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLLALAPWLLLLLLQLAGASHVVHRSLEAEQAPSSVPASIVSPLLRTGYHFQPPMNWINVLAIA >OGLUM02G19630.1 pep chromosome:ALNU02000000:2:20642557:20642961:-1 gene:OGLUM02G19630 transcript:OGLUM02G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTTPSTILPLSPIHPPLHQQAASRGEPRASLMSGPQSATAVIVIAAAPRSRMSRCRRGEQSPPPLPPTWRARGAVAAAAAIASRLARAGRRRRLGAIAATRQSRMDRWADAGEEKEEEKEKEEEEEEGNGG >OGLUM02G19640.1 pep chromosome:ALNU02000000:2:20643632:20649052:1 gene:OGLUM02G19640 transcript:OGLUM02G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLPEPPGVGGMETPEIFTGAAAATVVVRRAVVIGNGSPGAENQCLGLVRALGLADHLTLYRVTRPQGGINEWLHFLPVSLHKLIDQVLRQFFRNTRLAPVVEGRKHYRVPNGGSVGVGLSSVLEADAKKIVAVARDTFEKEGPTLIVTCGWDTISYSSSIRHLASGNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTAGGQEEIPRLFRRWITPQEPPGRNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLIVNIGGPTRNCKYGVDLARQLIASTYNVLDSCGSVRVSFSRRTPRKVSDIISKEFAGHPKIYIWDGEEPNPHMGHLAWADAFVVTADSISMLSEACSTGKPVYVIGTEYCKWKFSAFHKTLRERGVVRPFTGLEDISNSWSYPPLNDAIEVATRVREAIAERGWSVG >OGLUM02G19650.1 pep chromosome:ALNU02000000:2:20652007:20652957:-1 gene:OGLUM02G19650 transcript:OGLUM02G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTVEDLPGDVLACALRRLDGPSLAAAGCATSGLRALADDPDTWRALCLSRWPSLAAAEQRCVLSAAGAVSPRRLFADAFPFPCVDDAAAAAPLDGDDQRLPGELVSAVDVYHGGAAVVSRVVETSTSSSWFLASPFRVDAVEGKSPAPAPASVASSWSPAELELSWILLDPSTGRAVNVSSRRPVAVERHWYTGDTLVRYAVVLAGCKFEATVSCSEEAGQITEVSLAADDADGAAVSGEGCLRLLAAAMAGPRKGGRGQEGEAKRRYDEFVRRKRGRKESKARREVLVDLCCSAVSAVAVISFLAAVVLR >OGLUM02G19660.1 pep chromosome:ALNU02000000:2:20671541:20673098:1 gene:OGLUM02G19660 transcript:OGLUM02G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDLELRHLTSQLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCRVNNRTANSSGSSLTSYIGEIQRQQMMDD >OGLUM02G19660.2 pep chromosome:ALNU02000000:2:20670874:20673098:1 gene:OGLUM02G19660 transcript:OGLUM02G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEWKQQLVNELESTSHKQKHISSRGELVKEKIILSQEKMIRLLNEHIQNLQQQLLQCRVNNRTANSSGSSLTSYIGEIQRQQMMDD >OGLUM02G19670.1 pep chromosome:ALNU02000000:2:20680620:20686043:1 gene:OGLUM02G19670 transcript:OGLUM02G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVAEVLFANQTTPPRWWRLAVRFGFRRLRVGGSPPLALNCLSPPKFRIRSRRIEREREAPRIRMSLHIGRLSSHVRQRYLEHLFQRFGNCTVRLKDGYGFAVFDSNDDAARAMRALQGKFVCGERITVNWSKQQPRFSKDFRSSRNVESSHQRAPRVRDGNIRFRDSLAQKNHPASHDQGHSPDVAPEQKSSDGALEKKSDEKKSDGDVEDLKDVRETVGQDPVEMKRNEDVTSDANVIEHDRWEETGKGNPGRDDDDFDRYEPYHGYARQEERAEVVKASSQETYHHRFSSQKSKEYPAGHTAHDCPQKTDGGFEAWRDALSRQEMLRRFGSPSRRQPDTRDCSKKTDDRFEAWRDALSRQEKGMARLKRFGSPSRKRTEEFRVDKVVETHRMVQDGRKQFSDRTSHAHRLSNVSREDKRHTRCSESIPQTPKESRKRSRSKRSRGSSLSSDRSTSHSTSKCSRSRAHSPSHSAHSSSKSFQPTQPEGLRSMAVSNVSPLLVSGSPQHNLPSTAENKNSDFLVNSPLDRNLDSKTTTGLKHTRGYQQDIKDSRLSGESPVIPLRLKIQRNGELPVSGKDAYLDGYTETNLNNNLVYDDNVADGVQVQKTNSEDASSVKSSKDILVKSERSNSLKLTTNEVVSALKHYGMEARGTDLLNQPVEKYFGAARLWPWEVIYYRKLKKGPISTENYAKRLEQNKEYSIVDRYVRSSSGWWECH >OGLUM02G19670.2 pep chromosome:ALNU02000000:2:20678689:20680844:1 gene:OGLUM02G19670 transcript:OGLUM02G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCAKKAKVESQSAESLDRLSSLPPELKVAILSKLNVVDAIRASILSSAWRNVWTTLPHIILFDTYRISGTLRSYLNAYDRWMHMLSRKKPSSITIEFSCGNYYKISSCLFSISDLEYLQIERCIISLPRQFEGFKQLTVLNLKYFSSTDSDINNLISSCPRLNTLRLKYFKGINRLRIQAQALQVLEVKGSFEDFHLHAPNLSNVYVTLNKTKVADRSKNYMMQAFVSLTGIEALVMKRCMVALPQTFEGFKRLSVLNLKYIYSTEADIANLISSCPWLKTLHLKYFEGISCLRIQAPALQHLEVQGNFEDLHLHAPNLLYLTLDKTETEQCDAVAGDKKNYPKEAFVSLTSIEELSINGPSLTYLSEGCLLTKPPGVLDRLRKVSIGECFWHWTEVLGACSIFWNAPMLRELEIQSFSRPDDSWSQPIWDHDQTEIEEPTLHHLLTATITGFVGLEYEVDLVRLLLRWSPALEELKVVRDDEDVLNDACMCESLTKLLALPRASTKAKIIAI >OGLUM02G19680.1 pep chromosome:ALNU02000000:2:20687809:20688958:-1 gene:OGLUM02G19680 transcript:OGLUM02G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKRGKYVTVPVMSGGGGGGRGMTRGLDLKLNLSLPAVARAVSPAAALAAADDESSPSSCLSSESELRQQHGGGGGQLQWSDSPEATSMVLAACPRCFLYVMLAEADPRCPKCRSPVILDFLHAGGGGGINADGRRHRRG >OGLUM02G19690.1 pep chromosome:ALNU02000000:2:20691011:20691592:1 gene:OGLUM02G19690 transcript:OGLUM02G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDPSPRKRPSTFASEPCPRRDARFLPEVRTPRRLLAEQPGEEPRCARRCLWTPLPTPIFPFHPCQSWRQRRRSGRRRAEAGKRGGKSGSGAGEVDGDERWEGESGRERERRAAGRRRHGCAPLAAGRRPPYTAAASGVTAAASGVAATTFHGKKRREREREREAATTGDGEIRPRRAGSTASEVAAAASG >OGLUM02G19700.1 pep chromosome:ALNU02000000:2:20703019:20746996:-1 gene:OGLUM02G19700 transcript:OGLUM02G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKALQCKLFDNCEVTIIVPGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVHAVKEKTKIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDIDINNLISSCPLLSTFIQAPALQDLEVKGNFQDFHLHAPNLSNLSVTLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLIFSCPWLNTLCLKHFDGISYLHIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLSKGCLSTQLPGVFDHLKKICIEKCFWNWTEVLGACSIFQNAPTFRELEIWSFPRPEAYRCKTIWDQDQTEIEEPTLHHLVTVAINDFVGLNCEVTFTPVSGAMSYAKKAKVESTSAVSLDRLSSLPPDIKVTILSKLNVLDAIRTTILSRAWRNIWTTSPKIIVSDLYGISDFSEGTTARSKFVTLVDLALLLHNGPLVSFSIRCLRIYHDVFDRWMYMLSRKKPRSITIKFLWGDYYKIPSSIFSVINLEYLHLKRCIIRLPQEFEGFKRLTVLNLKYFSSTDSDINNLISSCHLLNTLRLKYFEGISCLRIQAPALQVLEVKGNFKDFHLNSPNLSSAYISPAKTEEAVNWKNYLKQAFVSLTRIETLVIKRCTIGLPQEFEGFKQLTVLNLKYFSLTDRDIYKLISSCPWLNTLRLKYFEGISCLRIQAQTLQLLEVQGDFEDLHLHAPNLLYLTLGKTEAEQSDAVVGDKKNYLKQAFVSLTSIEELTISGLFLTYLSEGCLLAELPGVFDRLRKICIEKCSWVWTEVLGACSIFRNASKFRELEIRSFYSDEEFWYQPIWDNDQVEIEEPTLHHLVTVTINDFVGLEHEIALVGLLLRWSPTLEELKIVREDADVPNDDCMFRVLTKLLALPRASSKAKIIVI >OGLUM02G19700.2 pep chromosome:ALNU02000000:2:20703019:20746996:-1 gene:OGLUM02G19700 transcript:OGLUM02G19700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKALQCKLFDNCEVTIIVPGAMHRAKKAKVEPSSALRSDKFSSLPQEIKVTILSKLNSLDAIRASILSSAWRNVWTTLPEIYLCDLYLSWGSSETTQRSNFITLVDLALLLHNGPLASFSILGFKRYHDVHAVKEKTKIKRCIIGLPQEFEGFKRLTVLNLKYFSSTDIDINNLISSCPLLSTFIQAPALQDLEVKGNFQDFHLHAPNLSNLSVTLDKTEAQQSVVVEGNRKNYLKQAMVSLTSIVRLVMKRCIIALPQEFEGFKQLFVLNLKYFSSTDHDINTLIFSCPWLNTLCLKHFDGISYLHIEGQVLQIVEVEGKFEDFHLNAPNLSHVYITLDKTEAQQSVAVVGDMKNYMKQAFVSLTSIEELTISGSFLTYLSKGCLSTQLPGVFDHLKKICIEKCFWNWTESFYSDEEFWYQPIWDNDQVEIEEPTLHHLVTVTINDFVGLEHEIALVGLLLRWSPTLEELKIVREDADVPNDDCMFRVLTKLLALPRASSKAKIIVI >OGLUM02G19710.1 pep chromosome:ALNU02000000:2:20749744:20753963:-1 gene:OGLUM02G19710 transcript:OGLUM02G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPRVVCPFLYPCLAARVNQELPQFCRTRSSAEALKDPTTASPRKYLSMGCLSTQLLGVFDHLKKIRIEKCFWNWIESFSHPEAFRRKRTWDQDQTEIEEPTLHHLVIVIINDFVALDCEVALVGLLLSWSSLDELKIF >OGLUM02G19720.1 pep chromosome:ALNU02000000:2:20755500:20760549:1 gene:OGLUM02G19720 transcript:OGLUM02G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHRKKYRYGILDADAADLPPVHANKWSSGMVKVKYECDDGDGGDAWRRKAILYDDVVGGGPSAAGPLVHRSHGRASSRPRSGGKHLRAGHLSSSLPVRFVAPEWSVWGPASSTNAVIKGDTSSSAVVGSAGEDVIGRRSTKPKPKPSGGVPAAAAAYVTKAKPVTPPKETALRTYGRDMTGAAAAAAAADPVIGRDDEVDRVVCILCRRTKNSAVLVGAPGVGKTAIAEGLARRVAAGDVPAALAGARVVELDVGSLVAGTQYRGMFEECVKKVIQEAESAAGKVILFIDEMHMLLAAGACKGGSMDGANLLKPALARGRIRCVGATTFDEYRKHIERDAAFERRFQKVLVEEPTTQATIAILQGLKQRYEEHHGLKIQDAAIVAAAQLAGRYITGRQFPDKAIDLIDEACSTVRLQIDSQKGVNTTGMQNNNGNTSVNGVNEAIVGPDHVAQVVSRWTGIPVTTLDQEEKEKLIHLANRLHERVVGQDEAVKLVAQAVLRSRAGLEQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEFVGSGSVLRLIGAPPSYHGHQDGGQLTEKVRTRPYSVILFDEVEKADPSVFNVFLQLLDDGMLTDGKGRTVDFKNTIIIMTSNLGAEHLTEGVTGERSMEAARDLVMKQVQKYFRPELLNRLSEIVIFEPLSHDNLKEVVKIQMKSVVTSVAHKGVSLLASDDALDVILYESYNPMYGARPVRRWVQKNVMTKLSEMLITGDAGKGSTISIDATDDKKGLNFQVLKEEVVVPRGKRPVQELQSDLDSDDDVIVFAPIPKRKKGD >OGLUM02G19730.1 pep chromosome:ALNU02000000:2:20764730:20768923:-1 gene:OGLUM02G19730 transcript:OGLUM02G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSPGFTVSPMLTPVSGKAVKTSKSKTKNNKAGPQTPTSNVGSPLNPPTPVGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVELDNGLSALQAEVENLSLKEQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEDLGGGATPSGHANVPKHQPTEVFNTTNAGVGQCSNSVAVDNNIQHSQTIPQDPSASHDFGGMTRIIPSDIDTDADYWLISEGDISITDMWKTAPDVQWDEGLDTDVFLSEDVRTPSSHNQQPSAVGGPQMQVSDMHKP >OGLUM02G19740.1 pep chromosome:ALNU02000000:2:20773737:20774201:-1 gene:OGLUM02G19740 transcript:OGLUM02G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGEPHRGLPLAAEDGYNDRGCSGDDEARVRMGKKGLNPSSTLAASPPLSPLTASSFGTIVWRPPSPRSGQPSATAVFASSDAVVTKPAICAATALYFLPSAQASVSSGPPPIAAIAVAVLTVPIRRCRCHHLPLGRKEEEWGEKEEEEEEG >OGLUM02G19750.1 pep chromosome:ALNU02000000:2:20774546:20778935:1 gene:OGLUM02G19750 transcript:OGLUM02G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFFVKIAKIWLGDACGRERRIEIGGPESVEIVCAVVPRPYLKARSHSGRRHPSSQTPLAPNPTQSPPHPLRSAAMAACCSSLATAVSSSSAKPLAGIPPASPHSLSLPRSPAAAARPLRLSASSSRSARASSFVARAGGVDDAPLVGNKAPDFDAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYDEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQDNPDEVCPAGWKPGDKSMKPDPKGSKEYFAAI >OGLUM02G19760.1 pep chromosome:ALNU02000000:2:20787825:20791298:1 gene:OGLUM02G19760 transcript:OGLUM02G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLQAAGAAPAPGLLRAACLRSHSHPLQCKALEQLVISILDDPSVSRVMREAGFSSTQVKANVEQAVSSSMEAATTKPQNPNPSSSSPPPAAHQEAKPSRCIDQVVVREEDVAAILDCLATLSKKRVMVVAECAAAAEAAARAAVDRIRRGEARQHAQAQVVTLAVSRFRGVPREEAERRLAELRCAVRGGGGRAVVLVVEDLAWAAEFWAGRRPPPSSCGAGAGGYYYCAVEHAVAEVRALACGGGGGGGVWLVGHGTYQTNIRCRAGHPSLETLWGLHTVAVPAGSLALSLTCADADAAADDDDSGAMAAAAVNHQSAKGANGSTSPSPCLSLLDAAAAGGACSSGQLAVMAAAVSGACCGGDCAAATKALLPRSVVFMPPSATTTTTTIPPWLHHCRDQEPAAHMKKWMSAHGGSPSRRTALNISSTAVSPCSSVSSYEQYTRLHQPYQPWLVADDDDEAEETKHPYIAGDGGAGRLVPAAAKVVIKSDDSSASNGSVEVEWRRPRFKEVSAENLKVLCGALEKEVPWQKVIVPEIASTVLRCRSGMAAPAMARRSSSCSSSKEHTWMLFLGGDADGKVRVARELASLVFGSSKSFVSIGGAANASPPPSSSSSSPARSSGSTEQPHRSKRPWAETTTTTTSGLDQDHLEALYDAVRDNPRRVILMERVDRADARCHDGIRDAIERGVVRSRGGGGEEAFLGDAIVVLSCESLNPSSTTPAKKAKTEYSVEKLDQDGDDHHGKEAVAAAASPSCFDLNMSMDDDDEAAEERCTGEEEEAGHHHHQLLLKAVDRVLFFRSIGE >OGLUM02G19770.1 pep chromosome:ALNU02000000:2:20803980:20812481:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >OGLUM02G19770.2 pep chromosome:ALNU02000000:2:20803980:20811734:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIINPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >OGLUM02G19770.3 pep chromosome:ALNU02000000:2:20807387:20812481:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLLLVMQHH >OGLUM02G19770.4 pep chromosome:ALNU02000000:2:20803980:20807165:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPSGFILFPLVVHSFDLVISSVGILSIRGTRDSGLISPIEDPMAIMQKGYSITILLAVVTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGLESTALPVLVISVAIISAFWLGHTSGLVDESGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQLPFKEVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVNEVRRQFIERPGIMDYNEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGIIFRMLGHATGQPLLGAKVVAAMLMFATVAGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >OGLUM02G19770.5 pep chromosome:ALNU02000000:2:20807387:20811734:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLLLVMQHH >OGLUM02G19770.6 pep chromosome:ALNU02000000:2:20807387:20811818:-1 gene:OGLUM02G19770 transcript:OGLUM02G19770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMEADMENGRLYPERPRTFSTVRTKSSLPPIFRVLMRINPRAFIVLLLLVFSGVLYVGASTSPIVLFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILALVILGIYLFRSTTPQQEASGVGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVGMAVFGVAILYATFYVWLEVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEGKSLLLVMQHH >OGLUM02G19780.1 pep chromosome:ALNU02000000:2:20815839:20819501:-1 gene:OGLUM02G19780 transcript:OGLUM02G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Threonine--tRNA ligase, chloroplastic/mitochondrial 2 [Source:Projected from Arabidopsis thaliana (AT2G04842) UniProtKB/Swiss-Prot;Acc:F4IFC5] MAAAVSAPLLRFHHHHRCLLRHSSSPRRYPAGFSRGLSSVSFGLPRSTTTAARSTASPSAPSAAAAEATDAASAQAGSDGKGDGVGEEERVVLPTNESSDRLLRIRHTVLAFCRLPFLTSLPVINLCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILEGIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRRAVELESVAGAYWRGDESNQMLQRIYGTAWETEDQLKAYIHFKEEAKRRDHRRLGLDLDLFSIQEDAGGGLVFWHPKGAIIRHVLEDSWKQIHLQRGYDLLYTPHVAKAELWKVSGHIDFYKENMYNQMDVEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLNLTEQILGQFGFRYYEINLSTRPEKSVGSDDIWEKATLALKDALEDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAILGSLERFFGVLIEHYAGDFPLWLAPIQARILPVTDNELQYCNEVASELKSKGIRAEVCHGERLPKLIRNAETKKVPLMAVVGPKEVQARTLTIRSRHSGEIGTMPVDEFFRRIQLAIANKSSSL >OGLUM02G19790.1 pep chromosome:ALNU02000000:2:20830026:20836334:1 gene:OGLUM02G19790 transcript:OGLUM02G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEGEAAHGVFAFAAAADSASADDPMTVKMLRDAAADVVSSSTATRIHLFREILPPLLSRGSDSALFVAQLIRFIFRTLPVYDDQASNNAVDDLVQLALRKPTFLGHFAFMLVETMEQNMKFSRPPLLSDVHKMYVEKVRNSMFHVRESPQFFKLILDFAMTSSSLSSEYKHSDEQMRADALVIVGTLIIKSTDPETLTTMLDAITTSLGGSKEELSNTYKRIGMINALAELSTSPAVHQINTVAASISGFLMTCYKDDGKVKVFLSMLDALIQMDLSIVLPAIDSFTSTTQRLDGIYTLFAVSRILAVDTDASLPTICYAIYDACGQVDLFTLICQNELSSNSALSLSELSDEDCLVAVDLLLIHLACHPHREVRKLAYVATEKILAITAVLGQDLLLLFNNWLSLIGNRTLTLEQRSTAANLCPTPIPSTGVLVRFLFLIAPYAVGHNPRSYSQLILCSHHPCISNSRPAAVWKRLQRVLKHQQIVFINLIATNMSAIFMELLRQDDFLTCDEYALEARLHSLRTVAAILPNNGLPEFEGGNNLQQARSAEPSEAANAPPTVSVPAGSNATHPVTPVPQPPAPAADQLQDMIPMQKEVSKFVCSYFFID >OGLUM02G19800.1 pep chromosome:ALNU02000000:2:20840447:20841876:-1 gene:OGLUM02G19800 transcript:OGLUM02G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDDTSFIQIKYCRTKKKSPAGTAAVADDLFADGCIKPFYPVFGRAGAGGGGDRQXGVRPCRRRGRRRSSAVAGQGRCGDGAAARTTGRGPRSGGSSSRSPVARSTGGPLPRTSSSSSAVASDEGGLDGAPPESYCLWTPGAGPASASASPRPPRKSGSTGSMARWRRIKYERETRTQQSLSTRISELVVGRSHSDGKEKFMFHPIPPPSSKENDVDHIKPKPKPTPASGRKTVQVAAAAEIDTVAAMHRIAYSAKCPSFETGHCEPSDRCAPVICTVGNESMADDGTGAGPGRSFWVSPRRCRPYQSTTRRAAHGEGLGRERERSKRERVGTGEEEGKERVDDMWVPQYFICANDIWVPYFFNFCFCSNAT >OGLUM02G19810.1 pep chromosome:ALNU02000000:2:20843325:20843999:-1 gene:OGLUM02G19810 transcript:OGLUM02G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKHHKREHHLRRCCGGMAACILALVLVVGFIALVVYLALRPSKPSFYLQDLQLRSVDLGDPSLSATAQVTLASRNPNDHVGVHYRRLDVFVTYRDEPVTVPVSLPPTYQGHRDVTIWSPVLSGESVPVAGFVADALRQDVAAGYVALQVKVDGRVKWKVGSWVSGSYHLFVSCPAMLASAGPGGVGPMPLGGASAAVVNGTGAGAVASLRFTQPTGCSVEV >OGLUM02G19820.1 pep chromosome:ALNU02000000:2:20851981:20855957:-1 gene:OGLUM02G19820 transcript:OGLUM02G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP protein [Source:Projected from Arabidopsis thaliana (AT5G04840) TAIR;Acc:AT5G04840] MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSEDPFVGEPPCWLDDLLADSGKSHTLPPLRRACSDSDAILDVLTTFQSPIYPIDEGDPQPVGEAGESFNAAAEGGGSGAGIEGSCVYGPNSPRQKTRLTSSESSMVNAVLENVPSNPLQYLMIDATSGVNCNVGAANGTGDTGDAVCHADQEKSLKRRSGQRSRVRKLQYIADLERTVDSLQNIGADLAVRVASLFQLRNALSMENKQLRRQITSLQQAKLIKDGQTQMLKKETERLKQLSVRHRRSRSVTSCFEANSFGGDPSAINWQMLDMSKLSLNGGAVVPPRGGYGM >OGLUM02G19830.1 pep chromosome:ALNU02000000:2:20859806:20860075:1 gene:OGLUM02G19830 transcript:OGLUM02G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSEPGGSGFDSGGDGGGSSAGKRAMAAVASMMVGKPDDNNFDGGGDSGGSSKRRWEMGGGDNFDDGQKAQRQLASRAGKQLPTMGNR >OGLUM02G19840.1 pep chromosome:ALNU02000000:2:20865142:20869515:1 gene:OGLUM02G19840 transcript:OGLUM02G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSPSPQRPASMGRGGGGGGGGGDASAALSFIYRGWREVRDSASADLRLMRARADSLRTLADRELEHLLVSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPKISELRRQYAASGDWELGLGRRVLEGWVAPPPPRGATTARVDLSGITAIRNALIPEVAGGGGASTAWWSGDEMEEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLYLAQLVQVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSQTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >OGLUM02G19840.2 pep chromosome:ALNU02000000:2:20865142:20869515:1 gene:OGLUM02G19840 transcript:OGLUM02G19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARASTSPSPQRPASMGRGGGGGGGGGDASAALSFIYRGWREVRDSASADLRLMRARADSLRTLADRELEHLLVSASTTVAAPAPPVAAGAPIAEVEFVRNRIQPKISELRRQYAASGDWELGLGRRVLEGWVAPPPPRGATTARVDLSGITAIRNALIPEVAGGGGASTAWWSGDEMEEEEEKEWEVVRMIRGGLKELERRSQSSGEILGGIPGPSELVEKFKSSLKSFNMEPLGSKEVPPLDLTEIMANLVRQSGPFLDQLGVRRELRDKLVETLYSRQNHSLSADSSLLGDDNSTDELDLRIASVLQSTGYHTDDGLWNEPSKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLARNSKQDVTLVVPWLCKSDQELVYPNSMTFSSPEEQETYIKKWLEERLGFESNFKISFYPGKFSKERRSIIPAGDTSQFISSREADIAILEEPEHLNWYHHGNRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIICNVHGVNPKFLKIGDKIMADRENGQQSFSKGAYFLGKMVWAKGYRELLDLLDKRKSDLQGFKLDVYGSGEDSQEVQSTAKKLNLNLNFFKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYRTPEEFVARVNEAMAREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLSQPVTEGVHRSQTRRTIQSNLSDAMDGGLAFAHHCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGW >OGLUM02G19850.1 pep chromosome:ALNU02000000:2:20873701:20875029:-1 gene:OGLUM02G19850 transcript:OGLUM02G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTB6] MEEAAAEVPSYFLCPISLEIMRDPVTLATGITYDRSSIERWMFGGGGGDGGKGTCPVTRRQLAPAEREATPNHTLRRLIQAWCAAHAVERFPTPRPPVDSCRVAALVDEGTTTMLGGGGRQRQLAALREIKAIAAESDRNKRCVEATPGAVEFLVSVVVQSHAAASTSASSDDDDLFDSVIDSPMSTSSPEEEALGVLYSLKPSEPTLRRVLGKDNGVGFLDTLASVLRRPSYRSRAYAILLLKAVTSAMPPERLMAVSPELVEEVVRVVSDGVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEEGGGGRRRAAELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKRAMRVSPAATESAVRALHAVARNAATPAVLQEMLAVGVVAKLLLVLQADGGERARARAREMLRANARVWKDSPCLQAHLKASYPS >OGLUM02G19860.1 pep chromosome:ALNU02000000:2:20892556:20893383:1 gene:OGLUM02G19860 transcript:OGLUM02G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASDGRPSPRSRQLQGPRPPRLAVSKDSHKVRKPPVVPQPRGGGGVVAGPSRPQQQQQPRAPVIIYDASPKVIHTRPSEFMALVQRLTGPGSAAVAAVAAPVAAGFHAEASSSSSASASALPPQFQLPQEFMLSPTAALSPAARYAAIERSVRPLPPTTAHYYTADADDPILLDVDGDAAAFAAALGPARPSILSPVPSALPPAASSGLFSPLDQASLSWLSDLSPFLHSAGAAAAPPPPFAPSPRSLLLSTPTMPSPATFSVMEFFSSNFPDL >OGLUM02G19870.1 pep chromosome:ALNU02000000:2:20897457:20905625:1 gene:OGLUM02G19870 transcript:OGLUM02G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-metabolising metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT5G63420) TAIR;Acc:AT5G63420] MVALASLSSLSPCGLARRRSSSSAASISCCAAPPPPSAKGSQESRTPRRRVRKTEGATKSLEDSVKRKMEQFYEGLDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDFDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSSTPIFASSFTMELIKRRLKEFGIFLSSRLKVFRVRKRFQAGPFEVEPLRVTHSIPDCCGLVLRCADGIIFHTGDWKIDESPVDGKIFDRQALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSIKAAADLTGRKLVFVGMSLRTYLDAAFRDGKSPIDPSTLVKVEDMDAYAPNDLLVVTTGSQAEPRAALNLASFGGSHALKLSKEDVLLYSAKVIPGNESRVMKMLNRLTELGPKIVMGKDAGLHTSGHAYHDELEEVLQIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFVALGKEDLRLMYSDGDKAFGTSTDLCIDERLRIASDGVIFVSMEIFRPQKELASSQSGLKGKFKITTRCLWLDNGRLLDALYKAAYAALSSCPVNCPLSHMERMVSEILRKMVRKYSGKRPDVIAVATENTTVSFVEDSETKSSGKFGSFSAPRHSSRSSGRSLEESDKSRPENTEGEAKENLPDVLRTTRDDATTSSNGEAFFSSDLHKPKTLEHFWDSFKSPTAVKIARIVNGSAQGSKSKIGKISIVGKDSSDPSSAPVKSSKKNKWKPEEIKSLIQMRGEMNEQFQTVKGRMVLWEEISSSMLSQGISRTPAQCKSLWTSLVQKYEESKKDEESVKTWPYFLDMDRVLSSQGEMATQ >OGLUM02G19880.1 pep chromosome:ALNU02000000:2:20921462:20924896:-1 gene:OGLUM02G19880 transcript:OGLUM02G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSDYSDDGEDDVFFDAFDDDDDDDDNNRSSTEISTSEAGYELWAGEPMSVRERRHRFLKGMGFLEPGPTGTAFPQWLAEIATTDCCSFHDFEERISSICSSFRSCFSDSILAATDNTNDSADNCTRDVDYNSSGRRSTTSHDQGQHDVLSEIVEEAGTSSDEMVTPNAPEIVPGFSKLMRKLLRIRFGHGPKRNEFKSLWEIFMRKKVLDRVLSMDDVHVQPRGLNSGTLYRTKVHQQNKKWMDFTAVYMCQEIQAHEGLIRVMKFSSSGWHLASGGEDCVVRVWQITEVESSPDLYGRDVPEDMNKKKDVKIKPLAIIPKKVFSITETPLHEFQGHTSDVLDLAWSKSDFLLSSSKDTTIRMWKVGCDDCLAVFRHGDYVTCVQFNPVDERYFISGSIDGKVRVWDVSDKRVVDWDDTKYIITAISHRPDGKGFVVGSVKGRCRFYDQSGLFPLGRNIERNKLMRIKRRRCAANKITNIQFSQGHPSRMIITSKDHKIRVSEGHKITQKFQGQWRSKVLVPPSLTPDGRYLISAGRDSKIRIWNFDGGGRRRRVVSSRELFFSEGVTAVAPWARAMGGGGGADAPTLCYDRERCSFGTWFVVPDGAAAAAATTWPEERLLPSLRYVNCAGMDDCRSQVPAAWNMVVVTGSRGGAIRAFHNYGLPVRL >OGLUM02G19890.1 pep chromosome:ALNU02000000:2:20927237:20948960:1 gene:OGLUM02G19890 transcript:OGLUM02G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLTGGTRLLPPRGRARPVYKRIGMDDMYDTNSDLLISAWTGRVGRTPAGEGQPASLPWTQVGLQGQHRRDQTLAAAGFGTLPTSSMVEDRFAQDEGHYIDNSHRHIPSSGQEEGSCGHDVVVPNNHDGYHDDLDLDILFDCVVVPVPGGHLNSDAAVFIPITVGSQDLYSANATAYRHLHHLRNHHKRLVHDAETLERAIENSLSSVNSLALHRGGRCLIMELMNAIKSTGRAGKEKEKE >OGLUM02G19900.1 pep chromosome:ALNU02000000:2:20931754:20932035:-1 gene:OGLUM02G19900 transcript:OGLUM02G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSPNRHYRHPPKPFVAPWCRYPLLCNQPPFSTTTVMKLHLFPVSSKVPQHRRSLLPPAALLLPSNQLAKMPLLLAPSSTDYAALAAPPLAC >OGLUM02G19910.1 pep chromosome:ALNU02000000:2:21002781:21004178:1 gene:OGLUM02G19910 transcript:OGLUM02G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTC2] MVRKEMGGGGGRLAAEYQGLEVKVPTFFRCPISLDVMRSPVSLCTGVTYDRASIQRWIDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTAAPPSSSSSPVAASAVGPTPAAAAAELLRRVGAPGVDPCPALRKLAAFLSDDDVDEFDKNALARAGGAAETVASVLRRRGKGGDDGDDDAGGVEAVEAAVRVLAVLATSDCIEEENRRRVAAALAAGGAAPSVAASLARVMRSGSGLEARVDAARLVESLLRDGAGAATPGVRAALAESEELVAELIRLVGPADEKGSLDARAVDAGLSCLAAIVAGTRRARAEMVRLGAVPAAVRVLATDQRGVGGSHSHAQALRVLEAAVGSAEGRAAVCEVAEAAIPAVVSRMMRCGGMGGAEAAVSVLWAVCHRYRDRRAVEAAAASKGGLTKLLLLMQSGCSPAARQMASELLKMFKVNAKSCLAGYDSKTTHIMPF >OGLUM02G19920.1 pep chromosome:ALNU02000000:2:21022886:21024506:1 gene:OGLUM02G19920 transcript:OGLUM02G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAGRRAHSSSAFLAAAQERAAGSMAPPHLAVGRSRGGGARHRPGQGGGREEGTLVLGVLGGGSRKGARSTAFLAAFLGHHCHAHAGSVLWQSKDCGYPRPSTSSRQKYDLVMSLLESMPTNDNWGRKPQRRLGH >OGLUM02G19930.1 pep chromosome:ALNU02000000:2:21028890:21032676:1 gene:OGLUM02G19930 transcript:OGLUM02G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43710) TAIR;Acc:AT1G43710] MVGSVGNGLVDLGGAAVAVNGVGKGMRPEAVAAAVAVAMEVESPPRPAEEEGEGSPTRREIVLGRNVHTASFAVKEPDADDEETGEREAAMASVLALYRRNLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARIWELEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLISGEIDCEDFQRKLLLNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEEGGFKDRFYIHCDGALFGLMIPFVKKAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINRLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYRGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVTIDKLDYFLNELTEKRATWYQDGSCQPPCLAKDVGEENCLCSIHKK >OGLUM02G19940.1 pep chromosome:ALNU02000000:2:21036898:21037506:1 gene:OGLUM02G19940 transcript:OGLUM02G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAPAYGHGESSAAAAAAGASQVIAGQEAPAGGDVVAGPAGTSSFDANVVMILAVLLCALICALGLNSIVRCALRCSSGGRMMMSSSSSAAAGDDGELGPSAAAQAAGVRRKALRAMPTMVYSAAGGPSPACAICLADLEPGERVRVLPKCNHGFHVRCVDRWLLARSTCPTCRQPLFAAPPVRPFLAPLRPEGFVTPYDF >OGLUM02G19950.1 pep chromosome:ALNU02000000:2:21043155:21046988:-1 gene:OGLUM02G19950 transcript:OGLUM02G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDWPGPAHPRVRNLLLLHLLTPHLIPPFASPHPPPRPPPPSSALAAAPLESGSSFVEVVASPRRAAVASMSDEEVSDPKALLEDRSKAKCVYQWYEYQKCVKRIEDDETGQKHCTGQYFDYWKCVDKNVAEKLFDSLK >OGLUM02G19960.1 pep chromosome:ALNU02000000:2:21048819:21049765:1 gene:OGLUM02G19960 transcript:OGLUM02G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVGATIFYCVALSMVIVMTQLPPTEADSVAAAEFASSDLKAVKLTSRKLMGAANAPAPLGKKCKAASVTECCDAFKEIACPHNTLLNDLDNGCGDDMFYFIHTYGRLPPGTIFKKCVEGPYGMKC >OGLUM02G19970.1 pep chromosome:ALNU02000000:2:21052925:21057552:-1 gene:OGLUM02G19970 transcript:OGLUM02G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSPEPPPNPTPVPPPPPPAPSGNTAVEDSEAGPCIPRVQAATGDYTPWLGQEFASEHEAYEFYRYYAWKLGFSVRREYANKSRKTGEITSRKFVCSREGFKAPDKRTNHTRTPQPDTRTGCHANLVIRRKNDTSKYEVYAFEAQHNHPLFIPSCANPLQRKLSDVQSSDADNSGSEFKACINDYEEEVELFTAWEAMISKYNLHSNRRKKKGRNAKSQRKSCIEKGLQKTKKVQPEQSPIQYTMLDATQPGNVLFQGLDISNPFPMGQLNYGGVQPQPGLCPSLPTVSRELGFAAYLSQPSSNSQHNQQKSTALLKVIVHICFNLQYNSWDRTGPVEDQINFSNSYQSVIEATKNSHLPGYIHQQHVHAMLRSTTS >OGLUM02G19980.1 pep chromosome:ALNU02000000:2:21059267:21060191:-1 gene:OGLUM02G19980 transcript:OGLUM02G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASPAAVALILSRFAPPFPPHPPPGLPENRERERMGKREVFSTYGPAAGEARWERAAGNGRCGGAATREKGRRSGGRDVKTVLGEMTRATGGALRGSPALGDDDGLGTSQRGAR >OGLUM02G19990.1 pep chromosome:ALNU02000000:2:21066820:21070731:1 gene:OGLUM02G19990 transcript:OGLUM02G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLPPRGALVHGHGHGHGGGVGAFDLEATMQPPPPFHFAQDPHLHHHQGMVPVRGNPMLDLGNVVKTSPSDEEDVDDGHHHGGGGGSGKEASQWHRVKWISGMVKLLVSAVAYIDEDVDMDYGTGSAARSKHAMLKRKGKWRLVSAAMTERGFPVSPQQCEDKFNDLNKRYKRMTEILGRGTACQVVEHPELLEGMRLSGKLKEEARKHLNSKHLHYEEMCSYHNRNKMCLLDDPALQKSLRLALRSGEEHAKKNPFGYDDEDFSDDDDEDEEFDDLEVSAEDHHHGIHGAKRLKHDQEETHFGSNLSEVAVIDMNKMLSEGSGGPTAEKSPSTPGMRDIRLEKRRLKIKAQMLKIEQKHFKWLRFSKEKDRELEKMRLENEKMKLENERLELELKLKEIEMGIKPKKIFSD >OGLUM02G20000.1 pep chromosome:ALNU02000000:2:21071316:21073105:1 gene:OGLUM02G20000 transcript:OGLUM02G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPGDLKVKGGEAPVVKEDAAVAPSPSPAAEEKEKNVDEAPAAAAVVAVAVESEAAEAGRRRSLSDLLKEDAESEGSEAAPAPSAEATKAVETESPAAAAESGIAAAAAEEEKAEVVEPSPATSEQVAAGEEEEEKETAAAAAEEEQVMAVETSPAAPEQGAAVGEEEEEEEEEEEETAAAAEAEEEQVTVVEPSPVAPEQQAAGEEAEETAAAAAVEQVQVTVVDPSSPAASEQETTGEAAEAEAGQEGGGGGAQEVAEEEKRVDPGSVQVAAAASSTPPPPPSSADDEEERSKEEAAADVSAPAAPDEN >OGLUM02G20010.1 pep chromosome:ALNU02000000:2:21075802:21076892:-1 gene:OGLUM02G20010 transcript:OGLUM02G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALCGVALLLLLLAIEVSGGGGGYGEEEKVPLSAVIVPDPSPELREPTSPSPSLAPAPTPVSGAGGGDDDMRPRLPTERWRRGSGSGRGEERHTGGGGGSHHAHGHGHAHAHAHAAAPSPSSSWAPARAPSPAASSASAAAPDPAAPGQSGGTAFIRSSPAVPVPRGVTDTATILPMPTPGDKHQEVGAAAASARAGSMAPVVVGLITMMASFWALR >OGLUM02G20020.1 pep chromosome:ALNU02000000:2:21082250:21082976:1 gene:OGLUM02G20020 transcript:OGLUM02G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVHHRGHDTKRKEMDELHPHSMSACRRCLPSVQAYTSTQKPRMVECPMPPSSLFSMVSKLSNWGEGANGSAMTSSCDPRTTTAPVPLPPNQPSQRRKQREKSPSQTSPALVTAVAAGEKGTNTEASWSVWRGWWMRQSRRRGSWSRRKRWRKATRRKGLQTREERTRSAPVGSRRKTSRGRSLVSVVTMMVDKPFPSSSPPPLHRLHPNVDAGDMLFLSSSLRLTTRH >OGLUM02G20030.1 pep chromosome:ALNU02000000:2:21084131:21085502:-1 gene:OGLUM02G20030 transcript:OGLUM02G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHHKKDGEESSGVVDYDKEKKHHKHLEQLGGLGAIAAGAYALHEKHQAKKEPENAHGHKHHEKKDAKKHAADQY >OGLUM02G20030.2 pep chromosome:ALNU02000000:2:21084131:21085508:-1 gene:OGLUM02G20030 transcript:OGLUM02G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHHLFHHKKDGEESSGVVDYDKEKKHHKHLEQLGGLGAIAAGAYALHEKHQAKKEPENAHGHKVKEEVAAVAALGAAGFAFHEHHEKKDAKKHAADQY >OGLUM02G20040.1 pep chromosome:ALNU02000000:2:21087820:21091045:-1 gene:OGLUM02G20040 transcript:OGLUM02G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPKAKRPTPPRAHRRSPAAGAGGLGLAAAAYVGVDYARRHLSPAWWRWHGRLQPALWGALALAAAARAPFYRRWDAELRAAPRFLAAMALMLAAFLCEAVSVRFVSTVLGLQWHRSTAPLPDTGQWLLLALNEKLPQIVVDLLRAPIISLHHYLMLFIMLGFSALFDCIKGPGLGIAARYMFTMAVGRLLRTVTFLATILPSARPWCAEARYRIPDHPHPWAQKYYAPYASDPDAIHRVIQEDMPYAFVKEYPGEYRPSWGHMSFLVDILRPTVEEGSSWYHFLKKASGGCSDLMYSGHMLVAVLTAMAWTEAYGGWISVVIWFLVLHSAQREIRERHHYSVDCIVAIYVGILLWRMTGFIWSAIDNSHARRLAKLDKVQNRLFQAAKDSDMDEIRGLLNEVELAGQERKGFSQRVILSFSSAMIVFTLSFQRNGSSHGSLTWNMEMDQ >OGLUM02G20050.1 pep chromosome:ALNU02000000:2:21098367:21098657:1 gene:OGLUM02G20050 transcript:OGLUM02G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFLDHFAIASYLEGVKRAGVQVPRDGGKVAGDGAFDRHGVAGAVRAAVVEEESKAVLAANARKLQEVVADAECDDRCIDAFVQQLRSYKEKLI >OGLUM02G20060.1 pep chromosome:ALNU02000000:2:21140684:21142331:1 gene:OGLUM02G20060 transcript:OGLUM02G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGGHEDAPPNGDHHPPAIVANGDDQEPAANGDDQAAANDQAAAANGGDQAATAANGGEHEEANGDLPNGAGIRAPRELRRVEVDALHQVLLRMPAAEVARCSGVCRRLRDLIATDAFRRGHQRHRSRHPMPLFFYRLDHWAFPDRVRVHLRAVDVAARETHPVIRFSHADADLRSADPRVFTIEVSCDGILLLSYHTRLYACNPSTRRWRRLPPLHDDHVIVGFYGHGAIDEREYRVLYHTTRPGCRYWVFSLSFFPDQPPRDIGRPADLEAVRDVLAEGISPSYEMPPVAVAHRLHWRAQADSRNVLVFDTVAESFGWIPPPNQQEGNQMIPVEGDQLLEINGTLVSQTTVDVWVLQEGELNVFGGYDDEGFVSAAVFAVSQERNVLAQCPAMMLQCDTEGNVLRFYSLAGHLTVLPRYMLQESLLTHAFLPMRQEDAIDGDPPFFQVRLKY >OGLUM02G20070.1 pep chromosome:ALNU02000000:2:21146449:21150554:-1 gene:OGLUM02G20070 transcript:OGLUM02G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEGRGPRRVRNTCILAHVDHGKTSLADHLIAAYGGERRVSERMAGSARVMDHLEEEQRRAITMKSASIVLRRGGGGGGGGDGDGHRVHLIDSPGHIDFCSEVSAAARLADSALVLVDAAEGVRVQTHAALRQAFVERLRPCLVLNKVDRLVAELRLTPAEAHARLRRIVSEVNSIYSALRSRSYFSTLDAAFALSQELPDHGGDDDEEEDAFQPQNGNVVFACAREGWGFRLVTLAKLLAPKLRADPAELLKGLWGQKYFDERSRTVVGKEAMAAAAAANPKPMFVKYVLEPLWGQYHKMTRKLRLAEAVFDMVVECTPNPIAAQATRVARLMPAKTEQLTAAAPCPAAVAAEVEKVRRCVATCNASTSAPVVVFVSKMFAVPYRFLPSRGVNGEPLNHRGSSSAESGECFLAFARVFSGVLRAGQKVFVLSPMYDPLRGGDDAMQQKHLQEVELQHLYQMMGPDLEIVSAARAGDVLAIEGLGHHVLKNATLSSTKNCQPFSGMMFQVSPMLKVAIEPSNPSDLGDLVKGLKLLNQADPFIEYTVSERGEHVLAAAGEIHLEHCIKNLQERFARVQLEVSKPLVSFKETIQGESAGIMESLKASHEFVERTTPNGRFTVRVKVFRLPNAVTKVIEDSKELLAQVIEGDSGNSNGVLNSRFSQDGGDSALTLRQLLINAIDSDLEALSAQLDDEKTESYRKMLIGYLQRIWALGPLQVGPNFLLSPDAKSSDGVFTSQDGREGILVRCTCHVSERLGLVNSSDAEPTMGIDGSQSSADVPDLETVKNSIASGFQIATNAGPLCGEPTWGLAFLVEPYILPDSADASNNQSDHYSTFSGQIITAVREACQAAILESKPRLVEPMYFCELTTPTEQLGSMYAVLGNCRARVLKEEMQEGTSLFTVHAYLPVAESSEFSKKLRNATAGAASALLAFSHWETVPQDPFFVPKTREEIEEFGDGSNIGPNLATKLMNSRCRRAREKKVEVKVDPIGRTAAGRRSKGDGIRNRPGIMAAAVVLASAAGVVAAAGVIAAAAVVIVAVAGVVSAPLQRPWLQWISRTPSAARSQVSRR >OGLUM02G20080.1 pep chromosome:ALNU02000000:2:21150728:21154682:1 gene:OGLUM02G20080 transcript:OGLUM02G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64730) TAIR;Acc:AT5G64730] MAAAAAAARLPRTEARVLSGHEGAVLAVRFNRDGNYCLSCGKDRIIRLWNPHTGALVKPYKSHGREVRDVNSSSDNAKLVSCGGDRQVFYWDVASARVIRKFRGHNSEVNSVKFNEFNTVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTNTEIIAGSVDGTIRTFDIRMGRETVDNLGHPVNCISLSNDRNCLLANCLDSTVRLLDKSTGELLQEYKGHICKSFKMDCCLTNDDAFVVGGSEDGYIFFWELVDAPVVSSFRAHSSVVTSVSYHPTRACMLTSSVDGTIRVWT >OGLUM02G20090.1 pep chromosome:ALNU02000000:2:21180808:21183318:-1 gene:OGLUM02G20090 transcript:OGLUM02G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYSRGGDGGGEGRWVVMRDIVINNKTVCTTPGPMLVATFAVSPDLRTTEKFMVTTTVLMTFLGAALFAVGVLGRFSGRHRGHSSATRIFFRASFALFLPFMSFMFSQAKGRDLPFRAYLILLWMLLVELLRKKVFAMVAPAGDAFSRGVGRYSLFDAVEDAARMIWIGYLVYSYVHGFAVKSLFIILWIFSVAKLCKRAACIHLAKGSFDLAKNATLVSGYMAQLVGEHRQLDLQVVDDGGDVRGIRTMRACNYTVMGESELKINRTPHGFEIDGLEDILAAGGTSGDGDGNGKTEEQLVRVSTIWKLAESDPLFKYNVRRRQKLEDICLGMALYKLLRRRIERCHMAERGTPEARAFVLRGLLALGGGDRGGEAADAERAFDVVEMELRFLVEYYQAIIPLALPKPGIFIANFAFSVVFILLYCIAVLLVTGNGNMFRVLGSLFQGFIGISIDMVVQFRCFRHQVSALVGMVCSSSDLIVTFLLTLTLFSVETYELAQYLLSDWFAASMLCNYARMRINRDHQRRQAAQQRHAQRAIRGGLWVRHRSRPVIKAHQVTMLKLHQLHPRRVWMLASRILSRRLAGLRPAVVTAEAKVAIVAALKAFLESDGGDGGDLQFTSCVAVLRRHGFFHHGPEWACDSSKGAATVILAWHLATALLEARGDGEPLPRKGEAAVTLSRYCAYLVSYEPGLLPDDPEWTEKAYNDVKSVLGSFFRSCSCATAGRREKLVRFGDDWEAEAPSAMARGVKLGKLLEDRASESTEGFEEVWTMLLEFWAALLVVVAQRPSAGPEGHALALANGGEFITHIWAMITHAGVRVHRHHDYQSFPVTHVV >OGLUM02G20100.1 pep chromosome:ALNU02000000:2:21185037:21215008:1 gene:OGLUM02G20100 transcript:OGLUM02G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQFLQTHTKTARVCVRHGIGYGHVSDTAGYVSGTYRAAAATAPSAIAADGLCRRRHTALHLRRWPNTVTTNAPHALQCRRCCRAASSTVAAAELHRRRALGCASPPPTLTNSGVQAVARQGKRRRPGGGSGGGRGLPSTKSSGRGGQPVVAGNLVLPSAKSGRRRCSGMGGRPELSSTLKETGGCMSGGKNRIRPLRLAVHQLKQCCHESSKRPFRRGA >OGLUM02G20110.1 pep chromosome:ALNU02000000:2:21188074:21188397:-1 gene:OGLUM02G20110 transcript:OGLUM02G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGEVRVEARAREREKSRGGAEEEVDGYTCCRLTQAAGVHPGGAKWQAAAGTMVTPRPRARTRGAPASVLPGATTPRHTPDRRRSQVTHAYESDGYQSSIKIL >OGLUM02G20130.1 pep chromosome:ALNU02000000:2:21287217:21289490:1 gene:OGLUM02G20130 transcript:OGLUM02G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVGNMHQMLMNKPVFRWIHRLLDEMDTEILCLRLGGVHVIAVASPEMAREVLRKNDAVLASRPSSFASRAFIFGYKNTIMSPAGDQWRKMRRVLASEILSPAMERRMLGRRVEEADHLVNYVYRNCNNGTVDVRHVTRYFCGNIIRKLVFGRRHFNSGDGNIGPGRDEEAHIDALFTALDYLGAFSVSDYFPALVGLDLDGHEEIVNGLMNTFSRLHDPIIMERMEEWKSLRRNGDKRREVADFLDVLISLEDAQGKPLLSLDEIKAETLEIILATVDNPSNSVEWALAKMVNNPKVMKKAVDELDMVVGRERLVEESDIHNLTYLKACIREAFRLYPYHPFNPPHVAIADTTVAGYMIPKGSHVMLSRIGLGRNPRAWDKPLEFQPERHLKNTGTVVLAEPELRFVSFSAGRRGCPAVSLGTSITMMLFARLLLGFSWSIPPGDDRIDLQESATSLQLSKPLFMQAKPRLLLHLYEADILN >OGLUM02G20140.1 pep chromosome:ALNU02000000:2:21317851:21318498:1 gene:OGLUM02G20140 transcript:OGLUM02G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAVFAAAAVAATSAQTCGKQNDGMICPHNLCCSQFGYCGLGRDYCGTGCQSGACCSSQRCGSQGGGATCSNNQCCSQYGYCGFGSEYCGAGCQNGPCRADIKCGHNANGELCPNNLCCSQWGYCGLGSEFCGNGCQSGACCPEKRCGKQAGGDKCPNNFCCSAGGYCGLGGNYCGSGCQSGGCYKGGMAAILSSNQSVSFQGIIESVAELV >OGLUM02G20150.1 pep chromosome:ALNU02000000:2:21408521:21448890:1 gene:OGLUM02G20150 transcript:OGLUM02G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSICSFNTNFLFLLSYMSSHISFVSQCSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPAEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLGESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRGKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLKREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIDETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQALFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGGTPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >OGLUM02G20150.2 pep chromosome:ALNU02000000:2:21433426:21448890:1 gene:OGLUM02G20150 transcript:OGLUM02G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLGESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRGKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLKREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIDETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQALFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGGTPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >OGLUM02G20150.3 pep chromosome:ALNU02000000:2:21433450:21448890:1 gene:OGLUM02G20150 transcript:OGLUM02G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQQFKGNQHFSRPKFSRSDFTIHHYAGHVTYQTDLFLDKNIDYAVNEHQVLLHASRCSFVSSLFPPSEESTKSTKFTSIGSSFKQQLQALLETLSSVEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFDEFVDRFGVLLPEVLGESYDEVTATEMLLEKVNLTGYQIGKTKVFLRAGQMAELDARRTEVLSSSASKIQRKVRSYLAHKHFIQLRLSATQLQAVCRGQIARHYYEDLRGKAATLTIQTYYRMHFARKNYRDLCSASTTVQSGLRGMAARKELQYRQQTKAAVIIQSYCRSYLAHSQYMGLKKAAITTQCAWRGRLARRELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRMRVDMEEAKSQENKKLQQKLQELELQSNETKDLLKREQETAKAAWEKAALVPEVQVDTTLVNELTAENEKLKTLVASLETKIDETEQRFDEVKKAREELLKKATDAESKINGLTNTMLSLQEKLTNMELENQVLRQQALFRSPVRTIPENTSPKATPHGTPPASKEYGKFAQPRPSFFERQHESVDALINCVTENIGFSEGKPIAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSTSSTLLIMLQKSLKAAGSSGGTPRKKPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKELSSLLSHAIQVPRIMKASMVPAIFMRKIFTQIFSFINAQLFNSLLVRHECCSFSNGEYVKQGLAQMEVWCGEVKPEYVGSALDELKHIRQAVGFLVIFKKFRISYDEIVNDLCPVLSVQQLYKICTQYWDDKYNTESVSEEVLDEMRTLITKESGQDSSENTFLLDDEISMPISLEEIGDSMDSKEFQHIAPPPELVAIPAFQFLKS >OGLUM02G20150.4 pep chromosome:ALNU02000000:2:21408521:21413363:1 gene:OGLUM02G20150 transcript:OGLUM02G20150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVRFTVGSNVWVEDADVAWIDGLVEQVTGDELIIRCTSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLHEPGVLHNLKSRYGMNEIYTYTGNILIAVNPFQRLPHLYNNHMMEIYKGAGFGELSPHPFAIADRAYRYMMNYGVSQAILVSGESGAGKTESTKMLMQYLAFMGGKVQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQSGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPAEERERYKLGDPASFHYLNQSNCIKLDGMDDSSEYIATRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFVEGSEADSSVPKDDKSKFHLRTASELFMCDEKALEESLCKRVIATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDPSSKLLIGVLDIYGFESFKTNRCLTGASIMLFVEFD >OGLUM02G20160.1 pep chromosome:ALNU02000000:2:21464237:21464602:1 gene:OGLUM02G20160 transcript:OGLUM02G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRRRAWCRMQPLSSSPLDMVIYGASASTVDAHGWGGHRRISRVGRALLLQLAGGEGESGSAGRARRRKEGGSGNKAGKGAAVVLDGRAAGHRAARWGSGASGPRELRGKGGDKERIRC >OGLUM02G20170.1 pep chromosome:ALNU02000000:2:21469265:21470805:-1 gene:OGLUM02G20170 transcript:OGLUM02G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNWSFGYYVQDLTEMQVPLIAGSKLSLSIAGAKVEPEPTTDRFVDIMLQVDKAESCKYKVSLASQQFVACHQQQGRARQK >OGLUM02G20180.1 pep chromosome:ALNU02000000:2:21475959:21477709:1 gene:OGLUM02G20180 transcript:OGLUM02G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLVCPRKSCEAFGELIEIDFVGGCSCGLGAGEVTCAVDKCKELSMLGMRRSRGGLNLMDKILAVWEAFGIWQAPESVVNSLPCKSYKKQTAQCSDDMEQ >OGLUM02G20180.2 pep chromosome:ALNU02000000:2:21475959:21477463:1 gene:OGLUM02G20180 transcript:OGLUM02G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLVCPRKSCEAFGELIEIDFVGGCSCGLGAGEVTCAVDKCKELSMLGMRRSRGGLNLMDKILAVWEAFGIWQMKFTGNLHHFHFPWSLPRLQNPWLIHYHVRATKSRQPNAVMTWNSEILTIQILSFTCCHLGPKIVLSVIEESQVRRYKCITLSYAFEITMLFMSIIHQKIN >OGLUM02G20190.1 pep chromosome:ALNU02000000:2:21518030:21518555:-1 gene:OGLUM02G20190 transcript:OGLUM02G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALKQQGMGIKHMETEGEEATGGAAAKAAVVATFADAAMAASSGTAAGGDSEKPLVKEPLPQAERQLHEEMAAMGKEFEDSFEEFQDEVRREVEENGCYMVDESYYTDQAEMQAQLKEGWAAIDWSDVVCADWDDFNDPNCYRSL >OGLUM02G20200.1 pep chromosome:ALNU02000000:2:21538285:21545196:-1 gene:OGLUM02G20200 transcript:OGLUM02G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSPPSISNARFLGSWTFGPPPPPPSRSVAAAATTLLVRGSHARRSKRGISGGAGCGGGGGARGQATAVGASGAAAEDARGYDAAALSFPEEASRPRAARGRVTYSRVVLAVDRSRTGMARKISVRRRRREHGVLVGSWTSTSAAAAVRDAPGRARLTPLLRGSHGCNGTGDHAGEASVAAAGVVVAAPATARRYRGVRRRPWGKWAAEIRDPRKAARVWLGTFRTAEDAARAYDAAELRFRGRRAKLNFPEEASRPRRPWKGHDVDHMSCSPPSIANARFLGSWIFGPPPPSRSVAAATTTLLGGSHGSNGADNGRE >OGLUM02G20210.1 pep chromosome:ALNU02000000:2:21568091:21571401:1 gene:OGLUM02G20210 transcript:OGLUM02G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGTSSNAPPAAASMGSGDGDNKEGTGESGNNQLLLPAIAASADKGKGVVAGTGNVDAKGKTTATAPAASSTNAPNNQGGGGGGGGRSRERMHIFAERERRRKIKNMFTDLRDLVPSLTNKADKATIVGEAISFIRSLEETVADLERRKRERDSLAARCARLGLGGSSSSSAPPPPPPPAAADDTAAVMPPAPAVPPPDAAAVTAGPEPAPAPAPGTLMVWSGPSVVLNLCGGDQAFINVSVARRPGVLTMIVDVLERHSIDVVTAQIASDQSRSLFTIHTSVDRERGMFMDTATAEEIYQLAVSEIMVWLHSE >OGLUM02G20220.1 pep chromosome:ALNU02000000:2:21582200:21622187:1 gene:OGLUM02G20220 transcript:OGLUM02G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRAPLRRTGVLAMASAALKRYNITAVTSLSMFMFMFYTIPLSCSLLRCTFACFATMSQEEQRHGRQLPLATSGVITFNGVPLSPLTAGAESDGDDDDVVIVDACNNGKRKVGDGEEEGRGSQGGDDDGDVVAVHGGVGCGGNRACLFAVRERERRRRMNDMFAGIRRLVPNLPEKSSRVEIIDGAIAYIKMLQGEEVRMEAQKQELQRERDRLAMEYWCID >OGLUM02G20230.1 pep chromosome:ALNU02000000:2:21637157:21637935:-1 gene:OGLUM02G20230 transcript:OGLUM02G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGDASIPIPMVFDGGAVGVRRGGTWWTGQHQRQQQLHVLPQSLHRRPTKYTHNSSFTISPARTTTVADKEEKRAVLLALVEGQEEAAVLWEVLAPPEPTHTGLTFINQREFSSDHHKEEAKRF >OGLUM02G20240.1 pep chromosome:ALNU02000000:2:21639105:21653461:-1 gene:OGLUM02G20240 transcript:OGLUM02G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRSPLDRAFTLIPNHTLRRLIQDWCVAHRSLGVERIPTPKQPADPDLIRSLLAQCPALPPLRKLRALARDSDKNRLVMATHETRAALVDMAFGTNAGGEEVEAEAMAVLAMVGLGEAEAVEVVGRSERVARLGELLVGGEGAATTTATLECRVNAGAVVEAVAAVSGADARAVLGAAEGVMEGLVALVEEKAHARAVRVGIRGLFALCLAKENRPRAVAAGAAAALARRVAEGGGNRKRKA >OGLUM02G20250.1 pep chromosome:ALNU02000000:2:21686009:21689681:1 gene:OGLUM02G20250 transcript:OGLUM02G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLRMWVLVGVAVGAAFVLFLVLLSVLCLLASRRRRRRRGPNTSPVQQLPVSAPPKNPQKVKAPKDIQEVPAQATAAAAAKTPLAQVLQMPAPPPPPMAAAAPPPETVQIATGKEHRITYPEPPHRSGSSSHGSGEAPSVPEVSHLGWGHWYTLKELEAATEMFADENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGEVGPVSPLSWDSRVKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSTRNSEGVVDPKMPQKPTSRALKKALLVALRCVDPDARKRPKIGHVIHMLEVDDFPYRDERRGARAPVQARVADKPVAIEAGDRESDSSGNNSARQTEPFRWRNPES >OGLUM02G20260.1 pep chromosome:ALNU02000000:2:21698758:21699710:-1 gene:OGLUM02G20260 transcript:OGLUM02G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGGGHGGTRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADEW >OGLUM02G20270.1 pep chromosome:ALNU02000000:2:21706091:21708558:1 gene:OGLUM02G20270 transcript:OGLUM02G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVISPLPARADPPLATTGGADPAASPTVTTTIGGLPGICCGGDSGVAEDGITCDFLLKLLRAGSMVGADAALLQELESRASALEAGLRPTDFEEISPAPCLPATDVLDSPSHGSTPDKHMDFVGLLCCNTSLPNIYVASTSILEVEAVDKHYQQQKQDVSSRKQRCT >OGLUM02G20280.1 pep chromosome:ALNU02000000:2:21718594:21721731:1 gene:OGLUM02G20280 transcript:OGLUM02G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSMV >OGLUM02G20290.1 pep chromosome:ALNU02000000:2:21729417:21729725:1 gene:OGLUM02G20290 transcript:OGLUM02G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRPYTALYSDMSSFGGGSSSVPNELRTSQTDDAPPVTQATQPEVGEGNDNDPRRSNRERHEPNRMSLSGPRHAAGQRKKTTKKRGGTSRTTTDHDDDDE >OGLUM02G20300.1 pep chromosome:ALNU02000000:2:21732998:21736081:-1 gene:OGLUM02G20300 transcript:OGLUM02G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGAGGMRRSASHTSLSESDDFDLSRLLNKPRINVERQRSFDDRSLSDVSYSGGGHGGTRGGFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTIAAFDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVRHFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVLHDSKKGVDTLHADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQLLKHDNEGKEFVERIATRLHALSYHMRSYYWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFIGNVSPARMDFRWFALGNMIAILSSLATPEQSTAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSITGYLVAKMMLEDPSHLGMISLEEDKAMKPVLKRSASWTN >OGLUM02G20310.1 pep chromosome:ALNU02000000:2:21742606:21743643:1 gene:OGLUM02G20310 transcript:OGLUM02G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSSQTRIRLLAPEDPDERGELKRDAKTLWEKLRDGMAGINQEVMAAHNRNRYPHIALRPSPLNGRVGCFPIDDRGNANGPSRASYASCKATNDSSNGSRCANLTLARRICTFCRD >OGLUM02G20320.1 pep chromosome:ALNU02000000:2:21750704:21753782:-1 gene:OGLUM02G20320 transcript:OGLUM02G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQINKGDDEDHRNIKRNSSLTVDRTAKQKQTSKFIGGPSNWDPYSKGVSLSSLRISQLPEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDETQEAISPKSLKDAVKPKHKRKKKQHSKINV >OGLUM02G20320.2 pep chromosome:ALNU02000000:2:21750704:21753782:-1 gene:OGLUM02G20320 transcript:OGLUM02G20320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQRWHPTVNEREFIERALQSDLRVDGRRPFDFRRLKILFGREDGSAEVQLGDTRVMGYATAQLVQPYKDRPNEGTLAIFTEFSPMADPAFEPGRPGESAIELGRVVDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTVGGEDGQQVTVHDPEVRDPLPLTIHHLPIAVTFAYFGEGNIMVIDPTYKEEAVMGGRMTATINSNGDVCSIQKAGGEGVMSSVIMQCLRIASVKAADITSKIKNEVNSYTSAKALQKVKRLPASALKKDNAPDVAMKESSDGALETQSTKPSSDGQQINKEPPSVVEDNKQEDVEPMLSECNPEAQSESRLTAGGSDETQEAISPKSLKDAVKPKHKRKKKQHSKINV >OGLUM02G20340.1 pep chromosome:ALNU02000000:2:21756301:21759683:1 gene:OGLUM02G20340 transcript:OGLUM02G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTG9] MAAGAIPMAYQTTPSSPDWLNKGDNAWQMTSATLVGLQSMPGLVILYGSIVKKKWAINSAFMALYAFAAVWICWVVWAYNMSFGDRLLPFWGKARPALGQSFLVAQSELTATAIRYHNGSAEAPMLKPLYPVATMVYFQCMFASITIIILAGSLLGRMNIKAWMAFVPLWITFSYTVCAFSLWGGGFLFQWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRSASDRERFPPNNILLVLAGAGLLWLGWTGFNGGDPYSANIDSSMAVLNTHICASTSLLVWTILDVFFFGKPSVIGAVQGMITGLVCITPGAGLVQGWAAIVMGILSGSIPWYTMMVLHKKWSFMQRIDDTLGVFHTHAVAGFLGGATTGLFAEPILCSLFLSIPDSKGAFYGGPGGSQFGKQIAGALFVTAWNIVITSIICVIISLILPLRIADQELLIGDDAVHGEEAYAIWAEGELNDMTHHNESTHSGVSVGVTQNV >OGLUM02G20350.1 pep chromosome:ALNU02000000:2:21774190:21775937:-1 gene:OGLUM02G20350 transcript:OGLUM02G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTH0] MAGASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OGLUM02G20360.1 pep chromosome:ALNU02000000:2:21776798:21778302:1 gene:OGLUM02G20360 transcript:OGLUM02G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRSAAASADIIGFQSYKVFQESEMSMARRLEFDDLLQVFRIGEPSTSDLKSLQASHFVMLGAHKPSPPPPPRHRVSSRNHTIDQQFFSLAVLLPSPRSSSLLRFGIGHDGHPLSVLDLAMELYHCEKGEK >OGLUM02G20370.1 pep chromosome:ALNU02000000:2:21787589:21792748:1 gene:OGLUM02G20370 transcript:OGLUM02G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTLPPVVVVVVLLLLVVVLPATTCGADAGGGGEAEEFQIPRDGRVLELDDGNFDAAVRAAGLLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIVVAKVNADKYKKLGSKYGVDGFPTLMLFDHGTPTEYTGSRKADLLVENLKKLVAPDVSVLESDSAIKSFVEDAGMGFPLFLGFGVDESLIVEYGAKYKNRAWFSVAKDFSEDMMVFYDFDKVPALVSVNPKYREQSIFYGPFDDGAFLEDFIRNSLLPLVVPMNRETVKMLNDDGRKVVLMILQDDESDEKSPRLIKVLRSAASANRDLVFGYVGVNQWEEFTETFDVKSSELPTMIVWDKKEEYEIVEGSERLEEGDYGSQISRFLEGYRAGRTIKKKVGGRSPTLLGVNAVYILIFLVAVLVLLMYFSGQGEEDQRPRQRAHED >OGLUM02G20380.1 pep chromosome:ALNU02000000:2:21791233:21792228:-1 gene:OGLUM02G20380 transcript:OGLUM02G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39820) TAIR;Acc:AT4G39820] MAEAAAAASNSTPASPPPPPAAAAAALGLPDLSVPYDLATRGQWKSLLSHLDDASHPRHRLLLSALSALSLAKLRRYADAAALIASLRPDPGCPPPPFLLRLLHALLPLFLPADRPLALDRLYTLLSSVRARPDASHPEWRRRESLVASLLAADHLAHREFDVALALLASVAALDPGNPAVLSRLGYAHLQIGNLAAAAAAFRHVESVAGGDPAHASLLARNRALECVVAKDHAAAVREYERCIEADAADAVAVNNKALCLMYSRDLGDAIKVLEAALEGHPTAALNETVVVNLCSMYELAFVNHADVKRSLTDWIARVAPDDFDPSCTRM >OGLUM02G20390.1 pep chromosome:ALNU02000000:2:21798144:21804125:1 gene:OGLUM02G20390 transcript:OGLUM02G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYHQHRSRLPPPPPPPPFGRGGGGAGYPRGHKQLYAPPPPPPPQHQQQRRYEVLMEAGRLAAEYLVAKGVLPPSSLQRGVGAWGAPSPPPPPAAAGAAPPPPQQQREDPAFYGRRRYDDEYSNNSSARPRRNSSNSSSSSSSRDDYSGGSYNGRGKRKYGDYRRGYSDWGRDREKERGRPGSNGRRYEENDDEDGAPGFRRERRGSGGNDDARSSVADVYREATPLMRKELGDLEMNGTESRAANPSGEVKEADAPQMVQSEENEEGEMEEDGMVLNSEPEVVELRMDTNDDVNNASVGVDMETELQRSPNGNVPGEKAEDDDKVLVESALDSIALDDEVANTENNLHGDERNLLKYCEYAKAPTKRRSSRPQRNAASVQIEPAVSETTDQISIGEASQIVPGEVANEISVTNLKSENREDQIYRENTDFSTSCNGTLEPILLEENNESAATGNIIEEKNDVQLHVVKEPEEEVNVSAFAPSHKDSLMQETDLSPSTASHKDSLLEGNLPLLTDSHNSLIEETGPPLTHSHEDSMVEETNLSSLTTSHKGNLKQETDLSQTISSHENNLKLQFKESCGIDMLPQDVDLIELSGQRKSVGGELFSNVGAEAASKMEDENLEQPNPFKICDQNLIGSSEVSVIHNNPGLAQCSTEGSCTESQKNQHQDFVTTSGDIAGSTNNMCQLPLDNKGVQVIDIEDDTPIEVGGFDSSKAKSDMICSNMDNMMGPVVHSGDLPGIQDGYNLAISDYLGGDIPCYPSMQSDLHGGIGANDSEGITVMDDPIYGSLTDIGNCFMDVWGQPTQDDYEKFF >OGLUM02G20400.1 pep chromosome:ALNU02000000:2:21812805:21818192:1 gene:OGLUM02G20400 transcript:OGLUM02G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSGATDTANAASGDATLAVPPPPPLLTGTAVPPPPPAAAQPQPQQATVAEGDPPPPATGGAEEVAGNGEPPQPPATDPASEPTAPPEPPRATEEKKGDEPPPPASTGVKEEVAGHGQPLPPPTSTPASEPPAPPEPTQQQQQQQAGDAAKQAAPSADDEDGGKKRHSRWNFLRNLFRRHKGSLRDAVKAAALTKPRKEEEEKSKKTAGPDEASKLPLAPAPPPAPDDDAASRSRSTRRRLVKVLRAVQFITRLKNWRNRPPEDGKAKEEQKPSPETKDKRPPETTGKDKKPADPELGQAKEKTPAPQQEEEEKKEKEEETPEAKAQRLEEEKSRRRWKERGEVLLQEILEAAFEALLAGEFNKLKDQWRQCLLTFSFFPVNHKVKKQAVTYWWAAQFGLPHRRAPGVAEEPCGSEEIFAELCASGFLEPITSRCSGASHGCRVNPLVHWMVKRTARGGFAGLDRHGHPTVDPGKSRVLCLTASRRELLQRLGRADESPSAPPSPTRKLSKVKTPSQQDRQQKEAKEDRQQKEPNPTGTPSKIAVRKLEGETTQKKPNPTGTPSEMAVSKLEGETKQKKPNPIGMPSKMAVSKFEGEMKEQQNENDKINLKLELQKFQDIHVILNINAHVYRLPYCLLSYLGDRLVVLQLGRWWNSDNSTYMEVEGLEKLNSIGNLKKLRYLGIRGLSKLTELPKNVNKLQQLEVLDVRGCQNLTRVMSSTIRNLRRLTHLDLTECYMLEHIGCEITSLSELQVFKGFVFGIDAPMRYVFQYRDRHACHLQDLKAMKNLRKLSINVTTDANFDKNDMGQLKHLESLQSLTITWGELPSILTSAEREKEKKQLLERWTSLVLPSSLVKLDVRCYPSEEIPFEWFEQKGAIKPTKLKKLYVRGGAVKKLNLPKDNHIETLRLRYLKEFKMKWEEILGMMNNLHYVEVVYKDPKVMKSEKIKHQTDNVELQPHMIKEKEKKVKEEEEKCMAEIKKNMSIPDSTLDEHGVWEKDQKEADQKKAKEEEEKHMAEIKKNMGIPDSTLDEHRVSENDQKEVDQNKKGKGCEGDGDGSKGTHFTYYLAFNKF >OGLUM02G20410.1 pep chromosome:ALNU02000000:2:21820738:21825333:-1 gene:OGLUM02G20410 transcript:OGLUM02G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELLKDIGSGNFGVARLMRNRETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDESRYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYKIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFMKNLPRELTEAAQAKYYKKDNSAPTFSDQTVDEIMKIVQEAKTPPPSSTPVAGFGWTEEEEQEDGKNPDDDEGDRDEEEGEEGDSEDEYTKQVKQAHASCDLQKS >OGLUM02G20420.1 pep chromosome:ALNU02000000:2:21826991:21827428:-1 gene:OGLUM02G20420 transcript:OGLUM02G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSSLSLSLSPFFLLFSSFPLSFLPVDHADANGVGRREVAQGGRKRRGHQLGRGGDEAQGGDGDIGLGGSSGWGWRRRLERGFAPRRPPLLSLAAMPSIPRRRALPPLPSMAPGGEAAAGKGEAAGGELAARSRAAGEVTRHR >OGLUM02G20430.1 pep chromosome:ALNU02000000:2:21836865:21839323:-1 gene:OGLUM02G20430 transcript:OGLUM02G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAASEISDWEVLSAASAHGGGGEDDGEDFVVLVPGEGGGGGDVLHDHFALVAPSDVDGFPGEEGSWSGLLSDPGDEGKGEGSDSVPEGRLDPLVEEDWSEERLRFGGGVEEASGEPSVLAAASPCGEVRREEAAQREIEQGKEADGTGEDLDPDVIVVAELSRLESPENSDVQLEVEDGGSSLPEASEIGDALGFVQEALVQWKSGDVTSGCGEPEGEAEDGSLPLAQSPAAGEFSLAEAAVVGDAMGAVDSGNAASGCGEQDGEAKDGSSLPLARAPGADGGEKQVVVWWRLPFRLLQCCAWKVKPIWSFSIAAALLGLFVLGRRMYRMRRKARGLPHIKIAFDDKRASQFADRTARLNEAFFVARRIPMLRTSSGAVFPWSMVQ >OGLUM02G20440.1 pep chromosome:ALNU02000000:2:21840980:21841938:-1 gene:OGLUM02G20440 transcript:OGLUM02G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGNREYIDDMNGRFKRGSDGDGMNGRFRRGSDGDGMNGRFRRGSNEAARLLDAMDSNREVGSEEAYNTPVRQLITEIPGSAIDIGQQTAQNFALAPPPMC >OGLUM02G20450.1 pep chromosome:ALNU02000000:2:21843819:21845518:-1 gene:OGLUM02G20450 transcript:OGLUM02G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAEVVSSRDGYSKQPKSDVQCKNRIDTLKKKYKVEKAKPDSSWPYFHRLDTLLAPVHKPAGASPAAAAGAAGAGNSGSNSAAAATAARSTAPMAPRVNFPQRTRTQFLPSSGVKRRMPSPPQVSASSESSDGFPPEPPMAAANGKRRREVEEEVNGADSGHRTQGLRELAQAIRRFGEVYERVELAKREQELRMERDRLEAARELEDQRVQFFLKMQMELSKANNAGASAAAAAVGAVATAIAAADGNGTRRTAMATDVGTSSNHHVRYRFKDSRHCHAAPQQPQHQYNENNAAEAARGTGDGSDTDNKEDEDEAEDEEDESQ >OGLUM02G20460.1 pep chromosome:ALNU02000000:2:21845702:21846748:1 gene:OGLUM02G20460 transcript:OGLUM02G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARCLCLLLLLLVVGGGFHVANAQASPAPPQTGTRAVNRAVSTVITVVIGVFFVLVFVCVIVNQCCDCNSSAGAGAGQGQSSAARRRRGLDPAAVAAIPVVPYAEVRKHRSGGGGALECAVCLTVFDDGDDLRLLPQCSHAFHPDCIDPWLEGHVTCPLCRANLEKQPAQSPPAVEFSSSPAAAAAAAEESRTPEAAAVRVEEVAEASDEEETRRREEEAVELESLRAVRRAARMPRSNSTGHSLCALPAPRAPGPGDSGDHERFTVRLPPHVREEVLKSRRLRHATSLVLGIRGSSREGSSRGGGGSWHGARRWPSFLARTVSWARGGVGADTSAKETPRRDAV >OGLUM02G20470.1 pep chromosome:ALNU02000000:2:21851502:21855285:-1 gene:OGLUM02G20470 transcript:OGLUM02G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEFPGQAPTSRLHSEMDAAAAGGGGAAKRKRSFSEDDAYLILHRYQPATILTMLQEMGKQVGAGKGIDWRALVRRTSTGITSAREYQMLWRHLAYGHEFVESVEPASLPLDDGSDLECEIEIVPAPGNEALAEATSFAKTIITGSSREQTSGQRVNSEAAALNTPNEKIVRVPSDKQLVPGHRLTNGTGPVSSSKQPSHTGSSPDPLDPNGHPKKKKKPKPWSREEDMELGAGVQKHGEGNWMEILHKYKFDSSRTHLQLQQRWALICKRQGSTKPATPKIPNLMSEELKAAQKAFSLAIGTTRSSTLRPGGVPHHNPMVTTTTPEVKSVTAPSPLPVPVHVVPVPVPVQPVQVQLPPPQLHQAPAQSAPPPPKVSNASNKSRNNSKKQVAQSIPVNGPLSIQEAALAAGGRIAEPSVATNFLKAAQSTKAVHIRSRGTGSSKSSTGSKTPTVAGEPGTQLGSDQHLELQSTGGPSQVLTAHATEQVHNVSEVAGVNPLGQSTGVHLSETKKALNTTPVSGSSDKMEIDDNSNYFAVTMEDLFPEDVKQEDVQDPKIEEAIDPKDADMLEFDRFVAQGCLNKDNVDKSKAAKIAPEAQSVIGSQKKQLKTLPTVGKSNPVFAGPPATAKKTKAPVPQGGMSSGIVGVLNRSLGGKAPAPATTGTQSTVQKQQSMSSKGNLLVPKNVAPGTVTPANNRANTAVNGASKVNPPASQKPA >OGLUM02G20480.1 pep chromosome:ALNU02000000:2:21864029:21864679:1 gene:OGLUM02G20480 transcript:OGLUM02G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSPPPPPPATTATPRRRRRRRLLPSSAGGGGSSSSFSSTNSSSSSPFVSFLPPPTSPSPFHRFLPSPLRASSVPFSWEHRPGIPKTPARSSRSSSKQPPPGVVGGGKHYPSAPPLPLPPSLLSRAASDPYASAVVPAEYAASGAMPPHPPPGYYYPAGAKAARRLRLRRRRPRLADALAEWLSVLSLYRSCKRVAACFAAKAKPPAPAPAP >OGLUM02G20490.1 pep chromosome:ALNU02000000:2:21869000:21869227:-1 gene:OGLUM02G20490 transcript:OGLUM02G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREQRFRKKQGDDQRGSEKKKDNMDDRIVLVPSSGLESTLQLLQLWINPYAGAPQGIAAGGRGRLPHGLMGAQ >OGLUM02G20500.1 pep chromosome:ALNU02000000:2:21869884:21874614:-1 gene:OGLUM02G20500 transcript:OGLUM02G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSAGIPGDRSPAELSFRAMGLVVEQELKAFPAVAGKVQGKHKTAPVEVAPEPDPPRRLSPEKAPRLSTGGGGGKARRSVSKEPQLVRSSSEKLKAGKASTSGIGKAVEVLDTLSSSMTNLSPVGGFVAGAKMKGSPQKILAFEVANTIVKGMSLMQSLSKESVRYLKGTVLRSEGVKRLVSSDMSELMRIAAADKRQELALFSREVIRFGNRCKDTQWHNLDRYFSKLESEITPQPNLKEIAEAEMQQLLTLVRHTADLYHELHALDRFEQDYRRKLEEEKKSVAFERGDTVQIIRQELKSQRRHVKSLKKKSLWNKMLEDVMDKLVDIVHFLHVEIQESFGTYDGALQLNQPSESRQTLGSAGLSLHYANIISQIDNIVSRSTVPPQSTRDALYQGLPPTIKSALRKKLHNCPQPQEVPITEIRSSMERTLQWIIPIANNTARAHHGFGWVGEWANTGNDAMRRAAGQPDVIRIETFYHADKAKTEACILDLVLWLHHLISYSRPTNGGRSRSPSRSPARSPPLTPPHQVPTATTPSSPPPPVAVARPSGGTSGGALTREDRAMLQDVYAGRRRRAPGHSRSQELSSARGGGGAGGDSSSAVAAAAQLSKNDRLSKSSNDAPARSGGGGGGGKLFPLSRRPSSAVVSPAVDFDIDGIKALADAQKRQ >OGLUM02G20510.1 pep chromosome:ALNU02000000:2:21893281:21896575:1 gene:OGLUM02G20510 transcript:OGLUM02G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTAGRRRHRWVKEWVPQDLVVAGGPCALYKWVREDRLLALKSRDKEQEAELAKPEPTTEVLFLCSYEGCGKTFVDAGALRKHAHVHGERQYVCHYAGCDKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCKYPECARRFTQESKLRAHIKQQHEKNPGGSATNRSGLADHSHNSHTPKPSAAPPTPSADRPYVCPYDGCAKAYIHEYKLNLHLKKEHPNHYQDAGAQAASSKMSKRRSKPALTAKMPLPKVPKRRGYTEPYQPPVSVVEEHQWPRNVLYEDDSEETEEEGDNVGDGARYRAASSDDDEETEDEE >OGLUM02G20520.1 pep chromosome:ALNU02000000:2:21903598:21908067:1 gene:OGLUM02G20520 transcript:OGLUM02G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTI7] MASAAAHAATATAAAVLLVVFLAPLAAASDSDHKYQSEEKVMLWVNKVGPYNNPQETYNYYSLPFCHPSNNPVHKWGGLGEVLGGNELIDSQIDIKFGRDVDKGTICSIELDPDKAKQLSDAIESSYWFEFFIGFVGEADRNSDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDAGKALDMTYSVKWEPTNVTFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLETLERDVSEESGWKLVHGDVFRPPRSLALLSALVGIGTQLSALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMIMTASLFPFMCFGIGLVLNTIAIFYRSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSVYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >OGLUM02G20530.1 pep chromosome:ALNU02000000:2:21908535:21910304:1 gene:OGLUM02G20530 transcript:OGLUM02G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALPRRLLPWLRTPPSSSSAAAFSSAPSRGCPLHAALARRGAPAAASLALYARIREEASPPTPFTFSLLLAALASSSSSSSSSPSPSPSAGCARLAAACLAHAQAFKCGALAHPVVTNSLLKLYCSLGLLDRARRVLYSGGAALDVVSWNTMVSGYGKGGDLDAAREVFAGMPERSLVSWSAMVDACVRAGEFGEALWVFDRMMREEFRPDVVVLVSVLKACAHLGAVERGRWVHRYLETGSFGGRRGNLMLETALVDMYCKCGCMEDAWQVFDGVHRRDVVLWNAMISGLAMNGYGERALELFRRMLQKGFMPNESTFIAVLCACTHTGRVDEGKRVFKSMQDYGIKPQREHYGCLADLLGRAGNVEEAEALLLDMPMEPHASQWGALMSSCQMHNDINVGERVGKRLIELEPYDGGRYVVLFNLYAVNGRWEEARTIRQMMEDRGAKKETGLSFIEWNGLVHEFISGDTRHPLTRKIYALLEDIERRLQLIGYVKDTSQVIMDMDDEEDKGIALSYHSERLALAFGILNIPQGVPIRIVKNLRVCRDCHVHSKLVSKLYEREIIVRDRHRFHVFRDGVCSCNDYW >OGLUM02G20540.1 pep chromosome:ALNU02000000:2:21910339:21918654:1 gene:OGLUM02G20540 transcript:OGLUM02G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKGWPKYWPRFANASWVDLFETTMELARFRCQGNGGKADDGIQEMEEELPEPLVSTLKLSPLLCFPPSICDSFLFHLHPKNSHPLHLFITPTLSLFLMDYSGGGPAPAPATGRARVRGRWCYCRCFRRSYTWIQDVLHPAMTSHEQSTKLANIMAHAGLSAGCMDQGKRETKCVGALDACLPACFACCIPPHLTFPSLVDCGVCTPCLV >OGLUM02G20540.2 pep chromosome:ALNU02000000:2:21916016:21916943:1 gene:OGLUM02G20540 transcript:OGLUM02G20540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSHPDLSASARRATSAAAAATSPALSTASLACSTAALARRNSCIIAPPPASTTEGDTAAAAAAARCCARASADANAAPNTPQERSVRRKEVSILVAQSTPRKPLNPVNLASGGTVSARRSASASARVSTSTLFSSLIAMASLLHADIALVTCTHRSIVTTTAAEAAAAAEAAPRRRARQASQRREVSSMYSSSQAAPPGRWSEPTSRASPACAARISASAARSDAADTNSAAADDAASDRSSSSSPLASVP >OGLUM02G20550.1 pep chromosome:ALNU02000000:2:21915931:21916950:-1 gene:OGLUM02G20550 transcript:OGLUM02G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSPSTVAAFYGTLARGLDELERSLAASSAAAEFVSAASLRAALALIRAAHAGLARLVGSLHLPGGAAWLDEYMDETSRLCDACRALRLGAASLEGYSDSASRLASALRSSHLSFEVSHSHAAAAASAAVVVTIDLWVQVTRAMSACRRDAMAIREENRVLVETRAEALALRLAETVPPDAKFTGFNGFRGVLCATRMLTSFLLTLLSWGVLHYWPDAGGAGGATDCGAYFGAAFASALARAQQRAAAAAAAVSPSVVDAGGGAMMHEFRRARAAVEQARDAVDRAGDVAAAAAEVALRADALRSGCEDVIALIDDLFDEVAEGRKKLLDLCSGGGGN >OGLUM02G20560.1 pep chromosome:ALNU02000000:2:21939710:21941935:-1 gene:OGLUM02G20560 transcript:OGLUM02G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:8-oxoguanine-DNA glycosylase 1 [Source:Projected from Arabidopsis thaliana (AT1G21710) TAIR;Acc:AT1G21710] MPPRRRRRVRPPLPPSPPLLSSTTATPPPTPPVGTPKSEAADRRPPTARRRLPLVSAAAVEEEDGEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPSSDGRLAFLLHNNGGSSSSSVPAAARAALSDYLNAAVPLADLWRRFAAADARFAEVSARLGGGGARVLRQDPVECVFQFLCSSNNNIARIEKMVWALAGYGERLGEVGGYQFHQFPTIERLARVSEQELRDAGFGYRAKYIVGTAKILQAKPGGGEKWLASLRTRELPEVATQYLMPELAGKSLTPKLSVAVADAFVAKFGNYAGWAQNVLFIGQLSAQKLMVAETTNTSTKPTKRKRSGNNVKT >OGLUM02G20570.1 pep chromosome:ALNU02000000:2:21942686:21944288:-1 gene:OGLUM02G20570 transcript:OGLUM02G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYLQELLDLSDNSFAGIIPSQLSGLNMLEALNLSHNTLNGSIPPSFKGMISLSSMDVSYNNLEGPVPHIKFLEEAPVEWFVHNKHLCGTVKALPPCNLIQKGGKGKKFRPILLGVAAAAGISVLFITALVTWQRRKMKSVEQSENGAGNTKVFSVWNFDGGDVCKQIFEATENFNGTHCIGMGGNGSVYRAQLPTGEIFAVKKIHMTEDDELIFKREVDALMSIRHRNIVKLFGYCSAVHVKFLVYEYMDRGSLSRYLENHNTAIELDWMRRINIVKDVDNALSYIHHDCFAPIVHRDITSNNILLDLEFRACISDFGIAKILDVEASNCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVFELFMGCHPGDFLLSLSMAKESTTLKDLLDARLPLPEAETTSEIFRVIMAAVQCLDPNPLHRPTTLHVTRMFSTAEVHGNRDHLHADIIIPVFYQ >OGLUM02G20580.1 pep chromosome:ALNU02000000:2:21945903:21946274:-1 gene:OGLUM02G20580 transcript:OGLUM02G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIEEQAGALIAWKATLQTQEPLQSWDRKAWPCHSWRGIGCGARQGKLVITKISLRGMRLRGSPEVLNFSALTMLASVDLSHNKLTGRIPWSGASLKELRSLLLQNDQYQYVNRAQVLGSFR >OGLUM02G20590.1 pep chromosome:ALNU02000000:2:21947819:21948256:-1 gene:OGLUM02G20590 transcript:OGLUM02G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEAAALRQKLPTEHSCLGASGRRLGGRGVLGLGGNVTAHAMARLLSTRLPRRCLLACGRCAVRVTEETARPEGMVTVDAVGRTEEKEEKRRNERMGKKKERRAHLPVGVGFVMLPHHHPCGMPGKILEMAWQFGIGLTQFNKF >OGLUM02G20600.1 pep chromosome:ALNU02000000:2:21952471:21963010:-1 gene:OGLUM02G20600 transcript:OGLUM02G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERIAASLLPAASPSPAPSPSPPRPRVSAAAAASFPCCSTSAGGLRLRSRPSRFPQKAATTRSGRAGAGARAVVRCMAAAAVAASDAAQLKSAREDIREILKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFDAELSHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTAAEQCPPEGRLPDAGPRVPADHLREVFYRMGLDDKEIVALSGAHTLGRSRPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDIKEQRNQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDEPAVEEKDPEPAPAPAAAPPPPPVEEKKEAEPTPVPVTVGAAVASSPADDNNGAAPQPEPFVAAKYSYGKFFDGGGNPPLSSFLEDLAAADWGGDKQMGGQMGGTSFLGMARQVAHVVLAHVLRIELKHNLFLLVESPVLIWCENEEHVRECRERTLQNNLEPYPFYSSQPMEHLSPQKFILPLGILLSSLVLLHAIAVPSIDEQAAVLIAWKTTLQTQQPLQSWDSKAWPCNNWRGIRCGTLQGQRVITKITLHGVRLRGSLEALNFSALTTLTSFNLSHNRLTGMIPQSIMSLKELRALILHKNQIRGSLFQLGLTSLTKLRFLVLSNNFLSSSIPKEICSLKRLVSLNLSSNQLGGHIPSEIGFLSKLIRLDLSNNNIIGRLDSLFMTNKPGKYNNKSSLSNLKVLALSSNQLTGPIPHELGDLVSLEYLNLSQNSLVDSIPTKIINLKELKSLDLSDNNLSGHIPEQIGNLVRLRSLKLNSNQLSGHIPNNLGNLAKLCFLYLQSNKLSWHIPQELGSLLNLKDLQLYDNKLIGSIPNSLGNLTELSVLSLSGNTLTGSIPNNICNLTKLSILSLWRNQLSGYISQELGKMLNLESLYLSENMLTGSIPNSLGNLTKLSFLDLSRNQLSGHIPQELGYLVDLKILSLYDNVLTGFIPNNIGNFTKLSILSLSGNMLTGSIPNSLGNLLRLSTLSVWRNQLSGCISQELGNLVNLENLYLSENMLTGSIPNSLGNLTKLTALHIRSTQLSGSIPKEISSLMNLNILHIDQNKLSGELPLGLCAGGQLQNFTAQDNNLVGPLPTSLLNCKTLVRVRLERNQLEGDISEMGLHPNLVYIDMSSNKLYGQLSHRWGECAKLTTLRASNNRITGVIPSSMGKLSQLGRLDVSSNEIEGHIPPEIGNVVSLFNLSLANNMLQGTIPKEVGSLQNLEYLDLSSNNLSGQIHGSIENCLKLRLLRLGHNRLDGSIPVKLGMLVSLQELLDLSDNSFSGIIPSQLGALSMLEALNLSHNTLNGSIPSSFQGMISLSSLDVSYNNLEGPVPHINFLEEAPIEWFMHNKKLCGTVKALPPCDLNQKGGQGKKFKSILLGIVGAAGMSIVFIMSLVAWQCKRKKYGEQSENGVGDAKVFSVWNFEGGEACRQIFETTKYFNETHCIGTGGNGSVYRAQLPTVHGKFLVSEYMDRGSLSRYLENHNIAIELDWMRRISIVKDVANALSYIHHDCFAPIVHRDITSNNILLDQEFRACISDFGIAKVLDVEASNCTKLAGTKGYLAPELAYTTRVTEKCDVYSFGVLVFELFMGHHPGDFLLSFSMAKESTTLKDLLDARIPLPKAETASEIFRVIMAAVQCLDPNPSRRPTMQHVTRMFSTAEGPSNPDHLHVDIIIPAYYQ >OGLUM02G20610.1 pep chromosome:ALNU02000000:2:21964619:21964948:-1 gene:OGLUM02G20610 transcript:OGLUM02G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHGARAEGQLMAEETGEALGGAAGMEAGEACLACGRDARRRREARVPVAEAGMSHGDVAGTAGGEAGRGGGRRGACWCDTMRHGRWRHGEAGRRGCRCSGAHTPTEV >OGLUM02G20620.1 pep chromosome:ALNU02000000:2:21969255:21977810:1 gene:OGLUM02G20620 transcript:OGLUM02G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLMHQLLDSSSSDDDDELILAAALIAQHQYDIDNAPRRRAGSVRSSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLQHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIKPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >OGLUM02G20620.2 pep chromosome:ALNU02000000:2:21969241:21977810:1 gene:OGLUM02G20620 transcript:OGLUM02G20620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWPNIWARILLPKGPLETSAQPLRRNLLHFSRSSGNNPAAVVVSLLAACLLLLLAGSSSPPPPAAAASSASRLDRSKMKITWGKNAKTNRQPSVAPSKPGLPFGVDSDNDETEKEETRVVTTDCPGTKPSDNAESLQHQGNRLAEEGKYHEALGKWEAALTLMPNNAILHEQKAQILLELGDAWRALTAATRATELDPLWPEGWVTLGRAQLNFGEPDSAILSFDKALAIKPDNNEAKSDRETAARLVKKRGQLHSSGLSANKRRFTVGEDSEKEKDDSQMEDVEKCKEDEEKERDDSQLENVDSIRTFLLQDSTSPEGESVRRMWRKGNKRFGGGGEPAAKRRAAGDDGPSESADDDIVVAQISKNRRVAVRTWNGKVVVDIREFYEKDGKTLPGRKGIQLPMDQWKILRDNIKAIDEAIKENA >OGLUM02G20630.1 pep chromosome:ALNU02000000:2:21987585:22001628:1 gene:OGLUM02G20630 transcript:OGLUM02G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPDGEARGREDHAAVGRLGGEEGAVGGGGLALLAVPEMGGELGDGGKVCGGQERRLPTEEDGVRDNGGGSAAELVESAVNVSTPFEGRGQIGGEKESSMQEGSMNMAGEKHGSYHVESAEPSNLQTCHAPNGGVSNKTLFAPFSEVFSSDNSHMRYLLDKATEGSICEHGDLADSKDDLGGATDVKTNTEDLQMVCTKPHCDSEGLSDSHNDSERWPQVVDGVGFTIKGNNELKQVDLIPKIEAEVSRSVEDDSIPSFSGGIDDSLRKAGCACETLNDLGMSHMANGDLWCNVLYAPLSEGCQSKDARHIAVMGNKVTQGSQCGQGDLACDGIVLRGGVDVEKSLDDLQMCSKEPQCDNKGFPYLTEFGVQQPSYGMNVICSKTDPNHQLEKDELLTNTRGEFSSSIHEDSVPSISVSSVDFTFDGNAGQFGKTSEHRAIMEKVSHGSQRGGVLSCESRSLKESHADENQSSTLEVKTCEEGLQTGQVEPCHSIVALSDSGKYGTDILPRGGDSLRSMTGANHELVKDDFHPKSDVVVSCPVDEASIPSNYNSPIDVLLYKEDGLVGEISENRTGVEKLAHDLLGEVMLSCDSRPQTEASGDENQYFWMDVPKGSTASVCEVENTGTRRSCDPCAEIEFPLQQSREKHVISESPPERDLTSSSHNLPCENEPCYSGRETPAFCLGHQDSAGIGLESSDCLVQELNMCTSTDDKACSVDFVENGNGSHNQKEVPVIFFRRRNPVRAASSRNSNFEKCDQINKSGNSTRKSKKVDSVSSLLKSTMIKFPNKTTKGRSGINRPLNSSAWGSLQKLMDGFNQNCGPSTSRSHQTCLGKEISNRGSSEKKQLSIRKIRTSRCSKYKNTSLSDIGYLAGELNGQPTCSVRIDTNVSSDALFNSSNGAHKAAQCVEGNHTLKLTSSLTETQQFGLENVTQETCPGYIHGECGTSTSERSLNNIVGFSPDSVLDIASVTCESNTSATLDVIVHENPSCPGGLIGGGLRASALSTSHCENHHASSLMDSEQQVKTVRENDMGKEDVIPSHAMMYNDIGEGKQTLAKSNTMRKGRNVGKQECRKKDGKKGKNINKNRSSTKISSSEASKLVSFSNDSPSLDPSELLLHTRPPKFGSCSKVVTSAIHDVGMHGYDNMRPFGIDNDDEGSAFDNVKSLRRKKKDSHGGKKGKVRDPHGKGRSKKKNIADNTYGLPAQLTDLSEPRMNKQSDLIPAAELVFKNSSAVSVELPAVVACKTDGASVPPAPAWVCCDDCEKWRCIPTELADKISKENLRWTCKENEDKTFADCSIPQEKTDDEINAELGLSDASADEANGDGSNSKASGEPNFALLRSNLFLHRNRRTQSIDESMVCNCKPPHDDRMGCRDGCLNRILNIECTKRTCPCGEHCSNQQFQRRTYAKLGKFHTGKKGYGLQLKEDVSEGRFLIEYVGEVLDIMAYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWMVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISGADMITQDDAEAGTFEPMAVQEDAEEVLGANGLSSHGTHLDIVDHEASTKTEDSNDCPSVNPPELESEQQTSGTLFDISEPENSLEALSPQDDEDVVRTPVHVSRTVESTSRQFPEYGTRSSEILQRAPCTLDGPKVPSTTNGIPPSSDLGSHRVPGFHTNKKTNVKHHLILNPSSAPIDSEHILGVEGRLNSLLDVNGGISKRKDATNGYLKLLLVTAAEGDNAGGTSKSVRDLSLILDALLKTRSNSVLLDIINKNGLQMLHNILKQNKSDFHRIPIIRKLVKVLEFLASKGILTSEHINGGPRCAGTESFRESMLGLLRHNDMQVQQIARNFRDRWIQWAPRNISRNEPTEYSRASISAHDIHVISTAGGSFPTSANTMDWKSIRRKRKSRWDYQPDDHYKMGGLKIQKVCPVQSEFRTGSVGNKLHGNWGTNSSHNDVPVVGSSADGADDEAPPGFESQQESRPGQACLESGKLKEGHVAKTGKSHLVCLSSPFHHCRPIQEGVLVLPLRCLSMNTTVWDIVAGLQIETGEYIGIGEMGREQNFHTIIKDGDFLTIIKDFESCLLPPTSQEQGDPGPRGRE >OGLUM02G20640.1 pep chromosome:ALNU02000000:2:22005141:22010500:1 gene:OGLUM02G20640 transcript:OGLUM02G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTGMEMEVEVAGDDEAVPEAPERSVVLISAGASHSVALLSGGVVCSWGRGEDGQLGHGDAEDRPVPTVLTAAFDDAPGGVASVVICGADHTTAYSDEELQLYSWGWGDFGRLGHGNSSDVFNPQPIQALQGVRITQIACGDSHCLAVTVAGHVHSWGRNQNGQLGLGNTEDSLLPQKIQAFEGVRVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRDDRLIPEKVSSVNGQKMVLVACGWRHTITVSSSGSIYTYGWSKYGQLGHGDFEDHLVPHKLEALKDTTISQISGGWRHTMALAADGKLYGWGWNKFGQVGVGDNEDHCSPVQVNFPNEQKVVQVACGWRHTLALTEAKNVFSWGRGTSGQLGHGEIVDRNIPKMIDALSSDGSACKQLESSKAVPMSAKVWVSPSERYAIVPDEKAGKGIPAGNGTETHVPQGDVKRMRV >OGLUM02G20650.1 pep chromosome:ALNU02000000:2:22011003:22011647:-1 gene:OGLUM02G20650 transcript:OGLUM02G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAAASRAVVDTSRPFQSVREAVEVFGERCLSSTSRASSESAGCGGGRPSPPAVLGCLRKLEAELAEARGELERLRQRQSHMEMAVSSVAAQLSTGLAILSAGAARGKGKELAVVDIDVDLGGGGGRVRSDRWDESRAEEWMAASLEYLPSLSEALAIKMVDDDRHLGERRQGNARKKNTKNAMNSKKKQQQKKNGVSFVGRIFSSRKDKSR >OGLUM02G20660.1 pep chromosome:ALNU02000000:2:22011660:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDRQNQDFYGTNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPQAKTDRTRTRYVYFSSPLLSSHIVAFFPSHHLSLLLAGIGISS >OGLUM02G20660.2 pep chromosome:ALNU02000000:2:22011660:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPQAKTDRTRTRYVYFSSPLLSSHIVAFFPSHHLSLLLAGIGISS >OGLUM02G20660.3 pep chromosome:ALNU02000000:2:22013163:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVLWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >OGLUM02G20660.4 pep chromosome:ALNU02000000:2:22011660:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFANPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPQAKTDRTRTRYVYFSSPLLSSHIVAFFPSHHLSLLLAGIGISS >OGLUM02G20660.5 pep chromosome:ALNU02000000:2:22011660:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFANPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQEPMVGCSPTDLVFISRAIEAKQPLYTDTELPHSLLLAGIGISS >OGLUM02G20660.6 pep chromosome:ALNU02000000:2:22013163:22024226:-1 gene:OGLUM02G20660 transcript:OGLUM02G20660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFKWAEPIRPIQLPPSIPLGWLAYTATHGNGRRTKRTTTTSRLVVLRTRPIDERSPPPRTRRRRRRLLLLLLLPPFHRRASSPPRSAAAAAAARRLVSDSQGHSSMGGANDSSPSSKLHTRLRLWEFPDRYVFEPIDGLADLYLSANRSDGSMNLVEELPPHDSSTKPKCQTVYGVIGVLKLSVGSYFLVITGRDCVGSYLGHAIFKVTGLKVLPCSNSRSTSGNQSKMETEFSELLHAAEKTIGLYFSYDINLTLTGYIILVMSSNHFRFGDSWTSTCCQSFKANIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMESKGFTASYVQVRGSIPFLWEQIVDLTYKPSFDIVRQEEAPRILERHFHDLQKKYGAVLAVDLVNTHGGEGRLHDRYAKSIEPILSEDIRYVHFDFHRICGHIHFERLSQLYDQIEDYLKKHRYFLLNGKGEKIEEQTGTIRTNCVDCLDRTNVTQSMIGGKILENQLQRIGVLGVNDTISNHPAFDAKYKVCELFMFANPGSSCLYSSCLFVSPMLLLDAKYSCSVWANHGDSISTQYSGTPALKGDFVRYGKRSTQGILNDLWNSLARYYLNNFADGTKQDAMDLLQGHYIISVSRDMAGPSKAGLLENYASFRLAFALVMGALMFMMMSLRQARNDVRHLVLSLLWAGLCIGITHFVRANGRVFTNRPRFYQSRH >OGLUM02G20670.1 pep chromosome:ALNU02000000:2:22035767:22036403:-1 gene:OGLUM02G20670 transcript:OGLUM02G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQPVGKGGVRGGRERRTVAGEAATAKVTATTVVKAAMVGATASMASMAWEAATGAVPPPPNLVPCCHVKTMKRCSLLSISVLPRSGEDDETGAARSSKQQVGREEKDGAREDEEDTINSKSTRQRLVAPMIPPIS >OGLUM02G20680.1 pep chromosome:ALNU02000000:2:22042666:22043379:1 gene:OGLUM02G20680 transcript:OGLUM02G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDDDFTFPAPAATATATAVAAAATSSLAPSSQGGLLWPFSSTMATADDDDDDDDDDAASGGQAVEATAPVAAARRVDEEEEEERMDQLWERDRDARAGDEERMDLLWEDFNDELLLQLRRRQQQRAAAGTPPSPSPAAAADDDDEEETPSSSPPGGGGGLYGCAPTMLRASSRAGAVGQFYGGRRGGGGGSRATTGWELLLRLFRKLFAVDKSSPSPAPPCRHHHRRHGSIYVP >OGLUM02G20690.1 pep chromosome:ALNU02000000:2:22044749:22049273:-1 gene:OGLUM02G20690 transcript:OGLUM02G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRAPATPPPLPWPSKPSAASRSALRRLDLDDGRRQGTEGEENHAPLLCSPAMASSTAFAAAFALLLLASSAAAEGEAVLTLDAGNFTEVVGAHDFIVVEFYAPWCGHCNQLAPEYEAAAAALRSHDPPVVLAKVDASADLNRGLAGEHGVQGYPTIRILRDRGARSHDYAGPRDAAGIVAYLKRQAGPASVEIAASASPPAADSIANDGVVVVGVFPELSGSEFESFMAVAEKMRADYDFRHTTDAGVLPRGDRTVRGPLVRLFKPFDELFVDSQDFDRDALEKFIESSGFPTVVTFDTSPANQKYLLKYFDNTGTKAMLFLSFSDDRAEEFRTQFHEAANQYSANNISFLIGDVTASQGAFQYFGLKESEVPLVFILASKSKYIKPTVEPDQILPYLKEFTPVKTVVADNLREVVFNSGKNVLLEFYAPWCGHCQKLAPILEEVAVSLKDDEDVVIAKMDGTANDVPSDFAVEGYPSMYFYSSGGNLLPYDGRTAEEIIDFITKNKGSRPGEATTTESVKDELGSGELQVRRCSAADSLRT >OGLUM02G20700.1 pep chromosome:ALNU02000000:2:22050657:22053874:-1 gene:OGLUM02G20700 transcript:OGLUM02G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22370) TAIR;Acc:AT5G22370] MVFGQVVIGPPGSGKTTYCNGMSQFLSLVGRKVAVINLDPANDALPYECAINIEDLIKLSDVMSEHSLGPNGGLVYCMDYLEKNIDWLEEKLKPLIEDHYLLFDFPGQVELFFLHSNARSIIYKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHMELPHINVLSKIDLIENYGNLAFNLNFYTDVEDLSYLQRHLDQDPRSAKYRKLTKELCDVIDDFGLVNFTTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSVVEFKVQEKYMKDDEFVQKTSKTR >OGLUM02G20710.1 pep chromosome:ALNU02000000:2:22054157:22055947:1 gene:OGLUM02G20710 transcript:OGLUM02G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21090) TAIR;Acc:AT2G21090] MRRLLTPPWPAAAAAAPASAGAASAVTVEAVLALLERAISAGDVRRLGAAVHALLVKTALTHHTLLSNRLVALYALLPTPAASLAAFDDLPHKNAHSYNSLLAALARGRGTLPDALRLLDGMPPASRNVVSYNTVISSLARHGCESEALRVFAQLARDRGLGQQQVAIDRFTVVSAASACAGLRDARHLRELHGAVVVSGMEVTVIMANAMVDAYSKAGRVEDARGVFDQMTIRDSVSWTSMIAGYCRASMLDDAVQVFDMMPAQDAIAWTALISGHEQNGEEEIALELFESMTGEGVVPTPFALVSCLGACAKVGLVARGKEVHGFILRRSIGSDPFNVFIHNALIDMYSKCGDMVAAMAVFDRMLERDIISWNSMVTGFSHNGQGKQSLAVFERMLKDEVQPTYVTFLAVLTACSHAGLVSDGRRILESMQDHGVEPRAEHYSAFIDALGRNRQLEEASEFIKGLSSKIGLGTTGSWGALLGACHVHGNIEIAEEVAEALFQLEPENSGRYVMLSNIYSAAGQWDDARQVRALMKGKGLRKDQAYSWIEVQRAKHMFVADDTSHHEANEIYEMLDKLFHHMFIIGGNVEELIVG >OGLUM02G20720.1 pep chromosome:ALNU02000000:2:22058452:22068850:-1 gene:OGLUM02G20720 transcript:OGLUM02G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRIRPSLTGVRETSPLSLRLQSPYPPPPPPPPSPVGREPLIPGRLRREDMLRRRLVMRQLPWIVPMREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRACPPLEAGALLLLLPGGINGTPLPLPAHVRQNLTSPES >OGLUM02G20720.2 pep chromosome:ALNU02000000:2:22058037:22060372:-1 gene:OGLUM02G20720 transcript:OGLUM02G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRHSLMYIPQNNQNKELSHNSSVLLPGKDHGGLIIPMVRRQPPLAVARTGMSATGSRCSAAAAAGGNQWNAIAVASTRRSSSSV >OGLUM02G20720.3 pep chromosome:ALNU02000000:2:22061730:22068850:-1 gene:OGLUM02G20720 transcript:OGLUM02G20720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRIRPSLTGVRETSPLSLRLQSPYPPPPPPPPSPVGREPLIPGRLRREDMLRRRLVMRQALSHY >OGLUM02G20720.4 pep chromosome:ALNU02000000:2:22058459:22060372:-1 gene:OGLUM02G20720 transcript:OGLUM02G20720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRHSLMYIPQNNQNKELSHNSSVLLPGKDHGGLIIPMVRRQPPLAVARTGMSATGSRCSAAAAAGGNQWNAIAVASTREAKPNIS >OGLUM02G20720.5 pep chromosome:ALNU02000000:2:22058452:22060372:-1 gene:OGLUM02G20720 transcript:OGLUM02G20720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MREQRRDDVRVAWEEGDLATEEMDDELFIRGEEVETWRACPPLEAGALLLLLPGGINGTPLPLPAHVRQNLTSPES >OGLUM02G20730.1 pep chromosome:ALNU02000000:2:22058296:22059882:1 gene:OGLUM02G20730 transcript:OGLUM02G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISIILLPHSIGQCARLDRTASARRHHHQHQVLWWGQCTPLTQQCIQQEDNIWVASSSSDALPTGT >OGLUM02G20740.1 pep chromosome:ALNU02000000:2:22060095:22061632:1 gene:OGLUM02G20740 transcript:OGLUM02G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGATNLPPGFHFFPSDEELVVHFLRRKVSLLPCHPDIIPTLLPHRYNPWELNGKALQAGNQWYFFCHLTQSRTSSNGHWSPIGVDETVRSGGRNVGLKKTLLFSIGEPSEGIRTNWIMHEYHLLDGDCVAGGSSNLTSSSSNRRSHRKRGHSSMESNNWVLCRVFESSCGSQVSFHGEGTELSCLDEVFLSLDDYDEKEKRKVGGAYAIIWPSMLSMEIMHACVEIALR >OGLUM02G20750.1 pep chromosome:ALNU02000000:2:22073328:22082483:1 gene:OGLUM02G20750 transcript:OGLUM02G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 [Source:Projected from Arabidopsis thaliana (AT1G76990) TAIR;Acc:AT1G76990] MGVSSKWGHMWRGSPCSGCVRCKTTRESKISRSQEQQQQVEGKRRRELRGNPRTVALLKEESTERFVTLEDMLPYFDPEYENFSQRINPPRLLSEAPLLSVANKLIIFCRVCIDNSTCSDCTLVKVDSMNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRSVGMHSIGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVASGQAVGDACRLSRIEHRLRLVLRGHTGGGGANGDDGDDSPAHANFFSSGGAGSNTHVDRRLHQLMHADVDADDDDGLDSRAIVSGEAGNAAAAAAAEERPVVTVEHCEEKDYSVVNVKCRDRSKLLFDIVCTLTDMHYVVSHASVSSDGIYGIQELYIRRKDGRTLQKDEAGRVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAINVFYVRDASGEPVDMKTIEGLRVQVGQTVMLNVKKVPSSPSSSSAAAAAANAKSPGQPASGALSRTSFFSFGNLFAKLRA >OGLUM02G20750.2 pep chromosome:ALNU02000000:2:22073328:22082483:1 gene:OGLUM02G20750 transcript:OGLUM02G20750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 3 [Source:Projected from Arabidopsis thaliana (AT1G76990) TAIR;Acc:AT1G76990] MGVSSKWGHMWRGSPCSGCVRCKTTRESKISRSQEQQQQVEGKRRRELRGNPRTVALLKEESTERFVTLEDMLPYFDPEYENFSQRINPPRVCIDNSTCSDCTLVKVDSMNKNGILLEVVQVLSDLDLAISKAYITSDGGWFMDVFHVVDKQGQKVTDEKTIKHIEKALGPDSNLLGGAKGGSSPVRSVGMHSIGDHTAIELKGPDRTGLLSEVFAVLAELGCNVLAAEVWTHRARVACVVYVNDVASGQAVGDACRLSRIEHRLRLVLRGHTGGGGANGDDGDDSPAHANFFSSGGAGSNTHVDRRLHQLMHADVDADDDDGLDSRAIVSGEAGNAAAAAAAEERPVVTVEHCEEKDYSVVNVKCRDRSKLLFDIVCTLTDMHYVVSHASVSSDGIYGIQELYIRRKDGRTLQKDEAGRVIKCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVTRADVTTVGGQAINVFYVRDASGEPVDMKTIEGLRVQVGQTVMLNVKKVPSSPSSSSAAAAAANAKSPGQPASGALSRTSFFSFGNLFAKLRA >OGLUM02G20760.1 pep chromosome:ALNU02000000:2:22082099:22084215:-1 gene:OGLUM02G20760 transcript:OGLUM02G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G77020) TAIR;Acc:AT1G77020] MAKETEFYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKTSISKENMLDGTVVFTLLFGSELFEDYIGHLAMATMASSEMASDNDNPEKLQDRLKGVQREREEKLARFLKEFLSQYVRGDQEGFASRAESEAKRLSSTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFLAEWVRNKGHLWRSQITAAKGALQLLQLQEEACRQSGKDGLATERDVDLQMRMNKDLMMSSLWKLNIVDIEVTLLHVCEMVLHENNVKKEDLKARAMALKILGKIFQRDKEALPGPSKPTILDDDSSSDESSDDDVARTVPYRTPAGIGRLFRCLCNPAYDVDDDFEPRK >OGLUM02G20770.1 pep chromosome:ALNU02000000:2:22091409:22096840:-1 gene:OGLUM02G20770 transcript:OGLUM02G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGWLRRKWRRRRDKPAGDASTAATSPRDSVDLGGTSAYPSACASPSSTTPTRWGAVPPRCPGPQDQHHGLPLPRPVSKSAPMPLASPAAAAGPSPSPPACASAAESVSGGSSSDDEADHRNYRYTDPVVHTSGRTVLPDGHNGMVEEKRFVSCGILQEHQKFFEVPIANVNEVHHMQIFEPSTSESSYSRGRMLPEDTFAVRPRSHSPGPRGHAYSACCARDFGFTPRSPVKRMDDPRSPSQPLPLPPVPVASSSIPSSSITSSQFQSQWKRGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVFLDDSHSKERLRQLNQEIDMLKQLSHQNIVQYYGSELADEALSIYLEYVSGGSIHKLLREYGPFKEPVIRNYTRQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHVTSFAEIRSFRGSPYWMAPEVVMNNKGYNLAVDIWSLGCTIIEMATAKHPWYPYEDVAAIFKIANSKDIPEIPDCFSKEGKDFLSLCLKRDPVQRPSAALLLGHPFVQDHQAVRAPTCNGTQLRNGISSPAGASHRKRILVKEKHCSSAWYRRTKRKGIRWIFHSLSFSSQYLQQPNCSESQHVSTGVAMLKPAAAVQAIKLELPPFTNASGALPWIISSSLPKQSLAEPVEAKRRSSRSLAGVKPAETSKPIWLSKEILGL >OGLUM02G20780.1 pep chromosome:ALNU02000000:2:22110767:22113144:-1 gene:OGLUM02G20780 transcript:OGLUM02G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTM5] MLYVKLVLLILMCGSFVSLLNSPSIHHDDEHLTQSSAGVPRVSYEPDDTRYVSDVTVDWPKISKAMQLVAGAEHGGGGARVALLNFDDGEVQQWRTVLPRTAAAVARLERAGSNVTWEHLYPEWIDEEELYHAPTCPDLPEPAVDADGDGEEVAVFDVVAVKLPCRRGGSWSKDVARLHLQLAAARLAATRGRGGAAAHVLVVSASRCFPIPNLFRCRDEVAPRDGDVWLYRPDADALRRDLALPVGSCRLAMPFSALAEPHVAPAAPPQREAYATILHSEELYACGALVAAQSIRMASASGAPSEPERDMVALVDETISARHRGALEAAGWKVRAIRRVRNPRAAADAYNEWNYSKFWLWSLTEYDRVVFLDADLLVQRPMAPLFAMPEVSATANHGTLFNSGVMVVEPCGCTLRLLMDHIADIDSYNGGDQGYLNEVFSWWHRLPSHANYMKHFWEGDSGERLAAARRAVLAAEPAVALAVHFVGMKPWFCFRDYDCNWNSPQLRQFASDEAHARWWRAHDAMPAALQGFCLLDERQKALLRWDAAEARAANFSDGHWRLPIADPRRNICATAAGDGEAAAACVEREIKNRRVEGNRVTTSYAKLIDNF >OGLUM02G20790.1 pep chromosome:ALNU02000000:2:22137497:22148870:1 gene:OGLUM02G20790 transcript:OGLUM02G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAVTRLIRSSSPVVSPSRLSAANLLKNNNGKAFLSEDASKRVEEPFKVEEAETVKVPPPSPDKLLVLGGNGFVGSHVCKEALDKGFTVASLNRSGKPSISESWADKVIWNKGNLLEPDSLKDIMEGVSAVVSCVGGFGSNSYMYKINGTANINAISVAAEKGIKRFVYVSAADFGFVNYLLQGYYEGKRATEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGSPMQMVLQSAKPLTRLPLVGPLLTPPVSVASVAKVAVRAATDPVFPPGIVDVYGIMRYSDQK >OGLUM02G20800.1 pep chromosome:ALNU02000000:2:22149990:22152721:-1 gene:OGLUM02G20800 transcript:OGLUM02G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >OGLUM02G20800.2 pep chromosome:ALNU02000000:2:22149990:22152721:-1 gene:OGLUM02G20800 transcript:OGLUM02G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSKSASPDRLIHHHSLAKLLLAGLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >OGLUM02G20800.3 pep chromosome:ALNU02000000:2:22149990:22152721:-1 gene:OGLUM02G20800 transcript:OGLUM02G20800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT3G26410) TAIR;Acc:AT3G26410] MWYLCVFYHRLLDYRRPEVQSLAELFGGPGAGDAVEWRMPENHHVDSPFHLVRLPGDERLAAQIANRSKSASPDRLIHHHSLAKLLLAGLLVKGIYELWGQGTTYEELERAVMAYPEERKLPYLTPESTFKIVVDSFGKGRVNLKKPDHKFFVMETDDYGCNNGLPPVAQRTVFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGNIDIRVVRDGRGPDCNIWSNFEQYKLPEPLCILRADNNVPPWRPGLKEVFDAIICDPPYGVRAGGRKSGGRKLIKGTVAPYTVPDEKRDSHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFFPVVREDGVANPAKYPEHPCFRLLASCEQILSMRYSRVLLTMVKVGPYTEEVEKAAEERRREFRENHHKWMEEGNLHSAVFSPADQAAAAADGKPAIDRDSKPKYRGKYV >OGLUM02G20810.1 pep chromosome:ALNU02000000:2:22155271:22161271:-1 gene:OGLUM02G20810 transcript:OGLUM02G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANEVVVAAEEPAPASAGAVDPMRLASRWRSPAEWGAAAAEMEAEPAPSELNTTNSSGLFAVVSTDRMSVRYLGVNQHGHDVGVVQANRPAPTRRAVYYFEMGVKNAGQKGQTSIGFTTENFKMRRQPGWESNSCGYHGDDGYLYRGPGKSESFGPKFTSGDTIGAGINYFSQEFFFTKNGSLVGSFQKEIKGPLYPTIAVHSQDEEVTVNFGKEPFCFDIEGYIFEEKMKQQSVSDKLDLQPDISHWIVRSYLLHYGYQDTLNSFDMASETDPPSNHQNGYGEPPEMYGLSHRKLLRQLIMSGDIDSAFKKLGEWYPQDETSVICFLLHSQRFIEFIGAGQLEDAVKYARSNLANFLTHKAFDGLLKESVALLAYEKPAESCIGYLLDSPQREFVADAVNAAVLSTNPNMKDPESCLYSCLEKLLRQLTVCSFERRAFNGDQGDAFLLHKEVQSCDRSRCS >OGLUM02G20820.1 pep chromosome:ALNU02000000:2:22163961:22164361:1 gene:OGLUM02G20820 transcript:OGLUM02G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRAIDLAGGRVEEENSLATKIREMDRINNIPSSAFSQSDHPIFPKQSLKQERSTVQVS >OGLUM02G20830.1 pep chromosome:ALNU02000000:2:22166173:22173647:1 gene:OGLUM02G20830 transcript:OGLUM02G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVAVEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLREADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYIVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPMLDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFSAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQAVQSLFRVPSSPSESNTAGITMSNPMTVSSTLSGKWPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDALYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSPVKEDEMKRSHDKLLGELTEHSECNTSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHVNSFSALPETDHAQPLVATLRSGHGVPDQRQSTIPVSDDMGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVPETFKLNWLRVSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDNFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTGRVVRSAEILIRAATISQQVHGPWYNHLV >OGLUM02G20830.2 pep chromosome:ALNU02000000:2:22166173:22174188:1 gene:OGLUM02G20830 transcript:OGLUM02G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPEAVAVEIPAAAEAEEEVEEEEGSPSPPVVARVPPRIRRRLLLRHRGGAPATAEEIEAKLREADLRRQQFHEALSCKARCTVRYPSCPSQEEDPKKRLEAKLVAAEQKRLSLLAKEQSRLAKLDELRQAAKNDAELRFKKEREELGMKVESRVRQAEEKRTQLMHARSQRRAALEERTTKYIVQRVAWENKYRERVHSAILQKRTAAEKRRTGLLEGEKRRAQGRFSQVQLAARTLSCQREADRSKLKEQLEDKLQRAKRQRAEYLKQRGSTHSFTYTASVKHGDFLSRKLARCWRRFITSRKTTVVLARAFDMLRINEESVKPMPFEKLALCIESPTVLQTTRAFLDRLESRFTLSQSSSPSSPENIDHLLKHLGSPKRTLSKSGGRTRVTPTKAARNSDVSKLPRYSPRIVLCAYMILGHPSVVFNERGEREKLLVESAENFVKEFELLIKTILDGSSGACILKQPMLDDLSPGSSNYQESSAVVADRKKFRSQLASFDKAWCAYLYHFVVWKAKDAKSLEEDLVRAACKLELSMMQTCKFSAEGQPENLNDNLKAIQKEVMVDQTLLREKVRHLGGEAGIERMEVALSEARTKFFEAKGNRSPLATTIKNVAATCSSGESPISDMKENSNINDKRPSQAVQSLFRVPSSPSESNTAGITMSNPMTVSSTLSGKWPTENEQMVNEILHGFLADSSSNIGTVEGGFKEKVRETMEKAFWDVVVDSLRGDMPDYSYLVQLVKEVRDALYEMVPKGWKEEIINNIDLEILLQVLESGTQDMQYLGQILQYSLGMLRKLSSPVKEDEMKRSHDKLLGELTEHSECNTSGSNSFVIAVIKGLRFTMEELKALKTEVSRARIQLLEPIIKGSGGVEYLQKAFADRYGFPSNASVALRSTAQWISTSKDTVEVEWNEHVNSFSALPETDHAQPLVATLRSGHGVPDQRQSTIPVSDDMGLPECTGQRLDQLIRIGLLQLISGIEGVQMQSVPETFKLNWLRVSMLVQRQVLATDDPNITPTELESATSQLFNTLAELLDNFPDVSTAKIMEVMLRSSSSSSSGSTTGSPSDERTESRKQILARVFLKSLQTDDPVFKKVSRSVYCAFRAITLGGSGARGRKLADAALRRIGATKLTGRVVRSAEILIRAATISQQVHGPWYNHLV >OGLUM02G20840.1 pep chromosome:ALNU02000000:2:22171993:22173646:-1 gene:OGLUM02G20840 transcript:OGLUM02G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G07020) TAIR;Acc:AT5G07020] MACPAQSMLSASTTSCCAFLRSSAAAKPQAAASAAASLARGGRLFLLSCNASSSSSSPSPSSPPPAAEDCNEEECAPEKEVGSLSAEWLAEERTKVVGTFPPKKKGWTGYVEKDTAGQTNIYSVEPTVYVAESAISSGAAGAAADGSENTAAIAGGLALVFVAGVSSILIQVGKNQPPPQATVYSGPPLSYYVAKFQPSLAAVALQQQQPPAVDAPATEDASSTAPASPAAAAKDQLSS >OGLUM02G20850.1 pep chromosome:ALNU02000000:2:22179781:22184810:-1 gene:OGLUM02G20850 transcript:OGLUM02G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFSLLEPPKDLDSKEKISGKSNLTFEEALVSEHHAVSKAQKLPTELMAPVLRMIQYSTLGLYELVEKIYASLQEAVFEGLELYAKQDGLEAACRILKILGSDGTKMYEVGWLLRDKTIISTSVIKGEDLIHRRPPVSRNTLKIFIRDATSQNAPWVIHENLAKRYGIPIEPPNDMMFGEGLQKKGRKRREDGPMGDPKKKMKNDEEHINVPIKYPIDDLLVQPSADDHALLKRPPLATDFRVPKYSVGDLLMVWDFCLSFGRVLNLSPFSLVDLENAICHKESNALLVEIHTAIFHLLIKDEGDYFTILRTKKRKLKVTLVTWAEYLCDFLEMTKTEELTRNIATVRKGYYSLIDTDIKLKILRELVEEAITTSPVREKLSEWVDQRQALAATKRESTRKAKDEQNSSIDGLQDDNESVDEQGKGKEEKDKNNISRSKTEGKRHGVQHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSKEWGYYSTKEEIDVLMSSLNVKGIRERALKRQLDKLYSKISNALEKRSKEITHKLLLEEAVLRRSTRVRAQPRDNPSMSFLKYVNKWKDN >OGLUM02G20860.1 pep chromosome:ALNU02000000:2:22189279:22190310:1 gene:OGLUM02G20860 transcript:OGLUM02G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-CAP/cofactor C-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39920) TAIR;Acc:AT4G39920] MEPEPDHTKPSTSATAAGHRKHLAMLERLSKRAAAAAPAPSQDSPVAAFLSRFAAAKLAAESALSACRSSPDDAQLSLSAAAAAIDDLDRLVAEASHSLPPYELRSALAAASDLRAAHRLAASDLRPKKSFSFRNKSKAPKNPPQDPPPTLPPPPDQPNPSVEAILPGLGFRGRRDATLVKDLRVSDGKDGDFTLADLVSCQVYLKGKCRALHVHKLKDCRVFVGAVFGSVLIEDVERCAFVMAAHQIRIHKATATDFYLRVRSRPIIEDCCGVRFAPHALKYEGIGEDLRDAGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTIDISDVQEREDDN >OGLUM02G20870.1 pep chromosome:ALNU02000000:2:22201033:22207278:1 gene:OGLUM02G20870 transcript:OGLUM02G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEYDDDWELPSADITVVLCGKLGCGKSATGNSIVGREAFVSEYSHASVTSTCQLASTALKDGRTLNVIDTPGLFEMTITSEDAGKEIVKCMSMAKDGIHAVLMVFSATSRFTREDSSTIETIKEFFGEKIVDHMILVFTYGDLVGENKLKSMLNNAPEYLQKTVELCKNRVVLFDNMTKDRWLQEKQLENLLDVVDSVNTNNGGKPFSDQMLACIKEAHAREQEVHDAIGYTEEQISELKKEIQRTRDEQLANITNMVEEKLNITVDKLQQQLMEEQNARLEAERLAAEARLRSDEEIRKLKKRLEKAQQENEEFRKMASQHKCSIL >OGLUM02G20880.1 pep chromosome:ALNU02000000:2:22216357:22226338:1 gene:OGLUM02G20880 transcript:OGLUM02G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTN8] MAGSVVAAAAAGGGGTGSSCDALYRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNELTSPKPEPHEPEKCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPPLDMTQNPPWQELVARDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSQSEFVVSANKYLEAKNSKISVGMRFKMRFEGDEAPERRFSGTIIGVGSMSTSPWANSDWRSLKPSPQPPARNKRARPPASNSIAPELPPVFGLWKSSAESTQGFSFSGLQRTRELYPSSPNPIFSTSLNVGFSTKNEPSALSNKHFYWPMRETRADSYSASISKVPSEKKQEPSSAGCRLFGIEISSAVEATSPLAAVSGVGQDQPAASVDAESDQLSQPSHANKSDAPAASSEPSPHETQSRQVRSCTKVIMQGMAVGRAVDLTRLHGYDDLCCKLEEMFDIQGELSASLKKWKVVYTDDEDDMMLVGDDPWPEFCSMVKRIYIYTYEEAKQLTPKSKLPIIGDAIKPNPNKQSPESDMPHSDLDSTAPVTDKDC >OGLUM02G20890.1 pep chromosome:ALNU02000000:2:22223045:22225265:-1 gene:OGLUM02G20890 transcript:OGLUM02G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTSPDPPDYCSAASPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTNNLIRGDNPRLECGIKKEMREMLPVVVFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHIDCIDHWLSTNTTCPLCRVSLLPSPKTASIDPVDLEAQTVEENSSLDVQYQEGRIDENTRQEDQTLQQGSEGPTHQAEENEETSVRVTTEPQVEAEGSPSTTCRPCKTKK >OGLUM02G20900.1 pep chromosome:ALNU02000000:2:22228929:22234261:1 gene:OGLUM02G20900 transcript:OGLUM02G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G10320) TAIR;Acc:AT1G10320] MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKATAAAAAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQEDRPTSNPLPPQSVAFASQRMEPSLSAQEVLEKDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFTDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRSRSGTTKSRRERKRQSGNGERSDTEEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >OGLUM02G20900.2 pep chromosome:ALNU02000000:2:22228929:22234261:1 gene:OGLUM02G20900 transcript:OGLUM02G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G10320) TAIR;Acc:AT1G10320] MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKATAAAAAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQEDRPTSNPLPPQSVAFASQRMEPSLSAQEVLEKDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFTDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRSRSGTTKSRRERKRQSGNGERSDTEEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >OGLUM02G20900.3 pep chromosome:ALNU02000000:2:22228929:22234263:1 gene:OGLUM02G20900 transcript:OGLUM02G20900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G10320) TAIR;Acc:AT1G10320] MSTAAADPAAAADAAVTRKERRRERKKERRRRARREAAEAARKAAEALAADPEEERRLRELEEAEADASERARRAFEDAERRWLEAAAARAAEKATAAAAAAAAREESTAPEDSSREYKDDHGNGTEEDDEWEYVEDGPAEIIWEGNEITVKKKMVKVPKKAKENQPIQQDKAHCPFHLKTGACRFGVRCSRVHFYPDKSCTLLMRNMYSGPGLALEQDEGLECTDEEIEQSYEEFYEDVHTEFLKFGELVNFKVCRNGSLHLRGNVYVHYKSLDSALIAYSSMNGRYFAGKQITCEFVAVTRWKVAICGEYMRSRFKTCSRGIACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMAALFGPSDDSIYGKPSDTPHLERSQSSDRRRPRSSDPRYTPSRTRDEDAHKQHSSRDYSHSKHERSSHTEHRRDRKESSASDKHRHREIKDKTSKYSSNMESERESHKYMREEKHRIDHGNGGKGDHGKVRSRKNRSERQESLEPGSSGRSSDFTDQDTTESPSGSKSTGRHHKKTRRQSLEEHSTRRSSRHRDMEDDGRGQSVAVKRKDHHDTSDDRWVATNSDVDSDLETQYQRSSSEGSKLGMKYHARSDSETGYGRSRSGTTKSRRERKRQSGNGERSDTEEVTSDSDTRDMSSDAWRSRSRSSDENLSTHRSRRKRSRSSHDS >OGLUM02G20910.1 pep chromosome:ALNU02000000:2:22234633:22241638:-1 gene:OGLUM02G20910 transcript:OGLUM02G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MTEYICGPATYGPIRPGPYTRRRRYLTRLASLRFGAWWRGFGVPEPSRSLLPLSASASALLRSDRRRLVAAMFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVVEVTVAKYPNRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQPGQPWSVKVPGNPAPIAVGATTMSSNEALKAGDSADGRYVPNEGFYEDIVVEDPNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLRINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPSSHVNPIFMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVVLDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >OGLUM02G20910.2 pep chromosome:ALNU02000000:2:22234633:22239641:-1 gene:OGLUM02G20910 transcript:OGLUM02G20910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MFKKHVDVKALQRLSGADKKKLRRTAKERFPQASDADLDAILPPKVVEVTVAKYPNRVLVYGIEGEFPMLFDIDGRGHELFPTVYALWKVPDLLPAFTLKGGEVSRFILGGADLMFPGISIPPEGLPSFQPGQPWSVKVPGNPAPIAVGATTMSSNEALKAGDSADGRYVPNEGFYEDIVVEDPNYASPSQPADSSEDHVEGMHDSTIEGEEAAVDVSESHTTDHDIHVEAIEDLTAGVSEVKLPEDNATEEPTEEREHQNLSTEEIDSLLDKCLLQALHTSVKDKDLPIPGSTLWSNHILPCRPTGVTLDIKKSSHKKLSKWLQSKSSAGLISSKEDKHKKEVMLLRINRGHPDYMAFKPEKRVQEPVVQHDNVVAEGSSTKQLEVAETYKPSSHVNPIFMAVGADTSKYYSASEASDIVFRYVEKENLVKQTDKAKVVLDVALCDALYKGAIKKGSAYPTEIHKRDLGSTFLNRMQIHHRVARGNEVVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQHEVLVQGGVIDDLAKHLVDHYGVPKRFIEVLDKTKR >OGLUM02G20910.3 pep chromosome:ALNU02000000:2:22239645:22241638:-1 gene:OGLUM02G20910 transcript:OGLUM02G20910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor SUI1 family protein [Source:Projected from Arabidopsis thaliana (AT1G71350) TAIR;Acc:AT1G71350] MTEYICGPATYGPIRPGPYTRRRRYLTRLASLRFGAWWRGFGVPEPSRSLLPLSASASALLRSDRRRLVAGNVS >OGLUM02G20920.1 pep chromosome:ALNU02000000:2:22240017:22243414:1 gene:OGLUM02G20920 transcript:OGLUM02G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10310) TAIR;Acc:AT1G10310] MTAGSKGGGGVAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSADHVRSLEAEIATPARHFLTVADVRSDSNMAELAKAVVEKKQVPDIIVNNAGTINKNNKTWSVPAEEFDTVVDTNIKGTANVLRHFIPLMIEKKHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLNSCFGSSASLYQSTEQW >OGLUM02G20930.1 pep chromosome:ALNU02000000:2:22247615:22249410:-1 gene:OGLUM02G20930 transcript:OGLUM02G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRFEVSHYFQLIFKLILLAYAVLCLSQLLHRWSNGSSLLSLWCA >OGLUM02G20930.2 pep chromosome:ALNU02000000:2:22247987:22249410:-1 gene:OGLUM02G20930 transcript:OGLUM02G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVRVLVVDDSPVDRRVVELLLRAHCGGGAAGEAAPFHVTAVDSGKKAMELLGRRRGDRDHLTPSSPAAAAAANDQAIDIVLTDYCMPEMTGYDLLKAIKALGSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKMNDVQRLRKCSGATRPKSAVAGDDDRCCNTAKKAAAAAAATPEQQQQQQRSPHLAGLAMA >OGLUM02G20940.1 pep chromosome:ALNU02000000:2:22268379:22274166:-1 gene:OGLUM02G20940 transcript:OGLUM02G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTP9] MEGQSQHRAPEREGSHNYDIESTDGSGGLWRRNGSSSGALLRYNDSGGGRSGSAGEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWTLVLLIGLLTGLVGFFNNLAVENIAGFKLLLTGNLMLKERYLTAFFAYGGCNLVLAAAAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYRLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRSLIEFCRSGKCGLFGQGGLIMFDLSSTVATYSTPDLIAIIILGIIGGIFGGLFNFLLDKVLRVYSIINERGAPFKILLTITISIITSMCSYGLPWLAACTPCPVDAVEQCPTIGRSGNFKNFQCPPGHYNDLASLFFNTNDDAIRNLFSNGTESEFHMSTLFIFFTAVYCLGILTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLAMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVGNIVHALRFTGHNGFPVVDEPPLTEAPELVGLVTRSHLLVLLNGKMFMKDQLKTSGSFVLQRFGAFDFAKPGSGKGLKIQDLDFTDEEMEMYVDLHPVTNTSPYTVVETMSLAKAAILFRALGLRHLLVVPKTPDRPPIVGILTRHDFVEEHIHGLFPNLNPHKFHSTSMGG >OGLUM02G20950.1 pep chromosome:ALNU02000000:2:22283105:22283425:1 gene:OGLUM02G20950 transcript:OGLUM02G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:co-factor for nitrate, reductase and xanthine dehydrogenase 7 [Source:Projected from Arabidopsis thaliana (AT4G10100) TAIR;Acc:AT4G10100] MALDPKANHAAAAAASADNPTAAAKVKVKVLFFARARDLTGVTEAPVEVPAGSTAGDCLARVLAAFPRLEEIRRSMVLALNEEYAPEDAAVGDGDELAIIPPISGG >OGLUM02G20960.1 pep chromosome:ALNU02000000:2:22284379:22287653:1 gene:OGLUM02G20960 transcript:OGLUM02G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFGAMDSVLSKLTDLLTFEYKLLEGVKQDIVFMKSELESMHAFLKKMSEVEDELDDQVKCWRKEVRELSYDIEDHIDEFAVHLKDEPGCELHGIPSFISQIVRSIASIRNHYQIAKEMRGIRAFVGEASRRHKRYKVDDAISKPSKVTVDPRLPALYKDASDLVGIDGPKNELIRWLTEGVSGPEQQLKVVPIVGSGGLGKTTIANQVYHNLEGIFESRAFVTVSQKPDMMKILREMLSGIGYNGLEAAWDEGKLIHEVRKYLRFVRYCVVLDDIWSISVWEILRCALPENNRGSRIVVTTRITDIARACCAPRHCDIYHLKPLDNTSSRRLFFKRICGSDDSLPSHVKGVAEKILKKCGGMPLAIISIASLLATKAQTKEQWESVNISLESGLDKHIGFEGMNWILSLSYNHLPQHLKTCMLYLCLFPEDYIISKDILVQQWIAEGFVFPEHGRNLEEAGYYYFNELINRSMAQPVDIEYNGEAMSCRVHDMIRSLIISKSNQENFVTIFSTSVAASVMTPGKIRRLSVQYIDEECGMVPMLPTLSHARSFSIFGHCNKMPSLTEFKVLRVLEMDDCWKLENHHLKHIGRLSQLKYLGLRRTPISELPEQIGELKYLETLDLRLSHLTELPAAIVRLRRLVHLFFDSNIKLPDGIGEMQSLQQLSSFDVCRSSITSLQELSRLSNLRVLVMSWRSFGMIGDVRSYNNNLVSSLGRLGTCSLRSIYIQGYNSSLQDFSLDLWCPPPSLLQKFVANKCLSVIPNWLGSLINLSYINVDVLRAAQRDLDILGELPNLLFLRLGSETAPQERLIIRDQCFEHLKEFKFICLLTEGLEFQVGAMPRLERLCFQFVALEIIYATGGFDFGIQHLLSLKEAFVKIDCFAAWAGVGNAAEAAISNSARALPNNPLLNIERFSANDDDMEEDFGFVVLGRRMQQRMPQPET >OGLUM02G20970.1 pep chromosome:ALNU02000000:2:22293110:22297015:-1 gene:OGLUM02G20970 transcript:OGLUM02G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGQRFCSGWSYSDVPYNDHHAQTDASVQQMVLDHGSVSFGRFAAESLSWEKRSVFDHNRRQEELSNLTMPGLVAQKKAFFEEYYKRARLLKAQEEVNQTEATSEEGTDHYDANGHNIQEHKLPAVSSEDPVASAPSSSFEPSTGVSSSGEKKCQDPHGLGYLTFNPLFSQITGSQNIQLEGPVSDQMHHAEGDFPCVTHTNTRDVLNHEPLERKVLAPKHIVSNDNGENVAVSRIVLPIASLQREHLKIDLERQEPRKNALISSMPTKSSKEPSTSVIHIPRIDSRRNSENRNSLELKDPFHKRVEMKLRALSDRMNADKATASSRSVFHQHAERAVISSRSSMTSCRSSTYQNGDRVATSSRSALGQNADRVHASSKSAQQASRRSLREPHGAVSLPRAAVNKGSHVSHVALSNSTTQKFVTSHPKHSVMPNSSQSASTLHTTQVSLKRSAGVSSVNNRPQNKRKQLSTPSTWDENKLNRGYARTSAPSSARSSSVGILPYKTAKAPKISNGKNVVVKQTEMMQKSRNGSHPAGGRNVQPKNVVSCNEQKRKTGEAKMAMTKTKTILSEQSARCRSADADDFLDQIRSCTSWISFTVSDS >OGLUM02G20980.1 pep chromosome:ALNU02000000:2:22302081:22302635:-1 gene:OGLUM02G20980 transcript:OGLUM02G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQQQQAAAPAMAAGGGGGPQYRGVRRRKWGKWVSEIRQPGTKVRIWLGSFDSAEMAAVAHDVAALRLRGRDGAQLNFPGSVGWLPQPATTDPVDIRAAAAEAAERVRREPALVSAAASAAPRRLELGGGDGEFDEMESPRLWAEMAEAMLLDPPKWGPDGSDGADGSSQSWAHGSLWDGC >OGLUM02G20990.1 pep chromosome:ALNU02000000:2:22308801:22326332:1 gene:OGLUM02G20990 transcript:OGLUM02G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGLHFIGVGEEESGGWGLRRPIQRGWHGRGKGRGCVLGRHGDGRTHVMGKQKWKVLEHLRQGEMRQVNLPNACIDPIHQHMHMYHCTAQLARSQATATATAMAGVLRAATEASSWDACDAHMWTASIGLMGLVCLCGHQDAGLLGLYQKVSKSGPSKRISGSQDRWGSSFIIRFSSLVPARNACASGVDVSARFQRGSRLYVRAR >OGLUM02G21000.1 pep chromosome:ALNU02000000:2:22326356:22330143:1 gene:OGLUM02G21000 transcript:OGLUM02G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGALKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAARVVNPRSASHHYSSLEDSVPGFRMEPPAAAGPPAAMQSGGFGSTWYRKDHAAAGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNHNGNSSSNCNAMQGDDLHGWRRVERRPDEVKISDGGCRQADGDSDGGAELGV >OGLUM02G21000.2 pep chromosome:ALNU02000000:2:22326356:22330144:1 gene:OGLUM02G21000 transcript:OGLUM02G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCSKDSVAEELTPQPPPAAAAAAAPVGRLQKTESQSLKQLITLTAKEDAAVVAPVVHAVITRAESNAKAKSAAAAAVPPVVVITSLNKSYSTAGAPAHHHRLSTVDVPQVVPGGVPQGFSGEHVIAGWPSWLTSVAGEVVQGWLPRRADTFERLDKIGQGTYSNVYKARDLETGKVVALKRVRFVNMDPESVRFMAREIHVLRRLDGHPNVVRLEGIVTSRLSHSLYLVFEYMDHDLAGLAATPGLRFTEPQVKCLMAQILAGLRHCHDRGVLHRDIKGANLLIGGDGALKIADFGLATFFDAARPQPLTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPILPGQTEIEQLHKIFKLCGSPSEEYWAKAKLPDVTLFKPQRPYRRKIAETFRDFSPPALDLLDTLLAIEPSDRGTAAAALDSDFFRSKPLACDPASLPKLPPSKEYDAKLRGKEAAMRQNATAAIGGKGSMSVKPGRNEQSKAAAPAQDAVGGDHQRRQAARVVNPRSASHHYSSLEDSVPGFRMEPPAAAGPPAAMQSGGFGSTWYRKDHAAAGDPRATTSRAASASVRVSNSVAGGAQLTSQRSYAHSRGTDLHPSSSAARHANSRYNRLDVAEPANALDRPGSSSTHQKDLSAAAPATGFGGRNKRIHYSGPLVPPGGNMEDMLREHERQIQQAVRKARVDKERTNHNGNSSSSNNNRQYY >OGLUM02G21010.1 pep chromosome:ALNU02000000:2:22330492:22332732:-1 gene:OGLUM02G21010 transcript:OGLUM02G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALASRFFSTRAEDRNQLPYPVSKIPLLTPHTMGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVGRASTNDYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >OGLUM02G21010.2 pep chromosome:ALNU02000000:2:22330492:22332732:-1 gene:OGLUM02G21010 transcript:OGLUM02G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALASRFFSTRAEDRNQLPYPVSKIPLLTPHTMGRFHLAHRVVHAPLTRSRCYNNLPQEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >OGLUM02G21010.3 pep chromosome:ALNU02000000:2:22330492:22332732:-1 gene:OGLUM02G21010 transcript:OGLUM02G21010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLALASRFFSTRAEDRNQLPYPVCYPWKRKFPSSLLTPWGDSTSPTEHVQLYYSQRATNGGLLIAEATGVSETAQGYPNTPGIWTKEQVEAWRTVVDAVHQKGGVFFCQIWHVPLTATFADYQPNGQTPISCTDKKITPTVLKDGTVEEFSAPRRLREDEIPQIVDDFRIAARNCIEAGFDGVEIHCAFGYLIEQFMKDGVNDRTDKYGGSIANRCRFALEVIQAAIDEIGSDRVGVRLSPYSNCLDCWDSDPDALGLYMIQAMSKLGVLYCSMVEPEVVKVDGKVQIPYKLWHFRKVFAGTFIVAGGYNREEGNRAVSQGYTDLVAYGKWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLSPL >OGLUM02G21020.1 pep chromosome:ALNU02000000:2:22336132:22336458:-1 gene:OGLUM02G21020 transcript:OGLUM02G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like [Source:Projected from Arabidopsis thaliana (AT4G21192) TAIR;Acc:AT4G21192] LFFQIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLLAYKREIAETNQE >OGLUM02G21030.1 pep chromosome:ALNU02000000:2:22346128:22349940:1 gene:OGLUM02G21030 transcript:OGLUM02G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKKAAAAAEEEEVHGVVIVGGGLCGLATAIALHRKGMGSLVVERSEALRVGGVALNVHANGWRALEELGLADGLRKTANLITSVRMVRQIQGKNQTTVSSPRKEIRCLRRKDVMEALAKSVPAHTIRYGCRIVAVDEDPGTDCTLPRFIVLGFASYPEGHPFGTEFSQIIADDFAVGRVPINENLLHFFVSRSPSPGRTDVDEDAARKYVLEKVDELPGEVADMVRRCDEASSWTLTKVWYRPPWQVALAGFRRGAVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLSSSGAAGGDGRAPPAPPRQLLVRDDVGAAIDEYVAERRRRATTLCLHSFAIGTLLTTRWLAVKLACVAVLALLGGDSRRDADYDCGRL >OGLUM02G21040.1 pep chromosome:ALNU02000000:2:22354010:22363062:-1 gene:OGLUM02G21040 transcript:OGLUM02G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVEQGGAAMSSSSSSLSPSPVSAPAGQTAITFRGIAAVAGVLIPFAALTLIVLLHCYCDEWRRGAVTTSASGRGRRRRSGSGGDGGRGGGVDPEVLPVTVYSRAAAAAAAKEDGVECAVCLAKLEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPLLPPVPPEPPASYTVNLPATVLLGLSDQGAPARGGQQLAGDRDPRIRGFDPARRGGEVVAELGAAEVTEKALESGHRHAPAPPEETTTMTSSTASASPHPRRGGGNAGTATGGLGRCKNRRGEVVQPETKYFKAISLYILGGPAMDESSSSSSPSPVSAPAGQAAMTAGGIATVAAVLIVFAALTLAFVLLQCYCDERRRAVTTSSRPRRRSRSGGDGGTGRGVDPEVLRSLPVTVYSRSTTAAAAKEEEEDDDGVECAVCLAELEDGEEARFLPRCGHGFHAECVDMWLGSHSTCPLCRLTVVVPPPPPPLPPVPPEPPASYTVSLPASVLLGLSDHGAGAVTMTAEGGSTLVIEIPESAASTTPRDAAARSSPSLARLRSLRRLWSFGRQGAAGSTSSCSCATGGDNDDGDVEHGVSVTVAIRAVEAATPARPPEAEAGARTAAAHVRN >OGLUM02G21050.1 pep chromosome:ALNU02000000:2:22375612:22376933:1 gene:OGLUM02G21050 transcript:OGLUM02G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 3 (XRCC3) [Source:Projected from Arabidopsis thaliana (AT5G57450) TAIR;Acc:AT5G57450] MGEQMDNEELNLSLSLQPSYPSRFQTEFLCCYCPKRFQSSQALGGHQNAHKLQRNLAKRNREAFLSISQRKGANAGIKDGSSALSAESICKISSGKKHHKEAWQVMQGSCGSSSSGTVMHKSIEQDVEDEDLSNGTIDLSLKQVVLADMELLLVY >OGLUM02G21050.2 pep chromosome:ALNU02000000:2:22372112:22376365:1 gene:OGLUM02G21050 transcript:OGLUM02G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of X-ray repair cross complementing 3 (XRCC3) [Source:Projected from Arabidopsis thaliana (AT5G57450) TAIR;Acc:AT5G57450] MRPAAPARHPSAAASSSSSTNSQQEPRPENPLLLLPSSRAGKLSLGCPVLDRLLSGGLPPASVTEIAGESASGKTQLCLQLALLAPLSPLSASCLFLHSDLPFPLRRLRGLAPKSRPDLLDHVLVAAAHSPSDLISLLSRAQRLLAHPGRLPPVRLILVDSIASLFRADFDASPADLKRRSALFFRISAKLKELAHRHRCVVVVTNQVVDVVEGEAGNTVAWSSGRRVSPALGIAWANCVNTRLFLTRDADGRGCARRRMKVAFAPHLPERACEFVIRRDGVFGVEPSGRCT >OGLUM02G21060.1 pep chromosome:ALNU02000000:2:22380039:22386538:1 gene:OGLUM02G21060 transcript:OGLUM02G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGGDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNKHKQLSQPAKAGQTSTGTTSEDGSTSTYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNMLALGPPQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >OGLUM02G21060.2 pep chromosome:ALNU02000000:2:22380162:22386538:1 gene:OGLUM02G21060 transcript:OGLUM02G21060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRSLQRSGSKRVLDPTGGGGGGDDDHAAKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIQGRSSPKRIEGPDGRNLQLKFTTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGVAVTSGPESCAKLDVLVLEGDFNNEEDEDWTEEEFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVAPGSFEGIRVREAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLQLLVKDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYAIDSRNVGAIFNNIYEFTGLIADDQFISAENLTDNQKIYADGLVKKAYEDWMHVVEYDGKALLSFKQKKKSVTTRSDTAAAATNSPVSYGSSNKHKQLSQPAKAGQTSTGTTSEADGSTSTYNGNQAGRYAVNSQSIPANVTTQYERSSLTPESQFNGSALQNQVSRGSNMLALGPPQQHQQNFEFSALGGQSMQPTGLNPFDDWSQPQENRSGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGASTNLTEDGFAFPNYMPSTPPNFNFGDDRARPPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >OGLUM02G21070.1 pep chromosome:ALNU02000000:2:22386714:22390601:-1 gene:OGLUM02G21070 transcript:OGLUM02G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRVSATNLAAAAVRFPWKSTRAVRSLSLSLSLSRWRIGDRLVSPPLSLRTHPTLLPPPPSFLPPKSEPNPFPDSTRRQRRRRRPGIPLHQRSCPAADSPGRAGTGASARRFDGGERGEARFWNAQKMVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPTHCAEHGQASLVLKDDQECSASHVHGNGPVEESNSCMPNQRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQNAFICIVVLKIQSVTKQTAALTKSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYGKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSAMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALAIAYLPLYRACASARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >OGLUM02G21070.2 pep chromosome:ALNU02000000:2:22386714:22390601:-1 gene:OGLUM02G21070 transcript:OGLUM02G21070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYCRVSATNLAAAAVRFPWKSTRAVRSLSLSLSLSRWRIGDRLVSPPLSLRTHPTLLPPPPSFLPPKSEPNPFPDSTRRQRRRRRPGIPLHQRSCPAADSPGRAGTGASARRFDGGERGEARFWNAQKMVEHGKQLAIPAKVMPLDNAVFTEVEADELSCHGTPTHCAEHGQASLVLKDDQECSASHVHGNGPVEESNSCMPNQRIDEHGQIDFGHSLQLVLFSRQWGLAESLVALADHQLMLDYGLSVALDAIWFLRTEQDLQGLNHLIGKIVESGAKDFARAILRTSLLASCVVACQSKALTLGDSKEIIAQRLHDRLQDCPGGERLQIEAGAKVQKFIEWALQCIHLHRCSEDTECYKANCSTHQEVQFHLSAFKAFLDIAGDNLSGKIFTEAFDAACFPLTLFSTLFEPGWSSGSSAVAIQGLLSLLVEGGAENVNQCFLEASRFGSTELVRILLKIAHQNSLAVDVDLALVYASHYGKFETMACLVDEGHATSFLCPLVKASERGCLQVVQWFVNRHVSDIEMCLAVTTAASCGHFAVATYLLAHIPRHVLEALSPQILKAARGQGSGSFEGVSFLLRSNFLNDAAATYAVADSIATTSAMDIPQDLVDFLKEQWSQAAFAEGVEAGEDHFVNITRVLRRGASPIRLHDLPEPMALAIAYLPLYRACASARGQLLPQRLRGELVEAVGRLGVPVNMENNRRDFLAVLEHYFPSFITGA >OGLUM02G21080.1 pep chromosome:ALNU02000000:2:22392940:22397342:-1 gene:OGLUM02G21080 transcript:OGLUM02G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTR9] MAGGGGKAAAGGGEAPAITLEHTPTWIVSAVCFVIVIISLLFERLLHRLGKRLKKSRKKPLYEGLLKVKEELMLLGFISLLLNVFQGLTQKICVKASVMDHLQPCKLDFSGAKTAKTTAHLAAAGVRRLLAGGGAKSDYCEKKGLVPILSVEAIHQLHIFIFVLAVSHVVLSAITVLLGIAQTRKWQHWENKIQASDENGMMKEHSPLGKWIIGMKLSGPQMIKHVQEFQFIKNHFKGHGKRWKTFGWLRSFFKQFYGSVTEEDYVTMRLGFIMKHCRGNPKFNFYKYMIRALEDDFKKVVGISWYLWAMLIIFLLLNVQGWYVYIWISAVPFVMLLVVGTKLEHIITELAHQVAEKHTAVEGELVVSPSDELFWFHRPKIVLLLIHIVLFQNSFEIAFFFWLLVTYGFKSCIMGNTRYVIARLVISVISQLLCGYSTLPLYAIVSHMGTSFKKVIFDENVAEGLANWAQNARKRNARAARTQNVGDSPVDESNVGEVQMTSPPTKSVQQGTARLI >OGLUM02G21090.1 pep chromosome:ALNU02000000:2:22410318:22414951:1 gene:OGLUM02G21090 transcript:OGLUM02G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxylate reductase 1 [Source:Projected from Arabidopsis thaliana (AT3G25530) TAIR;Acc:AT3G25530] MEVGFLGLGIMGKAMAANLLRHGFRVTVWNRTLAKCQELVALGAAVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGEGKGYVDMSTVDAATSCKISEAIKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKVLYDDMVPAFDVLGKKSFFLGEIGNGAKMKLVVNMIMGSMMNALSEGLSLADNSGLSPQTLLDVLDLGAIANPMFKLKGPSMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVAAASNEAFKKARSLGLGDLDFSAVYEVLKGAGGSGKA >OGLUM02G21100.1 pep chromosome:ALNU02000000:2:22424373:22425557:1 gene:OGLUM02G21100 transcript:OGLUM02G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAIERDGALLGAALVEPEAAELIPGLPDDVAMECLARVPSRSHRAAWGGGVPFFARCAAAGDGRHVAVVGGWEPAALCLTRDVRVLDVPAGVWRRGAAMPDSRGFFGCTGSGGVVYVAGGHDESKNALRSAYAYDVASDAWRALPDMSEERDEPQLVANPGRVLAASGYPTDAQGAFKKTAERYTTTTTGDATAWSSEGDMAPNTAETCLAAVGGKVWAVGAGKGGVREWDGGAWRDVADGPPGMKACVKAVGAGDGDGAAMFVFVFGKVEDGKQYAAWVMEDAGGAARWRGVAVPPGFGGFVYSGAAVRV >OGLUM02G21110.1 pep chromosome:ALNU02000000:2:22433321:22434733:-1 gene:OGLUM02G21110 transcript:OGLUM02G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPHHPTLWMFIDSVMQRRGSPSPPPAAQLSGGVRRGGSSPPSATASGCKGSGSRSGARPSRLSREEVAVLSSPRPRRSRPTAGDDADGSFVGSKHRDVRRAYPAKRKQRAATSSSSSSSGSATAGVSVPSNRVDKKMRRFVGTFDALRHDTDSHDGDDGDDDVALGAGPLEAGDIAAARTTPSPPPSHAFSKAPVRAAAVAEQAQGQAAAVAEQAQAAAMAEQAQGQAAAVAEQAQAQGAAHKNQAAAAHAVSCIRGTPLCHRHSHWRGALSAALRILCGTCGCPCDPPAVVVLPPPEFFGSVYLLVIHQPVSGFPSPPMIFTAAWSQVDVWTHWITYAFCPASRLVDGSHLVPAKFYSMRIQNRWISSMHVFDGYLPRSMIRG >OGLUM02G21120.1 pep chromosome:ALNU02000000:2:22456621:22459272:-1 gene:OGLUM02G21120 transcript:OGLUM02G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQDSKRKRSVLPETATLAPGSKRRRRKSVPSRHAAAMLPDELLTEVCLRLPVKSVLRFRAACRSWDAMLSSEEFGQLYAARAEEMSSAPKLLFVSPTANFNSTAVYKCSPSKPTDDLLLTLDDVRGNFVEVTPAPCHGLSLLYDGIAPAYYVMNATTRAVTRLPPFRDVAFATAGLGCDARTKKYKVVRLFEGNLLEKEFLKCEIYTLGGDEGDIWRPAAGGVPFRFYSFARSAISNAVMNKLQPLFFNGYLHWLINPLHHVKLPRASILSFSLTDETFRWIRSPPFVASGVHLVELDGNLCMVRDLRDRSTAVCKLEIWKLKDYNSGDWSLDHRIDLTGQLPRDLLEPQIVKVIGSAGSCRSGTKIIIATSKHKVCSYDPVSRTLETITSISETCTSYQNEKSDIRFSLFKECLTPVHKTREEIAFATPLSKATKEILLRLPAESVLKFKPVCKQWLGLIKSERFIRAYFAHKNMDKRPKIMLVGKGSGKSLFNFVPLSKWLQEASNQGTLFLDTKVVCSKPCRGLNLMSFVEEDYLFNPCTGYHRVYWNREWHQHQPWKMPTGCREQEDNPFAVGNKNVGLGFSQVIQDHVVVGIFYDRKDYNSREYSLTCSLWSCGSGYFEQLPQPPLPVNDMPPVSVDGVLYWMSEPRLGQSYERAIVSFDIAAKIFEVIPCPSSIAMWDPRSRCHAFVVELLGKLCAVLSNSVADELDIWKWDHGLWTRAYTINLKFWPDYSLATNVVVPMAVDLTDGRILLNTGRKLGLYNPFDQTIENLLALDQVSLATPKVQQQRTGGHLKCHITRCEDVPRKFSPWKLSMAPCENFATPPSASSGKNLLSSRHQYVKALNSVSPKVMPVVPMLYEESLTYYPFAARARVLFS >OGLUM02G21130.1 pep chromosome:ALNU02000000:2:22467181:22469934:-1 gene:OGLUM02G21130 transcript:OGLUM02G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRVLSRGPRRRVRDHSSSSFRSLARSLTSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >OGLUM02G21130.2 pep chromosome:ALNU02000000:2:22467181:22469803:-1 gene:OGLUM02G21130 transcript:OGLUM02G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAVNLLKGEHSDPEFMKVNPMKFVPALVDGDAVIGDSYAIALYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >OGLUM02G21130.3 pep chromosome:ALNU02000000:2:22467181:22469803:-1 gene:OGLUM02G21130 transcript:OGLUM02G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGAAVAPAKLGLYSYWRSSCSHRVRIALNLKGLEYEYKAYLEDKYPEHPLLPQDLKMKALNLQIASIVCSGIQPLHNLTVLRFIEKKVGTGESIPWTQQQIDRGFAAAENLVKGCAGKYATGDEVRLADVFLAPQIYAAVTRFQINMLNYPTLARLHEEYMKHPAFQAALPDRQPDAPSST >OGLUM02G21140.1 pep chromosome:ALNU02000000:2:22470056:22473521:1 gene:OGLUM02G21140 transcript:OGLUM02G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGGGAGAAAAAAGNAAGAAAVHHNARSAEDVFRDFRARRAGIVKALTTDVEKFYRQCDPEKENLCLYGLPNETWDVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKEARRRLFTMINGLPTVYEVVTGIAKKQTKVSNGSSKSNKSNPKPSKQSNSNSKPAKPPQPKDEEDSGPEGAEDEDQAYMCGACGETYANGEFWICCDVCEKWFHGKCVRITPAKAEHIKQYKCPGCSSKRSRE >OGLUM02G21150.1 pep chromosome:ALNU02000000:2:22474101:22474301:1 gene:OGLUM02G21150 transcript:OGLUM02G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLNATGEFFRRRDDWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYRPSGDHHHH >OGLUM02G21160.1 pep chromosome:ALNU02000000:2:22477254:22478559:-1 gene:OGLUM02G21160 transcript:OGLUM02G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAAAAAAAPLLSSFHSLSLSSRGLRVPSPCEARPRAPLRRGDLAIRMGGGPRTFPGGVSKWQWKRMQARKAKQLLKARLARERQLYEMRKRAELRDAAAHLERPWDPDASDSAAAAAAPNLLSVAADDQMKALADRFHRPGGVDLWNDRDGPRVFAAPDTGRASARFFPKGSVHSIQPYGLVNGGPESTLAARGNPADATDRSHRHRLQGVRENAAKKEMRGVGGYREPAVEYIERGGVWEPVSNLDRGGDNNSSDSGWNDDNVISDLEDIADVDFRPEQRAMDGRDRREGGVARWEATTSMAVGSDNIRDQRGNGFSLEPEGTSEYHLGQSWQDRNSGSRGKRPAGRRKAMNTDGSSAIGKDRMVDGSSFSDSETAEWMPS >OGLUM02G21170.1 pep chromosome:ALNU02000000:2:22480743:22487127:1 gene:OGLUM02G21170 transcript:OGLUM02G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease [Source:Projected from Arabidopsis thaliana (AT1G33360) TAIR;Acc:AT1G33360] MSGLLRWRRLAAVATRAASTLTAPECCSPAVVAPPHRRVQERRKWEGPTSSSSSSSDEHEPRRIRAEAHCPRCSKHMDILFSHRAPPSSSAAAAGGGGYQALNLCPNCRSAYFFRPHLLAPLQGTFVEIGRVRADLLPHDAVRARGASFWEAIRGSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGGGVAGGGGGGEEGAGKDGWGGSNLGKDLPTPKEICQGLDKYVIGQDRAKKVLSVAVYNHYKRIYHKSLQKGSGADLGGFDGETDDDDGVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFAGYVGEDVESILYKLLAVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRAGGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDAALRIIAKKAMSKNTGARGLRTILENILMDAMYEIPDAKSGEKRIDAVVVDEDAVGAVDQPGCGAKILYGDGAFERYLSQIKVAGDAAGSEADGEAELSSSRAMGM >OGLUM02G21180.1 pep chromosome:ALNU02000000:2:22486698:22490160:-1 gene:OGLUM02G21180 transcript:OGLUM02G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT5G23550) TAIR;Acc:AT5G23550] MDTMRGALERARMLVGMEVDEESAPEEQSFFDDRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATAVYIASIIIALFCALFVHSKLLTLLAIILEFGALVWVIQEM >OGLUM02G21190.1 pep chromosome:ALNU02000000:2:22490502:22491348:1 gene:OGLUM02G21190 transcript:OGLUM02G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPILPKFEREHRLKRITLFF >OGLUM02G21200.1 pep chromosome:ALNU02000000:2:22491893:22494696:-1 gene:OGLUM02G21200 transcript:OGLUM02G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFAYPFTPSPAWSRDAVFAGSPWAAGGVSSLADALVSYGAVDDEEAAFLGKTAASSPSTARLHEQQQLLLEAELLRHGDGLGFAAMDDDGGAAMLGALEPCAMPLTDSGGPPVICSSSSNDSSGSEHSAAMPAGGGFLVGEQQQHVPPAAYAAGGVLPSMAAGEETPQSFGFGSLFNGDLLQEANVSKYHHHQQQQQLGVVPSSQPHHLNEFSSGLHHLNLSSLISGPLASFNATQSHRQSAEACGSKNGGAAPFVNLSEVLPKGNGSSSAGNGAPKPRVRARRGQATDPHSIAERLRREKISDRMKDLQELVPNSNKTNKASMLDEIIDYVKFLQLQVKVLSMSRLGAAEAVVPLLTETQTEVNQKNQFTPHSNLYTALLMQSGQRTGAVNPVKHNALKDLLKLLMQSPGFLLSPRSSSGERQAGAGAVTGGLPGDQPELLDGGAMFEQEVVKLMEDNMTTAMQYLQSKGLCLMPVALASAISAQKGTSSAAVRPEKKNGGDEEDVKGEFDAPRRPPVGRPKEMRSRV >OGLUM02G21210.1 pep chromosome:ALNU02000000:2:22508543:22511387:1 gene:OGLUM02G21210 transcript:OGLUM02G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17410) TAIR;Acc:AT1G17410] MGGATSPAAPCLSVCLLPLLFLFLHGCWSCVAIERERTLAMIKPDGLSGNYTERIKEIILESGFDIVKEAVVQLDAERASLFYAEHSGRSFFDSLVKYMTSGPVLVMILERPDAISHWRVLIGPTDARKAKISNPNRYCGT >OGLUM02G21220.1 pep chromosome:ALNU02000000:2:22513313:22513675:-1 gene:OGLUM02G21220 transcript:OGLUM02G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAPCDGDVDVVGEQRRGGHRLVWRGLGRRRKLPVVRLGGGGGGGRRRRGFLRRLRLRWLAARWLRRAVRRLAAIYVAALAGPPPHAPPSSSPSCRRPWIGAEPLFAVPFMPNVRPFL >OGLUM02G21230.1 pep chromosome:ALNU02000000:2:22519392:22521333:-1 gene:OGLUM02G21230 transcript:OGLUM02G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGGGATPSPAAKKANLLDAYSIKHLLDETVSEVAKSKGYPEDARLSNRRLAVGAAVIAVALLAQFYPRKFPENRDVLLACIALYAALNVALQIVTYTKEKNAILFTYPPAGSFNSTGLVISSKLPRLSDMYTLTIASADPQSKSSSEPVHFTKSVTKWFTKDGVLVEGLFWKDVEKLIDDYNSDHRSK >OGLUM02G21240.1 pep chromosome:ALNU02000000:2:22522901:22523368:-1 gene:OGLUM02G21240 transcript:OGLUM02G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRAAVALLVIIPVLLLLVLLPRPPTTSPSSVVGFAATRRLSGGANSGPPAHAPSAAPSPSPGRGRLHPTTTTSAAPRPPPPRGYGGERKRRLKLNLGERVGIALAAVAAALQVALVGACLALLARQLRRGKPRDSEDAAAAASSSSAPPPA >OGLUM02G21250.1 pep chromosome:ALNU02000000:2:22524865:22529127:1 gene:OGLUM02G21250 transcript:OGLUM02G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARREKGRAVAAVARGKREEDRAMSRHRLRSLVRRGELDEALRLVGSARRPDAGTCAALIKKLSASGRTAEARRVLASCGPDVMAYNAMVAGYCGAGQLDAARRLVAEMPVEPDAYTYNTLIRGLCGRGRTANALAVLDEMLRRRCVPDVVTYTILLEATCKRSGYKQAMKLLDEMRDKGCTPDIVTYNVVVNGICQEGRVDDAIEFLKNLPSYGCEPNTVSYNIVLKGLCTAERWEDAEELMGEMGQKGCPPNVVTFNMLISFLCRKGLVEPALEVLEQIPKYGCTPNSLSYNPLLHAFCKQKKMDKAMAFLDLMVSRGCYPDIVSYNTLLTALCRSGEVDVAVELLHQLKDKGCAPVLISYNTVIDGLTKAGKTKEALELLNEMVSKGLQPDIITYSTIAAGLCREDRIEDAIKAFGKVQDMGIRPNTVLYNAIILGLCKRRETHSAIDLFAYMIGNGCMPNESTYTILIEGLAYEGLIKEARDLLDELCSRAGEEILLDVHLIDVAFLTTFLPCSNANGGPFLRLPPEGSPVYDALL >OGLUM02G21260.1 pep chromosome:ALNU02000000:2:22529719:22531412:-1 gene:OGLUM02G21260 transcript:OGLUM02G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNRGGPRGPGAARPGGPPKPVNIDVPAIPFEELKKITSNFSDRALIGEGSYGRVYNGTLSDGRAAVIKKLDPGASQETDSEFSAQIAMVSKLKNEYFLELLGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWAQRVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLIFDEFSSKIADFNLTNQGTDTAARLHSTRVLGTFGYHAPEYAMTGQINQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWVMRSFILQQKITYYNISYFNLSISNDLTYTMIFVRQATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKAIQPLLNTKPAGPAEPPPA >OGLUM02G21270.1 pep chromosome:ALNU02000000:2:22543222:22556730:-1 gene:OGLUM02G21270 transcript:OGLUM02G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFDSESSVSRRPLSADRPRPSWRLDGGAMLTSPGFEITAAMQICSRSDATGDSPAPVKATIAPTPVLTPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFACGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >OGLUM02G21270.2 pep chromosome:ALNU02000000:2:22543220:22556730:-1 gene:OGLUM02G21270 transcript:OGLUM02G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKFDSESSVSRRPLSADRPRPSWRLDGGAMLTSPGFEITAAMQICSRSDATGDSPAPVKATIAPTPVLTPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFACGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >OGLUM02G21270.3 pep chromosome:ALNU02000000:2:22543220:22557178:-1 gene:OGLUM02G21270 transcript:OGLUM02G21270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFACGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >OGLUM02G21270.4 pep chromosome:ALNU02000000:2:22543222:22545198:-1 gene:OGLUM02G21270 transcript:OGLUM02G21270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPVKATIAPTPVLTPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPKQKSDLANRLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFACGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >OGLUM02G21270.5 pep chromosome:ALNU02000000:2:22543222:22545198:-1 gene:OGLUM02G21270 transcript:OGLUM02G21270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELELSLGDSPAPVKATIAPTPVLTPTCMGDEEDLELVLGVRATRRDEQDDQTTCTQSSEEAMEGEEDETRPHGEAPVESLSFPLFVSSAETGSANSEMCTRGFDVNTRPADGGAEAGRPSSPSSMQEASTRQQVADQEAADDEDNGGGGARKKLRLSKEQSSFLEDSFKEHSTLTPLKQTEVDCEHLKRCCERLTRENRRLQREVAELRGALRTTTSSYPPLYGLHHLPAAAGTVFRVCPSCEHSKVVAAAASESFSPRVFACGGAPAAITAAAAVPSPGAGSPPSSSAALFGARRPHFGPFAAAVIPPVLRRQPSATS >OGLUM02G21280.1 pep chromosome:ALNU02000000:2:22574286:22577921:1 gene:OGLUM02G21280 transcript:OGLUM02G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPPSSLPLLLPRKSLSSSSAQRKQQYARCVSHAGDELHSFRSCLAWMCVDHSTRARGAASWAAFLLLAVAAPSAATLALPSPVGGGGSPFDGQVQVSLTLAAALAYLTLTALLQGRGLRRLLYLDRLRDDSEEVRSGYIEELAGSFRVLACFLLPCTLAEAAYKAYWYLAAPPFRSPWWSATACAVEVASWAYRTAVFFMVCVLFRTICYLQILRMKGFAREFCRFADVAAVLESHRRIRKQLHRISHRYRRFILCCLVLVTASQFAALLATTRPHAQINLATAGELALCSLSLVAGLLVCLQSAAKITHKTQAITSVAAGWHADATINAFDNDQEDPNPDLPRIVGYLVPVNAYWMASGESSSDSSSSSSSDDDDSGHPKSKYIPFQNNHCFQQRQALVTYLENNRAGITVYGFVVDRTWLHALFMIEFSLVMWLLGKTVGIS >OGLUM02G21290.1 pep chromosome:ALNU02000000:2:22579031:22580119:-1 gene:OGLUM02G21290 transcript:OGLUM02G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPRGRNLPARRRGSSSSSSDLPSCCWKMKGTCEQNDIALVSEKKEWKGASCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNHQHSNCLEHFKEAYAKEKLAHSVLIESSPGLSLSLNSQPASKQQCAMELACPLCRGDVKGWTVVEPARQYLNRKKRACMHDGCSFIGSYKELCKHVNSKHPSAKPREVDPAHADEWKKFECERERQDAISTIRSMTPGAVIMGDYVVEFNGGSNNNLLSDGDDLEERLNFFTSLDRTLNERLDFYESSDGSLDDSIDFLASLFGHGRRIASGDSYTRAYRRYRERPRRNVTASSVAASDIQHDSANTRRGRVGGIRAIGRTSRRHHPVVTHVRSTHGI >OGLUM02G21300.1 pep chromosome:ALNU02000000:2:22592475:22599533:1 gene:OGLUM02G21300 transcript:OGLUM02G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNCNTSRNNAGTGGSFEREFPQLPFDDKRQDINRVPSPASPIQRTVAPDRWNSLLADVPGSSEPKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSVTNSSKTKGVRNGDPSGPIKAHQSLIPSTNGSARAPVKTDLSKSSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQGAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDISLYHSGKDISLYHSGTKCMGNGKCSCEEANSYDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRGDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGDKNNA >OGLUM02G21300.2 pep chromosome:ALNU02000000:2:22592477:22597846:1 gene:OGLUM02G21300 transcript:OGLUM02G21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLPALKPQWLVQGQVTTTGAANLWTVASPRPGPDNQGRGGSSRNHSSSGHHRDQNSRTSSSRISSNGPRKHDRDRDGMGKSRGYASFGRNREREREKEFDSRDRESRSITADGFGSFSTCRPERDRLNRSRSRTDSWNKGVVSPNNCNTSRNNAGTGGSFEREFPQLPFDDKRQDINRVPSPASPIQRTVAPDRWNSLLADVPGSSEPKKNLGVSSVLRPAPSKQPEAAPNSGISLSMAETVMQVPLSVGPQLSMEAQKMEEISLRQNTLRPMTSPAIKSSVTNSSKTKGVRNGDPSGPIKAHQSLIPSTNGSARAPVKTDLSKSSQAGNLKILTREQNCTIHTAKDCPDNPMSPPPAPVASVEPLKKPCVSQKLKVATHDIPLSILQGAYVDKKLNARDKHRFFESLRIKSSNGSSSTAESGCPSPSSVADVKQDSCLNVGKDISLYHSGKDISLYHSGTKCMGNGKCSCEEANSYDGSQRHLSDNENNSSLDHTADGVSQNLLVESRSISSSEPSDRGDEFRVFLSNNTEGSSSSAPADSDDGYKRSQSGSEEASSSSETTEPGDEEHPAEDSLPADFVAFMISLGWEKDKKVEPLGLEEIAVTVRANEELEQKLLSMEDNANIKIVLLYIYSGRGLDKELMKPNAGDKNNA >OGLUM02G21310.1 pep chromosome:ALNU02000000:2:22598714:22603034:-1 gene:OGLUM02G21310 transcript:OGLUM02G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YTU9] MMMKGKGGAVDRRSSARWRMLLLCAFCFGLGMLFTDRFWTAPDTSNHIMSQRRRQDRELQLISEDCNTKRKHGEDKDIMGEVTKTHEAIQLLDKSISTLQMELAAKRSTLELLRAGGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGAKLQQLEDQKGIVIRFTIGHSATSNSILDKAIDSEDAQHRDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKFANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKEVHARCGEGDSAVWSALI >OGLUM02G21320.1 pep chromosome:ALNU02000000:2:22602940:22608868:1 gene:OGLUM02G21320 transcript:OGLUM02G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPKQNAQSSSILHRAEDRLSTAPPFPFIIIAASRAPAPPRILAASKSSEPKKKKKNLTTNKTKNKKLPPLARQGPNPRGDREEEQGGVRDWRCADRRRGRGEMRRRRKQLLWVGPSSSNPGSSINMKKNHGPKVQTASLAQLSYVHSCGNTNVPCTMSWLHKADTHYTASPRARGAEDYGSPRSSSLAWHRAATQTPPSSPRSSSPPRSSPRGAAPSSSPSAIAQTPPPETRSSPSTAGAVVFPIGHG >OGLUM02G21330.1 pep chromosome:ALNU02000000:2:22609762:22611961:1 gene:OGLUM02G21330 transcript:OGLUM02G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNILYTQVLILILTALNAVVVIEEIHGNILRVVEKCRMLDGQCVPDPCLPLPRECVVSINNNSMSDEIWRCMARQSCCFWNAMHMDGLTGIAIFFHMLTTYNALLFQATSLVAQSSLQAELLAMEVAKFLNFAGSIFLTDNGTLADTTKKDFDKS >OGLUM02G21330.2 pep chromosome:ALNU02000000:2:22609762:22611961:1 gene:OGLUM02G21330 transcript:OGLUM02G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNILYTQVLILILTALNAVVVIEEIHGNILRVVEKCRMLDGQCVPDPCLPLPRECVVSINNNSMSDEIWRCMARQSCCFWNAMHMLAMEVAKFLNFAGSIFLTDNGTLADTTKKDFDKS >OGLUM02G21330.3 pep chromosome:ALNU02000000:2:22609597:22611961:1 gene:OGLUM02G21330 transcript:OGLUM02G21330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLILILTALNAVVVIEEIHGNILRVVEKCRMLDGQCVPDPCLPLPRECVVSINNNSMSDEIWRCMARQSCCFWNAMHMLAMEVAKFLNFAGSIFLTDNGTLADTTKKDFDKS >OGLUM02G21340.1 pep chromosome:ALNU02000000:2:22612716:22613068:-1 gene:OGLUM02G21340 transcript:OGLUM02G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTSFPAVWAVVGPGVAGAVFGVGWWFWVDAVVCSAAAVPFLHYLPGLFASFAALMFNCVKREDANYNYYSPYDDSEWR >OGLUM02G21350.1 pep chromosome:ALNU02000000:2:22614506:22615615:-1 gene:OGLUM02G21350 transcript:OGLUM02G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLTIGDLLSDLAVDGGADLAGGEVSIPSSPSSAGQQAEEADPSELNRLFGEHYDNLMKALQENDPSWPSLMLKLCTALKTADKLVSCASTDAEQLLQKVELLERLLVRGDRAVTAIVEELQRSRPSEDSHSSKSKPIGK >OGLUM02G21360.1 pep chromosome:ALNU02000000:2:22616095:22618515:-1 gene:OGLUM02G21360 transcript:OGLUM02G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07700) TAIR;Acc:AT1G07700] MITASVLPLPATSSSSGRRSLPPPTTTFPRPPPPLRRHRHLSSSSSSASSTESDGGGGSTNGSLPGLPPVVVEEEEEEFCPVECVTEFKTEEELARVLERAKATGALVVVDFFRPSCGSCKYIEQGFMKLCKGSGDHGSPVVFLKHNVIDEYDEQSEVADRLRIKVVPLFHFYKNGVLLEAFATRDKERIIAAIQKYTAPSSPPAESEEPSQEG >OGLUM02G21370.1 pep chromosome:ALNU02000000:2:22621888:22626106:-1 gene:OGLUM02G21370 transcript:OGLUM02G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAGWICASFPPCDLLPPSSLLSHHHHHHSPSPPPPKKRGTTTTTTASLHAKTGADSAVAVAVAGRGGMSTRSKSVPVPAGGGAATVPLAVLLRREVVSEKTAAERPELQVGLFSQAKKGEDYTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLSNILTAIPADLNREDWLAALPRAMVAAFVKTDKDFQTKARSSGTTVTFVIIDGLFITVASVGDSRCVLEAEGSIYHLSADHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPYVKQVKLSTAGGRLIISSDGVWDVLTAEVAFNCSRTLPPEAAAEQIVKEAVQQKGLRDDTTCIVVDILPDKANLTMPHTKKQPGMGVFKNMFRKKTPSDSSSHTDREYMDPDIVEEIFEDGCAFLSKRLDSEYPVRNMFKLFICAICQVELKPSQGISVHEDSSQPGNLRRWDGPFLCQGCQEKKEAMEGKRRSRDSSSRNSGSSE >OGLUM02G21380.1 pep chromosome:ALNU02000000:2:22634916:22635884:1 gene:OGLUM02G21380 transcript:OGLUM02G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSPAADGDDEVVREFGPILRVYKSGRLERPLVAPPVGPGHDAATGVHSRDVHLGDYSARLYLPPPAAAAAAERLPVVVYVHGGGFVAESAASPSYHLFLNRLAAACPALCVSVDYRLAPEHPLPAGYDDCLAALRWVLSAADPWVAARGDLDRVFLAGDSAGGNICHHLAMHHHHDAPPRRRLRGAVLIHPWFWGSEAVGEEAPDPEGRARGAGLWVYACPGTTGMDDPRMNPMAPGAPPLGRMACDRVMVCAAEGDFLRWRAHAYAAAVAAAKGGAAVEVLETAGAGHVFHLFDPDGDKAKELLDRMVTFVNGAGADAA >OGLUM02G21390.1 pep chromosome:ALNU02000000:2:22640323:22646463:1 gene:OGLUM02G21390 transcript:OGLUM02G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAAAAAASTEAPAVAAAAPHHHHPHPHAHGMHPHAHHHIQAQPRWVVIPYPPPHGMVAAAPPPPPPQFVKHFAPPASVTPPPPQQPQQAQAAAAAAGGGSGGGNGDENRTIWVGDLQYWMDEGYLHNCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFFSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDEMLMDLFAKKYQSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSPPRQSDSDSTNRTIYVGGLDPNATEDELRKAFAKYGDLASVKIPVGKQCGFVQFVNRPDAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGMYYGTPFYGGYGYASPLPHPNMYAAAYGAYPYYGNQQLVS >OGLUM02G21400.1 pep chromosome:ALNU02000000:2:22649454:22651121:1 gene:OGLUM02G21400 transcript:OGLUM02G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLPWTQHMEVFVFFSPPLSYSQCSNATNGKLCRRTGRLGRPEAATAPVEELTKSLHGVEVFDLRGKAVPVVDLWKDMEFLSCFGRLIVFETIDASCLQVVLCRKRADLLAAKQVPFTKT >OGLUM02G21410.1 pep chromosome:ALNU02000000:2:22652238:22656706:-1 gene:OGLUM02G21410 transcript:OGLUM02G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G64330) TAIR;Acc:AT5G64330] MWESESESHGGERGLVPVGGGGGSGRHEAALKNDGFVRRDRSWYVNSDIPSDLLVKVGDVNFYLHKSSAADEAEADAAAAVAVVEMGDLPGGAGSFELAARFSYGMAVDLTAANISGLRCAAEYLEMTEEMEEGNLIFKTEAFLSYVVLSSWRDSIAVLKSCEALSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGAGAGGGGARGGPAAIRGGGGSGGTASPRWNVGGGGGGESKESSPSRQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDAPLGATHDEPWAQASAAGVGGGGLHMMIISGAGGGKDDVLAACSAPSREQRMVVESIISITPPQRDSVSCGFLLRLLRLAIMLRAAPALVTELEKRVGMQLEQAALADLLIPSYGGRAADTAYDVDLVQRLVEHFLVQEQTEMAVASSPGRGDPPPPPQPEYYSGRMPQSSAAAASASASTGGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARACDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSFDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSSAALRSSSSAPGADAAPAMPTTRRQLLDGTPQSFQEGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVDGRGGGAPSPAAAKIGKQQQQGTSASAWSSGWKKLGRLAKMSGADAAAGGGVAPPGGGEAAARKGPRRWRNSIS >OGLUM02G21420.1 pep chromosome:ALNU02000000:2:22665948:22668033:1 gene:OGLUM02G21420 transcript:OGLUM02G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVAAVCCMCGDHGLPRELFRCGHCHHRLQHRYCSELYPRVAAYRRCNWCLREGRRRGGGGGGSPATATAAAKRRMSAALETIDDSSKVDRSSRRSCGGGGCSRSAFCAEPGKPVKKPKAAASDDDNGGLVVVTPVDETAATATATALERKPPARKARFRVKVRRYKLLAEVLSC >OGLUM02G21430.1 pep chromosome:ALNU02000000:2:22673625:22676611:-1 gene:OGLUM02G21430 transcript:OGLUM02G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNDGEVREKTDRINTVRETLRLSMSEVSKTYSKLFLVDTLEKVGISRHFSREITRILDMAYKLWLQKDEEMIMDMETCAMAFRILQSRFPDSVVQGSLNDTKAILELYKASKVRIFDDEWTLDKIDSWTTELLRQQLCSNKISTSGMPQEVECALQLPFYSSVLEPLEHKRNIEHFSTNGIQMRKSAFLPHHIAEDIIALAVAEFHSAQSLYRQELQYVDRWVKEVRLDQLKFLRILPLDVFFFLASSVLPRELSDARIAWIQNCLLTTAVDDLFDVAGSSEELQNLIALFEKWDAHNEIGFCSEDVETVFYAVYNTSNKIGERAAEVQNRSVISHIAQLWLDTARAMMKEAEWSREGHVPAMEEYMPVAEVSFALGPIVPTSLYLMGPELLPEEVVRGPEYGGLMRLTNVCCRLLNDMASYGRESGDGKIANSVLLLHLHSASSVDMAKEEIRRTVEASKRELLRLVITGAGGGGVPRPCKDLFWNMCKVANLTYLQANGFCSLEEMLGAASAVVHDPLNV >OGLUM02G21440.1 pep chromosome:ALNU02000000:2:22680195:22681703:1 gene:OGLUM02G21440 transcript:OGLUM02G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARELWVLAAALAVSLLYYLAVLIMRYAGGGSSRSSRPPLPPGPTPLPLIGNLLSLRGVLHHRLASLARVHGPVMSLRLGLTTAVVVSSRDAAAEAFTKHDRRLAARVVPDSNRAHGFSDRSIIWLPSSDPRWKALRGIQATHLFSPRGLAAVRAVRESKVRDIVAYFRSRAGEEVVFGEAIYSGVLNLVSSSFFSVNMAGVGSEEAHGLRELVEDLVEAIAKPNVSDLFPFLRQLDLQGLRRRTEERMARAFGILDGIIDRRLANRTHGDRHGDFLDALLDLVSEGKMARDHVTIMLFEVFGAGSDTMSVSLEWAMAELLRNPRAMRKARAELEDAAAVVEESVAARLPYLQAVVKEAMRLHPVGPILLPHRAVEDGVEIGGYAVPRGAMVIFNAWAIMRDPAAWERPDEFVPERFMETTTAIDFRGKEYEYLPFGSGRRLCPGLPLAERVVPFVLGSLLRAFEWRLPDGVSAEDLDVSERFNTANVLAVPLKVVPVIVN >OGLUM02G21450.1 pep chromosome:ALNU02000000:2:22683993:22685262:1 gene:OGLUM02G21450 transcript:OGLUM02G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAEASAVLAIMRRSLRHPRATADDAAADHPLVCSLRRLIFSPTAAAAAPAAALPAAVLRPFLDVVRSEDAGAAVTSASLAALHEVMALMGPSLTSAALREVMDAVASCRFEAGAKAAVEELIWFAGGGMAAEHGDSVGRRILVGLHMDGVGKELLQWALNQAARSGDRVVAVHNLPQIRLLLGAGLQEPARADSVAHRAGGAQGEVWPRG >OGLUM02G21460.1 pep chromosome:ALNU02000000:2:22685313:22694364:1 gene:OGLUM02G21460 transcript:OGLUM02G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFWAESENAIFTAILCKQIDLASEPWSKISSGYDDDGGRRQEECRWKRGTREMEGEGAKLVILTDVAAGDSGVAGRSCGRGGHAGAVGKAVAGDEVQGRPAVGKVLRRERQGRPVMGISRGRRSGWGSHGKGGGEERRHPRRWPCRGARDWDGAPPPSRVEGERIREEEGQKDKFT >OGLUM02G21470.1 pep chromosome:ALNU02000000:2:22703761:22705263:1 gene:OGLUM02G21470 transcript:OGLUM02G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQMWLLWGALSVALFFYFSTLRRRYAGGKPLPPGPTPLPLIGNLHLVGGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIHASHVFTPRVLAAVRPIRERKVGDLIAYLRAHAGEEVLVGHAMYTGILNMVSFSYFSIDIVGMGSQMARELREVVDDIILVVGKPNVSDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDNKPRHDDFLDSILELMAAGKIDRVNVLNMLFEAFVAGADTMALTLEWVMAELLKNPSVMAKARAELRDVLGDKEIVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAMEDGVEVGGYAVPKGSTVLFNAWAIMRDPAAWERPDEFVPERFVERTPQLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWELPGGMTAEELDVSEKFKTANVLAVALKAVPVLIK >OGLUM02G21480.1 pep chromosome:ALNU02000000:2:22756110:22757609:1 gene:OGLUM02G21480 transcript:OGLUM02G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQVWLLWGALSVAVLFYLSTLRRRYAGGKPLPPGPTPLPLIGNLHLAGGTFHHKLRDLARVHGPVMTLKLGLATNVVISSREAAIEAYTKYDRHLAARATPDTFRACGFADRSMVFIPSSDPQWKALRGIQGSHVFTPRGLAAVRPIRERKVGDLIAYLRAHAGEEVLLGQAMYTGLLNLVSFSYFSIDIVDMGSQMARDLREVVDDIISVVGKPNISDFYPFLRPLDLQGLRRWTTKRFNRVFSIMGDIIDRRLAHIRDGKPRHDDFLDSLLELMATGKMERVNVVNMLFEAFVAGVDTMALTLEWVMAELLHNPAIMARVRAELSDVLGDKEAVEEADAARLPYLQAVLKEAMRLHPVGALLLPHFAAEDGVEIGGYAVPRGSTVLFNAWAIMRDPAAWERPDEFVPERFLGRSPPLDFRGKDVEFMPFGSGRRLCPGLPLAERVVPFILASMLHTFEWKLPGGMTAEDVDVSEKFKSANVLAVPLKAVPVLIK >OGLUM02G21490.1 pep chromosome:ALNU02000000:2:22760322:22760541:1 gene:OGLUM02G21490 transcript:OGLUM02G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGGSGGAALGKAGSAASMLVGGQRARCRGGTYRVPALRRPAAGQHQLGFRASYWAVDSF >OGLUM02G21500.1 pep chromosome:ALNU02000000:2:22776738:22785331:1 gene:OGLUM02G21500 transcript:OGLUM02G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGALPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQRDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMADQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQREAGNHSRGRKAYMAYLAEGFGNLLEWDEIMMFQRKNGSLFNCPSSTAGALANYHDDKALQYLQSLVNKFDGVVPTLYPLNIYCQLSMVDALENMGISQYFASEIKSILDMTYSSWLGRDEEIMLDVTTCAMAFRLLRMNGYDVSSDELSHVAEASGFRDSLQGYLNDRKSVLEVYKTSKHSISENDLILDSIGSWSGSLLKEMLCSNGIQGTPGREEIEFALKYPFYSTLERLVHRKNIVLFDAKGSQMLKTECMPVHDSQDFLALAVDDFCISQSNYQNELNYLESWVKDNRLDQLHFARQKITYCYLSGAATTFRPEMGYARTSWARTAWLTAVIDDLFDVGGLEQEQENLLALMEKWEEPGEDEYYSEDVKIVFQALYNTVNEIGAKASALQGHDVTKYLVDVWLHLVRCMKVEAEWQRSQHLPTFEEYMESGMVSLGQGCTVMSALFLIGEKLPEGIVELEEYDELFRLMGTCGRLLNDIRGIEREESDGKMTNGVSLLVHASGGSMSVDEAKTEVMKRIDASRRKLLSLVVGEQEGPIPRPCKQLFWKMCKIFHLFYYQTDGFSSPKEMVSAVDAVIKEPLQLRLL >OGLUM02G21500.2 pep chromosome:ALNU02000000:2:22776738:22780831:1 gene:OGLUM02G21500 transcript:OGLUM02G21500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLGSPSSGGYGGKFAGALPAGGTTTMAPSAKQPSSRAPPPGITGGRNDLRILSPAAAAAAVGGLEMKKPEAEGIAESLQATHRKELEASIRKQLQGVELSPSPYDTAWVAMVPLRGSSHNPSFPQCVDWILENQRDDGSWSIDGSISTANKDVLSSTLACVLALNKWNVGREHIRRGLSFIGRNFSIAMADQAVAPIGFGITFPAMLTLANGSGLEVPVRQNDIDSLNHLREMKIQRFNCQYQSLS >OGLUM02G21510.1 pep chromosome:ALNU02000000:2:22798253:22801165:1 gene:OGLUM02G21510 transcript:OGLUM02G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLILALCLSALFIVVLSKLVSSATKPRLNLPPGPWTLPLIGSLHHLVMTKSPQTHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEEGEVARLVRELAAADAGAAAGVNLNERISKLANDIVMVSSVGGRCSHRDEFLDALEVAKKQITWLSVADLFPSSKLARMVAVAPRKGLASRKRMELVIRRIIQERKDQLMDDSAAGAGEAAAGKDCFLDVLLRLQKEGGTPVPVTDEIIVDMISGASETSPTVLIWTLAELMRNPRIMAKAQAEVRQAVAGKTTITEDDIVGLSYLKMVIKETLRLHPPAPLLNPRKCRETSQVMGYDIPKGTSVFVNMWAICRDSRYWEDPEEYKPERFENNSVDYKGNNFEFLPFGSGRRICPGINLGVANLELPLASLLYHFDWKLPNGMAPKDLDMHETSGMVAAKLITLNMCPITHIAPSSA >OGLUM02G21520.1 pep chromosome:ALNU02000000:2:22806417:22809217:-1 gene:OGLUM02G21520 transcript:OGLUM02G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNKLILALGLSVLFVLLSKLVSSAMKPRLNLPPGPWTLPLIGSLHHLVMKSPQIHRSLRALSEKHGPIMQLWMGEVPAVVVSSPAVAEQVLKHQDLRFADRHLTATIEEVSFGGRDVTFAPYSERWRHLRKICMQELLTAARVRSFQGVREGEVARLVRELAADAGAGGDAGVNLNERISKLANDIVMVSSVGGRCSHRDEFLDALEVAKKQITWLSVADLFPSSKLARMVAVAPRRGLAGRKRMEHVIRQIIQERKEQLMDNGDDTAAGEAAAGKECFLDVLLRLQKDGGTPIPVTDEIIDMFTGASETSPTVLIWILAELMRCPRVMAKAQAEVRQAAIGKTRITEDDIVGLSYLKMVIKEALRLHSPAPLLNPRKCRETTQVMGYDIPKGTSVFVNMWAICRDPKYWEDPEEFKPERFENNCVDFKGNNFEFLPFGSGRRICPGINLGLANLELALASLLYHFDWKLPNGILPKDLDMQETPGIVAAKLTTLNMCPVTQIAPSSAEDAS >OGLUM02G21530.1 pep chromosome:ALNU02000000:2:22818799:22819527:1 gene:OGLUM02G21530 transcript:OGLUM02G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASYTSGGGRSAISRKCRVPSVAPSIPELKCACGHAAAVQTSNTPRNPRRRWLQCPGELSKEYIRVQVTHGIDEIGGIEPPRSIPSIGNFAAHDDVAGELAIFRGTSTSWVVVAGAVSLAPVVVAGAAPIAVEIAVGELGFADNGEWGMGNRDG >OGLUM02G21540.1 pep chromosome:ALNU02000000:2:22855103:22861388:1 gene:OGLUM02G21540 transcript:OGLUM02G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVLTAASSLPRATLLRPAAAEPWCQSFLQLQAPSKRPGIMLHCKAQLQGQETRERRQLDDDEHARPPQGGDDDVAASTSELPYMIESIKSKLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMFIVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWRLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMENLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFNHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIVFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASPLRQYSANGAADGMTERLISGLASHDWDWSLLYALDELIDLHAFGNASDSLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAEQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >OGLUM02G21540.2 pep chromosome:ALNU02000000:2:22855103:22861421:1 gene:OGLUM02G21540 transcript:OGLUM02G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQVLTAASSLPRATLLRPAAAEPWCQSFLQLQAPSKRPGIMLHCKAQLQGQETRERRQLDDDEHARPPQGGDDDVAASTSELPYMIESIKSKLRAARNSLGETTVSAYDTAWIALVNRLDGGGERSPQFPEAIDWIARNQLPDGSWGDAGMFIVQDRLINTLGCVVALATWGVHEEQRARGLAYIQDNLWRLGEDDEEWMMVGFEITFPVLLEKAKNLGLDINYDDPALQDIYAKRQLKLAKIPREALHARPTTLLHSLEGMENLDWERLLQFKCPAGSLHSSPAASAYALSETGDKELLEYLETAINNFDGGAPCTYPVDNFDRLWSVDRLRRLGISRYFTSEIEEYLEYAYSYGGLCPVKDIDDTAMAFRLLRLHGYNVSSSVFNHFEKDGEYFCFAGQSSQSLTAMYNSYRASQIVFPGDDDGLEQLRAYCRAFLEERRATGNLRDKWVIANGLPSEVEYALDFPWKASLPRVETRVYLEQYGASEDAWIGKGLYRMTLVNNDLYLEAAKADFTNFQRLSRLEWLSLKRWYIRNNLQAHGVTEQSVLRAYFLAAANIFEPNRAAERLGWARTAILAEAIASPLRQYSANGAADGMTERLISGLASHDWDWSLLYALDELIDLHAFGNASDSLREAWKQWLMSWTNESQGSTGGDTALLLVRTIEICSGRHGSAEQSLKNSADYARLEQIASSMCSKLATKILAQNGGSMDNVEGIDQEVDVEMKELIQRVYGSSSNDVSSVTRQTFLDVVKSFCYVAHCSPETIDGHISKVLFEDVN >OGLUM02G21550.1 pep chromosome:ALNU02000000:2:22868463:22879312:-1 gene:OGLUM02G21550 transcript:OGLUM02G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKQLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGRHHISRGLNFIGRNFSVAMDEQIVAPVGFNITFSGLLSLATGMGLELPVMQTDIDGIIHFRKIELERHCILLFLRLSGGKKHPIGHYIRRCRPILQRRRLVPHPLLQRLPLQAGPSRCHHPWLGFWRGNEIAGVKCPSPAYSAPPRPRVLPPPSPLTLPRAATGLGRGLGNLQDWNQVMAYQRKNGSIFNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMLAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGGRGSPEASMEEAKREMRRILQGCRFELLRLVTRDAGVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTLCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDGYFTANGIMASANAVILDPLQVTLLPSGLGTL >OGLUM02G21550.2 pep chromosome:ALNU02000000:2:22868463:22879312:-1 gene:OGLUM02G21550 transcript:OGLUM02G21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKQLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGRHHISRGLNFIGRNFSVAMDEQIVAPVGFNITFSGLLSLATGMGLELPVMQTDIDGIIHFRKIELERAFMAYVSEGLGNLQDWNQVMAYQRKNGSIFNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMLAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGGRGSPEASMEEAKREMRRILQGCRFELLRLVTRDAGVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTLCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDGYFTANGIMASANAVILDPLQVTLLPSGLGTL >OGLUM02G21550.3 pep chromosome:ALNU02000000:2:22868463:22879312:-1 gene:OGLUM02G21550 transcript:OGLUM02G21550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKQLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGRHHISRGLNFIGRNFSVAMDEQIVAPVGFNITFSGLLSLATGMGLELPVMQTDIDGIIHFRKIELERAFMAYVSEGLGNLQDWNQVMAYQRKNGSIFNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMLAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKGSRCDLLRLVTRDGGVVPPPCRKLFWFMSKVLHFVYMEKDGYFTANGIMASANAVILDPLQVTLLPSGLGTL >OGLUM02G21550.4 pep chromosome:ALNU02000000:2:22869601:22879312:-1 gene:OGLUM02G21550 transcript:OGLUM02G21550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTIPRSFTSWDNTKAHKNELHDKIRKQLRDVQLPPSSYDTAWVAMVPVQGSHQTPRFPQSIEWILQNQYDDGSWGTNLPGLVVNKDILLCTLACVVALKRWNTGRHHISRGLNFIGRNFSVAMDEQIVAPVGFNITFSGLLSLATGMGLELPVMQTDIDGIIHFRKIELERHCILLFLRLSGGKKHPIGHYIRRCRPILQRRRLVPHPLLQRLPLQAGPSRCHHPWLGFWRGNEIAGVKCPSPAYSAPPRPRVLPPPSPLTLPRAATGLGRGLGNLQDWNQVMAYQRKNGSIFNSPSATAATIIHGHNYSGLAYLDFVTSKFGGPVPVMYPQNAYSQLCMVDTLERMGISKSFACEISDILDMTYRLWMHNEEELMLDMRTCAMAFRLLRMHGYDITSDGMAQFVEQSSFDDSIHGYLNDTKALLELYKSSQLRCLEDDLILEEIGSWSARVEYALKCPVYAILERLEQKRNIEQFKTKEQLKIEGFKLLKSGYRGVIPNDEILALAVDEFHSSQSVYQQELQDLNSWVAQTRLDELKFARLMPSITYFSAAAILLPSESARIAWTQNCILTTTVDDFFDGEGSKEEMENLVKLIEKWDGHGEIGFSSECVEILFYAVYNTSKQIAEKAVPLQKRNVVDHIAESWWFTVRGMLTEAEWRMDKYVPTTVEEYMLAAVDSFAVGPIITSAALFVGPELSEEVFRSEEYIHLMNLANTIGRLLNDMQTYEKEIKMGKVNSVMLHALSHSGGGGRGSPEASMEEAKREMRRILQGCRFELLRLVTRDAGVVPPPCRKLFWLMSKVLHFVYMEKDRYFTAEGMMASANAVILDPLQVTLPPSDSGTL >OGLUM02G21560.1 pep chromosome:ALNU02000000:2:22893007:22895138:-1 gene:OGLUM02G21560 transcript:OGLUM02G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSELWMTAVATCMSLLLYLTILRRRHASGGRSLSLPPGPTPLPLIGNLFCLGGIFHQTLAKLARVHGPVMTLKLGLTTAVVVSSAEAAREAYTKHDQRLAARPVPDAFRANGFSERSIVFSPSSDPQWKNLRGIHATHIFSPRALAALRGIRERKVRDIVGYIRTVAGEEMCVREVVHKGVLNLISNSSFSMDMADVGSELARGLHGLIEDIIATVAGPNVSDFFPFLRQLDLQGLRRQTGSHLGIVFGLLDDIIDRRMAESRDDHPDKQQHGDFLDALISLASTGKIPRYHITYLLFDVFAAGADTMTTTVEWAMAELLRNPRVMAKVRAEVMDALGGKESFDEGDAASLTYLQCVFKEATRRASLPHLAQQNGVEIGGYAVPKGTTVIFNAWAIMRDPAAWESPDQFLPERFLHKESSSPPLELRGKDYEYIPFGSGRRLCPGLPLAERAVPFILASLLHAFEWRLPDGMSPDDMDMTEKFSQAANGTTRDQRAVIIWYLRWRFS >OGLUM02G21570.1 pep chromosome:ALNU02000000:2:22893638:22900454:1 gene:OGLUM02G21570 transcript:OGLUM02G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSGDMPSGSRHSNACNRDASMKGTARSASGRPGHNLLPDPNGIGGDDDSLCKKRSGRNWSGLSHAAGSRMIAHALKITVVREARRVALVEALLAAERVHHLRPHLGHHAGIAKQLGHRPLHGSRHRVGASRKDVEQKVRDVVAWDLAGGGEADERVQEVAVLLLVRVVVTAFGHAAIDYVVQQPENDAKMAARLSTQTLQVELPEEREKVGHVGARNGCDDVLYQPV >OGLUM02G21580.1 pep chromosome:ALNU02000000:2:22906903:22908399:1 gene:OGLUM02G21580 transcript:OGLUM02G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDARISWSKTALLTSVVDDFFDVGGSKEEQENLLALMEKYALYTTVNEIGAKASALQGHDITKYLLETMSLQFDAQIAVARYIETEAEWQRSQYVPKFEEYMECGVTSLTHGATVISGMFFIGVKLTDDIIKHQEYNEIFRLVGTCGRLLNDIRGIEREAMDGKLTNDVSLLARESGGCMSIQEAKMEAQKRVDTARRKLLKLVLREGAIPRPCKQLFWKMCKNLHLLYYSHTLPYGIRI >OGLUM02G21590.1 pep chromosome:ALNU02000000:2:22915721:22916344:-1 gene:OGLUM02G21590 transcript:OGLUM02G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGEPHMSARLAVAAVDVATETANASTGPAAREVPRVLGVRRCWVKEHRDATDESGVKRRLAGELPRCWIQNSADLSSSMNHDPPWQVVVPWRATEVGVGWRHGELLDDLGAHGLSAPEPRRAGECPKPAAVASAHPVLPPEHMGVGGRVQVGWERHAEVDALPRVGLDGEHRRVADRWVGEQCVAPPVVSARGRSPRRGGLPP >OGLUM02G21600.1 pep chromosome:ALNU02000000:2:22916754:22921979:-1 gene:OGLUM02G21600 transcript:OGLUM02G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPFPDPPKPPVPEPPKPGSDGACYDCPSPPPPPPPPHSRDHRRVVIALATTASLLFAILLAFSVYCFIRRRRQQRARREALLAPPPSDAAGGGGAPGAPVAAGDGAVGGAGEGEGEGEVLHHAWHIRTVGLDEAAIESIALARYRAGAGMLGATDCPVCLGEFRDGELLRLLPKCGHAFHVPCIDAWLRAHVNCPLCRAHVLHPADADADAGDGERVPPPAGANGGGGGAATDQASSPTDQTADQENPGQQQQGEQHELRVQIDRRDQPSSPEPPRRSPDPRSAQSFRRVASMGSRSPPAPSEDAPEDEQTTTQSSKEKQGSGGDAACCGKAPSGSGRLHHMRRSFSGGGGRRSLPSRHGRTSSSMLPLRRGVVMGVAAANVAAFVLLAIAPVAAAPSSPPRSGGDSLSFSDVISISFFMAVFFPVFIVLLAFACLRLFRPLDDDDGEPALADTSSSEWSRRGGGGNRAGLDAAEIAALPLVSYRDARRHRIGDARGDPLECAVCLLEFDDDDALRLLPACPHAFHPECIGLWLEKHVTCPLCRTNVLDAPPPPPPPPPSLEHDTASPPPAHETVVVIGDAGASGNEEAEAEAEERIRIQCLAGIRRAAGRQALPRSNSTGHERGGGGMERFALRLPEHVRLEILMSHRLRHVTSAVASVRVREGSAHDAGAVRNAVARLLSLFVPGAGWKGDDEGKSSKAAEGSSRRRRDESARGGVGEEKRSE >OGLUM02G21620.1 pep chromosome:ALNU02000000:2:22943182:22944993:-1 gene:OGLUM02G21620 transcript:OGLUM02G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCTESLKPSNPSAIKSLCFTAVFWHCLCAALRCVRDSRSDARARERHQMLPSRPRSGSWGGGTRSSPVSTPRSRHERSKSVATIFSPSSPESYGGVVMEMEEKKTSCTLQEVDGLRQSDGGVDKEVVGGDAACSDDRVRLLEREVATAKATEMKMLESLIQQTKEMEQAKIALEEAKLEVATLRQQQGRAPAAEPAAAAQWSVMDLMFGGVDEEINGLRAKLRAAVQAEEKSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEDANAEVDRLRESLHAAEAELWSTTEQLDGLTSDWKEAAVSWRAREKVLLARVRAAEDEAHAAGQENVELAELHRVVDDENGSLRRALERAVEEVNAANESLELATGENSKLQDAVAEKESAMEALRQENESLKASEAEARGRAKELDGQLAAARKAADHGHGAGELAAAADPLSSLHKWRGDMHGKLSAAFLDSNRVMAGRKDRMFASLSNIAELKSAAAAAALDDFDYEFDHFDGGQYGDLDHAMKQKKRRSILRKFGDFFRRRSLYKRNLAPVIHY >OGLUM02G21630.1 pep chromosome:ALNU02000000:2:22950227:22953205:-1 gene:OGLUM02G21630 transcript:OGLUM02G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTHLLAGGGGGGGSVAPPTAATAPTLAMEVGDPYGALVGGAGAGGGDGDARDALLRLVALGDRMAAVRRRIAASISGESGPLSSSDIHSVSSEISSAAHLVVLNAASLLSSTVASLPAPPPPPATTVQELPPVVVSVPQEPPQEAAKGDGDYDVVELDASELLAEHVHFCDICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGQPKPPAGREVRFSCPYAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCGGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAIAEPNKGVATAAAEASISMMEEGGVGANCDREEDEEGGFDPKFFQEWMEELRGGAVAPNWPMVGRDDWEDE >OGLUM02G21640.1 pep chromosome:ALNU02000000:2:22960938:22963346:-1 gene:OGLUM02G21640 transcript:OGLUM02G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHQREADYPAGQIGIEGAKKVTKPGHVMMRRLMESLLELDWGGAPRSIWTPRLCHERRMRHSGGRASLARISFHLPSHAPVVRPRARMRVRARVKGIRGRAPLRSCCPGPGRTDARPRGLSRGCRHKALLAASDLFFGRDSDGSDGILWQCMLQMVTYSVIVFGPNNGDSPPPFSFSVRAAFGPTNTSIFVEKTEKKAHGTWCSFAMGGSQSRAKSTMTQLGFLLKGFGPLPPPPSQSLAVSMAAQALVRTEEKRMRR >OGLUM02G21650.1 pep chromosome:ALNU02000000:2:22968662:22975279:1 gene:OGLUM02G21650 transcript:OGLUM02G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPSSMSGAGAGEAGVRTVVWFRRDLRVEDNPALAAAARAAGEVVPVYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSADAVVALIELVRSIGATHLFFNHLYGSIDPEFQIDPLSLVRDHRVKALLTAEGIAVQSFNADLLYEPWEVVDDDGCPFTMFAPFWDRCLCMPDPAAPLLPPKRIAPGELPARRCPSDELVFEDESERGSNALLARAWSPGWQNADKALAAFLNGPLMDYSVNRKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGDESCVLFLRSIGLREYSRYLTFNHPCSLEKPLLAHLRFFPWVVEEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPLPIVELDAAKTRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPPELQMEVDRAPAQPTVHGPTTAGRRREDQMVPSMTSSLVRAETELSADFDNSMDSRPEVPSQVLFQPRMEREETVDGGGGGGMVGRSNGGGHQGQHQQQQHNFQTTIHRARGVAPSTSEASSNWTGREGGVVPVWSPPAASGPSDHYAADEADITSRSYLDRHPQSHTLMNWSQLSQSL >OGLUM02G21660.1 pep chromosome:ALNU02000000:2:22973387:22979512:-1 gene:OGLUM02G21660 transcript:OGLUM02G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G65000) TAIR;Acc:AT5G65000] MGSSATPSTAASAPGRRKVALYLALLTLQYGAQPLISKRFARSYSDYIGSFNRSSKVILLVAEGSLKKQFNNWSIARSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLFTAFFTYLILGQKQSPKQIFALTLLIAAAVLLSIGESSSKGSGGGNSDYILLYGIIPVTVASVLSGLASSLCQWASQVVLVIVLSMKHPIAIVDPFNKTQVKKHTSYMMTIEMSFIGSMCLLASTSQSPDGEAIRKHGFFHEWTLLTVVPVLMNAVGGILVGLVTTYAGGGFVIVSALLVTALLQFIFDGKPPSLYCLIALPLVMTSIFIYQKYPYAIRLFLPSWYCYYFLNPLPYQAIRLFLPSWHCYYFLNPLPCQVCTTELNLTEISVMTIPPRLQ >OGLUM02G21670.1 pep chromosome:ALNU02000000:2:22980359:22984551:-1 gene:OGLUM02G21670 transcript:OGLUM02G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTARLLAAEDPPPPAAAAAERPRPAAAGSGLRSLSSAASGLWDRLSVLGAGVSKLEKALGDHFPEGERYFGLENFGNTCYCNSVLQALYHCIPFREQLLEYYATYKNTEDSEDNLLTCLADLFAQITLAKKRTGVLAPKRFVQRVRKQNELFRSYMHQDAHEFWNFLVNDIIDILEEDCRTANSSPETTPEEVSNGAANALANGARERPLVTLVHRTFQGILTNETKCLMCDTITAKDETFFDLSIDVEQNSSLTSCLKSFFSTEILNGEDKFFCDKCSSLQEAHKRMKIKKAPHVLVIHLKRFKYVEQLSRHKKLSYRVVYPLELKLGSMSEDADCEYSLFAVVVHVGSSPNHGHYVSQIKSHGNWLSFDDDTVQISEESTLQTFYGSSREHCGGNTDHGYILFYERLGGKS >OGLUM02G21680.1 pep chromosome:ALNU02000000:2:22988780:22993207:1 gene:OGLUM02G21680 transcript:OGLUM02G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAMVAAADAGAGAGVAPGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMQSFLEAFFPDIWAKMNNAEQDAYCIFDSQVLTTFVSSLYLAGVFACLIAGHVTRRVGRRNSMLIGASLFFVGAILNCAAVNIAMLVIGRILLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNVGMFVADLVNYRANTIPVWGWRLSLGVAVVPAAVILVGAAFIPDTPNSLVLRGKLDEARASLRRIRGAAANIDAELKDIARAAEEDRQHHTGAFRRIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTVGFSSQKAILGSIITDVVSLASIAAAALTVDRYGRRTLFMVGGGVLLVCLTGMAWTYGARLGSDGGKAMPRGYAVAVVALVCLYDAGFGISWGPLKWIIPSEIFPLEVRSAGQSMSEAISLALTFAQTQSFLRMLCSFKFGAFAYNAAWVVVMTAFVALLLPETKGVPIESLGAVWAQHWYWKRFVKPPPPPPSTAAETKQADGAPA >OGLUM02G21690.1 pep chromosome:ALNU02000000:2:22997742:23008390:1 gene:OGLUM02G21690 transcript:OGLUM02G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSIANDGEAAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRRRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVALVCTYTAGLSVSWGSLSSVVTSEIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLTKGVPIESMGAVWAQHWYWKRFVKLAPAKQADGPE >OGLUM02G21690.2 pep chromosome:ALNU02000000:2:22997742:23008390:1 gene:OGLUM02G21690 transcript:OGLUM02G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGSIANDGEAAAGGGGGGRGEVTFTVVMSCLTAGAGGLLLGYDIGVTGGVTQMESFLQAFFPEVLRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVRKMSSAKQDAYCIFDSQVLNAFVSSFYLSTMVASLVAGHLTKTLGRRNSLLIAGVLFFAGTLLNLAAVNISMLIIGRILLGVAVGFSSLAAPVYLAEIAPARWRGAFTASIGLFGNLGFLMADMINYRATTMARWGWRLSLGAGIVPAVIVIVGAAFIPDTPNSLALRRRLDEARDSLRRIRGAADVDAELKDIVRAAEEDRRYESGALRRLLRREYRPHLVMAVLIMVFFEMTGAIVVAIFTPLLFYTVGFTSQKAILGSIITDVVSIVSVAAAAAVVDRHGRRRLFMVGGAVLILCQVAMAWIFGAQLGADGGRAMPRGYAVAVVALVCTYTAGLSVSWGSLSSVVTSEIFPLEVRSAALGLGGTISSALTFMQSQSFLEMLCSFKYGAFAYYAGWLVMMTAFVAAFLPETKGTKGVPIESMGAVWAQHWYWKRFVKLAPAKQADGPE >OGLUM02G21700.1 pep chromosome:ALNU02000000:2:23011534:23011701:1 gene:OGLUM02G21700 transcript:OGLUM02G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASMDPATSMPSKDYLLNLANLTAGKRSGNKLPIVVFYHSGAFTIELVSSPMYQ >OGLUM02G21710.1 pep chromosome:ALNU02000000:2:23018768:23020165:-1 gene:OGLUM02G21710 transcript:OGLUM02G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPNIPEAKQEMQSLYRNYAYLFDMSSDNADVDSRIMRRQPAMLIDRSVFLTGSAIWTIAHHYKAHIYRWLLQKFSAIQGAPLYCAMILRSLCRRSSLPFFVSISVLTARIYNYIMIRNHIYSPQLCAGHDANLRSNLFIQDTELCNKQSVDSGFLGSKHDHIRLALHFICSTANLKVYTTPKQIGFNGKCKQKNTERGRFWRILSTNEQYLTYIGALVTLQLFLQLSRANITTLLLPMLYQTTSSQRNAAVVGNIVIVLVNSFGILGSDFTTKHHGREVTFTVSAILMVFCQITIPLLVEAQIGLGGGTRILTGYTTATFLLTCVVSYGLSWSWGSLFCTIPGMKIQSAGQVIGMGLSFGLCFVQMQYFLLMLCRLKNAILAYYAMWIWS >OGLUM02G21720.1 pep chromosome:ALNU02000000:2:23020200:23022334:-1 gene:OGLUM02G21720 transcript:OGLUM02G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSLVAVVVAASAVASRGHSPLLSLFLQSAQLRTQHQFLGVPSARGRVAGREARRRRTTVKGERTSASAGVWCAAAVEVADAASGRLSASQAGTIVSREYK >OGLUM02G21730.1 pep chromosome:ALNU02000000:2:23024756:23027526:-1 gene:OGLUM02G21730 transcript:OGLUM02G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKTHASTAGLLSWSESPGPDNAAAAAGAAAPPSSRPSLKPAGGITPAMFGAPVTDLEAEDLTKRKMCSGSKMKEMTGSGIFSAQGANGDSETGSGDSNPPSKTSLRMYQQTVTGISQISFSAEGSVSPKKPSSLPEVAKQRELSGTLESEADAKLKKQNSEAKSKELSGSDIFGPPPEVPARPLAARNLELQGNLDFALPQPRSIHTSVKVSNPAGGPSNIMFGEEPAVKTAKKIHNQKFHELTGNNIFKEEAPPGSAEKSLSTAKLKEMSGSNIFADGKVASRDYFGGVRKPPGGGSSIALV >OGLUM02G21740.1 pep chromosome:ALNU02000000:2:23037889:23038619:-1 gene:OGLUM02G21740 transcript:OGLUM02G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATLEGGGVVLGVGGEAEARKRRWGQELESGWQGRACCPVGLEEAQCARFGRRQAGGEAPAAVRPRVFLRTCPPGFNEASWEARAGRVGAAGGRGRWTSPMPAWTVWSAMTGSSGGAGSGEEGKQRPRRNELIFLFDWRKEQS >OGLUM02G21750.1 pep chromosome:ALNU02000000:2:23040712:23042226:1 gene:OGLUM02G21750 transcript:OGLUM02G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPTNKQISSEDRVRGARGKRHHHSSDEWSMEHAEEHEHGRGGGERDDAAEPEAEQPELEQEELSDSESGAESIEISDLKKRMWKDQMLLMKLEGGRPGGGGGRGGGGLAAAGAGTSSEGQLEEETPEARCRRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALIGPAAAGDSPQAGGGGLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGEEPWWGTQGETQAHQGAPPYRKPHDLKKAWKVSLLSAVIKHMSPRFDQMRKLVWQSKRLQQKMSAKESDTWSKVIRQEEALDRRLKTSLHITPLDADGGEEDDSDGLEDVVRGAAAQDKRKREYTRSGSGSSSGNSGGGKFPRGGSGGADHHQLAVMLPELAAAADQEGRSPINELMKLYYSCLQQEEGAADGGEAGGEAGGVAAAALAVPPEVLAGVDEVAQDVLFDLIGSYPEVDDVLHFMDE >OGLUM02G21760.1 pep chromosome:ALNU02000000:2:23044535:23046807:1 gene:OGLUM02G21760 transcript:OGLUM02G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPGGASAGAPPAAAGHCPRARPDFGRGISPSSPASEDKEDLMGSVVSSAKINARSMDRHEKSGFGSNKRVKISTYECDSFQRIIPTLPDELSFQILARLPRLYYLKLKLVSQAWKAAITSSELSQLRRELGLTEEWLYVLTKLEPNKLDCYALDPLFRKWQRLPPMPSFVSEEESTGRTQSSWFQMWNVVGSSIRIADFIKGWFRRRYGLDQMPFCGCSVGVADGCLYVFGGFSRAVALNCVFRYNPCLNVWQEVSPMISGRAFSKAALLQSKLYVVGGVSRGRNGLLPLRSGEVFDPKTGIWSELPEMPFMKAQVLPTAFLADVLKPIATGMASYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWETMADGLGDGWPARQAGTKLGIVVNEELYTLEPSSSLDSGQIKRYDSEQDTWKTIVPQVPVHDFTDAEAPFLLAGLHGKVHVITKEANNNLQVMQAVLQNNIENSPSEENIIWNILASKNFGSAELVSCQVLDV >OGLUM02G21770.1 pep chromosome:ALNU02000000:2:23048999:23049265:-1 gene:OGLUM02G21770 transcript:OGLUM02G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMGGDFVEAYVLKNAYKEKLRRMEAAEAAAAAAVAGKKDVAAAADGSAGQKKAAAGGGMFGFMKKKVHPRAAETAPAPAMETSSA >OGLUM02G21780.1 pep chromosome:ALNU02000000:2:23052009:23054101:-1 gene:OGLUM02G21780 transcript:OGLUM02G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEENPESFFAAAPPLRDADAVAARLGEFIARNSSAAGAGGGGRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEGSCQPYCRFLPDDSFLKFFDVDAESKVQVAECHAPVVKKAIGDYCKAIEGGYLLKLPFTTIFEYLQLLKMVATSISSAGPLGMFYLAAAVSDFYVPWDSMAKHKIQSGGGPLDMRLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKADMALNKYKMNIVVANLLATYKEEVIIVTDKERSTIRKMNKDEDLEMQIIKILSQNHSKYICGSTNGCVQSPD >OGLUM02G21790.1 pep chromosome:ALNU02000000:2:23057684:23058389:1 gene:OGLUM02G21790 transcript:OGLUM02G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGMTYSRGAGCQANDAGCASSHERRQRAGRSCARDVDGMGYDTGSSSHPLLRILARALPPSYFSLPYLHQSSCQVRRRRRTAVGAWLPLRRGSLPSSPFAGVPLCRDPPPQLVAPAAEMARRSGPAEVAKAAVSLTRMNRSAEFETASTADDAKPTFACVLLPLPTPVLPRLPMWLYVWPGIRGLP >OGLUM02G21800.1 pep chromosome:ALNU02000000:2:23060725:23069684:1 gene:OGLUM02G21800 transcript:OGLUM02G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 13 [Source:Projected from Arabidopsis thaliana (AT5G64940) TAIR;Acc:AT5G64940] MPTSHVGSPHPPCPSHVSPLPPPLSPSLWPLPASSPRRLRLCSPPLPPSSASSSRSLASRRRRRAHAARPNPSPSLAAALAMSAAAGAATLVASSASLSVPDHLRLRRFRLHFHPRPPPHPQLRSRSLRQRRRFVLAVLQEDRSPSAPDEEARRYGLNGSAPSSGVGYDDAAVEAYLGTNGNGRGNGAAAVVKPAAESRSSAALVSAGPGPGDDERRRKERVEEIGREDAWFKQSGGDSKPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFKFIFRSWLNNQKFTYRGGMTEEKRVMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVSIIEEELGASVNKIFDRFDFEPIAAASLGQVHRACLNGKEVVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFSENFKNMDYVKVPEILWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPISLQHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQSMIQMGVLVPTGDMTAVRRTAQFFLDSFEERLAAQRKEREMATEELGFKKQLTKEEKFEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAMELLRFNEAGVEVIVKANLRVLSALGFILPQDARKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGDLKLRVRTLESERAFQRVAAVQKTIGYGVAAGSLVNVATVLYLNSIRLPATIAYSLCAFFGLQVLVGLLKVKKLDQQERLITGTA >OGLUM02G21810.1 pep chromosome:ALNU02000000:2:23072984:23075153:-1 gene:OGLUM02G21810 transcript:OGLUM02G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLSVTDSKVTPHVGPTPTTTGRKATKAARDREGPLAGRRVERRHSPPVGPTHGGLSRALDWLDRWISAMPGSTAVERGVGLVVEVTLAVAGLVVVVAGGRWDGRRRGRRRSLSGALSLPRRKMQSCVDAELPC >OGLUM02G21820.1 pep chromosome:ALNU02000000:2:23081729:23083714:1 gene:OGLUM02G21820 transcript:OGLUM02G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGFAEIIRRGNPHLMDNSAVVIMGHSVASYTMDSHGRSSSCLDHLGSSMESSSIHGKRGNNRRSGHGVSAQDDGCGLVLGLGPSPEMGSSAARRSKAPAPATLFSQRSFSFTEPGVLSLGLHRGDHGGATIQHLEEAPAGNIISFAAAAAAAVDEGSTSARRSSGGYMPSLLFAPRPNASAPEEARHDVVADHTDNTVSGGGARHGHARRRVVRQLSPEPEPEPSATMTETSFGVSSDVVTAVTNPVTTQPAAAAAQSQRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCMQLGCTKSAEGKTDHCIAHGGGRRCGHQGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFDGCLKGAEGSTPLCKAHGGGKRCMFEGGGLCPKSVHGGTSFCVAHGGGKRCTVPGCGKSARGRTDCCVKHGGGKRCRVDGCAKSAQGSTEFCKAHGGGKRCTWATGCEKFARGRSGLCAAHGTLMASQQRRAGSGGSMIGPGLFHGLVGAMNNGYSSSGVSTASEYSDACDGAARRQELIPPQVLVPNSMKSSCSSAPPPPSSMGRGREGGGLVVPEGRVHGGGLLSLLGGSFRNVDVDEL >OGLUM02G21830.1 pep chromosome:ALNU02000000:2:23087013:23089732:1 gene:OGLUM02G21830 transcript:OGLUM02G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU08] MARAVLTLTVLAFLCLVALGLSGRANANATAGRKMVGVYELKKGDFSIRVTNWGATLMSVILPDSKGNLSDVVLGYDTVAEYVNGTAYFGGLIGRVANRIANARFTLDGKTYRLFRNDGNNSLHGGHRGFSKVIWTVKEHVAGGDSPRITLYYHSFDGEQGFPGDLDVYVTYELPRPYVLAVRMNATARGKATPVNLAHHAYWNLAGEGSVLAETVRIHASRYTPVDAATLIPTGRVAPVAGTPYDFLAGAPVGARFVGAAVPGGAVSGYDTNYAVDGAAADGERRRLRPVAEVRDGATGRAMEVWADQPGVQFYTSNGLVGVRGKGGRVYGRYGALCLETQGFPDAVNHPRFPSQIVRPGQVYEHNMVFKFTF >OGLUM02G21840.1 pep chromosome:ALNU02000000:2:23091902:23094123:-1 gene:OGLUM02G21840 transcript:OGLUM02G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGGGRQQGGNPSDGDDGGTNSPAVGTPGMSLAAAAEVAVAAVLAAAAARAAAAADNASEAEGGNKQEAAVSTAPTSPEK >OGLUM02G21850.1 pep chromosome:ALNU02000000:2:23097421:23098387:-1 gene:OGLUM02G21850 transcript:OGLUM02G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGGGGGSQGPPNGGGVPTNRPVFSIEGRVVEPPTLTAAQLDMIRSMYLSSLGAPPVNGFYPGAVQYPRGVFVPSNEYEAAGAARAAKRAKQTDISAENELAAKTTGTTPPPAAPKK >OGLUM02G21860.1 pep chromosome:ALNU02000000:2:23100554:23101333:-1 gene:OGLUM02G21860 transcript:OGLUM02G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMTSKIAGALTLVLLLVLTAVTEGQVLPTPCCRIDCCDGKPECCDPGFAATVVTMAVTTPAAAVTTSKARPAATTAGTTMAPGAAADVLLSRPQSGVCKRDLAQGEAETNFSW >OGLUM02G21870.1 pep chromosome:ALNU02000000:2:23103653:23106945:-1 gene:OGLUM02G21870 transcript:OGLUM02G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRRGDREAKSAAGWTALSTTKTTLEEKRRLQANGSVGGDAGTSGFRRIVRLFFACMVAGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTMKMGRRRPFILVGCLIICISVMIIGFSADIGRHLGDTKEHCSTYTGPRWSAAMVYIVGFWFLDFANNTVQGPARAMMADLSAGHHGPNVGQSIFSLWMAIGSVLGYLSGANGKWHEWFPWLKTAACCDACANLKGAFFTAVLLIVVSMTVTMYLADEMPLDKQDVDTSGGGGCAVFVDLFKSLRNLPPAMFKVLAVTAVTWLSWFPFIQYNTDWMGREIYHGEPQGTAAKADVYDAGVREGAMGLLFCSVALGVTSFVIPKLCRRLTSKVVWSISNFLVFALMAVMVAVGMVSMRGYRPSLAAGLTGPDPTLKAVALVVFALIGIPQAVLFSVPWAVASEVTAEEGGGQGLAIGVLNIAIVVPQLVIALTAGPIDGAFNKGNTPAFGIGGAFAFICGVLALIWLPKTRGVSNAAVVAGGH >OGLUM02G21880.1 pep chromosome:ALNU02000000:2:23108907:23112776:-1 gene:OGLUM02G21880 transcript:OGLUM02G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPADKGKKAKTDADGGAAEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVLEVEQKYSEIRRPVYLRRSDVIQTIPDFWLTAFLSHPLLSELLTEEDQKMFKYLESVDVDDSKDVKSGYSITLTFSENPYFEDKELTKTYAFADDGTTTINATSIKWKEGMEIANGNAKKKGSKRPLVEESFFTWFTDTEHKSLADGVQDEVAEIIKEDLWPNPLKYFNNEAEELGEDDDEEGSDADEGEEDEEEEN >OGLUM02G21890.1 pep chromosome:ALNU02000000:2:23118256:23119164:1 gene:OGLUM02G21890 transcript:OGLUM02G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHCHQFAILVVLVLLASTPEVLAVRSLGVLAQTSSANASSAEQPRKLAEGNAAVAVTAAAAAAAARFDTSTEKNTTATGSSSPSTDHSEFSVEEQKIVKQILKIQKVNEGQAIIKVIGTSSCFSVPTLIELLVVELNERLQSFRPLG >OGLUM02G21900.1 pep chromosome:ALNU02000000:2:23120683:23123858:-1 gene:OGLUM02G21900 transcript:OGLUM02G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVAVDVGGLMASRGAGEEETGALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDIICEICHVSYKPGYTAPPQVHHDETTIEISSGDWSISGNRLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITSSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPESPTTPHPEQGQ >OGLUM02G21910.1 pep chromosome:ALNU02000000:2:23129751:23129996:-1 gene:OGLUM02G21910 transcript:OGLUM02G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRSSGGILPCRSSARSHGTTRRQGVGGRRWVPCRSGGDAASIRPSSDNNVAEGWQRRGDEALGEWLLLASMEIKGSAVS >OGLUM02G21920.1 pep chromosome:ALNU02000000:2:23132214:23138370:1 gene:OGLUM02G21920 transcript:OGLUM02G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFVFRLLAFTLTILLSPLMWVTKRLGITVLIVLFPLLIVHHLIVNSPVSGPSRYQVIHSNLLGWLSDSLGNSVAQNPDNTPVEVIPADASASNSSDSGNSSLEGFQWLNTWNHMKQLTNISDGLPHANEAIDNARTAWENLTISVHNSTSKQIEKERQCPYSMHRMNASKPDTGDFTIDIPCGLIVGSSVTIIGTPGSLSGNFRIDLVGTELPGGSGKPIVLHYDVRLTSDELTGGPVIVQNAFTASNGWGYEDRCPCSNCNNATQVDDLERCNSMVGREEKRAINSKQHLNAKKDEHPSTYFPFKQGHLAISTLRIGLEGIHMTVDGKHVTSFPYKAGLEAWFVTEVGVSGDFKLVSAIASGLPTSEDLENSFDLAMLKSSPIPEGKDVDLLIGIFSTANNFKRRMAIRRTWMQYDAVREGAVVVRFFVGLHTNLIVNKELWNEARTYGDIQVLPFVDYYSLITWKTLAICIYGTGAVSAKYLMKTDDDAFVRVDEIHSSVKQLNVSHGLLYGRINSDSGPHRNPESKWYISPEEWPEEKYPPWAHGPGYVVSQDIAKEINSWYETSHLKMFKLEDVAMGIWIDEMKKGGLPVQYKTDERINSDGCNDGCIVAHYQEPRHMLCMWEKLLRTNQATCCN >OGLUM02G21930.1 pep chromosome:ALNU02000000:2:23137451:23138299:-1 gene:OGLUM02G21930 transcript:OGLUM02G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G03050) TAIR;Acc:AT2G03050] MLATTRLPPPPAAAAAAAATPTPPARGGGGGGVEFRRKLYFLSSELHLDPFPLLALHPPLRAAPLPQLRASLALLLSHGLSAGDAARVFSAFPSLLTSPPGEHLRFLSADAPLPPPLLRAAVVRSPRLLAASVPGTLRPALRFLRRRVALRRRPLPLAAALLLAFSVDRTLLPKLLFLRDATGMPDPAVCAILRRAPAILSYGIQTNLTPKLRFLADRMGRDPAVELAEFPHYFAFSLEGRIRPRHEALKERRVQMSLKDMLTISDDEFRERLVDAALSAPR >OGLUM02G21940.1 pep chromosome:ALNU02000000:2:23143544:23145145:1 gene:OGLUM02G21940 transcript:OGLUM02G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU19] MGSASTPAASDGEIKLPPPHAVCMPFPAQGHVTPMMKLAKILHGRGFHVTFVHTEYNHRRLRCVHGADALAVAGLPGFRFATIPDGLPPCDADATQDAAAICQSTMTTCLPHFKSLLAGLNRSPGVPPVTCVVTDAGLTFGVDAAEDLGVPCALLWTASACGSLGYRHYRLFIDKGLVPLKGILTNGFLDTPVDWAFGMSKHARIGDFPSFLRTTDRDDAMLTYVLHETDHMADADAIIYNTFDELEQPALDALRAALQPAAVYTVGPLNLLSESLAPSSGGGDPLDALGSNLWREDDACLGWLDGRAPRSVVYVNYGSIAVMSNQQLVEFAWGLAGSGYAFLWVIRPDLVTGNDDAAAAAAALPPEFMEATRGRGLLASWCPQEAVLRHEAVALFLTHSGWNSTLESLSGGVPMLSWPFFAEQPTNSLYKRAEWGVAMDGGGGGDVRREAVEARIREAMGGEKGRAMRKRAAEWSESAARATRLGGSSFGNLDSLIKDVLLSGRRS >OGLUM02G21950.1 pep chromosome:ALNU02000000:2:23151509:23151815:1 gene:OGLUM02G21950 transcript:OGLUM02G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEWLRLLGHLVTGCRKLPAPALLGQTMWPRCQGHVLVDLLAAAAGDACDRGGRVDEQRAAHDVGDRVVAPQRRQWGGTTMRLRWRSIPSSIRIMRS >OGLUM02G21960.1 pep chromosome:ALNU02000000:2:23155704:23157394:1 gene:OGLUM02G21960 transcript:OGLUM02G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU21] MCSACNRRGCISYTAASRFHQPIDRRTTTYDDMVAADEIKPHAVCLPFPAQGHVTPMMKLAKVLHCRGFHVTFVNTEYNHRRLIRSRGAAAVAGVPGFRFATIPDGLPPSDADATQDPASICYSTMTTCLPHFTKLLVDLDGSRAAGIPPVTCVVADGVMSFAVDAAKELGVPCALFWTASACGYMGYRHHRFFLDEGLSPLKDEEQLTNGFLDTVARPARGMSKHMRYRDYPSFIWTTDRGDILLNFLLHEVERADRADAVILNTFDELEQQALDAMRAILPPVYTIGPLGSLADRVVAPDAPAAAIRSSLWKEDTACLAWLDGREPRSVVFVNYGSITTMSNDELVEFAWGLANCGHGFLWIVRPDLVRGDAAVLPREFLEAVAGRGLLASWCEQEAVLRHGAVGAFLTHCGWNSTMESLSAGVPMLCWPFFAEQQTNARYSCAEWGVGMEVGGGVRREAVEATIREAMGGEKGKEMRRRAAEWKELGARATQPGGRSLVNLDNLIKEVLLPSKKCR >OGLUM02G21970.1 pep chromosome:ALNU02000000:2:23166938:23171424:1 gene:OGLUM02G21970 transcript:OGLUM02G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU22] MSEMQPHAVCLPFPAQGHITPMMKLAKVLHSRGFHVTFVSTEFNHRRLVRSRGAAAAAGIPGFRFTTIPDGLPPSDADATQDPPSLSYSTMTTCLPHFRKLLADLNNRSAPHDDAAPPVTCVVADHLMGFSLDAAAELGVPCALFWTASACGYMGYRNFRLLIDMGIIPLKGEEQLTNGFMDMAVDWAPGMSKHMRLKDFPTFLRTTDRDDILMTFQLRQVERAEEADAVVLNTFDELERPALDAMRAITPAIYTVGPLAFLTEQIPPGGPLDGISPSLWREDDACLGWLDGRNPRSVVYVNYGSVTVMSGHELEEFAWGLAGSGHDFLWIVRPDVVTRTDAAAAALPREFTEATKGRGLVASWCDQEAVLRHPAVGLFLTHSGWNSTVEALSGGVPMLCWPFFAEQQTNCRYKCVEWGVAMEVGDSVRREAVEGRIREAMGGGEKGKEMRRRAAEWKEAAARARGRSLANLERLIGDVLLSGKKDRVI >OGLUM02G21980.1 pep chromosome:ALNU02000000:2:23180512:23181114:-1 gene:OGLUM02G21980 transcript:OGLUM02G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCQPAVVKIVAQIYYYSPTWCAAVARPRVVRVRHRPCLRAPWRCRAEQIERHGGIKSWSILSTGRHIGADPVPGSGWVDDVGLLGAQGGRGRDDPVTEGEERGIEGGAEGVVLADPLIACNGGGEGRANGVDALDMVEVRGVDGGDQHPHAHIVVADLCRRQPSHPEDFVGAGHGGRRGRLWWAGT >OGLUM02G21990.1 pep chromosome:ALNU02000000:2:23180551:23180823:1 gene:OGLUM02G21990 transcript:OGLUM02G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNKVLRVARLPPAEIGDHDVCVRMLVAPINPSDLNHVEGVYPVCPPLPATVAGYEGVGQDHALGTAFDSPLLSLGDWVIPSPPSLGT >OGLUM02G22000.1 pep chromosome:ALNU02000000:2:23188807:23190983:1 gene:OGLUM02G22000 transcript:OGLUM02G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGGGGGGGGLLDLEHHFAFYGAYHSNAVNVFIHTLFVWPIFLTALLLLHLAAPSAHAAAAGAAVYGAFYLLLDRRAGALAALLCFLCWAASAALAARLGFSAGWKVVLVAQLFCWTMQFIGHGKRAPALLDNLVQAFLMAPFFVLLEALHKFVGYEPYPGFHAKVQKLIDEARKEWEDKKAKKMT >OGLUM02G22010.1 pep chromosome:ALNU02000000:2:23194544:23195328:1 gene:OGLUM02G22010 transcript:OGLUM02G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU26] MEAGEEIEDGEPSTPTYKAHHHPPHLPPPMRSSGVSLVLSVADLVLRFVAIGGTAGSAIAMATTSETLPFAAPFVRFRAEYSDLPTLMFFVVASSVVCAYLVLSLPASVVHVVRPGARSSRAILAFLDTVMLALLTASASAAAAIVYLAHRGSARANWLGICQQFTSFCQRITASLVGSFAAAVVLVALVFLSALSLARRA >OGLUM02G22020.1 pep chromosome:ALNU02000000:2:23195580:23196153:-1 gene:OGLUM02G22020 transcript:OGLUM02G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 2 [Source:Projected from Arabidopsis thaliana (AT1G14150) TAIR;Acc:AT1G14150] MAAEAAAVPPPPPGPGTVPRWGTRSYVRERFFEPGLTAEEAAARIRQTAEGMRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPEARRPDYVRVANELVDNMTEFDRFVRTPKVYESYLYYEKTLKSLDDVTEFLA >OGLUM02G22030.1 pep chromosome:ALNU02000000:2:23215732:23217862:1 gene:OGLUM02G22030 transcript:OGLUM02G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARQQQQQVVVAATMEHDVHHHRQMMQQQQQQEMDLPPGFRFHPTDEELITHYLLRKAADPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKQDQEWVLCRVFKKSLELAAAAAAAGRRGAGAGTDVGPSSMPMADDVVGLAPCALPPLMDVSGGGGGAGTASLSATAGAAAAPPPAHVTCFSNALEGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAGGAGMVHELLMGGGWYCNKGERERLSGASQDTGLTSSEVNPGEISSSSRQQRMDHHDASLWAY >OGLUM02G22030.2 pep chromosome:ALNU02000000:2:23215920:23217862:1 gene:OGLUM02G22030 transcript:OGLUM02G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLARQQQQQVVVAATMEHDVHHHRQMMQQQQQQEMDLPPGFRFHPTDEELITHYLLRKAADPAGFAARAVGEADLNKCEPWDLPSRATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRIHGKHAAANSKQDQEWVLCRVFKKSLELAAAAAAAGRRGAGAGTDVGPSSMPMADDVVGLAPCALPPLMDVSGGGGGAGTASLSATAGAAAAPPPAHVTCFSNALEGQFLDTPYLLPAADPADHLAMSSASPFLEALQMQYVQDAAAAGGAGMVHELLMGGGWYCNKGERERLSGASQDTGLTSSEVNPGEISSSSRQQRMDHHDASLWAY >OGLUM02G22030.3 pep chromosome:ALNU02000000:2:23215920:23217862:1 gene:OGLUM02G22030 transcript:OGLUM02G22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCVYVCACSEGDDGGEGVVLLLREGPEVPDGAEDEQGDGVRVLEGDGEGPGDLQGEGPRGHEKDARLLHREGPPRRQDRMGHARVPHPRQARRRQFQAGSGVGAVQGVQEEPRAGGGGGGGRQERRGRRDGRWAVVDAHGGRRRRPRPVRAPAADGRVWRRRWRRHSLAVSDRGRGGGAAASARDLLLQRAGGPVPGHTVPPPRRRSRGSPRHVLRLAVLGGPADAVRPGRRRRRRSGHGARAAHGRRLVLQQGREGEAERRLAGHRPHVVRGEPRRDLVVVAAATHGSSRRVPLGLLKYSFIIIENCISHYY >OGLUM02G22040.1 pep chromosome:ALNU02000000:2:23224781:23227284:1 gene:OGLUM02G22040 transcript:OGLUM02G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKEAGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPTKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRVDADADVAAGGGAAGGGARSRAAAHLSHTAQWESARLEAEARMAREAKLRALASPPPATAALSGVDSPTSTLSFSESALFGAGSAAPDIHGAARAAAVQAVQSSYGEACQEHHFGGATAETSFAGAGTLAGVLLDCSVTGADQRFAERTEACSGELQGEDDDDKGYWNSILNMVNSSMSSSSSSLTSEVVTDTEMFLPATAAAAASATPVEF >OGLUM02G22050.1 pep chromosome:ALNU02000000:2:23248281:23255760:1 gene:OGLUM02G22050 transcript:OGLUM02G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSSTSMKSVIDRYGKSKDEQQAVANPNSELKGGSKLETTTAQLARKSSLTGEDLSGLNVKELQSLENQLEISLRSVRTKKDHVLIDEIHELNRKGSLVHQENMELYKKISLIHQENAELYKKIYETEGPSEVNRDSPTPYNFAVIEKTNVPVQLGLSTLPQHSDAEQSTAPKLGLQLNP >OGLUM02G22060.1 pep chromosome:ALNU02000000:2:23266030:23266707:-1 gene:OGLUM02G22060 transcript:OGLUM02G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKTEDVGGGVTTAFAMQGKVPLAAWSTGLFNCFDDCGNCCVTCLCPCITFGQIAEIIDRGSSSCGTSGALYALVMLLTGCNCVYSCFYRAKMRSQYGLQEKPCADCPVHFFCEPCALSQEYRELKKRGFDMNLGWHANMERQGHKPAMTMPPHMFPGMTR >OGLUM02G22070.1 pep chromosome:ALNU02000000:2:23267215:23268451:-1 gene:OGLUM02G22070 transcript:OGLUM02G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWRFLCPKCPWREREKGAPAGRRRRPRRAVVAAAANGDDGGRRRMRRGERRTARRAAVAGQRRGRRSEAPPPSHLPLPCSLPPVVPAGRCRHHLARPLAPPGRPSPSPLLPRRLLLPLPSPIRRTLRLLNRHRRHRRAARARPRDVAAAPIS >OGLUM02G22080.1 pep chromosome:ALNU02000000:2:23274013:23274873:-1 gene:OGLUM02G22080 transcript:OGLUM02G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQAAPVPWSTDLFDCFDDSSNCFMTWLCPCITFGQIAEIVDRGSSSCGTSGSLYALVFLVTGCSCIYSCIYRSKLRSQYGLQETPCPDCLVHLWCEPCALCQEYRELKKRGFDMSLGWHANMEKQGQNPAATMAPEMYPGMTR >OGLUM02G22090.1 pep chromosome:ALNU02000000:2:23279638:23284187:-1 gene:OGLUM02G22090 transcript:OGLUM02G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25500) TAIR;Acc:AT1G25500] MSSSEEGESANPSPCPAGPAAAAAVAGAAGVGVGAAAWPRRRCRDVFWLVVFLLHLLVFGGALALTGLNRFGQADRFNIDRFTNLTAAPRFAGSPEPAREAPPPPSLEAEEVTPKSELTESYWPYYGAAGAVGTALAWAWLAAAAGKKDGGRVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSISKNYRCSTFVQRDI >OGLUM02G22090.2 pep chromosome:ALNU02000000:2:23280210:23284187:-1 gene:OGLUM02G22090 transcript:OGLUM02G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25500) TAIR;Acc:AT1G25500] MSSSEEGESANPSPCPAGPAAAAAVAGAAGVGVGAAAWPRRRCRDVFWLVVFLLHLLVFGGALALTGLNRFGQADRFNIDRFTNLTAAPRFAGSPEPAREAPPPPSLEAEEVTPKSELTESYWPYYGAAGAVGTALAWAWLAAAAGKKDGGRVVMRAAVHSLTAYLAVVSVLCFWGKHFFWGVAFAVGGALHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRIAYAFVLVMLCWMALWSFGVSGILAFHIPNGGQWWALLIFSVSLFWTGAVLSNTVHVIVSGMVFLVLIHGGQAAASMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGNNECLLCCVDFLFHIVETLVRFFNKYAYVQIAINGQSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTILGGLITGTCTGVWTYFKQSDKAIMVGSTSMLMGMILVGVTVVVVESAVTSIYICYAEDPRLIQRWDPDFFDQMSEALHQRLQYRSARAHQILNSRLDQLPNTSSI >OGLUM02G22100.1 pep chromosome:ALNU02000000:2:23286123:23290294:1 gene:OGLUM02G22100 transcript:OGLUM02G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >OGLUM02G22100.2 pep chromosome:ALNU02000000:2:23285767:23290294:1 gene:OGLUM02G22100 transcript:OGLUM02G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRCTLIKEYRGKIETELSKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDAAEEIKEAPKGESGDGQ >OGLUM02G22110.1 pep chromosome:ALNU02000000:2:23292321:23293323:1 gene:OGLUM02G22110 transcript:OGLUM02G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSGRLAAVLFLLLLSGSRLAAAGRGAAGPVVAFAADADAVAGAPGGGGGGGSFPADPLLPCMEELLPCTAYLGSGRSPSHTCCTSLHDGAVDEMQCLCRLLADPELLRTFNVTRDQMFRLPSRCGLPVGCHAGAAGSPEPVVEAPPPPPPNETEGQQAGGDDSSGGGDRRRGAAGAGRRVIAAVALGGAASVAALLHVF >OGLUM02G22120.1 pep chromosome:ALNU02000000:2:23293635:23296257:-1 gene:OGLUM02G22120 transcript:OGLUM02G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASEELEQRSRYLSSLIRRTKLHAAPALTPPPPTPPPEPETKLQLEMEPQPERVEEAAKKPAAVAAVVEKREVKGGGGGGGQAGKGKGKEKEMEKGKEERKVSVRVRAADMPLAMQRRAVRLAFDAVAAMPRLDSKRLALALKKEFDATYGPAWHCIVGTGFGSYVTHSVGGFLYFSVDKVYVLLFRTAVEPLGHPQ >OGLUM02G22130.1 pep chromosome:ALNU02000000:2:23300278:23301126:-1 gene:OGLUM02G22130 transcript:OGLUM02G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQAAASLLTKLAQAAAGLGIAASAASTALYTVDGGQRAVIFDRFRGVLPETSSEGTHFIVPWLQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLARPDVDRLPDIFTSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDSLIRRAAEFNIVLDDVAITHLAYGPEFSQAVEKKQVAQQEAERSRFLVARAEQERRAAIVRAEGESEAARLISEATAAAGTGLIELRRIEAAKEIAGELARSPNVSYIPAGDSSQMLLGLNGSR >OGLUM02G22140.1 pep chromosome:ALNU02000000:2:23310633:23312920:1 gene:OGLUM02G22140 transcript:OGLUM02G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YU43] MDMAAACVDDVGKPRASRKVCVIGAGMAGLAAARELRREGHAVTVLEQAGDVGGQWLYDPRADDDDEEDPLAAAAAAAKPVRVHSSMYASLRLLGPREVMGFSDFQFVPRKSDPGRDPRRFPGHREVYLYLRDFYRAAGLTDAVRFNTRVVRVAMAPPPCRGGPGDAMKWVVRSTDAVLWKRCSDDQMAEARCVEEVFDAVVVATGHYSQPKLPSIQGMGDWKRRQLHSHWYRVPDSFRDEQVVVLVGCGDSGMDIALDLLAVAREVHLSAKSVEAAATPAMSKMLARHANLHLHPQIERLCDDGRVVFADGGGGVVAADTVMYCTGYRYSFPFLDTEGKVAVDDDDNRVGPLFEHTFPPSLAPWLSFVGIPRKVMVPWFFEAQGRWIAGVLSGRRALPSEEEMTRSVEEFYRARELAGVPKAHTHDVEPHKMYELGEKYCDFPRTEEWKRELMAIISRNTSDDMETFRDRDDDSDNVRRCLQEWYALAEHQAQDEEDPAAAAAQAPVHSSL >OGLUM02G22150.1 pep chromosome:ALNU02000000:2:23316422:23323367:1 gene:OGLUM02G22150 transcript:OGLUM02G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYAEIMLNMAQESAARVLAAERRAAALAGGLAAAREDGVAALVRLKAIMEARIKEAESQSLANINKIKELQEQLHGAQDTVASLQIELQRSNTELEQARSTLAEERRNNLRTCNKINSNKNSSSSSRKHLQGRVFSKSKNTAKESGAVENLETLYRCDSDLGSFMARTKNPELYRNGCTQRIRAIKQRSPNSDTSLVENSKQTSALNSRSKTGKTDTNRNPQSTRSIMEQILQTKFLANCKRKRGRRSRPSYMHDNSGEHGQTEYKSSDTSDGNGCLLLLQALEQDLSPLKASSGSVGEGLADQKDELLKDEKDADLNLHPASPGPNDVLSVNNMQMKRRKRSKTIRVFESDFEAKAAPELGNTLPKSSNNNSMLNSEQSSDPPAGNNGPVLQCTAENLMHVTDAANADQLKSENSSPLVPQSTESEIGDEGNSRVDHKECRTPDNNAIVLEEVNVDKSRIILASDGADSSIVSSLDKEENAKEATSGVAVQAEGARYIKYTFNRRKRKAAPLDSTPQGAVPEKSSSVVCPSENHEPHAKPETQDLVIESPPGDNQLIHVAQQLP >OGLUM02G22160.1 pep chromosome:ALNU02000000:2:23326475:23328032:-1 gene:OGLUM02G22160 transcript:OGLUM02G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDASSPSAARDAKKKRGNRSAKLKQSKLDVRREQWLSQESDPSSVWIGLVKDGKEVKAVVSPGAAAGANSGSPILASPHPPLPRRRAEIRTREGDPEDFKEDSVGASQDVGSSDHESPLHSPVSYNPPIGCLQQKHCSGNGGGRSFSSGSSAWSSSRSVTDSDDDTGGSPENDDDGVLDDWEAVADALSVDDNHNHQDPVPADPPVVPASCPVPANAATRQEPIKSSTRAWSPDDAFRPQSLPSLSKQVSFPASMGNCWVAMGIGSAQKGVPSKPTSCPICYEDLDPTDSSFLPCPCGFHLCLFCHKRILEADGRCPACRKQYISASSGGETVGSEREMGNLRLSRSCSMGPRY >OGLUM02G22170.1 pep chromosome:ALNU02000000:2:23331079:23335636:-1 gene:OGLUM02G22170 transcript:OGLUM02G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQQKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADVRGAGAHLRCEPARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKADLAASPAKTNQTSAAPAATVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRHLRPGAAPGGFAIPAGSLSVFLFLSILLFTSLNERVLVPAARRLTRRPQGLTSLQRVGAGLVLATVAMATSALVEKKRRDAANDGGGGGMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGAWIRNDLDAGRLDLFYWMLAVLGVANFAVFLVFARRHEYKQPAGTAAVVVAPAAAKDGGAGEEKEMDDFVVVKEAVEGMDV >OGLUM02G22170.2 pep chromosome:ALNU02000000:2:23332778:23335636:-1 gene:OGLUM02G22170 transcript:OGLUM02G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQQKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATGVSLLTVDTMVPGMRPPPCADVRGAGAHLRCEPARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMVLDEMNEWSK >OGLUM02G22170.3 pep chromosome:ALNU02000000:2:23332778:23335636:-1 gene:OGLUM02G22170 transcript:OGLUM02G22170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIPALQSVAQNPPHPEILPGHPPHDTTRQQVITYLSFPRQRRGQAAPASSSSLHKRFLSSLSCACCLLFSSACSSGRQSAAQQQQKMVAAGGGHGGGADDGMVVDYRGNPVDKSKTGGWLGAGLILGTELAERVCVMGISMNLVTYLVGDLHLSNAKSANIVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATIAATVRSRSRTHRTTHLRSSSRGAGISLRSAAMQGVSLLTVDTMVPGMRPPPCADVRGAGAHLRCEPARGGQLAMLYAALYTVAAGAGGLKANVSGFGSDQFDGGDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRGWGYGVSAVAMVLAVAVLVAGTPKYRYRRPEGSPLTVIGRVLATAWRKRRLPLPADAGELHGYHTSKVTVKAEMDTSYREEAEDDNSVRPLANTARKSKSCMVLDEMNEWSK >OGLUM02G22180.1 pep chromosome:ALNU02000000:2:23341825:23351022:1 gene:OGLUM02G22180 transcript:OGLUM02G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHIISFGSPDIVPIFCSSLPHSTWGTYPHEYEDFATDASFLDHWSFDQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTDKPDDGFRFVDDHKHDMPTPQDWALEGFERVDVNQADIAPLMATLVGLPCPLNSVGSLPTHYLKLSKADEVEAVLANTKQILNQFLRKSQMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVISLFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAAYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKRDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >OGLUM02G22180.2 pep chromosome:ALNU02000000:2:23341825:23351022:1 gene:OGLUM02G22180 transcript:OGLUM02G22180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;sulfuric ester hydrolases;catalytics;transferases [Source:Projected from Arabidopsis thaliana (AT3G01380) TAIR;Acc:AT3G01380] MAGRARPQPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMAPEPPRFSAPPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRGVIEEKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKETVSIVLPIDILGYLVLAVACGLLYLRFIMDLFCVENLGYTDGRPILLSLILYSIKVDTLSRLAVQILFPYSAAAYLTVPGVLIPMNMKTLRQFQGLLNRSFEDAKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSNIYLNNVKVVDQIAESMYNLMESYFNDNQTAYVFTADHGMSDKGYTCGSAMPAEFCWKLTYALFEIKQEMKQSSSLYFKPFKPLANYSLVLDQIEDLISAKDYENAMKHSEELRSMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPANLQKRTQVYAKNTSVKVYIVGCFIMGFSSIILLLEKSPLLYHAYLFMTIFLWTRIVQNFEFIKAVWRELSNMPFKYTMNLLNISVISLFILEFLVMSFFDRKLYTWCFLILGILASSCVAIFIQASSALAAYVWLSCWFLSLFTLMPAEIPENNNLVIFSGALIILTAMASRWMATNNNNFWLYLTRANKRDPQFSKLFFVQVVFVAISSIMVWISTSHRSQNKQLHPLHQMINWLIAGFAMVLPLFSPSSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFAMVLMGWIFVESANLYCSEQNGSARRSSIVEGSIFGYDERCLQLSDLRIPLLFTILFNVAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLFIPFMLVICTFSAITKVVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIFTKDIEVSSRQLSSRKVM >OGLUM02G22190.1 pep chromosome:ALNU02000000:2:23351358:23351717:1 gene:OGLUM02G22190 transcript:OGLUM02G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTMMASLSAVAPGRAAAAAPRRGSLAVARAAAGRAECQHEAHHCGEGCGCRGGAGAEGRRAVMFAAAAVALSAIGAGAGGASAAFAESDVKRGTPEAKKKYAQICVTMPTAKVCHN >OGLUM02G22200.1 pep chromosome:ALNU02000000:2:23362465:23362750:1 gene:OGLUM02G22200 transcript:OGLUM02G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSFLCPIFSFLSCKSRRYEDDDDDGELSGEWPARYGSKVRSSDEDYGAWWVGERDVDRKASDYINSFHQRKQVAAA >OGLUM02G22210.1 pep chromosome:ALNU02000000:2:23369225:23370416:1 gene:OGLUM02G22210 transcript:OGLUM02G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQAQQRAIAPWLLAFPCDGGRQAGSQRRRRASDCFLLGWEPPFGCLGILAGIGATGTNVYGVVHLHAFAMASCCRGSYQEVTAGKKYRVNMAQLPAVPWWGACGRDGVWPGGGGRAVRDRPAVSRARLAGCLPVPLARLLSIAARVS >OGLUM02G22220.1 pep chromosome:ALNU02000000:2:23372296:23376276:-1 gene:OGLUM02G22220 transcript:OGLUM02G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAAAAGRLLAAVDWEREAYPAYRDFFALPLFAVFFLVVRYLLDRFVFEWIGRKLIFGKEKVDYEKEETRKKIRKFKESAWKCVYFLSGEILSLSVTYNEPWFTNTKYFWVGPGDQVWPDQKIKWKLKAVYMYAAGFYTYSIFALMFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSVVLAIHDASDVFLEVGKMAKYSHCDLLANVAFLLFVISWVLLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIKTRNVGDDVRSDSEGEDEHED >OGLUM02G22230.1 pep chromosome:ALNU02000000:2:23379019:23392971:1 gene:OGLUM02G22230 transcript:OGLUM02G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVSSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRLDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARTVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKIVSALVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKIWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSSWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGNATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKIFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNAVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSTGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >OGLUM02G22230.2 pep chromosome:ALNU02000000:2:23379019:23392971:1 gene:OGLUM02G22230 transcript:OGLUM02G22230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVSSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRLDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARTVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKIVSALVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKIWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSSWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGNATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKIFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNAVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSTGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >OGLUM02G22230.3 pep chromosome:ALNU02000000:2:23379019:23392971:1 gene:OGLUM02G22230 transcript:OGLUM02G22230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVSSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRLDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQVNHFGSFVRHFFSLPPPDDHIYARTVLTTVDSAAYYAMQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKIVSALVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKIWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSSWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGNATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRIISMFTDHTATMHLAYLLGGPYCKDGVNGFSVGRIHAAEYMFYRIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNAVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSTGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >OGLUM02G22230.4 pep chromosome:ALNU02000000:2:23379019:23392971:1 gene:OGLUM02G22230 transcript:OGLUM02G22230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MQAPQGPVHINCAFREPLDYGYQDWSVDCLKGLDKWFINREPYTRYLGMKIVSALGNYSCSVMEVLEIVKNANQGLLLVGAIHTEDDIWAVTLLARHLSWPIAADVLSGLRMRKVQKSIPGLDKSICFIDHIDQILLSESVKIWKTPDVIVQIGSRITSKRVGTYLESCSPSSYILIDAHPCRHDPSHVVTHRIQATITEFAASLCQCNFQTKTSSWSDILMVLNSAVSQEIMFQVHSECSLTEPYVAHVIGEALYGNATMFIGNSMVIRDLDMFGKGWIDHSTNANNAMMHHFPGFLGAPVAGNRGASGIDGLLSTSIGFAIGSNKHVFCVIGDISFLHDTNGLSLLNQRTQRKPMTVIVINNHGGAIFSLLPVAKTASLQILEKIFYTLHDISISKLCAAHRIKHILVQTKAELHDALVKSHEGHVDCVVEVENRIVDNANFHRFYIQTSSFDYFGLHRVYMLILILQNYKHVHRPYCNHASGISSGRSILIQLAAPRTSGISESSFFHEGFILKLCVGDSIVGFGEVAPIEIHEEDLLDVEEQLRFLFHRMKDAELDVVPLLRGSFSNWIWTTLGIPPSSVFPSVKCGLEMAILNLLESQRIDRSYGIFTGSNAVEYNQSSTASIQICALVDSCGTPMDVTLAVVKLVAEGFTTVKLKVGRRENPAEDAAVIQKVREIVGYKINIRADANRKWTYEQAIDFGSREPVDSVNDIIKFCENSGLPVALDETIDNLTGDVIPKLHQFSHPGIVALVIKPSVVGGFETAAYIAKWAHMHDKMAVISSTYESSVGLATYIQFAHYVDRQNDITSRIKNKGSCGNVAHGLGTYQWLREDVSDQKLKIHAPPLGDGIRASAEDAHGYLQHLVINDKKIERTYSEEKLRSYFIQVDGDNFSYQVKLQEGGDCTHEKVILFLHGFLGTSEDWVPMMKALSPSARVIAVDLPGHGESEILQHDVENSNQISFSVQSVADLLLKLIRNITDGAVVVVGYSMGARIALHMALNQNHKISGAVIISGSPGLRDEASKRRRSAIDRSRAHFLSSCGLENFLETWYSAKMWASLREHPKFDSLVRTRMKHNNIKALSKVLADSSTGTQKSLWEDLKHLKSPLLIVAGEKDPKFKEISQQMCREIRKHKDRESDGLCEMIIIPDSGHAVHVENPLPLVRAIRKFLVRDIPDVISK >OGLUM02G22230.5 pep chromosome:ALNU02000000:2:23379019:23392971:1 gene:OGLUM02G22230 transcript:OGLUM02G22230.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium ion binding;thiamin pyrophosphate binding;hydro-lyases;catalytics;2-succinyl-5-enolpyruvyl-6-hydroxy-3-cyclohexene-1-carboxylic-acid synthases [Source:Projected from Arabidopsis thaliana (AT1G68890) TAIR;Acc:AT1G68890] MLAVVSSSGHRLLPLPVLPGTFTTPPPPPPPPLLSPRRPLLAPRRRRCLCGGGGGGLLLLRAVAARRAGIVIDVDEVGEVGDRDLPVDVSFTRRLPPVLTLGDGLAALRRAGEEVKACPPAAAASGVIRFEVLVPPSTKALKWLCTQFKRSSLFPQFYLSRKQTTDSSIQLEISGAGSAICFHGSSRLDNGFDLISRYLSFNSHLIRAYGSVGVKYDKELLSLEERIGSFYFFIPQVELSEFDGYSMLSSTIVWDDSVSHTFEDSVCLFESCFSQIWSSYDSSATICYENMVTSYIGESRMSESRNTQLVYLDAEFLAVIDGKAVTEKENCPTSDQSFVRFSPQFLFCANMDLCLQSNKIESFIRRCSNINLAWASFIVEEFVRLGFTYFCIAPGSRSSPLALSASVHPLTTCISCYDERSLGFHALGYGRGSRKPAIVITSSGTAVSNLLPSVVEASQDFVPLILLTADRPPELQDVGANQAINQLIQQPTMPCKHHKGQCI >OGLUM02G22240.1 pep chromosome:ALNU02000000:2:23393308:23393499:1 gene:OGLUM02G22240 transcript:OGLUM02G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVRAIAGPGLALLPPAEDEDADGDGDPEASGEGGGVSPVTIRWARITCAASHPPTPAAARC >OGLUM02G22250.1 pep chromosome:ALNU02000000:2:23395843:23396620:1 gene:OGLUM02G22250 transcript:OGLUM02G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMSCRRTSSLLVIALVISLLLLDRPIAHARHLKNTSMSSRGSSTERGLEDSKKKLDEEKTKKVEAVQVGSNSVHGGSPDVHQFAKIVVVERRGPTPHPKKHNL >OGLUM02G22260.1 pep chromosome:ALNU02000000:2:23399996:23401736:-1 gene:OGLUM02G22260 transcript:OGLUM02G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEASNPTQDMIDITGHVVHDDVSYDKDVLEIKLPDTVVTSDYGGNFVKDVCIDEGVLPHRKISAEKKLDEKSPPNFDFLMIDTNSDLTYGGKGDAKKYAHGQKPKTVLLPVGFAADDNTEKQCDLESRDTASDISEKKISLHELLKLESAEESKERLKLESAEESQTQHQSTTSAINEKNMPPVHEEAIAQVSTNDCNDVATASKTDELITSNVSSINNTNGSSATISDRHDATAALDKPMSTAETTDGLIGSKEFNEVGTAEAMPDALTSSSSSKVQPSEKSNDHPESFTSEPIADPQDENAVATSSSPHVVESSDANRQMNNKNSDNDGATDVHDFNQTDSESCADATNDGRISTSSTDAQKDSTHVDELDVPDNNAKGKSLIGNGYPLEPCSLGPSIMCNPVSTSGHIGNISIRSDSSTTSTRSFAFPVLQWDWNSSPVRMARAERRRNKRRRGWNKGFLCWKF >OGLUM02G22270.1 pep chromosome:ALNU02000000:2:23405684:23411400:-1 gene:OGLUM02G22270 transcript:OGLUM02G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKAQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASSASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQMA >OGLUM02G22270.2 pep chromosome:ALNU02000000:2:23408993:23411400:-1 gene:OGLUM02G22270 transcript:OGLUM02G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNSNTSKAQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASSASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPTSCRI >OGLUM02G22270.3 pep chromosome:ALNU02000000:2:23408993:23411400:-1 gene:OGLUM02G22270 transcript:OGLUM02G22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTNRSIQKARKTDNVQGGPNWVLVAGGVLLSTLSVKLGCKLKQLFDAKQRNTQRRPGTCELHSNLYRFSDHLSCYHCNSGFSGNGVGVKQAPASSASKQMEPSLPLVKIPGPESSKENNGVMWISSPDRLEDPRKPFQYSNSSGSPCVSESGSDIYSKKEVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQETHSTNLQSQLDSANRDLFESEREIQHLRKIIADHCVAEALSHDKPLLAGHWQSGATNGHANGYADSSSVDDPELHFIGLDKRKGEAERVEMLKREVGELKEVIEGKDFLLQSYKEQKVELSSKIRELQEKFSSQVPTSCRI >OGLUM02G22280.1 pep chromosome:ALNU02000000:2:23414608:23418300:-1 gene:OGLUM02G22280 transcript:OGLUM02G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSICSLEVGPRKLSADVSASHRRPRVWAKATVAVHVRSPAQPGRRQPKARSSSPPSSQGEQTMVTVRAGPRGRKSKIARRRYVRYELPIRRQ >OGLUM02G22290.1 pep chromosome:ALNU02000000:2:23418442:23420916:-1 gene:OGLUM02G22290 transcript:OGLUM02G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPALPRSTNPAALLAHLAAVLTSPDWFLNPSLPRLPALLAPSLPESLPAPLPVRLAAAAARAAAPSRHLLAVALPVVLRLHSLSPPPLRPLFDRPFVSLLAHLSRFALAPLALRLFAHMHRHAPPAPAAPTYNAVIRSLCRRADLASALRYLSLMVRSGWRPDAYTFNSLIVGYCRTNQVDVARDLFDKMPLRGFAQDVVSYAALIEGFCETGRIDEAVELFGEMDQPDMHMYAALVKGLCKAGRGEEGLLMLRRMKELGWRPSTRAYAAVVDFRCRERKAKKAEEMLQEMFEKGLAPCVVTCTAVINAYCKEGRMSDALRVLELMKLRGCKPNVWTYNALVQGFCNEGKVHKAMALLNKMRACGVNPDAVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLIADQYTYNALINALCKDGRTDQACSLFDSLETRGIKPNAVTFNSLINGLCKSGKVDIAWKFLEKMVSAGCTPDTYTYSSFIEHLCKMKGSQEGLSFIGEMLQKDVKPSTVNYTIVIHKLLKERNYGLVARTWGEMVSSGCNPDVVTYTTSMRAYCIEGRLNEAENVLMEMSKNGVTVDTIAYNTLMDGHASIGQTDHAVSILKQMTSVASVPNQFTYFILLRHLVRMRLVEDVLPLTPAGVWKAIELTDVFGLFDVMKKNEFLPNSGTYSSILEGFSEDGRTEEATSLVSLMKEDSISLNEDIYTALVTCFCKSKRYLDAWVLVCSMIQHGFIPHLMSYQHLLSGLICEGQTDKAKEIFMNSRWKDYSPDEIVWKVIIDGLIKKGHSDISREMIIMLERMNCRPSHQTYAMLTEELPDRE >OGLUM02G22300.1 pep chromosome:ALNU02000000:2:23423315:23425927:-1 gene:OGLUM02G22300 transcript:OGLUM02G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPKVLVLVRDAAGYGAALADALRPTPGLTRESAPLELPLAKYGLDGEKASGELVNFSDFSGDPQVSFFVLPDYKPPVAACAMNEVLALVSSEAPSTLPTLIVPFMTRSPNYYHGAKTGQLATLHGAEIGATTEFTQMLVDGTTKLPQSLQVRSEPILCLLEMVRVLNIPTVILFASSGQHQGKSSTDVDLEVLQCVGDHLAKHINLEFSKETVLQRGIEKSPVFQEPWRELYR >OGLUM02G22310.1 pep chromosome:ALNU02000000:2:23430333:23431444:-1 gene:OGLUM02G22310 transcript:OGLUM02G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEIIIRISVKTDKCQKKAMKEAATVSGVQSVTLAGGDRNLLLVIGEGVDTNKLTKKLKRKVGSGEIVELRTVDTFEAAAAMFPGGMIMPPAAPGSKDAAAARAMATTRASPYHYQPSPYGPYHQQQQWQPPYAMAPSPYAYPYQYHPSPMAMAGGGGGYGYGGSSYSRAVALSHPAIYSPLVEKHDYHPMNHSTTTKKKTTTTTTTTGAGTGRAAAADAGGKTFKAVSRSRRHHESDSNACCIL >OGLUM02G22320.1 pep chromosome:ALNU02000000:2:23434771:23450256:1 gene:OGLUM02G22320 transcript:OGLUM02G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHMTNRCLILSFLTPSIDRFKYPSVFTEGGRRPQTACKKYTTESGQRADVLTDDRAGLRRRKVTQTTRTGFLYAPDSVATRERSQIQRCTIYVHELPPRLTPSEQTTSPVAPNYTRFAHMARGEAVPERGKAAPEWTAASDLDDGKAGAWTEETTEAAHDEVFVDGGGAGPHAMDGGDAAI >OGLUM02G22330.1 pep chromosome:ALNU02000000:2:23436780:23437434:-1 gene:OGLUM02G22330 transcript:OGLUM02G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEMLIRMQASSEKNGNAKAMKVAAAMDGVESVTLAGEGRNLLRVVGSGVDSNHLTSRLRRKVGHADIVELRTLHDTYPRGAAAGSYAATSTSGRLGSSNGGYYYSSQLSAGRGGAYSSGGHQLYGGGYDSPYYHQAPQHPYDGGYYPSPYGAAAVQHEYYTTSSNDDPNGCSIM >OGLUM02G22340.1 pep chromosome:ALNU02000000:2:23439933:23440637:-1 gene:OGLUM02G22340 transcript:OGLUM02G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSKLALLVFTLLLAAAAFIHVASAEETHDDHDGGDHDPSPSPPDHEDPSPSPPDHEDEPPPPSSPGKEDVCKGKGCCDWSGGDCKHYCDGYDDKSCCDDWSGDCHKCCSK >OGLUM02G22350.1 pep chromosome:ALNU02000000:2:23443280:23444047:-1 gene:OGLUM02G22350 transcript:OGLUM02G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSKALLVFALLLAAAFVASAEQTHDDGDNPPESPDHEDPPPSPEYYDPPPSPDYYDPPHSPDYYDPPPSPDYYDPPPSPYYGGGGGYGKPPPPPPCCPCKGV >OGLUM02G22360.1 pep chromosome:ALNU02000000:2:23461503:23462153:-1 gene:OGLUM02G22360 transcript:OGLUM02G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFTLLMLVAASAVVDVASADGKCGPCNCKGCCDYDGKCMSYCSGHSGPCCKWKGKCHGCCS >OGLUM02G22370.1 pep chromosome:ALNU02000000:2:23491071:23492260:-1 gene:OGLUM02G22370 transcript:OGLUM02G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKAFVVFALLMLVAASAVVDVASADHGDHDGKKCGPCKCKGCCHYDECYEYCSGDSGPCCKWGKCHSCCS >OGLUM02G22380.1 pep chromosome:ALNU02000000:2:23514410:23514834:-1 gene:OGLUM02G22380 transcript:OGLUM02G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVIKVEMSCDKCRWKAMRLVATTGGASSVTLAGDGKDQLVVVGNGVNFIKLIAALRKKVGHATLVTVGEVKEEEKPEPATAAVVEY >OGLUM02G22390.1 pep chromosome:ALNU02000000:2:23542354:23542764:-1 gene:OGLUM02G22390 transcript:OGLUM02G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIVIKVHMGSDKCRRKAMALVAATGGVVSVELAGDDRSKVVVVGEVDSVKLTSLLRRKVGPAELVEVGDAKPAKKEEKKEEKKPETVPPYYYYYPYHHYSPYGYIM >OGLUM02G22400.1 pep chromosome:ALNU02000000:2:23545742:23546278:-1 gene:OGLUM02G22400 transcript:OGLUM02G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIVIKVHMSSDKCRRKAMALAASTGGVVSVELAGDDRSKVVVVGDVDSIGLTNALRRKVDGSAELVEVSDASKKKEEEAKKKKEEEKKKEELAYYHHGYGYYHPGGVYHHHPGYGPHGCPCGCNPSPDSTCSIM >OGLUM02G22410.1 pep chromosome:ALNU02000000:2:23562655:23563342:-1 gene:OGLUM02G22410 transcript:OGLUM02G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAIIVFALLLVAALCFVASAQDPQANKQGGGGGGPPGYGHYPPWNGGYPGRDPWRHDDPWRHGNPWGHGCRWGCCHHGHRGECLRCC >OGLUM02G22420.1 pep chromosome:ALNU02000000:2:23567175:23567690:-1 gene:OGLUM02G22420 transcript:OGLUM02G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKALIVFTLLLVAAFCFVASAQDPQANKQGGGGGGGGGGGGGGGYYPPWNGGYYPPGPGHHHDPHWHNHGCRWGCCHRGYWGDCHRCC >OGLUM02G22430.1 pep chromosome:ALNU02000000:2:23574707:23575030:-1 gene:OGLUM02G22430 transcript:OGLUM02G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPTSREAAVAVEEEEEEAAAAAAAAEEEEEAAAVEEEEAAAVAAAATTHLGTVVTTHQAQAITTTRTGTAAVGGAATAATGEIATAAAKAAPELAIASSTNPQRS >OGLUM02G22440.1 pep chromosome:ALNU02000000:2:23582255:23582761:1 gene:OGLUM02G22440 transcript:OGLUM02G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHLSSTSLRWQRSRLRQVLILPPPLAMASGSTVRFVSNSDGGGTNATFGSGGEQQLYPLPLMNSVSGDGQWPWPLMGADGPGLW >OGLUM02G22450.1 pep chromosome:ALNU02000000:2:23589641:23592662:1 gene:OGLUM02G22450 transcript:OGLUM02G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >OGLUM02G22450.2 pep chromosome:ALNU02000000:2:23589647:23592662:1 gene:OGLUM02G22450 transcript:OGLUM02G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGVFDVSNESYGIKVGYAVPNASGGGAGSSSQGGGCCG >OGLUM02G22460.1 pep chromosome:ALNU02000000:2:23593122:23596067:-1 gene:OGLUM02G22460 transcript:OGLUM02G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTTVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRVKAKKPTAGLIIVLSL >OGLUM02G22470.1 pep chromosome:ALNU02000000:2:23597744:23599630:1 gene:OGLUM02G22470 transcript:OGLUM02G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQAEAVGVGVGVEPSSALGRKRSASASSRPTTARGRGAFGVRSVVFSVGGMSSSARRRLAARLRSELAGVRALLAKAAGFLAPEGAAPSSSPPAAAPCGGGRKDGRFLAAAGIRSGGAPAVDAGGENSGRKRKVSFLLERTDDAPMEMAPDGRTRLAARPASLSPSPPPPPRQRQAADGKIGVEEEEDVDICGGASPLATAPMSPLFPDVDLSELVGATGAKLLSPLQRKYIALADAERADARGGASTVAPPALSPLLPAGYSELVGATGVDLLSPLPREHVALADRADARGLGLVVSATATTTSPSLPPGFAELAIADAGGTKMLSPLPRKYVALAEHGGVASTATTLPSLDELADATGVKMLSPLPRKYVALAEEEDDEYVDICGDASPVVLHKNHGEIIISNSSSSSPSSDSDSDSNSSSSSSSSSSSSSSSDSDSDESAAAATHAPAIPTNACVSSVQPSSAAPAAPEVAQSAKKQEEKLPDQRTAAAAAAAVVATAPPKPLTDLITRAQGAAARRRQDEKAQAREKARQELREMERTTAPAMASNFIHPLDMKLLGLAAVEHMVSADEEARCRALRSAAPSLLEKLGLFLKADDGGDKVQRQQQPSFVAGDDDDVEEGEIR >OGLUM02G22480.1 pep chromosome:ALNU02000000:2:23601085:23603609:-1 gene:OGLUM02G22480 transcript:OGLUM02G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQSSQSARARLAAGEDRNLEDGAYRRRGGRGGGGSGQGGGSGRGGAGRLRGRRAPPRAQAWGGWLVALARSSGGVVGGGGGGKDGGVASSREARVRGMEVDGFFFWFWFSRLPLRRKLGRHTPLSRWHHGACRQGVRRVQPSAGPIAGVAVVIRFPEVAELLDVCWLEITGKLQLSLLSPATTYAAYLVYSFADYTIGLERNIGMPTPMATPSAGPIAEVAAVVETVRIAALRRLLGCSGATPPPAPAQADCDVEMGLPSSESSASRPATKPQPGS >OGLUM02G22490.1 pep chromosome:ALNU02000000:2:23603075:23606173:1 gene:OGLUM02G22490 transcript:OGLUM02G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIDRSKPCAATARRQAKAHRPTLRTRTRRRTRPLPFHARALHVTRPLRHPSHLRRRRRRRPTTEPTRPASRPMPARAAALSCRGVSPRPRAHSLLPGRCRRRPVLPFADEAAASLRVCSVPYSRAYGCGGGGYFVHLEDRDDGEASRLLRALRRVLPDHQKWAQPDLLKAAVISTMSILAVPLEASASAETCQPANSMANMPIFIAVALIGAAVGGLLARQRKEELKRLNTQLRQINTALRRQAQIESFAPGLTYAPVGRATETEVIVDPRKQQLTVNLRNGKTFMRNQDLDMAVKEFRAALELAKSIGDRFEEKKAARGLGASLQRLGKYREAMNCYYKVLELSKETGEDSGCTEAYGAIADCYTELGDLERAAKLYDKYISRLQPGGGE >OGLUM02G22500.1 pep chromosome:ALNU02000000:2:23608528:23609413:1 gene:OGLUM02G22500 transcript:OGLUM02G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKALLVLALLLASAVLLAAAADQPHGKEQRKTESGGGAGVQDWHDDHGGGGHHGGGGGGYPGGQCHHGCCGGYGYHGGCRRCCATADEKPDPMYRPEAHN >OGLUM02G22510.1 pep chromosome:ALNU02000000:2:23612445:23613356:1 gene:OGLUM02G22510 transcript:OGLUM02G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQALIAFAVVLLAAAFLVAASAADETQANKKEDTKVDVQDYWRGGGGYPPRGGGYGYPGRGYGYPGRGGGGGYPGGGGGWHGCRCCGYGFRGGCRCCASPDEIPEPMYRPEVDPHN >OGLUM02G22520.1 pep chromosome:ALNU02000000:2:23623127:23624049:1 gene:OGLUM02G22520 transcript:OGLUM02G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLVVFALLLLAAAFLATSAGGSAAGGHGKSAAYVAPYYPGPWWWPNGGGFYPPPPFNGGPGWYDPRFGGCPFGCCGYGAFNECLQCCARPWFPFWWWP >OGLUM02G22530.1 pep chromosome:ALNU02000000:2:23627209:23628225:1 gene:OGLUM02G22530 transcript:OGLUM02G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKALLVFALVLAGAFLVNCAQQPQPYADPSNDDPNAGYGYPSQQGQNPAQQGPDPAQQGPNPAQQGPNPAQQGPYPAQQGQNGGDGGAGYGGAGGNGGGAGGGSGGAGGGGGGYGDPWWNHPRRCRYGCCECGYYRCNRCC >OGLUM02G22540.1 pep chromosome:ALNU02000000:2:23632858:23633813:1 gene:OGLUM02G22540 transcript:OGLUM02G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRALLVFALLLATAFLVNSARQEPQPNEGPAADPNAGGGGDGSSGYDDGKVSKSGHRPQAKYYNGHRPQGKYGGHRPQGKYYGYSECGGGGDDGDCGGPCEHRRCEYGCCEGGYGGDRCHRCCDHGEFGGRH >OGLUM02G22550.1 pep chromosome:ALNU02000000:2:23646592:23647207:1 gene:OGLUM02G22550 transcript:OGLUM02G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLIVSVLLLASAFLATSEADDPEPANTSPGGGGGSSGYGQAGGSTGYNAVSAYYPPPTPAGWPNGGGYSYGSVGQDGSYSYSYGVQYINGDPAGWSGWNNVWWFDRRCPSGACCARGFSGDCFRCCHPWP >OGLUM02G22560.1 pep chromosome:ALNU02000000:2:23649717:23650548:1 gene:OGLUM02G22560 transcript:OGLUM02G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGLLVFTLLLAAAFLVNCARQEPHQNSRLYVRAEPNEGPTADPDAGAGGDHGTSSGNGKASGHRPQAKFGGGCCCGAGCSEQCAECPRPPHPPPSPSPCVHPPCCESAAGCCCNGCSGGGCGGGQCPPSPSCENHHPPCKPGCCCCGCSGGECPPPPSPPCQHECPPTPPCEHPPCSESGCCDKGCNSCCNRCGEYGQSRP >OGLUM02G22570.1 pep chromosome:ALNU02000000:2:23653742:23658270:-1 gene:OGLUM02G22570 transcript:OGLUM02G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVLVSDPWLQSQFTQVQLRTLKTKFVSVKKSDADHVAVKDLPPVMEKLRGIHEVLSEEEISTFLGESYPDMNQTIEFESFLREYLNLQAKGSSKTGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDSFLKNFLPLDPSTNDIFNLVRDGVLLCKLINVAVPGTIDERAINTKKDPNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLADLNLKKTPQLAELVADDNSKEAEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLNTLAPELSSTTMIETSDPNERAKKVLETAEKLECTRYVTSKDIVEGSANLNLAFVAQIFHHRNGLSDNNVAAPVVQDTPDDVEATREERAFRLWINSLGIATYVNNLFEDVRTGWVLLEVLDKISPGIVNWKQASKPPIIMPFRKVENGNQVIEIGKELKFSVVNISGNDIVQGNKKLILAFLWQLMRTSILQLLKNLRTHSKDKEITDADILIWANNKDKSIANGVFFLELLHAVNHRHVDLSMVKKGEDDEEKKSNATYTISVARKLGCTLFMLPEDIMEVNPKMILVLTASIMYWSLQKHGPYQVPEPVPQETLAEEEEEDEEGDFEEDEEEESIEDGVSNLTT >OGLUM02G22580.1 pep chromosome:ALNU02000000:2:23671389:23677216:1 gene:OGLUM02G22580 transcript:OGLUM02G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFLRVRKVHILLTTTSSPGATFSCWLHHHSSAAPSPPPPSLLPVSISFVSLSLFKSSCCRRTRIASFLLRSSSSCLPVRPSSGLEVSLDELPAVLGGAAGSATRGGLPMGRGSHGCSVLGSSLLLLFCLGSAAAQKASTWKTLSGDSPLVIAKGGFSGLFPDSSEFAYRSAPGAALWCDVQLTKDGAGICLPTINIDNCTTISNFDPKGMKTYNVNGAPLKGWFPVDYNSTELLQQVFLKQSILSRTVRFDPLPIVPVEDVLSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSTNQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPHTSVVDDAHKAGLEIYAADFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGVYSFNLTWEDISKNLKPKISNPMSKYQLYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPATDNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIESMVGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCTSLMI >OGLUM02G22580.2 pep chromosome:ALNU02000000:2:23671432:23677216:1 gene:OGLUM02G22580 transcript:OGLUM02G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSHGCSVLGSSLLLLFCLGSAAAQKASTWKTLSGDSPLVIAKGGFSGLFPDSSEFAYRSAPGAALWCDVQLTKDGAGICLPTINIDNCTTISNFDPKGMKTYNVNGAPLKGWFPVDYNSTELLQQVFLKQSILSRTVRFDPLPIVPVEDVLSKYKTPAVWLNVQHDSFYSQFKLSMRSYILSVSKQFVVDYISSPEVNFLNSLLGRVNKKTKLVFRFLDEQTIEPSTNQTYGSILKNLTFIKTFASGILVPKQYILPVTKDNYLQPHTSVVDDAHKAGLEIYAADFANDFLISYNYSYDPLAEYLSFIDNGAFSVDGVLTDFPITPSEAIGCFSNLNDSKTDHAKPLVISHNGASGDYPDCTDLAYQKAVADGADVIDCPVQVTKDGIPICMSSIDLGDVTTVATSQFASQTTVVNDIKATPGVYSFNLTWEDISKNLKPKISNPMSKYQLYRNPRNRNAGNFMRLSDFLDFTKGKDLSGVMISIERAAFMAQKLGFGVVDAVIKALDDSGYSKQTTQKVMIQSTNSSVLVKFKQQTKYNLVYMIEEDVRDAAPSSIADIKKFADAVSVNTMSVYPATDNFLTNQTTSLVQSLQSAGFPVYVYVLMNEFVSQPYDFFSDATQQINAYVKRPDGGVDGVITDFPATAHRYKLNSCMNMGNNTPGFMIPAQPGGLIESMVGAAQPPSTAPLPPLTESDVTESPLPDVNNNAKAPASSHAAIRVRTDVSAVLVTLMLCTSLMI >OGLUM02G22590.1 pep chromosome:ALNU02000000:2:23678312:23682147:1 gene:OGLUM02G22590 transcript:OGLUM02G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPATTPAAAAVYVAAVPLRGPKGPAQALMSAGYSLGLWDLQHFMVLLRPDPARAQALVFDFQPRDPEDALAAFAVLSRREIPGVVRRRTLRRVPDTGCWLVGHHRSGGGGGAAAAVLAADAFTARWPTDLVVGRHDCRDFTNGLVEELTGEKRVLDALRSSAANDQSV >OGLUM02G22590.2 pep chromosome:ALNU02000000:2:23678312:23681803:1 gene:OGLUM02G22590 transcript:OGLUM02G22590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPATTPAAAAVYVAAVPLRGPKGPAQALMSAGYSLGLWDLQHFMVLLRPDPARAQALVFDFQPRDPEDALAAFAVLSRREIPGVVRRRTLRRVPDTGCWLVGHHRSGGGGGAAAAVLAADAFTARWPTDLVVGRHDCRDFTNGLVEELTGEKRVLDALRSSAANGDWCQLTLLIVIVIHFPLMN >OGLUM02G22600.1 pep chromosome:ALNU02000000:2:23682964:23683320:-1 gene:OGLUM02G22600 transcript:OGLUM02G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVASLVRQGLRWRRRRWRRTARVVDESALAAADGGDAAAPAGGGGDAAAVAVVPMASVGAALARALLALACTIRFDGEDGGAGATEEAWAASGWRPRADEVSHLMVRESMRYAIYA >OGLUM02G22610.1 pep chromosome:ALNU02000000:2:23683709:23699995:1 gene:OGLUM02G22610 transcript:OGLUM02G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVWASAPGKVDVELELELKLALCQTDLYSREVLSRRATESPYDRKGNKLRGSKTKLHKLVATEDGVLTGSVAGKRRPSVVMWGAPLEAEGMEGHGRQGIL >OGLUM02G22620.1 pep chromosome:ALNU02000000:2:23706691:23716994:-1 gene:OGLUM02G22620 transcript:OGLUM02G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIPVLLRLLLLLLPLPLIRDHLWAPSHRRPTPQDDAGELRPIFLVPGATCSNVEARLTEAYRPSAAHCGAMKGKGWFGLWENNTELQAHDYAECFQEQMTLVYDPAANEYRNLPGVDTRVPNFGSVRSFGSKDVFNPEWCLASLIGALEEMGYRDGDNMYGVPYDIRYAPPIPGQASQVYSRHFREFMELVETASEKHHNKRAIILGHSLGGMVALEFVRNTPSAWRDKYIEHLFLVAPTLSTGFVPTVRNLVSGPKDLLHVANTTALSLRPMWRSFETSIVNVPSPGVFGHEPIVVTKRRNYSAYDLEDLLAAIGFSDGIEPFRRRTVARMNYIEAPMVPLTCINAVGKRTPRQFVYWDGNFDDEPTEIVYGDGDDSINLVSMLAFDEEMRRQPGQKGRFKSIKLNNASHGSILTDEWALRRVMQEIFLKRRMVARMNYLEAPIVPLTYINRAPERVYGDGDGIVNLVTMLAFDEEMCRQPGQKGQFKSIKIENATHMRILMDE >OGLUM02G22630.1 pep chromosome:ALNU02000000:2:23725559:23726866:-1 gene:OGLUM02G22630 transcript:OGLUM02G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUA4] MDASPLHVVVFPWLAFGHLLPALELAGRLASRGLRVSFVSTPRNIARLRRPCPSVEFVELPLPRVDGLPDGAEATTDVPDHMSSALWKASDGLAAPFSAFLDAAAAAGNKVDWVILDGMLSWAAASAADRKVPCVLMMPYTATACAHFGVPDEARDADRFPSAIARRFVSAFRSSELLAVRSCVEFEPESVPLLSNIFGKPVVPIGLLPPPQVDGDGDGDGDGDTALMSSWLDRQPPKSVVYVALGSEAPLTAEQRRELALGLELSGAPFLWALRKPHGGDDDGGLLPPGFEERTRGRGMVKTGWVPQLKILAHAAVGAFLTHCGHSSVIEGLRFGHPLVMLPLFLDQFTNASYLEGARGVGVQVARDGEHGGAFDRDGVAAAVRAAVVDEESKKALAANAGKMGEVVADTECHERCIDAFIQQLRSYTTTRTGY >OGLUM02G22640.1 pep chromosome:ALNU02000000:2:23751358:23752957:-1 gene:OGLUM02G22640 transcript:OGLUM02G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLVVVQLLLLLLPLPLREHLWSSQHRRNDVDAGELHPIIVLPGVACSDLEARLTEAYRPSAARCGAMKGKGWFPLWKNSSDLSTHRYNECFEEQMSLVYDPVANDYRNFPGVETRVPYFGLVKGYHQKWPFDKPWCLTPLIRALEEMGYRDGDNMHGAPYDFRHVPPVPGQESRVYSRYYKEFMELVEATSKRHRKKKVIILGHSHGGCVALEFVRNTPLAWRKEYIKHLFLVTPTLSAGLLDPAGGEPCHRAAQPVLRARRDGAVAAANVEELRDQHRQPPVPGRVRARANRGHRAEELLRRTPRQLVYWDGNFDEAPERVYGDGDGIVNLVTMLAFDEEMRRQPGQRGQFKSIKVENASHMGILMDEWVLKRVMQEILEVNQDSS >OGLUM02G22650.1 pep chromosome:ALNU02000000:2:23752315:23771044:1 gene:OGLUM02G22650 transcript:OGLUM02G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIVRSSVHVVPVTVTTTKIIPANPSARRTGAELDPPHLLTVATDLASPSTCCRAQVRPLVSRREPRHPLVVIAQGASRCQNRRSRPSGGRIRSPRRDSCPSAALERRWEEGMSGEE >OGLUM02G22660.1 pep chromosome:ALNU02000000:2:23756861:23768397:-1 gene:OGLUM02G22660 transcript:OGLUM02G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIPVLLRLLLLLLPLPLIRDHLWAPSHHHHRPTPPQDDAGELHPIFLVPGASCSNLEARLTEAYRPSTAHCGAMKGKGWVSDSFWSSSSVLVLIQIKRDPDLRAYFLGLD >OGLUM02G22670.1 pep chromosome:ALNU02000000:2:23795499:23795774:1 gene:OGLUM02G22670 transcript:OGLUM02G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSIAGGREDRSRAGSTSSPHSGRRPSFAVGLLPRPSSAAGSFATHSLSLSKVPPAAGTVGRAPPEDGSAAHGTARAPLQRSREDGRKG >OGLUM02G22680.1 pep chromosome:ALNU02000000:2:23796347:23799554:-1 gene:OGLUM02G22680 transcript:OGLUM02G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLYLAKKKGEKKRKXRLTEAYRPSTAHCGAMKGKGWFGLWENNTELLVHDYADCSLEQMTLVYDPAANEYRNLPGVETRVPNFGSAWSFGYKNPVNRRQRAQCLGKLRDWLEELGYRDGDTMFGAPYDLRYAPPVPGQTSEVYSRYFSEFMALVEAATKKKQKKAVILGHSYGGMVALEFVRSTARAWRDEHIERLVLVAPTLPNGFLGSVTKFVTGTGMIFIPTVTSMRPMWRSFESAMANFPSPAVFGREPLVVTKRRNYSAYDMEDFLAALGFGEGVEPFRRRAVPRMYSSEAPMVPMTCINAVGNKTPLQLVLWDDDDDGLLDASPEVAAYGDGDGEINLISMLAFDREMGRQPGQEKRFKSVKIANANHSTIAIYDFALKRIIQEIIEVNQVH >OGLUM02G22690.1 pep chromosome:ALNU02000000:2:23816449:23818597:1 gene:OGLUM02G22690 transcript:OGLUM02G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSREASAALVLHPRLMLPRWLAAAADIVEWREPHWLDLAINEELASTAAPSPPGKRASWARLDSALTNGLELCMRYRIRRAAAHSDLTAVPSTDSEKQPERIKQEKVQITP >OGLUM02G22700.1 pep chromosome:ALNU02000000:2:23818854:23819276:1 gene:OGLUM02G22700 transcript:OGLUM02G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRERARHGGGGESGAIGGERARHGGGGGSSTTGGEGGERTWHGDGGESGATGGEGDDGVVEDEVVAVETAMCGAGRRPPCSSPTPASFSRVSSPLPPTSSSGGSRIGRIWPLMKSSPPPPRLRRRGRGRRRRGWIAR >OGLUM02G22710.1 pep chromosome:ALNU02000000:2:23829663:23837967:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEVCGAVGAPADLLHHLVLLHGSGSGSGSGSAPRPGGLNCPCRYLYLETNRV >OGLUM02G22710.2 pep chromosome:ALNU02000000:2:23829663:23838308:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEVCGAVGAPADLLHHLVLLHGSGSGSGSGSAPRRWALQEVGCEVALCERGENKKNDMVLVWPLLSPM >OGLUM02G22710.3 pep chromosome:ALNU02000000:2:23830421:23837967:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEVCGAVGAPADLLHHLVLLHGSGSGSGSGSAPRPGGLNCPCRYLYLETNRV >OGLUM02G22710.4 pep chromosome:ALNU02000000:2:23830421:23838308:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEVCGAVGAPADLLHHLVLLHGSGSGSGSGSAPRRWALQEVGCEVALCERGENKKNDMVLVWPLLSPM >OGLUM02G22710.5 pep chromosome:ALNU02000000:2:23830421:23838308:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEVCGAVGAPADLLHHLVLLHGSGSGSGSGSAPRRWALQEVGCEVALCERGENKKNDMVLVWPLLSPM >OGLUM02G22710.6 pep chromosome:ALNU02000000:2:23830421:23838308:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQITPRTELMRGICTSSTQPSLYSTMYGLLRLAIRDICAANSKQSIKIKSSNQTICPVLPNIQSDATANNSWVQHVCSSVGNEQELTRVSVWFTSWYAVRWNLSFCLDSRIFFITYLHHHRAKKEQKHQGSLKKTKEEEERGAEEGGEPLALALVDDEEMGPARGGV >OGLUM02G22710.7 pep chromosome:ALNU02000000:2:23829655:23834524:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPLLSHCNGYAPTSARVCCRDCDWFLTTAAAEVTVEHTVAVQIVKGQEQLNANYSQN >OGLUM02G22710.8 pep chromosome:ALNU02000000:2:23829655:23831733:1 gene:OGLUM02G22710 transcript:OGLUM02G22710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDPLLSHCNGYAPTSARVCCRDCDWFLTTAAAEGSIPGHGTPYRSRPYRRLSGRHSSFPKRSENASKLLKPLLLQQLGAKLE >OGLUM02G22720.1 pep chromosome:ALNU02000000:2:23831566:23835115:-1 gene:OGLUM02G22720 transcript:OGLUM02G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVVEQIGRGAYGSAYLVVHKGERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSACIVTSYCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTKDNNIRLADFGLAKLLMEDLASTIVGTPNYMCPEILADIPYGYKSDIWKQIVKSMLRKNPEHRPTAGELLRHPYLQPYLAESCSCSPIYLPVKPTKSNLGDKQQSRKPGSGRKRIIKTNGSSEALQTAAEQAVDTRDNSTYISDVSTVGTQDACISQVSVDPQARNKAYQNIDDLTLFQQIEENLMTITDRQIDEAIFLKAVRTSSTVDVVPVTGAIQKPNEAPIPKEELTIGVVQEQRKEVKAHTHQGSKPGAGDVPIVTEESSPKSAVKLAHSDSTPAEWDHLNIVQQRADALESLLELCAKLLKQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMTPPKLEGSPKLT >OGLUM02G22730.1 pep chromosome:ALNU02000000:2:23878959:23883091:1 gene:OGLUM02G22730 transcript:OGLUM02G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVGNSGGRAALADPSGGGFFIRRTTSPPGVVAVKGAVKPLARRALPPTSNKENVPPSWAVTVRATPKRRSPLPEWYPRSPLRDITSVVKVLFDAWGAIEFACSSDHAVERKSRLGNAAARQQIQLREDSSRSVDSATPVQKEEGVPQSTPTPPTPKALDAAAPCPGSTQAVASTSTAYLAEGKPKASSSPSDCSFQTPSRPNDPALADLMEKELSSSIEQIEKMVRKNLKRAPKAAQPSKVTIQKRTLLSMR >OGLUM02G22740.1 pep chromosome:ALNU02000000:2:23888583:23896430:-1 gene:OGLUM02G22740 transcript:OGLUM02G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEVTEISERSICFVSVLCSFLSWWSGIRKGVPKQLRRGFDTVVSIWKERNNRVFYQQQRSWPDVARAAAEEAALWRSAGLLPELTISIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANRFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >OGLUM02G22740.2 pep chromosome:ALNU02000000:2:23887685:23896430:-1 gene:OGLUM02G22740 transcript:OGLUM02G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANRFIPSSQRVVSCAAHPFCHTILAGTQLIQTVVVLGS >OGLUM02G22740.3 pep chromosome:ALNU02000000:2:23888583:23896430:-1 gene:OGLUM02G22740 transcript:OGLUM02G22740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12920) TAIR;Acc:AT5G12920] MEKFLVAAPPPSGDAPAAPVPPRRHRWSRVAAELDGRIDARFRHRESVRLRDSFSEIRTFSHNYYMEGQERCTTYMNRVVNDSVLGFQAVREGISAMEFDKKGIYLASVTASGCLTVHDFETLYCSTYGPSRGLPDESSNYLLHISNSMPLCAVRWNPANQDEIVCVSRQTDMVLLFDIGCVSSTPTEILRKGRSRYPVLSEFRKGLTDVAFSSDDKSWLFASGLDGAVFMWDMRLSKKHCLELIGHPESQFSSVKLNIDNRTVFAATKEGTVHAWDLRGGRASAAFQSHNEVQQLSSVKISTLLGKIPSLKDQTNIVSSEILSIDFNPSCSYQLAFHLDNGWSGALNINTLSVSHLHCPPPDWLEHMNFMWQKLHRKPTWLPTSSIYAVGSASNTVGMHLLDFHPDTSSACHVDYNEEIRGSDEKKPAANRFIPSSQRVVSCAAHPFCHTILAGTQFSSLLVLSQKQESIKNSE >OGLUM02G22750.1 pep chromosome:ALNU02000000:2:23896461:23897315:-1 gene:OGLUM02G22750 transcript:OGLUM02G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSPRLQTGLGFPHCGAASAAAGGVGSGGSSSGSAGGGRLPFGLARVAISSPQDIEAFV >OGLUM02G22760.1 pep chromosome:ALNU02000000:2:23898637:23900325:-1 gene:OGLUM02G22760 transcript:OGLUM02G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUC6] MAPTSKLSQGIKRASRSHTYHRRGLWAIKAKNGGAFPKAGKPAAAAEPKFYPADDVKPRAPSTRKANPTKLRSTITPGTVLILLAGRYMGKRVVFLKQLKSGLLLITGPFKINGVPIRRVNQAYVIATSTKVDISGVKVDKFDDKYFARDKKAKAKKTEGELFETEKEATKNLPDFKKDDQKAVDAELIKAIEAVPDLKSYLGARFSLRDGDKPHEMTF >OGLUM02G22770.1 pep chromosome:ALNU02000000:2:23901647:23904301:-1 gene:OGLUM02G22770 transcript:OGLUM02G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRSSDAAAAVEKPDEFAPQRPERTLFGFKEKPADDEEAEAEPASEGEEDAAAAAAAAAPFRNKEKVLVTCSRRINYRYRHLMQNVVSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLITFSTNFDEQPHWQLVKEMLTQIFATPKDHRKAKPFHDHVFVFSIIDDHVWFRNYQISVPHNEIDKVDKGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVSPNQIRALEKRKKAGKYAKKVKAKVRRKMHEMENTLEPDEFAELWKGED >OGLUM02G22780.1 pep chromosome:ALNU02000000:2:23908753:23914167:1 gene:OGLUM02G22780 transcript:OGLUM02G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCDKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNLPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFIADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >OGLUM02G22780.2 pep chromosome:ALNU02000000:2:23909233:23914167:1 gene:OGLUM02G22780 transcript:OGLUM02G22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFNPFGGKVQNGLEGRTIDVGNIKITVRNAIAQGGFSCVYLASDAMHPSKQYAMKHIICNDSELLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCDKSLVSAMESRGTGYYEEKKALLILRDVCNAVFAMHGQSPPIAHRDLKAENVLLGLDGAWKLCDFGSTSTNHKCFDRPEEMGIEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSAAVTKLIKDMLEASPNDRPDVTQARALIDWPFISMILGAYKRTHVMPRRNLPPPPREQSNSSLSHGSSKAGDAPLGAFWATQHAQGSQVADNRNSLFDEEPIKPSPSSKHNQSRGDISISAPGDRHGRSGQAVRGTPSNSVSNNGLASGANTNLFMESQSSLKTKESQPKSEKDPFNSFIADFEANNLHSGTNVASKESELEAEVSNLKEQLKKTSSEKAEMTAKFEKLSAICRSQRQEIQELKRTLAETTPPSSKVSSRLPDSGPQRKEKIEGTVWELEQGMLANSLPSSEAKTWQAFPDPKSQPAQVRPKVDHSTNGAQNLARNANSRQSPDGWGFGPDSFRTSPGSTAAQINRPTAQGSSSQRFSSGAAKKVEQPSGWAGF >OGLUM02G22790.1 pep chromosome:ALNU02000000:2:23917337:23918537:-1 gene:OGLUM02G22790 transcript:OGLUM02G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIPVIDLRLAGEAPGEAARLRDAARRLGCFRVSGHGVPRELQAGMRAAVRALFDLPGDAKRRNADVIHGSGYMAPSAANPLYEAFGLYDAASPADVDAFCSCLDAPPHAREAIRSYAEKAHELVVDVAAKLATSLGLDCSFGDWPCQFRINRYNYTPDTVGKTGVQVHTDSGFLTVLQEDDRVGGLEVADPDTGEFAPVDPLPGTFLVNLGDVATAWSNGELHNVRHRVRCVAGVQRVSIALFLLAPKDDVVRAPEAFVSAERPRRFRDFGYDDYRRLRQSTGEHAGEALARLAA >OGLUM02G22800.1 pep chromosome:ALNU02000000:2:23919388:23923609:-1 gene:OGLUM02G22800 transcript:OGLUM02G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42370) TAIR;Acc:AT5G42370] MAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQPLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVGGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLVRHRLALLLFGTIAVLVIAVVLLGITCLSAANIFTKKSKME >OGLUM02G22800.2 pep chromosome:ALNU02000000:2:23919390:23923630:-1 gene:OGLUM02G22800 transcript:OGLUM02G22800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42370) TAIR;Acc:AT5G42370] MATSTRALLLLPLLLLSRSLSLRADPGAAVSRIAFGSCANQSAPQPVWEAVVGFDPQVFIWLGDNVYGDNKRPFRVFGRERTVGPWRNVPRFYPSTEAELRRRYEMAKAKPGYAKLRERAQVIGTWDDHDYGLNDAGKEFGGKVTSQRLLLDFLDEAEDSSRRQQAGVYASYMFGPEGKRVKVILLDTRYHRDPLSSDGAVLGDPQWQWLERELHGPRSEITIIGSSIQVISNLSATTGPLFYVESWARFPRERERLGDVHFGEISRYDCGAQYPLYDITSSGLTQSVENSVPSVFQPLMRLVALLTPTTLRVFSPNCRYKSCTYGQPNFGAIEIDWNAVPPQIKLELRDVEGNSVGGVEFPISELDPSKAHAITKQGHSYQRHCALETELPWLVRHRLALLLFGTIAVLVIAVVLLGITCLSAANIFTKKSKME >OGLUM02G22810.1 pep chromosome:ALNU02000000:2:23926442:23932392:-1 gene:OGLUM02G22810 transcript:OGLUM02G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein PMS1 [Source:Projected from Arabidopsis thaliana (AT4G02460) UniProtKB/Swiss-Prot;Acc:Q941I6] MAGGSSPAIRPIGKSAVHRICSGQVIFDLSSAVKELVENSLDAGATTVEVTLRSYGEDSFTALALKHHTSKISDFGDLASVATFGFRGEALSSLCALGKLTVETRTKDEPVGTRLEFAHSGVVTGERKMARPVGTAVTVEKLFSTLPVRSKEFSRNIRKEYGKVISLLNAYALIAKGVRLVCTNTVGKNSKSAVLRTQGSSSLKDNIITVFGLNTFKCLQPFNVTISEGCQVDGFLSKPGPGSGRNSGDRQFFYVNGRPVDMPKVSKLVNELYRSSNAKQYPVAVLNFCIPTTSYDVNVAPDKRKIFFSSEHAILLSLREGIENLYCPQQCSFSINSVEDPGKEMDPAIDGSDEDMCLTEKENVSAPENDDDMDETDSDDEVTPENQKVPSSVTTRVATGSTPKDVSPLSRGPPAQLDRSTLLSAYRYEQREKTPTRVKSYPAQANHVRTGLAAKSSPSSAVQPSIMKFLSQNKRKHEDSCNLISEAPVLRRGTCLEQVRRTDLGVNSPTALTSRVSNIPEVNAPQGTNPLRHHSLQSFVPEMPEDSPQHSEPPNIVSHRDEVPQLRPCDVHATESEVDNQHDPCHSKFGAPSRCSEVEPQNKLTNISLPDAHYDGHDTAAHSGQSSYPVMQFTLVDLRRRRRHSFMISHAKKGSFPEKSTRCYKAATLDNYVPDNEEGKSNSLAAATSELDRLFSKDDFGEMEVVGQFNLGFIIGKLDQDLFIVDQHAADEKYNFENLSQSTTLNIQPLLQPLRLDLSPEEEVIELISMLADSQGDCSIISSYKLDRTDSICPSRVRAMLASRACRMSTMIGDPLTKTEMKKILKNLTGLRSPWNCPHGRPTMRHLADLHAIKTEGSKATFS >OGLUM02G22820.1 pep chromosome:ALNU02000000:2:23935449:23940934:1 gene:OGLUM02G22820 transcript:OGLUM02G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAKQMAEAPGKIESMRKWVIDHKLRAVGCLWLTGISSSIAYNWSRPNMKTSVKIIHARLHAQALTLAALVGSAMVEYYDAKYGTSGPKVDKYTSQYLAHSHKD >OGLUM02G22830.1 pep chromosome:ALNU02000000:2:23939319:23941485:-1 gene:OGLUM02G22830 transcript:OGLUM02G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: response to oxidative stress; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Folate receptor, conserved regio /.../erPro:IPR018143); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G27830) TAIR;Acc:AT5G27830] MSPTAPSRTGRALALLPVLVLLLLVCSPAASAGEQKGVCVSPGGRFPAFSSEGKRPGRAAKGRRDLALCRVFRQNTCCDVSQTFSALLSVRKLASTGEGSQECLHLWELLECSICDPRVGVRPGPPVICASFCDMVFKACSEAYFAIDVKTQALSPCGLGDILCGKAHKWVSNGTELCRSAGFSVQALETTSGGVDDTFCYGGKASFDAISSSWTSSKERPVLSDVASWNLEDFRRWAREMPASERVSWAIGGMVLTAGLIFISKRKSYIPGQKQAAIARNVRKLESRVNPQQLRRN >OGLUM02G22850.1 pep chromosome:ALNU02000000:2:23949653:23950279:1 gene:OGLUM02G22850 transcript:OGLUM02G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVDGLTMASSRADGREARSSAAAVTWSWRAPVATPPAPRAPPVGAPPLHSWRHPITLPSTLSGTGANTVSRAEKPRRPAVEELPRRRGDEHRAEQRAGLLDTEQRQRPLASSASAERSSKGPSRRRAVAVWNSLVPSGDGDGRISAAGFSQVGGLDEASPAWENNGGHTSRRYNISQLFSSPSPHQVGPVPEFFARGVRSFGCD >OGLUM02G22860.1 pep chromosome:ALNU02000000:2:23951197:23951814:-1 gene:OGLUM02G22860 transcript:OGLUM02G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSVLLETHKNDHHPNMRPPQIISKATLHSHPKTMSSCSPATATTATMSSFLQRCFLCRRELADGKDIYMYRGDRAFCSVDCRCKQIFMDEDAAAGGGNCAAVRVGRRRAAVPREQTGAGGFAY >OGLUM02G22870.1 pep chromosome:ALNU02000000:2:23978325:23987549:1 gene:OGLUM02G22870 transcript:OGLUM02G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 2;1 [Source:Projected from Arabidopsis thaliana (AT3G26570) TAIR;Acc:AT3G26570] MSQSSPFFSVARAHAGAGGRAAAAALLLRHPVAQLPPRIHGLRYYPSAIVSPAKTLNSHLGLPRATISSFANADNGSSGQADATESEEEQNGESELSEMAKAFHISPRMAMSISVVIAFAALTVPLAMQSVVFHGTNKMKALAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGIVFGGVNAVFWSSLARVSSSWVISPLMGAAVSFIVYKGIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKTFSIAILQALACGAIGAVIVNRVIQKQLGDLLSSEAEKIASADKANAQQVGFLSDIAGPTGAQLQIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFARGLNRVRAETVREIVASWLVTIPVGAVLSIFYTLLFTKILAYFM >OGLUM02G22880.1 pep chromosome:ALNU02000000:2:23984979:23994144:-1 gene:OGLUM02G22880 transcript:OGLUM02G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUD9] MLQFPALMRQWPSPPLIPASTLLPVPATTQEDELLLAMAESDLEDKGCDCLGLIKYFDAHFTNFTGGVETIENVVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTIANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGEQRKYGTTIAPSLYAPVHQHFFVARMDMAVDCKPNEAYNQVVEVRNTRTVNRTGQPTGYKLVPGSSCLPLALPEAKFLRRAGFLKHNLWVTSFKNDEMFPGGEFPNQNPRINEGLATWVKKDRSLEETNLVLWYVFGITHIPRLEDWPVMPVERISFMLMVRDSMRFVEVVLLEPEKTVVALADAYFFPPFQPSLLPRTKNAPIIPTRLPARRARLVVYNKMTNETSIWIVELSEVHAATRGGHQRGKLISSEDAMEYAECEATVKSHPPFIEAMKKRGVDDMDLVMVDPWCAGYYSDADAPNRRIAKPLIFCRTESDSPMENGYARPVEGIHIVIDVQNNTVIEFEDRKFVPLPPPDHLRNYTPGETRGGVDRSDLKTLIINQPDGPSFRVNGYFVEWQKWNFRIGFIPKEGKPTGDVKEEYDAEAEDDDADNVEESDGDDFDQETG >OGLUM02G22890.1 pep chromosome:ALNU02000000:2:23996497:23999349:1 gene:OGLUM02G22890 transcript:OGLUM02G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPQSHPILAYVLSRLPSLLPVSPSLSTPRARDIEQPSPRAPSGAAEFDLVSRMPGLRHPSVLSAMTRAVADVSSARDALRLLGPRPDHELVDSARAFLRSHAATASAAEEAEEEEEDEKVAKSREVVRLDEAHESYGGLLREAEERLDRVYRTAMRGRDMQVVAAAHGGGGEEEAGVVDDEVVRVLRDAEEGKAVERLLLADRQLRHLPEQLGRIRGLLVLDVSRNQLKNVPDAIGGLEHLEELRLASNALVSLPDSIGLLTSLKILDVSGNKLRSLPDSISKCRSLVELDVSYNVLSYLPTGIGQEMARLEKLWVHLNKLRSLPSSVCEMRSLRLLDAHFNQLRGLPAGIGRLAALESLNLSSNFSDMRDLPASFGDLLGLRELDLSNNQIHALPDCFGRLQRLERLRLDQNPLAVPPKEVVAGGVGAVKEYMARRWRDARAEEERRGSAVAESPRVSTPKEWLVRSVSSLGSWVSDVTRYGAGQDKAAAEEEEDAYLQQNL >OGLUM02G22900.1 pep chromosome:ALNU02000000:2:23999979:24005079:-1 gene:OGLUM02G22900 transcript:OGLUM02G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSSPMYEGLASRPDEWDVVLKVKYGETLKRFGGYVQGPQFSLNLSALRSKIASAFKFGSDVDFILTYTDEDGDIVMLDDDDDLHDAAIHQKLNPLRINVQLNNSHTAAPQAKQQDSDNIPLRSTTTEDPLAHIKSVIDEVLKPISMKSIQEPVPETLAKLSHEVLEAASPQLAELIKPFVKLVTPSNNNPSNGHADGSCSSSTGLPQTQVDPKTNDEPKIDTSLGSQPLDTQNSKSSGARGLKTVSVEAPATSGVKSSQGQQASLYPSIEELLFSPFLPNSGDDKSASKGISDAQSKGKSVMTSATPPTPPAAPAFRPAPPIPSLNDWSQPPARGSTFYPSIWQSEADPKANSDSRWRVPLCRAGHPFRPHAPLSRPPPPMPAPMSYGPSPHFPYPGRLLSSGHLHGDLGNNIENSPARTFHRWIQCDGCGVQPIVGPRYKSKTKEDYDLCDACFHRMGNEVEYTRIDKPLLPQRLLRDPTLCRKIHSRAAMKSKREKLESRFILDVTVLDGTLMAPSTPFTKIWRMHNNGSIMWPLGTQLIWVGGDQFALQTYVPLEIPVDGFPVDQEIDVAVDFVAPARPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVSNNRTAAINLNLPPESNITNKSNLIDVNIEPVDQVFNQHVNSTNKELLEHLIHHQIDEPKNPEPAPLPVPIVSSTTSLHPIIDVDVPSSSTAAAFVPVFDEPAPEPAVTPVPPTVNVPAGNAPASVGASSSDHHGIDNLTEEKLLKELEEMGFRQVDLNKEILRQNKYNLEQAVDDLCGVSEWDPLLAELQEMGFEDTEINKEMLEKNGGSIKRAVMDLIAREKKDQ >OGLUM02G22910.1 pep chromosome:ALNU02000000:2:24006687:24008086:1 gene:OGLUM02G22910 transcript:OGLUM02G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGTKGTPWEGFKASNLTANTPIIVYEAGAPGGRRRVSAAGDGQRGGGNGIDGRRSGGGGRSCGRAGGGATRGWAAGRGGALDFLHNSDAIYVMRDGVVAQSGRYHDLLRAGGSDFAVLVAAHESSMELVESVVPGPAPSPNDLLLSYQQPAVRA >OGLUM02G22920.1 pep chromosome:ALNU02000000:2:24009030:24013815:1 gene:OGLUM02G22920 transcript:OGLUM02G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40530) TAIR;Acc:AT5G40530] MEPLQEAAAAAAGGRKRRRRGGGRNRRKHQASSSSGAAASASPPSPPAKRQRGDDAAPKGWGSKPKPASLLDKMRARLSGGHFRMLNEKLYTCSGQDAFDYFTNEPDLFDVYHAGYREQMSHWPEQPVNVIINWLKSHSASWTVADFGCGNAAVSKNVKNKVFSIDLVSEDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPSYIEEANRVLKPSGWLLIAEVRSRLDPNTAGADPDKFCEAISKLGFSLVSKDAKNKMFILFHFRKKEKSKVVKNIDWPQLKPCLYKRR >OGLUM02G22930.1 pep chromosome:ALNU02000000:2:24018333:24024107:1 gene:OGLUM02G22930 transcript:OGLUM02G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSEFYAGEGLQIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKIVHKGDTPEEMVKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVGLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPEVSVTAVHICQYNSFLSIFANPEIYPQLYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPEELSEILTTCWKEEPNERPNFTQIVQMLLHYLSTLSPPEPLAPPRTFSSENAILPPESPGTSSLMASRGDLGDTPKGKMEDKPRGFFFCFSQCY >OGLUM02G22940.1 pep chromosome:ALNU02000000:2:24027811:24029527:-1 gene:OGLUM02G22940 transcript:OGLUM02G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHNGGTRESSDRLALLRPSKCPTARTDQYPTGQ >OGLUM02G22950.1 pep chromosome:ALNU02000000:2:24040152:24041189:1 gene:OGLUM02G22950 transcript:OGLUM02G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQHAMDEPANAQLYGHAHAHSHHHRSKRPSPGGGGGGAASLGADGGGGGGSLSGTRYRGVRRRPWGRFAAEIRDPASKERRWLGTFDTAEQAACAYDVAARAMRGTRARTNFPVPAAAGFPGGGGGGCWPWVNIPPQGAAAAASHQQPLNTFLLHNLLMSSSPHGCLLLHHAGHGHGHAHSHSHSHSRAHNPSTRPPTSAPPPPPPAAASSATTAPATTTGAAVTSAPGADDDAWGFLLRREPPEAGLLQDVLHGFYPTRRPHDDAGPAPKLERPYEATSSYRVSSPWGAVEDCDDGDGDGDDDYRGFPMMPQGLLEDVIQCPPYMEVLAAPSAAVGRVSRRG >OGLUM02G22960.1 pep chromosome:ALNU02000000:2:24049323:24050847:1 gene:OGLUM02G22960 transcript:OGLUM02G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVSAGRKATTIGENDTCENKDCRVGAGRKAMAIGEDDTCENKDCRVSAGRKATTVGENGTCENKDCRVGAGRKASAVEEDNACENKEKRRKMMVAKATSPSSLDCPKLGILIEPFLIPLSSSSWMWSSLGSWLLLPWMARTCSTSMLVGWLARPHSRTITAGTARRPPLAPLSEWILLVGNSFRGSEKYCVFESPAGFPKPPGSGYRALARLSS >OGLUM02G22970.1 pep chromosome:ALNU02000000:2:24059651:24062680:1 gene:OGLUM02G22970 transcript:OGLUM02G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGTRPDTFFSNESVRSVCTEVATDLQILVGDCLYQLHKFPLLSKCLLLQALCAESGCGGNGGDVIELPGFPGGVEAFDACAKFCYGITVTVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALAVLNSTRHCAPLCEDIGLTSRCVDAVAALIASPAALPAHSSSASPWWAHDVAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGIVVGADQPFDGAGNGGDGGNASVKQIATRHRLLLEKIVSLIPAERDAVSCSFLLKLLKAANILSASATSRAELVRRVAWQLEEATVGDLLIPSLSCVSETLYDVDAVAAILDEFALRHAAAPPPPVALAVSPDDDDDSPARSGGHRRSRSAESVGFDGAARRSSSAAPVSPDALVRVGRLVDGFLIEVARDPNMPLDKLLAIAEAVPDTARPEHDGLYKVVDTYLKVHSEMSKSARKRLCRVINCRKLSDKACAHAAQNELLPLRVVVQVLFFEHARAAAMAGGAHAAAELPGSIRALLQSKSSGSDQEDDAADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLEEDDDHDGGGGDDEEFARRQQAGLARSASLRFRAFCAIPAARPKRMLSKLWPLARGVTTERH >OGLUM02G22980.1 pep chromosome:ALNU02000000:2:24078049:24081092:-1 gene:OGLUM02G22980 transcript:OGLUM02G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSWLITGRGVAKKIRNAPHCSSRPISELGAEAQMECPNCKHVIDNSDVAIQWPGLPAGVKFDPSDLELLEHLEQKIGLGGSKPHTFIDEFIPTIDNDEGICYSHPENLPGMKKDGTSGHFFHRVSNAYGCGQRKRRKISNCDHVVSVEHVRWHKTGKSKAIVEKGVTKGWKKIMVLYKSSQRGAKPDKANWVMHQYHLGAEEDEKDGELVVSKISYQLHGKQIDKSETGNADEESDVFAARVGPKTPKTNTPQPCRLKNSPCETENYDPILEDQDEEESNIPIVSLKDDAGNPAWCAGETQAAREAVQACPNLDESLRCHEVLDSFYHETLLPSDRPILSQGGNEILDRNLNAVYGLPDLYNVDLGTPPDFQLADLQFGSQESIGNWLDSI >OGLUM02G22990.1 pep chromosome:ALNU02000000:2:24085349:24088058:-1 gene:OGLUM02G22990 transcript:OGLUM02G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGYYNCKKNDGGICGGVCGGSEHGSKAILSMSRLKCALRGFDLRALLILLIGVPALIFIIYVHGQKVTYFLRPIWEKPPKPFNVLPHYYHENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDTPEVLHLQLRNYLYSFQFLLDDKSWRASIHRYRAGKTRYAHFRQTDDLLADSGWHCSFCFRYINDFVFKMQAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMDQYRYLLPGNCMRESG >OGLUM02G23000.1 pep chromosome:ALNU02000000:2:24087783:24100817:1 gene:OGLUM02G23000 transcript:OGLUM02G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWWWERRRSWEGRGGRRTRQQRGACGDFVNLKICQPSLSEVLIGVGCEVLVVKDIAAQSSEDAHKRRIYEYLLELVRQMNLYMMFAGQPILPAREILPAPDASKPRTPPNPNLEQIPPIPRKQSSKPRD >OGLUM02G23010.1 pep chromosome:ALNU02000000:2:24097945:24101126:-1 gene:OGLUM02G23010 transcript:OGLUM02G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGYYNCKKTDGICEDVCDSEHGSKAVFSMSRLKCALRGFDLRALLILLIGLPILIFVIYLHGQKVTYFLRPIWEKPPKPFKVLPHYYNENVSMANLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGLKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEVLHLQLRNYLYSFEFFLDDKSWRASIHRYRAGKTRYAHFRQTDDLMADSGWHCSFCFRYISDFIFKMQAYSHVDRIRFKYFLNPKRIQHVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPAYLLEKMSYPALILRAIIILLSFDIFAVHYRKHMYNAVGVWSQLTVCKQPESLQVGKKCSVFTAFYHFILLVMVNELLGWQVQLGKLSHSLIYIFLVIVRVRLFVPLSDMLRRHFVGRSGLEQAVLVGRPLQPD >OGLUM02G23020.1 pep chromosome:ALNU02000000:2:24112652:24117112:1 gene:OGLUM02G23020 transcript:OGLUM02G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAAAGGEDDVELLKAVAQAWHAQSGNPRALEAAAIRDRDTRGGGGGERAWDFAQSLWDTYELVAVARRLESGLVLADHHPGAAAAQERAATTREGGGGGVKRARESSRSLRSIFLRSSWSSSRRTANAKWQMMSPVQRSA >OGLUM02G23030.1 pep chromosome:ALNU02000000:2:24113698:24117510:-1 gene:OGLUM02G23030 transcript:OGLUM02G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGDEDWEICNCDGFVYKRRRVLHPPDLEDAAAAAATSSAPGPPPEAVLRRRRRQALLRLRARYLDELSRWESLSSDVLAPLPAAPAADLPPRPPSDPVAASPPPGSSSSSSDLTVIDGLLAQAEVTEQLLKRLTEVCDEIDEFCHAHEAALVDAVTDLPVWGDPRELMNSLCSPAELPVCGDPREVMSSLCSPGEKPVSDIKLISELVTSSATLHWLFCRCNMANSGTSTNRSIWDANGIMPQAQAAPACITPFAASCNNHSDELKLCLIFLQLHPISKELMLGHIVLHLMHMPTLCLTSRLMGMLANHLQNAIYKNPAYPDDHDQNAISNNPAYHHAGYDQKMPSTTIIPTLLGMFRMSTPTIMATRQLMVAAAPRLMR >OGLUM02G23040.1 pep chromosome:ALNU02000000:2:24120600:24121214:-1 gene:OGLUM02G23040 transcript:OGLUM02G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLDFRYLDEGLGGERGKRKRREAEEAEAAAADSMDLDADADAPRPSKLRAVPSLSDPSKPASFGQPTYDGVIAGRVSGRRWKEARTRRASALAASRKPTPLEQRARDKSLKRAYQARVAELKEEIRQSKAAKRKQREEREKRKKENVLRSGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSDANRRMQVPGLDN >OGLUM02G23050.1 pep chromosome:ALNU02000000:2:24122115:24125868:-1 gene:OGLUM02G23050 transcript:OGLUM02G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRLLSPTPSPSLSPPHPLAAAAVSRRTVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFESYEVRGDMPTVPPEVIDSIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNVKVVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGSSTTQEVTDAVIAHLD >OGLUM02G23060.1 pep chromosome:ALNU02000000:2:24127593:24128996:1 gene:OGLUM02G23060 transcript:OGLUM02G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog E1B [Source:Projected from Arabidopsis thaliana (AT4G20360) TAIR;Acc:AT4G20360] MASLASASASTSLVFSTSSSKPRLGSSVGFSSPARFRRTAAAAASRGTGRRAGLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPKIVVFLNKKDQVDDEELLQLVELEVRELLSSYEYDGDEVPIVAGSALKALENLMANPAIKRGDDEWVDGIFSLIDSVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKVGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPASITPHTKFDAVVYVLKKDEGGRHSPFFPGYRPQFYMRTTDVTGNVTKIMNDKDEEAKMCMPGDRVKMVVELIQPVACEQGMRFAIREGGKTVGAGVINTILK >OGLUM02G23070.1 pep chromosome:ALNU02000000:2:24132566:24138473:1 gene:OGLUM02G23070 transcript:OGLUM02G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLQAIFVQHHPTRTSTPHTEQLENSKPTHRVTAHGTARSPPRTPHAFAASDAAKSQDSHRAARATPTHAFTHPPTTTTRPPLASSYASSRLVPVSSRLLSASASPTQPPPRHHSSFTLAERQTLDLVPATAMSKAWGGLGGAGAWALDAERAEEEERESAAAPAPSAGFPSLREAAAGAAAGKSKKKKGTTLSLSEFTTYGAAAGRRPAAAAAAEPKGLTPQEMMMLPTGPRERSAEELDRSRLGGGFRSYGSGDRRGGPGRDADLDMPSRADESGNWSLNKKSFTPSPADSGARSRYGSLGGGGGGFPAASSFGRADDDGDWSRGKKPMPMPSRYPSLGSGGGGGGGGFRDSPTSTDSDRWSRAAPLPPHNGERERPRLVLDPPKRDASATPTPPPAEAARSRPSPFGAARPREDILAEKGLDWRKMETEIDHKTSRPTSSQSSRPGSAHSSRPGSPGSQTSAVGSEGAPRARPKVNPFGDAKPREVVLQEKGKDWRKIDLELEHRRIDRSETNEEKDLKEQINLLRVDLKETEANISDEDKKGLSEKLSQMERELERLTVELDNKVRFGQRPGSGSGKVTAHLSNSPDESQITESTEQPRSRSSIDQNPKPAEERWGFQGNRDRGSFGGNRNTDRSLTGQRW >OGLUM02G23080.1 pep chromosome:ALNU02000000:2:24144625:24147229:-1 gene:OGLUM02G23080 transcript:OGLUM02G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24750) TAIR;Acc:AT4G24750] MAAPPSPLGLARRVSGAGAATPAAAWSSSCRSRRLRPRLLPSKRWSGVVRMGAAVGGEQEGEDEEVKLAKEMAAARRRWETLIREQKIKTLTPREAGYTFKLTDKVLLDVRPSNERQKAWVKGSTWIPVFDVDTSFDLGGAGKKFTNYVMVEEKFSKDTDIIVVCQKGLRSLAACEQLYGAGFQNLFWVQGGLEAAEEEDFEREGPQPFKLAGIGGVSEFFGWTDQQRAQAVKEGLGYRLIFTGRLVGALVLVDALFLGAQRIGPLLQELQSR >OGLUM02G23090.1 pep chromosome:ALNU02000000:2:24149922:24157361:-1 gene:OGLUM02G23090 transcript:OGLUM02G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo defective 1745 protein; Putative uncharacterized protein At1g13120 [Source:Projected from Arabidopsis thaliana (AT1G13120) UniProtKB/TrEMBL;Acc:Q0WPZ7] MRVEEEDDTDEDDYDSDGESRALVAKATGARFSCNDLESSDAEESEDEMDGRIAPYHLMEKRSLEKSILLELEREHHLKVQEEVRSKLSALEVCHQSEIQRTVSAFARLQKYAESRKEIDRRLDVHFQRKIAEVLDKHLFLVQRDHEQKSQIVERRIRDDAALEEAKRKEQAMKDEKIRQERAKQEAEARQKAAAKLAAEAQKAAAEAAAKEAAEAQKAAAEVSKSSQNSQNNVAGTMRANKSEIKSELPGIKVFADHSALEAELRRRALLDQVPANIHSSKEFSRYDRQIAKSIGKLMPTTDSVKARAGELIKALDGQDCPRPIACRIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTSQVPDAMDYLLAEFHRVCMYTVPKHLHALNAQVRNRDYYRLIGYQEENGQLESTESYLTYVAAYVKLYAAMIQTEIRGVRHPHGLAEGWKWLAMFLNTLPATTATACALHAFLKVAGFALHKKYGSQFMKLLDVILRCFLPALKEQGSRIQAEAASNLQNYLTDKVYLEEPEGQYLAQQLLSKELFT >OGLUM02G23100.1 pep chromosome:ALNU02000000:2:24159478:24161510:1 gene:OGLUM02G23100 transcript:OGLUM02G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNFSRRARGELQSTRDPNSPPNLLGVLLLPRPDTEQEHARTYGARSPHCISIPAIGPAVTMRRRVALCLVLFAFAGLHAAAVEAVTLSTSSRWIVDDEAGGRRVKLACVNWPSHLEPVVTEGLGMQPVDAISKKVASLGFNCVRLTYPIALATNASLSSLTVRRSLLAHGLAGAVAGVEANNPGLLDLTLIESFRAVVDSLGESGVMVILDNHVSRPGWCCADDDGNGFFGDRHFDPDAWVRGLGAMAALFAGVPNVVGMSLRNELRGPRQNADDWYRYMQMGAEAVHAANPAALVIMGGLGYDTDLSFLAARPVDVSFAAAERGKLVFELHWYSFADARAWESEDANEVCGRVARGVARRGGFLLDAGFPLFLSEFGADTRGGSRKDDRYLPCAAAVAAELDLDWALWALQGSYALRQGVAGADEVYGVLDWSWSKPRNATALSRIQSLQRPLRGPGYDDARPYTVLFHPLTGRCVVRRAADDAAAAAATLELGRCEDTDAWAYTQPASTLAMRGAGRGSPPLCLRAEGSGRPARLATSAAGGCRGDALSTWRLVSGSTMHVAVNATTTTTPSRDGGGGLLCLDVGDDGRSVVTNPCRCLDDAAAGECDPETQWFKLVTSTRSPATGAAAAATVARGLIAA >OGLUM02G23110.1 pep chromosome:ALNU02000000:2:24164112:24165758:-1 gene:OGLUM02G23110 transcript:OGLUM02G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASMAAAAAIAGAVREHVRASDLAVAGAVLLAFSAAVSAVRARRRGAPVLWPVVGIVPTLFVHRDDIYEWGSAVLLRAGGVFPYRGTWGGGSSGVITSAPANVEHVLRANFGNYPKGPYYRERFVELLGGGIFNADGEAWRAQRRAATAEMHSSRFVEFSVRSIEQLVYGRLVPLAERLSGGDGSSAAAVDFQEVLLRFTFDNICAVAFGVDAGCLADGLPDVPFARAFELATELSLLRFVTPPFIWKAKRLLRAGSERRLVEATRAVREFAERAVADRRNEMRKVGSLRGRCDLLSRLMSSPGGGADYSDEFLRDFCISFILAGRDTSSVGLAWFFWLLAGHPDVESRVVGDVLAAGGDIKRMDYLHAALTEAMRLYPPVPVDFKEALADDVLPDGTPVRARQRVIYYTYAIGRDPASWGDDAAAFRPERWMRGGAFAGGESPFKYAVFNAGPRLCIGKRFAYTQMKTAAAAVLSRFAVEVVPGQEIKPKLTTTLYMKNGLMVRFRRRSPPPPSPPPRHVVADDDDDDVAAGRHVAVGSCNSNHL >OGLUM02G23120.1 pep chromosome:ALNU02000000:2:24169883:24173006:-1 gene:OGLUM02G23120 transcript:OGLUM02G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKVTDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKKDRALIALKKKKAQEELLKQVDTWQMNVEQQLADIELASKQKAVFDSLKAGSAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAALGEQLSAEDEEAVMAEFENLEAQLAVESLPDAPVTEVRPEEKSETPAGTEAAEDIDEVIELPDVPTKAPERPEAAEKTKGIFVSMDI >OGLUM02G23130.1 pep chromosome:ALNU02000000:2:24174424:24175377:-1 gene:OGLUM02G23130 transcript:OGLUM02G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSLLLPCYPLRHADDTLRHSASGTGMSIEARRSRLKSGEGDRILPRERPVVHHTCQPPHPKPAGKATPRRGRWVAIVATSTLPPSLPTRPGMCVAHRWPPAKARAPPPPPSLHYPSRSAWDGVDLDGPTATSTTTSRRRRRHHRLSPATSCLPAGLPPVQPPTPLLPCRHRGSVRISHGTARSGHDRAGSVSSTTAAEGPSSSTAAAEGPSSSMPPPATVAYAYLTLPTVRPRPSQRPSTLCRGWHDAR >OGLUM02G23140.1 pep chromosome:ALNU02000000:2:24175401:24175616:-1 gene:OGLUM02G23140 transcript:OGLUM02G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHPKRKAAPSGVVVAGPKAEISPIIFNPPKNKLLHGPLPTPTKAFNTRPLRRRHIAGQSSLRSSTPGAL >OGLUM02G23150.1 pep chromosome:ALNU02000000:2:24179858:24182564:1 gene:OGLUM02G23150 transcript:OGLUM02G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAFSALPTSPADVRVVTADGSGIRAHSSVLASASPVLERMIEQAPRGGVVPIAGASTAAVVVFLRFLYALVRGAAAAAEWEEAALAEHGAALMALAHAYRVAGPLKQRAEEAVAARVGAEGAVDAMKLAALCDAPRLYLRCARLAGRELAAVRESEGWRFAARHDAALRADLLQLIRDADQRKERWGRERGSQGVYLQLSDAMAALERVFAGAARGSPPPPRTGQCCRRASPCAHRRGLLQLARHFFAGCGRRVAGGCTPCRRFFLLLRLHSSVCDKSDDDSCGVALCSNFKTNMEKGKVDKTWKLLVKKVMRARVMSAWAKRPVPAPEIVQKSWAKYNSSSRSIAARFR >OGLUM02G23160.1 pep chromosome:ALNU02000000:2:24183461:24183832:-1 gene:OGLUM02G23160 transcript:OGLUM02G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGLLSLVALIFLLSFRSLIHQQVLVGEGAAASGFLHGSGGDGRRQHAREWEEERKKMRWFMVRDYAHARRHEPRNNRLDP >OGLUM02G23170.1 pep chromosome:ALNU02000000:2:24184952:24189793:1 gene:OGLUM02G23170 transcript:OGLUM02G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDTFAGQTRANTTKGNWMAQHSAGVMADLDFFIGEDALARSRSSNTYNLSYPIHNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTPPETREYTGEIMFETFNVPGLYIACQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPITGKDVTQFIQQLLKERGEHIPPEESFDVARRVKEMYCYTCSDIVKEFNKHDREPNKYIKHWSGIKPKTGAKYTCDIGYERFLGPEIFFHPEIYNNDFTTPLHVVIDKCIQSSPIDTRRALYKVCVIKMPSYDSKYHSGSEFHFIGQNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASNARLGGDAKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >OGLUM02G23180.1 pep chromosome:ALNU02000000:2:24190825:24193548:-1 gene:OGLUM02G23180 transcript:OGLUM02G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFEAADLGDFVMRAGIGDGGTVDCVATIIGSWIDPLVSALLFFSSVDRVGDERRERSLCIEFHCKMVPDLTRVHTEYSAPIILLIHTARGTARSMQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAVSPSIATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVFKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTMLVSDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLSGPLEGVLVAQISAGNCYLLMLVYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >OGLUM02G23180.2 pep chromosome:ALNU02000000:2:24190825:24192868:-1 gene:OGLUM02G23180 transcript:OGLUM02G23180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPMDAAASGTSPVMQFHGIVDEPPSHSSPLHTALERSQRHCYGHETPGEFPLAVSPSIATCTFFSKPANFEPNFALSLPEVAAFDMCHKRPMVKLMAQQEREQLKQRCGGSWKLVFKYIVARERNYSRIVAGPGHSIVVTTKGDAYSFGANCWGQLGLGDTEDRFKPCLIRSLQGIKITQAAVGSRQTMLVSDTGSVYAFGKGSFVWEELSDAADHITTPKIVESLKGVFVVQAAIGGYFSAFLSREGQVYTISWGRTERLGHSSDPSDVEPRLLSGPLEGVLVAQISAGNCYLLMLVYQPTGMSVYSVGCGLGGKLGHGCKNNKGTPKLIEHFLTLSFNPVSVAAGTWHAAALGDDGRVCTWGWGHTGCLGHGDEEYRVLPTVVQGLSNVKAVHVSTGEYTTFVVSDNGDTYSFGSAESLNIGFQEDEEAADDADFSTPSLVESLKVLNDKAVQISTTNSSYWLNSEMGYPHTFALMESGKLYAFGGGIKGQLGVKLSEGQERAQNPERVPIDLC >OGLUM02G23190.1 pep chromosome:ALNU02000000:2:24204175:24209869:1 gene:OGLUM02G23190 transcript:OGLUM02G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVGEALVSAVLKEVLGKLGSAVGEQIVMRWNLKQDLESIKSTLGMLQAVLRDAERRSVSDEGASLWLKRLKNAAYDISDMLDEFEAKLSETTFSSSVAKLFMGKRLKNMRVRLTEIAAERTHYGFTLDTYPRDLEREEISKRETTSKINKSAVVGRNKEKEEILALLESDNIENLLVIPIFGFGGIGKTTLAKLVFNDDRTQTFDLRVWIYVSPNFDLKTIGRSIISQIKGQSDCLDDLQSISNCLEEILDGKSCLIILDDLWENSCFQLGELTLMLRSFKEESRLRIVVTTRNEEVARKICTVAPYKLKPLSDGHCWTLFRQSAILSSCTFQGGDKNVLEEIGWEISKKCKGVPLAAQSLGFILRTKDVEEWKNVRDSDVWDGSSPEDVVLPSLKLSYYQMPPYLKICFSYCSTFPKGCEIYSDDLIQQWISLGFIQERPNKHISLEKIGEQYVSELLGMSFLQYSSLVPDYTGLWEDAKCSMVLSMHDLMHDLARCVIGDELLLMDNGKEYNSGEGNCRYALLINCVGQTKFSYSSTKLRAMRFFNCDGIQLPLFTKSLRVLDINKCSCGKLPASIGKLKQLKFLSATGSLNISTLPTSVNKLRCLLHLDLSGCSNLCSLPNSFGGLTNLSHLNLANCSSLDTLPNTVCGLVNLVHLDLSGCTGLCSLPNSFGDLTNLLHLNLANCYDLHSLPKSFHRLGELQYLNLSRCLSLNLMVDINAVCCLTKLQYLNLSRCSSLIHLPETIRGLKDLHTLDISGCQWIEIFPKSICEITSLKFLLIQGCSPWLEKRVRESQFKNDMLALPKFIVQRAAFGMCSNISRLQSVDPAELEIECLENVTSIGEVDVVNLTYKSALSKLALAWTPAAERFVEDEDLLRKLQPPDTLKVLQIQGYMATSFASWMMNLASCLPYLVRIEMVDLPRCEYLPPFGQLQHLELLILRRILSLRKLGGEICGGNGAFRKLREFTLVKMDNLNEWITKVSANGEFMFPSLHKLEISQCPILRLNPCLPRALEWRIEASDQIIADFYHTGSSSSLVLSKMHIRSCRLLPNDWKLLQFLPDLQVLELTHCWFYELPKSIGYLTTLRSLQIDGCDSMTKLSKWLVSLSLLHELIITGCLNLVYLPAFVQKLSALEKLEINDNDALQRWCRNSDSWISENGIKNKVNAYNILCK >OGLUM02G23200.1 pep chromosome:ALNU02000000:2:24223151:24225206:1 gene:OGLUM02G23200 transcript:OGLUM02G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFKRSCSSSKATACVGGGGGGHGNAVAAAGGGGGAGEIEWEVRPGGMLVQKREGRGGEEVILVRVSTGFAWHDVSITATSTFGELKVRLSMVTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKVRAALAAARVMQSPCQPFIQV >OGLUM02G23210.1 pep chromosome:ALNU02000000:2:24230170:24237830:1 gene:OGLUM02G23210 transcript:OGLUM02G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIRASAWSFFKFLPFFLGLLLLGIIKGALLFPWAWLIMMIGISALVLGLWPMHVIWTYYCIIRSKLVGPVVKLLLLVAASVILVLWLIVGIVGSVLIGVVYGFLAPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLLHSYFSIMDDLRFHAPPGGEPYEIRVLDIPGALFAAACGFLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAIILWPFAVFGAFLASIISSIPLGAFAAVVVYQESSLIMGLNYVISSVAIFDEYTNDVLDMAPGSCFPRFKYRKNEASTEGGSLSRPASFKDKQDGKKAPSRVTSFKGSFDEFNPFKLLDHLFEECKHRGEVLVAEGVITPKDIEETKSGKIGIGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVIPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >OGLUM02G23210.2 pep chromosome:ALNU02000000:2:24230093:24237830:1 gene:OGLUM02G23210 transcript:OGLUM02G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGIRASAWSFFKFLPFFLGLLLLGIIKGALLFPWAWLIMMIGISALVLGLWPMHVIWTYYCIIRSKLVGPVVKLLLLVAASVILVLWLIVGIVGSVLIGVVYGFLAPVMATFDAVGEGKERPLYHCFVDGTWSTITGSCTVVRDLKDLLLHSYFSIMDDLRFHAPPGGEPYEIRVLDIPGALFAAACGFLVDGIMFTLIAFYKFPVMLFKGWKRLIEDLVGREGPFLETACVPFAGLAIILWPFAVFGAFLASIISSIPLGAFAAVVVYQESSLIMGLNYVISSVAIFDEYTNDVLDMAPGSCFPRFKYRKNEASTEGGSLSRPASFKDKQDGKKAPSRVTSFKGSFDEFNPFKLLDHLFEECKHRGEVLVAEGVITPKDIEETKSGKIGIGVLNVGLPAYVILHALIRSAKANSDGLILSDGSEITSDNRPKNTIFDWFFDPLMVIKEQIKAQNFTEEEEAYLKKRVLLTSDPKRLKEVIPHLPSSLNERKQAEIDALSRRLQGITRSISRYPTAKRRFDDLVRSLSEELERTMGGSQSGSVSQMQKLRSGISRMLSQRSMGKRTSNRGDDREAQLTIDP >OGLUM02G23210.3 pep chromosome:ALNU02000000:2:24237677:24238265:1 gene:OGLUM02G23210 transcript:OGLUM02G23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSLIRCGAEAVRATLAGNVGLVEPHPHGARRQRRRLALQGGASSVEETAITATAIAAGGGVGGVEEKIVKLFVG >OGLUM02G23220.1 pep chromosome:ALNU02000000:2:24234948:24237615:-1 gene:OGLUM02G23220 transcript:OGLUM02G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTCAHAEFFRAQPAWALALAGVGLLAAARAALRLALWLYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAMAFRLAASGLGLVLVGRSPDKLASVSEEIRGRYPRVEVRTFVLDFAADGLAAGVEGLREAIRGLEVGVLVNNAGVSYPYARYLHEVDEELMRTLIRVNVEGLTRVTHAVLPAMVERKRGAIVNIGSGSSSVMPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFFVPSADTYARAAIRHIGYEPRCTPYWPHSVMWFLISILPESLIDSIRLGMCIKIRKKGQAKDAKKKAQ >OGLUM02G23230.1 pep chromosome:ALNU02000000:2:24239580:24240100:1 gene:OGLUM02G23230 transcript:OGLUM02G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATCSPPSTASPAATPLLSPFITLSGAASLLPPLFGHRSPPSAWPWPLRTALQIAGGALGDETKQAEASPMPSASAATTEWSSGSKMENGLALRMIGAIGCATHGDPALNVPLT >OGLUM02G23240.1 pep chromosome:ALNU02000000:2:24242554:24248342:-1 gene:OGLUM02G23240 transcript:OGLUM02G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRGQGCVGRLRSFNKTKKKNRNCSDDMRNGDDEEKKKYSHHDMKKNLRNDANEEKRKSSDDMRDGDFEEKKCSRHDMKKNLRNDANEERRKSSDDMRDGDDEEKKKCSRQDMKKNLRNDADEEKRKSSDDMRDGDDEEKKKRSRHDMKKNSRNDADEEKRKRKRKCSDDLKKSVLNDASKEATSHSDWRKNRKAGSDAEQRGKKLLNGDKKAKSRKVTTPFFEKMRKIKMQRTSNQNGEKNMKSDGDSYKKTVPLSVNKGKMEKDGTNKRTLSNTLVAKERKMRPSDSMEMKMKKKKRDASFVQPDERTAQTFSTKNKEKKRKAPSTPLKREQKERVASSDNKKETKKACIVAIGNEKKNCRDGKKKKRKAAFAFFKFVRDEFEELLFIPPAVAPSLKDLIDRHVYLEDSEGKCSKIRLSVVDGSLAFYEGWNSFVSEHCIKWGEFLLFEYTPESTFSVRVFGIDSCERLHFSVKSGGKGAVKKRKERHTLSDDLISHYNGQYQDSEDIHDGPNVSGESPRSKEPKITVDAEIGTRNLVAKSINAASETQDSERVESGIGYGSLGALGNKVRNLSNGECDTRSDSVFCIQEKTRRSEVIIISDEAYSTQVDEDTMKQTAPSEASEIHHVTINTQKDLERVVDGVCCESSVALNNKMGNLILGEPKNKNISPACSTEKTNGSEITPTTGAIPLTQENIDTVKLNTISCFEEDRSTTRESELAAAIPTTSETHDSDKDLGQKHQRNSVQVNSIIAVDKYPNDSEMNISGNIFRIYEAPAGTRCLEKWKRGIVNGRAALDDIGQVRPEKTQKAGEKLVGNCGAMGESPVDLRIESDVTDTCLKPILNIPIEELSILDSVSISKCGRSRTEVNHLFNQKGATVQLQTKKEPLKPTGSSGNRKGDKIAVSVNRVFAHQSELQIPQQENGNFTSCVTPVALLPAKAELLDLDDHSLQFCIPSTIQKWLVFSYSVVQWMQFVEFMLIAVRAELPKSLPITCRQKGRYDRNVVILKDPMRRLWPVFYHDKPVFVGFTAGWKPFAAANNLQAGDVCKFVKEMDEDELAFQVYITRK >OGLUM02G23250.1 pep chromosome:ALNU02000000:2:24251515:24266162:1 gene:OGLUM02G23250 transcript:OGLUM02G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDENNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPYEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKDPAPPAAAVAAAMGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDENNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPYEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFITERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDECKGMEIKIQEYQERGNMLKAKEIELLSLEHAQSTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNMESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVA >OGLUM02G23250.2 pep chromosome:ALNU02000000:2:24251515:24266162:1 gene:OGLUM02G23250 transcript:OGLUM02G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDENNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPYEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKDPAPPAAAVAAAMGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVA >OGLUM02G23250.3 pep chromosome:ALNU02000000:2:24251515:24266907:1 gene:OGLUM02G23250 transcript:OGLUM02G23250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDENNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPYEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFITERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDECKGMEIKIQEYQERGNMLKAKEIELLSLEHAQSTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNMESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVRPVFPFPPLPMASNPSSSAAGGGGDHGGARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAIVFPVDSAAVAGEAPNPNPTCPLFPFISFAVTWGV >OGLUM02G23250.4 pep chromosome:ALNU02000000:2:24251515:24266907:1 gene:OGLUM02G23250 transcript:OGLUM02G23250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHSDSDSSPKSSSSSSASSSACRRSPPRVRVQSDEGGSSDGVLVELPSQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLGGSRSARSLDESIAVIDFPDESSLAAECRKYKEEREVFAREAVALRGMLRELVGEDASGSLPAEDSDERASGSPTPLHSMLDDCSRLVLELNSVVCAREQEIESLRGRSAEVEVSREGSEQAIGRIVASVDAVVGQYDVSSEGADEEGISLVERKTSLLAERHRQILLDIEQLEQVLAEVKPDFGATGQCDHATILGIVSEELVNSKRNEADFLQKVNTFGEENKNLAEELQSVKAALDVANAEAKKAKAEFEQVEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAQLDGCMTELQQKSDAMQAAESRVEELKILLDEKSNEHEQCLDELRETYNAWEAAKAAVEQLTEENTALTSVQTSLSVKDVILQRIEGVMSEASFPEDLLSLEMADRLEWLVEQKKIADMIFSEHRKVKDILASTDLPHAVLTGELDSQIHWLLNSLYQAKEDAARMQDESSAMLHKLASHESKLNSMHEEVDRLTIALLEEKQEKDILANEHAELMSLYHAASDQLSVVSSRYTELVKAFAEVSDVQLEDHEILDGGKLVEQCLANIQGRAKSSPVECESFEKLQTQVYTLDQELTLCKIILEEDKADRSEMMRLSGELQRMVQETDALKNEKDSLQKELERVEEKSSLLREKLSMAVKKGKGLVQEREGLKQVLDEKKSDIEKLKHALDENNAELENLKQTLDGNNSVLEKLKQAWDELNSESENIKQALDVKNSEVDKLKHALDENNSEIENLKHTLNEKNSETDKLKQDIDATYMEMENLKYEIASRESAITDLREQVEHLSSQVTHSQKLQLDIISLIDEKGKVESMLAEAKVSSGALVELISSISLPFDSPYEDPIDKIGQIAQYIKESQVTKSSVENELHKANEQVTSQASQLADALSSLKVLEDELSNSKEYISSISEEKRQMQLHTAAVEEELEKTNEELAIYASKFEDANVTINSLQDALSQARVNISVLDAEKKEADAKHETETSALNAKLAKCLEELDRSHGNLQSHSTEHDVYLEKLSTLVMDNSLLSLMTEEFGKKVSTLREMALIVRSMREQLAAKGFQIDPTMEDSESGMLLSFPDYDNFITERMASSKIRKGNVDGALSFSTVVEQLSNQAEYLSEIFKDLSGYMDENITLVHHSLQLASSKVAHTLEEHDTLRNELQNKDTHNRAQESELLSLQKELRAMSSNCIYCYQQIQTISDDLLELGYAIELATGNSSIVSKVEGSSSVLKDVDASDYTKVSDALVSTVNRLKLESEKLSNMKEAVFTMLDELKMRLKQTESAAETSLQEHELYVKRVCVLEKDLETLKDECKGMEIKIQEYQERGNMLKAKEIELLSLEHAQSTTERGMTEVISKDQLEALVEKINKLNTSSAESHLQRELAMSSSPIEKLFSLIDEVYALRHEVDTLRYENEDLHLNLESHAREMEQLKEASRNSDSNRRELESKSSELLEITVSMERMIQRLGYLGGKEALEDNKPTSTQALLSKLEKLIIASNMESGNAKSVIQELGAKLQVREKAIDELSTKVKMFDDLHHARLVQPEANMDRAFEASSSAVGSEISDAEDLGPAGKASISSVPTAAHSRLMRKGSSDHLVLNIGRESERLITAQDSDDKGRVFKSLHTSGMIPAQGKQIADRGLREPNSDEPASCKARAHGVLALLAPMVDRQHLVTIALVRPVFPFPPLPMASNPSSSAAGGGGDHGGARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAIVFPVDSAAVAGEAPNPNPTCPLFPFISFAVTWGV >OGLUM02G23250.5 pep chromosome:ALNU02000000:2:24266568:24267706:1 gene:OGLUM02G23250 transcript:OGLUM02G23250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPSSSAAGGGGDHGGARLEDLALDKVAEAADAVAAASSAGEVVRAIHAVAAIVFPVDSAAVAGTVDEPFRSQPVISTMQVMDGIHKSGVWGVECWLCC >OGLUM02G23260.1 pep chromosome:ALNU02000000:2:24252713:24255628:-1 gene:OGLUM02G23260 transcript:OGLUM02G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGDDEEKKKYSHHDMKKNLRNDANEEXKAVIRYIQSSFHTLKLFSKILVFFPKSVHLLQEICFIPLAVNQFFRNNT >OGLUM02G23270.1 pep chromosome:ALNU02000000:2:24269030:24320671:1 gene:OGLUM02G23270 transcript:OGLUM02G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADEDANGLDPSNSVFLFVGEVISRVSRRGSTGRLESPIDLIRKMASAIALTFSKVVDPNNPLYLDDNCCENVEWDFGVLSPKEITAPSKDVELISKLKPSLPENKKHAGERRAKAIKHDTSENRAKIIEIKSLDSCETSGSAVNGHFEEEECDEEIMNIDASSDSSLEPYDLSDDDSDLQKKFTQLKDLAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDVAMEGEEDSAEEKRQKALVALLVTCTFESLDVLTKLLYSSSVDVSQRILIIDVMTEAAQELAETKIVRRELRHGNLISDTSPSWLVPSDQGPAGAGPWREVSESGTLLNWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQTEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASAVAPALLDMIRSRAVSQHPEAYVRRSVLFAASCILIALHPSYVASSLIEGNQDVSTGLEWIRTWALHVAETDPDTECTSMAMTCLRLHSEMALQTSRALESADHSKASSSSSRSLPSKLDNIIIPFANMIKASSTMGNSLPVESKFTFEEENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTTSTSFFGVYDGHGGAEVALYCAKQFHIELCNHEDYHNDLINALDNVFLSMDENLQQSDAWRELVIPHDNGCMYFLKAGVCAKPFPQATYTGPAYEGSTACVVVIRGNQMIVGHVGDSRCVLSRQGGLAIDLSFDHKPCTRTESERERVQNAGGRSLGLRCEQVMGNYVVKEQWVLGDFGGGVTISRSIGDFAFKKNKDLDREKQMLICDPDILADDITDDMEFLVIASQGLCKASSAMGNNLPVESKVTVEEENDRIKYVVSSMQRFGDKMEDAHAAILSLDDTTSTSFFGVYDGHGGAEVASYCAKQFHIELCNHEDYHNDLTNALNNVFFSMDENLQQSDAWRELVIPRDNGWMYFLKAGICANFWPFVQAAYTGPAYEGSTACVVVIRGDQMIVGHAGDSRCVLSRQGGLAIDLSSDHKPRTSESERERVQNAGGISLGIGCEKVMGNYVIKEQWALGDFGGSVTISRSIGDFAFKKNKDLDREEQMLICDPDILTDYITDDMEFLVIASQGLWSCVESADVVAYIHDRLSGVSQIIAEFTVSSKHDDDNLNPGRAALISREGAELRVICEEVVEFGLASGENTTVILVQFKPGAFQYQLVDPAGFGTAVSNIASTSAAPAGASDTSDEGVDDAATARPTVMGYDADSSTGSADATVDSDEVDPNASATADSYNPRGHAEIVASHTGDEVYTSGSARVESGELAVPTPSANNTVADEVKVDAAVVAGGSTTAMAADEATVVSLLSTIVDNYYSINSSEEVDPTATVAADDKVTNASTSATAGSKLHTSDSSLNFVLVEDDHQVCVVCSFLHIDSAAPIVCLISSYRRKSGHFNWFGIDTHMGSSCHHDLFATLFRDLQISRALNRWIAAWPGTAGAAAREDEEEEEEGVCSVGTTSHRTGHSWSVGSAARDNPTAEMRPVQMAMSCMRLHSEMALQTSRALESADHSKTSSTSSRSLYLLSLITSSYHLPT >OGLUM02G23280.1 pep chromosome:ALNU02000000:2:24283140:24284276:-1 gene:OGLUM02G23280 transcript:OGLUM02G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATATAAQGPRDWSSLPSDMLVLVLDRLGWSTHPSFALTCRHWRSAVSPFYPAWITPLLLSAADIGVTNVRYYSPYYHRCFEIADTLLKVPKIAARICCSSGQHLTLCLPRLILQADLVAGTMYELPEMPFYSFEFIVYDEHARRMYCVNTTYALQLARATQQDDGEWGPWELTDFDVEGPQLLAAPISNPVLHGGLLYVLGEDGKMAVYDPCNHDNNFTVPDKPKGFGIKHQVDSHLFESDQAGALMAVLVGYSGAPVHVVKLNEETMEWEKMRSLEGHALFTGTYTTMLRKTKLRLMQNKVFLPRLYDWPETIHVDLVTRDGETAFVPKSYSSSNTKEITSDINIWSYEFGQQDAREFWGSERVDYSIWVDFSTN >OGLUM02G23290.1 pep chromosome:ALNU02000000:2:24321205:24321870:-1 gene:OGLUM02G23290 transcript:OGLUM02G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQGRRDWSSLPLDMLVLVLDRLGWSTHPSFASTCRNWRSAVSPFYPAWITPLLLSAADVGVTSARYYSPYYHKCFQITNTLVKVPNARICCSTGRRLTLCSPKSILQADLVLLAGTTIHELSKPMPPFDSSPDFTVYDDRARRMYCVNTTSALRLARAIQQDDSQWGPWELTGFGPQILVAPTSNPVLHGGLLYVLGEDGKLAVYDPCNHGDGFKVL >OGLUM02G23300.1 pep chromosome:ALNU02000000:2:24322221:24323585:1 gene:OGLUM02G23300 transcript:OGLUM02G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVDASAALDPKLAPLLLFGHGDATFLYSVPKRALLPMPTPTRVGDGGVDDMMRGHRWWPTAQGWLLMARRGSPCTFLWDPFTGRRVRLPPDHDGTVLAAEGSHRRRCLLSCCGPMDPTSCTVLVIDLADPELWYCRPGDNHWVKLHQQPYQYRNPAHRDAIIRFLRKFMAIDGKFYAELHTGNVGVLEFLPGVAFTKIAVHDDDRRPAVYKKRTTCFVESNGELHSVVFSHPIGCDRIVARVGVYRLSINPTTTQEQRSAAWVKVDSLGGRAFFVKIGSFGASLDAEGTGLRGNCVYYSGFNGKVLCVYDMERGTTAVIDPGTHLPYHQSPQVLMPTFPRYHGGATRSVESIYQVGPTIMEDAYEVKDTKVSKVKRVVRYFKGGCTCLPIPIQNTRVTKQKDKLINQKKSKRSTARRPCRGEGASSPETKNSYRGCGFPLEPLKRLLGMKK >OGLUM02G23310.1 pep chromosome:ALNU02000000:2:24324092:24334568:1 gene:OGLUM02G23310 transcript:OGLUM02G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVMQGERRRARAPWEQVDNQTQDGALLERWISRERRSDGRDASGSAKQRSAMGNSLPVESKLTDEKENDRIKYVVSSMQGWGEKMEDAHAAILNLDDTMTSFFGVYDGHGGAEVASYCAKRFHIELCNHEDYDSNLSNAMRSVFYSMDEDLQLSDAWRELVIPRNNGWMYFIKAGVCANLSPFPQATYTAPSYEGSTACVVVIRGDQLIVGHAGDSRCVLSRNGQASALSVDHKPDRDFACKKNERLPPEDQMLTCNPDILTMDITDDMEFLVIATEGLWCNMTNQNVVDHTHDRLLEGAEARVICEELVQFGLPSGDNTTVILVLFKPGAYPAVPPVDTDTDTDSHTGDDVDPTGSNNATASDNNDPANEVDPTANAGSDDNNTGDEVKVDATATAVGSSSTTAVAADEGTGNPPHGALVDTDDEDGLTYSQDMDLPPASTSPPNLPGRGRPPPV >OGLUM02G23320.1 pep chromosome:ALNU02000000:2:24335230:24340118:1 gene:OGLUM02G23320 transcript:OGLUM02G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGEEAKQERHLVLAHKLFLLSHPDLDDLAKVALRSDALDAVKSDGMAPLFESLAAAGVLEPDDALLAEMRARIDEEVRKLDEKIADAEENLGESEVREAHLAKSLYFMRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGLFHMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYFMATRNFKKAASLFLDSISTFTTYELFPYDTFVFYTVITSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGMTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >OGLUM02G23330.1 pep chromosome:ALNU02000000:2:24337682:24340010:-1 gene:OGLUM02G23330 transcript:OGLUM02G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HHL1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G67700) UniProtKB/Swiss-Prot;Acc:Q8LDL0] MEVVGGVSSLSASSPAPARARLRQLSPGEASGGGSFLLVRTAPRSRLQAAARPARRSDRRSQQQRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIVTGGTTAKIMLAAKDNFLGKYIYKDTVARNLAAVIYKDEDDIIDTAKEQYRVLKTDNEFRYGYKVVENGNLRSALTTSNVIELPKKEELKTVVDKVKDFFGDVTSGAKESFAQITGSVSAEADAPVEEEKPWVKRRNERKRKQKEKQNQKQGIRI >OGLUM02G23340.1 pep chromosome:ALNU02000000:2:24341101:24342164:-1 gene:OGLUM02G23340 transcript:OGLUM02G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLDDAHADVEVMLREIAAAVAANGPGGVAAAVDADETDGSYCAGEGCACAAWTYDADLYASLRAMEMAAGERPSPDYMTAVQRGRVDPWTRASLVAWMEGITRGHAGLAAGTLHRAVAYVDRYLSVRPLEAVSHHLLALLGATAVFVAAKYEGDLREERLSAGDAAAAAAAGGLAIARSEVLDRELDLLDALGYRLGRPTAHTFADHFLARYGYSGGGDAVHAVAHHIADLTLLDRRSLRIPPSVVAASAVYLARIDD >OGLUM02G23350.1 pep chromosome:ALNU02000000:2:24356277:24362785:1 gene:OGLUM02G23350 transcript:OGLUM02G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUJ3] MPGGSGDSSPASGRASFSSLSDLKDLELSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSGEVHIFGYARSNISDDGLRERIRGYLKGASDEHISQFLQLIKYVSGSYNSGEGFESLNNAISENETSKNNKPGSSRRLFYLALPPSVYPSVCKMIRSYCMNPSSHPGWTRVIVEKPFGKDLESAEELSAQLGELFNEQQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVTPIKHDEVVLGQYDGYKDDSTVPDDSNTPTFASLVLRVNNERWEGVPFILKAGKALNNRKAEIRVQFKDAPGDIFKFDLEVSCHFLIGNNTVQKNLVKKPGLEMATEQSELDLSYGLRYQDVKIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHDIDDGKVKALPYKPGTRGPPEADELSKRMGYVQTHGYVWIPPTLSKF >OGLUM02G23360.1 pep chromosome:ALNU02000000:2:24371630:24371941:1 gene:OGLUM02G23360 transcript:OGLUM02G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNITGSGSGSGNGGSSNDDHAPPPPQQQQQLAVARGRRRAEPPMRLSALYLMLFGATVIVGAAGGGGGGAPVTPLPRLFAALVAWLVGCLSLLVPLPPP >OGLUM02G23370.1 pep chromosome:ALNU02000000:2:24376453:24376641:1 gene:OGLUM02G23370 transcript:OGLUM02G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHDLLKHPIDKLRTTQILPPIQLRIQDGGRAHQDGVDHDEAEEEEEGLSHPNPAPPYNGT >OGLUM02G23380.1 pep chromosome:ALNU02000000:2:24387308:24387574:1 gene:OGLUM02G23380 transcript:OGLUM02G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDASNSSKEAEAQAPALANQAPATAAAAARSAIHRGPMLRLAAMQLMLFAAYEIVGSYAAPPVALPRLFAAFVAWLVGCLSLFVAPP >OGLUM02G23390.1 pep chromosome:ALNU02000000:2:24388547:24391113:-1 gene:OGLUM02G23390 transcript:OGLUM02G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUJ7] MENGGGGGDGGKSDVPADANEHCPGTQSEEAGKADACAGCPNQQICATAPKGPDPDLVGIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMDCQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPILGVVENMSGLRQVLSDFRFVKQGEGGETDATEWALNYIKEKAPELLTMVACSEVFDSSKGGAEKMCNEMGVPFLGKVPMDPQLCKAAEEGRSCFVDQKCSASAPALKSIVKKLIENQD >OGLUM02G23400.1 pep chromosome:ALNU02000000:2:24392989:24393228:-1 gene:OGLUM02G23400 transcript:OGLUM02G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEIAFGEEPEPPHADGRGGGAATTRAAFLLMVSGATMIIAAVRASAGAGDRVPWPRLLAELLIWLVGCITLFAPSL >OGLUM02G23410.1 pep chromosome:ALNU02000000:2:24402014:24405895:1 gene:OGLUM02G23410 transcript:OGLUM02G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUJ9] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHHEVKVKDSKTLIFGTKEVAVFGCRNPEEIPWAAAGAEYVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKSDVNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEEAEGKLKGILGYVEEDLVSTDFQGDSRSSIFDAKAGIALSDTFVKLVSWYDNEWGYSTRVIDLIRHMHSTN >OGLUM02G23420.1 pep chromosome:ALNU02000000:2:24406591:24408531:-1 gene:OGLUM02G23420 transcript:OGLUM02G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVAYWFICAFLALVLLVRLGAARHVVRLPPGPWRLPVVGNLHQLMLRGPLVHRAMADLARGLDGAPLMRLQLGGVPVVMASSADAAREVTRTHDLDFASRPWPPTVRRLRPHREGVVFAPYGAMWRQLRKVCVVEMLSARRVRSFRRVREEEAARLVASIASSSSSSPTGHDGGAAPAVNVSAPIAAAVADATMPAVIGDRFERREEFLESITEAVRSFTGFSLDDLFPSSRLAAAVGGMTRRAEASHRKGNELIESAIRQHQQVRDAMAAQGGSGAMEEDLLDTLLRIQKEGALDMPLTMDNIKAVIQDIFGAGSDTSSNIVQWAMSELMRNPKVMQKAQVELRNTLQGKHPVKEDDLVNIKYLKLIIKETLRLHPVVPLLLPRECLHACKVMGYDVPKGTTVFLNIWAINRDPKHWDEPEVFKPERFNDGKIDFKGANFEYIPFGAGRRSCPGMTFGHATVELMLATLLYHFNWELLEGVAPNELDMTEEMGINVGRKNPLWLCPTVRVPLQ >OGLUM02G23430.1 pep chromosome:ALNU02000000:2:24410248:24412343:1 gene:OGLUM02G23430 transcript:OGLUM02G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04130) TAIR;Acc:AT3G04130] MRILSVGCRHGAARALQPLPLCRLSVSSCTQSNRDVSNVNLTAEEEEAARLIKNSLSKARKWSVQDLIQCLGADCSGIQLTGNIVDTLLFKFGDDWKSALGFFQWAQSRDDYRHTAYACNRMVDLLGKTRQIDRMWELLSDLHGRGLVTVETVAKSIRRLAGARRWKDAVLLFDKLEDMGLERNTETMNVLLDVLCKERKIEVAREVFAVLSPHIPPDAYTFNIFVHGWCSIRRIDEAMWTIEEMKRCGFPPSVITYTTVLEAYCKQHNFRRVYEVLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSHRMKSSGCKPDTLFYNSLINLLGKSGHLFEASQVFRVEMPMNGVPHNLATYNTMISIFCYYGRDDDALNVLKEMEAQSCKPDIQSYRPLLRLFLSRRGQADTVRHLLSELTSKHNLGLDLDTYTLLIHGLCRVGDTEWAYQLFDEMVSSEIAPRSKTCVMLLDEAQRTNMETYVERIGNYMSSFGISV >OGLUM02G23440.1 pep chromosome:ALNU02000000:2:24417084:24427273:1 gene:OGLUM02G23440 transcript:OGLUM02G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPASCCCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFAGAAGHRAGVARSLLLECECSKNGGAAAAAENTLLRAGYGGWLLYSAASAGDMAFVQELMERDPLLVFGEGEYGVTDMFYAAARGGNAEVFRLLLDHAMSPRCSTNCPNGGEGARGGGGGRSSVFRLEMMSRAVHAAARGGSVEMLRELIERRSDVSEYLDFRGSTVLHAAAGRGQLEVVKYLMATFDIVDSTDNQGNTALHVAAYRGHLPVVEALVAASPSTISTVNRAGDTFLHSAIAGFRTPGFRRLDRQMELMRHLIRGRTSDIQKIINLKNDAGLTVLHMAVVGCVHPDLVELLMTTPSIDLNAEDANGMTPLALLKQQLRSSTSDKLIRQIVSAGGVLNSTVLRTRSAIVSQIKMQGGIASSPGTTFKISDAEIFLYSGIGTAESRRPSSCSSNGKCDHAHHGDAKCGNAENHGSSEKRLSSASRAKDRLKLMLKWPRQKMSRGHKKSDDGDAMDSIKKLSEQAVETPAPLRQTFTKTTALNNKRTLAVKTSTPSSATKKKLNSKLIHGIMEAMPHLASSSPASAFPRSSTPPPPQSGKMKGVCLELDDENSMTTPVFGKLKDIVLNNDDDDDAMGEPSSSGSSVNDDASAEMPARRHGCGNGRLINICFGAQGLTVEDSASGQQTSKMFKQQCLRVS >OGLUM02G23450.1 pep chromosome:ALNU02000000:2:24422712:24426535:-1 gene:OGLUM02G23450 transcript:OGLUM02G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTVLMEFGQQRQIKRGYDEMAFRGMASAAPRGYAETVGESEGAAGSPVRVDSEDSSAPKRKCISLNSDGFDVKREIFVPAKMSSSERRHLRKRFRTELDSVRNLLKKPEFAVPVPVNRAPALSSSAAPRGKKGQRGNHVVRGAKGRFLPTKPRPEASTVLTEDAIFKQCDAILKKLMTQKCSNIFDSPVDAVKLNIPDYFQIIKKPMDLGTIRNKLDSGSYTSPSEFAADVRLTFSNAMTYNPRGHVVHDYAIQLNKMFESRWRTIEKKLASIATEAHVEVDRADSKRRKTPPVDCSEVSTECVRPTESVRPTESVKPKMTFEEKESFGNCLASLSEDPEVPSHIIDLLQQCIDNNTDQLGDGEIEIDIHAVSDDLLFELKKQVDKYLQQREQSQQAKSEPSENEAANVSGLSHSSTNPCKGGDPVEEDVDICGNASPILIEKDAHNNPNKCGSPSSSSSDSGSSSSDSESGSDSESEQEKGGSPAKPKGSKRSEQLVEQEKSDVISPVDAIRPADDVELREQDNESKPAPEGENSKPDRQVSPDKLLRAALLRSRYADVIVKAQGILSQRKQGCWLKEMQLWKLEELKLKLKPSVNGTLRGRKLARPCRRQMERTVEINDNLHLKDLEMLGTATTEHIVSSVDETSPEHSQDGMPSFLPGSGNPLEQLGLFMKADEEEEEGDPSSVPSTKDAEEGEIN >OGLUM02G23460.1 pep chromosome:ALNU02000000:2:24428045:24429052:-1 gene:OGLUM02G23460 transcript:OGLUM02G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYPFFLLPLSLSPSSATEAEWRRRARGSRRRSRPRGDAMSASPPTSAAAAAARLRGHGGVRCTVAVTRFVVGSTKPCLGSSLVHVAVFSDGCDEGSPAELGRPSWPILRAAGGGRVAEPSRRGGEANPSVDVGAPAGVTFLTQTCAVDVVYTHARSGENRGEGDATAAAARRPVAEQMAVSARWLSRRSRPTMRSGRKKRHPRRCQRGGHRTRRREVWPRRRYRCQSASASVAVRQRGKKRGIKKERREIKL >OGLUM02G23470.1 pep chromosome:ALNU02000000:2:24432858:24434554:1 gene:OGLUM02G23470 transcript:OGLUM02G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G67590) TAIR;Acc:AT1G67590] MRRSSQGKSSSGGGVGGVRRYDVHGGGNLLACYAKAARPRPSKWDDAQKWLSRAGDDDCGGEATRRRSSCASADDGLLLPPPPAARKGAGGWRSWSNVEWEGAPAAAAPAPKAARGDEGVDTKVVDDVQAYVPQRCVVSLRDVGTEMTPGGSKEPSRANTPRVVAPAATARVVARGTASPGQCDGRSRDSAGAGGVVDLRAARKRGDQGHDEAAGTITAVSPATAWGDAERAKYMARYRREEMRIQAWENRERRKAELQMRTAEEKAERMRLRAQARTAGKLATAQAEAKARRARAEAELALGRPGGGAKGWLLTRSASWSSGSGRSPSSLSLRLPLLCR >OGLUM02G23480.1 pep chromosome:ALNU02000000:2:24435132:24439102:1 gene:OGLUM02G23480 transcript:OGLUM02G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSKRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGEGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEEAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQRMKEQGNNGDRGLFG >OGLUM02G23480.2 pep chromosome:ALNU02000000:2:24435132:24439102:1 gene:OGLUM02G23480 transcript:OGLUM02G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSHGGYRGYEVAREREHDVGVSRRSKEHYHHRHPSRHRDSERRRDGGRSGGRELSNGYSHRRDSPRPPPRRRPSEGRTEDREPGEVSGGSGSERSGERPMKTREPRENGVTRVSKEEAKMSPSKKRKQSPVIWDRNGSKRQARDPVRGIREVDAVVAEIIMHQSHSLPVMSSLSSIGEGHSPMILDVSVDKVQEYEKNRIVDEEEEGYPTMRNILTSRWADAGDEEENVFVPKKKKSVSPVDSIERGSTKKVTSPESGEVLVYNSVRSSSRSSDSGVLQGSANRDLEVEKGDNIDVEEAADDDYPAGHLLDSDFEGEDCRSETPECTRSPRRCINMLQGCRSVDEFERLNTINEGTYGVVFRVRDKRTGEIVALKKVKMEKEREGFPLTSLREMNILLSFHHPSIVEVKEVVVGSNDRDIFMVMEYMEHDLKGVMETMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGAKDYSTAIDMWSLGCIMGELLSKGPLFNGKSEIDQLDKIFRTLGTPDENIWPGYSKLPGATVKFGKQTHNRLRDKFRAVSFTGGPMLSEAGFDLLNRLLTYDPEKRISAEDALNHEWFRELPLPRSKDFMPTFPALNEQDRRFKKHMKSPDPLEEQRMKEQGNNGDRGLFG >OGLUM02G23490.1 pep chromosome:ALNU02000000:2:24439349:24450232:1 gene:OGLUM02G23490 transcript:OGLUM02G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G14605) TAIR;Acc:AT4G14605] MVTRKLELLEGFICKRNRINLATPVTLVVDLLPLLPLRRSPSPPTMTSLEAAARPRSRRVAPCDPRGRPRLPPWRLILSPSPSSRRLCTLISRQLPICNAQSYTDDLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENIGINKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >OGLUM02G23490.2 pep chromosome:ALNU02000000:2:24439349:24450232:1 gene:OGLUM02G23490 transcript:OGLUM02G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G14605) TAIR;Acc:AT4G14605] MAAHPFPLPFLPQCTSILCDADTDDLWLASGNAQSSAAVRSRLLAAEREEAKAVLSLFLRQKGLRSILAARIANKADGFIEHLVSKLHITYRSRYAEGRELSTPEIRDALIPYLEALSKEHGDGLVEVVENFPDPFAMEREALSSSMVLTPTSSNKHKAIARVSAPTSGGALPELVLYLLDLGMDHEEIKNVVRKFPAFAYYNVDRKIKPLVALLLELGVPRSNIPGIIKKRPQLCGISLSDNLKPMMTYLENIGINKDQWSKVLSRFPALLTYSRQKVETTVSFLTELGVPKENIGKILTRCPHIMSYSVNDNLRPTAEYFQSIGADAASLIQKSPQAFGLNIEAKLKPITEFFLERDFTMEEIGTMANRFGIIHTLSMEDNLLPKYEYFLTMGYPRNELVKFPQYFGYSLEQRIKPRYARMIDCGVRLILNQLLSVSDSRFEDILRKRMDGI >OGLUM02G23500.1 pep chromosome:ALNU02000000:2:24448922:24449857:-1 gene:OGLUM02G23500 transcript:OGLUM02G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Isy1-like splicing (InterPro:IPR009360); H /.../7 Blast hits to 965 proteins in 236 species: Archae - 12; Bacteria - 13; Metazoa - 351; Fungi - 230; Plants - 49; Viruses - 9; Other Eukaryotes - 483 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G18790) TAIR;Acc:AT3G18790] MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDHSRSSNAPLMTDLDGNIVAIPNPSGRGPGYRYFGAAKKLPGVRELFDKPPEVRKRRTRYEIHKRINAGYYGYYDDEDGMLERLEAVAEKRMRNEVITEWHRVERVRREAMKGVVSGEVASAGGRGGEAAREVLFEEVEEEVEEERRLEEEKREREKGEEAGKEFIAHVPLPDEKEIERMVLERKKKELLSKYTSDALQVEQEEAKEMLNVRR >OGLUM02G23510.1 pep chromosome:ALNU02000000:2:24450659:24461152:1 gene:OGLUM02G23510 transcript:OGLUM02G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVEQSEREMVGDEAVEEEDDDDVTKMNPPPATAGEREEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLGPGVTAAAMAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTSSTPDQSTPVSHSGASISTPRTAQRLAKSAQLVKQGIVIVPWTALSHWYNTRMVVVVLDETFY >OGLUM02G23510.2 pep chromosome:ALNU02000000:2:24450659:24455248:1 gene:OGLUM02G23510 transcript:OGLUM02G23510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRKRGRTRRATQAEAEVEQSEREMVGDEAVEEEDDDDVTKMNPPPATAGEREEEEEEGIEGLLEPFTRNELLDLLVEACLRNPALRSRLAATAESDAAHRRLFVHGLGPGVTAAAMAAAFAPFGALDECHAVADRATGRCRGYGFVTFRRRSAARRALAADASSRLAVGGRPVACQLASLGPTSPDRKLFVDNVPARAAHDELRRLFSRFGEIEAGPLGADRATGQFRGYAIFFYKYPEGLTKALEERKVVFDGCELHCRRAHRVNKEKHLMTMPADAGAQSNGFENAASPIIHVQPKELALASTTQTPLGSNRPVEMMAKGPRSGTVPFRQNAGAGLLGACPVATVTSSTPDQSTPVSHSGASISTPRTAQRLAKSAQLVKQGIVIVPWTALSHWYNTRMVVVVLDEVGQGGCQ >OGLUM02G23520.1 pep chromosome:ALNU02000000:2:24454454:24456899:-1 gene:OGLUM02G23520 transcript:OGLUM02G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGNVVGDILDPFIKSASLRVLYSNRELTNGSELKPSQVANEPRIEIAGRDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPETTNASVGNEIVSYESPKPTAGIHRFVFVLFRQSVQQTIYAPGWRQNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >OGLUM02G23530.1 pep chromosome:ALNU02000000:2:24465207:24466114:-1 gene:OGLUM02G23530 transcript:OGLUM02G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAMDQVACWLICAFLALLLLVRIGGKRGGDGARLRQPPPGPWRLPVIGNLHQLMLRGPLVHRAMADLARGLDDAPLMRLQLGGVPVVVASSPDAAREVTCTHDAAFASRPWPPTVRRLRPNREGVVFAPYGAMWRQLRKVCIVEMLSARRVRSFRRVPLLVLGGVVPLCA >OGLUM02G23540.1 pep chromosome:ALNU02000000:2:24467512:24468491:-1 gene:OGLUM02G23540 transcript:OGLUM02G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAEASIRKGHELMDSAFRQHQQLRDAMAAQPHLDDCAMEEDLLDTLLRIQKEDNLDVPLSPPATSKPFSWYVYIKFALTSNPSQMTHHRCQDIFGAGSDTSSHMVQWVLSELMRNPEAMHKAQTELRSTLQGKQTVSEDNLANMMYLKLVIKETLRLHPVVPLLLPRECRQTCKVMGYDVPQGTTVFVNVWAINRDPRHWDEPEVFKPERFHSGKIDFKGANFEYIPFGAGRRICPGMTFGHATVELMLAMLLYHFNGELPKGVAPNELDMTEEMGITVGRKNALYLRPIVRVPLQQATMT >OGLUM02G23550.1 pep chromosome:ALNU02000000:2:24470459:24474607:1 gene:OGLUM02G23550 transcript:OGLUM02G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT1G05785) TAIR;Acc:AT1G05785] MAYEISEIKKIGIGLVGFGILFSFFGVILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >OGLUM02G23550.2 pep chromosome:ALNU02000000:2:24470459:24474607:1 gene:OGLUM02G23550 transcript:OGLUM02G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Got1/Sft2-like vescicle transport protein family [Source:Projected from Arabidopsis thaliana (AT1G05785) TAIR;Acc:AT1G05785] MAYEISEIKKIGIGLVGFGILFSFFGVILFFDRGLLALGNIFFLTGIGLLLGWQSMWQLFTKKANIKGSVPFFLGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPVIGWILQYPFQLFGQFRRKRA >OGLUM02G23560.1 pep chromosome:ALNU02000000:2:24478462:24480235:1 gene:OGLUM02G23560 transcript:OGLUM02G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGGGGLVVMPGRSGLSIPSLPCGADPGAANFFERPTTTRESIDKPLHPVLAFLCSRARGSSTGYCFLLLGCSWGIFRDSDRCLFIARCPGFPFFLVKLSGSAVWWLVILI >OGLUM02G23570.1 pep chromosome:ALNU02000000:2:24481984:24483327:-1 gene:OGLUM02G23570 transcript:OGLUM02G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMPPSLPPLPLPPQLSLADLKALSVLGRGARGVVFHVVPAGGAAAAVSATADEDPMALKAISRAAARHKCAEVAGGPGGDGHRRIWFERDVLLALRHPLLPSLRGVVATDSVVGFAIDRCAGGDLNALRRRQAGRVFSVAAIRFYAAELVLALEHLHGLGVVYRDLKPENVLIQDSGHIMLVDFDLSTTLPPPPPPPPPDTAPQPQTARSRGGRRDSTKAAAAVFGCFSSRRAAASRPSPSSSSSSRSPPSTSRTASSSSSSTRCSSAAAKSNSFVGTEDYVAPEIVAGSGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLAARPDMPGEPTPLRDLIGLLLEKDPGRRLGAHGVKRHAFFRGVDWDRVLHVARPPFIPTPDDDDAGAAAEALDVEKVLHEAFAASTAAAAGETAAVETAAPEAGSDRGRDEDFSVFF >OGLUM02G23580.1 pep chromosome:ALNU02000000:2:24485248:24487107:-1 gene:OGLUM02G23580 transcript:OGLUM02G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT1G65380) TAIR;Acc:AT1G65380] MPNASPLHHLASLLLLALALAPRLAASAATDRAALLAFRASLPPPSRAALESWRGPLSESWRGVSLHPPAAGGAPAPAPPPSVSGLALRGLNLSGQLPAAPLALLRRVRALDLSANALSGELPCSLPRSLLDLDLSRNALSGAVPTCFPASLPALRVLNLSANALRFPLSPRLSFPASLAALDLSRNALTGAVPPRVVADPDASGLLLLDLSHNRFSGEIPVGITAIRSLQGLFLADNQLSGEIPTGIGNLTYLQALDLSRNRLSGVVPAGLAGCFQLLYLRLGGNHLSGALRPELDALDSLKVLDLSNNRISGEIPLPLAGCRSLEVVNLSGNKITGELSGAVAKWQSLRFLSLAGNQLSGQLPDWMFSFPTLQWIDLSGNRFVGFIPDGGFNVSAILNGGGSGQGSPSEAVLPPQLFVSVSTDMAGRQLELGYDLQAATGIDLSRNELRGEIPDGLVAMKGLEYLNLSCNYLDGQIPLGIGGMGKLRTLDFSHNELSGVVPPEIAAMTELEVLNLSYNSLSGPLPTTDGLQKFPGALAGNPGICSGEGCSAHSRMPEGKMAGSNRHGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSRKARNFVFRPGRMEY >OGLUM02G23590.1 pep chromosome:ALNU02000000:2:24511114:24523679:1 gene:OGLUM02G23590 transcript:OGLUM02G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRFLPVGGGGGGGVEPSSSSTTPQRGEAEAAGLRFGGGDISLGPHGGGGGGGGGHGHQLQDGSVDLLARHSSSPAGFFSNLMASNGSKGGGGSGAEAHHHHHPPSMAGSGSGSSSGGRKMKSQLSFTAGPPHLSHIAEDGAFPDRAGAEASVPRTFSAGGSSGGGGFSIVGPWEESRDIISTLGGYESQFGGMASTSALEMAGMDRYLQLQHDQVPFKVRAKRGCATHPRSIAERQTSTADMLDLAVEHIKGLQSQLQALKHEQEKCTCCSRP >OGLUM02G23590.2 pep chromosome:ALNU02000000:2:24519963:24521198:1 gene:OGLUM02G23590 transcript:OGLUM02G23590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPVHPLLVAFLALLLVGTCQARPAPGKAASSSSSSAAKGAVVDGITDIYNFGDSISDTVNFLALMEHTVAPPYCSDDGYLMIDYLANDLGLPLLNPYIDKGADFSYGVNFAVTGATALDAAALARIGVTAPRTNNSPTGAGRSERAVGVRTELLALTMHNVLLQQGIRELRRSYPEATIAYADYSGAYVRMLEGARDTGFDGVALTKACCGGKYNFEMERMCGAGGTAVCARPEERISWDGVAST >OGLUM02G23600.1 pep chromosome:ALNU02000000:2:24521622:24526234:-1 gene:OGLUM02G23600 transcript:OGLUM02G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-enyl diphosphate synthase [Source:Projected from Arabidopsis thaliana (AT5G60600) TAIR;Acc:AT5G60600] MATGVAPAPLPHVRVRDGGIGFTRSVDFAKILSVPATLRVGSSRGRVLVAKSSSTGSDTMELEPSSEGSPLLVPRQKYCESIYETRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADFVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVIMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCRRLANLGTHAADLQIGVAPFEEKHRRYFDFQRRSGQLPLQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSILLRELPPVEDAQARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNVDELSSGAHKLLPEGTRLAVTLRGDESYEQLDLLKGVDDITMLLHSVAYGEEKTGRVHAARRLFEYLETNGLNFPVIHHIEFPKSVNRDDLVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEVSAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDHGRWVDPPVEE >OGLUM02G23610.1 pep chromosome:ALNU02000000:2:24530635:24532494:-1 gene:OGLUM02G23610 transcript:OGLUM02G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPLVTVLLFAGSCLGSAPPKTSPAASAASTATRTVVVDGITAIYNLGDSLSDTGNLARQGATGGLLRYTTRLPYGVTVGRATGRCSDGYLIIDFLARDLGLPLLNPYLDEGADFAHGVNFAVAGATALNTMALAARGITVPHTNSPLDVQLIFFFREGWFKEFMNSTTSSPQEIREKLSKSLVMLGEIGGNDYNYAFLQTWPMDGGYSLGNVTRMIESVATAVDLVPEVVQSIASAAKEVLDMGATRVVIPGNLPLGCVPSYMSAVNATDRAAYDARGCLVALNLFAALHNAWLRRAVGELRRAYRGAAVVAYADYSAAYAATLDGAAALGFDERRVFRACCGAGAGGKGGGGAYGFDVRAMCGAPGTAACADPGRYVSWDGVHLTQRAYGVMAELLFRRGLVHPPPINFTNSARA >OGLUM02G23620.1 pep chromosome:ALNU02000000:2:24532732:24535318:1 gene:OGLUM02G23620 transcript:OGLUM02G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFNVVQKNRREWKQDRKRQAHGDPKTGKLKQRTAPVSVSGKRQRKLLRRLSREQKEAVMAKALENNMGDVEMVSAEGSSEAAKDKSQLKFNVKKNSRVQIKRLKGKGRKKAKNAKPPTKDKVDAMVE >OGLUM02G23630.1 pep chromosome:ALNU02000000:2:24541990:24549691:-1 gene:OGLUM02G23630 transcript:OGLUM02G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRNNVALCQVTVTSTANVCVYSDENKVDGKLFIIDEGIFEMLREPPPLRDNGPAVGVKVKFDWSWCTLPSPPFHNVISHGVHPDQRTMVFSMTKYSMKKRTGLLATFSFDLESSRWTQHADNDDGQPPACKLSKERLFCVDTAEKHIGATLVHVGGDRSMVCLVQYLSIDNHQGDIWKEFLPQHIRYLLRITTFSPKYDKHEDLRIAKCHHIGSYQLPEIAMVYDDHLKSPMAFWMYASKGMFGLPPKVGTTELEATTVILVRACQRAPIPISAVDKAEQMTGYVSIGLLSTHNVV >OGLUM02G23640.1 pep chromosome:ALNU02000000:2:24550349:24556014:1 gene:OGLUM02G23640 transcript:OGLUM02G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVVECSVCRSRLVVPSPRSVSRAYDKHRSKISSKFRALNVLLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVVFAIVMLIIQSRKQKVGEKPLLARSTFIQAARNNALLAVPALLYAINNYLKFIMQLYFNPSTVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRTVPAGNTAFGLPVTAIAYIYTLIFVTVPSLASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKAKDDKPAELLELEDTQNHRSSESSFVNMTAGAAEDVV >OGLUM02G23650.1 pep chromosome:ALNU02000000:2:24556503:24557576:1 gene:OGLUM02G23650 transcript:OGLUM02G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIGRSSPRGHGGSGSLLRKRCRSEMEAVRGLLKKAEALVRKAAAGAAARRPLPRRVKDKEAMTMAQKEQLVGLLSSLPAGILLSHVADFMRRRCSWRAVPGVDGDDDELEIDLGSTEDAALFELRKMLDDEAAVRRTSPRGLEDGEVADEYMDICGGVSPLPAAARKPPPLALSSPPAAAEQEDDLIDIFGGDSPLPAHEDLLDASPLVKPEADEFVDIDGDTIDKSPGNPSSTTTGSSSGNASGSSSTSASSSGSDSDSDGGGVGDTASSNPNTANHLPVVVVEAVATKPLEPQPPQVAEQAYKMGEKLTRSRREAAPAPAPAGRMSELIARAQAERLRRDAERKRAREEQPR >OGLUM02G23660.1 pep chromosome:ALNU02000000:2:24561804:24565702:1 gene:OGLUM02G23660 transcript:OGLUM02G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPYSGGGGVPLPQMDADTYVRTIAAMPPHPLAPPPDSPRTPHTYVGFLPVFGDLPPLTGAVLQEPVPVPPEQRADQPVAVATENSAPTRPQLCAPYDDEVEATLRAMETNPAERPSPYFLETTQGGRMSALVRASMIAFMGEFSRKNKLADGTLQRAAYFLDRYLSSLQNSYNAVATPPDDATTEKPFQPSCRI >OGLUM02G23670.1 pep chromosome:ALNU02000000:2:24569754:24571701:1 gene:OGLUM02G23670 transcript:OGLUM02G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAIMDHSCLAELLADQTALPLFHPYSGGATPQMMVDTDTFLRAIGALPPLAPPPAAPLAPAPPDSPRTPHTYGSLLPVYGDLPPLSGAVLQEPLPLPEGSDHPVSPKKTIEVASLLQERADQPVVTSNSATTTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRASMIAFMDEFSRLHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVAATTVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLGGPTAYTFVEHFTRYYGKGKEEKLMREAAHWFADGSLLTYGFHRYLPSMVAASAIFLARLHVRGHEPWSKDLAELTGYKAIDLMGCVCDMYSQIACPRFALFQDFWYSDVSTFGPTADTFVEHFTRYKCTTAGERKSYGCMQRLERGVADQSLMNYVRLPGATIPAVHGGGGRRASISVARCSLNRHDALVWSTELQELTGYSFEDLVSCIFAM >OGLUM02G23680.1 pep chromosome:ALNU02000000:2:24575727:24578593:1 gene:OGLUM02G23680 transcript:OGLUM02G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLILDPYMEDAIMDHPCLAELLADQTSLPMFHPFSGGGTPQMHSSQTEEIPKTDNQNDGKNMDHKNSHQAFKITCIPDIGTAEAPHIIESDDDSLFEKNMVDTIGARLRRPHGRVSKPTHRSDSPFLYYKKTFASALKRSKEPKTTELSLQDEITINYMKQCDDDEKLLSSIDGIQLTYEFLRPLVNPKDSQIISKWLKGSVIDAYIMLIKDMQCESPREHGTAFLESTAHCQAWKTNGEQIGTRNKQYRDKRAKVTAKYLQHDMIFLPLNRNSTHWYVAVLNGAKEKTQILDSMRMDKSYYDKDKDLNNTIKGIEKFIQYARLEDGVENKWKNTKITNWPFCPMKVPQQSDSWSCGLHTLKFIQHWNGKELSPEFNEMDTTTTFKHKVAANLINSTINEVIEVQQDIKRLVTEANVGN >OGLUM02G23690.1 pep chromosome:ALNU02000000:2:24591098:24599297:1 gene:OGLUM02G23690 transcript:OGLUM02G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNDDTNGVLYDWDTKVDVKVPCALLPPPPGFPPLPVPGLADEPVYAAPARHLPPPPGFPPLPVPGLADEPVYAAPDRRLPPPPGFPPLPVPAKAEPVYTAPVDEGDAIRAFMQQLEWSEQYNGDKDAPAPDNSTASRPQLCAPYDDDIDANLRDMEKDAAQRPSPDYLDTVQGGQISAAARASLVAWMGRLTHRYELAAGTLHRTVSYFDRFLSVRALPSYTAHQLSLVATTAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEMMAALGYRLGGPNVETFVEHFTRYSKGKEELRVQRLACHVADQSLESYGCLGYLPSMVAAAAISIARWTLNPPGALPWSSELQELTGYSSQDISSCILTVLNTQRYLPDIRSRALKPPLAPAPPPDSPRTPHTYGSFLPIYGDLPPLSVAVVQETLPLPEGGDHPVPPKKTIDVAPLLPEHADQPVVTNNSATTRPQLCAPYDDDIEATLRAMETNPAERPSPYFLETTQGGRMTALVRVSMIAFMDEFSRFHELADGTLQRAAYFLDRYLSVTPESDDVLQLRLVGATAVFLAAKYEDQYTLRKIDASMVAARCGYTSETRHKMVSCMETEILAALDYNLSGPTAYTFVEHFTRYYGDGKEEELLKEAAHRFTDGSLLTYGFHRYLPSVVAASAIFLARLHVLGHEPWSRDLAELTGYKAIDLMGCVCNMYSQIACPRFALFQEYFFQDP >OGLUM02G23700.1 pep chromosome:ALNU02000000:2:24594526:24595855:-1 gene:OGLUM02G23700 transcript:OGLUM02G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGDAAAKKQPWYRRALGGLIPSARRAAIAAPPPPAAAVAGAVERRPRWWWGSSLKTTSAAFAREVCICAPLCSYDGIMGIQVDAVAPTMMARSSAAISPSPPRRASPARSPTAGAAGGGGRRSPASPRTASSPRSSRARAHPAPVSVAAPAPAAAAVEGPRKRVSFSGGESLWNDELVRRFVRAQEGMPRRGEIDMANRHRRRRWRAPGKSRLRRMSLAHVADDDEDGETNALA >OGLUM02G23710.1 pep chromosome:ALNU02000000:2:24606498:24612745:1 gene:OGLUM02G23710 transcript:OGLUM02G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLSSWMRGFLLPSLWEAEVAVSAAALLVAALLLLFLDQAVQSSTKSPASSSSPPPSPTTTAAASCRRDGGCGGCGCRRRRAKGKPAAAELGGTSKVALPDGSPHSRGRTSYVIKLELLSAKYLIGANLNGSSDPYAVISCGEQRRFSSMVPSSRNPLWGEEFNFLVRELPVEVTITMYDWDTVCKCKVIGSVTVAVLGEDETGATWFDLDSKSGQICLRFSSAKVFPTSERLFTKVILVLWRGLSCTMGIKRSQHSLINPAITIFLRTGSGGHGTPPSCSQNGRIRYKFTSFWNRNRTFRALENALQSYRATLEAEKQVRMHSLQQRRSSDVICSKTDDLKTAERSIEQAKAFQPFINEHVLVDATSLSKWYPSDEYGGKIREVMFRSLCHSPLCPPDTAVTEWQRASFSKNKTNLIYETKHQAHDVPFGSYFEVASKNNLQFNMSRVNMKKWCILQSKIKSGATDEYRREVCKILEAACDYVLKEESNNQASHEIEAISLT >OGLUM02G23720.1 pep chromosome:ALNU02000000:2:24607934:24615229:-1 gene:OGLUM02G23720 transcript:OGLUM02G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPDGLDGLQKDGHAAHESIDDLFFPSSSSPLRGAVSPAANSRLGWAGVSFLLPEAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKEQCKTANVTLEKLADAARAR >OGLUM02G23720.2 pep chromosome:ALNU02000000:2:24607934:24614214:-1 gene:OGLUM02G23720 transcript:OGLUM02G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENLECTVGKDGLNFQCNLCDSDVVHSMAEILLRGLATASVDSTTGDIFKSPSSVAVGMKSELAEYLIQRSMTFVREAVDGGEDHSEQLIKASTMPTEFLSDLIDGFVASKRNFLSHVSGFLSSETRLNKIKDFIQKLEMENFWTPDVREATAGTILKSIDMKCIIHCPERFDTQDKLAEHRNLCRFRIVNCKNDGCLASFSANHIEKHDSVCPFKVLPCEQLCEQHVMRCEMDRHCASVCPMKLINCPFYQVGCESAFPQCVLDKHCSERLQIHLMYILELTTRHDAFVNDMNQRLHLLEKAQSLNELSGALDNRTLTLTAKEQEAKIKKLEQDLKVQETKLKKLESEFKSGKEQCKTANVTLEKLADAARAR >OGLUM02G23730.1 pep chromosome:ALNU02000000:2:24615258:24620511:1 gene:OGLUM02G23730 transcript:OGLUM02G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARCVGVCLAVFFFFLRHRRPPNQPTPPRAKQNPSKGEGQRRRARGAWGRRCAMDAIRKQLDQLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGMDNYDRELEETIERLISECERKIQRALKRLEEDDAKAAIAISVTEVTQSKEVIEFSKQIKEKMKEIDAFDLEGNTEGKIRATEEVDKLKEQRAEEQAKHLLEAFNKDRVSLMTSLQTANQSIPPPPVAAAPDARTQEMIDEKLKKAEELGENGMIDEAQKLLDEAEALKKLGARPQPVPDSAKMSTHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIREKLSELQEEKNKRRKIDRAEHERRSRDRSLERDRASSKDRHRGDRGSSRDRDRDYDRRRSHDRYHDRESRSDKDRESGRSHSYDSRGYRRSRSPRDRVTTVGIDARHMVEGFSMNRIVWCFNSEVHVGKMLIS >OGLUM02G23740.1 pep chromosome:ALNU02000000:2:24624302:24624571:-1 gene:OGLUM02G23740 transcript:OGLUM02G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVDLAGDLSRSVAYAAASWLWTNAGAARRPPSSAAGRSCLAASTVAAHCSAATANSKQRKTKTDADVVVVRAAADSIALHAPKQCN >OGLUM02G23750.1 pep chromosome:ALNU02000000:2:24629155:24630062:-1 gene:OGLUM02G23750 transcript:OGLUM02G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSLLAVVLAMVAAVSASTAAAQSCGCASDQCCSKWGFCGTGSDYCGTGCQAGPCDVPATNDVSVASIVTPEFFAALVAQADDGCAAKGFYTRDAFLTAAGGYPSFGRTGSVDDSKREIAAFFAHANHETIKFCYIEEIDGPSKNYCDETSTQWPCVAGKGYYGRGPLQISWNFNYGPAGQSIGFDGLGDPDAVARSPVLAFQTALWYWTSNVHDAFVSGQGFGATIRAINGALECDGKNPTAVSNRVAYYQQFCQQFGVDPGSNLTC >OGLUM02G23760.1 pep chromosome:ALNU02000000:2:24632426:24639340:1 gene:OGLUM02G23760 transcript:OGLUM02G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLSHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLPPLCASSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >OGLUM02G23760.2 pep chromosome:ALNU02000000:2:24632241:24639340:1 gene:OGLUM02G23760 transcript:OGLUM02G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFTCKPNSGLIVDRPVAGLGRTGRLLSHPQYSLTTHSVRFPKLQKQVYPRLVLVAASQKKLPPLCASSGKVNPEAENDPFMESLKKAMDDAKKPRPIQDLLKEQIAKLREQGSGGGGGNRNRRGGSGDSGGPEDESFKESLDELVQVILATVAFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWRNFSESFMQSEGSQEDQYERAATSKPTWWQQPQKFVHLMEELCRGNWRPHAQES >OGLUM02G23770.1 pep chromosome:ALNU02000000:2:24636612:24640257:-1 gene:OGLUM02G23770 transcript:OGLUM02G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor eIF2A family protein [Source:Projected from Arabidopsis thaliana (AT1G73180) TAIR;Acc:AT1G73180] MASQPPALDILVREPDGFSVWSGPPYPTGSAPPVRLPKTACSATYFSSDGSRLLATVASASATVYDCRTLSVVRSFELPGLLAAALSPAGTFLQTFQKSSSPQEKNVTVWHVDTATALYQHYQKNMSKATWPMVQFSADESVACRMMTNEIQFFEPKDFTKGFVYKLRMPGIAAMQLASAPGSHVAGFVPEAKGVPASVQIFSCDKDAQGQAVARRSFFRCSTVQFHWNKGSTGLLVVAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLIMPARATIFNKKCNPLLELGEGPYNTIRWNPKGRWFGNLPGDMAFWDYSEKKLVGKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFEKLYQADWKPEAPEKFSDIADLTISLGSIKIEETKKQAQGSKSAQPSSKAPANIAAKPTAYRPPHSKNSADVQDKLFGGLASTGGEMSKNALRNKKRREKQKEKKAAEGSGASADDN >OGLUM02G23780.1 pep chromosome:ALNU02000000:2:24643650:24646242:1 gene:OGLUM02G23780 transcript:OGLUM02G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKRSESGLAVRPRPRASERSSLPALPVQADRGIATRDATGGVHAPAPARDQAQRPRGKPGGGGDEEAARQERGRGSGELVAHGGSAVASRTSSRVVVVGKLNAELVTVSSPRPVSAFAIATSATPCCCACHSSELCQLERKDYNRFNIWTLLIKGIRSFESAQIEIKIFAAARSRQKKPLRVATCAD >OGLUM02G23790.1 pep chromosome:ALNU02000000:2:24646531:24647450:1 gene:OGLUM02G23790 transcript:OGLUM02G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDACESAAAAVVCCADEAALCAACDVEVHAANKLAGKHQRLPLEALSARLPRCDVCQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFASASPCDGGSDAHDSDHHAPPMGSSEHHHHHQQPAPTVAVDTPSPQFLPQGWALQKESSPPLGFQELEWFADIDLFHNQAPKGGAAAGRTTAEVPELFASQATNDVAYYRPPTRTAAAAFTAATGFRQRKKARVELPDDEEDYLIVPDLG >OGLUM02G23800.1 pep chromosome:ALNU02000000:2:24648291:24653045:-1 gene:OGLUM02G23800 transcript:OGLUM02G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56120) TAIR;Acc:AT3G56120] MEKLDESKFEQRLQLWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSEKIQNPDLSDIEEKVYDSLKQICNIDVVPYSLTLGYSYWSAGHVAHLNIPDDLLPYKDVIAKVIYDVRISSRVTCSIPDSSFLSTLNMIKAHVHEHEAYLQFVSECKTKNYPRIQTVVNKVGTITNEFRVPQFEILAGKNDMVTEVKQYGATFKLDYGLVYWNSRLEHEHIRLVSLFKKGDVMCDMFAGIGPFSIPAAQKGCIVYANDLNPDSVRYLRTNAQINKVDDYIFTYNMDARVFMQNLLEVPCSENKSDSQVAANCSSEDIDPANESSTSNGNHSDVQESCHKDINDSSMISTATKRRQESSNGGDGYCQEDASHTKKRNNKRVKGSGPPPVKPWEHFDHVVMNLPASALQFLDCFSGLVQKKYWTGSLPWIHCYCFIRSSESEELILSEAQNKLNAKIAEPIFHRVRDVAPNKAMFCLSFQLPSECLKDETEDHIQSVDC >OGLUM02G23810.1 pep chromosome:ALNU02000000:2:24653978:24654469:-1 gene:OGLUM02G23810 transcript:OGLUM02G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDQQAELRRVFELFDRDGDGRITREELTESLERLGMPVHGEELAATIARIDANGDGCVDMDEFTQLYETVMRVDGGGGGGGACDVDEASMREAFDVFDRNGDGFITVDELGAVLASLGIKQGRTAEDCGRMIGQVDRDGDGRVDFLEFKQMMRGGAFATLR >OGLUM02G23820.1 pep chromosome:ALNU02000000:2:24655747:24656056:-1 gene:OGLUM02G23820 transcript:OGLUM02G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVFKIFEICLFVLAKQTHSVPRLVSVLWQFLRLREGCSENLVCTKFDLNVLVKREIKFGIT >OGLUM02G23830.1 pep chromosome:ALNU02000000:2:24656527:24662992:1 gene:OGLUM02G23830 transcript:OGLUM02G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGGGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >OGLUM02G23830.2 pep chromosome:ALNU02000000:2:24656639:24662992:1 gene:OGLUM02G23830 transcript:OGLUM02G23830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGGGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >OGLUM02G23830.3 pep chromosome:ALNU02000000:2:24659072:24662992:1 gene:OGLUM02G23830 transcript:OGLUM02G23830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDASSAADAMGVLRAAVPLQPDADLVLATGGGGGGGERGQVVGLVLVDVSNGFCTVGAGNLAPVTPNKQIEKMVDEAARLAKVFCERNWPVFAFLDTHYPDKPEPPFPPHCIIGSGEENFVPALEWLEKDPNVTIRRKDCIDGYLGAFEKDGSNVFSDWVAKFQIKTVLVLGICTDFCVLDFASSALAARNIGRVPPLEDVVIYSEGCATYNLPVEVARSMQGTLAHPQDLMHHMGLYMAKSRGAKVVDRIII >OGLUM02G23830.4 pep chromosome:ALNU02000000:2:24656639:24659678:1 gene:OGLUM02G23830 transcript:OGLUM02G23830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTATAVFLDENLHIHRGPAGKRADGLKAKPLKPLAAKQGLQEKKALRDVSNIGKPPVSTRKPLQDVSNTAKPRGRNISDGTTLKKTALRSHEATKNPVKKTVIFSDETAKCHEWAKDGVEGTHFTGNDSQKLEKDSQDKRVKKKVEKIMSALHDWPDAVFDHVLFPSEVVAAFFEEVKEMELEPEILPENNRRRSSSGLFLLPCTHSCRSLISAFQCFHQQHRLDFFLIICMDVCPPPGDKMKLAEDPFTEDELDYYPFLENNPVEFQLRDELPLLEPGMN >OGLUM02G23840.1 pep chromosome:ALNU02000000:2:24681803:24690367:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSSVLLALVVAVPADFDVDCLDVTSTKTYVGLCSVRGDPNEHYDPHGDLYLRPFLQLDSVHQFESKFCHGCIDQYQTSINIEVELDLDALKSTSFFGVYDGHGGAEVAMYCAKRFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVCRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSHCVLSKNGQAIDLSTDHKPNVPLEHQRILRVGGQVWREKFPAKDSGGEIREQWGPYCIEGKLSTSRALAGIFLTTISGDFAYKNIVYRPQYQMVTHFPDIRVAKITGDTEFLVIASDGICSIQILIVDLNTFFPFRDHMSSQDVVDFVHEKLNSRRQELCQNLINQGKKRECFTEDSQLATNKNIAPNTTTLWRSARAASPARREAVVRGAAVAEDEGVELADDDDGERGVGGGDGDGGSESFRTPARHPPAVAFAIGVGSHGIMVICWIGGGANEHPQPHGDLRFVSLSESKSCDGCICQQFSNVEAY >OGLUM02G23840.2 pep chromosome:ALNU02000000:2:24663822:24690367:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVPLFSSVLLALVVAVPADFDVDCLDVTSTKTYVGLCSVRGDPNEHYDPHGDLYLRPFLQLDSVHQFESKFCHGCIDQYQTSINIEVELDLDALKSTSFFGVYDGHGGAEVAMYCAKRFHVMLREEESFLNNLPYAITSVCSRLDDELEAPNVWRASLYPHRSSESSSESSDCFQFLSTGSCANVCRSSEAVSYKLPSYEGSTACVVIIRGNQITVGNVGDSHCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDNV >OGLUM02G23840.3 pep chromosome:ALNU02000000:2:24663822:24673221:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGNWDTKVDAKVPSAFLPPPPGFPPLPVPALADEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDDDAPAPDDSMASRPQLCAPYDDDIDANLRAMEKDAAERPSPDYLDTVHSGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTEHQLSLVGATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEELRVQRLARHIADRSLESYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHGLTGGEAVVVRRPEQKTKGVELSGRRRRARRRVGLNAAPRGSSACTPERLGGADQYPGYGGGGGGGGGIPPRRPQGSARVGPGARAARGAHLGRRIRRSSHVGPIQRTRCARSGRRRKLWVGFTTFCAPPPLACKALAPLTHFCFAITTIFTSHKRSGIAERKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEFYTSAGLLPPQDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDNV >OGLUM02G23840.4 pep chromosome:ALNU02000000:2:24663822:24673221:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGNWDTKVDAKVPSAFLPPPPGFPPLPVPALADEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDDDAPAPDDSMASRPQLCAPYDDDIDANLRAMEKDAAERPSPDYLDTVHSGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTEHQLSLVGATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEELRVQRLARHIADRSLESYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHGLTGGEAVVVRRPEQKTKGVELSGRRRRARRRVGLNAAPRGSSACTPERLGGADQYPGYGGGGGGGGGIPPRRPQGSARVGPGARAARGAHLGRRIRRSSHVGPIQRTRCARSGRRRKLWVGFTTFCAPPPLACKALAPLTHFCFAITTIFTSHKRSGIAERKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDNV >OGLUM02G23840.5 pep chromosome:ALNU02000000:2:24663822:24669108:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLGAPPPVLPSGSAAPTNTPATAAAEEEEEESLLEGHKAAREWAQVHGQHEGRTWAVGSGAAATLGQSNGRDAPARAEDGSFGKEASAMGASPSRPLEQSPSSSEGENHRVKYASYTTQGFRPHMEDALAVELDLDATTSFFGVYDGHGGAEVAMYCAKRFHTMLLEDVDYINNLPNAITSVCFRLDDDLQRSNEWRESLNPCANRNCLTNICANLHHFTEDYVPPSYEGSTACVVIIRGNQIIVGNVGDSRCVLSKNGQAISLSFDHKPHHEAERERIQRAGGHVFLRRILGMLATSRAIGDFAYKQNRNMPPSQQMVTCVPDIRVENITDDTEFLVIASDGVWDGMRNNNVVQFVRQELRPGEENLRETCEKLVGHCLHSNDNATAILVKFKPIEEDPDEVASARDEHQHNPEGGDEKLDINNDNV >OGLUM02G23840.6 pep chromosome:ALNU02000000:2:24673274:24681800:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MERIRELIELDLDALRNTSFFGVYDGDGGAEVAMYCAKRFHAMLCEDENYLNNLPNAITSVCSRLDDDLQRSNEWKESLYPRGNGECFQFLKTGVCANLWHSEELGFMLPQQAYRAPLYEGSTACVVIIRGNQITVGNVGDSRCVVSHNGQAIDLSIDHKPTVGSERERILRAGGRVLVKRIPVMGSDGRLMRGWGYFELKKNQNIPPSQQMVTCDPEFTIVDITADTEFLVIATDGIWGHMSSQDVVDFIRKELHSSPPACASFFFHH >OGLUM02G23840.7 pep chromosome:ALNU02000000:2:24669148:24673221:-1 gene:OGLUM02G23840 transcript:OGLUM02G23840.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMEPYVADLLADDITASMVELLSGDGGAAQMDVGVLDAYLRAIGALPAHPAAPGADLAAAAEVESMASNDDTNGNWDTKVDAKVPSAFLPPPPGFPPLPVPALADEPVYAAPVDEGDAIRAFMQQLEWSEQYNGDDDAPAPDDSMASRPQLCAPYDDDIDANLRAMEKDAAERPSPDYLDTVHSGQISAASRASLVAWMGRLTHRYELAAGTLHRAVSYFDRFLSARALPSYTEHQLSLVGATAVYTAAKYEDQGTVFKLDAREIASYGEFASAQEVLAMEREMMAALGYRLGGPNAETFVEHFTRYSKGKEELRVQRLARHIADRSLESYGCLGYLPSVVAAAVISIARWTLNPPGALPWSSELHGLTGSGGEPASARRRWPGPRRGGVRLWLCGGRSRRRRGWS >OGLUM02G23850.1 pep chromosome:ALNU02000000:2:24693621:24698704:-1 gene:OGLUM02G23850 transcript:OGLUM02G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSKEATPPPEAWWTGETVAVVTGANRGIGHALAARLAEQGLAVVLTARDEARGEAAAAALRARGLRSVRFRRLDVSDPASVAAFASWLRDELGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLIEALLPLFRRSAANSRILNISSQLGLLNKVRDPSLRSMLLDEASLTEGKIERMASRFLAEVKDGTWSAPGRGWPAVWTDYAVSKLALNAYSRVLAARLARGGDRVAVNCFCPGFTRTDMTRGWGTRTAEEAGRVAAGLALLPPGDLPTGKFFKWCTPQLYSKL >OGLUM02G23860.1 pep chromosome:ALNU02000000:2:24700044:24709056:1 gene:OGLUM02G23860 transcript:OGLUM02G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHIASLLGVTFGEPFMHMPDRGIGVSSGVSTFTLTLNRPGIKSEEVGRLCMTGSRRETERGNMMAKRGGTRRRRRGGQGGGDHDARDKATATSILASCPDEPSPPDLASLAKHSRRRHDSPPPRPDEPPPLDLASLATPSSRLAAAARPRVVPRRDTATGSGDPCHTVVATRCHRVMPQLAAIVAHSQIRGDLREEDVGEAAVACEKRRGQVHCRRRVPSPSPPSSISAIAEAGRGGRRRRGRWEH >OGLUM02G23870.1 pep chromosome:ALNU02000000:2:24704310:24704678:-1 gene:OGLUM02G23870 transcript:OGLUM02G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMELGGDGLGTRRRQWTWPLLFSHATAASPTSSSRRSPLTRALRVGAHRIWLWATIAASWGMTRWQRVATTVWQGSPDPVAVSRRGTTRGRAAAASRDDGVAKLAKSSGGGSSGRGGGES >OGLUM02G23880.1 pep chromosome:ALNU02000000:2:24709561:24710745:1 gene:OGLUM02G23880 transcript:OGLUM02G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSPSSRSHFSISSCVCVCLNLTSRVFFCVDRSMEMQSFVRFDGRYCVYRATPALGCGIAAAMFVLSGQAAVTAASGCFGRCRARRGEESSAPTPGEQRRRSVAAWLSVISWVLVAAAVAMFLYGASRNAAVRRGLAAAALGRRGRRRGGGRGRNVYGCAVLGSGLFSAASVASLAASACGIAAYVYVEADGESLTLTPTTPPPRPGGFAGAPGAATGGQPYFQPQVAYPATGYAANPAAAPPPPPYGGGGDYAGYVAKSREGTA >OGLUM02G23890.1 pep chromosome:ALNU02000000:2:24713812:24719569:1 gene:OGLUM02G23890 transcript:OGLUM02G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLHLLFLPSYSTPSTSRVSILLSPIYAAKAGAAVSSSAPTAEKTAGTAASTASSPSFQTPATAAPRPAQASAAASPVRPPGLSTRARPGRAGLLGVGDAARAAASSHLNPSQLAPNSERNMVEGLPAELPIVDVAARLDGKSSELPENGALLKGAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGCGKVDENAPRDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMAQADSQGYGNQWAFPTLQDNSMLRTAARRGV >OGLUM02G23890.2 pep chromosome:ALNU02000000:2:24713812:24719569:1 gene:OGLUM02G23890 transcript:OGLUM02G23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLHLLFLPSYSTPSTSRVSILLSPIYAAKAGAAVSSSAPTAEKTAGTAASTASSPSFQTPATAAPRPAQASAAASPVRPPGLSTRARPGRAGLLGVGDAARAAASSHLNPSQLAPNSERNMVEGLPAELPIVDVAARLDGKSSELPENGALLKGAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGCGKVDENAPRDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMAQADSQGYGNQWAFPTLQIP >OGLUM02G23890.3 pep chromosome:ALNU02000000:2:24713812:24717854:1 gene:OGLUM02G23890 transcript:OGLUM02G23890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFPLHLLFLPSYSTPSTSRVSILLSPIYAAKAGAAVSSSAPTAEKTAGTAASTASSPSFQTPATAAPRPAQASAAASPVRPPGLSTRARPGRAGLLGVGDAARAAASSHLNPSQLAPNSERNMVEGLPAELPIVDVAARLDGKSSELPENGALLKGAEESQDLGGNPVAELTLHEGKEVILVDDNDSEQEDGGCGKVDENAPRDFLRSSFVTDESNMIQGAPSASHLESPHLGVDLMAQADSQGYGNQWAFPTLQDNSMLRTAARRGV >OGLUM02G23900.1 pep chromosome:ALNU02000000:2:24720278:24722195:-1 gene:OGLUM02G23900 transcript:OGLUM02G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQFQRGDSSCRTPFIFFTMVRSTKKVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >OGLUM02G23900.2 pep chromosome:ALNU02000000:2:24720278:24722195:-1 gene:OGLUM02G23900 transcript:OGLUM02G23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRFPLCFVLLHTKNNSIREVSCVLPLCHAILPRDPSSFVLTVKHGSDAWFMPGFMDSSLKNTNNMQVDKVMEEMKPIIILSLLGGVSDDSAASYSTNWTLKQVIGETHTRVIPPQLLPKDQKIIFQKPSQHCYS >OGLUM02G23910.1 pep chromosome:ALNU02000000:2:24722202:24722405:1 gene:OGLUM02G23910 transcript:OGLUM02G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVDGVNLWRLQESGTRDGWGTHNQELETPVDRDHASGAADSVARLLLLHHIDSHRQLVPLNSDPP >OGLUM02G23930.1 pep chromosome:ALNU02000000:2:24731125:24738036:-1 gene:OGLUM02G23930 transcript:OGLUM02G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVIRRRKYLLDHVNAPTLSLSPFSTFQHGRSGSEDESRIGQRFLEQSSGDSKWEQGQYGVKLIKGDLLALGNGLLRRPAHGISLPAYGIGRKEFGLPMGARHLLQSVRTASTATAGQPKLDIEDEQSEDQKQNKRKKEASPEECDQAVEGLSSAKAKAKAKQVQESVKAGQSIVRKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKNLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGEIKQTAEDLDEFLNKVRRGEHVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAVPSSLLILSRAFTVSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKEPKAAEEDLALKEMTGPTAREEEELREAKQHDKEKLCNFSRALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGEEEAKKAYMAAREESDKAAEVDEEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQILDRDLDGKVTPEEVASAAAYLKDTIGKEGVQELVSNLSKDKDGKIRVEDIVKLASQTDENNEDEEEGRQ >OGLUM02G23940.1 pep chromosome:ALNU02000000:2:24740982:24745425:-1 gene:OGLUM02G23940 transcript:OGLUM02G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVPENLDATMRLLFAACQGDVAGVEELLRDGVDVDSIDLDGRTAMHIAACEGQGEVVRLLLSWKANMNARDRWGSTPAADAKHYGHFEVYNLLRARGAKTPKQKKTPMTVSNPKEVPEYELNPLELEFRRGEEGHYVARWYGSKVFVKILDKDSFSDANSINEFKHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLETKGRLQPYKAIRFSLDIARGLNYLHECKPEPIIHGNLSTKSIVRDDEGKLKVAGFGSRSLIKVSEDNPQMDQTTSKFNSVYTAPEMYRNGTFDRSVDVFAFGLILYEMIEGTHAFHPKPPEEAAKMICLEGMRPPFKNKPKYYPDDLRELIQECWDPTPSVRPTFEEIIVRLNKISTSFTKQTRWRDTFKLPWYTALRALKL >OGLUM02G23950.1 pep chromosome:ALNU02000000:2:24756976:24757516:-1 gene:OGLUM02G23950 transcript:OGLUM02G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVHPLLVAFLVVLLVGTCQARPAPGKAASSSSSGVVDGITAIYNFGDSISDTGNYLREGAAAGAMMEHTVAPPYGAAIGGATGRCSDGYLMIDYLAKDLGLPLLNPYLDKGADFTHGVNFAVTGATALDAAALARIGVAAPHTNSSLSVQLHRPPRCATSWRARW >OGLUM02G23960.1 pep chromosome:ALNU02000000:2:24757984:24759884:-1 gene:OGLUM02G23960 transcript:OGLUM02G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYGIAKSDFLDGILTAQYSENDINLRYCYKDNELTLIPSVSLPSNAVSLGFKRRFGPSDKLSYRYDFTTDDWNAVYKRTVGKDFKVKAGYDSEVRVGWASVWVGQEDGKAKTAPMKTKLQLMLQVPQDNFRNPTFLFRVKKRWDL >OGLUM02G23970.1 pep chromosome:ALNU02000000:2:24760280:24764925:1 gene:OGLUM02G23970 transcript:OGLUM02G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKELQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEPYEGSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEIQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVL >OGLUM02G23970.2 pep chromosome:ALNU02000000:2:24760390:24788903:1 gene:OGLUM02G23970 transcript:OGLUM02G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTDRVLNQVIEQLNKLVNVLNVEDLSKELQVERELMLIKINVEPDQRPEVMVLVDIFRAKVVDISENTLTIEVTGDPGKIVAVQRNLSKFGIKEICRTGKIALRREKIGATARFWGFSAASYPDLIEALPKNSLLTSVNKTVNGSFDQPSNAGGDVYPVEPYEGSSMNQVLDAHWGVLDDEDSSGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKSGLSRITTVAPGTDESIEKLVQQLYKLVDVHEIQDITHLPFAERELMLIKVSVNTAARRDILDIAEIFRAKSVDVSDHTVTLQLTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPL >OGLUM02G23970.3 pep chromosome:ALNU02000000:2:24765650:24788903:1 gene:OGLUM02G23970 transcript:OGLUM02G23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNYRPRSWMAPPGREPCPLSSALRASTSYSTEKFLAMSPTTGATNSCRRGSPSSPNPCPDGSLWNDSRSLASPPATLHDTLCANMASSLSYSVVQLANEKQLIDHYGSKHPKEKPPSPSE >OGLUM02G23980.1 pep chromosome:ALNU02000000:2:24771983:24772907:-1 gene:OGLUM02G23980 transcript:OGLUM02G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTTTSSSNSQNPNPFNLPPWLRSLRCPFTFLCPPPPPPPPPPPPPPPPPPLEVVSPSPRWRRPGLRVTTEYDSEEAMFAHKVSCKVAGGLAKLRLSFQSDPSGQGLGEEGDPRRQLFVAPVVGLIAKNFSVLYDVEARNALLSGQGSLPGGAIQLRGSHDVKERQGEVSVITRLGDPSYKLELSSLVPYNGLWS >OGLUM02G23990.1 pep chromosome:ALNU02000000:2:24789316:24789672:-1 gene:OGLUM02G23990 transcript:OGLUM02G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVLLQQGIRELRRSYPEATVAYADYFGAYVRMLERAREMGFDGAALTNACCGAGGGKYNFEMERMCGAGGTAVCARPEERISWDGVHLTQRAYSVMAELLYHKGFASPAPVRFPHQ >OGLUM02G24000.1 pep chromosome:ALNU02000000:2:24792959:24794295:1 gene:OGLUM02G24000 transcript:OGLUM02G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIIGSVAASFAFAYVCEIYIAEGKLLGGTTTRTMATDEWGKETDKKFQAWPRTAGPPVVMNPVRRQNFIVKSSE >OGLUM02G24010.1 pep chromosome:ALNU02000000:2:24801516:24810694:1 gene:OGLUM02G24010 transcript:OGLUM02G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNPDGIKRYTPPVHRNRANNRRKSGDRAEKASYLYNNDGEKSHAPSLKNLPPIIPHETFFSNPQNDYSQTRLIPLEGCCASEAAQLLNDRWAAAMNLYNDQSYDSPDKPVMYSGSSGSSWGHGHMKLPHQMNFFEELRRALDEQPVTGPSVNTWN >OGLUM02G24020.1 pep chromosome:ALNU02000000:2:24806929:24837704:-1 gene:OGLUM02G24020 transcript:OGLUM02G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIRVTVESDGAFVINFKGVRGSPMVCAICIRKTVAIAEQALDRQADQLRSVSQKYENANKLWAAAISNLENKIKSKHCYLLKQDGHANAVPELSKMVGAVQALVVQCEDLKLKYNEEMAKRKKLHNIVEETKGLQGAVVPSNSTNMGCLHGPPVLARASAGTAGLTAARGAGTVGRAQLFLLPRDDHDCCSHSGGHALQGSECELTTWSATKQVCLGIARLARSVSLEQELNCRWPW >OGLUM02G24020.2 pep chromosome:ALNU02000000:2:24806929:24837704:-1 gene:OGLUM02G24020 transcript:OGLUM02G24020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIRVTVESDGAFVINFKGVRGSPMVCAICIRKTVAIAEQALDRQADQLRSVSQKYENANKLWAAAISNLENKIKSKHCYLLKQDGHANAVPELSKMVGAVQALVVQCEDLKLKYNEEMAKRKKLHNIVEETKGLQGAVVPSNSTNMGCLHGPPVLARASAGTAGLTAARGAGTVGRAQLFLLPRDDHDCCSHSGGHALQGSECELTTWSATKQEQELNCRWPW >OGLUM02G24030.1 pep chromosome:ALNU02000000:2:24834513:24836645:1 gene:OGLUM02G24030 transcript:OGLUM02G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKCTRWNRSVRPPQVENYSQYRLWSEEGLQEVTNETDLANLAIPKQTCFVADHVVNSHSLPCKAWPPLWLQQSWSSLGSRNSWALPTVPAPLAAVKPAVPADALASTGGPCKQPIFVELDGTTAPCSPAPRDEHGVEQAD >OGLUM02G24040.1 pep chromosome:ALNU02000000:2:24864405:24865321:1 gene:OGLUM02G24040 transcript:OGLUM02G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEAGLACAKANHRLHLHLHLSKKATLVLFLLLLSYGVGSVHCSTACRSWEARTPVCWWAGVRCGRKKRPGRVVELDLEYLFPSGTISPSLGNLMFLEELDLSLRGILRYFPRLVVVV >OGLUM02G24050.1 pep chromosome:ALNU02000000:2:24866703:24871219:-1 gene:OGLUM02G24050 transcript:OGLUM02G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAPSIDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQREDGLVSLALICSFARMKSHLGLDAAVKPETVPEETVLAVAEVLRRSQMLRISEDGKMVGRASELLKADEIIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEEEAKSVLENNLFFTGANLEIKPKKEFDAETESKKEAYEMAHPKKDGQNEGYPKGLIVAFKLKRITVDGGVQQNSADNDTATEEETPKSMKKTSTGESEERTTANSDTEEQKSSDDMTEAKEVNAGEATESGDKCTVDALLESEKKGDNETSSKDDRGLSGKANSPISREDLKEAFKKFGTVRYVDFSIGDDSGYLRFEDSKAAEKARMSAVLADEGGLIVKDHIVTLEPVTGEAEKDYWNTIRGIQGKYKDNRSYKGRTGKSYRGGKQFNGKRGRHSDSSEKGANKTQKVEAAA >OGLUM02G24060.1 pep chromosome:ALNU02000000:2:24892644:24899078:1 gene:OGLUM02G24060 transcript:OGLUM02G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding [Source:Projected from Arabidopsis thaliana (AT3G08010) TAIR;Acc:AT3G08010] MTTATAIVAGHGLALRRSLHLSKPSCATFSARALPPAAHCCRTVVAAAAPSSRTCRCRSVSSESSTAAAADTADDEEEETKSDSEEEEMDPLAEVCYLDPEADAEGIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRFFPNTSINSVTLRDALASVATSLGVPLPDRARFFRSQMQTIISRACNELGVKAVPSRRCVSLLLWLEERYETVYSRHPGFQSGTKPLLTLDNPFPTSLPENLFGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDENTLIPGVAVESSRAKPLAAWMNGLEICSMEVDTGRANLILSAGVSTRYVYAGYQKSAATTQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >OGLUM02G24070.1 pep chromosome:ALNU02000000:2:24894081:24896381:-1 gene:OGLUM02G24070 transcript:OGLUM02G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEERKTRGGRRRLLESIERGEGRRGERGGGGSKNGVLSSCVPLGVGYSSSSICCCCFLFVSVPLHGACVLGSVHVQASVKVLRDVTWTVQYKQPGHTFYRRTHVQSFLAFASTDTSEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQVFHLNIKKAYHLSNQSPQRIAMIL >OGLUM02G24070.2 pep chromosome:ALNU02000000:2:24894081:24896275:-1 gene:OGLUM02G24070 transcript:OGLUM02G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSPPVFLSASATPPPPSAAAASSSSPFLSTGHVSSAPSTWTVQYKQPGHTFYRRTHVQSFLAFASTDTSEGKRSSGDNVVMVDPLEAKRLAAQQMQQIQAREKLKRRRRAEAINGALAMIGLTVGLVLEGQTGKGILAQVFHLNIKKAYHLSNQSPQRIAMIL >OGLUM02G24080.1 pep chromosome:ALNU02000000:2:24901282:24905759:1 gene:OGLUM02G24080 transcript:OGLUM02G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLGGEVRVQRHHRGGAPAERELDVREGSCDTDSASLPAVPDAAPDLEALRLTGAEIPLAVRLDGGGRVWSSAAASSINSRWKRELGLSVGACVLGEAGEDAVLVLGYVEAAEEAGGSVGVVTGESRSVREATARLLEPEEQQEEEEEEVEEEGHRRPRVPTARATVAPLSRRACPPSRRAFVARVLRAXAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGPLADAPQPFPFSQAAADAAAAREEDADDDRSNEAEAASWLLPEPDDNSHEDSAAAADAFFADTGAYLGVDLDFARSMDGIKAIGVPVAPPELDLTAGSLFYPEHSMTHSLSSSEVAIVPDALSAGAAAPPMVVVVATKGKEREARLMRYREKRKNRRFDKTIRYASRKAYAETRPRIKGRFAKRTADADDDDEAPCSPAFSALAASDGVVPSF >OGLUM02G24080.2 pep chromosome:ALNU02000000:2:24904810:24905644:1 gene:OGLUM02G24080 transcript:OGLUM02G24080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQHNNSSMIFRFNLGSSGILGCGCDYDRGTLDSGYGCGCGVFHCQGLQNQSVSGIHVQNDQVRHIHSTSCQNHRNDLGHGHYQLWLTAQPSIHSCL >OGLUM02G24090.1 pep chromosome:ALNU02000000:2:24901549:24902245:-1 gene:OGLUM02G24090 transcript:OGLUM02G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIPSMDRAKSRSTPRYAPVSAKNASAAAALSSWLLSSGSGRSHDAASASLLRSSSASSSRAAAASAAAWEKGKGCGASASGPKKGATGRRSCLRASGFAEWMSASQAAHSAAASARHVTAAGAXARRTRATTAGTRAAARGTRATAQRSHGGAGGRHARAAVALLFHLLLLLLLLLLRLKQPRCRLPHRPALAYPFDISEHKHRVLAGFSKNTCADT >OGLUM02G24100.1 pep chromosome:ALNU02000000:2:24904561:24906632:-1 gene:OGLUM02G24100 transcript:OGLUM02G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYECGEPGHFARECRLRIGSGGLGSGRRRSRSRSRSPRYRGRSRSRSPRYRRSPSYGRRSYSPRDRSPKRRSYSRSPPPARARSYSRSPPPPRERSYSRSPAQPANREESPYANNA >OGLUM02G24110.1 pep chromosome:ALNU02000000:2:24927513:24934497:-1 gene:OGLUM02G24110 transcript:OGLUM02G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRGPRQFCPPSTAAAAAASSASRRCAARSRRVTGRPASPEMMAEFVGFKCFECQRGRYTGASEKFVKYYRSLYHGEWQQGTAVAPTAEEAITHATFGRCYYSSRVVFRMQARLMAFASEAFRKVLIPGYRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTWATVLLAVHPDWQDRTRGSLQGGAVGGFRPLGLHSGCRCGVPARVPPRRGLRSGDTAAIPARVTPRRLRSEGGDLARV >OGLUM02G24110.2 pep chromosome:ALNU02000000:2:24927513:24933855:-1 gene:OGLUM02G24110 transcript:OGLUM02G24110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSWKFVGFKCFECQRGRYTGASEKFVKYYRSLYHGEWQQGTAVAPTAEEAITHATFGRCYYSSRVVFRMQARLMAFASEAFRKVLIPGYRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTWATVLLAVHPDWQDRTRGSLQGGAVGGFRPLGLHSGCRCGVPARVPPRRGLRSGDTAAIPARVTPRRLRSEGGDLARV >OGLUM02G24110.3 pep chromosome:ALNU02000000:2:24927513:24934160:-1 gene:OGLUM02G24110 transcript:OGLUM02G24110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAGGCGRVRFIDLRRQQQQGTAVAPTAEEAITHATFGRCYYSSRVVFRMQARLMAFASEAFRKVLIPGYRFLPTKNRMSWGMDREIRRGLVRLIGRRSGGDSGEEDETTTELKDKQDNGFNTWATVLLAVHPDWQDRTRGSLQGGAVGGFRPLGLHSGCRCGVPARVPPRRGLRSGDTAAIPARVTPRRLRSEGGDLARV >OGLUM02G24120.1 pep chromosome:ALNU02000000:2:24944397:24948785:1 gene:OGLUM02G24120 transcript:OGLUM02G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUU5] MAVLSVADSPPVSAIGFEGYEKRLEITFSEAPVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEVFDSYVLSESSLFVYPYKIVIKTCGTTKLLLAIPRILELAEELSLPLEAVKYSHGTFIFPEAQPSPHKNFSEEVAVLNRYFGGLKSGGNAYVIGDPAKPGQKWHVYYATQHPEQPVVTLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISDIIPEMEVCDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASLAYGDLVKRVLRCFGPSEFSVAVTIFGGRNHAGTWAKGLDVGAYSCSNMVEQELPSGGLLIYQSFTATAEIATGSPRSVLHCFADENTEKAGKMEALYWEDDAVEEIDGTEGKKMRSC >OGLUM02G24130.1 pep chromosome:ALNU02000000:2:24947931:24957037:-1 gene:OGLUM02G24130 transcript:OGLUM02G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 4 [Source:Projected from Arabidopsis thaliana (AT4G30860) TAIR;Acc:AT4G30860] MPDLSTVCLPLSPQPPSTAAAAGGELAGEQPAAAAVTTAAAAVSASAEAEAAGEAESASGGDGAPVLPVECRWSGRVRSFAGAGEGAGAAAVPACPAPRRGGGKKPSSAPSPSSTVATAPAHPSGRPFEEYVKEWKAKKAALGVPAGRCELPFLTGAPKAVECRLCSKIIHPGEEIKCSVSRCGELFHLTCAAEDTANFIAESFKCPQHEVFCRLPVPYVNEDFKIDSTIRDFTAAVCKPPHFTFIRRSILKGLYMSCSKNCHCSDMCTNKPFRKDKKIKAVKTKRCGWGAISLEPLEKGDFIIEYVGEVINDATCEQRLWDMKRRGDKNFYMCEISKDFTIDATFKGNTSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSIQVGEHLTYDYRFVHFGEKVKCYCGAQNCQGYLGNQIKNPTQRALAIAALENEWLESLKIQQDTSASRHKPMIHLLPWTNCIELFAENTRLSHVINVVLQLSIASALKLRRVHEGYYCLKRILYYYFDTFLLASDKISETRRRHWYRRVYQISRLTLKHFFVESNCI >OGLUM02G24140.1 pep chromosome:ALNU02000000:2:24957631:24961731:1 gene:OGLUM02G24140 transcript:OGLUM02G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G19520) TAIR;Acc:AT1G19520] MRALISLSKLTRRLLPSLAVARAPLPPLRRRLHAEPPPPPHHAPPPVASRILQSELTPGAGADADAEQEQQPAPDPLLDEFLARFVAALRPTLAAAFPTHARHVLDEMLRLVAEAVLCRLTGVEPEEGGAVELSDDLWAAVWEVSAAVRDGMQRDRVRAELRGYLHCEEVKEMTRFASDVGIRGEMLRELRFKWAREKLEEVEFYRGLDDMRAQAEAAANPVALPPPRLAALPQRKGGIKFKIHGLDLSDPTWGEVVERAAEAEAHFVPQEAKPIEGKAKKAEEKLMAVDPRKGDPAPAMEEWKEELLPKRADWMALLERLKAHNVELYLKVAEILLADKSFGATIRDYSKLIDLHSKANHVEDAERILGKMKENGIAPDVVTSITLVHMYCKVGNLEQANQAFQFLKGEGFPPDMKLFTSMIRAHLKSGEPKQAENLLREMERSIKPTKELFMDVILAFAQRGMIDGAERVKTSMLLAGFQLTPELYTSLIEAYGRGGHVGQAYTLFEQMRSSGHEPDDRCVAGMMVAYMMKNQLDHALSFLLKLEKDGLKPGVKTNLVLLDWLSRLQLVQEAEQVVQKIRKAGEEPLEMHVFLADMYAKSQQEERTRKSLKILEEKKKLLKAYHFERIIAGLLEGGFTEEANKYFKMMKSHGFVPSPTIEIGVKASFGVRGGVHPTGRHRG >OGLUM02G24150.1 pep chromosome:ALNU02000000:2:24962476:24965193:-1 gene:OGLUM02G24150 transcript:OGLUM02G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of rudimentary homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUU8] MAGRHTIILMQPSQNRASRTFMDYNSINHALDGLCGLYERKIRDINPMVPNITYDITDLYNFIDGLADISALVYDHSIQAFLPYDRQWIKQKLFQHLKKLAQR >OGLUM02G24160.1 pep chromosome:ALNU02000000:2:24967597:24973327:-1 gene:OGLUM02G24160 transcript:OGLUM02G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQADQAVISLRPGGGGGIGGPRAGRLFPFGASTGSLDFLRPRGGASSGFAAKLGDLRFEPLERVCYTRDQLVELHKIIDIPENILKLKQDIDIELHGEDEPWINNDSSVQTQSYNRYAETDNRDWRSRIEQPVQTPAIGGEEKSWDKFREAKESYISSGKQDQFNNQDKLSSQFSAKAQVGPAPALVKAEVPWSIQRGNLSNKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVISLIFEKAVLEPTFCPMYAQLCFDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGADNLRSEVNKLTGLDQEMERRDKERLVKLRTLGNIRLVGELLKQKMVPEKIVHHIVQELLGSESNTCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKDLTTNSQLASRLRFMARDVLDLRSNQWVPRREEMKAKKISEIHREAENNLGLRPGSTASIRTGRTGTGGGGPLSPGAFSMNQPGIVGMLPGMPGARKMPGMPGLGSDDWEVPRSRSKPRPDPVRNLTPSLANKPSPNNSRLLPQGSAALISGKTSALVGSGGPLSHGLVVTPSQTTGPPKSLIPAPSVDPIVEQPAAAPKPSSTELQKKTISLLKEYFHILLLDEALQCIEELKSPDYYPEVVKEAINLALDKGTNSIDPLLRLLEHLYNKNVFKATDLETGCLLYSSLLDELAIDLPKAPVHFGEVIGRLVLSHCLSIEVVEDTLKKIEDSFFRAAVFEAMMKIIKANPSGQAILCSHVAKIDACSKLLSSE >OGLUM02G24170.1 pep chromosome:ALNU02000000:2:24979146:24983475:1 gene:OGLUM02G24170 transcript:OGLUM02G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHVADGFSGLHFINSWADLCRGVPIAVMPFIDRTLVRARHAGPRAAAGADRQAGAAAHRRGHLQAVPLRPRPPPVPAPPRRGRAAVQHVRGARGARVAVRVAGPGPPRGAAHQAVLRHGRTPAAAAVAARRLLRQRDLHGDAARGGREGDRVAGGRRGDDPVGAGQDGQRLLPVGAGLPGAAARPVGAGPRRAHVPVPQPRPHQLGAAADPRRRLRLGAAGVHGPRRHRVRGARLRAPQRQRRRQPVGGHLAAGRAHGEVPQDDLRLLIL >OGLUM02G24180.1 pep chromosome:ALNU02000000:2:24985723:24990050:1 gene:OGLUM02G24180 transcript:OGLUM02G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein [Source:Projected from Arabidopsis thaliana (AT5G17710) TAIR;Acc:AT5G17710] MGEEDADEEGGRRRLRQGRKATTAMGEEDGGGDGIFVSPDLISLEDSETGETGADDAAAATEETPSVIVTALQSYKEALVNDDETKVAEIEDFLFSIEEEKNSLLSKISTLGAELTTERDRILRISADFDNYRKRVEREKLSLMTNVQGEVIESLLPVLDNFERAKTQIKVETEQETKINNSYQSIYKQFIDILNSLGVEDVETVGKPFDPMLHEAIMREESVEYEEGVILQEFRKGFKLGERLLRPAMVKVSAGPGPEKPVYDDPAMVEDSVAPQKVKEAEDDGFDDDNAE >OGLUM02G24190.1 pep chromosome:ALNU02000000:2:24990505:24993245:1 gene:OGLUM02G24190 transcript:OGLUM02G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid N-methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G80860) TAIR;Acc:AT1G80860] MEMAAAAAVGVLLPFPFYWVLWNHPQRWVDLCGGGADPCRRMAQVSHVLKALQLLALASVASFSWPPPLYSVALLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLVALLCWVPFQYVLLWCLGYVFMMWVESKEDPATRAKLLS >OGLUM02G24200.1 pep chromosome:ALNU02000000:2:24993302:24994262:-1 gene:OGLUM02G24200 transcript:OGLUM02G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRWVPWRVSINTERCVSIRRHDEKELNIAFLLKDDEAAAHVGILPIIGPRGTGKSTPHTRCLPVHAHFTVIERFGLYNVLLHLHTAGAAVAATMDTSSRSMEDHRIRHYLDVVRNIAQQERFARNRSLLMARWSKVVITSEHDRIAGFDVMEEAIRTKNKMSKEECWYHFKAFALCGEADDLTIADRGRPERVVPTHKRFWCVILQRLVDHMINTDMDYVQEFVRIGQIAVKLVLPMHLMLQGCSITKQEGFDSQFGPELSHMAGEIAYSCRGDDSGYVDVIPCRSRTPSFEIYKHS >OGLUM02G24210.1 pep chromosome:ALNU02000000:2:24996672:24997421:-1 gene:OGLUM02G24210 transcript:OGLUM02G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDASHDARVRAHFVMIERFGLDEVLLHLHSTGAGRAATTDTSSWSAKDHRIMHYLDTVRDIAQQERFAWNRSLLILEDSPAIVKFADTAGSLPMARGSKIIITSKHDQTAVGLSPMEDAMRTKNMSKEEYWYHLQALAFHSTTDDPVALAHPTLAATAKEIVTVLNGSFLGMHVLIALMRSNPRECFWRAILQSLMYLFCSSNSKRIEADMDYVQEIALIGQIALKLVLPMRLTLRSCSITKQGAILN >OGLUM02G24220.1 pep chromosome:ALNU02000000:2:25000274:25005321:-1 gene:OGLUM02G24220 transcript:OGLUM02G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFKDPTKLSAYRDRRFTGTQEEYEAALQASVTVYVGNMSFYTTEEQAYELFSRAGEIRKIIMGLDKNSKTPCGFCFILYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMTEVTGREGMEILTGMTELTQSGVLPPDYQRKRYRNDERSLQRAPDSEFKRDANDSVLEPAYVSSGFSCTTPLEKRDVYKVSHGYVCRRRILGFVRKVILMKKMMIMIRDAGAELKETIADKCKWAVAVTTVVTRIELMFFTLLCTVMKIVEELLLPALAADLTSRLVSLLIRAYRRRATTGVVEDDNLERLRLLLLELHAAVDEGQGRHITSQRLLLWLRELTESMYRGYYVLDTFRYRSVSIQAQQQDDARGAAAKRLRTSAGAAVRLVLGSSRDDDSSRAIARAHAHLQAVLQNVSPFLQMLGTYRRVPRRVSVDTERCVLIGRHAEKQRIVAFLLKEDEAAAHVVGVLPIVGPRGTGKSILIHDASHDARVRAHFAVIERFGLDEVLLHLHAAGAGAAATATMDTSSRSSEDHPISIRHYLDAVRSIARQERFARNRSLLILEDAPAEFAAAAAAFLPMARGSKVVVTSEHDQTVAGLGKTEEVIRTKNKMSKEEYWYHFKALAFRGEADDPALAATAKEIAAALNGSFLGMRVLIALMRSNPRESFWRAVLQRLVDHRIYADMDYVQEFAQIGQIVLKLVLPMRLTLRSCSITKQGSDPQLGPRLNRVAGGIAYSCRGDDSGYVDVILCRSRIPPYEIYKLSCVMEKGMVHAGQCH >OGLUM02G24230.1 pep chromosome:ALNU02000000:2:25017564:25027128:1 gene:OGLUM02G24230 transcript:OGLUM02G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQHQGLPANLQSSGSCGPHQAFPPRGSGSYGLHQGLQNLHLKVVKKSIEIYKIYIFKERSLVGTTVSSKDMPIMNSFIDIFENFVQYNNEIYFRQWEHSRVSGWVPQGLSLPRGHSALEAELLACKEGIARALQWTLVPIIIESDCLAAINMIQTNQRTLRTKQEGIRAASTHARACVTDSPSL >OGLUM02G24240.1 pep chromosome:ALNU02000000:2:25043676:25045046:-1 gene:OGLUM02G24240 transcript:OGLUM02G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRGRVRLNVGGRVFETTASTLASAGRDTMLGAMIDASWNHGVDGDGEGGVDEYFIDRDPECFAVLLDLLRTGGLHVPPHVADGVLCREALYYGLLDRVRAARWGPFDGDRLRLAASVAGSAVGDGTAVRAAPDGGCCVAHGGAVRVYNWVMEERRAVHLDHAPVNDAAYLDEATLLVAARERPGTDRRDGGVAAFSALTGDLRHRFRVAHDRHVRSFTPGALAFDNRCKVFASCKGRFNEYGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDSSFISLLDFRDKKNVAWSWSDVGTPASLEDKHVLHAIAMEDGRSLCVINQYDDLGFLDIRSSGGAGGVRWRSRSKLAARKKKASPRGEETCYPKLAAHGGQLFASTNDTISVFSGPDHVLTSTLRGSDAGAICDFSIGGDRLFALHNEENVVDVWETSPPPII >OGLUM02G24250.1 pep chromosome:ALNU02000000:2:25049812:25056138:-1 gene:OGLUM02G24250 transcript:OGLUM02G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGELEGKLRDVGEKLQSPPDDVDALLKLIHEAEIYILKVEQAPSESMISAITPAMKALIKKELLDNSSYEVKLSVVSCISEITRITAPDTPYDDDVMKDVFSIMVGSFEKLDDMENPLFRRIVAILETVAKVRLCVVMLDLECEDLILQMFHNFFTTVKPNHPENVTNCMTTIMILVIEEDDEVEIPIAECLLKHAKSELKETSAASFELAEKVIGACSEKLKPVFLQLLKGTSLNEYDNIIATICEDSSDVKEDMDADPSGKDVVDDGKLSERTISDELPQEPAKLEQDVTQTTAIGSGATPVDNGTESAAANPKELSNPDSEKKDGVKQSAKVANGASAETSERVDGSPAMVKSKRGRPPGLKSLEKKAAGKKVLGLKKVEETTDSTGKLSKQSSKDDSKSSTRKASGAGSSKKQQKISLKQKDETDSKEDTAKDLSLKEMVSPKSVSKGSAKTKGSQGQDNNGSKRKRSQEDEQETPRSRKNKGLDASLVGARIQVWWPDDKKFYKGIVDSFDTASKRHKIAYDDGDVEVLLLRDEKWEFVSELLYQEQDKTPDVASEISPKPRGRGRKGRGSSVQLKEGNAETPKSGGGDLPKKRGRPKGSSNGTPKSNISATSSKSKGKAARKDDNETPKVGSDLKKEAEEGSEDKATKSTEKTKDDLPEDGSNKSASKPKEASSGGKDLKGESKPSEGRAKPGRKPKVAAAAVAGEESKANVSAEKEKQKEAEGEAAAEVEQGGSAGGASTGGKKRRRKA >OGLUM02G24260.1 pep chromosome:ALNU02000000:2:25089437:25090022:-1 gene:OGLUM02G24260 transcript:OGLUM02G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVRGLDVARYMGRWYEIASLPNFFQPRDGRDTRATYALRPDGATVDVLNETWTSSGKRDYIKGTAYKADPASDEAKLKVKFYLPPFLPVIPVVGDYWVLYVDDDYQYALVGEPRRKDLWILCRQTSMDDEVYGRLLEKAKEEGYDVEKLRKTPQDDPPPESDAAPTDTKGTWWFKSLFGK >OGLUM02G24270.1 pep chromosome:ALNU02000000:2:25092940:25095930:-1 gene:OGLUM02G24270 transcript:OGLUM02G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPNRNLRFSSRSSSATSPNAATSFPAWPESASGRGDGGSSQIPSPDPAATATAAAAAPLSSRRGAAAADAEKAREDPSRRMAERTDDERVNAAAMAVTQKKKEKKRSREVGEGGSGDAGARGERRWWWAVAEARRKELEVGTIFTLSRSIRGKQVYIVFNYFFHLGMRRDTLISMRTLISPCFRVRERDHVIIGQCRPLSKIARLNVLKVILSS >OGLUM02G24280.1 pep chromosome:ALNU02000000:2:25095471:25100223:1 gene:OGLUM02G24280 transcript:OGLUM02G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRSSSVRSAIRRLGSSRAFSASAAAAPRRDERGAAAAAVAVAAGSGLGIWLLPPSPRPLADSGQAGNEVAAFGDVAEEEREEKRRFLFGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASIRNPEGEVYMLPADLMRAVVPVFPPSESKIVREGSLRGERNPGELHCAPSEFFMLFDTNGDGLISFAEYIFFVTLLSIPESSFNIAFKMFDLDHSGEIDKEEFKKVMALMRSYHRQGAAHRDGLRFGLKVGQSVENGGLVEYFFGKDGNEQLRYDKFSNFLKQLHDEIVRLEFSHYDVKSSKTISVKDFALSMVASADMNHINKLLDRVDDFDDYPDLKDLRITFEEFKAFADLRRKLEPFAMAIFSYGKVNGLLTKQDLKRAATHVCEVDLTDKVVDVIFLVFDANRDGSLSADEFLRALQRRESDIRQPASSDCDLVLGSENDHPLEFCKHDFAVNSLAYQSCRNM >OGLUM02G24290.1 pep chromosome:ALNU02000000:2:25100692:25103528:-1 gene:OGLUM02G24290 transcript:OGLUM02G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPIQTSGAAANLAIANIAMEQSGRVAVPLTALALLAVVAAGVALPPAPSSGTGIQDGRGSEHSLRHVPDEPQKAPPTSVHARPINKKVTRGKIEAGLARSRAAIRRAARATPPSDESIRRRGSASTVVAAAGHDDYVPRGAIYRNARAFHRSYVEMERRFKIWTYREGEPPVAHIGPGTDIYSIEGQFMYEMDDPRSRFAARRPDDAHAFLLPISVCNLVHYVYRLNATGDLAPLRGLVADYVRVVAERYPYWNRSRGADHVIVSCHDWAPMVTSAHRQLYGNAIRVLCNANTSEGFRPRKDATLPEVNLADGVLRRPTAGLPPEYRTTLAFFAGGRHGHIRESLLRHWLISNKGGAAADGDSDGDMRVHEYLPAGEDYHAQMAAARFCLCPSGFEVASPRVVESVFAGCVPVIISEGYPPPFGDVLDWGKMSVAVPAARIPELRAILRRVSERRYRVLRARVLQAQRHFVLHRPARRFDMIHMVLHSIWLRRLNVRLPY >OGLUM02G24300.1 pep chromosome:ALNU02000000:2:25104995:25110980:-1 gene:OGLUM02G24300 transcript:OGLUM02G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCSRKRSQLVHEDDSFQTSSRFSKTSSLKWLLLTLPRSNSDVSRKGQGKGPGRCPSLMELCVAKACEDINKYSSFSVLPRDLSQQIFNELVASNRLTETLLETFRDCALQDIDLGEYPGVNDAWMEVVASQRHSLLSVDISCSEITDSGLYLLRDCPNMQSLACNYCDMISEHGLGILSGLSNLTSLSFKRSDGITAEAMEAFANLVNLVNLDLERCLKIHGGLVHLKGLRNLESLNMRYCNNIADSDIKYLSDLTNLKELQLSSCRITDLGVSYLRGLSKLTQLNLEGCPVTAACLEAISGLASLAVLNLSRCGIYGEGCENFQGLKKLKVLNLGFNNITDDCLAHLKELINLESLNLDSCKVGDEGLLHLKGLMLLKSLELSDTEVGSSGLQHLSGLRNLESINLSFTLVTDTGMKKISALNSLKSVNLDNRQITDVGLAALTSNAGLTGLTHLDLFGARITDYGTSCFRFFKNLESLEVCGGLITDAGVKNIKDLKALKQLNLSQNVNLTDKTLELISGLTALVSLNVSNTRVSNAGLRHLKDLQNLRSLSLDSCRVTTSEVKKLQATVLPNLISVRPE >OGLUM02G24310.1 pep chromosome:ALNU02000000:2:25116739:25126003:-1 gene:OGLUM02G24310 transcript:OGLUM02G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTPSPPAAAAAAAAADDPSPSASDSPSATFSVERRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPTSSSSATTTSSSSKWECFLSYRLSVAHPSSDPSKSLARDSWHRFSSKKRSHGWCDFAPSAAAAYLFPPHDSLVIAADISVLAEAASFAEADGRFTWKVLNFGTFREMVRTQKIMSPAFFPAANAGGSDCGLRISVYQSNVSGADHLSVCLESKEPLVQATSGSSASALPSSAGGSGVPDGDRGCWCLFRVSILNQKPGGSHIHKDSYGRFGADNASLGWGDYIQMDDFLAADGGYLLDGAVVFSASVHVIKESNSFTRSLPMIAGMSGAGSGRAGARKSDGHFGKFVWRIENFTRLKELLKKRKITGLCIKSRKFQVGNRDCRLIVYPRGQSQPPCNLSVFLEVTDPRNSSEWSCFVSHRLSVINQKLEERTIVKESQNRYSKSAKDWGWREFVTLTVLFDQDAGFLVQDTVVFAAEVLILKETATIQELSDEDSEACSSGSGCQIDSLPKRPSFTWKVENFLSFKDIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQPSGFDPDKNFWVHYKMAIINQKNSAKTVCKESSICTKTWNNSVLQFMKVSDLLDTDAGFLVRDTVVFVCEIIDCCPWFDFSDLEVLASDDDQDDLSTDPDDFIESEDSEDMSGDEEDMFRNLLSRAGFSLTYGENYTQPQVTLREKILTDASAIAGFLSGLRVYLDNPAKVKRMLLPTKVSTRAGGKKGVSKCDSSSTSLISLLMGVSVLKQAIIDLLLDIMVECCQPSEERPAYVSSSASSKTSPDSNGASSPPELNVEGELTECACSNKYERLKPGNDDIHHRLSVQNTDKCTNDIPARVLEQSCSPPEVSGADLLEDEGSDQASRTKWPEQSEELLGLIVSSLKALDSAVPHGCPEPRRRPQSVQKIALVLEKSPKKLQPDLVALVPKLVDSSEHSLAACALLDHLQKPDTEPSLRLPVFNALSELEFDCDIWKQASFHALELLADSNDEPLVEAITYVLKAASQCQHIAQAARAVRWRLKDLGTEVPLCVLDFLSKTVHSWSDVADALLKDIDSDCEPDSSCLSMSCSTSSTDEFSAEGMHSLQGQAVHGKDHLSDVFILIEMLSIPRLFVEVSQVLQRALLRGAFGLQLVAMVLERRYSHRLSLKSGTGTMVNDSQNKQVLLDGQFEHLPVQEDDFTSVLALGEVLSLSTETRVQDFVRMLYAIIFKIYTEDHYRYRILKGLVERATNTSDSCRAVDIDMDVLVFLVKEEFGIARPVLNMLREVAEVAQADRANLWHQICATEDENMRLREDMDMEQTKFTKEKDVLMQQLTESEAANAHLRSELKAEKDRFVREKKELSEQMLEMENQLEWVRSEKDEQIVKLTADKKNLHDRLHEAETQLSQFKAWKREELKKITKEKNALAERLKGVEASRKRVDDEFKRFVAEAQTREEIRISLEGEVRRLTQTVGQTEGEKKEKEDQITRCEAYIDGMESKLQVCQQYIHTLETSIQEEMARHAPVYGVGVEALSLDELETLTNIHERGLRQIHAIRQRKGSSHRLSAPSLPHVPGLYSSPPSMAVGLPSSLIPTSSVAPNGAGIHGNGHMNGSMGSWFNPT >OGLUM02G24320.1 pep chromosome:ALNU02000000:2:25130925:25136584:1 gene:OGLUM02G24320 transcript:OGLUM02G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASDHEGGEFPRWSSAGVWVIASVGEEEEVAGVRKEAPRKICLVSSAPCAISRLNRGGAPISPSGEQSRERGGDPEMASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTILIHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >OGLUM02G24320.2 pep chromosome:ALNU02000000:2:25130925:25136584:1 gene:OGLUM02G24320 transcript:OGLUM02G24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASDHEGGEFPRWSSAGVWVIASVGEEEEVAGVRKEAPRKICLVSSAPCAISRLNRGGAPISPSGEQSRERGGDPEMASTACFVIVSKNDIPIYEAEVGSAPKREDQAYQHQFILHAALDVVQDLAWATNTIFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >OGLUM02G24330.1 pep chromosome:ALNU02000000:2:25145118:25145541:-1 gene:OGLUM02G24330 transcript:OGLUM02G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEKMGRRWLVQHGMAVADPRSLARPWDGMTPPPPLGVIPLLGGVVLALTPPSTKNLSHAIVVIGGLMQWNRSSTGLGCLTRPSPRWFRFLLYGG >OGLUM02G24340.1 pep chromosome:ALNU02000000:2:25158764:25165161:1 gene:OGLUM02G24340 transcript:OGLUM02G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAALAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKIAARNNFAILNSTMSTLLPTLRYSYQYQLGIHRFEQDHSRIGGNAHGFIPYKLQVSAGRISTSLRYIIKAKLAAKY >OGLUM02G24340.2 pep chromosome:ALNU02000000:2:25158895:25165161:1 gene:OGLUM02G24340 transcript:OGLUM02G24340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKAAAALAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASERWTDIDKSAVHY >OGLUM02G24340.3 pep chromosome:ALNU02000000:2:25158764:25165161:1 gene:OGLUM02G24340 transcript:OGLUM02G24340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEANCNVAMESAAPKENGHTTGGAAAEAKAAAALAEIAVTDAAAVPKPTPPPAAVAVDPRLQGISDAIRVVPHFPKQGIMFNDITPLLLRPGVFKDAVDIFVERYRGMAIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYVLEYGTDCLQMHVGAIEPGERVLIVDDLVATGGTLCAAIRLLERAGADVVECACLIGLPKFKECTWLHSLQIASERWTDIDKSAVHY >OGLUM02G24350.1 pep chromosome:ALNU02000000:2:25164595:25164977:-1 gene:OGLUM02G24350 transcript:OGLUM02G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPWSVAAACVAANSQSSGSPSGFDAGRVSGSSRSYHSLAERERERRLPSRQPGHEYECTVRPYEAGQHVACDADADDDHDAEADMEQNKGMQM >OGLUM02G24360.1 pep chromosome:ALNU02000000:2:25170202:25175943:1 gene:OGLUM02G24360 transcript:OGLUM02G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G32272) TAIR;Acc:AT4G32272] MAKGGGALLPMSAEAGKGNGGGGGGGDDAALFKGSAMTRRGAVAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTGLLYVLRRLKIISFTNSEPSVPSDALFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKKAIEFPYLYSPGFQAVLLFSCMLAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWLNVIGQGLGFLGSGLYAYCKIKGK >OGLUM02G24370.1 pep chromosome:ALNU02000000:2:25176264:25178245:-1 gene:OGLUM02G24370 transcript:OGLUM02G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWCLSASASAAAPVAAAAAAAPGALGASVASVSLARAAVPSRRRRRWDALVVCAAPDEEKITRRSPLDFPIEWEKPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEPQPQEEPQEDDPDKEEPEEDDPDKPTE >OGLUM02G24380.1 pep chromosome:ALNU02000000:2:25192217:25196766:1 gene:OGLUM02G24380 transcript:OGLUM02G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANNWLGFSLSGQENPQPHQDSSPPAAIDISGAGDFYGLPTSQPTAADAHLGVAGHHHHSASYGIMEAFNRGAQEAQDWNMRGLDYNGGASELSMLVGSSGGKRAAAVEETEPKLEDFLGGNSFVSEQDRHAAVGGFLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNGQVPAGHQSQQQQPVAAAATAQQQAAHEAAEMSTDASASSFGCSSDAMGRSNNGAVSAAAGGNSQSLALSMSTGSHSHLPIVVAGGAGGATAESTSSENKRASGAMDSPGGGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELDEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKEAADHAEAAGATIWRAADMDGAGVISGLADVGMGAYAASYHHHHHHGWPTIAFQQPPPLAVHYPYGQAPAAPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAAAAHNFFQASSSSTVYNGGGGGYQGLGGNAFLMPASTVVADQGHSSTATNQGSTCSYGNEEQGKLIGYDAMAMASGAAGGGYQLSQGSASTVSIARANGYSANWSSPFNGAMG >OGLUM02G24390.1 pep chromosome:ALNU02000000:2:25198246:25201047:1 gene:OGLUM02G24390 transcript:OGLUM02G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGGGGREVNRAQCHIDPYSVHSQSPRLLVLDSPCPSESARRPSLSPSCEQRRRRRRGRPPCCFRRILALAGPSLGNAQLNSMAQVTATANARVFGFPAASRHGGPVGSRAGPAFLNLRAPALRHDRKNQPLRVGAALFSPSFTKYDPIKGIKPLLSVDKLRRRTQVGCRASLSSFSFPELETKPRWWWRTLACVPYLLPLHNMWSFADAVYQLHPYLQQFGLFYAFIDTMALVPGWLFLMIFMTVYFFVVRRKWLPHFLRYHVILAILLDTGSQALATMCNWNPSIVYQGKPMVFFWMTIAFIQISTVLECMRCALAGMYPSVPFVSQTAFIHSDMSMFR >OGLUM02G24400.1 pep chromosome:ALNU02000000:2:25202519:25205618:-1 gene:OGLUM02G24400 transcript:OGLUM02G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREEEEKEGPVHSIARRGPLRRRGESMAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTFVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLLFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >OGLUM02G24400.2 pep chromosome:ALNU02000000:2:25202519:25204889:-1 gene:OGLUM02G24400 transcript:OGLUM02G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASKAERKAVLDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTFVMKWLGHIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCILEILFDKVRYSRNTKLSIVLVLVGVAVCTVTDVSVNSKGLLAAVIAVWSTALQQHYVHHLQKKYSLGSFNLLGHTAPAQAASLLILGPFVDFWLTNRRVDTFNYTTIVTFFIVLSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLLFGKEGLNFHVVLGMILAVIGMIWYGNASSKPGGKERQVYSVPSEKTQKSSQSELDQKV >OGLUM02G24410.1 pep chromosome:ALNU02000000:2:25208382:25213015:1 gene:OGLUM02G24410 transcript:OGLUM02G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEMEGALRSCMEQLLIAREEREQIIVEAASEISSEKKKARELQRKLDAATKKAAKLAAENSSLAKALDAKDAAIGELRESKSASDGELAGARARLEAAQKQAASLQYEVRMLQKELEIRGQEREYDLQSVDASRRQQAESQKKIALLEGECQRLRAMVRKRLPGPAAIAKMRDEVDQPATPRRSRSVAPMSPRSVAPAAPMTPMSTSSRPMTPTMSARPMTPMSAARPMTPRRAAAPEHETPAAAAKLRAVEDENKALKQTLAKRDAELQFVQMKYADEACKLSVLQRQLSELTEENKQLSDAHGQTESWASALISELEQFRAAKLQGAAASEMSLLDDFAEIERLEMASGGQGLRSPKNAHSEAISSEKNGKDTAIENGVSNGQPEWVQDMCKLVMQKHETSGENIDTILEEITRALDQSANNQKGDDLNGSYDWSIVKEMVSSLTEKITSVIGISEESNVASSEKLLLDRSGFYARLEHLVHVCHDLMHGKTDLEKFVHEVCLVLEYIINQYKNISFQEQSDTVNNDTENLDGEGSFSNMNGGCDINSPKSASALDIQTEALKESIQSVEGRKTDHILVNQEESQLDEELTRVILDQDEKISQENSASCEIESPHDHPSAETLAEKEEKHLASSSEISAAAEKLAECQETITNLSRQLRALKSPAVSGNLDSPMSNSRPSSSDYKPQSLACILAEGEDSSTEDAISPATKEVHSKKEPDAASRKSIAQDGSVNAALKAVEEELTQNVVHPIFPEPSQETISADLKKKRRSPSLLGRIMFRKKVEGS >OGLUM02G24420.1 pep chromosome:ALNU02000000:2:25215751:25217359:-1 gene:OGLUM02G24420 transcript:OGLUM02G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWLKLFLSVFLPIAALVAVAVLVYRRRSSSSRNAQPELPESVAGGGGGGDPAASPGLGKLNIRYNATSGRAGLRFQQLHHHHHGHVDVRHHHRGGGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRTRSASSSPLWGLCPACDSGTSRDMADAAWEVPAGSSERMQAVRLNPVAAAAAAAVSASTKKWLPGSIPSPLRGGDHDAAGNSSALCLARMSLPLPGPPLAGAPFPQDAYFEITIIYLNTRRPEWSASRASRRGRDGSSESDRAKLISFAPDAKNAVQETRAATKADDHHDKQRHTVMSLGLAAAPPRPSLAGTYASSIGFHSNGAVYLDGMKLVYESEKSSWAGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNADAFSTPMYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFLRAASAGDDGRSGGSLGLDFDDSGDLFSMGRVDSGWLETASRMSKSRKENGGGGGGGASAGDPDADSDLFEISLRD >OGLUM02G24430.1 pep chromosome:ALNU02000000:2:25220294:25222700:-1 gene:OGLUM02G24430 transcript:OGLUM02G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQHSREEDADPPAPTTRLPFLFNLSRGELVQRQRLIDEAVERLRRCGCDIRRCRGGGVPSPERWQAELSLIVDHVNRALHHYNANNPGAEFDPVKALGYASVSFRRALWVHVSFLARRRSSPVKPADEAAAAAADDESDVKRGRKRRSRNKRNRAPPESPDKQFFAELRYDDYDSAAVVTCTIIDKSAPHGFKTKCEFCPASFGILHPGDGKYVCGKRNHEFFLLRNRLLSSDAILMAQERSREGATVSRAGPKQPGLGPPLH >OGLUM02G24440.1 pep chromosome:ALNU02000000:2:25225944:25234805:1 gene:OGLUM02G24440 transcript:OGLUM02G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGPIPYSIGNLTQLTELYMENNAFEGHIPPSLGNPSLMLKLDLSYNSLQGTIPPEISNLRQLIYLQLTSNKLTGKIPDSLGMCQNLVIIQMDQNFLTGTIPITLYKLKGLSVLNLSHNILSGTIPAVLGDLPLLSNLDLAYNNLQGEIPRNGLFRNATSVYLEGNRGLCGGVMDLHMPSCPQVSHRIERKSNLTRLLIPIVGFLSLTVLICLIYLVKKTPRRTYLSLLSFGKQFPRVSYKDIAQATGNFSRLNLIGRGSYSSVYRAKLSPVKIQVAIKVFDLETRCADESFISECEILRSIRHRNLLPILTACSTIDYSGNDFKALIYEYMPNGNLDMWLHKKNTSVASKCLSLSQRVNIAVDIANAVSYLHHECERSIIHCDLKPTNILLDSDMNAYLGDFGISSLILESKFASLGHSCPNSLIGLKGTIGYIAPEYAQCGNASTYGDVYGFGIVLLEMLTGKRPTDPMFENELNIVNFVEKSFPEQIPHIIDAQLQEECKGFNQERIEQENRFYKCLLSVVQVALSCTHPIPRERMDIREIAIKLQAIRTSYAEATKRDDKLRRRELQCTMELV >OGLUM02G24440.2 pep chromosome:ALNU02000000:2:25223858:25229452:1 gene:OGLUM02G24440 transcript:OGLUM02G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKVLLLLLYGVGNIGCSTVPDNTTDMLSLLVDLSSNNFSGQIPHLANLQKMQVLNLSFNTLDGIIPDTLTNCSNLRKLDLYTNLLKGMIPSAIGLLRNLVYIDLSRNNLTGIIPASLKNISLLETIYLQDNQLEGSIPDELGRFSNILVLVLGGNRLSGNIPASLFNLSSLQALELRANLLGGTLPTNTGDHLTNLRKLYMGHNMLEGHIPASLSNASMLEDIVLQSNNFTGRIPTSLVKLLRLSKLDLQLNMLEAKDTEGWKFLDALTNCTALKMLALHANQLQGVIPNSIGCLPSTLQYLILGGNKLSGIIPPSIGNLSGLILLALDENSLAGAIDQWIGNLKNLQSLYLQKNMFTGPIPYSIGNLTQLTELYMENNAFEGHIPPSLGNPSLMLKLDLSYNSLQGTIPPEISNLRQLIYLQLTSNKLTGKIPDSLGMCQNLVIIQMDQNFLTGTIPITLYKLKGLSVLNLSHNILSGTIPAVLGDLPLLSNLDLAYNNLQGEIPRNGLFRNATSVYLEGNRGLCGGVMDLHMPSCPQVSHRIERKSNLTRLLIPIVGFLSLTVLICLIYLVKKTPRRTYLSLLSFGKQFPRVSYKDIAQATGNFSRLNLIGRGSYSSVYRAKLSPVKIQVAIKVFDLETRCADESFISECEILRSIRHRNLLPILTACSTIDYSGNDFKALIYEYMPNGNLDMWLHKKNTSVASKCLSLSQRVNIAVDIANAVSYLHHECERSIIHCDLKPTNILLDSDMNAYLGDFGISSLILESKFASLGHSCPNSLIGLKGTIGYIAPEYAQCGNASTYGDVYGFGIVLLEMLTGKRPTDPMFENELNIVNFVEKSFPEQIPHIIDAQLQEECKGFNQERIEQENRFYKCLLSVVQVALSCTHPIPRERMDIREIAIKLQAIRTSYAEATKRDDKLRRRELQCTMELV >OGLUM02G24440.3 pep chromosome:ALNU02000000:2:25228507:25229164:1 gene:OGLUM02G24440 transcript:OGLUM02G24440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRARRSLRPQPKQNASPELKGKAPLTEAVDSPKVKKRKTPPRKVGESSARRQRAPPRHLHPQLLAPAGLQPRAILGGRWRERGERQLVTGEPGQAPSP >OGLUM02G24450.1 pep chromosome:ALNU02000000:2:25235069:25235611:1 gene:OGLUM02G24450 transcript:OGLUM02G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFEKKILWGCLQFRNSISRNSARQKTFGSRTFRIRLAHVIDVHLQEECKGFIEATAVEENGVYPCMLAVPPASCFFLYTPMPKGTNEHERSS >OGLUM02G24460.1 pep chromosome:ALNU02000000:2:25236058:25241626:1 gene:OGLUM02G24460 transcript:OGLUM02G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSPESYLRRGRRSAACATTRSRSDGATARVTACKPRSSAVVGGGAWSGEAVDEGDMCSEQEQPTKLAVFIQLVLLLLCYGVGNNVVVHCSTVRENNTDLQSLIDFKKGITEDPGGVLISWNTSTHFCRWNGVICTTARPWRVSVLNLTDRSLAGKITSSLANLTSLSILDLSSNRFFGQVPLLNQLKQLDTLNLSINALEGTIPNELMNCSNLRELDISGNLLHGAISPNIGSLTKLEYLDLAANNLTGMIPASVQNLTKLNFIRLKHNQLEGIIPDRIWQLPNLSVLLLGDNTLSGEIPLTLNFSRIKLLSLEMNTLGKVLPPNFGDAFLHLQMVSLGQNNFEGQIPPSLANASGLSLIDFSVNNFTGQIPTSFGRLPKLSMLNLQYNKLEANGNQGWEFLYALSNCTSLTVLSLAYNNLQGALPDSVGNLSINLQNLILIGNNISGTVPPSIGNFPNLIALSLSENSFSGEIGEWIGNLKNLQGLFLHDNNLVGPITPSIGNLTQLTELELFTITGVGLG >OGLUM02G24470.1 pep chromosome:ALNU02000000:2:25265442:25266722:1 gene:OGLUM02G24470 transcript:OGLUM02G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHLVLNLSRCLMLLSYVAAILASPALSGHYVRSEPQLLDFPNERLYHAYLVIQRFKSTITSDPKNITSTWSGNEICGKTAYLGFFCDTPGQAKILTVTAVILNGYELHAPTLKGFIDQLPDLAFFHAASNNFGTDVPLLAGLTYMYKLSVVANDIQPQVYGSAETHGTATAGCVKATVDFHFYFDTDPKKKKKGCCTVPGATDSKALLLNYNNLSGQLPSNLGFSKFSYLALANNKLTGPIPSSISNLQDSLLEVLLLNNQLSGCLPHELGMLTKAAVIDAGMNQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDALCKLAAGPAGRLANLTLSSNYFTSVGPACLSLIKDGILNVKNNCIPGFANQRRPAECASFLSHPKTCPAATATHLACPAAAANAAAAPADRVAKDYSSYVTYATLHE >OGLUM02G24480.1 pep chromosome:ALNU02000000:2:25279194:25310280:1 gene:OGLUM02G24480 transcript:OGLUM02G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAASNREQSACQMPALCAFQRSRVVEPARMQASNKTFQNSVSHTPQIHDFPNESLYSAYLVIQRFKSTITADPKNVTSTWTGHDICGETDYLGFNCTTLPGPDKKLTVTEVFFNGFGLHAPKLQGFIDQLPDLAFFHAASNSFGGDIPCLSSLEYLYKLNVANDLPAHPAYSELVGTGAVDGKAGSCVTTNINFTFRIKIPDMKGWGKGKGIIPGTTDAKTLLLNYNNLAGPLPADLGFSKLSYLALANNKLSGPIPPSISHLQDTLQEVLLLNNQLSGCLPQELGMLINAAVIDAGINQLTGPIPSSFSCLTSVEQLNLGGNRLYGEIPDALCKLAAGPAGRLTNLTLSSNYFTSVGPACLSLIKDGVLNVKNNCIPGFANQRRPAECASFLSQPKTCPAATATHAACPAASANAAAAPADRVVKDYSSYVTYATLHKGAHRGRVCGNETPMVTSSISRNTGHGDVVNLKKCRPNLSEVRIKGQWDDRAGPNVARRTGPDRARKYQRKLYGIVSFRRLDDNSLWRQHTLQQCGTAAAKAASGLGWAGGVARHGVSAWASSGATLV >OGLUM02G24480.2 pep chromosome:ALNU02000000:2:25279194:25285175:1 gene:OGLUM02G24480 transcript:OGLUM02G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLQGSIPVEVGNLQQLVELHLSSNKLTGEIPDALSQCQILQIIEMDQNFLTGSIPISLSNIKSLTSLNLSHNNLSGNIPTDMEDMPVLSVLDLSYNDLQGEIPRNGVFKNSTAVSLRGNRGFCGGVMNFHMPPCTTISQRRERRSNLIKILIPIFGFMSLALLLYFLFLLKKTSRRTHLPLTYVGENFPKVSYTDLAQATKNFSASELIGRGSYGSVYRGKLKESKTDVAVKVFDLEMRGAERSFLLECEALRSIQHRNLLPIITACSTVDSEGNVFKALVYEFMPNGSLDRWLHKEGDGKFSKRLGVTHRINIAVNIADALDYLHHDCGRPTIHCDLKPSNILLDDDMTALLGDFGIARFYADPSTATVGTNSSIGIKGTIGYIAPEYAGGSRASTSGDVYSFGIVLLEMMTAKRPTDTIFKDGLDIVTFVSNNVPHQIHNVIDAHLIEECKDSAEGKTVSEHVVFQSVVSLLEVALSCTGPLPSGRMNMKQVASKLHAIKTSYLAGCNAKK >OGLUM02G24480.3 pep chromosome:ALNU02000000:2:25278984:25291472:1 gene:OGLUM02G24480 transcript:OGLUM02G24480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNLQGSIPVEVGNLQQLVELHLSSNKLTGEIPDALSQCQILQIIEMDQNFLTGSIPISLSNIKSLTSLNLSHNNLSGNIPTDMEDMPVLSVLDLSYNDLQGEIPRNGVFKNSTAVSLRGNRGFCGGVMNFHMPPCTTISQRRERRSNLIKILIPIFGFMSLALLLYFLFLLKKTSRRTHLPLTYVGENFPKVSYTDLAQATKNFSASELIGRGSYGSVYRGKLKESKTDVAVKVFDLEMRGAERSFLLECEALRSIQHRNLLPIITACSTVDSEGNVFKALVYEFMPNGSLDRWLHKEGDGKFSKRLGVTHRINIAVNIADALDYLHHDCGRPTIHCDLKPSNILLDDDMTALLGDFGIARFYADPSTATVGTNSSIGIKGTIGYIAPEYAGGSRASTSGDVYSFGIVLLEMMTAKRPTDTIFKDGLDIVTFVSNNVPHQIHNVIDAHLIEECKDSAEGKTVSEHVVFQSVVSLLEVALSCTGPLPSGRMNMKQVASKLHAIKTSYLAGCSSYVISLLT >OGLUM02G24490.1 pep chromosome:ALNU02000000:2:25298982:25300627:-1 gene:OGLUM02G24490 transcript:OGLUM02G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVELDRDLAATREEAEREILNTWIWDKEIDLLQREIEEQDELSRLRREQEREDDLYVWRMIEERHGEPCHLEDHELEKIYGPPAYETDNDSDEGGVSFYSNGRNIFMKYGDGFRYEDGNPYYAADREETWEKQVLRQMKFPIPDLSESWEGSLVVEGPFNLDPNLTFTDHLMPALPKWERDGKEPCRRAIQVLGLNLSSPSNVPLEIYGIFAFRDTRNSQLRNYVFDYSRENPCKLKPGAHCLQPLMTPPRGIYAVGIVLIEYRLIIKGQKEEEDQVLIDGYSIYAPSFYADFEKLTWHINTGHFSTIDLTIFAIPKAVLVDLEFEVCQIEDNHEHDSLAIVATYFNINTSFIIFNGKLGVGKLPPLTLSARHDKHFSIKVYKYYNHSGCHPDGVVRNCRFGTEYDFEDFLCESLSFTPQKYGKSSKILARNLDGIQMAMKSTWSIMCEPCL >OGLUM02G24500.1 pep chromosome:ALNU02000000:2:25314146:25326767:-1 gene:OGLUM02G24500 transcript:OGLUM02G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQEENSPVLFKQALMASCYPMCSSTCRSSPPLLPKHPRSHVAVDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSVNCPAIYQYKVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGLNIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYYKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKCYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKIFCSKMIKTCNFLGMRVCETKLGLVSQCCLPKNVKTYTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGLFHSTQDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDICHPSGFDFYLCSHTSQGNSRPTHYTVIFDENHFTADGLQLLTHNLCYMYARCTRAVSIVPPVYYAHLAAARGRSYLGKFGDGSSIRNEVSSELPEFLKVPKIADRVLGVMAKAEPLASISQRREQSVTIMVTFAALHLCSCSRT >OGLUM02G24500.2 pep chromosome:ALNU02000000:2:25314146:25326767:-1 gene:OGLUM02G24500 transcript:OGLUM02G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQQEENSPVLFKQALMASCYPMCSIDDDEMVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSVNCPAIYQYKVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGLNIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYYKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKCYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKIFCSKMIKTCNFLGMRVCETKLGLVSQCCLPKNVKTYTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGLFHSTQDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDICHPSGFDFYLCSHTSQGNSRPTHYTVIFDENHFTADGLQLLTHNLCYMYARCTRAVSIVPPVYYAHLAAARGRSYLGKFGDGSSIRNEVSSELPEFLKVPKIADRVLGVMAKAEPLASISQRREQSVTIMVTFAALHLCSCSRT >OGLUM02G24500.3 pep chromosome:ALNU02000000:2:25314146:25326767:-1 gene:OGLUM02G24500 transcript:OGLUM02G24500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCGEVPAYKERVDSLAARPGYGSAGKKLSIFTNYFGVSVNCPAIYQYKVSIHPEPKLGVTKKAVLSEIVKLHGERVFRNKIPVFDARKSLYTAHALPIESETFVIKLDDDEDKTRIDLQDLQSYHTRRNASQGAIQAIDAVVRALLSSCLSAPGTIFSTKFGPIIDTQEGLEFWRGCYKGVRLSQIGPGLNIDIPAAPFYKPLPVVEFVAELLNRTDVNQLFSTEEYYKVEKALQGVFVETTHRTDKTIRYKIKGLSVVPLEDLMFAEGAKENFTTTVVDYFQKCYKYKLKYIYWPCLQCGSSRDIFLPMEVCKILPGQRYCRKLTTRQAAKLLKATCERPHIRKIAIMKVRNNCNVERCVEEFGIKVNGLPAIVRGRILPTPELKYHVSGNERTCVPTGGRWNMINKIFCSKMIKTCNFLGMRVCETKLGLVSQCCLPKNVKTYTNIKYLENIALKINVKVGGRNTVLQQAFVHNGIPFVSDIPTIIFGADVSHPPPGMYSSSIAGVVGSIDWPEVTTYRAVISAQLERQEIIGLFHSTQDPKGCLKPDGMIRELMMNFYQRNRRKPERIIFYRDGISESQFSQVIIHEVDAIRKACLSLQEDYLPPITLVIVQKRHHTRIFPHTLCSNYTEQVAQIPSGTVIDQDICHPSGFDFYLCSHTSQGNSRPTHYTVIFDENHFTADGLQLLTHNLCYMYARCTRAVSIVPPVYYAHLAAARGRSYLGKFGDGSSIRNEVSSELPEFLKVPKIADRVLGVMAKAEPLASISQRREQSVTIMVTFAALHLCSCSRT >OGLUM02G24510.1 pep chromosome:ALNU02000000:2:25333273:25338231:-1 gene:OGLUM02G24510 transcript:OGLUM02G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUZ4] MERVLAARVSAASAPLAGDSPTFSTAVPRSASGISSFAGCYCFVRQAITSCSLRLRNNNILSDCSRLECGASATAIPEQQMEKANSTMYFHQAIIFSTPNGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARSISRVKGSKRKEFVLTPEGPDVFTIAFTDGTNTVTAIIEERNMWLRGFQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDQGMNKHCKQKEKSKAACGSKKKQVNRKDQLNPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQVGYSTMRDMLHNLAHFDPNNYSDKHKRSMASLIIHTAETLKNKYISSLVLNSFNPHIGARLQALDDMGAAHINGWSTASELILKSIHLPSKVWDFQQQEFSKCNEFYKNQCWTTDPNVAMKSIVFLKGDAYMDSKLSVKDGDTITISHSLNASVGVVVMGGINHILMHNWEVPCAAEISLKASSYSAKIPIVEGEVKVFTDHHNQLYTSHLKLSSKEGQKEVKRSLVKWRMKKFCGMKRAKHVQIMIRIHKDLIMKYRDTIDKMIAEKAKRAMNLFPSTDPLLLLSTMEMCNSRHLL >OGLUM02G24510.2 pep chromosome:ALNU02000000:2:25333273:25338231:-1 gene:OGLUM02G24510 transcript:OGLUM02G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUZ4] MERVLAARVSAASAPLAGDSPTFSTAVPRSASGISSFAGCYCFVRQAITSCSLRLRNNNILSDCSRLECGASATAIPRMDYQQSDGIYDMPDEPLFTLNLRLSHSNDLDRVHKSFTSKIDKARSISRVKGSKRKEFVLTPEGPDVFTIAFTDGTNTVTAIIEERNMWLRGFQTADGHIFEFNDEHGNEGKDANLDDKTDEMNNQFNGNDKTRDEEVKDEEEAIKDQKLKVIKDPKMKAIKDQGMNKHCKQKEKSKAACGSKKKQVNRKDQLNPKKYIRGSVLLRHDSNYGSLLGPNRLPLFQVGYSTMRDMLHNLAHFDPNNYSDKHKRSMASLIIHTAETLKNKYISSLVLNSFNPHIGARLQALDDMGAAHINGWSTASELILKSIHLPSKVWDFQQQEFSKCNEFYKNQCWTTDPNVAMKSIVFLKGDAYMDSKLSVKDGDTITISHSLNASVGVVVMGGINHILMHNWEVPCAAEISLKASSYSAKIPIVEGEVKVFTDHHNQLYTSHLKLSSKEGQKEVKRSLVKWRMKKFCGMKRAKHVQIMIRIHKDLIMKYRDTIDKMIAEKAKRAMNLFPSTDPLLLLSTMEMCNSRHLL >OGLUM02G24520.1 pep chromosome:ALNU02000000:2:25343870:25351553:-1 gene:OGLUM02G24520 transcript:OGLUM02G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YUZ6] MGDEQSFGVYDMPSELLFTLDLKSTHANDFSAILRSYTQKIDKARKELKRRFRRIVKGTGSKRKELCITPEGIEVFAVAFTDGASTVTAIVEGKNMWMRGFRTADGQIYEFDDKEEMQMLEGSINEKGKTREEGIIEDQKREKMDKKNKQRDKYELASSSKEHVVKENAPPRPKKYIKGSILLQHSSNYGDLVGKEPNESSRFQVGYSIMKNMVQDLAHFDRYNYSAKHKRAMAALIIFSAEIWDFQEQALVESKEFYADDCWTTDPNLAIKHIEFIKGDAFPGSKLAVNDRELVALSGCLHASVGVVVMGGINRVLEHHSNIPCAAQISLQASSLSTTVQILYHKHEELKAKHKKLFENLVAEKKRKAMSIFPSTDPLLVLCNKAEEGVSLKESPDIDSETPRKGVEFDMDLASLRDKVDREVLNTWLWDNELDLLLRESEEQDEELNLQDSDSDELSDICGASDLYEYDSDVEYADADEGHSFFGYGHEFYYEDGNPYYAADREEKWENEILGKMEFPVPKANATCDFSFVWEGSLQVEGQFQVDPNRVSVEHLFPQLPKWESRWVNGDGKEPCCRAIQVFSLDLFSPSNDSMEIYEIYATASYATVSLNTRENPCKLNPGARKLQPLITPSRGIYAVGLVLIEYSLIIKGQTVEEDEVLIDGYSIYAPSFYGELERLHWHIDTGHFSTLDLRMVAIPRAVLVRLEFEVYHIEENHQYNSLTIAATYNMFQGSFITFNGKLSVGKLPPFTLPVNHDGYMHIYVYAYQDQLDDARHTPDGVISDYFPGCVRFDLEEFFCESTSLTPQKDGTSTQMADNLDGIEMAIKATWSTLLDSC >OGLUM02G24530.1 pep chromosome:ALNU02000000:2:25352711:25356499:-1 gene:OGLUM02G24530 transcript:OGLUM02G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAKPAMGQTQGDGCPVQQIYSDLEKATDSDKFHADRKPAPCDSSSRRKSNATSLKVLLAKEMTKEVELKRKPPSVVARLMGLEEDLPANGPILHQAKSGFRKSQSCNQLKALNKDLKQQEQQHLIKSTTQDIHPFCQEAVQYNDVYEVSEAQTRMSYFQDKISQKGGSSGNTSNRVDILRGSVMEKKCLGMAEKPLHSKDLQEALRVVSSNKDLFLKFLEEPNSIFSRQLVGSHTNLAPPQKKRITVLKPLGSFESDGTRQTGTEQIQEQNGAAMREFHQSSNFKEENPSLPSRIVLLRPTPGKPSLTNAKLTPRTTPFLSINPSDFRVALDGNGATLRYTKVEPGIIHNQQDGCHQGDESFLSSSYSNGYGGDESSLGDSEIDQNSDSDIDYIEDEGGSFSDSGGCSPVSKRTWHYTKRHGNPYPGSSFSKISHFAESSVTKEAKQRLSERWATVTCDEISQEQVELPRSTCTLGEMLSLQDVKNDDFINELPSVCTSRCYDRENELPTQAKYATACRKDEKNGERPMGLPRSTSVPLIPSTLNNMVANVKTSNHQGHERTKHVVASNKEKSFFRGRVSDFFFPRSKRTTRQISANHTSDLSSGNTEDCGGDSQQDANHDLDGNEKSTICEDILDICAVQSTSTSEGTTALTDVPASLDCRSGNLNKLGLNEVLNSTRDQPSPTSVLDAPSEDSSCNEPESSASTTSKNAKAVSRSSAIEAVACSLSWDDTTSESTLPGTRGQSSFLPDVDDDESECHVLVQNIMSSAGLDDAQSSMLFTGWHLPDCPLDPILFNKVLELREQSSYQRLLFDCVNVALVEIGENTLLSTFPWSKAHSRTWMDASSPALGIEVWSILKDWIYGARMFVVSRRDNAGIMIERVVKQEVEGTGWVKTMRTQLVDITEQIEGGVWEELVGEAVLDFVPACQR >OGLUM02G24540.1 pep chromosome:ALNU02000000:2:25364074:25368518:-1 gene:OGLUM02G24540 transcript:OGLUM02G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLRRRNPKSVPSGSSSMKPPRPPRGPSFQAPAVPRPLPEPSSPGGRPRKRVRFASEASSHQICVRQDANTGKRPQESHNVMPGRKLPAQKSTLSSEETPATPCKNEEIYHEQVNAKASHSEHGNNDTPQLNPHDYLTVHVHTPITQVSFEAVGISRNTEFFADILQRLGANSMIKKHRKESMRMMKVCGHTPTGTGCHFENMLDYRISDFDLRTKLRTEKESSSYATQESRQFMALPWGYNQGPSGSLDWKIDLPHRGNEARESMALPWVHTVGLPNSGWKRDTAHNQVSNLLLEDVQPHSEGKLASATELNCNVETRPCTYHGWVPMLSPGFSGSIPNRFFTPCQIEETHVVPYEISNTYRRPDPCNPLEQCFPPVGLDRQGQKEARFSHNYGAELLEQFTSSSVGLERQDQHGSELVNFDTGLLSSFDQLYAKCSASSFLDTRNGILNHSDFSYISNLAASESNDIVSNASMSCLNSIFSTSEHPFQLGSKRLHETSLAGLEEKYSKEAEIFDNSDIGPIQELDQLPAKFTYTSFSNYISGTLDHQHHLRYMPPKDSSSTLFMDANGACLNSLSPYTDHPCKQDGKGLCDSSTELWSSVHHLQSHGDDFGAVLGFMSEENTYNDLEDHCSFMLAEGNPNDLCTSDLPLFGLCSAMDGIREASVRLDGLRFILLADGTSMNVEGTEVYVDDVMRYSQMFT >OGLUM02G24550.1 pep chromosome:ALNU02000000:2:25370206:25382931:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRIVTALCEKLFWEKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.2 pep chromosome:ALNU02000000:2:25370208:25382931:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.3 pep chromosome:ALNU02000000:2:25370208:25382931:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVIERSVSANPKRGSPRKKSDSDITEKPVEPIRVHSEKELLREMEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.4 pep chromosome:ALNU02000000:2:25370208:25378167:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQACHLLNMLSKELLGDFEACAEIFIPILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.5 pep chromosome:ALNU02000000:2:25370208:25378167:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.6 pep chromosome:ALNU02000000:2:25370206:25378167:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIASALDPEKDWSIRIAAMQRIEALVYGGAIDYPSFLTLLKQLVPPLSAQLSDRRSSIVKQILRNCKVSRILPLIADTAKNDRSAILRARCSEYALLILEYWADAPEIQRASDIYEDLIKCCVADAMSEVRATARSCYRMFTKTWPERSRRLFMSFDPAVQRIINDEDGGLQKRYPSPSLCEKGVQLSRASSHASGTHLAGYSTSAIVAMDKSAAISSESSLSSRSLLSQSKTIGRTAERSIESVLSSSKQKVSAIESLLKGVSGRQNFSAMRSTSLDLGVDPPSSRDPPIPLAATASDHLSLQNSILLDSSLPSINNTRNGGSRLVDTVNPHVANKERSRSPYLSSLSSESISGSSLPYARSSSGRSPYGSTMEESNDTWSTRRMPQMQMDRHYLDMTYRDASHRNLHNHQVPHFQRPLRKQVASRTSASSRHSFDDGHISSNDMSRYTDGPTSISDALSGGLSASSDWVARVTAFNFIQTLLQQGQKGIQEVMQNFEKVMKLFFRYLDDPHHKVAQAAFSTLADIIPACKKQFESYVERILPYVFSRLIDPKELVRQPCSSTLEVVGRTYPIDTLLPALVRSLDEQRSPKAKLAVLEFANKSFSRYKVDSEGYSNSGFLKLWLSKLAPLIHEKNAKLKETSISGIIAVYSHFDSTAVLNFILNLSIEEQNLVRRALKQYTPRIEVDLVNYLQSKKERSRPKSYDQVDFGNSSEDGYALTPKSSYAFGRFSASSLDNASGKKMNMVHGSTFLDISTGRTSSDVSIDNVKQCFEPEAEVLATSRESKNIARTVVEAARSWTDYPGKSDATIDDENSTGTPRLEFGHLAVSDGRGAVISTSVEDAQEGNPLVELSSVKITPHTSNGPSIPQLIRQISNVSEVTSLDKREALQQLVTASTNNDNSIWTKYFNQILTTILEVLDDSDSSIRELSLSLVAEMLHNQKDPMEESIEIVLEKLLHVTKDVVAKVSNEANQCLNVVLAKYDPFRCLAVIVPLLVSDDEKMLVVCTNCLTKLVGRLSEEELMTQLPSFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANH >OGLUM02G24550.7 pep chromosome:ALNU02000000:2:25378200:25382931:-1 gene:OGLUM02G24550 transcript:OGLUM02G24550.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALEAARAKDTKQRLAGVERLHEALEAAARRGLTSAEVTSLVDACMDLTKDGNFRVAQGGLQALSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVRDAARQLLVTLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLLNDLNQSVRDAAISCIEEMYRNMGSQFHEELQRHNLPSYMLKDINSRLDKIEPKARSSDGARMQYKVTQILPKNQWNP >OGLUM02G24560.1 pep chromosome:ALNU02000000:2:25398435:25400231:1 gene:OGLUM02G24560 transcript:OGLUM02G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVPLLVGLLAVAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGDEQAKRIVNGALVLITLGGNDFVNNYFLVPMSVRSRQYAIQDYVPFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSRRGECAAELTRAVDLYNPQLVNMVRGLNRAIGADVFVTANTNRMNFDYISNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERHL >OGLUM02G24560.2 pep chromosome:ALNU02000000:2:25398435:25400258:1 gene:OGLUM02G24560 transcript:OGLUM02G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALVVPLLVGLLAVAAAPAPASAARAFFVFGDSLVDNGNNNYLITTARADAPPYGIDYPTHQATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGDKLLVGANFASAGVGILNDTGVQFVNIIRIGDQLQYFREYQRKLRALVGDEQAKRIVNGALVLITLGGNDFVNNYFLVPMSVRSRQYAIQDYVPFIISEYRKILSRLYELGARRVIVTGTGPLGCVPAELALHSRRGECAAELTRAVDLYNPQLVNMVRGLNRAIGADVFVTANTNRMNFDYISNPQNYGFTNVQVACCGQGPYNGIGLCTAASNVCDDREAFAFWDAFHPTEKANRIVVGQFMHGSTEYMHPMNLSTILAVDDEERHL >OGLUM02G24570.1 pep chromosome:ALNU02000000:2:25400362:25409773:1 gene:OGLUM02G24570 transcript:OGLUM02G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;ATP-dependent helicases;DNA helicases [Source:Projected from Arabidopsis thaliana (AT3G27730) TAIR;Acc:AT3G27730] MAAMGHLGDPYALRSVADLPPPFRSVFGFRYFNSLQSECFPACFLSDVNMVISAPTGSGKTVLFELCILRLLSRFLSSEWRFNLIKGTLKTIYIAPMKALVQEKLRDWNMKLGSLGISCLEMTGDNEFYNTKSIHDADLILTTPEKFDSVSRHGIRDGGLGFFSDIALVLIDEVHLLNDPRGAALEAIVSRIKMLSRLGTMKIAPLANVRFIAVSATIPNIEDIGYAPARNDFLFERRLQSFIFVGYHNGGLCLKDRSVVEGLFLKGDIQILCTTNTLAHGINLPAHTVVIKSTQFFNKEKGLYVEYDRSMVLQNPQHYGIKKEIPRELLEKQMKDICVEKIHELGEYGLIWTDEDGFLLKPLEPGRLMTKFYLKFDTMKLIVKASACCTLEDLLHIICHSAEITWIQLRRNEKKLLNEINADKEGRLWFHVVGANGKRKKRIQTREEKIFILANDCLTGDPLVHDLSLNQEMNSICSNGCRVAKCMREYFIYKKNYKSAISSMLLAKCLHQKLWESSPFLLKQLPGIGIVTAKALKTAGIDSFESLATANARKIESVTGRNYPFGDSIKSSLPSLGPKIDINIEDAGNRQGKSTIIVTLTRLSQAVGSSKQNYADMVVGSEEDNAILFHEKINPYSVKLYVPCPPNARATLKVDVIFEEYVGLDIHKKHVVSREDFHVTKVFGIKKAEPLYNLPAESCLVSSKTTRTNQSKYHNGQNPLSKEVCVIEDDFRAKAPDKADNDLEILGTREYNNLASLEAPSFTLLHEEDYEDVPDVLASEPVEAECKSATNNTIFDHIRKKSRDFPTLMLSKSMDSSYEPLILKKMKTSGDQFGLDQSSLHAYEVTPMVFDRTEARVSPTNTDERCRNILTRTAETRSFQFTGKMDSISQKSEILNRTQGKNSTQLAGKNAFEKSKTPDENSLHFVGKRDSSSEKSKALSKTPDENSLQFLGKMDSSSEKSKFCFSSPLADFQPMQCTKQVAASVQPLTIQDYCKDILASAKSSGTGASFLDVKSVFSFL >OGLUM02G24580.1 pep chromosome:ALNU02000000:2:25409580:25412555:-1 gene:OGLUM02G24580 transcript:OGLUM02G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV10] MSNAGSRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAAEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRIDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQEFDVLHSLEIGEKVKKLRWCARPNSSSLSMLATNDRTVKLWKVSEHRSRKGNGQPPRRRSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVTDQCFNIVDMKPADMEDLVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYSNTFKVFSRAAANPNGTTLEASTNPYRIQSFPSAKSQGLLSNFARGIQRKGQDVARSDGREDTKCNMTSKVTHLAWHPMENFIVCAANNSLYMYHT >OGLUM02G24580.2 pep chromosome:ALNU02000000:2:25409580:25412555:-1 gene:OGLUM02G24580 transcript:OGLUM02G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV10] MSNAGSRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAAEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRIDDESHLAIPVWFPAARAGLLTSDSCAPQPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQEFDVLHSLEIGEKVKKLRWCARPNSSSLSMLATNDRTVKLWKVSEHRSRKGNGQPPRRRSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVTDQCFNIVDMKPADMEDLVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYSNTFKVFSRAAANPNGTTLEASTNPYRIQSFPSAKSQGLLSNFARGIQRKGQDVARSDGREDTKCNMTSKVTHLAWHPMENFIVCAANNSLYMYHT >OGLUM02G24580.3 pep chromosome:ALNU02000000:2:25409580:25412555:-1 gene:OGLUM02G24580 transcript:OGLUM02G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV10] MSNAGSRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAAEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRIDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQEFDVLHSLEIGEKVKKLRWCARPNSSSLSMLATNDRTVKLWKVSEHRSRKGNGQPPRRRSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYFDGETFVSADDLRINLWHLEVTDQCFNIVDMKPADMEDLVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKLSELYNNDCIFDRFSCCSSKGGDYFATGSYSNTFKVFSRAAANPNGTTLEASTNPYRIQSFPSAKSQGLLSNFARGIQRKGQDVARSDGREDTKCNMTSKVTHLAWHPMENFIVCAANNSLYMYHT >OGLUM02G24580.4 pep chromosome:ALNU02000000:2:25409580:25412555:-1 gene:OGLUM02G24580 transcript:OGLUM02G24580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV10] MSNAGSRRRSLTSIAPSPVSSSSFPSGAREAQAPPSQLPSWKFSQVLGELPLAAAAEGHDNGTLQDDGDTISAIEFDGRGEHLAAGDHAGRVILFRRIDDESHPPPPPSRADLERTDYAAAAPPAYAYMAEFQSHEQEFDVLHSLEIGEKVKKLRWCARPNSSSLSMLATNDRTVKLWKVSEHRSRKGNGQPPRRRSTPTSASLSEIALLGEGDSSVRNGYYYEWASKKARNYLSPDSAEHSEKAGEVGEGYTAKCRRVFARAHVYNINSISNNCDGETFVSADDLRINLWHLEVTDQCFNIVDMKPADMEDLVEVITSAEFHPSSCSLLAFGSSRGFVRLVDLRQSALCDRNVRIFQDRGNSVQPRTLFTEIISCISDVKFTGDGKYLLTRDYMNLKLWDLRVESSPVATYKVHEFLRPKVQLLLQQGRGLLRHWILQFSLVLLQIPTELRWKLARTLTGQDVARSDGREDTKCNMTSKVTHLAWHPMENFIVCAANNSLYMYHT >OGLUM02G24590.1 pep chromosome:ALNU02000000:2:25413243:25418260:-1 gene:OGLUM02G24590 transcript:OGLUM02G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGPIRLGDELRVWIEHKALDPTGFLLTNRLVFWERQWLSAGTLAEKALLAVLPPEGEFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSEVDQTTGDISSYRILTDILGLEDHLGDMDFKIAGTRRGITAIQLDIKPAGIPLDIICESLEPARKARNQILDRMDQEISSARAFNDGSSPRLATLSFSNDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGVVSSIKEYGAFVEFNGGQQGLLHISELSHDKVSKVSDVVSVGQVLSLTCIGQDLRGNIKLSLKATLPHAHEKKDLASDHTDPLPSQEVVGWTAVENMPSKDANAEPSISKDEDNMIEETPGCSTPAVIIRSAAECDAQDVTNDPKKKRPKVAKSSPKLSKPASERQEVKRTSAKKTSGASTTAKKNKKEKADSSNDVLDAIPEQNKSNIMNYSSPSNFRSGSMKLGDVVTAKVYQIRAYGLVLELSDGVRGMHKFAENGHKDFEVGEELLVKCSSFNAKGIPVFSLLD >OGLUM02G24600.1 pep chromosome:ALNU02000000:2:25429719:25433016:-1 gene:OGLUM02G24600 transcript:OGLUM02G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAVASLRLLARGGRRRARFPAPLSVPGGRAAFLSGAAEEVAQADAPPPPPPGRKVLESFREEFEIGGRVISFETGKMARFANGSVVISMDDTHVLSTVAAAKSSEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVISSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHAEAVKCIDPQLRLAKRAGKKKKEYKISLISDKSYEKIRTLSEGPIEEVFTDSTYGKFERGEALENITQSVKAKLEEECDEDSLKFLHKAVDTVRKQVIRKRIIEKGLRVDGRQLDEVRPLYCESSTYPILHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHDYRN >OGLUM02G24610.1 pep chromosome:ALNU02000000:2:25444627:25447285:1 gene:OGLUM02G24610 transcript:OGLUM02G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFYKVHVNCPFDLSNLYRPSVLLYTHPGRPPLRAFSPSSPPPPPPPNSSSAPQAAQVETTAPPSSPHPIRPSLLGNHQKGGGGYAAGTDTELAREVDAA >OGLUM02G24620.1 pep chromosome:ALNU02000000:2:25450847:25453167:1 gene:OGLUM02G24620 transcript:OGLUM02G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAQSSSSSSRPSDSEQLEEPSKPVMALDKAKEIVASSPVVVFSKTYCPFCARVKRLLAELAAGYKAVELDVESDGSELQSALADWTGQRTVPCVFIKGKHIGGCDDTMAMHKGGNLVPLLTEAGAIATPSL >OGLUM02G24630.1 pep chromosome:ALNU02000000:2:25454191:25458111:1 gene:OGLUM02G24630 transcript:OGLUM02G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCT motif -containing response regulator protein [Source:Projected from Arabidopsis thaliana (AT5G61380) TAIR;Acc:AT5G61380] MVGAGEGDRVGGGAAVGGGQQFVDRSKVRILLCDSDPSSSREVLRLLCNCSYQVTCAKSPRQVINVLNCEAGEIDIILAEVDLPVSKCFKMLKYIARNKELRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRMNELLNLWTHVWRRRRMLGLSEKNFFNDNFELALSEPSDANTNSTTLLSDDTDDKPKENINQETSTSNQHEYESNPSDAEPKQKGTPEGLPVSTEGGDQASSPGVMFSRPIKTNLRVAESSAFLAYVKSSTPTTSSFDSELQKGGNRLDSSDHRGNFSSTTDRSDTGTDVNIRDKEAFEMPVQYPVVCFSSSNLHLERSNEGQNDASGTPPVYHFPFYYPGMMDHGMTHPPVQNFQGNINNAQVHTPQTLLPQYNVYPQCHGVSMMPPFQYNPAGMSIQSNQLPTQNMWPQASSTPMPEETCSRSERRAAALAKFRLKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQANYTDITSTGDDISEDEDDDPSSREVEMSVTVCSSSGINSPLLRQLDPSPAIASATIITEAKSDAATTGITD >OGLUM02G24640.1 pep chromosome:ALNU02000000:2:25458124:25462869:1 gene:OGLUM02G24640 transcript:OGLUM02G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPRGYDYAGKIRRREVWDQPAAAAVANERRAAGRRKERRARARGEGFCGGCVVRDWGEGTNPKEEILEGGEGLWNCSPESGEAGEAKSQRWSGAGRRPAWAAADWALLR >OGLUM02G24650.1 pep chromosome:ALNU02000000:2:25458565:25459914:-1 gene:OGLUM02G24650 transcript:OGLUM02G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleolar RNA-binding Nop10p family protein [Source:Projected from Arabidopsis thaliana (AT2G20490) TAIR;Acc:AT2G20490] MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYSRQRYLLKKRFGLLPTQKPAPKY >OGLUM02G24660.1 pep chromosome:ALNU02000000:2:25465609:25467185:-1 gene:OGLUM02G24660 transcript:OGLUM02G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 121 [Source:Projected from Arabidopsis thaliana (AT3G30210) TAIR;Acc:AT3G30210] MEGQQFAWGREEGGWRKGPWTAQEDRLLVEYVRQHGEGRWNSVAKITGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQQQSQLMQSGQQQQQQQQLGQDDDATSAVVDDNLAEVAPPAAASLTHDGELQIMQEMAPDMDDLLYYHPGDMSPYSYDDLLGSGGGDGGAVAASAGAAASTSEGSSEELDGGAATWGSLWNLDDVVHDVMIDCTAGAGCCWGSFPPLQDQGLAFY >OGLUM02G24670.1 pep chromosome:ALNU02000000:2:25477635:25478259:-1 gene:OGLUM02G24670 transcript:OGLUM02G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASTTSPLAALAGSSRCSRGMWATIGCVEDAGESLAVPLAGSMTTTPLAPFPFLKALPKPLGSASFYGRRHTLRLLLRMKSELLSVGVRRRLATMMCCSLFQRVGAGHVEEVALWWLG >OGLUM02G24680.1 pep chromosome:ALNU02000000:2:25480443:25482232:1 gene:OGLUM02G24680 transcript:OGLUM02G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSPARSMDTSLSIAVAAAAVVLLLLVRGADAEIRTTLIVSDARPLILFEQFGFERGGKATISIRRSFWNLRRGSRRTAVDPSLMGFVLISGTQFPKINNASAYAAADPGDNGDDGGGSYCVLTSEYALPVLRLGDVPPGGVTTTVSIDDPDQYAVVFSNCQDGVEVTVDVYTEMYNVRDGISDGPRDYLPVGLRPLPTVYTVVSEVYFAFLALWACVCVRHRATVERIHAVMGALLLFKALKMACAAEDSWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIILIGTGWSILKPYLQEREKNVLMIVIPLQVVENLLLVVIGETGPTGQDWVVWNQVFLLVDVICCCAVFFPIIWSIRSMREASKTNGKAALNLQKLTLFKRFYLVVVGYLYFTRIIASAFLALLSYKYQWGVNVAIEAASLAFYLFVFYNFQPVAKNPYLYIGDTVEDAAVEREMDDEGRF >OGLUM02G24690.1 pep chromosome:ALNU02000000:2:25482397:25483149:-1 gene:OGLUM02G24690 transcript:OGLUM02G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATTIQMEASPADHWSPRHRRRCGCHGVNWVRVVCTAIVLLFVGLAVGGLFYLIAVSTRDPVHSASIDAVSGLDLDHPTDLAGRAPTLDPVFNLTVRLASQNQVTNYPNCIWPGTTVEVTYRGVQLAIGSAEQLCVGARETKEQHVVAWGAGVRLPGSALDALAADALRGAEAFDVAVKIPTVIHSGYHSYDPRHVHLGTLVSCMSRRVGDDPVAALRTPCHASSADIAASYPNKGGRSLGVPVNVRE >OGLUM02G24700.1 pep chromosome:ALNU02000000:2:25500410:25514479:-1 gene:OGLUM02G24700 transcript:OGLUM02G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLAEDMRSGEAVFEVKLIKGDGDRYSRTVATCWARVGGGLDDRTSDGGKEVEYSVAINAVHGLYPATELSTEYALNPEFDLTVRVSAYSRLAGAECIEPGTTVEVSYHGVLLASAPVDKFCAGVKETREQHVVAWGTGVHLPRFAVDALVEDARRGAEAFDVAVKMTTQKGLVVGTLITCKERRVGDAAASPRIPCDVSAMAIPVRPVQSPNTGKTLTGEHELPVHWAVAEPDNTQRRGVLGGVRLRIDTVCLVMVCMILIAVAFLAMMIVAIVKDWTQPASYSVAIDSVAGLDPETDLPRDTLNPEFNLTLRLASQRADMGVCFKAGTTVVVYYGGVQLAGAAVPALCAGPRPSAEEESVVAWGRGVPVPRLARDRLAGDLRGGAAEFDVTLTVQRYTYAESWDVVLCSGKVGDAAALITPCSLYDENVQEPSLEPGYGGYSSQPESPPEAGDDDQDQIRRLLRCSSGIAIAVLVVVGVLVLCALEASKAPRLSVAVATVSGLDPATDLARPAVDPQFNLTLRVASRSLLSRACVGVSSTAVAVSYHGVRLASAPVAPRVCAARRKSADAGPFVAWGAPRLAADMRNGAAAFDVTLMDGHLVVCRGRRVGDADALRAPCVLTHVETGAAVPHTGTLQHIPFLRLQMLPICQINVYKNPGRRTPALSIKNARTKRIEYKQRLKINVQ >OGLUM02G24700.2 pep chromosome:ALNU02000000:2:25494339:25500410:-1 gene:OGLUM02G24700 transcript:OGLUM02G24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAPIQVQPAEPGPPPRRCSDCAAVLITVAISCGLLFAVFVLAVALFGGPKYYVAIDTATGLDPATDLPWPVLTPEFNLTLRVTSWSVVGAACLDPAMDVAVAYRGLALARASPPPPPRVCARGWGRTEQVSVVASSGNGVRLPGYALHGLEADAWGGAVAFDVALAMPPKGSDNRHQLWCRAVPNEAYQRQRLRRWKRTQQTALLAVALLLLLIVGGVVFFVVDSNTRPRYSVAIDAISGLDPAATDDDGRDPTLDPVFDLTVSISPRSRVRDTDCYEPGTTVEVNYHGVLLASGPVEQLCARATKTGRGRAVAWGTGVRLPGFVLDALVSDVRRGGVEATGFDVTVKIPSTGGGDRSSPAGTLVSCRARRAGDDAAAGLRTPCDALSANIVVPLPNTGRTQTGGAKMPRERAMQMEASPEELPWGCCVCACVLIPAVVLFAGLIFFAIDDNDALYSAAIDAVSGLDPVSELGRDPTLDPTFDLTVRIRSRSWLRGDECLEPGTAVEVTYRGVLLASGPVEKFCSGGKKAKDQHVVAWGTGVRLPGFALDALVADARRGAEAFDVAVKMPSKHWGHVTVDGTLISCKARRVGDAAALGTPCDVSSMDIPVPSPNTGRTQKTGGAN >OGLUM02G24710.1 pep chromosome:ALNU02000000:2:25514492:25519492:-1 gene:OGLUM02G24710 transcript:OGLUM02G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHEYALRRSPNLERRPWVRSRSKNSRLSLVDAAPFDRRKKKKTMSTPMHSVYASACFWSSSRSCWCLCIRRPGTEGMAASPPDYFVAIDAVSGLDPSTDLGRPALDPAFSLTVRVASRSSAHGACVRAGTSVLVSYRGVPLAGGRAPADPELCAGPMGAAEDGSVVARGSGVRVPGPQLDALAEDMRRGEALFEVTLAMPYYGQRKVASCWDRVGDAAALRVPCDVSLVDPRRLAGITGFSDLPSAIRGGGGASGPRAARERGRSQTSDPCLECCGMIVGIALFGVLFGALGWLAIMEKYYVPAMPQYSVEIASVSGLDPATDLHAGKALDPVFNLTVGIASKGEYRGVCIEPRTAVKVSYSYLGLPLAGAACRRCARGRRSRPRSGPSSRGASG >OGLUM02G24720.1 pep chromosome:ALNU02000000:2:25519716:25520444:1 gene:OGLUM02G24720 transcript:OGLUM02G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREYLGERLVDSYDGGRGGEDCCEMMKGFCWNILPGILGALTALVLMSALLYYPYKWSFDDGKSPEFSVTVVGVSGLDPDRDLGRPTLDPTFDLTVRIKEPRRYSVACVERGTTAAVSYRGVQLASGPAPELCGRNENTTEARSVMAWGHANAVPEFARERLAEELRRGDAAVDVTLTTPARYCRSCMQTVIECKPRVGSGEFSPRCGVTTDFPTFPDSPDVPYPQYQVLQPGRRLLRW >OGLUM02G24730.1 pep chromosome:ALNU02000000:2:25522477:25527344:-1 gene:OGLUM02G24730 transcript:OGLUM02G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMWRKAKKALGAGLCVRLPAVAGDWEDGVSERRASDALSHDASSAAAAHVSAPNTPAAALPGAGPLRRSKSGTKSAKGMCAICFDPMKSGHGQALFTAECSHMFHFHCISSSVKHGNYVCPVCRAKWKEIPFNRSLSSIVPRGRSGLNVNQTRLPQQGTYMALLRQVPSHHREASGSHTSEPVDFNDDEPLQLIESGDSRDARCSRAVEIKTYPEFSAISQSSSEDDFAVLIHLKAPCANPEQVTGRPFNATSIGYPTSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFHLRRMSHSGRQQTLQAVNLLGAGGGTNIADALKKAAKVIEDRNYKNPVCSIILLSDGQDTYNISSNVRGTRPDYRSLVPSSILNHTICTVPVHGFGFGADHDSDALHSIAESSGGTFSFIEDESVIQDAFAQCIGGLLSVVVQDMRLTVECVHPSVQLHTIKSGSYLSKVAGDGRNGSIEVGHLYADEERDFLLSLSFPQSRDQTMLLKVACAYRDSVTNEAIKIQADEVKILRPKSPTSEPVCMEVDRERNRVRAAEAIEAARAAAERGALSDAVAILEHCRRILSESFSRKSGDRLCISLDAELKEMQDRMASRQRYEASGRAYLLSGLSSHSWQRATTRGDSTDSTTLVYSYQTPSMVQMLQRSQNQCPSPPGPRPQLRQTRSLLEKPHPR >OGLUM02G24740.1 pep chromosome:ALNU02000000:2:25535610:25542513:1 gene:OGLUM02G24740 transcript:OGLUM02G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDETAAEAALGMSAPFFVNSVLNAVDDVRYGAFEYCLQEGAPEAIGAATATQKAEELERGVISIHNLVKDVLDKRMSNWEKYCLRHCFAIPEGFLTCEDDIPAKKSLNDGNSDSDLDAELVSLRKKLEDANIESEELQKELSSLERQAECQRNLDSSMAELLKVFESKAFQDNFQDLVNVIPLFQRKLEGMKRKIVENIDDQIDWNVNGHHKRLASGFTARTEDSQDVVNVLKKY >OGLUM02G24750.1 pep chromosome:ALNU02000000:2:25547277:25548514:1 gene:OGLUM02G24750 transcript:OGLUM02G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALVLIQESHQSNCPLLEPSPLARTRSRRTHHLTSQTDTTLLNSFLLLLTASYCSPPLPVSLLSSSTARARASELMASSSNPDTMDTDPPGGGTLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARETCYLVKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVLAAVDKYYKFDSS >OGLUM02G24760.1 pep chromosome:ALNU02000000:2:25554272:25555768:1 gene:OGLUM02G24760 transcript:OGLUM02G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV31] MATCLDSLGPLLGGAANSTDAANYICNRFTDTSSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNSMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSKGFIGKQFFGLKHMPQTGYDYDFFLFQWAFAIAAAGITSGSIAERTRFSAYLIYSAFLTGFVYPVVSHWFWSTDGWASASRLTGPLLFKSGVIDFAGSGVVHLVGGIAGLWGAFIEGPRIGRFDAAGRTVAMKGHSASLVVLGTFLLWFGWFGFNPGSFTTISKIYGESGTIDGQWSAVGRTAVTTSLAGSVAALTTLYGKRWLTGHWNVTDVCNGLLGGFAAITAGCSVVDPWASVICGFVSAWVLIGCNKLSLILKFDDPLEATQLHAGCGAWGIIFTALFARREYVELIYGVPGRPYGLFMGGGGRLLAAHIVQILVIVGWVSATMGTLFYVLHRFGLLRVSPAKEMEGMDPTCHGGFGYVDEDEGERRVRAKSAAETARVEPRKSPEQAAAGQFV >OGLUM02G24770.1 pep chromosome:ALNU02000000:2:25561769:25562446:-1 gene:OGLUM02G24770 transcript:OGLUM02G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARARYVNRRAVEFTHFPRLRTSYLNQEHGNVAVVIGGPRNRNAREVGVPASICGGSTTERSGEGGRGSSTASREPHGKRGTCRCRQWRTAARPEALNRAENDALRLSESLPCEKPLPHGARGDAAMQDERGARGMAAGSGGVQAAVRRACGHNRPFAEA >OGLUM02G24780.1 pep chromosome:ALNU02000000:2:25563319:25565476:1 gene:OGLUM02G24780 transcript:OGLUM02G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRAPTAAGLTGLRAYADMPAHLGIGCFYASRRALAVGTMVASSCVGPSHFEGINNDTPQVMDSFSLPLHVAYKSDAAAPHSTHSPHFHCSSPLLYSLC >OGLUM02G24790.1 pep chromosome:ALNU02000000:2:25565498:25566988:1 gene:OGLUM02G24790 transcript:OGLUM02G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV34] MATCADTLGPLLGTAAANATDYLCNQFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGAPSNGFIGKHFFGLKQVPQVGFDYSFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGSLLFGSGVIDFAGSGVVHMVGGVAGLWGAHIEGPRIGRFDHAGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGPPGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVIDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGVIFTALFARKEYVDQIFGQPGRPYGLFMGGGGRLLGAHIVVILVIAAWVSFTMAPLFLVLNKLGLLRISAEDEMAGMDQTRHGGFAYAYHDDDASGKPDRGVGGFMLKSAHGTQVAAEMGGHV >OGLUM02G24800.1 pep chromosome:ALNU02000000:2:25570062:25571657:-1 gene:OGLUM02G24800 transcript:OGLUM02G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33350) TAIR;Acc:AT1G33350] MPPAPPLSSHGDFVAALHRCATLAHLKQLHAHAVVTARAAAQTTTFHLLRFASLRLSCLPYARRLFDATPSPNVFLYSAMLSAYAAASSSHAREHARDSLALFLRMLRRGRPAPNQFVYPLVLRAACAIGVQLVRSIHCHACKDGFYGHDVVRTSLLDGYSRYGMMGDARNLFDSLTERNVVSWTALVSGYARAGKVGDAIVLFEQMPQRDVPAWNAIIAGCTQNGLFVEAVGIFRRMVDEGFRPNGTTVSCLLSACGHLGMLKIGKVIHGYAWRSCVGFGSSVVNGLIDMYGKCGNLMEAQWIFDEFSDRGLTTWNSLINCLALHGCSESAIAVFNSMRNEGVQPDEVTFVGLLNACTHGGFVDEGLRYFELMCDEHGIEPEIEHYGCVVDLLCRAGRFQDAMNFINDMKVQPDEVIWGSLLNACRIHRHLELAEHAIRKLLDLNPSNANYVVMLANLYGEGGFWEEVRKVRKFMKEDVTGKKLPGCSWIEVDRKTHRFYSGDDGHPESEDIYNTLDELATAMEMQATFA >OGLUM02G24810.1 pep chromosome:ALNU02000000:2:25573210:25573932:-1 gene:OGLUM02G24810 transcript:OGLUM02G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEEEEEEEEEPNMYNSEAWEENHVGGFYECTGLPRDADGFVAAVHEVNPVQFLAGVFRYVGEDMAGELLRSDEDDVLHCPSIAASSSPEELLACAARAYTGRDRERCGGSVVEHLYMLCACFCPHAATTAAAAAHVSAPVVAGADHVPAPCDDNGVDLAFTQDELTAAAVTVGEVDEDEVAVAAAAATVDAAVDEIVLNALGFNEFARDLKETIEAKDREDALRAEGSSVSPGVRPPV >OGLUM02G24820.1 pep chromosome:ALNU02000000:2:25580262:25589657:1 gene:OGLUM02G24820 transcript:OGLUM02G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAALRTGMAKPNGKEKTGDTGLSMAPPKISKDRFDAAIRAMADIGVLKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGAHHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLESNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALGILSKPSVPQILQSNQANSSFMPPNNVMSLGGTSSSCAVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >OGLUM02G24820.2 pep chromosome:ALNU02000000:2:25580302:25589657:1 gene:OGLUM02G24820 transcript:OGLUM02G24820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPHTHTYRLQGDRPRSEGTRSAYRPNIAAAGGASNSSSSRPSPLAFPSRLLARRPPPATIPIPRAAAVPAVLCRTLAAVSSRSAVSTSQLRTGMAKPNGKEKTGDTGLSMAPPKISKDRFDAAIRAMADIGVLKETAAPVLNNLLNLFDYNWVHIEADNYLALADAIFCDSDPKEGQKRQANETNLDADQSNKKLKTKKRSQNPTSKMHGNDNREFVEAPPQQGRGTLSARTVNGKKVTRAHLELPSSQLLIKEPHTCPSIAKNTTIVENNSAVLCHGQDLQTFEVPVATTCPQVVAPSTRKDARRTSGAHHDQKHEGVSGAHERNRAVACSNQEIVSSKDSPSNIEVVLSNYGAGKLSFTYNSSLANRSDFHLPDIKLICKKMEARCLRKYKSLESNFSFKNLIKDTCQCIVESSGPRHEGIIQTVPALGILSKPSVPQILQSNQANSSFMPPNNVMSLGGTSSSCAVAGVSQNSSNMPVVPHQLHIGANRPPHDVNDITKGEERLRIPIINEYGNGILPPPFHYIPHNITLQEAYVNISLARIGDDNCCSDCFRDCLAQSLPCACAAETGGEFAYTTDGLLKGAFLDSCISMIREPLKHPHFYCKICPNERMKIEVNSDSSNTEMNPGPCKGHLTRKFIKECWRKCGCTRNCGNRVVQRGITRHLQVFLTPEKKGWGLRSTEKLPRGAFVCEYVGEILTNIELYDRTIQKTGKAKHTYPLLLDADWGTEGVLKDEEALCLDATFYGNVARFINHRCFDANIIGIPVEIETPDHHYYHLAFFTTRIIEPFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKTRRSKSRARV >OGLUM02G24830.1 pep chromosome:ALNU02000000:2:25590878:25596536:-1 gene:OGLUM02G24830 transcript:OGLUM02G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWHRLGNFKYVVMAPVVAHGARRVMRNGWGDLDIAFSLILPSLLLRMIHNQIWISLSRYQTARSKHRIVDRGIEFDQVDRERGWDDQILFNGLVFYAGYLAMPSVRRMPVWRTDGAVVTALVHTGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFILFAIPILSTIYLGNVSAMGIVGYIAYIDFMNNMGHCNFELVPEWIFQIFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDELYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGIASIASKPYSDSAWYMWTLWPLAWLSMVLAWIYGSSAFVVERIKLNKMKMQTWAIPRYNFQYGLTWEREPINDLIEKAILDADMKGVKVISLGLLNQAKQLNGNGELFRQKYPKLGVRIVDGSGLATAVVLKSIPSDAKKVFLRTGTSKIARAIAIALCDRGVQVIMNEKEVYHMLKSQIPENRASYLKLSSDNVPQLWIVHNIDDNEQKMAPKGTIFIPISQFPLKKLRRDCTYMSTPAMRIPEEMKNIHSCENWLPRRVMSAWHIAGILHALEGWNMHECGDEMMDIEKSWSAAIRHGFLPLTKA >OGLUM02G24840.1 pep chromosome:ALNU02000000:2:25601198:25606185:-1 gene:OGLUM02G24840 transcript:OGLUM02G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCSVLRRRLCRAETAVQAPAGQTGRGPSQATSQACHCDTDTKECLVLVGWRKIIHTARQAGRNRVRKGHGTLFFGPTDGGGGHLLLLLIIRSPLAHGAPPHQPRTPPSRSFDPIRSFASKAPSHPRPRASLLSLSLSRPRRMASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKEDRAHQDDDLSDVHIGVGCDSCGMYPIRGKRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >OGLUM02G24840.2 pep chromosome:ALNU02000000:2:25601198:25606185:-1 gene:OGLUM02G24840 transcript:OGLUM02G24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCSVLRRRLCRAETAVQAPAGQTGRGPSQATSQACHCDTDTKECLVLVGWRKIIHTARQAGRNRVRKGHGTLFFGPTDGGGGHLLLLLIIRSPLAHGAPPHQPRTPPSRSFDPIRSFASKAPSHPRPRASLLSLSLSRPRRMASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKEDRAHQDDDLSDVHIGVGCDSCGMYPIRGKRYKCKDCTELIGFDLCEECYNTKSKLPGRFNQHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >OGLUM02G24840.3 pep chromosome:ALNU02000000:2:25601198:25606185:-1 gene:OGLUM02G24840 transcript:OGLUM02G24840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPCSVLRRRLCRAETAVQAPAGQTGRGPSQATSQACHCDTDTKECLVLVGWRKIIHTARQAGRNRVRKGHGTLFFGPTDGGGGHLLLLLIIRSPLAHGAPPHQPRTPPSRSFDPIRSFASKAPSHPRPRASLLSLSLSRPRRMASEDGCGGGNPPGDAAAAPPVWNAAPAAGAGCGDLEEDLRFQCCVCLELLYKPVVIACGHMSCFWCVHNAMHIIRESHCAVCRQPYKHFPSICQLLHHLLIKLEPVEYKRREKEVLEDEKRVDTYSPQIIEFLNSKSNNCEIDGENRPEESNSRPPQEVTSDGNTINGHPKKVKLEDVSCALCKELLYQPAVLNCGHVYCMSCLSSLDDGALKCQVCGGLHPGDFPNVCLDLDHFIEDYFPAEYDLRREKIKLLKGECNQGSSSGTSCTKEGRGRPTNKEDRAHQDDDLSDVHIGVGCDSCGHHTPDHRMELDHSALFNRLMRLQGIHEEGPGEIIIEGAFVAPDAVVHIIADDHEEIEDNGEDDHLL >OGLUM02G24850.1 pep chromosome:ALNU02000000:2:25611201:25611677:-1 gene:OGLUM02G24850 transcript:OGLUM02G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICARAEPPRGGGTLGKRKERDRPSSEEQRAPPPPPPPPPLFPAMSARPQPPRPAHPARFVKPMPPPPPPFPKGGGGSSFKLMAGYLAHEFLRSGTLLGERPESNSKAPDPAASAGPAAPDPRTRYAEASLLLMAGGARVPGVVNPTQLGHWLRIKE >OGLUM02G24860.1 pep chromosome:ALNU02000000:2:25614462:25620717:1 gene:OGLUM02G24860 transcript:OGLUM02G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP citrate lyase (ACL) family protein [Source:Projected from Arabidopsis thaliana (AT2G20420) TAIR;Acc:AT2G20420] MVRGSLGKLASRALSVAGKWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAAGSVEEVKNTLKNVFPSEKEIVVKSQILAGGRGLGTFKSGLQGGVHIVKAEEAESLAAKMLGQILVTKQTGPQGKIVSKVYLCEKLSLVNEMYFAITLDRNTAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDDDAAKVVDGLAPKTADRQSSIEQIKKLYELFCKSDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFAMRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >OGLUM02G24870.1 pep chromosome:ALNU02000000:2:25622383:25627885:-1 gene:OGLUM02G24870 transcript:OGLUM02G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV45] MGEEKSQRRRRQGHPLLRGGGAGKQAGRRYTHGFSASQMVALAALCGALAPSLPPDTRDDDDDDAGGGRYGGAGASDAKAVRDFLLASAADPPVPDEVAELMTRMCLREALALVRAVLWLLGTRLGTLALCGGRCVSWGRWPFVLTFAEMPVERREEALRRWSRVTVLPPLRAFFLVVKVFCLYVFYSWIDESSENPHWRAIGYSPPTDEPPAEEHTEATKRPLDDGVVETINLTDASLPSSLAEKGLAVTDDAARNVCRVECDVAIVGSGCGGGVAAAVLAGAGHKVVVIEKGNYFTSRDYTSFEGPSINQLYESGGFVTTMNGGGLLLAGSTVGGGSAVNWSACLKTPEFVRREWAAAHGLPLFASPDYAAAMDKVFERLGVTSGCTEEGLQNKVLRKGCEKLGYKVDAVARNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVGRGAVILTGCKAEKLVLERGGARGRRCVGVVARSTNPAITKTLEVRAKVTVSAAGSLLTPVLLQRSGLTNPHIGKNLHLHPTALAWGYFPNTMPDLKGKAYEGGIITSMHKVETSGAGAPHRAILETPMMAVAATGTQMPWLSGRDSKERMLRFARTVHIFSLVRDRGSGTVHGERRVAYRLDAADREDIRDGLRRALRVLVAAGAAEVGTHRSDGQRLRCEGLTEEALEEFLDGVTVVRGPQSRSETWGLFCSAHHMGSCRMGATAGDGAVDARGESWEAERLYVCDGSVLPTAVGVNPMITIQSVAYCLANGIAESLSAKTN >OGLUM02G24880.1 pep chromosome:ALNU02000000:2:25634472:25640702:1 gene:OGLUM02G24880 transcript:OGLUM02G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAGVFWGVVSTARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >OGLUM02G24880.2 pep chromosome:ALNU02000000:2:25634472:25640702:1 gene:OGLUM02G24880 transcript:OGLUM02G24880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVIGGKFKLGRKIGSGSFGELYLGVNIQSSEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQMINRVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPTEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQLDYIFDWTKQGSESNRLRSSGRTSGLVGPSAERTERAAARQDVPDRFSGTVDPFARRTGSGSGHYGEHTKHRNILDSLLAPKTAVDLDKRRPTSSSRNGSTSRKALLSSSRPSSGDPIDPNRSNLIPTSSGSSRPSTMQRLHQSTGLETRSSLTKTARNVHDDPTLRTFERLSISADRRK >OGLUM02G24890.1 pep chromosome:ALNU02000000:2:25641791:25642681:-1 gene:OGLUM02G24890 transcript:OGLUM02G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositolglycan synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G34980) TAIR;Acc:AT2G34980] MNNECRPKWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTYTLNLVIDEVTLLKLDTSLLLAGFSMLLLTASPFSLKLLSKYVLNTSFFISGLYVLAPIYQTLTRSISSDSIWALAVCLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQIFLFVPLVAFCIKKFSLRLHLLFSFALMIMTLGVTYQLHHMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >OGLUM02G24900.1 pep chromosome:ALNU02000000:2:25646111:25647740:-1 gene:OGLUM02G24900 transcript:OGLUM02G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDPPAQGNPRAAFIGHSHHRRRRTLFPLCLSASPRPPAASPDRRRENAVQEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKTAAA >OGLUM02G24910.1 pep chromosome:ALNU02000000:2:25647902:25651024:1 gene:OGLUM02G24910 transcript:OGLUM02G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVFTPSLEGMKHVKSESSVILTKPFLDVCKQILPVLDKFGAAMALVKSDIGGNITRLENKYSSDPSKYEQLYSMVQEEVQNKTAKGLWTSLLNYSVTCLSIKTGL >OGLUM02G24920.1 pep chromosome:ALNU02000000:2:25651505:25654832:-1 gene:OGLUM02G24920 transcript:OGLUM02G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >OGLUM02G24920.2 pep chromosome:ALNU02000000:2:25651505:25654313:-1 gene:OGLUM02G24920 transcript:OGLUM02G24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQSISPAAAAASAQYSYPAAAAAAVPSYFPVPFHLQNVQQPATWPAAPAAYNAVYPMPQVQQVQQLFQKDSQIITPEALATVKAAIANSEKDKKIEANKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLTKAFSKYPSFNMARVIRDKWTGKTRGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKNRIDYEALQKPKTQPQKKFKAQKKSILHK >OGLUM02G24930.1 pep chromosome:ALNU02000000:2:25657573:25658111:-1 gene:OGLUM02G24930 transcript:OGLUM02G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGTMRGKLKLATGAGGYGEIAEIYIPNVLVIHQVGRSWDTKEAIVFTGRDLPRYKNWTPSAPLDQGNWPDAKVAIPLKKMGKRI >OGLUM02G24940.1 pep chromosome:ALNU02000000:2:25669462:25670961:1 gene:OGLUM02G24940 transcript:OGLUM02G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRTERLASCLAAVAAKPANFRRAHAILLTSGHLSSRYSVNCLIRAASAPSASALLLRFLLNHRLLPDHLSLSFSLHPCSRLPSHPVASVVHSLAVRLGHARDVYVVNAAASAYFAAADVASAERLFSEASRDVADVVTWTTMVTGHANAGDVERARWFFDAMPEKNVVSWNTMLGAYARAGMLPKARKLFDRMPSRNAATWGSMITGLLQSDHCEEALRVFSDMVASGVVPNEPALVSAVSACTQLRWMEHGAWVHGYAERELNGAMSAVLATAIVDMYGKCGSIRDAVRVFAAMPVRNIYSWNSMIAGLAMNGSERQALSLFWKMQLAGVRPNDITFIGLLSACSHSGLVDEGRWLFYKMVNHFGIQPVPEHYGLMVDLLGRSGHVREAADFVKSMPVEPHPGLWGALAGACKIHGEVELGEEVAKKLIELEPQHGSRYILLSNIYATSNRWDDMANVRRILKDRKVPKGTGNAIVGNDSQSSGYEIDSCSASLD >OGLUM02G24950.1 pep chromosome:ALNU02000000:2:25675487:25679280:1 gene:OGLUM02G24950 transcript:OGLUM02G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALREIESTLPPGFRFFPSDEELVCHYLHKKVANERIAQGSTLVEVDLHAREPWELPEVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRGSSSSSSSSSSSSRAVVGMRKTLVFYQGRAPNGVKTGWVMHEFRLDSPHSQPREDWVLCRVFQKRKGDGDGPQDSGGAASPTFTGSMSTTTLSQLQPPDHRRHAAAAAGGYYVGSQQLAAGYDSAAGFANPTQPAVPHYQYGGAVIGFPEEFGGGGGVADEYGFGTYLDLGFELDDTASVLGGIRSFPQGWN >OGLUM02G24960.1 pep chromosome:ALNU02000000:2:25689836:25690974:-1 gene:OGLUM02G24960 transcript:OGLUM02G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEPAVAAAAYTTASKAGFLFFSAALTRLPTREEEISRRLLMELSPPNHESSPPTAGGGGGGGGDGAGGSSSAGASSSAGGGAATPQTPSRYEAQKRRDWNTFGQYLRNHRPPLGLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPADTSGGGGHPHPPPPPPPPPSAGAAC >OGLUM02G24960.2 pep chromosome:ALNU02000000:2:25689836:25690974:-1 gene:OGLUM02G24960 transcript:OGLUM02G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEEPAVAAAAYTTASKAGLLMELSPPNHESSPPTAGGGGGGGGDGAGGSSSAGASSSAGGGAATPQTPSRYEAQKRRDWNTFGQYLRNHRPPLGLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQPADTSGGGGHPHPPPPPPPPPSAGAAC >OGLUM02G24970.1 pep chromosome:ALNU02000000:2:25707719:25719802:-1 gene:OGLUM02G24970 transcript:OGLUM02G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysyl-tRNA synthetase, class II [Source:Projected from Arabidopsis thaliana (AT3G13490) TAIR;Acc:AT3G13490] MEALRAWRASSNLLGFAASRAAKAAPAARPLRPHVRCCSPAAASTTKPPPPPPQDRRRRSASSSSSSTSDRESIRAIRLKKVEELRGKGYEPYAYKWDRTHTTKELQDEYTHLENGEVCADVAVSIAGRIVARRAFGKLVFMTVRDDSGTIQLYCEKDSLTEEQFEQLKTIIDIGDIIGANGSIKKTEKGELSVYVKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITHHNSLQRDLYLRIATELHLKRMLVGGLEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNLAEEIVTRCAMATHGKLRVDYQGTEISLERPWRRETMHSLVKEATGIDFNSFGEDLESAKNAARGIKTESNENISLQACSSVGHVLNEVFETVVESSLVQPTFVLDYPVEISPLAKPHRRYAGLTERFELFICGREIGNAFSELTDPIDQRGRFENQIKQHNAKRAAMTKEVKSTEGKHEEDDFSYEVTLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDLFFPRNDERALPR >OGLUM02G24980.1 pep chromosome:ALNU02000000:2:25722088:25724522:-1 gene:OGLUM02G24980 transcript:OGLUM02G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLIILALSAREAAEAAAMQPSETCLRRCGDVEIPYPFGVGSGCHLETGDWTFVLSCNRSADGRLRVYNYEIEVVDVSVRRGQLRIYSAINPWCYNGSTSAMNGQSNWWYDMSITNFRINDALNRFTVIGCNSLAYILSPNGTAGADRYMTGCMAMCPGAGRLENGSCAGVGCCQTAIPAGLNGYQVSFEEKFNTSAIAGFSRCSYAVLVEASAFDFRASYVTTDEFMVANGGQLPLVLDWAIGNKTCEEAKRNASAYACASANSECVDSRYGRGRGYLCNCSAGYDGNPYLLDGCQDINECDESRFRYPCSVPGTCVNTPGGFTCTCPDKTIGNAYNGTCGDNKSQLGWKIAIGISSGVVILIVTASCVYMIHAKRRLAKIKREHFRQHGGLLLFEEMKSRQGLSFALFTQEELEQATNRFDERNVIGKGGNGTVYRGTIAKDNGAVVAIKRCRLATERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGGEGGASARRIPFAARVRIAHQAAEALAYLHSWASPPIIHGDVKTSNILLDEDYAAKVSDFGASTLAPANAAQFVTFVQGTCGYLDPEYMRTCRLTDKSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLAVGEGRLGEILDPQIKGEQSMEVIEQVAELAKQCLEISGEKRPSMREVAEELDRLGKLSLHPWGQPNSGELAALLGGSPSMAADSDQIELSTSTRNISFSDTAYIGIRSPR >OGLUM02G24990.1 pep chromosome:ALNU02000000:2:25734888:25737354:-1 gene:OGLUM02G24990 transcript:OGLUM02G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPLMTVLIASAWPAAASTTTAAAQPAAACQRRCGDVDIPYPFGIGRGCYLYTGEGDVTFGLTCNRTADGSYRPFCWEYEVLDVSLRRGQARVRNDINRWCYNATTRSMDAESTWWWDVSDSWFHVSDEGNRLVVVGCNSLAYVTSVNETEYMTGCMATCPSVGRLENGSCSGMGCCEAAIPRGINSYVVGFEEKFNTTSGAVGRCSYAVVVEAASFEFRTTYVTTGDFVESTGGKVPLVLDWVVGKETCREARRNATGYMCVSRDSECVDSRNGPGYLCNCSAGFEGNPYLLDGCQDINECEDSRFKYPCSVPGTCINTPGGFRCSCPDKTTGNAYFGTCEAKKSQLGVHIAIGVSIGIALLVIIISSAYMIQQKRRLATVKRRYFNQHGGLLLFEEMKSNQGLSFTVFTKDELEEATNKFDEQNVLGKGGNGTVYRGTLKDGRVVAIKRCKLINERQKKEFGKEMLILSQINHRNIVKLHGCCLEVEVPMLVYEFIPNGTLYQLIHGGRHGSRISFAARLRIAHEAAEALAYLHSWASPPIIHGDVKSPNMLIDENYTVKVSDFGASTLAPTDEAQFVTLVQGTCGYLDPEYMQTCKLTDKSDVYSFGVVLLELLTCRKALNLQALEEEKNLSSHFLLAMSENRLEGILDSQIQSEQSIELIEQMADLAKQCLDMSSEKRPSMRQVAEELDRLRKLAEHPWGRHESEELKKLLVRGSPSTFSEIELSNGYVSLTDSAYLGIQSPR >OGLUM02G25010.1 pep chromosome:ALNU02000000:2:25779626:25780046:1 gene:OGLUM02G25010 transcript:OGLUM02G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKGSTKYWVAVVKPGRILYEMGGVSETVARAAISIAASKMPIRNNGGARKLMCIQVIGAASNQRYARIGDVIGLFDRVVL >OGLUM02G25020.1 pep chromosome:ALNU02000000:2:25781044:25787026:1 gene:OGLUM02G25020 transcript:OGLUM02G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRGAPSGIGLWAALLVAAAVLAAGGGAAAAAAKDEAYVTLLYGDEFVLGVRVLGKSIRDTDTSRDLVVLVSDGVSEYSRKLLEVRPTRFWGVYTKLKIFNMTSYKKVAYLDADTIVVKSIEDIFNCGKFCANLKHSERMNSGVMVVEPSETLFNDMMDKVNSLPSYTGGDQGFLNSYYADFANSRVYEPNKPTTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVAVWQDIRKNLEESLPGTGGGRNPHDQSVVKILFFLPLFMLICGYYGSCFQTNKELLCIRSLCAFARRARYKYKSEEALPSYSTIGAASSSFGISHQKSHNGAHLKLPSYFGAITVLVCFISALISLAFAFIIIPRQVMPWTGLLLMYEWTSVTFFLLFGSYLRVVYNWGSSSANHVGHNNLDSSENHAGAGHQRNTSDCDTDAAFYWSGMAILSIIALLSPTVLGITALFAKLGLMVAGGVVLASFMTYASEHLAISAFVKGQRDRNASRGH >OGLUM02G25030.1 pep chromosome:ALNU02000000:2:25799987:25806493:1 gene:OGLUM02G25030 transcript:OGLUM02G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLRHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSYCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >OGLUM02G25030.2 pep chromosome:ALNU02000000:2:25800361:25806042:1 gene:OGLUM02G25030 transcript:OGLUM02G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLRHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSYCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEPT >OGLUM02G25030.3 pep chromosome:ALNU02000000:2:25799977:25806704:1 gene:OGLUM02G25030 transcript:OGLUM02G25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLRHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSYCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >OGLUM02G25030.4 pep chromosome:ALNU02000000:2:25799990:25806704:1 gene:OGLUM02G25030 transcript:OGLUM02G25030.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 2 [Source:Projected from Arabidopsis thaliana (AT1G04400) TAIR;Acc:AT1G04400] MAGSERTVVWFRRDLRIDDNPALAAAARDGAVLPVFIWCPADEGQFYPGRCSRWWLKQSLRHLSQSLESLGCPLVLIRAESTLEALLRCIDSVGATRLVYNHLYDPVSLVRDDKIKKELSALGISIQSFNGDLLYEPWEIYDDSGLAFTTFNMYWEKCMELPIDVSPSLAPWKLVPVPGLESVRSCSVDDLGLESSKDEESSNALLRRAWSPGWRNAEKMLEEFVSHGLLEYSKHGMKVEGATTSLLSPYLHFGEVSVRKVYQLVRMQQIKWENEGTSEAEESIHFFMRSIGLREYSRYLCFNFPFTHEKSLLGNLKHYPWKVDEERFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIISSFAVKFLLIPWTWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGVYVRTWIPELARMPTEWIHHPWDAPSCILEVAGVELGFNYPKPIVDLHIARECLDDAISTMWQLDTAEKLAELDGEVVEDNLSNIKTFDIPKVVLRETSPCALPIDQRVPHASSKDHNLKSKVLKASNRSSICVDMIRSSKMEATSSVANSPVSRKRSYCETAFHVPSYSSSAEVHSHIQDHGGSLVGPSRYLLQEAGRNCVDEVEDSSTADSGSSISRQRKAA >OGLUM02G25040.1 pep chromosome:ALNU02000000:2:25813893:25814999:1 gene:OGLUM02G25040 transcript:OGLUM02G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLTADAVLDDTIEIIADAVRSSFKAPSGQADNTLATAVAGDAAERAATAAQAALALVLLGLIPTTPAADDAISSTVPPPPLLAVATEGPDRGEGNGLVAGIEVPAAIEVVLVRRASAVRRYPPGCGRAAAASKPSKAQSASRNGEAESIAGDQKVEVNAGSNGWMNGGGDAGGAREEFGGRPWDLTGLMLPPFLPWAQHGRRSQRQKFL >OGLUM02G25050.1 pep chromosome:ALNU02000000:2:25824792:25826718:1 gene:OGLUM02G25050 transcript:OGLUM02G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKGIKGQNGKDIKCWRFSQAGSEYSAGASLNRPPIGGMEFTPKITGKTTSMSGELKRDYEIGEEIGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAELEPKLAQLAAAGNPGVVQVHAVYEDEAWTHMVMDLCSGPDLLDWIRLRRGAPVPEPVAAAVVAQLAEALAHCHRRGVAHRDVKPDNILLDVVDDDTPRARLADFGSAAWVGESGGSAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVLYVLLSGGALPFGGETAAEVLASVLRGSVRFPPRLFAGVSPAAKDLMRRMMSRDTWRRFSAEQVLGHPWIVSGGGARAMEQPT >OGLUM02G25060.1 pep chromosome:ALNU02000000:2:25831067:25836557:-1 gene:OGLUM02G25060 transcript:OGLUM02G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGVLLGMGNPLLDISAVVDDAFLTKYDVKLNNAILAEEKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDEAAPTGTCAVCVVGGERSLVANLSAANCYKSEHLKKPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPFVDYIFGNETEARIFAKVRGWETENVEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGQVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQQKSIEDSVKAGCYAANVIIQRSGCTYPEKPDFN >OGLUM02G25070.1 pep chromosome:ALNU02000000:2:25869888:25877455:1 gene:OGLUM02G25070 transcript:OGLUM02G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKNSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQTHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETRAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQTKLPFESASSPCETALLHKEAPCADHHISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEPSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSVFEQRPNEAGFQSDPVAVELSRLQMLRSLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >OGLUM02G25070.2 pep chromosome:ALNU02000000:2:25869888:25877455:1 gene:OGLUM02G25070 transcript:OGLUM02G25070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKNSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQTHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETRAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQTKLPFESASSPCETALLHKEAPCADHHISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEPSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSVFEQRPNEAGFQSDPVAVELSRLQMLRSLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >OGLUM02G25070.3 pep chromosome:ALNU02000000:2:25872383:25877455:1 gene:OGLUM02G25070 transcript:OGLUM02G25070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSEEYISFYDSELEEGEFRECRAFEDQTVKVRQLALHGTCSGEYSRSYSSHVNSRSNKRHKQKEHDYYKYSDYLQVLKKIEKNSSRRFDKLLVWHNEDREEFNVVRKSQEFEFFQTHLRSYEVQYTRVIPTIKRCRMKLPKLLFSVLHKTFHKHFQSQLIEFVKRQIKDRDKEKRVRNRWIFEAEAGYLKTDFDMIPLSYSGLKIEKLKCSSTDYLNGDAQLNYFNMECLSTEIEAIASSSTKSEETRAGKRSDTSEPILDNSEVLLEINVSTKDGASVGAAEEVFTCERSSQSTCGPTTMVFGQNNGRQIDFPVAAQSNVGDAELSYASQSHISAASAHANVVAADSENANLLSRAKGRCPSSTYNVSLGSCSGSQTKLPFESASSPCETALLHKEAPCADHHISLNTVSPQEAPCANHQISLDTVSPQEAPSASPPSTNVIQMEQSEDISNVIRVEPSEDIRSEEAPNGQASSFAQVTEQPNMQANTSTCQAVTHQPPDGSIHSVRTEFINPRASNIESYSVNQILTRSVFEQRPNEAGFQSDPVAVELSRLQMLRSLMTKRHEEKRQQIILAREIEMAETKRKYDELIHKLEMETSQRKKGLQILADKVYKQQTLAEGFQTMFVSHGSRARRSMPEPNRSSGQQALQIPASVSAPASAVMCQPSQQDAQSSMGSSPRHPFVTINHHSMDYLGRSATPLAHSRGAGMGSGIAYHAPESHLHSVVNPLPASGLQLGIASLEQ >OGLUM02G25080.1 pep chromosome:ALNU02000000:2:25879777:25880280:1 gene:OGLUM02G25080 transcript:OGLUM02G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEVSCTGWSCGYGSGDGDDDRAAVVEAWIRRRRDGRWWAAHAGIPRLPLAFSDASWRWPALVVRRTAGSGFLPKSGASSCGDGVDWSSVICVGGVNIVGTLGVQVTFGGSRRGCYGESLALLSVLATATPLGAVHLLEDVATGALIQLHFKRFLRVRT >OGLUM02G25090.1 pep chromosome:ALNU02000000:2:25891900:25895340:-1 gene:OGLUM02G25090 transcript:OGLUM02G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV74] MAGNGPINKEDPLNWGAAAAEMAGSHLDEVKRMVAQFREPLVKIQGATLRVGQVAAVAQAKDAAGVAVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSETVRAAMLVRINTLLQGYSGIRFEILEAITKLLNTGVTPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAISPDGRKVDAAEAFKLAGIEGGFFTLNPKEGLAIVNGTSVGSALAATVMFDANILAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSSFMSHAKKVNEMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLANPITNHVQSAEQHNQDVNSLGLVSARKTLEAVDILKLMTSTYIVALCQAVDLRHLEENIKSSVKNCVTQVAKKVLTMNPTGDLSSARFSEKNLLTAIDREAVFSYADDPCSANYPLMQKLRAVLVEHALTSGDAEPEASVFSKITKFEEELRSALPREIEAARVAVANGTAPVANRIVESRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFLGISQGKLIDPMLDCLKEWNGEPLPIN >OGLUM02G25100.1 pep chromosome:ALNU02000000:2:25893854:25903843:1 gene:OGLUM02G25100 transcript:OGLUM02G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTISTGREEVSSARQERRRASAAGDRRRGGGGNNKGEGEAVVAEAAGVEEGIGGRRRAKQEKGRQRRANSRRGCISSAAAPHCGRVERAVEHWHQGGAARYGRQLVGEQLGAGDGPPKVSESCVPGHAELQVMATAEAETATVTSS >OGLUM02G25110.1 pep chromosome:ALNU02000000:2:25903990:25907644:-1 gene:OGLUM02G25110 transcript:OGLUM02G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV76] MECETGLVRSLNGDGLCMSSVSAPPRADPLNWGKAADELAGSHLDEVKRMVEDFRQPLVKIEGASLTIAQVAAVAAGAGDARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVTAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMTGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAIDLRHLEENMKTAVKNCVMQVAKKSLSMNHMGGLHIARFCEKDLLTAIDREAVFAYADDPCSANYPLMQKLRAVLIEHALANGDAERVLETSIFAKVAEFEQHVRAALPKEVEAARAAVENGTPLVPNRIKECRSYPLYRFVREEVGTEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGAPLPLC >OGLUM02G25120.1 pep chromosome:ALNU02000000:2:25911536:25913680:-1 gene:OGLUM02G25120 transcript:OGLUM02G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV77] MACENGQVAADGINGLCMAAPRADPLNWGKAAEEMSGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLSAEATRAAMLVRINTLLQGYSGIRFEILEAITKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYTKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVEILKLMSSTFLVALCQAIDLRHIEENVKSAVKSCVMTVARKTLSTSATGGLHAARFCEKDLLQEIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFNAETSVFAKVAQFEEELRAALPAAVLAARAAVENGTAATPNRITECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAVNQGKHIDPLLECLKEWNGAPLPIC >OGLUM02G25130.1 pep chromosome:ALNU02000000:2:25920032:25922292:-1 gene:OGLUM02G25130 transcript:OGLUM02G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV78] MECENGRVSANGMSGLCVAAPRADQLNWGKAAEEMTGSHLDEVKRMVAEYRQPLVKIEGASLRIAQVAAVAAAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGSGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENAVAVAPDGSKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANILAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKHAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGDLHVARFCEKDLLKEIDREAVFAYADDPCSHNYPLMKKLRNVLVERALANGAAEFNADTSVFAKVAQFEEELRATLPGAIEAARAAVENGTAAIPSRITECRSYPLYRFVREELGTKYLTGEKTRSPGEELNKVLVAINEGKHIDPLLECLKEWNGEPLPIC >OGLUM02G25140.1 pep chromosome:ALNU02000000:2:25976221:25979665:1 gene:OGLUM02G25140 transcript:OGLUM02G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclic nucleotide-gated channel 15 [Source:Projected from Arabidopsis thaliana (AT2G28260) TAIR;Acc:AT2G28260] MACNGSRAVRFQNDMELPHWKTSSVPECTSSSRSTKHGNAQHQQQQHHDPRKWRRGGGGGGSLKDRVLSRAFSEELESLMSSGANHLFFDPRGQLIHLWSKIFLAACLASLFVDPLFLYLTGTRQNMCIELKYSLAFTLSMIRSLLDLFYAAHIFFRFRTAFIAPSSRVFGRGELVIQPCKIARRYLAGTFWFDLVTALPLPQFVIWIVIPKLKESATANRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQEACWREACHVEGPSCQTLFFDCKTVSSNRTMWYELSNITSLCTPSNGFYQFGIYGEALDNGLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEITFATVIGVLGLVLFALLIGNMQATMVRLEEWRTKRTDMERWMNHRQIPQPLKQCVRRYHQYKWLATRGVDEEALLEDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDPVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLPWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSARIRHRFRFYSHQWRTWAACFIQAAWRRNKRRRASMELRMREGGEARPGGSVRCRRHSCDGKALIKKPMEPDFTVEEED >OGLUM02G25150.1 pep chromosome:ALNU02000000:2:25984769:25988803:1 gene:OGLUM02G25150 transcript:OGLUM02G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGGRVNNQTARESSMSLRKELGEELTSGLEPTSSAVPGGEKDGKPAGKAAGFQASAPGTEMEACEDGTTRGGVVFLDGHGEEDGGGRASPREEQRGAIKGRDSELATGVIGSAA >OGLUM02G25160.1 pep chromosome:ALNU02000000:2:25988817:25990946:1 gene:OGLUM02G25160 transcript:OGLUM02G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPERKKGWEDGGKGSLLLPFLGSEAGEMRGSGVAALAAWGVRRRGRLRANQGGRRDRRASFSLVARKRWTTRMQRVVDRAAEIESREREEQRGVIKGKDGELAAGVSGSAPERMGTRA >OGLUM02G25170.1 pep chromosome:ALNU02000000:2:25996005:26000674:1 gene:OGLUM02G25170 transcript:OGLUM02G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELEDGRSATLDPVTCDDDSGQRQRSSRMVDLAPPALGRSGSAGPGPRPSLTAAAVTTAVVHYSEDDDTFNFETYH >OGLUM02G25180.1 pep chromosome:ALNU02000000:2:26001011:26001381:1 gene:OGLUM02G25180 transcript:OGLUM02G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEATTSLSSLAGEPVRALVGRRPGAAISSTEAAAALGRWKRRQSRIHYARLPSRPAHSAPAFFAGERGVDRVPEQRPKPAREPPSSAARESSYS >OGLUM02G25190.1 pep chromosome:ALNU02000000:2:26010236:26016021:1 gene:OGLUM02G25190 transcript:OGLUM02G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQANTIEVPTDAELLQAQADLWRHSLYYLTSMGLRCAIKLGIPTAIHRLGGAASVPSLMTKLSLPASKQPFLRRLMRVLVTNGVFAAEHNDGEVERYCLTPLSRILVDGVVADEHHSQTSFVLAATSRHYLEAALGLDEWFRKDVAPPLPSPFEDVHGASLFDESTALLDPELDAVVNEGLAAHDNLGIGTILRECRDLFRGLRSLTDCCGGDGTTARAIAKAYPHVKCTVLDLPKVIDKAPNDGVVNYVAGDLFHTVPPAQVVMLKLVLHHWSDDDCVKILTQCRKAIPSREEGGKVIIIEILVGPSLGPVMFEAQLMMDMLMLVNTRGRQRDERDWRDLFLKAGFSDYKIVKMLGARGVFEVYP >OGLUM02G25200.1 pep chromosome:ALNU02000000:2:26052405:26055340:-1 gene:OGLUM02G25200 transcript:OGLUM02G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPISPQLVSPTDDELLQAQADLWRHSLYYLTSMALRCAVKLGIPTAIHRLGGNATLPALITALSLPPAKLPFLRRLMRLLVSSGVFTTERGGAAAEAEAVYGLAPLSLFLVDGAFAGSEVDDGHTNQSAFVLAATSAHYVEAALGLDDWFRKDNVPAAASPFEAVHGAPLLHETPVDAELNRLVSEALVSQNHMGIGLALRESRRVFEGLESLVDCGGCDGATARAIVRAFPGIKCTVLDLPQVIGSAPVADGAVEYVAGDMFSYIPPAQAVLLKYVLSHWRDKDCVKILAQCKKAIPSREAGGKVIIKDVVVGTSSGLMLEAELLMDMAMMVMTSGRERDEQEWREIFTNAGFSDYKIMNKLGARCVIEVYP >OGLUM02G25210.1 pep chromosome:ALNU02000000:2:26063360:26063554:1 gene:OGLUM02G25210 transcript:OGLUM02G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHRQPGGHLVHLIGNAQTLAVPVKLLETLSAECHPVKGCRCAGTIAPTPGSQDVAVVISTV >OGLUM02G25220.1 pep chromosome:ALNU02000000:2:26087198:26089536:-1 gene:OGLUM02G25220 transcript:OGLUM02G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRTAPEMFLLRNAREIVRRPKTTTVVAQQPPGIRRALADVSNLVNGRAALPVVNRQKAPAAADKCRKPIKQRNENNKAKPEVIVFSSDSEKQKKSPAQRAASRRAPIQTLTSILTKCSRASGGVISPKKELIYDIDSSDAHNELAVIDYVEDIYRFYRSTENTYRPLCTYMVSQTEINEIMRAILTDWLIEVHYRLMQMPETLYLTVYIIDQYLSLENVARNELQLVGVSAMLIACKYEETWAPLVKDFLVISDNSFSRQQVLSTEKSILNKLQWNLTVLTMYMFILRYLKAALGDKELEHMTFFYAELALVQYSMLFFAPSPLPSTLLGVPLGLALSPLWSDLLEYHTGLAEPQLLYVFFFMT >OGLUM02G25230.1 pep chromosome:ALNU02000000:2:26099453:26101735:-1 gene:OGLUM02G25230 transcript:OGLUM02G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFLSAVLADLLSRSISFVIDRYCQQQQGVEENLQQLQRMLLRIQTVVEEANGRRITNQAMLLQLKTMRNVMYRGYYFLDNFRYRIALGHAPDEVDDHSLASFPFNPLKRFRFSTMARKIVSEDQEKKELLKMLGRLESIISEEFVMSLRSYPRMVRQPYCSYLLLENCMFGRQAEQERIISFLLEPHRAGAEGVAVLPIIGPARVGKSTLVENVCHDERVRKYFSTIVFYYTGSTEGAVADTGVIKHQNPASTKQSLVVIELVDDMDDETWRRILSSLRGDNIAAPVSKIIITSQSNKIATFGTTETLQLDMLPKEAFWYFLKTIAFGSTNPEEEPKLTSICMEIAAQANGAFLHANIIGGILRSNFSVQFWYKVLKRMKIITNWHFRLLGEHPRDMFAAKSGRTIVWFSKLNRFVPVTYNEASSSRLNDHPTSNAFIAKKGQLDENIDALEWQSSIPPYYKYRTRYAMIEQPNMLSTGKRSRSLSEGCTLKLRTEFELTFLPASCASAALTTAKPVAPWYHRRDGPPKNLRLSILAVTGKQDRHCHNSAVVIL >OGLUM02G25240.1 pep chromosome:ALNU02000000:2:26102073:26109628:-1 gene:OGLUM02G25240 transcript:OGLUM02G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMVRSSLNGLDWLPDADGGIGVGDADSEGSWVAADSGDWWLEDQRRQRLHPVSRRWGQDDDMAGTRSDEPFLHSFVDERQQRIVVAIHIEQPNLQRFNDKSDI >OGLUM02G25240.2 pep chromosome:ALNU02000000:2:26101958:26109628:-1 gene:OGLUM02G25240 transcript:OGLUM02G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMDEAKGSVVSTFSPSYRNQKKEEKKINETYILLARDIYSPGNAIKASDCLAISAFLWCISDTAFTSPTVSPDICIFEEVMARERVRNELTPANSKAATCSQNRCLSPAFHFVAY >OGLUM02G25240.3 pep chromosome:ALNU02000000:2:26102073:26109628:-1 gene:OGLUM02G25240 transcript:OGLUM02G25240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMVRSSLNGLDWLPDADGGIGVGDADSEGSWVAADSGDWWLEDQRRQRLHPGVGAKTMIWRALGLMNPSSTALSMKDSNGLHSADCTANVSQDTGPHSLI >OGLUM02G25240.4 pep chromosome:ALNU02000000:2:26101958:26109628:-1 gene:OGLUM02G25240 transcript:OGLUM02G25240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDDEASSMVRSSLNGLDWLPDADGGIGVGDADSEGSWVAADSGDWWLEDQRRQRLHPGDIYSPGNAIKASDCLAISAFLWCISDTAFTSPTVSPDICIFEEVMARERVRNELTPANSKAATCSQNRCLSPAFHFVAY >OGLUM02G25250.1 pep chromosome:ALNU02000000:2:26105717:26109110:1 gene:OGLUM02G25250 transcript:OGLUM02G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV93] MEIKDEETTAEVAMVVQSRFRRVCVFCGSSHGKKIYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVHNGGRHVIGVIPKTLMPREISGETVGEVKAVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIRPSARHIIVLAPTPKELIEKLEEYSPQHEKVVSKMKWEMEQMSYPQNYDIPRPKEGKMIIEAPRGSRLWM >OGLUM02G25260.1 pep chromosome:ALNU02000000:2:26114922:26119103:1 gene:OGLUM02G25260 transcript:OGLUM02G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVRTGGSQHEGEHGNSGVVAVQEEEEEEAISVHFEGFFKLKETQVAKMTAGFQLGVIGSLTLSVASSVSIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRMRFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVMLETIFLKKRFSESIKFSLLILLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTSRSGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTMRNILGILVAIFGMALYSYFSVRESKKKSAGDPLPVSQMPEKEVEPLLATKDVNGDTKKANGVTHDC >OGLUM02G25270.1 pep chromosome:ALNU02000000:2:26128385:26131809:1 gene:OGLUM02G25270 transcript:OGLUM02G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YV95] MITFADLAEPAPGAERCVDRQLWLACAGGMCTVPPVGAAVYYFPQGHAEHALGLAAPELSAARVPALVPCRVASVRYMADPDTDEVFARIHLVPLRAADDGDVEEDGAAAGEEHEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGVAWNFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGGGGGAEEASLPGWDQYGGLMRGNASPCAAAKGRGKVRAEDVVEAARLASGGQPFEVVYYPRASTPEFCVRAAAVRAAMRVQWCPGMRFKMAFETEDSSRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSMPAIHLSSFSPPRKKPRIPAYPEFPFEGQLLNPAFPPNPLAHGHHHYHHNHPSFFPFPDVSAPAGIQGARHAQFGPSLSDLHLTHLQSSLMYPGLRRPDHVGPTPIPPPRISTDLTMGSSPPARALSMGAKKPDDAKPPGLMLFGQRILTERQMSLSGTTSPAATGNSSLNWNTEKGASEGSGSGSGSGVIQNSPTDNTSSERLQWFRENSTVSELGLEPGQCKVFIESDTVGRNLDLSSLASFEQLYGRLSEMFCIDSAELRSRVLYRGATGEVRHAGDEPFSEFIKLARRLTILTDAGSDNLGS >OGLUM02G25280.1 pep chromosome:ALNU02000000:2:26141142:26141466:1 gene:OGLUM02G25280 transcript:OGLUM02G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMGGSWPESGGRGGRLEMREGEAVDQREGKVTGWRVEAVGRPGMEGEAADKSKPAGKGIIPCDWRA >OGLUM02G25290.1 pep chromosome:ALNU02000000:2:26142689:26143622:-1 gene:OGLUM02G25290 transcript:OGLUM02G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQCEECMGRRGHKIWPTKTDVLNSALVSVYRLSCIKAEMHRSSHLILRSVLGRLNPPIGEVCSRVWFDWFCTSTNSRPVESETQFDTVSDPTRQTDSITEPTFLWSEEEKRKRKKKKKKKGAEMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLADYEIHDGMGLELYYD >OGLUM02G25300.1 pep chromosome:ALNU02000000:2:26172156:26174634:1 gene:OGLUM02G25300 transcript:OGLUM02G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAKCRQDYMVIVKQSRQLIEKLDAKNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >OGLUM02G25300.2 pep chromosome:ALNU02000000:2:26172204:26174634:1 gene:OGLUM02G25300 transcript:OGLUM02G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEVEMRQAKCRQDYMVIVKQSRQLIEKLDAKNEPKKRQKRSKNSEKEKAVAPSSSKKMDREELEKTIRGFLKELDSTEAAPMRTTGCTSAMRPSTIDQDFNTQ >OGLUM02G25310.1 pep chromosome:ALNU02000000:2:26176954:26177466:-1 gene:OGLUM02G25310 transcript:OGLUM02G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTRSAATEQAYSRFAPTAASSRGRGVGGGNGFDEFDESDIWGSFEPAAEVAESPRAARHQVPAARPPGRKAAAAASKPAAHGSLPVNIPDWSKILGDEYRGHHAGDWEADDVDDDDIDAASAVAVLPPHELAWRRRAASLSVHEDGMGIGRTLKVRDAVWKKTGFQA >OGLUM02G25320.1 pep chromosome:ALNU02000000:2:26181179:26183664:1 gene:OGLUM02G25320 transcript:OGLUM02G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVKLLGVGFTRTQLEEDLLVVREFTRLATSPSYKAAQPWPEPTQRFIVVGERMIEALVESGGHALGRDMMFKFIAISHIPFGETMGLKTSLAQALPLERRRESYESTCGMPLARRPFRTPPHMLTQSHSRSLTVQSISTTTPSQNSQRRRILWIAYSMLVLGTERDPEDFGQKFLEISFVGEDELRQLMLSPHTACRLQLIEPGDKNLNL >OGLUM02G25330.1 pep chromosome:ALNU02000000:2:26184106:26184340:-1 gene:OGLUM02G25330 transcript:OGLUM02G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRKGHFPPQKLAIEVRGSPTTNVGLFNNLPPSHIGVAKPFTVSGPPPAPQAPTVHQAFTRVYRARDVNLVWL >OGLUM02G25340.1 pep chromosome:ALNU02000000:2:26184371:26195049:1 gene:OGLUM02G25340 transcript:OGLUM02G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDIEASAPEGGEFSAKDYTDPPPAPLIDVEELTKWSLYRAVIAEFIATLLFLYITVATVIGYKHQSDATVNTTDAACSGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYIIAQCLGAICGVGLVKGFQSSYYARYGGGANELSDGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNKDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSYRSNA >OGLUM02G25350.1 pep chromosome:ALNU02000000:2:26191384:26194527:-1 gene:OGLUM02G25350 transcript:OGLUM02G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLTTTWPWRFFFCLFFHLLFLFPTNSLNQSYCDPGDASALLGFMQGLSGSGSGWTVPNATSETANCCAWLGVKCNDGGRVIGLDLQGMKLRGELAVSLGQLDQLQWLNLSSNNLHGAVPATLVQLQRLQRLDLSDNEFSGEFPTNVSLPVIKVFNISLNSFKEQHPTLHGSTLLAMFDAGYNMFTGHIDTSICDPNGVIRVLRFTSNLLSGEFPAGFGNCTKLEELYVDLNSITGSLPDDLFRLSSLRDLSLQENQLSGRMTPRFGNMSSLSKLDISFNSFSGYLPNVFGSLGKLEYFSAQSNLFRGPLPSSLSHSPSLKMLYLRNNSFHGQIDLNCSAMSQLSSLDLGTNKFIGTIDALSDCHHLRSLNLATNNLTGEIPNGFRNLQFLTYISLSNNSFTNVSSALSVLQGCPSLTSLVLTKNFNDGKALPMTGIDGFHNIQVFVIANSHLSGSVPSWVANFAQLKVLDLSWNKLSGNIPAWIGNLEHLFYLDLSNNTLSGGIPNSLTSMKGLLTCNSSQQSTETDYFPFFIKKNRTGKGLRYNQVSSFPPSLILSHNMLIGPILPGFGNLKNLHVLDLSNNHISGMIPDELSGMSSLESLDLSHNNLTGSIPSSLTKLNFLSSFSVAFNNLTGAIPLGGQFSTFTGSAYEGNPKLCGIRSGLALCQSSHAPTMFVKKNGKNKGVILGIAIGIALGAAFVLSVAVVLVLKSSFRRQDYIVKAVADTTEALELAPASLVLLFQNKDDGKAMTIGDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGNDRLLIYSYMENGSLDHWLHEKPDGPSRLSWQTRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDEDFEAHLADFGLARLICPYDTHVTTDLVGTLGYIPPEYGQSSVANFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVLHMKEKNCEAEVLDCAMYDKKFEMQMVQMIDIACLCISESPKLRPLTHELVLWLDNIGGSTEATK >OGLUM02G25360.1 pep chromosome:ALNU02000000:2:26205075:26206539:1 gene:OGLUM02G25360 transcript:OGLUM02G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRMVASAFLLLAILVATEMGTTKVAEARHCLSQSHRFKGMCVSSNNCANVCRTESFPDGECKSHGLERKCFCKKAS >OGLUM02G25370.1 pep chromosome:ALNU02000000:2:26207255:26212404:1 gene:OGLUM02G25370 transcript:OGLUM02G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAILFSDDIIANILAWLPPKNAARMRLVCKQWHAVTSEHHFMHTNFSRSRDGHSVAGFFLSNELHKKFSYNPLRDSSATHPAAPDLSFVPESGDTVPESGSTVPGKIYVTSSCNGLLLCRRPMDSSVASGARWCCYYVCNPATKRFVEIPTPPDGRGRHLNLVYDPSRSPVYKVVALGLAGVHVYSSQARSWRAALRYERGSNPFAGIHHSRGVHWNGSLVWVTSRSRSLLRFAVDDGEGELSSLPMPPARHLQPENRWICGYLGVGESAGAGRGHLRMIGYTEEEKLAARFDVVGMAGDCREWRVLYRVDLTRVKELYPDIQRKTRKHHLIWPRRARLVDCLDLWPLHVAEHGSLLLFGIPGKIMAYGMEDQAISVVWEDAAPPQPRFFRYAWFDFYPYTAGLFAVKMTFPDEIIANILTRLPPKELVRAGVVCKQWHALTSEHLFMHTNLLRTNAGHPVIAGFFLNDEIHETFSYNPLLRGYSSPDLSFIPTTADTANSETYVTSSCHGLLLCRRRRRIDGELGVYRARHYVCNPETMEFFEVDVPAGAGGAGQYLNLAYDPLKSRHQYRIVARGHDGIRVYSSRTRSWRTAVRYDGRCRRSPFAGLRHPRGVFWNGSLVWAMLSPRLLRFAIDSGELSEMPLPPRLRSEGWFHAGWVYAYVGESGGRLQVIGYTDEERRAAYFDVLEMRDDEEGEWTVLYRELYDPGDDDGARVARVTLEHFSWGGAPLHVVRGPGEAGRHGVLFFSVPGKIACYDAESRAVSVVWQDTATTSSPKHLLSYTWLNFYAYTPSFLRL >OGLUM02G25380.1 pep chromosome:ALNU02000000:2:26209898:26210344:-1 gene:OGLUM02G25380 transcript:OGLUM02G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSTKAPSTPSAALSPPQVLHQLCGCALASDHFRAGEAAASQLPREKVAEPVGLFAFLLSSTMEALPIFMQEQEILAKEISLGIYSMTSSTVVFLPFQLALTVEFTVVVYWMAGLRSKTFGYFVVLVWLMLYKVNSEGIAVKRYVG >OGLUM02G25400.1 pep chromosome:ALNU02000000:2:26215218:26219279:-1 gene:OGLUM02G25400 transcript:OGLUM02G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIADCAADPPLADSYYALLRLGGDDDDDACTKVTTTPQPVSECELPMIDVGCLTAPTGAAAAAVGQQHQAEERAACAAAIAAAAAEWGFFQVVNHGVAQELLEAMRREQARLFRLPFEAKTSAGLLNDSYRWGTPTATSLRQLSWSEAFHLPLAGISGKSCNYGDLTSLRDVTWEVADAMSRLARALARVLAESLLGHAAGERFPEGCDDATCFLRLNRYPPCPFPPDDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGSRWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHRVMTNATTERYSVAYFLCPSYDSPIGTCREPSPYKAFTFGEYRRRVQEDVKKTGKKTGLSNFLV >OGLUM02G25410.1 pep chromosome:ALNU02000000:2:26227228:26233524:1 gene:OGLUM02G25410 transcript:OGLUM02G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPFSLVRQCNNSMVATSEAAQLEWMVPEQAGKGASVTSPQRRLPDSSMGHRRNESRRPSILSCVVEFHVFSVSLS >OGLUM02G25420.1 pep chromosome:ALNU02000000:2:26263867:26264466:1 gene:OGLUM02G25420 transcript:OGLUM02G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGVGGGGQDVPELFVEADEPNTKPPGDDGFDEPKDPPPPEKMGSVVLRELRLSPNDDSNRREPLARHAGAGNGVDDVAAATGHIGMARPDLRPYRLLPCWWFLAEFAYEAIHGEGSPDFSCLASVFGNLHELLPSHIVLEVLKRKYGPEGGIWSIRVMLYTFLTGVPPFWAELENTIFSAILHRPPPSAGDVERVGG >OGLUM02G25430.1 pep chromosome:ALNU02000000:2:26266294:26271278:1 gene:OGLUM02G25430 transcript:OGLUM02G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPEAAAVGEEEKGKGKKEEGRRGGGVLGRMWRALFGGREDYEKRLQYLSKEEAAVHARMRRRTQFSRTAVRNLIVLSVLAEVVAIVYAIMTTRNEHITWEMRAIRVLPMFVLPAVSSVIYSTVVKFTRMLERKDQKTLEKLRSERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDSAVARSNDVEILPSEGLRNRKQSNARGSRTGGTTAAQNPAQGAESSLTSSSGLEQPPMVVEHFQGSGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHITYYCPHCHALNTSKQSLGQHSGSNSGRSTPVAPADGISASSSVVESEVSNMTTIQELKNEENTEKQEVQAS >OGLUM02G25440.1 pep chromosome:ALNU02000000:2:26272016:26276221:1 gene:OGLUM02G25440 transcript:OGLUM02G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >OGLUM02G25440.2 pep chromosome:ALNU02000000:2:26274470:26276221:1 gene:OGLUM02G25440 transcript:OGLUM02G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGVELMGCVCRIKNCAVELLEMEEDLVIGMDDDDRDLFWSELQLKTTFLYIDLSRVISSSESDERREALTLLTNKLFYFLEELTDAVTSGSVSFTKLCYGDAAQALREVVAFLAPPQ >OGLUM02G25450.1 pep chromosome:ALNU02000000:2:26278304:26284793:-1 gene:OGLUM02G25450 transcript:OGLUM02G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIGATAAAAAAAAANSLFLSSSSLSLPLPFGGAKLRWNRHTALAFLGGEERRGAGESLALLLSSFVVRLLSRLWEAGPPLFWASPLERAKVDAHQFKGGRDRAKRGNRAERHYLPPHALPSLPQCTRAPVYSPPPPPPLPLQGHAKNLRARLLRFHTARVSGAFREQSLDGTLGYFGGFIELWMPNSPMLHQNGSVTGATKVPSLKKKGEHRISSGMQMSTNPNHYPVFPHSFCNQHVVSFQTSTIANGSGAIPVCPASSGGMNSDMTLLNTTPSTIVSTSSPNMLADSNQSLKYAAPMAVDWSYPELQLLNDGLLKYANEPGIMKYIKIAAMLPEKTVRDVAMRCQWMAAKKENTRRRKTDEHYLGKKTRDRKDKMVESSWATNRQVQTTDIRSPSTLACNTVRDNQFQSGASEIDRAMLNILEENARVLKQIGENIMTSQAQNNIDLFHHARRNISDLLQSMSQMPGIMSKMPPLPVSIDDRLASYILPGVNLVSFLLL >OGLUM02G25460.1 pep chromosome:ALNU02000000:2:26284245:26292134:1 gene:OGLUM02G25460 transcript:OGLUM02G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRKAAAPPPKGEREAGRRTKKEAEPSSPLLLSSPLRPKKPKPCADSTSTSHHQTEGGETTTTTRERENSLLLLLLLLLLRVNRMQPYSFTAYPSSLLLSNPK >OGLUM02G25470.1 pep chromosome:ALNU02000000:2:26299604:26309210:-1 gene:OGLUM02G25470 transcript:OGLUM02G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQNENSKEAKQDDETRQNKQDDEEARLEEYKKLVDQKTALRRSNLNSERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLTQGLLKVFFPGKSGEDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSLEHLKDRETTQANLSLLSAFARQGRFFIGLQSHGQEAYDELFKDLNVTADQKKFFKKALNTYYDAVAELLQSEHASLRLMEAENAKVLTAKGELSDENTASYEKLRKSFDHLQRGVSSLAEALDMQPPVMPDDGNTTRVTTGSDVAPSTAKEPSALEPIWDDEDTKAFYESLPDLRAFVPAVLLGEAEPKLNEKGREQSEPVAEQDTDVHDNPQTSSITEYHLEGKADDGVKDSEEKDKDKGKGVDKEKSKEKDFDRKTEREKEKIRAVDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKASRKKLVRMLFNVPRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKIAPAALVFSCLKSCLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWVECQQYLIKCFLKVHKGKYSHVHLIALLTAGLSRHHDDFAVSVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGHGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFNRGSSKRKLDKFLLHFQRYIISKGPLPLDIEFDVQDLFAELRPNMARYSSVEELDAALLELEESERAASVEKPENEKLSDSESQKVQPHDTAFSANGRSSANGAEENGKDHEGADSESYSDSGSIDGHEDEEDLMFEDKSNDASENEGDDEDDGIPAGSDEDEGVEVRHKVVQVDPKELEDFDRELKALLQESLESRKSEVRPRATLNMMVPMNVLEGSKDPRAVESESGEETVDEEGGSAGGGSKVRVKVLMKKGHKQQTKQMFVPGDCSLVQSTKQQEAAELEEKQSIKRRILEYNEREEEEMNGGSSQMGNWGQGGSNTGSSIRSGGRGIWDGSIRGGRQRHHIAGGFYHSYGRRR >OGLUM02G25480.1 pep chromosome:ALNU02000000:2:26311345:26312562:-1 gene:OGLUM02G25480 transcript:OGLUM02G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGAGAGGAVVGAAVAAVAVGGGAPPHVLAVDDSSVDRAVIAGILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSKLKKIPVVIMSSENVPTRISRCLEEGAEDFLVKPVRPSDVSRLFSRFLEVNLKAVTMEFLT >OGLUM02G25490.1 pep chromosome:ALNU02000000:2:26352339:26364461:1 gene:OGLUM02G25490 transcript:OGLUM02G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGVVASTSTMCLLLLIRAAPSAAASAVTGGNGLLHIPSEDSLVHCPSSCGDVEGIAYPFGIGPGCFRQGFELICDNTTQPPILFLGNTSTKITGIYFNSMITIHAIGFNITMGPNFTDHHSRSIWKSPAKGFDIRNESTLLVVGCDLKADLFDIATKKIIDSCMTLCFNSTAIMEREADESNCNGMGCCQFNTNKELHSFGLNLSRLDGKAQSELSESRLQSRAQVFVPYNANYMFNTTDLYSRWINGSSVGETYLHGAIMDQPNCQSASMNKSTYACSTTASCSTATNGCYYCNCPEDTYGNPYTSLGCNSGTNGEVYNSTRKASCTTSCGNMTIPFPFGLEEGCYALEKFRLNCTRANVTVLDRYYLKFRVANFSVNEGYLSLAYQGNSTSSENQMPALTMIDHGEDSTSFRVNDVLPDLFDISEYDMKMSWAVTNITCTAAMGMQNSTYACRSNNSTCVEVFPRQGNSTMHLGYRCKCDQGYDGNPYIDGGCQDIDECKLPNKCNGMCQNLPGSYSCKNCDHGKEFDPRKGKCVISAKRRNLLVGIAVGISCGIGSIVLSLGGIVLISKWKRGIQRRIRRAYFKKNQGLLLEQLILDDNAKDKTKIFSLEELEKATNNFDSTRVLGSGGHGTVYKGILSDQRVVAIKMSKIVEQSEIDQFINEVAILSQTIHRNVVKLFGCCLETEVPLLVYEFISNGTLYDLLHTDMSVKCLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDNNFTTKVSDFGASRSVSLDQTHVVTIVQGTFGYLDPEYYYTGQLTEKERLQQGTLMDILDTQVVQEASEDEIDDMASIAKACLKTKGGERPTMKEVEMRLQLLRTTRLRKCQNFPTTGRETEPFLGPNISSFDAQSNLGHSTSLTSDISGNYILEHGSFPNSQHRVNFDPSAVK >OGLUM02G25500.1 pep chromosome:ALNU02000000:2:26373850:26382159:1 gene:OGLUM02G25500 transcript:OGLUM02G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVKVWRTCGLRIMPDMEHQSEGRFFAWHIQIEVDHMLSERLMQFIDVDLHGVKAREKFVSVRKGTRAEVVTGLEEDLHNAKSAFERCRFNLGYELLSQMEPFIHQVLTYAQQSKEMAINEQDKLAKRIQEYRTQEEIANLRMASNVDTSTSGDGIHVVGLQSYKKIEALMQSTANGEVQIIKQGYLFKRSENSREWKRRFFVLDSHGTLFYYGNKGQSQGAASQQIAGEGTGVFSRFRFLNQKAPTQGDNALSCHKIDLRTSTIKIDAEENDLRFCFRVISPMKAYTLQAESGADQKDWIEKVTGVIASLLNLPFPRQVSYGNLEAEHHGSANSVDSLSLEENKSPEGHDDIFNHLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHELGNDYTNSIWEAMLPKEDQGINEFNDAILFIEKPKPTDAFSIKERYIQTKYVDKLLIAKDTNQVTIDILEAVRTNDVRAAYRILVLTDVSPNMIYDELNNDVHHDPSVTDGKLFDPASCDVKDDSGKPEGCLQGCSLLHIACQYGHSIMAELLLLFGADINKQDFHGRTPLHHCVRRKNDALTKHLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR >OGLUM02G25510.1 pep chromosome:ALNU02000000:2:26384975:26387995:1 gene:OGLUM02G25510 transcript:OGLUM02G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRADSPRFTAALGRRRGPVDRGVGGLGVRGSGGRRGPPRQAGAYSQRGHRQDDAPPHEHGRLVHSGEG >OGLUM02G25520.1 pep chromosome:ALNU02000000:2:26385709:26388925:-1 gene:OGLUM02G25520 transcript:OGLUM02G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLVAACAAVSFALVCSAATPPASGAVYGVGGGLLSIPSNDSLAHCPSRCGNVGIHYPFGIAPGCFREGLELICRNTTKTPKLFLGDGTTEITYLDYSFVVTQIYFNITVRPGTDTYNISWVAPTEGITISSYSTLYVIGCNFDATLFEYGTEDLIGSCMSRCDGEKAPIGGPCNGMGCCSIGLTRDLRGGFQSTILLRSDGIPAAQTDPVHPGIMTFMSGWIIRWTNASNVGGALLRFAITDQPSCERARMNISDYACSPGSSCQNVSSGGYYCYCSYYNQGNPYLLDGCTGYKPQSKEHCSTSCGDINIPFPFGVEEGCFADERFRLNCTEGNLTVTKIGYMQYHVTSVSLDDGTLTVSNMLNDTNYGKEEIVRTTNDGSTFSGPLEDQYGLSIEYSVFQVKWAAANLTCETAVQKNTAYACRSIHSYCLNVTHGEIFMGYRCKCISGFHGNPYVQDGCTGIEIGTGCGLGSIIIALSAAVLAKKWKKGVQKRTRRAYFKKNQGLLLEQLISDENATNKTKIFSLEELQEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKLVEQTEIDQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDHLHTDVSVKCLLSWDDRIRIAVEAAGALSYLHSAAAIPIFHRDVKSSNILLDGSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLVRKKPIFINDAGVRQSLSHYFIEELQEGSLVEIIDPQVVEEANKEEIDDIASLTEACLKVKGGDRPTMKEVEMRLQFLKTKRLRKFQLIPGNDGEIEPLLSPNTSNSYAQNNYTNAGYLTSEGIPGSGCYSLEQELSSSISFPR >OGLUM02G25530.1 pep chromosome:ALNU02000000:2:26403597:26405903:-1 gene:OGLUM02G25530 transcript:OGLUM02G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAKVDMGRRLSRITGEGKDRELQMFWTFSKLLLSLIAGEQHDDTPHTAQASGEYGGRATACISIYKLELPSPARCHDDADAAVATVEPREAMNVDSKASYLIQIKNPEQPPSSDDECHFIADSTQRVINLNNTTP >OGLUM02G25540.1 pep chromosome:ALNU02000000:2:26415311:26429518:-1 gene:OGLUM02G25540 transcript:OGLUM02G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSTCGDVDGIDYPFGIGPGCFREGFELTCNTSTKTPKLYMKDGTNQILYVGSDAVWVAMHFNITMKPGTDTYNIYWVSPRKGVTISQRNTFYLYDTREAVGYCMSRCDGEKVPTEGPCNGKGCCSIQLSRDLRGFRSTLVQVDATAAQSDLLRHGIMAFMSYYDSYVDNATDLFLSWTNTSNVQEAFVQFAIMDQPSCEIARMKNTSYACSIGSNCLNISGGYACRCTNYDPYYSSEQSPYLLEGCIIPGNTTLFNPGDARYNVTDVSIEEGTMAVSNLLNDTEYGGEDIIITQDNQFRGGKIDGPVEDRFDISLQYNIVIKWAVANLTCDAALKKNATYACRSIHSNCLSVTHGNIFMGYRCKCLPGFQGNPYIQDGCKDIDECLLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIYQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRTKRLRKFQFLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAGDLSYEGISSCYSLEQELSSSIGAKMSSSLLVIVCAISFVLVCLAATPPASGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFEVTCDNTTRLPKLFLGNSTTEITDLNDRFVYVSIGFNVTIIPGTSVYNLSWKSPAKGFNISDYNYLYVLGCGVEVYLFDIDTNQTVGACISTCINDRTIMGRELANVQDCVGLGCCAIGRFPEGLRGFRLKLIHRDSITSQSEVVPSTVKVFVAEEYVFDPNALYSNWINTSNDNYYGYNCYCNRRIAGNPYILDGCIEDYDPYPRRGNCDRSCGKLSIPYPFGLEEGCFANKKFRLSCALGNFTILDWGRTKFHVALISVNEGYLNVSNMLNDTSPEGDELIVVHTVDGDTIFPRDTIQDLFEFSQEFDIKMKWAIANLTCQTASQRSTSYACISAHSECVNATHGKISLGYRCECSAGFDGNPYVSDGCGDIDECSQPNLCNGICLNYPGGYNCTKCPHGKEFDQTKRKCVTSAKKRNLLLGIAVGISCGLVSIALAFCATMLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEASNNFDATRVLGRGGHGTVYKGILSDQRFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHINVTAKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFQRTKRLKKSQVTAGIDGEIKDLMCPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPR >OGLUM02G25540.2 pep chromosome:ALNU02000000:2:26415311:26429518:-1 gene:OGLUM02G25540 transcript:OGLUM02G25540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFVAACAISFVLVCSAATTPRALAAVYGDGGGLLSIPSNDSLAHCPSTCGDVDGIDYPFGIGPGCFREGFELTCNTSTKTPKLYMKDGTNQILYVGSDAVWVAMHFNITMKPGTDTYNIYWVSPRKGVTISQRNTFYLYDTREAVGYCMSRCDGEKVPTEGPCNGKGCCSIQLSRDLRGFRSTLVQVDATAAQSDLLRHGIMAFMSYYDSYVDNATDLFLSWTNTSNVQEAFVQFAIMDQPSCEIARMKNTSYACSIGSNCLNISGGYACRCTNYDPYYSSEQSPYLLEGCIIPGNTTLFNPGDARYNVTDVSIEEGTMAVSNLLNDTEYGGEDIIITQDNQFRGGKIDGPVEDRFDISLQYNIVIKWAVANLTCDAALKKNATYACRSIHSNCLSVTHGNIFMGYRCKCLPGFQGNPYIQDGCKDIDECLLPNYCNGTCQNLPGNFTCTSCPRRKEFNPITRQCVASAKQHNLIIDESATNKTRIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQSVVAIKKSKIVEQTEIYQFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFIPNGTLHDRLHTDVSVKSSLSWDDRIRIASEAAGALAYLHSAAAIPIFHRDVKSSNILLDGNFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGTKQSLSHYFVDRLREGSLIEIIDSHVLEEAHREDIDDIASLTEACLKLRGGDRPTMKEVEMRLQFLRTKRLRKFQFLPVPGSVGEIQHLLSPDAGKSQAQNNYTSAGDLSYEGISSCYSLEQELSSSIGAKMSSSLLVIVCAISFVLVCLAATPPASGAVYGVGGGLLSIPSNDSLAHCPSRCGNVNISYPFGIGPGCFRQGFEVTCDNTTRLPKLFLGNSTTEITDLNDRFVYVSIGFNVTIIPGTSVYNLSWKSPAKGFNISDYNYLYVLGCGVEVYLFDIDTNQTVGACISTCINDRTIMGRELANVQDCVGLGCCAIGRFPEGLRGFRLKLIHRDSITSQSEVVPSTVKVFVAEEYVFDPNALYSNWINTSNDNYYGASLDSAIVDQPSCEIASVDKSSYACGTNSFCTNTSFQGYNCYCNRRIAGNPYILDGCIEDYDPYPRRGNCDRSCGKLSIPYPFGLEEGCFANKKFRLSCALGNFTILDWGRTKFHVALISVNEGYLNVSNMLNDTSPEGDELIVVHTVDGDTIFPRDTIQDLFEFSQEFDIKMKWAIANLTCQTASQRSTSYACISAHSECVNATHGKISLGYRCECSAGFDGNPYVSDGCGDIDECSQPNLCNGICLNYPGGYNCTKCPHGKEFDQTKRKCVTSAKKRNLLLGIAVGISCGLVSIALAFCATMLANKWKKGIQKRIRRAYFKKNQGLLLEQLISDESATNKTKIFSLEELEEASNNFDATRVLGRGGHGTVYKGILSDQRFINEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHINVTAKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIFHRDVKSSNILLDDSFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPDYYHTGQLTEKSDVYSFGVILVELLTRKKPIFINDVGAKQSLSHYFVEGLQEGSLIEIMDPQVVEEANKEEINDIASLTEVCLKPRGGDRPTMKEVEMRLQFQRTKRLKKSQVTAGIDGEIKDLMCPNASKSHAQNSSVGASDLTSEGISSCYSLEQEFSSSINIPR >OGLUM02G25550.1 pep chromosome:ALNU02000000:2:26423501:26426897:1 gene:OGLUM02G25550 transcript:OGLUM02G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWCSRVSGPQEAQPRATGALNSRSQVQHRPGAAHPFPRPRRGSAARRPPLRPHRRRAGHSSPQAASHSLGRESGEGGRHRPPQLPAPPHGGGTGRRPGRHAAEQANIGALIYETAVAQHEQRAT >OGLUM02G25560.1 pep chromosome:ALNU02000000:2:26431536:26444488:-1 gene:OGLUM02G25560 transcript:OGLUM02G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGPDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSSVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGASSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSTFHTDSLPQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIGVEKMEQTKKVGSKNERNNDDNDATKEVPNGQKQPVLGARATCSSTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSCLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQSPSLCCNKNEPAILSSDLFRNAFCVVHKTGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLKEKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDEPAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >OGLUM02G25560.2 pep chromosome:ALNU02000000:2:26431536:26444488:-1 gene:OGLUM02G25560 transcript:OGLUM02G25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGPDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSSVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGASSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSTFHTDSLPQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIGVEKMEQTKKVGSKNERNNDDNDATKEVPNGQKQPVLGARATCSSTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSCLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPAILSSDLFRNAFCVVHKTGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLKEKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDEPAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >OGLUM02G25560.3 pep chromosome:ALNU02000000:2:26431536:26444488:-1 gene:OGLUM02G25560 transcript:OGLUM02G25560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVSAALEEVCARLSPGLPVTDLWPALRGALEAAGLAPGPDAKRVLWARLIALPIISLVVGEGDGAPVDPVEKDVEEAERRGVRLVASAALRDNFLGMYDRRFAKSELSSVQKGALERVGASRTSGVTQNDLCKTFRMKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGASSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSTFHTDSLPQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIGVEKMEQTKKVGSKNERNNDDNDATKEVPNGQKQPVLGARATCSSTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSCLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPAILSSDLFRNAFCVVHKTGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLKEKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDEPAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >OGLUM02G25560.4 pep chromosome:ALNU02000000:2:26431534:26448075:-1 gene:OGLUM02G25560 transcript:OGLUM02G25560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNFHFIVKSLQSQKLIVRQSTIIKVKDHGADAEDASQNKQIINTNSLYLSRYAKNLNMNSQQRIEIIKPELLGSNEESNGDVLQEDGAFGVNDKNDISIHDYLPAMKAICDKLEEASGKTLVVSDIKVDLNYRMTYGHRAWRNVLHRLRDAQLIEEFDAKVDDKFIRCLRLLKKFDPNEFQPKSTTSNYKLGKKGQATDQVMELPLENCIYDMINAQGPKGITLVELGKRLGHNNSKRLHKRVSSMLKKYNLTWEAEVQDKTSQYRVWTSKNFSHYKAGAALHNLEALPDDHEKCSDLWSLVPSKGSESPISRVDLFVDNNKLLLEEECHNKPAGHPLQSNHEACVGVSQIVEQEKLALGQRKRRRCPPLTSDDRRHRRILHMLKKKKFVLKVELHKWLEGLEKENGKIMDRKTLTRTLNKLQEEGSCKCIKVSVPLVTDYTRSRLIDVILHSSVGDLSPELVDQIRNRQRNFDTETRSGAAAKLKQNQHTTAILGLRIPRRVKDNKPLILEAMHANGFIGAKMIRAKLFHKFLWVYVSGLPNWCDPFDNDKEGHHDKNLSQSSVLFSMVGATKEMPLELFLQVVGSAKKIDHMITKCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLVNILDKLKLVQLAKELVDDSGASSDALPTHSIELRPYIEEPTPRILPSSHVNVNHRPKIRHDFVLSRQEFVDAYWETLEYCYLTAGLAEPSSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNASENGKLPFRDCRIIARELNLSVQQNRQLHGQPTVPAARKRRKVNSGSTSKKRKRSADEITLKFIKRNVETIESTEPRPAQSIPHEEVSERISPSTFHTDSLPQVDEDTISSPRISRSTILRGSCMREKRFVWTYDSDRKLLMIYTRSRATLGAGSHRVDWNSLSDLPAPPAACRRRIAYLRKKTNIRPAVSRVCDLLGIRYARYLEKEKRWKLRGLPSEISNSSHDNCVDPDSEQFFWDNFEDPEIKSALDEVLEFIGVEKMEQTKKVGSKNERNNDDNDATKEVPNGQKQPVLGARATCSSTAIQESGLREHAKSYRLSNAIHASKNMDIPFRSHEKAINHNKDDIAKRDVCRSLAVANALELLKLAFLSTSSGPEVQASLAATLQLYSETEIFTAFSFLREKNFMVTGDGTKPYTLSGKFFFNASNSPFPFGSGKKASEFSQWVGQQKNTMDDGVCLYPDLQCGEIVQLFSLVLSGELFISPSLPSEGVGEADEPNSSCLFVDDNSELDESSHKRKADMVKLKSSKTKKHKPLPKIESDFCYRREKGFPGLQVALNQERIKTSNLTQELHDKECLIFTSAWEMGSKDVDSQVESHNMSSLYLNNSSSCRRLLSESHLENSYSGWPWDAIKTYAEQSPSLCCNKNEPAILSSDLFRNAFCVVHKTGEQGVNLREMSQALDPLGIQFVDLIVHTLKRFQLVIKVNAYDGVQIVDSIHKSKYHITTLADSSHCSCLRASAFEMAETGDTENLLKEKHAMSSDVQGSVKMLGDGHTVTVLNVQSKSSSPQIRYQSPVGQKRSFTPAQDNRGSDCCHACERHIYHPILPWINGDGSMNNTVYEGLSRRIIGYTMQYPGVVEEDIIHRMDVLNPQTCRTLLEKLMFDKHLYARVFDEPAPSAPTLLQSILKQDRCKEPSKCNKRYFANPMSTFLL >OGLUM02G25570.1 pep chromosome:ALNU02000000:2:26444874:26446241:1 gene:OGLUM02G25570 transcript:OGLUM02G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASLLLLRRRRGSHAADALLRALTTAAGEPPLTASAAKTRLRREHDPDRVVSLFEAIDDASLSASSTRHALSLAARRLSRSRRFADAEALLSSHIPASPTEPQLAAVLCSYAAASLPEKALAAFRSAAPSLPSPISPLPFNAVLSVFLRCRRHRRVPVLFDELSKEFSITPDASSYGILVKAYCMLSKDAKAHEVLDQMRGQGFTPTNSIYTTMIDSMYKQKKMEQAERLWKQMLESGRKPDQAVYNAKIMHHSLHGKTEDVLEVIAEMEAAGVKPDTITYNFLMTSYCKHGKMETAKELYRSLGEKGCSANAATYKHMMAQLCAHGDLDGALVIFKESYRSNKVPDFRTMSGLVEGLTKAGRVAEAKNIVAKMKKKFPEQLLSGWMKLEKELGLNSDSGDAGTRAECISEETPSETEAAMPKTLELEDPSSDETECMAEASTSEEMPRDSA >OGLUM02G25580.1 pep chromosome:ALNU02000000:2:26451064:26456571:1 gene:OGLUM02G25580 transcript:OGLUM02G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSAAAAGGDEEVAEAEAAAGSTTTGGATLRHRHAGKGADEHEAAGGGGGGRNGGADDPDATSVERVFADKAVPSWRGQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTSAVERIGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSETIAKQATEAKDAQNIKDPHLGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFLFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADISDNSLHGLQAYRVFISIALILGDGLYNFLKVLIRTLAGFISMVQNNSKGMLPVSDNGMSMSTAEEVSFDDERRTEIFLKDQIPKSVAYGGYVVVAALSIGTLPEIFPQLKWYYILVAYIVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLSHGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCVFWLFYKAFSNIGTSGTEYPAPYAIVYRNMAILGVDGFNSLPENCLTLCYIFFAVAIAINLIRDLAPHKVSRFIPLPMAMAIPFYIGSYFAIDMFLGSVILFVWEKLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRAANAKVDSFLAG >OGLUM02G25590.1 pep chromosome:ALNU02000000:2:26456820:26460292:-1 gene:OGLUM02G25590 transcript:OGLUM02G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVGVGGGGNYDGGGGNASSLFGGGGFMPSQATNAAEGTGGGGGGGFPKSRNAQALLPLTVKQIMDASQTNDDKSNFAVNGMEVSTVRLVGRMLNKLDRVTDVSFTLDDGTGRVPVNRWENDSTDTKEMADIQNGDYVIVHGGLKGFQGKRQVVAYSVRRITNFNDVTHHFLHCVHVHLELTRLKSQVNANTATGTPNQTMPRDSMAYNQSPLTNQASTFSAPQNTGTGTNMIDLVLNVFHDPAVMNDDHGVGVDYVSRRLNLPEETVGKIIIDQVDLGHLYATIDDHHYKSTMNG >OGLUM02G25600.1 pep chromosome:ALNU02000000:2:26482206:26484935:-1 gene:OGLUM02G25600 transcript:OGLUM02G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YVD3] MGTGRKPVDGDGDGAASAVVFLVPFPAQGHVTPMLHLARALAARGDVAPTVVLPDFIHRRVVRAGGNGGGGGVALASFPSGIPGGDDGDGAPGFASIVHAMENRMPAHLERVLMLMRDDDDDRLAAAGRRAACLTVVVDVLASWAVPVATRCGVAAVGFWPAMLASYRVVAAIPELIDRGLVSEYGIPILANGFNKNQGQVKANLQAEIISLFPEELELSTTDLPWLVGDAATQKSRFAFWLRTMERVKTLRCILVNSFPGEAIAAGADQQQPLPQDQQILQVGPLLATIVTDRAKDNSNLRCSPMKTTKNDTSTCQADDRTSCMEWLDQQRPGSVAYVSFGTWVAPIAPGEITELAVGLEATGRPFLWVLKDDPSWRAGLPAGYTDQYSGRGKIVAWAPQEDVLAHGAVGCYLTHCGWNSTLEAIRHGVRMLCYPVAGDQFINCAYIVRAWGVGIRLRSADRGEVVDCVGRIMEGEDGRRLREKLDELRERVMAGEALCVAKRNIEEFIRGISGQRLQ >OGLUM02G25610.1 pep chromosome:ALNU02000000:2:26489202:26493447:-1 gene:OGLUM02G25610 transcript:OGLUM02G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPQIRQTLSEIDRRIPDALRVAMGLRLRPTAGAALEEVTRFAASCLPRPCPEGGDDPMECDEPAPARALRMEAASCFLPDHDEDSHFVRPEAGVVALADGVGGYRAPGVDAAAFARALVYNAFEMVVATTPGGAGGICPYALLGWAYEQAVSARTQGASTAVILSLAGATLKYAYIGDSAFAVFRDGKLFFRSEAQVHSFNYPFQLSVKNGNSVTSAARGGVEVKEGDVVVAGTDGLFDNVASEELQRIVAMGRALGLSPKQTADVVAGFAYEASTTMGRDTPFSLESRKKQGTIFRRGKRDDITVVVAYIMEKRMETLEQIKETLRETSKLVPDIVRAAVGLEHHYQTVELPHDDGCVKSFAAAFLRPQAQEQAHGDGDGDGEVQQAVRMESASCYVADHDEDAHFVHDAAGVVGVADGVGGYRRRVGVDAGAFSRGLMTSAFAQLVTAEPGTPVCPYTLLERAYEETLESGAQGGSTAVILSLADGNVLRWAYIGDSAFAVLRDGRVVVRSVQQQRYFNAPYYLGGRRGDEGMTVGMVGEMKVRRGDVVVAGTDGLFDNMSDAELEKVVQIGTALGFSPKNMADIIGGTAYEMSRCLLKDSPFAVEWRKQHENEQEHFYGGKVDDITVVVACIVSSDS >OGLUM02G25620.1 pep chromosome:ALNU02000000:2:26496950:26515224:1 gene:OGLUM02G25620 transcript:OGLUM02G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGGEARLGSRRPGRRRRLPAELRRGTAGDGGARRRGTAAIDGNTERRTSKSERGEREMVMTSSSQEPRSVRGTAIVTRTRAKSERKPNFAPYSRRRMPHRTLRRHEKRHKIQSNPTKIQRN >OGLUM02G25630.1 pep chromosome:ALNU02000000:2:26515529:26516425:1 gene:OGLUM02G25630 transcript:OGLUM02G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9YVD6] MTPSALAHLASASSLPAFSPKPRARPGSAAGPALRRLAVAAPAPRAYFSSSPMPYQPQPQQPAGYSSHQAFGLVPMVIETTSRGERAYDIFSRLLKERIVLIHGPIADETASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGARGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKLRDRLNKIYQKHTGQEIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLIPEGATGVDLPHHSAAGVGGRGRDVEEPSAV >OGLUM02G25640.1 pep chromosome:ALNU02000000:2:26518893:26523580:1 gene:OGLUM02G25640 transcript:OGLUM02G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >OGLUM02G25640.2 pep chromosome:ALNU02000000:2:26518893:26523477:1 gene:OGLUM02G25640 transcript:OGLUM02G25640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWSEFVST >OGLUM02G25640.3 pep chromosome:ALNU02000000:2:26518893:26522314:1 gene:OGLUM02G25640 transcript:OGLUM02G25640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFIRFQEAVKTLAKNPMFANNRLFARDPRHLQFEADVNRLFLYTSYYRLGANAEEKDAEEIIDMASKASVSEQQKQVQENVHYQLTNMCQAMDSILLPDTKNGASEANNYPRRSGLSFAVGTGVASANKPDVPSTRPLNRAELSNKFRDHFQYTLDIRPSQIPHKDAGQGLFLSGETNAGAVLAIYPGVVYSPAYYRYIPGYPKIDACNNYLITRYDGTIIDAKPWQLGGDSREIWDGSDLVDYNAVPSKSQESNSDRAWRMLSKPLKKGHTENFGEVLERRNPLAFGHFANHPPKGSTPNVMICPYDFPLTEKDMRVYIPNITFGGEEEPVTMKRFGSFWFKSGRSGNQVGESPVLKTLVLVSTRSICDEELFLNYRYSNSKKRPEWYIPVDEEEDKRRWS >OGLUM02G25650.1 pep chromosome:ALNU02000000:2:26526989:26543246:1 gene:OGLUM02G25650 transcript:OGLUM02G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) TAIR;Acc:AT5G23210] MAGATAAAVSSSFLALALLSLCAAAAGGSPQLDAEAARQQEADRVTRLPGQPAVRFAQYAGYVTVNETHGRALFYWFFEATAAADKKPLVLWLNGGPGCSSVGYGEAEELGPFLVQKGKPELKWNKYSWNKEANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYADVKKYCNFSMENVTDACDSALTEYFAVYRLIDMYSLYTPVCTEVSSSAAFGQRQVAVHGAAPKIFSKYHGWYMRPAGYDPCTSDHAEVYFNRADVQEALHANVTNIGYNWTHCSDVIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHQQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >OGLUM02G25650.2 pep chromosome:ALNU02000000:2:26526989:26543246:1 gene:OGLUM02G25650 transcript:OGLUM02G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 34 [Source:Projected from Arabidopsis thaliana (AT5G23210) TAIR;Acc:AT5G23210] MAGATAAAVSSSFLALALLSLCAAAAGGSPQLDAEAARQQEADRVTRLPGQPAVRFAQYAGYVTVNETHGRALFYWFFEATAAADKKPLVLWLNGGPGCSSVGYGEAEELEANLMFLESPVGVGFSYTNTSSDLQQLGDKITADDAYIFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKQGPKENYINFKGFMIGNALMDDETDQTGMIDYAWDHAVISDRVYADVKKYCNFSMENVTDACDSALTEYFAVYRLIDMYSLYTPVCTEVSSSAAFGQRQVAVHGAAPKIFSKYHGWYMRPAGYDPCTSDHAEVYFNRADVQEALHANVTNIGYNWTHCSDVIGKWRDAPFSTLPIIRKLVAGGIRVWVFSGDTDGRIPVTSTRLTLNKLGLKTVQEWTPWYDHQQVGGWTILYEGLTFVTIRGAGHEVPLHAPRQALSLFSHFLADKKMPPTAFP >OGLUM02G25660.1 pep chromosome:ALNU02000000:2:26534812:26538484:-1 gene:OGLUM02G25660 transcript:OGLUM02G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin4 [Source:Projected from Arabidopsis thaliana (AT5G25760) TAIR;Acc:AT5G25760] MDCSARRWWVLGRKSGPSVIGSAHDPPTSPSRRLPPHNFFPTGQRLSVFPFVLLSGPSPSDDATFPTPGSSSGEGSCELEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >OGLUM02G25670.1 pep chromosome:ALNU02000000:2:26543907:26547290:1 gene:OGLUM02G25670 transcript:OGLUM02G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPASSGSGPVVTEVEMNAGADQGATGTVRATVVQACTVFYDTPATLDKAEKLVAEAAGYGSQIVLFPEVFVGGYPHGSTFGLTIGNRSAKGKEDFHKYHAAAIDVPGPEVTRLAALAGKYKIFLVMGVVERVGYTLYNTVLFFDPLGKYLGKHRKVMPTGLERVFWGFGDGSTIPVYDTPIGKIGAVICWENRMPLLRTAMYAKGVQIYCAPTADFSPSWQASMTHIAVEGGCFVLSANQFCRRKNYPPAPEYTFGGLDEEPSPESVIWPGGSSIVSPSGTVLAGPNYEGEGLLTADLDLGEIARAKFDFDVVGHYARPEVLSLTVKTESKLPVSFTSAAEKNSAAKSDGISRT >OGLUM02G25680.1 pep chromosome:ALNU02000000:2:26563456:26567384:1 gene:OGLUM02G25680 transcript:OGLUM02G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSGSGGGPPVIAEVEMNGGADSGAATVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISIGNPKDKGKEEFRKYHAAAIEVPGPEVTCLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTTAAKSDSTAKPY >OGLUM02G25680.2 pep chromosome:ALNU02000000:2:26563456:26568854:1 gene:OGLUM02G25680 transcript:OGLUM02G25680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPSGSGGGPPVIAEVEMNGGADSGAATVRATVVQASTVFYDTPATLDKAERLIEEAAGYGSQLVVFPEAFVGGYPRGSTFGFGANISIGNPKDKGKEEFRKYHAAAIEVPGPEVTCLAAMAGKYKVFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRQVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGLGEEPSPDTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPHLPVSFTSAAEKTTAAKSDSTAKPY >OGLUM02G25690.1 pep chromosome:ALNU02000000:2:26588793:26589083:1 gene:OGLUM02G25690 transcript:OGLUM02G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPTAATGSVDRTPSQPELRRPGPAVAAPSRREPPPPLPARFTCRSPSSVTKSAPRSPSFATEAPVAATLPLRGWFCTVGKAMERKRGDQEDKE >OGLUM02G25700.1 pep chromosome:ALNU02000000:2:26595496:26601761:1 gene:OGLUM02G25700 transcript:OGLUM02G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASSHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFDGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGTTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDGPSERVNSLISLLKLGLLCSGEMPLSRMSTKDIIKELLVIKRALA >OGLUM02G25700.2 pep chromosome:ALNU02000000:2:26595496:26601725:1 gene:OGLUM02G25700 transcript:OGLUM02G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASSHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFDGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGTTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDGPSERVNSLISLLKLGLLCSGEMPLSRMSTKDIIKELLVIKRALA >OGLUM02G25700.3 pep chromosome:ALNU02000000:2:26595798:26601761:1 gene:OGLUM02G25700 transcript:OGLUM02G25700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASSHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFDGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDGPSERVNSLISLLKLGLLCSGEMPLSRMSTKDIIKELLVIKRALA >OGLUM02G25700.4 pep chromosome:ALNU02000000:2:26595496:26601761:1 gene:OGLUM02G25700 transcript:OGLUM02G25700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVILLCSTLLLYSPAAVCTVGSSSSSTNATDKQAAALLSFRSMVSDPSGALTWWNASSHPCRWRGVACGRGRHAGSVVALSLGSSSLSGLISPFLGNLSFLRVLDLGANQLVGQIPPELGRLGRLRELNLSGNSLEGGIPPALAIGCSKLESLSLDSNHLRGEIPGEIAALRNLAYLNLRANNLSGEIPPSLGNLSSLYFLNLGFNMLFGEIPASLGNLSQLNALGIQHNQLSGGIPSSLGHLNNLTSLLLQANGLIGSIPPNICNISFLKHFSVENNELSGMLPPNVFNTLPMLETFDAGENMFDGHIPSSLVNASKLSRFQIAENHFSGVIPPELGGLQGLKWFILTENDLEAKESNDWKFMKALTNCSQLEVLELEANKFSGTLPSVISNLSASLTILTLASNKIVGNMPREIGKLINLGALVAHNNFLTGSPPSSLGMLQNLRILWLDNNYFSGPFPRVICNLTHMDSLDLGRNNFSGSIPITVGNMVSLSSLRFSFNNFIGTIPTSLFNITTLSIYLDISYNHLDGSIPPEVGNLPNLVYLDARYNQLSGEIPITFEKCQLLQILYLQNNSFIGNIPSSFSEMKGLEILDLSSNNFSGQIPKFFGHFLTLYDLNLSYNNFDGEVPVFGVFANATGISVQGNNKLCGGIPDLHLPTCSLKISKRRHRVPGLAIVVPLVATTICILSLLLFFHAWYKKRLTKSPSTMSMRAHQLVSYQQLVHATDGFSTTNLLGTGSYGSVYRGKLFDETGENENLIAVKVLKLQTPGALKSFTAECEAMKNLRHRNLVKIVTACSSMDFNGNDFKAIVFDFMPNGCLEEWLHPQIDNQLEERHLNLVHRVGILFDVACALDYLHFHGTTPVVHCDLKPSNVLLDADMVAHVGDFGLAKILSSQPSTSSMGFRGTIGYAPPEYGAGNMVSTHGDIYSYGILVLEMITGRRPTDNTCEQGFSLRKCVEMALNNRAMDILDVELVTELENAPPATSMDGPSERVNSLISLLKLGLLCSGEMPLSRMSTKDIIKELLVIKRALA >OGLUM02G25710.1 pep chromosome:ALNU02000000:2:26604699:26605331:1 gene:OGLUM02G25710 transcript:OGLUM02G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDAAATFHVYQPVQIPTATVAPAAAVSAAPAEAVAQLVPAPSKKAAGAAGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGTGTTPAAFVSSSAPSTSSHQHTLLGKRQRQESAAADAVSVAGAASAFWAALPAPGRPDAWGFSPLDAQPTYVPMAQAHHHHLNLLAALSGAARRAEEESR >OGLUM02G25720.1 pep chromosome:ALNU02000000:2:26611917:26613173:-1 gene:OGLUM02G25720 transcript:OGLUM02G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAPANREELTRAALAFLRKVRSRFWLKPCVYKGLETILTEYGKYANASTSLVVDGAAALLGDHPDLIAEFNTFVRPEYKIELPADHLPAAATAKPQGKRDVSPLEAKRFLERVKAEDEKLYDRVVVKLSDLHKKRWMDAHQVYAQLEKVFGPARRDLLRCSAEFLPKGPLPEFAEDPGAGPGPSSWKRKRAAAAAANTFAADAVKPIRTVKPRAADLLQISQPAHDVDKDKGVKPSRPKRPRNAGIQIGQAAAAAADDDDDDGEAGGDPCWLVTERNPHAAAVTFRKILEFHARYSNLVATIKRAEELARTRHPRGALEDLFPGRECHEILGELYGGGWRTMRAAVVEDGDGHVDVTLAAILLRLRVEEDVAVQLARSRRDRTRYGARQGERSPAGDRAANRSTRGVRAKWREA >OGLUM02G25730.1 pep chromosome:ALNU02000000:2:26615779:26616104:1 gene:OGLUM02G25730 transcript:OGLUM02G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKLQSSLEASAIDIEDVPYQHAGHTAVKDNANETHFNIRVISPKFEGQSLVKRHRMINDLLTDELNSGLHAISIV >OGLUM02G25740.1 pep chromosome:ALNU02000000:2:26618326:26624483:-1 gene:OGLUM02G25740 transcript:OGLUM02G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASSSGAGGALINDVLTDDVLRAVLARLVPEAERDAFGLVCRRWLRIQSSDRRRLRARAGPAMLRRLAARFPGILELDLSQSPSRSFYPGVIDDDLDVVAGGFRNLRVLALQNCKGVTDVGMAKIGDRLPSLQSIDVSHCRKLSDKGLKAVLLGCQNLRQLVIAGCRLITDNLLIALSKSCIHLEDLVAAGCNNITDAGISGLADGCHKMKSLDMSKCNKVGDPGVCRFAEVSSSSLVSLKLLDCNKVGDKSIHALAKFCHNLETLVIGGCRDVTDASIEALAFACCSRLKCLRMDWCLKITDSSLRSLLSNCKLLVAIDVGCCDQITDAAFQDMDANGFQSALRLLKISSCVRITVAGVRNVIESCMALEHLDVRSCPQVTRQSCEQAGLQFPGSCKEIWRYLKPKPSQQLLNVELVIWVNLLPPQTRVYSRTRTKSIPSGICDISWKEGYLAVVKLVLINRAQMRLTVGQVHRHVLALASSRLCFVLGDHLPFRMLSLPRVVRFHQTAWHDIQTVEDKSGPLTLASLEVQNKVEYVKKERATRTGGTKPSSRASALNMKPKVSSFNAKPVKSALPKSAVLKKTLKIDESLFSAKSFEELGPLKRPTEQDSSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGSNRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHSCLFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLESITVPRPVLSQTDANSNSPSNSVNQAAVDSLPPSLEHYYCTSKAQHKVDTLRRCIHALEAQTVIEFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHKEEDVE >OGLUM02G25750.1 pep chromosome:ALNU02000000:2:26630132:26631046:-1 gene:OGLUM02G25750 transcript:OGLUM02G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSSCAHVVGVPVTSKAYAIEEATTARDGGKKVDGDRLAVSLTHPSPYTSFGYKHSSKLQVIHWVNKLGRRAQGFRDHVTLGPKLSETVRGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSNRKIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIHVATVDGFEFWFMGFVSYQRCCKYMQQVISEL >OGLUM02G25760.1 pep chromosome:ALNU02000000:2:26634737:26635639:-1 gene:OGLUM02G25760 transcript:OGLUM02G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSQDHVIGIPVSNTAYGIEEPDFAAEETTTPDHAGFVVGSFQFNNDANSPTTTTTTTTTDRASKYGRKGDKIAQGIKEHVTLGPKLSETVKGKLTLGARILQAGGVEKVFRQWFSVDKNEKLLRASQCYLSTTAGPIAGMLFVSTERVAFRSDRPLAVSAPGGDKVRVPYKVTIPLRKVKAARPSENKHKPEQKYIEVVTNDGFEFWFMGFVSYHRSLHHLEQAVAQARR >OGLUM02G25770.1 pep chromosome:ALNU02000000:2:26646168:26646746:1 gene:OGLUM02G25770 transcript:OGLUM02G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNCLLALAIAGLVLVSLPGLSRGDVDARRGRELAGGCNPSGTLRPSRSHSCQDCCKAGRSYPTYACSPATTGSTKAVMTLNDFEAGGDGGDPSECDGKFHKNTERVVALSTGWYANGRRCNKNIRINANGRSVLAKVVDECDSLHGCDKEHAYQPPCRPNVVDASQAVWDALRITGEDVGEYDITWSDA >OGLUM02G25780.1 pep chromosome:ALNU02000000:2:26646928:26647752:1 gene:OGLUM02G25780 transcript:OGLUM02G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFREAPPCTRLLLLTSPSPSLLLALPPPLLLDETPPPPLLSSRAGPDPPTRRCRRRRKRSTKGRRK >OGLUM02G25790.1 pep chromosome:ALNU02000000:2:26654767:26654998:1 gene:OGLUM02G25790 transcript:OGLUM02G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRECNNRFNPEGFVVALSGGGSMTTVYIQIYNNDNIVAALVIDECDSRNGCNLGTGYLLPCSPNTIAASPGV >OGLUM02G25800.1 pep chromosome:ALNU02000000:2:26657445:26657690:-1 gene:OGLUM02G25800 transcript:OGLUM02G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAVEAGVVAVARGRGSGRLLRGIYWRLRAGIRRMQSERGRWRGGRRDHARFSFHYDALSYALNFDDGRAAADFVLV >OGLUM02G25810.1 pep chromosome:ALNU02000000:2:26663854:26664550:-1 gene:OGLUM02G25810 transcript:OGLUM02G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKHDPQLGRSRTVGIHRPWPRRTGRRRVIGMSGVGARVVGWKGALDLGVDLGGGAEVHPKRLVD >OGLUM02G25820.1 pep chromosome:ALNU02000000:2:26668206:26670809:-1 gene:OGLUM02G25820 transcript:OGLUM02G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G24760) TAIR;Acc:AT5G24760] MAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTAQTDLFPRIFGHEASGVVESVGEGVTEFEVGDHVLTVFIGECMSCKHCVSGKSNMCQKLGLERRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKCLRCVIHMPQ >OGLUM02G25820.2 pep chromosome:ALNU02000000:2:26668206:26670809:-1 gene:OGLUM02G25820 transcript:OGLUM02G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G24760) TAIR;Acc:AT5G24760] MAAASSPAAAITCRAAVAWAPGQALVMEEVEVAPPEAMEIRVKVVSTSICRSDVTQWQSTRRGVMHSDQKTRFSLRGKPVYHYCAVSSFSEYTVVHSGCAVKVGPTVPMDRICLLSCGVSAGLGAAWKVADISKGSSVVIFGLGTVGLSVAQGAKLRGASIIIGVDTNPEKQEKGKAFGVTDFINPEELNEPVQQVVKRLTNGGADYSFECVGDTGVVSTALQSCSDGWGLTVTLGVPKAKPEVSAHYALLLSGRTLKGSLFGGWRPKSDLPLLVDKYANKEIQVDDLVTHDLSFDDINKALELMLENKCLRCVIHMPQ >OGLUM02G25830.1 pep chromosome:ALNU02000000:2:26671541:26676718:-1 gene:OGLUM02G25830 transcript:OGLUM02G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVETWMREKPIRTFLAQLSTRRAAARAAALLASSSAAAASADGEPGDRSIPQLSSIANSVVSRCSRVLALATETLQQNFEVDYPDSCKESNTYAKEFLEYCCHKALHEVTTRPDHLADKNLRRLMFDMMLAWEHPGAVVEDELPENHSALRTTVDIEDDDEGSIFYANSTRLAVQVNDKKTVGLGAFARIAPSCPIIADLVTVHNLFDALTCSSGGRLHFFVFDKYIKSLDKVFRSVKGILQSPLASSFHLDAGECILAMDGDRPIHPVFQHIGISAWPGRLILTTHALYFQSIKVGYGDKIVIKRDFTGPLGVRLFDKAVMYKSSTLTEPIYFDFPELGGPSRRDYWLAIAREVNKFIRKFNLENVQRAEALSKAILGILRYSAVKEAFHISPSHFKTTLTFSLAEKLPKGDMVLKALYDNYFQLLDTSLSHLVTESPVDNRLQNHSLPFSLYALSRMGFILLKRKDEAQSEISFCAVCFGVTKSLEAALEESICYSERIDAARATIDQVKVEGVDANLALMQELLFPFIQVVKLTYSLTKWEDPLKSFLFLAFTLYVIQRGFIAYMLPSFFLAFAVVMLWHKYNGREQLLGVLEVRTPPSKNPVEQILTLQDAISKLEETLQSVNIVLLKFRAVLFAAVPKTTEMVAVAFLAASAFLIFVPWRHLLLIAVLEVYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIKPNESKKKR >OGLUM02G25840.1 pep chromosome:ALNU02000000:2:26678718:26681201:1 gene:OGLUM02G25840 transcript:OGLUM02G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREVREYTNLSDPKDRKWGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKPILADSTPAVPAALRVEPKPKSDIRQQDLLKNIVGIKPKRTKVSSPSQPAENDKPKQSPEDSVNKVSSPQSQSGSRKESSQRDGAVSFEKPLLKPVEPRESKPQNATGSLLGLAYESSDEE >OGLUM02G25850.1 pep chromosome:ALNU02000000:2:26680193:26683852:-1 gene:OGLUM02G25850 transcript:OGLUM02G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLYTAAASKRVIAGVSSTISRSCHRTCRWKSHAAPLSAQEAPKGPKRMTKQERRVKIEKFVEEYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDTDDLHLSQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQGANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPPVSDPIEGDKTVKANVLDREENPEVEPKTGLFGSLKSFASGIRNFWRKLLDSKSRRNSSTAKKTLRVFLSFHLFF >OGLUM02G25850.2 pep chromosome:ALNU02000000:2:26681521:26683852:-1 gene:OGLUM02G25850 transcript:OGLUM02G25850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASARLYTAAASKRVIAGVSSTISRSCHRTCRWKSHAAPLSAQEAPKGPKRMTKQERRVKIEKFVEEYKASNDGKFPTMTTVRQHVGGGHYTVREIVQELEYNQRMLQLDQSKAAELPETAEHSEHLKPKDVHGNAQFNSESFSGNQDTDDLHLSQKVAATSTEIIDKTETWRLEESQMTSGTSHYTGETEAVKQDLHTADSLQGANESIKSCQTESDSIKNEDSISLGLDTKSDPTDLELGESKSDKIELNSTARFKNVSEPPVSDPIEGDKTVKANVLDREENPEVEPKTGLFGSLKSFASGIRNFWRKL >OGLUM02G25860.1 pep chromosome:ALNU02000000:2:26685643:26687580:-1 gene:OGLUM02G25860 transcript:OGLUM02G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCCSKTQKETTPPHGGAEATTSPQVKRKSGKIAADDKGKKTRAGRAVEAAGDKKAVFVVKTKSGAVNVEERRPVVVVPTMPVRTSSCTKEEVDAILIQCGRLSRSSSGRAASSETGGGHRRSKRSYDFDQERRAGCGGGGDEERDWERHGGAVSRPSPHRGSPQRKRSGSRERSSGGGSRRASRSPGRRAEGVSPAPAPAGSGGGGGGGERVVRQQPGKMVSVPAREKARAPSPAAASGKRCASPRSSSPARMVAAGNENAGGGQMTAAQTPSLSRSSSPYRRSPMAEIDENSLRNNNGANRHKKISENALAIAAAPQKATERSKEKPKVVEETVLVAAAPPASKTTATRTASATAEILNTKARSRRASRDFDQNTNSYATQLLEDIQSYHQQQNTTSVAATAATLPSFSLPACVSKACSILDAVADLNSSSSDSHSCEPDRSANDRGSVNAPLGGGMDDLAEPGVHKRHATAPRGDIRGGGGGGETEPQESAGSNSVSGNPWTPSWEPNSVESTDRTWSASRSTNNGDEVVEQGSSSHAGARSPLNRSRQSSKQRAAQPEHSVRSRAGSSGGNSNNVVHRGRGAHRSGGGGGGGGGGSVASGRSGVRAVSAMS >OGLUM02G25870.1 pep chromosome:ALNU02000000:2:26692781:26693230:-1 gene:OGLUM02G25870 transcript:OGLUM02G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTAALAPPSTSTSSVLLPSAAAAAAARCLGPLPRRARLRTARHVALTPRDLSAEDVAAEEAAVAPKIGKRVRVTAPVRVYHVMKAPDLDIKGMEGVVKQYVAVWKGKRITANFPFKVEFHLSVEGQDKPVRFFVHLREDEFEFIDE >OGLUM02G25880.1 pep chromosome:ALNU02000000:2:26694481:26697645:-1 gene:OGLUM02G25880 transcript:OGLUM02G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPMLSVPEKKTAATELFRDRHFFNSAFFTDLREARASLSAPSSQATTQDAASRRALLLRYHRLLASARDDPCDFDDDLAFTWHDAFRPHLRRTAASLRFEKAAVVFNVGAASSRIAAAVDRAAEGGVKAACGEFQRAAGAFRAAGEMMEGEEEDTVDMGPEASAMLERLMLAQAQECCFERALAAGTSSAACSKVAKQAALYYEEAYASLVIPPLQNHFERSWVAHILLKAALFNAEASYRYAIELHEKTEIGEEIARLQFGINAIVDAKKAVRGAPGSLYDAASRLEQDMNQNLERALNENNRIYLLRVPAAKLLAPLPAASLVRSASLSEILDVKTETEGNVLNDRAGECTDAISTTVNWDLC >OGLUM02G25890.1 pep chromosome:ALNU02000000:2:26698526:26699941:-1 gene:OGLUM02G25890 transcript:OGLUM02G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVDRGGGGGGARGHGRRWKGKGVSAAISSSAAETQQPVPVLEDAPAAAALLRPQKKIRSPDRRLQRSISSLSLAPASPDSSSVSNPMSPPAMSLPNQPPSSRHIFPFAYDPSPGAAAPRLLPLLQYSSLYPQPLLPQQQSPLQNQQMISFGSSQQQQKQQPQFGAASPLFPPQFLPPEEQQRLLLRYWSEALNLSPRGVRGGALPPSLYQHLLRAPGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDLFLGKGRTGGSGRTSASAAASCSSSSSSAPPTPDESHTQQAQPQPQQPTEESSNTEPKPLLFVAEQDGIPEPELNPQLQTAEQHGSDGNTAMFQPSVTSGGVWGPADEAWFSAWGPGSSVWDYDMDSAHGLLLQSRLAGEQTGMDYAYTAPEVLVAPVPAAGTAMATAASSSLPPRPPPPCHSPTFAWKD >OGLUM02G25900.1 pep chromosome:ALNU02000000:2:26719901:26726055:1 gene:OGLUM02G25900 transcript:OGLUM02G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEVVGEEEEEELFYESLDRILSSSGSSTSASDDDGDHPRRRRGYDAGAAAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISDNMLKHKKQIDLHIKKRKSSQKKITGIQFVPGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNYDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >OGLUM02G25900.2 pep chromosome:ALNU02000000:2:26720106:26726055:1 gene:OGLUM02G25900 transcript:OGLUM02G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTRAAAAALDLWTSEPAPIQERRRRLLQLMGLSGDPSLARFERGRSAPCDAVGPLPSSPVARSRSSGATPASAAKPPLGGGRLRGASSDVSDATLEAVEEDPRCLIRNLDDGSEFLVREEFGLREVGTGRQLTMEEFELFIGRSPIVQELMRRQSVVNSNSNSNSQSGASTPIERSSSGSSNGGARYKRRNSWLRSLRSAAGSMVTYTRDRRSSDEKDTSSDKGGHRSSSATDDSQDGVAHHGPDRVKVRQYGKSYKELSGLFMNQDIKAHSGSIWSIKFSPDGHFLASAGEDCVIHVWEVLEWKMIEEKGLEENGVFDPESMLVSTASEGSHREKKLRAKAVHNQRSVSSDRLMVPEHVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHVSSTYCLKTFSHSDYVTCIQFNPVNDKYFISGSLDKKVRIWSIQERKIVDWIDLHEMITAACYTPDGQGALVGSHKGKCHVYDISGSSSKVIITSADSRIRVIDSFELVCKFKGFRNTNSQISACSAVKGRYLISASEDSHVYMWRCNYDSEPNTKKGIVSITNTHEHFHCEGVTVAVSWPCASSTMTSREWPENGLSGSELDKDQEMPDAQHQSNIIRNTNPNHNGDTSATWSEELMTPTKQSPRSSASHPMEGDQAPSHSAWGLVIVTAGHEGHIRTFQNFGFPVRV >OGLUM02G25910.1 pep chromosome:ALNU02000000:2:26727160:26736907:1 gene:OGLUM02G25910 transcript:OGLUM02G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSMVYFGNTSIGEVEVWPSGDASLAAAAWAREIRVDRLSPPSERCQPLAVMHTVAVGARCLVMESRLPKAADEPPQPLVAMHAACLKENKTAVVPLGEEELHLVAMTSRRNLTNHACFWGYKVPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTTRSFEDRIDALQRKLSNETDPQRISGMIAEIKRYQDDKSILKQYIEGDQVYDDGKMYKVQPELVPPLSDNHQPMTRPVIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLRKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHMVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVFYEDEINEIPSAPDVGNYLISEDEIVATVNGNRDPLAFDGMADAEVERRMKEASGNAQAFTTTAANFVMPVLPGQNFVSSSVAPVAPSLGMVPLSNNQGPPPPFTQPVAQLSLSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPTPPLPAVPPVQVPVPPVQPHGNWFPVEDGMNPNNLNRGSAGFPLESETMHYDKKQPPHPFFHGGENPISSDRFSYQNQRYPSQLPHSEDHRVLQNHAPSRYRSFPGEELATRHVSSSQRNNQIVPGQHFARHAGSSAGILEEIAMKCGSKVEYRSALCDTADLQFSIEVWIVGEKVGEGIGRTRKEAQCQAAEISLRNLANKYLSSDPNKMTGMKENGFGSNTNIFGYPGNSRDDVLPIASTSEETRFVKMGENNSRKAGGSIAALKELCTAEGYNLVFQARTSPDSSVGKEAYAEVEVCGQILGNGVGITWEEAKLQAADEALGMLRSMLGPLAQKRSSSPRSLAPSFDKRFKPDFPRAVQRVPYGRYSRIEGHVP >OGLUM02G25920.1 pep chromosome:ALNU02000000:2:26738915:26739337:-1 gene:OGLUM02G25920 transcript:OGLUM02G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNYVDRCHRYSWRLDLAAPKLAAAGSGRSTPEHMGRRGEGDVVSISFRWEWGRARGTRARGEAGPREGRRRETALPAKGRACRCAGERFLSSKQEERNEKVMAEDGLWLS >OGLUM02G25930.1 pep chromosome:ALNU02000000:2:26739782:26741529:-1 gene:OGLUM02G25930 transcript:OGLUM02G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMKRCFCGSQENIKELLNLKNVQLFSYREIRAATNNFDDGNKIGRGGFGTVYKGTFEDGTAFAAKVLSAESEQGINEFLTEIESITEAKHANLVRLLGCCVQRQNRILIYEYVENNSLDNALQGSAAGVTDLSWSTRSDICMGVAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYIPKIGDFGVAKLFPDNVSHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLILEIISGRRMSQTIRSDMFLVRQAWVLHEQDSLLDMVDPSMKGGYPEEEALKFIKVALACTQAKPCSRPTMRQVVKLLSRPVCLEELEMLCPGFVGDGHTHDAAAADVGSPGVMVVSPALSPKMRWPTGTATTISTEH >OGLUM02G25930.2 pep chromosome:ALNU02000000:2:26739780:26741550:-1 gene:OGLUM02G25930 transcript:OGLUM02G25930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWIPRKYQREIRAATNNFDDGNKIGRGGFGTVYKGTFEDGTAFAAKVLSAESEQGINEFLTEIESITEAKHANLVRLLGCCVQRQNRILIYEYVENNSLDNALQEISIKFPQLCSIWSNGVLTNLLQHLGSAAGVTDLSWSTRSDICMGVAKGLSYLHEEHEPSIVHRDIKASNVLLDRNYIPKIGDFGVAKLFPDNVSHVSTRVIGTTGYMAPEYVVHGQLTKKADVYSFGVLILEIISGRRMSQTIRSDMFLVRQAWVLHEQDSLLDMVDPSMKGGYPEEEALKFIKVALACTQAKPCSRPTMRQVVKLLSRPVCLEELEMLCPGFVGDGHTHDAAAADVGSPGVMVVSPALSPKMRWPTGTATTISTEH >OGLUM02G25940.1 pep chromosome:ALNU02000000:2:26744072:26744694:-1 gene:OGLUM02G25940 transcript:OGLUM02G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRFRHVPTERGGSAGGESEDLSGGRGLKCDNCASRLSHAARRTPNWGGGWRGCSAESAPWRALSPAAASTTRVRDVEEGRCGATSCVSGGGEDGRAAATAHAFVAQHPYDAKEIQSADAAMVAELTKLSDHNWRFAKDPVDTAKSVVVGSAVLAEHADEQHNCRPEKK >OGLUM02G25950.1 pep chromosome:ALNU02000000:2:26746243:26747892:1 gene:OGLUM02G25950 transcript:OGLUM02G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLSHVVSDLCIGKPPARVLPPSTPVAAALAALRTGDDPFVFVVDADEALRHSRGKKIAAGCVVVKVSVADVLCYVCGDADNLSDPAAALGRPVSALAAAVHAGGGDHHGAALRVDSHTSLLDAIDALLSNDAQTLLVPLHAHAARSRKHHHVHVSGCSPANPAAATDYCVLTREDIVRHLFSYSISLFAPVAARTVASLGLVRRDVHAVHADDDALDAIPLLRRSIADGTAVAVVADDDALVGEICPGVLGSCDIESASAAFAALSAGDVMTYIDCSLSPPEFLLRSIRAQLKGDDAASSLPLSPSSSSSASSDEDSSFGRARRARRSSSGSFRWRSTKDVAACHAGSSLVAVMAQALAHRVGYVWVVDEVSGALTGVVSFADVLAVLREHLRAGDTQMN >OGLUM02G25960.1 pep chromosome:ALNU02000000:2:26751363:26758589:1 gene:OGLUM02G25960 transcript:OGLUM02G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSSSAPMLIRSVLFVSLLSAAFVFDSGEAGAAHRVVDPEWHPATATWYGSADGDGSDGGACGYGTLVDVVPMKTRVGAVSPVLFKGGEGCGACYKVRCLDASICSRRAVTVIVTDECPGGVCAFGRTHFDLSGAAFARLAVAGHGGQLQNRGEISVVYRRTACKYGGKNIAFHVNEGSTTFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMKHIWGATWSLTPGPLVGPFSVRLTTLTTRQTLSAQDVIPKNWTPKATYTSRLNFA >OGLUM02G25970.1 pep chromosome:ALNU02000000:2:26759370:26763435:1 gene:OGLUM02G25970 transcript:OGLUM02G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase family protein [Source:Projected from Arabidopsis thaliana (AT4G04930) TAIR;Acc:AT4G04930] MGAAAGDGREEEGVMATDFFWSYTDEPHASRRREILAKHPQIKELFGPDPLAFLKIAAVVSLQLWTATLLRDASWVKILTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSYNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDIPSQAEAHAVKNTLSKSVWVVFQLFFYALRPLFLKPKPPGLWEFTNLIIQIALDASMVYFFGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFNPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLYKVREIAPEYYNNLKSYKSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >OGLUM02G25980.1 pep chromosome:ALNU02000000:2:26763924:26776725:1 gene:OGLUM02G25980 transcript:OGLUM02G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRFLLLLFIIGLFLSSHHEGYIYFTFIDTLLCRNGSAYSLRGKRHSSSQISPGELLESIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >OGLUM02G25980.2 pep chromosome:ALNU02000000:2:26765111:26776725:1 gene:OGLUM02G25980 transcript:OGLUM02G25980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRHSQTPSSDDETRALNALLDAFSSAFSLDDIATAYCRANGDVNRAGDLLTELEHPMAKSNEVDSSVGTIHPPSGKAIEENSTESSGQAKSREKMQKSSASFGTVSSMLGKGSTRATVPLMNRASGKEKPPMVELPEYMRDDFNGKVDKSDSAPKRETLNNRDIEEFLFSMLGEGFKLSMDMIREVLGSCGYDIKKDAAVECSSTKGSCLESQSTFRFLLLLFIIGLFLSSHHEGYIYFTFIDTLLCRNGSAYSLRGKRHSSSQISPGELLESIFTVPERSEEEPIGKRYELGANRNRVPDQKPVVEPLEDISSETNCYPVKVILSKELVAHNEEDYQNYRRAAKQHWDMMKQYYEKAVDAFREGNQKEVEYLLGEGKHYYMMARLADEKSSAEIVKSKKVESKNELCLDLRGQDPANVANLVRLHLRQLSNIPSFEYLKVITGAEDGSFKSAQRRRKVMKYLEKKSIVWTEEESNPGTILIPINQKQDQQD >OGLUM02G25990.1 pep chromosome:ALNU02000000:2:26770912:26771766:-1 gene:OGLUM02G25990 transcript:OGLUM02G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCTSGGSDSDKSLAAGDEPVVRVRGEVEAEAAAAAAAEAQGGGCFDCNICLDFATEPVVTLCGHIYCWPCIYEWLHPGGDDDGSNGDASSTRRRPCPVCKAAVSPDTLVPLYGRGRGGSSKRARSGSAIPRRPIVHREPVERQSDRLGDDGGGHRHGSTGSSPPVRSPWHANHHAAAASTPAPARLFDVVYPPPPAAVGGVGMFHSTSTTTGGMLGGMALAVLPWVSRGQSPAAAAAASAYYTSPYHMSPRLRRQHMEVERSLHQIWFFLVVFAVLCLLLF >OGLUM02G26000.1 pep chromosome:ALNU02000000:2:26788537:26796216:1 gene:OGLUM02G26000 transcript:OGLUM02G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGAREAAREKADGHPCHAPPPSSSCGGETERRRGAPRTPASEASSQPRISLRATSHEPPSEIRISAPAYHRSGNEAACSGAARQGGRREEEEEEEEMVHGTLEVLLVGAKGLENTDYLCNMDPYALLKCRSQEQRSSIASGKGSNPEWNENFVFTVSDKATELLIKLLDSDTGSADDFVGEATIPLEAVYTEGSIPPTLYNVVKDEHYCGEIKVGLTFTPEDVRQRGLPEDFGGWKQSR >OGLUM02G26010.1 pep chromosome:ALNU02000000:2:26789121:26792740:-1 gene:OGLUM02G26010 transcript:OGLUM02G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIIPRPSGGPAAPAYLSTSLSLIPTRLVAFLTEPATATATATATARPHPPAPMASSALAVSVAKPAASPPVAVAAVTPQRRLLPQCRGVRAAPVVRLRSGRARGVSVVCAAQGQETSFQVPDVTKSTWQSLVVESELPVLVEFWASWCGPCKMIDPVIGKLSKEYEGKLNCYKLNTDENPDIATQFGIRSIPTMMIFKNGEKKDAVIGAVPESTLVSSIDKYIGR >OGLUM02G26020.1 pep chromosome:ALNU02000000:2:26798390:26799352:-1 gene:OGLUM02G26020 transcript:OGLUM02G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGDGAPLPVVMFLVVVLLLANEVVTLGYGCRALKQADCAMAATPKHGGTVLMRAAAPPGPGESRAAVYGRQTKECWPKFVKEHEKNRFCSIDQGCQPSDNCHCLEES >OGLUM02G26030.1 pep chromosome:ALNU02000000:2:26802967:26808842:1 gene:OGLUM02G26030 transcript:OGLUM02G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKLTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >OGLUM02G26030.2 pep chromosome:ALNU02000000:2:26802967:26805809:1 gene:OGLUM02G26030 transcript:OGLUM02G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKLTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >OGLUM02G26030.3 pep chromosome:ALNU02000000:2:26802967:26808842:1 gene:OGLUM02G26030 transcript:OGLUM02G26030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKLTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >OGLUM02G26030.4 pep chromosome:ALNU02000000:2:26802998:26808842:1 gene:OGLUM02G26030 transcript:OGLUM02G26030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRAVTLHHRSDSGERLVGNVVPDEAESGEEAEASSKVLYRASFQELMPNYLQYDTIIWAVISLLLVLAWGVGLLMLLYLPYKRYVLKKDILSRKLYVTENKIVYKASRPSYIPFMGIVKKEIEVPLQLVVDVIIEQGCLQSVYSLYTFKVESIASGKPAPLDELQFHGVHNPDLLKTVIIREASKRIQEHQSWKYRIYSGEGPSDVTPIDRLDSPNAKLTASSRHNFQESKGRIPESDSILLHKLEEVCQSVKNLESLLLGSHSRA >OGLUM02G26040.1 pep chromosome:ALNU02000000:2:26806194:26807961:-1 gene:OGLUM02G26040 transcript:OGLUM02G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGDRQERCLPSIHLRCRPCHRHLTTFSQGVHSAFAIAMGVHSALSVQCISFPTCRLCRRLNHTDQRHIQQAKSVRVGVADTTTQAQALHRLVSRGSPRPAVPCRGEPSISAAPSKHHPKAVQFALATTAHKHTRTRRGCLLSLPAFPLLCSPLPARALGSCIAPPSTEMVAAAEWWEATIAAYTGLSPAAFFTAVAVAAALYVAVSGLLTRRPPPLPRRQEEARASQPLPPPVQLGEVTEEELRVYDGSDPNKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGRDASRALAKMSFELDDLTGDVSGLGPIELEALHEWEGKFMSKYVKVGTIKKIIPVSEGDAATLPTHGGTSDRGIDVGTIESNRVPEPEENGATSHADAVEKSDADVSTHSHEDVVEKSDELLESGVDTRSTHEDAVGKPKEETEDADVHKTISTEVAGEGKGAPDEDERNTCSLEDAIEKPKETAYIDVKDTSGHEVAGEPKEAPDVDGNNTSSNQDAVDEPKEASHEAKEA >OGLUM02G26050.1 pep chromosome:ALNU02000000:2:26811926:26813950:-1 gene:OGLUM02G26050 transcript:OGLUM02G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPELIMRFLPLILFLSLGSFHLAAAAVDDQFTFDGFAGVNLTLDGTAVVTPGGLLMLTNGMTLLKGHAFYPSPLRFFHEATSGGGSSTVRSFSTAFVFGIVSEYADLSSPGLAFVVAKSRDFSSALQSQYMGLANARNNGNASNHFLAVELDTIVNAEFGDMSDNHVGIDVDGLASAAADDAGYHDDRTGAFVNMSLLSRAAARVWVDFDARTSLVNVTMAPLELPKPRTPLLSAAVNLSAVIDDEAYVGFSSSTGVVASRHYVLAWSFKMDGPAPSLNVSKLPALPVTIARAPSNVLKILLPIASAALVSALAIAVLVIHRRRRRYAELKEEWEVAFGPHQFSYKDLFRATNGFSDERLLGFGGFGRVYKGVLPVSRVEIAMKKVSHESRQGMKEFIAEVVSIGQLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYAENSKILSWAQRFRIIKGIASSILYLHEDWEPVVLHRDIKASNVLLDAEMNCRLGDFGLARLYDRGTDPHTTRVVGTIGYLAPELGHTGRPSKASDIFAFGVFMLEVTCGRRPVSQDTNGGHLLLVDMVLEHWRQGTVTDSVDPRLQGDFAVEEASLVLKLCLLCSHPLPSARPGIRQVVQLLDGAMPLPELSQTHLSCNMLALMQNQMGNSCSVASSVAGNISDIPRAR >OGLUM02G26060.1 pep chromosome:ALNU02000000:2:26820588:26826875:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPSPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGMDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMADTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQGDHSLHHACEEAQLNSSKRKSLSLAAGRWGNDVAIGATTVGEDFELAAGSGNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNVISLDVAYVFALELSYTMGPGSNNPFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGARAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >OGLUM02G26060.2 pep chromosome:ALNU02000000:2:26820588:26826875:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPSPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGMDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMADTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQGDHSLHHACEEAQLNSSKRKSLSLAAGRWGNDVAIGATTVGEDFELAAGSGNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNLSYTMGPGSNNPFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGARAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >OGLUM02G26060.3 pep chromosome:ALNU02000000:2:26817493:26820582:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIVRQTFIRVHGSLHVSPVAMETRVAVVTGGNRGVGLEICRQLASNGILVVLTARDEKKGSQAVKALEQSGLSGVIFHQLDVTDRSSIMLLVEFIRTKFGKFNILVNNAAIGGTTIDPERLRELLEQNPKASFQEDLMGFLNSYMGSLQQNYEMAKECLEINFYGTKDVTDCLMPLLLSNSGKVINLTSKISQLQFISNEGVIKVLSDIDNLSDEKLKDVASIFLKDFKDGNLEAHGWQPVVSAYAVSKTLVNAYSRLLAKRHPSLEVCCVNPGFVKTDMNYGIGLISVEEGANAPVRLALQEACSDSDGGLAKDQRGADLAGAGKPLAAHSRSGASGRPRRPLAAVAVAPSRRSSNLRA >OGLUM02G26060.4 pep chromosome:ALNU02000000:2:26823013:26826875:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGSNNPFPAEKRVAVVTGGNKGLGLEICKQLAANGVTVVLTARSEERGARAAAALRQLGLSEVLFHQFDVSEPSSAAGLADFIKHKFGKLDILVNNAGILGVTFDFGNLDLNKAIEGKSANETLEWLMQHTVETAENAEECLKINYHGNKKTIQALLPLLQSSPDGRIVTVSSVFGQLSFFSGEKLKEELNDFSKLSEERIDELAELFVRDFKDGELESRGWPARADAFAAYKTSKALQHAYTRVLARKHASSSSSPLRVNCVHPGYVKTDMTLGTGELTVEEGAAGPVALALSPPGGATGVFFIQTEPASFV >OGLUM02G26060.5 pep chromosome:ALNU02000000:2:26820588:26823919:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPSPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGMDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMADTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQGDHSLHHACEEAQLNSSKRKSLSLAAGRWGNDVAIGATTVGEDFELAAGSGNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNVISLDVAYVFALEWNTVQRFVKLRTSDV >OGLUM02G26060.6 pep chromosome:ALNU02000000:2:26820588:26823347:1 gene:OGLUM02G26060 transcript:OGLUM02G26060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAVATFMEITSCGSQEAAVQHLASCRWDLDAALNRYFIFGGVLAAAPSPAPVADVAPPAALDDGVRAPIPARSDTLYGDMYGGARRRDRDSRPAPSVWEDEPPAVTPSVAPVYIQMPSANPAVAPVQIQMPSETAASVPAAPVPVHAPSQPPVVAIAVKKEQNEKDEEEDNGRDDDDDGYSDSDYGMDTDDDDDRYDECIEKTPSPPPKSTQQQATTLAEMYRRPRELMHDADFHSTKVHAARQDRWLLLNLQSAGEFASQMHNRDLWADQVIARVVRESFVFSLLENSYGDDDDEASKVCCFYKLHDQLPAVLVIDPITGQMLAKWSGVIQPETFLVDIEEYSKSKPSMRSKPYIFQRKPMPVRSAPAASEQHHQEPAMADTAAPMDIHNVQEPDTAAPAPAPVVEHGVQQPATAGAGAQQPADEQDDDDQPMEGEKMYRMRVRFPDGSVVTKEFGCKRRVAVLFNYCRSVLHDKPQAFKIKRLLGVGGAFHELPQARGSRCRWPLAAGGVDDVAIGATTVGEDFELAAGSGNTARKCGRRRLGLELAGGSGATTRNNRYQRGPELGGGGGVNVTRRYR >OGLUM02G26070.1 pep chromosome:ALNU02000000:2:26829514:26830846:-1 gene:OGLUM02G26070 transcript:OGLUM02G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCKACDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSMCNYSSMDGVLYCKTHFEQLFKETGSFSKKFSQGGKSSEKSDQGRAPSKLSSAFSGTQDKCAACQKTVYPLEKGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQSKQKESEEAAPVAATEASEKEQEVPPQDAT >OGLUM02G26080.1 pep chromosome:ALNU02000000:2:26832190:26832441:1 gene:OGLUM02G26080 transcript:OGLUM02G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHAARERIRYQQQQMQVAAAVTGERRMQGLGPKRSSNKDRHTKVDGRGRRIRMPALCAARIFQLTRELGHKSNGETVQWLL >OGLUM02G26090.1 pep chromosome:ALNU02000000:2:26832630:26832869:1 gene:OGLUM02G26090 transcript:OGLUM02G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGYVAVAMPGLELGLSQEGHIGVLSARSLSQFYHQVGGASAAGQLPHPHYHHQHHQQQQEDGEDDRDDGESDEESGQ >OGLUM02G26100.1 pep chromosome:ALNU02000000:2:26849492:26852881:1 gene:OGLUM02G26100 transcript:OGLUM02G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKSCEKPRPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPTKAGLQRNGKSCRLRWINYLRPGLKHGVFSPEEEETVMSLHAALGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVESGGGKTSQGPPTTPASAASSPADSDDSHSLQQKPHEPANSDSSEPAHESSSASADSSCLTVTTDHPPVSRPHAAVTPKVMFADWLDMEYICGQVAAAPGLDAAGFAVVGGAAGDQQQQQQQVMSQDGSVHQADGPSCGVDDSSLQQQQQEGFGGNGGCWDFQEQFDSIDQMQASGGGGGGGFCDLLSMSDFDLWAELERTA >OGLUM02G26110.1 pep chromosome:ALNU02000000:2:26855528:26862934:1 gene:OGLUM02G26110 transcript:OGLUM02G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRLTKLYIANNLTEFQRVFTFSKGNKKTILVNTSSSGFIMDLPWHMGTFIFGVLFEMRQTALPMDTLCLYHFG >OGLUM02G26110.2 pep chromosome:ALNU02000000:2:26855641:26862934:1 gene:OGLUM02G26110 transcript:OGLUM02G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYNRGPPLQQQHNHHQQQQTSAPHHQQYVQRQPQQHHHHNHHLQHQQQQQQWLRRNQIAREAAGTDRNSEPKAVAQSPAVDGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQEKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTAETYLHRVGRSGRFGHLGLAVNLITYEDRLTKLYIANNLTEFQRVFTFSKGNKKTILVNTSSSGFIMDLPWHMGTFIFGVLFEMRQTALPMDTLCLYHFG >OGLUM02G26130.1 pep chromosome:ALNU02000000:2:26878030:26879595:-1 gene:OGLUM02G26130 transcript:OGLUM02G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEALKEAGATPAAANAGEEKAVIPAASTSPVISKTDDDTEPPADDSKALVVFVEKVADKPHAEKATATATPTRTSNDRDIALAKVETDKRESLIKAWEENEKAKAENRASKKLLDIISWENTKKAVIKTQLKKKEEELERKKAEYAEKAKNKEAIVHKEAEEKRAMVMARRGEEVIKAEEIAAKYRATGVTPKKHIGCFGA >OGLUM02G26140.1 pep chromosome:ALNU02000000:2:26886105:26888482:-1 gene:OGLUM02G26140 transcript:OGLUM02G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVRAAPFTYVAHALAVTAAAMVLVWGIHFRGGLAFEATNKNLIFNVHPVLMLIGYIILGSEAIMVYKVLPTWKHDTTKLIHLILHAIALVFGAVGIYCAFKFHNESGITNLYSLHSWLGIGTICLYGIQWIFGFVAFFFPRASPSVRKGVLPWHILFGLFVYILALATAELGFLEKLTFLQSSGLDKYGAEAFLVNFTALIVVLFGASVVVAAVSPARVEEPHEYAPIPES >OGLUM02G26150.1 pep chromosome:ALNU02000000:2:26891639:26892388:-1 gene:OGLUM02G26150 transcript:OGLUM02G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGGQNPRGNNAAPFGRYSNNHGAGFRRGSTGPNAPRNHHHPAAAAPPHVVMTPQQRDEVLLRAGRLAAEYLVNIGELPPDALLQGRHPPPPPHAPFQGYQQRQWPPPPPPRGHPWHEGPHPQHGFQASRSSAVGPIRNIAKRAVVRGGGGGTFRGRGGRFPSRRPGASGAAAPETAGEPGHGQGVAPGAGVGGVVGVRGDGSDATAPAGPSSGRQPSAAAQPGGAAHGQPEKGQPGGHSNSDGPVGL >OGLUM02G26160.1 pep chromosome:ALNU02000000:2:26894056:26901855:-1 gene:OGLUM02G26160 transcript:OGLUM02G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFLATDYFAPSSSSAAGKALALEFFSFPSLPVPALPPDPHFLPFTSADELPAATVADDGLDPLPIASALSDFLAAVIPQALPVPTVPAADEGYGVINREKDEKGEGSRSDGLEISSVMKRWEQLKELRFEVVEVDLLMALQEDIASFGEEESGGGVMLLLRVPDMKIHLDFIDIETDIKIRYQSDLAESVYQVEKVPVKDNDGNGHSSLREDCCLEIAALDHGAVIPRLEVSRNSWELDDCLTETDRYGVFDNVVRHLDEAQIQHSVFKSTEFLRSTDMDMLTFVCEDAPCHDIQVDKPAEIKAAVEMDVVRINGNILLEKNSALYPLKPDGTCSDLPCSILLEEVQIIDFPSDNVFKMLVQSETTKMNISDEIFKDDFDPARRLYESMVSCELALVDDTFRSLPTPILNDDIAVRSRVPPIQEILCSLKPHPLSASDGIYLDWHLLLEGPCNREICCSYASMVEEAKTCHLGSELQRSCQSTSVFVSDFLEDFQRSPKLQDEDKHSDIYVPAPLSHDPQKLEATQKCEQEGGTRNHSSMKRPSPEKSSSFPELISHSGDLNFYLNVRSATKSGTNNENTSTLDVPHSEEQALSLSTRAKVDKLIEIHPVSPSNLIQGLIEQIHASYTSALQESTYWRHSFSDGQGLGISKQKLLELITGEGSEGSYNHCEHKDKMELIVLYALKQVAYYLCFFGLHAAHLYISNLTRSLENTPERLKHILWSISEAQRKSERQLFESHPSLSCIETILRSNKQIDQKILIVADRAFWLPLGQKLASMRMTFVEFGQNPATTFVDLVNKTNSTAWVLEELLKSDCILLDNKNIPASFPFDKFGIILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVKVDGKDFPVALVEDNHKDQDLKSTLDKVLLTLQKDLQERMNKMRIVDSLNFIPATNQLQGLQEKRSKHFAADATKELLPDDQPHRLQNLNKKNTFDSHNVVLADEQLHIQQALSNKPVVNSQCVPTVEKSSSTSSVSANVLKDPQENQSTTDLPSCVKNDCIMPGRLSVPDVVIVVNTGNHGKTMLVSRRSSYQQILALEKGGMQVVERDIDLPVDLILSAAVCLVWYETALFEANEPTTSAETSGIKENVENIATNILMSVSFSFTGCIMVFEGEADFLSAVMDSSDSLYTAAASLDMNLQLFFSHTPRSTDEIILNCITNVTSCYKAPLPDIPESESLAESFLTSFPSINPVSAYMLLSSGGSLVEFLSWPHERRIQAVGKYLLSPKIISLFNALCKFGELGESRSVMTECSSVDSDISSAFLQSPRKRKQRSLQACAVPTNKLLFSDSLNQIPGDYAEHAEVFSPSKLRKFSDMDNTIPELPDVFTFDESLNMRSEGFSYQQKKHDVDAIPGNQVINDDFSNGLTPNNQAYNRRTGNMVDTFDLPWQPEFGGTHPSKSTFHTSRPSCSRTHSNPVFSTAFEINDDPGEWNISGGTKQTWKGLAHGGTVDDSYRYDMDNRYHEPRDEIMQHPASSLAFQKLDFGSHAISQGSCWEIDYLRQMSAKRKARQERSRCSNSPGMSIPRMRDSNSKILNPPPKESFRYRGDRDTPSRDQSPSIGTQHYGKGKEGAKAQNRRARKDFNVQPTSHKKRIEPSIDPTWTPIDKRARQKLSFVTYGKEKQSKLVWRNQNSPGVGCGFRKRFREEGHPRQP >OGLUM02G26170.1 pep chromosome:ALNU02000000:2:26903171:26908441:1 gene:OGLUM02G26170 transcript:OGLUM02G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKDSNASSYRGFVVQGLPFLSVRPRPPGGLQNPYQEQKANSTSQPEADLAEEATANNARTASTIEKAEEGEGGAGGRRVGGAAMASAAAVFPDGRRWCKGGSSSSSPVTTAIFLFFFVVVVGVLVSARWITTTSHLSITNLDEWRTKTAILTSTQATSIPATPTAPPPPRRAYSISCSSPPLRRDPAVPKNISQTLYLALSSRPACARVPEPQPLPPTTTNSSCPAYFRFIHEDLHPWRAAGGITRRMLERARDTANFRLVVLRGRAYVERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVQADRYQGENATAMPPLFRYCGDDETLDVVFPDWSFWGWPEINIKPWDALQKDLDIGNKRVKWVDREPYAYWKGNPDVATKRKELDWIKESKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACNSMTLVVTPKYYDFFSRVLMPTQHYWPVRDDNKCSSIKHAVDWGNSNKKKAQKIGKQASNFIQQELSMDYIYDYMFHLLTEYAKLLRFKPTKPPEAIEICPELLACQAIGRERKFMEDSMVKSANDAGPCDLPPPFSPEEFKELQQRKEKSMKQVETWQQKASQT >OGLUM02G26180.1 pep chromosome:ALNU02000000:2:26919720:26922291:-1 gene:OGLUM02G26180 transcript:OGLUM02G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAKKDSIFGGLASGAPVGADRFPFDPREYSPAMSSDSRELLGIDPPELIFPFELKKQISCSLHLTNKTDEYVAFKVKTTSPKKYCVRPNNGIVAPQSTSDVLVTMQAQREAPPDMQCKDKFLVQSAIVTQELTPKDITGDMFTKESGNVVDEVKLKVVYTQPHPTSLNGGSEEGLGSLSYQEATKGSRESETVTSEPLALISKLKEEKSSAIQQNMKLREELDLLRRQMGSQHGGFSLVFVLVIAILGILLGFLIKR >OGLUM02G26190.1 pep chromosome:ALNU02000000:2:26930345:26932722:-1 gene:OGLUM02G26190 transcript:OGLUM02G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSAVFPLDHLAAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAPAPAPANQLHFGPSLLSPTSPHGLLDEVAFQTPSLLMEQAASASLSSITGRSSSSCASNAPAMQMPPAKPVQQEPELPKNAPASANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQGFKKTFKPQDGSEDILLKDSLYAAAAAAAAAAANMGVTPF >OGLUM02G26200.1 pep chromosome:ALNU02000000:2:26936194:26936533:1 gene:OGLUM02G26200 transcript:OGLUM02G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVESVDPAAMRQDLAAARQTACPTAGVAGGGGEEDGRIWLQIWRVLSRVGVAWNPVVVYLRYASDIWWLPLWMLWFLRAPRRKPCSASSASNGDALGRRSPS >OGLUM02G26210.1 pep chromosome:ALNU02000000:2:26947089:26950872:1 gene:OGLUM02G26210 transcript:OGLUM02G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentapeptide repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G12250) TAIR;Acc:AT1G12250] MAKAHKEDNGSPLQALGPQGALSGSFPRIPRVVPAVARRLRGRLRVRARATTRLTSPTPTLFFCFLPRRESARAHAPAMALPTTSPFAAAAARPCAFPTPWRCRSPPLRRLPHVSCQANRGGSRDGNSLSTSPAAAAAAAAASPPPRWRAAVSAALAAAIVSAAPAYADLNKFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTAADMRESNFSGSTFNGAYLEKAVAYRANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLTQKQALCKYANGTNPLTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPPKLLDRDGFCDEATGMCDAK >OGLUM02G26220.1 pep chromosome:ALNU02000000:2:26951170:26952658:-1 gene:OGLUM02G26220 transcript:OGLUM02G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFSHVPPGFRFHPTDEELVDYYLRKKVASKKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNDWYFFSHKDKKYPTGTRTNRATGAGFWKATGRDKPIYARCCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGTTPEEGWVVCRVFKKRVATVRRMADGSPCWFDDHGAVGAFMPDLSSPRQLLPHHHHHHPGSSAALYHGHHHQQLQQMYGHCKPELEYHHLLPQEAFLQHLPQLESPKPPPPPPAAAAYIGGHLGSSSSTALTTHDDEASGSAAQQQPPSLEAVYMAGAGVGIGVDASVTDWRLLDKFVASQLLSKESMSSYGSHPAQVFQAADGGKHEEALDYASTSAGSGGGEADLWK >OGLUM02G26230.1 pep chromosome:ALNU02000000:2:26970037:26970609:1 gene:OGLUM02G26230 transcript:OGLUM02G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRHRGFRLGRKLLGLWRWALCHRRRRRGRGYLRLQPCPGAAGGRSPLLAAGSVKKQPPPQQQQIVVHQRGGEKAVLKWGRSLARRMRLLRRRGSERLLEESPGEATTPKGQVAVYVGGGEPGESMRYVVPVVYFNHPLFGELLREAEEEFGFAHPGGITIPCAAARFERAAAVAAAGGGGRKVPTWW >OGLUM02G26240.1 pep chromosome:ALNU02000000:2:26971155:26972230:-1 gene:OGLUM02G26240 transcript:OGLUM02G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNWGAGAGAVTTTPEPLQRQTSCTLFPASATVPAWNKGLCKHLFGRLNSTARATCVDVACCIAKLTGLYGLRKYFTTAHASAILEVRKPAGSSRSLELHSLINSCTYWSNTSPMEYKNI >OGLUM02G26250.1 pep chromosome:ALNU02000000:2:26975964:26977746:1 gene:OGLUM02G26250 transcript:OGLUM02G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLSGCVVATAVVLVLISLGAAPPAAVDAATGTFIYAGCSPSKYEPNTAFQSNLNSLLSSIASTASSGAAYNSFTAGGGAGPDPAAGTAAYGLYQCRGDLSPGDCVACVRQTVARLGAVCANAYAASLQVDGCYVRYDAADFIGRADTTTAYRKCSSSTSRDGTFLSSRDGVLGELQAAAGYKLSTSGTVQGVAQCLGDVPANDCTACLAEAVGQLKGACGTALAADVYLAQCYVRYWANGYYFRPNSDNSEDDVGRTVAIIIGILAGLALLVVFISFLRKAFGLQSAKKKRLICV >OGLUM02G26260.1 pep chromosome:ALNU02000000:2:26982405:26987061:-1 gene:OGLUM02G26260 transcript:OGLUM02G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRCFPSTTSVSRRVVGVVLSRAEKRGGIWRRGAKRTWEPVIRMPGEVVEEEVATVPRGSEGTEEEEKDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIYSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREIGDTVMHRKHLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >OGLUM02G26260.2 pep chromosome:ALNU02000000:2:26982403:26992750:-1 gene:OGLUM02G26260 transcript:OGLUM02G26260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLLRRSSGKASSLVPLLYADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIYSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREIGDTVMHRKHLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >OGLUM02G26260.3 pep chromosome:ALNU02000000:2:26982405:26987061:-1 gene:OGLUM02G26260 transcript:OGLUM02G26260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRCFPSTTSVSRRVVGVVLSRAEKRGGIWRRGGASRCWNPFSLSHALLLALSAAAAGAGAKRTWEPVIRMPGEVVEEEVATVPRGSEGTEEEEKDGVGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGLKEENIVVFMYDDIANNILNPRPGVIVNHPQGEDVYAGVPKDYTGDEVTAKNFYAVLLGNKTAVTGGSRKVIYSKPNDHIFIFYSDHGGPGVLGMPNLPYLYAADFMKVLQEKHASNTYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMEPSPPSEYITCLGDLYSVSWMEDSETHNLKEESIKKQYEVVKKRTSDMNSYGAGSHVMEYGDRTFKDDKLYLYQGFDPANAEVKNKLSWEGPKAAVNQRDADLLFLWRRYELLHDKSEEKLKALREIGDTVMHRKHLDSSVDLVGKLLFGFGNGPSVLQAVRPSGQPLVDDWDCLKRMVRIFESHCGPLTQYGMKHMRAFANICNNGISGASMKEASIATCSSHNSGRWSSLVQGYSA >OGLUM02G26260.4 pep chromosome:ALNU02000000:2:26987475:26992580:-1 gene:OGLUM02G26260 transcript:OGLUM02G26260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDLDDFLSFRSFSSSVTSIGSGSGSGSTSLAFASGCSCGCDGGDELGCDDSEASGLWILMLSMRPSTCIIRGSERYMLRLLGFLCTSRSICMKSELGPLEHLAYAVNAAGRRAARRGGGRLLGIGEAGLKALVGGVEGEALLVGGDGARGVAEAEVGGSEAGVPLSPVGLQLDGLLGVGERLGVAVDRGERGGAVGVEDVVPRGERDGVGEVPRRLLVAAGREGRITLRLRLVGHGTRRRSDRRRGLRKLLEGLWRRGRRRGRRGGKVWG >OGLUM02G26270.1 pep chromosome:ALNU02000000:2:26985886:26992036:1 gene:OGLUM02G26270 transcript:OGLUM02G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGRYEEAARHFTDAIALAPGNHVLYSNRSAALASVHRYSEALADAEKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPTNEGLKAGLADAKKAAAAPPRRPPPSGVDGIGQMFQGPELWTKIASDPTTRAYLEQPDFMQMLRDVQRNPSSLNMYLSDPRMMQVLGLMLNIKIQRPEASESSQPSSSPPSQPQEQPEAKAREVEPEPEPEPMEVTDEEKERKERKSSAQKEKEAGNAAYKKKDFETAIQHYTKAMELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALAKLAKTSKDYDIAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNQLFKEQKYPDAVKHYTEAIRRNPKDPKVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKAMETYQAGLKHDPNNPELLDGVKRCIEQINKANRGDLTQEEIQERQNKAMQDPEIQNILTDPIMRQVLVDLQENPRASQEHLKNPGVMQKIQKLVSFVIAEHDLRFESLATTILDFLKGS >OGLUM02G26280.1 pep chromosome:ALNU02000000:2:26997824:26998522:-1 gene:OGLUM02G26280 transcript:OGLUM02G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLGCHVGCASPETKQEETADPTAAPVVVDDVEAAAGGRRPGDGGGVNYVARAQWLRAAVLGANDGLVSVASLMVGVGAANGTRRAMLVSGLAGLVAGACSMAIGEFVSVYAQCDIQAAQIERARGGKDADGGEEEEELPSPTMAAVASALSFAAGAALPLLAGGFVRPWAARVAAVCAASSLGLAGFGVASAYIGGAGVARSGVRMLVGGWLAMAVTYGVLKLFGMHGV >OGLUM02G26290.1 pep chromosome:ALNU02000000:2:27000766:27004228:-1 gene:OGLUM02G26290 transcript:OGLUM02G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDSAGGGGGGRAGAELMVPQWFHLPLHAPPPPASAEHLPEPPPGEPLVVDVYLTPSGGGGGAEAVVERWTVSCEPWSAGARGGGAAAASGEGLAVNRAYKRCITLLRSVYTALRLLPAYRVFRLLCASGQAYNYEMGFRVGSFAAPFTRAEEAAMSTRRFAPVETQLGRLVVSVQYLPSLAAFNLEICSLAPAMLITDYVGSPAADPMRAFPASLTEAASSAPAFPPRRPNSWAPSPAPWPYTPGQQAKFSPPPALYASPTPSPPTFAGGYLQSRLSGETAPMIIPGGGRGPVHNRNMSDPVRGFMLPPPSPKNIRGDSGGHETPMETGRTGIRMADLYTNLPSVPKIKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDLDFPFAVDDVDTPDSRPGSSGGKDVGDQASSSSHKSQDAAVGYLVHMLKSARPLRDSSNSPLTSRVESVEGGNVSSFMSRRTSDALEELESFKEIKENLLARSRSRMQESLDKSLRHS >OGLUM02G26300.1 pep chromosome:ALNU02000000:2:27014816:27019792:-1 gene:OGLUM02G26300 transcript:OGLUM02G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGDELVQWDKMGAAEAVNGGCGGAGKMDRIQVLVRLRPLSEKEVARGEPAEWECINDSTVMFRSTFPDRPTAPTAYTFDRVFHSDCSTKEVYEEGVKEVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVIRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISTVESSAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKELARLESELRHPVQSSSLETLLKEKDNQIRKMEKEIKELKSQRDLAQSRLQDLLQSVGDHDLNRQVQGKHSVRSPPSVGMPPSVSRDDSSQVSHDDSDLYKEVRCIESNRTGGNDQLDLSAGESSSPQDSNMNSGLHGNDSNASVNSRHSRPPGEAPITLEEHLENIRRPFVSLAKDLGSSTRNSSNLRVIGRSRSCRSLTGSTMFDDMEMDDCTPLNRSLVEFPGRPVESHRRGSALHYDAETDTLSRAGSMSSEISTFKDAKTNGSVACDTEFTGIGEFVAELKEMAQVHYQKQLGDQNANGKSIGLDPIEGVSQSPSRWPLEFEKKQQEIIELWQACSISLVHRTYFFLLFKGEAADSIYMEVELRRLSFLRDTYSRGSTPSNAIVGSLSTSPVASAKKLQREREMLARQMQKRLSTEEREHTYTKWGVSLDSKRRKLQVARRLWTETKDLEHVRESASLVAKLIGLQEPGQVLKEMFGLSFAPQQQPTRRRSSNGWRYGIPSFA >OGLUM02G26310.1 pep chromosome:ALNU02000000:2:27027093:27029331:-1 gene:OGLUM02G26310 transcript:OGLUM02G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVPAAHTECVHWHGKCTLSLDDRCPQHPNVKTHVPIILDMKSLNYTKWRIDIVEDGVVEKAVRMGKSSCTMCRLHLLEEEV >OGLUM02G26320.1 pep chromosome:ALNU02000000:2:27038034:27040559:1 gene:OGLUM02G26320 transcript:OGLUM02G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQALIPSTPPSAFSPASHFLHASSSSSSPSLSSHAVVAAAAAAMSSFAHHHHGSLVEKDGRMSALRSSLRPYEAAEEMAAAAAAGGPAAAWGAVERGAGMMGDGFSVEDLLDLEELCEVDRDGGEQGEAAAAAVVEKERSSDSHGSSVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPAAAAALAACGKPQHRRPHEGAASALLDPMRTPTICALSTEALVPVKSRRSKRSRASVWSLSGAPLSDSTSSSSTATTSSCSSSASFSPFLQYVDFPALVASDLLDEQPRSKKSKHGKNGKQKPKKRGRKPKHQQPPHLAAAAAAGGAALPATGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSLHSNSHRKVLEMRRKKETPVIVAAAAPAVASF >OGLUM02G26320.2 pep chromosome:ALNU02000000:2:27038050:27040559:1 gene:OGLUM02G26320 transcript:OGLUM02G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALRSSLRPYEAAEEMAAAAAAGGPAAAWGAVERGAGMMGDGFSVEDLLDLEELCEVDRDGGEQGEAAAAAVVEKERSSDSHGSSVVSYEPMPLLPPVMDLPAHDVEELEWVSRIMDDSLAELPLPQLPAAAAALAACGKPQHRRPHEGAASALLDPMRTPTICALSTEALVPVKSRRSKRSRASVWSLSGAPLSDSTSSSSTATTSSCSSSASFSPFLQYVDFPALVASDLLDEQPRSKKSKHGKNGKQKPKKRGRKPKHQQPPHLAAAAAAGGAALPATGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSLHSNSHRKVLEMRRKKETPVIVAAAAPAVASF >OGLUM02G26330.1 pep chromosome:ALNU02000000:2:27083001:27085053:1 gene:OGLUM02G26330 transcript:OGLUM02G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPSVSGRSPTSTTAPLCDICQEKRGFLFCKEDRAILCRECDVPVHTASELTMRHSRYLLTGVRLSSEPAASPAPPSEEENSSSFCCSADDAVPAPAAPATSHGGSSGSSSISEYLTTLPGWHVEDFLVDDATAEAAATAAAAAGISANGPCQGVTRIGGLQESTGYPAWMAQQQLCCDGLVAGDASPASRERWVPQIYADQLAAGSKRSRTSTASSYSYW >OGLUM02G26340.1 pep chromosome:ALNU02000000:2:27086809:27089322:1 gene:OGLUM02G26340 transcript:OGLUM02G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT1G77370) TAIR;Acc:AT1G77370] MAAARAAVPIAVFLLLVLAEADPAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKENPYIVELDLREDGREIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTANAHSNGQLQKLLGNSQSQR >OGLUM02G26350.1 pep chromosome:ALNU02000000:2:27089904:27096617:-1 gene:OGLUM02G26350 transcript:OGLUM02G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQHGSLGGLVAGVREEYESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEVDVPLISFPCNARVVPEPIGVVLIFSCWNLPIGLALEPLSGAIAAGNAVVLKPSEFAPSTAAFLAANIPKYLDAKAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQVAVNRIIGAKWSTCAGQACVAIDYILVEEEFAPFLIELLKSTLKRFFTEPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADPETLWIEPTIVLNPPLDSDIMMEEIFGPLLPIITVKKTEDCIAFLKSKPKPLAIYAFTNNEKLKQRIVAETSSGSVLFNDAIVQYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >OGLUM02G26350.2 pep chromosome:ALNU02000000:2:27091082:27096617:-1 gene:OGLUM02G26350 transcript:OGLUM02G26350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKPQHGSLGGLVAGVREEYESGRTKELEWRKAQLGGLIRMITEEEDAIFDALHDDLGKHRVESFRDEVDVPLISFPCNARVVPEPIGVVLIFSCWNLPIGLALEPLSGAIAAGNAVVLKPSEFAPSTAAFLAANIPKYLDAKAVKVVQGGAEVGEELMEHRWDKVLFTGNARVGRIIMTKAAKHLTPVALELGSKCPCIVDCLDSKRECQVAVNRIIGAKWSTCAGQACVAIDYILVEEEFAPFLIELLKSTLKRFFTEPEYMARILNEKHFHRLTNLLEDDQVKSSIVHGGNADPETLWIEPTIVLNPPLDSDIMMEEIFGPLLPIITVKKTEDCIAFLKSKPKPLAIYAFTNNEKLKQRIVAETSSGSVLFNDAIVQAKQAEEERAYNVGASSGLTVAPQRNPEHNTMEECGNRHGERGKSWEYR >OGLUM02G26350.3 pep chromosome:ALNU02000000:2:27089904:27091014:-1 gene:OGLUM02G26350 transcript:OGLUM02G26350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMDSPEWANASSEMRRAHDAPSGRKEAVGSAGPRPGSAVLGRKDRKDPNSKYGLDSVPFGGIGESGFGQYHGKYTFELFSHRKAVVRRSLLVEFMFRYPPWDEYKMGMLRRVFRFDYVSLVLALLAFWLLGIRR >OGLUM02G26360.1 pep chromosome:ALNU02000000:2:27100746:27101402:1 gene:OGLUM02G26360 transcript:OGLUM02G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKKPRVVVVGAGISCLTAAHRLCGAGGDKFEVAVVEAGDRVDCRILTSEFAGHRVEMGATWVQGVVGSPVYALARDAGAIGEEGGGLPYERMDGFPDRVLTVAEGGEVVDADTVAGPIEELYRGMIEAARDGEAGGGGGVEEYLRRGLRAYQAARSAGGGGKELEEVEEALLAMHINLERTDTSADDLDLTTEGEYRDFPNPEQAFVVSLTNGSHI >OGLUM02G26370.1 pep chromosome:ALNU02000000:2:27107171:27108985:-1 gene:OGLUM02G26370 transcript:OGLUM02G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSATPILLLELAAAVLLVLQRVAAADAVVTTFPGDAAALASLKSAVDAATVPAYSCLASWDFARDPCAAFPCGVRCYAPPNSSSYHRVTGVSLDPAGYSGTLPATVLASLPFLAFLSLASNRFHGALPAGSPLPPSLRVLDLSGNAFSGEIPASLFTPASSLEELYLSRNALTGAIPPQVASLGSLKRMELQHNGLTGTLPRMDAMRSLAYLDLSGNALSGSLLDAPGRLPGSLVSVVARGNGFAGPLQAAALAALPAMRVLDLTGNAVSGAVPGAAFAHPSLQQLRLGSNKLGAVEEAPDGGASSQLVELDLGGNRLTGRLPGCVAAMPRLAVVGLDRNMFAGGIPDQYAARAAADGPTDKWVPFVRLMLQGNFLCGALPSQLRQLKEDGAVGRIQCGCGGDSSPPYTHKTHGYPSEHPFNFFHHK >OGLUM02G26380.1 pep chromosome:ALNU02000000:2:27144469:27150477:1 gene:OGLUM02G26380 transcript:OGLUM02G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YVN7] MAPAMVAAMGEKPKPAVVLGGMVSGLREVYESGRTKDLEWRQSQLKALIRLLTDKEEEIFAVLHDDLGKHRGESFRDELGILVKSIKYTLQNLKKWAAPERAESPLVAFPATAMVVPEPLGVVLVFSCWNLPLGLALEPLSGAIAAGNAVVLKPSELAPSTAAFLAANIPRYLDSRAVKVVLGGPNVGEELMEHRWDKVLFTGSARIGRIIMAKAVKHLTPVALELGSKCPCIVDWLDSKRDRQIAVNRIIGAKWSTCAGQACIAIDHVIVEERFAPILIELLKSTLKRFMAKPGGMARILNAKHFERLSGYLEDNRVAASVVHGGYMDPKKLNIEPTLLLNPPADSDVMTEEVFGPILPIITVKKTEDCIAYLKSKPKPIAMYAFTNNERLKRRIVEETSSGSVTFNDAVVQYALESVPFGGVGHSGFGQYHGKYSFELFSHKKAVFKRSFLIEFMFRYPPWDESKIGTLRHVFSYNYFLLFFNLLGFRR >OGLUM02G26390.1 pep chromosome:ALNU02000000:2:27155582:27156785:-1 gene:OGLUM02G26390 transcript:OGLUM02G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGCGLLAFARCCCVGGGEMESDARVSDEPGCGGGGGGAGSVVEKGGGVVARRFGWAEIESVTGGFSSRVIGQGGFSTVYLASLTSSRLGAVKVQRSSERLHRAFCQERDVLLSLRHPHVVRLLGYCDERDEGVLVFEYAPNGDLHERLHHRSGGKSRTVLPWARRMAVAFQVAMALEYLHESRDPAVIHGDIKSSNVLLDANHDAKLCDFGFAHVGFSAAVRPPSGATRASGRPVMGSPGYADPHFLRSGVATKKSDVYSFGVLLLELVTGREAICAQTGHRLTAAVGPSIGEGKLADVVDRRLGGDYDVEEAATVAALALRCVSDGTGLRPSMAEVVRELQEKTTALISAVGSKPSDKMVS >OGLUM02G26400.1 pep chromosome:ALNU02000000:2:27180046:27180225:1 gene:OGLUM02G26400 transcript:OGLUM02G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSVASHRHVTSVAAAGDEHLRWSSMAKFGYAPAIENSPNKCGGSSFYRRMSSYHNS >OGLUM02G26410.1 pep chromosome:ALNU02000000:2:27184763:27187841:-1 gene:OGLUM02G26410 transcript:OGLUM02G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFDLPPVTTPAPAAPSDVLLPTQPQVSGPEEFPAAAVNSNDDDMMMVDDVVVAGGVGGSGSTGNRWPREETLALIRIRSEMDAAFRNATLKAPVWEELSRRLAELGYQRSGKKCKEKFENVDKYYKRTKEGRTGRQDGKSYRFFSQLEALHAAAPPPPPQQRQGMPVEDPQPLAMARMMLPGAADLGFLSMSSESESDDESDEEEEEEEAVAPGGGGREGLGDDGDGDGEGGSSTRKLMAMFEGMMRQVTEKQDAMQRVFLETLEKWEAERTEREEAWRRKEVARINREREQLSKERAAAASRDAALIAFLQRVGGAGGEPVRLSPSSAGATRHDAAAAGLQLVPVPAPRAKAEDAWAAAGGDGSGTTAPSRWPKEEVQALIDLRMEKEEQYNDMGPKGPLWEEIAAGMQRIGYNRSAKRCKEKWENINKYFKKVKESNKRRPEDSKTCPYFHQLDAIYRKKHFAGRGGGGGGVTIAASHSSLAIVTVSEQDNPSQRELEGKSSNDVGNVQLAVPLLVHNAPYKKVEGSEGEPNVTAAAEETDSDEMGGEYTDDGDDGDKMQYKIEFQKPTAGGGGDGNDAPVPATTAAATSSAPTSNTSFLAVQ >OGLUM02G26420.1 pep chromosome:ALNU02000000:2:27198115:27198698:1 gene:OGLUM02G26420 transcript:OGLUM02G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRTKGEEMPQAFEYLASVHGGLMLVPSRSQQLQPPWSRNSPPWDQLGAKLDQIELIIVRHLAAY >OGLUM02G26430.1 pep chromosome:ALNU02000000:2:27231154:27231433:-1 gene:OGLUM02G26430 transcript:OGLUM02G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALCFVTLPAFCFLAPAALMLGYYHGSPELVVVGSGCSRLVETNSFIVQDIKVIMLP >OGLUM02G26440.1 pep chromosome:ALNU02000000:2:27238196:27241072:1 gene:OGLUM02G26440 transcript:OGLUM02G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDCQFLVAPPQPHMYYDTAAAAVDEAQFLRQMVTAADHHAAAAGRGGGDGDGGGGGGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQIEHDYAALRAQYDALHARVESLRQEKLALAAQVDELRGKLNERQDQSGSCDGGGAEGDDDDKRNSVMNASSSGLVEEDYVSCLAVPVVDVSEDGSAACGGSSYEYDHHLDYLGGGQLPDPFCGMPDLWETWPMVEWNAVA >OGLUM02G26450.1 pep chromosome:ALNU02000000:2:27242227:27246566:-1 gene:OGLUM02G26450 transcript:OGLUM02G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT3G50790) TAIR;Acc:AT3G50790] MAAPPTPSSPLVASTRRFVAPRLRSLLPAAAVSMSSTSSSSISAPSNGRPVSGGAGEQRPPPSPLLPHASLEVAGARCGLLAGFDSLRRPYRAFPIVASNRHVETIFAAFARSLPAVALRRECLRTPDDGAVALDWVSGDDRALPRDAPVLILLPGLTGGSDDTYVRHMLLRARNKGWRVVVFNSRGCAGSPVTTAKFYSASFTGDLRQVVDHVLGRFPQSNVYAVGWSLGANILVRYLGEETDKCVLSGAVSLCNPFDLVIADEDFHKGFNNVYDRALAKALRNIFKKHALLFEGLEGEYNIPKAANARSVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVSIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPQGGHLGWVAGEDAPFGCPWTDPIIMEFLEYVHNEKNSSTKGSISYEQQSVTQTSAPDVSVHVQR >OGLUM02G26460.1 pep chromosome:ALNU02000000:2:27251787:27254695:1 gene:OGLUM02G26460 transcript:OGLUM02G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERKTAASAMRAGRGEAPATRGTMGRRGDARGARGLRRRRAQCEEEAPATRAGREGGDGDTRGVKEKRRRRSIWRRSPPPDLAPPRLDPALPLPDLS >OGLUM02G26470.1 pep chromosome:ALNU02000000:2:27256820:27263230:1 gene:OGLUM02G26470 transcript:OGLUM02G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAETLAAASLPVASPSRSLLRPLPRRASAGGGCSASVRISAVPPRGLGFAVVQRRVLRRPPAARANVEREGDGAEASGPGEASSSSSGDGDRDGAAAAAEAGGDGASTSTTSAAATPPQPPSSKRGENKWRRKLIKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYVSVPYSDFLASIDKNQVKKVEVDGVHIMFRLRPEVEARAMEQPQVQRGTDSVADNAGVPRRIVFTTTRPVDIKTPYEKMVENSVEFGSPDKRSGGLLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSGGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVSRKELPLGKDVDLSDIAAMTTGFTGADLANLVNEAALLAGRSNKEIVEKIDFICAVERSIAGIEKKHAKLKGNEKAVVARHEVGHAVVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEVVLSGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDESGGSPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGKQEQVLQLEAGS >OGLUM02G26480.1 pep chromosome:ALNU02000000:2:27263818:27264503:-1 gene:OGLUM02G26480 transcript:OGLUM02G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKAVYSFQEVSKHNDRKDCWLIIAGKVYDVSPFMEEHPGGDEVLLACTGKDATADFNDIGHTATAKELMPQYCIGEVDASTVPAKPAYRVVSEDASAKPDAASQGAWLTALQLAVPVVLLGLAYALQDFAKTKTA >OGLUM02G26490.1 pep chromosome:ALNU02000000:2:27272270:27275571:-1 gene:OGLUM02G26490 transcript:OGLUM02G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAPEIERCDAGDVESDHDGAAAAAERVPPWREQVTARGMVAALLIGFVYTVIIMKLALTTGIIPTLNVSAALLAFLALRGWTRAPALLLPGGGGASSSSRRRPFTRQENTVVQTCAVACYTMGFGGGFGSSLLALNRKTYELAGVSTPGNSPGSYKEPGVGWMTGFLFAISFVGLLNLLPLRKALIIDYKLTYPSGTATAVLINGFHTPQGENSAKKQVRGFLNCFGISLLWSFFQWFYTGGESCGFLQFPTFGLKAWKQTFYFDYSLTYVGAGMICSHLVNLSALFGAILSWGIMWPLISIQKALIMGDGLYHFIKVTGITAKSLHERSNRRHAKKATNEDTFVIADMQRDEFFNKDYIPNWLAYAGYALLSIVAVIAIPIMFQQVKWYYVVVAFVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGRDNGIIAGLVGCGIVKQLVQVSADLMHDFKTGHLTLTSPRSMLVGQAIGTAMGCIIAPLTFLLFYKAFDIGNPDGYWKAPYALIFRNMAILGVEGFSALPKHCLELSAGFFAFSVLINLMRDFLPRKYRDYVPLPTAMAVPFLVGANFAIDMCVGSLIVFAWHKINSKESALLVPAVASGFICGDGIWMFPSSLLSLAKVKPPICMKFTPGS >OGLUM02G26500.1 pep chromosome:ALNU02000000:2:27275593:27284894:-1 gene:OGLUM02G26500 transcript:OGLUM02G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADADRTRVAPEIGSLHDEDAEADPARAREMERLQPWREQVTARGVVAAALIGFVFSVIVMKIALTTGLVPTLNISAALLAFLALRGWTRALERLGFSPRPFTRQENTVVQTCAVACYTIAFGGGFGSTLLGLNKRTYELAGNSPGNVPGSYKEPGIGWMVGLLLAISFAGNLSLIPLRKALVVDYKLTYPSGTATAVLINGFHTAQGDKNANFFQWFYTGGNACGFVQFPTFGLKAWKQSFFFDFSLTYVGAGMICSHLVNLSTLLGAVISWGIMWPLISKHKGDWYPANIPESSMTSLYGYKSFLCIALIMGDGLYHFVKVTGVTAKSLHNRFNRKSVSNTASEEGDMVSLDDLQRDEVFKRGTVPSWMAYSGYFLLSIIAVITIPIMFRQVKWYYVIIAYALGPVLGFANSYGAGLTDINMGYNYGKIALFVFAAWAGKDNGVIAGLVVGTLVKQLVLVSADLMHDLKTGHLTLTSPRSMLVGELIGTGIGCFIAPLTFMLFYRAFDIGNPDGYWKAPYALIYRNMAILGIEGISALPKHCLSLSVGFFAFAVLTNVARDALPARYKKLVPLPTAMAVPFLVGASFAIDMCVGSLVVFAWNKMNKKEAAFMVPAVASGLMCGDGIWTFPSSILALAKIKPPICMKNSVPVVGGGIGAGGEAGGGGGQKQEAVAAMAAVVPAISGEGGGLGEHKRREAEPKVAAAKARAAWSSGAPCGCRRPNRAVAAGGGAGGVPATDWRGKGNIFELCDEFWSSVSGAGIRVSGIFPPGDDERSCG >OGLUM02G26510.1 pep chromosome:ALNU02000000:2:27287229:27290795:-1 gene:OGLUM02G26510 transcript:OGLUM02G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPSSLAGSSRSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASFLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTSDDVAVAAARRRMLHLAALEENTIY >OGLUM02G26510.2 pep chromosome:ALNU02000000:2:27288146:27291179:-1 gene:OGLUM02G26510 transcript:OGLUM02G26510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPSSLAGSSRSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASFLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTSDDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >OGLUM02G26510.3 pep chromosome:ALNU02000000:2:27288148:27290795:-1 gene:OGLUM02G26510 transcript:OGLUM02G26510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGRVRDMIKKRKGKKRPPPHEGPAPPPPSSLAGSSRSTSTVSSSAVATSQSTEDSSAAAARPAAGWSRSSGSVSSARSIPELYEERGASFLQEFGLRELQAATRDFSRLLKIGEGGFGSVYKGVVRLPGGPAGGTEVAIKKLNPNSRQGHKQWLTEVQFLGVVEHPNLVKLIGYCAAQSERGPQRLLVYEFMSNKTLDDHLFNKAYPVLPWDIRLKIALGAAEGLLYLHEGLEVQVIYRDFKASNVLLDEEFRPKLSDFGLAREGPTADNTHVSTAVMGTYGYAAPDYVETGHLTNKSDVWSFGVVLYEILTGRRSMERNRPKNEQKLLEWVRQYPVETKRFSRIIDIRLRHNYSKQGTREIAKLANSCLAKHAKDRPTMREVVESIKQVMQHNELDGDVEASGESSPPHEVPGKPTSDDVAVAAARRRMLHLAALGENANNIARRRFMLMRAAAAPTPT >OGLUM02G26510.4 pep chromosome:ALNU02000000:2:27290834:27291274:-1 gene:OGLUM02G26510 transcript:OGLUM02G26510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTTS >OGLUM02G26520.1 pep chromosome:ALNU02000000:2:27292436:27293144:-1 gene:OGLUM02G26520 transcript:OGLUM02G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSAVTCFWPLAQTHHRLFGVGVERDKRDGKGWRRAGPSKGGSRGKIEEIVKKAVVLRSQGRRKASNKEGCAAMKDEERGRQAAERRTIDAGGGRRPVEWEEEN >OGLUM02G26530.1 pep chromosome:ALNU02000000:2:27298165:27304458:1 gene:OGLUM02G26530 transcript:OGLUM02G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKGKGKGVEDEVEVYEPASPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSRSPRAARPRAESSRRPTARRARARARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKKRKRGKEAPSRAQENREVVRVKEEPNSDGNGAGGRARARSPVAAAAKQRKRGGREAPSRAQESRVPVQIKEEPYSGSDSDGNVAGVRAVVPAAAAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATLVNRVSTGVGSRTRSRLADQGRAFAQEEEEQVEEQEEEEEEEEEQGRAFAQVKEEQVEEQVEEEEGEEEMEMEAEVRSDDNDHGNGGIRGEGGGTDDIAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEEDDDDDDEEEEEPGDAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRQATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFTFGDDDKLEEKSKHDKLQDEDELWKEFDFALKSINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >OGLUM02G26530.2 pep chromosome:ALNU02000000:2:27298165:27304269:1 gene:OGLUM02G26530 transcript:OGLUM02G26530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKGKGKGVEDEVEVYEPASPPERVLIILDSSEDDLDLQEVRRSLMITGRGRARAAERVGEEAPRGSGRRAAPVVASRRRRRRSRSRSRSPRAARPRAESSRRPTARRARARARSPSLEIIDVDSGSDRGVVRVKEEPRSGSDSDYNGARGRARARARAPVAATAAKKKKRKRGKEAPSRAQENREVVRVKEEPNSDGNGAGGRARARSPVAAAAKQRKRGGREAPSRAQESRVPVQIKEEPYSGSDSDGNVAGVRAVVPAAAAKQGKRGKKTPSRGKGRRVVVRETSTPAAPSNGAPSVGRGKGRGPGRGRQRSKGAVRGRATLVNRVSTGVGSRTRSRLADQGRAFAQEEEEQVEEQEEEEEEEEEQGRAFAQVKEEQVEEQVEEEEGEEEMEMEAEVRSDDNDHGNGGIRGEGGGTDDIAEIEEEELGTDEDETSDDSDENFSDEEGDEEELEEEEEEEEEEEDDDDDDEEEEEPGDAPDQPGEAGEESPPRSRIMAMPLMGKRMFEGFSFLQQVDTSTGRDIRARTRSNFKRKKLLDKKLLKRGTFAKPYCIDVSSSGSEEDVPQPEQSAYGGDCADDDGGSDGNEEHRAVKRRKLNRRQSAHSDSEEDTTFVCDVKEGSGSRRVQEGAPRRQVKKEGSNKKKDGSTPQCVRNNGPKVGRQTNGLNGQGGVSFKRNVKIAQRRKRRQATADQEKYGHLLDPMFDEIESNQYEPVPEEQIDRRLPLVFTFGDDDKLEEKSKHDKLQDEDELWKEFDFALKSINVCSHNCEEGEKEDEQEIPADKAASCIQGKHELIIDEQIGLRCKHCNFVDLEIRFVLPSMVKSCTERDMRKDHELDLFFDDILTSAGYEGPRDFGGKKTGLVWDLVPGVREDMFPHQQEGFEFMWRKLAGGTSIEQLRNNANTIEGGCVISHAPGTGKTRLAITFVQSYFAFFPECCPVIIAPRGMLATWEQEFRKWKVKVPFHVLNSKEINWKEDRTIKQLAIMDENLAQSLARNKLDHKFRRKLKLASWRKGSSIIGVSYTLFRKLANQSSMDGNMVRNLLLEKPDLLVLDEGHTPRNKKSLIWKVLEEVRTKKRIILSGTPFQNSFLELSNVLYLIRPKFARHFASKSFKKIGLEDYWTSLTLNNITEKKIDEIRQILDPIVHIHNGDILQKSLPGLRESVVILNPLPHQKEIITAMENTVTMGTLDAEYKISLASIHPFLVTCAKLSEKETSSVDVSLLKSLRPNPCVGVKTKFVLEIVRLCEAMKERVLVFSQYLEPLSLIMDQLSKMFNWTEGEEILLMSGNVLVQNREALMEAFNDMKSNAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLITEGTKEKDKYDRQAKKDHMSKLLFSKEPHAAGFNLSQEVIFNDKILEAMTSHRELKDMFVKILHSH >OGLUM02G26540.1 pep chromosome:ALNU02000000:2:27305416:27311268:1 gene:OGLUM02G26540 transcript:OGLUM02G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFRQAARLLGLDSKHQKSLLIPLREIKVECTIPKDDGTLATFVGFRVQHDNSRGPMKGGIRYHPETMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLTEYSESISGSTFVIQGLGNVGSWAAKLIHQKGGKIVAVGDVTGAIRNKSGIDIPALLKHRSEGGSLEDFYGAEVMDAAELLVHECDVLVPCALGGVLNRENAAEVKARFIIEGANHPTDTEADEILAKKGVIVLPDIYANSGGVVVSYFEWVQNIQGFMWDEEKVNRELQKYMKNAFQNIKDMCKSQNCNLRMGAFTLGVNRVAKATLLRGWEA >OGLUM02G26550.1 pep chromosome:ALNU02000000:2:27311797:27313980:-1 gene:OGLUM02G26550 transcript:OGLUM02G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YVQ7] MDVLVANYASDSDSDGDAPAATAGEAAPVPPEPSALLPPPPLDLLQPPNFGSRVRSFPHVEGNYALHVYIPVVIPSDAKKHLALVMRRAASFVPDLYAIDADYALSELCKDEQKLEKVLLSREFHVSLGRTVAIQVHQIESLVAMLRQKFRSQQRYWMDFNKWEHFVNDDCTRSFLSLEVTSTGLPEISKQITMVDDVYRLHGLPEFYKNPRPHISLAWALGDVSCKLKQAIKEIEKSQSSLGTSQKSNLRCKFSHVVCKIGKKVYDICKLAD >OGLUM02G26560.1 pep chromosome:ALNU02000000:2:27314816:27315786:1 gene:OGLUM02G26560 transcript:OGLUM02G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEKAMGSPAAKEPEKTPPPPATVMQRQYKKDADATHGTLVGDDADEARRLFLADVVERLDAVSFGAEIGKTGLCSRLPMASPPADATTPAAQFMGVVGELACGIDTIKVESVWHRVPTNPASSRIPPSLLVPADGAAAAPYRLPAGQEARSEDPRGVRRRSAPLAAWPSAPYPSAQPAREREQRREEGREKRGKGKLTWHADMWGPC >OGLUM02G26570.1 pep chromosome:ALNU02000000:2:27316896:27319798:-1 gene:OGLUM02G26570 transcript:OGLUM02G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Encodes a close homolog of the Cauliflower OR (Orange) protein. The function of OR is to induce the differentiation of proplastids or other noncolored plastids into chromoplasts for carotenoid accumulation. Both proteins contain a Cysteine-rich zi /.../ger domain that is highly specific to DnaJ-like molecular chaperons. [Source:Projected from Arabidopsis thaliana (AT5G61670) TAIR;Acc:AT5G61670] MLCSARMLACSGLGGPGGRLRPSPRPGAYADRLRPPLPARRWRVASSAAASGGSPDLPSSSSSSSPPPTPAAASFGSGDEQAAGSPGFCIIEGPETVQDFEKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNVELGISVDVPEGELPDFPSFIPFLPPLSAANLKIYYATCFTLIAGIMVFGGFLAPILELKLGVGGTSYADFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGTLVLTEPVSTFSDGDQPLSTPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >OGLUM02G26580.1 pep chromosome:ALNU02000000:2:27321148:27321754:-1 gene:OGLUM02G26580 transcript:OGLUM02G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRASSSSCMWDRRATTGDAAAAVSFLVDKSAKVFIAGHRIMVGSAVHRKLDSLGSTWRVFFAAELPRYVILAAANIGGVHAGSAAPVEYLTENLRITVNVVDAARCCGSTRNLLVLASSIIYPADAPQPTPESVLLTGPPADGGRGLEPAAGACRRPRRRLWPPSACVRVRERRESLLPCASKRERGE >OGLUM02G26590.1 pep chromosome:ALNU02000000:2:27331167:27340950:1 gene:OGLUM02G26590 transcript:OGLUM02G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLSLCDELVKFNVCWSKFTMFSSSCNPVVACLLLFLFLSGAETRRVPRTRCGDGDGGNLPPVRGWGRGTFCFLCCGDGYGVLKPDGGSPVAIPNLPPVPPYHSHSQIRLFLRTFFLHPAIYFRCDGSDDLICLDCEECESYLAAKLRRGSN >OGLUM02G26600.1 pep chromosome:ALNU02000000:2:27342684:27342977:1 gene:OGLUM02G26600 transcript:OGLUM02G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTLCTESNPVAIVLQHLKCSTMLSQVVFFSGMLNYITGLFESTPSLRARQGRRRGTRSTYPSYRKIVTIGCIGGEKSNHLSQLMETREGVEITD >OGLUM02G26610.1 pep chromosome:ALNU02000000:2:27346320:27348235:1 gene:OGLUM02G26610 transcript:OGLUM02G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRGRDDTVVGAGLLAPPLPSINSWKDEMGARMEGVADAALLDSEVGGGGGGGLDGELGQSSTGRRSPSGSGGRMGMEPKLGPSGGAGGWGRGPSGDDGEGGAREANEERIRSLQPAGSASSQLTARYCPPACLPRPSPLRPRVAAAVRRRRAEETDMWFSFFVPNRFAVGSARILRLHAS >OGLUM02G26620.1 pep chromosome:ALNU02000000:2:27349977:27350615:1 gene:OGLUM02G26620 transcript:OGLUM02G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGERRRERVVAPALAVLLLVFASAFVRCRGDADGEGDGAGAAEPGKTGPPLPPGWKGGSGSGQGSSPDGAWRYGWGWAASPGGKGSGFGFGYGGSRGEGGGGGGGGGGGSGRAYGFGGGYGGHPGGFGGGGGGGGGGNYGGGSGGIGGYGNYGGGYNGEPGGGGGGAGEGGGYGGDYGGGDVGANWSKRGSFRGGGGGKTQQKDGGGNN >OGLUM02G26630.1 pep chromosome:ALNU02000000:2:27353924:27355857:-1 gene:OGLUM02G26630 transcript:OGLUM02G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAELVGESAHQDMPRVVAALAGILERVAGRNDAAATPAELAAAPASPFRATAKPGISVRAYAARIARFAGCSPACYVVAYIYLDRLLRRGRRCLALALAVDSYSVHRLLITAVLSAVKFMDDICYNNAYFAKVGGISLAEMNYLEVDFLFGVGFDLNVTPETFADYCAVLQSEMLCAAAPPPRLHYCCLSEDDAGSSSSSSLREAAMEAS >OGLUM02G26640.1 pep chromosome:ALNU02000000:2:27358847:27362166:-1 gene:OGLUM02G26640 transcript:OGLUM02G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGQLGTCLPNFYLLPDHHGMPLPPPLQLPCHSKLLQMPFDQEDQPGIHGVMLSSDHCGLYPLPALPLSNSAAAAATVALGKHSAAAGSMPNIGGAEEVATSVTKAGNESTTCNGSTTWWRGSTMAAAGEKGKMKIRRKMREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVITTYEGRHTHSPCDDNSSGEHTNCFSSF >OGLUM02G26650.1 pep chromosome:ALNU02000000:2:27368334:27368856:1 gene:OGLUM02G26650 transcript:OGLUM02G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPQAWWQLDLTVTRLAVTTTGASKTSSWTTGDLLFSPVTRAADLAAWRLGVTGSSCLEVPFWMCRPSCASQGFSLKLELARFIKSSTTLFFWLTEV >OGLUM02G26660.1 pep chromosome:ALNU02000000:2:27372188:27372430:-1 gene:OGLUM02G26660 transcript:OGLUM02G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRACSVLKLCFVLGEPLCSGPALRMRPEHGAQLAKPWGPGPWLHSRLILLSDLGGPWCPEQLEVGTRVSTFSSLVLL >OGLUM02G26670.1 pep chromosome:ALNU02000000:2:27376549:27379881:-1 gene:OGLUM02G26670 transcript:OGLUM02G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANAGSFVAVRRLAGSERAAGAAAFHHSSSAEVVTGSTAWIGKGLSCVCAQRRDSDARLSFDLTPVQEECLQRLQNRLEVQYDSSNSDHQEALKDLWRASFPGAELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLVYFSKNFPELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQSVKPRSFIGAVFLKLLSENDQAFDILYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLLEDIQRIEDMPSYKLLAR >OGLUM02G26680.1 pep chromosome:ALNU02000000:2:27389689:27396722:-1 gene:OGLUM02G26680 transcript:OGLUM02G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKATATGPRSECCPVIVAEKPRKGKPKPILQFSSWKERPDFTLNEDNEGKGVAMGGGGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNANIVQPWDSCTITITLQVQKEYPPDMQCKDKFLIQSTRVAASTDMDEIPPDTFNKEVDKVIEEIKLKVVYTVPSGSSDDSGITSLGSRSFKSLSDDFTMLKNASIEEIQTIQRLKDERDNMLQQNQQMQRELDVIRRRRSRKSDTGFSLTFAAFVGLIGLLVGLLMSLIFSSPQPAA >OGLUM02G26690.1 pep chromosome:ALNU02000000:2:27398352:27400965:-1 gene:OGLUM02G26690 transcript:OGLUM02G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASASATAAISGALLPHSVACHRLPPRPQLLAVSSSFRRLSLTASPRRASHLVPRADASAEAGEPEPAAEAEAEAVAASTDAEVEEGEAEAAVAVAEEEQEEEDEPPPPSKPPVKFGEIIGILNKQFIEEAEKVKTLPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKSGVHTTIRVRRIIAGVGVEITFPIYSPRIKEIKVIRHKKVRRAKLYYLKHKLPRFSTFK >OGLUM02G26700.1 pep chromosome:ALNU02000000:2:27403218:27406024:1 gene:OGLUM02G26700 transcript:OGLUM02G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17550) TAIR;Acc:AT4G17550] MAKPPPAPHRAHRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDGSYLIISMQGPPRQQSSFSADLGTHKSLKGDSRALATVTSIIDATGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTADSGAQPLLEGDT >OGLUM02G26700.2 pep chromosome:ALNU02000000:2:27403218:27406024:1 gene:OGLUM02G26700 transcript:OGLUM02G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17550) TAIR;Acc:AT4G17550] MAKPPPAPHRAHRAPPGLCALPAISYNSHRGLVLGLTFVAYALYHASRKPPSIVKRALSEAWPPFHDPALLGETDVAFLAFYSLGMFVAGHLGDRLDLRLFLAVGMIGSGTAVALFGVGYFLSLHSLAFYLAAQVIAGLLQSTGWPSVVAIVGNWFRGRRRGLIMGIWNAHTSIGNISGSLIAAAVLRYGWGWSFIVPGLLIAFGGVLVFFFLAPYPEDLGFSPTTLPKAVSEASTDEEDSSSSASAAGGAGKEERRDAVGMWKAFAIPGVITFALCLFFAKLVAYTFLYWLPFYLSQTAIGGKEMSVTSAGYLSALFDVGGIIGGILAGFISDHLNARATTAAIFMYLAIPSLYAFHAYGSTSEVANIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTSIIDATGSLGAALGPFITGFISKRGWDSVFTMLIFCALAAGVLLSRIVKSEIVHIIQNRRNHTLDMHNRTADSGAQPLLEGDT >OGLUM02G26710.1 pep chromosome:ALNU02000000:2:27409134:27409334:-1 gene:OGLUM02G26710 transcript:OGLUM02G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFRPSGVARETTMVGLHQTTQEERSPQQQRGGSDARVFEVDATTGCAGLDAMAAVVGSMPRLPL >OGLUM02G26720.1 pep chromosome:ALNU02000000:2:27428266:27429916:-1 gene:OGLUM02G26720 transcript:OGLUM02G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHGLAAAGLVVLLLAAVAPAFAVDYTVGDTSGWSSGVDYDTWAKSKTFSVGDSLVFQYSMMHTVAEVSSADYSACSASNSIQSYSDQNTKIALTKPGTRYFICGTSGHCSGGMKLAVTVSAAAATTPTPAASSSPPSTATPATPSSDPGMDTPSSTPDATTTPPTTTTTTKSTGSTGGASGSEARSVMGLLVGAVGLAMMG >OGLUM02G26730.1 pep chromosome:ALNU02000000:2:27441066:27442219:-1 gene:OGLUM02G26730 transcript:OGLUM02G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISLRPKCTKEKVHYYEKIYADGKKWSVLAAEQPAKKENVPSITHCLIARTAAAEVAVVVLEVAGENHHRRIRLDAAATEPRRSLRLDDATAIAPRAPDLREGEGAGRPPSQSPSSRPTTTASLRRGPRRHPVAVAIVAPPDVRLPSSRPCHRTAWEEERMWI >OGLUM02G26740.1 pep chromosome:ALNU02000000:2:27442660:27445929:-1 gene:OGLUM02G26740 transcript:OGLUM02G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTPAAAAAAAHVVHIEAVQTAVPTRVVEPGRTRLVAVAAPPLPAPALQRRVRAVLYYRGAGGAAPGAWEDGVWVKESLSEALADHPEMAGRLRRRADGSWEVKLNDTGVRLLQATVDATLDEFLAGKGALARREAALAPWTDVNADDPDMCPPFFMQLTRFQGDGGYAVGVSCALVLADPLTLARFLLSWARTHARIRAQGKATPLPMAQYLAYFQRPETTRKRVRSVPIDSFAGDGDGDAETVLFRAGTAAAAAADGGHGDHRALAAACVDKASEALGKKDKVSRLSVVVVDAAAGDDSLAGKTTIETCTASPGGGGASLEAVQWSELGLEELVLRDSKPVHVSCSIVTGGGGDEGLVVVMPDDGAGSLLVMATLPK >OGLUM02G26750.1 pep chromosome:ALNU02000000:2:27468816:27469313:-1 gene:OGLUM02G26750 transcript:OGLUM02G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQALSSAPPPRGRAAAGGELGALTGDGCIFERCRCHRHGAWSSSTMIAPPPRGAVVSKLGILSDNGAFCSAPTHLSSQSHRCCQRRVRVPARSRLSSAMAYSSGPEDLARFVSLLGERERGNGEEKKTGKRAYDASKAFSQEWRIDKFDRDSDSFDDSSDLKT >OGLUM02G26760.1 pep chromosome:ALNU02000000:2:27470518:27475649:1 gene:OGLUM02G26760 transcript:OGLUM02G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSSEIAKAFADEMGIPFMETSAKNATNVEQAFMAMAASIKDRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >OGLUM02G26770.1 pep chromosome:ALNU02000000:2:27486581:27493147:1 gene:OGLUM02G26770 transcript:OGLUM02G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAAAVTSPPAAAQVHGVVPRHASPTPQQRAAPRREQSPLNPSSQAIRSASSGTELAGSAATEASRAHIANLDRVLGKPPQVPRPASHAAASKQERQQQDGELEPLNVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEDGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTALARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESGEGGGQEEPKVARGFRSLYKTAGEKVKSLSEEVMGEVRRLMEKYKGEELSITVVGHSLGGALALLVADEIATTVPDAPPVAVVSFGGPKVGNAAFVDKLQKSGRVNVLRIVNAGDMVTKVPGVAPRLPLTKEQYQHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQMQRGNVKKEYVNRARELGVDPSAPVDVGRSMAYGNCAVASPSS >OGLUM02G26780.1 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGYVFKMALYFCKAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVFIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26780.2 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVFIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26780.3 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGYVFKMALYFCKAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26780.4 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFEALSIPTIAIVEGAAFGGGLELALSCDLRICGENATFSLPETGLAIIPGAGGTQRLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26780.5 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFERLPRIVGKSRAKELIFTGRRFDAVEAVTMGKKNHYDMYVFIAGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26780.6 pep chromosome:ALNU02000000:2:27493567:27497226:1 gene:OGLUM02G26780 transcript:OGLUM02G26780.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G16800) TAIR;Acc:AT4G16800] MRSLRGILAVSGHLAGRRAPANNAHLALFSRALQILAQQEPVRLQKLSAPDSGVVEMRLERPEARNAIGREMLQGLRSAIEKVKADATANVVLLASSVPKVFCAGADLKERRLMSPCEVREFVNSLRSTFLSFERLPRIVGKSRAKELIFTGRRFDAVEAVTMGVVNYCVPAGEAYKRALELAQEINQKGPLAIRMAKKAINQGMEVDLSAGLAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >OGLUM02G26790.1 pep chromosome:ALNU02000000:2:27500277:27505694:1 gene:OGLUM02G26790 transcript:OGLUM02G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVADKIVEPKGPLVATAQLPNNVELLKSVVLNPSREGGSSQEQYEKDLLAEGEESFRSEESSDEGGRSSFSGVSHPPEPIDVDLMSTVYVAIDEEKPEPPECLMRGLSVKGASMEDLSVHAMDAKPDVIVCTVNVNGLVEERKVHCAVVAPDAVEAVSSTQASEEKDCVWDASFPPSGNVSPHSSSDSTGAVAATSIRGSSTSTCRSGVLTSESILTVEKTCESAKDSARGNSMESTKTSMSRASDSSGVSDDSNWSNITGSANKPHKGNDPRWRAILAVRGRGNVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHSLRQRQPRKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASASDSDPRRAGGSFCVQPACMEPSSVCIQPACFMPKLFGQRSKKQGRRPRSELGQGGGAALPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYAGRDLIRGLLVKEPQQRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPEVPRHVEAELPAKYGVAEPVASGGGGGGKRVVGAEVRSGGKYLDFEFF >OGLUM02G26800.1 pep chromosome:ALNU02000000:2:27504182:27509196:-1 gene:OGLUM02G26800 transcript:OGLUM02G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLTEHDHQPEQEPPHSENHLKQAEPNSFQFAEKETGYAGLQNFTGPKVDVGQTSGEQQHVKQTVGQQAPPGAQDARKRGYQPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFAQMQAQAQRSGQANANQQANANQYSLQSQVSSSGSAQLHDQQVHVSTTPNQGQKNQALSSSQTFVQSGTQVQSSMTAHDNSIQRPDAKGMHVTPNRPPVMNSAISAQTMNKQQQPTQVQQASQQIYGTTNRPDQPYTRPIGGSTPLSSLSSESEIRPSSHPAKMETLPSHPMTQQNAAAQQMQQNKDVKTNASNPRSNAKQDSGTGKGRAVGTGGSSTKSQGKQGPPNVSTPPAAKSNKKTAGQKKSLETSGSTPPPPSKKQKTSGTFQEQSFDQLNDVTAVSGVNLREEEEQLLSAPKEESWASEEARKIAQEEDGKLFLQKGPLLKKLAAIVPKCNLKSIGGDVEHCLSMRIDTEKSGHRLVITSDVGRQILRMNQKAKEEWDKKQAEETDKNKKQNEVDGGGTVELDKEKEETRSKNAKPNKEEDDKMRTTAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDLAASSQRGTASRSHMAGKGPTDHHEASKRTHSAAFGTGGMNRQGRGPFAASHPKGPQRTISMKDVICVLEREPQMTKSRLIYRLYERLPGDSTRD >OGLUM02G26810.1 pep chromosome:ALNU02000000:2:27509251:27510908:-1 gene:OGLUM02G26810 transcript:OGLUM02G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLNRSDSPVGTQVGFRVAISRSSQGQRRSPSVPRASRGGAVARPAASTASSATAACADPPSIPRASEQGRRRSSCCFRRILVAVPMDFTYRTLPQNSSDVSKSIVGQKSRKEMARQTNKQRSNI >OGLUM02G26810.2 pep chromosome:ALNU02000000:2:27509251:27510908:-1 gene:OGLUM02G26810 transcript:OGLUM02G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLNRSDSPVGTQVGFRVAISRSSQGQRRSPSVPRASRGGAVARPAASTASSATAACADPPSIPRASEQGRRRSSCCFRRILLVRCKQKSRKEMARQTNKQRSNI >OGLUM02G26820.1 pep chromosome:ALNU02000000:2:27512004:27519388:1 gene:OGLUM02G26820 transcript:OGLUM02G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YVU1] MYFGASVSRMPLSIEQRPAQKIPTRPSGASSCAAYTRRRTAYATTRIRFIAPKEIGQKPLLYYYYPTPSPIRRHATPLRLASSYLSPSSSSSSSSSSPRAEKMGKRWIPLEANPDVMNQFMWGLGVAEGEAQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDDDEEESGAAATSTAGDKDLSKRVYFTKQTVGNACGTVGVIHAIGNAASKLKLVEGSYFDRFYKQTVDMDPVQRAAFLEEDDEMEDAHSVAASAGDTDANVEVNEHFDAAKVIKARIASNPDSMNFNVMALSKVV >OGLUM02G26830.1 pep chromosome:ALNU02000000:2:27517200:27519203:-1 gene:OGLUM02G26830 transcript:OGLUM02G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCRERRRLMREAVRLRRHLAASHAAYLRSLTHAASALSRFAVGEPLPVSDHAPPAVIVHRPVVAPSTPPPLLRSIEQQQQLRRRAQELEEEVGAAVDDHLVGGGAGVPSVTREEGGGDEELRMVVRHRSLAEVAAGLEEYFLKASVAGDAVSSHLEASNDEFKSKPLATLLNSHIHIYCTCGHCLTGQYKGGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSTLQQLLAWEKKLYREVKARERLQVQHDKKKAELSDQEYSRKIDVDVLKLKAAWEKARAQLATASQAVAATSASIAELRDTHLARQLLGLCHATLDMWRAMRQHHEAQGLIAQQLRGLSSRTSMDPTTEIHHETTRALEVAMSSWCAALGHLAKHQRDYVHALHGWLKLTLQEAPAVVDGAEAVASPVAAELAAFVERWGQALDRVHCADVLKSIKSFAGAVRSLYGLQSDELRVARRVRQYSRELDRKSRMLRQVEKSYYDSYVPPMGLSLWHRGMRHWGDDGMHARDASNEVAQRRDEIAACRRTVEDEMRKHAKAIDATRSAAVTCVQGKLPAVFQSMAVFSASLAHALEAVCRHGTHVQ >OGLUM02G26840.1 pep chromosome:ALNU02000000:2:27526462:27527382:-1 gene:OGLUM02G26840 transcript:OGLUM02G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNPASREVAALDSIRHHLLEEEEEEETPATAPAPTRRPVYCRSSSFGSLVADQWSESLPFRPNDAEDMVVYGALRDAFSSGWLPDGSFAAVKPESQDSYDGSSIGSFLASSSSEAGTPGEVTSTEATVTPGIREGEGEAVAVASRGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDSAEEAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAGNKRPYPNPASSGSSSPSSSSSSSSSSSSGSPKRRKRGEAAAASMAMALVPPPPPPEQAPVQLALPAQPWFAAGPIQQLVS >OGLUM02G26850.1 pep chromosome:ALNU02000000:2:27531988:27532831:1 gene:OGLUM02G26850 transcript:OGLUM02G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLASSCDATEVEESLCLVIVSISGDVEPEPEERPKVGGAAVAHGEAAEEEVVFPMAWTDEDESCPEGTMPMRQTTKRDVLRSSSSLCFRMKQPRACVPLVSSA >OGLUM02G26860.1 pep chromosome:ALNU02000000:2:27533175:27535956:1 gene:OGLUM02G26860 transcript:OGLUM02G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKAFSSRSPAPPRTNSGERGLAAGSGGGGGGMDRWTGVLNVPLSRGGPPFRVAASLVLTPAKTLAVPCANAILFTGDRVRGSGDPAIERLSDAAYLARLLAGKLTGEANAWVVDAACFAGSFAVYRNLVPSVDAVGDPERNFALTFFFVNIQSMVTKATMKGSSGNQSPTTSLLKYCPPKTVILGFSKGGVVVNQLVTELACLASESAKISDDVSGSSPPLLTRNLLVPISATEFLSSVSEFHYVDVGLNHAGAYITDHAVIKEIANYLMHTSKNLCFVLHGTPRQWSDPNRSWIQMEKEVMLRLLQDEAQRCEGRLVLSQKRYFDGKPRSLLMHFEILEVMDIG >OGLUM02G26870.1 pep chromosome:ALNU02000000:2:27547521:27548564:1 gene:OGLUM02G26870 transcript:OGLUM02G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGDIDDLISLQLLHDQLLGVEADACLPVAVHHDGVAAYAEHQQGFHPAAFLPQQPMTMTPAGYVDMANDQYLGAHAAAGEAEAVYRAAAAEPVMIRFGGEVSPVSDPRRPPLTISLPPTSHAWAAAEAVHPAALLQAQAAAAAADPNDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPPPPPTTSTHGKRKRHETAAADPDVEVIGESSKSVKTETYTSPASSSLASTTTSTVTSSSTSPSPSSEAAACGGGGGGGGELFVPPIPSSWSWDQLEGFFGILSPLSPHPQMGFPEVAVN >OGLUM02G26880.1 pep chromosome:ALNU02000000:2:27555991:27558197:1 gene:OGLUM02G26880 transcript:OGLUM02G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKGDANLANSGFRSPAKRANQGTQIAGYYRLDAAAASDAPHCGAQRSASQRRANAVAAGGPRHTRSHGWHVQRSPREEGAPRAVVAAVARAPPRRLRLGHAAAGVVAGTPYCTAGQYSFVRPRQLKEYGEKVLVEIYQSMLTHGVVPIPVPAGGQAVTAVVAATTIRLPKAA >OGLUM02G26890.1 pep chromosome:ALNU02000000:2:27556557:27560176:-1 gene:OGLUM02G26890 transcript:OGLUM02G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAPALSLAEAAPVTAVLAPGPTPSRTFRRRSWVAAICRPALKCHHSRPLTAVAAAAAAAAAAGDSTSAGVFHGECFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFVGLPTAAYPTPFVAPGEETTRYAVIIGGANFGCGSSREHAPVALGAAGARAVVAEGYARIFFRNSVATGEVYPLELADTGAWKECKTGDVVTVELDNCVMINHTSGKQYKLKPIGDAGPVIEAGGIFAYARKTGMIASKSA >OGLUM02G26900.1 pep chromosome:ALNU02000000:2:27562466:27564731:1 gene:OGLUM02G26900 transcript:OGLUM02G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGNKGTATPVLLNVYDLTPANDYLYWLGFGVFHSGIEVHGMEYGFGAHDFPSSGVFEVESKSCPGFIYRKTVWLGTTDMSHGEYRSFIEKLAGKYHGNSYHLVSKNCNHFTDDVCSFFDCLLPESVQVSPVGRVPTLRPVADDDLDSISTVSDNNEEDKHLLPEVSDNNEEDKHLLPAPSNDLHSVDVPLKLAKDVL >OGLUM02G26910.1 pep chromosome:ALNU02000000:2:27567807:27571892:-1 gene:OGLUM02G26910 transcript:OGLUM02G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51180) TAIR;Acc:AT5G51180] MGDLGGAWHEAAAEEEEEAQAPGGGVGAEPDHLVVMVHGIVGSAADWKFGAEQFEKLLSDKVIVHRSNRNMYKLTLDGVDVMGERLAQEIVEETNKRPQIRKISFVAHSVGGLVARYAIGRLYRPPKQTSQSSQNLNNTNKGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGFTAIETFASYIIHLIFGKTGKHLFLTDNDDGKPPLLLRMVDDWGGVQFMSALKVFKRRVAYSNVGHDHIVGWRTSSIRRNSELPKWTDSGSKIYPHIVYEELSKAETMNQCTDVADVDSCMLEERLLRGLKLVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHSEGADVINHMIDHFVL >OGLUM02G26920.1 pep chromosome:ALNU02000000:2:27578223:27582313:1 gene:OGLUM02G26920 transcript:OGLUM02G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 9 [Source:Projected from Arabidopsis thaliana (AT1G05940) TAIR;Acc:AT1G05940] MGLPLEKLVIMSPIMTCTSPKGTLRNPVPTEPHRMTQEPTSLLPVWARAPTRRPKTTRRHPLHLHEAAMEEEGADHRPPSSSSGGRGFLSGLCAAALRRKPISAHAAHAASGEGLVRQLGVFELVLLGIGASIGAGIFVVTGTVARDAGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNELTAFLVFTQLMLDYHIGAASIARSLASYFVQFLELIPFLKGHIPTWIGHGEEFFGGVVSVNILAPILLIILTTILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVSNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKKPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVLAGLFNVHELSHILSVGTLTGYSVVSACVITLRWNDKTTSRRSLGNMSIWQEGVLCLVIIALCGFVAGMCYRFSYAIAFMIIALLIAVAAGFALQFRQVYVDPPGFSCPGVPIVPMVSVFFNMLLFAQLHEEAWYRFVILSLLAVGVYAGYGQYHAVPSSSDHPHPAVAYHGIPSEAP >OGLUM02G26930.1 pep chromosome:ALNU02000000:2:27584435:27584876:-1 gene:OGLUM02G26930 transcript:OGLUM02G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVQAYRLQYNFRINIGVLLYYDLLPSTSAPTTDSIDIFFTDEHSLYFPLRLTHGGPGGTRTPSWRKSGSAREGDLSRCKTKATQPPNSTTRAILASNPWADAPAARPLRRL >OGLUM02G26940.1 pep chromosome:ALNU02000000:2:27585979:27588525:-1 gene:OGLUM02G26940 transcript:OGLUM02G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTAATAPPGDEPEAKGRSNSILLPILGILLVYLLYRYLRPRLRGLRLDRLTSRLPACLRRSRTANTMLPYFAPIADRLGALQPYLAPIADRLGVGAQGGVGAGAYGRADALVKFPGGEALSVAAILEAPGEVVAKSSHSTLYRAAMRSGEAAVLLRFVRPACALTSDEASAAARRIGAVSHPNLVPLRAFYVGPRGEKLLVHPFYAAGSLRRFLQEGIVDSQRWNIICKLSISIVKGLDYLHTGLEKPIIHGNIKTNNILLDANYECKISDFGLYLLLNPAGAQEMLETSAAQGYKAPELIKMRDATRETDIYSLGVVLLEMLAQKESAKDNTPNPRDILLPVSFKNLVLERKISDAFSSDLVRQSKKSGKEKNLNAFFELATACCSPSPSLRPNTKFILKKLEEIAK >OGLUM02G26950.1 pep chromosome:ALNU02000000:2:27591114:27591469:1 gene:OGLUM02G26950 transcript:OGLUM02G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKATVTLRGCDSMGIALKVKCAMGRDRDHAPDEAIVSDVIIIPDVTDRYILVMSSRFFRRSDQTEVRVKMKVCVLPLWSGCILHGCRDGCVGPNQA >OGLUM02G26960.1 pep chromosome:ALNU02000000:2:27592448:27593380:-1 gene:OGLUM02G26960 transcript:OGLUM02G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVEAAASSSPSTQGGGGGGIHVVAKNAMNSSKQFSSALVPVAVLLAVAVGAVSLLPSLAQAVWEVPHLFLLGLVVSYGVFAQKISTGGSGGGGGGGGGDDGARAWNSRYLSDDPLVVVADNAASDDDDGGGASGRPLSLPVRRLKPPPPAPTMPGIESEAGDASDDGIGAETDSSASTAGFWGAAPSPPSVLDAVCRSRKPAATATAAAPSAMSKGFPSYISPAPRRDQSFSDDGEVTDWDDDDDEKADVQDEMAASPQRSYVDHDDYNGDCDELSELAAEVEDEVDRKADEFIAKFREQIRLQRL >OGLUM02G26970.1 pep chromosome:ALNU02000000:2:27594364:27601943:1 gene:OGLUM02G26970 transcript:OGLUM02G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTRGMGTSRRFVGAQEVTHLATKAPTPSITAVRFVLLRAGRHHPPSVAIISLNDSPGLADTGSLAGLMLAALPTQYPCKSIQLQQHQPTRMLCRRTGLGLCALGSVVAAFARK >OGLUM02G26980.1 pep chromosome:ALNU02000000:2:27594737:27606352:-1 gene:OGLUM02G26980 transcript:OGLUM02G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MPAISVTNYQASATGRDHHAHKVNISSNMEFSGKDTNNKDYIMKLGSGCSTGTGDTQKSKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHQKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKHKHLLLYRHMTKVSGTWTENFFRPVRYLFKLSEALSTSLRQDRRKWKTQRPDYGMQKPGSLQFCHRKEQACNEALSNRDCPSTDD >OGLUM02G26980.2 pep chromosome:ALNU02000000:2:27595363:27600999:-1 gene:OGLUM02G26980 transcript:OGLUM02G26980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHQKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >OGLUM02G26980.3 pep chromosome:ALNU02000000:2:27595363:27600999:-1 gene:OGLUM02G26980 transcript:OGLUM02G26980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQDGVRKFVASGLRVLESKKRKEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHQKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >OGLUM02G26980.4 pep chromosome:ALNU02000000:2:27595363:27600999:-1 gene:OGLUM02G26980 transcript:OGLUM02G26980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MEGGGEALFLDGVGEVTVAVGDDGLSFQPLHQEVSSSCWSSIIMQPKLESKLKFSDVYAVELLEVGPVCEPWNARATVQGKINTEMNRFVIHTVTRPRKRPSPWVPCEYIFGHKDQQTCKTWVEHIKTCINKEQDRPKSLMVFVHPLCGKGRGCKNWETVAPLFERAKVKTKVIVTQRAGHAYDTLASLSDKDLKKFDGVIAVGGDGLFNEILNGLLSTRHTNSYPPTPEGFGYFRNNMKCQEHRNNDLSNSELTGDDANAISGSSNTPDDHEPLLSTTRSTGLDISSSDSSDEPCNGDQVPLVSFPNNWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRISLDIAQVVRWKSSPSAEVSPTVRYAASFAGESEKYRWMGPARYDFSGTMVFLKHRSYEAKVAFLENGNTHSLTASAENNANGVQTLQYHQNRHQKTICRTNCLICKGTSTSEQNSEDENPDSSRTACETPKWVWSKGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPFYLWHLTQFTKKGSDPLSFKFVEHHKTQAFTFISSHDESVWNLDGELLQACEVSVQAFRGLVNLFASGPEKMENTAPRLRNAEAWVASILPPERAGM >OGLUM02G26980.5 pep chromosome:ALNU02000000:2:27601405:27606352:-1 gene:OGLUM02G26980 transcript:OGLUM02G26980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G51290) TAIR;Acc:AT5G51290] MPAISVTNYQASATGRDHHAHKVNISSNMEFSGKDTNNKDYIMKLGSGCSTGTGDTQSTSFIRWMS >OGLUM02G26990.1 pep chromosome:ALNU02000000:2:27602191:27606778:1 gene:OGLUM02G26990 transcript:OGLUM02G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKETYRVELRAAVRQLGERGLYSAAKWAAELLVGIEPDATPAPSSAMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAANQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >OGLUM02G26990.2 pep chromosome:ALNU02000000:2:27602191:27607070:1 gene:OGLUM02G26990 transcript:OGLUM02G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKETYRVELRAAVRQLGERGLYSAAKWAAELLVGIEPDATPAPSSAMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAANQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSFCIVLPKPPNDTDN >OGLUM02G26990.3 pep chromosome:ALNU02000000:2:27602191:27606778:1 gene:OGLUM02G26990 transcript:OGLUM02G26990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKETYRVELRAAVRQLGERGLYSAAKWAAELLVGIEPDATPAPSSAMDTPSSSGSAASGGRLLHLHRSGGSSFRRRLRPGAAEAGTPLGGVSYVSTPIPDDDAFDVGGDRYLLAKTYFDCREYRRAAHVLRGQTGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAANQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNIKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMPFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRAQSVLPLMDIDHFAM >OGLUM02G27000.1 pep chromosome:ALNU02000000:2:27611026:27615180:1 gene:OGLUM02G27000 transcript:OGLUM02G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGASATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKFDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDDEEDAGAGPRVQCAQQ >OGLUM02G27000.2 pep chromosome:ALNU02000000:2:27611026:27614486:1 gene:OGLUM02G27000 transcript:OGLUM02G27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRMPKKSNNTKYYEVLGVSKTATQDELKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLNDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDLFEQIFQNRGGFGGRGHRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRNALCTKCKGKGSKSGASATCHGCHGAGMRTITRQIGLGMIQQMNTVCPECRGSGEMISDKDKCPSCKGNKVVQEKKVLEVHVEKGMQHGQKIVFQGEADEAPDTVTGDIVFVLQLKDHPKFKRKFDDLFIEHTISLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALTPGQCRSLEKILPPRPRNQLSDMELDQCEETTMHDVNIEEEMRRRQQHRRQEAYDEDDEEDAGAGPRVQCAQQ >OGLUM02G27010.1 pep chromosome:ALNU02000000:2:27615366:27616247:1 gene:OGLUM02G27010 transcript:OGLUM02G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAIHAPTTTTSSDSGSCVTNGTRQKREISKHKQLKRKRSTSPAPPPGCGGGQGQEAAVETDADAGAAGRGGGAGEEESSSCGGAGAGEGERNRGDAGRHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFSSLAHTLPRPASTSPSDIQAAAALAAAAAATDQCESSSSAVAAATATDAEAAESTSSAAASPCAATSSVEENALFDLPDLLLDLSDGLWCSPVWTTAPADQYDAGDDGDDAAAPLLWAEQCWMDAPAAPVQPD >OGLUM02G27020.1 pep chromosome:ALNU02000000:2:27616329:27623239:1 gene:OGLUM02G27020 transcript:OGLUM02G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPCFVCSETAPRRVCGGRSGDARRRHCISHVTYRGDGTDPFQRRLRVAFRRSACIQSGQRCAVQFGGHSDDDPGPSACGGDSLGSIPARINLFFVPSSPHPHSDPKTPLPLTLALKKKLLSRRANFQRIQCSVIARAHPQGPRSTIPGPTCHRLSLSIPPIGPSIGLCSPAPVPSGDDEDEDEDEDVAIEVGRCWEMHGPLGK >OGLUM02G27030.1 pep chromosome:ALNU02000000:2:27744875:27745117:1 gene:OGLUM02G27030 transcript:OGLUM02G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLREEEVEVPMKLPLHRCLLCFLTITSRTMATAGACCSRYLDAATRRAQVRGAAVMAIQLLHRAPQSSPISRSEKWGN >OGLUM02G27040.1 pep chromosome:ALNU02000000:2:27751754:27752857:1 gene:OGLUM02G27040 transcript:OGLUM02G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTPATEKLYLMLGMYEARAHRRQVGPQIPLHRIRTRRRGSAGQHHPPFIDAMLPRRLRPLAAPSCSPAGHQPRPAAARARQRTQLLRSPARGEGRREQEKWRGEGKMRMTHGAHVGPMPSQLPRQIKSESKPPEDQK >OGLUM02G27050.1 pep chromosome:ALNU02000000:2:27784282:27784461:1 gene:OGLUM02G27050 transcript:OGLUM02G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASGGGGRLGTGSASEETGVTGSGSPDLLTGRSSGSSGGSGGGSTVEERELRRRRRT >OGLUM02G27060.1 pep chromosome:ALNU02000000:2:27786767:27787045:-1 gene:OGLUM02G27060 transcript:OGLUM02G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGAALALAAAVCFLLMAPTPVRRPADLPPQAVLLLPEPVDYREAAAELLLPPKPVVDADLMTTAALAVPDEEERGTARARASLLCFVFR >OGLUM02G27070.1 pep chromosome:ALNU02000000:2:27791367:27792044:1 gene:OGLUM02G27070 transcript:OGLUM02G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFGYEPTTSSSGGEAPASPPSTASSSSDGAGGKKKRPRKDGHHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPDLAHELPRPATAAPKDVQAAAALAAAADFPASSANAGASNNPDGSDDASAGSASPPPPPDAADDALFDLPDLLLDLRYGPPSSGLSCASSWEDEVGLISGAGAAAAGVFRLEEPLLWEY >OGLUM02G27080.1 pep chromosome:ALNU02000000:2:27806852:27812429:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMWPDSMMGRRTDGLTRVTSPTKPSAAASGEGRAVRRRASLPQRPTAVAPATLMRETSCLLTLPTSTISTTSMVSASVTRRPFRNCGSTPTRPSHELISGPPPCTSTGRRPTQERRTRSRITDDCSSGDFIAAPPYFTTTVLPLNRWMNGSASESTSTRLSAGAVGWATDAGCAGATEEAHTRWWPRRPRPEARGVTRLGFGLGFGSAVVVGRRRRDEGWGLAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEEAVVLAQNSASEVIQKQQEGVPFLWIKLLG >OGLUM02G27080.2 pep chromosome:ALNU02000000:2:27806852:27811631:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGVGDDRAPELVRCARAAAAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEEAVVLAQNSASEVIQKQQEGVPFLWIKLLG >OGLUM02G27080.3 pep chromosome:ALNU02000000:2:27806802:27811289:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRSLWKELQQANSMVDLYNETRSHSETARRGALPVDQAFGLVMQPTPICDAADAVAC >OGLUM02G27080.4 pep chromosome:ALNU02000000:2:27806169:27811631:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGGVGDDRAPELVRCARAAAAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEDLYGRCHSETG >OGLUM02G27080.5 pep chromosome:ALNU02000000:2:27806852:27812429:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMWPDSMMGRRTDGLTRVTSPTKPSAAASGEGRAVRRRASLPQRPTAVAPATLMRETSCLLTLPTSTISTTSMVSASVTRRPFRNCGSTPTRPSHELISGPPPCTSTGRRPTQERRTRSRITDDCSSGDFIAAPPYFTTTVLPLNRWMNGSASESTSTRLSAGAVGWATDAGCAGATEEAHTRWWPRRPRPEARGVTRLGFGLGFGSAVVVGRRRRDEGWGLAQPKPPQIVKCPQILPPPRLATSNRNPGIRLGVGAFPLRLAGGGIGTVVWAHWEGWLGFVSWRALMILTRSGSAPALLHRRRLAGCGGSHQSVRASPALRRKEEVIQKQQEGVPFLWIKLLG >OGLUM02G27080.6 pep chromosome:ALNU02000000:2:27806802:27811289:-1 gene:OGLUM02G27080 transcript:OGLUM02G27080.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTDDPDPERQRSSSVAPAPPRRLRWQPPIGPCLPRAPTKRRSHSETARRGALPVDQAFGLVMQPTPICDAADAVAC >OGLUM02G27090.1 pep chromosome:ALNU02000000:2:27811747:27812787:1 gene:OGLUM02G27090 transcript:OGLUM02G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTKPHPSSRLLLPTTTALPNPSPNPSRVTPLASGRGRRGHHRVCASSVAPAQPASVAQPTAPALSRVDVLSEALPFIQRFRGKTVVVKYGGAAMKSPELQSSVIRDLVLLSCVGLRPVLVHGGGPEINSWLGRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKQLVSLISVAGATAVGLCGKDARLLTARPSPDAAALGFVGEVTRVNPSVLRPIIESGHIPVIATVAADETGQAYNINADTAAGEIAAALGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGKVGGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >OGLUM02G27100.1 pep chromosome:ALNU02000000:2:27813450:27819551:-1 gene:OGLUM02G27100 transcript:OGLUM02G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFAFRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGIFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINYEPWDFKVW >OGLUM02G27100.2 pep chromosome:ALNU02000000:2:27813708:27819551:-1 gene:OGLUM02G27100 transcript:OGLUM02G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEELPFAFRVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGIFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >OGLUM02G27100.3 pep chromosome:ALNU02000000:2:27813708:27819551:-1 gene:OGLUM02G27100 transcript:OGLUM02G27100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNLAFGSLGDSFSATSVKAYVAEFIATLLFVFAGVGSAIAYGQLTNGGALDPAGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGIFYWIAQLLGASIACLLLKFVTHGKAIPTHGVAGISELEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIGSYQPKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >OGLUM02G27100.4 pep chromosome:ALNU02000000:2:27813450:27819551:-1 gene:OGLUM02G27100 transcript:OGLUM02G27100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARINYEPWDFKVW >OGLUM02G27100.5 pep chromosome:ALNU02000000:2:27813708:27819551:-1 gene:OGLUM02G27100 transcript:OGLUM02G27100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPPGRHLLRVATRAVRSSSALGSGGGGGGASTSATSPVAASSSSGGRPRTRSGGRLLRATSPPPPSAIAAAACWESRTMRREGEEEWEEVVAGAEEAVHGAPVPVAEEEEEYRVVFWSPPTGDEVRAAFTSIEEVFGDPFRAHSYETEEQSALSTSVHSSSGNSSGSDDWIEPAAYALNSTALLTREHRNKMVMSLSCDRAVWDAVMNNEAVQEFRRSFQDDKEAAGRKGNPGGPAGVLKWILGNTQAKIMEFMNNIMKIVNMLFHSDDDEAKPDLYTDAVKVSFMLSVFIFIVVAIARIK >OGLUM02G27110.1 pep chromosome:ALNU02000000:2:27825878:27828677:1 gene:OGLUM02G27110 transcript:OGLUM02G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGPARIATPDRTARRPAATGEIAADEPHRAVAYRVLPFLSRRPPPGAAREASISGRPHSPIFLLFRSVTLSGGWSGASLLLGLCVGDIELRTVSYESFKKLQH >OGLUM02G27120.1 pep chromosome:ALNU02000000:2:27828780:27830274:-1 gene:OGLUM02G27120 transcript:OGLUM02G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHMLGRMRRRDHLLLMDAAPPPAAVAAAVQTLMEPMEFLSRSWSVSASDISRVLTGGVGARRSTNFVVDRLSGMLMPETLALAAASGTNLSPRKRYSRCRSAISAHQHTIGRWFHHRDGSSSKVDKARAERARVHAAVTVASVAAAVAAVASGAANDPDDLDDAKMDAALASATQLLASHCIEIAELAGADHDQVASAVEAAVDVRSPGDLMTLTASAATALRGATALRLRAQREARSKAAAVAPYEKTGSCRGDIWCKEGTLLKRSRKGALHRKRVAVYINKKSQVIVKLKSKHIGGAFSKKKKSVVYGVDDDVQAWPAPHACGGGAVPPAPETASSEKCQFGLRTAQGVVEFQCESRAQKQDWVESVKNLLRQAAGGTAQLEHSFESLRLSAS >OGLUM02G27130.1 pep chromosome:ALNU02000000:2:27857985:27858474:-1 gene:OGLUM02G27130 transcript:OGLUM02G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGTVCSMCGDVGFPDKLFRCARCRRRFQHSYALLRRYIVIRTDASWQRMHACSYCTNYYGDGAPVEAGAGVCDWCLSDAAVVAGKKGPSSEGNEEPFSREYRGRSKQAASTGGGEQEGGGGGRRVSKAGAVRRYKLLKDVLC >OGLUM02G27140.1 pep chromosome:ALNU02000000:2:27861491:27863597:1 gene:OGLUM02G27140 transcript:OGLUM02G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTCFRAAARMASSACRSAASRSIPSAARRGAPRISRLPVELGCSAGLSLLPLHSAVAAARLTSRLSTASRSCCALSQGTLCRTYPGL >OGLUM02G27150.1 pep chromosome:ALNU02000000:2:27866673:27873099:-1 gene:OGLUM02G27150 transcript:OGLUM02G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPDVGVLRGRGLHRDGLKGWPDLRSLSGKKMTPPPPAPVEKQQQQARPAAVAPPDQTLEFSDGLLLRVLACLPEPHLTGAASLVCRRWMRLAGRLRRRLVVRDWAFVTHRLHHRFPELADLDLFPASIVAPAVPSPTSPLLTCAEVSLTLDTGADPPLGACRFLADDVLDRGLVAVAASFPNLRRLSATAASESGGLMDIAGGCATLQELELHRCTDLALRPVSAFAHLQILRLVAASSALYGTSEDGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIANHKIDNGWLAALAFCGNLKTLRLQGCCRIDDDPGPVEHLGACLTLESLQLQQCQLRDRRALHALFLVCEGARELLVQNCWGLEDDMFAMAGLCRRVKFLSLEGCSQLTTRGLESVITSWSDLQSLKVVSCDKIKDEEISPALSELFSTFKELKWRPDNKSRLAASLAGTGMGKKGRVLCKRLREREMKGKGQRTDAGAQLSTNRARMSVKGRAPPPEEGKKNGEKMAAAAAVPIPIPCVAEPTAASRVSPGSSPARSDASEGAAFYAADTEAEPEASVGRSTQMLLAMAAMGGRGGPYGRRPASSYGSCAAWSAGSLTDHRPASPSPICSPVSSNGGEGCRDGDDASSFVTPRLEEDQERLPNRGDFINPSTTPRHIRLQTPRQPSLLDRRFERTNPVPPRFIHKATPARLMRRARSSHNYRRRLGAMDAINEWRLPKVSEEEDEAVDQTDWQADTLSSRISSARDWNFEAGGAYEGSDHNGGAFNHSDGENSPVAVQRMGKWPQGSAVKHKENFVHAKLVAWKNAEIEKLIDKLRRKEADIDEWQMNQVTQAKEKMKRIEIKLEKKRARAAEKMQKAIKDAQKKADKKKIKEHAATDNQIASVERAMVKMSRTGKLPWSLAFL >OGLUM02G27160.1 pep chromosome:ALNU02000000:2:27875797:27887897:1 gene:OGLUM02G27160 transcript:OGLUM02G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKLLPSIVAFVALACCVLRSSVASVDHHRKLSGWSIGGATWYGPANGSGTDGGACGYQGDVGQPPFNSMIAAGSPSIYESGKGCGSCYQVKCSGNPSCSGKPVTVVLTDLCPGGACLEEPVHFDLSGTAFGAMAKPGQDDQLRNAGKLPVQYARVPCKWQGVDIAFRVDAGSNQYYLAVLVEDEDGDGDLSAVDLMQSGGSGGGGSWAAMQQSWGAVWKYNSGPAPLQAPMSIRLTSGSGRTLVASNVIPAGWQPGGTYRSILEEAAAMAKSCTLVLLLVALVGLSLLVSPIACSRKLSKPKPKPKPSMKKPVVRAHNNYTGSPSVTVTTGWAAAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLYKGGKGCGACYEVKCTTNAACSSQPATVVITDECPGGICLAGAAHFDMSGTSMGAMAKPGMADKLRAAGILQVQYRRVPCKYSGVNIAFRVDQGANPFYFEVLIEFEDGDGDLNAVDLMEAGCGWTPMVQNWGALWRYNSNTGKALKAPFSLRLTSDSGKVLVANNVIPASWKPGVTYRSLVNYS >OGLUM02G27170.1 pep chromosome:ALNU02000000:2:27899525:27903841:-1 gene:OGLUM02G27170 transcript:OGLUM02G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPPIYDEL >OGLUM02G27170.2 pep chromosome:ALNU02000000:2:27899525:27903841:-1 gene:OGLUM02G27170 transcript:OGLUM02G27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 family protein [Source:Projected from Arabidopsis thaliana (AT3G24315) TAIR;Acc:AT3G24315] MDKVTQAVENLKEEWNQAVAQLEGCIAAIESCGKMGKGTEEASSLPRLNGSAQDALQLLNSLQCRLDPLAEQLPTFEEVQSGQATLQSWKEQYQKLRMRLRNANLQANANIKKAAQEERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRSRQLMVQEVERSANTLATFDESTSVLRKAEGEYQGHRSLLMRTRGLLSTMQRQDVLDRIILTIGFLIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSISAEDVVAKVKNVPVPAPAAPAPAPPIYDEL >OGLUM02G27180.1 pep chromosome:ALNU02000000:2:27904924:27906783:1 gene:OGLUM02G27180 transcript:OGLUM02G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVAGSHRPPPPPQSLRLVPPPPPQPPPPPLTYRHHCKVCKKGFMCGRALGGHMRAHGIGDDNDTMDDDDGRDDDHSLSPCDGGGEPSEAAGSPTTTTTKRMYALRTNPGRPRNCRTCENCGKEFTSWKTLLDHGRCGLDEEDGRLDVSLRSPPLHDGGDENDGEDEEEGDDLTLAAGGWSKGKRSRRAKVMAVGTGSVSELQLPAPSTEEEDLANFLVMLSSSSSSSSRVAQPAIVVDDADQESCASGSKDEERNRFLVPQPISMAAPMMAQMTVIAPQVVPQHISTVPRGMFECKACKKLFTSHQALGGHRASHKKVKGCFAAKLESSRNETSQTQTQQQHVSAAPHDNTRATTSHVITSDISMDANTIGASADADGKAAASGVGAGEIVLAGASSTDMAMMMSVEDFAPTPLAPSAVSPFKKKGKVHECSICHRVFTSGQALGGHKRCHWLTSGATDPLTKLQPVAQDHAMMAAMCHQLTLGRPIFDPTDQRILDLNVPTNPLAEAVAARQQQQQQVAALNDGALCLNAAASVYLQSWTGHSNGSHVNKTTATSSRINDAAGGVTTEDDEADSTSAKRAKIGDLKDMKVAGESLPWLQVGIGISSESKEKNTQE >OGLUM02G27190.1 pep chromosome:ALNU02000000:2:27925730:27926746:1 gene:OGLUM02G27190 transcript:OGLUM02G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNACKLCYRRFASPRALAGHMRSHSVAAANAAAAAAAAAAAKLQISSASSASTSFTAADEEEEEEEEEEEDVGFKKPLSIYALRENPKRSLRVSEYAFSDRESEAESTPTPAAKGLRGGGGDGEPMSSLSYAGTPEEEVALALMMLSRDTWPSVERGGGGGEYSDDGSDDGYALPPPSPAPAPAPVPEKRTRFQCPACKKVFRSYQALGGHRASHVRGGRGGCCAPPVAPPPQPHPQPPLPEHDAGEEDMDGKAPPHECPYCYRVFASGQALGGHKKSHVCSAAAAAAHAQTPGGGAPPPQPKILGMIDLNFAPPVDEVELSAVSDPHFPSNPPGP >OGLUM02G27200.1 pep chromosome:ALNU02000000:2:27934370:27937461:1 gene:OGLUM02G27200 transcript:OGLUM02G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTNARRRRLVDRGTDRLAFITGQAQTLPSDPLPDSPLNSVDAATPQISERNASEGDISGDKFSNITRLHKSQPSDVVPESQLSAKARQEIHDGDLLREFKTSSTVPEIQPVNETPMQRHGEETLGKRINHDRTATVTRKEMETRPKSVPPNQSNQAENAAWSVETLKEHLNFTPHEITQAISATEYNRFLASAAVAFLAVLSNWGLDIGGTITRVLVGTRPLLFLIITNVTIVFTLLMENKDPNVRGRSAGSNLGSADSLGQMLEIGLLLQKSLGALLMDFSICAVILICFL >OGLUM02G27210.1 pep chromosome:ALNU02000000:2:27937768:27945391:-1 gene:OGLUM02G27210 transcript:OGLUM02G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKRSQQPSVGIVVPNACSHPRNDAVPRAGSSRSRRSSLHLAGRHSHRCHARALCAAPDLRSREAIVSAKDNTNQDAKLRARKFFELEMSVSDCDIDPYGVVNNAVYANYVERAREELAAILGVSASTVTLHIKGVRIYAEQFIETLPDRKLVLESTATIVCLNGEYRPTRVFPELSSKLLDFFSPQESCSD >OGLUM02G27220.1 pep chromosome:ALNU02000000:2:27945461:27948200:-1 gene:OGLUM02G27220 transcript:OGLUM02G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPSVSLAPNTSCQPQHALARAAAGSARSRRISCLHLAVLHVGRHHARALCSHESAFSGANDNTNHDVKLRPRKFFEMEMSVRDCELDQYGVVNNVVYGSYVERAREELAEFLGVSASTAACTGNAMAVSEQNFKYFTPLKRGDNFVVKVTIQIKGVRIYADQFIETLPDRKLVLEAKATIVCLDGEYRPTRVFPELSSKVLDFFSHREASD >OGLUM02G27230.1 pep chromosome:ALNU02000000:2:27954843:27964299:1 gene:OGLUM02G27230 transcript:OGLUM02G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATSGRGVVGGGGHEHERTWRRRRGRPRADAASSAGAAMSGRGVVGRGGNEWTRALARCCTFGEERARNRVGGEVSPQDVTANYLPRQGQRWRGCTRGEEDGPDPTAAAARSVVAASICHRRRSICTGERGGATREGSTGERGPGRGEAALSGKGEPEREEAWEEIGRGDMEGKKGSSMSVRRGMGGDHLSISPPRALEPYVGSMLLLLRGVVGGDNSFMGKEVRGGYSFFKGTEGRAGGRYSFKGTEVRGGVESPCTAAIRVADVQQHAERSKIKS >OGLUM02G27240.1 pep chromosome:ALNU02000000:2:27961504:27963752:-1 gene:OGLUM02G27240 transcript:OGLUM02G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSISLAPNTSCHPQHAGSAAESSRRSHGHLGVLLHVGHSDGRRAGALYAATNLRSLEAIPATGPTLRSLEEAIAAPNLLSHEAVISANNTYQDAKPRARKFFELEMTVQDCDLDQYGVVNNTRGEKFVVRLSLGRIKGARIYAEQYIERLPDRKLVVESTATIICLNRKHRPTRVWPELSSKLLDYFSSQED >OGLUM02G27250.1 pep chromosome:ALNU02000000:2:27966553:27972273:1 gene:OGLUM02G27250 transcript:OGLUM02G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPAHRSSLHVVLAGLLPSVSLAPVAARHDSSLPSAVVVARVGPLSSVVPASCCCGSRLPSASRYATARTSHWPQRPLNLPPSHRHHSRGRLLLQSNPAADTRSSGGDKYDDGGSAASRYTVTQPSQRAVPPPHAEGGLQLCLNSPRSISP >OGLUM02G27260.1 pep chromosome:ALNU02000000:2:27968157:27976269:-1 gene:OGLUM02G27260 transcript:OGLUM02G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQPLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANHEHSAEKSKQANLFQPAHDFLPMIDEVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSPCAACLLDGLEGKKCACVSEPAALSRFPVWFNQKGSGDLEESWGFQEYRRPVKGLGLGLGLPDPRAPRRRAAKQPTAAQPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLGLRDLERKKLEDFPAQGVAGVKSSIQDKRGRTTWSLAGMRSLVAWLPL >OGLUM02G27260.2 pep chromosome:ALNU02000000:2:27968157:27976269:-1 gene:OGLUM02G27260 transcript:OGLUM02G27260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSSPVITDPVAISQPLLGGLPSNLMQFSVMPGGYSSSGMNVGVSRLKIEEVLVNGLLDAMKSSSPRRRLNVAFGEDNSSEEEDPAYSAWMAKCPSALASFKQIVASAQGKKIAVFLDYDGTLSPIVDDPDKAVMSPVMRAAVRNVAKYFPTAIVSGRSRNKVFEFVKLKELYYAGSHGMDIMASSANHEHSAEKVTKSLLQVVSGIEGATVENNKFCVSVHYRNVAEKDWKLVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRQRNCGYGILVSQVPKETEAFYSLRDPSEVMEFLNFLVRWKKHSPCAACLLDGLEGKKCACVSEPAALSRFPVWFNQKGSGDLEESWGFQEYRRPVKGLGLGLGLPDPRAPRRRAAKQPTAAQPPLPPRGAYASEALEKLTRETREAVRGLETATGAMAGLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEENKSKISKEEYERYNNQLELMMKLNEVYEKEPENMAKIFEIMQNMQECGQPPSDLVQDIAPDLDLSKLGQLGLRDLERKKLEDFPAQGVAGVKSSIQDKRGRTTWSLAGMRSLVAWLPL >OGLUM02G27270.1 pep chromosome:ALNU02000000:2:27980208:27980558:-1 gene:OGLUM02G27270 transcript:OGLUM02G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDGDGLDALSTHSASNAQRRWALGTSLPAGHRLGAGRDADADADGATGGWAEYVAVREKSGWGVGEVSNRGRGRRRGGRRAVS >OGLUM02G27280.1 pep chromosome:ALNU02000000:2:27981630:27987115:-1 gene:OGLUM02G27280 transcript:OGLUM02G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPPPPGRGAPGARRPMREFFAAWLSTLRSPLLPLLRRALSSSSSSSSGGWDDPLSSAAAAVEAHFQAHWSALDAAARQDPAQAVSAGDWRSPLELPFLWIGDLHPSLVTSLLRSLSPSPRLLAATDRVDRRIRAAVPSISDRLRRVQEAFISAEVSGAADVEAFLEELKDVALDANRLRRGVLSELVAAAGGYQAALFLEALSRFVLSMHDPEVLRRFDQCRASPAGR >OGLUM02G27290.1 pep chromosome:ALNU02000000:2:27988464:27989508:-1 gene:OGLUM02G27290 transcript:OGLUM02G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPAVRGAPQWLRGLLSEEFFDSCGAHPGERKNDKNHFCVDCAAALCRHCLPHDASHGVLQIWKYASCFVVRVDDLKLFDCNGIQSHTLSDHEVVFLNERTARKRSASVENPCAACARPLPSGHDYCSLFCKVKHLEESDQGLRRALRVNRRSAAAAGGGGGEDPAVAEASQSGKRRASSSESGRSCGGTLRKRSRKQPAPARSPSC >OGLUM02G27300.1 pep chromosome:ALNU02000000:2:27991253:27996037:1 gene:OGLUM02G27300 transcript:OGLUM02G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWRRLGGETKGGNLDAKQPCLLCDQRSAGQSDNAAYLYHRCVHVDGRSEA >OGLUM02G27300.2 pep chromosome:ALNU02000000:2:27991418:27996037:1 gene:OGLUM02G27300 transcript:OGLUM02G27300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLTFRIKQVTASFIAVGFYFQFNEPMILFLHQDNSGGGGGPLEQEFRTDAWPLLVHASLYIYTFTVPSAIVVQALLQLSMCTFEKVCFIHGFPFIWVDSVGLQSEHKLYLKAEREKEDQGLEGWIQALDRTCCNVA >OGLUM02G27300.3 pep chromosome:ALNU02000000:2:27991253:27995206:1 gene:OGLUM02G27300 transcript:OGLUM02G27300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWRRLGDKGWEFGCEATMFIVRSAFGRFLHQDNSGGGGGPLEQEFRTDAWPLLLDYKVSTNYT >OGLUM02G27300.4 pep chromosome:ALNU02000000:2:27991418:27996037:1 gene:OGLUM02G27300 transcript:OGLUM02G27300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLELGSGSGRAGGLPVGDRRSRRRRRHQELRLPAARRRAQEEARLEGGHSGVTKGGNLDAKQPCLLCDQRSAGQSDNAAYLYHRCVHVDGRSEA >OGLUM02G27300.5 pep chromosome:ALNU02000000:2:27991253:27995206:1 gene:OGLUM02G27300 transcript:OGLUM02G27300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSTNKQGPRAPSSQASPARFRFVIGADAVYRSTRLRRYGIRSEPHKMNGRLEMSTGPVASPSAIAARVAADDTRSSACPPRAAERRRRRGWKAVTLAWPYVFSEAYIAYRYVLKTFLCLHSQSHLHGCRQRVGIWMRSNHVYCAISVRQECMLDYKVSTNYT >OGLUM02G27310.1 pep chromosome:ALNU02000000:2:27996285:27996515:-1 gene:OGLUM02G27310 transcript:OGLUM02G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKATAWPWGIRSSERATRGTATPRSLGTACRGAQAEAVLPGCWASEQERARGAALMEAFGFGTLAQQLGWARH >OGLUM02G27320.1 pep chromosome:ALNU02000000:2:27996903:27997823:-1 gene:OGLUM02G27320 transcript:OGLUM02G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLPPRRRRPMAAGSWVRSFHCKSTAIGDVAAAITALPKKPHLHLPRSSCASSGDAHNHKNVSSSSSSSSKAKPAASSKVSPAKPRKPKAKAASVPPSSPPPVPLGPVPALTELPAGHSSRQVVEIIFLSSWSPLPATQAALPAAVASTAAAGASTAEVEMLFRVHNPARAVARFEDYRAAVRARAGGASRSAADGNEMMRFSPAPPDDGCSSAAGEDALRIRTFDGSGGAHANGRGPASGRRAMFLCRVIAGRVADGPATYGARADAEPVPSKEYDSVRAGKGELVVFDRRAVLPCFLIIYKL >OGLUM02G27330.1 pep chromosome:ALNU02000000:2:28004061:28009691:1 gene:OGLUM02G27330 transcript:OGLUM02G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQFGHAQDVTIQAYSHIGPITQTKPKHGSPPFAVSVGLIHSTLLQPILVPEIQTNAKPPKKKSKAKGTLGLASAGAHGSRIHLAAGEGPSDDGAGEVSPRRRTWGSGRGRVPPPPRPVPPGSPPPPQGPRGRRRRPNLAPRAHIPPPDLHHHLFQGIPSPRRPRRRPRARHPLRRRRQAHRPPPSPGLQRRGHVRGRRRRPLVLPQRPLLPRLPRRRLPRGRRLPPRGALPLGRLHVGVQGASWPALRPRRRRRGLPRGVRGLAQLFVVEGDVCSHQLPCVLAIVLARLVAAFLSRFANFVSNSS >OGLUM02G27330.2 pep chromosome:ALNU02000000:2:28004293:28006155:1 gene:OGLUM02G27330 transcript:OGLUM02G27330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTSPPARAQVTTAPAKSPLVGGLGDLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLISTTTSSKVSPLLVGLVAGLVLDILFVGAAKLIVRRPRPAYNAADMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFPREALFLWAASTSASRVLLGRHYVLDVVAGACLGVFEAWLSSLLLRAMCARISFLIANQTMWETSW >OGLUM02G27340.1 pep chromosome:ALNU02000000:2:28012173:28022294:1 gene:OGLUM02G27340 transcript:OGLUM02G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALFLAVNLVVLGVASACGGSPSCPTPTPSTPTPSTPTPTPTPSAFGRCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPIDLSLILNYCGKTVPTGFNVAMASRAFLLVALNLVLFFTVASACGKYCPTPSTPSYNTKCPKNALKFAACADVLGLVSAEVGQPPYEPCCGVLGGLADLEAAVCLCTAIKANVLGITLDIPVKLSLLVNYCGKNVPSGFICA >OGLUM02G27350.1 pep chromosome:ALNU02000000:2:28026759:28029963:1 gene:OGLUM02G27350 transcript:OGLUM02G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaK/aminoacyl-tRNA synthetase-associated domain [Source:Projected from Arabidopsis thaliana (AT4G16510) TAIR;Acc:AT4G16510] MDAAAADLEARQLRILRRVADLELAAQQHLLGALSISAAPSGGEAETGATEARLSSILTARGVLDFTFRRVPADYYDRPLEERRDLLRADSVNQLCKSIVMVNTQAAADVVDCSNPKNSKYYVVVVQYMARLNADSIKNFIYSLNEKQIPKKRFNMRLAPEEESLKLTGFVHNAVTCIGMETDIPVIIDEAITKLDKDFFWLGGGEVDLKLGVRTSQFLNAFKPFVVKCS >OGLUM02G27360.1 pep chromosome:ALNU02000000:2:28030968:28032173:-1 gene:OGLUM02G27360 transcript:OGLUM02G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQSSPQTLNGKLKLNTSTTILLFIVFLFTLCIISCEARHDHLRISDKYSSKKSSLVPKDVAGDDVVGSKQPIDQSVGKEVTLNAKMELAASSGSSSGSLNKRFEGTKVRSVARERSVLGAETNREQVGSKPATTAYTAETLAAMDYPVAHTAPAVHNR >OGLUM02G27370.1 pep chromosome:ALNU02000000:2:28043348:28045477:1 gene:OGLUM02G27370 transcript:OGLUM02G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDHGGGDKEELFWPAGKGGLVVVEPRSVLDCTRSPSPPYSTSTLSSSLGGGSADSTGVAAVSESSTAAAGATKWGAPGEHGGGGKEEWGGGCELPPIPGALDVGLVGGEGWDATATLGNAAGPDQSFLNWIIGAAGDLEQPGPPLPVLQQPLIDNAGFGIPAVDTMGFSLDHPLSGVASDLSSSGAHTATGGGGKASLGFGLFSPEATSLEQPPPSMLFHEGIDTKPPLLGAQPQFLLNHYQPQPPNPAAALFMPLPPFPEHNHQSPHLQPPLKRHHAIPDDLYLARNQQQSSAVAPGLAYSPPLHGPAPFQLHPSPPPIRGAMKSTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPQLGKPFLRSASYLKEALLLALADSHHGSSGVTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIGGMATSCIHVIDFDLGVGGQWASFLQELAHRRGAGGMALPLLKLTAFMSTASHHPLELHLTQDNLSQFAAELRIPFEFNAVSLDAFNPVELISSSGDEVVAVSLPVGCSARAPPLPAILRLVKQLCPKVVVAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVEDAVIGRHKAQKAIAWRSVFAATGFKPVQPSNLAEAQADCLLKRVQVRGFHVEKRGAALTLYWQRGELVSISSWRC >OGLUM02G27380.1 pep chromosome:ALNU02000000:2:28053884:28056022:1 gene:OGLUM02G27380 transcript:OGLUM02G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAALFGAERSGVVDLGGIGGGNRGLFWPAGKGGLVVVEPRSVLDCTRSPSPRNSTSTLSSSQGGGGADSTGVAAVSESSAAAAEATKWGAPGEHGGGGGGGGKEDWSSGCELPPIPGTLDVGLVGGEGWDTMLGNAAAAAAGQDQSFLNWIIGAAGDLEQPGPPLLDNAGFGIPAVDPLGFSLDHSLSGVASDLSSSGAHTATGGAGGGKASLGFGLFSPEATSLEQPPPPMLFHEGIDTKPPLLGAQPPGLLNHYHHQPPNPAATFFMPHPSFPEHNHQSPLLQPPPKRHHSMPDDIYLARNQLPPAAAAAQGLPFSPLHASVPFQLQPSPPPTRGAMKTTAAEAAQQQLLDELAAAAKATEAGNSVGAREILARLNQQLPPLGKPFLRSASYLREALLLALADSHHGVSSVTTPLDVALKLAAYKSFSDLSPVLQFANFTATQALLDEIGGTATSCIHVIDFDLGVGGQWASFLQELAHRRAAGGVTLPLLKLTAFVSTASHHPLELHLTQDNLSQFAADLGIPFEFNAVSLDAFNPGELISSTGDEVVAVSLPVGCSARAPPLPAILRLVKQLSPKIVVAIDHGADRADLSFSQHFLNCFQSCVFLLDSLDAAGIDADSACKIERFLIQPRVHDMVLGRHKVHKAIAWRSVFAAAGFKPVPPSNLAEAQADCLLKRVQVRGFHVEKRGAALALYWQRGELVSISSWRC >OGLUM02G27390.1 pep chromosome:ALNU02000000:2:28068421:28087590:-1 gene:OGLUM02G27390 transcript:OGLUM02G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMNRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESVCMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLLCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEELLVNTPLRQLLDMQMERRTQVDILNRRCASIGIRAWRRLVHCLIETSRLYGPFGELLCTPDNIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNCSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVQGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSFVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEITCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLEMIHMLKLGEGQNVTAIALQKDNTNLLVSTADKQLIVFTYPALSSKIGDRMLQEGNGVLES >OGLUM02G27390.2 pep chromosome:ALNU02000000:2:28068421:28087590:-1 gene:OGLUM02G27390 transcript:OGLUM02G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMNRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESVCMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLLCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEELLVNTPLRQLLDMQMERRTQVDILNRRCASIGIRAWRRLVHCLIETSRLYGPFGELLCTPDNIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNCSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVQGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEYPVFPWVLADYHSKTLDLEDPSAYRDLSEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSFVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEITCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGDRMLQEGNGVLES >OGLUM02G27390.3 pep chromosome:ALNU02000000:2:28068421:28087590:-1 gene:OGLUM02G27390 transcript:OGLUM02G27390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMNRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESVCMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLLCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEELLVNTPLRQLLDMQMERRTQVDILNRRCASIGIRAWRRLVHCLIETSRLYGPFGELLCTPDNIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNCSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVQGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRYLLRRSALELFMVDRSNFFFDFEDTEACKHAYRAIVHTKPPYLNSIFLATQRPEKILKKSQLTERWARWEPIGALNPARLKKFQEHYSSFNDPTIPKFHYSSHYSCPGTVLYYLVRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSFVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEITCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGDRMLQEGNGVLES >OGLUM02G27390.4 pep chromosome:ALNU02000000:2:28068421:28087590:-1 gene:OGLUM02G27390 transcript:OGLUM02G27390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEDAPEMPDASPRLPWEPGGVEHFDAALLGDAAYIVDEEEVSDVEMSEGSPVAPSESSALPSPPSLRKRLAPVVPSDVPEEVVRAVDAVIMGGGLERLREMVSGEDGELSHFVVDVLMLTMGGVDGLDEGAGDGAVTLPSIMSSSRAAAIAAELLPYIPCGVEPSPRTRMARALLATLSSCTRNRTMCTSSGLLAILLDAAEKLFVGMGQRSKWDGAPLVQCIQMLGGHSVSVKDLHSWLLLIKKTLGTCWATSLTLALEKAVGCKEAKGPAVTFELGGEGSGLLAPAESRWPFSNGFGFATWIYVESFSDSLNTGMATAAIAAAAASTSGKSSPSAAAAAASTLAGEGTKHMPRLFCFLTMDNHGVEAYFHGQFLVVESGAGKGKKASLHFTYEFRPQCWYFVGLEYTSKQALLGKVESELRLYVDGELHESCPFELPRILKPLAFCCIGTNPPPTIAGLQQRRRQCPLFAEMGPIYIFTESIGPERMNRIASRGGDALPSFSNGAGLPWKATNAHIRHIAEDNYTLDIEIGGSLHLLYHPSLLNGRFCPDASPSGSTGTHRRPAEVLGMVHLSYRVRPAESLWALAYGGPMALLPLTVSNVEMDNMEPILGDLSSSLATASLSVPIFRIISLATQHPGNKEELCRAHGPELLSQVLHYLLETLSRLESGEKEILSDEELVAAIASLCQSQKNDHGLKVQLFSTLLLDLKMWSSCNYVLQKKLLSSLADMVFAESVCMHDANALQMLLDGCRRCYWVIHEADSIDTFTFTGTERPLEKVNSLVDELLVVIELLLGAVSSTLASDDVRSLVGFVVDCPQPNQVARVLLLIYRLIVHPNTSRANVFAQSFISRGGVEALLVLLQREAKSGDNNISDSCIVPQNSLWNAGSDPKSTSSDLDLKTTAGEANCNGHKTQPLEHHEPPCHEGSTEPGFSSKWCLLKNQFLKNLGGIDFLNIADNVQNDIYNIDNGDGVLVGIVHVLGALVASGHLKFNLPAAKPKLPSSFLTTSNGEGNSMFEDRVSLLLFAMQKAFQAAPRRLMTRNVYKALFSAVINVPSANGSLKLHDSGHRVKHTPLLSVLLRSLPFASRAFQAHAIQDLLYLASTNNENRIALTSIAEWPEWILEVLISNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGNLLEFSAQELQVQTEGINAAAAGVAEEGMMPKETKIQADKATHLSLALAENAIVLLMLVEDHLRSRSQHYFLLCSIDSAASPASGASSAATRSNSLSRTGSEPLDAGGSRQSLSSDAGGLPVDVLASMADANGQISSEVMERVTAAAAAEPYGSVRHAFVSYGTCISDLSEGWKYRSRLWYGVCIPPKSNIFGGGGSGWESWKSVLEKDSNGSWIELPLVKKSIAMLQALLLDSQLGGGLGIGGGSGAGMDAMSALNQLLDSDQPFFCILRLTLASIREDDNGEDDIFMRNISMKNVISEGLGCQTGSMMIHDDNSCSPSRKPQSALLWRVLGPILNMPVSESKRQRVLVASSIIYSEVWHAVSSDRKPLRKKYVGLIMPPFVAVLKRYRSVLAGIHELTSSDGQNPLVVDDCALAADTLPIEAAVSMISPGWAAAFASPPVAMALAMIAAGTSGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPIDATPNLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSGQRRAAGDIERAQRWNTSEAMCAAWLECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEELLVNTPLRQLLDMQMERRTQVDILNRRCASIGIRAWRRLVHCLIETSRLYGPFGELLCTPDNIFWKLDSTETSSRMRRFMKRNYKWSEHLSMANNYEENMLLCDGAESNCSHREDADSLFANALRTNSSIIVADAISVDGGHGNAKQTETETIYSSVDDSTSSDFSSVHNLVRSTVVAPCYSSSKNNERIIVELPSLMVRPFKVVQGTFQVTSKMINFIIDEHMSDSDSYMDDAASTSGQYDQQDKDRSWFISSLHKIYSRRIEPFTALSIQQQGGRFGQDDHMFSCINKTWNSVLEDMNDVKELVPEMFYLPELFTNVNSVDLGSTQLTGKLCSVQLPPWAENPVDFICKHRKALESDYVSAHLHEWIDLIFGHKQRGKDAVMANNVFPYATYEGMVDIDQITNPVQRRSMQDQICNFGQTPSQLLTIPHTKRRPLADILKLQTIFRNPTEVRSFVLPNPENCNVPASAMLVSDDSIVVVGANVPAAHLALHHWQPNTSNGPGTPFLFHHGRNAINLSGGAIMRIFKGSAGSVDDYQFPRAIAFAASAIQNSSVVVVTCEREVITGGHADNSVKLISPDGARTIETAFGHLAPVTCVALSPDSNYLVTGSRDTTVILWRIRQIGSLRKKSTPEPPPSTPTTPNDSASGDSSTSNSSKVLETSRRRRIEGPMHVLRGHLGEITCCSVSSALGLVASSSSTSGVLLHNLRTGRLIRKLDKQEAHLMCLSSEGIILIWNESEKRLSTFTVNGIPIGTSVLTPFSGRVSCIEISVDGKFALIGTCLSNSCNRDGFSAIDDYELDKPNGDDDLPELNETKPSVHVPSVCFIDLYKLELSSKIGDRMLQEGNGVLES >OGLUM02G27400.1 pep chromosome:ALNU02000000:2:28095370:28100087:-1 gene:OGLUM02G27400 transcript:OGLUM02G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39350) TAIR;Acc:AT5G39350] MKPSPLVRQCLAVTIFHSKNTAPLAPAAAAQLHALLLTSGHLLRYHGLHPLFKVYCACGRPSSAHNLLAQMPQPPPVSFSNSLLRSYTGLGCHREALAVYSAMRAFDHLTFPFAAKACAGLRLGRHGRAVHCRALAAGFGGDTYVQNALISMYMSCGDVGAAEAVFGAMRNRTVVSWNAVIAWCVKNGYAERALEVFGEMAADGVGIDRATVVSVLPACAQAKDLNTGRAVHRLVEDKGLGDYVAVKNALIDMYGKCRSLEDARRVFDHCKHDKDVVSWTAMIGAYVLNDRAFEAISLGCQMLMSGAAWPNGVTMVYLLSACASMPSGKHAKCTHALCIRLGLKSDIAVETALIDAYARCGKMKLMRLTLERGSWRAETWNAALSGYTVSGREKKAIELFKRMIAESVRPDSATMASILPAYAESADLKEGKNIHCFLLTLGFLRSTEIATGLIDVYSKAGDLDAAWALFQWLPEKDIVAWTTIIAGYGIHGHARTAILLYDRMVESGGKPNTVTIATLLYACSHAGMIDEGIKVFKDMRNVHGLMPNGEHYSCLVDMLGRAGRIEEAHRLIQDMPFEPSTSVWGALLGACVLHKNVEFGEVAAKRLFQLDPENTGSYVLLGNIYAAADRWRDVQDVRRMMVERGLLKEPGSSLVEARSVQCRTAMP >OGLUM02G27410.1 pep chromosome:ALNU02000000:2:28100289:28102745:-1 gene:OGLUM02G27410 transcript:OGLUM02G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLPRSYARPAGMLPPNNEFGIREITPGLACSGPGGAYELVERMEYLYVRVVKARGLKWSGEFDPFAELRLGGYSCITRHVEKTASPEWDDVFAFSRERIHAPFLDVLVRGRGFAKDDYVGSTRLDLGILPDAPASVQPDSSPAPQWYPVFDKKGEFRGEVMMAVWFGTQKDSYFDSAVHADAAFPVDDKLAAHIKHIRYDVPRLCYVRVKVTEVRDIVFADKARVGEVFVRSRILGQVHRTRTSMDHRWKDEENGHLFVAAAPFKDYLNMSVVGVKNGKEEVIGHVNVLLDSFERRCDARPISPRWFSLMQPEGAAKIDKYSAKISVVLCLECGYKVLSEPVHYLSDVRPAAREQERERKCIGLVELGIREAILTATRTRDGRGSCDAYCVAKYGVKWYRTRTVTDSISPRFHQQYHWEVHDHCTVLTVAVFHNSQIGDKGGLVAGDPVKDVLLGKVRIRLSTLETGRTYAYAYPLMSLHGGGVKKMGELRLAVRFSSTSTLGLFQTYAQPHLPPMHYHRPLTVVQQEMLRREAVTIIAHRLGRMDPPLRRECVEHLCESHALRWSMRRSKAHFFRLAEALEPLSAASAWFYHVCRWTNPVTTVAVHVIFTMLVCYPSLVLPTFFLYKFMLGMRNYLRRPKHPWHVDMRVSHADTAHPDELDEEFDEFPTARPPEVVRMRYDKLRSLNARIQEIVGDIATHAERARCVMTWRDPRATGLYLLGCLCLAVITFSVPFQAVALLTGFYLMRHPILRHRLPDVVANFFRRLPCKVDCLL >OGLUM02G27420.1 pep chromosome:ALNU02000000:2:28108861:28111839:1 gene:OGLUM02G27420 transcript:OGLUM02G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YW27] MPSRTAPFISRLRLLRTAAALSPFSSSAPPRHSPRILRASPVGAPHPPRVSAAVSPLVRPVAAGFALFSMATAASSAASVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGGLFGDNIKWNFSKFLVDKEGRVVERYAPTTSPLSMEVCSQQYLNLGIFDKV >OGLUM02G27430.1 pep chromosome:ALNU02000000:2:28112360:28131149:1 gene:OGLUM02G27430 transcript:OGLUM02G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEDARSFLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLFNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNIFHAVYVLDPASTIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNNGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVETHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHEAIRYLMAGSNRARVGLLIYVRNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMREKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIQPSMRIVLNPIDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISLLGQAIDKEFMKSVGTGVTPKAALRCNRLQNGLYIGAHPQKHKHDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >OGLUM02G27430.2 pep chromosome:ALNU02000000:2:28112360:28131149:1 gene:OGLUM02G27430 transcript:OGLUM02G27430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:glycoprotein glucosyltransferases;transferases, transferring hexosyl groups;transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G71220) TAIR;Acc:AT1G71220] MEAARGARSRVPVAAVVAVVLVAGLAAGGSAAEIRRQKNVQVALRAKWAGTPLLLEASELLSKEWKDLFWDFIDHWKELDKASECLTAKCCVQKIVEDARSFLSEPLASIFEFSLTLRSASPRLVLYRQLAEESLSSIPVKDGTLEQTSGPSTGENFHEAVKGTCCWVDTGSALLFNSADLRKWLDGLGKLAVDSTQQPELFEFDHIYPQSNITAPIAIFYGAFGTKCFKELHVHLAEASKQGKVRYALRHVLPSGCQATSSFCGSVGAIDAVTLSGYGVELALKNMEYKAMDDTAIKKGVALEDPKTEDLSQEVRGFIFSKILERKPALNAEIMSFRDYLLSSTVSDTLEVWELKDLGHQTAQRILHASDPLQSMQEINQNFPSIVSSLSRMKLDDSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHEELSLADQFVKLKIPGSAVHKILSAAPPTESNSFRVDFRSSHVHYLNNLEEDALYKRWRSNINELLMPVFPGQMRYIRKNIFHAVYVLDPASTIDMVLSLYQDSVPIRFGIILYSSRLISVIEENDGNLPVNNGSKTEEDISILIIRLFLYIKETYSTQLAYQFLSNIHKSQNSGDDYNEESVETHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMHKQEAEESSRFVHKLGLYKLQCCLLMNGLVHESSEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSTENKRFVSLVGPYHQGDSTLHDITYLHSHGTTDDAKPVTHLVAVDISSKIGIKLLHEAIRYLMAGSNRARVGLLIYVRNDNPSPILHMKDIFDRTISSFSYKEKVLDFLHELCKFYEGQHVPSSGVGDKISTMREKVYSIAAETGLPVDNYKAWFTSYSHDAVLRGMNKLSDFLFGKLGLEFGSNAVITNGRVFVVNEGDSFLTDDLGLLESMEYELRTKHIYEIIEEIEWTGVDPDDLTSKFYSDVAMLISSSMSIRERPSERAHFEILHAEHSAIKLNGMSSGVHIDAVIDPLSPAGQKLAPLLRILWRQIQPSMRIVLNPIDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVIAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCKEKDRDPPRGLQFILGTKRRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPSKLIAIDSLRGKLMHIEVQKRGGKEHEDLLNADDDNHFQEKMDNKGWNNNLLKWASSFISGDSSSKKKDEKISDLKAARQGETINIFSVASGHLYERFLKIMILSVLKQTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKEMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTASGDTLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGAKRIVPEWVDLDSEARQFTARILGDNPESPGTTSPPSDTPKSDDKGAKHDEL >OGLUM02G27440.1 pep chromosome:ALNU02000000:2:28131967:28149661:1 gene:OGLUM02G27440 transcript:OGLUM02G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEHNQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQNRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKSTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >OGLUM02G27440.2 pep chromosome:ALNU02000000:2:28132212:28149661:1 gene:OGLUM02G27440 transcript:OGLUM02G27440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripeptidyl peptidase ii [Source:Projected from Arabidopsis thaliana (AT4G20850) TAIR;Acc:AT4G20850] MWHLRGRSSVTAAAAAALHKPVAHLRLLLAVSAWSVPAAASNVAAASTTTRGGPSPSAGVAPRAMPSSSSSPPSAAEGTTAAAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADDDGSIVGASGTHLIINPSWKNPSQEWHVGCKLVYELFTDTLTSRLKKERKKKWDEHNQEAISEALKQLNEFEKKHSKSDDAKQKMAREDLQNRLEYLRKQAEGYDDRGPVIDIVAWHDGDVWRVAVDTQGLEGNKNCGKLADFVPLTNYRLERKFGIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTLLPDYGRFIDLASEVVDKHRIIFISSAGNNGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVQAPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMSSPSACGGVALLVSAMKAEGIPLSPYTVRKAIENTAASISDVPEEKLTTGHGLLQVDRAFEYAQQAKELPLVSYRISINQVGKSTSKLRGIYLRGSNTCRQTSEWTVQLDPKFHEDASNMEQLVPFEECLQLHSTDSSVIKIPEYIMVTNNGRTFNIVVNPVNISSGLHYYEVYGIDCKAPWRGPIFRVPITVIKPIALSGEPPALTLSNLSFKSGHIERRFINVPIGASWVEVTMRTSAFDTPRRFFLDTVQICPLKRPIKWEAVVTFSSPSLKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGISVDQKIIGLDGSEAPVRVVARSLLASERLVPVATLNKVKTPYRPVESNLCSLPPSRDRLPSGKQIIALTLTYKFKLEDGAEIKPRVPLLNNRIYDNKFESQYYRISDSNKCVYSSGDVYPNYVKLSKGEYTLQLYIRHDNVQLLEKLKQLVLFIERKLEKKDFIQLSFYSEPDGPTVGNGTFKSSILVPGEPEAFYVGPPSREKLPKNVLPGSVLVGSITYGAVSSFSKKDDQNQHAPASYSISYLIPPSKVDNDKEKGVSSGRKSISERLDDEVRDTKIKFLSGFNQETEDDKSSWTALVASLKSEYPKYTPLLAKILECIVQKATSDDKFSHQKEIIAAADEVVDSIDKEDLAKSLSLKPDPEDEEAQKNKKKMEETRDQLADALYQKGLALAEIESLKTDESTEASAKDVFEENYKELIKWVDAKTTKYGSLTVLRERRCGRLGTALKVLNDMIQDDSEQPKKRLYDLKIQLIEEIGWVHVSAYEKQWMHVRFPPSLPPF >OGLUM02G27450.1 pep chromosome:ALNU02000000:2:28150572:28158573:1 gene:OGLUM02G27450 transcript:OGLUM02G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCAGWRAWKALGPLSFAGAGEGSATRSCSRCLRNAWRGTALAPTRTAISSVPPPPRDSAAPQLFPSVYPPLHAGGAAPLLASIFFDTLQLHNSTRRPKAVDNQ >OGLUM02G27460.1 pep chromosome:ALNU02000000:2:28158187:28160454:-1 gene:OGLUM02G27460 transcript:OGLUM02G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDDGTNLVDDEYDDLDDFIVDNDDDVVLGEEQQDEFEEEEEEQEEEEEEEEEPPVGQVEILTLREQLKADIRRKNQAQQGATAGRASCSSSVQPLAKDRFGSFFGPSRPSLARRVIEEGCSSIIKEKQNVPSNKSSVSSASKKQPIPSGQQQKPKFVKEEKRKVDALRQNRDYSCLFSDDADTPQATKEQPDNMPVLPMKSDVGDIASTSKLISQTDKVSKDSGLKGPSIQSRVGLVGKEPHPNTKRTIASSAKNGSSLPAMKKIQRVQPSSNGQKMQQTLQSKRPQAMLSQSHGQQSLQSRKPKPSLNGQNFRQKVSAPLAQKHLAPSSRPKPSSAVHNDHGKGKTRRLVKRKSKEDGCDEEEVDYKSIIRGMFNYNPAKFVGRDEDDRDMEANYASIQMEERRSARLARQEDDEELRRIMDEERREKQERKRKKLAQKAVVDASQD >OGLUM02G27470.1 pep chromosome:ALNU02000000:2:28160490:28160927:-1 gene:OGLUM02G27470 transcript:OGLUM02G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTDGARVRAGRGEATAISIIRPLAPAHAHAHGQPPLLFSLKPYEAEAEPLGAIESTPRAVPALPQIVPPRPRDLTKD >OGLUM02G27480.1 pep chromosome:ALNU02000000:2:28163562:28169900:1 gene:OGLUM02G27480 transcript:OGLUM02G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPVVMHPTHGGEGVVARETRRREYKGGMVTGDRRCGGSRRTPGATSARRMGIGASGGRGDEIRGRGRMGWIRMLTGERMRGDGSRGAAGKETGRIGEAEVVQRSAAAVSPAYGASANGLGEGCRSVGKSSTAEAAADVAPNGQERSHHGLARAGPNYNCNVVFTCTHEG >OGLUM02G27490.1 pep chromosome:ALNU02000000:2:28165035:28169498:-1 gene:OGLUM02G27490 transcript:OGLUM02G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAAADVTAAPPPPPGPTPPPEPAVTATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIRVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >OGLUM02G27490.2 pep chromosome:ALNU02000000:2:28165035:28169498:-1 gene:OGLUM02G27490 transcript:OGLUM02G27490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAAADVTAAPPPPPGPTPPPEPAVTATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIRVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >OGLUM02G27490.3 pep chromosome:ALNU02000000:2:28165035:28169498:-1 gene:OGLUM02G27490 transcript:OGLUM02G27490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAAADVTAAPPPPPGPTPPPEPAVTATVVAEQKVSPTAPPLDVPAPAPPPPKKRKVEEAGFHNSAYYKIRATVADLRVRFVQVYEATDFRNSDAAREILKEIRVVMELAKKMRHDLGATFEPAKPPEKPLAGVVKDGPVEPPPSAENNHAPQTEKMGETPSSEIAQGSCVTGGSPIGWNFLVWPGGEVVYYGRTKEVFRAGQAEN >OGLUM02G27500.1 pep chromosome:ALNU02000000:2:28169979:28170466:1 gene:OGLUM02G27500 transcript:OGLUM02G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLGVPELRLSSAEAHARFRHIVFEVNSIYTTLRSHRPRRMRPSPRRYQASCWLPAFSHPSPGTIVRLLPTAAAQRPPPFSLLVIGHHTPLPRFSVRLPLRVHARTLMESMASQRYSISQLLEILSPISTVWLTYDHDTDAAQMRGELPCNDVTV >OGLUM02G27510.1 pep chromosome:ALNU02000000:2:28171441:28175024:-1 gene:OGLUM02G27510 transcript:OGLUM02G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHATSEFLSMSQQDEHCRCRITAGQVDNPKTSKQANIMPCQVAHTSLRHCQFGCLMRPVPLNDSHISKTQGGEV >OGLUM02G27510.2 pep chromosome:ALNU02000000:2:28171441:28175024:-1 gene:OGLUM02G27510 transcript:OGLUM02G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIHATSEFLSMSQQDEHCRCRITAGQVDNPKTSKQANIMPCQFGCLMRPVPLNDSHISKTQGGEV >OGLUM02G27520.1 pep chromosome:ALNU02000000:2:28175104:28176041:-1 gene:OGLUM02G27520 transcript:OGLUM02G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGERSERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVITDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRAPCLHLHPSATIIAAITSVLAPSLTHRFPLRFALSPLRIASLHPHRIAPLIIPSRVTSFHIAASSGFVCSRPLADHP >OGLUM02G27530.1 pep chromosome:ALNU02000000:2:28185457:28186454:1 gene:OGLUM02G27530 transcript:OGLUM02G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKYSILEILLVSAEGLKHAHHLGLYSSPYLVSETLMLMTSDFAHWHGMFAGTQRHYVNIQFGDQIFTSKITQGKGKKVWWNEKFRFPLSSDECKELAKVTLKIMERDKFSEDSLFGETKVHVGDIISEGIEREFLQMKPAPYNIVLEDGRYKGELNLGLKFLPNVSLESLEQCTVPPRRQTSVPYRPFLNITLPDIPWRRLFFFCTRSNAKGSRKTKNS >OGLUM02G27540.1 pep chromosome:ALNU02000000:2:28186900:28192317:1 gene:OGLUM02G27540 transcript:OGLUM02G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVGPSLLWTCLDQQPTPKIATPLPLPFSPFGARVPPGSPRGGTLETGGGGDGDGPRTPTSCSAVVSSTREKAEGEGNRRRPSQSYSPPSSWKAVKSSLALLSLRAPSELPSHLKMSSKCGAPKVRKPTINYHRLPLDGHQFDLDAFLTKDRNANNQSKPSTQSGSKSIDRRLTTPQLVSALTGIWNLVGQPESSCTAQISESHEILHKDEPVCFSKEQKEHALMSCCAENSTGLSSQNFLSTPKSIFEDLSLVKKMLMLTSCSSMAGGSSTWRHVHVGSAYYLKYQNIYPMQTRMMHTYAVSGSTEFKKDQSFRRDDNHSSQTRNMPTELCTSSSEEAHIYKSSLHGTKSNLEIIPEYCSSSSCSSQQMVTCEETRIMPADQISSNTCTLTENSVCISCPVGDAVVINSEHTDQNVHGLMSQEHSVDKYSPQLESSVQHRFYGAVNLNRHAVAGALAGTVVSVSLHPIDTVKTIIQVNSSRRSSFYHTLRRALVERGVLGLYGGLASKIACSAPISAIYTLTYEIVKGSLLPILPKEYHSIAHCTAGGCSSIATSFVFTPSECIKQQMQVGSQYQNCWDALLGCLRKGGITSLYAGWGAVLCRNIPHSVIKFYTYESLKQFMLKSAPANANLDSGQTLFCGGFAGSTAALCTTPFDVVKTRVQLQALSPISKYDGVLHALKEIFQHEGLQGLYRGLAPRLAMYMSQGAIFFTSYEFLKTIMFSEQELHARNF >OGLUM02G27550.1 pep chromosome:ALNU02000000:2:28192006:28192314:-1 gene:OGLUM02G27550 transcript:OGLUM02G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGAAAATRASCSRRAAASCWGRFGLGLAALWRRLRWIGLPRRRLRTYVLSASGLNYDPLGYSQNFDNGGLGDGECEANFSVRFARHAGATRPHAPSVAS >OGLUM02G27560.1 pep chromosome:ALNU02000000:2:28195210:28197585:1 gene:OGLUM02G27560 transcript:OGLUM02G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGAVLCIRVALVVVLLPSLLATVAVAHNDTGEHKNYLIIVRKPYEYDHNVYKTVSSWHASLLASVCDTAKEELATDPGAETRLIYSYRNVVNGFCARVTREEVYEMAKKDWFVKAIPEKTYKLMTTYTPKMVGLTGAPAAYHGGLWNRSNMGEGMIIGVLDDGIAAGHPSFDAAGMGPPPARWKGRCDFNSSVCNNKLIGARSFFESAKWKWRGVDDPVLPVYELAHGTHTSSTAGGNFVPGANVMGNGFGTAAGMAPRAHLALYQVCSEDRGCDRDDILAAMDDAVDEGVDVLSISLGDDEAGDFAGDPVALGAYTAIMRGVFVSSSAGNNGPNPLTVSNEAPWLLTVAASTTGRKFVATVKLGTGVEFDGEALYQPPNFPSTQWPLIADTRGDGTCSDEHLMKEHVAGKLVVCNQGGNLTGLRKGSYLHDGGAAGMVLIGPEFMGSMVQPKSHILPVAQIVYLSGEELKAYMKSTKSPTAALIYKGTVFGDRKTPEVAPFSSRGPSRQNQGILKPDITGPGVNIIAGVPVTSGLATPPNPLAAKFDIMSGTSMAAPHLSGIAALIKKAHPKWSPAAIKSAMMTTADTLDRRRRPITDQKGNNANMFGLGAGFINPTKAMNPGLVYDLTAQDYVPFLCGLGYSDHEVSSIIHPAPSVSCKQLPAVEQKDLNYPSITVFLDREPYVVSVSRAVTNVGPRGKAVYAAKVDMPATVSVTVTPDTLRFKKVNQVRKFTVTFRGANGGPMKGGVAEGQLRWVSPDHVVRSPIVVSAQKFLNGNTSSSDHAGH >OGLUM02G27570.1 pep chromosome:ALNU02000000:2:28205773:28211525:1 gene:OGLUM02G27570 transcript:OGLUM02G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGEEYDAMWSARCGVSSSAGSLQDAGDQWDEQSLAAGEDKVFVAVDEDVEHGKSTFLWALQNLATDGANIVVVHVHSPAQTLSKVHCTRMKPEEISEYLMLAKEEAEKNLDEYALIAKSTGKDMKTDCQKVLIDMDDVAKGLEELITLHGITRLVMGAAADQHYSEEMKEPNSKIALKLMETASPSCKIWFTCNGHLICTREPNENLLAIYVPPAQSNTVPLSMCSISSQMSSIELKNEAPSSEEYTLRSLAQSAMSDWDYIFGDWGRTGYGSLRTDDAISIPEATTLAAIVDDTNKQRSVMHSPQESDSVNFSSPACDPQQEEEEPNLDEDMHDILNEACTRAELLKKEVDGESSKRRKAEMDLLIALQRVQESEKSYLQEVNQRKETERTLARQRLEIDEMKRRRNTLYDELQDTKKQKLLLEQHISEIKSAAKDYVQEITEYFIQESCEEAKKFQKIKMDLLAMLQRVKDVENLNRNEKMQRKDMEEKIARQRMEIEETKRQRDELYHELKDVKEQKLCLERLDSSKETKRRRKAESEMLSALKKVHDLEHQYLNELKRREAVEETLAWQKEEIQETKRELNKIRSRHMTEIKAHEENLAESIRFIQKIQAKYDKTLHERDTAIAESEKLCQMNRDGASMIATTQIADFSFFELRQATQDFDTALKIGTGRFMNVYKGFIRNTAITVMLLHPQGLQGQLEFHQEVVVLSRLRHPNVMMLIGACPEAFGMVYEFLPNGSLEDQLSCKKNTPPLTWKMRTRIIGEICSALTFIHSQKPHPVVHGNLNPMNILLDANFVSKLHVCQLLRKYNTGNNTSGTSSYIDPEFLSTGELAPRCDVYSFGIIILHLLTGKSPQNITTIVEDAMEKRQLHSIMDTSAGSWPFVQANQLAHLGLRCANLSGRHRPDLTGEVWGVIKPLLKDASQNFGCKQAFEALSDDTQAPSYFICPILQEVMTDPHIAADGYTYEANAIRNWLDGGNARSPMTNLSLENRELTPNRVLRSAILEWRQHRR >OGLUM02G27570.2 pep chromosome:ALNU02000000:2:28199909:28207497:1 gene:OGLUM02G27570 transcript:OGLUM02G27570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGLGVHRRWDTSGSGSQYSFRTSVSSVAEISTEVGVEVRPPPPPLAAAADKVFVAVAADVKYGKSALQWALQNLAKDGAKVVIAHVHCPAQMIPMMGAKVHYTKMNTKQVNDYRNKEREKAEEKLDEYLLICRKLKVSCEKLIIEEDDIAKGLADLVALHGVTKLVMGAAADKHYSRKMNTPKSKTALKILEAADPSCKIWFTCKGHLICTREANTTVPAIPPSPAHTVASTLSSSSISSRMRSMSLHPSESEASSSSGSPRHNLNRSRTEVAKYPSQGSGTAPSQLFGHSDQDVNDQPTRTAMGSIDSWDEFERSQNSCYDSSRNSDTVRVSGSAMQQPMYEPDDDHFVSPRKLENSGDDADIYGRLQEALSETQDLKRETYEESTKRRNAERNLISALQKASHFASKVKELENLYQKEVMHRKITEEALEKQIQETEETERHCNALYDKLHDVEEQKLMVEQHITEMEAVLKEREDRLHDVEEQKFTVEQRITEMQAVLKEHKDKLHDVEEQKLMAEHRITEIRSVLKEREEKLAESKYLLQVLQADKEKLQQERDAAVSESQDLRLKNKQRISMPGEDLNTEFSSYELEQATRGFDQELKIGEGGFGSVYKGTLRNTTVAIKLLHPHSMQGQSEFDQEIAVLSRVRHPNLVTLIGSCREAFGLVYEFLPKGSLEDRLACLNNTPPLTWQVRTKIIYEMCSALSFLHSNKPHPIVHGDLKPANILLDANFVSKLGDFGICRLLIQTNTGAAAAATTRLYRTTTPKGTFAYMDPEFLTTGELTPRSDVYSLGIIILRLLTGKPPQKIAEVVEDAIESGGLHSILDPSAGSWPFVQANQLAHLGLRCAEMSRRRRPDLATDVWKVVEPLMKAASLTAGRPSFVARPDDSPPPSYFVCPIFQEEMNDPHIAADGFTYEAEAIKGWLDSGHDTSPMTNLTLEHRELIPNRALRSAILEWRQQRQRHQQQEEDDDCT >OGLUM02G27580.1 pep chromosome:ALNU02000000:2:28205659:28212395:-1 gene:OGLUM02G27580 transcript:OGLUM02G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVRAAAVGERSVRQHRPSRRGGGGATGEGTYVAAPIRRLPSPPPALNPSRSARRLPSHRPHRPGAAPALAAPPPSARTGVHVAAWGEFSGGQEFRVNKLADVQLAYKVGIKKNVHGVQITMNNRVRLLAYSSRFFSASSFANIRAVDMNNYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >OGLUM02G27580.2 pep chromosome:ALNU02000000:2:28205659:28212395:-1 gene:OGLUM02G27580 transcript:OGLUM02G27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVRAAAVGERSVRQHRPSRRGGGGATGEGTYVAAPIRRLPSPPPALNPSRSARRLPSHRPHRPGAAPALAAPPPSARTGYNIIRAYSSRFFSASSFANIRAVDMNNYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQNQKPKSTL >OGLUM02G27580.3 pep chromosome:ALNU02000000:2:28205803:28212395:-1 gene:OGLUM02G27580 transcript:OGLUM02G27580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVRAAAVGERSVRQHRPSRRGGGGATGEGTYVAAPIRRLPSPPPALNPSRSARRLPSHRPHRPGAAPALAAPPPSARTGYNIIRAYSSRFFSASSFANIRAVDMNNYNIGPVRGQVLQRPKECALAVLDVLVDGHEHLVLAGGQALLVPLVAGVLQRAGRRADAAPSAPHRVVLFAVAIHCCFLTLRNEKHKTMQLQSNCPAVS >OGLUM02G27580.4 pep chromosome:ALNU02000000:2:28206710:28212395:-1 gene:OGLUM02G27580 transcript:OGLUM02G27580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVRAAAVGERSVRQHRPSRRGGGGATGEGTYVAAPIRRLPSPPPALNPSRSARRLPSHRPHRPGAAPALAAPPPSARTGVHVAAWGEFSGGQEFRVNKLADVQLAYKVGIKKNVHGVQITMNNRPSPDKSSELQVLSYPHSPIHHLKYQSLQFQE >OGLUM02G27580.5 pep chromosome:ALNU02000000:2:28206495:28212395:-1 gene:OGLUM02G27580 transcript:OGLUM02G27580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHASGEGDVAEPGREAREVGLGGDGPSEREEVVLDLGPELVRAAAVGERSVRQHRPSRRGGGGATGEGTYVAAPIRRLPSPPPALNPSRSARRLPSHRPHRPGAAPALAAPPPSARTVLVCGCSHDKPGDAMKGNKFLESFCDIVHVN >OGLUM02G27590.1 pep chromosome:ALNU02000000:2:28215134:28218288:1 gene:OGLUM02G27590 transcript:OGLUM02G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPCTKAATYTLANHARSQDTESPKAHANPNLFPNSKPMILHLPLFACYMWFGFAKLLAVALAPPRGLGSCPGRRMCAPRTCGARAVAGRA >OGLUM02G27590.2 pep chromosome:ALNU02000000:2:28216360:28217426:1 gene:OGLUM02G27590 transcript:OGLUM02G27590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVTSHLNSLILWAEQLDCFSSEILENDLFFGGKIEVVQSSGPKLTCMIVDIQCMRSGSWKNVSCKISSTSELMVLSLLFILGTLFFNSEVVQF >OGLUM02G27600.1 pep chromosome:ALNU02000000:2:28221308:28225103:1 gene:OGLUM02G27600 transcript:OGLUM02G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >OGLUM02G27600.2 pep chromosome:ALNU02000000:2:28221123:28225103:1 gene:OGLUM02G27600 transcript:OGLUM02G27600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSSSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQQGLYMGNGGGANVVASGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWNDHWIFWVGPFVGAALAAIYHQVIIRAIPFKSRS >OGLUM02G27610.1 pep chromosome:ALNU02000000:2:28228604:28239288:-1 gene:OGLUM02G27610 transcript:OGLUM02G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWWGKSSSKEVKKTAKENLIDTFHRLLSPNEQKGRTKSRGNCRHSKDPTAEKGCWSTAQSRSASPSKEVSRCQSFAAARAHAQPLPLPRSRAMVARTASDITESKVVLEKRGKGQQLPLPTTNWVKERPETTEPVAELSTASISSHGSIDSDDPGDLRLQGPVANDTDNVAKVATTGNSSVVHKECSSAITRKGTKEVTMPTNAFLSNQILSTSPRGTVVADSYQSNLQNSRKVVLDSAPNSVMSSPSRSPRILCPDQIPSSAFWAVKPHTDVTFVGSAQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGMAPESPTRRLDEGKRKQTHRLPLPPLSICNNTTFLPNNSTPTSPISHSPGRVENPTSPGSRWKKGKLVGRGTFGHVYIGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEILLLNRLQHPNIVRYYGSEMVDDKLYIYLEYVSGGSIHKLLQEYGQFGEPAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCAFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSEEGRDFIRQCLQRDPSSRPTAVDLLQHSFIRNASPLEKSLSDPLLQLSTTSCKPDLKVVGHARNMSSLGLEGQSIYQRRAAKFSSVHSDIHVRSYISCPVSPCGSPHLRSRSPQHQNGIMSPSPISSPRTTSGASTPLTGGNGAIPFNHARHLAYNNEGFTITSRCLDEPLPNQPPDPVLGRFVRVKQPSLGFQERAVPEADILSPQFGRMGHVSVWNLHDKPLPSEHASQKGFEDWVKLKPPLDLRSGPPHLGCNHEADRLNKGTSLLIVFCKFSHFYLYIFRKRIAFYSD >OGLUM02G27620.1 pep chromosome:ALNU02000000:2:28239431:28241095:-1 gene:OGLUM02G27620 transcript:OGLUM02G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSVQQSVLLMQLEHFGAATIHVSSIALVLAHRSYSAGACIWTEQRATPSPRRVSSAGGGNGAPVHNLVAFRLTIFLSTVSLRSSPLLILSVRLKKKKTVSGGRTGGGSASVLLLRDTDSPPSRARPASRAGGAVRTGCCSPSPTPYRTSILPCAAGRRASRFESKYRIEAFVVKALNRNQSSMSKR >OGLUM02G27630.1 pep chromosome:ALNU02000000:2:28242333:28243931:-1 gene:OGLUM02G27630 transcript:OGLUM02G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTWAVVVAVAAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVQHAENMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHHRLLPILDDAAAGKAHVDLQDLLLRLTFDNICGLAFGKDPETLAKGLPENAFASAFDRATEATLNRFIFPEYLWRCKKWLGLGMETTLASSVAHVDQYLAAVIKARKLELAGNGKCDTVAMHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKVVHELCAVLAASRGAHDPALWLAAPFTFEELDSLVYLKAALSETLRLYPSVPEDSKHVVADDYLPDGTFVPAGSSVTYSIYSAGRMKTVWGEDCLEFRPERWLSADGSKFEPHDSYKFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAQGHRVEQKMSLTLFMKNGLRMEVRPRDLAPVADELRGADVRATAPCA >OGLUM02G27640.1 pep chromosome:ALNU02000000:2:28252089:28252682:1 gene:OGLUM02G27640 transcript:OGLUM02G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGSTTRRPRPTTSKCIAAALLAIVVLLVIIVILWLTVRPAKPLLVYVDHAAVTGFNFTSGGGLNGTFDITLRAYNQNKRAAMWYKSVEVGVWYGGTYLAGAVAPGFDLPPLNVKRIDVAAPAVRAALPRGVEATVKKDRSDGKLPVDVHIKGKVRFRYGLVRTRRYKLRASCSPVVVDFSSPSSFDRVNCHVHI >OGLUM02G27650.1 pep chromosome:ALNU02000000:2:28255982:28257598:1 gene:OGLUM02G27650 transcript:OGLUM02G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:permease, cytosine/purines, uracil, thiamine, allantoin family protein [Source:Projected from Arabidopsis thaliana (AT5G03555) TAIR;Acc:AT5G03555] MAMSMAMSRALAARHRSHLGHRIEVKSHHASPPRLPLLPRSPGLTLASRLRMLPARPRMSSSESDLSPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLVVLISLVLTAAPAVTHGLPFPVLARAAFGVRGAHLPAVIRALVGCGWFGIESWIGGRAVFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMHGMEGIRKLEKYSAPVLIVLTSALLAWAYVSAGGFGRILSLPPRLTRAEFWKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAVTSATEAIFGHVISDPIELLGRIGGPVTTVLAIVGISLATITTNIAANVVAPANALVSMSPRKFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGIILADHYIVRRTALDVDALYSEDSHGPYYFQGGFNVAAMAAMAAGVAPIVPGFLHNVGVLPSVSKAFETAYNNAWFVSFFVAGAVYCLLCRRNRNELKHQHD >OGLUM02G27660.1 pep chromosome:ALNU02000000:2:28258180:28261216:-1 gene:OGLUM02G27660 transcript:OGLUM02G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQGVKKTRDANKKRMDLILRLILAANVIYIVVRMAVMHSSFTWKHWIGLAVTSAAYFLPYKQLANMSEPEYSENGELINAGYDLNAGGMSEYLQDVIYITLFVQLMSIFSDKFWWTYLVIPAYGGYKISGLLRGAFFGGSSEGEEEDEKTRKKREKMEKKASRGKIIKTRTR >OGLUM02G27670.1 pep chromosome:ALNU02000000:2:28261571:28262044:1 gene:OGLUM02G27670 transcript:OGLUM02G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELWALYVGVASLAIGMLGVLGVWLCYLFQAVARGPPPDPPPPPTPPCTPETEEDDKNGLSEEELRMLGGICVAVAGDGEEEEEEQLCPICLDGMEAGRAVRVLPGCSRAFHQDCVDRWLTISPRCPVCNAWVTTQSPGTSPPPPSPTKPALNS >OGLUM02G27680.1 pep chromosome:ALNU02000000:2:28263394:28265933:-1 gene:OGLUM02G27680 transcript:OGLUM02G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >OGLUM02G27680.2 pep chromosome:ALNU02000000:2:28263394:28263746:-1 gene:OGLUM02G27680 transcript:OGLUM02G27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRARVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRRCDDKQKIKFLISEGLQRLKGLDEMLDMTGNS >OGLUM02G27690.1 pep chromosome:ALNU02000000:2:28272734:28273126:-1 gene:OGLUM02G27690 transcript:OGLUM02G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAATPVPRSDGRKLARCPRLQMDAKTVTAIEQSTGAAIADAAAAGAEGAGGGMRVKIVLSKQQLKQVAAAVAGGGAFALPPALEQLVSVLKRQHAKKQVAAAADVVVGRRRCRWSPALQSIPEECFS >OGLUM02G27700.1 pep chromosome:ALNU02000000:2:28282680:28283108:-1 gene:OGLUM02G27700 transcript:OGLUM02G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCFFPREAEAGGRHHHHQSKAAAEALEQLHHGGRVLSREDVGGAVRVKIVVSKRELKHMVAALGSGTGGGGAVAAAAAVTAAGERHRQRAAGGAGGPGAEQRLQSLRRRSMRRAAEAARRMQANGEWEPGLQSIPEEVY >OGLUM02G27710.1 pep chromosome:ALNU02000000:2:28289347:28295881:1 gene:OGLUM02G27710 transcript:OGLUM02G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPILARFVGEDILLIIGLLGGCTHVFLYGIAWSYWIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQA >OGLUM02G27710.2 pep chromosome:ALNU02000000:2:28289347:28301279:1 gene:OGLUM02G27710 transcript:OGLUM02G27710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPILARFVGEDILLIIGLLGGCTHVFLYGIAWSYWIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQA >OGLUM02G27710.3 pep chromosome:ALNU02000000:2:28289347:28295881:1 gene:OGLUM02G27710 transcript:OGLUM02G27710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLAVLGHLFVAAFMFHFASYMVIPAITDVTMDAVCPGRDECSVAIYLSGFQSAITGMGALVVTPIVGNLSDKYGRKALMTLPVTVAILPLFILACNRSKVYFYVYYVVKVLAGIFCEGSMHCLLLAYVADQVGARRRAAAFGLLSGVSAAGFVSGTLTARFLQTSTTFQVAAAVAAATAIYLRAVVPDSGGANSFVDEACDPFLQGSSCSAATSSSSSSDEEISPRLPPHKGGVPSLSDMVSLLTGSLTLSGAAIVTFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIAGAAGMLSQLTVMPILARFVGEDILLIIGLLGGCTHVFLYGIAWSYWIRTNVSKSVGSNEQGIAQGCISGISSFASILAPLIFTPLTAWVLSETAPFKFKGFSIMCAGFCTLIAFIISMRMRAGQSGASEKLAIVQHEQA >OGLUM02G27710.4 pep chromosome:ALNU02000000:2:28293664:28295101:1 gene:OGLUM02G27710 transcript:OGLUM02G27710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGCCALGAIILYSKRIPSPQHGVNKAYSKIYPLKITNIYKGNPSPARFPSSWWRDFPFSGGALPSTTSPLSPRRLEQRWRRSFPAAADRAAAGGGDRGSGRGSKRRRRGSTRRPWIDASAAGSDAAATELPGSGADRCVGGRRSFPAAASSIVDPSRGDDLANVPLQACFAVLEPGRAEVRGALQRSAILCRAMVPGEKREAEE >OGLUM02G27710.5 pep chromosome:ALNU02000000:2:28293664:28301447:1 gene:OGLUM02G27710 transcript:OGLUM02G27710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLGCCALGAIILYSKRIPSPQHGVNKAYSKIYPLKITNIYKGNPSPARFPSSWWRDFPFSGGALPSTTSPLSPRRLEQRWRRSFPAAADRAAAGGGDRGSGRGSKRRRRGSTRRPWIDASAAGSDAAATELPGPRWHPRVQKAFEKLWLALDHTSMTNPTRRQYEWSNYIDRAKVEEDKMKLEFEMAARISATEATYKKKISKLKKEADHARSWFMDSLVVIAILMYKISEYMYHC >OGLUM02G27720.1 pep chromosome:ALNU02000000:2:28293129:28297646:-1 gene:OGLUM02G27720 transcript:OGLUM02G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHAKTDSDVTSLAPSSPPRSPRRPAYYVLSPAASHPDVVVASGGAGGGGGGVAAAEKMSFAGSTPAESPLHYHYHHSGAAVHHSRESSTGRLLFSDQLRSGAAAGVPWRRLAQGSGAGSVGDDDDDEGGLAGAASQWRCYALGAFAFVAVFAFFLLVLWGASKSYKPHVVVKSVVFETYHIQGGTDRTGVPTKMMSVNATVRLRFRNRGTFFSLHVTSTPFHLFYDDLTVATGHAGLGFSVLHTEPLSVYTHTDGELMAEFYQPRRSGRVVTVSVVGKQVPLYGAGAELHSKPNNGRLGPAVVPVRMAFVLRARAHILGLLVRSKFYRRVLCRLDVREASLGKPVHGVAADCEYHDGR >OGLUM02G27730.1 pep chromosome:ALNU02000000:2:28297915:28300710:-1 gene:OGLUM02G27730 transcript:OGLUM02G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEEEGTGYAVDLHRLQASWRWRRKRVSGREEAKHAGQLAAVKAELPPDALGALHVEVLDNIVDRLHIYEVVRTSVLSCAWWGCWESLLFVDLTWSPDVAASDVDVILLRCSATDGRYAPFLSRQPQRSSAVSRSRQ >OGLUM02G27740.1 pep chromosome:ALNU02000000:2:28300717:28300962:-1 gene:OGLUM02G27740 transcript:OGLUM02G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRMAQALQLLRRPRWRRTLKRMAPALATAPPATAVADLAAAAATTPAAAALQGCHHPYGDDYRSDRPPPQMNTRAAALA >OGLUM02G27750.1 pep chromosome:ALNU02000000:2:28302916:28303394:-1 gene:OGLUM02G27750 transcript:OGLUM02G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCMRKGRTVQLYGPDQSTRHAPAVAWRVSAEIVFNERTTRTCKQLRQTKKKDRHAGSRARTPVGSIYQVSWQMKRRYMLRQSQVSPVR >OGLUM02G27760.1 pep chromosome:ALNU02000000:2:28316768:28316986:-1 gene:OGLUM02G27760 transcript:OGLUM02G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTPGLVWAVNVFPRRHRLASTGCSLSGSGNTVFAQASSKSGDCGGRLNCTVPGTPPDGRLWSRAIRAMA >OGLUM02G27770.1 pep chromosome:ALNU02000000:2:28318814:28321029:1 gene:OGLUM02G27770 transcript:OGLUM02G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPPASPPHPKRPKMSSSSDPDQEPTSPSAAGADGAQPRAPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYRAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPVFTDRLNAQLAPQIRAFGYVRVTNSFNAKKFCDRRRYVYLLPVFALDPSAHPDREAVMASVGSGSELTKCLECSERGRKVPGVMGREGKVPDPALQEEKSVEASREEAAVGSNEDANCDPASTGDRSVDLPIPDNETNTQITEMRSNGAEKCDVQLSGGTLCSEAVPASISETVCPILNSDSNTNSDSVDVDPSFVAEKKGSIETVVTEDGNKQAMDVVTEDEKKQDVDYEGSSLEEMPLKSAFSYTHEVKEMFNRILKKYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVNLDGIDFIRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVNLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYSEEAEEFKLKYIFPHIAAMEHKEGAVALWLHSLNSRNYPDFRYMDNAGTEASIAAAVESVDEGTVPSDNISSVMASYDA >OGLUM02G27780.1 pep chromosome:ALNU02000000:2:28321770:28322562:-1 gene:OGLUM02G27780 transcript:OGLUM02G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELLLERSRAITLNGRDKRGRAVVRIVGKYFPGKLSSASLVRSPQFRCFCRRKSDPVSFVAAARALGGRAEAALRGYVRRRVLPEIGEREFVVVYVHSLVDRGDNFPGVAAIRAAYEALPAAAKERLRAVYFVHPGFQARLFFATLGRFLFSSGLYEKLRYMSRLEYLWEHVSKGEMEVPECACRHDEELERRPLMDYGIEATDRRCMFDAASMDTSASLHSLRCIS >OGLUM02G27790.1 pep chromosome:ALNU02000000:2:28322892:28325022:1 gene:OGLUM02G27790 transcript:OGLUM02G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGVGWIGVARGRGGGRVVIRWGPHVINILLYPLSHMFPVIPLGGHNEVGGNNEISQASYFYINTPPPLLAAMATAVVATTPARLLHHTLVSVSRHLCGHVSLHPTLYSLRGAFDAVGGVVATDGTIGQMVEAATRYCPFSDVCLQHGLAERSA >OGLUM02G27800.1 pep chromosome:ALNU02000000:2:28376363:28377755:-1 gene:OGLUM02G27800 transcript:OGLUM02G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHSFSYVLVALCLLGVAAEATQLAPAVFVFGDSTVDVGNNNYLNITKQARANYPKHGVDFTGSTPTGRFSNGYNLADQLAQQLGFPMSPPAYLSLTAKTIVSQMYKGINFASGGSGLGDKTGQGAGDVIPMFHQVQYFSKVVAMMQKLSGSKTTNTLLSKSIFLISTGSNDMFEYSLSGGNGDDREFLLGFAAAYRSYVRALYRLGARKFSVVSITPLGCTPSQRARRLSEDGTRGCYGPINTLSLRSYPTLAASLRDLADELPGMAYSLSDSFAMVSFVFANPRTNAWSFTELESGCCGSGPFGALGCDETAPLCNNRDDHLFWDANHPTQAASAIAAQTLFTGNRTFVSPINVRELALL >OGLUM02G27810.1 pep chromosome:ALNU02000000:2:28378450:28382431:-1 gene:OGLUM02G27810 transcript:OGLUM02G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQMLPPIALVAVAICITAAAAAKVPAIYVFGDSTADVGNNNYLTGAAVPRANFPHNGIDFPTSRPTGRFSNGYNGVDFLALNMGFRRSPPPFLAVANKTSNPLFRGLQGTNFASAGSGILDSTGQSIIPMSKQVQQFAAVQRNISARISQQAADTVLSRSLFLISTGGNDIFAFFSANSTPSSAEMQRFVANLVSLYTNHVKDLYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGLNKGVKDAMHGLSVSFSGFKYSIGSSHAVVQSIMKHPQRLGFKEVTTACCGSGKFNGESGCTPNATLCDNRHDYLFWDLLHPTHATSKIAAAAIYNGSLRFAAPINFRQLVDDQH >OGLUM02G27820.1 pep chromosome:ALNU02000000:2:28385233:28386199:-1 gene:OGLUM02G27820 transcript:OGLUM02G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERNTESHQGGEAAEQVEVKDRGLFDNLLGRKKDDQPEEKKHEEELVTGMEKVSVEEPKKEEHHAEGEKKESLLSKLHRSSSSSSSSSDEEEEVIDDNGEVVKRKKKKGLKEKIKEKLPGHKDHAGEHAPPPAATGFPAPAPPASVVTAAPTPAPAPVVTHGDHHHDTAVPVEKIEGDHAKTEATLPHAPEEEKKGFLDKIKEKLPGVHKKPEDATAVPPPAAAPAAPATTPAPAHPPPATEEVSSPDGKEKKGILGKIMEKLPGYHKGSGEEDKTAAAATGEHKSSA >OGLUM02G27830.1 pep chromosome:ALNU02000000:2:28394325:28402220:1 gene:OGLUM02G27830 transcript:OGLUM02G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMAPGRGDDLEELIARLVNVTVAALMIWRRCSTTAARRSPRCDAGFPGGGVGLPGSGASAAGVRPMVPGVGVMGCEEVPLHQAPSAPPVTEREKV >OGLUM02G27840.1 pep chromosome:ALNU02000000:2:28402632:28406334:1 gene:OGLUM02G27840 transcript:OGLUM02G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFRRTISFPAPKAATAAKGEAYRVRSASLPCRFHPLVVQLDEDVATMRELVGRLASAASAGSVAGAAEQLGRVLVSLSELLHHPQAQEPLRRLGRSPFAERLLDDFLRLADAHGSFRAALVALSALQAEARAALRREDPARLASAARALRRSGRDLPRIASSARAVAAKPPPPPPAGLPADGTALAAAIADATAAVASASAAVFSGVSSLSIAAATARVEVAATPCWMPSPARFTTPSATPRHHIITTKPSSLRIWWVADLMRWMSRAKRRSASKQHADSDASSSSTSSAATARPQPNVAVDPDERERKAAFERLDNLGRCIADVESIGEKVFRALGMAFYEIKPRVQKGALRVHSSCGRSRVSTLCVCEPEVPGSPDVAMYGIEFDRLQSRSASTRFRAERGPRPCGFAISRPSGGPSPGVPVALILGGRKLPSCHAVVALEYDHVSVQKERWLAGCPTGCVPPVPLAADYAACIFYLSVLAKAIFHICMDSGGMRSYFELGAWVVNSVI >OGLUM02G27850.1 pep chromosome:ALNU02000000:2:28408000:28411780:-1 gene:OGLUM02G27850 transcript:OGLUM02G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKAPHRIKPVSKQPRDLLRPVLYSYLWRYASVGFSAWANPERLLLFSREPKRRRIPLFLPSREPRAAATGDLPSESATGCLRGRRRWRHRRWQGRHRRRVRLPPPPILSHGRFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFRWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >OGLUM02G27850.2 pep chromosome:ALNU02000000:2:28408000:28411780:-1 gene:OGLUM02G27850 transcript:OGLUM02G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKAPHRIKPVTCGGMLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLEGGGGDTGGGKGDIGEEFASLLLPSYPTEGVKLYSHIFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFRWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >OGLUM02G27850.3 pep chromosome:ALNU02000000:2:28408000:28411780:-1 gene:OGLUM02G27850 transcript:OGLUM02G27850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVKAPHRIKPVTCGGMLLWAFLLGPILSVSYSSHENPNDAAFPFSSLPGNPGRRRPATSPPSRRLVPPFLPCAPSPLSVRVPERKEAVETPAVARATSAKSSPPSSSHPIPRKVFGLPMESDEDAKKGYADFEERVKRTIYIDHLSPQVTSSVIEAAISQCARVVNVDFIVNYTIPYDIPSAALVELDDEIQAKAAIDLMNNFPFIIGGKPRPVRAIYAKHEMFQDRPPRPGIKKEFRWVKQEDGIEYEGMNKLRLLARRQETENMALIKNLLEEDKDLAKQQQELLDGIYKKYCILDSDVMNDIKNLSRRYGVNLAGD >OGLUM02G27860.1 pep chromosome:ALNU02000000:2:28422811:28427357:1 gene:OGLUM02G27860 transcript:OGLUM02G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEQQEAVALCRGRADLLAAAVRHRYALAEAHAALADSLASMSASLHLVLAPAVAAGAAVALPSARKDVDAAAEAAASPPHSSSHINFAPSSGSESGSVSSSPSRRVAAGHEQLYQPSALPFPHYAYGYGYAPEPPFGYPPGSLQLYYARSRPPPPSVAVEQRAPASERVYFGSFEPAQYHPYGGETRRADRAAAPPPSPPRASSWDFFNVFDNYEVYDNYCYDAPGTGATTPAPYTPSRSSREVREEEGIPELEEDDAVVKEVSSEYSAHGSGGARSRRSSIGGVSSSIAEVDEEENPVVDKGVVGGGVARQQTPAHGNVAASVPTPRRAADGADVAGEIKAQFVRAADAVRALAPILEVGRRSYHPRSSVYHVSSRMVSVIALPHSGYGGSDLLDVGGGEKVVGARSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNSKRLKFLDQKGAEAPKIDATRNLVRKLSTKIRIAVRVIAKVSKKINRVRDEELWPQVNTLIQGFVKMWQDKLNCYHIQCQAISEAKNLDSIISGGTSRDLAMELELELIKWIVNFSSWVNEQRSFIKALNGWLALCLNYQQEETADGVPPYSPGRVGAPLVFVICNSWSQAMDRISEKEVITSMQALVSSVRSLWEKQNVEQTEQLIAIREREKWNKILERKTLEINKEADTLNRKLALVPGRQSLLPTAQTYQVHFLEANSVQVSLKRVLEALESYSSNSLRALDETLRHAEEERLSRERAKGVPHGYFSWSTSQEL >OGLUM02G27870.1 pep chromosome:ALNU02000000:2:28427916:28432171:-1 gene:OGLUM02G27870 transcript:OGLUM02G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSEEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLKSVGTELGVKVKHSRFHHLYRGTSPFRVRRASKEYRLFFITCKLISVKRVLGLNSPTMGIASVVHLYVFPAKPYSLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >OGLUM02G27870.2 pep chromosome:ALNU02000000:2:28427916:28432171:-1 gene:OGLUM02G27870 transcript:OGLUM02G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNPALFLPLMAEYAAPTWAILISGFFMLLSVSLSMYLIFQHLSAYNNPEEQKFVLGVILMVPCYAVESYVSLVNPDTSVYCGILRDAYEAFAMYCFGRYITACLGGEERTIAFLKREGGGDSEEPLLHGASEKGIIHHHFPVNYILKPWRMGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGAYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIGIMIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYSLLGNHRSPENISVLGDYAATDPVDPDEIKDISRPTKLRLPQLEPDEIIVTNVKESVRDFVIGSGEYVIKDLKFTMKQAVRPVGKRFEKLMKKKGKFGQSRDDNWVSTSTPQRAIHGIDDPLICGSSSDSGIGRGKRHRRDVSSAGVVDSWEGSDQTSDGYVIRGRRWEIKKS >OGLUM02G27880.1 pep chromosome:ALNU02000000:2:28434722:28437200:-1 gene:OGLUM02G27880 transcript:OGLUM02G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSDDGEVEAVKVMARHALPQAAMARPVMVAIAQPNARVAMSPGRPPTGKLPSQATATSTGGGRSVAGGGGRTNAGGDASAEGRILEAPNLRIFTFAELRAATRNFKADTVLGEGGFGRVHKGWVDERTMSPARSGSGMAVAVKKLDPESLQGVQEWQSEVNFLGRLSHPNLVRLLGYCWEDKELLLVYEYMAQGSLENHLFRSEPRKGGSASPQQPLSWSLRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTQFHAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRALDAGRPSGQHHLVDWAKPFLSDRRKLARLMDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRGGSGGGAPGSASPRPAARGGGGGGAHGYGQSPRPGSDWAGPAAGHPSPRVR >OGLUM02G27890.1 pep chromosome:ALNU02000000:2:28437284:28453405:1 gene:OGLUM02G27890 transcript:OGLUM02G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRRSCPSSDVLRHVFRSSSIKKGGGNDLDVVWKDETRPGGRLLLAGRLVDCHLGTHASGEEHMAAWPHGAYRGNIHGTAALHKLGVGVGGGSGDDDGFGFSGAAADGAAVVRRVLQGRGYISYGALRRDTTPCSVRGASYYNCRPGGQANPYSRGCSAITRCRG >OGLUM02G27900.1 pep chromosome:ALNU02000000:2:28459470:28461590:1 gene:OGLUM02G27900 transcript:OGLUM02G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKADASKKGEGRLKAAGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAAHPDNKSVAAVSKAAGEKWRAMSEQEKAPYVDKAGQKKQDYEKTKANFDKKESTSSKKAKTHDDGEGSDKSKSEVDDDQDGGSDEENEDDEE >OGLUM02G27910.1 pep chromosome:ALNU02000000:2:28463176:28467394:1 gene:OGLUM02G27910 transcript:OGLUM02G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAATVAAAASALLLALLLALAWGAAAGAGEVPLGWELGVGVGGGSGDDDGFGISTSNLLNIIFKIEGNVFKGITKPEWTNFMVNGNK >OGLUM02G27920.1 pep chromosome:ALNU02000000:2:28468544:28470370:-1 gene:OGLUM02G27920 transcript:OGLUM02G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSQGVFKEIIDKDVVAYTSMITGYSEIVDSVAWNAFDIAIDMLQGNLEVNRVTMISLLQIAGNLGALKEGKSLHCYSIRRAIVVSDYILETSIVNLYTRCGAYQSAVATLQNSKGTAASWNAMLSGLTRAGQSFNAIHYLSVMLHEHKITPDSVTFANVISACVEVCYFGYAASIHTYLIRRYIPLDVVLATALVKVYSKCKKITISRHLFNQLIVKDAVSYNAMMSGYLHNGMANEATSLLNYMMAEGIAPDFATVLSLLAAFADQRDLVRGRWIHGFAIRHGFCSDGDVENHILYMYSVCGKPAAARVIFDLLEQKSLVSWTAMMKGCLPYGHGDEVVQLFHLMQKHGEKPDSMSLVTAVQAVSELGHLNGLKQIHCFVYRSLLEKDKITANSLISAYAKCGRLDLSVGLFFSLEYRNLDTWNAIISAYAMHGFYINMLEMFKQMEEENIQPDELTFSTVLTACSHAGLVKNGWRMFNSMTSVYSVLPQEEHYGCMVDLLGRAGHLEDGYKFIKLSTLKDKSTIFCVLLSACRTHRNTQLALAISKELLEHGPQKPGIYALISEVYAQEGQWNEFTNTRARANLSGLKKHPGSSFIELMEQGMC >OGLUM02G27930.1 pep chromosome:ALNU02000000:2:28474945:28476213:1 gene:OGLUM02G27930 transcript:OGLUM02G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGNEASSSSSRLLDPAPLLPHHGGDGAGKLSSQPKTFANVFIAVVGAGVLGLPYTFSRTGWAAGSILLLSVAALTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFRGPGRLAVDTMLVLSQASFCVGYLIFISNTMAHLYPVFAPSSNALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGEDVSVWLAKPPPVFAFGGLSAILYGIGVSVYAFEGIGMVLPLEAEAANKKKFGTTLGLSMGFIAVMYGLFGAMGYIAFGDATRDIITTNLGTGWLSAAVQLGLCINLFFTMPVMMHPVYEVAERLLHGKRYCWWLRWLLVLAVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMSWPGVLSDVLLVLLGLSLAVFGTYTSLLQIFHSSSA >OGLUM02G27940.1 pep chromosome:ALNU02000000:2:28479184:28480317:1 gene:OGLUM02G27940 transcript:OGLUM02G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPGKTGRRDAGGTAPAVEDRWTQLPPELLPLICKKLPDSADFVRFRTVCSAWRDAAPLSDDPPQLPWVVERRGSAFQARAHFRFYSPSSGRTYGVRGYGGRSWLVMGGACQEHLVTTVDLSTTALYNPLTGERLALPPAPYPQWRHGVVHVVADGRRRGGAPLVVNASTRTGHFGYCRQGDTKWTLVDGRQDMGHRAYHGGRFYVNTNAQETLVIDASTGAVESVLPPPPRSADAGAGVSCGDYLVESRGKLIRAVLFPRDGVVATSAEDYYLNVYQLQEDGKAAAAWAKVESVGDSVLFFDKHGHGFSLEPNGAAELKRDCVYFMHEKRTWLDAGEYRFLCRYNMETGEVDRVVSLPDTFGDTWVVPGLCPSE >OGLUM02G27950.1 pep chromosome:ALNU02000000:2:28482191:28483632:1 gene:OGLUM02G27950 transcript:OGLUM02G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISQYINVYQLEEHGKAATSVGQGGVHWGGHGFALEPNDASGPRWDCVYFMHEKRTWLDGGKYRFLCRYSMEDGKVDRVVNRFLSQYINVYELEEHEEAAMMWAKVESVRVRMLFFDKYMHGFSLEPNDTSELRVMPLPNMFRDT >OGLUM02G27960.1 pep chromosome:ALNU02000000:2:28492559:28493194:1 gene:OGLUM02G27960 transcript:OGLUM02G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGEGLLFYASDPPAAAWAPIPAMADCNASSINYSGGEFFVFEEDVCRTTIVDALTLAVAAVIPAPAVELPSEARIAVAGDELFLLVKSKWMYLFGDDIDFSKVFRVDHRSVDSAWQELTGIGGRALFVDSLHGFAMETVGFENLEGNTIYSVTTKEVNDRRSTTVKYSVSVFSLEHRSSKKLACRLNKLEMALRGETPSWIIPSLNEG >OGLUM02G27970.1 pep chromosome:ALNU02000000:2:28496307:28499189:-1 gene:OGLUM02G27970 transcript:OGLUM02G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAGAVQLQYFMPQQGAAAAAADHHHQADSATACSASTSPATAAATMWEYHHQLSTHAALQPSSSFPYSYWSPYSGSTALAGSAFAADSSSSSTDVMRLPAAGEHAHGHGWSHGELSNSTTGGGYRENFLDLLASKNVTPEMFEEVPASEHYNVAPAGTTLTTTARSFDHHARSDVSPIKYEIAGSPLYLGGTNTVLQVQDMTMMSSTPACYGEHHHHHHHQLTKEGSCNHQQQEQHELAISPMASFLQQISSGSASVGVHNSSLDYSGLGDQPDKICCQDGREMEASPFGMRSLPDLGSFAGYTPAIESTSVQPYMRCANSSDSNRQEQETVPARSSSSGSGAAATDRKKRKSEERQESTVKKSKQEASKASPPKQPVPKVKLGEKITALQQIVSPFGKLLSEPYTNSSRSNKQGNSVPWGDQAEASKGETMEHDLRNRGLCLVPVSWTPEVYRDGNAMDYWTPAYRGCLYR >OGLUM02G27980.1 pep chromosome:ALNU02000000:2:28515132:28515580:-1 gene:OGLUM02G27980 transcript:OGLUM02G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIFTIWKTYYQQTKQHVHIISRIISSRLLSVRRVLQKHKAGTQRRHEMLEFPCLPLRKNTIRTE >OGLUM02G27990.1 pep chromosome:ALNU02000000:2:28532024:28532623:-1 gene:OGLUM02G27990 transcript:OGLUM02G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVDAQQEPTEGRRFYSPDRPEGLAFPTSYRALYDLPTSPECLFEEDKFRQTRTWGENLTFYTGVSYLAGATSGALVGLRRAAAEAERGESAKLRINRALNQSGSVGRAFGNRFGIVAMLFAGTESFVRDQRDGADDWVNTVAAGASAGALYRIASGPRSMIVAGILGGVLSGAAVAGKPMLQRFAPKLSARLDYLR >OGLUM02G28000.1 pep chromosome:ALNU02000000:2:28555409:28565314:1 gene:OGLUM02G28000 transcript:OGLUM02G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAVAALFGIRDGDHEDQIKPLFAQQQQHHHHQPPMAPSNAAAAASAAGSAAGQAAVAAPPAKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPAAAGHLYGSAGAANMALSLSQVGSHLASTLQDHGHHHHHHGASPDLLRFGGSGGGAMAARLEHLLSSSSASAFRPLPPPQQQPPAPFLLGAAPQGFGDGGDGSGPHGFLQGKPFHGLMQLPDLQGNGTGGPSPSGPGLYNLGYIANSANSSGTSSHGHASQGQMTNTDQFSEGGGGGGGGGGSETSAAALFGAGGNFSGGDHHQVSPAGMYANDQAMMLPQMSATALLQKAAQMGSSTSSANGAGASVFGGGFAGSSAPSSIPHGRGTTMVDQGQMHLQSLMNSLAGGGNADHQGMFGSGSMIDPRLYDMDQHEVKFSLQRGGGGGGDGDVTRDFLGVGGGGFMRGMSMARGEHHGGGGSDMHGTLEAEMKSASSSFNGGRMQ >OGLUM02G28010.1 pep chromosome:ALNU02000000:2:28570111:28576450:-1 gene:OGLUM02G28010 transcript:OGLUM02G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKKTEPRNAGESSGAQQATGAPGRGPSQRPERAQQHGGGGWQPANPQYAQQAGRGGGQHQGRGGRYQGRGGPTSHQPGGGPVEYQAHEYYGRGVQRQGGMPQHRSGSGGHGVPASPSRTVPELHQASQDQYQATVVAPSPSRTGPSSLPVEASSEEVQHQFQELAIQGQSPTSQAIQPAPPSSKSVRFPMRPGKGTFGDRCIVKANHFFAELPDKDLHQYDVSITPEVPSRGVNRAVIGEIVTQYRQSHLGGRLPVYDGRKSLYTAGPLPFTSRTFDVILQDEEESLAVGQGAQRRERPFKVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVARSFYSPNLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPIDNHGTVKTVVQYFQETYGFNIKHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYHQDPYAQEFGIRIDERLASVEARVLPPPWLKYHDSGREKDVLPRIGQWNMMNKKMVNGGRVNNWTCINFSRHVQDNAARSFCRELAIMCQISGMDFSIDPVVPLVTARPEHVERALKARYQEAMNILKPQGGELDLLIAILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWKDPQRGTVSGGMIRELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLFYELDAIRKKRHHTRLFANNHKDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGAHTRGGGPLPGARSTKPAGNVAVRPLPDLKENVKRVMFYC >OGLUM02G28020.1 pep chromosome:ALNU02000000:2:28586837:28593437:1 gene:OGLUM02G28020 transcript:OGLUM02G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISGERKGAARQYNRSKVPRLRWTAELHRSFVRAIDCLGGQQKATPKLILQLMDVRGLTISHVKSHLQMYRGTRHGIGQNDMQPQLHLKQHSFGSDEQSPKEFMCPPIKRAKVGTEASGKYRCMEGSSDMRSSAPPAGTRYFIDDCMRLQEVSMDRRRSDQHDAAAAARARAPAAAASSLHQALGFWVQGRREEPFMVHQISKPKAHQLNHMVRNMKISCKENHESRFFMVRSATRDEQVKKRAPPLSLAVDQKAANAISSWPSEASCVISPSPRNFSTDCSGPPGCSFVGRRVNLELSLSICGS >OGLUM02G28030.1 pep chromosome:ALNU02000000:2:28592011:28596408:-1 gene:OGLUM02G28030 transcript:OGLUM02G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEPEPKPEIETPPPAREPPTEPPAAAPAPAAAASDRPLQPWEQHAAVINLPRYDYRASGSLLLRSHSGFLITCPIKREKSATKEAISILENSIGHANSYSSEKSEPSDTEVSAKKRKICSETPDIENSGDAVTYEKGDASETTGSVEKDSVSPHSKTSKNVDDTSNLSLVKLSRSGLLFFSFPSGGLRVVQMLTQMFHSLHSGKLKSPQWCHRIFPIQETCVLSEAELHTTVSKLFLDFVKSKEDQDEPIKFAVGYNRRGIDETEMKGQKNGNEGSKQQTLMDRDQCFKVVAGAVKSVAENSIVDLRSPEVAVLVEMLPISGVSLGSSVAGVSVLPSELISTKPRLCVKALVPDAKAAKKK >OGLUM02G28040.1 pep chromosome:ALNU02000000:2:28600289:28605775:1 gene:OGLUM02G28040 transcript:OGLUM02G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNAadenosine methylase [Source:Projected from Arabidopsis thaliana (AT4G10760) TAIR;Acc:AT4G10760] MEAQADAGGDDLAAMREQCRSLEEAIGFRRETQMGLVASLQRLVPDLVPSLDRSLRIIAAFNDRPFVPTPNPDGGHGKSPAALKPHHRRALPDPARSTRRKTSPGSSPASVAAAPGGLDAVRTMVAVCLLELVPFAEIDAAALARRLQAESSSASEAERTALADLAAELGGSAASAVVLALRRIAEDTGGVQIEEAMIGGKSMTMVWAIDRNKLLKELPESATLPLLQPPPAPQMPPSETDAGSAMIPRTPQQQQPQPDMWPHSMPPIFPRPRGMTMQGMQRVPGVPPGLMPLQRPFMGPAGVITMGGGVGPSPNQQKQKSEEDELKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQSGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDIPPMMAGALAPPRQIRLQRAEYCSEVELGEAQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNAHAGWLSLGNQLNGVRLVDEGLRARYKAAYPDSEVQPPSPPRASAPIDGDQGTSQKPTVSDGERPA >OGLUM02G28050.1 pep chromosome:ALNU02000000:2:28609815:28611362:1 gene:OGLUM02G28050 transcript:OGLUM02G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCPGCGMLLQIQPATGGNRLRFYCPTCPYVCPVKNKARLFLALSPPYHFASLLRLRLCIVKKARLVKKEVEPIFSDSDAMKNAPKTTTTCPRCQNGEAYYRQMQIRSADEPMSTFYKCCREECQFDWRED >OGLUM02G28060.1 pep chromosome:ALNU02000000:2:28612046:28615954:-1 gene:OGLUM02G28060 transcript:OGLUM02G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFSDLDKVDDAECVEVDPTRRYIRYNEVLGRGAMKTVYKAFDEVEGIEVAWSQVEIDEVMQSPDNLERLYSEVHLLKSLKHENVMKFYNYWVDDQKKTINVITELFTSGSLRQYRQKHPRVDLKAIKNWARQVLRGLDYLHTHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMLTPRAKSVIGTPEFMAPELYDENYDELVDIYSFGMCMLEMFTLEYPYSECTNAAQIFKKVSKGVKPAALAKITNIQAKQFIEKCLVPASERLSAKELLQDPFLCSDNSSVLVGTKFPSSLPKSVDVSLEALHMDVDTNESMCTSTCKRNDLGGPHRSVLEFTRTNKNTELKLTGEKLDDNSVSLVLRIADLCGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLIVNLVPGQQLMNDAVMSTSSESKMGESEHVITSQQHPSELTHDYVLVEGMMHSKEANASPSDYIDSLLNATNLGGPNSSEGSDISVQLDGSSKSLSEYCVDEYRTLECGAYKGTDKLGCRHPLSNGSSNFAIFQMDQASHHSELVIGASVSITENRDVLNGELGLIEAQYEQWFRELTRMREEALEGARKKWLPDK >OGLUM02G28070.1 pep chromosome:ALNU02000000:2:28633350:28635074:-1 gene:OGLUM02G28070 transcript:OGLUM02G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAREAQVQGSLEWRVTVPEGSSVTVEHEAGVAERAWAWVVRMLVAVRAAVAGFARKVWKIGADDPRRAVHSLKVGLALTLVSIVYYTRPVYDGVGGNAMWAVMTVVVVFEYTVGGCMYKGFNRAVATASAGLLALGVNWVADKSGDKLEPFILSGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTFSLVAVSGYRVDQLLDLAQQRMSTIGIGIVICLAVCVVIWPVWAGQELHLLTVRNMEKLAGAVEGCVEDYFAAKPAAAKSEGYKCVLNSKASEDSQANLARWEPPHGRFGFRHPYAQYTKVGAAMRHCAYCVEALNSCVRAEVQAPEHVKRLLGDVCTRLASQCARVLREASTSVAAMTSPKTLDFAVADMNTAVHELQGDLRALPPVLALEPAAEMSLMDAMPLFTVASLLIEISARIEGVVDAVETLASLASFKQVEDDDDKKGQTEMKVHPLNVPDDHDASTHESQTTTKHPEQV >OGLUM02G28080.1 pep chromosome:ALNU02000000:2:28640193:28642759:1 gene:OGLUM02G28080 transcript:OGLUM02G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLVFEYTVGGSMHKGLKRFAGTMSAAALALGMHWVASKSGETLEPFVASGSVILMATAATFSRFIPTVNARFDYGVSIFVMTYSFVAVSGYRVDDLAALVLDRIATIAIGVIICLTVCTLICPVWAGQELGLLTARNMEKLASAVEACVEDYFADPTAAAARSEGYKSVLGSKASKDSQAARWEAPARAVRLPTPVRPVRQGRRRDAPVRVLRRGAHQLRRRVVAPASPAPASPRRCVYEGWRVVRAGAQGGVRLRRHDDDVPGPWLRRGGDGRRRARAAERPAGSPAHPSRGSVGDVAGRGHQHFTVALLLTEIAARIEGVVHAVGTLATLVGFKPADDADGTDKPEAEVARSDESPPHRKIWQTRTLNRVNILAGDKKVYKLLVGVKAEHFSRIPISKPWCRGAVLHQYVSTCSNVGLCLSGP >OGLUM02G28090.1 pep chromosome:ALNU02000000:2:28650874:28651693:-1 gene:OGLUM02G28090 transcript:OGLUM02G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTSKDDGDGHGGSEKPLSIAVSTLFYNSCKSGWVMMALGGRRMRGRQVGKAEDNNVGDQGCNGPPATFSG >OGLUM02G28100.1 pep chromosome:ALNU02000000:2:28651821:28652908:1 gene:OGLUM02G28100 transcript:OGLUM02G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVASTLGQRITVADKDAASSVHARSLAVKYQCTGVSCCAFDFTQGAERVRVAVTITCTQVVALSTEQSQSYGTHRTARSGRVPDEPAKYGEHARCRKTHLRIFSALDRDPRSSLCSESHHNQIVRTELTPRSQHNQQRVVSLFGIWFYTATATVSETRFSGFIDGWVPGTEAHSILSCSALL >OGLUM02G28110.1 pep chromosome:ALNU02000000:2:28676692:28682350:1 gene:OGLUM02G28110 transcript:OGLUM02G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENPLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDLPSGSDTSEHVPNGSSKIIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPYIVQP >OGLUM02G28110.2 pep chromosome:ALNU02000000:2:28676693:28682364:1 gene:OGLUM02G28110 transcript:OGLUM02G28110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLGLGALCRGGGWCYAAIWRSDRRDPRLLTIGEFHSEDGTRNVVEKMLNQVHVVGEGIIGRALVSGECQWISDTSFSFAQTSDADNQDLFQGYTWWQHQFLCGIKTIAVIPIADLGVAQFGSMQKISECLEFLDQVKGIFCQREIVPWDLSAEEIQRNVLPYHQQFQLSSLSSADGLTNIKTDPENKKLLENSASVESLRSLASFSSKYSQSSSNGFTSYESCNSMNPHIVAMPVNSKSINTVRAFNSTGKLLQHNIGSENPLQIKFCQHPDSNLASATDVFLSLNNLPRIENEISCPPNKLGYCIQSEKPYSFQSSFSSCFSVGDELKPILFDSATSFVQNDLMQEFNLTGFTSQADSAVHELPKQILGETATGALYSDRKSNNGSSDLLDGTIFDPFVQEWCDNNALLAGNTPHFGATTADSVTEHASSYPLSVEERSLFSESVFEELLGVSGNVNTDAPGDSAVVMAGDPLVGLVSGCQLPTYTLQDSLSVCKPQQEPSLDLPSGSDTSEHVPNGSSKIIPLSLGALSMDDCCSLNTAHSKVSQVKRPEEVKVVKKRARPGESTRPRPKDRQQIQDRVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISNKDSGAVLKENSSGVVLKDNSSAGSNNGGATWAYEVAGRTMVCPIIIEDLSPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQISKVIRNGVPSFAEHQQSPISVPVGLADR >OGLUM02G28120.1 pep chromosome:ALNU02000000:2:28683018:28687964:1 gene:OGLUM02G28120 transcript:OGLUM02G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVPPPDLNRNTEWFMYPGVWTTYICILFFSWLLVLSVFGCTPGMAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNTLTWWEQMDNGKQLTRNRKFLVVVPVVLYLIASHTTDYQHPMLFLNTLAVAVLVVAKLPNMHKVRIFGINAGN >OGLUM02G28130.1 pep chromosome:ALNU02000000:2:28695740:28697820:-1 gene:OGLUM02G28130 transcript:OGLUM02G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKISHRGPGRGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >OGLUM02G28130.2 pep chromosome:ALNU02000000:2:28695740:28697614:-1 gene:OGLUM02G28130 transcript:OGLUM02G28130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLFFVQIYARIGHILLALCGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >OGLUM02G28130.3 pep chromosome:ALNU02000000:2:28695740:28697912:-1 gene:OGLUM02G28130 transcript:OGLUM02G28130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAHWHQGLGLVKPMEEMLMGANPNPNGSSNQPPPPPSSAASAQRPIAPPAAGAAAGAGAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSVVPSAAASASTSAAVSGSVPVGLAAKNPKLMHEGAQDLNLAFPHHHGRALQPPEFTAFPSLESSSVCNPGGNLAAANGAGGRGSVGAFSAMELLRSTGCYVPLPQMAPLGMPAEYAAAGFHLGEFRMPPPPQQQQQQQAQTVLGFSLDTHGAGAGGGSGVFGACSAGLQESAAGRLLFPFEDLKPVVSAAAGDANSGGDHQYDHGKNQGGGGGVIGGHEAPGFWNSSMIGNGSSNGGGGGGSW >OGLUM02G28140.1 pep chromosome:ALNU02000000:2:28746012:28746326:-1 gene:OGLUM02G28140 transcript:OGLUM02G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAALARGEGAWTEEQHAAFLDRMELSFVQQELAAVAVSDERRASRRLCRRPAPPPAPAAAGGCGQHQLSLPLDRPLPDSAVESNRAAPSSRPAARGRGTG >OGLUM02G28150.1 pep chromosome:ALNU02000000:2:28748673:28748897:-1 gene:OGLUM02G28150 transcript:OGLUM02G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSTSTPFTMVTLSVSVPFPTITLNLTQMPAGGAGVSIGAAGLLHALHRPPVIHPGAAAQAMPPRLAMYLPQ >OGLUM02G28160.1 pep chromosome:ALNU02000000:2:28751130:28755164:-1 gene:OGLUM02G28160 transcript:OGLUM02G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRSPSPRGDARLDSAPLLGGGGGGGGRRHGGALRRPSLRGAARFLRRSGRRAMREPSLLVREAAADQLEERQADWAYSRPVVALDLLWNLAFILVAAAVLALSREESPSMPLRLWIVGYAVQCVLHMACVAIEYRMRRGQSGGSPMAADEETGTDGSSSSSDEDAGERAPRGRNGDYVRIAKHLESANTMFSFIWWIIGFYWVSAGGQVLTHDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRMDEPEKQSVNMTGSSGGIMIECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCICIDKWLHINATCPLCKFNVRKNSSSSGSEEV >OGLUM02G28170.1 pep chromosome:ALNU02000000:2:28759267:28765387:-1 gene:OGLUM02G28170 transcript:OGLUM02G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGLFDGGGGGGMQFPFASGFASSPALSLALDNAGGGIGGRMLGGGAGAGSSAGGAMTRDTEAENDSRSGSDHLDAISAAGEDDVEDAEPSNSRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRAELSRRLSLDARQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRSPMCGSCGSPAMLGEVSLEEQHLRIENARLKDELNRVCALATKFLGKPISLLSPPPLLQPHLSLPMPNSSLELAIGGIGGLGSLGTLPGCMNEFAGGVSSPMGTVITPARATGAAIPSLVGNIDRSVFLELAISAMDELVKMAQMDDPLWVPALPGSPSKEVLNFEEYLHSFLPCIGMKPAGYVSEASRESGLVIIDNSLALVETLMDERRWSDMFSCMIAKATVLEEVSTGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDHNSGTAPTGGNVKCRRVPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLATLQRQCECLAILMSSATVTANDSTAISQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVSEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMTQIAKGQRDGNSVSLLRASAVSANQSSMLILQETCTDASGSIVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPRIGATGYETGGSLLTVAFQILVNNQPTAKLTVESVETVNNLISCTIKKIKTALQCDA >OGLUM02G28180.1 pep chromosome:ALNU02000000:2:28779299:28780640:-1 gene:OGLUM02G28180 transcript:OGLUM02G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEFKEANMKKDWNNRWSLPAARSLAAAATPLHSAGPRALTQQPSLASPTHVKA >OGLUM02G28190.1 pep chromosome:ALNU02000000:2:28782173:28786131:-1 gene:OGLUM02G28190 transcript:OGLUM02G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVCVAVWAVAMAMVVASVMWAYRWSHPRANGRLPPGSLGLPLLGETLQFFAPNTTCDISPFVKERLNRYGSIFKTSVVGRPVVVTADPELNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKSLVLRLYGQENLRAVLLDETDRACRASLASWAAQPSVELKDSISAMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMKGRRNAMKVLKKMMRERMEEPGRQCEDFFDVLIEELGREKPVLTEGIALDLMFVLLFASFETTSLALTLGVRLLAENPTVLDALTEEHEAIVRGRKEGCDAAGLTWAEYKSMTFTSQVTLEMVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLNPEIYEDPLAFNPWRWQMQLTLVVLMLSGLSVGLIPKIYRVQQDKVEITGGSKHFMAFGGGLRFCVGTDLSKVLIATFIHHLVTKYRWKTVKGGNIVRTPGLSFPDGFHVQFFPKN >OGLUM02G28200.1 pep chromosome:ALNU02000000:2:28804834:28808092:-1 gene:OGLUM02G28200 transcript:OGLUM02G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRPAATKLNISPAAARRPSSFLPIATVALLCSASYFLGAWQHGGFSSPSASPSSVSVATAVACTTTTTATTRSATRPRKRTPAGQGQALDFSAHHAAAADGAVLSSSGDSAATRRYQACPARYSEYTPCEDVKRSLRYPRERLVYRERHCPTGRERLRCLVPAPAGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPHGADAYIDDIGKLIPLHDGSVHTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWHLYGSVSHIARSPYTLMISSSEYTLIGHYRTCQCADGLYLIEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARSLCWKKIKEAGDIAVWQKPANHASCKASRKSPPFCSHKNPDAAWYDKMEACVTPLPEVSDASEVAGGALKKWPQRLTAVPPRISRGSIKGVTSKAFVQDTELWRKRVQHYKGVINQFEQKGRYRNVLDMNAGLGGFAAALASDPLWVMNMVPTVGNSSTLGVVYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKNRCEMDIILLEMDRILRPEGTVIIRDDVDMLVKVKSAADGMRWDSQIVDHEDGPLVREKILLVVKTYWTAKEQDQ >OGLUM02G28210.1 pep chromosome:ALNU02000000:2:28832147:28832353:-1 gene:OGLUM02G28210 transcript:OGLUM02G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWWWLLLLAARCSPPLDHQASKQFSNSSCGLADMADGLVRSLLVAAADGNSARRQARGCADAIPVN >OGLUM02G28220.1 pep chromosome:ALNU02000000:2:28835190:28838692:1 gene:OGLUM02G28220 transcript:OGLUM02G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >OGLUM02G28220.2 pep chromosome:ALNU02000000:2:28835190:28838839:1 gene:OGLUM02G28220 transcript:OGLUM02G28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSSILGADGEWGVTSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAGKLPVNYRYLLAFAAAADDEGGDGGHGNGKRSSPSSKKDIFARLCRPTPFDFGNKEFWIDKNKGGICLSISSKAMVITGIDDRRYWSQLATEESRFHHIAYLQQIWWLEVDGELDFCFPAGSYSIFFRLHLGRPYRRMGRRICGTEQVHGWEAKPTRFQLSTSDEQHATSEYYLEQEGSWILYHVGDFVVLNSDELMKLKFSMLQIDCTHTKGGLCVDSVLIYPKGYRHEKANIVHM >OGLUM02G28230.1 pep chromosome:ALNU02000000:2:28839306:28841083:1 gene:OGLUM02G28230 transcript:OGLUM02G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGRAHLSTLADNWLSSELPKSFGRLTELSIVTLYNNSLEGPLPKLLFKLKLEPDALTNNRFSDVIPVVLAWSRCMVRLQLSGKRLAGTIPAELGKQTELKILELNNFSDDIPLTDAVPPWLDDLWLLGDLDLSSNVLTGGIPVELSDCSGLLKLSISGFGIRSILPEIAKLASLNILNLQKNGFTGVIPSELQRCNRLYELRLLENSLEGPIPPELGWYWTSLGISVLSSARRPNDSGNSLERRLCNLQQCSLLLAGNSFQGLGLANTT >OGLUM02G28240.1 pep chromosome:ALNU02000000:2:28839847:28842362:-1 gene:OGLUM02G28240 transcript:OGLUM02G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEEGEWDRGKEGVQWRSSLTSGGDVSEELWGDGWLGLEEKHMFIVKSTTREQRGNKGCGAYQDGQMSLNQSQAHDDDTLEDSIPSLLQPVQMTKANIDHISLVLLTWHIAGPLLSVSSVLLLNKSIAKNSFQRAGGCTAGGCTTASLASCRSHSAASPSSTQRSRGRSSTTCSSGKRQLPQLWRDWPLQRVLQQPQLVQPVAALELRWYNAGEAILLQVQNVERCQLCDLRQDAPDPKAAYGQLEQARAIAKLHWNAAGEHVRGEVQVAEQPKVIEPRRDGVG >OGLUM02G28250.1 pep chromosome:ALNU02000000:2:28845244:28853339:1 gene:OGLUM02G28250 transcript:OGLUM02G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEMEGMAAAIGVSVPVLRFLLCFAATIPTGLMWRAVPGAAGRHLYAGLTGAALSYLSFGATSNLLFVVPMAFGYLAMLLCRRLAGLVTFLGAFGFLIACHMYYMSGDAWKEGGIDATGALMVLTLKIISCAINYSDGMLKEEGLRDAQKKYRLAKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLEYTERNGLWASPTPSPLLPTLRALVQAGACMGLYLYLSPQFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSLSEAAIIISGLGFSGWSDSSPPKAKWDRAKNVDVLGVELATSAVQLPLMWNIQVSTWLRYYVYERLVQKGKKPGFLQLLGTQTVSAVWHSYTDGNKQSAIQSSTLSWFL >OGLUM02G28260.1 pep chromosome:ALNU02000000:2:28853264:28854257:-1 gene:OGLUM02G28260 transcript:OGLUM02G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAPASVALHCALPACTVAAAAGGGKLFLQPGIWTPSVIGHDLINATLRRVTGVAFSLHSTVAHYAALSGRPGVTEQTTTAGIFRLPNPGTQTRRSHQPAPNLPPLRRCIVVGVLVWTRPPTGLHFCSTIYKTKMTSRGRRIQ >OGLUM02G28270.1 pep chromosome:ALNU02000000:2:28870676:28872325:1 gene:OGLUM02G28270 transcript:OGLUM02G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWD6] MSSPRRDGRGAVDDLTASLLHKGDGGEAVFVVVVVPPVAEEEEPPPVLTCKPPGRFARAVKEAWSVPFPMMPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYDLLGVTMQRTVLLLVAASVPIAGLWVHMRPLLLLCGQDAAIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTVCAALAIALHLPINYVAVSVLGLGIKGVALASVLANLNLVLFLFGYIWFKGVHKRTGGFALSADCLRGWGELVSLALPSCISVCLEWWWYEIMILLCGLLANPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPERACRAATVGLMLGFAFGGVASAFACHVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALILAFWYHYDFRGLWLGLLAAQATCVVRMLLVIGETDWTAEAKRAQQLTGAADIKDCGGKGDHVAVIEQPDEQC >OGLUM02G28280.1 pep chromosome:ALNU02000000:2:28873722:28881066:-1 gene:OGLUM02G28280 transcript:OGLUM02G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMRQASLRQPVASKGRFAFGQRRDNRVRRWWETATGRMNRKQAPVNPAAKED >OGLUM02G28290.1 pep chromosome:ALNU02000000:2:28881415:28886451:1 gene:OGLUM02G28290 transcript:OGLUM02G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADAEADAAEGRVAAARAMAAGPAPFDFDVEFRATDLDRTIEHTRSGLEPFVVAAIPTMKYSYEAFQSKDDAQCSICLGEYNEKEILRIMPKCRHNFHLSCIDVWLQKQTTCPICRISLKDLPSGKPAESPVRSLPQLFSHPESSVSRSPHWILPIHRDRTGGRESSPASQETVEVVIEIQQEMH >OGLUM02G28300.1 pep chromosome:ALNU02000000:2:28898935:28900670:1 gene:OGLUM02G28300 transcript:OGLUM02G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDAIVLPLPDGAVGLLWHGSVRGKERKKKRSRKKNSFQGYFDRWCDELAAAAATREQATAPGQILAPAKAAAAGDVESRTARFEEMLHVPLEERDRVQRRRGSRRYWSPRALCSRTRRLRLRQADADAGLPAPPRRGSGLPQALCSRTRRDEVTSSSLPSLFLQIRSTLLTSVTGGGSGNISASSSRVATRAKGPPVPLSAAATRLGKRRVFIFAASTEISGVSVREHGEG >OGLUM02G28310.1 pep chromosome:ALNU02000000:2:28905687:28906472:1 gene:OGLUM02G28310 transcript:OGLUM02G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTEHPSPTAPPQVQTAGLPAAASPGPASPHSPSEQGDKTAPGAATASTMTTASSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGATASRPVPASASPADIRAAAAAAAAAAAHLERPHGPIGTAYPATAAAEHHQQQQQQQYGSGIPAADDVSGYPPMEGGIGNDDFMDEEAIFELPQLLRNMAAGMMMSPPRLSPTTSDVSPEPSEAGESLWSYRDP >OGLUM02G28320.1 pep chromosome:ALNU02000000:2:28914130:28921440:1 gene:OGLUM02G28320 transcript:OGLUM02G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPHRHFCPIDKDVEHLFLRCSGVAAIWHSYGLDEQQVASLAQLEDLWGLPLPDNALTPRVWCTILLAAVWNIWKRRNNKIFISIDETNTLTLALGNDEGTVSSNLLLPKSSCVRTGVGLNLERMEKTNSGTVTRADVQGQATDMKSCRILLLKPRRLAHEHDCAHTRYADLVIVGGRNGKTNGARGAMPYT >OGLUM02G28330.1 pep chromosome:ALNU02000000:2:28935923:28936765:1 gene:OGLUM02G28330 transcript:OGLUM02G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPGVSAKKRHAGAGFTLGCGCKDAKSVSVSASAAGTPSTTATRRRSAGTNPSGSTTTDTLTMTSASSSFLWEHSVVEFDHDGGGGCGPESFSGLLRELSELEQSVASWGRKSHHQHHDKKHSPAPSSPLPPQEDRKEKNGGNGDATDKPGDCRDDGGGDGVGVALDGSVAVVKQSDDPLGDFRQSMLQMIVENGIVAGEDLREMLRRFLTLNAPHHHDVILRAFAEIWDGVFAATASLVHHHHPPPSSRREPVAPAARPPAPRTPPRHRHPSPRAWRV >OGLUM02G28340.1 pep chromosome:ALNU02000000:2:28942831:28943505:1 gene:OGLUM02G28340 transcript:OGLUM02G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSAALSSDYSSGTPSPVAADADDGSSAYMTVSSAPPKRRAGRTKFKETRHPVFKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPIGASHDDIRRAAAEAAEAFRPPPDESNAATEVAAAASGATNSNAEQFASHPYYEVMDDGLDLGMQGYLDMAQGMLIDPPPMAGDPAVGGGEDDNDGEVQLWSY >OGLUM02G28350.1 pep chromosome:ALNU02000000:2:28949035:28949967:-1 gene:OGLUM02G28350 transcript:OGLUM02G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPGSISTTSSNLRAQQKPSIPKKPCAFGAPPRHLHRPCKKNAAVSVACTGQGEQRSSPAIAAPQEAQAGTFSVEFRTRDGCRLGISRYPDFAYNAQGGRGVGVVASSGEDSGTVLVEFDVSSLYIPAMSGATTKFLGLPLPPFLKIDILPEALRGNIDPTSGQVDLKFRSRFCFSVGSIYRAPPLFVDTTLTSEESSGAIRRGTGERMDGEGRCKLVGVAVVDPIDDVFMNTFLSLPTECIAYLNATISITEPS >OGLUM02G28360.1 pep chromosome:ALNU02000000:2:28951377:28951877:-1 gene:OGLUM02G28360 transcript:OGLUM02G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKSPESAKKPLFTHPSCSSSSTLHDPDHPKAASTAHISTSYRHKSIHPQPCPAALLSAPLPAFSHYKSISATTGAAREGDSGSGSTMAVVSREGDNDGKESGSATAGPRSTNPSSSQPSSLSSQPSSSLPHALVAVAVAVAVGAVAGPREHYLKWISSLRCRQ >OGLUM02G28370.1 pep chromosome:ALNU02000000:2:28952371:28958656:1 gene:OGLUM02G28370 transcript:OGLUM02G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGNVWRNPHSGGGGGRGAGGAGGPNKWGRAPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGELKVLSDLLK >OGLUM02G28370.2 pep chromosome:ALNU02000000:2:28952371:28957821:1 gene:OGLUM02G28370 transcript:OGLUM02G28370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIETDGRFGNKRVHHRLGPANGAASSSTSGKVCIHWRAGRCNRFPCPYLHSELPEATAKRPSQSGGGGNVWRNPHSGGGGGRGAGGAGGPNKWGRAPGGADGGPRHKVPDRPCRYFLAGDCSYGEKCRYPHSYSMSDSITMLTPLQGHEKVVTGIALPAGSDKLYSGSKDGTVRMWDCQTGQCAGVINMGREIGCMISEGPWLFVGIPDAVKVWNMQTQAEMNLTGPTGQVYALAVGNELLFAATQDGRILAWRFSAATNGFEPAASLVGHQLAVVSLVVGAMRLYSGSMDKTIRVWAATESGSLEVTYTHKEEHGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQVGPSGLFFTGDGTGELKVWQWVIDGSQTK >OGLUM02G28390.1 pep chromosome:ALNU02000000:2:28993553:28999804:1 gene:OGLUM02G28390 transcript:OGLUM02G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESRDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >OGLUM02G28390.2 pep chromosome:ALNU02000000:2:28993553:28999804:1 gene:OGLUM02G28390 transcript:OGLUM02G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKRLMADQLEEWKEYYINYKMMKKKVKQYVQQTQNGGRNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIEKLGEERALLMEQADASQISELREAYREVGIDLMKLLRFVDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPCSQLQQIFKQVGIVAVVGALSRNLAFLQDHQGNFPSIYDHPSITLKDPIIEQINHSVQKLTHATNLLQFIGQHALIIPEDMHSGSEDLVDDQSYHFMSLLLNLANTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIMLFLGNLLYALAYDVNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTNFKIYGFTFDQNTLPGWIMCLAWITYLFWLWISFKEPDHIVRENSVNTPSSDSGHRRNSNLEDGLAQPFLIDAKESRDENGEDNDENEEDPEDSHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWSTSTVAMFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIAMSFRFTSHYSVPQYVSSALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQNSLLNVTLLPSFVICVASIVATFCTYNSLY >OGLUM02G28390.3 pep chromosome:ALNU02000000:2:28993558:28995860:1 gene:OGLUM02G28390 transcript:OGLUM02G28390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFWPRKRQNGTVPVEIPPSTSASARDTTDGQASTHAYLWLSRPQPRRGGPLLHAERSFRREEDEIRESPKNARFPQ >OGLUM02G28400.1 pep chromosome:ALNU02000000:2:29000141:29002532:1 gene:OGLUM02G28400 transcript:OGLUM02G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVATTLFLFGQLLLCFSQQVRGVNYTFMREAVEAPVMAYYDYIIIGGGTAGCPLAATLSERYRVLLLERGGSPYDDARVLNMAHFADVLADTSGASPSQRFVSEDGVINARPRVLGGGSCINAGFFTRAGPGYVRALGWDPKEVVSAYQWVEDVVAFQPELGPWQAALRRGLLEIGVVPDNGFTYDHILGTKVGGSIFDAQGRRHTAADLLRYSRPDGIDVFLRARVARIVFSRKGTKPVARGVLYHDARGGSHMAYLNHGARNEIILSAGALGSPQLLMLSGVGPADHLEEFGISLVLDHPGVGQGMSDNPMNAIYVPSPSPVELSLIQVVGITRFGSYIEGASGSDWNSRTSGAAAAAAAQVRSFGMFSPQTGQLATVPPKQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGRLALRNLDPDDNPTVSFNYFSHPDDLRRCAAGIATIERVIRSRAFSRFAYPNFAFPATINVTAEFPANLMRMRGGSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYRVLGIEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQKERMIAEGSGIEP >OGLUM02G28410.1 pep chromosome:ALNU02000000:2:29026872:29030942:1 gene:OGLUM02G28410 transcript:OGLUM02G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotide-rhamnose synthase/epimerase-reductase [Source:Projected from Arabidopsis thaliana (AT1G63000) TAIR;Acc:AT1G63000] MGVATNGSSSSTSESAETAQPQAYKFLIYGRTGWIGGLLGQLCAARGIPFAYGAGRLENRAQLEADIDEVAPTHVFNAAGVTGRPNVDWCETHRTETIRANVCGTLTLADVCRARGLVLINYATGCIFEYDAGHQLGTGIGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKIARYDKVVDIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPNFSWKNFTLEEQAKVIVAPRSNNELDCTKLKAEFPELLSIKDSLVRYVFKPNQKTSKA >OGLUM02G28420.1 pep chromosome:ALNU02000000:2:29032983:29033471:-1 gene:OGLUM02G28420 transcript:OGLUM02G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTARLIAVGGAVFGVALLQGLPHGPAVQLVSLPARAGLHAARRRRRRVLFGKDGDRVTENVASEGILSPAGDSYDHRSLIICPWRMLGAGAWRPFNLLQQRLLRGPGSRYPISIALQHANRSYSHIDQYINNLQPGGSISQDVRPPELSFAALCSVGRR >OGLUM02G28430.1 pep chromosome:ALNU02000000:2:29037833:29039341:1 gene:OGLUM02G28430 transcript:OGLUM02G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEADSPQPPSKLPRLSGADPNAGVVTMAAPPPPVGLGLGLGLGGDSRGERDVEASAAAAHKATALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVASSSFGPHRFPSLMGLGNLCFDYRSSMEPDPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEASAAATPANNGGGGGIVFSPTSVLLAHGAARAT >OGLUM02G28440.1 pep chromosome:ALNU02000000:2:29041623:29043907:1 gene:OGLUM02G28440 transcript:OGLUM02G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARDEGAADGRTAACGARRWAAARDEAAARDEGAGAGRRTAACGDGEYNHRRLVHSRGAAAAAVAGIPGFLFATIPDGLPESDADATMTTCLPHFRKLLADLNNRAAGDDDDDAVPPVTCVMADHLLGFSLDATAAVLASRCRPPPTPACRLPPPRPRLASRLRPPPARRRRSRYRPCAPHLVIAQSHSIDRCRLRVKAPLTCQSPGPLMVGLCGTFMSGQTADSVCSCYGGQSSGNLTDVQYAS >OGLUM02G28450.1 pep chromosome:ALNU02000000:2:29044656:29050181:-1 gene:OGLUM02G28450 transcript:OGLUM02G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVKEEDDSIECAVCLAELEEGDEARFLPRCGHGFHAECVDMWLGSHSTCPRCRLTVIVSSPPLLSPVTAASRATPLLSITAMPTGEPTRPRSPPPPPPLGPTLRLLPPGKSVGRGRRSCGATSPRTPTGPAPMAAPSTRLPGRGGRGGGGRRRGGGGGCEAGEGEGGGEATVLEVLDDVSEPLGGDAAVVGEEVERLLEAGHHGEIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESSKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTDHSKNIALFSHANHGGMAKRIGLKA >OGLUM02G28450.2 pep chromosome:ALNU02000000:2:29044050:29050181:-1 gene:OGLUM02G28450 transcript:OGLUM02G28450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVKEEDDSIECAVCLAELEEGDEARFLPRCGHGFHAECVDMWLGSHSTCPRCRLTVIVSSPPLLSPVTAASRATPLLSITAMPTGEPTRPRSPPPPPPLGPTLRLLPPGKSVGRGRRSCGATSPRTPTGPAPMAAPSTRLPGRGGRGGGGRRRGGGGGCEAGEGEGGGEATVLEVLDDVSEPLGGDAAVVGEEVERLLEAGHHGEIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESSKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGNARKSKYLTEGTYRA >OGLUM02G28450.3 pep chromosome:ALNU02000000:2:29044656:29050181:-1 gene:OGLUM02G28450 transcript:OGLUM02G28450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVKEEDDSIECAVCLAELEEGDEARFLPRCGHGFHAECVDMWLGSHSTCPRCRLTVIVSSPPLLSPVTAASRATPLLSITAMPTGEPTRPRSPPPPPPLGPTLRLLPPGKSVGRGRRSCGATSPRTPTGPAPMAAPSTRLPGRGGRGGGGRRRGGGGGCEAGEGEGGGEATVLEVLDDVSEPLGGDAAVVGEEVERLLEAGHHGEIAAVVLNHPSSALTPASTRSLSASLLAVAPSLPTPVADSVLKLLWHHAPRALLFFHSLLHLPPRAHAVGPSTLDLALDLSARLRRPRQLTNSILGLFPRHRLAFTPRTFPILFERLAVSQRRPDLAVRLFLSLHRSHRVAQDLPLFNSLLDALSKSRHAGKAASLVRALEQRFTPDVVTYNTLADGWCRVKDTSRALDVLRLMVESGIAPTKTTYNIILKGFFRAGQLRHAWDFFLQMKKRGSKDESSKPDVVSYTTMVHGLGVAGQLEKARKVFDEMAKEGCAPSVATYNALIQVICKKGNVEDAVTVFDGMLVKGYVPNVVTYTVLIRGLCHAGKIDRGLKLLERMKNGGCEPIVQTYNVLIRYLFEEGEMEKGLDLFEKMSKGEECLPNQDTYNIIISAMFMRKRAEDMVLAARMVEEMVDRGYLPRRFMFNRVLNGLMLTGNQELSRKLLRMQEKYRLFERAPTEIFSLSLLVQLQFGMIGSKAVYQMIYGDWKVLATVSRKSQSTATAPCLAISLKVGVFGVRVLQGHRAPVVIDLAKSILIFPGADHVDALLKKTDRASEHLYICTGRGTIKEIQQSAKIGLKA >OGLUM02G28450.4 pep chromosome:ALNU02000000:2:29044052:29044604:-1 gene:OGLUM02G28450 transcript:OGLUM02G28450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLHVELYMLQSGVLYNQARCMGRGCIIAFIDTGTKINFLLVLLLTVQQCKGGLTVLIVNRQQGNARKSKYLTEGTYRA >OGLUM02G28460.1 pep chromosome:ALNU02000000:2:29053460:29057022:1 gene:OGLUM02G28460 transcript:OGLUM02G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELYVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETAMPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACYGQNLVDFNSIRPEDSGADV >OGLUM02G28460.2 pep chromosome:ALNU02000000:2:29053260:29057022:1 gene:OGLUM02G28460 transcript:OGLUM02G28460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho GTPase-activating protein 3 [Source:Projected from Arabidopsis thaliana (AT2G46710) UniProtKB/Swiss-Prot;Acc:Q8GYY5] MAPSQIRFGHQIPFSRPDSDEEEEEDDEDEEVEEEEEEEEEYEGEEEEMEGEVPVSSPLMLPAARGGGGGGVSVVETVAAALRRSLLLCSSVRAAEDEGAAAAAAAAAGMQIGRPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPAPSASVSVFGVSPTSMQCSYDNRGNSVPTILLTMQRKLYQLGGLQAEGIFRINADNSQELYVREQLNMGVVPDGVDMHCLTGLIKAWFRELPSGVLDSLTPEQVMHCNTEEECALLASTLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTVKGREETAMPSSAFPSSSGSPSDKDEPQALEHLDKPTICSTQQNNDFPMISGATLDHFLFRAEPLRHNDAQGSAGRPKKRDNKDHDNSSREFSPIDSDSSSQASNSASKFSNDNVEGLFDRFKFRKGVGRLCRHPVFQLSRSMKKSGEAGQACYGQNLVDFNSIRPEDSGADV >OGLUM02G28470.1 pep chromosome:ALNU02000000:2:29064271:29065378:-1 gene:OGLUM02G28470 transcript:OGLUM02G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTTTSTSVSGDRDDAREDAGDGSGDKRLRAKQAAEEATCIARALAVSSDGPGRAPPGMGCAGRDACLPDALISFPDSLLGVDFVIPQCRMYYIITCIMVMDAQVLMSVPESAGI >OGLUM02G28480.1 pep chromosome:ALNU02000000:2:29074257:29085236:1 gene:OGLUM02G28480 transcript:OGLUM02G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSTPSSPPPPLPPRRATTAPTPVSLLRGAADRRDAPLTSALHAALLKSGALDRTQPLTASNSLLHAYLQCGLLSDALRLLDEMPRRDAATCASLVSALCRLGAPLDAIRAYMDMLTQDADDEDGGLRPNEFTAAALLQACGLAKVARLGRMVHGHLVTSGFCCDPFVVGSLVNTYAKVGDVVSAEKLLLGMDSRDVVSWTALLSGCVLNGMLAEALKVFVMMLEDNVLPNNVTMLSVIQACSLMGESGLFSSLHALVVRLGLENDVSVVNSLIIMYAKNGFVEEATGLFEDLYLRRGDVCPNSDVLSALLFGCTVSGSLKYGKGIHAHLIKMNDLPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYDVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSLISACSHSGLVSEGYRCFQSMKNDYGIEPSMDHYASVVDLLGRSGRFAEAEQFIRNMPVHPNSSIWGPLLAACSLYGNIDLAEKAAIELSILEPESDIWRVSFSNVYAVVGRWKDSAKIRTEMKRVGLKKEAGWSFVDVGGVEGFKFVAADTRHGDSEQIYAVLRSMNKHMADVAGDVHQSSLVSVIS >OGLUM02G28480.2 pep chromosome:ALNU02000000:2:29080716:29084410:1 gene:OGLUM02G28480 transcript:OGLUM02G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSTPSSPPPPLPPRRATTAPTPVSLLRGAADRRDAPLTSALHAALLKSGALDRTQPLTASNSLLHAYLQCGLLSDALRLLDEMPRRDAATCASLVSALCRLGAPLDAIRAYMDMLTQDADDEDGGLRPNEFTAAALLQACGLAKVARLGRMVHGHLVTSGFCCDPFVVGSLVNTYAKVGDVVSAEKLLLGMDSRDVVSWTALLSGCVLNGMLAEALKVFVMMLEDNVLPNNVTMLSVIQACSLMGESGLFSSLHALVVRLGLENDVSVVNSLIIMYAKNGFVEEATGLFEDLYLRRGDVCPNSDVLSALLFGCTVSGSLKYGKGIHAHLIKMNDLPSISIENSLMGMYARFEQVDAAYVVFKGMQIKDIVSWNTMISCLAKSDHVDEALELFSILHGGDGLVPDFVTVLSVVQACSNAGLLQQGQMLHGYIIKSGSLYDVSICNALISMYAKLGRIDFSEQIFEQMDIKDIVSWNSMINAYGIHGDGLSSLRIFNELQDDGTCSPNAITFVSLISACSHSGLVSEGYRCFQSMKNDYGIEPSMDHYASVVDLLGRSGRFAEAEQFIRNMPVHPNSSIWGPLLAACSLYGNIDLAEKAAIELSILEPESDIWRVSFSNVYAVVGRWKDSAKIRTEMKRVGLKKEAGWSFVDVGGVEGFKFVAADTRHGDSEQIYAVLRSMNKHMADVAGDVHQSSLVKHSMDS >OGLUM02G28490.1 pep chromosome:ALNU02000000:2:29083367:29085234:-1 gene:OGLUM02G28490 transcript:OGLUM02G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoprenoid F [Source:Projected from Arabidopsis thaliana (AT1G63970) TAIR;Acc:AT1G63970] MLVSPSPCRKYFGASGAHKKTAGFLSIPQPKKPPNKTAKLARSLRAISPRDDAMATASSLFLASPVATAPTARARSTPSASPARPSLRLRRPSTLAAAAVQAEHQPAVAAAPKPPALPFRVGHGFDLHRLEPGLPLIIGGIDIPHDRGCDAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >OGLUM02G28500.1 pep chromosome:ALNU02000000:2:29086928:29090982:-1 gene:OGLUM02G28500 transcript:OGLUM02G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANQPPPDAAAAAAGSAGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPGYTIKADSSSMLRNSGMNATVSSWTHNSIPPIVASSMVKEDLGAGAMAPNNFCSSSTEGPARAWQPGEINDQINQVPSLRHFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTPSDGLELGSTRSSVLADRPLSAPFMIKGE >OGLUM02G28510.1 pep chromosome:ALNU02000000:2:29097270:29097521:1 gene:OGLUM02G28510 transcript:OGLUM02G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTTTTTVLSPPALADDLDDSRQGSRPPRATATVEKPPHQAPQAAPPLRRSCRWLPRRCRPPPHRRPWRLLRSGSGGRGHRG >OGLUM02G28520.1 pep chromosome:ALNU02000000:2:29097762:29104399:1 gene:OGLUM02G28520 transcript:OGLUM02G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRYQGLIPRYQLDTQDTRYQSIPRYQGLIPSRYQGTRYQVPTDTQGTKYQDGEEVEVLEHVAAGVVVTETPRPPASSASVAAEEEPPALTGKEETGAAGKDDNDLGEEREGGGERPRRRQRRERQWKKQRHSAQRRHRSGRRTTSWRRGEITLDVVPSCPRPPETTTTTTPSSWPASSPPLVNHLDVSVGARDKKMSRTAIILHRFRQAAASQSLVETSLQSCPYFGVPLRWLSCTEQTSKWETSTSYQIDDVDQYSPISSVAKICTHPLSSHVNHCYHHSRSLGFSSVSSSRRMYSSDARAKPEDYKNAMAKVSSTETSEVGATDHSGNTWIDILDSARHSTIDATAAALKKLKAMTDPIVPCIQELYATYPDLQRMVIPLGGTLMGTAVAWFVMPIVLRKLHKYTSENPLITLEGESTKKYMSYQTSLWSALEDPAKCIITFMAFSQMAAIVVPSISVYLPQAWRGAFVVSLLWFLQKWKTNFIANIMTNQSAIGMDRDRLLTFDKVSSLALIALGGMALAEACGVPVQSILTVGGVGGVATAFAARDVLGNILSGLSLQFSKPFLVGDNIKAGSIEGKVIEIGLTSTSLINPENLPVVVPNSLFSSQIIVNKSRAVWRASVVKIPVIIEDLEKIPTISEEIKVKLRSNPNIDAPYCYLSRLESSHGELTIGCNIKSMRRDEWTTVEQDILLKAASIVKQYES >OGLUM02G28530.1 pep chromosome:ALNU02000000:2:29104211:29105872:-1 gene:OGLUM02G28530 transcript:OGLUM02G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAATGGGGKETLAATLLRYLIILIVPFTVLYILYTLHAILSSTPSCPPDRPIVTSSVSLSQLSTTRNHTPSSSSLSTPPPAPVSMAATTLQHVVFGIAASARLWEKRKDYIKIWWRPNAGMRGFVWMDQPVRESGVPDGLPPIKISSNTSGFPYKNRRGHRSAIRISRIVSETFRLGLSGVRWYVMGDDDTVFLPDNLVAVLQKLDHRQPYYIGYPSESHLQNIFFSYGMAFGGGGFAISQPLAARLERMQDACIHRYPSLYGSDDRIHACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPNARSRPAALRRLFEGPVALDSAGAVQQSICYDARNRWTVSVSWGFVVMASRGMISAREMELPARTFLNWYKRADYKAHAFNTRPLARRPCEKPSFYYLSSARRTVARDGETTVTTYQRWRHRNDMRPPCRWKIADPDALLDTVVVLKKPDPGLWDRSPMRNCCRVLSSPKGQEGNKTMTIDVGVCKDWEFSQV >OGLUM02G28540.1 pep chromosome:ALNU02000000:2:29107846:29108484:-1 gene:OGLUM02G28540 transcript:OGLUM02G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYSELLLPRLLLQVLLLLGHLHRFLLWAFHAVGLGDLIDNPPGLAATEQDLMLQGRGGGMAEGWASSSALQHRRPEFRAIPPMAIEEALPVVRFDELVASAPAVVCGGGDCAVCLSGICGRDEVRRLSNCRHVFHRGCLDRWMAHEQRTCPLCRAPLIPDELLPAASGLPDPSDYDLSYYPSPLPLAPTPTLLRPHELLLNGLGGFQ >OGLUM02G28550.1 pep chromosome:ALNU02000000:2:29131228:29135550:1 gene:OGLUM02G28550 transcript:OGLUM02G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRLALPALLLWCLCARPAPARSQSAATAAPASNEGFNCTANATYPCQAYALYRAGFGGVPLEFAAIGDLFAASRFMVAHANNLSTSAVLAAGQPLLVPLQCGCPSRSPNAYAPMQYQINAGDTYWIVSTTKLQNLTQYQAVERVNPTLVPTNLDIGQIVTFPIFCQCPTAADNATALVTYVMQPGDTYASIATAFAVDAQSLVSLNGPEQGTRNLSSPEILVPLRRQVPEWLPPIVRVNNISTTPASPPPSNTPAPTVVSNNRDGVVTGLAIGLGVVGGLWLLQMLLLGCLWRRLKARGRRAEAVASGDGGEGGRFTKAASGGGGGGGGRFLVSDISEWLDKYKVFKVEELESGTGGFDDEHLIQGSVYKAYIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSETGDCYLVYEYVENGSLDLWLMDRDRARRLDWRARLHIALDLAHGLQYIHEHTWPRVVHKDIKSSNVLLDDRMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAVSDDSGEPLWADADERLFRGREERLETRVAAWMDPALAEQTCPPGSVASVVSVAKACLHRDPAKRPSMVDVAYTLSKADESFGDYSGESVSVASSGGIAAR >OGLUM02G28560.1 pep chromosome:ALNU02000000:2:29135679:29147570:1 gene:OGLUM02G28560 transcript:OGLUM02G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIYRAWKEDRAKWIMLARSIAVLLRNTIASSTPPVSIDDLFTARSRITLTTLPMANVSLFWAVGKCEGGADPDVTVGDELWRGTWMTKDTPVGDELRRGMWMTKDAATGDELRGNHMIPNRYHPIPARYQNLIHRKHHPPYGQSVTLSVCHHPGGPVPIAMHACLAINPAAAVQYTYQVVRIIHPTNLTPVFGVSY >OGLUM02G28570.1 pep chromosome:ALNU02000000:2:29138240:29138449:-1 gene:OGLUM02G28570 transcript:OGLUM02G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELVTGDRFLRRLDELAKYVLVVLHANDAARAAPSRSTSSSAVASALGSSSPAPAPELPARSSRSRAG >OGLUM02G28580.1 pep chromosome:ALNU02000000:2:29148903:29150759:-1 gene:OGLUM02G28580 transcript:OGLUM02G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAGAPWCDPRRGYGGYGVGSAALQAAARQQSQQPRSDGAGGAGVTGGVLKRSLGEMERWQQQRQVAAQQAMYLRSVRQRMDIGAVLGGAASSPAYGISGLSSGFGGISQQQPSSTMSSLTTASRTVMPGMQQQRRMMAVPTPQNQAVARAPAARPATATELVLLQELEKQLLGDDEEADAAGSGCGSGITSSDWGDTIQRLNSVTAASSPSLPLPTVVNSTALLARSPTNSSSSTASSSASSSPPISAASSRQLLSEAAAAVADGNHTAAASLLSALKLSANPRGDAEQRLVAMMVAALSSRVGTGPSQHLADLYSGEHRATCQLLQDVSPCFGLALHGANLAILDAVAGHRAIHLVDFDVSAAQHVALIKALADRRVPATSLKVTVVADPTSPFTPAMTQSLAATCERLKKLAQQAGIDFRFRAVSCRAPEIEASKLGCEPGEALAVNLAFTLSRVPDESVSPANPRDELLRRVRALGPRVVTLVEQELNTNTAPMAARFSDASAHYGAVLESLDATLGRDSADRTRAEAALASKVANGVGREGPDRVERCEVFGKWRARFGMAGFRAVAIGEDIGGRVRARLGPALPAFDVKLDNGRLGVGWMGRVVTVASAWR >OGLUM02G28590.1 pep chromosome:ALNU02000000:2:29170513:29178696:1 gene:OGLUM02G28590 transcript:OGLUM02G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNNALSETQSWYHEMSKLKAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERQLGEINRQLKHKLEVEGSTSNYRAMQQASWAQGAVVENGAAYVQPPPHSAAMDSEPTLQIGYPHQFVPAEANTIQRSTAPAGAENNFMLGWVL >OGLUM02G28600.1 pep chromosome:ALNU02000000:2:29182299:29182793:-1 gene:OGLUM02G28600 transcript:OGLUM02G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLVCYCMAIPKPLIALAKLLAAIREALQLMLFVVGICHHPERSGRPAAVDAPLPDEVKDRLPPLEFAQLLAASEHGCHGCDDDEAVAGCIVCLERLEADDVVRRLGNCAHAFHRGCIDRWIDLGRLTCPLCRSTLLPRARPAAGPRGRLGRLATRLTGVVW >OGLUM02G28610.1 pep chromosome:ALNU02000000:2:29201273:29202340:-1 gene:OGLUM02G28610 transcript:OGLUM02G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24520) TAIR;Acc:AT5G24520] MEQPKPPSVAASAAEAQNPNAFTCELPHSIYALAFSPSAPVLAAGSFLEDLHNRVSLLSFDPVHPTAASFRALTALSFDHPYPPTKLQFHPRAASAPHLLASSSDALRLWLAPLDDLAATATAAAPELRSVLDNRKTSASEFCAPLTSFDWNEAEPRRIGTASIDTTCTIWDIERGVVETQLIAHDKAVHDIAWGENGIFASVSADGSVRVFDLRDKEHSTIFYESPRPDTPLLRLAWNRYDFHYMATLLMDSSAVVVLDMRAPGVPVAELHRHRACANAVAWAPQATRHLCSAGDDGQALIWELPATPGAVPAEGIDPVMVYDAGAEINQLQWAAAYPEWISIAFENKVQLLRV >OGLUM02G28620.1 pep chromosome:ALNU02000000:2:29203017:29205217:-1 gene:OGLUM02G28620 transcript:OGLUM02G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSSSGSPPNTEALMDQIKAQLAQAYAQEFLETVGNKCFAKCVTKPGSSLSGSESSCISRCVDRYIEATGIVSRALFSSTR >OGLUM02G28630.1 pep chromosome:ALNU02000000:2:29212456:29213833:1 gene:OGLUM02G28630 transcript:OGLUM02G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQCQVLLLLPSDMASAPSTGFDGDGDRIGTYARFSGPKLRGLRIWPQYYLIYRTKRGRHNHVASVARSPDLTSGNGKTQRQCSTMKSFKGLTGFGRGAPKKAFGGALGKKEAPRNP >OGLUM02G28640.1 pep chromosome:ALNU02000000:2:29215956:29220416:1 gene:OGLUM02G28640 transcript:OGLUM02G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLIIALALTVTCMHISGNVDDVAAAMRRARSRAPVGMTAFPSIGCTNREVVKARFDRHGEKIIEHELDRNGDSSLVIGGVLLLSGRRRKIGGEGELELSSSDS >OGLUM02G28650.1 pep chromosome:ALNU02000000:2:29216727:29217008:-1 gene:OGLUM02G28650 transcript:OGLUM02G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRGRSHPFFVGADLNPSQSSALVKRTEGSKGTGHRSSGDAGTHRSLPAYAWCCLPAAPRRTGTVVACPLSIWPNTAPPWSRHAVDRPGRW >OGLUM02G28660.1 pep chromosome:ALNU02000000:2:29222517:29223746:-1 gene:OGLUM02G28660 transcript:OGLUM02G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTSSRFSKEEEDEEQDEAGRREIPFMTATAEAAPAPTSSSSSPAHHAASASASASASGSSTPFRSDDGAGASGSGGGGGGGEAEVVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAANEKGLLLNFEDRAGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFSRGIGDEAARHRLFIDWKRRADTRDPLRLPRGLPLPMPLTSHYAPWGIGGGGGFFVPPSPPATLYEHRLRQGLDFRVFNPAAAMGRQVLLFGSARIPPQAPLLARAPSPLHHHYTLQPSGDGVRAAGSPVVLDSVPVIESPTTAAKRVRLFGVNLDNPHGGGAAAAGESSNHGNALSLQTPAWMRRDPTLRLLELPPHHHHGAESSAASSPSSSSSSKRDAHSALDLDL >OGLUM02G28670.1 pep chromosome:ALNU02000000:2:29258402:29260024:1 gene:OGLUM02G28670 transcript:OGLUM02G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56891) TAIR;Acc:AT3G56891] MLRFWRTQRSVTSSDALSIVEMNVHMDCEGCEKRVRKAMSRLEGVSTVEIDMDTQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDGEYYPFAIQYLEDDTYMATHKYYVHGYNAPVIGSYPNHAFTHIVDDHALAFFHDDNVHACSIM >OGLUM02G28680.1 pep chromosome:ALNU02000000:2:29260697:29265646:1 gene:OGLUM02G28680 transcript:OGLUM02G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPMPVAGAGWWDLVNGSTAWQDGIFLSLAALYGLVAASSFIQVVRIQYRVPEYGWTTQKVFQLLNFIVNGARCSIFAVRRQVQQVNPEIFQHVILDLPGLAFFTTYAMLALFWAEISYQARGLETEGLRSGFYTTNGVIYVIQVLLWVLLWHNPNPSMIVLSKLFIAGLSFSAALGFLLYGGRLFFMLKRFPIESKGRQKKLREVGRVATICFLCFLARCIMMCFDAFDKKADLDVLDHPILNFIYYLIVEILPSSLVLFILRRIPSKLRLAQYHPLNSG >OGLUM02G28690.1 pep chromosome:ALNU02000000:2:29266357:29267433:-1 gene:OGLUM02G28690 transcript:OGLUM02G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWI5] MATAGVTEHLISPRAGAGDDMGAGDGEGWCNALAKYEALASSLPSCHGLGSAPYRRYGGFWYPTHLMPATLAARGTFVARPSDVILATMPKSGSTWLKALAFCVVHRGHHAPAAGQHPLLHSSPHDLVPFLHSIYEISRSCRVAPGHRLDAMPSPRILAVHEPLSSLPASVKASGCRVVYLCRDPKDAFVSLRHYLDEIKPEGSTMTPFDEAFELLCDGVSPYGPMWDHAAEYWKESLARPEEVVFLRYESLKEDGVGSVRRLAGFLGCPFTGEELAGGVPETIVELCSMERMRNVEANRDGEHGATWSSFKNSAFFRKGEVGDWKEHMSPEMARRLDDVVEEKLRGSGMSLIRHRQY >OGLUM02G28700.1 pep chromosome:ALNU02000000:2:29269071:29270531:-1 gene:OGLUM02G28700 transcript:OGLUM02G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAHCFRTFVTYVQDSVSTELKDARAQCLQKLKHLSDHFELPNVFDKRSIEDFLVRNAKSILCTASSSSRLHYLPEASTFDLLVVDEAAQLKECESLIPLQLPGVRHAVLIGDEFQLPALVKSRVCEDAEFGRSLFERLSSLGHPKHLLDVQYRMHPGISKFPVSSFYENKISDGENVLHRDYERKPLAGPMYGSYSFINVDAGKESKGKHDKSLMNPIEVAAVTRIVQRLFKGTHCIMPLHSGMASLSSDSLVCAESVDTGRKLCVGVVSSYKGQVRAIQERLGKAYETHGGFTVKVRSVDGFQGAEEDIIIFSAVRSNTTGSVGFLSNVNRTNVALTRAKHCLWILGNANTLASSKDHLAAVIELDEVDDLLEMDSLRISGSRFGVRLNLTF >OGLUM02G28710.1 pep chromosome:ALNU02000000:2:29292415:29294485:-1 gene:OGLUM02G28710 transcript:OGLUM02G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYYDSDDDDVVLVQQGAGTRSARAKDGRYSSWSQSELEKQMFSWSLKDVLNKDLLKKKVKKIPTIFSSLKEYMGSFTVPLIEETRADLCSALEGIKHAPAAEVTRIKLCLDEQLIYSFFAKKADPKDIFQEVYAPKEADTLLLTDRKPRHISDLGRGEKPLVIASVLKAEDAEGNTVVRLSSKHVEQQFGLESSLFAVFLINMTTYNRIWSELDAVVASVRNTDIIRMIVNCNPKVGQECSYSSELPLHLPDRALGGLEDFKLNKSQKVAVLDCVSAMQQRSSSVRLIWGPPGTGKKKTISTLLWAMLVKNHRTLTCAPTNTAVVEVASRVLNLLEDPSAGSGKACFLSDVVLFGNEDRMNVDGNLTKIFLEKRARRLQKCLSPRSGWVHSLSSMIRILEQPLVQYDSFA >OGLUM02G28720.1 pep chromosome:ALNU02000000:2:29299499:29305697:1 gene:OGLUM02G28720 transcript:OGLUM02G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleoporin protein Ndc1-Nup (InterPro:IPR019049); Has 36 Blast hits to 36 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 1; Fungi - 0; Plants - 35; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G73240) TAIR;Acc:AT1G73240] MDRAPPRGAPRDAVGQRWLAVFVFQAALSAAASALYLAASPRRRHARLGVPRGLLLALHPFLSLAATGLLALAFLVSASPHPRAPPVPRRALAASLLAAAGALCVGAAASLVPEDSGWAAVAGMEFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPPFYGLKMGLLPSGKRALKVSLQAFFLSFILIFVLPQQFRIRGSIGSQIIAQIGIFIMSTAVAFCWEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEYILETLELSDPRSLMQYLAYQDLCAVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEEFTSKIAEALEGFSSEKPELLSQQFKLAAAFNDSQICTWCARTLSSLTARSRQEDRYGVAQLTGCNAAVMSTLLSALVAVEACLGKKTNPQPAHSLGPASIKWANFSTGRKGNVTAIASTQRGGLHTKAFSMADVLRTSIYQMVSAFEHDMRANAKASSLEKNWISEGRKPVFGSQAVLVQKLSLFIEYRAV >OGLUM02G28730.1 pep chromosome:ALNU02000000:2:29304387:29305022:-1 gene:OGLUM02G28730 transcript:OGLUM02G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYAWCRRGGAADCEEQEEDIGSPSTSAGSSARSSGSSSELADDASSSSSSSSAERRFEMSDLMTQLPFKRGLSRFFDGKSQSFASLAAVASLEDLAKPPRKRLKPSQSCGGGLDAHRGRVLSPRRHCPKAVVAGAKKATARAALSMLAASPRRPPLAAPARPEGVAAKFLVVN >OGLUM02G28740.1 pep chromosome:ALNU02000000:2:29309015:29309328:-1 gene:OGLUM02G28740 transcript:OGLUM02G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46320) TAIR;Acc:AT3G46320] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM02G28750.1 pep chromosome:ALNU02000000:2:29309742:29313540:1 gene:OGLUM02G28750 transcript:OGLUM02G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAPSARRVSAVLYHYPCPDGAFAALAAHLYFSAAALPVCFFPNTVYDPIRSDALPFDEIKDVYLLDFVGPPGFVTDIAPKVESVTILDHHKTAFESLCGNPTLGENVNKVIDMQRSGATIAFDFFSNKLLTIGSSLWNHRSGNSFNGVKYLPDNKLETVHKLFKFIEDGDLWRWTIPNSKAFSSGLKDLDIEFDVNINRKLFDQASNLLLELDPEEVISRGQATLSHKQKLIDECLEKSYEIALGCGRFGNCLAVNADAISNLRSELGNQLADKSLYKVPELNNDNMLKISLRSLNEEDTTSISKEYGGGGHRNASSFLLSVTEFDRWKVGAEPCNTKM >OGLUM02G28760.1 pep chromosome:ALNU02000000:2:29319150:29326864:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVRKKRRGRGEVMQHSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSVMALAVTRGRFL >OGLUM02G28760.2 pep chromosome:ALNU02000000:2:29319152:29320569:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGSQSSFDTTCRAHDGPKEETREGGSDAARVQRRQALPLRTVISCTAGAALVVCIPKDFLRWPLISVMALAVTRGRFL >OGLUM02G28760.3 pep chromosome:ALNU02000000:2:29321348:29326864:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.3 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEETEAEGGAQASAPIRSRRRSGPSAVGKQGTSVKGCGGTSRCAPFFRATSA >OGLUM02G28760.4 pep chromosome:ALNU02000000:2:29321348:29326864:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.4 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEETEAEGGAQASAPIRSRRRSGPSGKANASTLHTNPRVIEISPKRRQFLIQFKRVERRCAPFFRATSA >OGLUM02G28760.5 pep chromosome:ALNU02000000:2:29321010:29326864:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.5 gene_biotype:protein_coding transcript_biotype:protein_coding LRSAAAVRWCGHATVGNDEASSRNGEEEETEAEGGAQASAPIRSRRRSGPSVFIGSLKTNKKSKSIQLSKDALLEL >OGLUM02G28760.6 pep chromosome:ALNU02000000:2:29319152:29319648:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSVMALAVTRGRFL >OGLUM02G28760.7 pep chromosome:ALNU02000000:2:29319321:29319648:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQLEVKRWMVGKKR >OGLUM02G28760.8 pep chromosome:ALNU02000000:2:29319321:29319648:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSGHGAMEMLKVTMLLQLEVKRWMVGKKR >OGLUM02G28760.9 pep chromosome:ALNU02000000:2:29319350:29319648:-1 gene:OGLUM02G28760 transcript:OGLUM02G28760.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGATCIYALTFAHDMSIHCAFILLQSYCDQLYCWCCFGRVHPQGFSAVATDQVGVEFVTLFSQSWK >OGLUM02G28770.1 pep chromosome:ALNU02000000:2:29320871:29326601:1 gene:OGLUM02G28770 transcript:OGLUM02G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFEGRSPAARGVEQVCNMKRFSFASSFKALWYIHAYANDSSFALLQFQQCIFAKLDGFALLVCLQGANKHCILIEKALVALKKGAHLLKCGKRGKPKLCPFRLSSDEKMLMWYSKDREKRLNLSSVSSVVLGHKTVHSIYGSPRLMQKNVLQSNLDFSEPFFSPRQRTWSDLDYYMEKVTPDVVNRVKHSCRDIKVADKLNEQIITQLPKQKSSEGLHVAYGATSLKDIFVWGDVPGNVLDHGDVSKANVSLPRLLNTTHIIDVQSVACGEKHAAIVTKQGEVFSWGVDNGGRLGHKVSVSVSDPKIIESLASTRVKAIAFGAKHTCAVSISGELYEWGEGTHCLGLWGDQYQRSQWFPHKLLGPLDGISILKIACGHWHTAIISSAGQLFTYGDGTFGVLGHGDTLTVARPKQVESLKGVRAKAIACGPWHTAAIVERMGTVKSNAPSGKLFTWGDADRGKLGHADKKMKLVSCAKAQTIVLTITGVVFTIGSKEHERLGNPLSEDTSICLIEGPLKTEFVREISSGSSHIAVLTMNGKVFTWGKGTEGQLGLGDYVDRSYPTLVEALEDKQVHSIACGFNFTMAICLHRPLSSKDQSVCSNCQLTFGFTRKKHNCYNCGSMFCNSCSNNKVSRAALAPDRSKRYRVCDACFSQMQKVEEHSKLDPQQKIQKDEVCPIEIRSYTPKLARIFKEANAIMEKMASAQSPHQRSQNLAVPDHVRTLRWGLVECPSQFRCVRESIPYCSTLNKQTVSGSIVRVMNETMAPKPASSLLKSANDSKAELDLMENILLEEVKQLQEQVTTLAKQCRQRSLKVQLYKRKVEETWLIAKDEAAKCKAAKDIIKVLIDQHDFLSKNLLAGEKLDNSRIMPSHIASAKSLKAELPDPPDKNVFTSEFQQSKSNRDHHNSRQVDRECTQPSIASMADYSVTHQNCRRTSNGSTGCTEGTDVTTAPTDSNGVIEQIERGVYATVVTSPGGKKCIKRIRFSRKHFGEDQAQKWWEANESMIFGKYSSMEQTVG >OGLUM02G28780.1 pep chromosome:ALNU02000000:2:29338707:29341406:-1 gene:OGLUM02G28780 transcript:OGLUM02G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMACLQGNAMATDENGADDRAGGESTVDHLRSHMNYGDMDLSGEEHVPKARKPYTITKQREKWTDEEHRLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVVRESSGSNTGSGGASAAAAAAAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALRQLEKPPLWMQSLSEQEEGSPTSVLTAAQIGTEALGGGFSNNSSGSGSLAPSAAGTDEHVDGGGSPASSVDREDGCLSPSIPTAELAMQAPNTKMSIATTDAKEASSEASVFRLFGKSVVVKDSDQLHLLNGSNIATSGSVERATRNILVPSFAAAPEGSSSNPWPISMQQFLYFLPRSDGFAAQPVMPWFSYNGSLPCALFYPAAAAAANQQCHRDSEGVEFRVSQREGSLTGSNTASSVVLGSSAAVPAAAAAAQNSDVAESRGQGNSREAAASPRLTKCESSASVTLLQRGFMPYKRCAAESELLRSEAAGGEEAVADGELTRLCL >OGLUM02G28790.1 pep chromosome:ALNU02000000:2:29364742:29367042:-1 gene:OGLUM02G28790 transcript:OGLUM02G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G06750) TAIR;Acc:AT5G06750] MFSWLLRIASACLGPARRYARTRKDEDGGDNGGGVADGLLWSRDLGRHAAGEFSFAVVQANEALEDHSQVETGSAATFVGVYDGHGGADAARFISDHLFAHLIRLARESETVSEEVVRGAFSATEEGFLTLVRRTQFLKPMIAAVGSCCLVGIIWRGVLYVANLGDSRAVVGYLGRTNKITAEQITRDHNACKEEVRQELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSICTRVLSLQDQFVIFASDGLWEHLTNQQAVDIVYKNPRAGIAKRLVNTALKEAARKREMRFVDLKKVEKGVRRFFHDDITVVVVYIDHELLQEKNVSVPELSVRGFVDSVGPSRISGFDAIS >OGLUM02G28800.1 pep chromosome:ALNU02000000:2:29370842:29377788:1 gene:OGLUM02G28800 transcript:OGLUM02G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCGVTLRSKYFASFRGASQRHDEAGYAPVATSAAAAAADEPAGKKAPRGSAAAADAPHAASMKRGAPAPAELTANVLGHPTPSLSEHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDPQYVHIVMELCAGGELFDRIIERGQFSERKAAELTRIIVGVIEACHSLGVIHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLRKCYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPKERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITYDELKEGMRKYGSTLKDTEIRDLMEAADVDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMR >OGLUM02G28810.1 pep chromosome:ALNU02000000:2:29382654:29383192:-1 gene:OGLUM02G28810 transcript:OGLUM02G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLLEAAASGSVEDSLNSDLVVILAGLLCALICVLGLGLMPPGERCGRCGARSGGRGIGALLLNYWKAPACDAEGPELA >OGLUM02G28820.1 pep chromosome:ALNU02000000:2:29391763:29392224:1 gene:OGLUM02G28820 transcript:OGLUM02G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLVIAHTTAHVAARDDIEVVAPGPAHLVLHRHALLLPAARLLAIRAKDLNEMFPVANAEVAPMANPRGFANLLWKQLNHLGNTGFDLALFRVNAYSNVLYLHADSTSPLTWDIDHWFPCARKKHRN >OGLUM02G28830.1 pep chromosome:ALNU02000000:2:29396044:29398556:-1 gene:OGLUM02G28830 transcript:OGLUM02G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNQTVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYSHNGRLEELYVYATKLKKIWGIVMGGTTKLQGKRGYYIINFANFDAFS >OGLUM02G28830.2 pep chromosome:ALNU02000000:2:29396044:29398556:-1 gene:OGLUM02G28830 transcript:OGLUM02G28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLPNQTVARTSATSLRHFPGHSKPSLPLSAPALRKAAAALLLAAAVALPCAVLYRAAVLDAVQPVQVGWDRGPWWERGQPPPAVVVPEEDGDVDPAAADDLDSDDLKLEQVLQEASMDNKTIILTTLNAAWASSGSVIDLFIDSFRRGVRTSSLLRHLVIITFDWKAYKRCMKIHAYCFALATENVDFSQEKRFLTAGYLDMMWKRLDFLRLVLEKGYSFIFSDADITWFRNPFPHFYPDGDFQIACDHYVGNATDLGNIANGGFNYVRSNNQSIEFYKFWYSSRLRYPGYHDQDVFNFIKHDPYITDIGLKIKFLSTTYFGGICEPSRDLNKVCTMHANCCIGLQSKLHDLRVIMEDWRNYMSMPPSLKRFGALSWGGKRGYYIINFANFDAFS >OGLUM02G28840.1 pep chromosome:ALNU02000000:2:29398749:29403901:1 gene:OGLUM02G28840 transcript:OGLUM02G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRAHSWSLYLAPHVIHSCYAAVEQSVIKKKFHTQTLAPHRSLSLSRRHRLRLRLPSRRRRRQEPPLPLPPLPRPSPSQLPKPKPYSSSFASAAMSSEPPPDAAAAAASSAGDLAADLSSATISKKQLKKDARKAEKAEKASQRQQQQQPQADADDPFAANYGDVPVEEIQSKTISGRVWTEVGGLDEAAAGRSVLIRGAAQAIRPVSKKMAFVVLRESMSTVQCVLVASADAGVSTQMVRFATSLSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDASRSEAEIEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREYFLSKNFVGIHSPKLIAGSSEGGAAVFKLQYNGQPACLAQSPQLYKQMAICGGFERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVAIFKHLNENCKKELETINRQYPFEPLKYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTEFFILYRYPLAVRPFYTMPCYDNPAYSNSFDVFIRGEEIISGAQRIHLPELLTKRATECGIDASTISSYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRLLLLSTTFGIVADTFGIGLNVGVVISLMIPLFVTMANPEQK >OGLUM02G28850.1 pep chromosome:ALNU02000000:2:29407517:29411189:1 gene:OGLUM02G28850 transcript:OGLUM02G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPAELCIKIFHLLDHQSLASAPQVCRKWNTLTSDDELWRRLFKDRWGADAAAFYAPEGSRSWKDVFIVQDRCDRYGLGVRIIREGSDYYLIYQGEIQRYLGSRSHVSCDSKDAPAQSSEDEQRQISDRILFFLGDLEAACADAKRVKV >OGLUM02G28860.1 pep chromosome:ALNU02000000:2:29408457:29413382:-1 gene:OGLUM02G28860 transcript:OGLUM02G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEFSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAINLQVSKEEQDPIGYLPLLVLATLRRSVLAIAAHMRSRPQVPLDLTLVNQVVIASFSDNPDTPGKMNEV >OGLUM02G28860.2 pep chromosome:ALNU02000000:2:29409220:29413382:-1 gene:OGLUM02G28860 transcript:OGLUM02G28860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWRLSQRAVTFIPRVRSQTLNPLPMAAAAAASPKRLRVYSSVSGDGRSANGAGSGKRVGTHNGSFHCDEALGCYLIRLTSQFAGADVVRTRDPQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELEFSEDHEDVHRLYLAIYKSFVEALDAVDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSEEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSKSWRVQAVGVAPDRFESRKPLPEKWRGLRDDELSNDIGIPGCVFVHMSGFIGGNKTYEGALEMARAAIKC >OGLUM02G28870.1 pep chromosome:ALNU02000000:2:29418291:29418674:-1 gene:OGLUM02G28870 transcript:OGLUM02G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGHTHFLDACFLCRKRLAGNRDIFMYRCRATPSLPSPLYLFPLFFAKRHGISSHAVVCRSSRGDTPFCSEECRREQMEADAAAERTEKARRAGKLTRGASTSRREVEGPQERGKSVRAGSILAL >OGLUM02G28880.1 pep chromosome:ALNU02000000:2:29418879:29419477:1 gene:OGLUM02G28880 transcript:OGLUM02G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCVFSASKIRDAWWGGKRRMRGLLYSGRGSAIIKPLSDTQIFKILRPWQVWKNLEKVTRYLWFFEQEEEGL >OGLUM02G28890.1 pep chromosome:ALNU02000000:2:29422075:29422595:-1 gene:OGLUM02G28890 transcript:OGLUM02G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSSYFHAFGNPDFAAVFSGGGSAQAIRPGTTTSSSGGAKAVNVGRGGAARQGAPSVFCVQDAEVEEAHHFLDECTLCRKGLAGDIFMYRGDTPFCSEECRREQIEMDRNRHRRKKQQYSPTAQAAAHHHRSERAPQRQLQPQR >OGLUM02G28900.1 pep chromosome:ALNU02000000:2:29446808:29447281:-1 gene:OGLUM02G28900 transcript:OGLUM02G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYFYFSATLELEPAGNLESVSPSPSPRRTTSRDVDVAGELRGRHHHYLDACFLCGRMLAGNKDIFMYRGDTPFCSEECRQRQIDADDASEMMKKRAKKQPAAARGEQQPQRRQSPHGIPVWAR >OGLUM02G28910.1 pep chromosome:ALNU02000000:2:29451361:29451831:-1 gene:OGLUM02G28910 transcript:OGLUM02G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSSSFFDIEPLDGGEACLSGHAMDACSLCRKPLTRNCDIFMYRGNTPFCSEECRDHQMEMDEAAVRVSATNARERAARNEQRHRLDAGSVAVAANVPVLS >OGLUM02G28920.1 pep chromosome:ALNU02000000:2:29457311:29459106:-1 gene:OGLUM02G28920 transcript:OGLUM02G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERTKEMDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRREMADRVRRHNRALLVAAERSAVRRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRSGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGPITPTVL >OGLUM02G28920.2 pep chromosome:ALNU02000000:2:29457760:29459106:-1 gene:OGLUM02G28920 transcript:OGLUM02G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSSLLFHDRGEPERTKEMDLPRPQMFAGVSPEVYFPSGGASGINRRNKRSREAIAMAPPPAKEELVNLFTLQPQQSTSFVNMAQLHNRVSASPSRAPAALVSTGLRLALDEQQQQQQQQESKRLKALCYSSSPMPFVSFSDELAGQMKRQDEELDRFIKEQGEQLRREMADRVRRHNRALLVAAERSAVRRLREKALEAEREARRGAELEERLARLRSEAAAWQAKALSEQAAAVSLHAQLQQAAAAARASGDELRGGEAGPAESSSSAYVDPRRSGSDRACLTCRLRPATVVLLPCRHLSLCGDCFAAGDVDVAMACPVCHCVRTGGVEAILC >OGLUM02G28930.1 pep chromosome:ALNU02000000:2:29471000:29471790:1 gene:OGLUM02G28930 transcript:OGLUM02G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEWRVLCWCCRLPALAPSVSATPFFLTCGDWRRALLPCRDVNGIAIRCKQASAPGRARLVRADTRSAAGLGLDERWVQQMLSRITDGWMDPGGSCDGSNE >OGLUM02G28940.1 pep chromosome:ALNU02000000:2:29477964:29481813:-1 gene:OGLUM02G28940 transcript:OGLUM02G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERRDVDGGAVLPDLDGGAVLPDPGVTVSGRRVRLASPRRRDQHPSEYATVVVLSTSKRWGVMVWELPLPLHCVVETNLGSELA >OGLUM02G28950.1 pep chromosome:ALNU02000000:2:29488412:29488762:1 gene:OGLUM02G28950 transcript:OGLUM02G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAVGASLFPRPPQHAAQLALGLCVILAAPPPLTVVGVTLPPHQTYTNLIFL >OGLUM02G28960.1 pep chromosome:ALNU02000000:2:29517162:29518270:1 gene:OGLUM02G28960 transcript:OGLUM02G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWM3] MHCLRAVHFLLHSEKVTVNFSKPNVTFQGQGFESTIIVWNNSAKNTGTFYSATVDVFATGFVTNNISFKNASPAPKPGDRDGQAVAIRVSGDQAAFWGCGMYSYQDTLFDDLGRHYFSDCFIEGSIDFIFGNGRSFYEKCILNSVATGDGINGAICAQGREYAADDTGFAFVNCRITGSGLILLGRAWRPYSRVVFAHTDMPGIIVPEGWSDWDDPQRDATVFYGEYMCTGVGANMTGRVPYAKPLTEQQAQIYLDASYVDADGWLKPFNDSLIV >OGLUM02G28970.1 pep chromosome:ALNU02000000:2:29519220:29522945:1 gene:OGLUM02G28970 transcript:OGLUM02G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT2G44660) TAIR;Acc:AT2G44660] MAAAAATSPARAAAWAFAAATCVKLLLVPTYRSTDFDVHRYWLALTHALPARRWYTDASSQWTLDYPPFFAYFSRLLALPAPLVDASLVSLPVPDAPPSFAYLLYLRLTVAFSDLLLLLASVLLLATDALTRRRRRPFLSLALVLWSPALLAVDHVHFQYNGFLMGLLLLSLHFLEQGRDLAGGVVFAALLCSKHLFLVAAPVYFVYLFRHYCCGRGVVRGLGRLVLMGAGVAAVFAAAFVPFLYYGQMQQLFNRLFPFGRGLCHAYWAPNFWVFYILLDKILAFLLRRLGFNIQIPEASFTGGLVGNSSPFAVLPKVTPITTFLLVILAMSPCLMKAFSIPQPRHIIRWVSYATTCGFMFGWHVHEKASLHFTIPLALIAMDSLEDAKHYFVLSIVSCYSLFPLLFENQEYPIKVMLLLTYATLMWVGFSSHFAAKSPQEGKKVNESGSVVRKNSFIGWISFSYLLGIVAIELWSQVFHHYLFGSRFPFLPLIMVSLYCGVGMMYSWMWQLAWIVRH >OGLUM02G28980.1 pep chromosome:ALNU02000000:2:29527858:29532678:1 gene:OGLUM02G28980 transcript:OGLUM02G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVACLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKVVPLITQYLSEGIEEMRHPIINLKVGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIKYANNLTFATIKGGCHIPPENRPKESFIMAKRWLAGGPL >OGLUM02G28980.2 pep chromosome:ALNU02000000:2:29527858:29532678:1 gene:OGLUM02G28980 transcript:OGLUM02G28980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLLWLHADGHCQCHLSLLLRLVACLALVLLLPPPPAQAATVVTHLPGFDGPLPFYLETGYVGVEEETGTELFYYFVESERSPRSDVVLLWLSGGPRCSVFSGFVYEIGPVMFVAERYSGGTVPRLEYNPYSWTKLASILFVDSPVGSGFSYAHDPKGYDVGDISSSMQIVKFLRKWLDDHPKYLSNPFYIGGDSYAGKGYLVGNPSAGDKIDVNSRVPYSHSFGVISDQLYEAALANCKGDYENPTNKPCTDVMQTINNLMSEVLEPACPFDWPWPMPGRDASNRKSLTEEHYWLGDPPVEPPFSCFAAYRYYLSYFWANDNATRAALGIKEGTVTEWIRCATGLPYTRDLPSSIECHFNVTTRGYRALVYSGDHDPIVPFSGTQAWIRSLNFSIVDDWRAWHLDGQAAGFTIKYANNLTFATIKGGCHIPPENRPKESFIMAKRWLAGGPL >OGLUM02G28990.1 pep chromosome:ALNU02000000:2:29536309:29537285:-1 gene:OGLUM02G28990 transcript:OGLUM02G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGHSGDARRQPGGAPRRGRAATLRGRRQGGAGVCRRYGPLEGANDAGIDGARREKRRTAARRQPAVGGGHEGQAGRRVPPAKRFNYGTVIANSLLSYPRKSGDAVNEMTSLSNLTEELTLYVPTVPEPFPRGRFGWPFLQLKMFSLGSLVGRASPASFLGMPVAEGRSG >OGLUM02G29000.1 pep chromosome:ALNU02000000:2:29538037:29538593:-1 gene:OGLUM02G29000 transcript:OGLUM02G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQNRRLGAIVGHSNSVVLSSHLGSFLGPTNSSDVFTTLLVVAMVGLDVRLNDVQVEQVPARIEANLVLCRFAPGMALLWNLPHARNTMRTMKEHQHRVGQHDARGDDDKWHALTPFLVAASCTAPSVRHGGVPPSVVDSTGDRVREPCVLVRWRQVGTSRRRRDTTSMAMPMLLPPIQATTS >OGLUM02G29010.1 pep chromosome:ALNU02000000:2:29540766:29541263:1 gene:OGLUM02G29010 transcript:OGLUM02G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIIATLLLAAAIAPGLTVGSPSLINETCTATSNYGYCLGVLSADLAGASATDKRGLAIAAANITMRNVTSTVRVLTELVEELKLCIKYYQDMDDLVASAIDDLRVGRPAVTSFYKLHRASDEPGNCDIMLFEGSAHKNPVSSENMYNEAISKLTSDIVYQLVH >OGLUM02G29020.1 pep chromosome:ALNU02000000:2:29551255:29552367:1 gene:OGLUM02G29020 transcript:OGLUM02G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPPPAPPAIGGDPLAATIPPSLPSPAPSSSSLNLSPSLLIITALLAFVFFASVSIHFLLRCLARPSHPAPSPLPRASAAAQRATTASAVEAGEATAASAVGRSHEGEATAGGGEEVDDEKERLIASLPLFTMASALAALPKSSPDCAVCLSPFAPEAELRLLPACRHAFHASCVDAWLRTTPSCPLCRATVSLPHPPLPTAAASNAVQQDPLDSRSSNNSRSFRVEIGSISNRRSSAAADDRRTYSLGSFDYRVDEEVEAVVSRIARPAVAKSTTGSVTPAPGEALAEAAGSRGWLREYVDRLASSASSLSGRWSGRWSARWSQSHHSNRQEDSWRWDPEAAVMSAPRGVDDDEPGFVTLYRWIVGV >OGLUM02G29030.1 pep chromosome:ALNU02000000:2:29552477:29553141:1 gene:OGLUM02G29030 transcript:OGLUM02G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTSLNSEPSIDLDNIVAILSSHSPSPSSILLLSSHQSSQILAIVTTGDWSFVRFLERQFSGGGNRANRTRQDATPCCLLLFPCAWWCACSLCRCVDSESLVLGGMDPWPWLSALVRRRLAVKNVSNPLRTEDTPLQHAPGLSLSWSRGSC >OGLUM02G29040.1 pep chromosome:ALNU02000000:2:29555293:29559885:-1 gene:OGLUM02G29040 transcript:OGLUM02G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESGFLPFNSFTEIYSLLGPGGSQHKRYR >OGLUM02G29040.2 pep chromosome:ALNU02000000:2:29555293:29559885:-1 gene:OGLUM02G29040 transcript:OGLUM02G29040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRNFWSMAAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSIRRLAEGYWLKQASMSPYSGSMYHGSPLLLLVLGPLTNKRSVGHHDHIYCSLIFVAVDFIAAMLIRATGRRLQIARNRNLKSLELTEAVSNSVNVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVMATHLSLYPAILIVPIILLLGYGPDAPPTKVFRIKSSSASKTDVSDNDKSSSSRDVQQFLWKPVFHFILWMLFWTFYVLLLSSIVLNKVGGLQEMFEKYFFAEVFDFFRSFFLIVFNMNIVFMVLPLAIRLKHRPCFLAFIYTAIVAILKSYPSVGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRTVLVVESGFLPFNSFTEIYSLLGPGGSQHKRYR >OGLUM02G29050.1 pep chromosome:ALNU02000000:2:29561472:29562035:1 gene:OGLUM02G29050 transcript:OGLUM02G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLVPVLLLMLALSTCESSVLQDTCKSVAAGHKYVTYNDCIKAFQADSASATAADARGLAAIAARIAEKAANATSARIAALRAAEKDARRQDRLGVCAEVYSDAVDQLGEAAEDIARGGDEATQDAVTQLSAALDAPGTCEDAFGEADDASPLAPEDAEFAKLATIALAVAASLSPPPSTPATMD >OGLUM02G29060.1 pep chromosome:ALNU02000000:2:29565370:29565647:-1 gene:OGLUM02G29060 transcript:OGLUM02G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWPSPASAARDCSADLSRNGQACGPVAGNFKGSYLAMLSSGGLVFGIINIVGNFGTVFVDNGY >OGLUM02G29070.1 pep chromosome:ALNU02000000:2:29569754:29572943:1 gene:OGLUM02G29070 transcript:OGLUM02G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPGRVSLCIMEEEDEVQPYSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >OGLUM02G29070.2 pep chromosome:ALNU02000000:2:29570421:29572943:1 gene:OGLUM02G29070 transcript:OGLUM02G29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGNNNNGNSDGGSNRDEEVQIQIADSSKAATSSTHEVPIQNSPVKSWQWWLMVGVNMFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALFLFRSKTSSTQTVTSSPAPTISIPKITLIYVVLGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTPLIFNSVVLLTFSASLLGVDEDSQGTTSISHGKYILGFLLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATLASLVGLFASGEWMTLQGEMHAFQSGKLSYVMTLLWTAISWQVASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLMAIWGFMSYGHQLYVDGKKGRKTTVSVEETS >OGLUM02G29080.1 pep chromosome:ALNU02000000:2:29576320:29577054:1 gene:OGLUM02G29080 transcript:OGLUM02G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVFPDEFARPVKNRYRSQLTSFSGPSKKYCSLSVKNKKKKKKKKKKKKKKKCCSTAEITKHSVRILSHRTVRPFCSTRLHSLQKQRNQLDRCHRKWRIYDINTLNSQFRRSCLGHRHALARQQEDAFILEVGGMATITVPVPGDEVLRTSNARRFFYSSTEASIGLTQCWISSLAVHATRLAFTVP >OGLUM02G29090.1 pep chromosome:ALNU02000000:2:29581773:29582651:-1 gene:OGLUM02G29090 transcript:OGLUM02G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRCKKHPYQAGGGVCATCLNDRLLALAAAQNGASSSPPPPAAPPAAAAPAFPRSVSPYVSRRKSDSSGGGGGALKHHPSLLFFRTPQVGPAYGSSGGLEEGDIGYEKRRAGKFSIIATLFGHHHHHHRSEEKDKGGDNRESRNRSWLAGFMPHRRKKQPPAPAAAAAASSPPPRRSCRVVSNRGLSPERDCDGSDEESSSPTDPPWQPSPSPMRRTPCRRRQTSTMPSGFAVCLSPLVRPSPGRRHRHAHPPDPGTFSCELRPSPLHNLSSAASITRCRSRKLADGGRFR >OGLUM02G29100.1 pep chromosome:ALNU02000000:2:29594245:29594790:-1 gene:OGLUM02G29100 transcript:OGLUM02G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G20230) TAIR;Acc:AT3G20230] MAAAAAYPTAATLPPRRAPPPSLRPSPPLSWSASIAHLALSPPPVPSNRASLVVRAAWTRRSRKEAEERPNRKSWKQRTDMYMRPFLLNVFFSKRFVHAKVVHRGTSKVISVASTNAKDLRTTLPSLVDDNACRTIGRLIAERSMDADVFAMSYEPKKNERIEGKLGIVIDTIKEHGIIFV >OGLUM02G29110.1 pep chromosome:ALNU02000000:2:29597809:29611869:-1 gene:OGLUM02G29110 transcript:OGLUM02G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12810) TAIR;Acc:AT3G12810] MWDPCVDFAGTTSTKTTLKTTERHFTPALEAPRGEPRRTKTHWDHLLGEMAWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENDSLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVQAFSVSRESSPDGRDVFSDSDSKDLIKDPLNQANGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDCDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMPVDDESADTVEVNRDLSADTMKLTRDQSAETVKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQLETSEPIVQENTVKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVLLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEEDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHYSNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSAHRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >OGLUM02G29110.2 pep chromosome:ALNU02000000:2:29597809:29611869:-1 gene:OGLUM02G29110 transcript:OGLUM02G29110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12810) TAIR;Acc:AT3G12810] MWDPCVDFAGTTSTKTTLKTTERHFTPALEAPRGEPRRTKTHWDHLLGEMAWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENDSLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVSRESSPDGRDVFSDSDSKDLIKDPLNQANGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDCDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMPVDDESADTVEVNRDLSADTMKLTRDQSAETVKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQLETSEPIVQENTVKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVLLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEEDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHYSNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSAREPHCVMSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >OGLUM02G29110.3 pep chromosome:ALNU02000000:2:29597809:29611869:-1 gene:OGLUM02G29110 transcript:OGLUM02G29110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12810) TAIR;Acc:AT3G12810] MWDPCVDFAGTTSTKTTLKTTERHFTPALEAPRGEPRRTKTHWDHLLGEMAWLAKEFDAERKWKLSMAKRIAQRANKGVVDQATKDERKQKEEEVRLRKVALNISKDVFYKNQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDVRLQNQENDSLQTNQRSQQELAQENINASSPTDVDNVEIDDDYNSSLGEEPEDDEHTIDEDEAQITEAERNEELAALQAEADLPLDDILKLYTKNKVQAFSVSRESSPDGRDVFSDSDSKDLIKDPLNQANGCNDESDHTSSDEGISSEEADDYQSYSEFVKKNTVKCNGNISSVDAKDDEDYVANDEGKDDEATLSEEEELAKKEDCDPLDEVKLLQKESEIPLEELLARYQKDGYADDDTTELENSPALSVEDVNANMPVDDESADTVEVNRDLSADTMKLTRDQSAETVKVNNDQSAEIVEGNNDTFEDHESAGMLGPEHVSGSVLQLETSEPIVQENTVKEGDVTDTKAMANGDNSDVIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHSLREYQHIGLDWLVAMYEKRLNGILADEMGLGKTIMTISLLAHLACEKGIWGPHLIVVPTSVLLNWETEFLKWCPAFKILTYFGSAKERKQKRQGWMKPNYFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFCNPISGMVEGQDKVNKEVIDRLHNVLRPFILRRLKRDVEKQLPQKHEHVIYCRLSRRQRNLYEDFIASSETQATLASGNYFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGINMQISSSVGMVLDKGPFSQVDLSDMNFVFTQNEYNMTSWEEDEVAAIFSPSITLRGSGISRSTNDGQRSNGTNIFEEIHNSLWEERIKEANERAASIAWWNRVRCQKRPVYGTNIREVLTIKHPVSDILEKKKNPLCHMEFSSSLANLVLSSVDRFKTMLDFIESFTFAIPAARAPAPLFWCNKGKSPVLIEPAYREKCMNEFSPVFSPIRPAIVRRQVYFPDRRLIQFDCGKLQELAILLRRLKSEGHRALIFTQMTKMLDILEEFINLYGYTYLRLDGSTQPEERQTLMQRFNTNPKFFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQRGSYNTEFFKKLDPMEFFSGHSSLHAENQQKDCSLSAGPSNGTNLALSNVDVEAAIRQAEDEADYMALKRLEQEEAVDNQEFSEEAAGRLEEDDLVNEDDTKPDEHTNEEHKYQCSDLVKDKHVALSINQLDEEKAITLAGGDGDIDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFLELWDPIIDKAAINYQVNVEEEEWELERIEKLKEDLEAEIDEDQEPLSYESWDVDFATTAYRQHVEALAQKQLLEEQERQAREAAKELEEKNDNSTQRKKSKKNKKKAAKFKSLKKGRLSSESEVMVEETSVDTMSIDDNAPSPELMSDESAHHYSNKHKRIMSTNEEENSNSRSLKKLKKAPKSSFSSEALSPKHFLEGKQLKLKDELNDSDPKSGARIKSDGRISVPCMPVKRVMVIKPERLKKKSLWSRDCASDSWTTEEDAVLCATVNEYGPLWELASDSLHSVPGGAFYRGRYRHPVHCCERFRELVCKHILSATDNSNSEKVPSGTGKAILKVSEDQTQMLLNVISELPNNELLLQKHFMAVLSSVWRSKSAREPHCVMSYSNTLQKPGRLSENWSMTNFRPNFNLVRTALADAQVQCPRMVVPTSNHESRRNFLELELDFLTDRDDYEADFPSVVNVSILEPEPLKHAVEPVEHSLLSTLSYRHAENRFRMVSETCFEGEGSHWASSAFHTYDAGRHKSGPKSIGKHKASSESGRPPKSKIQRTTEPQEVPVTNNFHRIPGQLLHNSAEFHITQSLSDLGISDSEFTYFDNLPQEAETEFVPYQYDSDVLSGIEELDPLTDFTDIG >OGLUM02G29120.1 pep chromosome:ALNU02000000:2:29619123:29622017:-1 gene:OGLUM02G29120 transcript:OGLUM02G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.5 [Source:Projected from Arabidopsis thaliana (AT1G32450) TAIR;Acc:AT1G32450] MKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILLNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLVSTTTRHIPLSPQFDLKTTRLSRCDRYVVAPTGRSIGPRHIAVALRGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAAATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGRPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGRQMAATV >OGLUM02G29120.2 pep chromosome:ALNU02000000:2:29619123:29622017:-1 gene:OGLUM02G29120 transcript:OGLUM02G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transporter 1.5 [Source:Projected from Arabidopsis thaliana (AT1G32450) TAIR;Acc:AT1G32450] MKMRVIVVEGDETSNAPKDVCCEYTLDGSVDIKGSPAVKGKSGGWLAGGLILLNQGLATLAFFGVNVNLVLFLTRVLQQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSLSSRLYLIRPVGCGTEHVPCEPHSGAELGIFYIALYMIAFGNGGYQPNVATFGADQFDGEDPAESHSKVSFFSYFYLALNLGSLFSNTFLSFLEDEGNWALGFWVSTAAAATALLLFLGGTLRYRYIRPSGNPVGRIFQVAFAACRNWKAGESPGAVTLYESDEKADSGGRKLLHTEGFRFLDRAAVVGANPKLGTCTQPRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTRFPGFSVPPSSMSAFDILTVATTIFLYRRAVCPLVSRLTGRRTGPTELQRMGLGLVLGAMAMATAGTVEHFRKAAATTAMSSDLHIMWQVPQYALIGVSEVMMYVGQLEFFNGEMPDALKSFGSALCMMSMSLGNYFSDVIVSAVTKATAVRGRPGWIPADLNEGHLDKFFFLLAVLAVADFAVYLVCASRYRSGTVDVDRSDGEEEDGVAGRQMAATV >OGLUM02G29130.1 pep chromosome:ALNU02000000:2:29640217:29640471:1 gene:OGLUM02G29130 transcript:OGLUM02G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAPTATEFTQEAARQSLIEISQSLPEKPSAQNLEVKSPSPRAANEDHEDGAEKYRSKLISISYLSPDAQPTPCPPKNVLT >OGLUM02G29140.1 pep chromosome:ALNU02000000:2:29659181:29664277:1 gene:OGLUM02G29140 transcript:OGLUM02G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poltergeist like 1 [Source:Projected from Arabidopsis thaliana (AT2G35350) TAIR;Acc:AT2G35350] MGSGASRLLTACTCSRPAPASVDAEPCLDDALGHSFCYAAAATATAHSSSFRHGISGAALSANSSVPVPLYNASAAAGGVAPGYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRAGQLSGPLDPAVPFSGPLPAKPPKPASSSSRGFSRRFRKPSFGSLRRSVSEKNRPCAVPLRRDDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVTNLYRFLLRELRGIFYKEADADNKKLWQFLVDGDDDDSELDFSGSGRFALSLDRLKESRFHMWAHAAADESGREWGSRRLAPAPAVRDHAAVLAALTRALASTEAAYLDMTDQSMGTHPELAVTGACLLVALVRDDNVYVMNLGDSRAIVAQRPDDGDDGCVFGTMRRMEDVGVGLEIETRPAGCAIIGLKPLQLSTDHSTSIEEEVHRIKREHPDDDQCIVNDRVKGRLKVTRAFGAGYLKQAKLNNGLLEMFRNDYIGDTPYISCTPSLCHHKLTARDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEELLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGTYV >OGLUM02G29150.1 pep chromosome:ALNU02000000:2:29665392:29666651:1 gene:OGLUM02G29150 transcript:OGLUM02G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWP8] MDEPPQLFLCPISMELMEDPVTVSTGVTYDRRSIEEWLFVYGRTTCPATMQPLSNFDLTPNHTLKRVISSWLDRGSSSSSPSTSTLSSPIHELATPLSRALEQERLLAALAELEETPFKVTKLKSMRARMAGDVAMQGEFVASGGVRVVGRVMAQALAESGGDFSAFAACEEAAAVLAALPLSDEASVRVVLAPECIRPVMALLQRGGAEARLHAMDILTKISSSGSGGDWTAGVDIDDVIKSLLELLSDEASTRLSSRALDVLLDVVERARGARAKAVEVGAVHVLVELLADADDRRVTERVLLLLKRLCKCPEGRLAFAEHDLSVAAVARTMLRVSELSTQLAVKVLWLVSVVAPSEKVLEDMMLTGAVAKLLGLLHVESSPSTKQKTVRMVRIHGVVWRQYACFPTDFRDYLRLLD >OGLUM02G29160.1 pep chromosome:ALNU02000000:2:29668752:29673940:1 gene:OGLUM02G29160 transcript:OGLUM02G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G46630) TAIR;Acc:AT5G46630] MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPAKRYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >OGLUM02G29170.1 pep chromosome:ALNU02000000:2:29675204:29679377:1 gene:OGLUM02G29170 transcript:OGLUM02G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G17830) TAIR;Acc:AT4G17830] MASPSLRDAVGGLDRDPFVSLLGKLIGESRRLQNDPPALVPQEDLVAQHVVDALLPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRAISFVGMHMDVVPANPDEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPVLKHSVIAVFIANEENSLITGIGVDGLVKDGLLDKLKNGPLFWIDTADKQPCIGTGGVITWHLKAIGKLFHSGLAHKAINAMELNMEALKEIQTMFYNDFPPHEKEKLYKFATPSTIKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTTSVVKKLQEYVHDINENIEKLPTRGPVSKYVLPDENLRGRLEITIDEDIMNGVACNLESRGFQALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMAQGFQVFLSIISQLEADV >OGLUM02G29180.1 pep chromosome:ALNU02000000:2:29684933:29687856:1 gene:OGLUM02G29180 transcript:OGLUM02G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRWRRPHDGDGLTRSGADDDPAMPTGGGRVVAARHSRSSLRVPLALVVELLATLRTIGGPTKIRDSDDLTGADDGRERPPRAPLAFGHPRAARLSPPCTVRHLRRRREEERKRQGRQRRWADEAFSWAIEGIKEEVVKRRGRDGDGGSMLPGRARGTD >OGLUM02G29190.1 pep chromosome:ALNU02000000:2:29715789:29716117:1 gene:OGLUM02G29190 transcript:OGLUM02G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSPSCKATICRTPGNHHYGTTSELRAASRRASSLWEEMVLPYNFPPPSLTILLPRLAHHHHLAVMGVEVANVTKVVGGEGRKIRREEEDEEWLNGGSHAILDTT >OGLUM02G29200.1 pep chromosome:ALNU02000000:2:29717906:29722060:1 gene:OGLUM02G29200 transcript:OGLUM02G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVDALCAPCSDTALIYDTFNASAAASFLFDNAAAFCDADILGATATGEKEATSSAAAAAADAAPPRKKRRRRAKSCKSREETETQRMTHIAVERNRRRQMNEYLAVLRSLMPEPYVQRQTDIASCQSKKAMSEQPARRTAMRECLAERDSPAMAPLSAITHGGDQASIVGGAIEFVKELEQQLQSLEAQKRTLLPHHKARCDDATPMHNASGSNVGAGGCMEPTTTTSNCSSSVTEDAPSADAPPFAQFFAYPQYVWCHSPRDSTTTTTAASASASASASSSSPATVAAALQSEHRSGLADIEVSLVETHASVRVMSPRRPGQLLKMIAGLQALRLTVLHLNVTTLDSLVLYTLSVKVEEGCSLTTVDDIAAAVHHVLCIVDAEAAASEHLLAAGQLATTATAAAVAKRKLATYMY >OGLUM02G29210.1 pep chromosome:ALNU02000000:2:29721436:29722671:-1 gene:OGLUM02G29210 transcript:OGLUM02G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALQPVVLIHPPEQHPPNPRIHPQSNRYVLFPLSLSLSVLLPSQARPSSSIRFDAPLRHSPPPVRRPPLLLPLRALLSEPEREVEMKMVGESGGGSGDDLLSIPLFRVGPAKEQAVVGAGAAAANTTATRAVAGGGGGLTEATPSPSFFWACLIYLDLGLWA >OGLUM02G29210.2 pep chromosome:ALNU02000000:2:29721844:29722416:-1 gene:OGLUM02G29210 transcript:OGLUM02G29210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVGESGGGSGDDLLSIPLFRFGHQLNPVHLSLWRRPPSPAAATTSSPPPLFQCWAGKGAGGGWSGCCSGEHDGDESGRGWRWWAH >OGLUM02G29220.1 pep chromosome:ALNU02000000:2:29724321:29726502:1 gene:OGLUM02G29220 transcript:OGLUM02G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRFARSSTHRLAVPVRRRLRTRFRGSGQDRKNTMTMSPWPMSMYASSSQVII >OGLUM02G29230.1 pep chromosome:ALNU02000000:2:29729440:29733208:1 gene:OGLUM02G29230 transcript:OGLUM02G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVADGDTGHHVLWLLAHMLRVVNCQDQLVVVSPVLPWNAGLRLRRDHPDGDERDVAAAERAVGVGLEPGVDARDVEGVGALGQQPEALAVAELAEADGAVRAVHEAVAAPVLAHCDLVDQRLVHPVRQRDAPRLLAPGIVSAVGAATAAAVSAGAKESVPEGAEGAAVLGYDGVVADEEEGAREHPDDGDDERREGWAGGVVGAGAGDVEGRRRWREDEVAPLRAVHAAEALGAIPGWLIGHPCRLRTEIWCCRGRRGHCRHGYTSTAATLEV >OGLUM02G29240.1 pep chromosome:ALNU02000000:2:29731127:29732287:-1 gene:OGLUM02G29240 transcript:OGLUM02G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPTTPNLGSQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDITGSSSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFSSLRNRLLGSSAHRSSGGGADGGDNSRSQEPWSVALSDGMDETLINKITVCKYRRGDGFVDSTDCSVCLGEFRDGESLRLLPKCTHAFHVPCIDTWLKSHSNCPLCRCNIAFVTVGMVSPEPEARVPREDRRDNHELVLTIDNPEHVREEPQNVVTGVAVGNGGRNHEAKDGPGRSEDANGTAEIREDGALMPPTRAPSSLSDTHREGRMSIADVLQASLEDELMVARESGLLAGSSGSSRRCHGEHSKDGGGRSGRALPDGANMKRLVPAGRSCFSSRSGRGKDSVLPM >OGLUM02G29250.1 pep chromosome:ALNU02000000:2:29744706:29745751:-1 gene:OGLUM02G29250 transcript:OGLUM02G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHASPFSLKNRGDMGGRGYEEEEVENQRWPPWLKPLLSTSFFVQCRIHADAHKSECNMYCLDCMNGALCSLCLSHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSGGYRPRKKHGGCGGGGGGDGGKKKKKRAALKDARYESEDSCTSTSGGSSDKSSVVQSFTPSTPPPTSASYRTGNKRRKGVPHRSPFGSLIVEF >OGLUM02G29260.1 pep chromosome:ALNU02000000:2:29775162:29775959:-1 gene:OGLUM02G29260 transcript:OGLUM02G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHLTHPRSGMLADDLRCRNALSGLGKCHPHRAFAAAAAAFASTTVAETELDLASPIPSSSSSSSSAAAATPSLAAGFQNGGHPQTLAFCLPPTAPAVADAVEAAAREEDAATDRDRAIRCSRSMRVWTRPTASPDADEEEDGDAHVQGQGRTCAQLGQRRRSLSRRQCGHTPPPDLATGCFRHRHSSPPPPPPPTATAAFSAFIPLSPARAVGCCVSPSLNSAPRFSERKRGGVTHNVAVERNGNGIERDGGHNEGATTGAYY >OGLUM02G29270.1 pep chromosome:ALNU02000000:2:29775343:29775942:1 gene:OGLUM02G29270 transcript:OGLUM02G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKAAVAVGGGGGGGDEWRCRKHPVARSGGGVCPHCLRDRLLRLCPNCAHVRPCPCTCASPSSSSSASGEAVGRVHTLIEREHRIARSRSVAASSSLAAASTASATAGAVGGRQKARVWGWPPFWKPAAKDGVAAAAEEDEEEEEGMGLARSSSVSATVVEAKAAAAAAKARWGWHFPSPLKAFRHRRSSASMPERG >OGLUM02G29280.1 pep chromosome:ALNU02000000:2:29779014:29781177:-1 gene:OGLUM02G29280 transcript:OGLUM02G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16650) TAIR;Acc:AT5G16650] MDGASEKPPHKDYYKVLEVDYDASDDTIKLSYRRLALKWHPDKHKGDNDVTAKFQEINEAYTVLSDPAKRLEYDLSGCYELNRYTLREYLTRFKGMILTCNGLGIDHSSKWARHLRELEPH >OGLUM02G29290.1 pep chromosome:ALNU02000000:2:29786336:29792277:1 gene:OGLUM02G29290 transcript:OGLUM02G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVGSGLGNMGNTCFLNSILQCVTHTVPLFLRLRSTDHCAPCSYDKDGFCSFCALKEHIDESIRRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNNSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >OGLUM02G29290.2 pep chromosome:ALNU02000000:2:29786336:29792277:1 gene:OGLUM02G29290 transcript:OGLUM02G29290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNACDPVICLMVHTISLELKYLIQLFYINCDNQDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNNSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >OGLUM02G29290.3 pep chromosome:ALNU02000000:2:29786336:29792277:1 gene:OGLUM02G29290 transcript:OGLUM02G29290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDGAAAVSEAEAAPVQVETGAESGDAESEQYQPFFSMCQPIRSVSYSNSWDMSNLDSMDDKYMLSAPWPENEQPQSENDMDLLDGDTNMQSEPLSTEQPSSNDEIDIWDGKTKQDSSSLLDSKLCNSGSGAEPCNMEGQQFSLSFSRNTQSQLVMIKMVSVHSVLLKNILMNQSEDYPELSSDFRPGQQEDAHEFLRCLLDNLDKCTTDPKPKDKPSSFDEESIVKQVFGGRLKSKLTCCECGHCSETYEPFLDLSLEIDQADNLIDALESFTKLERIGDAEDKLNCEHCNAKVCKNKQLMLDRSPDVVAIHLKRFTSLDRSVEKIDKHVVYPLELDLKPFHCDPDINKELKYDLYGVVEHSGSPSYGHYVCSVRSSPSTWHLMNDSHVDLISEASALNQEGYILFYIRQGKFQWFSSLLEQKDDLHPENTSGASPVSVLENIDVDCPTSSGEATNNSGDKLEKDETSQCKTSFLEEPAKGCPIDAINKADLKDEIVPCISSHHDCVAIRCPGSAADITNLDRPSTPSPKRKRFFSDDNEFNVFEFEDFGDEDETPLLGNLKFTSKAKKAKVESASKSTKGPCIDKNVTRLVRSMPSTRRKGIVDCLSQLNAEQDSGSCPRSHPLGKKKLGIPVPIKW >OGLUM02G29300.1 pep chromosome:ALNU02000000:2:29792457:29795195:-1 gene:OGLUM02G29300 transcript:OGLUM02G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALLAGVAAAFATGLVGVYLSMPHSDYSFLKLPKNLQELQILTDHLEDYTSDYTVQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGVALVVFAATAGASSCYFLSKLIGKPLVFSLWPDKLGFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFFLATFIGLIPAAYVTVRAGIALGDLSSLGDLYDKQSIALLFLIGVVSVTPTLLGKNEKQQGKGPEMIEGTADLIIIVYALLTRFSFSWNLVLVICESVHEMVCLGAEVNSQIACHFHSFVLCRDHNDFERRSFVRFCCQMPYNGFGR >OGLUM02G29310.1 pep chromosome:ALNU02000000:2:29794302:29801725:1 gene:OGLUM02G29310 transcript:OGLUM02G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQDLELLEVLGQLEEAVVRMRHGEVDADETRGKGGRDAGEQCRLPHREPLLPPHLLLSFPCKICDAARRWPFGRKKKRRAAEGKKRKTEEAQPLIDALITITLYHSSSLSCAAARRFPYLLCATTSPVVLDGANESCSPGLLLLKLATESAFVVIFPVSSGSSLPMPSPSPPNHVPRIVPTAITSPSLSDFRRAELAAVVAKEDTALRATIPVRQRITVCVWRLAMGEPLSNRTQCSPGGVRRWPLEEEEMRPCGEKKRNKSNTFSCT >OGLUM02G29320.1 pep chromosome:ALNU02000000:2:29801869:29811606:-1 gene:OGLUM02G29320 transcript:OGLUM02G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVHGVQEHRQSGGGEKKAEQGEKEAAAKVEKVPFLKLFSFADRWDYVLMAVGSLGACAHGASVPVFFIFFGKLINIIGLAYLFPTTVSGRVAKYSLDFVYLGIVILFSSWTEVACWMHTGERQAAKMRQAYLRSMLDQDIAVFDTEASTGEVINAITSDILVVQDAISEKGRVILCLCQLCRSEARTPEWRRRGVIGNLVGNFMHYISRFLAGFAIGFSQVWQISLVTLAIVPLIAIAGGIYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRTYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTSVVVHKNISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERNTVNKASSKAGRTLPSVDGHIQFRDVRFAYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLTGAVLLDGHDIKDLDVKWLRQQIGLVNQEPALFATSIRENILYGKGDASMDEINHAAKLSEAITFINHLPDRYETQVGERGIQLSGGQKQRIAISRAILKNPSILLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDSGRIVETGTHEQLMANPRSAYASLIQLQEAAQLQNKQSFSDSASLSRPLSSKYSRELSRTSMGGSFRSEKDSVSRYGTVEAHDEGGHKSKPVSMKKLYSMIRPDWFFGVSGTVSAFVAGSQMPLFALGVTQALVSYYMGWETTKREVRKIAVLFCCGAVLTVVFHAIEHLSFGIMGERLTLRVRERMFAAILRNEIGWFDDTSHTSSMLSSRLETDATLVRTIVVDRSTILLQNIGMIVTSLIIAFIINWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCAEEKVIKLYADELKEPAKQSFRRGQGAGLFYGVSQFFLFSSYALALWYGSELMSKEMASFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFEILDRKTDVLIDAGNDVKRVEGVIELRGVEFRYPARPEVVVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGKVLIDGKDIRKVKLKSLRKHIGLVQQEPALFATTIYDNILYGKDGATEAEVVDAAKLANAHSFISALPEGYRTRVGERGVQLSGGQRQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMRNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGAHHQLIENRNGAYHKLVSLQQQQQQQDQMQRH >OGLUM02G29330.1 pep chromosome:ALNU02000000:2:29822180:29823795:-1 gene:OGLUM02G29330 transcript:OGLUM02G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKHLIPHHAAGYTYARAYATTGGGGYGGGGGGGGDGGGGGDPFEQFPEAVLGLIVSKLPFRSAVAASAISRRWRGVAAAAPALDLDFAAAFPAAPRRRAAFAAAATAALSRPHHPLRRLRLGLDGLFDQAFAASAADHLASWLAAAAARGVEQLELHLPRSRLALLPPSLIACTNLTSLTLRLDHYAHPLPSLCSLTRLSRLHLASIPLAGDDFFADLFSHCKQLRYLILEQCRIGALCLAGTTQLCSLAITDCSWTPQSSVAFSDMPALRTLHYSGAMATRHIIDNVDSLEEVVLAIKKPQVKLQEPNLRELLSLVGNVQSLMLSPWCIEQFARPEEWSKVRLNKVRQLSCIIERREEGASSIAPLLANCQNVEELSVSVVPSQCKRRWGSDDGANHWVMGGKGVVLRHLRAVRMVYIDESKSGLDLVKLLLKNTPMLEMMTIVPSMDGLEQAKFRRRVLKLRKASRDADVQFSATG >OGLUM02G29340.1 pep chromosome:ALNU02000000:2:29825617:29829602:-1 gene:OGLUM02G29340 transcript:OGLUM02G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIGCYLLVQLSFTVCDYDLINPHKHSRLQHPHWSERGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGDDTRCHSQNTRSFDMSRGA >OGLUM02G29340.2 pep chromosome:ALNU02000000:2:29826035:29829602:-1 gene:OGLUM02G29340 transcript:OGLUM02G29340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIASSLVREVIKYAASISNCRGVYLHVISYNQPAISFYNKMLFKLVRRLPHFYYIRGQHYDSYLFVYYVNGGRSPCSPLEVITSFVVDFRAFLKMVVARFWNKEERSTPRWSRCKESTTLLVSQNNKRIIGGDDTRCHV >OGLUM02G29340.3 pep chromosome:ALNU02000000:2:29826078:29829602:-1 gene:OGLUM02G29340 transcript:OGLUM02G29340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPRSEIYPTIAYRPIQPSDLEVLENIHLALFPIRYEREFFLNVVNGNGIISWGAVDTSRSDDRRDELIGFVTTRIIAAQDSEIEDLFRYNSSRKDLTLLYILTLGVVESYRNLGIDGGCQVLEQRGEKYTQMVQVQGIDHSLGISE >OGLUM02G29350.1 pep chromosome:ALNU02000000:2:29834176:29860182:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGINFIKHLSFCYCILLGINFVKLPCHHFFCVKCMEAHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSIWAEQPAGQEVVYRWVDWLSTSSWSCIASDDQIVFGPDADSDGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQMLDTMPDVAYCPRCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPSAAPSPNSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRATRYPCPTCGAKRTKRMPNPLLRAVLEEGMEHRRALWAISINVETEHSSKLETGTLKPVGVPVDLTPLSRNPSIFRYAPEEREREAEITLHYGEW >OGLUM02G29350.2 pep chromosome:ALNU02000000:2:29834176:29860182:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGINFIKHLSFCYCILLGINFVKLPCHHFFCVKCMEAHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSPAGQEVVYRWVDWLSTSSWSCIASDDQIVFGPDADSDGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQMLDTMPDVAYCPRCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPSAAPSPNSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRATRYPCPTCGAKRTKRMPNPLLRAVLEEGMEHRRALWAISINVETEHSSKLETGTLKPVGVPVDLTPLSRNPSIFRYAPEEREREAEITLHYGEW >OGLUM02G29350.3 pep chromosome:ALNU02000000:2:29834176:29848655:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWYAQLKLFYRRNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGINFIKHLSFCYCILLGINFVKLPCHHFFCVKCMEAHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSLRERVDAAACAEDDGAMATCGSMP >OGLUM02G29350.4 pep chromosome:ALNU02000000:2:29834176:29848655:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGINFIKHLSFCYCILLGINFVKLPCHHFFCVKCMEAHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLVYCPRVALSLQSFCYTQLCYFFHKKKDENDIYLMERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSLRERVDAAACAEDDGAMATCGSMP >OGLUM02G29350.5 pep chromosome:ALNU02000000:2:29834176:29848655:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGINFIKHLSFCYCILLGINFVKLPCHHFFCVKCMEAHCKIHVKERNLTQLTCPDTNCRSPLPPSLLKSLLRDDGYAQWESFALKKLLDAMPDLERQKLHSMPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSLRERVDAAACAEDDGAMATCGSMP >OGLUM02G29350.6 pep chromosome:ALNU02000000:2:29834176:29844227:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEASSSAAAGVAVRDVGDDKPLPSAEVDITYWAAQEEAAALLESMAATARARGEDDLSEEQLQANNQLQEDEVIALQAIFGDDMVILENKDNLRFIQIFVHYTLPDSIRVFLNLRRSGAMVGTDDSENHNGGELYHACRLQHLPPVVLTCLLPRSYPSICAPYFTISAKWLDEPKVSYLCAALDEVWTELPGQEVIYRWVDWLNSSSWSSIALNDEIVLDPDKTSKIGDERAIARRILVESTIPLMQSYSEKRSHKIFLESLLVCGICLSEDVGKNFIKLPCHHSFCLKCMESHCKIHVKEGNLTQLACPDTNCRNPLPPSVLKSLLRDDGYAQWESFALQKLLDAMPDLVYCPRCSAACLEVDNDAQCPGCFFTFCTLCKRRRHVGDTCITPEEKIRILKERQKLYSIPEEQLLKEQREIDELINIQEALRDSKQCPRCKMAISKIEGCNKMTCGNCGRFFCYRCNKAIGGYDHFWNGNCDMFEREQDENPQQQDDENFDGDPDEDAELLEPEWVLLTYPCPNCGRRNEKRLAISKHQSKRSEQSIPWEIPMSSEASSSSSAAVAVRDLGDDNPSASPEVDTTYWTAQEEAAALLESMAARVRGEEELSEEQLQANEQLQEDEVIALEAIFGGDMVILENKDSLRFIQIFVHYSLPDGIRVFLNLRRSGALVGTGDNENHNGGEVCYACRLQHLPPVVLTCLLPRSYPSTCAPYFTISAKWLDEPKVSYLCAALDEIWTELPGQEVIYRWVDWLNSSSWSFIALNDEIVLSPDRTSKFGDERAIARRILVESTIPLMQIYSEEISQGTSEVAFHASGTIVERTEGIRRVDEYPGSAS >OGLUM02G29350.7 pep chromosome:ALNU02000000:2:29839901:29848655:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEQLLKERRELEELMNIQEALRSSKQCPHCKMAISKIEGCNKMICVNCGGYFCYSCNQAIKGYEHFWGGNCVLFGTHAHYQIRNPQQQRDENPGDHAELLEQRVQLTYPCPNCGSRNEKLGTNNHISCPGCRGHYCALCRKRVLKCSQHFGPRDEGQVSSGSLVAAVEITMMTPGSSSTSVPGDEADAGNWDAGVETAARLEAMVHAEDELSEEQIQANNQTQEDELLALQAIYGDDLVIFDNKDGLRFFQISLHYQLAGDIRVYLNVCPNGRTETGAENDDDDDSDRLLYACSLQHLPPVVLTCLLPRLYPSHRAPYFVVAAKWLDEPEVSSFCSLRERVDAAACAEDDGAMATCGSMP >OGLUM02G29350.8 pep chromosome:ALNU02000000:2:29848958:29860182:1 gene:OGLUM02G29350 transcript:OGLUM02G29350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVESELLGRWAASSATMTLSKKKIWAEQPAGQEVVYRWVDWLSTSSWSCIASDDQIVFGPDADSDGGDDRAIGRSCSLDSMIPLIQRYSKERSHEIFARRIHECGVCLSENTGRNFIQLPCSHSFCVKCMETQMLDTMPDVAYCPRCSAACVAAGDDAQCSRCFFTFCAVCRERRHVGDTCVSPNQMLDIMLERQKEKRPSAAPSPNSQAVSEKRKMEELLSLREVMRTSRQCPSCKMAVSKTAGCNKMVCSNCGRPFCYRCSRAITGYEHFAGECKLFESVGKGWFPGQAMWMNLEYDYDEIAEIGTPSWIRATRYPCPTCGAKRTKRMPNPLLRAVLEEGMEHRRALWAISINVETEHSSKLETGTLKPVGVPVDLTPLSRNPSIFRYAPEEREREAEITLHYGEW >OGLUM02G29360.1 pep chromosome:ALNU02000000:2:29856243:29859469:-1 gene:OGLUM02G29360 transcript:OGLUM02G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16810) TAIR;Acc:AT5G16810] MLKAPPTSFLSSLDPGGWRRRPFRRSPPPISAALMTNPAYFEVGRYLGGYGFMNITSYSSSQFGGLPDVAGIQNLGLGYSPEEIERLRAQDVGEGEVNIRLYEGRVVQGPLKGTEALFKVYPGSRSGASEADLMAVNELRTHAFLQNDASDICENIQFLLGAFETATGEQWLAFRDDGRYSAADYAKLTSERKLKEQSGSISFWNPYDRAYKLELKRYFVLKLLYGAMCGLVHMHNHDRLHQSLGPSSVVLKYSSVGSGALSDGLWRRASAAGASTPLEKRAFGIADDMQRLLENTFRLDIYAAREYFLADDRLSEAVDFLDLGDGAGWELLQAMLNPDYRKRPIAEAVLNHRFLTGAVLWN >OGLUM02G29370.1 pep chromosome:ALNU02000000:2:29860308:29861330:1 gene:OGLUM02G29370 transcript:OGLUM02G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase 10 [Source:Projected from Arabidopsis thaliana (AT1G32320) TAIR;Acc:AT1G32320] MAKLRERRQLRLSVPASPPPFPHLDHPFAALPSTPPGSPVLAELEMLSVVGRGAGGTVYRARHRRTGAALAVKEMRDDGAALREAGAHLRVAAAAPDHPSVVRLHGVCVGHPVAGNRFVYLVLEYLPEGSLSDVLVRGALPEPAIAGVARCVLRGLSHLHRLGVAHGDVKPSNLLVGHRGEIKIADFGASRVVTGRDEAHHQSPGTWAYMSPEKLHPEGFGGGGGADFSGDVWSLGVVLLECHAGRFPLVAAGERPDWPALVLAVCFAAAPEVPVAASPEFGGFVRRCLEKDWRRRATVEELLGHPFVAGKPSRCARQNEWLTTFQDKTGQVNTSIYGEE >OGLUM02G29380.1 pep chromosome:ALNU02000000:2:29875767:29876896:-1 gene:OGLUM02G29380 transcript:OGLUM02G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRAPCCAKVGLNRGSWTPQEDMRLIAYIQKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTADEEDTIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSQREKPGDTKKKGKAADASDDADAHSPSSSASSSTTTAANNNNSGDTAGEQCGTSKEPENVDVSFFEQDIDISDMLVDAPTEAPLVAAPMPPSPCSSSSLTTTTCVGAVSDELLDLPEIDIEPDIWSIIDGYGGDEPVVSGADGDATVPCTASPGEEGAEWWVENLEKELGLWGPMDESLAHPDPPGQVCYPGPLTETEGDPVSTYFQSGPTASPLQEIASPAVLS >OGLUM02G29390.1 pep chromosome:ALNU02000000:2:29888941:29889595:-1 gene:OGLUM02G29390 transcript:OGLUM02G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEFAANGGIHGSLTGISIGVGVSSTQKVWHSLQAFSDITFVYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVVTTTMFYMLCGCMGYALPDNLLTGFGFTIVVHLVGAYQVRPAGGRTTRSSPRSYAWGPSRSEFVCLTTVVAMLLPFFGNVVG >OGLUM02G29400.1 pep chromosome:ALNU02000000:2:29892352:29893798:1 gene:OGLUM02G29400 transcript:OGLUM02G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQLSLSPGPKQQQHDDGGNIGIGSDAAEVMAVPEESAAAKGRSVREERTIHLIPLLTFLCFLLLFLFSHDPSSADMSSFRDGGNGGNRRLRML >OGLUM02G29410.1 pep chromosome:ALNU02000000:2:29895899:29902176:-1 gene:OGLUM02G29410 transcript:OGLUM02G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAAAAGEDEEETSPIEEVRLTVPAGDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYKRSISFVAAWLLIITTQVLGYGWAGLMRKFVVEPAHMWWPGTLVQVSLFRALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHETPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPTSSLDSSFMEVNPLDASFSQPQGTRPRWRRPRVWRAASRRSRMLWIDLSSAAKMKMARHSAFFASRAACPSGSAGEFSARRICLYSDSTTGRLRARSSAVAQIIPPPAFAIPPPPTAAAAAAAMATGKRSGSVCFGERGIGL >OGLUM02G29410.2 pep chromosome:ALNU02000000:2:29895899:29900756:-1 gene:OGLUM02G29410 transcript:OGLUM02G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGGEGYTLDRLMDKADDDGIPAIIALPDYERKGVVGLQFSAGDSGADARDVNARVAATPNLHRRLRGEIQRESRRRDGLGDFLFETLRGGAYGAVCVVQACNPIAGPRAPSMHKLPGKDGIAAHAASQALAFHHVCGESWSWEWSTGWDAYPFLLDEETAGARTKPINLLPTAILSFVSVAASAYDMPSRLALHEKDELPHGSRQISRSKFFLVALICSFAWYAVPGYLFPTLTSISWVCWVFSKSVTAQQLGSGLKGLGVGAFSLDWTAISSFLFSPLISPFFATANIFVGFVLFLYVLVPIAYWGFDLYNAKTFPIFSSHLFMSNGTSYDITAIVNDKFELDIDAYNKLGRINLSVFFALAYGLSFATIASTVTHVGLFYGKEIYHRFRASQKEKPDIHTRLMKKYDDIPVWWFYSLMALSMTVALILCTVLKHETPGLNVITEYAIGLIIPGHPIANVCFKVYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGVAWWLLGSIHDICQDSLPADSPWTCPNDRVFFDASVIWGLIGPIRIFGPHGNYSALNWFFLIGAAGPVIVYIFHKMFPNKKWITLINLPVLIGATASMPPATAVNYNSWLLFGTIFNFFVFRYRKKWWERYNYILSAALDAGVAFMAVLLYFSLSMENRSIDWWGTAGEHCPLATCPTAKGVDLGPTSSLDSSFMEVNPLDASFSQPQGTRPRWRRPRVWRAASRRSRMLWIDLSSAAKMKMARHSAFFASRAACPSGSAGEFSARRICLYSDSTTGRLRARSSAVAQIIPPPAFAIPPPPTAAAAAAAMATGKRSGSVCFGERGIGL >OGLUM02G29410.3 pep chromosome:ALNU02000000:2:29901452:29902176:-1 gene:OGLUM02G29410 transcript:OGLUM02G29410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAADERGLAAAAAGEDEEETSPIEEVRLTVPAGDDTALPVWTFRMWSIGLLSCALLSFLNQFFSYRTEPLIVTQITVQVASLPVGHFLARVLPRRAFRAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGNAYAVMIVDIIRAFYKRSISFVAAWLLIITTQVLGYGWAGLMRKFVVEPAHMWWPGTLVQVSLFR >OGLUM02G29420.1 pep chromosome:ALNU02000000:2:29895909:29898869:1 gene:OGLUM02G29420 transcript:OGLUM02G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSPKHTLPLLFPVAIAAAAAAAVGGGGMAKAGGGMIWATAEDLARSRPVVLSLYRQILRALNSPALPLGHAARLAKKAECRAIFIFAAEERSIHNIRDLLDAARHTLGLLHRGRR >OGLUM02G29430.1 pep chromosome:ALNU02000000:2:29933729:29936228:1 gene:OGLUM02G29430 transcript:OGLUM02G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWU0] MALEARFFLAAVFAVAATCLCLSAVASAFAVPSVAFDEGYSPLFGDDNLVRSSDDKSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKAYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTARGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPGMGGDFPSKPMAVYATIWDGSTWATDGGKYKVNYKYAPFASEFSDLALLGCRADPVLRAPRDGGGAGCAEPDLLGLLTADYAVMTPRKRAAMRAFRARHMTYTVCYDAVRYAAGPFPECDVSDVEKESFSAWGESKNVVMKARGRGRRRGRKAGVAGAGAMSRLDLSSS >OGLUM02G29450.1 pep chromosome:ALNU02000000:2:29950141:29957440:1 gene:OGLUM02G29450 transcript:OGLUM02G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRMAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGASQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYHLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEVSCRFQDNDLGSQHAR >OGLUM02G29450.2 pep chromosome:ALNU02000000:2:29950141:29957440:1 gene:OGLUM02G29450 transcript:OGLUM02G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRMAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGASQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYHLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEEITSTDCHVAYATK >OGLUM02G29450.3 pep chromosome:ALNU02000000:2:29950141:29957479:1 gene:OGLUM02G29450 transcript:OGLUM02G29450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRMAGNDEVALKPVSCGARLRRSCDASLRFGGSMRDPFLKHKVKKFDLSSLDWIDEIPECPVFSPSIEEFEDPLVYLNKIAPIAAKYGICKIVSPLCASVPIGPVLMKEQGGLKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFRDFEKMANKEFVRRYSSAACLPPRYMEEEFWHEIAFGKMQSVEYACDIDGSAFSSSPNDQLGTSKWNLKRLSRLPKSTLRLLRAAIPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGKAAPDFEKVVCEHVYDHEILSGEGENAAFDVILGKTTMFPPNILLRHHVPVYRAIQKPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPLGALASQRYALLKRTPLLPYEELLCKEAALLDHEFSTCDYKDTTTLAGETHSQRCMKVPFVQLMRVQHRIRWSLMKMGARTHYKADIDATVLCGICRRDCYVAHIMCNCRIDAICLCHEEEIRRCPCSCDRVVFVRKDIFELETLSKKFEEESGILDAVKKQMARRDGASQHSNFFDCTDHEAEYYPYCNIHIDPSPEIYSISETNFVGYHLNNPHPAASTVTFSFVPHEYSTQSDECTSSNRRALSSSCLENTITPENAISNACQLSTPDQTCLSDKLAAHDTDDSDCEIFRVKRRSGLTPEKRHMEDGTTNFTGNQVLKRLKKINAHDRQEHKLPELSCGARSEPVHTDDCIHCVDFISENGDDFIAPTKLKMIHQLDANIVEDEVASSQKYNSCNYQSPSIELGPKRLKIRGPSFPSRISELEVSCRFQDNDLGSQHAR >OGLUM02G29460.1 pep chromosome:ALNU02000000:2:29964465:29970102:1 gene:OGLUM02G29460 transcript:OGLUM02G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAHPDLQLQISPPPATKSMDLGFWKRALETSTTTTTAAAAASTGPSVATSSSPPVASGGVGGGAGGFYQQAAVAPAANGHGHGHGHHHHHHQHHQLGGALQFLHRTQPIPPQDAAAGGGLQDLAFARPIRGIPVYNTSRPLPFLQSHHLQHHQHCYADAIGVAPGAGPRSPSKQAAALRLAAAPAKRGARAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTIKTTDHKQPAPPYGQTKTIIEIPDDNLFDINNTSGSESSVQQQSNLDGNEQGSSMCALRSNNSSSRGAWFHDKSRDATPGDIKSFEDVQSQSPEDDDASDLNSPPFQIPETTVSAMKPNLDFTLGRM >OGLUM02G29470.1 pep chromosome:ALNU02000000:2:29975769:29976110:1 gene:OGLUM02G29470 transcript:OGLUM02G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGKGGKDPAIGAQIHSPGSRGHSDLEADARELQNGSTRQRSALVVEKPTSGLLGPTEVEAVAEAADSPRAKAEAEVATRPWAEAVPGALEPIEVEAIRSDRSEVRGGQIQ >OGLUM02G29480.1 pep chromosome:ALNU02000000:2:29979902:29983231:1 gene:OGLUM02G29480 transcript:OGLUM02G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGELWDDSALVDAFDHAVATFKAMHSKNTQATTSENEEPGDPAVAAPAGEENISAEVADELIEKDGSQTEPCEASETPCQTHEERKSTEQAPLQEKDLDKEAHFSEPKIHASDVADAEQKDTSNQQTWDYNELVKKYYELEEQSRKVLEQLHQTNYWNYQVPGQSSVYQQPQVPAYSATAPDPYSSTIQSPCCCANVPLVSVSCCSTGQTSGVSSFMQPSGGCSISLTCDQCPGTSATDSTGATCLQQAEKVSTDSDQVAKAAMMTAEGAMNFMRSTISGDLGSFPRTDAASGKESMPMGMNPNFDTMGADSDLAVVLNAWYAAGFYTGRYLMQQSMKNTRQG >OGLUM02G29490.1 pep chromosome:ALNU02000000:2:29983705:29987740:1 gene:OGLUM02G29490 transcript:OGLUM02G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGSEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANFSQQTFEDVQVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAASENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLISF >OGLUM02G29490.2 pep chromosome:ALNU02000000:2:29983705:29987740:1 gene:OGLUM02G29490 transcript:OGLUM02G29490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLMDKVSAFGERLKITGSEVSKKMTAGMSSMSFKMKEIFQGQTPADKIVEEATSENLDGPDWSANLEICDLINTEKVNSVELIRGIKKRIMLKDARVQYLSLVLLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRGVRFPGRDNESLAPIFTPARSVAEAEVDANFSQQTFEDVQVHTYTAEETKEAFDVARNSIELLSTVLSSSPQQDALQDDLTSTLVQQCYQSQHTIQRMIETAGDNEAMLFEALSVNDEIQKVLSKYEEMKKPAASENAEQRPVVIPIATEHEDSATVGNEDALVRKPAGSRARSGGDDDILDDLDEMIFGKKGGSSSQEGPKKQDPKKDDLISF >OGLUM02G29500.1 pep chromosome:ALNU02000000:2:29988417:29991552:-1 gene:OGLUM02G29500 transcript:OGLUM02G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-coumarate:CoA ligase 3 [Source:Projected from Arabidopsis thaliana (AT1G65060) TAIR;Acc:AT1G65060] MITVAAPEAQPQVAAAVEEAPPEAVTVFRSKLPDIDIPSHLPLHEYCFARAAELPDAPCLIAAATGRTYTFAETRLLCRRAAAALHRLGVGHGDRVMVLLQNCVEFAVAFFAASFLGAVTTAANPFCTPQEIHKQFKASGAKLILTQSVYVDKLRQHEAFPRIDACTVGDDTLTVITIDDDEATPEGCLPFWDLIADADEGSVPEVAISPDDPVALPFSSGTTGLPKGVVLTHRSVVSGVAQQVDGENPNLHMGAGDVALCVLPLFHIFSLNSVLLCAVRAGAAVALMPRFEMGAMLGAIERWRVTVAAVVPPLVLALAKNPFVERHDLSSIRIGYGMTEAGPVLSMCPAFAKEPTPAKSGSCGTVVRNAELKVVDPDTGFSLGRNLPGEICIRGPQIMKGYLNDPEATAATIDVEGWLHTGDIGYVDDDDEVFIVDRVKELIKFKGFQVPPAELESLLIAHPSIADAAVVPQKDDVAGEVPVAFVVRAADSDITEESIKEFISKQVVFYKRLHKVHFIHAIPKSASGKILRRELRAKLAAC >OGLUM02G29510.1 pep chromosome:ALNU02000000:2:29992803:29994738:-1 gene:OGLUM02G29510 transcript:OGLUM02G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31920) TAIR;Acc:AT1G31920] MVGGLVLSQAQHQVGIAATSPAQAQAAEQAAFRGRDHRAPCASLDEARKAHARHVKLGLDRSPRHARPLLAACALAADWPGSMAYAASIFAALDDPEAFDYNTLMRGYVAGGGGRDPAAAVRLFVDMVEDGVEPDSYTFPFVFKACAQLGALQEGRQLQGHLVKLGFQRDEHSQNSLISFYGKCGEADLARRAFEQMEDDEQTTASWSALLAAYTRAGRWAECVESFGAMVRAGWRPDESSMVSALSACAHLGAHDVGRSVHCALLRNTARLNTFMSTSLVDMYAKCGCIENAAAVFDAMDDKNAWTYSAMVSGLALHGDGRKALEVFDAMVREGHRPDAAVYVGVLNACSRAGLLEEGLRCFDRMRLEHKLTPNAQHYGCMVDLMARAGRLDDARALIGSMPTGPTDTAWRSLLNACRIHGNLELAERALQELERLGATNAGDYIILSDMHARAHNRDAAAARRTEAVDRGLVQAPGYSAVEVHGATHRFVSQDRSHPRADDIYEMLHQMEWQLRFEGYTPDTSELALDAGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRVVTNLRMSKECHAYSALISEIFGREIVVRDRNRFHRFKRGACSCRNYW >OGLUM02G29520.1 pep chromosome:ALNU02000000:2:30000416:30005083:1 gene:OGLUM02G29520 transcript:OGLUM02G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKAAAAALSAAGVVAFSSERAYADGGAPAFRFPGFSSPPTPPPAAQPPPPPPAAPAPAAEEKRKVRNDHPRTSAAGFDPEALERGAAMLKQIENSPHGKKVFEILKQQEDVRRAENLTKKVEFQKELAAIELEKTRVDYDERKKLEQQRAQVKSQMSRYEDELARKRMQADHEAQRVRNQELVKMQEESAIRQEQMRRAIEEQIQEERRKTDRAKAIVEKEIEQEKILAEANARIKLKKQTEDVERRLLIEGAKAEKEKWVQLINTTFEHIGGGLQTILTDQNKLVVAVGGVTALAAGIYTTREGARVVWGYVDRILGQPSLIRESSRGKYPWSGVFSRAMSTMTSKLNKGSNLGNNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERSKLLKLYLDKYIMKAGEKHEKSWLRFFRGQPQKIEVKGVTDDLIREAAAKTEGFSGREIAKLMASVQAAVYGSKECVLTPDLFREVVDYKVAEHQQRRRLAGYEQKNA >OGLUM02G29530.1 pep chromosome:ALNU02000000:2:30005876:30007249:-1 gene:OGLUM02G29530 transcript:OGLUM02G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSAGAHRRAKSAVEVVETLAPNMNSGEPDSQAVPADSPHGIEVGVEFKPVEHPVEPVNLDQPVKCPLPEPSILHDGRIWKERIATAGVRVMTDLPVVKEGSQLESDSSGARSRSAVPKRAILPSVSAPENNILALLDECDVPESLRPAE >OGLUM02G29540.1 pep chromosome:ALNU02000000:2:30007524:30015908:1 gene:OGLUM02G29540 transcript:OGLUM02G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWV3] MAISSLHATTSLHSPCTTNTSFRQNQVIFFTTRSNRRGSTRYGGARTFQVSCSVDKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKEGKAIEKPIYNHVTGLLDPPELIQPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKNFNPVYLFDEGSSITWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIAERAGAPTEAAKV >OGLUM02G29540.2 pep chromosome:ALNU02000000:2:30007524:30010762:1 gene:OGLUM02G29540 transcript:OGLUM02G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWV3] MHHDPNPFDEGADENPFSNGGGGGARRGGGGGGGGKSQFSFGFGGLGGGGGGGSKGGATVDIPLDNMSDSKGKGKELLQWEADLKRREADIRRREEVLKSAGVPMEEKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGMPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYMLHIAFCVFAAIAPPVIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQLYG >OGLUM02G29550.1 pep chromosome:ALNU02000000:2:30015969:30017081:-1 gene:OGLUM02G29550 transcript:OGLUM02G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPEFRDWANLPELPLSEVLRGLLPCLRSVYAFAAACQPWRRLLRDSAADLVRPRIPPLLLLCPTYRVVPFSQLVVAALLSSYPVPGDATLLSASRGHLVLLRRRDPFHGLHLVDALTGATRHALPLPSPHFAYHYAALAPSCRLLLFHSKHAFFSFPVGDAGHNPRLDWTKHSLPRAASFVRSILEFRGRVLGLTDRAQLLEFHLDANPPNKSAQMLHAAGLPEVSTFDRWHFGPHLVAAGDRLLLVLFMMGPKLGHMFETLVSVKKVGVYALDMVKMRWEEVDNIGAYSLFVDCAGRSTAACVDVENCGVEANRIYIAAPGCRDWYAWRPGREVPLGGQGLGPLSIQAMNHLPWPSQIWIYPRLLF >OGLUM02G29560.1 pep chromosome:ALNU02000000:2:30017191:30017656:1 gene:OGLUM02G29560 transcript:OGLUM02G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVDAATLGISERGSHRRSGVMGFSSVEATSTAAAVMAGSQTRSQCVQPEQRLLHRRRILRRALLAAA >OGLUM02G29570.1 pep chromosome:ALNU02000000:2:30017728:30018606:1 gene:OGLUM02G29570 transcript:OGLUM02G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSLLIARSTMCLQTANDTTAPAKPAGAGPQLKLLVDKRSRRRARTRWTSSSASSAFVLFVEADADVSLEEFGDSLCPPIPCTGHYSMCRYVTRLRCGGFVFSTQICHNLVDAAGITQFLQAVGELVEGAERPAAREPLDSRHPPRPAYDHPEYELASDEASDKLRPGDELVHRRFLFGLDDVAALRDQLPTCLGPGAHVSFSSSPRAQTAAFRRLQPPTPPFRLLRPRAAACCRSPAATAALSH >OGLUM02G29580.1 pep chromosome:ALNU02000000:2:30018844:30030036:1 gene:OGLUM02G29580 transcript:OGLUM02G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPRCHVSKTRQKYCQGTFGEWLDQLKATISYTGRERAEEGGKAVSRVEPWYTKPADVRETKARTDGVSDELASRAVPPHSPGSVARDGIRRPVSP >OGLUM02G29590.1 pep chromosome:ALNU02000000:2:30030591:30035963:1 gene:OGLUM02G29590 transcript:OGLUM02G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPLMSSLPPLHLSFLLFFLSFRTYKTALSILSLSLVSQQFCPLPLGARLLAGFTQHYTTTSIIVLLPSRASERERERERERGGGGGGGQIIMCDYFLQRMEGEQAAGDLADIVLRAGGAAAAAVAGGGIPSTEWQLPPAEEEEEEPGFFPLPPSSSDGSGMSGADAFGDPFAGLPDPFGGDYPSSSGGAAAAADFFDAVVAKAGFVDVGVLGGGGGGGCDGGGVDGGGGGSSLLGMSKPILPRAAMQLPSVSPRAIRPYPVMAGDTVKLGAPMAGGPCAFDGAAAAGLHMSSSPRGAVGGIKRRKNQARKVVCIPAPAAAGGRTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHAKNSSSNSSSSGASSASKNNSSHSGYHHQKPLVKAEPNDQSAAAAAATTAATVPVKEEAAMVGTSSEALAKTTQKSMEDAAAAASATAAAVEHSDLMQQMFSQSYRPMIPEAAAGGHHDDFFADLAELESDPMSLIFSKEYMATNYKPAGDPAGKEMNAVDKGLDPAYMLDWSSTTVVTRAGGSSFMQGEGGL >OGLUM02G29600.1 pep chromosome:ALNU02000000:2:30053588:30053881:-1 gene:OGLUM02G29600 transcript:OGLUM02G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLCCTRLSSGQKRGWFTTLAVGTGKWTELGGWRLENGDVMPEFRTRGLGLAMSLSVWVGGSEEREMKMRRWAVDGLAGNFGVKLYSSIVIITTGM >OGLUM02G29610.1 pep chromosome:ALNU02000000:2:30054377:30059169:1 gene:OGLUM02G29610 transcript:OGLUM02G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAMESGQRAALLPESHGPKTEDDSLQVPLLKDKKRSGSKAPAIVLGFECLESTAFNGISTNLVVYLETVLHGSNLASASNVTTWYGTSYLTPIFGAIVADTFLGNYNTILISLAVYLLGMMLVTFSAFLPATAALCAAGATCGTGAAAAQTVAFVGLYLVAVGSGGVRSSLLPFGAEQFDDDSAADRERKAAFFSWFYLCVDFGLIVSGVLLVWIQQNVSWGLGFGIATACIAVAFAAFVLATPMYKRRLPTGTPLKSLAQVVVAAFRKVGMKLPADAELLYEVSDKVDSQPKIAHTSEFTFLDKAAVVSESDLEERPEAASSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYSQMSTTFIQQGSAMDMHIFSVPVPAASLSSFQVLCVLTWVILYSKVIVPALRGFSSSGAAGEPSQLQRMGAGRLLMALAMAVAALVETKRLNAAASGEAINIAWQMPQYFFLAGAEVFCYIAQLEFFFGEAPDTMKSTCTSLALLTIALGSYLSSLIYAVVEAFTATAGGHGWISDDLNQGHLDYFFWMLAAMCTLNFVVYSGFAKNYKLKTVLS >OGLUM02G29620.1 pep chromosome:ALNU02000000:2:30066000:30069912:1 gene:OGLUM02G29620 transcript:OGLUM02G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKGLEASGRLPSGGTSLF >OGLUM02G29620.2 pep chromosome:ALNU02000000:2:30066000:30069912:1 gene:OGLUM02G29620 transcript:OGLUM02G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIVNDPLMLNSVILVFANKQDMKGAMTPLEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKGLEASGRLPSGGTSLF >OGLUM02G29630.1 pep chromosome:ALNU02000000:2:30070602:30078315:1 gene:OGLUM02G29630 transcript:OGLUM02G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPAASTSASDAGMLGRRVVMLPSAAAALGLRRGRARMRLGCVLEHVAPRLAVASAALLGAGEVIAAAAAAGKSGGAGHAAVASTLAQLTVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRGMLLGMVDDPRVVLIKLADRLHNMRTIYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPHVFKKMRSELTSMWNSTNKTKSTRRSSIRSGLPASTKDVHTTSVHDFFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIKQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQFRHRITHHWRSGTSNKIGQSTSYPSSSSEDENYIQDVMPSKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVSM >OGLUM02G29630.2 pep chromosome:ALNU02000000:2:30070602:30078315:1 gene:OGLUM02G29630 transcript:OGLUM02G29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPAASTSASDAGMLGRRVVMLPSAAAALGLRRGRARMRLGCVLEHVAPRLAVASAALLGAGEVIAAAAAAGKSGGAGHAAVASTLAQLTVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYPIFEDPKVQKAIVFASTAHIGQFRKTGDPYVTHCIHTGKILAALVPSTGERAINTVVAGILHDVIDDTAENLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRGMLLGMVDDPRVVLIKLADRLHNMRTIYALPIRKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPHVFKKMRSELTSMWNSTNKTKSTRRSSIRSGLPASTKDVHTTSVHDFFSLSNQEKPNMKDLLQAVLPFDLLLDRKRRSYFLNNLHGSSETSVPKPKIVDDAAVALASLAACEEELEQELLISTSYIPGMEVTLSSRLKSLYSMYCKMKRKHVGIKQIYDARALRVIIGDKNGALHGPAVKNCYSVLDIVNRLWTPIDGEFDDYIINPKGSGYQESKVDYRSGTSNKIGQSTSYPSSSSEDENYIQDVMPSKYSSMKMGHPVLRIEGSQLLAAVIVSIDKGGKELLVAVSFGLEASEAVAERRSCFQLKRWETYARVYKKVSEKWWCAPGHGDWSTNLEKYTLCQDGIFHKQDQFGRLLPTFIQLIDLTEEEEEEYWMVVSAIFEGKEASSLTPDSSNTERSTSEPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLSVGTCTEPILREVAIICWPYGKIMRMSLGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVSM >OGLUM02G29640.1 pep chromosome:ALNU02000000:2:30079703:30083081:1 gene:OGLUM02G29640 transcript:OGLUM02G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATAAAMDFHALSRRELQALCKRNGVRANMTNAAMADALQSLPTVDGVDEIGTAALCLPTPSRSTMKSALKAAAAIGEEQQHGSPLPRGRRVSVMSPEAIRMDVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARATPAPIPTPATTRRTAAARKVEEAAPTPATLRRSQRTAARKAAAPVVEEVTATKTTTRRSARSKVMVDLEQEVEDMAVALQEVKVQEEDPKDVASDEKCDEEEEATKILGGNSKEEESEEGEEVVSSAAPTELAVISVMSCDDPKEEEIVATGEEPAKTQEVEGVGKEQEPVSLENSAPLPVMEDSPILGVLSKPEPVEPLSEKIEDASVGDGLGFGKLSALKEITGEMNDKEVDADEVPEEKLPADVTDDKTSEEDDLNEVEKLSAVEIPQADLTGDKTSEEEDLNEVKEGSAYENPQADRIDAESSEEDDLDGEYSEESDIDEESNEEGMLDEESAAEEYASSEETDDESDPSEVATDSDEVEVEKLQVAMEDGLTAEANQVDDEEDDFSGDLPSDFDNADNFSDDETESDATVVISSASKAAVVKTLDDSSVTEASSEEEVSQQEVEASVNSIVKSLDEFTFTVEGTQKDELTEEMKSTDDAEDVGAKELKKEKKKKKPTVQELNATSMRKLKTMLKEELIAKAAAGEGKRLALAELDDNAGGVDC >OGLUM02G29650.1 pep chromosome:ALNU02000000:2:30084051:30084551:1 gene:OGLUM02G29650 transcript:OGLUM02G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARVMRPRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >OGLUM02G29660.1 pep chromosome:ALNU02000000:2:30088662:30095534:-1 gene:OGLUM02G29660 transcript:OGLUM02G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWW8] MAAAAARLPLQSSSGHNAAAGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTAQLWVYEDGAMVSRSVTYVPGLYKIFDEILVNAADNKQRDPSMDSLRVEIDADEGRISVYNNGDGIPVEIHQEEGVYVPEMIFGHLLTSSNYDDNVKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRVTFKPDLAKFNMTHLENDVVALMRKRVVDMAGTLGKTVKVELDHQKVPVHSFSDYVKLYIKSASKDRDDVNELPSISQKVNDRWEVCVSLSEGQFQQVSFVNRIATIKGGTHVDYVTNQIATHVMNIVNKRNKNAHMKAHNVKSHLWVFVNALIDNPAFDSQTKETLTTRQASFGSKCELSDDFLKKVGSSAIVLNLLSWAEFKLSKELQKTDGSKRSRLTGIPKLEDANGAGGKDSNNCTLILTEGDSAKALAMAGISVVGRDYYGVFPLRGKLLNVREASHKQIMENAEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLIKIPSFLVEFITPIIKATNKRDKKIVLPFYSMPEYEQWKESLGGNASGWSIKYYKGLGTSTSSEGRQYFQDIAKHKKDFVWKNDQDDNDIELAFSKKRITDRKEWLTNFQSGTHLDTEGKYIKYSDFINKELIQFSMADLLRSIPSMVDGLKPGQRKILFCSFKRNLVKEIKVAQFSGYVSEHSAYHHGEQSLASTITGMAQDFVGSNNINLLQPNGQFGTRDQGGKDAASARYIFTLLSPITRSIFPKDDDILLNYLDEDGQSIEPTWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIVANLRRLLNDEPVEPMDPWYRGFKGSIQKTGTKAGGVSYTVTGIIEVVDDTTLRITELPIRRWSQDYKEFLISIGGTDKSKDKDKDKGKGKGKVKEKEKKEKDIEPFIEAFDTYSDDKNVEFLITLSKENMAIALQEGLEKKFKLTTTIGTTNMHLFDSNGKIRKYDTPEDILKEFFGLRLEFYEKRKRVLLENIELELKKLSNKVRFILAVVEGDIIVNNRKRAELFVELKQKGFDPFPRKKQRAGPAAVGAIEEDEENEESPEAANVVGSSDYEYLLSMAIGTLTLERVQQLIAEKGRMENEVAELKRTRPKSLWMRDLDAFEKELDALDEKDSMDAEERRATRNAGGGAAPKAAPKRRPRKTATNTQAAESSDGNAAAPAVPKPAAPRKKPAGKANLADSEDEDYVAAIPKPAAQKKQPAKKASTQLSDDEDDEVLALKDRLAAYNLDDHSEDTAMETETTEEQAKGKKGRKEPSKRGAAKKAISSLAVISDDEEDETVPIDEDDEDDFAMEEVPVKKGRGKKPAAEKPKAATRKRAPAQGKSMRQKVMEEMFKPTEDSSTSAPSPEKKVRKMRASPFHKKSGSVLQRTSTASTSTEETESSSPSGSSAEPVAARPKRQTRGNKKSYQEVQELSDDDTEDEVQDISDDSDFAGSDFGEDDD >OGLUM02G29670.1 pep chromosome:ALNU02000000:2:30089383:30099835:1 gene:OGLUM02G29670 transcript:OGLUM02G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKIPLLAPRGDLGDQDNLAGQRLPHSPVISSRPLIWTSVNGRITTHTHVTLHETDPTNVRPCTHGLSCSSSKLASVDHRSSNVSTPAAASAAVDTLPLPLNPNVSCLNAPLPFGNRTEANGTGLMDLAGTPFAFSGRNTLMAVGCSKHNHLRHRVPGQLRRHRQPLLQLTT >OGLUM02G29680.1 pep chromosome:ALNU02000000:2:30099550:30103835:-1 gene:OGLUM02G29680 transcript:OGLUM02G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIMLSMDIPWFFPCMIFLFQLRKQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLDR >OGLUM02G29680.2 pep chromosome:ALNU02000000:2:30099550:30106632:-1 gene:OGLUM02G29680 transcript:OGLUM02G29680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGIAVSCKAMLQLVIALRQIEVHEFATGRELQEWLAMASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLDR >OGLUM02G29680.3 pep chromosome:ALNU02000000:2:30099550:30103835:-1 gene:OGLUM02G29680 transcript:OGLUM02G29680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIMLSMDIPWFFPCMIFLFQLRKQVVSCRSGWRWRLSCPGTRWRRWLCLLQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLDR >OGLUM02G29680.4 pep chromosome:ALNU02000000:2:30099550:30099805:-1 gene:OGLUM02G29680 transcript:OGLUM02G29680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASELPWHAVAQPTAISVFLPEKANGVPARSMSPVPLASVRLPKGRGAFRQLTFGFSGRGRVSTAADAAAGVDTLLDR >OGLUM02G29690.1 pep chromosome:ALNU02000000:2:30099912:30105810:1 gene:OGLUM02G29690 transcript:OGLUM02G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGECFDSLGERAVSAIAVRALRESLPGCRVPRYSYLFPELEEEDHAWKEPANSIYQLPPQNKDYPCTFTQYCNNTPGGFQCSCPSSMIDNGYRSGTGCTPLVLVPPPPSPGAIIALPVTITTTASCIYWSFKKRERNRKRAELFKKNGGLLLQQRFAAFTSQGMMDLSARLFGAEELKVATDNYSENRILGRDSYDNCSRNNWIPYSQLTEKSDVYSFGVVLAELLTTEKPVSFARPEDLRKLAMYYLVMLVNKGCLLQAVKPIILAEAREEQLYDVAHLSIMCLSLKGEQSTMKEVASVLNGLRRSLAKDKAIKGKEVYPQNRMKKRNTCFLDQGSSPSPPCMGTPARAPREAAGHLPCILQDAVSRVSTAQILHSSGYTAAEPAALRALSDIAGRYVASLGRAASAIAEARGRTEPNLADLTLALEDHALGGFPGASDPARPVLRSGALSELAGFVRVVREVPFPKPVPRRGGAPRGKAWESFATAGKEPPPKHVPRWLPRFPDKPEPEPEPKAAYDEATARWEAHIRHEEEANAEEAVVLKPSVDGGGERRGVVPEKRGKVSFRVRAERKKRRMGLDQQCGGGFERFAENREKSAAIVRAASNHRSAVAVENGDGRHAAALPGDPCPVEGLKKT >OGLUM02G29700.1 pep chromosome:ALNU02000000:2:30106982:30110977:-1 gene:OGLUM02G29700 transcript:OGLUM02G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSEGGGGDGEVACCGVGDTSPGTIVWVRRRNGSWWPGRILGPDELPPSQIMSPRSGTPVKLLGREDASVDWYNIEKSKRVKAFRCGEFDACIEKAEATEGTSVKKREKYARREDAILHALELEKKLLASKHQTQGSRPANVSVCSKHNKDLGSTRYKSKKSKKRKGITASSDIKKEAEQYVLHAGSKRNFQDSPTRGISDNLFGNHLGDISHVRHIQARENLDIKEKITTAEKIRSDGSDFDESIEKCDRRQPLVQILHSSPKLPHQSQHNDGYGDVLTQGEMDRSPANYRAKRSRYVFLPTDSGETHSHSDLPSVQVASTGGDFETESYLHHPAFSEEQTSSDLVEKHIYESSERECSESETEDDAELLQCSDLILHPASHAHDPYFLPASDKFRHANIDADADELTYSSYMCQVNESEEDGSSELGVSQWHMKGKRNSRNAPKRSDMADGNPWLDKSDGFMEGSPCKINGRNPREGSMQIPNQQLLGQNFYQNEEVNYDSEETDFFEDTGHSEVNLYHGRTYSSCLKATRDFSRSYSYFNDYGNDSSKVSPLNRDSDKIFHFDRNAYWSGPSFYQKYSSRLGGRGPMLFDVDLKVQASYQGEHVPLVSLMSRLNGKAIVGHPVQIEILEDGSTDHLVFCDVSLEGRTGGQPAWCTGRRTAMQRIPRSNPSGALDCDDEGTLAYPDWEMKPDFRKYSNSDFRKYSNSNHQVKVDKKSISNVRRPSASKSQKKQSKKASLSSQKVRTLSSISTGKKHHGVGGQAKAHKQSGIFGGLIKPGGVPLVTCVPAKVAFTRILEAVGRPPLAVAHRVRMASPALRDPS >OGLUM02G29710.1 pep chromosome:ALNU02000000:2:30113567:30117248:1 gene:OGLUM02G29710 transcript:OGLUM02G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRALFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >OGLUM02G29710.2 pep chromosome:ALNU02000000:2:30113567:30117248:1 gene:OGLUM02G29710 transcript:OGLUM02G29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRALFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >OGLUM02G29710.3 pep chromosome:ALNU02000000:2:30113567:30116075:1 gene:OGLUM02G29710 transcript:OGLUM02G29710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSISSEKSHGLAPRPPLQEAGSRPYMPSLSTASRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSAADTASTHQAKPAKQRRYIPQSAERTLDAPDLVDDYYLNLLDWGSKNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGQHVAVGLNSSDIQLWDTSSNRLLRTLRGVHESRVGSLAWNNNILTTGGMDGNIVNNDVRIRNHVVQTYQGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSVPSAGRNQWLHRLEDHTAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELTGHTSRALFMAQSPDGCTVASAAADETLRFWNVFGSPEAPKPAAKASHTGMFNSFNHLR >OGLUM02G29720.1 pep chromosome:ALNU02000000:2:30119699:30122820:1 gene:OGLUM02G29720 transcript:OGLUM02G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGMERAGYGVGVGGAGAVGAGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQNKKDTGLEASRGAFAAHGISFASAAPPTIPSAENNNAGETPLADALRYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDATGTANLEATRTQLTDFNLALSGFMNNVSQVCEQNNGELAKAISEDNLRTTNLGFQLYHGIQDSDDVKCSQDEGLLLLDLNIKGGGYDHLSSNAMRGGESGLKISQHRR >OGLUM02G29730.1 pep chromosome:ALNU02000000:2:30122434:30125036:-1 gene:OGLUM02G29730 transcript:OGLUM02G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWY0] MGSRAAGSVLLRHLCPRVSSSTSAAAHAHAQRPPLAGAGGGGVALWARLLSTSAAAAKEEAAASKENTGSTAAAKAEATKAAKEGPASATASPVASSYWGIEASKLASKDGVEWKWSCFRPWETYSPDTTIDLKKHHEPKVLLDKVAYWTVKALRVPTDIFFQRRYGCRAMMLETTGFAASHGIGGQMVISSSFDIQI >OGLUM02G29740.1 pep chromosome:ALNU02000000:2:30126638:30129469:-1 gene:OGLUM02G29740 transcript:OGLUM02G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTPHGRRRNNNQVELQHPGHGEEEGGIGGSMADTGGRPEVSLATVRSPGHPAASTTAAAAADPGHADTGQEKPTVESAQPANGAAPMGECGTEYRGLPDGDAGGPMPSSARTVSMIPLIFLIFYEVSGGPFGIEDSVGAAGPLLAIIGFLVLPVIWSIPEALITAELGAMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGVPALGGGAPRAFAVVGLTAVLTLLNYRGLTVVGWVAICLGVFSLLPFFVMGLIALPKLRPARWLVIDLHNVDWNLYLNTLFWNLNYWDSISTLAGEVKNPGKTLPKALFYAVIFVVVAYLYPLLAGTGAVPLDRGQWTDGYFADIAKLLGGAWLMWWVQSAAALSNMGMFVAEMSSDSYQLLGMAERGMLPSFFAARSRYGTPLAGILFSASGVLLLSMMSFQEIVAAENFLYCFGMLLEFVAFILHRVRRPDAARPYRVPLGTAGCVAMLVPPTALIAVVLALSTLKVAVVSLGAVAMGLVLQPALRFVEKKRWLRFSVNPDLPEIGVIRPPAAPDEPLVP >OGLUM02G29750.1 pep chromosome:ALNU02000000:2:30132314:30139688:1 gene:OGLUM02G29750 transcript:OGLUM02G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVSHHVPTQGNASELRNEKASTRLTLTGIMDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQVPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTEKLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >OGLUM02G29750.2 pep chromosome:ALNU02000000:2:30131556:30139688:1 gene:OGLUM02G29750 transcript:OGLUM02G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPETGREKASTRLTLTGIMDRFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQVPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTEKLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >OGLUM02G29750.3 pep chromosome:ALNU02000000:2:30131851:30139688:1 gene:OGLUM02G29750 transcript:OGLUM02G29750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSFHCPPSFSCLRPCPRRCTFACPGLAGWFICLLRRAADLCLQPPDLGGSRAFGAMRVCAFKMIKEVGDGTFGSVWRAINKQNGEVVAVKKMKRKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFSEAEVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVSKDVIKLADFGLAREVTSVPPYTEYVSTRWYRAPEVLLQSSIYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEILKICNVIGSPDEQSWPQGLSLAETMKFQFPQVSGNQLAEVMTSVSSEAVDLISSLCSWDPCKRPKAAEVLQHTFFQGCTFVPPTVRSKAGVLPKTPPCVGVKGVSEHGMPRRYSTGTLSTTKPHSNASLKSSGLSKTGVQRKLQMDRQVPQKIKKPTESHPVLRHSRSLPETGRATMHKVSTLTEKLSHMSVTSRTRTTPKPAAPLLKAGLGKSDLLGKTDEIPPAKRLTRKLVS >OGLUM02G29750.4 pep chromosome:ALNU02000000:2:30138915:30139223:1 gene:OGLUM02G29750 transcript:OGLUM02G29750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTPLALGLLITRGWKLRWFTLGGKSHVDGAARAPALAVVASPAPSDVKPDSSMMPGYAARRLERWKRLLLNDGLPPDLYWCLPLPENLSTMSFN >OGLUM02G29760.1 pep chromosome:ALNU02000000:2:30137780:30160051:-1 gene:OGLUM02G29760 transcript:OGLUM02G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACSTKDGGEGGHRSATATPNSGKSLTSQLKDMVLKFSGSGRHQYKSGGSPSLRSSRFHRSSRLAAYPGIIDESGFTSDGAGEAYTYMRTTTASAGARAAPSTWDLPPKVNHRSFQPRVIRSPSASGVPSIGEEDYDDDDDDDDDDDEETVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGLSTPTSSVDEAMQRDSFYSRVGSTRESPAMMMPPPPPLPSSGASREHPISRTASSKAQLSSSSSVAAARPPFYPSTAVPDPSDHVWAHHFNLLNSAAAGPAAPYDPSRGTTSSRDEASVSISNASDLEATEWVEQDEPGVSITIREFGDGTRELRRVRRERFGEERAKVWWEQNRDRIHAQYL >OGLUM02G29770.1 pep chromosome:ALNU02000000:2:30176182:30179569:-1 gene:OGLUM02G29770 transcript:OGLUM02G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPYASLSPAVADHRSSPAAATASLLPFCRSTPLSAGGGGVAMGEDAPMTARWPPAAAARLPPFTAAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLAARFYNHPALGYGPYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVAQSQPPSAVVGSAAAPLAAASNGSFQNHSLYPAIAGSNGGGGGRNMPSSFGSALGSQLHMDNAAPYAAVGGGTGKDLRYTAYGTRSLADEQSQLITEAINTSIENPWRLLPSQNSPFPLSSYSQLGALSDLGQNTPSSLSKVQRQPLSFFGNDYAAVDSVKQENQTLRPFFDEWPKGRDSWSDLADENANLSSFSGTQLSISIPMASSDFSAASSRSTNGD >OGLUM02G29780.1 pep chromosome:ALNU02000000:2:30198867:30199463:1 gene:OGLUM02G29780 transcript:OGLUM02G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAQRHGPWGNGCSAKWPLDQFSCAWKLANSSIAMGAAGRIERERTRSCTSIRWGALGSTCFGACHDEFTDDCHANVWIHG >OGLUM02G29790.1 pep chromosome:ALNU02000000:2:30204790:30209119:1 gene:OGLUM02G29790 transcript:OGLUM02G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-tocopherol methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G64970) TAIR;Acc:AT1G64970] MAHAAAATGALAPLHPLLRCTSRHLCASASPRAGLCLHHHRRRRSSSRRTKLAVRAMAPTLSSSSTAAAAPPGLKEGIAGLYDESSGVWESIWGEHMHHGFYDAGEAASMSDHRRAQIRMIEESLAFAAVPDDAEKKPKSVVDVGCGIGGSSRYLANKYGAQCYGITLSPVQAERGNALAAEQGLSDKVSFQVGDALEQPFPDGQFDLVWSMESGEHMPDKRQFVSELARVAAPGARIIIVTWCHRNLEPSEESLKPDELNLLKRICDAYYLPDWCSPSDYVKIAESLSLEDIRTADWSENVAPFWPAVIKSALTWKGLTSLLRSGWKTIRGAMVMPLMIEGYKKGLIKFTIITCRKPETTQ >OGLUM02G29800.1 pep chromosome:ALNU02000000:2:30210324:30211428:-1 gene:OGLUM02G29800 transcript:OGLUM02G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWZ0] MDANRRQGGIQQLLAAEQEAQQIVNAARSAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETDTKIAQLKEQAANVSPEVIQMLLRHVTTVKN >OGLUM02G29810.1 pep chromosome:ALNU02000000:2:30213162:30213780:1 gene:OGLUM02G29810 transcript:OGLUM02G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVATVTRPLPSLFMVRTADGVPHGLLHAGLAEDVPGVAGVIHRPPRPDEEADGGLGTGVADAGPEHLLRDLRFRIPRLDPARISRNASARGESSSPSSRPFSVAALIGDKRGAALTDDMEIAFPESETSAGGGRRVALSRGSDKDNGG >OGLUM02G29820.1 pep chromosome:ALNU02000000:2:30225654:30228322:1 gene:OGLUM02G29820 transcript:OGLUM02G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQRTSYRRFLFSCSCLNSAMYCEKTHTHTHTLQHSVPCGKPDRLIGHGRGLSTKSSRTSSSVRSAVPAGPRSLGLHEAPHVSTLVQLVQPNSPRPGSDLSSSAGSTPTAASPTLNHPLRLAPLPPTSHHSQSSTSPAMAAALLSLLILVPPIGLLAALAFLARPRAARIPLKGRHVLITGGSSGIGLAMATAAAREGARVSILARNAARLEEARGAIRAATGRDVGVHAADVRDADAVARALAEAGPVDVLVCNHGVFVPQELEKQEMEEVKWMVDINLMGTFHLVKAALPAMKERTKETRLPASIAIMSSQAGQVGVYGYTAYSASKFALRGLGEALQHEVIADNIHVSLIFPPDTETPGFAEENKRRPELTNIIAGSSGGMKADDVARKALDGIKSGKFIVPCNFEGAMLAVATAGLSPQSSPLTAFLEIIGAGVMRFAAICFQFNWFMTIENWYAKNKKHA >OGLUM02G29830.1 pep chromosome:ALNU02000000:2:30228605:30233344:1 gene:OGLUM02G29830 transcript:OGLUM02G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonucleases [Source:Projected from Arabidopsis thaliana (AT2G15820) TAIR;Acc:AT2G15820] MATTSPCAAPSPSLRCPLALSHPFASPPPPPALRLAGPKLLPGRLAVSPPPGIPAVASALESLILDLDDDEEDEDEETEFGLFQGEAWAAADEREAVRSPELVVPELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWFRFNFALATRVADCLGRDGKVEKCREVFEAMVKQGRVPAESTFHILIVAYLSVPKGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKYNLKQAEFVYHNVVTTNLDVHKDVYAGLIWLHSYQDVIDRERIIALRKEMKQAGFDEGIDVLVSVMRAFSKEGNVAETEATWHNILQSGSDLPVQAYVCRMEAYARTGEPMKSLDMFKEMKDKNIPPNVASYHKIIEIMTKSREVDIVEQLMNEFIESDMKHLMPAFLDLMYMYMDLDMHEKLELTFLKCIARCRPNRILYTIYLESLVKVGNIEKAEEVFGEMHNNGMIGTNTKSCNIMLRGYLSAEDYQKAEKVYDMMSKKKYDVQADSLEKLQSGLLLNKKVIKPKTVSMKLDQEQREILIGLLLGGTRMESYAQRGVHIVHFQFQEDSNAHSVLRVHIHERFFEWLSSASRSFDDGSKIPYQFSTIPHQHFSFFADQFFLKGQPVLPKLIHRWLTPRVLAYWFMFGGSKLPSGDIVLKLSGGNSKGVERIVNSLHTQSLTSKVKRKGRFFWIGFQGSNAESFWRIIEPHVLNNFASLVTQEGSSIGSDGTQDTDTDSDDDMQMSDTERDE >OGLUM02G29840.1 pep chromosome:ALNU02000000:2:30232493:30232822:-1 gene:OGLUM02G29840 transcript:OGLUM02G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGKPTSGGSGTSRSSNIRGLLQDFLEQQHRLDVRRQEALERHAQERAAIEQQWRQSMQALERERLMLEQAWMEREEQRRVREEARAERRDELLTTLLNRLLQDDDL >OGLUM02G29850.1 pep chromosome:ALNU02000000:2:30247505:30247723:-1 gene:OGLUM02G29850 transcript:OGLUM02G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSTQPMQCRSATAGCPHVVVKSAGPWSLHFVMPEHHGLQSSRRSSAMSSPRQAGVVVTSPLHTNMIS >OGLUM02G29860.1 pep chromosome:ALNU02000000:2:30248165:30248359:-1 gene:OGLUM02G29860 transcript:OGLUM02G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPHRQPGGHLVHLIGNAQTLAVPVKLLVTLSAECHPVKGCRCAGTIAPTPGSQDVAVVISTV >OGLUM02G29870.1 pep chromosome:ALNU02000000:2:30253070:30256773:1 gene:OGLUM02G29870 transcript:OGLUM02G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWZ7] MANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPDFYNWNKVKVRYCDGSSFTGDVEKVDPATKLHYRGARVWQAVMDDLLAKGMNSANNALISGCSAGGLTSILHCDRFRDLFPVDTKVKCLSDAGFFINEKDIAGVEYIAAFFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNSFQKIDCPYPCDSTCHNRIYDDPSEA >OGLUM02G29870.2 pep chromosome:ALNU02000000:2:30252965:30256773:1 gene:OGLUM02G29870 transcript:OGLUM02G29870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWZ7] MDDLLAKGMNSANNALISGCSAGGLTSILHCDRFRDLFPVDTKVKCLSDAGFFINEKDIAGVEYIAAFFNGVATTHGSAKNLPSACTSRLSPGMCFFPQNEVKQIQTPLFILNAAYDSWQVRNILVPGFADPHGKWHSCKHDIDQCPASQLQILQGFRDDFLKALKEQGTPSTRGLFINSCFVHCQSETQETWFASGSPMLETKTIADAVGDWFYDRNSFQKIDCPYPCDSTCHNRIYDDPSEA >OGLUM02G29870.3 pep chromosome:ALNU02000000:2:30252965:30255319:1 gene:OGLUM02G29870 transcript:OGLUM02G29870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YWZ7] MAGVALMTRSWRTHALDDTQGEKERTDELTQETRPGVETERGPPTLRFASAEARMANGGVCLSCSALVCALVFLTVDGDFVDITYVASAVAKGAVCLDGSPPAYHLARGFGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKQMAKQIAFSGILSNTPDYNPGNKASLQRC >OGLUM02G29880.1 pep chromosome:ALNU02000000:2:30254997:30256923:-1 gene:OGLUM02G29880 transcript:OGLUM02G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRNIIPSSSSCCIPRSAHQRRPYVRRRSSRRRGQKWIWHSLTCRRGDMRWRYGGSHAGALHRWPAGTRNGGGEAPLTAYTLPCLGTIWRCKQKLRH >OGLUM02G29890.1 pep chromosome:ALNU02000000:2:30257745:30265528:-1 gene:OGLUM02G29890 transcript:OGLUM02G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKLDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEESVLQISGKYDVLCRMIEILGGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPFRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >OGLUM02G29890.2 pep chromosome:ALNU02000000:2:30257745:30265528:-1 gene:OGLUM02G29890 transcript:OGLUM02G29890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSWEVDAAGPPWRPSESTAFLPFAAAAAAGDRAGASLSGRRNGLAARSSNLSSVRKRPFVARLTTDIVQTFGKCNPEFKYSDSLNPKRFLTNPSVPAHNDGLDNANSDLILYVNLELVNKKLDRRYVIKEMLGQGTFGQVAKCWDGETNSYVAVKVIKNQPAFYQQAIMEVSLLSMLNEKYDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQLKYVRTFSRQILDALVVMKDAGIIHCDLKPENILITPNVKTAAGVKVIDFGSACLEGKTIYSVGITDLRNGQPPDDLLREAKNTGRFFKQVGSIYPGIEMQNGPISAYRILTEEEIETRESKKPKVGRWYFPRGRLDKLIYTYPWKNLNGENLPETEKTDRLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPIQETPRIPVGRVAAVDHNPGGGHWLAAGLSPQVVGSINRGLPFNNAFAPKIPFSYGSSCGSFGSHGSFNDNVGLASSYGSYDVNSVNMYHSPLGPSGFNLHSQAGGTFLGSSPDIRRRSYLYHGGGIRLSPGCPGPMSLGASPSQFTPPNSQMQIPSTATGKYGSTSPARSSHGSLGKAAAVGQYNRRRNLGHPPISMPPHEYTSQLIQGHHGDGTISNHFDGYARGHSGYPQSALPNPGHFSWRPHTCAGSGLSTDTSNHGSFPPFRYGGFPPSHSSNVSADTLASTSSIPDPADWDPNYSEESLLQEDTSLSDALSDLHLKDASGQTNQSSRLAHIQSHAIANSNSLSMNQRGDRLFHASTLTESSASTGHVTYDGYHNANYSQLNFQSRHGQPFQRYNHMTASYLRPMGNHHNGQPVWPNYGMAEPPPATMADGMPWGGRPGHSFTAGGLPSSFAGKDFGRIF >OGLUM02G29900.1 pep chromosome:ALNU02000000:2:30271953:30272957:-1 gene:OGLUM02G29900 transcript:OGLUM02G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVKVFGRAISTNVSRVLVCLEEVGADYELVTVDFLAGEQNSPEHVERNPFGKIPALQDGDLVLFESRAIAKYILRKYKSSEVDLLRESDIREAALVDVWTEVEAHQYYPALSPIVFECIIFPIMRGVPTNQQVVHESLEKLKKVLETYEARLSGSRYLAGDFLSFADLNHFPFTYYFMATPYASLFDAYPHVKAWWEGLMSRPSIKKISANMPTKF >OGLUM02G29910.1 pep chromosome:ALNU02000000:2:30292651:30298707:-1 gene:OGLUM02G29910 transcript:OGLUM02G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MGVGVAARPRETNAPKSDARNGIVMHVRGTDRCHHHEPLLQRHIQNSKFKHLILPPDAALHGRAALLGLAALTGQRHRASGPVGSGSSRKPRWTGGVVRVAHLFSFFFFTGTNWGRKIWAVFGRFGASSFFSPRALVSSRRRSCTSAILELWLHGIHCKGCGGQRRNLLVSDRDAVGYCCYCRYRLPRRGMRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >OGLUM02G29910.2 pep chromosome:ALNU02000000:2:30292651:30298707:-1 gene:OGLUM02G29910 transcript:OGLUM02G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MGVGVAARPRETNAPKSDARNGIVMHVRGTDRCHHHEPLLQRHIQNSKFKHLILPPDAALHGRAALLGLAALTGQRHRAYCCYCRYRLPRRGMRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >OGLUM02G29910.3 pep chromosome:ALNU02000000:2:30292651:30298707:-1 gene:OGLUM02G29910 transcript:OGLUM02G29910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO guanyl-nucleotide exchange factor 14 [Source:Projected from Arabidopsis thaliana (AT1G31650) TAIR;Acc:AT1G31650] MGVGVAARPRETNAPKSDARNGIVMHVRGTDRCHHHEPLLQRHSILSESTCIPPLPLLFLTFLLTLLCHFACVLFFISSSSVPHLLLDRVRQFELPFHTHSTGSPFFCPLAFHQRLPAPYDLQCSAVPLSYCCYCRYRLPRRGMRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLESCIINSSSYDDDSGLSATTGADGCVTTDSVDDEVSSCSSSKDVSSSSFSSQCHPLRKQEEHSLYELDTLSAVHLLPLKGKKPITYTLSASDIETMKEKFGKLLLGDDASGGARGVCAALALSNAIINLSATIFGELWKLEPLCEEKKVRWRKEMDWLLSPTTYMVELVPTKQNGADGCTFEIMTPKARSDVNVNLPALQKLDSMLIEVLDSMVDTEYWYVESGSRANGRGKKNGLRQTKKWWLPSPRVPDIGLSQFQRNRLVFQAKLVHQILKAAMSINEEVLLQIPIPPASGRASLGEDLYHAITTEYIPIEEIFLSLSLKTEHTVLETMNRLEGAVFAWNQRISEEKSKKSPGRHSWNFMKDSSSELDKMSMCIERVETLMQLLKSRFPSLPPTFIEVVKIQYNVDVGHAIVEAYSRVLVGVAFSILSRVAEILLEDDLIKKPNTPLATLKFDLSSDVYLAGITETPPGHIRRSLMDQISLVDGSLDAVVRKKGVKQLRW >OGLUM02G29920.1 pep chromosome:ALNU02000000:2:30305005:30306159:-1 gene:OGLUM02G29920 transcript:OGLUM02G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVNLSFSVHLLPLFLCSSSSSSLFLIAPPPSSAVAEPSCVVAVDSEPRRCAAAASPWPSHPMVTPPWPSRPSCEPRCVAAAATPPRPSRRLSRPAAAAIGEDEEAVEKCARLLGLISPESLKMGDFCGGDWNIGLVGAAAPPRAGGHGFEVGRGGEVNEKGKERIDEGEEAGEGEVPPGASRGNGAVGGGGAAKRAPRWRRDAGADERHEARRDGDGEADKGQDAVRAVPWQREALVGGVCVGRRHLGRREEEGEKKRRERGRTVSKVGGLR >OGLUM02G29930.1 pep chromosome:ALNU02000000:2:30308910:30312709:-1 gene:OGLUM02G29930 transcript:OGLUM02G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFADLEAGAVRAPRRARGPDATRALVFQITTAVASYRRLLNSLGTPKDTPALRDQLQKTSHNILQLAKDAKEKLRRAAEADKSADTSADKRVADMKLAKDFATTMEEYGKLQNLAIQREMAYKPVVPQTSQPNYTTGGIEARDSGKIPEQHALLAESKRQEVLQLDNEIVFNEAIIEEREQAIQDIQQQIGEVHEAFKDLATLEIDTNIENSAAATKEAKTEIAKASKTQKSNSSLLCILLVIFGVVLLIVIIVLAT >OGLUM02G29940.1 pep chromosome:ALNU02000000:2:30319322:30321786:1 gene:OGLUM02G29940 transcript:OGLUM02G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWSRGLSCRAAICGIVVLLCATAFSCSLAAEFRKVKEKDMKLDGSLCSLPKSSAFELGVAAIAFLSVAQLVGTTAAATTMCAASKRSKSSTTRRRAASVAILVLSWVSFALAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVVVTALLILGLTSTTKSSSCATSAASATTTIRLDAAATDAEQASGRSKQ >OGLUM02G29950.1 pep chromosome:ALNU02000000:2:30337145:30340154:1 gene:OGLUM02G29950 transcript:OGLUM02G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLLFVCVLAPFLLVCAVRGRRRQAGSSEAAACGLPLPPGSMGWPYVGETFQLYSSKNPNVFFNKKRNKYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRIVSRAFSPESIRASVPAIEAIALRSLHSWDGQFVNTFQEMKTYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGAIVAHIISARRERQRGSDLLGSFVDGREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPAVLKAVTEEQLQIAKEKEASGEPLSWADTRRMKMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHNPDHFPCPEKFDPSRFEVSITNPLFRVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMSFTRKNTEQE >OGLUM02G29970.1 pep chromosome:ALNU02000000:2:30365889:30366515:-1 gene:OGLUM02G29970 transcript:OGLUM02G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRMPTDPPVTHDTPPPLQKLVVCSPTLVAAVVGKRPSQLLLCRPGSASWSCRHDRLQALEIQDMVSYQGKLHALVNSGDLLSISISEDDDGSHAGGEPTVSSVDCLVRISPGRRTEPPLYLVESDGALLMVRKENHSTREGSYSDDEQSNVILYPDDDDSYVPLYSAEQIELQTKFEVFAADMAGSRWRKVRSVGGDRVLFVGRW >OGLUM02G29980.1 pep chromosome:ALNU02000000:2:30366539:30366868:-1 gene:OGLUM02G29980 transcript:OGLUM02G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTEDAPRRSWSELSTDLAGEIFGRILCHGDRVRFGAVCRQWRISARRNPLPRQFPCSRCPTGPSTACQTPPSGGCRSISTATGSCRTPRAPAASGSSSSAATARTRW >OGLUM02G29990.1 pep chromosome:ALNU02000000:2:30367824:30371877:1 gene:OGLUM02G29990 transcript:OGLUM02G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKERDGDGRRAHATMVGVQFINAGYHVIAKQALNVGVNRVVFCVFRDVLALAVLAPLAFLRHRGSPSQARTPLTWRLLASFFILGLTGIFANQLLFLFGLSYTNPTYAAAIQPSIPVFTFILAALMGLSILVCFSTETVNLSTHEGRAKIGGTIVCVMGAVFMVLYRGPALFGSGELELDDAHSHGVIADMSQPEPVGPLSIVFGLQKWHIGVLCLIGNCLCMATYLAFQAPILVKYPCSLSLTAYSYFFGAVLMVISGVFATNSKEDWTLTRSEFGAVVYAGFISSALNTGLLTWANKILGPAMVSLYMPLQPVVSALLSKFFLGSSVYLASIIGGFLIISGLYLVTWARHREKLTIGVPYETCASELLESTSHVVKSRNMASKLVVSAITSFGYILSSLAQNVDTERSAAKKIQAKFCDSETNNTVDAA >OGLUM02G30000.1 pep chromosome:ALNU02000000:2:30372388:30374283:-1 gene:OGLUM02G30000 transcript:OGLUM02G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVRLSYIPPAASAAPQSPSYGRKKNASTAPPSAAASTTVLTSPPVTTTRTPKQTEQEDEQLVAKTKTTRTVTATANGRAAPSQARPRPRPRRRPAPAAAASAASLPMTFCNVLEEVINTFIDPPALRPAVDPRNVLSSNFAPVDELPPTPCPVVRGAIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPSPASSGDDPVLCSRYVQTYKYLVERDAGAPVLPNVFSGFHGVAGMARGAVVAARVLTGQMNPLEGVGLANTSLAYFAGRLYALGESDLPYAVRVHPDTGEVTTHGRCDFGGRLVMGMTAHPKKDPVTGELFAFRYGPVPPFVTYFRFDPAGNKGADVPIFSVQQPSFLHDFAITERYAIFPEIQVVMNPMDMVVGGGSPVGSDPGKVPRLGVIPRYATDESEMRWFEVPGFNIMHSVNAWEEAGGEELVLVAPNILSIEHVLEHMELVHACVEKVRINLRTGAVTRTPLAAGNFDFPMINPAFLGRRNRYGYFAVGDPMPKIGGVAKLDFDRAGEGDCTVAQRDFGPGCFAGEPFFVADDVEGNGNEDDGYLVCYVHDEGTGENRFVVMDARSPDLEIVAEVQLPGRVPYGFHGLFVTQAELRSQHQRYKPT >OGLUM02G30010.1 pep chromosome:ALNU02000000:2:30374763:30378448:1 gene:OGLUM02G30010 transcript:OGLUM02G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSATGAVNKRESGSGTWRPLLASGKALPGGSFSCRLKTPAAEEDDDGAAPARGTHLQMKAK >OGLUM02G30020.1 pep chromosome:ALNU02000000:2:30385076:30385402:-1 gene:OGLUM02G30020 transcript:OGLUM02G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGAWQLSKVDPKSCAIVKDVNQELPFGPNDVNAVFGLPCSGQPIIPCSQDELDGKKQILCEIFEIPNFSHLKISLLERILKKQYGYPKTIDEKRVFMAAFVLYVFF >OGLUM02G30030.1 pep chromosome:ALNU02000000:2:30390459:30391349:-1 gene:OGLUM02G30030 transcript:OGLUM02G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGACLSSAHGLHRLLFRHRRSAPHWRAIRRSQRPSSSSAAAVPPSSAGGLQIATIAHTCCPRPPPVEDAEEGQSENPDAASMQMRIILTTMYIETFIRIEIVVLIAHVHMACPSLVPQVRIKDTKGVFIHE >OGLUM02G30040.1 pep chromosome:ALNU02000000:2:30402026:30406718:-1 gene:OGLUM02G30040 transcript:OGLUM02G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAVRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLIDWGESSAPDPRISESNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >OGLUM02G30040.2 pep chromosome:ALNU02000000:2:30402026:30406718:-1 gene:OGLUM02G30040 transcript:OGLUM02G30040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAVRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNGWEAYIWFYSELKADTSCLQPHCLLGTCITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLIDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLIKIMIAGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >OGLUM02G30040.3 pep chromosome:ALNU02000000:2:30402026:30406718:-1 gene:OGLUM02G30040 transcript:OGLUM02G30040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAVRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLIDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLIKIMIAGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >OGLUM02G30040.4 pep chromosome:ALNU02000000:2:30402026:30406718:-1 gene:OGLUM02G30040 transcript:OGLUM02G30040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLLPCRFLLSPSAAVRVPSRRAITLLSAGASPSTPSRSAGAPSVRQRRVRAAPSVPAKQAARPRPAGENGRTTTTRRRRRRKQQGEEGEERGERGCVPSMEEASIRVGTLYQNGDPLGRKELGRCVVEWLRQGMQSMASKFASAELQGDMADLDAAALAQEWGSADGRLGFVIQAQPYMSAIPMPKGLEALCLKACTHYPTLFDHFQRELRDVLQSCQNQGLISDWRSTQSWKLLKEMANSVQHREAVRRTVPRPKAVHGSIGVSLNKVKLMQRRIEQYVTHMSDLLCIERDVELEFTQEELNAAPMLDDDSEPPKPVEYLVSHGQSQQEQCDTICNLNVISSSTGLGGLHLVLFRIEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCMQGFVYNLGEDGCSITLALESRHGDPTFSRLFGKSVRIDRIQGLADALTYERNLEALIILQRNGLQKSNASIGVVATLFGDNKDVMKMEQNHLIDWGESSAPDPRISERYALDASQLKALSLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVQQGENVLVTAPSNAAVDNMVERLSNTGLNIVRVGNPARISPSVASKSLAEIGKRCILAGDHCQLAPVILSRKALDGGLGKSLLERASSLHNGLLTTRLTVQHRMNDSIASWASKEMYHGLLKSSHSVASQTLADSPVVKATWITRCPLLLLDTRMPYGALDTGCGEQIDLSGTGSFYNDGEADIVTQHVLNLVHCGVSPTAIAVQSPYIAQVQLLRERLEEYPGLSGVEVSTIDSFQGREADAVVISMVRSNPLGAVGFLGDSRRMNVAITRACRHVTVVCDTSTICHSTFLARLLRHIRRYGQVKHVVPGSLDGVSGLGFSQPTLPSIS >OGLUM02G30050.1 pep chromosome:ALNU02000000:2:30407167:30407454:1 gene:OGLUM02G30050 transcript:OGLUM02G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQALTQGRRMQIHWLGEKEASEDSEDPNFGRSGRSEGSSITDHKRKRKHAWPLSPSQAFGRTPYRRGGVTVGRVNGARRMMIRQKRRLLMLSK >OGLUM02G30060.1 pep chromosome:ALNU02000000:2:30411327:30418696:1 gene:OGLUM02G30060 transcript:OGLUM02G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPSAPPPLKPPVRLNRLPQRQPGGGGRFLLPRRRPRTPRSFGVVVCMAEMARVGTGPSWEEELGVPEESDAILGGGGGDGQRRQATRWELVEARLNQTSKWLVAGCYTSAAIWKHDALIMWAMIGAVLNSMFSNLLKRIFNHERPASALRSDPGMPSSHAQSFLYSAVFLILSLFYWLGRTYLSVILGVAILAMCCYLSWLRVSQRLHTLNQVLVGAIVGSAFGAMWFALFNLLVQEAFASSVPVQIAVTIGTAILCIGFVIHVVRHWFKDE >OGLUM02G30070.1 pep chromosome:ALNU02000000:2:30411689:30413809:-1 gene:OGLUM02G30070 transcript:OGLUM02G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRHSLASTSSHLVACRLCPSPPPPPRIASLSSGTPNSSSHEGPVPTLAISAMHTTTPKDRGVLGLLRGSKNRPPPPGCRCGSRFRRTGGFSGGGAEGDKSMVLGALT >OGLUM02G30080.1 pep chromosome:ALNU02000000:2:30415033:30417834:-1 gene:OGLUM02G30080 transcript:OGLUM02G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITHYVLDPLLETGSATQTQKAASKPPPAPPLPQEKAAEKPIPVPVAPVRRAQTASATLYATPESTSLPDSPSSFPGTWSPYLINHKRRGPCLVKTLSQGDVGSDGSQQPTLSVTLPPLPKRSQSFEAQEPEFEFQQASNGVLEGDSGVVETLDWQNGMLQKGKGTVSGEYGHDQAEFEFQHGNPDATVRPVNVGRPANGGTPRNINNDAFFELQDSLSMASNSEAEDAGGHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGATRSSQCMDDDLREMRLSLLMEIERRKQAEEALENWQKEWKKLSDHLSLIALTLPPPSLAEDTDDSSMDPGAELCQQITVSQLVAAAIARGFARAEVETDMETKIAAKNFEIARLSDRVQYYEAANREMSQRNQEAIELERSKYSLWCVESPRCQRCRGNNEISERRDRSGSGARLGLQLPLAQQPLPGPTYLQRSPKPVKTLIPTAIRAR >OGLUM02G30090.1 pep chromosome:ALNU02000000:2:30420132:30423502:1 gene:OGLUM02G30090 transcript:OGLUM02G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine carbamoyltransferase [Source:Projected from Arabidopsis thaliana (AT1G75330) TAIR;Acc:AT1G75330] MSAAISGASLVLSSPPAPGARPQPRPARVSVAAAAPAARRGVVAAAAVSSPAVSSSAGKDAKQIPKDFLHIDDFDKDTITKILNRAIEVKAMIKSGDRSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDIIMARVFAHQDILDLAKYAAVPVINGLTDYNHPCQIMADALTMLEHIGHIENTKVVYVGDGNNIVHSWLRLAALFPLHFVCACPKGFEPDAKTVEIARSAGSKIEITDDPMEAVKGADVVYTDVWASMGQKEEAEYRKKVFQGFTVDEAMMEMAGPNAFLMHCLPAERGIEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVLGA >OGLUM02G30100.1 pep chromosome:ALNU02000000:2:30424346:30429143:-1 gene:OGLUM02G30100 transcript:OGLUM02G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGRGLPYVVNSIWFASPRLAWPGPTPTHLSLLPLKRYPTRGSIPTVRSPIRRSPDAAAPGPRTPTRALPISPPRIAKKALAEIPIETRQDRRTRASSSSFRFSSSSSASALARSPDRLAPFVVCVYFDLFCGGDQFSFRAMAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >OGLUM02G30100.2 pep chromosome:ALNU02000000:2:30424346:30429143:-1 gene:OGLUM02G30100 transcript:OGLUM02G30100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGRGLPYVVNSIWFASPRLAWPGPTPTHLSLLPLKRYPTRGSIPTVRSPIRRSPDAAAPGPRTPTRALPISPPRIAKKALAEIPIETRQDRRTRASSSSFRFSSSSSASALARSPDRLAPPWLEKLMEKPHWDQDTPLLLSTSASFLPCPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >OGLUM02G30100.3 pep chromosome:ALNU02000000:2:30424346:30429143:-1 gene:OGLUM02G30100 transcript:OGLUM02G30100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSGRGLPYVVNSIWFASPRLAWPGPTPTHLSLLPLKRYPTRGSIPTVRSPIRRSPDAAAPGPRTPTRALPISPPRIAKKALAEIPIETRQDRRTRASSSSFRFSSSSSASALARSPDRLAPSILFACHILDLDNTFWLFNDIFIIYIAMAGEADGKAPLGSRYPPAALNERILSSMSQKHVAAHPWHDLEIGPGAPAVFNCVVEIPRGSKVKYELDKATGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFRDIKEIPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAEDAINAIKYSMDLYGAYIIESLRK >OGLUM02G30110.1 pep chromosome:ALNU02000000:2:30430870:30439463:-1 gene:OGLUM02G30110 transcript:OGLUM02G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGLCILLLSFSPVCCLVPEKKKRGVFVEETDVAKFTICTIDDPRTLNNTLYLRPSGNVYSMNELVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHFLLLSSLWPSNCGCWKNTILLFQREAQDTIDILVMILPQSTRVAMNLRTQKLSGTKALGMMHRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >OGLUM02G30110.2 pep chromosome:ALNU02000000:2:30434922:30443281:-1 gene:OGLUM02G30110 transcript:OGLUM02G30110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACAAALPTASARRRHRGSPQTALEGGGGKLVMMQRRDLVTKGVTLSVCCSMLSSSNSSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVRDFLRDVVKDPAVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEAAEAAAGEESAVTRLVVRPLKEAFQRVVLGFLFWQAKQPARVEKVLKSVYKDATNVDEYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNGALLEWLASLDAGKPADQPEPALQSVSYQVASAAMCEEATRSRVLVVGATGRLGGCLVRASLAAGHPTFALVRPHHLAVPDSAPLTSLAGATVVKGSLEDYPSLLEAVRQVDVVICAVPTKQALEQKLLIRAIKDAGCVKRFIPAEYGLDPTKVQICGMDHGFYEKKIEIRHLIESECIPHTYICCNFLMRYLLPSLVQPGLDAPPRDEVKIFGDGNTRGLCILLLSFSPVCCLVPEKKKRGVFVEETDVAKFTICTIDDPRTLNNTLYLRPSGNVYSMNELVDLWEKKINKFLNKIYITEEQLLKNIEDAPLPLKMDLIFIYSTFIKGDQTYFEIDSRKEGTQLYPHFLLLSSLWPSNCGCWKNTILLFQFAKPQKL >OGLUM02G30110.3 pep chromosome:ALNU02000000:2:30430870:30434832:-1 gene:OGLUM02G30110 transcript:OGLUM02G30110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >OGLUM02G30110.4 pep chromosome:ALNU02000000:2:30430870:30434832:-1 gene:OGLUM02G30110 transcript:OGLUM02G30110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAERSSGHNRHSRNDSSTKHKSGYEPSDTETEWHESPWNDAVLPSGRNTQIGARRQNLSPNHTREYPNEKTSNLRNSRTPPRFTEQVHQNSSHSGGKNELRKKSNRTPPRFRPSMESFSRSSIKEKFSQNRSISTPKLRPHEKEHPPRAPAFLGTNLISKQGEMDSADNIKEDSHAENCSQEINELIANGKWPNSRYNEYACTSTESIPTGDIFFSRDCRAPIQRTPTKHNNDKSLTSETARAENYGTEANSNNLAQTPKSISAQTGLSRTIRNSNYGTSRHTQINSGATLSSQFNSGRYSGDSGKFSDFTGKLVGGVMKFTSNMQKAQNDSWLPCVTGKTCRKPKSPNSKTTDESESSFIQKALVVENIRLFWADKYRPRTLGGFTCHREQIEQLKQLVSTEFCPHIIFKGPPGSGKSSLCRAVVTEIFGDSSLNVSHYLKSCSGQGSTSMPVLVPLSSSDHHMELNLRYYSKNAGYVLMDLANEITNKKKTTDPSVRKKFKVIVLYDVDKVSESNQRLIKWMIDSSSDTHKILMTCQDESHILDSMKSRCKLICIGVPNTREIVDILTYISKKESFDLPSSFAATIASQSRQNMREAILALEACKANNYPFIDGQAIPLGWENVLQEIAAEILDDPSPKRLFLVRGKLQKLLVEFVPPKLILQKLAELFLKGIQSSIKREDKRLPVGASALLKLEEFVAKFMSIHRKTLPVRSTRPV >OGLUM02G30110.5 pep chromosome:ALNU02000000:2:30441395:30443281:-1 gene:OGLUM02G30110 transcript:OGLUM02G30110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACAAALPTASARRRHRGSPQTALEGGGGKLVMMQRRDLVTKGVTLSVCCSMLSSSNSSAQALERLPFKADGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVEYEATIWMEQVRDFLRDVVKDPAVVVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFGDPNRPPEAAEAAAGEESAVTRLVVRPLKEAFQRVVLGFLFWQAKQPARVEKVLKSVYKDATNVDEYLIGSITAPTADPNAGEVYYRLMSRFMANQSRYTLDRLLGKLSCPLLLLWGDLDPWVGPAKAAQIKKFYQDTTVVNLQAGHCPHDEAPEQFNGALLEWLASLDAGKPADQPEPALQSV >OGLUM02G30120.1 pep chromosome:ALNU02000000:2:30448220:30449117:1 gene:OGLUM02G30120 transcript:OGLUM02G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKCGVGVVSTYALPHEYKMRCNECLEWIARGGRCNAMQSCQCANGNGNQQAAVTLMKGVQNEFIEPPLAFGRVNSVNIAISNLKSSDEPPYCQAWVHGSAAMMWNVRNPESKEDRVDATNTRGHHAIHLNSQQHFVTMKYMHLFSLQ >OGLUM02G30130.1 pep chromosome:ALNU02000000:2:30450135:30454243:1 gene:OGLUM02G30130 transcript:OGLUM02G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YX36] MSLKSIVRELREMRDGIGSMSRRAADGRAGGGRGGSRHSWPGLWSEQQQPPQQQQLQRQEHQQQQGRWANLPPELLLDVIQRVEASEATWPARRQVVACAAVCRSWREVTKEVVKALEECGRITFPISLKQPGPREHPVQCFVRRDRATSTYLLYLGLSPSLHGENDKLLLAARKIRRATRTSFVISLVSNDFSLSSSTYVGKLKPNFLGTKFTIFDSQPPCDAVVLPNNRPSKRHFKQVSPRLPLGNYNVATVSYELTVLRNRGPRRMQCTMHSIPALCIQEGGKAPTPTGIIHSLDEQVPALSTSKGKEPAIEFSSTSLSADLSGPVCTNEVPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLGIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >OGLUM02G30140.1 pep chromosome:ALNU02000000:2:30455381:30462300:1 gene:OGLUM02G30140 transcript:OGLUM02G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLVPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLFQAKKLVNSLCFKGRKIEDRLQMMGLHVVDDLVEHAGLAGDLVATGHQLLQGK >OGLUM02G30140.2 pep chromosome:ALNU02000000:2:30455381:30459960:1 gene:OGLUM02G30140 transcript:OGLUM02G30140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G21620) TAIR;Acc:AT2G21620] MDRSMETVEEEVEEYSWREVLLPRLVPVVSDAAPELERETGERRRGRELLVAVDFGPNSKHAFDWALVHFARMADTLHLVHAVSSVNNDLVYEKSQELMEDLAIEALKTSLVRTKARIVEGDAGKVICREAERLKPAAVILGTRGRGLIQREVSVNIASTTVKQPQLSLFQAKKLVNSLCFKGRKIEDRLQMMVQHKVTCKLDSQNQLLTLY >OGLUM02G30150.1 pep chromosome:ALNU02000000:2:30458780:30461138:-1 gene:OGLUM02G30150 transcript:OGLUM02G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCGGGDVSRHRKGHLDTVESLCQGLLDDVMLDDDKCRAMFGYLQEWQDLGSMCYGSLGGEPPLAPEASNGSGSSGGGGSFRKRRPDDAKGESNSICKRQRGKQQQQQQPCHPDQMAAAVGKGRPERARPGAKKKAEVASPKDSPATSASTVTAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVNFNIVEDLFGRQLSQAACNPAALPAMALPMAQVEPSCLQMSPLQQMQTSAGSSGYGLEMVVSNQYSPPGGPMSVPAGASVEPCLNVNGAAGWDIGSHGLFSGFDAPFQSVQSDCLLDNLKMEMSRSKKASDLRI >OGLUM02G30160.1 pep chromosome:ALNU02000000:2:30484765:30490936:-1 gene:OGLUM02G30160 transcript:OGLUM02G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YX42] MHFDLIRLLPSVLKQFKVLGLKIGSHSSWMENRNKEDMGPSQHNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEGAGKTILEMLQQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILHPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEEPKDSFSPFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFHLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSVHKQPIPKSSRFFQRKLNIFSKPRAVPLTAGKPENKLVYTGRGIS >OGLUM02G30160.2 pep chromosome:ALNU02000000:2:30484492:30490936:-1 gene:OGLUM02G30160 transcript:OGLUM02G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YX42] MENRNKEDMGPSQHNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEGAGKTILEMLQQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILHPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEEPKDSFSPFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFHLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSVHKQPIPKSSRFFQRKLNIFSKPRARMIQGGAGSYPVGSLWREGRRKKERKRSRGR >OGLUM02G30160.3 pep chromosome:ALNU02000000:2:30484765:30490268:-1 gene:OGLUM02G30160 transcript:OGLUM02G30160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YX42] MNSEPQLPRMHFDLIRLLPSVLKQFKVLGLKIGSHSSWMENRNKEDMGPSQHNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEGAGKTILEMLQQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILHPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEEPKDSFSPFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFHLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSVHKQPIPKSSRFFQRKLNIFSKPRAVPLTAGKPENKLVYTGRGIS >OGLUM02G30160.4 pep chromosome:ALNU02000000:2:30485177:30490936:-1 gene:OGLUM02G30160 transcript:OGLUM02G30160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YX42] MENRNKEDMGPSQHNSCPKVHSSMCSELTMMLDKVSSILPLIEAARPGCKAGIQELCNLYNIVEKGKLIIQHCVECSKLYLAITGEAIVSRCERIRDSLRRSLFLIENMVPPALANQIVDVHDDLGDIKFVIDPTEEGAGKTILEMLQQSDVTEELELETFLQAASNLNLTSPKSMLIERRAIKKLLDKISGTDPKKEGVLKFLLYLVKKYGKNIKPETGERNENMQSESQSSTPSSSFVSDTSTPGKWYTPTDIQRNEDQTSMSGAATPPAEFCCPISTKLMRDPVIITSGQTYERENIERWFREGYDTCPRTHIKLENFAMIPNTCMRDLIFNWCKEHGFIISDILHPSKNAYSYLPEQLHGYSMSSLHNVSVPLIAGKVRDFVIDHSTSSVALSEASYMSDSYHVRDMEEPKDSFSPFSWSADYQECLSFRNFNQDKFLRFFYELSKLPLELQDRSIGDLKIILNEENEASCAMVSNGFVEAFFDFLMNEDGSYSMQAQKVGFQFFRVFLSNSRTNILHMNEEAFHLFASFIDSELKTEALLTLHELVQHLSCRQSHLMASIITPLLESEDAEGLELCLKIVCNLSSDSDVKPYLISLGIVSRLSPILSEGTFAECCLEILRNLCDVEEATVLITKTDRCLGSVAEYLDTGSPKEREHAVVILLAVCSHSSEDCLLVMKEGVIPALVDLSVNGIDEAKGCSMKLLHLLRDLRRTDQLNNSCSQEVVVTDVVEGPPDSSVHKQPIPKSSRFFQRKLNIFSKPRSLTLF >OGLUM02G30170.1 pep chromosome:ALNU02000000:2:30538457:30542094:1 gene:OGLUM02G30170 transcript:OGLUM02G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSNSRGSANEDQRRRYHNFQ >OGLUM02G30170.2 pep chromosome:ALNU02000000:2:30536857:30542094:1 gene:OGLUM02G30170 transcript:OGLUM02G30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSNSRGSANEDQRRRYHNFQ >OGLUM02G30170.3 pep chromosome:ALNU02000000:2:30536857:30542094:1 gene:OGLUM02G30170 transcript:OGLUM02G30170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSWTTKQNKVFERALAIYDRDTPDRWQNVARAVGGGKSVDDVKRHYEKLIKDVDRIDSTGGHQGSHYNSSNASSSSSSNSRGSANEDQRRRYHNFQ >OGLUM02G30180.1 pep chromosome:ALNU02000000:2:30545562:30555434:1 gene:OGLUM02G30180 transcript:OGLUM02G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLRSRTAAAAAVIAASAASLADVAYADSGYSFFRRSSPPPPPPAAAAAAAASEDSGTEVAVEVDSSGFDPESLERAARLLRKLNSSKYAKQLFELMRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >OGLUM02G30180.2 pep chromosome:ALNU02000000:2:30545633:30555434:1 gene:OGLUM02G30180 transcript:OGLUM02G30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >OGLUM02G30180.3 pep chromosome:ALNU02000000:2:30545723:30555434:1 gene:OGLUM02G30180 transcript:OGLUM02G30180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQEKTRLAELEAEKVQYIIQQHLRDIERQQKEGEKFRESLQQQAQAEAQKLRYDDELARKRMQTEREAQRRQDAELVKMQEAAALRKEEVRRTTEKKILEKMLEDEKEKALLKKQNIQANAEAKGEALTREAKALEDYNRKMLLERINGDKEKWIAAINTTFSHIEGGFRMLLTDRSKLLMGVGGVTALAAGIYTTREGAKVTWGYINRILGQPSLIRESSMPKFPLSRFKALKSTSASLSGGAGFENVILHPSLKRRIEHLARATANTKSHDAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSRKGMLLFIDEADAFLCERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPSDLDAAITDRIDEVIEFPLPGEEERFQLLRLYLNHYMLKEDGKNSFWDSLLKKQRQKIQVKDISDDLLREAARKINGFSGREIAKLMASVQAAVYGRPDCVLDPQLLMEVVEYKVAEHHQRIKLASETST >OGLUM02G30190.1 pep chromosome:ALNU02000000:2:30553601:30554881:-1 gene:OGLUM02G30190 transcript:OGLUM02G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKRSSHVEEEEEEEEEEDDEEEDEEEQGHHQYTTAAAQQLHPQVLGSSASSPSSLMDSAAFSRPLLPPNLSLVSPSAAAAPGGSYLHAAHHHGQGRRVEAPGGESQHQLQRHHQPARNGVLGGVAGAHAASTLALVGGGGPRGGEGAAGEAPTWRYRECLKNHAARMGAHVLDGCGEFMSSPGDGAAALACAACGCHRSFHRREPAVVAPASLSLCPASASASAAAGVVSQSPSATPTGANSSRLMPLLLAPPHMQKRPPVLPVSPASAPAALAESSSEELRPPPPPPPLPSSHPHAHAAAVVAASASAPPGPSKKRFRTKFTAEQKERMREFAHRVGWRIHKPDAAAVDAFCAQVGVSRRVLKVWMHNNKHLAKTPPSPTSQPPPPPLHHDPSPPPPPHHHHHHHHHHHPPQHHQQQQQQHDA >OGLUM02G30200.1 pep chromosome:ALNU02000000:2:30569116:30571137:-1 gene:OGLUM02G30200 transcript:OGLUM02G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFVEAQKPLLKRLMRMAGLRPVDVEIEPGTTMHIWVPKHHVSKKTGTIRPVVEHGGVDGDGEKAGAAKRKKSAAESRPNVVLVHGFAAEGIVTWQFNFGVLVSRYNLYIPDLLFFGKSATASADRSPELQARCVAAALARLGVARCDVVGFSYGGMVAFKLAETRPDLVRSLAVSGSVVAMTDAVNSATMTRLGATSSAELLMPETLKGLKQLLSISMYKKMWFPDRFYKDYLKAMFNNRKERMELLQGLITSNMDAKIPTFQQKIMLIWGEEDKIFDIELAKKMKEQLGDGCFLHGIPKAGHLLHVERPCAYNRQLQRFLSYVNSEEKEAAGGGAN >OGLUM02G30210.1 pep chromosome:ALNU02000000:2:30571708:30574774:-1 gene:OGLUM02G30210 transcript:OGLUM02G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLNIRKLTRFQKYVILGGGVAAGYAALEFVRRNGGASSQELCIISDEHTRRVYRHFILALVLRMSYLPKNGIVLVLGTRVISADVRQKTLLTSSGETISYKTLIVATGARAVKLEEFGVSGSDARNVCYLRNVEDADKLVGVMRSCPGGNAVVVGGGYIGMECAAALVTNNIKVTMVFPEKHCMGRLFTPKIAEFYESYYASRGVTFVKEAAVTSMQISAGKVTAVNLGNGRRLPADMVVVGVGARANTGLFDGQLVMENGGIKVNGRMQASDASVYAVGDVAAFPVKLFGGDVRRLEHVDCARRTARHAVAAMLEGTGSVGHIDYLPFFYSRVFSLSWQFYGDNAGEAVHFGDLAPPGDGDGAAPKFGAYWVRDGRVAGAFLEGGSRQEYEAVAAAVRRGAAVADVAELERRGLAFATQATGGGGKPTCAWHATVGVAAAVSIAAFACWYGWQAPYVLKRDF >OGLUM02G30220.1 pep chromosome:ALNU02000000:2:30575139:30578961:-1 gene:OGLUM02G30220 transcript:OGLUM02G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVILGGGVAAGYAALEFARRGGYSRGELCIISEETVAPYERPALSKGYLLPEGAACLPGFHTCVGANDELLTAKWYKENGIELVLGTKVITADVRMKTLLTATGETISYKNLIIATGARALKLEEFGISGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPESHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDGKHLPADMVVVGIGIRASTGLFEGQLLMEQGGIKVNGQMLTSDGSVYAVGDVAAFPIKLFDGVIRRLEHVDSARRTARHAVAAILEPSKTKDIDYLPFFYSRVFTLSWQFYGNNTGEVVHFGDFTNSSPRFGAYWVDKSRIRGAFLEGGSREEYEAISNVVRRKAKVINIAELEKQGLMFAIQESQKDLPDGGLALGEKPTYVWHATAGVIAAASIAAFGYWYGRKRRRW >OGLUM02G30220.2 pep chromosome:ALNU02000000:2:30575141:30578942:-1 gene:OGLUM02G30220 transcript:OGLUM02G30220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVHVILGGGVAAGYAALEFARRGGYSRGELCIISEETVAPYERPALSKGYLLPEGAACLPGFHTCVGANDELLTAKWYKENGIELVLGTKVITADVRMKTLLTATGETISYKNLIIATGARALKLEEFGISGSDASNICYLRNLDDADKLVNVMKSCPGGNAVVIGGGYIGMECAAALVTNRIKVTMVFPESHCMARLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDSTGKVTSVILKDGKHLPADMVVVGIGIRASTGLFEGQLLMEQGGIKVNGQMLTSDGSVYAVGDVAAFPIKLFDGVIRRLEHVDSARRTARHAVAAILEPSKTKDIDYLPFFYSRVFTLSWQFYGNNTGEVVHFGDFTNSSPRFGAYWVDKSRIRGAFLEGGSREEYEAISNVVRRKAKVINIAELEKQGLMFAIQESQKDLPDGGLALGEKPTYVWHATAGVIAAASIAAFGYWYGRKRRRW >OGLUM02G30230.1 pep chromosome:ALNU02000000:2:30583322:30586139:-1 gene:OGLUM02G30230 transcript:OGLUM02G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPPMHICMDSDWLKGIVPEEHGMGSPSPSAELIACPRAPMQAAAAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRAPPKKAAAHAQPAVAVAAALQGRHMETGLHLSFSGMQHHLAPPPPPAATAADPLCSLGLFDWKQYDPVFAGSGGGGSPVAALESAGGSEAQFMGAGMMGIGGGGVAEYHALSALRYAAGLGDHLALPFGAGRAEHDAVEVKPVAAERLLSLEWCGEASRTAAPESSISSLGGLGLWSGMIGSGHHHHGSSAAI >OGLUM02G30240.1 pep chromosome:ALNU02000000:2:30594273:30619125:1 gene:OGLUM02G30240 transcript:OGLUM02G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMVACQPYDVHHPDSRRPSPPWDSSRLTEPHQAAASTGVHRSRRHHGRLRLLLLPVVASLAADHTNGIASTPRSQNRCPLQHQ >OGLUM02G30250.1 pep chromosome:ALNU02000000:2:30619255:30622373:1 gene:OGLUM02G30250 transcript:OGLUM02G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPQPMGYYPTSNSIMHAQPATTSRGSFGPVFTVLAVITFLAVAACVVGRLCGRRLSKKRAASAEDQFYGINAVGGDLEKGFEIKYPVMKPMASSRAMIHDIDDGFEIKFTPGKPAAWKNDSKGDGKGHQQQHQQHQHQHHPQQHGMPQHHPQHGMPMPPGFRYPANVVRQGQIRGGTFISAKPST >OGLUM02G30260.1 pep chromosome:ALNU02000000:2:30622099:30628431:-1 gene:OGLUM02G30260 transcript:OGLUM02G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEPTRVMVAVNESSIKGYPHPSISCRAAFDWMLSKLVRSNAAGFHLLFLHVQVPDEDGFDDMDSIYASPPDFQRMKQRDKIRGLHLLEHFVNQCHQLEIKCEAWIKQGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHADCPVITIKRKADEAPKDPVDD >OGLUM02G30270.1 pep chromosome:ALNU02000000:2:30632896:30637637:1 gene:OGLUM02G30270 transcript:OGLUM02G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbamoyl phosphate synthetase A [Source:Projected from Arabidopsis thaliana (AT3G27740) TAIR;Acc:AT3G27740] MAAPPATASAPSLRPSAASPRAAAARSVAVPSGPRTVGPRRDGGRFLGVRAAKAVSGVQSGTVVDDGVQRPWKLSDARLVLEDGSVWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESNRCFLAGLIIRNLSICTSNWRCTETLEEYLMKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAKNWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKGQSTESFHVVAYDFGIKHNILRRLTSYGCKITVVPANWPASEVLNLKPDGVFFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPESLPEGVKVTHINLNDNSCAGLQYPKMKLLSLQYHPESSPGPHDSDLAFGEFIEMMKNNRL >OGLUM02G30280.1 pep chromosome:ALNU02000000:2:30640436:30646853:1 gene:OGLUM02G30280 transcript:OGLUM02G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSDSMADSPRRRNGLLRDQVQLVKRKDTNRYEIVRFPDPLSFEKGFFVMIRACQLLVQHNEGMIFVGVAGPSGAGKTVFTEKVLNFMPGVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKMSCRTGYRTVDVPSSRIVIIEGIYALSEKLRSVLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPMYILKSPRTITPTDIKVALGEDHTESIEETYDIYLLPPGEDPESCQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRKSRVFSDGKATVKIDWLEQLNRNYIQVQGRDRNHVKFVAEKLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEALSRVSADKRNKHLKSGLSHSYSTHGDKNIVKLSKLTETNRRFGSGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNCKFAIKKLSTSQQNLALPNETCNGSAPTNLFVSHLGNGTLIPHSSSSNQLLKEFPIVDEINAISRGQRQVIHQLDNLTSLLHEHLALTRQGNAVRRNGILEMDMSICPLIALTIGGFGYLVFKSLNRS >OGLUM02G30290.1 pep chromosome:ALNU02000000:2:30647926:30654578:1 gene:OGLUM02G30290 transcript:OGLUM02G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEATGDLGADELSLFCEEFTSFCASSVTTPSTIIPGILRENCTKIFQLKPPISPGNKPLLLSAKHKRIERKKSRRNMAGQIGGKETNPRMDLQSTACPIKKWRGKGRDHGWNNAYLQARSEPEMPAALAREEEARGSMAPAFPLDATRSPLLSSSSSSRFAAARRER >OGLUM02G30300.1 pep chromosome:ALNU02000000:2:30650827:30654187:-1 gene:OGLUM02G30300 transcript:OGLUM02G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIIVDGVVTEEAQNEVNSSQNKDNSSAPRSPVASKSMHSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEGEGSGNAANAVTAAENGTPVKAMSSNSSKKSSKKAAKSQLESESSVGPNGKASTEEGEAEVSKPGSRVGRRRKASPNPHNGTEDAGLNNPDLGPFLLKHARDLIASDNPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYDEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYAAGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQKALDIHRENGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVTNGQETEVASVDCSIGDIYLSLGRYDEAVFSYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKTAFFGVALNQMGLACVQRYSINEAAELFEEARAVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEHVVGMREEKLGTANPDVDDEKRRLAELLKEAGRGRSRKAKSLENLLETNPYTVTKRVAKYDSLPLVPCSWLFTVLGEVKRCFHAIRS >OGLUM02G30310.1 pep chromosome:ALNU02000000:2:30657478:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.2 pep chromosome:ALNU02000000:2:30657478:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVERLEIYWNFSGAFHKLIRKLLDLREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.3 pep chromosome:ALNU02000000:2:30657394:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.4 pep chromosome:ALNU02000000:2:30657478:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.5 pep chromosome:ALNU02000000:2:30657394:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.6 pep chromosome:ALNU02000000:2:30657478:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQEGYPIYECNSSCTCDASCQNKVLQRGLLVKLEVFRTENKGWAVRAAEPIPQGTFVCEYIGEVLKMKDDGAIRHVEREAKSGSSYLFEITSQIDRERVQTTGTTAYVIDATRYGNVSRFINHSCSPNLSTRLVSVESKDCQLAHIGLFANQDILMGEELAYDYGQKLLPGDGCPCHCGAKNCRGRVY >OGLUM02G30310.7 pep chromosome:ALNU02000000:2:30657394:30670590:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNMILPDYTSCHWLQNSFHLWSQKCNNAHDAETVEILTEELRQAILWDKVHELWNAPMQPELVPEWKTWKQEVMKQFFSSHPVGNAGNFEQHNCYDDPGMDQQARIKHSKLEVRRGEAHFSQEDDANLNTLSEDPNKSNLPSSSIIHEAVGPLESRDQNKTAAFPSTSGVQDTGEPNSALHNVRHELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFADHSSREDKSLTVETPLCGGMTNLGRKCKHRAQHGSIFCKKHRFQTNPDAMSSDSLLSSSEGRKWEESQKSMEKMSSSNATCSVGSEQANNFQVAVHMKVTPTMAVETTSDKVNVSENADLCYPMSTSMENSNLDASICIGIRSHDNIAECQDYAVRHTLYCERHIPKFLKRARNGKSRLISKDVFINLLKCCTSRKEKLCLHQACEFLYWFLRNNLSHQRPGLGSDHMPQILAEVSKNPDVGEFLLKLISSEREKLSHVWGFGTDSSNQMHSENQDGSVMVLREDGTHPSPGLKCKICSQEFSDDQGLGLHWTEVHKKEVRWLFRGYSCAVCMDSFTNRRVLERHVQEKHGAQYLQYSTLLRCISCNSNFLNTDLLWQHIVSDHSRDFSLLDHVPRRPRGQSIKRTERASDELLYDNHNLGKDDGSQKFTCRLCGMMFDLLPDLGHHHQVAHTNSGTVSDIPSGREKYQFNRGRHYYSAFKKSLRPSGSLKKRTSSGVEKHFKAQSLDLSMDTSHIVESETTTLGRLLDFQCSDVALTLFSKIQKTRPHPSNLDILSIARSVCCKTSLRAALEAKYGILPDNIFVKAAKLCSDVGIQIDWHQEEFFCPKGCKSRSSSNSLLPLQPTQVDFVMSPPIGDEIWGMDEYHYVLDSEHFGWNLKNEMVIVCEDVSFGREKVPVVCAIDVDAKEFPYMKPGEILQSENSLPWQGFHYVTKRLMDSSLVDSENTMVGCACSHAHCSPEECDHVSLFDSIYENLVDLHGVPMRGRFAYDENSKVILQVIIVTGVYFYLHMFF >OGLUM02G30310.8 pep chromosome:ALNU02000000:2:30657394:30662628:1 gene:OGLUM02G30310 transcript:OGLUM02G30310.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;sequence-specific DNA binding transcription factors;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G23740) TAIR;Acc:AT2G23740] MLMDPPVMQVDCQLQNDLEKTTPITYNMTHALSHDDHGWQGSDAHPASETVSCKPVEVNNCSRTSIYENLDGSSEKSHANLSDLPQKMHAEPPKEMNGSDAYFNDVRFQLSLSTENDAPQSTSVDVNQQSVSNQDAPHSREETHPPANIVTVLRPCQSNGDAQPSQDKDITEEQVKGNKEVGSDMVSNKAGTDTLECHAVQKELQCTLQDLSEIACSIDPVRKISSPQEENETSVSPKNNMDQLVLNNSCNGNTHYRSGHPNTGNVGAEDQTVALWVKWRGKWQTGIQCFRVDCPLSTLKAKPTHGRKSYIIVFFPRTRTYSWVDMLLVRPIEEYPLPLVNGTHRKWRKLVKDLSIPRRFIMQKLAISMLNFSDELHTEAVVENARMATTWKEFAQEASCCRDYTDLGKMLVKLQNVNLSTLFLKDISWNLLSIFL >OGLUM02G30320.1 pep chromosome:ALNU02000000:2:30672357:30673114:1 gene:OGLUM02G30320 transcript:OGLUM02G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWAGKSWRIDKRLAIDESWRIDKSPQTPRYRRLLCYNYRQGYPGGQNFWLRTKEGTENMMASRRNKAAVFDLLSDTH >OGLUM02G30330.1 pep chromosome:ALNU02000000:2:30683365:30686523:1 gene:OGLUM02G30330 transcript:OGLUM02G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRRESSETLRNKCAACYRQYNRMEHLVEHMKVSFHSAHEPRCGVCAKHCRSLESLREHLIGPLPKVECARVFAARGCSICLNLFDSAAAVRYHRASTCQFTRAAPMPRGSYGGRAVAMACKMVGGGSDGSLDICARVCLIGEDENVIFQTYVKPTTTVTNYRYEMTGIRPEYLRDAMPLKLVQRRIQDILCNGEPLWKIRPRSSGRARILVGHGLEHELERLGLEYPAFMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIHTGIQDPYEDCVAAMRLYIRMRSQAHPRDYASGSGETQNNYPAWRQRELERMSPEELLALSGSDYYCWCLDF >OGLUM02G30340.1 pep chromosome:ALNU02000000:2:30686069:30687913:-1 gene:OGLUM02G30340 transcript:OGLUM02G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRLPLLLKIAAATAAGALALIVAAGLRRDDAVASLRREIRDAVAALVASNEDGVVEGEGEGEDAAEAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVKAAVAGDSGDAGTDEAVVELLDAPPLPEATRATRADIDAAISGGNPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGTLEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >OGLUM02G30340.2 pep chromosome:ALNU02000000:2:30686071:30687913:-1 gene:OGLUM02G30340 transcript:OGLUM02G30340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTPRLPLLLKIAAATAAGALALIVAAGLRRDDAVASLRREIRDAVAALVASNEDGVVEGEGEGEDAAEAPAPSVLITGFRAHGKSSLVNTACRALAAEEGPLLLRAEASPPGGGSDGTRKRRRVKAAVAGDSGDAGTDEAVVELLDAPPLPEATRATRADIDAAISGGNPECVVLALRCDAPAKERNAAVKRLPEISAAVRAKGLNLIVVLTFKKAMRSARQAEELIREVSFRARTDCVYFIENYTWNNNGPNLRHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKREENGEKGNSGDVKGTLEKVLTANAKSSGELSVKPALEKVLTANAKSSGELSVKPADVKPVLTVQ >OGLUM02G30350.1 pep chromosome:ALNU02000000:2:30689983:30693224:-1 gene:OGLUM02G30350 transcript:OGLUM02G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVRARIQSPHRSAAHFVAGEGGRRRPATSRVSFRPMASAASVEEPAAAAAAAAETKRGPSGASFIREHLRSLAPYQPILPFEVLSARLGRKPEDIIKLDANENPYGPPPEVAKALGNLKFPYVYPDPESRQLRAALAEDSGLESEYILAGCGADELIDLIMRCVLEPGDKIVDCPPTFTMYEFDASVNGALVIKDVAQIVKVVEQEKPKCIFLTSPNNPDGSIINDEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLEEVKNLLLQERDRLYDLLKEIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLKALQL >OGLUM02G30360.1 pep chromosome:ALNU02000000:2:30693790:30697747:1 gene:OGLUM02G30360 transcript:OGLUM02G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVCTAVGGDTYVAGTLWELANTEPNGSVTGFGEWASITPSACVLVCFSEEYQSKGNHVNENLRERDLSTEERCKWKWPEQDKIENHKASSRQTEQLSVHILITRNITDSWRNLKLNFKDLQLAWINKAVHACKSARASRMWGEAQQRPRADAFDETSQYSIPAPIPSHAMLV >OGLUM02G30370.1 pep chromosome:ALNU02000000:2:30695587:30696144:-1 gene:OGLUM02G30370 transcript:OGLUM02G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCAAGAEATGLVAMDCLVVCCCCPCLVLQITVFLFVRLPKKVVVKSKRIILRRWHGRRSSSSAAVAAAAKRGCSTVDPAAGVKKQLEELFDDDDLFQGAFGGGINGGGGDDEEGWWKERCFAVDDDDGGVWEALIEQEGLFWFGSFWGRTTEQVDRPDQFGDDEHAHPALRFPLVLKRVCD >OGLUM02G30380.1 pep chromosome:ALNU02000000:2:30697833:30698231:1 gene:OGLUM02G30380 transcript:OGLUM02G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAMPSSMPPPPPAIATFAAPLQPDYQGSVAAAAEGAAARGHGGGGSIGTFFAVLAAVLVLTLLSCVLGRVCARHADGPDERYDCATLARRWCCWGSPPPRRVARRREAKPPPPVVEEVAPAAELPPPEP >OGLUM02G30390.1 pep chromosome:ALNU02000000:2:30703876:30720992:1 gene:OGLUM02G30390 transcript:OGLUM02G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) TAIR;Acc:AT1G55350] MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPTAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKIFNISLRNIVCFVCNQTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEIEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPDYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >OGLUM02G30390.2 pep chromosome:ALNU02000000:2:30705809:30720992:1 gene:OGLUM02G30390 transcript:OGLUM02G30390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calpain-type cysteine protease family [Source:Projected from Arabidopsis thaliana (AT1G55350) TAIR;Acc:AT1G55350] MEEEEHRGVVLVCSICGFLFAVLGPLSFWILWAVNWRPWRLYSWIYARKWPAYVQGPQLSTLCSFFTLFAWLVVVSPITVLLVWGGILIALLERNIIGLAVIMVGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTTGASASELNSPSGFFFGVSAISLAINMLFISKILFNGSGFDVDEYVRRLYKFAYSDCVEVAPVSCSPDPPDPTAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQTSSSNSSDGCGSSVKRSSGSVEAGPHGNATDSMYRSNSQSDGVNWNNVPFDRSNSCQEGQSSDKNIDSGRASLAHRSNSCLSAVAVQDPETAVVSADRHGDPTASLVVCSSSGLESQGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRITSMLKRNGGLGDVELANLLQDKGLDPNFSYMMKDKVMDPRILALLQRSSLDADREHQDDVDVTGTDSDRLDTTIANQISLSEELRRSGLENWLNLSRLMFHQVAGSPIRAFVVFTLIFIIETVTVAVHRPKPIKVINATHEQYGFIAWLLSTCVGLLLSFLSKSSVILGLSLTVPLMVACLSFAIPIWMRNGYRFWIPGGELDSRENIRQAPGKKERALFAISITVFTASVIGLGAIVSAKPLDALGYKGWDADKKSFYSPYATSMYLGWALSSTIAVLATGVIPIVAWFATYRFSPSSAICVGLFATVLVSFCGVSYWGVVNSRQDGVPLKADFLAALLPLLCIPAVFSLFTGMYKWKDDDWKISRGVYLFVGMGVLLLLGAISAVIVTIRPWTVGVACLLVILFLVFAIGVIHYWTSNNFYLTRTQMLLVCSLAFLLALAAFLMGLFQEKPFVGASIGYFSFLFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASLILNPPFIGAAISAITLVIAFSFAVSRPCLTLKMMEDAVHFLSKDTVVQAMSRSANKIFNISLRNIVCFVCNQTRNAISGTYSAPQRSASSAALLVGDPAITLDRAGNFVLPRADVMKLRDRLRNEEITAGSFFCGVKNCLMIGSPVDVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRHFELIQESYIREKEIEEEVLMQRREEEGKGRERRKALLEREERKWKELEISLLSSIPNAGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIARHIRKAQLARRAEQTGIPDTVCILDDEPRSTGRHCGEIDLCLCESKKVSFSIAVMVQPVSGPVCLFGTEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGASSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNALPLPRNNGIWEPGTDIWVGARPPTDLDAFGRSDSEGSDSKMQIMDAFLWGRCLTEDEVDDWESEEANFELYDQEDVEWDGQYSSGRKRHARDSVAIDIDSFARRPRKPRFETREEVNQRMLSVERAVREALIAKGERNFTDQEFPPDDRSLFVDPMNPSLKLQVVSEWMRPSDIAKEVSISSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEMARISEVIITPDYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSRKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLHFKQEGFLLGAGSPSGSDAHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDSSQEWTERMKHKLKHVPQSKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGMRILKTRGCRAAYNIYMHESVGGTDYVNSREISCELVLEPYPKGYTIVPTTIHPGEEAPFVLSVFTKAPIKLEAV >OGLUM02G30400.1 pep chromosome:ALNU02000000:2:30726307:30727951:1 gene:OGLUM02G30400 transcript:OGLUM02G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSLLIYFLKIYLQLAYSLLLYLLTRLKTPYQILAWSQQQKPIINGTHARARRGQVEGELASLACLVALEVWLAMARAFRAASPLPLPSSSSRAAAASGGTGGGGGGNFPWLSRKRLGAKPPSPQRRGGQEREGGGDEAAGMGTTTRAARFSATSSAEPAEVQSSSSSRKRADALARLRAAFLAAITHRRRRRQLGSSLTGTIFGRRRGRVHVALQTDTRSPPVLLVEMAAYSTGALVREMSSGLVRLALECEKQPINPGEKRRALLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDDAAAGAAAEEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >OGLUM02G30410.1 pep chromosome:ALNU02000000:2:30739535:30747006:-1 gene:OGLUM02G30410 transcript:OGLUM02G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RabGAP/TBC domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G52580) TAIR;Acc:AT5G52580] MRREEASAAAAEAGTPRSPDLHDLSDDSDYAAAAAASSSHSAMRSDLVDQGSGETAKVDVVYEKERVTIHPTQYGSGRISGKLRLYLQQGSLFLSWEPNEGVDSLSSNSATMEIEKYRNLYTIKALPLSDVRFIRKHTPTFGFEYIIIVLSSGLAFPPFYFYNGGLRELFATLKKHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVATVANAMSRQNSLSFTSSVDEAGHGSDAKHGASSSMTEYVSKQKHRSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFRENHSSGGHTYGRQQQEYVLDNRANDKYKDQQITPDKDSLLSDSMESNKILAWGKPREQPLSVDEWRSFLDPEGRVMDSKALRKKVFYGGIDHVLRKEVWKFLLGYHEYDSTYAEREYLAVMKRTEYEAIKSQWKSISSTQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNQNVLVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFAILMERLGANFNRDQNGMHAQLLALSKLVELLDPQLHNYFRKNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYWSEHFHLYLCVAILKRYRSRIIGEQMDFDTLLKFINELSGEINLDRAIQDAEALCDQAGPNGAACIPPGTPPSMPIETDGGLYVQEDEVM >OGLUM02G30420.1 pep chromosome:ALNU02000000:2:30748803:30754111:-1 gene:OGLUM02G30420 transcript:OGLUM02G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPQGKGWTGWSTPAPANQRSGGGAPAASAPLGKGKGTTLRVAELEQELHEYQYNMGLLLIEKKEWTAKLDEINQALTQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVTDLEKALREIRGEIAEVKFMSEKKITDAQSLEASLEEKRLEIEGKLHAADAKLAEANRKKSQADRDLEEVEARQRRLEKEKLYFENERKAGEDRIKRQEDSLRDWDKKLKESQNRILDLQRSLNDREERANENDKLFKIKQEELEEAKKALEHTKATLKIKEDDINKRLAELHLQEKEAESKNRKLEEREKKIAEREEKVSAREKVGLQKLLEDHNVKLESKRRDFDLQLENEKKSFDAMLVQKEADLVQREKDVRSSEEKLSKKEQVLNESKKKLEEWQNDLDTKSKALKKWEESLQNDEKQLSEQKLQIENERKQAEMYKLELESLKATVVAEKEKILQEQNNLKLTEEERQEHIMLTAQLKKEIDEYRMRSNSLSEETEDLRKQRQKFEEEWEQLDEKRTHLEEEAKKLNNEKKNLERWHDNEEKRLKDREDELDRKYKEQGENLALKEKSLIDNIDHQRLENEELLKRERADLQRNLQLHRHELEMEMEKKQASKERELEEKENELNRKMDFVENELKRAAELNESKIQKILLEKKQLQKEKEVLVEDRQKLETDKADIRRDIDSLNTLSKSLKERREAYNRDRNNLIDIFEKYKVCKNCGVIIFEGLDALALKDSTDIEYPSLAVEADDRSPNPDTLAQETGALVNSGGRLSLLQKCSRIFKFSPRKKAEQSSEQQAVKNTDFGARLEEASQSDDDYEPTPVYQVAYNSFDAEDLPSESGAFENEESERQDIADDVQMESSLGVADNCVDIHGTQSFDGNTDMVVDTTIVDVDQNGKDSAVLPVVDLEPETSKQGRRQQNRKGRAKGGVKRTRSVLAVVEDAKEILGENLEVKKDDGQGDSVTVGGTRKRRFAGATISEQDEDSEAHSESVSLGGQRRKRRQTAAAVTQAPGEKRYNLRRTTVANAATAAQTNKKKAAKKGSKQTVEATADDTEGTSKAEEPATGSKGASQSADDASQLPEYSQAEAGDTHGPAEVTSAEGVDIVDGIDAAPDAMPMTPSGSELGAEQDDEEDDDSERRNQSIGKKLWSFFTT >OGLUM02G30430.1 pep chromosome:ALNU02000000:2:30762157:30762646:-1 gene:OGLUM02G30430 transcript:OGLUM02G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLILIALCDSLLLVILTGPTRKSLTLGLVDRPVSHAFWATVAFPRRQLTCPVARNVTHAALNSSVKASHLHARTQQEFEQAQLNKAQCNKNSCTRLVRAVKNAQAHELLELGSS >OGLUM02G30440.1 pep chromosome:ALNU02000000:2:30764263:30767306:-1 gene:OGLUM02G30440 transcript:OGLUM02G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPYLRRVSPAASCFGCAGGEAPTLDDNDVAPLLDVETGGGDRRGRPAAEEMMTGKQPRREPTTTDAEMMHEWNRAGVMAIKCVVRPGI >OGLUM02G30450.1 pep chromosome:ALNU02000000:2:30792997:30794585:1 gene:OGLUM02G30450 transcript:OGLUM02G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMALVRERAMVYGGGFDAEAFGGGFESSQMGYGHDALLDIDAAALFGGYEAAASAGCALVQDGAAGWAGAGASSSVLAFDRAAQAEEAECDAWIEAMDQSYGAGGEAAPYRSTTAVAFDAATGCFSLTERATGGGGGAGGRQFGLLFPSTSGGGVSPERAVPAPAPRGSQKRAHAESSQAMSPSKKQCGAGRKAGKAKSAPTTPTKDPQSLAAKNRRERISERLRILQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVKEALDAILSSSSPLMGQLMN >OGLUM02G30460.1 pep chromosome:ALNU02000000:2:30800823:30804371:1 gene:OGLUM02G30460 transcript:OGLUM02G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLYTLVPAQQEAVQNFPRLSLIRELVLMKSRGEEGEAGSSLYRFRHRRLMDTTPATDSGGHSSHNGMPIMVSILAVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKMLIYEYLPNRSLDAFLFDSRKRAQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >OGLUM02G30460.2 pep chromosome:ALNU02000000:2:30801288:30804371:1 gene:OGLUM02G30460 transcript:OGLUM02G30460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGEEGEAGSSLYRFRHRRLMDTTPATDSGGHSSHNGMPIMVSILAVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLSSIYDATNQFSKENKLGEGGFGPVYRGVLGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEKEEKMLIYEYLPNRSLDAFLFDSRKRAQLDWKTRQSIILGIARGLLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGHVVGTYGYMAPEYAMEGVFSVKSDVFSLGVLVLEILSGQRNGAMYLQNNQQTLIQDAWKLWNEDKAAEFMDASLAGDYSKEEAWRCFHVGLLCVQESPELRPTMSNVVLMLISDQMQLPEPAQPPLFAAREMKKVSASEFSLAMKTETTKTQSVNDVSISMIEPR >OGLUM02G30470.1 pep chromosome:ALNU02000000:2:30809933:30810262:-1 gene:OGLUM02G30470 transcript:OGLUM02G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKDKGVVDHNDGGEAESESGIVVPPHKCSNFSLAVMLTARQVCPMVEGHDAAGSASLTELGPPELVRRGDDDEPSKFPWNQSSSKGWAQQGQRQSPFLLSPPSPRAL >OGLUM02G30480.1 pep chromosome:ALNU02000000:2:30813699:30816747:1 gene:OGLUM02G30480 transcript:OGLUM02G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRRLLLLCLYVAAASRLAPCSTAAALPDPAPLDPALIFPSATPAQPGSATIPAFPEQSDAASGTSSTCPLTPSPSLLPAVTSSCVDGGGALTTRLRCCPPLAAWLFAAYAPAALAQRPAKSAAAAAVDMPVPPDDSEACAGAADRALRAEGAALPRPPGANGTCDVAFCYCGVRLRRLTCGPPPAEGGQWAPADAAARRLEKDCAEPGVPGCSKCLRALTTIKAGSGGAAAAAAAAAKKKQQQGGAGVTGERECQLMGIMWLLQRNATRYGAAATAVIQALMAADEASAAGVAAAADGPAACSLPVDDMPLAAEYARFSDAGGPPAVSRLYVLLLLVALFGVVAYAL >OGLUM02G30490.1 pep chromosome:ALNU02000000:2:30817305:30827106:1 gene:OGLUM02G30490 transcript:OGLUM02G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MIASFSAQSWIKGHTNQLARVFSLDFRRGRSRRIPSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYSPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >OGLUM02G30490.2 pep chromosome:ALNU02000000:2:30817249:30827106:1 gene:OGLUM02G30490 transcript:OGLUM02G30490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G01440) TAIR;Acc:AT2G01440] MIASFSAQSWIKGHTNQLARVFSLDFRRGRSRRIPSRMRSSNALGSKLFRWCSRENHTSVRKLLEVDGTSERSKLLNKVSVLMGYSNTQELIEQERARRGSATELISVCKEIEFPEMCAKFPCIKIGDSSPIELYAAAASMSQKETVLSENLTNFMRESGGNFGAAYEFSDKCHPLDPTLTNVDHLSISEESSLTAQSVSLEPAVDTDACPESLAVADATVSDSSILDRSIRCLPETTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGSIEEGQYIMLFGTVVSSRGARLKHTLGYLEVVVSCSIIESELSSSVKSCNSQAEQKKTIHLHLKKFFSGTRFSSPSFLKCISSKYKEGDLAYVSGKIKKALTKDHYDLREYTIDMLEEEEQQCTLLDRKPYPIYPSKAGLKPSLLSLSISRALKMLTPDIDPMPHEVLVEFNLPNLFDAYMGIHKPKNRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLLKCKNHELNAVGADEWSPLARKLLKVLPYLLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTVVAFLACMEVISSGFQGLKTGEIAMVIGTHSLIGDKTEFSALRISVIDEQQRFGVVQRGRFNSKLYSPSTKSSDDDTISDENSASEIFMAPHITDLPPGRQPIETLALEGNDAGFESVFQMMSDELVDGGKVYLVYPIIEESEQLPQLHAAKAEFDSIKQKFEGYPCGLLHGRMRSDEKDGALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGMSQLHQLRGRVGRGERKSRCVFLCSTPSALPRLKVLEKSSDGFYLANADLLLRGPGDLLGKKQSGHLPEFPIARLEIDGSILQEAHLAALNVLGTSNDLAQFPGLKVELSMRQPLCILGD >OGLUM02G30500.1 pep chromosome:ALNU02000000:2:30827374:30833939:1 gene:OGLUM02G30500 transcript:OGLUM02G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT4G16660) TAIR;Acc:AT4G16660] MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDELSCSDSGLGSANIHRTRSCIIVASSYS >OGLUM02G30500.2 pep chromosome:ALNU02000000:2:30827374:30833452:1 gene:OGLUM02G30500 transcript:OGLUM02G30500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT4G16660) TAIR;Acc:AT4G16660] MAPPRTSGLLLLLAAVVAAAAVVLVPPAEAAVASIDLGSEWLKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEASGITARHPSKVFARARDLLAKPFPYVRSVAEALFLPYDLVPDARGAAAVRADDGQVYTVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGVNVLALINEHAGAALQYGIDKDFSNESRHVIFYDMGAGSTYAALVYYSAYKAKEFGKTVSVNQFQVKDVRWDSKLGGLEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYNDLDFRSTITREKFEELCEDLWEQALTPVKEVLAHSGMKIDDIYAVELIGGATRVPKLQAKLQEFLGRSDLDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYGFVFEINGPDYVKDESTDQLLVPRMKKLGIKMFRSIRHTKDFDVSISYEKASELPPGVTSHKFVEYSVSGLTDASEKYSSRNLSAPIKANLHFSLSRSGIISLDRAEAVIEITEWVEVPKKNLTLESNSTSQTLSSEGGAANDTSDSKENVSSDGDANKSSAPIDESNAQDIVTEKVLKKRTFRVPLKVVEKMAGAGSILSKELYSEAKTRLEALDKKDAERRRTAELKNNLESYIYSMKEKLEENTEILTVSTEQERESFAEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLAELQKIVKNWDSNKPWLPKKRVDEVVSEAEKVKTWLEEKEAIQKSTPVYSPPAFTSEEVYEKVLDLQDKVSSVNRIPKPKPKIEKKPPKEEESANKEKTDSSESESKEAESTETSSESAAPEESQSEPQKTDDLEPEAHDEL >OGLUM02G30510.1 pep chromosome:ALNU02000000:2:30833973:30836301:-1 gene:OGLUM02G30510 transcript:OGLUM02G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRDDQRAGPQSEQHSDHVSDSAEDAISDEDVLAPTRLSLACAASKEREKENKMVVPDESTIWDAVLEEADELAYVHKVPPSISFLSAGTGKRRKGEHRLKFSIRGSSSVSLNVKTENPYIGEQEVSSVMPTNRAPETMMAEQLENIKEETEDLPSEFACSTKKANVSISELLDSLQDRSASSAGTPFLLHQHTRPKEEKPKVPTSVKRTLALLGQRNLETENPLEHVIGETSSEEEDDAQNNLALINKDVKGQTMADIFQQVFNATSMDCFTLPVRSYGSGYYGRMQQILQMEKDRHAEFLRQYNREQDYLGDPKGVTVQILSRSLEGKLTICRCLFMEKSNLATTGEVSTDRDMDNSSVKRTIIFSPKICDNVDLVAGNIIHIFPPWKEVKVKEETVILCTYFSHRGV >OGLUM02G30520.1 pep chromosome:ALNU02000000:2:30839094:30848424:1 gene:OGLUM02G30520 transcript:OGLUM02G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDSQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQPFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >OGLUM02G30520.2 pep chromosome:ALNU02000000:2:30839094:30843821:1 gene:OGLUM02G30520 transcript:OGLUM02G30520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26090) TAIR;Acc:AT1G26090] MAPSLLASAASQILAAPRRGGRGRRRLVIACSAGAGAPPKLVTFLGKGGSGKTTAAAVAAQYYASEGLKTCLVIQSQDPTAEQLMGCKIGNSLTECAANLSTMKLETSKMLLEPLDRLKKVDSQINLTQGVLEAVVGEELGVLPGMDSVCSVLALQKLLNFFSTQRNSSQEEFDVVVYDCNNTEEILRLIGATERARSYLRYVKDLAEKTDIGRLASPSAMRLIYDSARPNGKTSEGRLSSELWNDIEQLIQRISVWFADPSKFACFLIMDPRRSISVSSALRYWGCTTQAGGNICGAFGYTEQPFEIHQGVAEKFLPMTFSFLPFLPTDSSADWSRVLNSLSQNAKDLLRNTSNKVYPSVSFDSVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVVKLPPAMQGKVGGAKFVDRNLIVTIR >OGLUM02G30530.1 pep chromosome:ALNU02000000:2:30839676:30841145:-1 gene:OGLUM02G30530 transcript:OGLUM02G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRPKRPSDSVAAASPAAKRARDPSAPAFPTYRDAPDLPPKIRLLCEILASSAPDVDAALDDADVRVTSSDVEQVLRFSYAHPRAAVAFFRWAGHRHLRHQHSPYSWNLVVDLLGKNRLFEPMWDTVSSMRTQGLLSLATFASVFSSLAANPASSPLRAFVELPMYGMDRDTPALNSLLSALCRASRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAGDPHVAREVFDEMRHVIGFDPANVPAYDSFLTTLISSGSSTALMDAMDYLAILHRQRCSPGEKFFRAALAAHLKARELRGAVVLWNDFVVRRGLIPDKEMYSTMIMLQGTLGHPEVIVEYLDEMTFDGVFPDADTYNMVFQFLLKGRKLREASAIFSEMVKNEFWPNEANCSLALRMFLDTRDWDSGIKLWKCMVENGLPPLEESGNMLVSKLKDERLPEACKYAEDMIDRCIKLSSSTMSKLKQCLMKVYKGHIHDHLLRKWKAH >OGLUM02G30540.1 pep chromosome:ALNU02000000:2:30847820:30848236:-1 gene:OGLUM02G30540 transcript:OGLUM02G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSIAQAVAALMGTCARRLSRAARRLHLRPREGIAASFSSRAIVPFLGGGGGGKKAISSSRRRRKAGAELSFRAEDGVWRKEILMGERCQPLDFSGVIYYDAEGRRLEQPPPPRSPLRSPLPSSIKLAANAGGGGGY >OGLUM02G30550.1 pep chromosome:ALNU02000000:2:30850606:30855898:-1 gene:OGLUM02G30550 transcript:OGLUM02G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPNLNGAPSRRITGGPTRANNDYVFGRGNPPGGIVEVSLLPRQGALVLWTDDDSVFGIATFVRASFLSLRIVVVLLARWRSVSHSDGRFGAWLPSL >OGLUM02G30560.1 pep chromosome:ALNU02000000:2:30858126:30858720:-1 gene:OGLUM02G30560 transcript:OGLUM02G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFPHTPNAVGWWLKRYKVTDGLETTVMPSVCKRSWNTCSIGCGSGHSVASPLARLLRLEMGEFMSLLLVIGLPRPFSRVCPSSLSIWRTPRTPTLSLLPMVGGTMTLLDVLGAIRQCYCMSINGHSFHLRLCNSQRKILKGQRRDFDMVVTLGA >OGLUM02G30570.1 pep chromosome:ALNU02000000:2:30858797:30859127:-1 gene:OGLUM02G30570 transcript:OGLUM02G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVLSSISIYHLVGVEPLKWVIKGINKIHYRFHWADQMHRAATAESMTKQILAISSSSTLLLSHHAESGWLVALNLTEETMAGTHDTLFEQEARFRTGFHVLLHGHG >OGLUM02G30580.1 pep chromosome:ALNU02000000:2:30861202:30862336:-1 gene:OGLUM02G30580 transcript:OGLUM02G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYHLGKFVSKALGKCNGRERGWREERLDYAMAYPPGPPTETYYMRPVARTVTFSAANSVYVIPPAEPPHQQSPEPQPQTPPPPAQQQQHGPEHEHHQAQPQPQQEPPPPAQDAPPAEPKPPKRGKNKKSGRVRFGPEPPPPEQQQSQQQQQQPPQQQEQTTQQAPNAGAEHAPARHATSAPPGYFRYTPSPLPRWEAATPRRHEYFSGEYRYSYPTPVREGIYRMATDANRLTTIFSEENPNACAIM >OGLUM02G30590.1 pep chromosome:ALNU02000000:2:30863488:30875206:-1 gene:OGLUM02G30590 transcript:OGLUM02G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 7 [Source:Projected from Arabidopsis thaliana (AT3G03380) TAIR;Acc:AT3G03380] MESPAKEEAGGELAMEIESTVTAEDWRRALALVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWEAFGSKPESDYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPSGETGMLVVDSVVPEGPAHKHLEPGDVLVRMNDEVVTQFLAMETLLDDSVGKEIDLQIERGGTPLTVKLEVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYMLSRASVPRHAIIKKLAGEDIENLGDLIACISKLSRGARVPLEYVKYTDRYRNKSVLVTIDRHEWYAPPQLYTRNDATGLWTAKSAIPPESPFIASAHHAGPIDANSNSVSSLPESSPMDLKCQHESENLTDGCIKTQTDDEIIVDGSHSSEDSLVEKKRRRVDEEIAAEGTISSSGDLDEIKGGGLRHLSSVDGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSDCLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGAGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISGTPGPFRIINGVRRPIPFIRLLEVELYPTLLSKARSYGLSDSWVQALAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIENACQKLDQSVDSDGVLNMTIFRQGKEIDLIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLETFIQVVKGLENGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFEPETSTWKRGIIKALQSTVA >OGLUM02G30600.1 pep chromosome:ALNU02000000:2:30890646:30894257:1 gene:OGLUM02G30600 transcript:OGLUM02G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVATPKTPSSSVQITGQVFTQSSLPREEGYLNNALKRWTVAARLTRTPSSRARGAAIAVVALLARTGSRRSRCRPPRRCPRAREAAIDGLQMQEKEKEKEEEEEGNGD >OGLUM02G30610.1 pep chromosome:ALNU02000000:2:30891177:30891428:-1 gene:OGLUM02G30610 transcript:OGLUM02G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRPAAEAAVAEVAEAGARGGRGEAAAEAGTRVRLGRARRSAGLEWRRRAAAFPVSAQAQMAVQRELAVVVFLVTSRHPHPS >OGLUM02G30620.1 pep chromosome:ALNU02000000:2:30896695:30897298:-1 gene:OGLUM02G30620 transcript:OGLUM02G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFDPSPHKRPFPSRPLFGVSPRSASTPWETRLYARSARFSRRAGPRPCEETRCELPSPHRSPQIPFLPSPPRLSPTAAPTRRSAPPAAIDRRAGRSATAGRSSAALKRRRASQSSARRWTRRRWAEVPGAAESRAESMWEKRAWEMAMWMRKRRESGRTKVAAMRAKRGRSATMMMSFVGDGWRERGEREEGDRR >OGLUM02G30630.1 pep chromosome:ALNU02000000:2:30897735:30901015:-1 gene:OGLUM02G30630 transcript:OGLUM02G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGGRKDAGGGGGGVFVPCVDIKSFVLSLAFLTVFVALWQLQPYGSLLTAARSTASVSASPCSLIATPAAAVATAASAGVVRSENSTADTAKTTPAAVASAVPARSARAARPARVEDPNKRELRPYGSAAALFVQMGAYRGGPRTFAIVGLASKPTHVFSNPYFKCEWLPNPTAGNPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNAGGKLLVHAYYSTTSRRYERFVALEEAPGSYDESRFSPPFPYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVFHDAGGISPEVKAVLDPWVRAGRLTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPNGQTLDQVLGKLSGYSQFTIEQNPMSSKLCVQDPSKDYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSAGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCREFVPVPVNGSKLMFEGIPYVYDDNMKRLAGQIKRFEKEAIGSAHT >OGLUM02G30640.1 pep chromosome:ALNU02000000:2:30912907:30930228:-1 gene:OGLUM02G30640 transcript:OGLUM02G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLMLMWCVSVFLLLPSATAQATTFTSNVDGKEFTTFSFPKFDKPLLQLPDNLTFSGNATIAQDGLQLTPDSGNRPEIFLVNQAGHAFFTAPFVVWESKSSSSSSNSTAAAAADGKYVASFSTVFKVNLFRSNLNKTVKGEGLAFVVASSNARGPPVGSHGGFLGLTNASTDGNATNGFVAVELDTVKQRYDIDDNHVGLDVNGVRSTAAAPLAPLGIQLAPRNTTVDDGICFVWVDYNGTSRRMSVYIAKNESKPSAAVLNASLDLSTILLGKTAYFGFSASTGAATYQLNCVRMWNMTVERLHDGTTTTATKLAGTSGWKLAVGVLCGVTVVLGVVAALYIRKRRRRSGGDPSSAFNAAIDFRKIPGLPKEFDYMELRRGTNNFDEKMKLGQGGYGVVYRATVVGEDGRSTDVAVKQFSGANTKGKEDFLAELRIINCLRHRNLVKIVGWCRQNGRLLLVYDYMPNGSLDRHIFGEPGAAALDWKQRYNVVAGVASALNYLHHEYDQMVIHRDIKPSNIMLDSAFNARLGDFGLARALESDKTSYTDMAGVTGTLGYIAPECFHTGRATRESDVFGFGAVVLEIVCGRRVSCSDLPGWLSLLEWVWKLHGXDRPPPSPSPAFLSCSLDVQQVKQGNFSSDALDINMSSCQLFLVERQYLTFNSRRREAICIRELQNLLNFWAMDLPARAVKGTSRSGLQMRATSKDNAYPAGFAEGSMRRNFPNPDTLVAARELANPPCYITNPHPFLHFVANSSADLPFRMGLPGRRHRGAMAGRALVVLLWCVSASVFLPFARAQTTTFTSAIDGKKATTFSFPTFDKSLMQLGANLTFSSNATVSQSALQVTPDSSNNPLAYLVNQAGRVFFPTPFVLWSSNSSNSTADGKYVASFSTVFRANLYRSNTTMKGEGLAFVIASTNAINPPPGSYGEYLGLTNASTDGNATNGFAAVELDSVKQPYDIDDNHVGLDINGVRSNASASLTPFGIQLAPSNRTTDDGSYFVWVDYNGTSRHVWVYMAKNDTRKPSTPVLDAPLDLSTVLRGNKGYFGFSASTGETYELNCVLMWNMTVEMLPDEGATKKKAALPGWKLGVVVGVSSCAVAVVLGLFAALYIRKRRKRIGDDPSSVFNTTIDFRSIPGVPREFDYRELRRGTNNFDEKMKLGQGGYGVVYRATVVGENGQNMEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHQNGVLLLVYDYMPNGSLDTHLFGGPESEVLNWQQRYNVVTGVASALNYLHHEYDQMVIHRDIKPSNVMLDSAFNARLGDFGLARALESDKTSYTDIIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEIVCGRRISCSNPAGCSQLLEAVWKLHGAAGGGGGRRILEAVDQRLAGEFDEAEAERLLLLGLAQPNPGERPRTQAILQILTGAAPPPHVPPSKPAFMWPAMPVALDGNDDDSETPTSRSSMLLTSSSTGWTKIFQVSKEHDVAEKDVAAV >OGLUM02G30650.1 pep chromosome:ALNU02000000:2:30956934:30963588:1 gene:OGLUM02G30650 transcript:OGLUM02G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSPCASCKLLRRRLVYEANDRMRDPVYGCVGAISFMQNQVSQLQMQLAVAQGEILCIQMQHRDGNENEKNLRDLGCTFSTSCGPSRSVAGQAERFGGLQFIMGRNHFWASIWPIGI >OGLUM02G30660.1 pep chromosome:ALNU02000000:2:30965906:30966358:1 gene:OGLUM02G30660 transcript:OGLUM02G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCASSPESYVRIGRRWRRRRPPARGFRLCPRNRFSVRRLRAKLLTFLGLVGRYVRQLLAVRRPRSSSSSSSSAGGGCSRSSSTRFLVGGGKHHVDGGRRTVPPSFMRSNSFYAQAIADCLEFIKRNSVPVEDYASSSGRRSSVDLTTI >OGLUM02G30670.1 pep chromosome:ALNU02000000:2:30968258:30972115:1 gene:OGLUM02G30670 transcript:OGLUM02G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXB2] MEGSAGAPLRTRVCIIGSGPSAHTAAIYAARAELKPVLFEGWLANDIAAGGQLTTTTDVENFPGFPEGILGGELMDRCRAQSLRFGTSIISETVTAVDFSARPFRVASDSTTVLADAVVVATGAVARRLHFAGSDAYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEESNFLTKYGSHVYIIHRRNTFRASKIMQARALSNPKIQVFWDSEVVEAYGGEGGGPLAGVKVKNLVTGKISDLQVSGLFFAIGHEPATKFLGGQLELDADGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKAD >OGLUM02G30680.1 pep chromosome:ALNU02000000:2:30973131:30975028:-1 gene:OGLUM02G30680 transcript:OGLUM02G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGGYLSVLAPIETTPTIKQDHITSNADLQYRISQLPDDILLAILDGLNVRDAARTSLLSKRWRPLPTMISHLTIDVSDFDPKSMSSFSDDELGRINATVVKATKSILACRKSNEHTISLLSMRFYLRDDDCISFGHTVGHVMATQKVEMIEFTILTEKDDNQCIDDDFIVYGRRFALFFSYCPNTFGEGPKALASAFHKLRVVNLVDLPEGYDPSWTMFFLEAAPSLRELYVAVWDHLCEIETDDKRRKAYSYSENKNADWNASASDFKNHSLSTLVMFGFQSNDENLIRYIRLVMDAAVNLEDIFLYKRMACEKCKGKNPRPLRYPSTKKQRQALRNRIALGTHSLATIHFPTVLRANHYAKLLY >OGLUM02G30690.1 pep chromosome:ALNU02000000:2:30988821:30990750:-1 gene:OGLUM02G30690 transcript:OGLUM02G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNERDKRVHGAAGASFARFGVRGLCYFGLPPNARARGGLAWAGQAGLSVPRASELLVKTGELRERRTSLTLSAAPSHPLPSPQELTPPPRFFISSPLIRPRAARFTPIPHRVPPYLLFVGITIVSLAAPRRFVGGRFLPRAAGVSTCRAVRGGGEACGGGCGGGGEERVVEEEEHRSTGKLAAGKWWERPDDTAMAGMDPGGGGAGAGSSRYFHHLLRPQQPSPLSPLSPTSHVKMEHSKMSPDKSPVGEGDHAGGSGSGGVGGDHQPSSSAMVPVEGGSGSAGGSGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAGGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGASGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEEVAAPAAGGEAQDQVAQSAGPPGQQPAASQSSGVTGGDGTGGAGGMSLYNLAGNVGGYQLPGDNFGGWSGAGAGGVRPPF >OGLUM02G30700.1 pep chromosome:ALNU02000000:2:31002579:31007377:-1 gene:OGLUM02G30700 transcript:OGLUM02G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXB5] MDVRRGGGGGRIVGAARRALTWGALPLPMRITNGLAMVSLVLSSCDLLRLCSDRERPLGGREFATVVCQLASVVYLLSLFAHPDAPATTTGDDDDGQGGSRRARPAAVAAAAEPAPMHGHGGGMMEADDEEIVAAVASGALPSHRLESRLGDCRRAARLRREALRRVTGRGVEGLPFDGMDYQAILGQCCEMPVGYVQLPVGVAGPLLLDGREYHVPMATTEGCLVASVNRGCRAISASGGAVSVLLRDAMSRAPAVKLPSAMRAAELKAFAEAPANFELLAAVFNRFGRLQDIRCALAGRNLYMRFTCITGDAMGMNMVSKGVENVLGYLQNVFPDMDVISVSGNYCSDKKPTAVNWIEGRGKSVVCEAIIKGDVVQKVLKTTVEKLVELNIIKNLAGSAVAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEEVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVKGSNHGSPGANAKRLATIVAGSVLAGELSLLAALASGHLVKSHMMYNRSSKYIAKAAS >OGLUM02G30710.1 pep chromosome:ALNU02000000:2:31010125:31014471:1 gene:OGLUM02G30710 transcript:OGLUM02G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAAPVPTHPHLVLPTHSPQFTQASAGQKSSAAVFVVLVLTWQVVLIMVIRCTWNHDVAPMDGTMIQEQRLLLSVLLGYILCLNGTATIAAA >OGLUM02G30710.2 pep chromosome:ALNU02000000:2:31010125:31014471:1 gene:OGLUM02G30710 transcript:OGLUM02G30710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQQQQGSGSGSASASSSSSGLHLLASPFGDTTYTKVFVGGLAWETTSERLRRFYDRFGEILEAVVITDRHSGRSKGYGFVTFRDPESARKACEDPTPVIDGRRANCNLASLGRAQPAVPLGRPRSAGSYFGVPVPRGVYVGGYGQHRTLPLGYYQGFPVPQYSYTTYGTEYIYPQGTLNPYVGQQYVPIYGVSSAANTASQPFSQFSPSISGGGNGYVAIHGYNVPGNPFVQLTGSNFSSASPTPRPTIQAPFLVAAPVPTHPHLVLPTHSPQFTQASAGQKSSAAVFVVLVLTWQVVLIMVIRCTWNHDVAPMDGTMIQEQRLLLSVLLGYILCLNGTATIAAA >OGLUM02G30720.1 pep chromosome:ALNU02000000:2:31015005:31017211:-1 gene:OGLUM02G30720 transcript:OGLUM02G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGEVRYGGGCAGAGEAAVIADDGTTVFRGTAQPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQSYVYKWWRPGGKLIVKIARAIKFTPIMFWGKFGTPIPFATPMHVVVGRPIEVKKNAQPTFDEINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >OGLUM02G30720.2 pep chromosome:ALNU02000000:2:31015005:31017211:-1 gene:OGLUM02G30720 transcript:OGLUM02G30720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNGEVRYGGGCAGAGEAAVIADDGTTVFRGTAQPPVRTTVALALWLGAIHFNAFLLLASLFLFPRRVAAMVLATQLFFMFAPVNDMSRLGRKIARFISKCVIGYFPVTLHVEDYKAFDPNRAYVFGYEPHSVLPIALGVLLELVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLVPASRKNFYSYLKAGYSCVIVPGGVQEMLHMDHDSEVAFLKSRKGFVKIAMETGSPLVPVFAFGQINEVHEQFVVALQELFEKYKTKAGYPNLHLRVL >OGLUM02G30730.1 pep chromosome:ALNU02000000:2:31020155:31025509:-1 gene:OGLUM02G30730 transcript:OGLUM02G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXC0] MDSDYGVPRELSEVQKKRALYQPELPPCLQGTTVRVEYGDAAIAADPAGAHVISHAFPHSYGQPLAHFLRKTANVPDATVISEHPVVRVGVVFCGRQSPGGHNVIWGLHEAIKAHNPNSKLIGFLGGSDGLLAQKTMEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVNGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRMMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSMENISSQLSPWASALFEFLPPFIRKQLLLHPESDDSAQLSQIETEKLLAQLVEDEMNRRMVLGHVCYHILAAGLNGYMATVTNLKSPANKWRCGAAPISSMMTVKRWSRGPAATQIGKPAVHMATVDLKGKAFELLRNNSTSFLIDDIYRNPGPLQFEGAGSDSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLAIMTSSSTGQGTPL >OGLUM02G30740.1 pep chromosome:ALNU02000000:2:31027191:31031592:-1 gene:OGLUM02G30740 transcript:OGLUM02G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGATAGSGSGSDHDDARAAEEARGEGAAGRAVEVEEEEEEEAVPVAEERAAWGGGGTPPTPEPKSDADAEGAGSLDRVELNAGAGGGREREDDEGEDEAVGARGVEMNGEDAMSHDGHDGDEDGDDEEDGDDGEEEEEDDDDEEDDDDDSTPDASPRAEAKAEGESSTGMAGCANQPAEPDPFLDGDDSGTEEEQAAFMVELERFHREHGLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQVPLSALPQPGGTGREIGMNQSSSARVRRDSAARAMQGWHAHRLLTNGIYGDQMLKEYSRGRKHLVQSVLSRDDSMVTDVGEPAEWVKINVRQTKDCFEIYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPLRIDPHQTSAVVTLHGQLFVRAPFGHADM >OGLUM02G30750.1 pep chromosome:ALNU02000000:2:31033781:31040000:1 gene:OGLUM02G30750 transcript:OGLUM02G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function /.../83, C-terminal (InterPro:IPR012880), Foie gras liver health family 1 (InterPro:IPR021773); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65950) TAIR;Acc:AT5G65950] MEDYPEELRTPPLSLVSIVGCPELHPAISAALSSRQPPMNLLALPDFSKASILARTAKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAAMFRADQVSGDPAQWLQACSDLENLKSVIQGRNTKLVVILVQSQAGDELSEDVTVALRKRAEIDSKHLVVLVERDEMEWTKSLNKLTTVFAELCMTYYKDEGRRVKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGIRVLREMIGTSTRLPPTQRLVEVKAVAEQFHFKISTILLHGGKVVGAITWFCKHIRSYERVVGSPEVAFLHWEWFSRQFLVFGELIETTSTTVPDTLSPRFGTADNALTEWEFQPAYYYQLAANYLREKRYALEFSSSSVSLTKGVNGLPESVMPSVYVGQYVRLFEQGDTVAVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFRSLGATRMASACSGGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWATLLWENLGYLRECARKLKSLKDFISYSLEMAALPLFSGSGQGNSENKRKNGPAGSPTISSRELIQQEVINILEGKHASENTDDEFDLHLMEESTHLDIDQISPLRIVFLASVAFHDQSVKPDSPMLVSVSLQSHLPCPVMIDKLEVQFNQSDCNFVIVSAQEDCSASNSHVHDGAVQTPLTLFTDKWMRLTHEVKPGQSGKLECLAVKATISKRLVVCCQAESPVSMGEFSLWKFEDQVEALPMKDNVLAFSGQKLIQVEEPDAQVDLVLDCPGPALVGELFTVPVTILSKGHAVHSGELKINLVDAKGGGLLMSPREAEESESHHVELLGVSDVTTENGSKEEVDSIRKIQHSFGVVSVPTLYAGDSWSCKLEIKWHQAMSVMFYVSLGYSLDSTEEAALHRLNVHRSLQIEGKIPMIVSQQFLRPFRREPLLLSRIRSSSDDDKKSSLAFNESNMLILSARNCTEVPLRLHTMTIASNDDGKQLCSVQQISGISNEYAVIAPSEEYKGIFSVNPHTISPSFFLGEICLSWSRDSSIGESQDSRVIMKERLPEVHIEEPPLVVTMECPPYAILGIPFTFHVKIYNSTSLLQEIKYSLVDSQNFVFSGAHNHAASILPKTEHIVSHKLVPLGSGSQQLPRITITSVRYSAALTPSASAATVFVYPSEPKFNLEKGYSSTDPCVS >OGLUM02G30760.1 pep chromosome:ALNU02000000:2:31040885:31044778:1 gene:OGLUM02G30760 transcript:OGLUM02G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G42910) TAIR;Acc:AT2G42910] MEVVVARQPKAKKQINLFYCSECEELALKVAASSDAIHLQSINWRSFDDGFPNLFINNAHDIRGQHVAFLASFSSPSVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKLLLNFPMVVCAKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKVLAAHGAAKVSAYVTHAVFPKQSYERFTHTNSAGSADKFAYFWITDSCPQTVKAINQQPPFEVLSLAGSIADALQI >OGLUM02G30770.1 pep chromosome:ALNU02000000:2:31051331:31054961:1 gene:OGLUM02G30770 transcript:OGLUM02G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDTFDNLQAKVQMCFVRIIAMSAGKPTLFAPGIVFAKKKLSCYVITDKGTFCYGSEGLYAAVFPGLNMESVAINFTDVSIADSFASFMLSKPKCTNPLAAVKICESGPLINEDVYTLGYQNPKVPFISWIGPALMKCLIRFIGEADFTHDCATSLCASFGSPVFTVDGLLVGICFDNRGAVRAYKAIKDMLQPFMDQKNVLLEKRKPPVDTSAGASKKSKTTR >OGLUM02G30770.2 pep chromosome:ALNU02000000:2:31051331:31054961:1 gene:OGLUM02G30770 transcript:OGLUM02G30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKDTFDNLQAKVQMCFVRIIAMSAGKPTLFAPGIVFAKKKLSCYVITDKGTFCYGSEGLYAAVFPGLNMESVAINFTDVSIADSFASFMLSKPKCTNPLAAVKICESGPLINEDVYTLGYQNPKVPFISWIGPALMKCLIRFIGEADFTHDCATSLCASFGSPVFTVDGLLVGICFDNRGAVRAYKAIKDMLQPFMDQKNVLLEKRKPPVDTSAGASKKSKTTR >OGLUM02G30780.1 pep chromosome:ALNU02000000:2:31061222:31063422:1 gene:OGLUM02G30780 transcript:OGLUM02G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILRPILPQVQQVKMSSSAPTGGTQPKELSVRFGADHIASARLVHVSEHVDLALIRVQGAPNCIPLGFSDEADLSGKEVVAIGFFGLDGTSMCIDPGTSRGHILGEAVVFPTYSDDLNEVFPTNLPTAKGMSGAPVLLEDKVAGVLCNSDAAVINVLTVASVKAMMKTWARRKQGPEMTISDMLQIIADKSS >OGLUM02G30790.1 pep chromosome:ALNU02000000:2:31067418:31072085:-1 gene:OGLUM02G30790 transcript:OGLUM02G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLVLGDLIDVFEEQFGDLYDSGQGVWSEFSKGVASMLSESVLAVASFKGDKMVCSCSGIIILCKPLVTTLLTSASLVRSSHDDSQIDHDLMVKVRNVHDQYWLGTVEHYDLDYDILLVDIQTPYLCVACLYHEVQLGPGSKVVAVGHVFNTKKLMAASGLVKDKRDISDQEECTISTCKISKVGIGGPLIDLNGNFHGMNFYEGFRPLDPCGEDFMNELNVELVSKLSQSVVSLAAFNGAYACLNTISAFARKIRQFACSGILIKYGQCTSVLTSASLVRYSDDDTKINDDLQIEICLPNGQCVKGVLQCCCLEYNVAVIKIREFTDLCAIQLERRRQFKSGSKVVAIGRIFVEGRLTATHGMLTDKQSKLDCEVLMVSTCKITKAGIGGPLVDFSGNFVGMNFYDDDETPFLPRNEIIMCLRRFRTKGTKAVGYIDESPRPNRWPVPEPYWSYTSDVKRLSLEELMSRAPKFGWISPF >OGLUM02G30800.1 pep chromosome:ALNU02000000:2:31076143:31079838:1 gene:OGLUM02G30800 transcript:OGLUM02G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIAYTVRVLEAVTSRTSHETRALPRRAQEDNGRSHAFDPCAGELDADDQHEKPRIVSERDFVKMSSRTDIDSAKMSFLEATTSVSVATLVRINKFDGMHEGFAIGSVVYTDKTNIVVLTNASAYNEDKNYLALDVGDGKLLKAENIATNGNSVLRCLLFRNASNFKGITFSKDTVERGQVIFTPAKAWADIPPSLYPGSVIHPKCTSIENFLRGPVRVVNRYDNHFSVTCPVSGPMPQLVCKEFNFCNQSATGFI >OGLUM02G30800.2 pep chromosome:ALNU02000000:2:31076143:31079838:1 gene:OGLUM02G30800 transcript:OGLUM02G30800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIAYTVRVLEAVTSRTSHETRALPRRAQEDNGRSHAFDPCAGELDADDQGFLVYCIEHEKPRIVSERDFVKMSSRTDIDSAKMSFLEATTSVSVATLVRINKFDGMHEGFAIGSVVYTDKTNIVVLTNASAYNEDKNYLALDVGDGKLLKAENIATNGNSVLRCLLFRNASNFKGITFSKDTVERGQVIFTPAKAWADIPPSLYPGSVIHPKCTSIENFLRGPVRVVNRYDNHFSVTCPVSGPMPQLGLSSILQSIAGTPVFNMRGEVVGLIELCSKGSYDIKFARNSTSVINQLQALFDEEDWLSALKRELVKRTST >OGLUM02G30800.3 pep chromosome:ALNU02000000:2:31076202:31079838:1 gene:OGLUM02G30800 transcript:OGLUM02G30800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRTDIDSAKMSFLEATTSVSVATLVRINKFDGMHEGFAIGSVVYTDKTNIVVLTNASAYNEDKNYLALDVGDGKLLKAENIATNGNSVLRCLLFRNASNFKGITFSKDTVERGQVIFTPAKAWADIPPSLYPGSVIHPKCTSIENFLRGPVRVVNRYDNHFSVTCPVSGPMPQLGLSSILQSIAGTPVFNMRGEVVGLIELCSKGSYDIKFARNSTSVINQLQALFDEEDWLSALKRELVKRTST >OGLUM02G30810.1 pep chromosome:ALNU02000000:2:31084676:31085506:1 gene:OGLUM02G30810 transcript:OGLUM02G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDGVSPQRAISSLLRQQASFLELRFSRLNTDCTSSWWNADRSPPWWSPSRWCCPRGSRIPRSPWQIRAGIDKQGAAADAGELLSGQVKAVRKPWRSRCRRRRGTSFGLDEKEELVACHRDARLGGELDGGHRDDGGDGEGEGVDDGGAAAADVVLEDKELATGVVDAARVAVGHGGDRGPGGGGRVGGVEEESLAAGRHDAMRRPVPGQVVRLGEEGRERREGADGAGEEVEERRGEVGGVDGERPPVRGDVEDAVAARSGGGGDGGGGEGGRR >OGLUM02G30820.1 pep chromosome:ALNU02000000:2:31084931:31085744:-1 gene:OGLUM02G30820 transcript:OGLUM02G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYKLISDPSPPPLPRHLLHRRRRLLAPTPSPAAGSREPRRRRLLTPITPSSPAAFSTAAVSSTAAPCRHCILYVSPHGWTLAIDPTDLAASLLHLFTGAVRALPPLPAFFAETDDLAWDWSPHGVMASCGEGLLFYASDPPAAAWAPIAAMADCNASSINYSGGEFFVFEDDVCCCCTTIVDALTLPIAAVIPVPAVELPAEARIAVAGDELFLLIKSKGCTSSATTSTSPRLPDSFDLA >OGLUM02G30830.1 pep chromosome:ALNU02000000:2:31089898:31090212:-1 gene:OGLUM02G30830 transcript:OGLUM02G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPRVKEGQQHGGGERRGGQGGRVVVKKMSLRLEGGHEWVVRCGVRVAEDPVGGEACIGRMDGWIKGRALLREKIKLRWSIAVNFRRARRHMADFWEEKLNL >OGLUM02G30840.1 pep chromosome:ALNU02000000:2:31093507:31095556:1 gene:OGLUM02G30840 transcript:OGLUM02G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNNSLLAMDSKLSMLFLLLGFVACSATASHHDPSVVGYSQEDLALPNKLVGLFTSWSVKHSKIYASPKEKVKRYEIFKRNLRHIVETNRRNGSYWLGLNHFADIAHEEFKASYLGLKPGLARRDAQPHGSTTFRYANAVNLPWAVDWRKKGAVTPVKNQGECGSCWAFSTVAAVEGINQIVTGKLVSLSEQELMDCDNTFNHGCRGGLMDFAFAYIMGNQGIYTEEDYPYLMEEGYCREKQPHSKVITITGYEDVPENSETSLLKALAHQPVSVGIAAGSRDFQFYKGGIFDGECGIQPDHALTAVGYGSYYGQDYIIMKNSWGKNWGEQGYFRIRRGTGKPEGVCDIYKIASYPTKNVTGWGS >OGLUM02G30850.1 pep chromosome:ALNU02000000:2:31096580:31100338:1 gene:OGLUM02G30850 transcript:OGLUM02G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33630) TAIR;Acc:AT2G33630] MHLSENEGIEGVRFAVTGGQGFVGSALCLELLRRGAREVRSLDLRASSPWSDQLLGAGVRFFQGDVRKKEDVGKALRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGEPIVNGNEALPYFPVEDHVDAYARSKSIAEQLVLKSNGRQTKSDKSSRLYTCSIRPAAIYGPGEERHLPRILSLAKLGLAFFKIGDPNVKSDWVYVDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPVNTFEFLSPLFQSLDYTVPRVRMDTSVALAISRFFVFMYTLLYPWLDSKWIPQPLLLPAEVYKVGVTHYFSYLKAREEIGYVPMVSPREGLAATISYWQERKRKELDGPTIFPWLFVTIGMLALFSAAYLPPVGPLKWVLDLHLFVFRSKLVIRLVFVIATALHVGEAVYAWFLAKKYDPRNATAIYLPLNWKSQELQAYYSLMAE >OGLUM02G30860.1 pep chromosome:ALNU02000000:2:31102090:31102644:1 gene:OGLUM02G30860 transcript:OGLUM02G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILENIQKARFLPTRPLKDELPTFQGGGGGGGGGGGGKESHLMGLRKRLSSFSDKIQPISSASAEWAFRRSKSAPSLGAFAGGPLKRWWDWGVGWLMSKKPGFATDLEMNEEEVAALGRGSRGSWGHILYKMRSGVRRLVTSHSLPTTHRAAAAASASAQCKPAATFNYTQSFHSGQTAMAY >OGLUM02G30870.1 pep chromosome:ALNU02000000:2:31103899:31104204:1 gene:OGLUM02G30870 transcript:OGLUM02G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGQREGNALKTAVVVTGGLVLAWFTMESAFKPFLDRLRGALTRNTDPARDPDEENSAAPADRAVEEPAAAAAPVEEGEGKGVELEEKGEGAAMTE >OGLUM02G30880.1 pep chromosome:ALNU02000000:2:31123441:31123740:-1 gene:OGLUM02G30880 transcript:OGLUM02G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHRRGQIHLTPPSSRTDPPLLVTVEAGSAAPSSCGESSDGVGRPKLKDGQPKRPPVVAVLLHGASRRRTLAWGRLPDMLVVQTGGCNFHRWQWEMKE >OGLUM02G30890.1 pep chromosome:ALNU02000000:2:31127097:31127573:-1 gene:OGLUM02G30890 transcript:OGLUM02G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGNGAPSFRPPRAPVPGRLYRCPVCPGPVILYSCQDLRNHLCIIHPNEAVELVTPFMRYMEASRRGRVGLPPRPPTLAPVVPQARMPTPTVQNSFVPLPPNLAFWEEYRKGGSRPVEIDFFVPVIVVSALELVAPAMVSGSNLSDSESSELDILV >OGLUM02G30900.1 pep chromosome:ALNU02000000:2:31131761:31132667:-1 gene:OGLUM02G30900 transcript:OGLUM02G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGISSDNGGASAPKSLCMCLRPRRLMARSPVYGGLAPPACIAILRLSVFRNSRKGRRRICPNRWWQGMIVTMSPNLTFWAAHHYCGTYPLEIDFLGLGLGLRGCGCRPPRRQQGYCLMVLPR >OGLUM02G30910.1 pep chromosome:ALNU02000000:2:31132688:31132964:-1 gene:OGLUM02G30910 transcript:OGLUM02G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGVVDPTTMAHIDGNGTESYGSRWWWALGATDPGHVFQKQWIKDGRPRSTPLSLSPNLAFWAAHRLHGTHPMEIDFLDLGVQGMG >OGLUM02G30920.1 pep chromosome:ALNU02000000:2:31136766:31137520:-1 gene:OGLUM02G30920 transcript:OGLUM02G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGNGASRFRLLRALVPTGRLYHCTPVIWLSCQNLRNHLYIIHPNETAELTMPFMQYVGTSRRERTFSRHAIYCPTTIRAYYIGFVCCLHVSDSESSELDILT >OGLUM02G30930.1 pep chromosome:ALNU02000000:2:31141667:31142272:-1 gene:OGLUM02G30930 transcript:OGLUM02G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVDSVWSSNDHPPFWALAPPAPAPERRFCCPYCPEGPQRGRFRSHLRRHYRAAVRGMVRGGLAPRARLAVLRAAAFRDSREARRRIRRDRRRRRRRGMMVAALSPNHAFWAAHRLRGTHPAEIDFLGLGLGVQQGAGMLDLPGAPPAAGISSGDVAASANAPVPAPAVVLAAADDDGEESVGDQAEEDVDGGSSAGSN >OGLUM02G30940.1 pep chromosome:ALNU02000000:2:31163342:31164508:-1 gene:OGLUM02G30940 transcript:OGLUM02G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMTEKEREEQQKLLGRAGNGAAVQRSPTDKPPFTLGQIKKAIPPHCFQRSVIKSFSYVVHDLVIVAALLYFALVMIPVLPSGMEFAAWPLYWIAQGCVLTGVWVIAHECGHHAFSDYSVLDDIVGLVLHSSLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAMAWYTPYVYHNPIGRLVHIFVQLTLGWPLYLAFNVSGRPYPRFACHFDPYGPIYNDRERVQIFISDVGVVSAGLALFKLSSAFGFWWVVRVYGVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIRPILGEYYQFDPTPVAKATWREAKECIYVEPEDNKGVFWYNNKF >OGLUM02G30950.1 pep chromosome:ALNU02000000:2:31173837:31178705:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEVSTRATITTNRKSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30950.2 pep chromosome:ALNU02000000:2:31173835:31178693:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30950.3 pep chromosome:ALNU02000000:2:31173837:31178705:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGSSCVVVVVEVVASFVTCLSSSPSPEKCELPPLRVRTGGFISEGPAGGVASKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30950.4 pep chromosome:ALNU02000000:2:31173835:31178693:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30950.5 pep chromosome:ALNU02000000:2:31173837:31177770:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKAMAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30950.6 pep chromosome:ALNU02000000:2:31173837:31176880:-1 gene:OGLUM02G30950 transcript:OGLUM02G30950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAVDSKRISDITEDGSMDRRGNPAVKAKTGNWRSSILLLVNYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANSISKWTGTVYIFSLIGAFMSDSYWGRYITCAIFQMIYVTGLVILSLASWFLLVKPTGCGAAGEHCDAPSSAGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPREARSKVAFFSYFYLALNVGSLFSNTVLVYYEDEGRWVMGFWVSAAAAAMALVLFLLGTPNYRHFKPTGNPLTRIAQVFVAAFRKWRAEVPRSELLHEVDGDESQIAGIRKILHSDQIRLCTVTQVEEVKCILKMLPIWLCTIVYSVVFTQMASLFVEQGTTMNTNIGSFHVPAASMSVFDILSVLAFIAIYRRVLVPVMSRLSGNPQGLTELQRMGVGLVVGMAAMVVAGVVEVERLKRVGAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSSLCMASISLGNYVSIMLVSVVTSLTAGDRRPGWIPGNLNSGHLDRFYFLLAALSLVDLAVYVACAVWYKGIKLDSNEEKANKITVHV >OGLUM02G30960.1 pep chromosome:ALNU02000000:2:31182818:31185629:1 gene:OGLUM02G30960 transcript:OGLUM02G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19630) TAIR;Acc:AT5G19630] MEWTTVEAANGAKLSVRLFKPAAGAEAAAAEDVAVVLVHPYTILGGVQGLLRGIAEGVARRGYRAVTFDMRGAGRSTGRASLTGSTEVGDVEAVCRWVADNLNPRGVLLVGSSAGAPIAGSAVDKVDQVIGYVSIGYPFGLMASVLFGRHHNAILKSEKPKLFVMGTKDGFTSVKQLQNKLKNAAGRVDTHLIEGAGHFQMEGPAFDARMVDLIVNFIKSLPK >OGLUM02G30970.1 pep chromosome:ALNU02000000:2:31190448:31190876:1 gene:OGLUM02G30970 transcript:OGLUM02G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGKKLTIVRSHEDKGSGAEEAMPARKRRGRPQKRFADKIDQVDVENFVDNVIDGEEVEGDDVKLKASRLDKNTTASAAGNKRGRQLKESSNLVLEESNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >OGLUM02G30980.1 pep chromosome:ALNU02000000:2:31193091:31196027:1 gene:OGLUM02G30980 transcript:OGLUM02G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGRSIPSALIAGSCLAFTSAALDVGGNTTRVDNGKEYYPYTVENKPAH >OGLUM02G30980.2 pep chromosome:ALNU02000000:2:31193276:31196027:1 gene:OGLUM02G30980 transcript:OGLUM02G30980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEDTPVTKTVKAAATGLAAGTIWGTVVATWHDVPRVERHVALPGLIRTLKMCGSYGATFAAVGGLYIGVEQLVLSQRKKNDYVNGAVGAFVAGATIFGYRGRSIPSALIAGSCLAFTSAALDVGGNTTRVDNGKEYYPYTVENKPAH >OGLUM02G30990.1 pep chromosome:ALNU02000000:2:31200506:31212506:-1 gene:OGLUM02G30990 transcript:OGLUM02G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52140) TAIR;Acc:AT3G52140] MAGKSKGARNKAKAQGGSQAAVAAEPEVPVTDGVEDAKPENEEVSEPAVVEGSDTGAEKEQGDAAGETQAAKKPAEGEVHLYPVSVKTQSGEKLELQLSPGDSVNDVKQFLLDAPETCFYTCYDLLLHTKDGSTHELEDYNEIAEIADITAGGCSLEMVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQEKSADTAGDSGKTANQELDGLNFMEDSTGAVINLLPSVPAEIKCVGSIVFSSFNPPPSYRRLHGDLIYIDVMTLEGNKYCITGNSKSFYVNSSNGSILDPRPSKQALEDSTLVGLLQKISAKFKKGFREILDRKASAHPFENVQALLPVTSWLGAYPVPEHRRDAARAEDSVVLSYGTELIGMQRDWNEELQSCREFPHSNPQERILRGRALYKVTCDFVDAAVKGAVGVINRYVHNNIFFSFAVDSDYEQLPKDQKPNGQNGSGRSVIGSSDPGSKPNKNHADPSGTTNSKTEEPNGVLDNTSDASAEAQIADSEQATYASANNDLKGTKAYQESDIPGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHVKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGLQHRFCVLRPELVASFIEAESTNKSTTQKVADAPGESNGQLATTAEGPAKSDENSVPRPDKFDEDSGPRPGTSDDSSATKPAEHNESTAEILFNPNVFTEYKLAGSPEEIAADEALVKKVGSYLLDTVIPKFVQDLCSLDVSPMDGQTLTDVLHSSGINVRYLGKVADMIKHLPHLRGLLSSEIIVRSAKHVVKEILRQSADHDIGPAIAHFLNCFIGNVLAPSTKGSVDSTDTKTQKGHEKTQNQKSAKGQKSSLSASSKNIVPTFSHLTSDGIWSNIKEFAKHKYLFEVTDYAKTGAKRVAVLRNLCQKVGITIASRKYDLDAAAPFQPSDILNLQPVVKHSVPVCTDARNLMETGKIRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPNHPDVAATLINVAMMYQDAGNMSTALRYLQEALTKNERLLGPDHIQTAICYHALAIAFSCMGAFKLSIQHEKKTYDILVKQLGSDDSRTKDAESWLNTFKSREQQVNAQKQKGQQGTNPPANPIELLKANPDLVRALKAAAKQPGEGSANVNRSLNAAVVGEGVPRVRGVDERAARATAEVRKKAAARGLNVRSGQAPDYMSNLSQILNYLGSAKAPTASGSTPATAAATSATASTQNASEGQQSNGPPQNGTAGNTNGPSSKKSGGSTPVGLGTSLELKKQKSKQNKIWPLQRRDDDVQYLIPEVFFYFLRFTM >OGLUM02G31000.1 pep chromosome:ALNU02000000:2:31223188:31230377:1 gene:OGLUM02G31000 transcript:OGLUM02G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48020) TAIR;Acc:AT5G48020] MDLPLVDLAPYLDRAVAGGGAAGEEAVRALCATVSASLRDTGALLVKDPRCPAADNDRFLDVVERYFARSADSKRLQERPNLHYQVGVTPEGVEVPRSLVDKEMQDKIKSMPEEFQPATPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAVGFGLPKDAFTSLMKEGPHLLAPTGSDLERHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAREQNRSLWRVSSTLFAHIASDATLKPLGHFAEASDAHGYPPICAGDYVEQELSVINLKGKNGF >OGLUM02G31010.1 pep chromosome:ALNU02000000:2:31226878:31230090:-1 gene:OGLUM02G31010 transcript:OGLUM02G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRRQGVVAIECVAGGSRAEEWGPGSSETVQTGDVVEEILIGVGGRGGPAAHAAPFKGGRAAVQRLLHSAYKRGDTSVEVRVRRPAHAQQLVATSGELLPSPAAGAATTTTARMQACIVPQEAAVGGGGAMMAVVGRSRQYVLRSIRDPNYAVGFVDRMESECIAIRGSRSSRVVCALSKAQLQDGYVAYPWEKKMREALPIPNSSSFLSMLVLPTALDRAASRYNSVEDTLARANAWILSSQSSGVPISFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAAGEMPVEITLEAGDTKLGFAISRTEEGFIYISSVMEDDSGFLAPSTRSGLRDLYREAKRASKLLVISRVSGQKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPILLHLLMWEGKSADAPARPHEPRLPPQPAPYPEFAAGLVRQDSFGGGELPRQDSFAIGELRRQGSYGVELVRQDSFGVELVRQDSFACTEPPPVRRGDLQGRDTAGDTSFRFHNFSLPNNWV >OGLUM02G31020.1 pep chromosome:ALNU02000000:2:31233327:31233842:1 gene:OGLUM02G31020 transcript:OGLUM02G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSPEPSTAAAAAAAAVAETGCSVQIRRLEATDHEKGFVALLSQLSACPDLTASEFAACFADLAALGDDHVILVAEDPTAPESRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRVVRRLVEIAKEAGCYKVILDCTPELRAYYAKCGFVEKGVQMAIYF >OGLUM02G31030.1 pep chromosome:ALNU02000000:2:31236139:31237587:-1 gene:OGLUM02G31030 transcript:OGLUM02G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKRGAGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRIDVKLNKAIWTNGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDEE >OGLUM02G31040.1 pep chromosome:ALNU02000000:2:31240210:31240800:-1 gene:OGLUM02G31040 transcript:OGLUM02G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTQEHVYRHPWDRVTAAAWRKFTDPASRTALSHVADVHTLHRRVDPEAGRLQAARSITVRSPPLPFILRRLLPAAASSPSGAALCHCVETSLVDAPRRAMDVVVRNVSLRGLIEVEERSTYRPHPERPEEWTQFRQETTIRCRPLSALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAEAAGAASSAI >OGLUM02G31050.1 pep chromosome:ALNU02000000:2:31241257:31241862:1 gene:OGLUM02G31050 transcript:OGLUM02G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISHLSRRLLSPAAETARLLPKPHPLLFLHPHRHFSAPSQPDGGGGPAPVSPESMKHQEIEGPTVERDTSPLADETRRELDALRAAVQRISGSLALLGGAHLAAGAWIASGAAPVGVGSAAAVQGVVAFAFPFTAALVLRRAIKPIAFFQKMEANGRLQVLTLCLQATKNVNLMLLRTRVVAISCALGVSVGSVATILMR >OGLUM02G31060.1 pep chromosome:ALNU02000000:2:31245622:31245801:-1 gene:OGLUM02G31060 transcript:OGLUM02G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASAATVAFAAEAPAPAPTSAAPAAVAPAIGAALGATVVSFFAYYLQ >OGLUM02G31070.1 pep chromosome:ALNU02000000:2:31258897:31259106:1 gene:OGLUM02G31070 transcript:OGLUM02G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAVLLAVAAAVAVMFASVASAQVDGGVPPAPAPVTGAAAGGAASAALAVACSAVLSILVAGGIMH >OGLUM02G31080.1 pep chromosome:ALNU02000000:2:31267255:31270894:1 gene:OGLUM02G31080 transcript:OGLUM02G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >OGLUM02G31080.2 pep chromosome:ALNU02000000:2:31267586:31270894:1 gene:OGLUM02G31080 transcript:OGLUM02G31080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQANQPTVLQKFGGQFHLGSSFSEGVRARNICPSVSSYDRRFTTRSYMTQGLVNGGINVPMMSSSPIFANAPAEKGGKNFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFGRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFGGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGSLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >OGLUM02G31090.1 pep chromosome:ALNU02000000:2:31273426:31276367:1 gene:OGLUM02G31090 transcript:OGLUM02G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAISCSKGIAAPPTEEATKERVAVVGKNGGVEHGGDAATMNGKQCGEAPHCRKESNEEEEDDEEKAPKAIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLAILSPGRPDIFLPLPVEPNAKGVWFTLKEGSLYKLKFTFSVSNNIVSGLRYTNAVWKTGIKVDSHKEMLGTFCPQPEPYTYVTPEETTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPALS >OGLUM02G31100.1 pep chromosome:ALNU02000000:2:31279102:31283955:1 gene:OGLUM02G31100 transcript:OGLUM02G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDPSLQGQFTQVELRSLKAKFLSLKRDSGGHATTKNLPGLMKKLRGLNEVISEEEIAAHLSQSYPDADQEIEFESFLREYLNLQSRVSAKEGGGGGGGGGKTASSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLKKYLPIEPTDNQLFDLVRDGVLLCKLINLAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVSNFSTDVKDGEAYAYLLKALAPEHSPETTLETKDPSERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTRQVTLNQTASRDDVLLSREERSFRMWINSLGVDSYVNNVFEDVRHGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNMLQLLNRLRSHSQGSQGKEITDADILKWANSKVKASGRTSQMESFKDKSLSNGRFFLELLSAVQPRVVNWKVVTKGEDDEEKKLNATYTISVARKIGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSETSEQSEPSSMASDAASDIASEDAASITAASEGEEVNSLSDSMSNLTTYDAISNAPPAENGNGVAG >OGLUM02G31110.1 pep chromosome:ALNU02000000:2:31290292:31290705:-1 gene:OGLUM02G31110 transcript:OGLUM02G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLHQDRFNGAAIKLLSSAMAVHGYGGGGGGGDDTASRVCTVCLLEFADGDELRTLLLYAHSFHMDCIDVLLRAHASCSLCRNAIALPSPMCTARACARASMTSSSSTPSRNHSTSMPTAAAPACSRPAAAALPLL >OGLUM02G31120.1 pep chromosome:ALNU02000000:2:31293668:31294153:-1 gene:OGLUM02G31120 transcript:OGLUM02G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVLSQSSFRSPTPSSRCPLPPIPVVVSTFLQTLAIALRRCSVTALRRCIAVEIGYGSTRSGTITTTFASAAALHSRPCICLEGHQIRLALSVSGRQGPATAVHHFILPRRGRASSGLLVSAAAFPAGRSAFPAGRSTFRRRTPTEARCQGWVGGGGTGG >OGLUM02G31130.1 pep chromosome:ALNU02000000:2:31296139:31300339:1 gene:OGLUM02G31130 transcript:OGLUM02G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEQDLHMSRGDGETSYAANSRLQEKAILKTRPLLHKAVEEAHASLSGLSRAPADGKMVVADLGCSSGPNTLLVVSEVLGAVANRSSCDHKSSLVADVQFFLNDLPGNDFNLVFQSLELFKKLAEKEFGKALPPYYIAGLPGSFYTRLFPDRSVHLFHSSYCLMWRSKVPDKLASGEVLNAGNMYIWETTPPSVVKLYQRQFQEDFSQFLALRHDELVSGGQMVLTFLGRKNRDVLRGEVSYMYGLLAQALQSLVQEGRVEEEKLDSFNLPFYSPSVDEVKAVIRQSGLFDISHIQLFESNWDPQDDSDDDDVATLDSVRSGVNVARCIRAVLEPLIARHFGRCIVDDLFDMYARNVAQHLEQVKTKYPVIVLSLKAIR >OGLUM02G31140.1 pep chromosome:ALNU02000000:2:31304996:31313352:1 gene:OGLUM02G31140 transcript:OGLUM02G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSPETAVFAWLRSNGSINNRVFLTGWTPYPINTPPHPFLSPFTTDPHHHHHPDGSTLRPWPQSAASSGALPPLGITVDRRPPPPATIAAAPTTTGVVRRPTPSSLDASDASLHSENSEWNNEALGIPSANVVMDRPQDPFNSRGIMTGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFANNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPTLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >OGLUM02G31140.2 pep chromosome:ALNU02000000:2:31304996:31313349:1 gene:OGLUM02G31140 transcript:OGLUM02G31140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIMTGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFANNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPTLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >OGLUM02G31140.3 pep chromosome:ALNU02000000:2:31304996:31313352:1 gene:OGLUM02G31140 transcript:OGLUM02G31140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEIRETPNKKHHKFIEFYDVRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDIDQDEPRSYRIPHVGSPIASSPPGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFANNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPTLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >OGLUM02G31140.4 pep chromosome:ALNU02000000:2:31304996:31313352:1 gene:OGLUM02G31140 transcript:OGLUM02G31140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHLLSGAWAQYSSPTDNNLLQAFNASPTGNGMSPIGMPPSLISNAVKIAPIGKDSNWSKYDKVFANNNQPHGAAFQHSHSYQDHKSEHMSSSPGTLTGPEFLWGSPKPYSEHAQSPIWRPPAIGHAIPSNSRSQGQGLLYGGRQASLFGSQDQLHHHHVGSAPSGAPFESHFGFLPESPETSYMNQVRFGNIGNIGSGRNGTGLMLNMAARASVNPVSALSGNMSDNNSSSFRPILSPTLGQSFYGNPTYQGPGSFGLDNSIERGRNRRVDSSVFQADSKKQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDEFHKGTYDFFYLPIDFKNKCNVGYAFINMISPVHIVSFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHSNGPDAGNQEPFPINGICIHMPLEDGAIATGDPFGNEEDNNQNERTAGEEL >OGLUM02G31140.5 pep chromosome:ALNU02000000:2:31304996:31310559:1 gene:OGLUM02G31140 transcript:OGLUM02G31140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPQDPFNSRGIAVPPAVKMRNMAGNNSPWIDPLPPPMNARNGLANASLFSTSLPVLPHEKINFLDSARGTPLMDDASAKLKELDDDPEGKDYKFDFDLRQIDDLLPNEDDLFAGITNEIEPAGQTNSMEELEEFDVFGSGGGMELDTDPVESITAGLGNTSIADGLRGNGVNHFGPSNSASTVAGEHPYGEHPSRTLFVRNINSNVDDTELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRAARGAMRGLQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGTYGEVKEVRMLLPIFPFEICFCLKIACSYVLRILRFCFLEFNLMVLVSIHR >OGLUM02G31150.1 pep chromosome:ALNU02000000:2:31313851:31331108:1 gene:OGLUM02G31150 transcript:OGLUM02G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >OGLUM02G31150.2 pep chromosome:ALNU02000000:2:31313851:31331108:1 gene:OGLUM02G31150 transcript:OGLUM02G31150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >OGLUM02G31150.3 pep chromosome:ALNU02000000:2:31313851:31331108:1 gene:OGLUM02G31150 transcript:OGLUM02G31150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKKTAHVLMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >OGLUM02G31150.4 pep chromosome:ALNU02000000:2:31313851:31331108:1 gene:OGLUM02G31150 transcript:OGLUM02G31150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAASPPASLGKRRRRGGAGGGDGEGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAVVTEGAVRPKDLFPGEAVRALVEDLGLNRARDPAAMGFRPPKASIADRLMLTKRKMEEVKESPVQPTTSTAQTITSSGTADFQGFHGAPKFAVGVPRNLPAVAALPATAPVTSASLVTLKPPGSSPVKSVNNPSVVTMPHTAPSHLKSDKGVNGPPNLVRTGATYGNLNKSFHDTCARSNLNAVQSSNPVAKNQDTKTASIDATAGNPLTGHHATPSVAPVPPKPTFANHSEIAKSVQRVLHQPANHPSWIPPSTEYMRSRLDCQICKVAIMDMESLLVCDACEKGAHLKCLQHYGNKGVPKAEWHCPTCLTKSKGKPLPPKYGKVTRTAVEPKATPPPAGTQVSSQGAAENIAVKENHQKVAVNGNLLNQNSTQAGSVAQSSTVLALGVTAAVAQSQPLSISRLPEGNLNNDAALSSEKTGNVGPCSSIAHRNEKPPDELQSSGLPANSKTGTQSGKSPNEEVSSVLVSGSADSTNDTLHEQKSHEISGEKCSDNSSIVASEANIKSKADSELISGRDVEMVDNDIPPKDQTNNIATEDKPSTQETSEAHKMEVVEVSANTGIQISQGGSAGTEENLHTEVTSVPHMINDVAMATNAGTPICPSNNVAIEEKPKSTVISEVCTTKDTEMTTDATLDQNTNVATEEIPLPESISATEDADMTTDTGIPTNQRQEVNGLAENGRKEHPLGETDKHKSDHSTMPDMSTAPQITSNGVMHSKDEAACGHEGEIVDISAAATEENN >OGLUM02G31160.1 pep chromosome:ALNU02000000:2:31321814:31322543:-1 gene:OGLUM02G31160 transcript:OGLUM02G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTTVCHHPSTIPRVRSSLLRHSVVPRAYDSFDDMAIVSVTTATAAAGVLILVVAAGAAAAAGARRHHVVGGDPGWAVASDVLAWSADRLFTVGDTLWFASAAEDGGVAEVGGEEEFESCDAGSPVRMYTEGLSRVDLGGEGSRYFVSADPDKCGGGLKLRVDVRAPVAGTTPPPGSSRKGDRAAAPAPAPLASSGGRGVATSRTCVMLCCLLFLAI >OGLUM02G31170.1 pep chromosome:ALNU02000000:2:31332121:31332984:1 gene:OGLUM02G31170 transcript:OGLUM02G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKKATAVLDEAARARLRGPFASGAASLRRDQDDDDDDLLVDLVHEFYDDGERGADATARGGVSSSPEPEPTEWKDALREALADATSDAAAARIRAEAERAVRDAVRNGGDVIRKRVVERLRARGFDAGVCRSSWERTGSVPAGSHEYVDVTAAAAATGRRARYIVEVNVAGDFEIARPSAEYQDLLLSLPPVLVATPEAFRGVAAAMCAAAAESIRGAGMHLPPWRRARYVQAKWSAPYERVAAAAPPEGARTAPSGGRKRCGMEIGRREMAIGKERLVPFFRGL >OGLUM02G31180.1 pep chromosome:ALNU02000000:2:31334896:31340420:1 gene:OGLUM02G31180 transcript:OGLUM02G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLTLILLSGLGFLPRHADAARGYVTVSTSSFAVSSTCADELPGRAASPRNGTSAVLRLTHRHGPCAPAGKASALGSPPSFLDTLRADQRRAEYIQRRVSGAAAAAPGMQLAGSKAATVPANLGFSIGTLQYVVTVSLGTPAVAQTLEVDTGSDVSWVQCKPCPSPPCYSQRDPLFDPTRSSSYSAVPCAAASCSQLALYSNGCSGGQCGYVVSYGDGSTTTGVYSSDTLTLTGSNALKGFLFGCGHAQQGLFAGVDGLLGLGRQGQSLVSQASSTYGGVFSYCLPPTQNSVGYISLGGPSSTAGFSTTPLLTASNDPTYYIVMLAGISVGGQPLSIDASVFASGAVVDTGTVVTRLPPTAYSALRSAFRAAMAPYGYPSAPATGILDTCYDFTRYGTVTLPTISIAFGGGAAMDLGTSGILTSGCLAFAPTGGDSQASILGNVQQRSFEVRFDGSTVGFMPASC >OGLUM02G31190.1 pep chromosome:ALNU02000000:2:31338611:31340093:-1 gene:OGLUM02G31190 transcript:OGLUM02G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSPLLLLLLCSYHSVVAHAGDGQSYKVLELNSEAVCSERNAISSSLSGTTVALNHRHGPCSPVPSSKKRPTEEELLKHDQLRAEHIQRKFAMNAAVDGAGDLQQSKVSSSVPTKLGSSLDTLEYVISVGLGTPAVTQTVTIDTGSDVSWVQCNPCPNPPCHAQTGALFDPAKSSTYRAVSCAAAECAQLEQQGNGCGATNYECQYGVQYGDGSTTNGTYSRDTLTLSGASDAVKGFQFGCSHVESGFSDQTDGLMGLGGGAQSLVSQTAAAYGNSFSYCLPPTSGSSGFLTLGGGGGASGFVTTRMLRSRQIPTFYGARLQDIAVGGKQLGLSPSVFAAGSVVDSGTIITRLPPTAYSALSSAFKAGMKQYRSAPARSILDTCFDFAGQTQISIPTVALVFSGGAAIDLDPNGIMYGNCLAFAATGDDGTTGIIGNVQQRTFEVLYDVGSSTLGFRSGAC >OGLUM02G31200.1 pep chromosome:ALNU02000000:2:31345661:31349890:-1 gene:OGLUM02G31200 transcript:OGLUM02G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXI4] MSSRGGEDDDHASLLRSHPAAGAGAGSGSSSPCPSPRAVGGHHHNPHADVEAVGEATVTASPRRSGGVRGLLRHLDRRLSARGSGGRRSQQPPQQLDRPEPSPTSSPQQRERVGEELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVSISLVLLTDWKLDYPLQVESTGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKLPQKQGINFLAAIFPTVNAVQAAVTLGTGCSLGPEGPSVDIGKSCANGCSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVISSTVSNVLLGERPAFIVPAYELKSAAELPLYLILGMLCGAVSVVFGRLVVWFSNFFGYLKERYDFPIVVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGYLINSAVPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSKDGEPSRFRTPRRGYSSLSSEDRNSKSWRRGDSVNDLELTSLRTDNYDTYNEEMLLDDLKVCRPLILIVNGLADAMVSQAMSKSYVKIPTSAMVTEALKLLHDKQQNCGLVVDCEDFLEGIVTLGDIRRMGFELHGDSFTSGDQLKPAVKTKQFTQAGKECVLINGDVAGKFFNHIIVSYSRIPARGIKQLPVVKRGVGHRTEGKRKLIALLHYDSIGHCLREEIENWKAIYQIKEDFHLIANGH >OGLUM02G31210.1 pep chromosome:ALNU02000000:2:31357291:31363530:1 gene:OGLUM02G31210 transcript:OGLUM02G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLHLLAFSLLFAVATPIRGITDVCSSQIKDFQYLNSTGLHLELHHPRSPCSPAPVPADLPFTAVLTHDDARISSLAARLAKTPSARATSLDADADAGLAGSLASVPLSPGASVGVGNYVTRMGLGTPATQYVMVVDTGSSLTWLQCSPCLVSCHRQSGPVFNPKSSSTYASVGCSAQQCSDLPSATLNPSACSSSNVCIYQASYGDSSFSVGYLSKDTVSFGSTSLPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFTYCLPSSSSSGYLSLGSYNPGQYSYTPMVSSSLDDSLYFIKLSGMTVAGNPLSVSSSAYSSLPTIIDSGTVITRLPTSVYSALSKAVAAAMKGTSRASAYSILDTCFKGQASRVSAPAVTMSFAGGAALKLSAQNLLVDVDDSTTCLAFAPARSAAIIGNTQQQTFSVVYDVKSSRIGFAAGGCS >OGLUM02G31220.1 pep chromosome:ALNU02000000:2:31365658:31366483:-1 gene:OGLUM02G31220 transcript:OGLUM02G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELPAAAPPQPKRSTAAAAVARRRIGRELWQFWLDPPPYCRPGPSPVKDHFHWEVVIDGPAATPYAGGVFPVDVWFPYDYPFRPPKLFFKTKVYHPNIDGRGRMALDIFQDNWSPAFTISKLLLGFVSVLFDPLLDHPTNRCIAKQYKHEYEVYEEKARAWTQKHSSTPIVSHYPPYAVIGSTPPAVPHFPATAARRKAAASSASGSVSSSRIPLLMKDESIWRRTMKFFQG >OGLUM02G31230.1 pep chromosome:ALNU02000000:2:31371412:31371940:-1 gene:OGLUM02G31230 transcript:OGLUM02G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQIYNRKSGTSWYLLKDRRIALKTKVYHPNIDDEGQMVVDVLHGYWLAGFTIHRLLLGFVSILYDPLLDYPINNDIAEQYENEYKLYEKEAREWTRRYSSTPIASHWLPKAMRTPPAVPHIPATAERREEKKAGRCI >OGLUM02G31240.1 pep chromosome:ALNU02000000:2:31376904:31379177:1 gene:OGLUM02G31240 transcript:OGLUM02G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQSIRGVSGVEMKWESAGGQRNCQQLGGGVFGGDAHHLFDEMSTCPRGDSAAVLHVTVSQIIYPVTYEVLHQVYDTYGAVAVQVLAVSTWQVKALVSFMSSHDAERARSATHSRDIYNGGCLLDVQHVQMFPGDGATATHTTCLTMVPSSATARPAAKSIAAAPERVFPATTASSVSSITSAAMVTSVPFNKTKKAETDMDKAVENLDKTIQDLCTKIDRMLEAFRHTKVDLSLSKDSTRDVAALSANTDPTSIALEVNTEAGSTNHVDIAKLGMGTTIECSMKCENQLANDDGGKDMAKEEWMELMEVDTKFTTMYLCFRDPLLIINAIPPRNWSWCLSRDYFRVVGLSFVSLKLEVLYGCFDRSSEYTASPPPVPPWRAAIPWNKAEMTSGSRPLPWPDPQLCQGSGGVVVKLLQPWPPLIQTSVQAEIETLNLYGESHQVSLNYSVAQFMSRTINSTEGLLQNLIVGWCIWYEICLSGAFRKAYQHTHHSTYGWAYGDHELFLLLMLISHPSPDAWCDCLFSGANVDGNCTCKSHERRTHRHARCYTIPISFQVQSNNNDVLDDTSWTQFGSNNGFQQESWSTCNLGLLLRNGGVRYSVCEQQEGKGSIFLGRKLMLWTNVKVIRREYTNKVLWLSVANSWDLIWAVLQQLLCTSELILQWNQHGQTHELLLQREQLKLGAVHLSLEASTFSKNSRGIELNQYKTLWRDIRRLQVVYTISFLISMYKQ >OGLUM02G31250.1 pep chromosome:ALNU02000000:2:31381973:31383207:-1 gene:OGLUM02G31250 transcript:OGLUM02G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVPEPEPQPELSTAAARRQDEERKTALRIIRNELRLLWRDPPPYLRPGPEPVTDPFHWEVVIDGPAGTPYAGGTFPVDIQLPAAGYPFVHPKVTFKTLEGNMVLDAESWSCATKLRGLLIGFVSVLYDPLLDYPINYDIAEQYAYDYERYEAEARAWTREFSSAPVVSHYPPNAVVGRTPPAVPHFPAMAARRRAEAEARRRAAAAAASSGSGESLWRRVIGYIQSWSPYRLLHTD >OGLUM02G31260.1 pep chromosome:ALNU02000000:2:31384148:31391009:-1 gene:OGLUM02G31260 transcript:OGLUM02G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPPSSIFSLFRSFSHGHGLTFTLWADLPSPPIDLQLRPRRRREGAPRARWWAASSSPSPRLRSSLLPPVRRRRRSRARGRARGARRAGLLTPRIRRRADRIEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFNVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >OGLUM02G31260.2 pep chromosome:ALNU02000000:2:31386022:31391009:-1 gene:OGLUM02G31260 transcript:OGLUM02G31260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPPSSIFSLFRSFSHGHGLTFTLWADLPSPPIDLQLRPRRRREGAPRARWWAASSSPSPRLRSSLLPPVRRRRRSRARGRARGARRAGLLTPRIRRRADRIEDHIEEMEDDYDMDDTADDMGEENYERGMRDSDSEDEEHGQSNDKIPDTSSADARKGKDIQGIPWEKLAITRDKYRQTRLDQYKNYENMPNSGEAAAKECKPTEKGGMYYEFRRNTRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVLHWSALSGLDTELMNVQGHVAPREKHPGSLLEGFSGTQVSTLSVKDNLLVAGGFQGELICKALTRRHHLAADAYATAPEPWPIPSLARCCCCPPAHCLLLICASRPQMRAAAPPTLVLVAARRRAQSPVAVGDVADKERRRAESVRRERTRTETDAALGDAV >OGLUM02G31260.3 pep chromosome:ALNU02000000:2:31384148:31385404:-1 gene:OGLUM02G31260 transcript:OGLUM02G31260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLDREGISFCCRTTYDENAITNAVEIFNTTSGAVHFMASNNDSGVRDYDMERFQLYKHFQFEWPVNHTALSPDRKLAVIVGDDPNGLLIDANSGKTLHSLKGHFDYSFASAWSPDGRTFATGNQDKTCRIWDARNLSKSLHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVFNVGSDYTRRQELDFFGEISGMSFSPDTDMLFVGVWDRTYGSLLQFGRLYNHSYLDSLC >OGLUM02G31270.1 pep chromosome:ALNU02000000:2:31394946:31395527:-1 gene:OGLUM02G31270 transcript:OGLUM02G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVPGDTTALAEAADDGRESGDGGAEAARHHLVERLLGLVGGALPAEALHGGGVGLPQRRRRCHQGRHHGGGSLVYDPVADDYRNTDGVVTRVQPPPALARQPSRLRRRWLPRAAAAAATGSRASLAPVSRHTAAARRREEREREGEDEERMIYVGPTCQWVPPTLSVDDKWVPHFFLFLMPPKRHINATWK >OGLUM02G31280.1 pep chromosome:ALNU02000000:2:31396809:31398615:-1 gene:OGLUM02G31280 transcript:OGLUM02G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRGLLLLVVSAAVLVGLASASPFISDSVFLGSVGSTGRSLLQAKKNCPVNFEFQNYTIITSKCKGPRFPAKQCCDAFKEFACPFNEYINDESNDCASTMFSYINLYGKYPPGLFANECREGKLGLSCDGVSQKDSVVSSAGQQAQSSLLAFIMLTFGLAALWFH >OGLUM02G31290.1 pep chromosome:ALNU02000000:2:31400591:31406132:-1 gene:OGLUM02G31290 transcript:OGLUM02G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDSSQLPEFLGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSLPERISYTSNAESGSDVDDLGSPTGQEDFEYHSLAPVHEEARESGSTCSGSDDKVVETNTRYNPPGNGSGQYSARQNPSINRVSPEPGHVPNDGEGNADHGILKYISKKVLGVILEVLSFLRIFIRHRQQLENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRRRKFCT >OGLUM02G31290.2 pep chromosome:ALNU02000000:2:31400591:31406132:-1 gene:OGLUM02G31290 transcript:OGLUM02G31290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPERHDDYHTMLRFLKARKFDVEKAAHMWADMLHWRKDFGTDTILEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPSKLVQITTVERYIKYHVQEFERAFREKFPACSIAAKKHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQHRLLEAIDSSQLPEFLGGSCTCSSQGGCLRSNKGPWSDPLIMKLVHCMESSALKDIGQVSDIEEAITGSEDFEYHSLAPVHEEARESGSTCSGSDDKVVETNTRYNPPGNGSGQYSARQNPSINRVSPEPGHVPNDGEGNADHGILKYISKKVLGVILEVLSFLRIFIRHRQQLENVPQHTTTVHSNQADLQIIKEDRVNPCLERLERLETMFNQLSRKPPEIPQDKDRAIQDSFDRIKCIEFDLEKTKKVLHATVIRQMQMAETLEAVKESDLRRRKFCT >OGLUM02G31300.1 pep chromosome:ALNU02000000:2:31408043:31414665:-1 gene:OGLUM02G31300 transcript:OGLUM02G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQGIMKTIYVNRMVKAGCAVKIVMADWFLQRHYKIGNNLSKIRNIGYLNIEMWKAAGMDLDRVELVWLSDELNLHAVDYWPVAMDVSRRYTMTRIARIFWSNAEHGPQILPAAEIIYPCMQVASILCEKTNIWLFSMDQRDIIMLTRDYCENINWVNKPTILLHDALPNLLEDPEYVDLRDRGRTIFMHDEEHTLNSKIQRAFCPPKVVVHNPCLEYIKYIILPWFGNLEVVQNEWNGCTKTFVSMEELSVDYERGYLNSADVKMALEKAINNILEPVRDYFSGNTKAQALIMACQLQNEITGDVLKIQMQNKEMRHHRQYVLNF >OGLUM02G31310.1 pep chromosome:ALNU02000000:2:31416139:31419585:1 gene:OGLUM02G31310 transcript:OGLUM02G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTAPPPRITVSFQHGSFPLPSAWWRHPLQQPPGHRRPMKASPLDGKGLRWRWRSWHRPPMLMQEMVITMVSADDCVYSLLPMVPIALSDPGTALPDANSYFSISIHPVEGRCVLKHYQNRGSEKQWVSTSIYYLALNSLEDDLGEDPIELDSPEDALGKDPIELHGQISMEIHMPPLMMKFQKDYRCEKQIGKGSEGRVYKCTSRFSPHCFAIKEVESSELTMASTHCEPTDVSTLALLDHVNIVDLYSAWIEKKKSFGSVTNVIYICMKECARSLSEYLNKRQELGLQNEHNMFAQLIDSLIFMHRHGIVHRDVKPGNILLEENFTVKLADFGIGMNHHLHMFNIIQVTTDADNSVFSFSQKKKQPPYIIDFHGGWYGSLPYRAPELVNSYDRHNEKVDIFSIGMVYFELLMPGKRERKRKLNKLSETIRRKKQEYDQPDIEFDLDSALEGTDVLTDWKGDYNLLKKMTSPNAWERLSAVEIKEHFSSHKEG >OGLUM02G31320.1 pep chromosome:ALNU02000000:2:31420791:31423567:1 gene:OGLUM02G31320 transcript:OGLUM02G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXJ9] MAKGRIFSALLMVFLVLAPHCKAFYLPGSYMHTYRQGEEIWAKVNSLTSIETELPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLDEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGVTIQWTGYPVGYIPEGSNEVYIINHLKFKVLVHRYEGGKVKVVGTGEGMEVISETETDAKSGYEIVGFEVVPCSVKRDLEAMSKLKMYEKVDPTSCPVEMEKSQLIREKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGAKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGTSEGWRSVSWSTACFFPGIVFVVLTVLNFMLWSRNSTGALPISLFFTLLSLWFCISVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPAKNYSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWCWWWKAFFASGAVALYVFLYSINYLVFDLRSLSGPVSATLYIGYAFVVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >OGLUM02G31330.1 pep chromosome:ALNU02000000:2:31428207:31434083:1 gene:OGLUM02G31330 transcript:OGLUM02G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRFYLGEKSQWFCGFFLNINFFGSGVVAIQKANCYHREGHDAPCSVGGDAYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRWLAVRAFSAACLATGAFASVGSAVGVFSSKTS >OGLUM02G31330.2 pep chromosome:ALNU02000000:2:31427857:31432095:1 gene:OGLUM02G31330 transcript:OGLUM02G31330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRTTNQSNSHQIALQTSAMGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRFYLGEKSQWFCGFFLNINFFGSGVVYTLTSATSMRQELKVLLRSLQPLGYMRYSS >OGLUM02G31330.3 pep chromosome:ALNU02000000:2:31428207:31432095:1 gene:OGLUM02G31330 transcript:OGLUM02G31330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGEGDGQTEPLLEKLSNSSSSEIDKRTGTAWTATAHIITAVIGSGVLSLAWSVAQLGWVGGPAAMVLFAGVTLVQSSLLADCYIFHDPDNGVVRNRSYVDAVRFYLGEKSQWFCGFFLNINFFGSGVVYTLTSATSMRQELKVLLRSLQPLGYMRYSS >OGLUM02G31330.4 pep chromosome:ALNU02000000:2:31431125:31434083:1 gene:OGLUM02G31330 transcript:OGLUM02G31330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDIFYGFCRAIQKANCYHREGHDAPCSVGGDAYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEQWRVFVVKGHAEVAAAGERDDEDGVEGEHRGDHLLLPLLRVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRWLAVRAFSAACLATGAFASVGSAVGVFSSKTS >OGLUM02G31330.5 pep chromosome:ALNU02000000:2:31431125:31434083:1 gene:OGLUM02G31330 transcript:OGLUM02G31330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDIFYGFCRAIQKANCYHREGHDAPCSVGGDAYYMLMFGLAQVVLSQIPGFHDMAWLSVLSAAMSFTYSLIGFGLGVAKVITNGVIKGGIGGIAMVSATQKVWRVSQAIGDIAFAYPFASVLLEIEDTLRSPPPESETMRTASRASIAVAVPAAVAWPSRWRRGCRVNVYRLCFRTAYVAATTALAVWFPYFNQVVGLLGAFTFWPLSIHFPVEMYLVQKKVAPWTPRWLAVRAFSAACLATGAFASVGSAVGVFSSKTS >OGLUM02G31340.1 pep chromosome:ALNU02000000:2:31434696:31437232:-1 gene:OGLUM02G31340 transcript:OGLUM02G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDVSKVLSVTLDDWSDSDIDSMLEIGGNSYANSIYESFLPKDHPKPKMDSTMEYRTKFIRAKYETQDFLKPSLRITSKGSFDATNAVKSVTSSISSASGKHVADDTREFVGELNITVVRGIQLAVRDMLTSDPYVVLTLGEQKAQTTVKPSDLNPVWNEVLKISIPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAVMAFGDPSRVGDMQIGRWFMTKDNALVKDSTVNVVSGKVKQEVHLKLQNVESGEMELELEWVPIP >OGLUM02G31350.1 pep chromosome:ALNU02000000:2:31447072:31451711:1 gene:OGLUM02G31350 transcript:OGLUM02G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRYMAYSPSPSTTPHSPRIPGLRAASSAVADQEKYLAELLAERHKLSPFIPVLPNSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAAADMNGWTSAFQSEGSPAYSWRGGSQGSSSGLIVKKTMKVDIPVDKYPTFNFVGRILGPRGNSLKRVEATTDCRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEAELPVEIIDTRLIQARDILEDLLKPVDESQDFFKKQQLRELAMLNGTLREEGMQRSGSASPFHNSLGMKRAKTRG >OGLUM02G31360.1 pep chromosome:ALNU02000000:2:31451785:31454431:-1 gene:OGLUM02G31360 transcript:OGLUM02G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDLQKQLGTIVVAPLAKEGKRIEASLGRTMEKSIKANLDALWVRIQEENAKREKAERERMQQMITLITNSISKDLPATLEKSLKKEISSLGPVIARAITPIIEKCSASAVADSIQKVVGDRVVNQLDKSVSAKLEATVARQIQMQFHTSVKQTLQDALRASLEAFLVPAFEQSCKTMFEQVDSAFQKGMSEHTVAIQQQVEAAHTPLAQTLKDTISSASSITQNLTAELLDGHRKLLALLASGNAKAHSTNVLQPNNVPVTGPPEVEAPLDPMKELGRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLRALCSMVPVPLNQGVLLALLQQLAVDIATDTPRKIQWMTDVAMAINPTDLVIAMHVKPIFEQVYNALLHLRSLPTTSPSDSTSIRLFMHKVTGSLHQMLRLKKASKYMHQMKHPDCDCTKGKVCIR >OGLUM02G31370.1 pep chromosome:ALNU02000000:2:31482527:31483795:1 gene:OGLUM02G31370 transcript:OGLUM02G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRTSRARLADAALFTAGAVLGSVLLLTLASPFSSSSSPSSGVGSGEVDRLGGGRTFYDDPGVAYTIDRPIVGWDEKRAEWLRAHPELAGGGGERVLMVSGSQPEPCGSPAGDSLLTRLLKNKLDYCRLNGVQLLYNTALLRPSMDRYWAKIPVVRAAMVAHPEAEWVWWVDSDAVLTDMDFRLPLSRYRDHNFVAHGWPHLVYESRSWTSLNAGVFLIRNCQWSLDFMDAWAAMGPDSPEYQHWGAVLTSTFKDKVFNESDDQSALVYMLLQSGSPWRDKVYLESDYYFEGYWLEIAGRLGNITERYEAMERGAAPLRRRHAEAEHAAYAAARDAALAGAGLAESGVSGWRRPFVTHFTGCQPCSGHRNEHYTGKSCDEGIRRALSFADDQVLRAYGFRHAGPLSDAVSPLPFDHPTQTA >OGLUM02G31380.1 pep chromosome:ALNU02000000:2:31484709:31490389:-1 gene:OGLUM02G31380 transcript:OGLUM02G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTGNPNPNPNPSTPFEVSMLFKPPSNAPAAAPIFPGGPAAGPPPPSAAYSYPPATPPFHRAPFLHYPQDPMAAPHMPRPVISFPMPTPNPAAIPSAAAAAGPSQNHGARLMQLLGSSGPAHLDSSASMPPPASEFAAAQPPQPIPAMPSAPPARMLSSTSSKMPRGRLLGGGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEDSKPQITGKIEIAIQIVGDAESYHPRICWHSHKQEILFVGIGNCVLRIDTTKVRRGRDVSTEEPIKCHLDKLIDGVRLVGSLRQNSSANIPHVNIDSDSDSEGFVEELPRVNSKTNGKAASESLKTGGKASSKGQTGKGGNAGKGGKGSASARVSAKSDAELKLELDMPPNSCILMNCEVAELLQEIHEHMAILSEDPKIKIPESFDKAFQYVKEGNQFSTAQSVKQQANWFFSSLTSIRSPLRKYGVSDGEMCLIANVGPETIEEVYALVPSLKATRSLNESPIMEALTALADIKAAK >OGLUM02G31390.1 pep chromosome:ALNU02000000:2:31499481:31501440:1 gene:OGLUM02G31390 transcript:OGLUM02G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXL0] MPRRTADDDDDGAGAIHGVFRLPTAGDGGEAAESDQHRSSPRAHPLLRRPHRRQQPFYPKARFRSLIILHTYCFNFREILRYLRRSSLTTATVTADRGGGGGGHGRRRSLFVKVYMEGVPIGRKLDLLPLDGYKGLVARLASMFRASITYHHCHRQFAVVGMKTNKVHHVLTYEDQEGDWMMAGDVPWEYGKLAQL >OGLUM02G31400.1 pep chromosome:ALNU02000000:2:31501539:31502260:-1 gene:OGLUM02G31400 transcript:OGLUM02G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGRRELGVVLGRLLAAGHFNSVAGTGCLGGCPRAVAGQTHARAMMWEADLRRMARSAIPTMTVPSLVLGSPNHSGD >OGLUM02G31410.1 pep chromosome:ALNU02000000:2:31502868:31506760:-1 gene:OGLUM02G31410 transcript:OGLUM02G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSSKDTDDDHEPKQQEKKLPEHLEVQRTRVVCKADAPVNTEGFQYAGAFSAMGIDNSVSAEKFCKNFKVEISRLTEDDMEFDMIGIDASIANAFRRILIAELPTMAIEKVLMVDNTSVIADEVLSHRLGLIPLDADPRHFEYMSENDVPNERNTIVYKLHVSCKKGSPWLTAQACIVFPRMLIDIFYRIKFSHSFLCTVKSGDLEWLPEGSRLPLASPAQSRDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGMGKVHAKWSPVATAWYRMFPEVVFRKEIKGDNAEKLVKKCPVNVFDIEDLGNGEKRAVVARPRACTLCRECVMGPSGEQVELRRVRDHFIFTIESTGGLPPEALFTEAVRILEEKCERVISELS >OGLUM02G31420.1 pep chromosome:ALNU02000000:2:31506506:31509705:1 gene:OGLUM02G31420 transcript:OGLUM02G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin structure-remodeling complex protein BSH [Source:Projected from Arabidopsis thaliana (AT3G17590) UniProtKB/Swiss-Prot;Acc:P93045] MKTVSLGAARPSSVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPCDPDSEIISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVVTNEEVGVTDATEEINSREGEH >OGLUM02G31420.2 pep chromosome:ALNU02000000:2:31506506:31509407:1 gene:OGLUM02G31420 transcript:OGLUM02G31420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin structure-remodeling complex protein BSH [Source:Projected from Arabidopsis thaliana (AT3G17590) UniProtKB/Swiss-Prot;Acc:P93045] MKTVSLGAARPSSVKFRMPTRDNLVPIRVDVEVDGQRYRDAFTWNPCDPDSEIISFAKRTAKDLKLPANFVPQMLHSIQGQLAEFRSYEGEEMQIREKIVPLKIDLRINNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSAMREAARVSKKGRRAPEFASNSKAMNNSLDLFKYFGSKGSVVRKRKEWYLYEPVVDVVTNEEVGVTDATEEINSR >OGLUM02G31430.1 pep chromosome:ALNU02000000:2:31518008:31518548:-1 gene:OGLUM02G31430 transcript:OGLUM02G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWAGKFILEIDKVTRGASLLTAWMVHHSAICISATVPLRLLEGTVEKNRETLVLSTRHLGHVDVEYPALAAKHP >OGLUM02G31440.1 pep chromosome:ALNU02000000:2:31535177:31540458:1 gene:OGLUM02G31440 transcript:OGLUM02G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNSSGSFHGLYQYTYISPTLTKEWKQTHHYPGRILLNSTVEQKARKVAVLVALSASGSAPVLYRTMDALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCVGQPAAVRCLEENTSLCQNCDWNGHGAASSAAGHKRQTINCYSGCPSSAELSRIWSFSMDIPTVAAEPNCEEGINMMSINDNDVNNHCGAPEDGRLLDIASTALMSDLPTGDKFKPLIGSSSGDGMNLLPLNSDQPAEPVSTTPKQPKPVQPECSNVASVDSGMSNPAARADSSHCIPGRQAISNISLSFSGLTGESSAGDFQDCGVSSMILMGEPPWHPPGPESSSAGGSRDNALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >OGLUM02G31450.1 pep chromosome:ALNU02000000:2:31541289:31543876:1 gene:OGLUM02G31450 transcript:OGLUM02G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRGRWRSPSVPATATSSSLSCPPPQHLLQPPPTPAPNEEEVVAAAGEGGRLNEERRTGWSRWSTGPTREADVAAGSARGKPREGTSTAAARGGGGHRHSRLERASSPPLLDHRKRGMEEEVIAAAEEGGHRNEERRTGWS >OGLUM02G31460.1 pep chromosome:ALNU02000000:2:31546565:31551107:1 gene:OGLUM02G31460 transcript:OGLUM02G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRWWRVDASEVAAVTAMGVWEAVLAGGGRRFLKRKDSDAGETGRALEELRSSLYNEMHSSEGAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFVLMAILKTMSLLPVAPPSKSTPFSSLFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMIFQKRVSSQKVITLAIVSFGVAVATVTDLEFNFFGAVVALAWIVPSAVNKILWSNLQQSGNWTALALMWKTTPVTIFFLLALMPLLDPPGLLLFDWNFRNSLAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIMLSGYLIFSSDPGITSICGAIVALGGMSVYTYLGLKESTTTGKKPPLAQKPKAAGDGEKPGLEHEDSV >OGLUM02G31470.1 pep chromosome:ALNU02000000:2:31551425:31556711:1 gene:OGLUM02G31470 transcript:OGLUM02G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQPPPPPPRQLAAGKKGKASPKAAKRAAPKKQRLLESSSDDSELEQQQGQLQEVESGSDLDVPSDSGAEELSDSDDASFEGGDSGDDEEEEDDEDGDDDPLADDFLAGSDDESDGGDDSGVDSDESDDLEAKSRAIDEEKEKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPNLKRRISEIVRVLSNFSKLRQKDVPRKDYVNQLKTDIMSYYGYNDFLVEAFIEMFPAVELVELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVVDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVASESSNVPEEAIEKADPSSDDPQKQPIQSKKHKDVKTTNEETSILDGVTKDKRQTHETLKNHKKGEKKRNGPESTKIKGDQKETHNEQEEPTSEKKQPVSAKIKKSVPKRISGNKGKKLDTGKGEKRKRNWMVRRDWEAYKKSRSKQV >OGLUM02G31480.1 pep chromosome:ALNU02000000:2:31558420:31559547:-1 gene:OGLUM02G31480 transcript:OGLUM02G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAAALFFAFAVVAATLAPAAEARVQGFEPAIAVEKTAVSGGAQQPPTTLPGLLPGLPFPLFPFLMFPIPGSPAGAGGAPPSAGSGGFPFPLPFPLPLPAPGSPAAGAPPSSGSSGFPFPMPSPLPLPAHGSPAAGAPPSSGSGLPFPLPFPLPQPSSPAQPQPKECMTPLMSVMPCADYLTNTAVPTPPATCCDGFRSLVSTAPICLCHGMNGDLNSFLPTPVDPIKMMLLPITCGAMPPLQTLFMCSSPSVPPLVPPRSPAAPAPASPSVCRLGISDASPQPRSS >OGLUM02G31490.1 pep chromosome:ALNU02000000:2:31565064:31568994:1 gene:OGLUM02G31490 transcript:OGLUM02G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASKTIPQTLPEIPTTPEPQQYTKTAPDIPKSNNSRSSVPKLLQESDRGKLNHKLEPKEQKPNHHLKESVDVSSAKCPTEVSEEMVSEGIASQEKKVVEYESVNGSSTSFHTCEGSGPGKASGSARMTDRSETGERGSSSRCRPSTSSDISDESSCSSMSSTTKPHKSNDSRWEAIQTIRVRDGIIGLSHFRLLKKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDAEALRKNSQGYCVQPACVEPSCVIQPSCAAPTTCFGPRFFSKSKKDRKPKPEIATQISPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPIVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPPKLPPSTSETTDVSSGAPQKGSDGYLEFDFF >OGLUM02G31500.1 pep chromosome:ALNU02000000:2:31570240:31573626:-1 gene:OGLUM02G31500 transcript:OGLUM02G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFPKLPKRFPFQLLFLPSQHARRRSHMADPSRSSPTAAAAGDALLAAAAAPGDAPDATALAVAADADAEFGFQRAELGTEKLAGTVQFHERHVFLCYKGPEVWPSHVEAAESDRLPRLLAAAIKTHKSDLKKKTKLTICEGEDGTESSNGDVLIFPDMIRYRGLTHFDVDNFVLEVLVKDTEWLPGSPEAIKGSYVFVCCHASRDKRCGVCGPALIKRFKEEIGVQGLADQVSVSACSHVGGHKYAGNVIVFSADAKGEVTGHWYGYVSPDDVPVLLHKHIGQGEIVDHLWRGQMGLSEEEQRKALESKHVTNGVTEDGAHESLEETTNGSACNPVAAGGCCQGNGGFTCCQSDLPKEDKSITAEQNQKSSEKGADKESAAGSKKRHMKMCSMPTWFETWETADTYAALGIVAAAASVFVAFRIYKNLN >OGLUM02G31510.1 pep chromosome:ALNU02000000:2:31574331:31584154:1 gene:OGLUM02G31510 transcript:OGLUM02G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALALHTQFRPPRSPRRLRQHLALPSGVLIRSPVRASAASASASAPAQREAAAAGVPWGCEIESLESAVSLERWLTDSGLPEQRLGIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCPEVGNVLKRNSVPDWPLIATYLISEASLESSSRWSSYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLNKSDKCYKEKLQALKRNGLSEFESFPLRITGWPVELMAYAFLVVSPPGMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEGSSGSLQLSTNSKQANRTLLLKQLARDLCISERRILYRTQYILRRRLRDMRGGELKALSLFNGLRKLFK >OGLUM02G31510.2 pep chromosome:ALNU02000000:2:31574340:31584154:1 gene:OGLUM02G31510 transcript:OGLUM02G31510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYALCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSKGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENAVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGLWAVNSFPTLYYVTIPSLCFLNGISLFPEKTSPWFIPFAYVMVAAYSCSLAESLQCGDSAVEWWNAQRMWLIRRITSYLLATIDTFRRILGI >OGLUM02G31510.3 pep chromosome:ALNU02000000:2:31574340:31583954:1 gene:OGLUM02G31510 transcript:OGLUM02G31510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGGVVSGGRQRGPPLFATEKPGRMAMAAYRVSAATVFAGVLLIWLYRATHLPPGGGDGVRRWAWLGMLAAELWFGFYWVLTLSVRWCPVYRRTFKDRLAQSYSEDELPSVDIFVCTADPTAEPPMLVISTVLSVMAYDYLPEKLNIYLSDDAGSVLTFYALCEASEFAKHWIPFCKKYKVEPRSPAAYFAKVASPPDGCGPKEWFTMKELYKDMTDRVNSVVNSGRIPEVPRCHSKGFSQWNENFTSSDHPSIVQILIDSNKQKAVDIDGNALPTLVYMAREKKPQKQHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSESIRDALCFFLDEEQGQDIGFVQYPQNFENAVHNDIYGHPINVVNELDHPCLDGWGGMCYYGTGCFHRREALCGRIYSQEYKEDWTRVAGRTEDANELEEMGRSLVTCTYEHNTIWGIEKGVRYGCPLEDVTTGLQIQCRGWRSVYYNPKRKGFLGMTPTSLGQILVLYKRWTEGFLQISLSRYSPFLLGHGKIKLGLQMGYSVCGLWAVNSFPTLYYVTIPSLCFLNGISLFPESHCNVVTVQWSGGMHRGCGSLEGSPHTSWQPSTHSAEYWASESGFNLTVKVTDLQALERYKKGMMEFGSFSAMFVILTTVALLNLACMVLGISRVLLQEGPGGLETLFLQAVLCVLIVVINSPVYEALFLRRDKGSLPASVARVSICFVLPLCILSICK >OGLUM02G31510.4 pep chromosome:ALNU02000000:2:31574331:31584154:1 gene:OGLUM02G31510 transcript:OGLUM02G31510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAALALHTQFRPPRSPRRLRQHLALPSGVLIRSPVRASAASASASAPAQREAAAAGVPWGCEIESLESAVSLERWLTDSGLPEQRLGIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWGCPEVGNVLKRNSVPDWPLIATYLISEASLESSSRWSSYIAALPRQPYSLLYWTRPELDAYLVASPIRERAIQRITDVVGTYNDLRDRIFSKHSDLFPEEVYNLETFRWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDYDKSSGGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLNKSDKCYKEKLQALKRNGLSEFESFPLRITGWPVELMAYAFLVVSPPGMSQRFEEMAVAASNKSPSKPGLNYPELEEQALQFILDCCESNIAKYTKFLEGSSGSLQLSTNSKQANRTLLLKQLARDLCISERRILYRTQYILRRRLRDMRGGELKALSLFNGLRKLFK >OGLUM02G31520.1 pep chromosome:ALNU02000000:2:31585400:31586488:-1 gene:OGLUM02G31520 transcript:OGLUM02G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETGRTIPKFGAWDVNNPASADGFTVIFSKARDEKKGPVNVDASTRSNSDMKDSNNNKATEKINPYHRRTNSASKKWFCCVSPSPTQP >OGLUM02G31530.1 pep chromosome:ALNU02000000:2:31588078:31588799:-1 gene:OGLUM02G31530 transcript:OGLUM02G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAIFAAVAFVLLAASVSSSSAALYTVGDARGWAVPPTGSESYNHWGLKNRFRVGDVVEFKYVNESVVVVNHEGYRNCSSLSPVIRFTDGDTKYLLDRPGLVFFISGVQERCERGLRMRLRVRPAAPGPAQAPAPGPTRAALTLRRPPIGAPRPAAVTAAFTPTSPSASRPSARTSPSPSPGPAQAPSGASGRALTGFSMAAALLVVCVVSVFILV >OGLUM02G31540.1 pep chromosome:ALNU02000000:2:31589347:31591929:1 gene:OGLUM02G31540 transcript:OGLUM02G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: tRNA (guanine-N1-)-methyltr /.../ase (InterPro:IPR016009), tRNA (guanine-N(1)-)-methyltransferase, metazoa (InterPro:IPR016653), tRNA (guanine-N1-)-methyltransferase, eukaryotic (InterPro:IPR007356); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G47680) TAIR;Acc:AT5G47680] MADDAASEQATDVAAAGAADEGQPPAMSKSARKKLLKQERQAAQKAARKAAEKERRRADIERRRREWEEALAAAPSEEARAEMVEARRQTRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLEFGDLMRPNEIHSLTQQIMYCYAVNGRSTNPAHLWLTGCNGEMATHLQRIPGYDKWMIEKEAKSYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAVDQGIQCAKLPIGNYLKMSSSQVLTVNQVFEIMLKFVETRDWKTSFFHVIPQRKRGEAEAGNDGVDISMNNVDAAEGAENQGDLTKVFDEDVDDDDVVDEELQEEDTDMAKKKQCIRHENGEAEDASTRPAEDHSPGAAAETTTPTGGALPQAEQSKESNGADD >OGLUM02G31550.1 pep chromosome:ALNU02000000:2:31594788:31595552:-1 gene:OGLUM02G31550 transcript:OGLUM02G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGYPGAAANGAAADGNGGAQQAAAAPAIREQDRLMPIANVIRIMRRVLPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVEPLGVYLHRYREFEGESRGVGVGVGAARGDHHHGHVGGMLKSRAQGSMVTHHDMQMHAAMYGGGAVPPPPHPPPHHHAFHQLMPPHHGQYAPPYDMYGGEHGMAAYYGGMYAPGSGGDGSGSSGSGGAGTPQTVNFEHQHPFGYK >OGLUM02G31560.1 pep chromosome:ALNU02000000:2:31606794:31607482:-1 gene:OGLUM02G31560 transcript:OGLUM02G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKKRGGRNMDQVEKAAVRSDGEGGSATNAELPMANLVRLMKKVLPGKVKIGGAAKGLTHDCAVEFVGFVGDEASEKAKAEHRRTVAPEDYLGSFGDLGFDRYVDPMDAYIHGYREFERAGGNRRVAPPPPAAATPLTPGGPTFTDAELQFLRSVIPSRSDDEYSGSSPAIGGYGYGKNM >OGLUM02G31570.1 pep chromosome:ALNU02000000:2:31614504:31615292:-1 gene:OGLUM02G31570 transcript:OGLUM02G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARVVHVMLLLFVALGACRATHNITAILADHRDLAEFGRQLTATGLADDIDGRNTITVLAVDDAHMAQLRARGLPREALRHVLSLHVLVDYYDDAKLHRLPGGSAVVSTLFQASGDAPGSEGMVKIAVRRGGRVAFVPQDVDDARANVFYVKSVHEAPYNISVLQVSAVITSPAAEAPSSATESKPNSMDASSKHGPPNAGAHAAPSPVGQGSSSDDGADEGKKSGDGGDGGKKNGASVGGLPFALAFLMAASAILVVNW >OGLUM02G31580.1 pep chromosome:ALNU02000000:2:31619084:31619544:1 gene:OGLUM02G31580 transcript:OGLUM02G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAAAKRDTHMWVHLPPHNSVKPISYKCTPSTVFLTPASYRATTSGDWRCAVLSGSSPAITLDRSK >OGLUM02G31590.1 pep chromosome:ALNU02000000:2:31622713:31623561:-1 gene:OGLUM02G31590 transcript:OGLUM02G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFQSIPGLAGRLFGGAAAADIRRAQAQQGPASRCGGIPSPEAVKCPRCESTNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSGSSSAASSAPSTPTAATDNAKNQRRASASSPRSSSGGSGNTSPTAAAATTPTTPATPSSNTIAVINHATTTTTTTNPFPTDVPPPAPIFADQAAALASLFAPPPPPPLPVFSFAAQAKTEDGIASVLLAGQTTAPTAATVADMTPFTSLDAGIFELGDVPPAAYWNAGSCWTDVPDPTVYLP >OGLUM02G31600.1 pep chromosome:ALNU02000000:2:31638864:31642630:1 gene:OGLUM02G31600 transcript:OGLUM02G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETATKSREIAAEATKQADLLAGQIKRLSTDLPVPSIPAIPPIPTAVAPEPDAAELERYGITEDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQARHATLVLSAVKEISKFRYELCPRYMKERKFWRVYFLLVNNYTSLYENKYFEELKVKAEEEKMDAKKEVTETSQATTAEHKDMKVQSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGDDDGLDDDFDKIDGTSGLESDDDDDKEKAAGKAESAKE >OGLUM02G31610.1 pep chromosome:ALNU02000000:2:31644857:31649153:1 gene:OGLUM02G31610 transcript:OGLUM02G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKATMGGVKVAGGGGGVEKVRCPSVAAVARSRMRPWVLRATTTVLLWTCVMQLTAVGERWGPRVLKGWPSCRTAQEAASAALAATRLPMPVPVVEKAPLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYRNKILPLIRKHKVLHLNRTDARLANNGLPLDVQKLRCRVNFGSLKFTSDIAELGRRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTEGCTREEADELTRMRYAYPWWKEKVINSYAKRKDGLCPLTPEEIALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLQPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTILLDRKLIVELVDQYNSGSMLWDEFSSLIKSVHANRMGAASKRTVIHDKPKEEDYFYANPQECLRDPNLLRTS >OGLUM02G31620.1 pep chromosome:ALNU02000000:2:31650474:31651157:1 gene:OGLUM02G31620 transcript:OGLUM02G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; BEST Arabidopsis thaliana protein matc /.../Uncharacterised conserved protein ycf60 (TAIR:AT2G47840.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G55710) TAIR;Acc:AT5G55710] MASAVSLLLLSSPRPLRRAAPVPALRSQARHPLLLGHAGETALGVWATRARLPAPPPRASNPNNDNDNSGAVEAPDRLVAAVAYLYPFLDGVHHGRFLLAQFPLFSTLLSPLAPAARLFRSSPLTPFLLFLTLYFAVVRNQQAFSRFVRFNAMQAVALDVLLIFPDLLVQSFAPSTGGGIGFELFQSMESTVFLFLLVCLVYGGGACLLGKTPRLPIVADAAERQVM >OGLUM02G31630.1 pep chromosome:ALNU02000000:2:31651647:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >OGLUM02G31630.2 pep chromosome:ALNU02000000:2:31651647:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEATRAEGRSSASSADQGPSTPRSKHSATEQRRRTKINDRQASRAFNSKTISYKYMVQEMCSKMQFFMLSFRLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >OGLUM02G31630.3 pep chromosome:ALNU02000000:2:31651647:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >OGLUM02G31630.4 pep chromosome:ALNU02000000:2:31651647:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRKSKSMRKPIQKGITRIPNPCHGYYHSHAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >OGLUM02G31630.5 pep chromosome:ALNU02000000:2:31651647:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGLKYFVNSCHTLIRRETKLLSFRRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >OGLUM02G31630.6 pep chromosome:ALNU02000000:2:31651647:31653013:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKINEDEEPIQITKRRCNKS >OGLUM02G31630.7 pep chromosome:ALNU02000000:2:31651647:31653013:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEILRELLPHTDQKRDKASFLSEVIEYIRFLQEKVQKYEEADPERNHEDSKSMPWAKVYYRSCWRNTKNTSQVQGEDLSPSTQDMNNEQYGPKHISAAQPALFNTQSVTSTTTSSSHMATGTPQNLEKNSTPSNQPPWLSMSTMRQESEPGNKMPNKHEKQTLHDENHSISSAYSQGLFNRLTEALKKSGLDPSQANIAVEINLAKRARDNTSDNSKIVCLIIILYLCPDFTSQINEDEEPIQITKRRCNKS >OGLUM02G31630.8 pep chromosome:ALNU02000000:2:31653084:31654037:-1 gene:OGLUM02G31630 transcript:OGLUM02G31630.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQGEEPAHDFLSLGAGGGSSSPPFQHREEQHSSHSSRGYGMEIRRSLRPLELAKQRGRSSGNGTAAGSAVDGASPAGSDSEEHVLPGGVGTFSIRHASGTPSREEAGSHGGVRRSAFAFAPALHGARVENAHETGGRSGSRAHRAPSTMWQDSAIDQRSIGQTPYEGTDGFDIYMCTQQQEQREEVAPAAPIRDPALRDQSIQQLSREGGPR >OGLUM02G31640.1 pep chromosome:ALNU02000000:2:31658985:31661000:-1 gene:OGLUM02G31640 transcript:OGLUM02G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPMPTEGSEPLLPRKQRDGGDDGAASSHDHFDGASFSGAVFNLSTTIVGAGIMALPATMKVLGLVPGLILVMLAAVLTDASIELLVRFSRAVGATSYGEAMGDAFGAFGRGLLQLCVVVNNVGVMVVYMIIIGDVLSGKSSSGGVHHHGVIEGWFGPNRWNGRFSILVIVTLGVFTPLICFKRVDSLKYTSALSVALAVVFVVITAGITTIKLMRGQIPMPKLFPDVHDWSSTWRLFTAAPVLVTAYICHYNVHPIHNELKDHSQIRPIVRASLLLCLAVYTTTSFFGFLLFGEATLDDVLANFDSDLGIPYSLVLDDAVRVSYVLHLMLVFPIVFHALRFNMDGLLFPSARPLSSDNRRFGAITAALLTVIFLAANFVPNIWDAFQFTGATAAVAIAYIFPAGMALRDRHGIATKGDKYLAVFMIVLALVANGVAVYSDACLGTF >OGLUM02G31650.1 pep chromosome:ALNU02000000:2:31663754:31675221:1 gene:OGLUM02G31650 transcript:OGLUM02G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXP9] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKDLTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGAVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIVRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >OGLUM02G31650.2 pep chromosome:ALNU02000000:2:31663754:31675221:1 gene:OGLUM02G31650 transcript:OGLUM02G31650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXP9] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKDLTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGAVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIVRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >OGLUM02G31650.3 pep chromosome:ALNU02000000:2:31665312:31675221:1 gene:OGLUM02G31650 transcript:OGLUM02G31650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXP9] MLLVVSGDVRQQNFNESGAAIMERQTARRTPSSVTQMPEFPPACVNLAKDLTARCRARALQLTDDEILSITQDLESVIENLCDYIGRVPTSALGSNAYTDGAVKALSVRGFLEDDMLTNAVNSMPNRKYFHDSNMPRLVEFLQGMYHESDEFGGHLFSSLPEVSEYIEPLYDALFCPLTNQVMTDPVLTESGVTYERRAIEEHFERFADSSENVICPVTKMPLQSKTLMNNASLKSVIAEWTMRNEAMRIRIARTALSLSSTEAMVLEAIHELKSLAKLRGKNREQMHKIGVTRLLARLLDNHNVQIRHSALELLCFLVEDEEGKDIIGKTKAIARTIKLLSTNGTDERHAAISFLLELSKSQLLLEDIGSTAGSILILTTMRINDSDDPIAAQKAGEVLKNLEKCSKNIKYMAESGYLEPLQSHLVEGSEEMQMEMVGYLAELIQEQELTIDINGSTSGVLIKMVHSCNTVARKAALDVLVQLSSHRPNSKTLVEAGVVPVMVEELFIRKVDDEPLSYKAMAAAVLANVVESGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDELNLSIIRVLLALTAHAKPLAAVVSVVRENHRGHSIVELMSSWTEALGVASTRLLITLSAHMGHTIAERLCKTQGQPRRLVRSIVRAGRAAITERHAAAVTLLSRLPYRNVSLNLALVQEGAVPAILRGIEEMGSGAARMTTSRHAAPYMEGLVGTLVRLTATLYNPDVLKAAMDHDFASVLTALLAGAAGSGEVQRLAAVGLENLSYHSIKLSRPPPPPEDEPRPKKMTILKRLKDARVHNHSSSKNPPLNVCPVHRGVCTPATTFCLLEAGAVEGLLACLENDDARVVDAALGALCTLVDDRVDVEKAVVALAGQGAARRVLAALRQHRGNSLWHRCFSVVEKLLVHGDDVCVREVTGDRMLPTALVSAFHRGDANAKQAAESILRRLHKMPDYSATYMSVEF >OGLUM02G31660.1 pep chromosome:ALNU02000000:2:31672926:31674349:-1 gene:OGLUM02G31660 transcript:OGLUM02G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKLHREESSEAGHGELHQGGSSEVPADPNDELTEADKMGEEGNLQGDYDHLTPAERRYMEQKQKIDMHKLAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >OGLUM02G31670.1 pep chromosome:ALNU02000000:2:31677203:31677566:-1 gene:OGLUM02G31670 transcript:OGLUM02G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRVMFLVAIIGALLLSSSAMATTAEGGGDVPPPGGEACRRVYDPPDENCDPDSCKAICSLRYNGVGVCDPVGCQCTYCHPPSPPPKFRTSGQ >OGLUM02G31680.1 pep chromosome:ALNU02000000:2:31678386:31679318:1 gene:OGLUM02G31680 transcript:OGLUM02G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSSSFPRSLIPLAAGGCSDDDDGYDEGPSDTVSFPSFWPPFPAILSDSDSDVALFPPPPPHVDHCPAPQGAASAFFGLGFREEDDHDGGEWAPPGEVELPLCWDCLQLEEPDHQRWDIGVNGGGGDEWEQVGIRVEEEEEEAAAAVRSLEWEVLLATNSLGSLVVDDADYDGGIDTFFLDDADDVLFGQLAAEHEPPAAKGARAAAKAAVESLPTVVVDAARGDTQCAVCKDGMEAGERARRLPCAHLYHDGCILPWLAIRNTCPLCRHELPTDDPEYENWKARRAAAGGNGDGDRYGIIRQLSMID >OGLUM02G31690.1 pep chromosome:ALNU02000000:2:31693808:31694317:1 gene:OGLUM02G31690 transcript:OGLUM02G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAEIASFPYLSPASAVSFKTHYHVDTNDFLLQYNSLLVPQATSYQHVAHLPHETNLPVGNKSNSDESDDYQRSLAEERRRRRMISNRESARRSRMRKQKQLSELWAQVVHLRSTNRQLLDQLNHVIRDCDRVTHENCQLRDEQAKLQKQLEKTPVENTESTFMGPDN >OGLUM02G31700.1 pep chromosome:ALNU02000000:2:31694114:31704241:-1 gene:OGLUM02G31700 transcript:OGLUM02G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal peptide peptidase [Source:Projected from Arabidopsis thaliana (AT1G73990) TAIR;Acc:AT1G73990] MARLLVLRSAPYHRSHLSATATFLLSPSNSKHPHSASASASFPSTARRILLPSPLRVPARAIESSPGTTKQEPTPAAGEGEAQEPPPPAASAFEVEELGWGTQLAVKLRMLVAPPWKRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENVVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGRYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSKHGKKKEEIEEFINSGVYQVARLKEEGWITDLLYDDEVMAMLKERVGQKDKKSLRMVDYSKYSRVSKWTLGLQGGGEQIAVIRASGSITRTRSPLSVPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLADTKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFILQKLYERIDFNKEIISKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMNVDQMETVAQGRVWSGQDAASRGLVDSLGGFSQALAIAKQKANIPHDRKVQLVEISKPSPTLPEILSGIGSSLLGVDRAVKGVLQDVTSLNGVQARMDGILFERLEDLSGENQLLLLVWISNVTNSETKTAWAINFVEKDRGLLKLLLQFGLFISQLAVFVGNTIAIPDDMVQLIKELTISAAEVDNLCPELT >OGLUM02G31710.1 pep chromosome:ALNU02000000:2:31707093:31707644:-1 gene:OGLUM02G31710 transcript:OGLUM02G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEEEAASPSATAHGDCVLATACRVFDSEPVELSAKVLLLQLRPAEATAGLHGGYWCTVIASGIDGSAAVEVLAQVEAACAEHGVHLASESVSLVVECDCDDSPWHVARRRAHTTVPLHIPADGRGSFFSPDHWPLFVQLVRAMERPAEAHEDDLPAAGRLAVPSGGRTAEDATAKHV >OGLUM02G31720.1 pep chromosome:ALNU02000000:2:31708756:31711811:1 gene:OGLUM02G31720 transcript:OGLUM02G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKYIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILIALSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADVSFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVGQILSKGHVGDDVRSDSEDEEEHED >OGLUM02G31720.2 pep chromosome:ALNU02000000:2:31708924:31711811:1 gene:OGLUM02G31720 transcript:OGLUM02G31720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPPVDWEAESYPAYSDFAAIPLFAVFLFAVRYLLDRFVFEVVMVFTWLARRLIFEKDEKLDLATHAGRIKIRKFKESAWKYIYFLSAELLALSVTYKESWFTSTKNFWVGPGDQVWPDQRIKFKLKLVYMYAAGFYTYSIFALQFWEIKRSDFGISMVHHVVSVILIALSYIFRFARVGSIVLAIHDASDVFLELGKISKYSGYQLLADVSFLIFVCSWAVLRLIYYPFWILWSTSYEVVPMLDKKKHKFDGPLYYYVFNCLLFSLLVLNIYWWVLMYRMLVGQILSKGHVGDDVRSDSEDEEEHED >OGLUM02G31730.1 pep chromosome:ALNU02000000:2:31714816:31717943:-1 gene:OGLUM02G31730 transcript:OGLUM02G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALAVYSLVLLASFSFPCRLASALLSPKGVNYEVQALMMIKTSLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGLLSASIGNLTNLEIVLLQNNNINGPIPEEIGRLTKLKTLDLSSNHFSGGIPNSVGHLESLQYLRLNNNTLSGAYPSSSANLSQLVFLDLSYNNLSGPVPGSLARTFNIVGNPLICAAGTEHDCYGTLPMPMSYSLNNTQGTLMPAKSKSHKVAIAFGSTIGCISFLIPVMGLLFWWRHRRNQQILFDVDEQHTENVNLGNVKRFQFRELQVATENFSNKNILGKGGFGNVYRGKLPDGTVVAVKRLKDGNAAGGQAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKGKPPLDWITRQRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKKLDVLVDKGLRSNYDRVELEEMVQVALLCTQYLPGHRPRMSEVVRMLEGDGLAERWEASQRADSHKFKVPEFTFGRCYSDLTDDSSLLVQAVELSGPR >OGLUM02G31740.1 pep chromosome:ALNU02000000:2:31720929:31727615:1 gene:OGLUM02G31740 transcript:OGLUM02G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTIIDLLTVEKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >OGLUM02G31740.2 pep chromosome:ALNU02000000:2:31720929:31727615:1 gene:OGLUM02G31740 transcript:OGLUM02G31740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKDKKASREAKADKKLVLGVKRKDLKRKKDRTLNGPVENEVAAEHGTAEGLVRKKKVVAMKQKKQMKLKSSQTDSDDMLELLTSKKDETKLKNKKKSKKNLKEGSNPVEEHQSLSDRINAGTPKLKKDRRSSDEPNNADEVLHGNQDEETPTARVNQLTAESGDMDIGEPEEVKRGNKSKTKKTKKSGKSSKKDKHESSRENKLDRHGEVDTANVDEIQSVDEDCSRGMKKWVLEYKQKRPGLKVLQQRIDEFITAHEEQEEQERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLAAMQEKMANKKPKEVDMNFYRFQKREAHISELAMLQSKFEQDKKRIQQLRAQRKFKPY >OGLUM02G31750.1 pep chromosome:ALNU02000000:2:31721876:31723804:-1 gene:OGLUM02G31750 transcript:OGLUM02G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTEVEKKKAPTALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVLAGNISPIDVITHVPILCEEANIPYIYVPSKEDLATAGTTKRPTCCVLVMTKPAKGEINEEVKEKLKSDYDHVVAEVAEVTSSMF >OGLUM02G31760.1 pep chromosome:ALNU02000000:2:31727767:31730768:1 gene:OGLUM02G31760 transcript:OGLUM02G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSNGVLGALSFAALLASVPLIGAGAYLLDHPASECQRLVRVPAVALGSAALLLSLMAIAGVTCCRGAALLWAYASAMFLLIVGMFFVTAFVFVVTNRGVATAVSGTGYGDYRVRDYSEWLRARIEDYETWHRIESCMADAAVCGGPLAGINPGEFYRLHLPLIQSGCCKPPVYCGYERVNETFWIAPARGLDAADVDCLEWSNDQAVLCFRCNACKASVLDTVRRNWRAVAVLNVAVLAILMLAYSLACCSVRDRSRVRLGKKEPILAVPAISIKTSKLKLWNNQAPTTTPSVCDRRVLLKRQGISSEEANKMVAAAKEAIVIENSQVVNEQESVVTNQDVIEEQLLLPNLHLNYCHN >OGLUM02G31770.1 pep chromosome:ALNU02000000:2:31735232:31737970:-1 gene:OGLUM02G31770 transcript:OGLUM02G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILSLTGTHESQPGNDQVVQVYCVQTMAIQQYGLDLSLCSPPTSETTGLGRDPSISRVHETPLEVVGAESSMPTSFTDSYSVGSPSKSSTVDQQSELDPKPSAPPLTYTEGDGSVHLPSASLASNMDPSGSGSSLGNLEMDQPAFDYAMNRNVEPKILTRQDTPMPKDNFGKDDPRDGRNDVTMLPNPHLMFKVGGNTTHLVTPSEIISGALSSAESNHVPKSDGVKIQDGTSSGHQMAEVEPKHTNEHTFDQNLDLEVAQVVCENTKQAGSSEQTVKMISERSVTTDKYSVEESQASCDRSISEHTGAADESVTKKPVEVPEKSDYSSASVEQSSSYTKKEKIMHPQASGQSSPSTSAFNSTESSHEPPSSAYPPINSFPEVTMQGMLQQVTIFN >OGLUM02G31780.1 pep chromosome:ALNU02000000:2:31739080:31739632:-1 gene:OGLUM02G31780 transcript:OGLUM02G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYYYAPSLIFVENFI >OGLUM02G31790.1 pep chromosome:ALNU02000000:2:31746950:31754774:1 gene:OGLUM02G31790 transcript:OGLUM02G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQSQGSSLQCLNHVEQRIVQLMQLAGAVMEELGNSQGPRPEKVVAHCREYMLAIKEIQTTLREEIKSACEYRPFEKSDYSARIANEISCKKVEYVLEKLDAMQTNIEKCTSHGQSMAAPATTSLLSTLLQLLREEHTRWRWLRRRVASADLLVEDNDWEESIFRRFRQEVMTAGALRRSSAAAGTTCESKKDGPRGRPPQKLCRFCSANGTIMSGCSPQGALVSTAIMRRNVLTRIVGTQKNNLPLPKRSAAIAAPIALLLVVGLISLYDFTFADRYPNIDAASSSSSSSSSPSSATVSKCNLTRGEWVPDGEAPYYTNLTCPFIDDHQNCMKFGKPSLEYVSWRWKPDGCELPRFDAARFLEAMRGKSMAFVGDSLARNHFKSLLCLLSKVAQPVELVGAAPEIDVTGRAVRRDFRYDSHGFTASLFWSPFLVKANLANATLGLWDLHLDTADARWAAHVAEFDYVVLSDTNWFLRPSVYYEGGRAVGRNGAAPVTNANEIAVPRAVRAAFRTALGALAAAPGTFRGKAILRSVTPAHFENGEWNTGGDCVRTRPFRRDERALGAVEAEYLAVQVDAVREAEAAVRRNGGELRLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPIDLWSELLFHMLVDQ >OGLUM02G31800.1 pep chromosome:ALNU02000000:2:31756367:31757697:-1 gene:OGLUM02G31800 transcript:OGLUM02G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNLLVRRAASTTGLLLILLLLVAFTVCNYSSLKLSTRQYIDGTSARSSSTRASYASGGGGGAACDVARGEWVPDPAAPYYTNETCPLIDSRQDCMKYGKPGLESILRWRWRPHGCDLPRFDAAAFLRLVRGKSMAFVGDSVARNHMQSLMCLLSKVEFPTEIEAKDCIHCTRKYHYRAHNFTVCVFWAPFLVRWNLTRAGALQFMDPHNVFLDEADPEWSRGVAGYDYVVLNGAKWFTRPTILYEGGRLVGCNNDCHGGDPNATAATAPPEYAVRASFRTALRALREHPVFRGTVIVRTVAPPHYENGKWYDGGNCLRTRPMRSDETGLPETEAAFHAAQVEEFRAAAAVAAGGRFLLMDVSGMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPVDAWNELLLHLLRG >OGLUM02G31810.1 pep chromosome:ALNU02000000:2:31758235:31761026:-1 gene:OGLUM02G31810 transcript:OGLUM02G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLALMSFLSGKRERQRQLCHRQIGRGRGSITSVAKTERKGLHYQLKENVYLPEDILRLIHAMMPLRDAARAACASHIFLQSWRCRPNLIFTGETLGLIINGTGKDDAKRDFINIVQRTLLNHSGIGVKTLKLELLHFSDLDLGCLEAWLQIAVAPGIEELTLMFPCVRYKFPCSLLFERGGNSVRYLHLMVCTFRPTIGLGCLIKLTQLHLSFVWITGDELELLLSKCVALEWLKLSYCPEIICLKVPCMLRQLGSLEVAECRYLKVIEICAPNLSNFYLTGFLVRTSFENPLLVKKLRIMCLRQGNFVSYARTKLPSLVPNVETLTVASNEIVKTPIVPGKFLHLKHLHVYFISLAISYDYLSLISFFEASPSLETFMLSVTQRRIEHDSVFGESFHPREMSEHHHKNLKSVKIIGFCSAKSMIELTCHILQNTSSLECLTLDTTDGATRCCVTEYDKCLSMDNDILTEAHEARCAVAEYGKCISMDRDVIVDAHKSLLAIITYVEGKVPPTVKLNVVEPCSRCHAVEL >OGLUM02G31820.1 pep chromosome:ALNU02000000:2:31764127:31765759:-1 gene:OGLUM02G31820 transcript:OGLUM02G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium sensing receptor [Source:Projected from Arabidopsis thaliana (AT5G23060) TAIR;Acc:AT5G23060] MAPLSVSAILAPSPPPAQAAARASPRRAPASAAPVAAAVSTALLALTPAAHAAAFSKEDVAGSVTKVVDTVDQVIGVGGKVAEQSAGVLKALGEAAKPALPALKSAGEQALKLASPVVSGASKQATEALQGAGVDPAPVLSAAKTVADAAQQGTKVIDAAKPIASATVETIGSLGSADYVVVAGAAFLAYLLLPPAWSLLSFTLRGYKGDLTAAQALDMVTSQDYVLIDVRTEKDKAKTGVPQLPSNAKNKLISIPLEELPSKTKSMVRNAKQAEAEIAALKISYLKRIGKGSNVIIMDSYCDSSKIVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSYNLSVVEVVTPSRVIPAAADRLVTASSSASRTTTSRKLLPGSVDG >OGLUM02G31830.1 pep chromosome:ALNU02000000:2:31766725:31767812:1 gene:OGLUM02G31830 transcript:OGLUM02G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCDLPASSSLAPARPRPPGCNAIFSTRPWSPVAQVAHRGRRWPWSPSVPPPSGSCRSCRSAGLPRSRRPVAPAAPAAPPLPPLRRAPAVSPPSGSCRPRRPAAPTAPPGSRGLAAPSFPPLPPSRRSRHLVGWEVVHPFMDVFHVTDRLGCKLTNDSVITYIEQSLGMWNGPTRPMALEGLTALELTGAGRTGLISEVFAVLADMDCGVVEGRAWMHRVHLGCLIFLRDEETDTERMARIEAASDTSAATPSAPAAAPWPPSPPPPSRTPSAPSTLDKTTSETTGGGDLLWFLEKKEVLYPVFRLRDVIHTSAGDEGGKMDLFLTNGIVD >OGLUM02G31840.1 pep chromosome:ALNU02000000:2:31785893:31788996:1 gene:OGLUM02G31840 transcript:OGLUM02G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGGGAMFHRGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGGAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >OGLUM02G31840.2 pep chromosome:ALNU02000000:2:31786205:31788996:1 gene:OGLUM02G31840 transcript:OGLUM02G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRLIFSTAGSGAGQMLFLDCGAGGGGGGAMFHRGARPVLGMEEGGRGVKRPFFTTPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKASFDALRADHDALLQDNHRLHSQVMSLTEKLQEKETTTEGSAGGAVDVPGLPAAADVKVAVPDAEEPALEEAAAAFEEQQEQQVKAEDRLSTGSGGSAVVDTDAQLVVGCGRQHLAAVDSSVESYFPGGDEYHDCVMGPMDHAAGGIQSEEDDGAGSDEGCSYYADDAGVLFADHGHHHHHQHADDDEEDGQQISCWWMWN >OGLUM02G31850.1 pep chromosome:ALNU02000000:2:31795518:31796105:-1 gene:OGLUM02G31850 transcript:OGLUM02G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTRLWRSHESTHRAWNPWSHLGSTRHRSPAWNASRHTAHSPPPPPPPSSLRSPAARWSRRSSTRNAPRRNTPRRSAQKTTTTCSTSMSTTPATRRSTDSVAPAAMHAASSKPNSSFHCSSS >OGLUM02G31860.1 pep chromosome:ALNU02000000:2:31795580:31798014:1 gene:OGLUM02G31860 transcript:OGLUM02G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGATLSVLLLVAGVVLMLVLHVVVVFWALRRGVFLRGAFRVEERRDQRAAGLTPDEIAVLPCHERKEDGGGGGGGECAVCLEAFQAGDRCRVLPRCDHGFHARCVDSWLRQSRVCPICRAEVEVSGYAGKPAAAVAEASQATTLEIVTERLGGTERLEVSNVCEVHPLDSVDRMKGDNSNVFLVAGLSLVVVVHVLVLLWALWWGYGRSRLALARARVVGQHDVARGGLSTEQVGELPCHVVKEGAGECAVCLEAFRAGDRRRVLPRCEHGFHAQCVDSWLRVSRLCPICRAEVATSRGKEGDAPVAEAASLEIVAER >OGLUM02G31870.1 pep chromosome:ALNU02000000:2:31798272:31801309:-1 gene:OGLUM02G31870 transcript:OGLUM02G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRAASSLLSRGLIARPSAASSTGDSAILGAGSARGFLPGALHRFSAAPAASATAAATEEPIQPPVDVKYTKLLINGNFVDAASGKTFATVDPRTGDVIARVAEGDAEDVNRAVAAARRAFDEGPWPRMTAYERCRVLLRFADLIEQHADEIAALETWDGGKTLEQTTGTEVPMVARYMRYYGGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALFVASLLHEAGLPDGVLNVVSGFGPTAGAALSSHMGVDKLAFTGSTGTGKIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHRALFFNQGQCCCAGSRTFVHERVYDEFVEKARARALQRVVGDPFRTGVEQGPQIDGEQFKKILQYVKSGVDSGATLVAGGDRAGSRGFYIQPTVFADVEDEMKIAQEEIFGPVQSILKFRFAALEFPRIPSDRDRLSTGIYTNTLRAMCSTVEEVVRRANATPYGLAAGVFTQRLDAANTLARALRVGTVWVNTYDVFDAAVPFGGYKMSGVGREKGVYSLRNYLQTKAVVTPIKDAAWL >OGLUM02G31880.1 pep chromosome:ALNU02000000:2:31803309:31807337:-1 gene:OGLUM02G31880 transcript:OGLUM02G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQLLGAKKKNLSGFSTAASQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKIEKLQELPPAKILKPLPVPDCMPKKKRGGCWLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLCLLAGQSRLAAKAAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >OGLUM02G31880.2 pep chromosome:ALNU02000000:2:31803311:31805387:-1 gene:OGLUM02G31880 transcript:OGLUM02G31880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTCVDLKDILPSADIMWIMMTDSIASKEPLSEENLVKTIKACDRALTLDAAKRKSRMGCIAPNLSAIVGSAVASKLMGAAGGLEALAKMPSCNLQLLGAKKKNLSGFSTAASQFRVGYLEQTEVFQSTIPSLRTHACRIISAKSTLAARIDSIRGDPTGKAGHSLLEEICKKIEKLQELPPAKILKPLPVPDCMPKKKRGGCWLRKMKERYAQTDMMKLANRMQFGVPEESSLGDGLGKGYGLLGQAGSGKLCLLAGQSRLAAKAAKRFKARSCDRSESRSGLTSTLAFTPVQGMELSNPLVHNDHSVSGTQSTYFSDVGTFSSIRGKDAIPIQSSEIQNPGV >OGLUM02G31880.3 pep chromosome:ALNU02000000:2:31805464:31807337:-1 gene:OGLUM02G31880 transcript:OGLUM02G31880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPDSFLADLDELSDNEAYPEGEDEEARNMEEDGDGGMPCCEFLNHDDLNSASELHKTQRYNDIMQKIEDALHRGTSILPEDLEHQLIADSNALLVDIDNEITIIYNFIREKY >OGLUM02G31890.1 pep chromosome:ALNU02000000:2:31809882:31810358:1 gene:OGLUM02G31890 transcript:OGLUM02G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAPHISFFLFFLLSSLLLSGAALDGGEAAGGGVVERDRHRRVGGERGVGDAVAERRGLDSGCGGGGVAGGVTERVVQARLHVHGCRRRARAHVRGSAAVTRRCPWHPPLPPPSPPPPARLARTSHCAEGERGGDGRRERGGVGGDAVGRSRHGTWV >OGLUM02G31900.1 pep chromosome:ALNU02000000:2:31829620:31834731:1 gene:OGLUM02G31900 transcript:OGLUM02G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXT1] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >OGLUM02G31900.2 pep chromosome:ALNU02000000:2:31829377:31834731:1 gene:OGLUM02G31900 transcript:OGLUM02G31900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXT1] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >OGLUM02G31900.3 pep chromosome:ALNU02000000:2:31829377:31834731:1 gene:OGLUM02G31900 transcript:OGLUM02G31900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXT1] MDLEAAHGAAAAPGKQRRRRARESWGASLLLAYQSLGVVYGDVATSPLYVYKSAFAGDDIQHSAGNEEIYGVLSFVFWTLTLISLVKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGGGGAGDELAVGGRRDARAMSRLRAMLERYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVYSAVSGLELSMEHEHHKYVQLPVTCAILIGLFALQHYGTHRVGFIFAPIVCVWLLCISAIGVYNIVHWNHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKIAFMSVVYPALVLAYMGQAAYISQHHSFENAYHIGFYVSVPEKLRWPVLVIAILAAVVGSQAVITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWILMILCLAVTLGFRNTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFLALGFLIFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMIVMCVWHYGTIKKYEFDFQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVRYGYRDVQKDDIEFEKDLVSSIAEFIRSGDSHHNGVLEDTDKSCEKLSSISNGIPLWMEDGEVDASASPHKETDTQIISPNRKKARFVLPKNAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIVINFFYEFLRRNSRGPSYAATIPHASTLEVGMVYQV >OGLUM02G31910.1 pep chromosome:ALNU02000000:2:31838380:31842763:1 gene:OGLUM02G31910 transcript:OGLUM02G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRGGGPVVAALLLAALLLAAVAPASASSYPAKVVSGFLSNAASSVMKRLWSLKSTTKTGSGGKSMVKYEGGYTVETVFDGSKLGIEPYAVEVTQGGELLVMDSMNSNIYRIALPLSRYSRPKLVAGSPEGFPGHVDGRPREARMNHPKGFTVDGRGNIYVADAMNMAIRKISDTGVTTIAGGKSSRGGHVDGPSDDAKFSTDFEVRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVAVLLAAAFFGYMLALLQRRVLGMVSTEDEPETQTPLKASIASIPPYQKPLKQSVRPPLIPNEDESEKQEVEEGFFTSIGKLIVGAKSSVAEIVGAAFSRKKRLNIHQQQARVRSWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRSQFNGWNGDAPQQQQQQQQQQQIHHQQYLQHHRQYSSGPQTFYEPSCEATNEIVFGAVQEVDSKRRAVEIKPMNHGDPQYDQDGLRHRSSYTGYSNNW >OGLUM02G31920.1 pep chromosome:ALNU02000000:2:31843502:31844892:-1 gene:OGLUM02G31920 transcript:OGLUM02G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAPIGLSWAPKLPSLPTTSGGKKDTGASSSRAQGSLWKPASELVDGLFVPPRDPRKANKLARKNVKDTSGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVIEPASEFFSSRLTKRERKTTLVDELLSDQHLKNYRMRKVREIQESRTPGGNQKWRNKGKKTLKRAKDRRK >OGLUM02G31930.1 pep chromosome:ALNU02000000:2:31845996:31848524:1 gene:OGLUM02G31930 transcript:OGLUM02G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVTMPPPQSPELRSLRSTLDQRGELRAPLLSFDWGFPGGIARWEGEEGRLRRAAGAARAAATEMWAFARKDPRKPVFAAKVATALALITLLVFLREPTDLANHAVWAILTVVVVFEFSIGATLSKGLNRGLGTLTAGGFALAVSELSSSMGNFGNVILIICTFVVAFGATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFIATAISRFLLIAIGAAVSLALNIGIHPIWAGEDLHNLVAKNFDGVAKSLEGCVDGYLKCMEYERVPSTILTYQASDDDHLYSGCRAAVESSAQEEALLGFAIWEPPHGPYKMMKYPWMNYTKVGGALRHCSFSVMALHGCILSEIQAPPESRQVFSAELHRVGQEGAKVLRELGHRVKTMTRLSSQNILSEVHFAAEQLQKKIDQKSYLLVNTEKWQALIRRHGGGAKDGGLVPGRRAIASPGAVHKSSSFASSTSHSSLNSAPRTDASYKPQPPWPIRQPSFHPSLPFQAAAAEARTYESASALSLATFASLLIEFVARLRSLVDAFEELSESANFKEDPVEEPSAISRENGGVLYRLRRFFGLEELRQRAGEP >OGLUM02G31940.1 pep chromosome:ALNU02000000:2:31849231:31852407:-1 gene:OGLUM02G31940 transcript:OGLUM02G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPAAPDPPQLHGVVIITLPPPDQPSKGKTITAFTYTDDDVTPPPPTPPPTHPPTRALVPAGAGAGAEARRSRRGFSPRRAAAMVLVLGALAVAAYYSFYSDVAVQFLGMQEEAQNERNETKSFLLPLYPKARQGRALREFGDIKLAARRFDNDGGGGVGRKSRNKLEVKKAAAAGTNSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPAKEKIVPPKDLLCQELQGNQNYCETCKQCDYEIEYADRSSSMGVLARDDMHIITTNGGREKLDFVFGCAYDQQGQLLASPAKTDGILGLSSAGISLPSQLANQGIISNVFGHCITRDPNGGGYMFLGDDYVPRWGMTSTPIRSAPDNLFHTEAQKVYYGDQQLSMRGASGNSVQVIFDSGSSYTYLPDEIYKNLIAAIKYAYPNFVQDSSDRTLPLCLATDFPVRYLEDVKQLFKPLNLHFGKRWFVMPRTFTILPDDYLIISDKGNVCLGFLNGKDIDHGSTVIVGDNALRGKLVVYDNQQRQIGWTNSDCTKPQTQKGFPFFL >OGLUM02G31950.1 pep chromosome:ALNU02000000:2:31853586:31853954:1 gene:OGLUM02G31950 transcript:OGLUM02G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEWHMCLELHRHHRRGQPEVRAPPPRTRTKVRGTRGPAPVSWRHARGFPWHLEGPVGDVPMVKEVLEWLEGHEPGADPAKGVVEHLVIAPLPLSRRCSSSAMAPMRDSP >OGLUM02G31960.1 pep chromosome:ALNU02000000:2:31855987:31859316:1 gene:OGLUM02G31960 transcript:OGLUM02G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G20930) TAIR;Acc:AT3G20930] MDAARASLLLAGGLAVSTSTSAVATAAQTVSIPHLSPHTRRRRQRRFLRLASAAASSPPPLPAASAQPHCSRWVVVMERPPAPAGGGEVSRAEAVDHYVATLARVLGSQEEAQMRIYDASWDGSYEFSCEIDDEASRDLAKMPGVLAVKPDTDKVDMSEKDNHGSGLSAANLGNFSDAVSNHSSSSGENEFWLVRMEKPGVEVVTKAQMVDHYTQTLMKVLGNEKDAQVSIYHISWERDYGFCCHIDEECAKELADVSGVLSVQPDTNFGSDNKNYKGDDSFKSSEATQAEVKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKHRSRDRQPPYSASGRSNQVLRSRYHTG >OGLUM02G31970.1 pep chromosome:ALNU02000000:2:31859128:31860594:-1 gene:OGLUM02G31970 transcript:OGLUM02G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGCSLLSPATSGLPPKSPFSKRASNGAALRCRAAFSGDAALRAFRRHHLDGRALHANPALVPALAACARLLPSAAAEAEQIHALLVKSGDPPSVSGVYASTSLVRVYARLGRLGDARKVFDGMPVKTVVSWNVLLDGIVRASDLDAAWEVFVEMPERNVVSWNTVIAGFVRHGWVQEAVDLFAEMTVVYGLAPDEATMVGFVSAVRDMGVLGIGRCAHGYVIRREFSLDGALGVALINMYTRCGSMGDAFRCFSSVASKNVEQWTSVIGGFAAHGHPEMALRLFTEMRQLGIEPNGVTFLAVLNACSHGGLVNEGFKYFNLMRSMGIKSTMQHYGCLIDLLGRAGFLEEAFELASSLPEDPGLVIWSSLLAACQSHGNVEMAEVAARKLAHAEPRHGSSYVLLSNTYARAGQWEDLKRTRRKMEEYGVMKQPGLSWIELDGRVHSFVSADKLHTESEDIYQMLEDLKVNLVSAGSEPETLALPEI >OGLUM02G31980.1 pep chromosome:ALNU02000000:2:31868759:31875408:1 gene:OGLUM02G31980 transcript:OGLUM02G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLVVFSSTGRLYEFSSTNMKTVIDRYTNAKEELLGGNATSEIKREAASLRQQLHNLQESHKQLMGEELSGLGVRDLQGLENRLEISLRNIRMRKGSLIHQENIELSRSLNVMSQQKLELYNKLQACEQRGATDANESSSTPYSFRIIQNANMPPSLELSQSQQREGECSKTAAPELGRLHLP >OGLUM02G31990.1 pep chromosome:ALNU02000000:2:31883433:31884353:-1 gene:OGLUM02G31990 transcript:OGLUM02G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTACRRYIGPLLCVNLVMHAAVLGLAGWSLNKFIDGETHHHLGGNTSSGYLLVFSLMAGVVGVCSVLPGLLHVRAWRGETLAAAASTGLVSWALTALSFGLACKHITLGNRGRRLTTLEAFIAILTLTQLLYLILLHTGSLGSSMTLGLSRRNCDDDEELRCDEIPREELYTNKKSAIVAGA >OGLUM02G32000.1 pep chromosome:ALNU02000000:2:31886059:31887514:1 gene:OGLUM02G32000 transcript:OGLUM02G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATACSTAPLLGGARLPAVGAALPPPSVLLLPQRNFPSPLRLHDAPRLSLLRARASSDDTSSSAATGDELIEDLKAKWDAVENKSTVLTYAGGAIVALWLSSVIVGAVNSVPLVRLHYIAPVNFVSVLVEQCSKFTCMWICYLLQLPKFMELVGLGYTGWFVYRYLLFKESRKELADDVDSLKKRIAGTE >OGLUM02G32010.1 pep chromosome:ALNU02000000:2:31888606:31890058:-1 gene:OGLUM02G32010 transcript:OGLUM02G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSEKAAGAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRVSSPPPLTARASVEEEAAAAVGTTTTTTSKREGGVTPAWSKRKARTRRPQVKSVGQLLSRRLVVPEMAVESSDERKADEDGAHEELEGQLLYRVPVFDPSLAEFCSPPPIDDAAAASSSCFKEDAADGAVEDAKYPAAAASSPVQQLPDSFVNFEPTDAELREFAADMEALLGQGLDDSNELQDSFYMETLGLITPPVEESGRVKMELDGGVASNSRVSLPSCRAHPKPEDVESADVLDIDFNCTSSDEQKSSASNGAAADSQFFHRSLDLRLNYEAIIESWGNSPWTDGRPPHGQLDDFWPNDHHYSGLWAAGGGGHGAEVGMMTVRPRMDGPGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRPSAAAAPCAVT >OGLUM02G32020.1 pep chromosome:ALNU02000000:2:31906746:31908203:1 gene:OGLUM02G32020 transcript:OGLUM02G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXU3] MTSSSGQLKRLKPLYQLAVNNILAVVAVPLAAAVVLKAAELGHEEILARARALPPAHLFLAAFVPAAAAVVYLMLRPRAVYMVDYACFRTSPNCRVPFATFLEHSRVWPGFEERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELIIFSAIDDLLAKTGISPQDIDILVVNCSLFAPTPSFTDMIINRYKLRKDVRNVHLSGMGCSAGLISVGLVRNLLQVAPKGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAVLLSTNGAKARFRLARVVRTLTGAQDGAYHCVYQEEDGRGNVGINLSKDLMSIAGDALKANITAMGPLVLPASEQLLFALSFMARKVLSGRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYVEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWATCIHRYPVDIPDVLKH >OGLUM02G32030.1 pep chromosome:ALNU02000000:2:31929502:31934353:1 gene:OGLUM02G32030 transcript:OGLUM02G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXU4] MAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGAGGGDADAADSWLGDLVRALQAARRFVELGRAPARPSRASDQDAVCNNVAVQFKFVTWQLQTVLASLPQSCFQISDEVQEEVDLVRAQLRREMEKKGDIDVNIFSKFHDILALHVSTVGSQSEQSHGQPDTPQMENLCNGHLELQNIIMLVSEISGVPKSDAERITSQLIEGLENMRVTDSKKPVSVSQSSDETKASPETHKKSDAVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQLKLQNITLTPNYVLRSLILQWCEEKGIEPPTRSKNDGAYLEVGGERVAIETLVRNLSSSSLDERKSAAAEIRSLAKKSTDNRILLAESGAISALVKLLSSKDLKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRKGGMEARENAAAAIFSLSLIDDNKITIGSTPGAIEALVELLQSGSPRGRKDAATALFNLCIYQANKVRAVRAGILAPLIQMLQDSSRNGAIDEALTILSVLVSHHECKIAIAKAHAIPFLIDLLRSSQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELSKTGTDRAKRKATSLLEHLSKLQVL >OGLUM02G32040.1 pep chromosome:ALNU02000000:2:31936054:31938534:1 gene:OGLUM02G32040 transcript:OGLUM02G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGKRKGAKVMQLDGTSFRVKPPAVAADVLRDHPGFQLLESEEVKLLGARARPLAPDAPLRRGRLYFLVALPRRPAAGPPRRAWSGNLRVGARERLESLMLARRSTSDLSSFPAAQASASAPTSPLPGGACSGAATPVRLKMRLPRAQVEKLMGESKDASEAAAKIMELCAAAGAKSASVTPERPPGILRSPRFAATPEWGAGFMVPPPAPGAAKTPQRWPTLPRTKEKKARFVALPDELIA >OGLUM02G32050.1 pep chromosome:ALNU02000000:2:31940301:31942345:1 gene:OGLUM02G32050 transcript:OGLUM02G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAAVASLTLQVPGGAHDVTSLATSPRTMAVPGTTEQLTIFYSGSMVKFDNVPREKSSFDYDLAIQHWGRGDSLCVQAEEVMFFAAKKSPDAGHQHVPQQQQPAYPNKKKRIFCYQAPERDADGLFIHENKADACSQRQHRSPEDGYATIKETNPCSRQIQIVPRADVSLLVKNASLVSFLESRKQRLASAAYTRREKSPDEKDIFPTAFPRNKTPLGNTERHSAFTNLKNINGNHDEEALDTELKI >OGLUM02G32060.1 pep chromosome:ALNU02000000:2:31945275:31948309:-1 gene:OGLUM02G32060 transcript:OGLUM02G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >OGLUM02G32060.2 pep chromosome:ALNU02000000:2:31945277:31946737:-1 gene:OGLUM02G32060 transcript:OGLUM02G32060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MSSTSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >OGLUM02G32060.3 pep chromosome:ALNU02000000:2:31945277:31946737:-1 gene:OGLUM02G32060 transcript:OGLUM02G32060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MSSTSLFFKQEMELSLVGLQNAGKTSLVNAVAVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSIPIAKSELHDLLTKQSLAGIPLLVLGNKIDKSEAVSKQALVDQLGLELIKDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >OGLUM02G32060.4 pep chromosome:ALNU02000000:2:31947273:31948309:-1 gene:OGLUM02G32060 transcript:OGLUM02G32060.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor-like A1A [Source:Projected from Arabidopsis thaliana (AT5G37680) TAIR;Acc:AT5G37680] MGLWDSLLNWLRSPFCGDEIVHVFRVEREVGFGRDWFGSGSPVRRRDDGAGLWSGVDSQQFYHVQ >OGLUM02G32070.1 pep chromosome:ALNU02000000:2:31951380:31964979:-1 gene:OGLUM02G32070 transcript:OGLUM02G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mediator complex subunit Med23 (InterPro:IPR021629); Has 187 Blast hits to 184 proteins in 67 species: Archae - 0; Bacteria - 0; Metazoa - 135; Fungi - 0; Plants - 43; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G23230) TAIR;Acc:AT1G23230] MQLRLHIPPSVDLFPFPQTARAHQVRSATLLNPRSEQAHRTTPVAGDGSGASAMDGAHGQRQPMSPAISASAVLPQQRQMQLHHHHHHPARSAIADLFTLYLGMNSKQRIEDPTRETSNKLQKRVTAMNRDLPPRDEQFISDFEQLHMQFPDQEQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDSLLPSLLNVVSSVEVPMGQGVSVTTGGPATSSSSAIAVPNAPSFHPSNPTSPLSAMNTIGSPTQSGIDQPIGANVSPIKGAEFSSPGQLGLTARGDQSRRGAEISYLHHLSCRIILAGLESDLKPATHAVIFQHMVNWLVNWDQRPHGVDQADALQLQTLRLERPLHEWMHLCLDVIWILVNEDKCRVPFYELVRSNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVANLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALCTTPSPDWWRRVLLVAPCYRQHPQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNTLSSDPRKVETTRKIISFHKEDKSLDPNNISPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTSLDERAMGMFWVLSFTMAQPACEAVMNWFTSVGVADLIQGPNLQPNERMTMMRETYPLSMSLLSGLSINLCLKLAFQLEETIFLGQNVPSIAMVETYVRLLLITPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKVKRGEHRLFRLAENLCMNLILSLRDFFLVKKELKGPTEFTETLNRITIISLAITMKTRGIAEVEHIIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPTYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQLELQRGHQIQDLLSKAITNLAFFIWTHELLPLDILLLALIDRDDDPYALRLVINLLERPELQQRIKAFCTSRSPEHWLKNQPPKRVELQKALGNHLSGKERYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAVYSTFLAFHPLRFTFVRDILAYFYGHLPSKLIVRILNVLGVSTKTPFSESFAQYLASSNSSICPPPEYFANLLFGLVNNVIPPLSCKSKSNPSDAAGSTARTTYNKPHTSSAGGISNSDGQRAFYQNQDPGSYTQLVLETAAIEILSLCVPASQIVSSLVQIIAHVQAMLIQSNSGHGMSGGLGQNSGVPTSSGGGVEPVGANRPNTTASGINASNFVSRSGYSCQQLSVLMIQACGLLLAQLPPEFHTLLYAEAARIIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPHEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQASPPVEASEIADIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVMEILRPDVQHLLSHLKTDPNSSVYAATHPKLLPPHSCTADYGRQYYIEVGFVNAEGSWLYTIIGSTQADLIKHNKGERAVTQLLKDVDPLQTAVVLTLRRQRPRRELSPDYTCTRNSILEAWRARRLAADVSDGLTPSRLRLASAFPLLAASINPRAAPEPLQIKTRVSSSGSVHPSREIQIASQIRDQRVMGRQPCCEKVGLKKGPWTADEDQKLVTFLLSNGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEKLVIDLHEQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKKMGLDPVTHRPVMSLAQPDPLKQQQQQQEPSVSGGTGADDKEEEEETPTSAQPQGVACAASSASAVSSSCSSSASASAATPGADVDWPGLFEVDAILDIDWAGLLSACGDDGGCSAIGVDMLFDQCSDVGFDQDVWM >OGLUM02G32080.1 pep chromosome:ALNU02000000:2:31966000:31967636:-1 gene:OGLUM02G32080 transcript:OGLUM02G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQALAAVVVAIAVLAQSAAAAAAPPPAKGPVTYVFGDSMSDVGNNNYFPLSLAKSNYPWYGIDYPNGVATGRFTNGRTIGDYMADKFGVPSPPPFLSLSMVDDDVLGGVNFASGGAGILNETGVYFVQYFSFDQQISCFEMVKKAMIAKIGKEAAEVARLYGLGARKVAFNSLPPLGCIPSQRVHSGNGKCLDHVNGYAVEFNAAAKKLLDGMNAKLPGARMALADCYSVVMELIVHPEKHGFTTAHTSCCNVDTTVGGLCLPNSRPCSDRKAFVFWDAYHTSDAANRVIADLLWDAMPSAGSGGAATTPLAASPAPSPSRAP >OGLUM02G32090.1 pep chromosome:ALNU02000000:2:31968886:31974072:1 gene:OGLUM02G32090 transcript:OGLUM02G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G57930) TAIR;Acc:AT5G57930] MTGALAMMPSTSSPYPLLAPPTCSSRLPPLRCFVGLRWSAPRIQVREYPDAVAGIAKGAGGRGGRFRAPASSISQPCSRTIIITNEHVQNADFPPNYSKRVKKPFPIPVLELRRRAKERAKKAEGKPKRSLPPPKNGMLIKRLIPVAYKVYNARILLINNLKRLMKVIPVKGCKHCSEIHVGSVGHPFRTCKGMSSDKRRGQHDWGGTLVEAVFVPVEAYHLEDRLGKRIPHDQRFAVPRIPALVELCIQAGVNLPEYPTKRRRKPIIKIGKNEFVDANEDDLPDPEPYKLEHPILEELNDNEIIAPASPEEIVALAEETLEAWEVVRDGALKLMKGYAVRVCGYCPEVHIGASGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHLPESGEELQRDLKSFYGQAPAIVEICVQAGAKVPEKYKATMRLDIGIPTSLREAEMVV >OGLUM02G32100.1 pep chromosome:ALNU02000000:2:31972593:31974795:-1 gene:OGLUM02G32100 transcript:OGLUM02G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQEEGGLQAAGRRGGVVRALLGLGAIAEGAAAAEGGVPRKAGAGDGGGEERKAVVRVVAADMPPALQRRAFRCARDELAGMPRSPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPQPHCEDQTLSSYAKTLCIA >OGLUM02G32110.1 pep chromosome:ALNU02000000:2:31977222:31978157:1 gene:OGLUM02G32110 transcript:OGLUM02G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITCSRRRSLLQSSSRIFSSSRRSQLANALDAGADRCTSPSAAAAALALRRPCFLALVVGPSSLDPQEVGKRRSSQQQTAVLCTRDGAAATTGQSREEKEMESR >OGLUM02G32120.1 pep chromosome:ALNU02000000:2:31978637:31981601:1 gene:OGLUM02G32120 transcript:OGLUM02G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGAARSIVSLHPAVCFFYCVLPASRYSHECTHRRRRRGLGRFSISAPASSFAYLPSPLHRARLSPLHHATLTLLPDDLYHATARPTEEAVAAPRRHQHPAPVGARRAVTDAGRRSSHRLSASRGASDGGQLSPLSGRAAGGSPALRDSACPPLGRGGNSASPRVSLCLAGRSRLRSHSASPRPHKSLLQLPLSVAPLPPSQPPAADTGSQGGRGV >OGLUM02G32130.1 pep chromosome:ALNU02000000:2:31984484:31988249:1 gene:OGLUM02G32130 transcript:OGLUM02G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXV7] MLAASLRVEAVAVVAAAVLVLLLSPAAVVVVAGQHDYGDALHKSILFFEGQRSGRLPPDQRLRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATAKPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAGSIVFRDADPAYSKRLLDRAIAVFEFADKYRGPYSSSLHDAVCPCYCDFSGYKDELLWGAAWLHKASRRREYREYIKKNEVVLGASESINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCSLLPGISNHPQIQYSPGGLLFKVGGSNMQHVTSLSFLLLAYSNYLSHAGARVSCGAGGSASPTQLRRVAKRQVDYILGDNPLRMSYMVGYGARFPRRIHHRGSSLPSVAAHPARIGCKGGAAYYASAAPNPNLLVGAVVGGPSDATDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAEWADD >OGLUM02G32140.1 pep chromosome:ALNU02000000:2:31988561:31989215:-1 gene:OGLUM02G32140 transcript:OGLUM02G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAGMIPTSSSAETSPSSSDVDTESTGSSFFRDRSTTLGTLMGVSFDDDEEQQQQQQGEAARDGGEESERPRAAAAEEEEDGRRWRRRWRRRRWRGAGGSWWRLCRDDAGGTTSLGHFLHMERQLAGTGLLSGDGVEERESSTPLFDNGRALPAREERAKWQLRRSAQATSSSLVRLPVLLTAICSGGA >OGLUM02G32150.1 pep chromosome:ALNU02000000:2:31991250:31991774:-1 gene:OGLUM02G32150 transcript:OGLUM02G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVVSAAASCGRLRRSRSRSPPPAVLDPSQSPLSLEREAEPELIRVFRCFDTDGDGLISAAEMREFYGCSVDEAEEMVAAADRDGDGFVSIEELRAVMEGGGLDALRAAFDEYDEDGNGVITAEELRRALRRLNLDGMDLTAEQCAEIVAAVDSDGDGVISFDEFKAMMSKQA >OGLUM02G32160.1 pep chromosome:ALNU02000000:2:31993260:31998270:1 gene:OGLUM02G32160 transcript:OGLUM02G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGGEGSVGAAALEAVMAVEGQEATPSAEGGMQGAGVVEAQLAARPRARLCHCLRDPRNLNKKLDGVVCFPDLYYMF >OGLUM02G32170.1 pep chromosome:ALNU02000000:2:31996578:31997213:-1 gene:OGLUM02G32170 transcript:OGLUM02G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLSCGCLCRSRSRSRSLSPPPPSDRLDTEPERGRVFRCFDTDGDGRHLSAAEIREFYGCGKAKETVAAADRQNGDGFLSIEELRAVMEDGDSEALHAVFDEYDEDSIEELRAVMEDGDSEALQAVFNEYDEDGDGVVTAEELRRAMHRLGGVDLTAEECAEIVAAADSDGDGVISFDEFKAMMAKYAETASSPSTSSSP >OGLUM02G32180.1 pep chromosome:ALNU02000000:2:31998684:32002972:1 gene:OGLUM02G32180 transcript:OGLUM02G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVPEELWAMRLGLRLLQERAKSDSFWWPYIANLPETFTVPIFFPGEDIKNLQYAPLLHQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSPLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >OGLUM02G32180.2 pep chromosome:ALNU02000000:2:31999091:32002972:1 gene:OGLUM02G32180 transcript:OGLUM02G32180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVNSSSLGWAMSAASTRAFRLHGEIPMLLPLIDMCNHSFNPNARIVQEGNVDSPDMSVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSPLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >OGLUM02G32180.3 pep chromosome:ALNU02000000:2:31999091:32002972:1 gene:OGLUM02G32180 transcript:OGLUM02G32180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G24610) TAIR;Acc:AT1G24610] MAAAAAAGATPATARKALLTTTATLLSSSLARSRRSLSCSAAAASAAPRIAPQPPDLLRWVQREGGFVHPALRVVDHPEHGLGVSAAAAEGDIPPGDVLIALPGRLPLRLRRPAGAADAVLVQLADQVNKRCRFLLEFEKEVKHKLGTVPLEDHPFCGQDVVAETKIDQNAAVTLNYGCYPNDFFLLDYGFVITSNSYDQVELSYDGTLLDAASMAAGVSSPNFSAPAKWQQDILSPLNLYGEGAILKVSIGGPEIVDGRLLAALRVIIAADPDAVSGHDLKTLMSLKEKAPLGPAVEASALRTVLALCTFALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKLLLLDVIQNLSRRIKMLALDKSTV >OGLUM02G32190.1 pep chromosome:ALNU02000000:2:32002157:32002399:-1 gene:OGLUM02G32190 transcript:OGLUM02G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRDVDAGQQQRRLVVVHSQVRRIKQEEGEKVKVDETYQHQVSEMRRMVVLRDMEARQRSRSPLGRAARPAISIGGDS >OGLUM02G32200.1 pep chromosome:ALNU02000000:2:32020084:32022657:1 gene:OGLUM02G32200 transcript:OGLUM02G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVARQGRELQRYTSAGGRIVVGCIPYRVRSGGEMEVLVITSQKGHGMMFPKGGWELDESMDEAARREALEEAGVRGDTETSLGCWYYKSRRYDTTYEGFMFPLRVTDELLQWPEMSSRKRTWATVQQAMDGCQHGWMREALERLVSRHATNKLQSAL >OGLUM02G32210.1 pep chromosome:ALNU02000000:2:32026532:32028153:1 gene:OGLUM02G32210 transcript:OGLUM02G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSACNGAAVLGAAAAVVIVGFLVMSAAPLAEAARYTVGDSGGWRFYAEGWAKGKTFRAGDVLEFKYNAVVHDVAAVDLAAYRSCTVPKGVRKMRSGRDKVTLRKGTHYFICTEPGHCKAGMKLAVRAI >OGLUM02G32220.1 pep chromosome:ALNU02000000:2:32029874:32031490:1 gene:OGLUM02G32220 transcript:OGLUM02G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGDGCGHGSRPVRLVVLLKGSPAAAAVGAATELQKHVAFFDSNHDGIISFSETYEGFRALGFGVVTSRFSATVINGALGTKTRPENATASRFSIYIENIHKGVHGSDTGAFDSEGRFVNEKFDEIFTKHAKTVPDGLTAAELDEMLRANREPKDYKGWVGASTEWETTFKLGKDKDGFLRKDTVRTVYDGSFFSKVASKKKGPSANQA >OGLUM02G32230.1 pep chromosome:ALNU02000000:2:32036102:32045209:1 gene:OGLUM02G32230 transcript:OGLUM02G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLVKAYKQPAKRDDGRSTVAAVPGGSQPAAAYGEASGAGGMTALQKHAAFFDKDNDGFVSPTETYDGELQRPSKFLGYAPSDLELASPA >OGLUM02G32240.1 pep chromosome:ALNU02000000:2:32053884:32054282:1 gene:OGLUM02G32240 transcript:OGLUM02G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTLPGLDGLRRGMISVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHHCTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLDQLQQRVDKLKKRDD >OGLUM02G32250.1 pep chromosome:ALNU02000000:2:32059044:32062399:1 gene:OGLUM02G32250 transcript:OGLUM02G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDNVHMVHETNGISMDSDQSGPQLLRSAQPRHRETQNRRTRGPAHGISPDIRPISRDPAYLARLNRQPSQPSGSSMATATATATSVAAALLLSLLLARARGDDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANSAPYSPYANFTSPTSNSVVGVYQCRSDLPASVCTGCVRSAISRLSSLCAWATGGAVQLRACFVRYGNDTFLGKQDTSVLFKKCGGSPGDA >OGLUM02G32250.2 pep chromosome:ALNU02000000:2:32059044:32062399:1 gene:OGLUM02G32250 transcript:OGLUM02G32250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWSTKRMEFPWTRTSQAHNCCAQPNRDIARRKTAGRVGLHTESRLISGPSHAIRPTWLASTDVNSRTRTCCSKNSSAPEASQLSPPVEPSQPSGSSMATATATATSVAAALLLSLLLARARGDDDYSGFVYAGCSQGRYASGTQYASDVDSVLTSVANSAPYSPYANFTSPTSNSVVGVYQCRSDLPASVCTGCVRSAISRLSSLCAWATGGAVQLRACFVRYGNDTFLGKQDTSVLFKKCGGSPGDA >OGLUM02G32260.1 pep chromosome:ALNU02000000:2:32070064:32073137:1 gene:OGLUM02G32260 transcript:OGLUM02G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSCLACRFLWGSTPSPHPALGRSTTPPHAARWYKRPLPRAAAAASVVASAAVWLFGHQTNASPRPNPSLTYCSEAFLARSALPESHPDTVRVRRVAANIVSTTLEDAVFGQRRLSERIGHRFVPGINWRVHVINDDKSLACCLESGEILVFTGFLNAYCQKDDDLATTLGHEQQHDSDFDGTDDDEDEEEGSEASSSGEEEKGRRWERRIGYYEHAASRRCDLPQAAAGYDPRANPNFWEALMKFGNGEGTTHPPLQRRAAEVRQEKVMGEALEVFREAVQRHVHA >OGLUM02G32270.1 pep chromosome:ALNU02000000:2:32077148:32077746:1 gene:OGLUM02G32270 transcript:OGLUM02G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAEHDVSERAGPSPRCLACRLLPRVAAAAAVVSIGSARSSGCARRSAKSPRPVPSRTATPTRVRVRRVAANIIAAACEDKVFEQRIGCRRGPGMYSAVRGLNWRVRVIDADRGIRRFCRKDADLAATVLSHEVGLGVDRKLVPR >OGLUM02G32280.1 pep chromosome:ALNU02000000:2:32077840:32082007:1 gene:OGLUM02G32280 transcript:OGLUM02G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPRLPPSPPLPQAPPPGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >OGLUM02G32280.2 pep chromosome:ALNU02000000:2:32078122:32082007:1 gene:OGLUM02G32280 transcript:OGLUM02G32280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKNSRSVLSRLLRHKPTGCPRLPPSPPLPQAPPPGYYFTSPSRPEAVRFGRVLLRSPPPPPRPAQAPPSRYFYTSPQRQKVVHFNRRRGSRWYHDPRKLTTVVVVSGGAAAAVYFGNLETVPYTNRTHLILLSPPLERQLGESQFNNLKKELGPKILPPLHPDSIRVRLIASEVVRAVHRGLAGRHHDAFAADDASYGDISTDVVIKNHEAGAEDVMLGRSRGNKNASVAAAAQRDEEVLDDRWVTESRDRGKARGAQPETRHLDGLNWEVIVVRDDLINAMCLPGGKIVVFTGLLNHFKTDAEIATVLGHEVDLGFPGSCVILVGHAIARHAAEMITKNLWFWILQIVIMQFIYMPDMINAMSTLLLKLPFSRRMEIEADHIGLLVLGAAGYDPRVAPSVYEKLGKIAGDSTLSNYLSTHPSSKKRAQLLRQAKVMDEALRLYREVSSGQGTEGFL >OGLUM02G32290.1 pep chromosome:ALNU02000000:2:32084066:32087334:-1 gene:OGLUM02G32290 transcript:OGLUM02G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXX7] MASLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSSPEVASEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEIIKSAIEKLKLRHKEHISAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >OGLUM02G32310.1 pep chromosome:ALNU02000000:2:32100325:32103899:1 gene:OGLUM02G32310 transcript:OGLUM02G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G01680) TAIR;Acc:AT2G01680] MDLPPLSHQALFAAVRSADAAAVAGLLADAGASGPTTQALAAAQTDAGETALYVAAEAGSEEIVRLLIPLYDLEAATVRSRLDLDAFHVAAKQGHTGAVKEFLGRWPELCSICDSSNTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKANTALHIATRKWRPQMVQLLLSYEALEVNAINNQNETAMDLAEKVPYGESKMEIIKWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLNENAKTNKRVTGIAKELRKLHREAVQNTINSVTMVATLIASIAFVAIFNLPGQYYVDRDSGGDIGEAHIANLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKRVIKIVNKLMWSACLSTCAAFISLAYVVVGPQNAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGEDRQRRIKRASGSKSFSWSIHEGISDLEAFSDHEKRIYAL >OGLUM02G32320.1 pep chromosome:ALNU02000000:2:32104435:32106502:1 gene:OGLUM02G32320 transcript:OGLUM02G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCRLLHPYPQPLPLPPPPPPPTSTPRPTHLQWGALRRRRCHHFLRCVAASAATLQKELTVPRTPTAAQSPGPVNPPTLFDRMPERSVATVSAAGNLLDEMSRTCGAGQRGRPVEAPPRDGGGKSASAAIVALAHAGRHAEVVELFCRMRRGGVPVSRFVLPSVLAACAGLRDIGMLRAVHALVIKCGLCQHVIVGTALVDGYTDFGLVDDARKAFDEITDANIVSWSVLIGGYARSSRWEETLDAFSAMRRAGVLPNDSVLVMAIQACGALGRLVHGKQLHGLAVVLGFDRNATVWNCLMDMYGKCGDIDSCKMVFETMIGRDQVSWNTLISSYARVGLCEEALDMIVQMQESGFIVDRFTLGSGVTACARLADIDSGRAFHGYLVRRLLDTDVIQGSALVDMYGKCHNMELAHIVFDRMDERNYVSWDALLSGYVENEQVDLALEIFQQMGCANIKYNQHNFANLLKLCGSQKYKEYGRQIHGHAIKTINKMNVVLETELIDMYAKCGCIEVARLLFLRMNERNLISWNALLSGYAADGQPVATINIYRQMELACIRPDKYTLAGLLSLCRYQGLLHYGRQIHAHLIKMGSEMNVVMQTILVHMYIKCMRQQDAENVCIMIEERNSYVLDAFSKVYGDDYLI >OGLUM02G32330.1 pep chromosome:ALNU02000000:2:32107705:32109769:-1 gene:OGLUM02G32330 transcript:OGLUM02G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAASSPSPEQPLLRPSAARAGSGSGNLSSSPPPPAARPSRLAALIGRAAGRRGPSMLVRETAAMQLERRRADWAHSRPVVALDIAWNVAFAAAAAAVLVASTEESPVTPLRLWLVGYALQCLVHVGLVCSDSRRRPAHARSSDVESADGDAAGAGTDSSDSDDDDDEGREQRSSFAKRCESINTMVSFLWWIIGFYWVVSGGDVLEHDAPRLYWYCGPKPVPITDYFMIVQSNVHMLSVVFLAFDVFFAVFCVAMACFIGIALCCCLPCVIAILYALAGQEGASDADIGFLPRYRYSDPSEDGQKGTDEGVMIPVLNNSGTSTSERILLHEDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSESA >OGLUM02G32340.1 pep chromosome:ALNU02000000:2:32110382:32110921:-1 gene:OGLUM02G32340 transcript:OGLUM02G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPAKAAVVAEEEEEATVGAQPPLPARSPGKGMTLLDVYEVEWITRELERVLVSEKEEATVGAQPPLPARSPGKGTTLLDVYEVEWITRELERLLVRESGCRGGGGGGRAGGDGRRRRKGTTTKAAAGSYTRPATDKGGFLTELLGRHAVSVCGDTAAVVSGGRARRGRGSFREVEKV >OGLUM02G32350.1 pep chromosome:ALNU02000000:2:32143837:32149440:1 gene:OGLUM02G32350 transcript:OGLUM02G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGEEGSASALRGSARRRGAVQPAGLDADELLTLMHGSDPVKVELNRLENEVRDKDRELGDAHAEIKALRLSERAREKAVEELTAEYEKLDEKLKLTESLLESKNLELKKTNDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLEAERTVEIALAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPTEGKSISNGPSRRLSLGGADNMSKISPNGMLARRSPSFNSRSSLSTSSSLVIKHAKGTSRSFDGGTRSLDRGKVLGNGPHLLNRSTDAVRDCETTDDWKAANTEEKGSEATNSSSTDTVSGVLYDMLQKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRLDKDQENKAKRPGNFKGPGTTSQAPHGSTINS >OGLUM02G32360.1 pep chromosome:ALNU02000000:2:32152318:32162428:1 gene:OGLUM02G32360 transcript:OGLUM02G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G14790) TAIR;Acc:AT1G14790] MGVKTLQVSGFALDDSADYVKDLLERIVGCGNVYAVKLRHPKNVTATSRAYAIVQFQTEEHASLVKNAAQRKILRRGHYYLKVHPSDRDIVPRPRVSMFKLEDVTLHFGCLLKETILSALWSRTGVSVEFGFNLKKIYFYLQLPNSSIEYKLELSYESIWEIQLQRPPKSQTKFLLIQVQAAPKIYEQTPRRSGVMYEDPLFNYFRDHTDDQWTRTTDFTSSSSIGQSYILCLEVPRRCDLPNIRDYFFYYHEYNHDFECRSGGYPYSSDTRFVPIVKSRGYVPYEILFKINHLVQNGTLSGPTVDDSFFRLVSPAFVPIDHIKRALEMMSYLKKTCLNPTSWLSEQYSKFQRSRYVQPSPNISLDDGLVYVYRVQVTPAKVYFYGPEINVSNRVVRNFSSDIENFLRISFVDEDCEKLRATDLSPRSASGHDANRTALYKRVLSVLSDGITIGGKNFEFLAFSSSQLRDNSAWMFASRQGLAASDIRTWMGDFRNIRNVAKYAARLGQSFSSSTETLKVQKYEVEEISDIKNGTQHVFSDGIGKISSAFANEVAMKCNLKRFAPSAFQIRYGGYKGVVAVDPTSRWKLSLRKSMLKFQSDNITVDVLAYSKYQPGFLNRQLITLLSTLGVRDSVFEQKQEEAVNQLNKMVTDPQAAIEAIELMPMGEITNAVKELLLCGYQPDDEPYLSMLLQTFRASKLLELKTKSRILIPKGRAMMGCLDETRTLKYGQVFIRATSGVNDNDRPHPNECSGSDLDGDIYFVSWDPSLIPPRMVTPMDYTPAPTETLDHDVTIEEVEEYFTNYIVNESLGMIANAHVVFADKEDLKAESSPCIELAKLFSIAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYESKGVIGKLYREIKKHTPHIKHFTREVARRSYDTDMIVDGYEDYITEAMALKDEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKKSDADAIRLAVRSLRKEARSRFSEMSLDDNGHGHDASEAKASAWYHVTYHPEFWGCYNEGYERPHFISFPWCIYEKLLRIKQRRKFVRKMQPELFSLHNLRI >OGLUM02G32370.1 pep chromosome:ALNU02000000:2:32160217:32166829:-1 gene:OGLUM02G32370 transcript:OGLUM02G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAEKAVRCLGRGFDMAGDLRLKYCKGGSAGCLVERRGETAPLTVPGVGVIADVPADVRCDKGDRVRFKSDVLEFNKMSELFNQRSSVEGKIPSGQFNASFDLDSGSWAHDAPHTRCLAMDGYFISLFDLRLDHRHFTLAAGVLADVPPAWDPSAIARFIEKYGTHVIVELSMGGQDVVYVKQDKSSSLSPSEIKEHLDRLGDQLFTGTCAMPPLHCRSKDKFKIPEAFNVFDAQVAQQRLHGITTLVSSKEGVTVIYSKRGGNTTVSSHSEWLLTVPAMPDVINVKLVPITSLIRGVPGTGFLSHAINLYLRYKPPVADLRYFLDFQHHCVWAPVLGELPLGPCSHRQGSSPALHFSLLGSKLYVSSTEVVVPKLPVTGMRLHLEGKKNNRLGIHLQHLSTTPTFVAAARADKPPVWRGTEAVTDDRYYEPVQWRMLARVCTAPVKYDPRWCAGDRRRRPAACVVAGAQLHVVAHDAANNVLHLRLLYSQLPGYAVVQSKWARGAARPPSGRSSSFLSIPFSGSPSTSGGAAEKGGRPEQGASPVGVANVNSGVFAGGPPVPVGAQKLLKFVDTSQVTMGPQDSPGYWLVTGARLDVDKGKIMLHVKFSLLAQVS >OGLUM02G32380.1 pep chromosome:ALNU02000000:2:32168226:32172006:-1 gene:OGLUM02G32380 transcript:OGLUM02G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine 1 [Source:Projected from Arabidopsis thaliana (AT3G17810) TAIR;Acc:AT3G17810] MESLTLRASPSTAAPLRRVPGRRHAAVSVRASAGAGEPDLSVRVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYARLRADPNGSTKSPIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITKPARISLKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMMKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYGLVKKLCAELQDFMRQHNFSSIEDFRGASLPYFTTHTDLVHRQREAINQRKAIRKGLESDKDWTGDGFVKETESMQTCRAHKLFHVNIKSGSLSFGISGLDLVKPQDFKSLLDRVPE >OGLUM02G32390.1 pep chromosome:ALNU02000000:2:32173854:32179128:-1 gene:OGLUM02G32390 transcript:OGLUM02G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52640) TAIR;Acc:AT3G52640] MGGGSTAPLLAAFACVFLAVFPPVASGDAATLESVPDLVKAMYINVESFPCVRLLNHSGQVDPGHDKVIAPIVRFGNRNDQLVQLSAVLLPLNQMTDFFLRVSNDPELYRKIAGVLVEANGVDNMLEFSPDRKFPQQAFAPYSNLSHHWNPTGSGIMWNKYDFPVFLLSEESTQTLQNLADKNEKSANGYLANVAEFDLVMQTTKAGTHDSESCLREQSCLPLGGQSVWTSLPPISNSSTKHQKPIIMVTASQDSASFFRDRSLGADSPISGLIALLTAVDALSHLHDISNLKKQLVFAVFNGEAWGYLGSRKFLQELDQGADSVNGISSLLIDQVLEIGSVGKAISQGYPLFYAHAAGNSSISMKMVDALQSASESLGSDNVKVKPAASSNPGVPPSSLMSFLGKNSSTPGLVLEDFDSQFSNRFYHSTLDGPANVNSSSIAAAAALIARSLYILASADLPIDLITLNTIKVNVSLVEELIGCLLKCDPGLSCGIVKSFISPSNSCPSHYVGVFQDLPAGTQFPSYADDISQFIWNFLADRTSSLAGNSSSCTGQCHDEGEICVGAEVEGGGRCVVSTTRYVPAYSTRLKFEDNVWHVLPVNSSDPFSAADPVWTESFWNTIGLRVYAVQATSYDWLVLLIGIIITAASYFAVIVGRSYISKIIKRD >OGLUM02G32400.1 pep chromosome:ALNU02000000:2:32179243:32187543:1 gene:OGLUM02G32400 transcript:OGLUM02G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G33390) TAIR;Acc:AT1G33390] MSLGFYGPFSFGPLGVTNKPGPNWIPSTLRLGPSPPPPIASTSEGCRLGGGGGGGAPASLCRSISSLQRGGRCPISPRGPAPPACPLADAADVGEHQLTGSSMEDSNALILPCKRKNKGQGKDKDGKKIKEDPKMSKSKLKKLHKLEEEKQKKLLQAQSIEILRKHKISDDAYSLLHASGTIGQAETLKEKRRRAVQFSKAGLNVPEELSLLKKDGDQKASENSEAAEEDYLDKIVDSAKNEDPQRKCNNHINNVAMKPVECKLVIDVGLSDQEPKTEGSDGVPNISANQAIQSCVPSCSGEEILQDKEPGQEERTVQECFNPPIVVPVSRPHEVEKTRRDLPIIMMEQEIMEAIYENSVVILCGETGCGKTTQVPQFLYEAGFGTSNRSDRKGIIGITQPRRVAVLATARRVSYELGLKLGKEVGFQVRHDKMVGSKCSIKFMTDGILLREVQSDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKIRKSLYIEQQEKIRCGLSINPEDKISQLKVVLMSATLQLKDFISNRRLFDVIPPAIKVPVRQFPVTVHFSKSTHDDYLGQAYKKVMSIHKKLPQGGILVFVTGQREVDYLCKKLQRASKQQTDKKTEKVEGDENGSSQEVVEREISEAYDIDRDESEHQDDMFSQYDEDESNAGPSVDSSDIEMEPEMDTDSEDDDSVVYETTEEDGPVLAFLKGAEGSSGLKASFKAISRVSGEPESTDVPSNATILEESSHVPCTSKCSEPRSVSHGKLRVLPLYAMLPASQQLRVFQDIPDGERLVVVATNVAETSLTIPGIKYVVDTGKQKVKNYNHATGTASYEIQWISKASASQRSGRAGRMGPGHCYRLYSAAAYGKDELFREFSEPEIKNIPVDGVVLMLKFMNINKVENFPFPTPPDKESLVEAERCLKVLEALDSKGEPTSMGKAMAQYPMSPRHSRLLLTIVKILNSQRCFSRPNFILGYAAAAASALSFTNPFLTQNEFSGESKQDNPDSEDKDRQERKRQKKLKAMVREAHTKFSNPSSDALSISRALQLFELSENPVEFCRVNSLHLKTMEEMSKLRKQLLRLIFHHSKFCEEFSWKFGVSEDVEEAWRHESDKKPMQLNEEELLGQGICAGWADRVAKRIRAFPGPSKDDKKVRAVHYQSCAFNDTIYLHRSSSVARIAPEFVVYSELLHTKRSYMHGVTSVKPGWILKYASSLCTFSAPLEDPKPYYEPQKDQVYCYVFACALLKGDVLPCLKVIQKFLALSPSVLLGPVSQRRVGDLLDRMKIGSKLIDSRAALRDVWNFNPDFLYPEIKAWIQDKFHSQFGAIWEQMHKEVVLEGDELFPKRYKKVKDTDGSNQLPQHDCSTRSSEGKAGEDGGNWSGSGARWRQRESVSEMMSARLRLNFFGGGFRRWKLASSHRPCGAMAVAHGRGKSSTGPSDLRLNRSRRRLSAALAIKFIIFSSSSCTVGRRKHLQEQCVWPCVEVGENRIQ >OGLUM02G32410.1 pep chromosome:ALNU02000000:2:32180284:32185055:-1 gene:OGLUM02G32410 transcript:OGLUM02G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIPVGELGEAIIYFSGFQDLGTAVLSLSGCCSGEGKIRNNFPHRLHYIGSDQEYYSDDETNEIQVYINIYTSQSFLISLYYYPIEYPETLEAAVKLTGYLCQSQLHTRTADNKKHIMSNEYKQMVIFLCSLAVFRSFLIAVFL >OGLUM02G32420.1 pep chromosome:ALNU02000000:2:32185390:32186312:-1 gene:OGLUM02G32420 transcript:OGLUM02G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGRWLLANFHLRKPPPKKFRRGGGKKPPPRGVHPRYVPKRGSVLKRIVRRMLGLFVFLLPQQGGGGAANGSGGGGRVRPAAPPVDDGGEQGKSADDFYRNTQFFIFRCCEQTNNRTLCLNKGKWGNFCHHNYH >OGLUM02G32430.1 pep chromosome:ALNU02000000:2:32198763:32198993:1 gene:OGLUM02G32430 transcript:OGLUM02G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIRKLVLSLIVMVMVFLVVSGTAAARPLAGQEWAGEDTAGDDSVVVRFLRQLYLHKLAGPGHSCKTYSPNGGC >OGLUM02G32440.1 pep chromosome:ALNU02000000:2:32200275:32201317:1 gene:OGLUM02G32440 transcript:OGLUM02G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPFHSFFSLDSPLLPQAATAQGRPAPGRRPAGAPCRRRLGPVVVVLILVVGALFLILGPTGSSSFTMPRIRTEFNEPVHVAVAAPAPPPTQMQAGVNTSGEEDSGLPPTTSASALTARSSCSMSSRRRASPPGSTPDPSPSAARRCRRRRRRSAAPVFVVVIVAALLPPSSSRSDAATVVVAAMPPPPSSQRCPCCHRRRRSAPSLSQCRRLSPLRSFAAAASEGREESARREHIGPKGN >OGLUM02G32450.1 pep chromosome:ALNU02000000:2:32202685:32202909:1 gene:OGLUM02G32450 transcript:OGLUM02G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRFVLPLMVAVLMLLVVSGSARPLGDGDKWAGVATSGDHSVIQFLKHLYLQQLAHSGPSCNTNDPNIPPCHP >OGLUM02G32460.1 pep chromosome:ALNU02000000:2:32213609:32214982:-1 gene:OGLUM02G32460 transcript:OGLUM02G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXZ3] MVTPMTRARARARAVRLAVSEIPLVVRRSARQQQQQPPEPAADVPDHFLCPISLDMMRDPVTAPTGITYDRDGVEVWLERGRPTCPVTGRPLRPEELVPNHATRRMIQEWCVANRALGVERVPTPRVPVSAADAREILEGVAAAARRGDAAACGRMVARARALGKESERNRRCLASAGAERALALAFSRLAAASSDQQAEARACALEEILAALVVFFPLDEESRCCIASPPSLDTLVSILSHGEQVTRVSAVVVLREIASSCDNQCLEAMSKANAMYDALVNLVAKPVSPQATKAALVTAYYLVKNDIEHAASRLVDLGTVELLVELLADADKGTTEKALAVLDTVLVAAKARDRAYAHALAVPVLAKKTMHVSDMATEFAVSALWRLCKNSPADGGCKAEALQVGAFQKLLLLLQLGCDGVTKERASELLRLLNASRDSTECIETADFKGLKRPFI >OGLUM02G32470.1 pep chromosome:ALNU02000000:2:32221241:32222562:1 gene:OGLUM02G32470 transcript:OGLUM02G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAALAAEDGRGPFEHEDGGAEAADELEDDLAVLLPDVGPQLGEEVAGLREGEQRGGALEDGGDYGGSGSSGFHLLKQRQLLKQQREQQLAAATAATWGTHRAGVGPPLGLNSSGWPPLQKSHQQASSAAGMRAVFLSPPGGKPERTGTGMHAVLLPDVELQLGEEVAGPREGEQRGGALEDGDEDGGSGSSGGRRGRR >OGLUM02G32480.1 pep chromosome:ALNU02000000:2:32230659:32237339:1 gene:OGLUM02G32480 transcript:OGLUM02G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAAFHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDRRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >OGLUM02G32480.2 pep chromosome:ALNU02000000:2:32230659:32237339:1 gene:OGLUM02G32480 transcript:OGLUM02G32480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAAFHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDRRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >OGLUM02G32480.3 pep chromosome:ALNU02000000:2:32230659:32237339:1 gene:OGLUM02G32480 transcript:OGLUM02G32480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAAFHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGCLVVVVSSNQIPTNRYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRLVASFSWHKFVGCFLLGSCTNSGNLSRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLVVQRSKILPFDVGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDRRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >OGLUM02G32480.4 pep chromosome:ALNU02000000:2:32230659:32237339:1 gene:OGLUM02G32480 transcript:OGLUM02G32480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPEARSGWRNAAAAAWVLVAVACAAAFHWHLRRETMDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQDTFAKYTARTSFERPLLNGVAYAQRIFHHEREMFENQQGWIMKTMKRQAAPPQDEYAPVIFSQDTVSYLARIDMMSGEEDRENILRARATGKAVLTNPFRLLGSNHLGVVLTFAVYRPGLAADASVEERVEATAGYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEPMAMYGPQSPDGKVSLFHVSTLDFGDPFRAHEMRCRLVASFSWHKFVGCFLLGSCTNSGNLSRYRQKPPLPWSAITNPLGTFVIWMLVGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLVVQRSKILPFDVGMLDMLLGTDLSMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSREKCIELAVFVCDDVPKVVIGDPWRYRQILTNLVGNAVKFTERGHVFVRVCLAENSKVEANQVLNGTMNGKDGKVETTANGAFNTLSGFQAADERNNWDYFKLLLSDKEPHMDELECDRSYQNDCDCVTLMISIEDTGVGIPLHAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSAVLKRSCKDTSSDSKRSLSEALPTAFKGMKAILVDRRPVRGAVTRYHLNRLGIVVKVVNNLSMGLQTLAGQNGVKESREKLSMLFIESDIWRPETDILLLNRLHELKNNGQVHELPKLVLLVTSEADKDRYGSAFDIVMYKPIRASTIASCLQQLLKVVMPERKDNQNRPSFLRSLLIGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAVSLLQQPHCFDACFMDVQMPEMDGFEATRQIRQMEVKANEERKALDLMEGSTFVESHLPVLAMTADVIQATYEECIKSGMDGYVSKPFDEEQLYQAVSRLVVGTKESAV >OGLUM02G32490.1 pep chromosome:ALNU02000000:2:32247171:32250974:1 gene:OGLUM02G32490 transcript:OGLUM02G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YXZ9] MRAAVQGRAHAWTTTTTTPPGAMRGRALVLVAALLLQLLLLAAAGGAGAAATERKAHNYEDALRKSLLYFEAQRSGRLPHNQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSMIEYGDDVEAAGELGHALEAIKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASIVFRKSNPHYASLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATAKAHYLDYVVDNADCFGGTGWAITEFSWDVKYAGVQILAARLLLRGEHEERHRSTLEQYRAKAEHYVCGCLGRNADGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLAAYADYLGDDADGAVSCAGGETAGAGEVAALARAQVDYVLGTNPRGISYLVGYGAKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLNRMARQEREQEEVAAPARSTAADV >OGLUM02G32500.1 pep chromosome:ALNU02000000:2:32259982:32269034:-1 gene:OGLUM02G32500 transcript:OGLUM02G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTAPSIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVVEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGYLLKKSAKTNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSKISAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >OGLUM02G32500.2 pep chromosome:ALNU02000000:2:32259980:32269034:-1 gene:OGLUM02G32500 transcript:OGLUM02G32500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMEAMDELVQLAESMRQAASLLADDDPSDEASPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGASGGSGKGRNDEIYLKLRTSTAPSIKLVDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQASADAKTVSCVQAILSNKGAPRAAAEIEWVALIGQSVSIASAQAGSVGSENSLETAWQAEAETLKSILTGAPRSKLGRIALVDTIAKQIRKRMKIRLPNLLSGLQGKSQIVQNELARLGEQMVSSAEGTRAVALELCREFEDKFLAHVTSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVVEIATNALDVFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDEVKNRSSKKAQDAEQSNKGSGTGSEQSGGALKSLKEKFSQQDKDKDAKEGPNLQVAGPGGEITAGYLLKKSAKTNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEELSKSLKDSKKANGAEKGPSLVFKITNRVAYKTVLKSHSAVILKAETIADKVEWMKKIRGVIQSKGGSVKGLNTPEGGSMRQSHSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSKISAQTNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVASYTNDSSGAESSPRTSGQSGEDWRSAFDSASNGSVDRSSSHNETRSRSADSRGKRYENGDVNGGNSGSRRTPNRLPPAPPGQKY >OGLUM02G32510.1 pep chromosome:ALNU02000000:2:32270709:32281078:1 gene:OGLUM02G32510 transcript:OGLUM02G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DExH-box ATP-dependent RNA helicase DExH15 chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G70070) UniProtKB/Swiss-Prot;Acc:B9DFG3] MHCLAPHFLLFLPLSSASRHALPHTPPAPLLLPSHPVQAQAQARAGPLRLPSARSPSRAAAPVSDDDEDEEDDEEIDIRDDADVDEEYDDDDEEELDEESGGEEEEEEEGDDGVEELEEEEGGREGTAARRRRSEEYKSQRVGKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMAASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSHKRPVPLTWHFSKKFALVPLLDGKGKKMNRKLRMSHFQNLSSPKSEFYYVKGKRKLRTTKNEQGNRSPLDISKQVQLSKHELTNMRRSQVPLIRDTLSQLWENDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELKRFRMQYPDAIRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTSVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHSVLVQTTYEGPEECCDVIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDIKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEELERIQSEIQYLSSEITDESIDRKCREELCEEDYAEISLLQKKLKEEKQMRNELKKRMELERMVAWKTRLEEFESGHLPFMCLQYKDKDSVQHTIPAVFIGSLSSFADQKIVSLVENDSPVAGKQKVDNEGQQYYPSYYVALSSDNSWYLFTEKWIKAVYKTGLPAVPSAEGGPLPRETLKQLLLREDMMWDKIAKSEYGSLLCMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECHKKQRKKVSQLKKTIRSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIRQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAICGSLVSEGIKLRPWKNSSYVYEPSSVVTGVINYLEEQRNSLVDLQEKHSVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACNIMDRVPISELAG >OGLUM02G32520.1 pep chromosome:ALNU02000000:2:32280136:32284112:-1 gene:OGLUM02G32520 transcript:OGLUM02G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY03] MAPAPSPTPLPLFLLLLLLVGVAPLAAAQGQNIQTRFPSTRTPAFATPPPITSPSPSPGTPTATPSSSPPSSSGKRSDIAVAVVSTALSSFAVSGLAFFLFLRHGKKRELTEAGGAGQHYGGAQGGALTGKRPEREPKRPARGNMVDENGLDAIYWREFEKEGDGGRGRKPPASRRPPQPPPPRPYRAERRQDAHESSAPSPPRSRKNRIDQEPLIPRGSLDSASAEFDESLYARSAGSTSSFSVAAAEAYARPPSTPAITAVSSVPRPSPSPAPAPAARPASPSPSLPLPPGRESPSRPQSIAAAAVASPAPPPPPPPKPAAAAPPPPPPPKAAPPPPPPKGPPPPPPAKGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASRPPAAPGVPTGSADQQAKLKPLHWDKVNVAATDHSMVWDNITGGSFNLDEGIIEALFGTAAVNRKTKPADSKDASGGSTSAGLGRSNSPEQIFLLEPRKSHNISIILRSLTVGREEIIDALLNGHTELSTEVLEKLSRLNISKEEENTLLKFSGNPDRLAPAEFFLLRLLLDVPSPFARVNALLFKANYAAEVAQLKQSLRTLEMASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTTLLHFVIEEVVRSEGKRLAINRNYSLRRSGSLAKSTDGGNPAASSTSQGPSREERQNEYLNLGLPIVGGLSTEFANVKKAALVDYDTVVNECAILGNRLAGTKKLLETYGDDGFARGLRGFVKAAEQELNELKGNQEKVLELVQRTTEYYHTGATKDKNAHPLQLFIIVRDFLGMVDQACVDIKRKLQQQKKPTPPPSSSQPAAPAATTKGAADDAPAPAQKPPEEVDSKRKRVMPRFPNLPAHFMKDNADSDSSSDEE >OGLUM02G32530.1 pep chromosome:ALNU02000000:2:32289349:32290218:-1 gene:OGLUM02G32530 transcript:OGLUM02G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRFEMSNTPEDYVAICKSLRNPCASEDGTRRQPSRRSPPRCKLETASTELDLAIANMGTTLHLPLRHIAQRVSVGVLVLVRPICGWGRHHGGDGQPRSHNGGDGTHLAIWRGKTSIRSSFQNFLCKKTTLPFHRCGTTQTTKRYLVVPINFSRWIQFI >OGLUM02G32540.1 pep chromosome:ALNU02000000:2:32298010:32299113:-1 gene:OGLUM02G32540 transcript:OGLUM02G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY05] MRAGLALVVVVVAVVVGDVGAALPRFAEAPEYRNGEGCPAAVEGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESIFFHFLAAADGGEGGGGGVGELRTAVAASFPSLRFEIYPFRADAVTGLISASVRAALEAPLNYARNYLADLLPKCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTETFWSDPQLGDRVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRRRIEVWMELQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVLGSCRPLHKGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIAEGDSSSASAPFALSSSALPAAAFSW >OGLUM02G32550.1 pep chromosome:ALNU02000000:2:32311994:32315705:-1 gene:OGLUM02G32550 transcript:OGLUM02G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY06] MAAAILLRRVPPARAQATALIAARSISDSTAPLTIETSVPFTSHIVDPPSRDVTTTPAELLTFFRDMSVMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRSDSIITAYRDHCTYLARGGDLVSAFAELMGRQAGCSRGKGGSMHFYKKDANFYGGHGIVGAQVPLGCGLAFAQKYRKEETATFALYGDGAANQGQLFEALNISALWKLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAIANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATAAELKDMEKEIRKEVDDAIAKAKESPMPDTSELFTNVYVKGFGVESFGADRKELRATLP >OGLUM02G32560.1 pep chromosome:ALNU02000000:2:32317712:32320549:-1 gene:OGLUM02G32560 transcript:OGLUM02G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVMAAVPSSSPADAAEAVVMTEAVPSLPQRQQPVFVEGRGGKLRDHAYSRKQKSLGLLCSKWGSLVHLGDSWILAFWFPVLTEFFCAFSSFVALYNRDDVESIGLDDAARRLGVERRRIYDIVNVLESVGVSSFFLRLSWTGFLFFLARFDSDICFRFICEKILVRKAKNRYSWIGFGGVPMALRELKERALREKSGLAPLPVEEPSAAIMSDDEDEDKMGDADGDTESEKLSQPVDNPSDNKPGAPRCRLRSDHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHAENTKVRRLYDIANVLSSLNFIDKIQQADSRKPAFRWLGSAGKPKAENGVTIAVPPPGKTISNKRAFGTELTNIDINRSRLDSTIPKKAKLTQSGGEILKNCKLSVQKQLGQGSKGGFVYGPFHPAGARKQELDNGNKGHTDNVQNWESLAASFRPQYQNQALGDLFAHYVEAWKSWYSEFAQGSSMMQQHFGMPVINQFLYSNH >OGLUM02G32570.1 pep chromosome:ALNU02000000:2:32323505:32326474:-1 gene:OGLUM02G32570 transcript:OGLUM02G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEARRFDKVKIVRDSMNRMIEAWKEIPDVEEDECSSGSASDGRYPVASSTRRNSLPASRSPPPDASPSVNKRHSSSSARNKKQSPPSYRKAGQAKNRDYKVDIAVTPDATPIKVVTEEKLLKGGNVRSRLEARRMLFQDGDDRATKVAGLKAGSRVVPYEEGGNMEEISEIGGGSERFQTGYKDEGLSEIRSQLLQIENQQSSLLDLIQKFMGKSENGMNSLETRVHGLEMALDEISRDLAASSGRTSNSEAHVNSCCILNPKFWRRHDASRYSSSFSVSDGRNSSEGSRTSYKWGRQKFGVQGGFVTNPLAEPNISSAARTATAQEGRRKDLTLPKSRMG >OGLUM02G32580.1 pep chromosome:ALNU02000000:2:32340737:32351705:1 gene:OGLUM02G32580 transcript:OGLUM02G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGGLWWRRRADDEAGVGAGKSIAFQPSNRTLGQVRQRGTRTRARAETERELRFLCEPSDCAGLASAGARLVVSRSSERSARWHVAFAGFRRSVTLSGGRSGASLLPGCVLALSYCFALFLA >OGLUM02G32590.1 pep chromosome:ALNU02000000:2:32353913:32359992:-1 gene:OGLUM02G32590 transcript:OGLUM02G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEDKLLEGARYRWIYWDVRKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQDRNQDSLL >OGLUM02G32590.2 pep chromosome:ALNU02000000:2:32353902:32359992:-1 gene:OGLUM02G32590 transcript:OGLUM02G32590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNDKGEPSLPPVEEYVACSEQRRRSLPNRTRQESRLPALKLA >OGLUM02G32590.3 pep chromosome:ALNU02000000:2:32353913:32359992:-1 gene:OGLUM02G32590 transcript:OGLUM02G32590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEDKLLEGARYRWIYWDVRKRYQGYLPASRKTLGIKGDKYQKMIRGSRVFLQSKSMLHVLNNAEDLCRIEQDRNQDSLL >OGLUM02G32590.4 pep chromosome:ALNU02000000:2:32353902:32359992:-1 gene:OGLUM02G32590 transcript:OGLUM02G32590.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSVSSYEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFASARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNDKGEPSLPPVEEYVACSEQRRRSLPNRTRQESRLPALKLA >OGLUM02G32590.5 pep chromosome:ALNU02000000:2:32353902:32359992:-1 gene:OGLUM02G32590 transcript:OGLUM02G32590.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G04180) TAIR;Acc:AT4G04180] MAMLLRRRLPLARLLRPLQAEAAASTTSSPPPLQNRPAAASPSHALGSRLGFLNGVPGAPGAREASAFTTAGFLAAGAAAALASLPVAYADANEVGVVDSAVSSDAAVKPVNPDAAVSSDVAVGEDLAHKERKRIMELIQSRGMPHGSYPQFDVAVKGQKVVVKFNVPSTCSLSDLIVDLVTHIGLEAEQGGGGSEMLLRAWNSVAARQITLNPHKKTTSNGDDNEDDLCVLIFEPLVGSQYSEVEFIKRGGFSLRELEALTSVLKLVGQKDVKQSSGKGNKSYTTRKGNGQRSKHVPSMEKTISDLEGMGVRVYGFDETSSIPMDGSGTVMWENIAGYEPQKREIEDTILLALQSPEVYDEIARATRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEIIMSKYYGESERLLGSVFSLANDLPDGGIIFLDEIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFDLPDQQTRAEISAQYAKHLTKSELFQFSLATEEMSGRDIRDICQQAERHWASKLIRGQVPKNDKGEPSLPPVEEYVACSEQRRRSLPNRTRQESRLPALKLA >OGLUM02G32600.1 pep chromosome:ALNU02000000:2:32360760:32362204:-1 gene:OGLUM02G32600 transcript:OGLUM02G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLKVTILVVAFVLSAGVHISAAAAAAGQREEVHLVPAVYVFGDSTVDVGNNQYLPGNSPLQLPYGIDFPHSRPTGRFSNGYNVADFIAKLVGFKRSPPAYLSLTPQTSRQLMRGYRGANYASGGSGILDTTGTTVVTLTKQIVYFAATKSKMMSNGGGDGNSSSASASAIDDLLSKSLFLISDGGNDLFAFLRQSNRTASQVPSFYADLLSNYTRHVQALYSLGARRFGIIDVPPIGCVPSVRVTSPAGATRCVDAANDLARGFNSGLRSAMARLAGSGALPGMRYSVGSSYNVVSYLTANPAAAGFKVVNSACCGGGRLNAQVGCGAPNSTYCGNRNGYLFWDGVHGTQATSRKGAAAIYSAPPRMGFASPINFKQLVSS >OGLUM02G32610.1 pep chromosome:ALNU02000000:2:32366369:32368038:1 gene:OGLUM02G32610 transcript:OGLUM02G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVCLACSHGYVPRFSSATLQKETRPVFPLQSSVPNIFYGTDTSRQQLQFLTTTPSPSSLPSSLPTEVDTVEEMKRLLVRGLFSSPSRGLLGKSPVRPALCNDALLSRFLSTEKDENTVTEIGEKARSTAEQFLKVAKEKTDEVSEKTKETMHETKEAVVGESDDEKEKFKQRVEEGRYHQN >OGLUM02G32620.1 pep chromosome:ALNU02000000:2:32369203:32369481:-1 gene:OGLUM02G32620 transcript:OGLUM02G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSFGTSWADQWDYGGDPSPRAAARRDGHGGGKKQGGVEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKNAGGGKKQQADEESGIAGY >OGLUM02G32630.1 pep chromosome:ALNU02000000:2:32372258:32373376:-1 gene:OGLUM02G32630 transcript:OGLUM02G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTSPRLALLVVVAAAAFVFVSPAMAFPMGLPATASPFPNPWSAFQNLSGCHAGEEREGLGRLKDYLSHFGYLPPPPSSSPYSDAFDDSLEAAIAAYQRNFGLNATGELDTDTVDQMVAPRCGVADVINGTSTMDRNSSAAALRGRHLYSYFPGGPMWPPFRRNLRYAITATSATSIDRATLSTVFARAFSRWAAATRLQFTEVSSASNADITIGFYSGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVASGDVSTSSSFGTAVDLESVAVHEIGHLLGLGHSSVPDSIMYPTIRTGTRKVDLESDDVLGIQSLYGTNPNFKGVTPTSPSTSSREMDGSAAAAGIRPWSGFVGLVVPAVVLLLAP >OGLUM02G32640.1 pep chromosome:ALNU02000000:2:32378154:32379365:1 gene:OGLUM02G32640 transcript:OGLUM02G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASQPSLRSLPSLDVHDLNTSPSLHQCIATIKGHSSASAYVSALAVDGDSLYIASSDGSIRLWALDGARRSQEEQQQDDGCSSSSSSSTTVADTDSSVKSLLATGNGGLLLSSHQDGKIRAWRAGSRRRDGETRPQLVLRAVLPTAVDHLRTCLLPWSYVEIRRHRRCTWVHHVDAVTALAVSPDGALLYSASWDRSIKVWRLPGFRCVESIVAAHDDAINALAVSPDGRVYTGSADKKIKAWTRGPGQRKHALVGTMERHRSAVNALALGANGKVLYSGACDRSVVVWESAGGGDGGMEATGTLRGHARAILCLAAAGELVCSGSADRTVRVWRRGGAENNGYTCLAVMESHGAAVKSLALVRGERDDDGSCSSEGSSALVCSGALDGDVKIWSVFIPCL >OGLUM02G32650.1 pep chromosome:ALNU02000000:2:32380478:32380759:-1 gene:OGLUM02G32650 transcript:OGLUM02G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRGTYEDYHVVRTILWGLRAAVDKRDLSMDSAFLLELAALLPQRRHVTLPQVFVNGRHLAAPDRRRCRSSPPPLPSASSPSATAIAAAPES >OGLUM02G32660.1 pep chromosome:ALNU02000000:2:32388992:32393660:1 gene:OGLUM02G32660 transcript:OGLUM02G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKFGSFKSEKGSSAGAAAGAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVSSDKFQEATFSYNILSDPDKRRQYDSSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVGISQLELGQSVFRKVEKQSAHFYSVDITDKEAKMGLVCRVQSTAKSKFKLLYFEPEENGGLSLALQEDSVKTGKVTSAGMFFLGFPVYRFEQNNSEKLRCVEAKILAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNAIHASYTNNTTLQRSSSSNKGKTSSKESKSDDDQTVKKEKKSKSKSMEGSRSDDDGPRKEKKPKERLRRKKWFNIHLKVDKRRPC >OGLUM02G32680.1 pep chromosome:ALNU02000000:2:32398185:32403257:1 gene:OGLUM02G32680 transcript:OGLUM02G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRSPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPNDYNGSALTLVESLSSLVVLGNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTTHVPSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >OGLUM02G32680.2 pep chromosome:ALNU02000000:2:32398185:32403257:1 gene:OGLUM02G32680 transcript:OGLUM02G32680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 47 protein [Source:Projected from Arabidopsis thaliana (AT1G27520) TAIR;Acc:AT1G27520] MRSPPRPRRPVPARLVAAAVLLSALAGGAAAGAAIAGDGYGRGRRLYMRNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSNLTEFERGVLWLSENLTFDVDARINLFECNIRLLGGLISGHILAKEHSSHLKDGPYQDQLLHLAENLGSRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYVLFGSEEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANISHREFYNVWQRFGVLPERYLLDFGMLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGEAIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPIRSTWHEIIPTTHVPSNWTFVKDDSQPFRVSALSSQVCPETIFRQSVGSPWESACHVPDVLPTHRCRTDDDCGVEAVSCRRRTCSMAGYCGLWLGVY >OGLUM02G32690.1 pep chromosome:ALNU02000000:2:32403652:32427999:-1 gene:OGLUM02G32690 transcript:OGLUM02G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMNEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLIAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSKKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEEEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATFRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGRHLQQDQLKRVMLTCQKKLEKVMVPWNQTKALYHNLVEQMRHQAKWLMRHQALHVNLLLTQSKLNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRVDTEL >OGLUM02G32690.2 pep chromosome:ALNU02000000:2:32403652:32427999:-1 gene:OGLUM02G32690 transcript:OGLUM02G32690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMNEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLIAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSKKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEEEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATFRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRVDTEL >OGLUM02G32690.3 pep chromosome:ALNU02000000:2:32403958:32427999:-1 gene:OGLUM02G32690 transcript:OGLUM02G32690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMNEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLIAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSKKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEEEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISEATFRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGRHLQQDQLKRVMLTCQKKLEKVMVPWNQTKALYHNLVEQMRHQAKWLMRHQALHVNLLLTQSKLNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >OGLUM02G32690.4 pep chromosome:ALNU02000000:2:32403958:32427999:-1 gene:OGLUM02G32690 transcript:OGLUM02G32690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore anchor [Source:Projected from Arabidopsis thaliana (AT1G79280) TAIR;Acc:AT1G79280] MPLFMSEEELRLLGGDVAAVAERADAAIRELRQQVDTVRAEADAAAIAAEQTCALLEQRYDTLSAEADRFRAELAELAAASERRAADLASSQSEIHQLRIQAIAKDGEIERLKVEISEVHKSKSQSLELIEQRDAEIREKDGIIQNYHDKIVNLADSSASKGARIQEVEAKFTHLQATCNRITQEKDLLEKHNLWLDEELKEKVKNLAELRKSNMNEEARMSAKVAELERETSESSSSLRRSKERVSELEQRVSYMEKELCSTKDAAAANEQRLAAELSTVMKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETSAKRDLEMEATYLKQKLEKCESDLENTRKSSELSFTPLIAADPCDLAGSPMKEMAFSDPANQNDLMIVPKVPTGVSGTALAASLLRDGWSLAKIYEKYQEATDALRHERFGRRHAEAVLERVLHEIEEKAELILDERAEHRRMVEAYALMDQKLQQALLEHDNFENTIRNLKSELKRRERDHSIAQKEIDDLQKQIAVLLKECQDIQLRCGSSLPNVGDGALSTSTSTGVPEVENNIHEHMTFNDINGLVQQNVQLRNQVHLLSADLDKRDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKSRSNVEHIPKNLEDDGRKDLMVLFEGSQEVSKKAYEQVSERAKSLDEELTKLRTELLSLRSERDKAVLEAEFARERLNGFTAELEHQRKEANSISLRNAELMHLVVDYEKRLRENSESMKAVEENSRKLLMEMSILKNEKEILAKSEKKALEEVHDLTTRVHRLQATIDTIHATEEVQENARSIERRNQEEYIKRLERDWAEVKKELQEQRDHVRVLTLDKKNAFDGCLKQVEDMRKELQNSWKAATDAESRAAVAEAKCSDLETKLKSRKTIFRDGGRDILSATEENDELFQLKEELEKYKEEAQANKSYMLQYKEIANSNESALKQMESALQDFKTESETIKKSLEDEITKLRTKISELEKCYIMKCEEAASAIEAKEKDTTSLMKEISVLRNEVSEKVIQIEKLETELASSKRALDEQYKRWRSAQDNYERQVILQSETIQELTSASKELSSLQQEIIVLRQTVETQKAENDGLRTLGEEEKIELVKGKDEALQKYNELNDQNKILHNQLEALHIRLAEKERNIAGLSSQRIDSHGEDDLHSVIGYLRRSKEIAETEISLLKQEKSRLQIELESALKSTKEAQDLLRSQTDSARTSMLKDEEFKSLQFQVRELNLLRESNIQLREENKHNFEECQVDAEICKKEIEMQKTEIANLNQKISELVENSRGVDLSTYETMKDELQNIKATFRENSAELERTKKLLSEKDSVIRNLEEKLAGCQSELDAREKKLNDVEASLKSEIDRHRKININIKRKLDASAKEKEELTKEKQSLSKQLEDLKSSQKTTTENSNEQAIKEKDFRIQTLEKVLEKERDDNKKEKAFRRRNEKVFTTAIQNMNQERKQVEESIEKHRQAVKEVIEHYTGISSQIPSGSAIDEQLRSYFLAIKAVEESPSPFQDGAASQTPSVESADVDASAATAGRQVATPPRPAQVKVVEERAVSTLPKPSTEVRRPGGRRPLVRPSLERVEEPQADIDTTVVEGSTEKGGLLMERETPGGVSALQPSSRKRLIPSPQMRDDASQGETTDANPPLKKPKEGSSQGTSELKTEQSPHEDVMAPVPVLPSTELDEQQPGEEMDTDQSSLPVEEVEETREDDLGDKDDMETHIDASMDIQGQDAETGIDNDATTVEDVPVKSEAVMESLEEDLKTEDGKEEGQFTATTDVEDEREEGELPDEPEQPDSTPPVLDVGEQAGDSFRAASPAGPTEKSDVDMPEETGEGDGTMESDQSPVPQSGGADASPSQMADASPSPAREPSPNPVQAGAPPEQQNPTPPNPVQAGASSEQQNPATAAEGVETRSTRTINLTERARQNRQARILRSATQQNARGRGNQSLTYRKDGGRGTRGRGGRGQS >OGLUM02G32700.1 pep chromosome:ALNU02000000:2:32431447:32432698:-1 gene:OGLUM02G32700 transcript:OGLUM02G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGRVERLCWSTSAAEVMRANPGHYIALVTLRVAEERQDGDGGARRTVRLTRVKLLKPKETLLLGHAYRLITTHEVTKAVQARKEEKVRKAQQQLEESRQKLQSKARAAASAAVEADEAAEENDNDSDNFDDEAALDASLDQLARQDSNRSSSARHRQWRPSLHSIDETTGS >OGLUM02G32710.1 pep chromosome:ALNU02000000:2:32438778:32443677:-1 gene:OGLUM02G32710 transcript:OGLUM02G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGAAPAPKHDELTPHPVKDQLPGVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIFGFSGLWRNVARYLSPLSAAPLVMLVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHAIHMMKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGIIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIFAALYCIFFAYVGSAGVGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVIFSSKAFVAGFVAYLLDNTIHRHDSSVRKDRGHHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >OGLUM02G32720.1 pep chromosome:ALNU02000000:2:32448089:32451155:-1 gene:OGLUM02G32720 transcript:OGLUM02G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEEFAIGVLISVKTTLGEEFEGQIVSFDRPTNLLEGVGRAERGERRNVRVLKANYIREFSVVGKADDPLDPAGCVLDLAAIHAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIVVMKEVRVCNPYLPENVSGGTSAANERVKKVVMHRCL >OGLUM02G32730.1 pep chromosome:ALNU02000000:2:32454045:32461539:1 gene:OGLUM02G32730 transcript:OGLUM02G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGWREGGGEGCGKSRRLVRHMWPVTRVEAAAPPPAQGQASPPPRSSVPPPLTTSYPPAPTTPPAAAHKKERVDSPRPASSDSFLKDGREFRVGDCALFQAVEVPPFIGLIRWIEKKEEGFPKLRVSWLYRPADIKLNKGTQLCAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGVELPAGISSFVCRRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTKLEMHAAVQSGGRSPKRLNGPSSAQQKSGSDGAQNCGLSKGKKRDRGEQGTDPAKRDRERPLKAEDGESGNFKVENLKSEITKITEKGGLPHAEAVEKLVHLMQLDRTERKIDLPGRVILADIIAATESPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEAGSPKETDKPMEDLVLALLRALAKLPINLTALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDVKPVVSGQAVSWPGKPGFPEISSAGNRRSGSSESSLKSPVSQLSSSKALTSKPVAADAAAKSSPVISGSSKLQHMQPGNAVTNLKEQPSKSTGGTCGSELPAVKEEKSSSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGVAYKTSGSSSRVHRRTNNGLLGSGIQKEAAVARSSSLDHSSVQEKVSQSGTACEKGADIQSDQGNSHRLIVRFPNPGRSPARSASGGSFEDPSFTGSRASSPVADKHEQSDRRVKMKIENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPRAMLTDDSKTTEGAGRDVPVSRVACSSYANEKGICSSETGLTKLFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSPSPRNSSANEQGCEGDNIGKLKVECDVSLPQHAGPSNEVEKVISEKSEKSGVGLVGKELHREDVNMLSVNNLLSQGDKGTTSAGSSPLPEIDSKAKTENHEVEDTDKCSHPPSRGDDGVKSNAKQPIGIKIDTNSNIKSSTTSEYRSAFTVRGKVEDGCTTSSDVGSTLGDQCKLAVSNRKMLPAEEPLCGGDKQAHGLLKPTDQKHLLGLPDYSEHIDKSGDSTANKLKLKPSFSSSTVEVNKADGLLVGSNTVLKEDEKKEHPADVTKLAVATGVKHGPENGISSKESKDNSSESSSHTRPGGTVSQETEHSAQRSSKKSSDDAGGKDDLVSSDDGSSFSAKTRSNATAKLDFDLNEGIPGDEGHLSEPATSPAVCSSAIHLPRPSPFVSPISSGLPAPIAAPAKGPFVPPENLIRLKSETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAAGKNRPALDIDLNVADEQFLEEDVSQSSVQTTGSESGNTRRSNGPVRSVGFELDLNRADEVAENGQIVSNTNHRVEVPLLSRPLPGVFSSSDANSSRDFDLNNGPTLDEAGTEHAPRSLSSKNTSSIPFLPQVASMRMNSAEMSNISPWFASANACAPVAIKSFLPSRGEQPHPVETAAGSGTQRIITSMADGVQHGSDPSRTPVISTSPTMMFHPPAYQYAGFPFTPSVHLQAPGFSIGSTSYANSAPGGIPYFPTIAPTLVGPAGALPAQHTRQYAINLPEGSSTVGHDNNRKWGRQGLDLNSGPGSVDVEVKDDRVTLPVRQNFIAPPHAFVDEHTRMYQMPPGVGIKRKEPEGSWDAERSSYKQLSWQ >OGLUM02G32740.1 pep chromosome:ALNU02000000:2:32469095:32474946:1 gene:OGLUM02G32740 transcript:OGLUM02G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGVLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLSEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPHAMLTDDRSRTTEEAGKDACASRVVCSDANEKVACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQRLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAEISPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPGVGIKRKEPEGSWDAEQATACGTWALSKSSDVMFVLFTFLEPEADLKHDIFLPRNMFLQL >OGLUM02G32740.2 pep chromosome:ALNU02000000:2:32466489:32474059:1 gene:OGLUM02G32740 transcript:OGLUM02G32740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRQGGGEGCGNRRLLVRGMWPATRVEAATPPAQGPASPPPRLPVPPPLTTPCLPAPTTPPAAAHNQDWVDSPRPVSPDSFVKDGREFRVGDCALFRAVDVPPFIGLIRWIEKQEEGYPKLRVSWLYRPADIKLNKGLQLDAAPNEIFYSFHQDETSAVSLLHPCKVAFLRKGAELPAGTSSFVCWRVYDIDNKCLWWLTDRDYINERQEEVNRLLHRTRQEMHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGVLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLSEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPHAMLTDDRSRTTEEAGKDACASRVVCSDANEKVACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQRLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAEISPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >OGLUM02G32740.3 pep chromosome:ALNU02000000:2:32466489:32474059:1 gene:OGLUM02G32740 transcript:OGLUM02G32740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAVQQGGRSPKRLTGPSASQQLKAGSDGAQNCGSSKGKKRERGEQGIDQIKRDRDRTLKTDDTEPGNLKGDNMKSEIAKITEKGVLPHAEAVEKLVHLMQLDRTERKLDFAGRVMLADVITATENPDCLGRFVQLRGLPVFDDWLQEAHKGKSGEGGSPKETDKPMEELLLALLRALAKLPINLNALQSCSIGKSVNHLRSHKNPEIQKKAKCLVENWKKRVDAEMKSNDAKPVVSGQAVSWPGKPGFAEISSAGNRRSGSSEPNLKSPVSQLSSSKALTVKPGASDTTVKSSPLISGSSKLQHIQPGNAVTNLKEQPCKSSGGTCSPELPTVKEEKSCSSSQSLNNSQSCSSEHAKTIGSSKEDARSSTAASGIAGRTSGSSSRVHRRTNNGILGSGGQKEATVARSTSLDRSLLSEKASQSVTACEKGTDTPSDQGNGHRLIVRFPNPVRSPARSASGGSFEDPSFTGSRASSPVLADKHEQSDRRVKMKTENSNPHLGNDTNAESWHSNDVKGASVSEEGDKSPHAMLTDDRSRTTEEAGKDACASRVVCSDANEKVACSSENGGRNSFNPMNALIEIKYSEASHSLQAGDDTAMNLLASVAGEISKSELISSSASPRNSPANEEGCEGDNIGKLKVQSDMGLSRHAGPTNDAEKIISDKGEKIGAGLVAKEEQRLGDAKDNKVTSSGLPSPPGIDVKAVESPAKTENHEVRTTDKGGDGDKSVTKQPVDIKIDAKLNIYPSTTSELRGGDKQSHGLLKSTDQKNRQCLPDNSEAIDRSGDSAAVKLDVEPSFSSSTVEVNKADGLLVGNAVLREDEKKEQPSSTSADATKLAVPAGVPFGPENGISSKELKDNSSESSSHARPGTTVSQDTERSARRSSKKSSDDASGNEDLVSSDDGSSFAAKIRPSATAKLDFDLNEGIPGDDVHQSEAEISPAVCSSAINLPCLSPFISPMSSGLPAPIKVAATAKGPFVPPENLLRFQPETGWKGSAATSAFRPAEPRKIFEMTLSAPGIPVSDAADKHRPALDIDLNVADEQFLEEDISQSSVQTTGSESGNTRRSNGPVRSVGIELDLNRADEVAENHFVSNTPHRVEVPLLSSRPLPAIFSSADTNGSRDFDLNNGPTLDETGTEHAARSLSSKNTSSIPFIPQVAGGRMNSAEMSNISPWFASAHPYAPVAAQPFLPSRGEQPHPVETAAGSGTQRIITSLADGVQRGSDPCRAPVISTSPTMVFHPPAYQYAGFPFTPGVHLQAPGFSIGSTSYANSAPAGVPYFPSIAPTLLGPAGALPAQHTRQYAINLPEGSSTVGHDSNRKWGRQGLDLNSGPGSVDAEIKDERVSLPVRQNLITPPHAFGEEHTRMYQMPGVGIKRKEPEGSWDAERSSYKQLSWQ >OGLUM02G32750.1 pep chromosome:ALNU02000000:2:32472015:32472659:-1 gene:OGLUM02G32750 transcript:OGLUM02G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLQEHKGVQKQTMERYYSSRHCSFYRQQLEDEMHLWLLNLSSQSQAEILVGSQEEQRDL >OGLUM02G32760.1 pep chromosome:ALNU02000000:2:32474892:32478012:-1 gene:OGLUM02G32760 transcript:OGLUM02G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPGMIPVTTAQGEELRKQIGAAYYIECSSKTQQNVKGVFDAAIKVVIQPPTKQREKKKKKSRQGCSMMNMFRGRKMSCFKS >OGLUM02G32770.1 pep chromosome:ALNU02000000:2:32486784:32491309:-1 gene:OGLUM02G32770 transcript:OGLUM02G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSTSKGKRKRGRKHKAAAENHAPASPVAATAADNPAPAAAGRRGRKSRRHEAPADADGSRPPSPPRRGEAKPVANGGGDAVVEAGGPVGWDEVARVVPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDDEFWEGVLPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKPDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIKLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVSMVENCKDEFLKFDLEYDQDILTTHCIPSAMSDDLKT >OGLUM02G32780.1 pep chromosome:ALNU02000000:2:32505598:32508359:1 gene:OGLUM02G32780 transcript:OGLUM02G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNPLAVTQLLQHTLRGLCTQGDSQWVYAVFWRILPRNYPPPKWDLQGGVYDRSRGNRRNWILAWEDGFCNFAASACDQEDTPAAAGYTDYAAAGHEVKGLQPELFFKMSHDIYNYGEGLVGKVAADHGHKWVSQEANEHEINLVTSWNNPADSHPRTWEAQFQSGIKTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAFPGAGGLQDAAWAPSPTMDLYDPYYGAHAAAAQMHHIVPSMSSLEALLSKLPSVGPTAAPGAIRGAIGGGSVAKEELDDADGAMDAAGSGGGGGGESTSAATTPLVPYYVDVAKPDEGF >OGLUM02G32790.1 pep chromosome:ALNU02000000:2:32514634:32522873:-1 gene:OGLUM02G32790 transcript:OGLUM02G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGAITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEADEEKERDHSSMQDKLDKELQELDKKLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKRQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQVMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKLKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >OGLUM02G32790.2 pep chromosome:ALNU02000000:2:32514634:32522873:-1 gene:OGLUM02G32790 transcript:OGLUM02G32790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGAITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNCRITPVPAGEADEEKERDHSSMQDKLDKELQELDKKLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKRQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQVMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKLKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >OGLUM02G32790.3 pep chromosome:ALNU02000000:2:32514634:32522873:-1 gene:OGLUM02G32790 transcript:OGLUM02G32790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGAITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSAVNCRITPVPAGEADEEKERDHSSMQDKLDKELQELDKKLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKRQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQVMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKLKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >OGLUM02G32790.4 pep chromosome:ALNU02000000:2:32514634:32522873:-1 gene:OGLUM02G32790 transcript:OGLUM02G32790.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60930) TAIR;Acc:AT5G60930] MEGSEAAQQKDSVKVAVNIRPLITPELLLGCTDCVTVTPGEPQVQIGPHVFTYDHVFGSTGSPSSLIFEQCVHPLIDSLFRGYNATVLAYGQTGSGKTYTMGTNYTGEANCGGIIPQVMETIFKKADALKDGTEFLIRVSFIEIFKEEVFDLLDASHAALRLDSGSVAKATAPARVPIQIRETGNGAITLAGVTEAEVKTKEEMASFLARGSSSRATGSTNMNSQSSRSHAIFTISMDQKKTSSASDKLSNDDYDILSSKFHLVDLAGSERAKRTGADGLRLKEGIHINRGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTAMIACISPADSNAEETINTLKYANRARNIQNKAVVWSFSLKINRDPVTAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQQKVSLLELKNSELNHELKERELSYEQLAQSALAAQLEKDQLMLKIESARNGKSWDDIENTDTDQDVEVMKRYILKIQQLESELTRQKFSSTCKNDLHDRFAMDKDLLLDDLGSGCEVGTPDASSEADEEKERDHSSMQDKLDKELQELDKKLQQKEAEMKEFAKSDTSVLKQHYEKKLNEMEQEKKALQKEIEELRHALTNITSSTDESAQKLKENYLQKLNTLESQVSELKKRQEAQQQLIRQKQRSDEAAKRLQEDIHRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKESLEAKKSTRDTYGSASGSGIQALMRAIDDELEVTVRAYELRSHYERQMQERAAISKEIAKLKECPQVMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERAFNGKGRWNHVRSLPDAKNTMNYLFQLASSSRCQQLDKEVMCKEKEHLICDLKEKVVALNGRIRQLETQVKDLNNQNMLLFTAISEAKNPVGTSRKGTVGSEDGQHYAMRKSIRASHSLHYSKNSFLWSDDMDISDSEKSEGSDADWEASDADYGASDADWECSKKVRRRRQTVSSHLNPNPGSGTTQKSAKSEMASQEKSTSLDLAPQCCSCSKYSSCKTQKCECRASGSHCGGDCGCITSRCSNRVDMKEEKEGGGVVEVSSSDDVDDAKVQEIVKEGVMLLENSMSEKEAQETKSRKPLADIGNGVVKQTGAKLKQRKNWRKSTVQLVPSAPPLPPTAPQNTEPVPRNRDIPLRLPRAMSSPAVDSIPLTDRNAAKPDESMSSNKENVTAVRARSPARPRKNANEKENHLR >OGLUM02G32800.1 pep chromosome:ALNU02000000:2:32534739:32535929:1 gene:OGLUM02G32800 transcript:OGLUM02G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVAASLRSLAPLSAYHSPSHGIHAVVRDSSAYTTRPPPPPPTADGGGNGGRISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHRRGGAENAAPSPHSRHVGRDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYRDIVGGDKEPFDCAVCLCEFDGEDRLRLLPVCGHAFHLHCIDTWLLSNSTCPLCRGTLYVPGLTIESLMFDFDERLEEGRLSEECEDGFQSSRQKKPMDEEQTVTEKRVFPVRLGKFKNVGNTGVGGVDNGNAAGIVSREPGESSSSSLDTRRCFSMGTYQYVLGASELRVALQPGRNKNGVGSRLKGRATGISSVNAEIMEGKRICAKSKGESFSMSKIWQWSNVKGKLPAGSDNCSETASFPWMKRDATGDKSNM >OGLUM02G32810.1 pep chromosome:ALNU02000000:2:32539249:32539755:-1 gene:OGLUM02G32810 transcript:OGLUM02G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDLGGGGGASGMDEAEAAFFARRGRRCCCFPWPSSASSHQRVGGAEEESWWQRAVDAVLKVREWSELVAGPRWKTFIRRFGRGGGGGGGPRPHNYGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFAAPPASAKSSMDLGGRDAPPLFNPPPPHDGAGRA >OGLUM02G32820.1 pep chromosome:ALNU02000000:2:32543987:32545509:-1 gene:OGLUM02G32820 transcript:OGLUM02G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIRERRSVDVDDSVISDGKRLQNLHCESSHFQMHQLPEKGSRAICFQPLKIPASPTNIHYQLRWKGKLSTSGSCKHSETEKLLYRGLSKSERYTSTFWMVCMDNQSIKKLDNNYMHAAQQYCP >OGLUM02G32830.1 pep chromosome:ALNU02000000:2:32553005:32555469:-1 gene:OGLUM02G32830 transcript:OGLUM02G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY46] MITAADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAANIASIVVDPDVVSLDGRRDAIETETEVKEDGRIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFGAADAFGVRTGATPRPSNYEDDASKPKYPLPASNAAPMAGHYPAPNPAVSSAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAVKSPRKSSNLLSSPCTICLIWGAFLGLTCTDSYISFTSVDGAKDREDYVERDDFSFGNRGVMDRDAEAGDEKAAAAAGADPSKAMAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPHIIACGNKVATYAMAVRFLAGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPSILSTAVIFGMLIALPITLVYYILLGL >OGLUM02G32840.1 pep chromosome:ALNU02000000:2:32565575:32574019:1 gene:OGLUM02G32840 transcript:OGLUM02G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFRKLHIGEGSGDGASSSPPPPPSSRKGSGGVGGNHHPHAEQRQPSASAVSSWLDSVPGRPQPPTPSTPSKAEGSPFSSSVGAGAEERRQSVAAERRRSQEEEWERRRSQEEEAVREMRRSQEEDEVEERVIRESSEAEERKRVREKEDDDLEEFQLQLVLEMSARDNPEEMEIEVAKQISLGFCPPQSSTAEALAARYWNFNALGYDDRISDGFYDLYVTGNGPASITMPSLKDLRAQSLSHRVNWEAVLVHRGEDPELMKLDQTALIMSLELRESKPSEFVGNDLVQKLAGLVARHMGGTFFDSEGMLVKYQKMMRYLRTSIGSVVVPLGQLKIGLARHRALLFKVLADNIGIPCRLLKGRQYTGSDDGALNIVKFDDEREFIVDLVADPGTLIPSDGAVLSTEFEESSFSNNHHFNKDNDIRQLGSSNSLSNSACSSFECELLDRRSTWINVGPSDSDGATTSQTSKNNQQNTLSDSFGILSVSTFTSENRPITNESRSTDDIAAAKNKERSSVTINSSSTSPSPSSPEVGSTPAVRRMKVKDISEYMINAAKENPQLAQKIHEVLLENGVVAPPDLFSEDSMEEPKDLIVYDTTLFQSKDEMKKRMNELGSREYADRGHGPLLPHHPGHELPSKVPHRAPLDSLKPVEGLGIDHPPDIQDNTSFISQYEPSAPPQEASSQLTKQLPVTAAAVATAAVVASSMVVAAAKSNNDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLHSLPSPSKGNESIEKSADEFWDKQNFEIDHGQDNTLDQEKDSAEVRQDAERTSDKSSGTESAKSEITLDDVAEFEIQWEEITIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEVRIIKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKMKNKTFLSSRSTAGTAEWMAPEVLRNELSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNTDPAIAEIIAKCWQTDPKLRPSFADIMASLKPLLKNMTAQAPRQRVQQTDE >OGLUM02G32850.1 pep chromosome:ALNU02000000:2:32578237:32579281:1 gene:OGLUM02G32850 transcript:OGLUM02G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFWGSGHPADEVADFDEYDPTPYGGGYDIALTFGRALPPSDEICHPISTASSSSSSYDRPQQGRRPPAEETHLSAGHGRRPDDDEATHGGGYRKPKPAYGDDEQRRRHTSGGGRKKHGGDDDDGSGDERKPRYKKHDDDDDGERKPRYKKRDDDDDDDDDYERKPRYKKRDDDDDDSDGERKQRYEKNNRRRHDYDD >OGLUM02G32860.1 pep chromosome:ALNU02000000:2:32584035:32588618:1 gene:OGLUM02G32860 transcript:OGLUM02G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPPVHVGSPATPRSCSDGRIDNLIGLPKIRQDPLSWSPCLPVVPIPHSHPSNYAKASTDQLTAESICEYCKN >OGLUM02G32860.2 pep chromosome:ALNU02000000:2:32584035:32588618:1 gene:OGLUM02G32860 transcript:OGLUM02G32860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAAEDALEESGVAVYLPRLLAGVISGALTGLFALAGALTGAVTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGTSSMADFIEQLLQARFVQEQIVPSGYATHRWQVSISDFGHDDLYDIFGDCSSKGLSRESLNKLPHYVVTDQTRNSFGEDLSCTICLQDIVTGETARRLPNCSHTFHQPCVDKWLVGHGSCPESICEYCKN >OGLUM02G32870.1 pep chromosome:ALNU02000000:2:32588277:32591044:-1 gene:OGLUM02G32870 transcript:OGLUM02G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTIDFREEDEAAAAAAMKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDAAAAAATKAIAGRSDEEVLAAVLARKGGPVPFLQAAIDVAQRRSDLFLDPSAPGVVAEMAVEAQAKAEAEERRKRAKGEPRKAAEMLKKEETRKAEEMLKEEEPMKAEEMLKEEPMKTEEMLKEEEPMKAEEMLKEEPRTPMREAGRDKVERAAVVERVRDPKPNAGNGLDLEKYSWTQERPEVTITIPVPQGTKSSLVTYEIMKNHLKVGLKGCSFIIDGELFEPVKVNDCLWTIEDGNTLSILLTKENQKEWWTSVIKGDPELDPRDMKVPELRDCDVEAKETIVRILSHGLPKAMNFCPFMHNRFSYH >OGLUM02G32880.1 pep chromosome:ALNU02000000:2:32592433:32593204:-1 gene:OGLUM02G32880 transcript:OGLUM02G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY52] MEGKAAVTTSTEHGDGEASKTAARTVESGSSRGGAASRALSVADLILRVVAVVAIVDSAIAMGTTNQTLPFFTQFLRFKAQYSDLPTLTCDHSCLLATIVNLHYFRLQARLFLPVVTRLFVVANSAVTAYLVLSIPLSVVHIIRSRASYSRLVLIFLDSVMLALVAAVASASAAIVYLAHKGNVRANWFAVCQQFDSFCERISGPLIGSFAAMAVLLLLVLLSAAALARR >OGLUM02G32890.1 pep chromosome:ALNU02000000:2:32595531:32597169:1 gene:OGLUM02G32890 transcript:OGLUM02G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 [Source:Projected from Arabidopsis thaliana (AT1G18730) TAIR;Acc:AT1G18730] MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKKSAGRGSVKANASPLDVVTLMVTMVEHVDLQRDYVVHKSIWHLSDAALKSVYTFYAFFTVWGICFFASMKASTQNICHYNLERNYIFDIEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >OGLUM02G32890.2 pep chromosome:ALNU02000000:2:32595531:32597169:1 gene:OGLUM02G32890 transcript:OGLUM02G32890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH dependent flow 6 [Source:Projected from Arabidopsis thaliana (AT1G18730) TAIR;Acc:AT1G18730] MALPLLKSSPHFSALHSANRAQLHGRCRATLGGFHDPRSNSSRCKKSAGRGSVKANASPLDVVTLMVTMVEHVDLQRDYVVHKSIWHLSDAALKSVYTFYAFFTDPFYDSEAYRGQGGDGTVHWYYDRQEDLEASAREELLREELLEEIEQRVGGLRELEEAAKEEQLTN >OGLUM02G32900.1 pep chromosome:ALNU02000000:2:32603540:32606530:1 gene:OGLUM02G32900 transcript:OGLUM02G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQGAAALTPATGLGSRPSLTVKTSRVCTTAALPPLCRCGRRHLIGSTSATALLPLLALPSPAASPVDPEVMLERVHPARPEWYEKFYATAMDKFMKPYEAEIAQYKSKLFSQLMTAGKNILELGVGTGPNLKYYANADGVNIVGVDPNKHMEEYARAAAVSAGLPPSNFTFRRGVGEALPAEDNSMDAVVGTLVMCSVSDVEMALREIKRVLKPGGLYIFIEHVAAPDGSFLRFVQGALNPLQQFVSDGCHLTRETGEIIREAGFSSLDLNTTRLSTAFILSPHVYGVACK >OGLUM02G32910.1 pep chromosome:ALNU02000000:2:32613962:32615660:1 gene:OGLUM02G32910 transcript:OGLUM02G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRDVLAVVLVAALLPPALSRGLWLGHHGLGHGHGRWRAPHVGGHGQGQGPQQHAPLGGGGWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAGGGGSHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALFKAGIVPVSYRRVACQKKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAVKSERSAAWQALSRNWGQNWQSAALLDGQALSFRVTTGDGRSVVSNNAVPRGWSFGQTFSGAQFN >OGLUM02G32920.1 pep chromosome:ALNU02000000:2:32621183:32624994:1 gene:OGLUM02G32920 transcript:OGLUM02G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYYLAAAAAAVAITGDSIVSAACSTRFLSPRVLFTQPEAPGGPRVAIRNPQGFDGPTLTMASVESSRTCTINRSAGHVELRLPP >OGLUM02G32930.1 pep chromosome:ALNU02000000:2:32622135:32625673:-1 gene:OGLUM02G32930 transcript:OGLUM02G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKKVSFWKKLYMTYDFFIARRIISTFFTFFFFSVLLPMKVFFPEVQIPLWELILIPTAIILLHSVGTPRSIHLIILWFLFENVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLPQSIIYFAIGFEFMGVSVSS >OGLUM02G32930.2 pep chromosome:ALNU02000000:2:32622135:32625673:-1 gene:OGLUM02G32930 transcript:OGLUM02G32930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSSTSILHFVPSDPTSTSVLDFLSPTPRGTSPVHDRRLHAGDLALRAGGDRLLVADTVAAVVESLVQAWRQVRMELLVPLLRGAVVACMVMSVIVLAEKVFLGVVSAVVKLLRRRPARLYRCDPVVVEDDDEAGRASFPMVLVQIPMYNEKEVYQLSIGAACRLTWPADRLIVQVLDDSTDAIVKELVRKECERWGKKGINVKYETRKDRAGYKAGNLREGMRRGYVQGCEFVAMFDADFQPPPDFLLKTVPFLVHNPRLALVQTRWEFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRRQVIDESGGWEDRTTAEDMDLALRAGLLGWEFVYVGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILAAKRTASYESFLSRGADSFVGADPHTYSYHSTPFSWDSKNVMALHRLKATLIGFFEAGRANEWIVTQKLGNIQKLKSIVRVTKNCRFKDRFHCLELFIGGFLLTSACYDYLYRDDIFYIFLLPQSIIYFAIGFEFMGVSVSS >OGLUM02G32940.1 pep chromosome:ALNU02000000:2:32627141:32631778:-1 gene:OGLUM02G32940 transcript:OGLUM02G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY60] MAHPSTHNQSETAIPNGKYPENFAAQERRAVCLPSNLFPLVVVSSSHLSDSRAPHDTTHLGHSPPSVASGLHPLRPPGTTPARSRVSGVWWHSYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHIEDSVSSPKSVKPAVAKQNGAVVSRATKSDAPVSKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWKGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >OGLUM02G32940.2 pep chromosome:ALNU02000000:2:32627141:32631346:-1 gene:OGLUM02G32940 transcript:OGLUM02G32940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY60] MSGAIASSPAATLFLAGSSSSSPRRRRSRVSGVWWHSYGGTGLRLHWERRGLVRDGAVVCSASAAGGEDGVAKAKAKSAGSSKAVAVQGSTAKADHIEDSVSSPKSVKPAVAKQNGAVVSRATKSDAPVSKPKVDPSVPASKAEADGNAQAVESKAALDKKEDVGVAEPLEAKADAGGDAGAVSSADDSENKESGPLAGPNVMNVIVVASECSPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEAKDLGVRKRYRVAGQDSEVSYFHAFIDGVDFVFLEAPPFRHRHNDIYGGERFDVLKRMILFCKAAVEVPWFAPCGGSIYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYTRSVLVIHNIAHQGRGPVDDFATMDLPEHYIDHFRLYDPVGGEHSNVFAAGLKMADRAVTVSHGYLWEIKTMDGGWGLHEIINHNDWKLQGIVNGIDMAEWNPEVDEHLQSDGYANYTFETLDTGKKQCKEALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIGDAMPWIAGQDVQVVMLGTGRPDLEEMLRRFESEHNDKVRGWVGFSVQLAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADTGLGWTFDRAEANRMIDALGHCLNTYRNYKESWKGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >OGLUM02G32950.1 pep chromosome:ALNU02000000:2:32639511:32643164:1 gene:OGLUM02G32950 transcript:OGLUM02G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranylgeranyl diphosphate reductase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G74470) UniProtKB/Swiss-Prot;Acc:Q9CA67] MTSLSSSAAAARATFVMPSSVRGGMSRGRRMARLVTRAAASSPKLPSGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKLDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGGTLAPHEYIGMVRREVLDAYLRSRAEGAGAEVVNGLFLRYEAPKEPNGSYVVHYNHYDSSNGKAGGEKRTFEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMKYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKPDIKKFQAATRLRAKDRIEGGKIIRVEAHPIPEHPRPKRVAGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVAGSANGTRMVEESDLRRYLAEFDRLYWPTYKVLDVLQKVFYRSNAAREAFVEMCADDYVQRMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >OGLUM02G32960.1 pep chromosome:ALNU02000000:2:32642645:32644092:-1 gene:OGLUM02G32960 transcript:OGLUM02G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWRFYGGHQFQSYSFHEEENLFQDWSLDYLLLGEDEPFFTHHFSTSVHSNFVQDELYTLFDGDILSIWGDMKEDAYHRSDKDGGEKEEKLDHEKAMELQLQRLPSGRQSGEKTLTFELVSQYFCLPIKQAAQELNVGLTLLKRRCRVLGIPRWPHRKVKSLETLIKNVQELGMETGQDEDNTRNAVEMLQQTKKLIEQSPDAKLDDWTKMLRQACFKENYKRRRLLAIEG >OGLUM02G32970.1 pep chromosome:ALNU02000000:2:32646561:32649583:-1 gene:OGLUM02G32970 transcript:OGLUM02G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGLSCAEEACVAVDGGVAVRELRSGEAGPVSASSTAPPRCSRPPPRASAPPRGAASRRRRHLPGRYASLRLRASAAAAAAAAKEGAEEMGFEEMAAGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFRRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >OGLUM02G32970.2 pep chromosome:ALNU02000000:2:32646561:32649461:-1 gene:OGLUM02G32970 transcript:OGLUM02G32970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAAPRLRAAAWGSLSPPAPVRAQFVSLPLSLPGRYASLRLRASAAAAAAAAKEGAEEMGFEEMAAGTRRRYYMLGGKGGVGKTSCAASLAVRFANNGHPTLVVSTDPAHSLSDSFAQDLSGGALVPVEGPEAPLFALEINPEKAREEFRAASQKNGGTGVKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVQVRELFRDTESTEFIIVTIPTVMAISESARLHSSLRKESVPVKRLIVNQILPPSSSDCKFCAIKRKDQTRALDMIRNDPELMGLNLIQAPLVDMEIRGVPALKFLGDIVWK >OGLUM02G32980.1 pep chromosome:ALNU02000000:2:32659716:32663984:1 gene:OGLUM02G32980 transcript:OGLUM02G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNSRTNSRANYSNEIHDLSTVQNGTMPTMYYGEKAIADFFPPHLLKKVVSEVVATFLLVFMTCGAAGISGSNLSRISQLGQSIAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVIHPVDVIGTTTPVGPHWHSLVVEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAISGGSMNPARTLGPALASNKFDGLWIYFLGPVMGTLSGAWTYTFIRFEDTPKEGSSQKLSSFKLRRLRSQQSIAADDVDEMENIQV >OGLUM02G32990.1 pep chromosome:ALNU02000000:2:32667010:32676953:-1 gene:OGLUM02G32990 transcript:OGLUM02G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSMSARRLHATMDKIGTELRLHMRRTTTTLALHKEVEVDGPWTTVDIVSNIRHVDHTSVGHAAAAVALLWLAKLYRTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKVESDELFIRWMKPEASELCGTIPKTSETSKFQHGVLEWSFELVTDLVSQAYLPLSSTEYAAFCPAAA >OGLUM02G32990.2 pep chromosome:ALNU02000000:2:32667010:32676953:-1 gene:OGLUM02G32990 transcript:OGLUM02G32990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSMSARRLHATMDKIGTELRLHMRRTTTTLALHKERTEKRMKMEVTNLTWEIPWIILVDVQLPDELNGKRLLLDFPSKVESDELFIRWMKPEASELCGTIPKTSETSKFQHGVLEWSFELVTDLVSQAYLPLSSTEYAAFCPAAA >OGLUM02G33000.1 pep chromosome:ALNU02000000:2:32674004:32676163:1 gene:OGLUM02G33000 transcript:OGLUM02G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMRSSDIEKQDEVMLPGFRFHPTDEELVRFYLRRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTDPSLPQKKPLEKIIPPNDSWAICRIFKKTNSTAQRALSHSWVSPPLSSTNEACTAPVSQTTQRSQRISDNTSSVMTDVISSTIQFTGSSYLPSTVPSCHNPLSMIDSNSRPDASVPLPSPVAEHQTMGILSAIPLDITAGIDIASMVFNASSFTLPNMDRMTANIEFGQPQKCNSSSSMTNRCVVDMPDVANNINSGPRSINFNLQGTLSDDWRMTLPWESLPCTTEVSTNFQSTKCYT >OGLUM02G33010.1 pep chromosome:ALNU02000000:2:32677692:32679957:1 gene:OGLUM02G33010 transcript:OGLUM02G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY70] MAGGGGGRASAQRRAALAALITLLLLASLAFLLSATGTASAPNSAPFRLAAIRRHAEDHAAVLAAYAAQARKLSAASASQTESFLSISGHLSSLSSRISLSTVALLEKETRGQIKRARALAGAAKEAFDTQSKIQKLSDTVFAVDQQLLRARRAGLLNSRIAAGSTPKSLHCLVMRLLEARLANASAIPDDPPVPPPQFTDPALYHYAIFSDNVLAVSVVVASAARAAAEPARHVFHVVTAPMYLPAFRVWFARRPPPLGTHVQLLAVSDFPFLNASASPVIRQIEDGNRDVPLLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDLGGKVNAALETCFGGFRRYGKHINFSDPAVQERFNPRACAWSYGLNVFDLQAWRRDQCTQRFHQLMEMNENGTLWDPASVLPAGLMTFYGNTRPLDKSWHVMGLGYNPHIRPEDIKGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >OGLUM02G33020.1 pep chromosome:ALNU02000000:2:32681760:32690177:1 gene:OGLUM02G33020 transcript:OGLUM02G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAASSSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAKENGGQRMSRKEKTKMKEGDRMKEDEKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGVFGLPKGKIDVVEKIWPGAKLFLYDFDLKLLYGIYKAKTKGGLDLVRGAFHGKFPAQSSFKHAIKENYNSKGKFTQELSLKQVHRLLELFKPVSLPQSSIQYVKERHRRLDVSEGRLPHYVEGRRLPRHVEEMHHLRHVEERRLPYDHEERRLPSAPRAIVEATDREAFFARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYENPGPAYSESIHQMPVSSTRPNVPGAPVSSLYSFAGAPAYR >OGLUM02G33020.2 pep chromosome:ALNU02000000:2:32682133:32690177:1 gene:OGLUM02G33020 transcript:OGLUM02G33020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTSANPNAKGKAAASSSGLPSPKTTPRGVRPKAFKKKAKADPEMQKVAAAEAAATAETASAPPLKPAEVSPAAAVAKENGGQRMSRKEKTKMKEGDRMKEDEKGRIKGKEKKDDKARERKGEAGFIFMCSAKTKPECFQNGVFGLPKGKIDVVEKIWPGAKLFLYDFDLKLLYGIYKAKTKGGLDLVRGAFHGKFPAQSSFKHAIKENYNSKGKFTQELSLKQVHRLLELFKPVSLPQSSIQYVKERHRRLDVSEGRLPHYVEGRRLPRHVEEMHHLRHVEERRLPYDHEERRLPSAPRAIVEATDREAFFARDYRVPEEIVARSDHVDELYRSYRLATRAMDLHQGPSYVTAAYENPGPAYENPGPAYSESIHQMPVSSTRPNVPGAPVSSLYSFAGAPAYR >OGLUM02G33030.1 pep chromosome:ALNU02000000:2:32690635:32693168:1 gene:OGLUM02G33030 transcript:OGLUM02G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASDELLGTFVPIAVYWLYSGLYLALDGVERLDVYRLHPREEEAAKNVVSRGTVVRGVLVQQAFQVAVSLTLFAVIGDESGIEQKQPSALVILLQFAIAMFVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILHALFNNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEHRKGGGFESRPVKLNIAEQTKTD >OGLUM02G33040.1 pep chromosome:ALNU02000000:2:32694526:32698913:1 gene:OGLUM02G33040 transcript:OGLUM02G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT2G02910) TAIR;Acc:AT2G02910] MPERRLPISTPAAAGPRRHPRRQRRHCRLLVLPAFALALVSLVYLSFSSHPNLPFHDVPCQIEFLPSVDDLVEPGIYNNFTGFSLNYISKENVSSSNGIFEPLFGGHQSLQEREETYYARNQTLHCGFVQGPEDYPNTGFDLDENDKIYMASCRVVVSSCIFGSSDYLRRPTKSKIGPYSKKNVCFVMFLDELTLGTLSSEGTGPDETGFIGLWRIVVVEKLPYKDMRRAGKVPKFLAHRLFPFAMYSIWLDSKLRLNADPMLIVEYFLWRNKAEYAISVHYDRTCVSEEVLQNKRLNKYNHTAIDEQFYFYQSDGLVKFNESGREPVLPSCSYHYLMI >OGLUM02G33050.1 pep chromosome:ALNU02000000:2:32699538:32703809:-1 gene:OGLUM02G33050 transcript:OGLUM02G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGPPKKRNFKIELFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLERTMTWRLKEISKSIEAAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPQSRRTPVHELGLNLWRDHIIHSPMIHSRLLDTLLDLIHRERMGEMINRGLMRSITKMLMDLGAAVYQDDFEKPFLDVTASFYSGESQEFIECCDCGNYLKKSERRLNEEMERVSHYLDAGTEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYDDLARMYNLFRRVFDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIINVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLYVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMIDFYAKKSEELGDGPTLDVHILTTGSWPTQPCPPCNLPTEILAICDKFRTYYLGTHSGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNSTDGLTYKDIEQDTAIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFMPNPVVIKKRIESLIEREFLERDKADRKERGMRLIRHHICPDRFESGNRDMEPPTQAQLEAEVEGNYCRSRVPEGRRAFAMKRHLYTYAHASNMIVQNRFGVWSHRDQGYIRRTRYFKFVKIGWGLSRLRRVCQQHGVFAWYQCTPRREELEAAEAGVRRLFSRHTPAWMKKQKRLQRRERERDERRLNKQKRPLALKQQQLKAQAPMARAVLLFAYTKWK >OGLUM02G33060.1 pep chromosome:ALNU02000000:2:32759849:32761081:1 gene:OGLUM02G33060 transcript:OGLUM02G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDVAGDAGGGRRPNFPLQLLEKKEEQPCSSSAAGGGTGPSSAGGNGNNGSGPGGAGGEMQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSAPAHLRALPSPAAAARFGSRADAWDRVVSLGFPSEGPASSSSSPSPLLLNFHSGSVGLDVQPSPSAAAAAADLSRKRRWEQEMQQQQQQQQQQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSNSTQGGGPSGGGGGGGGGSGESIWTFPQMSSAAAAAAVYRGSVPSGLHFMNFPAPMALLPGQQLGLGPVGGGGGGGGGGEGHMGILAALNAYRTQAATDAAGEQGGGGGGGGSSQQQHGGGGGGGERHQSISTSDS >OGLUM02G33070.1 pep chromosome:ALNU02000000:2:32769518:32772200:1 gene:OGLUM02G33070 transcript:OGLUM02G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY77] MGSGSGSFLKVVVKNLDVLAGPIVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFSPVLEWLPLWSYAKLFFNCWLVLPYFNGAAHVYEHFVRPMVVNQQIVNIWYIPRKDESDRPDDVISAAQRYIEQNGSKAFESLVNKFKASNTRRSILEEVEAERRAKAELEAEARDENPFFNQNYRY >OGLUM02G33080.1 pep chromosome:ALNU02000000:2:32772732:32779855:-1 gene:OGLUM02G33080 transcript:OGLUM02G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVASFLLLLLIVTCGAAQGQGNDFVVLDLKTTEIGEDASPMYKEQIASTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIRTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPAAFCKQYGLCRDMALLSAVKSESTCLFCHHIIDEITSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKALNLFSARKLEASGSFVPIDPFRPREMESSLKEEKAAGESGDDEKAERSSPINLNSLPATAACAAAAPDEDGLHSSVESGAKDSNTTKGVESLGTGHKKIPKREVVDEVDVQTCAEGKNDSVVPSSSKNPINDKNAMANVAENGQSADGIPEDQRVTILSVVKKDEPADDVRDSVNPVTVVGYRDEKGGTSGTAGTTAVRPAGTRSSSFHGVTSQIIPVLSLEGGTHQSSTDSAGIDGVENMKLICGTVRAEWKGGEEREGKGLFFSLNISYYAGSYDTEEKAASDLMSQYLPGFRIRKGTGGHKGHVSRGMRNIPKKFFFSPAEEVAASQEGLLFIEELLETEEEAAEAYDIAAIEIRGKNAVTNFDRSNYMEKGMHCIEGAGLKLLASKPE >OGLUM02G33090.1 pep chromosome:ALNU02000000:2:32781447:32783312:1 gene:OGLUM02G33090 transcript:OGLUM02G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLRDRRIRLSVPMSIAFYDIQDRLGVNRPSKSIEWLICAALVARLLLRPPQRRPAPHPRRPSSGRPSEEQARMRKATVAAPPGKWGEKRRKTRSWCAARKAERIAKLRVWREGWMPRREASTSAALGGQSWIRKPSTPGSGDSCSCSDAKTAKRRWAEKVGEVVAREAGSE >OGLUM02G33100.1 pep chromosome:ALNU02000000:2:32788793:32789285:-1 gene:OGLUM02G33100 transcript:OGLUM02G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRGSISEEEINELISKLQSLLPNSRRRGSSQASTTKLLKETCNYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSILRS >OGLUM02G33110.1 pep chromosome:ALNU02000000:2:32797116:32802200:-1 gene:OGLUM02G33110 transcript:OGLUM02G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT3G47700) TAIR;Acc:AT3G47700] MEAAVLPRPADITPELRRFLDSRFRSQADLAAAADVEAEIRGRYAELEASVSDLSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPGVTEAVEVGSEEMLFEQLSSLAKEVARVELVRDYAETALKLDSFVGDVEDAVSSSVTGKLKSRAESSLKTYHVPIGYLKTIEDILSSVTKTRPQWTRLVSAVDHRVDRSLALLRPQAIVDHRALLASLGWPPSLSGTKFSSINSGKPSEIVNPLFSMKGDLKSKYSESFLSLCSLQELQKRRKARQLEGHIVNNQLRQPLWVIEELVNPIAAAAQRHFSKWVEKPEFVFALAYKITRDFVDSMDEILQPLVDKANLVGYSCREEWISGMVITLSTYLVKEIFPKHIELLQEISSADASSKQSQARVSWLNLIDLMISFDKQTQALISSSGLLLSVKDDDNWQRISVLSVFCDRPDWLEIWAEIERQDTHDKLRLSMENEKNWNTRFQGTVLEYGSDDYKSPAITGVIQKGLSLLIDRARPIPNIALRAEFIKISTSPLLSEFLGWMLQRCQEAEGLTALADDNALLKVSQSINAARYLESTLTEWCNDVFFLEMENIDGRSECIFQVEINQLKDFRVQWTEKISTVILRDFDARSRDYLKNKRQWQEKSEGLTLSRAFVECLDYMQGRIAKLEDGLNVLDFVTVWRTVASGVDQLLFSGIFSGSTKFSNGGVERLHGDLSILFAAFSAWCMRPEGFFPRLSEGLKLLDIDEKQLRDGACTDNNRLREYGIRRLTAAEVEKIIKNRIYES >OGLUM02G33120.1 pep chromosome:ALNU02000000:2:32809298:32810503:-1 gene:OGLUM02G33120 transcript:OGLUM02G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYHLLEITRSKRVSGTPRIEPDSVAAVAVLTKRPKSARNHECEQLDCQGSNDQGFSDSSTLISSIGRDNSISCLARCSRSDYGSIASVNRNFHSLVRSGELYKERRQLGIAEHWVYFSCNVQEWEAYDPYRSRWMTLPKMPHNECFMCSDKESLAVGTELLVFGKEILSHIVLSYSILTNSWSPGVDMNAPRCLFGSASFGEKAIVAGGMDAQGRVLRSAELYNSETKRWITLPCMNKARRMCSGVFMNGKFYVIGGMASNTEVLTCGEEYDLEKGTWRVIENMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNIKDNTWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVMGGGMIELHSWIPREGPLRWNMIGSKPSGNFVYNCAVMGC >OGLUM02G33130.1 pep chromosome:ALNU02000000:2:32825736:32826845:-1 gene:OGLUM02G33130 transcript:OGLUM02G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGSRHAFRGGVRGGKTAYARSRSGPAAVHHTVSLKSSTLGSLSLERDRDEEMMKWRDDGGAAKTTPPPQQMARRQRQLVLATTAPAKTPAREPEVINVWELMEGLDDKDEEGDVRGEERRGQSTPGSPEFDPDIIAAFRKALDEVPPAGECPGDEVCVKKREIQRFPGIVRERVSAFQKRIDAKLAKMAPPPPSPSPPPEPEPQLPPPPPDSDRKVVLYLTSLRGIRKTYEDCWATKSILQGYGVLVDERDLSMHAGFKEELHAALGAPGSLPQVFADGRHLGGAEEVRRMHESGELSKALGDCEMAPPAAAGKGIALDACSGCGGVRFVPCEECSGSCKVFLEELDTFRRCPDCNENGLVRCPLC >OGLUM02G33140.1 pep chromosome:ALNU02000000:2:32831681:32836149:1 gene:OGLUM02G33140 transcript:OGLUM02G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGQGLPSVEGYSRSPSTAPASEDQAAAIEPNQADKLS >OGLUM02G33140.2 pep chromosome:ALNU02000000:2:32831725:32832268:1 gene:OGLUM02G33140 transcript:OGLUM02G33140.2 gene_biotype:protein_coding transcript_biotype:protein_coding PRIPRAKTSLLLHPQPRRPRASLPRSLLPASSQERLPAAAAAVLLARSSCSPLPPLRRAIAGAAAAVLLLPHRCAPLFPTSFFPDPAGADFGPFSAAGTDIDLSPRPSFFFPTAAAVLLTGFSSVPLPALRRVLAGAAAVSFFSHSDPYESPSSTFAARPSLSLSSPVTPSPP >OGLUM02G33150.1 pep chromosome:ALNU02000000:2:32836964:32847831:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSHLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >OGLUM02G33150.2 pep chromosome:ALNU02000000:2:32836964:32847831:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSHLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >OGLUM02G33150.3 pep chromosome:ALNU02000000:2:32836964:32847831:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPGTELYRERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >OGLUM02G33150.4 pep chromosome:ALNU02000000:2:32836964:32847831:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVNENARRLLRECTASHLRHNGVTSEYGSRLQSSGGRILLQSLPVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >OGLUM02G33150.5 pep chromosome:ALNU02000000:2:32836964:32847831:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYYAMRLRCLLTRPPVSLAISASAGGGEGCFARRFGAAVAPRPWGAGRRLCRFYGSSKGGVGSAEARSAAAAEGSSGRCSEQEHAKLGERDQQEWLSGERFLTDCKRRESPFLTRRERFRNEFMRRVVPWEKGNLTWQNFPYYVKERLVRALAHELQVPLLVLDSSVLAPYDYGDDYSESDEEDEHDESEDEESDIEDEGDEDWTSNGEAKTDESDDEDALKSVEELKKSVDRLRKLVPCTLEEFAKRVAGAEEGTTSESSESPESSEEDKRPYQRGDRVKYVGSSEAFEADQRTLSKGQRGEVYEINGDQVAVIFDPLAEKLHDGDNDATSKEENTEASIYWDIVHDHDTESEDWHIAIEALCEVLPSLQPAIVYFPDSSQWLSRAVPKSNRREFIQKVEEMFDKLTGPVVMICGQNILEAEPKDKDKEPPALMFHNLSHLSSLPSSLKRLVGGRPKYSRSSGISKLFTNSLIVPLPEEDEQRRIFNNQIEEDRKIIISRHNLVELHKVLQEHELSCVELLHVKSDGVVLTRQKAEKVVGWARSHYLSSAVLPNIKGDRLIIPRESLDVAIERLKEQGIKTKRPSQNIKNLAKDEYERNFISAVVPPDEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKENLESDFRFDELANSTEGYSGSDLKNLCIASAYRPVHELLEEEKKGGPCSQNTGLRPLRLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTRSPFGFGN >OGLUM02G33150.6 pep chromosome:ALNU02000000:2:32836669:32837303:1 gene:OGLUM02G33150 transcript:OGLUM02G33150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREGWEVLICAPASSLYQVELTWLESYVRGDPTPEAPNSPRQERPGVRSGLAEAESRGKNRRRLPWRLRLPPRRHSAALRHRLRRTPDSNLPIAPSAPPPPHHMPGSTAS >OGLUM02G33160.1 pep chromosome:ALNU02000000:2:32858481:32863570:1 gene:OGLUM02G33160 transcript:OGLUM02G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVGLALQSRAAGFGGSDRRRSALYGGEGRARIGSLRVAEPAVAKAAVWARGSKPVAPLRAKKSSGGHETLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMKRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLTPTDIAIESFHKIENFVIEHTVDNPVGDSQADSRAQRIQTL >OGLUM02G33160.2 pep chromosome:ALNU02000000:2:32862932:32863562:1 gene:OGLUM02G33160 transcript:OGLUM02G33160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWKHFRIYTILPFIGFFAVTIFFFNSTIPIILKICGATMLNLNLSLLTSDMWAVLILIFAYHEKVDWICFVAFAGMAAGLVIYSYKPSFFICHCF >OGLUM02G33170.1 pep chromosome:ALNU02000000:2:32864473:32865905:-1 gene:OGLUM02G33170 transcript:OGLUM02G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichol-phosphate mannosyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G48140) TAIR;Acc:AT1G48140] MVVVLVYRATVSCQGSKKFIVLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAVLLQQDILEAKEFLSKKGVDVGSE >OGLUM02G33180.1 pep chromosome:ALNU02000000:2:32868339:32879304:-1 gene:OGLUM02G33180 transcript:OGLUM02G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC6 [Source:Projected from Arabidopsis thaliana (AT1G71820) TAIR;Acc:AT1G71820] MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGINALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDVGGMMSISVEAAAARDSLSDDKELIRTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRSWETFEKALWGHVTNFFRLSKDSPQTLVRAIRVVEMQEILDQQVAEKAAEAEGAGAMATIANQRRTANRKGGGATSTPRSTQDKSKVQGKGYKDKCYEYIRKAVEARFDKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIPNINILKVTGWVVKYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKSTEDGKLYTPAAVDLFRILTEQVQIVTENSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLRCYELSSELSSSTLEALPQNYAEQEAVLQTVSVIFEDPGVQDLLVKVYQKDWMEGMVTEYLVATFADYFGDVKQYIEERSFRRFVEACLEQTIVVYVDHLLTQKGHIKEETIERMRLDEEVLMDFFREHINVTKVETRVRILADLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTARKGIWSKLGQ >OGLUM02G33190.1 pep chromosome:ALNU02000000:2:32882580:32884726:-1 gene:OGLUM02G33190 transcript:OGLUM02G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YY96] MGTAKLPALLWLLAGVVLALAVNPAHGAKTRHYDFFITETNYTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNDGNKNITIHWHGVDQPQNPWSDGPEFITQCPIRPGGNFTYQVILSEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFKKPDKEIPVILGEWWNDDIEHVLDKAQLLGGDVDPSNANTINGQPGDMFPCSRDDTFKVAVQQGNTYLLRIINAGLTNDMFFAIAGHRLTMVGIDARYTKPLTVDYIMIAPGQTMDVLLEAKRTLGSNSQYYMAARTFITLPLDTIPFNNSTATAIVEYTDSATARPVRPPEFPVQLPAIKDENAAMAFVTQLRSLGNQEHPVHVPTHVDEHMLIDIDINVLPCDPTNMVEKCKEGPQGNRFAASLNNVSFQSPAIDVLDAYYYNSGHGVYEEDFPNKPTAFVDPTVNNGSGPLMTKRGTKVKVLEYGTVVEVVFHDLSSENHPMHLHGFAFYVVGRGNGTFDESRDPATYNLVDPPFQNTVSVPRSGWAAIRFRADNPGVWFMHCHFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPQC >OGLUM02G33200.1 pep chromosome:ALNU02000000:2:32887505:32891135:1 gene:OGLUM02G33200 transcript:OGLUM02G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYTLAGFWHDQRSRCPDTEAQISLTTGHDTAVRSRRDPSPPRRRAGAMQRLQKQLLPALRAAFPLPASHFSSRLLSASTATLSPTRFVDEDALVAACGLTGAEALKASKRLQKVPSNLDAALTFLAFLADFRLSKDDIAAASSRYPRFLHLKVDETLTSQVARLRDIGLSTPEIGRLITIAPCILSNPRTISRLEFYLSFLGSYPRVHSALRNNSSLLRRNNIESEVKPNIAFLEQCGLTTCDIAKILMSGSRILIMQPEHVKEIVACADKFGMPRESAGFRYALMAVTGISPVRVSAKLDFLRMVIGCSDAQLHIAVSRFPLILTYSEVKLSRSLEFLKAEVGLEPQYIVLRPALLGYSIQKRLMPRYHVMKVLNEKGLLKKDTDFYSMVKIVEESFFKKFLLPYHRSVPGLEKAYLAAREGKMFPEI >OGLUM02G33210.1 pep chromosome:ALNU02000000:2:32893479:32895231:1 gene:OGLUM02G33210 transcript:OGLUM02G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase delta-subunit gene [Source:Projected from Arabidopsis thaliana (AT4G09650) TAIR;Acc:AT4G09650] MATLRLTSVTLRPAASPSSAAAPRSANFARAAARGRRAAADAAAEGYATALSEVASENGTLEATVSDLEKLEKIFAEEAIAEFFDNPTVPRDEKAQLIDEIAKSSELQAHVVNFLNVVVDNGRAGLMTQIVREFENAFNSLTGTEVATVTSVVQLESQDLAQIAQQVQNLTGAKNVRVKTRIDPELIAGFTIQYGRDGSSLIDMSVRKQIEEITSEFEMPAVTLDV >OGLUM02G33220.1 pep chromosome:ALNU02000000:2:32896181:32899281:1 gene:OGLUM02G33220 transcript:OGLUM02G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39620) TAIR;Acc:AT4G39620] MLAYPTTSSPWPPRHHGAAAAPAARRHMAAAAARGKRRGAGAAAAEGADEAAEAADLVRFFLRRTSGGKERLVAVLDRHVKVVRTEHCFLLFEELGRRDGWLQCLEGVDVDQQEMNFEVPVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCRPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKTIDRCQPNIVTYNILLRAFAQAGDTKQLDILFKDLDESPVSPDIYTYNGVMDAYGKNGMITEMESVLVRMKSNQCRPDVITFNILIDSYGRKQAFDKMEQVFKSLLQSKEKPTHPTFNSMITNYGKARLREKAECVLDKMTEMGFKPNYVTQECLIMMYAYCDCVSRARQIFDELVSSQNNVHLSSVNAMLDAYCMNGLPMEADQLLDSVIKKGVVPSASTYKLLYKAYTKANDKKLIQKLLKRMNSQGIVPNKKFFLDALEAFGNTDKKPRTVPSKNSASKPDVESANNSGTDTSSKPNLSVWQVAA >OGLUM02G33230.1 pep chromosome:ALNU02000000:2:32899053:32905149:-1 gene:OGLUM02G33230 transcript:OGLUM02G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKEPIEVKFRLFDGTDIGPSKYDPSTTVSALKEFILARWPQDKEITPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQPDKNSEKQLANSPKQNRCGCTILPSDSGRSPISTVGFRRKARASPGLRLRAASPLARTQPRRPRRRKTLPPSALSLSGSASRAPWKRPPVAGARPPVGDPTALYKRDRLERPDPSLARGFLRLSVRVPVRYGILGPPPLSPPREEADRPVADSLHRFLVWWSSALKTAYARFDLFQATRIPQRVICASNSYSSNYRSTSTSSPMNSNEMLGAQETVSTEGNNSCSSVQSTPDIEKKYVHRVYDAIAPHFSSTRFAKWPKVAGFLNSLRPGSVILDAGCGNGKYLGFNPDCLFIGCDISPPLIDICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDARRRKAIEELIRVVRKGGLVLITVWAVEQEDKSLLNKWTPLCEKYNEEWVDPSSPPVRNQSNTVLESISETDEDTGAVKQRNDDLKISNDGLDDNADVTCSNSNNDEHHKTQQEYFVPWHLPFHRAEIGGASAAALENGFAKRDDKKGTVVYNRYYHVFVEGELQRLVAGINNAVVVDQFYDKSNWCIVLEKL >OGLUM02G33240.1 pep chromosome:ALNU02000000:2:32910735:32911418:1 gene:OGLUM02G33240 transcript:OGLUM02G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQRLPCRARDRDSLGLRSLLVADAAGYGRGRVVTRTIVAVHQETTTSCKGDQCNGCSDDEEEEDVHDGEDGCWVAYGRRGMMRRLPPPLPSLRGAMRRTCTKDGRLVVTEAPAGARRRHEYIRARRRGWRVTMQLVESKDFYPCPSPAEEEEDDDDIVNVTQAVSDTSTAAATVGECDPGHMQKAPAPAPPPPSPPSIGCFEDVVKYHSIGSTSLHQIVRLRMVH >OGLUM02G33250.1 pep chromosome:ALNU02000000:2:32912721:32912876:1 gene:OGLUM02G33250 transcript:OGLUM02G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQVQRRAPRPGSGGHGDIVLVKQQVHACRAALPGALELGAAAWHPASLT >OGLUM02G33260.1 pep chromosome:ALNU02000000:2:32917824:32923596:1 gene:OGLUM02G33260 transcript:OGLUM02G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G10080) TAIR;Acc:AT5G10080] MRLPVLLPLLLLLLAAAAAVAAAEAATLSARMVHRLSDEARLAAGARGGRRWPRRGSGDYFRALVRSDLQRQKRRVGGKYQLLSLSQGGSIFPSGNDLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSSYHGSLDRDLGIYKPSESTTSRHLPCSHELCSPASGCTNPKQPCPYNIDYFSENTTSSGLLIEDMLHLDSREGHAPVNASVIIGCGKKQSGSYLEGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKKDDSGRIFFGDQGVPTQQSTPFVLMNGKLQTYAVNVDKYCIGHKCTEGAGFQALVDTGTSFTSLPLDAYKSITMEFDKQINASRASSDDYSFEYCYSTGPLEMPDVPTITLTFAENKSFQAVNPILPFNDRQGEFAVFCLAVLPSPEPVGIIGQNFMVGYHVVFDRENMKLGWYRSECHDLDNSTTVSLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGRAPSSGGSTTLQNLLANSNMLLLLTMSVFFIS >OGLUM02G33270.1 pep chromosome:ALNU02000000:2:32923767:32925209:1 gene:OGLUM02G33270 transcript:OGLUM02G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSIKRLLSMLLSAVSGGQRDKGKRMQRRRQQQQQLQITVELRVRMDCERCERQVRRALAGMRGVQHVEVSRRQQKVTVTGSVDPHEVLRRVQSTGKKAELWPQYPTYGSAAAAAAVVHCGLGPPHDRWAPACHPRNMDAAMGAEHIANLFSDDNPNACSLM >OGLUM02G33280.1 pep chromosome:ALNU02000000:2:32928903:32930799:1 gene:OGLUM02G33280 transcript:OGLUM02G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMLGKRQRSQGTMHRTTSMASVPAAAKQGRRHVVEGPPRAPPASLLAGGGPATAAAAADHGGVETAAFLKNCALCGRDLGPGKDTYIYRGEVAFCSKECRECVIEYYERKERNCSLTSIKDTPAVSGASGSDQSGASGSETVAAA >OGLUM02G33290.1 pep chromosome:ALNU02000000:2:32939400:32941490:1 gene:OGLUM02G33290 transcript:OGLUM02G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 16-2 [Source:Projected from Arabidopsis thaliana (AT4G39710) TAIR;Acc:AT4G39710] MAPPPISSSLSLMASNPIPSPPVAKPGRPLTVAACSNSSSSSSSSSPSSTSCSAAWSLPVATSAGRRGLLALGAGFLASAGLLCPAGDAGATRIEYYATVGDKLCDMNVVKSGLGYCDVEVGTGAQPPRGQLINVHYTARFTDGIVFDSTYKRGRPLTMRLGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSVFRVNFGVSYKRRDYGQMKRKGATCLSPDAESKCVTGAPWVSNTQSTTFAICTKK >OGLUM02G33300.1 pep chromosome:ALNU02000000:2:32942574:32943312:-1 gene:OGLUM02G33300 transcript:OGLUM02G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVCNPATQELVVLPPGSGSGPCPRSTESTAAVGFDCVAEQSHNDPPVYNIGHEIFTLDTGAGDGWQRMQDQSRAISPGGRRPAACTRGGFFYWFINDSQPCTPLWFSLRDEAFDAVPSSLGCTACDNNDRLADLTGELCYVHRVRTSVATHEVWMAVAVDDDDQEWWLRYRVDLGGGNSQRWFYNFSATAAGDDGVEEEATLVAILYKELWWHRERSKQL >OGLUM02G33310.1 pep chromosome:ALNU02000000:2:32945756:32948843:1 gene:OGLUM02G33310 transcript:OGLUM02G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSQHALVSVKSLCTGANFGFEKRTSKVRFVLVGRCCSGTRKLGLVCASNSHSSVMEPAQLPLSPESGNTPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVDEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIVVHSESEQAHRWSKIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIVPQLVAGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPSEAGVYAYTRSLAQYRQKLDNMFQ >OGLUM02G33320.1 pep chromosome:ALNU02000000:2:32949526:32952569:1 gene:OGLUM02G33320 transcript:OGLUM02G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHNSPCDIPKPASVDEFVKNGKKKKSFMSSIFRKKGRSGTGSSDKKLLSRRDIVFDRHCATKIESLTLSCLDSPHRQFDTREYRVFVGTWNVAGKPPNSSLNLEDFLQIEGLPDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLGLIYQALNKPQDQSSGDELSPPETSDSRQGGGSGSRDSIPKSSSGGMLFFQKPSLKMLSKNYRVDSALVKTCTCLTDPSTMQRRAREMREFLYRIEASPPPSLASAAAAADEDGGPDAGGELARSSVNYCLIASKQMVGIFLSVWVRRELVQYIGHLRVDSVGRGIMGRLGNKVLDAVLLCLCSLFGSLDSWRRHGWLSCLQGCIAMSMTLHQTSVCFVCSHLASGEKEGDEVRRNSDVAEIIKSTQFPRICKVPGQRIPDKILDHDRVIWLGDLNYRVALSYDETKTLMGENDWDTLLEKDQLMIERQAGRVFKGWKEGKIYFAPTYKYKQNSDSYAGETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGESRFSDHRPVCSVFVIEADVDNGSMIRKGYSTLDSRIHFESPIPQRHSFYDDF >OGLUM02G33330.1 pep chromosome:ALNU02000000:2:32953078:32956372:-1 gene:OGLUM02G33330 transcript:OGLUM02G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASGVNNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENTALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAVTSSNGVELTSLPGEGKGITPAD >OGLUM02G33330.2 pep chromosome:ALNU02000000:2:32953080:32955818:-1 gene:OGLUM02G33330 transcript:OGLUM02G33330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLASREARVGDTMSSASGVNNGDGKEAALFEQRLSKIGEVRAALGQLSGKAALYCSDASIARYLVARNWEVKKATKMLKKTLKWRSEYKPDEIRWDEIANEAATGKIYRTDYFDKSGRSILVMRPGVQNTKKAKGQIRYLVYCMENAILNLPHDQSQMVWLIDFAGFSLSNISLHVTKLTADVLQGHYPERLGVAILYNAPKFFESFWKIASPILEPKTFNKVKFVYPDRPETNKIMEDLFNMEELESAFGGKNQATFNINDYAARMREDDIKMPLFWSPENTALASEPYVMVNKDMAQEGSSGLKSEETASEKREETDTESENREETESESERAEIDSVSGKREETVAVSDKREEKETESENGKAAVTSSNGVELTSLPGEGKGITPAD >OGLUM02G33340.1 pep chromosome:ALNU02000000:2:32958204:32962290:-1 gene:OGLUM02G33340 transcript:OGLUM02G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRARALLHAPAPLLLLLALAAAAAAVAVASGPPFSCGAPSSAAFCNPRLPIEQRADDLVSRLTLEEKISQLGDQSPAVDRLGVPAYKWWSEALHGVSNAGRGIHLDGPLRAATSFPQVILTAASFNPHLWYRIGQVIGTEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTVTGKYAAVFVRGVQGYALAGAINSTDLEASACCKHFTAYDLENWKGVTRYAFDAKVVTAQDLADTYNPPFRSCVEDGGASGIMCSYNRVNGVPTCADYNLLSKTARGDWRFYGYITSDCDAVSIIHDVQGYAKTAEDAVADVLKAGMDVNCGSYVQEHGLSAIQQGKITEQDINRALHNLFAVRMRLGLFNGNPKYNRYGNIGPDQVCTQEHQNLALEAAQHGVVLLKNDANALPLSKSQVSSIAVIGHNANDATRLLGNYFGPPCISVTPLQVLQGYVKDTRFLAGCNSAACNVSSIGEAAQLASSVDYVVLFMGLDQDQEREEVDRLELSLPGMQENLINTVANAAKKPVILVLLCGGPVDVTFAKYNPKIGAILWAGYPGEAGGIAIAQVLFGEHNPGGRLPVTWYPKEFTSVPMTDMRMRADPSTGYPGRTYRFYRGNTVYKFGYGLSYSKYSHHFVANGTKLPSLSSIDGLKAMATAAAGTVSYDVEEIGTETCDKLKFPALVRVQNHGPMDGRHPVLLFLRWPNGAADGGRPASQLIGFQSLHLKSMQTVHVEFEVSPCKHFSRATEDGKKVIDHGSHFMMVGDDEFEMSFTP >OGLUM02G33350.1 pep chromosome:ALNU02000000:2:32967489:32970841:-1 gene:OGLUM02G33350 transcript:OGLUM02G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENAQGSGRRPFGDLTNVLGKRPAPSNLEKSAGGIKIVRVEKAVEPRKEFDETAKASGGATRNTLPLFDSIAKENLMRPSIFWETKMQHMAAEAAVLLSKESDDMRSCAMSLGSSGLHDKEQESSLESEGGCEEDDDDDMDSEYLAYTRDSTKTATNDGECLTQEEMAGSSGNQKPLSSLDFTTGCDDMPCSDVHHHSLGNSELENDDTTKSCACSFCLKAAFMWTDLHYQDTRGRLAALKKSIKFARLLGKKSQGDEYSVNAGRYNLKRAAEMEFELYQQQRSLFLHTENVLIRESAQLHSSLVKLKEFRENCKTDLETASSSLLGK >OGLUM02G33360.1 pep chromosome:ALNU02000000:2:32970889:32971896:-1 gene:OGLUM02G33360 transcript:OGLUM02G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCDELQKRAGATRLPAPSRADRDARGPNSERERARGGSHPSPPPARPLHFFAPLHRGPRLGIKPPTTNPTIPSPLSSPASLARAAAPAGRTGGKAAVSRRRGWW >OGLUM02G33370.1 pep chromosome:ALNU02000000:2:32977249:32979015:1 gene:OGLUM02G33370 transcript:OGLUM02G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPAATSSEEEIKKEVAAVDVVVAAPDDDVAADREEEEEQKKRRRRKEKKKRRRRAPSEEEEAALRSVLRWARRGEAGDEEADEQRPAATGRRRPRVAVELHAHSTCSDGSLSPAALVQRAHRNGVKVLALTDHDTMAGVPEAIESAKQCSIRIIPGVEISAMYSPSDGIGAEEPVHILAYYGSLGPAKPQELDRFLGSIRDGRYTRAKEMLLNLRSLDMPMELEDVCTIAGDGVAPGRLHVARAMVEAGYVENIRQAFSRYLYDGGPAYATGNEPAGESVVQLVCRNGGVAVLAHPWALKNPVAVIKDLKAAGLHGIEIGGSDYHGRDNKDEPDVGSVDLPVLAVSGFLDAAQPIWHNATKEILANITERAPNGSKGLQRTNSAKDLCNLRLLSSDLEVTDSTEVEVLQTELSDVVLSN >OGLUM02G33380.1 pep chromosome:ALNU02000000:2:32992740:32994824:1 gene:OGLUM02G33380 transcript:OGLUM02G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDMYKYNTSTHQIASSDQELMKALEPFIRSASSSSASSPCHHYYSSSPSMSQDSYMPTPSYPTSSITTAATTTTSSFSQLPPLYSSQYHAASPAASATNGPMGLTHLGPAQIQQIQAQFLAQQQQQRALAGAFLRPRGQPMKQSGSPPRAGPFAAVAGAAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDLARLNFPTLRRGGAHLAGPLHASVDAKLTAICQSLATSSSKNTPAESAASAAEPESPKCSASTEGEDSVSAGSPPPPTPLSPPVPEMEKLDFTEAPWDESETFHLRKYPSWEIDWDSILS >OGLUM02G33390.1 pep chromosome:ALNU02000000:2:33025291:33028606:1 gene:OGLUM02G33390 transcript:OGLUM02G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYB7] MGSTWRPPTCPIAGPTPRSYKYPVLSHSASTHLSNSRRSEHTHSLPVSSSSSSSLEAVRLDECLALCLWCVIMTNQDVVVSEMGIAAGAALPGGPAGPAGGLFACRSAAASMRQTYLDLAAAAVAARSASCTSWADAMRASSPTRSSRSASDVDEFTAWVRKHPSALSKFEEIAAKSRGKKIVMFMDYDGTLSPIVADPDTAYMSDAMRAAVREVAKTFPTAIVSGRCRDKVRNFVGLSDLYYAGSHGMDIKGPSSNPESALCQPASEFLPMIDEVYKTLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALGEQVKAVIKEYPKLKLTQGRKVLEIRPSIKWDKGKALEFLLESLGFANCGDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKDTNASYSLQDPTEVMEFLLRLVEWKRKSSSSSLMIRPRV >OGLUM02G33400.1 pep chromosome:ALNU02000000:2:33035354:33037753:1 gene:OGLUM02G33400 transcript:OGLUM02G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLETNTEMSLSLLQGYSSAEEDDPAAAGAGAGELSDSGDSSAEDAGSDGEEASAAPRPAAKPRPRPNPSRGDAGGGGGDGSLLPSALDAFAEISGPPEFLNNRVAEPEEAVEALGVLDRRGKGGRGSDSKQPPPGAVVVAKPQLVAIRERVSSGTNGANPPVSTEGKRIIGAANPGPEDAADLLRMCLQCGIPKTYSHARGMVCPVCNDRPEQAREPEKKKGSTVKDKEKIKRMRGQSSHASWKSETEMALRQQFD >OGLUM02G33410.1 pep chromosome:ALNU02000000:2:33038014:33039281:1 gene:OGLUM02G33410 transcript:OGLUM02G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVASSATVPAHGRRDLLPTRIKLVRGADAGAGAVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAPPCWMRVASDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGAGAAAA >OGLUM02G33410.2 pep chromosome:ALNU02000000:2:33038014:33039465:1 gene:OGLUM02G33410 transcript:OGLUM02G33410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAASLLFLLAVASSATVPAHGRRDLLPTRIKLVRGADAGAGAVAGGDKMECVYTVYIRTGSIWKAGTDANITLELAGADGNGVGITDLPSWGGLMGEGHSYFERGNLDIFSGRGPCMAAPPCWMRVASDGTGPHHGWYCNYVEVTVTGAHKGCAQQLFTVEQWLATDAAPYKLEAIRDKCSAAGAGAAAA >OGLUM02G33420.1 pep chromosome:ALNU02000000:2:33045380:33046318:1 gene:OGLUM02G33420 transcript:OGLUM02G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGRGSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRSGPPPPSAALFKAAASGRNPSRRAADVPVDDEPSSPKVTCAGQIKARRPAAKPKISGAGGGAGGAKAAKKATWLQALGIKKDALPFLNAVHGAFRLNVAGCFGSFPGAVVEYTSGEDDDDEELAAGKDTEHGAALAKWFMVLEEGKKVSSRKREQEPQKQQEEEEQDKKEADVAPPANALMLMRCRSAPAKGLPRRLGGDAEEEVIKNSKKEEEEEDEKEERLVLMSYAPDFFKVSVDIAKETWIVGGDDAVLRCRSWKR >OGLUM02G33430.1 pep chromosome:ALNU02000000:2:33048946:33052115:-1 gene:OGLUM02G33430 transcript:OGLUM02G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRRPPSGCAMFGIYSGMFRRRRSNSMSSIARINGVPPATAEHEHEAEAKAASAPANQAHRKGGGVHDDSSLAHRPAKLLPGTNNGAQRAHAPASDRAVHATKAANGGARNAASAAPAAEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGVPGASARSSLERNPANKPANERKATNGYAFSGLGNIVKEPRAPPASSELCRALSHRTDPEKLKEMGNEEYREGHYAEAVALYDQAIMVDPTRPAYWSNKAAALAALGRLIKAVGDCREAVRIDPSYGRAHHRLGGLYLSRVAKCGDARKLRNWITVLQESQAAVADGADCSPQVMALQAEALVKLSRHDEADAVLGGAPRFGVDESTKFFGTVAHAYVLMIRAQVDMAAGRFEDAVATAQTACQLDPSNREIANVHRRAKVVASARLRGNDLFKASRFAEACAAYGEGLDRETGNAVLLCNRAACHARLARYEKAVEDCNGALAMRPAYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVEAKLRSQRNGGIASRSQQ >OGLUM02G33440.1 pep chromosome:ALNU02000000:2:33081851:33082423:1 gene:OGLUM02G33440 transcript:OGLUM02G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCDHECTAPTHTAFGAKYQPCIGVSGRPDHHAVVKLQQPAGQAAAAAVVSAAAQPQRAAKKRQAAAPPPRPSASTSRRSSTTVVATDVSNFRAMVQELTGFPAAAIFRPLPRRIPVHAVNPSPAVRGYGGGALQGHGSDTATAAGSSSSSSPGVPTVQLMQCSPPGVFDGLPDLGSPEFDSWPDLSDE >OGLUM02G33450.1 pep chromosome:ALNU02000000:2:33083590:33085547:-1 gene:OGLUM02G33450 transcript:OGLUM02G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYC4] MATLTVPAAVPPVAEDCEQLRKAFKGWGTNEKLIISILAHRDAAQRRAIRRAYAEAYGEELLRALNDEIHGKFERAVIQWTLDPAERDAVLANEEARKWHPGGRALVEIACTRTPSQLFAAKQAYHERFKRSLEEDVAAHITGDYRKLLVPLVTVYRYDGPEVNTSLAHSEAKILHEKIHDKAYSDDEIIRILTTRSKAQLLATFNSYNDQFGHPITKDLKADPKDEFLGTLRAIIRCFTCPDRYFEKVIRLALGGMGTDENSLTRIITTRAEVDLKLIKEAYQKRNSVPLERAVAKDTTRDYEDILLALLGAE >OGLUM02G33460.1 pep chromosome:ALNU02000000:2:33089306:33096562:1 gene:OGLUM02G33460 transcript:OGLUM02G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVTVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRLDDAEGFFPRNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHSIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVTQLLLQKPLHHKSPPDSALGIGP >OGLUM02G33460.2 pep chromosome:ALNU02000000:2:33089306:33096562:1 gene:OGLUM02G33460 transcript:OGLUM02G33460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHASVGRRWGFDPCDEVTHVVMNDFALMNDHTVLLQGHDKSRISPAGYLTRSGPTQGGGIRNNIGYCDGRSINESCGKRSTYPPTYKKDVTVPKSTKPSIFDADEYVSVSNVSDVPSSEGNTMQDEHRNKGKDLLYCDWSELLNLDDLEADLRSFESTFEIGSNHFEDPLWSSVCLPDAQLVPSSCLLDNTNLSTVSNESTTKSILSSVSVSDTTSAEPLSLDQNNMANPINIQQPPSKGRSSATLNHEALACSSGEIERFSQHSDVDVFYPFDNVTSSERISGCEGLEAIFCTNQEMLAPTTSSIMCDDEIVSSSTFSAPDLVATYVPRSMKRSHDPLNGTPDMILDEMAGNPLEMYFPPSLTAYEHPEHLNNVTLTQTHQFPEGFAGDDVLKSADLQFLSKGKTSADLCVNPCSPLILEAVPVKDLGFHKLQEGMNQLDVASKARIRDALYRLANCVEHRHSIASTTETVNQLGVMESSASKRWREIQMMNPMDRSVTQLLLQKPLHHKSPPDSALGIGP >OGLUM02G33470.1 pep chromosome:ALNU02000000:2:33097373:33101727:-1 gene:OGLUM02G33470 transcript:OGLUM02G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLPKRMNHRASSYFSGLILLLPADSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAAQISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDISWKIPQEELETALDAEAQIRSTSVYTLRRKISMLPLRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKVQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >OGLUM02G33470.2 pep chromosome:ALNU02000000:2:33097373:33101727:-1 gene:OGLUM02G33470 transcript:OGLUM02G33470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKGGNAFVGKFRAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLPKRMNHRASSYFSGLILLLPADSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAAQISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDISWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPLRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKVQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >OGLUM02G33470.3 pep chromosome:ALNU02000000:2:33097373:33101727:-1 gene:OGLUM02G33470 transcript:OGLUM02G33470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R family protein [Source:Projected from Arabidopsis thaliana (AT1G77680) TAIR;Acc:AT1G77680] MRATGEHTAAVPIPAPPQLPAPGAVTEDAAEKERRRRRRAPRRTKQAAAQQEEPAAAAGPRSCRSMPPMHVSAPLDAEAVEEEEAAGTSRSCPLLPTPSPVEALPVAGMGRGAAGRRFFQSHWPEQAVEEAIKAYCTIEGIPVDVLITGVAQNRAIEGDLVAMTLEPVAYWTRMKGPNITCNPAIGEGSAVREVGETNGNHDGKKGQTDANCRFGNCSNGQPVSDRTHYRHKNSGFSKAVTCENGHATVPENCGKDSGEGKSEASRALHRICSMVYSNPSRRPTGKVLAIIKKSPRRDTVVGFLAPFAVCPDPEQQKNQMSMLPKRMNHRASSYFSGLILLLPADSKFPHMVVNVSTLPDSVRNHLEEGDAAKELVAAQISDWNEESLYPCAHVIQLLGKGGQVKTHMDAILFENAISDAEFSPESMECLPDISWKIPQEELEVRKDLRNVLTFTIDPPTATDLDDAISIEMLSGGIVRIGVHIADVSYFVHPETALDAEAQIRSTSVYTLRRKISMLPLRLSEDLVSLNPGVDRLTFSIIWDIDPHGNIINRWIGRTVISSCCKLSYDLVQDLICSDGTKFKSASSLQVHGIFEQDDVIKSLRCLYEISKNLKEIRFKGGALSLETAKLMIQFDEGGAPCDSFRYERNDACFIVEELMLLANMSAAEVISNAFPNCALLRRHPEPNLRKSREFEAFCARNGFELDGSSSGQLHLSLSRMKEKLKDDPVLFDILMFYASKQMQSAEYFCTADLISKRDDWAHYALSVPLYTHFTSPLRRYPDIIVHRTLNAVIEAEKMYLKHRRSLTGQNGFNTASCEMMHGCFTGLQFSKDAAESKEGSEALSAAAKKFKVPSPDNLGEVAEHCNERKWASRRAEDAGQKLYMWALIKRKEVLVANARVLGLGPKFMSVYVPKLAMERRIHYDEVEGLSIEWLEATGTLVLDSCRNRPPQRRGNQVKYRAFEEVAMMVNPSESIFSEEDEESGATEAGCDTAKSVLLSDEAVKVQVAPAVLPLVIQYLSEIPVVLHAIGGEDSALDIGVRLYMSSYFK >OGLUM02G33480.1 pep chromosome:ALNU02000000:2:33101958:33104536:1 gene:OGLUM02G33480 transcript:OGLUM02G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEEEEEGGFGIIFVVDCGEEYVYVVCGGVRVASRDEMVGGGWWGGINQLWCETVNP >OGLUM02G33490.1 pep chromosome:ALNU02000000:2:33105106:33108202:1 gene:OGLUM02G33490 transcript:OGLUM02G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L29 family protein [Source:Projected from Arabidopsis thaliana (AT5G65220) TAIR;Acc:AT5G65220] MATVSLAAASPLASTPRGLAVSTPRTAFLGLRTGGASLAPFPGLAAAAAPPRLPAGRGDAAVARMAKREQELEEIRAMPTEKIEEEVVDLKGELFMLRLKRSARQEFKSSEFGRMRKRIARMLTVKREREIEQGINKRLSRKLDRKWKQSIVVRPPPSLRENKEE >OGLUM02G33500.1 pep chromosome:ALNU02000000:2:33108292:33111898:-1 gene:OGLUM02G33500 transcript:OGLUM02G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTTEEDEKLMEYIQKNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTSAEKDTILQLHAVLGNKWSAIAKHLPGRTDNEIKNYWNTHLKKDLIQKGIDPTTHRPRTDFFAALPQLIALANLRQLVGQLPWDDPAAAAAGLQQAEAAQAAKFGQYLHLQALLQPPPPSAATSPRSGCIGGAAAAMPGGDMEQMGAGLLSPPAMSSAALSPMSTSSLSPLPSPMSSTALSPLPPSSFFGNGGQGSFLAGQLPNIQMHGSSLFDQPAAIINDANHNQDYAASTGEREIINGATKTTTTTLLPEGSLPPLADYPTISNLGDVYSTPSCDGNITEFPLLPDALFDELMRDYDPESQSAL >OGLUM02G33510.1 pep chromosome:ALNU02000000:2:33124295:33174002:1 gene:OGLUM02G33510 transcript:OGLUM02G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFVEAARCRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASSSLFPGGSCSGSAVAVSAQLVDFALGTDTTGDVRIPACFCGVLCFKSSHGVVSTLGTIANSQSLDTIGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHKDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYCNRAAAYLELGRYKQAEADCEQALLLDKKNVKAYLRRGIAREAVLNHQEALQDIRHALALEPQNKAGLLAERRLQKKLR >OGLUM02G33510.2 pep chromosome:ALNU02000000:2:33124295:33131196:1 gene:OGLUM02G33510 transcript:OGLUM02G33510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-V [Source:Projected from Arabidopsis thaliana (AT5G09420) TAIR;Acc:AT5G09420] MDSSARSGGGGTGGYTSTRVWIVAGVAIAGAIVFVEAARCRRRWLRDRSEVPPDFGAFCYRFEIAPAPQPPPPAARQLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHKAATRTAVPVTMLQKQGGTYVGSTVMDELGFGVSGGNLHNGTPINPASSSLFPGGSCSGSAVAVSAQLVDFALGWFARDPSVLHRVGDVLLPAATGGLTQTRQLFFADDCFQLLKVPNEKTVNVIENAIQTLPGYQPPKHINIGEYISSHVPSLKDFCEPTVEMLEGMSALKALSTVMLLLQRYEFKTNHKDWVNTVKPKLGLDTSTRVLQAVNSKSDNIKSLYIVRNELRAALKNLLKDTGILVLPTTAGYPLKRNARQRLSPGFEDRMSAFVGIATLSGCCQAVIPLGSHNDHPISLSLLAAHGSDKFLLRNVLYMFSSIKEQVVLASKLVTAPVINRDADFGAAELLKEKGNSAFKGRKWSKAVEFYSDAIKLNGTNATYYCNRAAAYLELGRYKQAEADCEQALLLDKKIIYVLNVKAYLRRGIAREAVLNHQEALQGVTIFYLDITFY >OGLUM02G33520.1 pep chromosome:ALNU02000000:2:33134663:33139470:-1 gene:OGLUM02G33520 transcript:OGLUM02G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRDVLAGCASVGGSASGWVRHGVLVGGGARFCFGRRSAFGRSWLAVGSCGLFASAPCSSAVGPGGRWLPVEPSVGGCVVGVHGPGGAGAFVLADLRLGPWVFVAFRAVSFLILASRLVLGVSGLVAFVAVAFSVFVSVALLVRSGVVAVFGDVPVALFCVEFRSIWEGCLWTDLRMGWFVFGFRLMLLKEKGLLPDLSRQIDDIVFPLDEELEGPACSVASSLRRQGRSVDLVEDKRLKWVFKHAERINAGRLILVGKSEWERGMVRVKILSTREEFEVKAGELQ >OGLUM02G33530.1 pep chromosome:ALNU02000000:2:33171563:33173634:-1 gene:OGLUM02G33530 transcript:OGLUM02G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLCWTALLLPIIAATAAASPLPEACPVPTAAEEILGPGGTCTPLDRRGDPVGVIEGDEVTLAKAITLLHMNKDDYIAVLFYASWCPFSQECKPNFEILASLFPSIRHFAFEESSIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYRDVSGFDVSMTSEAVLHSVDGIELKKDAEQENCPFWWARSPEKILQQDTYLALATAFVILRLLYLLFPKIGSFAKRAWRRHTLFPNLVGVHEYFFTYLEQARQKFFRLYPSKRGNLQEGARNATAWASKSLASVSIGEPSTIGRTNSTNELR >OGLUM02G33540.1 pep chromosome:ALNU02000000:2:33175957:33180655:-1 gene:OGLUM02G33540 transcript:OGLUM02G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78240) TAIR;Acc:AT1G78240] MSRALYRGASGIGGKPAAGYDVADEARYDPKEPSENGIGGAGGRGRKRHLAVAAVKIGVLVLAAAALVGSVAWAGSLYAGRGAAAAAAAAAASRGYRRLQEQLVTDLLDIGELAGGGVRAREAEVCVPEYENYVPCYYNVSDAVDVADLGGGVVISYERQCSREGKIACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTLGAHLFQRDLLTMCIANYEASGSQVQITLERGIPAMIGSFASKQLPYPYLSFDMVHCAKCNIEWDKNDGGFLVEVDRLLRPSGYFVWTSSLNTHRALRDKENQKKWRTIRDFADSLCWEMLSQQDETIVWKKTNKLDCYSSRKSGPVLCTHDPESPYYQPLNPCIAGTRSQRWISIEHRTTWPSQSRLNSTELDIHGVHSEDFAENTANWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGFLSLEKHQKHRCSTLDILLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFIRKQ >OGLUM02G33550.1 pep chromosome:ALNU02000000:2:33182947:33183516:1 gene:OGLUM02G33550 transcript:OGLUM02G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVETAALQLSGEKARALTSIRRYSNAPILAAQRLDTDKIHLPHLTIQVHPLDRDADAVGEEKRGKRRRVVTDLKMIDGSLDPSPWRQNRTAKDF >OGLUM02G33560.1 pep chromosome:ALNU02000000:2:33185992:33188553:-1 gene:OGLUM02G33560 transcript:OGLUM02G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 1 [Source:Projected from Arabidopsis thaliana (AT1G62830) TAIR;Acc:AT1G62830] MEEGSEAQPPLQPEAVSAEASEPPPPVPMDQDEGQAAAAEAMEGEAEGAAAAAGTIEGEAGYAAADADPMEDEAADEAGAAEPMEDDPPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRAAASAPSAAHLNGVPRRRGRPPTSSSLRLARELDAEALIALAAGFPADSLSEDEVAAAVLPRIGGVDQTNYLVVRNHVLALWRSNPLSPVASNAALASIRAEHAHLVAAAHSFLSDHAYINFGLAPSVISLPPCPPPSLPPPSVLIVGAGFAGLAAARHLMSLGFKVAIVEGRLRPGGRVFTKSMRSTAAEYPDIAAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVVADSIPHGVDMSLGMALEAFRAAHGVAAEREERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSRFVRALADGIPIFYGQNVRRIQYGCDGAMVYTDKQTFRGDMVLCTVPLGVLKKGNIQFVPELPAQKREAIERLGFGLLNKVVLLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAIEFEKTSPAENVEKVLETLRKIFSPKGIEVPKPLQAICTRWGTDKFTYGSYSYVAIGSSGDDYDILAESVCDRVFFAGEATNRRYPATMHGALLSGYREAANIVRAARRRAKKVDSPKKMDVNDEVKYEVKVDNIDLDDLFRTPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVANLAAMEGDEQRLSTLYRDFGTKLVGLDGLGDSGSSLISRIKAAARK >OGLUM02G33570.1 pep chromosome:ALNU02000000:2:33193515:33199537:1 gene:OGLUM02G33570 transcript:OGLUM02G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSTSSAAGSAAPAHAPAAGGAGGGGSSGVPNHRTRFGDTTLTKVFVGGLAWETPSKGLQDHFQQYGEILEAVVITDRETSRSKGYGFVTFREPESAREAVRNPNPTIGGRRANCNIASMGPPRPSPSRGRAPRGSHFPDQPHMGPQPYMGGRLPPQHMTAPPQQMYYHPQFGMPCTIHKRYSITTLSCMVQHPLQHRRTSLWGTCRVPWVQGLASLRCSNKLHGHLSFSSLRRSSMGALSLQDLLSHRISDSSCPLMHSQGNQMKQPVLNQLRQSPRVQLQLQPQTARKHQRLIDDHDGASYYDR >OGLUM02G33570.2 pep chromosome:ALNU02000000:2:33193515:33201414:1 gene:OGLUM02G33570 transcript:OGLUM02G33570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQAGRRMLQDLLLRAPAQKDPSLFGLADELFRQHGLLAGDEVGPDDPQEWPAAARQPPRELGEMSRRHDGDAAEVDVHDRAGLLGVQPPEAARVLLPEVAADRAHLGAPRRRGPRERQERADGVHAREDAAQRVDDVVLHRVERVEDEALRVARVLRLAAVEVEHELVPVGRADEAGHVAQPDAWHPRHPVEHGVEVSVGQVALICNMSMQEQKHFTVRTAIHNKTVIVSSSVLPLSGT >OGLUM02G33570.3 pep chromosome:ALNU02000000:2:33197466:33201414:1 gene:OGLUM02G33570 transcript:OGLUM02G33570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEQAGRRMLQDLLLRAPAQKDPSLFGLADELFRQHGLLAGDEVGPDDPQEWPAAARQPPRELGEMSRRHDGDAAEVDVHDRAGLLGVQPPEAARVLLPEVAADRAHLGAPRRRGPRERQERADGVHAREDAAQRVDDVVLHRVERVEDEALRVARVLRLAAVEVEHELVPVGRADEAGHVAQPDAWHPRHPVEHGVEVSVGQVALICNMSMQEQKHFTVRTAIHNKTVIVSSSVLPLSGT >OGLUM02G33570.4 pep chromosome:ALNU02000000:2:33200833:33203209:1 gene:OGLUM02G33570 transcript:OGLUM02G33570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQALVVQNAGARMPMSLATLCAKLITPSGITQLTGGVPGSLLSRARRAPNAAGPCVRKDVQTVGMSCVTSSLSDSDGGGRPSGMVSNRKSSRPASAPVPRERRRRRWLYSELTKVTWNPLEWSTLARCRRGVTWPCAGYGMSTACRREFEPMASDTIDTKVEYVIYV >OGLUM02G33580.1 pep chromosome:ALNU02000000:2:33199744:33201293:-1 gene:OGLUM02G33580 transcript:OGLUM02G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYE4] MGSNSRLHAVLIPYPAQGHVTPLLHLAKVLHSRGFHVTFVNSEYNHRRLLRSRGTGALAGLDDFRFETIPDGLPPPSESDNDDVTQDIPTVCTSFLTHGPAAFGALLARLNSEPGTPPVSCVIPDGVMSFAQRVASDMGILAPAFWTTSACGFMGYLHYAELIDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDMPSFIRTTDRDEFMLNFDSGEAQNARHAQGLILNTFDAVEHDVVDALRRIFPRVYTVGPLLTFAGAAAARRPEVGAIGGNLWKEDASCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLARCGRPFLWVIRPDLVAGEKAMLPEEFVSETKERGIFLSWCPQEQVLEHPATGLFLTHSGWNSTLESISAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDTDVKREEVARLVQEAMDGEKSKDMRAKAMAWKEKAVAATEEGGTSSAGIDRLVEFLLARGDHAS >OGLUM02G33590.1 pep chromosome:ALNU02000000:2:33204355:33206178:-1 gene:OGLUM02G33590 transcript:OGLUM02G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYE5] MVLPDSDTFGLHAPVRHCSVYTALSQDQVCTNPPDSNTFGLNAAPSVRPSAHRRRRHTAMSSCEARRAHAVLIPQPAQGHVTPMLHLAKALHARGFFVTYVNSEYNHRRLLRSSGPGALAGAAGFRFEAVPDGMPESGNDDVTQDIAALCVSTTRHSAEPFRELLVRLNSTPGTPLVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTPIDWIPGMRGIRLKDVPSFIRTTDPDDVMLNFDGGEAQNARKARGLILNTYDALEQDVVDALRREFPRVYTVGPLPAFAKAAAGEVGAIGGNLWKEDTGCLRWLDAQQPGSVVYVNFGSITVMSPAHLAEFAWGLSCCGRPFLWVIRPDLVSGEKAMLPEEFVGETKERGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICAGVPMICWPFFAEQPTNCRYVCDKWGVGMEIDSNVSRTEVARLVREAMEGERGKAMRVNAMVWKEKAKEATEEGGSSSRNLDRLIEFLHSSGSDAR >OGLUM02G33600.1 pep chromosome:ALNU02000000:2:33206316:33206809:-1 gene:OGLUM02G33600 transcript:OGLUM02G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDVVELGSPSSTVWSWVADGSPRSATLVATALEIDLISCVVLDGLVEKKNKERDMWAHVE >OGLUM02G33610.1 pep chromosome:ALNU02000000:2:33207860:33208550:-1 gene:OGLUM02G33610 transcript:OGLUM02G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGNPWYSPDRVIYLRPLSGEFPGYYG >OGLUM02G33620.1 pep chromosome:ALNU02000000:2:33215923:33218465:1 gene:OGLUM02G33620 transcript:OGLUM02G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYE8] MLTFSYHKHKYCLLCGISCSCTINTSCPAPISRTNSPIRPHSHHRSSSFPRRQETPGESMGSLGAAGKPHAVCMPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNHARLVRTRGEAAVAGAPGFRFATIPDGLPPSDDDDVTQDIPSLCRSTKETCLAPFRRLLAQLNDPATGHPPVTCVVSDVVMGFSMAAAKELGLPYVQLWTASSISYLGYRHYRLLMERGLAPLKDVDQLTNGYLDTPVEDVPGLRNMRIKDFPSFIHTTNPEEYMVGYVIEETERCKDASAIIVNSFGDLEGEAVAAMEALGLPKVYTLGPLQLVARKDPPSPRRSSIRLSLWKEQEECLQWLDGKEAGSVVYVNFGSITVMTNEQLVEFAWGLANSGREFLWIVRRDLVKGDTAVLPPEFLAETAERGLMASWCPQQDVLNHPAVGAFLTHSGWNSTLESLAAGVPIISWPFFADQQTNCRYQCNEWGVGMEIDSNVKRGAVAGLIAELMEGQKGKEMRRKAEEWREKAIRAAKPGGSSHRNFEELVRHVLLAKES >OGLUM02G33630.1 pep chromosome:ALNU02000000:2:33219867:33220839:-1 gene:OGLUM02G33630 transcript:OGLUM02G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARIWVRVHRGLSSPSPWPTPQLKMTTIFPSTGSRVKGNRGEACLVTDKSGLRWTKA >OGLUM02G33640.1 pep chromosome:ALNU02000000:2:33225426:33225859:-1 gene:OGLUM02G33640 transcript:OGLUM02G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSPHRAFLVAMILVSFLLGAAAGIRSTTLSSSQSLAEDKSRLGSTPPSCHNRCNACNPCTPVQVAALPGVSRPASAADRVDVAGFAQYSNYKPLGWKCRCAGRLFDP >OGLUM02G33650.1 pep chromosome:ALNU02000000:2:33232730:33232970:1 gene:OGLUM02G33650 transcript:OGLUM02G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTLASPPVREEELVVSGPNQLDEDKNGLEQRSRRHSSYWSMGVDGRRRLRKPAGRRRIQGVACPFFSQRMCLAR >OGLUM02G33660.1 pep chromosome:ALNU02000000:2:33232973:33233215:1 gene:OGLUM02G33660 transcript:OGLUM02G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGTLISLAAGLKGGLHIITNIADGMAFMHDALREDGGTNTTKLDAKTPTEAKSMCMRREDGGTNTPSWVTADESPQA >OGLUM02G33670.1 pep chromosome:ALNU02000000:2:33243859:33244161:1 gene:OGLUM02G33670 transcript:OGLUM02G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHATCHPNVRVPLLSALHSASCFKPPREANLLLPTPAVPTLPIPPAIPKLPMPLRRIHHAIELLIYSIRGASLRGRMVRCPLSNSWEQAGHAKPAGQ >OGLUM02G33680.1 pep chromosome:ALNU02000000:2:33244395:33245330:1 gene:OGLUM02G33680 transcript:OGLUM02G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAFAACLLACALLFQMCVASRKLTALVQDQPITMTYHKGALLSGRIAVNLIWYGNFSAPQRAVITDFVSSLSTPPSPQPQPEPSVASWFKTAQKYYTNSKARFPALSLGQHVLDQSYSLGKRLGEKDLVRLAARGSPSRAINVVLTADDVAVDGFCMSRCGTHGASPRSRAGRFAYVWVGNPATQCPGQCAWPYHQPVYGPQAAPLTPPNGDVGVDGMVISLASMIVGTVTNPFGNGFFQGDADAPLEAATACAGVYGKGAYPGYAGSLLVDPASGASYNANGAHGRKYLVPALVDPDTSACSTVG >OGLUM02G33690.1 pep chromosome:ALNU02000000:2:33248069:33253715:-1 gene:OGLUM02G33690 transcript:OGLUM02G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAVMLALLVLVSTAQVSMGARRRMELYKPDPADMLSYHSGAVLQGNIPVSIYWYGKFTPAQKSILFDFLLSLSVAPYAAAPSVAQWWSSIDELYLSKAVQTNSNGQSKKTQVLVASQVSDINCSMGKSLTLAQVAALAAQAKPKKGGIALVFTAQDVTVEGFGMSRCGLHGSDAKSGTAYIWVGNPATQCPGDCAWPFHQPMYGPQGAPLVAPNGDIGADGMVMNLASMIAGTVTNPFGDGYYQGSRDAPLEAATACPGVFGSGAYPGFAGELKVDQATGASYNANGANGRKYLLPALYNPSTAAVSLSLVQLSMGSRRLMELYIPPASDQLTYHHGSVLSGDIPVSILWYGKFTPTQMSIIADFVVSLTGAPNAATPSVGKWWGTIEQLYLSNAATNSQTSTRVLLDEQVSDEQCSLGKSLTLIQIDQLAARVGTKRGGVALVFTDEDVTVEGFCSSRCGKHGSDASAGTTHIWVGNSAKQCPGQCAWPFAQPVYGPQGTPLMAPNNDVGADGMVMILASMVAGTVTNPYGDGFYQGPQDAPLEACSACPGVYGSGAYPGNAGKLLVDATTGASYNANGANGRKYLLPALYNPATSSCDTLV >OGLUM02G33700.1 pep chromosome:ALNU02000000:2:33271379:33272558:-1 gene:OGLUM02G33700 transcript:OGLUM02G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFATGLVGAGLHPPPPWCLGGVVATLAADSPQLRFMSIPDGLPDDHAHAMGDIVELLESLGTNGSRVKGEGDKEFSATLELHARLWKTPSTLVAVAALAWSARWCATKLGVHSIHQSPSRAAQLMERRVSGGGLIMPSAGLQSFTYIALLPVYDRMVVPLARRLTGGGRDGITMLQHVGAGMATACLATVVAALVEARRLRVARDTGLVDRPYATVPMDVWWLVPQHVLVGVAEVLAFFYDQLAGELHIVGLAVSHGNFVSSSHIPAKIKSKMEKMEKIAKWLWLHASYWHFNERRFQNGILAKPLFEHGKMSIFSTREGGDAECVHLAVGVGPAPQLAEVGRFCGR >OGLUM02G33710.1 pep chromosome:ALNU02000000:2:33280962:33284687:1 gene:OGLUM02G33710 transcript:OGLUM02G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >OGLUM02G33710.2 pep chromosome:ALNU02000000:2:33280962:33284687:1 gene:OGLUM02G33710 transcript:OGLUM02G33710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >OGLUM02G33710.3 pep chromosome:ALNU02000000:2:33281104:33284687:1 gene:OGLUM02G33710 transcript:OGLUM02G33710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGECGGGEYRCWEELLPDALGLVFRNLPLREVLTVVPRVCKSWSRVVAGPYCWQEIDIEEWRQQQGKPEQLVRMVEMLVARSCGSCRRISVSGLPGDPLFSFIGDHARALRTLEIPRSEISDAAVESVAPRLPNVTFLDISSCTKIGARALEAFGKNCKSLVGLRRVMHPTDVAGKACQRDEARAIACTMPRLRHLEMGYMVIATDAVLDILARCRDLRFLDLRGCWAVDDKFLQERHPGLRVLGPGVDDCFENSYLEECSDYSDDSSIYSWELMEDDDDDYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESYAGFDWPPSP >OGLUM02G33720.1 pep chromosome:ALNU02000000:2:33288921:33292970:-1 gene:OGLUM02G33720 transcript:OGLUM02G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGQEIPEDGDMDGADVDMASGGDDAAKLQELDQMKRRLKEMEEEAAALRDMQAKVAKEMQGGPPGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRVPRFRRPMRYRPYF >OGLUM02G33730.1 pep chromosome:ALNU02000000:2:33293083:33294882:1 gene:OGLUM02G33730 transcript:OGLUM02G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVASKRIPLFRLVEQLLAASPAQGAASALRPVAVAGGSRAYNTGAQLRRHERDESDDDSGRGYDTRRPTRDATMPAFFSDVFRDPFSAPQSLGRLLSLMDDLATPAGRAGAATLRRGWNAKESEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEGAAPARYSGRIELAPEVYRMDQIKAEMKNGVLKVVVPKVKEEQRRDVFQVNVE >OGLUM02G33740.1 pep chromosome:ALNU02000000:2:33296161:33303477:1 gene:OGLUM02G33740 transcript:OGLUM02G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVTVTLRYFAGPGVPRYVIATVGYAWFCSLSFIILVPADIWTTLTGREKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLFGLILLLVMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWTHRQKVLSHRVAKMAVKLDNAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDKMLAQMLREDPSFKPSGGRLGENDMDYDTDDKTMATLRRQLRRAHEEYYRCKSEYMTYVMEALELEDTIKNYERRDANGWKFVSSFRESRPGTLGSLLDTMEFIWRCVLRKQLQKGFAIVLGCMSAAILLAEATLLPSGVDLSLFSILVKSVGKQEVLVQVAAFVPLMYMCICTYYSLFQIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGDAKTTFEKRMGNIDDAVPFFGRGFNRIYPLFMVVYTLLVASNFFGRLINFFGSWKRFKFQREEENMDGFDPSGMIILQKERSWIEQGCKVGEQVIPLARNFNNVNTDVESGKVPLVENTLEMKSGATSSRADGRVGQSKYANNRETIATKYSAIREQSRQAVKPAKKEISSTSVSLLEEGSSEQRSNTGAPVGSSAGISQTWATMKIGFQNFKANMGSKKFIPLRQDPGFAPHSNVSSPESLDEIFQKLKRRPADMPVDYIDDDDDNTGDMDPTFPGSTR >OGLUM02G33750.1 pep chromosome:ALNU02000000:2:33305129:33305680:-1 gene:OGLUM02G33750 transcript:OGLUM02G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNKAAEGARCRRHRQRGGGGGGGAGVCAPCLRERLSHLTSASASASASLPSVVRGEEEEASSCCSSSSEASSDASSSAASGSSSPWAARFHREMVAAGGRGGGRLSLLMRNERVLLDSDEVASVVRRMRERRRQARSFWAKLLHATVGGGGGKKEGCSMAHSKTLDQERSTTAAAKWILF >OGLUM02G33760.1 pep chromosome:ALNU02000000:2:33321355:33322213:-1 gene:OGLUM02G33760 transcript:OGLUM02G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCGASCLAIAALLLAACASSAAATSYTVGDASGWTIGVDYTSWAGSKSFKVGDSLVFKYASGAHTVVEVSAAGYLACAAANALGSDSSGSTTVALKTPGKHYFICTIAGHCAGGMKMEVDVSGSSSSSGGGGGGGGGGGGSTPSSPSSPTPTTPNPSTPTPTTPYPSTPTPTTPYPSTPMTTPTTPYTTPTSPACSGGAGATPVTPVTPGTVPFMSYNGAGGLGPVALATIGMVCFVVFVQLGLL >OGLUM02G33770.1 pep chromosome:ALNU02000000:2:33328503:33330133:-1 gene:OGLUM02G33770 transcript:OGLUM02G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQEHLSCAAAMYAAPPSSLGCGVDMDEFEENGGVVLQEEAGAAVHGGGGGGAGGMMSVWVNAATCARSIAASGAVEEFWDERQYPVSSPPAAPTPAASGGGGGHAKAAARRRRRRPKVVKNKEEAESQRRNHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAEHAPPAPPFAGFFTFPQYSTTVGDNNAAGSGAADGEGGCGARPGAADIEVAMAESHANVRVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHLALYSFSLKMEDECRLSSVDEIAGAVNQMVTKIAGECIS >OGLUM02G33780.1 pep chromosome:ALNU02000000:2:33331672:33332447:1 gene:OGLUM02G33780 transcript:OGLUM02G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKSLMLPIELAAVGWHCWLVAAGGLVPVLALSETCRVMQERKGGKKLESPERLANKDGNGSLTYEAVAVTCTEMERKRNVQSSVSISPIGPIQSGINTFH >OGLUM02G33790.1 pep chromosome:ALNU02000000:2:33337145:33338437:1 gene:OGLUM02G33790 transcript:OGLUM02G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHQHQHALLAALLACALAASSSTAGAQPAGQQGYAYGDVSGQQVHVSTTMIVLLAAVVGVFLFIAISTIYLRHCTGYDPATEGGGVGGRRSMILPANSFVSRRQRRPRGLDSSVVRMFPTMKYAEAKALRVGRVAGAALECAVCLSEFEDDEMLRFLPKCSHAFHPDCIGQWLASHVTCPVCRRNLDPNKDTTEEVIIPAAAAADPNSTSSEIVVIRQEDGAHPAAVVIDVVTEEDDEERRKEELELQAIGTQLRAMRSRSGLRPKTSAAKFPRSHSTGHSLAVRLDGDLERYTLRLPEHVHREMVAAGEQSVRRGRRLGEGVGMGARCSPRFSRSGRWSSFLSNSLSGKLSFLSPSSRRTPDSTQVEVSSSSSSSATKVKGKRVAAVDVADDGSAHGTAQYPGCTVASSAAAAAVDVEKAATRQVRT >OGLUM02G33800.1 pep chromosome:ALNU02000000:2:33342128:33349749:1 gene:OGLUM02G33800 transcript:OGLUM02G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRHLALRLRHLRRLLAVAPLSSLAAAHSANRSSPRLNPTSVPPPPRQLHLPILQARRLCSTTHVVLPTNLQDERFAALSDRIYDAVIETAAGSSEGTEAALDALGAELTTPLVADVLHRLRYDEKLAFRFFVWASHQDGYEHEAATYNDVIDILSGTRYKARQFGVLCDVLDHMKRRRTRSVPVDDLLGILRAYTEKHLTHLRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVREAEAVFSRVKRKLLGNAETYSILFFGWCRARDPKRAMKVLEEMIQMKHTPENFTYNAAIDSFCSADRAINIWIEMDKRGCHRAVDTYETMIDGLFDSGRTEDATALLDENICDRALRIPYTVRYRRNHETL >OGLUM02G33810.1 pep chromosome:ALNU02000000:2:33351766:33355985:1 gene:OGLUM02G33810 transcript:OGLUM02G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSPSCSSSSPIPQSLTLASTSSSSSSSGMRDAGEGSDSPPSEMSEDGSGGSGDGDGGGGGGDRWMPDLRGGNGGGGGGGGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAESQTRRELFIGNCYAVSPRRAVERFGGVCAVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTVSNDDLALIAKSFPLFKELSLVCCDGFSTLGLAAIAERCRHLRVLDLIEDYIDEEEDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEALVARSPAMRRLRMNHHVTVEQLRRLMARAPQLTHLGTGAFRSEPGPGGALSVTELATSFAASRSLICLSGFRDVNPEYLPAIHPVCANLTSLNFSFANLTAEELTPIIRNCVRLRTFWVLDTVGDEGLRAVAETCSDLRELRVFPFDATEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCSDLVTFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKHGKLIKTLSVAFAGNSDMSLQSVFEGCTRLQKLEVRDSPFSDKGLLSGLSYFYNMRFLWMNSCRLTMRGCRDVAQQMPDLVVEVMKDHLDDEGEMETVDKLYLYRSLAGARNDAPSFVNIL >OGLUM02G33820.1 pep chromosome:ALNU02000000:2:33362149:33363972:-1 gene:OGLUM02G33820 transcript:OGLUM02G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPTPKAAASTFYDHSSDPWFKERYGGESAQSDAAAAAAKPSGPAKPVPPYGKRGGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDEKGGSKILALTVDAKGSVAFDAVVKQGENASKIVYSKHSDLVPKIATADSEATADDEEYQKQIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAQDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGAPPAPTGVPAGGGRGAVDDREEDMDLEQPREQRRESREEREARIERDRIREERRRERERERRLEARDAAMGKKSKLTRDRDRDVSEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQPTLSTLYRPKKDGDSDVYGDADEQLEKVMKTDRFKPDKGFSGASERSGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGSGGAMRASGGSSMRDDYEGGGSGRSRINFERGR >OGLUM02G33830.1 pep chromosome:ALNU02000000:2:33364716:33370462:1 gene:OGLUM02G33830 transcript:OGLUM02G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFVSTVASARATAPLSFASSFHHRRAAPPAVAAAATLRRSNRRLPTRGWRCASAAAPDPVPSEEPASASASTVVVTEDKPDPPPAEEKSEEVAAVSNGGSLETVAAAPVSSGAAEEDGGLDDILSKLDIQVTPTLVLYGSGALVVLWVLSSVVSAIDSIPLVPKVLELIGTGYSIWFTSRYLLFKESRDKLFAKFEDLKERII >OGLUM02G33840.1 pep chromosome:ALNU02000000:2:33367684:33370447:-1 gene:OGLUM02G33840 transcript:OGLUM02G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKAHPKHEYVVHGPGPQVQPQAKPHRACQIVVHYSTRKSPPKKSEKSTTRTFLASSKPTKSNYRQPSHGTGESPESARFSGHESGREIPGARCVEAARREGRGMWWEWEEDGEEAARPGEEVPVDFDFISLLCKPKDYYKILEVGYDASEEAIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRREYDKKGILYVQDHNVVHGHADLPLLGGNDDAEFILCFDKWKVVGRELGKISADLLVTLLLPLNFQCVKTQ >OGLUM02G33850.1 pep chromosome:ALNU02000000:2:33373905:33383469:1 gene:OGLUM02G33850 transcript:OGLUM02G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGHGGAVHSHDRIDALRAVRGAGGGLGMPPPEKFRSGHLPRAAAPPLRTDDGSVASGSDMEESSDTEEVEVCSGRYSVDSSPRREDILRRTAVPQYRYATVPGMPSYYSSDYSDLSSSRDTALPRTKQQQVRRPQAQVGRYVEEEEYSDSAGSSEFSSQVETRSKGVASRGGYASEYSHNGPARREANNAVPKTRMAAAENYSRTAPLNSRTYQQDKYSAHVPAQENVKSSQMRNPLLGLSVINLEHLLPLEKSMCAEQDGLSDVPSAPPIHAYDQEISQVSQNVDANVCDGSTVKKEEYNDDGLEPNLPEKSERSTLNPGHSSKPSSSIPLRVPTFHASLQNVLLQSEEELMAKRTSELVSDGVASKPKKTIGKMKVQVRKVRMSVDVPSGCNFSSLPMVKLNSVRYRLSNVQSTLSSGWESVRRIQTLPQLPANSSFSKHSLAYMQASAQYIKQVSGVLKVGVTTLRNSSSYETPQETYSCQLRLKSTPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVSDSKGKPCGRVVAQVATMAEESTDKLRWWSIYREPEHELVGRIQLYIHYTTAADENNTKYGSVAETVAYDIVLEVAMKAQHIQQRNLILHGSWKWYLSYIMDVATPTADWLNLVHDLLLPVLMKTQGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLNESLPSGLVEDFRPPTGLAACALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRYMLETDEYVAGNSEGIRVDLVTFTTAYQKMKSLCCNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPTGPSSPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRTLLENCRMDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADIEKAVIESLEKQYGDVLAPLKDCIAPKKFGLKYVQKLTKRNSVGPYTVPEDLGILLNTMKRLLDVLRPRIESHLKSWSSCMPNGGNSAAIGERLSEVTVTLRAKFRNYMQAVVEKLSENTRMQNTTKLKKIIQDSKELVMESDIRSRMQALKDQLVEAINHVHKVTEVHVFVAICRGFWDRMGQDVLSFLENRKENRAWYKGARVAVSVLDDTFASQMQQLLGNSIQQKELEPPRSIMEVRSILCKDAPRQKNSNFYY >OGLUM02G33860.1 pep chromosome:ALNU02000000:2:33384350:33386159:-1 gene:OGLUM02G33860 transcript:OGLUM02G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 12 [Source:Projected from Arabidopsis thaliana (AT5G64350) TAIR;Acc:AT5G64350] MGFEKTILKAGTGPKPVKGQKVTVHCTGFGKDNDLAKKFWSTKDAGQEPFSFNIGQGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGASGFPAWGIRPNSVLVFEIEVLSAQ >OGLUM02G33870.1 pep chromosome:ALNU02000000:2:33388148:33389220:1 gene:OGLUM02G33870 transcript:OGLUM02G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERIRHLLLLTLRAPHARLALMPDGCVVIRLPDPRVLRVIARSVLLAVALLSLAWLRDAEAPAGDALQVGLLLRDLRREGLLAPGARAVFLGAADGDCHHHPPALDGDDMRRITPRELLTTGDLSVDFVLDFGYFDKDGDRVGLVDRVLKDGGIFAAPIGSASAFRLPPNYRVVYIRRFTETFVGIKKIARVGGDNGIAGARTGMAATTPALKEGVLAFSDQTADTALAELKNFRRKLLLPHITGASAAHAHQAWLKLRHRPVIAVDFPAMWNVNKLQPAHPLVLQDKAVHGAQQQQLNRSVRLNPSTGY >OGLUM02G33880.1 pep chromosome:ALNU02000000:2:33389537:33393427:-1 gene:OGLUM02G33880 transcript:OGLUM02G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKSGGGTPGAVSPASAALAEAASCSGRRQAPHVAAARWMAGSGADDGCPVEEEVEPRTNVLRKCEQLLHQQCEMHMLKHKRDNKDR >OGLUM02G33880.2 pep chromosome:ALNU02000000:2:33390082:33393427:-1 gene:OGLUM02G33880 transcript:OGLUM02G33880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09740) TAIR;Acc:AT1G09740] MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGALVVLHVQPPPSIAAGLNPGPIPFGGPSEVEVPAFTQAIEAHQRRITQAILDHALKICSEKNVEVKTDVVVGDPKEKICEVTANLKADLLVMGCRAFGPLKRMFLGSVSNYCINNVVCPVVVIKGT >OGLUM02G33890.1 pep chromosome:ALNU02000000:2:33404266:33411300:1 gene:OGLUM02G33890 transcript:OGLUM02G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSSMNGIIDKYNTHSNNLGKAEQPSLDLNLEHSKYAHLNEQLAEASLRLRQMRGEELEGLSIDELQQLEKNLEAGLHRVMLTKDQQFMEQISELQRKSSQLAEENMQLRNQVSQISPAEKQVVDTENFVTEEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLGLPCGAWK >OGLUM02G33890.2 pep chromosome:ALNU02000000:2:33404266:33412253:1 gene:OGLUM02G33890 transcript:OGLUM02G33890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSHFASSSMNGIIDKYNTHSNNLGKAEQPSLDLNLEHSKYAHLNEQLAEASLRLRQMRGEELEGLSIDELQQLEKNLEAGLHRVMLTKDQQFMEQISELQRKSSQLAEENMQLRNQVSQISPAEKQVVDTENFVTEEGQSSESVMTALHSGSSQSQDNDDGSDVSLKLGYEGWKSL >OGLUM02G33900.1 pep chromosome:ALNU02000000:2:33412334:33413336:-1 gene:OGLUM02G33900 transcript:OGLUM02G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQRLTTTAEARGDDDDLSNGEGMLAAFWESWEVGHVCQLMRIENRVKKNCSRSTITPPQFLSMRQIGSRCLVWGYVRWLTRRIFL >OGLUM02G33910.1 pep chromosome:ALNU02000000:2:33413840:33420916:1 gene:OGLUM02G33910 transcript:OGLUM02G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAAGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAASVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >OGLUM02G33910.2 pep chromosome:ALNU02000000:2:33413840:33418586:1 gene:OGLUM02G33910 transcript:OGLUM02G33910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGTTTAAAAAAVAAGKEEEAEVVVVRNPRCYLDVSIGGDMEGRIVVELYASVAPRTAENFRALCTGEKGVSAATGVPLHYKISLKAASVCTRWTKGSCIHRIVKGFMVQGGDITAGDGTGGESIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSMEHVSVGESDRPITDIVIVDCGELPEGASDGVVNFFSDGDMYPDWPNDLEEKPAEISWWMTAVDSAKSFGNEYFKKKDYKTALKKYRKAMRYLDLCWEKEEIDEEKSSALRKTKSIILTNSSACKLKLGDLKGALLDADFALREGEGNPKAFFRQGQARIALNDIDAAVESFKHALQLEPNDGGIKRELAAAKKKIADRRDQERKAFSRMFQPSGGSEKIDEENN >OGLUM02G33920.1 pep chromosome:ALNU02000000:2:33418917:33421977:-1 gene:OGLUM02G33920 transcript:OGLUM02G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33945) TAIR;Acc:AT4G33945] MAIAISQEAFDAMVRENMEDLGMDADEALADAVDALTLQGADLSGIIKRVPGEAAAAEVSPVMRVLDEVKASSASDSDSGGRSEEDAERLASLLDELRELCSGDGLENAAVAARNGGVEALVALCASAGVKQERLLASGLKALSSLLRDVGSTEKFRQSEGPQVVMGILKGGSESSDILEGGFRVVASASAGNEVVKECFMVLKVDELIFQVMGEKSNSNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAETGIAAVLVNALREKVAPSSLPSACAALKAIAVNDEICRSISENGGIDVLLRCIDEASEQKNKVIAKSCCSLLSKLAGSDANKTTIIERGGFDKFLKLTSRFSEDPPIIQEVMSMVTILTLRSPENAARAMGAGYGTLAIQAMQKFPSSAQTQKQACLMIRNLVVRNPENRTILLNDGVEKLIRKTKMMHGSCKDAATSALRDLGVDNYNA >OGLUM02G33930.1 pep chromosome:ALNU02000000:2:33425134:33426414:-1 gene:OGLUM02G33930 transcript:OGLUM02G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTALPASPPQEDFRFDGPAFSAFPEGVASAGTNPFFSADAMDSNPFLATAVTAPPSPNPFELNHQSASPGAADPFDLFQHFTSAPASPARAAAIYAQFDGGVGDGNGADHDMAVVGDDDDDFQPRASYSSGTATSTVPFDWEEKPGKPKPKSELATCAAAATSANVGEVDDADFDFGVLLDKSVQVPELTTADELFDEGKIRPLKPPPGLLDGGSVASSPRSPISKSPMWSPRLRGKVGSGVDFDPFSTALAKAAKGPSPLGAGAKDTADAGTASSPKKPDPVSVTSPRCIPPATMINGGRKKWRLSDMLLFRRSAAKARAAGANISKEPVFKYSPVQQLGTPVKKATAGQSAAANGDVSAGKHKKQSKKATAAEDGMASPHRQSVMGCVRLNPGLHRLAKGFNGSSLHFGHRRAAARSVMNR >OGLUM02G33940.1 pep chromosome:ALNU02000000:2:33428276:33435797:1 gene:OGLUM02G33940 transcript:OGLUM02G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVALLRNSGRRLLHRCRRPRPVVQAAGPSAPYRPSSSSHSRAGLPGGARLLAAAAPLHCAGRYWPHAAPRFVRRLSAPAVSTSPSPVPSDTDDVHEYAAKLGFEKVSEQSIDECKSTAVLYKHKKTGAEVMSVSNDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNAKDFYNLVDVYLDAVFFPRCVEDFQTFQQEGWHYELDNPEEEISYKGVVFNEMKGVYSQPDNLMGRVSQQALFPENTYGVDSGGDPNEIPKLSFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKIWPQRLFKEPVRIVEKYPVGQEGDLKKKFMVCINWLLSEQPLDVETELTLGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIKEVEELVMQTLKNLAEEGFAPEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIGKWIYDMDPFEPLKYERPLQQLKARIAAEGSKAVFSPLLEKFLLNNAHRATIEMQPDPEKASRDEAAEKEILKQVKASMTREDLAELARATKELKDKQETPDPPEALKAVPSLSLQDIPKEPIHVPIEVGEINGVKVLQHDLFTNDVVYSEIVFDMSSLKKDHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSSIRGKDDPLTRIVVRGKSMATRVEDLFNLIYCILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWIAEQMGGISYLEYLRDLETKIDQDWDKISSSLEEMRQSLFRKDGCLVNITSDWKNLEKSNKHIAKFLDSLPSTTSLGSDPWLSRLPSVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDCLTKAIIGTIGDVDSYQLPDAKGYSSLMRYLLGITVEERQQRREEILSTSLKDFKEFADAVETINDNGVVVAVASPEDVEAANKENPLFSDVKKCL >OGLUM02G33950.1 pep chromosome:ALNU02000000:2:33435908:33436375:-1 gene:OGLUM02G33950 transcript:OGLUM02G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGFGRGGQGWSPFDAIRGFPSTPEALMSQIDAAIAATEYARSCAQLDPATASSEPQQAAPPPGGEARVEGEASAAAACYDAKVADEAYRAACAALGAGRADAAVRSLRVALASCPPEKAAAVAKVRSMLAIASAQLHKQQHQAQQQLQRGVRK >OGLUM02G33960.1 pep chromosome:ALNU02000000:2:33437711:33446490:-1 gene:OGLUM02G33960 transcript:OGLUM02G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVARAASFGGRAATARWCSYRRITVAVCLGNLVAALLVLRSLTSLTPTPPKREEVVEYTEEQIRKAEESIRIRREAEPVELVEAVKNLRKIFRREEKRRKELPLELKQKVSYEIVQLLLDLGDNSSFAQQREAVESWRFEKLKDIKSASIQNSTKLDLSNKEARTLKRALQFNWHVLLEDIGLWIPSEVSHTEHDDKPENEPEEEEIIAGPPLPSQCNAELHTDYDGAAVRWGLTHPKESAADCCQACLDQAKNARPGELRCNIWVYCPSEFGCFSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDSHPTAPVVVPWMSGVISA >OGLUM02G33970.1 pep chromosome:ALNU02000000:2:33447756:33451794:-1 gene:OGLUM02G33970 transcript:OGLUM02G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNRVFLCISSLYLHKCQSMTMVAGQLGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >OGLUM02G33970.2 pep chromosome:ALNU02000000:2:33447756:33451794:-1 gene:OGLUM02G33970 transcript:OGLUM02G33970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1D [Source:Projected from Arabidopsis thaliana (AT4G37040) TAIR;Acc:AT4G37040] MATSSSPRLLSSFLGDRLLSASARPLLRGAAPGSRRAAYQATRTLCNLVDILFNRGQSDKPEDNPRRLRPGKVSPRLSVPKHIQRPPYVNARQRPGLHNGPEIHDERGIECMRASGKLAAQVLKFAGTLVEPGITTDEIDKAVHQMIVDNGAYPSPLGYCGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGNVDDKAKKLVQVTRECLDKAISICAPGVEIKRIGRTIQDHADKFKFGVVRQFVGHGVGQVFHAEPVVLHFRNNEWGRMTLNQTFTIEPMLTVGSVNPVIWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >OGLUM02G33980.1 pep chromosome:ALNU02000000:2:33454513:33456743:-1 gene:OGLUM02G33980 transcript:OGLUM02G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYJ0] MFIFEMEFGSCKIRGKCRGLCAKSSEDSSPTFARRRGHEETLTLTLLPGITSCARARARPRPRPRGRSGWWVIRCPPPPATSPPPLLPIFTNTIPTSDPDRANHHPQSDPSPDWPGQIAADPTPRNPLPRPRASRTESNMAALPLATAEVCDANAHLIMNGELRALQPVFQVYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >OGLUM02G33980.2 pep chromosome:ALNU02000000:2:33454513:33456502:-1 gene:OGLUM02G33980 transcript:OGLUM02G33980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYJ0] MEFGSCKIRGKCRGLCAKSSEDSSPTFARRRGHEETLTLTLLPGITSCARARARPRPRPRGRSGWWVIRCPPPPATSPPPLLPIFTNTIPTSDPDRANHHPQSDPSPDWPGQIAADPTPRNPLPRPRASRTESNMAALPLATAEVCDANAHLIMNGELRALQPVFQVYGRRQVFAGPIVTLKVYEDNVLVREFLEEKGQGRVLVVDGGGSLRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILISRTELTV >OGLUM02G33990.1 pep chromosome:ALNU02000000:2:33457838:33458278:1 gene:OGLUM02G33990 transcript:OGLUM02G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAGPPNNESSGGDGNNEVIATWQAHPWQWQLRGVCNDGGLSTVVEATSSGDGKLISSGAFMTTANCNGTTYFGFSWLDPPFLRPDLVTALTRVPWMAIVGLGT >OGLUM02G34000.1 pep chromosome:ALNU02000000:2:33459202:33459708:-1 gene:OGLUM02G34000 transcript:OGLUM02G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYJ3] MAALPLATAEVCDANSNPIIAGELRALPPIFKIYGRRQVFAGPVATVKVFEDNVLVRELLQEKGHGRVLVVDGGGSVRCALLGGNLAQLAQINGWVGIVVNGCIRDVDEINGCDVGVRALNSHPMKSGKKGAGEKRVPVTIEGTRICDGEWLYADADGILISRTELTV >OGLUM02G34010.1 pep chromosome:ALNU02000000:2:33461830:33464977:-1 gene:OGLUM02G34010 transcript:OGLUM02G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT3G02820) TAIR;Acc:AT3G02820] MAAAAPTGCYKCGRPGHWSRDCPSEPAGAGAASTDNPNPNPNPKPSASRFAPYPRPRFGKSAAAAAAAEGEDGSGGQAQGKKKKKERATRPKLTPDLLLSDDGLGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFDQFVRKVEKVGASNRVRRCVSELRDRVARGGDPTLLHEPPVEVIPEGEPDGATAEDPIFGTEVPVTENHGVDQVQEDIDIPVESNDVDPMQEDLLNEIYNKEADEPQIPAVGGTAEETTPAMAPKEAKPQDDPPREAQNQPSKIQLTEEQRARMEANRLRALERAAAARARASQPA >OGLUM02G34020.1 pep chromosome:ALNU02000000:2:33465668:33469340:-1 gene:OGLUM02G34020 transcript:OGLUM02G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic tRNA 2-thiolation protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYJ5] MVACNPRFLWAPLLHRSNRPTEKPPSVPRTASPRRRPDARSIDAERPKRRPLDTLSPVAPRGLAAEGWEAEAGAPSTELRGGQPLKPIPSTDHAASRKIFCPSQLPMDSAVDGPRQPPARAGSRLCTRCGERKAALKRPKTLEQICRECFYVVFEDEIHQTIVDNNLFKPGDRVAIGASGGKDSTVLAYVLSELNRRHKYCLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKVDKIVTGHNADDIAETVLLNILRGDIARLSRCTLITTGEDGPIPRCKPFKYTYEKEILDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKGIAGGDGDCEQQATRSERNRSSLQGKHGNFDF >OGLUM02G34030.1 pep chromosome:ALNU02000000:2:33477491:33479409:1 gene:OGLUM02G34030 transcript:OGLUM02G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKFRGATGEELAAMEVTQVVGVRTRSRSAAAAGATTTKVQAASAASTRRRKALLPTAVVGTTRRDGGSCYLQLRSRMLFMAPPRPAPAARAPVVAEAAGSGNGAAAHAAAGLSRCSSTASSVDAAAQDRSLAYRSDVAEAGSEHVPEGSASDSASGRDRERRETTPSSFLPGEVSDLESDLAGGQKRSRPLPSAATASAQQATRPKIPPAAEIEAFFAAAEEAEAKRFAAKYNFDVVRGVPLDAGRFEWTPVVSSRS >OGLUM02G34040.1 pep chromosome:ALNU02000000:2:33486767:33487234:-1 gene:OGLUM02G34040 transcript:OGLUM02G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMYNPDGGAAFGGGQPPALGPRISFSSDFVVEPPPPVQNRAMNLRCQEEDINFEFSVGSHPMMAADQLFSKGRILPLKDGGFSSGRPPTTLRDELRCDDRASAKGSSRWKEMLGLRKPLCVGGVNGTAKKSTTVDTEMVTDVADSKQVSEP >OGLUM02G34050.1 pep chromosome:ALNU02000000:2:33489346:33501314:-1 gene:OGLUM02G34050 transcript:OGLUM02G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD54 [Source:Projected from Arabidopsis thaliana (AT3G19210) TAIR;Acc:AT3G19210] MPSTSKCNRISRVADEEEEEEIVAVSSDADESESESEVGSGAEEEDDDYVGESSDAAGGSGSGDGDGDEEGGRGDIGDGEGEGGGRRVRSACRGVRANDRERKSQNVDALVRGNLVVKRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSVQPFAVTNILPQSPAVSSDDSVEKEESLPPGIEPLILWQPEGCDKENSNVSAIKVDHLLVRYLRPHQREGVQFMFDCVSGLLNDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDAKPMVKRAVVVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIVSYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFFSMVNFTNPGVLGDATYFRRYYEAPIICGREPTASAEEKNLGSERSAELCAKVNLFILRRTNALLSNHLPPKIVEVVCCKLTALQTALYNHFIHSKNVKRLISEGTKQSKVLAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRLKTDDRIVLVSNYTQTLDLFAQLCRERRYPYIRLDGATSINKRQKLVNQFNDPSRDEFVFLLSSKAGGCGLNLVGGNRLILFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQADGKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCNRCNKDGCMVLDGSKFDSAATEHEASNSGENSYIDIGGFGAISGCVQKMNSSNQQVSFVFTNQIDGKLVPVESMARAATHRTHEVTVNAEKEVGKINSSNVPGTERQSLLGKNLKMMGFNLKNSSMKFPTKSRRMLPNCLQGMNKTSTSSDHQQTKKLHVISDASDDDFALLVPNPGQESYHRPTPCKAARLYGFLVHWYSVHEQMPSIPLSRMPSDCCPV >OGLUM02G34060.1 pep chromosome:ALNU02000000:2:33503853:33504155:-1 gene:OGLUM02G34060 transcript:OGLUM02G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRYHRALPQGETTVEEFRAWLSQFDANGDGRIGREELERALRSLNLWFAWWKAREAMREADANRNGVVDRDEMVRLYAFAQRHLHLKMNDLDDVASY >OGLUM02G34070.1 pep chromosome:ALNU02000000:2:33508237:33509686:1 gene:OGLUM02G34070 transcript:OGLUM02G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAPPDAYNKYSAGAPPTAPPPATYQLPTMNTPRTGGGLTRWSTGLFHCMDDPGNCLITCVCPCITFGQVADIVDKGTCPCLASGTAYALLCASGMGCLYSCFYRSKMRAQFDLDEGDCPDFLVHFCCEYCALCQEYRELKNRGFDLGIGWAANVDRQRRGVTGASVMGAPGIPVGMMR >OGLUM02G34080.1 pep chromosome:ALNU02000000:2:33520305:33538645:1 gene:OGLUM02G34080 transcript:OGLUM02G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGAGGSCEKAESEACVHESARRRGVPMDEKRAARTPRAGARNAVNGGESGCCSEKAARWGWRAPVEVAVVGFVATLTLLVLLYGGTGSLLSFTSPRTEFVQKLAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLSWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQALPIETHMERSGGGGADGDEERLPLHHGFETERAAPWAAAESKPPPPPRGRFGRASVRAALAVCFLAIPAVLLLQRWQAGSSPEWLFEIEPPADGDRDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYMFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVPTPSWAREPLFEKAVTPIDVGKLNRLLVPKQHAEKHFPLRRTSSDASATAAAATCNSARACSSTSRTARGSSQSYVLTKGWSRFVREKGLRAGDTIVFSGSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEATRVVRLFGMDIAGGGGDCRKRERAVEMGQEAFLMKRQCVHSAPHYTVRSAKAI >OGLUM02G34080.2 pep chromosome:ALNU02000000:2:33520305:33538645:1 gene:OGLUM02G34080 transcript:OGLUM02G34080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGAGGSCEKAESEACVHESARRRGVPMDEKRAARTPRAGARNAVNGGESGCCSEKAARWGWRAPVEVAVVGFVATLTLLVLLYGGTGSLLSFTSPRTEFVQKLAAAAASPSHGAPEDTPETSTRHDDDRLLGGLLSPAFDEHSCRSRYTSSLYRRRSPFRPSTYLVERLRRYEARHKRCGPGSALFQEAVEHLRSGRNAARSECQYVVWTPFNGLGNRMLALASTFLYALLTDRVLLVHAPPEFDGLFCEPFPGSSWTLPADFLITDFDGVFTMWSPTSYKNMRQAGTISNATAEQSLPAYVFLDLIQSFTDAAFCDDDQQVLAKFNWMVIKSDVYFAAMLFLMPAYERELTQLFPEKEAVFHHLARYLFHPSNDVWGIVHRFYEAYLARADELVGLQVRVFPEMPIPFDNMYEQIIRCSEQEGLLPKLGQTVVVTAANGSSVVAPSTKLTSILVTSLFPDYYDRIRGVYHARPTETGEYVAVHQPSHEREQRTEARGHNQRALAEIYLLSFCDRVVTSAVSTFGYIAHGLAGVRPWVLLRPPSPVARAEPACVRSETVEPCLQALPRRMCGAAEGSDIGALVPHIRHCEDVQKGVKLSWPPAGRAAENEARRWGRLRRASARAALVLCSLTMIPVVVVLHRCAVSSSWPDRVFEAKHIAGAGEQDMQDDLPDDLTASQYIGYDKFLGGLLQEGFDEVSCRSRYQFARYHKNFTRIPSSYLLERLRRQEALQKKCGPGTKSYKQAVKLLRSSQGVNMTTDCNYLFLTVHAGLGNRMLEIASAFLYALLTNRILLLDRYQEIGDLFCEPFPGTSWLMPSDFPLNYGEFTQSSPESYGNMLQNKVVGDNTDRSLAGSRPPYMFLYLDGNYEFHDKLFFCEDDQQFLQDVPWLIMRTDMYFIPSLFLIPSYQDELSRLFPEKDAVFHHLARYLFHPTNSIWYSVKGYYRSYLAKANKTVGIQIRIFEKEGILQKNGRFPYVLEQILSCAQNEKLLPEISMKDEAEAPTATKNNQTIAVLTTSLSSWYSDQIQKKYSEHPTVDGTRVEVYQPSHEEYQRSKNKKHNMKALAEIYLLSMTDVLITSGFSTFGYAAQGLSGLTPWIMFRSENHAMPDPPCRRAMSIEPCFHQAPFYDCKAKRNADLGKMVPFVRHCEDVSWGLKVPTPSWAREPLFEKAVTPIDVGKLNRLLVPKQHAEKHFPLRRTSSDASATAAAATCNSARACSSTSRTARGSSQSYVLTKGWSRFVREKGLRAGDTIVFSGSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEATRVVRLFGMDIAGGGGDCRKRERAVEMGQEAFLMKRQCVHSAPHYTVRSAKAI >OGLUM02G34080.3 pep chromosome:ALNU02000000:2:33541275:33549330:1 gene:OGLUM02G34080 transcript:OGLUM02G34080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKTTGMGSRGLSTIRMDLKERIRRSPTPPTQGEELLAASPAGPRGGRKGRAVVLPLSAAALVACAVVLLLLAGGSAARKGQFVGADPTVLPSRGGGVGDLHLSQSKSNDGENVTIASSEVVNDKLLGGLLTTGFDEQSCLSRYQSVLYRKASSHFPSAYLLERLREHEALQKKCGPHTESYKKAIEQLKAGQGAKVMECNYLVWVAYSGLGNRILTMASAFLYAILTRRVLLVDSDKGTADLFCEPFPETSWLLPPKFPIKQFKNFSNGSPESYGNMLKNKAIRSNPAFLYLHMAHDYSDYDKLFFCEDNQQYLRNIPWLILKSDNYFVPSLFLIPAYQEELTRLFPQRDSVFHHLGRYLFHPSNVVWGMVTRYYDSYLARADERLGIQIRVFDPEPGPFQHVLDQVLACTLKENLLPAINSKQPIVSTRHSRLKSVLITSLNSGYYEKIRSMYWEHPTTNGEMISFHQPSHEEHQNSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLRPWLLFKPENRIAPDPPCRQVLSMEPCFHAPPFYDCKAKRGADTGKFVPYVSHCEDMSWGLKLVDQSEW >OGLUM02G34080.4 pep chromosome:ALNU02000000:2:33516685:33520413:1 gene:OGLUM02G34080 transcript:OGLUM02G34080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKRARSPRAPGVDADDDKKRAAEWRGAVRPHMVLVGFLITLPVLVFVFGGRWGSFQTTSAPNVGGRHVVPGGVTTTQKNEAPKNVSVPATATKSLPQPQDKLLGGLLSAAFEESSCQSRYKSSLYRKKSPFPLSPYLVQKLRKYEAYHKKCGPGTKRYRKAIGQLKAGRNADNAECKYVVWFPCNGLGNRMLTIASTFLYALISNRVLLMHVAAEQEGLFCEPFPGSSWVLPGDFPHNNPQGLHIGAPESYVNMLKNNVVRNDDPGSVSASSLPPYVYLHVEQFRLKLSDNIFCDEDQLILNKFNWMILKSDSYFAPALFMTPMYEKELEKMFPQKESVFHHLGRYLFHPTNKVWGIVSRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTAEPANTTAEAGKVKAVLIASLYSGYYEKIRGMYYENPTKTGEIVAVYQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWDKERSEVACVRSTSVEPCLHSPPILSCRAKKEVDAATVKPYVRHCEDVGFGLKLFDS >OGLUM02G34080.5 pep chromosome:ALNU02000000:2:33538593:33541354:1 gene:OGLUM02G34080 transcript:OGLUM02G34080.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQRKPKACAESAAAARGADQQQCDCRPLEAGEESLERSVPRKRKPAAAVAAAEKRWSSVAYVVLAAFVMATVFAVLGGRRPAVWIAATKALRRGSDDKSIPLARSAADKLLGGLLPEGFDEKSCRSRYESYLYRRNPGRRPSPHLVARLRMHEELQRRCGPNTESYNRAVQRLRDGGAAEADAHSPDDEQCKYVVSISYRGLGNRILAAASAFLYAVLTGRVLLVDPSNEMDELFCEPFPGTTWLLPRDFPLASSYANFSADTAESYGNMLKNKVLGTDGSTDGDMPAAQMPAFAYLHLDHDYGDGDKMFFCDDDQRLLSNIQWLVMRTDTYIVPSLFLVTTFQDELDALFPERDAVFHHLGRYLFHPANHVWGLVARYYRAYLATARQLVGVQVRVFDHRQAKSPHVVLEQITSCAWKEKLLPEVLDAVEDAAMPTPPTTPHGGSNNSKAVLITSLRPWFYERIKAMYWERATATGEDVSVHQPSHEEYQHFGEKSHDGKAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGLRPWVMYKPVNITAVPDPPCGRDVSMEPCFHSPPFYDCKTKRGVDTGTILPHVRHCDDVSWGLKLVDPNGSPN >OGLUM02G34090.1 pep chromosome:ALNU02000000:2:33519209:33519628:-1 gene:OGLUM02G34090 transcript:OGLUM02G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNFIGFSGKIRIWNPIFSSTLASSFSYIGVMNSAGAKINWSSSQKMLSDSFSRNCSTWRYTYGGSDDADTLPGSSLRTTLFLSMLT >OGLUM02G34100.1 pep chromosome:ALNU02000000:2:33547245:33549070:-1 gene:OGLUM02G34100 transcript:OGLUM02G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 5 [Source:Projected from Arabidopsis thaliana (AT1G45474) TAIR;Acc:AT1G45474] MASLGANSHGRVLHTCTLSPKPVTALSRSMAAIPGHHVFQSPRARIAVRASTERATWLPGLDPPPHLDGTLPGDFGFDPLGLGEEPANLKWYVQAELVHCRFAMAGVAGILATDVASWYVMLSYGAWSKLAVCPMEELIRVSGINNLPVWFEAGATKFDFANTTALFFVQLLLMGFAETKRYMDFINPGSQAEEGTFLGIEAALAGSQPGYPGGPLFNPLGLAKDIENADEAKLKEIKNGRLAMVAMLGFIVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >OGLUM02G34110.1 pep chromosome:ALNU02000000:2:33549895:33551092:-1 gene:OGLUM02G34110 transcript:OGLUM02G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIGTFSARSSMQRPVLAVDTYAWVLEEAKHHDDDDFVVGWGLRGLAGCYCCCLLKANQRYCCFRISFVLAILGVTTTSASARTPWETVPTGTSSALLGLDAAPVPPQLFVDGQYSGSRRSGHAARAGATAAVESTQREGNEGKEIGVFWCGTVRAISSLVPPLYLKETGVL >OGLUM02G34120.1 pep chromosome:ALNU02000000:2:33552017:33552745:-1 gene:OGLUM02G34120 transcript:OGLUM02G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRVERGGGGFHLPNSEREDSLFIRALISVVSGDTTVPTLLPEPTMATVVAGAATCARCGVDGCIGVDCEVVVLAAAAGSSSSDEEDEGECTTGAVASGGVTGGVGKRRPRRRSGGEGSRYRGVRRRPWGKWAAEIRDPRRAVRKWLGTFDTAEDAARAYDVAALEFRGQRAKLNFPASTAAQQPRPLLHHNLRENCGSNASSPVHAPEHARTAAAAKDQEIWDGLREIMMLDDGSFWSMP >OGLUM02G34130.1 pep chromosome:ALNU02000000:2:33564793:33565942:-1 gene:OGLUM02G34130 transcript:OGLUM02G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVSCPRLVPGRIPLSAASVAVARGRGCGVAVRARGQSAATPDPAAILRRPAVVTTAAAEEEGEGEGEGESEAESSPGGGSGEDETPEEGRRKGTEREWVDWEDLILEDTVPLVGFVRMILHSGKN >OGLUM02G34140.1 pep chromosome:ALNU02000000:2:33567003:33568344:-1 gene:OGLUM02G34140 transcript:OGLUM02G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNFPPVAAISRSICPLELAKSQQQRPRAPSLLCNKQPHAPHPWPPSSQQRTTTTTVSSHGLFRLPAALPPPVPARGSIPPPPSRRPHPPRAVVDRVRAVLLDTGRGRCREKVKLGKEPISLFMEGGIKELAKMPMEEIEADKLSKEDIDVRLKWLGLFHCRKHQCMPLFCSSVQHIFLLSIELDEGVSIRDLDKARGDGGGRWEGD >OGLUM02G34150.1 pep chromosome:ALNU02000000:2:33568106:33568494:1 gene:OGLUM02G34150 transcript:OGLUM02G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRAGTGGGSAAGRRKRPWLETVVVVVRCCDEGGHGCGACEEGGGAGALLLALGEFKRADAARDGSHGREIYKHARGPAAMVDP >OGLUM02G34160.1 pep chromosome:ALNU02000000:2:33577028:33578882:1 gene:OGLUM02G34160 transcript:OGLUM02G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYL3] MASKRFLSLSLLILLLGFSSSLAAGQVLFQGFNWESWKENGGWYNMLMGKVDDIAAAGITHVWLPPPSQSVAEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICCGDPYGDGTGNPDTGADFGAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADVAKIYIDATEPSFAVAEIWTSLAYGGDGKPDYDQNAHRQELVNWVDRVGGANSNATTFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFIDNHDTGSTQHMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGHKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKVGPRYDVEHLIPEGFQVVAHGDGYAVWEKI >OGLUM02G34170.1 pep chromosome:ALNU02000000:2:33582219:33583809:-1 gene:OGLUM02G34170 transcript:OGLUM02G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYL4] MQVLNTMVNKHFLSLSVLIVLLGLSSNLAAGQVLFQGFNWESWKENGGWYNFLMGKVDDIAAAGITHVWLPPPSHSVGEQGYMPGRLYDLDASKYGNEAQLKSLIEAFHGKGVQVIADIVINHRTAEHKDGRGIYCLFEGGTPDSRLDWGPHMICRDDPYGDGTGNPDTGADFAAAPDIDHLNKRVQRELIGWLDWLKMDIGFDAWRLDFAKGYSADMAKIYIDATEPSFAVAEIWTSMANGGDGKPNYDQNAHRQELVNWVDRVGGANSNATAFDFTTKGILNVAVEGELWRLRGEDGKAPGMIGWWPAKATTFVDNHDTGSTQHLWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEEIERLVSIRNRQGIHPASELRIMEADSDLYLAEIDGKVITKIGPRYDVEHLIPEGFQVVAHGDGYAIWEKI >OGLUM02G34180.1 pep chromosome:ALNU02000000:2:33586801:33587464:-1 gene:OGLUM02G34180 transcript:OGLUM02G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNLKSAALLEQLRVHLASGAGKELVEKIGFVYQLNISPKKLAFDEEVFVVDLKKGVVSKGPYEGKPDATFSFTDDDFLAISSGKLNPQMAFIMGKLKIKGSISAAQKFTPDIFPKPSKL >OGLUM02G34190.1 pep chromosome:ALNU02000000:2:33587927:33589663:-1 gene:OGLUM02G34190 transcript:OGLUM02G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNILTLTAKILFRHLAQLEPWARGPLIRLFAKHILVFWGPRVNLAQNANGRSTALRCADGRFMMRLKLPNGVTTSEQTRYLASVIEAYGKDGCADVTTRQNWQIRGTLPDVPAILDGLNAVGLTRLQSGMDNVRNPVGNPLAGIDPDEIVDTRSYTNLLSSYITSNFQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWEEALPLDAWVPGDDIIPVCKAVLEAYRDLGTRGNRQKTRMMWLIDELAADMFELARLADEYGSGELRLTVEQNIVIPNVKDEKVEALLAEPLLQKFSPQPSLLLKGLVVCTGNQFCGQAIIETKQRALLVTSQVEKLVSVPRAVRMHWTGCPNSCGQVQVADIGFMGCLTKDSAGKIVEAADIFVGGRVGSDSHLAGVYKKSVPCDELAPIVADILVERFGAVRREREENEE >OGLUM02G34200.1 pep chromosome:ALNU02000000:2:33592448:33594382:1 gene:OGLUM02G34200 transcript:OGLUM02G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSHLMNGGCGGVGKRRGLPAAGVGLGLQKQNSWSPDMERDEAWERRRGMNKGSSSSSALRRVRSVTDDDLDELRGCMDLGFGFEAAGCPLCGAGRSRLVETLPALDLYYAVHGNAGGAGEVCAAASPCSCGASSSDVSEESPLGSPMSILSPGDTPETVKMRLKQWAQVVALSVRNRC >OGLUM02G34210.1 pep chromosome:ALNU02000000:2:33595907:33600170:-1 gene:OGLUM02G34210 transcript:OGLUM02G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGSGRQQQQLPPMTPLPLARQGSVYSLTFDEFQSTLGGVGKDFGSMNMDELLRSIWTAEESHAVGAATTTTASVAAAEHAAVGAPPVQRQGSLTLPRTLSQKTVDEVWRDMMCFGGGGASTAPAAAETPPPAHRQQTLGEITLEEFLVRAGVVREDMSVPPVPPAPTPTAAAVPPPPPPQQQTPMLFGQSNVFPPMVPPLSLGNGLVSGAVGHGGGGAASLVSPVRPVSSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPGIEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEVAKLKELNDELQKKQDEMLEQQKNEVLERMSRQVGPTAKRICLRRTLTGPW >OGLUM02G34220.1 pep chromosome:ALNU02000000:2:33601482:33602153:1 gene:OGLUM02G34220 transcript:OGLUM02G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESNASVLRCLYRRHASCTATAAHVRHPTSICSGGGRGACVDGGSRIKILCSFGGRIMPCPSDDALKYIDSETRILAVPRSIPFSPRADLKKKVEEMFRTEVAVVAEDLDVLVSVTWDEDLTHMLDEYDCSKEKRSPSASPRFRVYIFSSPRFRMHHDSSSGALAEEEEDVGCNRHDVVAAPVPVVRRGEGHLVGVVPRIAVPRREVRERKEGKREGAGMAS >OGLUM02G34230.1 pep chromosome:ALNU02000000:2:33602807:33604437:-1 gene:OGLUM02G34230 transcript:OGLUM02G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02310) TAIR;Acc:AT1G02310] MGSKRRVILLPTLGVVVLAIAAAVLLHAGEAADVANGQFARASGTRFTVGGRPFYSNGFNAYWLMYMASDPGDRSKAAGVLQQAASLRATLVRTWAFSDGGYRPLQKSPGVYNEDMFMGLDFVIAEAKKRGLYLILSLVNNWDEFGGKKQYVQWARDQGHNLGSDDDFFRSDVTKQFYKNHAVLTRVNKITGVAYKDDPTIFAWELINEPRCQSDLSGKTLQAWVTEMAGYVKSVDPNHMVEIGLEGFYGESMHKNFNPGYTVGTDFIANNLVPAVDFATIHSYPDQWVSGASSDEQVAFMRKWMADHIRDSAAVLRKPLLVTEFGWSARSNGYTVAARDAYFRTVYDAVYASAREGGACAGGLFWQVMAPGMESWTDGYEVVLERSKSTADVVAHQCARIAGLSPA >OGLUM02G34240.1 pep chromosome:ALNU02000000:2:33606226:33606722:-1 gene:OGLUM02G34240 transcript:OGLUM02G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDRKQTGEGKRWLVTRELEESTGSTTRRALRDLQMYDKVEVTSMVGDDPVRSNARESEANLAMDTLTWSKMWWVETEASTKRRRSKSEVWR >OGLUM02G34250.1 pep chromosome:ALNU02000000:2:33609576:33614253:-1 gene:OGLUM02G34250 transcript:OGLUM02G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLEPGLGFPDSASAAAAADRRHHPARRKKPPPQRRRRPAAAAAPAVGPRGATDPDPAPSPLRVHGIGIGSHASRGTAPRGEEEEEEEEWRGDGDAGSEEEEGEAVSDSFSHSLRECQKQRKLRAEGAALLLSPAKHELTGGGGGSIELLVLSPRCLVGGNVGGMSKSSTASSRSRSGTFPSPGTPNYHRHCASTMQYPKGWSSERVPLGGGTNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPGGIPGAYAAASPFVPCFDGILAAANFAAHSPFSAGVLMPEHVRNGDFSSGRGRSGDDGSSRSYSAEKDPYILRSASIHAWTETLMEASAFANISEETAQDDKLQGLRGETPAISSPIIKKDVATQMSPDDSISSSPKARHSCSSLPSGHPIKEPNSNALKPEVRDVQVDDQVTVTRWSKRHVTRGSDRRSTNIVEWRKKTIETRAPSFDEKERESCESKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDRILGKLRTAQKKAQDMRSAVSVSEDQYGVRATKKASYLRRTGKSFSCCFTYRAC >OGLUM02G34260.1 pep chromosome:ALNU02000000:2:33617587:33622863:1 gene:OGLUM02G34260 transcript:OGLUM02G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPAAAEAMDVEAPARPTTTTSTVPTSKGKSPHDLLAETRASVEEVAARILAIKKDDAPRTELRELVAQMSLLLITLRQANREILMEEDRVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRACLDFQTKYPGIELVPTEEFHRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLEQRKSSLLDTIANQKKFLSSLPTHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIVYTQLLGQKEAFGENIEVEITGSTKDAQMFAQQQAKQENAGTLSNGDNRVDDDAIDDEEDAQRRRSRSRKSVVKEASNSVVAYQLHPLKVILHVYDTEESGAKRRKLMVLRFDYLAKLNVVCVGIEDSKGLDHDILCNLFPDDTGLELPHQMAKLYAGEVPNFSEKDSRPYKWAQHLAGIDFLPEVPLSVGDDSNRASRSADLSSGLALYRQQNRAQTILQRIRSRKVAQMALTWQLDYLTKLKWPQIEHENAPWASRTPLCSLHSWSFTGIFPEPAPRSPLMVVGAAGSVDSDMERRSVTHWEETESTREDGELLAVVPAENTPSVSKISHSEVSPEVRNHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEEQTFVDQEVEKVNLATDKSWEDYASREFTLVLSKTLKNGPKVMLEAKIAISMEYPVRPPFFTLQLLSGKTEALKWHNDLRAMEAEVNLHILQVVPSSYEDYILTHQILCLAMLFDMHFDEDHGKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRSADCSSSCL >OGLUM02G34270.1 pep chromosome:ALNU02000000:2:33623063:33626361:-1 gene:OGLUM02G34270 transcript:OGLUM02G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGGGGGGGGGFGGDFMVLRPDKGGIGDLFHLLWSCKVAENAAVDCPIGTEIAERRRRWALFVSLVAQMLLLWTKKPVALLGGATEYWMNLLNENSGSVFMLITNALQGKVKMPDKSSINYRSCIGLLDTRIDLDEKIKPEDRNYHAALSIMAAKLAYENELVVRTVVQNHWQMNFVGFYNCWNEFQEDYTTQAFMVSDKAEDASLAVVAFCGTKPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRNGGWPEQPTGAGDDGGGDKKPFAYYVIRERLRAFLAENPRARFVVAGHSLGGALAILFPTVLALHGEEDMLARLHGVYTFGQPRVGDEGLCRFMDGHLATPVSRYFRFVYCNDIVPRVPYDDTALLFKHFGTCLYFDSFYKGHVTEEPNKNYFSLLTVVPKYANAAWELARSFVIGYVDGPEYGEGWLMRIARAAGLLLPGLPPHAPQDYVNATRLGAASLEPLR >OGLUM02G34280.1 pep chromosome:ALNU02000000:2:33639209:33640369:-1 gene:OGLUM02G34280 transcript:OGLUM02G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQSVQALASSLAALPPEFVRSEHERPGATTFRGGDAPEIPVIDMAAPESAARVAEAAAEWGLFQVVNHGVPAAAVAELQRVGREFFALPQEEKARYAMDASSGKMEGYGSKLQKDLEGKKAWADFFFHNVAPPAMVNHDIWPRYPAGYREANEEYCKHMQRLARKLFEHLSTALGLDGGAMWEAFGGDELVFLHKINFYPPCPEPELTLGVAPHTDMSTFTVLVPNDVQGLQVFKDGHWYDVKYVPDALIIHIGDQIEILSNGRYKAVLHRTTVDKDRTRMSWPVFVEPPPEHVVGPHPQLVTDGSPAKYKAKKFKDYRHCKINKLPM >OGLUM02G34290.1 pep chromosome:ALNU02000000:2:33641411:33644113:-1 gene:OGLUM02G34290 transcript:OGLUM02G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYM6] MRRHPLLPLLAAVLVGAAVRAGKIATEVVVPDFVASYLLFIDTYGVFLQSGGGGAFHAVVYNPAGQQDRYYLAVVHAPSKTCVWVANRDAPITDRAAPLRLTARGISAEDPNGTVVWSTPAFASPVAALRLDESGNLALLDGRNRTLWQSFDRPTDVLVSPQRLPVGGFLASAVSDSDYTVGGYRLDVTAADAALTWNGSLYWLLSTDVKSTRDRDGAVASMAVNGTGLYLLAADDTVLIQLPLPDAKLRIVKLGVDGKLVITSYASANATSPSPTDAGFVAPNSGCDLPLSCGALGFCAPNGNASSCTCPPLFASSHDGGCTPADGSKAMSVASCGGAGGDAAPTSYISLGDGVAYYANRFSRPDMVGSNGSSCQALCSGNCSCLGYFYDESSLSCFLVQHQIGSLVNANSTRRGDMVGFIKVQSSQSPGSPSGSSSNNSTLIAILLPTIVAFVLVVVVGAVIVVSWRKQERRAGRRASRSRDVQLRRHRSPASDSAHLVYGNDDDGDDIVIPGLPTRFTHEEIEDMTNSFRIKIGAGGFGAVYKGELPDGSAVAVKKIEGVGMQGKREFCTEIAVIGNIRHVNLVRLRGFCVEGQRRLLVYEYMNRGSLDRTLFRPAAGQPLEWKERMEVAIGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLTNTAITDRTDVYSFGMVLLELVRGRKNRSEHVSDGAGAATGDDSNSSNGTTGSSSRGARSDYFPLMALEGHEAGQYAALADPRLEGKVVAGEVERVVKVGLCCLHEDPQLRPSMAMVAGMLEGTMELWEPRVQSLGFLRLYGRGFAGPADGSGGSGGGIKQAMAGSNGDHTRSGTTTVTTMSGWPSYMSSSQLSGPR >OGLUM02G34300.1 pep chromosome:ALNU02000000:2:33647794:33651235:-1 gene:OGLUM02G34300 transcript:OGLUM02G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYTSSAARSFATGATRFPSPVSPASPAPGAVGGGAPISIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPGAAEKALQASA >OGLUM02G34310.1 pep chromosome:ALNU02000000:2:33652550:33654024:1 gene:OGLUM02G34310 transcript:OGLUM02G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMPAQGGVRHHRTCRMYWCYQCGRAIRIISYPSTDVFCPRCFGRFLHEIDPPPRPAPPPPHFFPQPYHPHYDGHPRRWLIYGGEAPPVAAPGRAFRQPAPAVPGRAFRQPGPAPAPSPAPAPPRRRMPSPPPVARRPSTPPAIDPGNYFNGPNLNNLIEELTQNDRPGPAPAPSSAIDSLPTVQITGAHLSDGSQCPVCKEDFELGEAARQMPCKHVYHSDCIVPWLRLHNSCPVCRYQLPSSAAAGSNANSRARRGSANNGGGGGDGRDREQTIVRWGSFSWMWPPRGLEDPDDGWEYGRRGRPEAGDAGGRMCNDHGNFRKLVTMMDG >OGLUM02G34320.1 pep chromosome:ALNU02000000:2:33654398:33659992:-1 gene:OGLUM02G34320 transcript:OGLUM02G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSGQEAAPGSGGNAAAAAARQGGGGGGRGRQYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVHLPFHIPAAAMSPKSIQRVAAAAAANATSPLQHHSGAASFATATGGYNPAAAPTTPPCSYGDMSSCSAVSSPETANYYGADHDMVAREDDVDYAALADIDAFFQSPKCMDYSMMDPCSTFFSPAPESLAAEWEDEASHPNPRGRDSLAFALLVSFLPSAAAAAAARAMGYTKEQLLARLQELNIEFSCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKRLGLGKGGLRMAPEENLLEVLQVPLGCVTPFALLNESASFFHGYAIAFLTDSYNCVFASSAVSLLLDQALSSSNLDKFLMSIGRQPAYVDLEASPVVGKDNPPDLADLVPSGVPKSAEPIEKVTPTNVPRQNDVPKEKTCLPEVKAKPKVQNKGAEKTQSKIPTNGANVEKFVNDVFDIMSPLFLSEVSKKLNVKQEELSSIFDGFKEQATIDLESVTTSLKNAAYTAGFEAGFETMLNSGLKGQASRK >OGLUM02G34330.1 pep chromosome:ALNU02000000:2:33673915:33676897:-1 gene:OGLUM02G34330 transcript:OGLUM02G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAIRQSQISPPTLPLLRRTRHPHAGGAGGADRRSLVAFGFRIDASTNLSAVKHYQMY >OGLUM02G34340.1 pep chromosome:ALNU02000000:2:33677221:33681267:1 gene:OGLUM02G34340 transcript:OGLUM02G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN1] MAAAAALTVLPRAAGVLRLSQHGRSASRLLCAAAGDGEASPAPRAGRLVLYTKPGCCLCDGLKEKLQAAFLLAGTPYSLASLELQASRLDHERDITTNPDWEQMYQYEIPVLAKVLPDGSEEKLPRLSPRLSVELVQKKSVGGGGTVIPPGNPKISKQCGSPKTDDVKDKFDCSSKESVLTRKYPRVIIRDGF >OGLUM02G34350.1 pep chromosome:ALNU02000000:2:33678371:33680609:-1 gene:OGLUM02G34350 transcript:OGLUM02G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDVKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPDEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELEIHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLLILHLFLQCDFVSYIQGCMKCF >OGLUM02G34350.2 pep chromosome:ALNU02000000:2:33678371:33680162:-1 gene:OGLUM02G34350 transcript:OGLUM02G34350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKINYAKLTFILLCISQKKTPIIPPDEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELEIHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLLILHLFLQCDFVSYIQGCMKCF >OGLUM02G34350.3 pep chromosome:ALNU02000000:2:33678436:33680162:-1 gene:OGLUM02G34350 transcript:OGLUM02G34350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKINYAKLTFILLCISQKKTPIIPPDEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELEIHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMPVPSGQITVVTVFCS >OGLUM02G34350.4 pep chromosome:ALNU02000000:2:33678799:33680609:-1 gene:OGLUM02G34350 transcript:OGLUM02G34350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGDAEQAVHSTAPAAGHGSAVRRYAATIGGAVDVKCVLVLFLSIGVFVLVLFMLLPLHDHASETIPDDDPGVLPDEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELEIHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLVPASPSK >OGLUM02G34350.5 pep chromosome:ALNU02000000:2:33678799:33680162:-1 gene:OGLUM02G34350 transcript:OGLUM02G34350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKINYAKLTFILLCISQKKTPIIPPDEIQASFFLLKKRQQLIPHVRRLQKDIYREIGIPNTTVSVSMHTSKYKDSTYVKFGILPNPRNSSISAQSIIALRKNLIQLTLEQSNLSLTSSVFGDPHCLEILGFPGGITVPPPPDGNICPTALFNVTLNMTIQQLRVHLKELEIHILIAPNYPSNYLETYRLKQLAQIIIKLIPKNLDLNPIFGMIENLWLSPCLQSYIPSCAPNPAPAPAPSLSPSNPEHPQPTTTKPYGSFSCPALERRKTVSVHRRLSTISPMMVPPEIPTRFDSWSVHTDRKNRSPLAKPMSLVPASPSK >OGLUM02G34360.1 pep chromosome:ALNU02000000:2:33681472:33699657:-1 gene:OGLUM02G34360 transcript:OGLUM02G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN7] MQEMQAPPPPPILLLAPQYGFHYQPTLEEFLAKRRVSPAGRRGQKKESICSSLDQTMSNDSTKWQSFERMGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVTSPYGNTLHHNENATVGQCAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTMSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >OGLUM02G34360.2 pep chromosome:ALNU02000000:2:33681472:33696816:-1 gene:OGLUM02G34360 transcript:OGLUM02G34360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN7] MALTWLGRRVSPAGRRGQKKESICSSLDQTMSNDSTKWQSFERMGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVTSPYGNTLHHNENATVGQCAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTMSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >OGLUM02G34360.3 pep chromosome:ALNU02000000:2:33681472:33696295:-1 gene:OGLUM02G34360 transcript:OGLUM02G34360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN7] MVTKRSGVKSFERMGVGRVMNDAKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNLFATAVMAPFALWFERRVRPRLTLIIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALINVLPAVTFVMALVLRMEKVKLKSVHSQAKIAGTLFTVAGAVLMVLYHGPVVQFPWTKGQHHDGGSGAGGAAGGGFLQGTIFIVVACVTSPYGNTLHHNENATVGQCAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTMSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >OGLUM02G34360.4 pep chromosome:ALNU02000000:2:33681472:33704556:-1 gene:OGLUM02G34360 transcript:OGLUM02G34360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN7] MAAAAAAPPALTLAVAAAVIAAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKVTSPYGNTLHHNENATVGQCAFTTSEAGNYLACFWIDSAEKGSGISINLDWKIGIAAKDWDVIAKKEKIEGVELELRKLEVAVQSIHQNMIYLKAREAEMRTMSEKTNARVAWFSILSLSVCIVVSILQLWHLQGYFQKKKLI >OGLUM02G34360.5 pep chromosome:ALNU02000000:2:33700032:33704556:-1 gene:OGLUM02G34360 transcript:OGLUM02G34360.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYN7] MAAAAAAPPALTLAVAAAVIAAACLLLCAEAVWLDLPQSGTKCVSEEIQSNVVVLADYALMYESHPSSHPTIAVKFVFCC >OGLUM02G34370.1 pep chromosome:ALNU02000000:2:33707524:33708386:-1 gene:OGLUM02G34370 transcript:OGLUM02G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXGGRRVPPGHHLHRRRLRVLVGLLRPPVQHPAELPGRAVAHHAHLPHGLRPQRRRRPRRRAPQHPRLAHRLRHPPLHLRLRRYRVLRRGVLRAGDRVEAEGPSVRDSIQPALHDHHRHHGLHHSQGRNQPWKRDRRGDHRDRTVRADLGQGRRQSRADRRRRGGGGQQQGRRRAAIDGGAQRPRQQARQRRPCLRRRDAAGGERALL >OGLUM02G34380.1 pep chromosome:ALNU02000000:2:33714225:33717667:1 gene:OGLUM02G34380 transcript:OGLUM02G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >OGLUM02G34380.2 pep chromosome:ALNU02000000:2:33714309:33717667:1 gene:OGLUM02G34380 transcript:OGLUM02G34380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrophosphorylase 6 [Source:Projected from Arabidopsis thaliana (AT5G09650) TAIR;Acc:AT5G09650] MATAATASATAATRFTRLAGVGLRRTARLPTAVRFQRRVLATTALLRTAELRPKEQGLPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFVVEIPKESSAKMEVATDESFTPIKQDTKKGNLRYYPYNINWNYGLFPQTWEDPTLANTDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPTSKEYALKVIEETNESWEKLVKRNIPAGELSLA >OGLUM02G34390.1 pep chromosome:ALNU02000000:2:33719903:33720400:-1 gene:OGLUM02G34390 transcript:OGLUM02G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFDSIRGSLSPHIDQAKVYYKLKRLKGKYLHAAPGASAGPHERRVRDLCASVWGADLEPLAEGDDERAAAAAADQPRTVPDAAAMLPVLTEMLDEYWKTDGRALSSVSLAKGLSLLGTEEARFIEGKWRRQLDSEIQTQMRRHDLAKEVYALLMDAIKALGP >OGLUM02G34400.1 pep chromosome:ALNU02000000:2:33720734:33721796:1 gene:OGLUM02G34400 transcript:OGLUM02G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWDGRLDGIRAARRGPARCGAAWRGSQDSIRITLRERVTRKLVGRLDWLGNDVWLSTR >OGLUM02G34410.1 pep chromosome:ALNU02000000:2:33723836:33735548:1 gene:OGLUM02G34410 transcript:OGLUM02G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRRGEMARAEGLPEGAAPGVGVDLYAQARKALSVRTPFEGEGTAPRVPTLPARLVNWSGQSDARKKHKKIQPQDVADVELPPQPATEPSAKTGVWEQFEAYFRPVNLDDIDMLMPKFPFGYGRLDSCILIPFVGSGKELMDTAETFDVAVAETSSYLGLGGEERVSNKEHSERSERSEQSVEQGIHEVVVRQFVGNKERGEQSVEQGIHEVVVQQENWPLEVEQATSSAGIVSSKCEEEGESSLNWLLGSKERFVLTSERPNKKRKLLGVDAGLEQLVLLPRSGAEASSVCDVCCLGESSTVSNSMLNCNRCKVTVHQKCYGLHVVPDGQWLCTWCKDLESLQSLKKDADNTLSMPCVLCPKEKGALKPVKGEPGQTAHGGNLKFVHLFCSLWTPGALVEDMESMEPVTNVGSVQENQWKLVCSICKVKHGVCVRCSHGTCRTPFHPICARESKHQMEIWGKFGHPNVELRAFCSKHSTIGYANSLERSNCASHQSPTEARLKDANLITGKVPKLRFTRKNKDKFMKYEATSFNSSNLIKVETIEQASLPHTVRSSDSLAIQGMEVDTDNLSVGGNLMRNSADVALVLRKLIDQGKVSVGDVASEVGISSESLEAALVGETTTFSHGLKLKIIKWLQNSAHIPAAQAKTLKGGPMVVHDSKPGRSEDTNSVNMKNSLVPDDEKGTTAYLSDSAVMKSSSTRSKDNNKIMRDNTAVCATGVTTLLQNGIKKMAEAGAERECSSPAEDCAKGTPKEEHGGLISNNISGNTQFGTSMAIPNENKGTSPGKKRYNLTEAEPGSELEGVSSLNQYFPPGDNVKHELNSVENGVGNNHDCNADHVPGQPFSNFDDSHYYIHPLIKEKMTQLWDNTFKQDKLAPCHPEDPLCYPDERRRVGSLIKLTETTDVMDQVSRAKSLGILEHSPDDEVEGEMLYLQARLLDTAAFLKHRYEDLIAKVVQNLSRELDAFSRRKWDFIFVNQFLRDVREAKKRGRKEKRHKEAQAILAAAAAAVAASSRNSTVRKDANDDVVPAKQENSPKFGTGPPNVGQRTSSLLRLKDLSKPPNNKISQDNNRSTFHMPNYSKENALYCDVCMRSETVLNRIFVCSRCKAAVHIDCYRNIENISGPWKCELCEDISPEDACVGDQSDCNGTNLSLVQCDLCHGTSGAFRKTADGQWIHAFCAEWLLETEYVRGQDSPVKGMESLVKDKDTCCVCLHTVGACLKCNNGDCQTTFHPYCARHAGFYMNTKGSGGILQHKAYCSKHSIEQKEADMQQYGLEEFNNMKRMRVELEKLRLLCERIIKREKVKRERVLCDHDILAKTKDTLVFSYLTHGASSESATTSVNNKSYSGTMQRSDDVTVDSTISGKKAIGFSLNNRDAEINTADSSRTLISFKRKFSERGSLAGKQLPRRPVTSQKLEAGEKKTKDKKNRETFQKELFMTSDQASTQNQRLPKGYAYVPRDSLSKDKLRNRNTQAHEPQEPGG >OGLUM02G34420.1 pep chromosome:ALNU02000000:2:33764805:33765191:1 gene:OGLUM02G34420 transcript:OGLUM02G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGEEKKAGKVKKGWLAVRVGVEGADGGDGGGFRRFVIPIAYLYHPLFRRLLEAARDAYGYDSAGPLRLPCSVDEFLRLRSLVERETHAAGGGGGGSSSPHRVHAGGGSHHHHHYSFSPCTRAKVSS >OGLUM02G34430.1 pep chromosome:ALNU02000000:2:33772612:33775381:-1 gene:OGLUM02G34430 transcript:OGLUM02G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCGSVAAAAAMVVVVMVSSLPGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNSNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSRCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >OGLUM02G34430.2 pep chromosome:ALNU02000000:2:33772612:33775381:-1 gene:OGLUM02G34430 transcript:OGLUM02G34430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVCGSVAAAAAMVVVVMVSSLPGGVEAKTTIEPCTGSDSCSALLGYTLYADMKVSEVAALFGTDPAALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCTDGVRKSVSVRYAARPADTLATVADGVFAGLASADQIRNANALASADPDAPLDPGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIAASYETTVTDVMNVNAMGSPIAAPGDILAIPLPVTIVACFCILACTSAFPKSASDHGLIVANGTYALTAGNCVQCSCGPGNLNLYCTPASLTGSCPSMQCSNSNVLLGNVSARSTSAGCNVSSCSYGGFVNGTITTLLSTGLQSRCPGPHQFPELTEPPTTVNHDSTFLPPLSAPGPAEAGGAIPPPNSGSPSVQGGSFTLPKVSTANGPAGSVSEAPWMNKPHQILSSFILCLLLLYSQM >OGLUM02G34440.1 pep chromosome:ALNU02000000:2:33782037:33788553:1 gene:OGLUM02G34440 transcript:OGLUM02G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSLGKKGEGCEGFEEERDRWGREARTGAVNTREDRQSYVADADIWTGAAVRRCRLGNLSMEIGQVKQAAGVQPVHRCSPVSKGRRAFAMKRHMYAGLGTHAHACDIVVRNRFGVWSRRNQKYIRKTRLRANP >OGLUM02G34450.1 pep chromosome:ALNU02000000:2:33810352:33810701:1 gene:OGLUM02G34450 transcript:OGLUM02G34450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQRIPYPDDNWVSVFYQIGRGQLPPVPGSISPVSRDFIHKCLQVNPDDRPSADELLNHPFVAVPEPD >OGLUM02G34460.1 pep chromosome:ALNU02000000:2:33811249:33817391:1 gene:OGLUM02G34460 transcript:OGLUM02G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQRPRAQLARINAMRHSYTAAGDDGSGNDVCGELDDGGGEYASQTSFRIRGGRGAAEVTAIFRKLGLSGPEDFTIPPAVYAAAMSHLSSSARRRASLEVASPPELLEASPAEAAVPMNREAVEKGEEAGPAPKLVQSEVTEVSTQAYANATPAAESSIRVVAPSATKFVQAEAIEVSTRSYARPAASVRSVASKRALLKQDSADEDKEKGKLVRLDKSREEIRGEVVVEATRETTGASALVVEATRESTSRDIEHLISPSPHRRFRRTITSWLKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLIDQGINAKQRIVQLEHEISLLSRLEHENIVQYFGTDKEDGKLYIFLELVTQGSLAALYQKYRLQDSQVSAYTRQILIGLNYLHQRNVLHRDIKCANILVDSNGLVKLADFGLAKEMSILSQARSSKGTVYWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWTHALLKIGRGIPPEIPATLSEDARDFIMKCVKVNPNDRPSAAQLKAVDAEDDPKSPAMIQR >OGLUM02G34470.1 pep chromosome:ALNU02000000:2:33815687:33817270:-1 gene:OGLUM02G34470 transcript:OGLUM02G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05750) TAIR;Acc:AT1G05750] MNSEENLKKPGESKGSVPTCPRGNASALSHRPYLMAVVAPPSLALPQPPQNPTPKPRRRPPRDVASWTSAIARPAKQGDLPAAAAALSAMLSSPAAPVPNDVTLLTVLSACADSPSSPLARRLALSVHARVLKLFPSHLLLSTCLVRFYFASRLPHLALQLFDAMPVRSAVTYNTVISGLMRNGLVAAAFEVFDGMPAPDKVSWTALIDGCVKNGRHDEAIDCFRAMLLDGVEPDYVTLIAVISACAEVGALGLGMWVHRLVVRQGLERNVRIANSLIDMYARCGQVELARQVFSGMRKRTVVSWNSMIVGFAANGRCADAVEHFEAMRREGFKPDAVTFTGVLTACSHGGLTDEGLRYYDLMRAEYGIAARMEHYGCVVDLLGRSGRLEEAMRVVTTMPMRPNEVVLGALLAGCRMHGDVGMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKARGLRKRPGYSAVEIDGDVHEFVSGDRSHPQAEEISQMLGLLMHEMAGHDYDHVIDCLDGG >OGLUM02G34480.1 pep chromosome:ALNU02000000:2:33817919:33821398:-1 gene:OGLUM02G34480 transcript:OGLUM02G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYQ5] MWLHRLVVPSGRTAPARDNSDPVTSSSRLRFVFFLAGEARPEPKTVTYTALSPSAMDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLQLWHEELEPQNSLLDILAAGNPDPMVQ >OGLUM02G34480.2 pep chromosome:ALNU02000000:2:33817919:33821123:-1 gene:OGLUM02G34480 transcript:OGLUM02G34480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYQ5] MDASLMGAPSAAAGDNPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYICRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLQLWHEELEPQNSLLDILAAGNPDPMVQ >OGLUM02G34490.1 pep chromosome:ALNU02000000:2:33824668:33826769:1 gene:OGLUM02G34490 transcript:OGLUM02G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQRSRRPPRLSRRNAIRQSAYVARPASQLSPPSESDQTAFWYSTEESVLEERVVEDEVGDHAEAGGAEAAALVVEEASTSSSSAVPVHAAMVIRRSILNWSKLDLIGAGSSGRVYKAVAEDGFVFAVKEASLIGPESYTKQTACQLKQEILLLSRLEHKNIVQYFGAKKGETVLCIFLEFVSEGSLVSVYEKQQLEESTISSYTRQILNGLAYLHHHNVMHRGGSGELLRASSAAATCFVGGGSVAAASCFVGDDLLRGRRRHGGG >OGLUM02G34500.1 pep chromosome:ALNU02000000:2:33827356:33832137:1 gene:OGLUM02G34500 transcript:OGLUM02G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVSEDGGRRREAHAAGYGELGRALLDLQAAADQVFDAVTARTAEEREKLSAISRRISAAKAKIKTLSQSEEPLTIVSPAQHPSSCTNREDFRPLFHDKYDDNSGGPSIATISVNGGFNREYGLEGTLELFQFFSEENCDYTPKEGRLKVKNKPTEAKDDTYLGSLMDKSNFPTPQNISMSGKDMKIEELPPPPPSLISKHLAKNQRSDDVRFESSWSPAHSDIPSAENRL >OGLUM02G34510.1 pep chromosome:ALNU02000000:2:33832940:33833329:1 gene:OGLUM02G34510 transcript:OGLUM02G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSACNCKASLLLAVLLAATLLRRGLGAAPPFHPAGGGGDHARRLIGDGAAARPLLHGSAAAAAAAAASAPGNAGAGSVSVSRQSREQGGGARRRPVARRVLLRGVNGEASKSKPSCGSNYEPPCRPA >OGLUM02G34520.1 pep chromosome:ALNU02000000:2:33844639:33850597:1 gene:OGLUM02G34520 transcript:OGLUM02G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEFSLMRVSSSKTKVTSMPLICKEKMPRRTIDISSEEYEGNSTNSETDTCIGSDEDYHTDEVFEALNISGFDNMLHELYSKKGIKMKGAHNETKLDKMLYALFRKDVVQKMKKELVSTSKNVRHKPKIQKGKAASNTDTSFIRFFAKYFAEVVSKLPEEKKIVIKNFGFHSLLLFDSSFIPNKFATWIVKKVDLKTSEIVLGDKVIPVNKEDVHAILDLPIGGSEFGKNYEHRRQFILSKFGKTVMPSVKFFGDQLINNEDMTDDSIIISFLIVALATFLCPNSSTYPSIKYLDIFEDVDMLHSFDWSSFVYDWLMSYVLYLDHRDFGSKNVPKDVPRIAVWKQDMVKTYSDLDEIDDKNYGLRPLKDFSDTCYYEAVHVQNSESFRNKLDRAIGDNLPANLKDSISDLMDSHCSANHAAKNNSCEDVMISALQILIDASISHFVRAAHNNASTENGTDTPTENDKQNGSCIDAIPKSCGKDYPSSDRNADIDIDANLDQGHGEHLTNDLADNNVINSPNIVHSHGSLNCCCEGQAFFTPGVVCPPNSKSCGSESIKEPPALLTKIAMEFKSRLAEFNNRDNRGHIYDEDRPAFDIFHEYNIGEKVCTPDTLKSHTHSKENEAPANPATFAGPDYMTPPLGTRTRLNNNTYKRHANLNPSQIGMKRTFQDLTSFNLLPNDICNTTKLLTNSSHASIPCINNYGLSSSGGKMPLYGPRRIIHPAKHRSDPFVCPRRRFVISNNALRYYNAICSLSDSEYQDEDAVNIDNVRISFCNFGNSLKKGGDVNGFVISAFCRCLFHKNHPSKSKKNYFFPSIGDMLISHLNSKELAKIEKSFQGAATARILHKCDLLFFPICYLEHWFLFVVDIKDRMLVFLDSLHEKDDPYFDPIMDLMISNLQNAWDEAEESAMDFNSFEIFFPPVPREENNADFVIFVMKSIELWSPRSLLCNEFDKSDIDMIRIQLANQIFFNKKNKMLQTEAEHLVQSWATKVSSYCFFFLFPKYSLCIIELFLY >OGLUM02G34530.1 pep chromosome:ALNU02000000:2:33853199:33853654:1 gene:OGLUM02G34530 transcript:OGLUM02G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRRWLPLPASFCFFVLMSLLAQSSHGARPSPRELQGRSPPAAAASSVAVGSPPSSDDVVAAPPPPPGPVMTVTTPAGAVGDDRRGRGGDDVPLLPRRGRSVGVALVMARAARRALAAAAAAASSSATDGTGPSCHSNNVHITCSPPLQN >OGLUM02G34540.1 pep chromosome:ALNU02000000:2:33863317:33863658:1 gene:OGLUM02G34540 transcript:OGLUM02G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSREVMQGRPSSSSSAAAAAASSPVGSSPTESEELVVVDKGSIAAGAARDADAAAPPSPPSPAATGMGDDAAQVPTRHSARRRLLVDAATDGAGASCHSNNVHITCAPPSPR >OGLUM02G34550.1 pep chromosome:ALNU02000000:2:33864439:33870021:1 gene:OGLUM02G34550 transcript:OGLUM02G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLEGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNICAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPEED >OGLUM02G34560.1 pep chromosome:ALNU02000000:2:33872349:33874536:-1 gene:OGLUM02G34560 transcript:OGLUM02G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLILKYITVHA >OGLUM02G34570.1 pep chromosome:ALNU02000000:2:33875149:33886005:1 gene:OGLUM02G34570 transcript:OGLUM02G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWNGIPTPRRLQLSTPQRTASVRACVRACTVSVTPKQLANNNDLWANLASTWDGVDRLNKGQTDPYPDSYLAQIKPVCIDRTEPVAAAAALMVLLVAAAFHFHPLHARPVARAATAGPLPPARRSSSHDANSTGASQPRPRPPAAEVEVAAAGDGRLPKRSSSPSGCTNYGPAGGGSDGESDREANGSKPAKHGRITDRTV >OGLUM02G34580.1 pep chromosome:ALNU02000000:2:33885874:33887426:-1 gene:OGLUM02G34580 transcript:OGLUM02G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSAVKESLTNIYGDRFDNFMKNFEKSFGSTLRTLHLINETPVYEQDNSRFSHEDGTSAAEIKLSGADSKRPVHDIQESTSLSSMDNQIILHAGTDQQLVKLPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVLVQRLQGNLNLGGCQIQYQLSIRVSFNSSNKDVIWHRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKASDLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWNELVVEYMKSKFIRINTEV >OGLUM02G34580.2 pep chromosome:ALNU02000000:2:33885293:33888277:-1 gene:OGLUM02G34580 transcript:OGLUM02G34580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPESGSRTGRGEEIAARQLNPTASASPPRSLIMDGACCDGGGSPESGGASSSASSYGSASRLQKGVRLRRRRQRLRRPLLATGGDGRGAADGAQDLALPLGMSFAAVLAQVLNRSSCSEGRLQPDFLSKMCTSAVKESLTNIYGDRFDNFMKNFEKSFGSTLRTLHLINETPVYEQDNSRFSHEDGTSAAEIKLSGADSKRPVHDIQESTSLSSMDNQIILHAGTDQQLVKLPHNKASPEFDRHILNVFERSLNEQTRSNELKELEIGLNMRKLQLKQSQIALSSYSHMLEKIKISMGFQKASFREEKFRTQMEDTRHAELLRRLIDLLLTAVVFMSVCFGYGTYIYSYKRITAVTAACAAASREPKSWWMPNSVSAFNSGLLCHLIAATRMSFGMLMILLIAWLIFQRSAMTGPNMPITFNVMLLGVLCGSVGRFCVDTLGGDGNVWLFFWEILCFIHLFGNSRPSLLYRMLYGPISVTDRTKASDLPYRVRRYTFYTVLSVILPCLAGLLPFASLSDWNELVVEYMKSKFIRINTEYGSSDVCTCKWR >OGLUM02G34590.1 pep chromosome:ALNU02000000:2:33893741:33899653:1 gene:OGLUM02G34590 transcript:OGLUM02G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLLVSAASQFHPLHARPVATPGSHLMPSSSHDDDANATAVSQPPPPPGLKRSSSPSGCTSYGPGGGGGGGGAMAIRIKKKCHHVEAAMLLVLLVVAAFHFHPLHARPVAAPGPKPSGGANSTAATASQPPPPPPAGDGRLPKRSSSPSGCTNYGPGGGSVCPPR >OGLUM02G34600.1 pep chromosome:ALNU02000000:2:33904318:33904661:1 gene:OGLUM02G34600 transcript:OGLUM02G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLDSLHEKDDPYFDPIMDLMISNLQNAWDEAEESAMDFNSFEIFFPPVPREEN >OGLUM02G34610.1 pep chromosome:ALNU02000000:2:33915216:33916013:-1 gene:OGLUM02G34610 transcript:OGLUM02G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIMQTPKSAINADQDYLGMFRDILHTPAGFENSMIMTSNRFPENYEQMGGETEQGTQAICDQWASKNIEELYNINMNNQQGLSSVIKTLASRWSRKDIDELYNINNTHQDDEDSENQNTWAFESNNETAEVRNFLI >OGLUM02G34620.1 pep chromosome:ALNU02000000:2:33925440:33925661:1 gene:OGLUM02G34620 transcript:OGLUM02G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAVRKLLLLLLLILALVATAAHAARAAPGTGANGDAVTRAARSLLSRASCCTHDGNTVGASCCPQQQLRP >OGLUM02G34630.1 pep chromosome:ALNU02000000:2:33926919:33936207:1 gene:OGLUM02G34630 transcript:OGLUM02G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Membrane protein,Tapt1/CMV receptor (InterPro:IPR008010); Has 447 Blast hits to 428 proteins in 176 species: Archae - 0; Bacteria - 0; Metazoa - 190; Fungi - 133; Plants - 49; Viruses - 0; Other Eukaryotes - 75 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT1G67960) TAIR;Acc:AT1G67960] MPLRSATRQLSFELLGEGGLAAADDADDDLSPRSLPDTTSDGQRRRRRRSKRKRGLRSPPIEEEEKEGTPRRGGVVGVSDLVSVSVVERESSDAERSAASCVTYVGVGVELRQRSVSGSGRVVSREDATSSCGSSARESAAAAAAVPEAAPAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIAITVWRVLKTRQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFILDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >OGLUM02G34630.2 pep chromosome:ALNU02000000:2:33926919:33936207:1 gene:OGLUM02G34630 transcript:OGLUM02G34630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Membrane protein,Tapt1/CMV receptor (InterPro:IPR008010); Has 447 Blast hits to 428 proteins in 176 species: Archae - 0; Bacteria - 0; Metazoa - 190; Fungi - 133; Plants - 49; Viruses - 0; Other Eukaryotes - 75 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT1G67960) TAIR;Acc:AT1G67960] MPLRSATRQLSFELLGEGGLAAADDADDDLSPRSLPDTTSDGQRRRRRRSKRKRGLRSPPIEEEEKEGTPRRGGVVGVSDLVSVSVVERESSDAERSAASCVTYVGVGVELRQRSVSGSGRVVSREDATSSCGSSARESAAAAAAVPEAAPAAWRPEANGGGKKLEKEDSLDWERYMKENGNVLGEVERLDNSPFRYFLGELYGGNSLRGTISAGNDKKRQRVYNTMFHVPWRCERDSLSAWIHFYLYSPLCLQELPLQSGGQFLRPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSTCSTDNATFELMRFILDEAIAAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITSFLLFVLAQNILEAEGPWFDSFLINASLVFMCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKTDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAVFKILVGLVLRCLATWYVNLRLKNKQHVD >OGLUM02G34640.1 pep chromosome:ALNU02000000:2:33932587:33943127:-1 gene:OGLUM02G34640 transcript:OGLUM02G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT4G36630) TAIR;Acc:AT4G36630] MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYAPPGHAGGGIPRDGPYALERQQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVAIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQKLVETENCILAVLARSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKNVFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKTEKEFEQKIVPVASQYPGIQKATKVRGARMGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVNSVKRIA >OGLUM02G34640.2 pep chromosome:ALNU02000000:2:33933204:33943127:-1 gene:OGLUM02G34640 transcript:OGLUM02G34640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT4G36630) TAIR;Acc:AT4G36630] MVHSAYDAVELVSGVPGDIVAVAAYAGRLLVAGKDGSLRIYAPPGHAGGGIPRDGPYALERQQPSLWRRGTPLAMEVSAGRELLLSLAECVNLHRLPGLETVAVIGKTKGANLFAWDDRRGLLAVGRWKRLTIFRLDSGREFVEVKEFGVPDTVKSMAWCGDNICLGIRKEYMIINSMTGALTEVFSSGRNAPPLVVALPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVAIHRPYAVARLPRHVEIRSLRAPNALVQTVVLRDVQKLVETENCILAVLARSVYGLLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDNGSYEEAMEQFSDSHVDITYVLSLYPSLVLPQTHIIGEHDRLQDLPELARESSDVTDDMEPYSLQLHESDDKSPLEIKKMSHNALIALVKYLHKKRNGIIERATAEVTEEVVSGAVHHSSILSESYRSKKPNKKRAQTHTSSIAREMATVLDTSLLQALILTGQSSGAIELLKGLNYCDLKICKEFLEERSDYMVLLELYKSNEMHREALQLLNQLVEESKAEMGKNVFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSDTIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSVSGINPNLQNELVQLYLSEVLDWHKILKEEGNWTEKTYSPTRKKLITTLENNSGYNTDILLKRLPQDALFEERAILYGKINQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKTEKEFEQKIVPVASQYPGIQKATKVRGARMGKKVVEIEGADDVRFSPSGTDSGRSDGDGDGDDVSDGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVLFLEPLLRNSSEHRRNYMVIKNLIFRANLQVKEDLYKRRQAVLKIDGDSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGVT >OGLUM02G34650.1 pep chromosome:ALNU02000000:2:33945688:33948323:1 gene:OGLUM02G34650 transcript:OGLUM02G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRRTAAASATATVTKVIIMEAPETGPRAVAAAVVAAARRSTRPIVSYLRLLLNRLRDTSDEQVINRSNDAWNLYHRLTGSSRRPAIAGHGAFPTGDTLDCLLRPRYFIPRRPLFQNCSLYRVLCQVRRASPSWKELAIGLGLAAAVVGATLLVRYYGEEAKRRLVADLYTTAYLKGEFDRFDRNGDGFITSEELGELLSCLGLNHTEAELQAMIEEAAPDGNGAIDFHKFLTIAHNWVIRDYHDAEEESIEAFQLFDRN >OGLUM02G34660.1 pep chromosome:ALNU02000000:2:33956998:33960024:-1 gene:OGLUM02G34660 transcript:OGLUM02G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMRALERLASWPTPPPPPPPPPPPAKAAAAEAERPCPPSSATTTSSSSSSSASAAVAGPAAAGAPHVYPLRDFPGREAAGLGGAFRDNVRWLLRQWGGASPVAGGPGSSSSAAAAVAVAWRVLLSDDRTGVVVPIFAVEEPVASSPKPLCDYCRWAGWSHHWVSKRKYHFIIPAPVDWDRQLAADVILGRTDHLLHGLIHSNGFGHLVMLRGRDGGSTALSGRDIMDIWDRLCSALRARAVSVVDFSQKRSLDLRLLLSVAHGDTWFTRWGYCLARGCFCVSTSTYAASVEALAALPVDYLRSRHVRRVVTIYRRLSNKPLVTVREFLRCLLDWKHLEPPLQLPPVKTCTRLQFMLPKPSVMKRHRQQPCQRFEDVIDLLQCRWSKKRLLDAAEVVVDRLLEHGSGAEMTRQAVRDAARGAIGDTGLLDFVIKSLGDTIVGNYIVRRVPDAETRVLHFSLEEYEEPTPALLDVEVECTPLPPVVRWPSTVEVERDLRAVYRAMVEVRSEAAQAVLDCKHWVKWWGLGDESDDQLRFFVEWQPQPWEAAELIRPMPLGEIVVVPLHASMGELLVESEHALRDTYYFFEEFQAEGLHGIAGEKWDPVMLGGAENGDTISVYGNGADMETELRCHGGLDLWEVRCVCGAQDDDGERMIACDACDVWHHTRCVGIADSEPVPPLFLCVLCGGALMAAGPINS >OGLUM02G34670.1 pep chromosome:ALNU02000000:2:33964606:33967222:-1 gene:OGLUM02G34670 transcript:OGLUM02G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTLRPSGEAAKKIADALRKTRGVFSNAYRTVRIRVKGTKVEEILDKLEKLLNLYKIVHSDTSDSTRTQNRAGHPRLPARHPSVRPKLVFVFNGRALKLWIERLRLKLASYATPSKRMFLIVSAGVAVSVFALYAVHCNYRGRLGGGGGGEVEGLRLILVAAPSSMPWPRYLAVKNSGEKLVPRQDGGGGGGGDQVKIDKLRTAAGEFVSQKSSSVFGKKKVEPVVKDAAVPGETSLISTLFAKKNVGAVLMDKEAPWKASPVAIESQYSRTGDPDCF >OGLUM02G34680.1 pep chromosome:ALNU02000000:2:33971027:33971640:-1 gene:OGLUM02G34680 transcript:OGLUM02G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLRMVFSRAAVVGVRDGGSTSGGELRHACACRAPACFDMCFYGVPGCSLEVVCAPAELERRRQAEELSGGDGWRRLAPVFGLPRKGGRQLKTYLRMPHVRIIAPKLTRERGIEGISRQPEMRKASSIFKTAATSLAQWLGQRSTGWMGTAMRRKAALWTPGATTSSTQVFDLCHIETQEHG >OGLUM02G34690.1 pep chromosome:ALNU02000000:2:33984735:33988320:-1 gene:OGLUM02G34690 transcript:OGLUM02G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRRGGGGGGGEGGVAVAVALAVMLVVGAAAGDTAASDAAAMRAVARALGADKALGWSTGDPCSSPRAWAGVTCDSAGRVTAVQVGNRSLTGRLAPEVRNLTALARLELFDNSISGELPSLAGLSSLQYLLVHNNGFTRIPPDFFKGLTALAAVSLDNNPFDPWPLPADLADCTSLTNFSANTANVTGALPDFFGTALPSLQRLSLAFNKMSGPVPASLATAPLQALWLNNQIGENQFNGSISFISNMTSLQELWLHSNDFTGPLPDFSGLASLSDLELRDNQLTGPVPDSLLKLGSLTKVTLTNNLLQGPTPKFADKVKADVVPTTERFCLSTPGQPCDPRVSLLLEVAAGFQYPAKLADNWKGNDPCDGYIGVGCDAGNITVLNFARMGFSGSISPAIGKITTLQKLILADNNITGTVPKEVAALPALTEVDLSNNNLYGKLPTFAAKNVLVKANGNPNIGKDAPAPSGSGGSGGSNAPDGGNGGDGSNGSPSSSSAGIIAGSVVGAIAGVGLLAALGFYCYKRKQKPFGRVQSPHAMVVHPRHSGSDPDMVKITVAGGNVNGGAAASETYSQASSGPRDIHVVETGNMVISIQVLRNVTNNFSDENVLGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWKEHNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLSKDTFQKAIDPTIDLTEETLASVSTVAELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPSDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFLASLDNTQTSIPTRPPGFAESFTSADGR >OGLUM02G34700.1 pep chromosome:ALNU02000000:2:33996633:33999015:1 gene:OGLUM02G34700 transcript:OGLUM02G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT1G14730) TAIR;Acc:AT1G14730] MAIGGGIIGGGGHHSAVAARVAAAAHVLFLTTAVLMLVWLLHFRGGINIQSDDPEQIFNVHPFVMCWGFILLIGEAILAYTTIPMDHRTQKMVHMLVHLVALILAIFGVYAAFKFHDAAVAPDLVSLHSWLGILAVALFGLQWLFGFFAFWLPGTHERTRAAAAPAHVAAGLAIFMLAVCAAETGLVQKSAAAASAGEAKLINVTGIFILLYAVAVAVAVALRKAFFY >OGLUM02G34710.1 pep chromosome:ALNU02000000:2:33999903:34002249:1 gene:OGLUM02G34710 transcript:OGLUM02G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYIDAATLNAVNSFDWPHGGKTLQRRVIQGGLIRVQRAGGQQPSPPPSPANAFFPLRARSLASEEGCQEGERGSEEASVAPFPVVHSSVDREDGTPRNEEVR >OGLUM02G34710.2 pep chromosome:ALNU02000000:2:33999898:34000928:1 gene:OGLUM02G34710 transcript:OGLUM02G34710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRNACYVGDEVVPISFNMDSRVDAATLNAVNSFDAEPVLIPVHGDEPALHKAAPGSRPLLIHHRWKMESILDHMLREAGVVIQQQQAEEKNSSGGTAMVPPPPCPGSSVTCYVCFEEVSPDADQL >OGLUM02G34720.1 pep chromosome:ALNU02000000:2:34006937:34012762:-1 gene:OGLUM02G34720 transcript:OGLUM02G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G06160) TAIR;Acc:AT5G06160] MASTVLEATRAKHEDMERLERLAVRELQREPANARDRLYQSHRVRHMLDLVISTSGKLVEIYEDKDNARKDEISNHLSSTVQAEIFPKFYDRLKEIRDYHRRNPSARFVSATDDFEELLKEEPAIEFTGEEAFGRYLDLHELYNEFINSKFGTPMEYSAYVGTFSHVEKMAQNLKTSRQYREYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEEQWTNGEVPGWESKDPEKESAQESVIDLDYYTTVEELVELGPEKLKEALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKGSHSSVSNATSNGNNFKDNLKKEIALMEVKMRRLCELLDEIIVRTKENAEKKLTLTYEEMEAEREEEEVQADSESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIQEAKELWEKIQQRQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >OGLUM02G34730.1 pep chromosome:ALNU02000000:2:34015873:34016255:1 gene:OGLUM02G34730 transcript:OGLUM02G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILAPLWLDLQPPPLDLPPPGQAHLHLAVFIGHRLLSQTNTSLFCTLCPHSSGPGCHSLDPLSLLLDPPPSLLDLPPQQLDPPS >OGLUM02G34740.1 pep chromosome:ALNU02000000:2:34019769:34026483:1 gene:OGLUM02G34740 transcript:OGLUM02G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGGGGGGEAAAAVAVEGDEAGKGRRWWRVKLKLSTVAVVAWVLASAALWAGLHWRFRRAALHKAEEALVCMCEERARMLQDQFAVSVNHVHALAILVATFHYDKHPPALDQDTFAVYAARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQETISYIEGLDVMSGEEDRENILRARATGKAVLTRPFRLMSNHLGVVLTFPVYLVDLPNDTAVEDRVAATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNHSNPLVMYGSEVPLGIPSPSHTYTLDFGDPLRKHQMVCRYRNKLHVSWSAITTPSGVFVICMLVGYIIYAAWSRYDNVKEDCRKMEALKKRAEAADIAKSQFLATVSHEIRTPMNGVLGMLDMLLDTELKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKITIFTLSQFTERGHIFVQVHLADHSNLATEAKIEPVVNGMNGHKDEAIAIPTSGSHNTLSGFEAADSRNNWENFKLLLSYEKNEMPYESDSDKVTLVVSVEDTGIGIPLHAQGRVFTPFMQADSSTSRNYGGTGIGLSISKCLVEIMGGQINFVSRPLVGSTFTFTAVLRRCDKNAISDSKTVALHPLPSSFKGLFALLVDKRPVRATVTKYHLQRLGITSEVVGTIDPTFGVLSGRNGSSLTSIGKKQPCMLLIESDSWGPQMDVSLHARLQEMKQSDRIHVLPKVFLLSAAESDKVKKIHAVDSVIPKPLKASALAACLFQALGITQPSHEKRDDSGSLHGRDGSGSLHGLLLGKNILVVDDNKVNLRVAAGTLKKYGAKVECVESGKDALSLLQVPHKFDLCLMDIQMPEMDGFEATRQIRAMEGKANEQADDSESSSEIAAKTAKWHLPILAMTADVIQATHEECTKCGMDGYVSKPFEEKQLFQAVQKFLGPSVSS >OGLUM02G34750.1 pep chromosome:ALNU02000000:2:34030377:34034502:1 gene:OGLUM02G34750 transcript:OGLUM02G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRPRLPNPTNQPPPRQQKDPQFPRKTLEFDPASRRVGCSPMEAAAAAAAALLSPPAAAAAAAPSRRPGTPGATSLPFARRRGVAAVKGLGRQQLTCRRRGVVVRAASWSPSGPESLPPPPSSSIAPLQMESPVGQFLSQILATHPHLLPAAAEQQLEQLQTDRDAAKDNGGDKPAPSDGDIVLYRRIAEVKEKERKRALEEILYALVVQKFVEAGVSLVPALSHSISSSGRVDQWAESVEGKLEKMHSQEAYEMIENHLALILGQRQADATVAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKTLPWGSEEEDMLNQVMTTDSRPSPQTSTSHPEMASWTSPNFSAGGPSQSVKPCRLRSYVMSFDSETLQSYATIRSKEAFGIIEKHTEALFGKPEIVITPEGTVDSSKDEHVRISFAGLRRLILEAVTFGSFLWDVESFVDTRYHFVAN >OGLUM02G34760.1 pep chromosome:ALNU02000000:2:34033395:34036824:-1 gene:OGLUM02G34760 transcript:OGLUM02G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLPKIQSPASPAVAEARRRRPSSLRLGVTSGPARTLKQKLVAKSAVSVVEGENAFDGVKQDTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDDITVEEIKKISPRGILISPGPGTPQDSGISLQTVQDLGPSTPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYEVKLDGTLFSGLPNPFQAGRYHSLVIEKDSFPHDALEITAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRLMVKNFIKIIEGYEALNCLP >OGLUM02G34770.1 pep chromosome:ALNU02000000:2:34040083:34042670:1 gene:OGLUM02G34770 transcript:OGLUM02G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLSYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OGLUM02G34790.1 pep chromosome:ALNU02000000:2:34057568:34058301:1 gene:OGLUM02G34790 transcript:OGLUM02G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQERPREVYRECMRNHAAKLGTYANDGCCEYTPDDGHPAGLLCAAGGPGAGGGAQTSSSTTRGGGDVGVGLSPAMGGDGEDDEEVRGSEMCM >OGLUM02G34800.1 pep chromosome:ALNU02000000:2:34067857:34070526:-1 gene:OGLUM02G34800 transcript:OGLUM02G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase assembly protein CtaG / Cox11 family [Source:Projected from Arabidopsis thaliana (AT1G02410) TAIR;Acc:AT1G02410] MPPPPPPSLARLHQRLSLSLLRGRSPPAAADAFLRRGLASSASSSSSAAAAAAVAAAAAGREKSSRRTLAYLLGVAAAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTSREIIVQFNADVADGMPWKFIPTQREVKVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >OGLUM02G34810.1 pep chromosome:ALNU02000000:2:34077420:34077791:1 gene:OGLUM02G34810 transcript:OGLUM02G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSRLLAIFLAVNLVALHAGVARGQQSPPSSTRGNPCPTSALADLKVCADVLVLLKLKINVPASQQCCPLLGSLVNLDAAACLCAAIRLSVLGIPVNLPLDVPLVLNYCGRNASAAGANCS >OGLUM02G34820.1 pep chromosome:ALNU02000000:2:34081871:34086797:-1 gene:OGLUM02G34820 transcript:OGLUM02G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVAAELLYGMPAMPLSWPGPDGIGGGGGGAEAAGGCGALLAELRQLWGEIGKSREERERTVRELEMECMRVYRRKVDEATAERASLHQSLAAGEAEIAALTAALGADSSPQLKVNKWTMSLNDRVSAATNLLEELRAMKAERSKQFTAIRSEIDKISTEISGRSYGYDNSPRASEFDEHDLTIRRLNEYRARLSSLQKEKSDRLHRVLEHVTEVHSLCDVLGEDFIAIVNEVHPGLHETADPGKPTSISDSTLASLSQVVAMLTSEKTKRAAMLREAVVPLVELWDLMDSPEEERRSFRKATAVLRPAREEALSSGVLSIATIKKTEEEVERLTRLKAGRMKELVLKRRLELESICRSMHVEPDTSTVPEKSIALIDSGLVNPSELMASIDEQIAKAKEEQQSRKEIMDKINKWLLACEEEKWLEEYNLDENRFNTGRIARLNLKRAEKARLIINKIPAMIDNLMSRTLVWETERNKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLFSEKEAMPHLKRPGSSFGRTTEPCNMSRKRVDAAPPSVRSSSGSSGSSGGGAADPFRPRSSAAAGSGAGHCGEFFRSGGARRLSAAAPFNYVAVSKGGGGGGGLSSSMMS >OGLUM02G34830.1 pep chromosome:ALNU02000000:2:34093616:34101435:1 gene:OGLUM02G34830 transcript:OGLUM02G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPADDPLLADCKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSEHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGFPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQLVGYGQNSVDSLSIYNTSWYLGGTWQLLRFRNPVLFIKGPLNFHSIQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFNDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQNGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRAVRQKLILTIESDSD >OGLUM02G34830.2 pep chromosome:ALNU02000000:2:34093616:34101435:1 gene:OGLUM02G34830 transcript:OGLUM02G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPADDPLLADCKYKLNHFRIKELKDVLHQLGLPKQGRKQELVDKIIAVLSDQQEQDSRLNGLPNKKMVGKETVAKIVDDTFAKMNGSTNAVPASRNQTDSEHIVKPKRKSDDSAQLDVKVRCPCGYSMANDSMIKCEGPQCNTQQHVGCVIISEKPADSVPPELPPHFYCDMCRITRADPFWVTVNHPVLPVSITPCKVASDGSYAVQYFEKTFPLSRANWEMLQKDEYDLQVWCILFNDSVPFRMQWPLHSDIQINGFPIRVVNRQPTQQLGVNGRDDGPVLTAYVREGSNKIVLSRSDSRTFCLGVRIAKRRSVEQLVGYGQNSVDSLSIYNTSWYLGGTWQLLRFRNPVLFIKGPLNFHSIQVLSLVPKEQDGENFDNALARVRRCVGGGTEADNADSDSDIEVVADSVSVNLRCPMTGSRIKIAGRFKPCVHMGCFDLEAFVELNQRSRKWQCPICLKNYSLDNIIIDPYFNRITALVQSCGDDVSEIDVKPDGSWRVKGGAELKGLAQWHLPDGTLCMPTDTRSKPNIRIVKQEIKEEPLSEETGGRLKLGIRRNNNGQWEINKRLDSNNGQNGYIEDENCVVSASNTDDENSKNGIYNPEPGQFDQLTSNIYDLDSSPMDAHFPPAPTEQDVIVLSDSDDDNVMVLSPGDVNFSSAHDNGNAFPPNPPEASGICGEQPRGAGPDVTSFLDGFNDLELPFWESSSSQDAAGTQVTDNQCEMQNFIVNHQFLHEPILGVNLGGTAASNTLECEHDGALQACQSSDQNGDQNQTCHDGHSGDLTNLSIISTQDSLTNGKNASQKRTNCEDGTAGLDGSVVRSANGLRGEMPPLGQEQDRAVRQKLILTIESDSD >OGLUM02G34840.1 pep chromosome:ALNU02000000:2:34101853:34102183:1 gene:OGLUM02G34840 transcript:OGLUM02G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATPCDPPSGTGSAHRWRRDGPGRRKADKGGEAHAPQTLSKLAFADAAAVIRAHLDHRIRDWGAKIVSGLGPSRSGVSEPASSTTAASNFRSGELSGAIIRGSISA >OGLUM02G34850.1 pep chromosome:ALNU02000000:2:34103879:34111620:-1 gene:OGLUM02G34850 transcript:OGLUM02G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVHGGSTRRGISVIPFFAFRWTANPVIRVYKRISPHFPSKKQASAHRSNKATCFVLLAPPLLLPPISPLLRRRLPELAPPRSLCPRLAMALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVQGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGLGATPIMELMIAARKVVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSGKDDEILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGAATILEDMKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPACTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >OGLUM02G34850.2 pep chromosome:ALNU02000000:2:34103879:34111620:-1 gene:OGLUM02G34850 transcript:OGLUM02G34850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVHGGSTRRGISVIPFFAFRWTANPVIRVYKRISPHFPSKKQASAHRSNKATCFVLLAPPLLLPPISPLLRRRLPELAPPRSLCPRLAMALQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVVQGAYDKVAVISGGGSGHEPTHAGFVGPGMLTAAVSGDVFTSPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLAEVAAEAKHASEVVGTMGVALSVCTLPGQVTSDRLGPKQMELGLGIHGEPGVAVVELQPIDVVVEHVLKQILSQETQYLPITRGSNVVLLINGILTYDEQLPYTVVPDRLIPSLKCRLGATPIMELMIAARKVVPELQLEYGIAVDRVYTGTLMTSLDMAGFSITIMRSDENILQRLDAPTKAPAWPVGSEGNRPPAKIPVPVPPSPSGKDDEILTEPQELSKQGCILEAAIEAGAKEIINIKDNLNEWDSKVGDGDCGTTMYRGAATILEDMKKRYPMNDAAGTINEIGSTVRRVMGGTSGILYDILCKAAYASLKQNTSIGANEWADALEASVAAVSKYGGASAGYRTMLDALIPACTVLKQSLKAGDDPVTAFIASSEAASAGAESTKQMQAKAGRSSYIAPDLVASVPDPGAVAAAAWYRAAAHAVKSKLHASDS >OGLUM02G34860.1 pep chromosome:ALNU02000000:2:34115709:34118578:-1 gene:OGLUM02G34860 transcript:OGLUM02G34860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMQAAEAAGRISALLSLLALRRLIALLQPLALLLLLPFRRQASARREIAARRAREAGREYELIPTHRGETLFTQCWWPHGSSSAIKPRALVVVMHGLNEHSGRYDHLARRLNDIGVKVYGMDWTGHGGSDGLHGYVQSLDHAVSDLKMYLKKILAENPGLPCFCFGHSTGGGIILKAMLDPEVDSCVEGIFLTSPAVRVQPAHPIIKVMAPVFALIAPRYQFTASHRNGPPVSRDPEALKAKYSDQLVFTGSIRVRTGYEILRLTSYLQQHLHRITVPMLVMHGADDMVTDPQGSQKLYEEASSSDKSLNLYNGLLHDLLIEPEKEKIMDNIVDWLSPRI >OGLUM02G34870.1 pep chromosome:ALNU02000000:2:34124325:34130431:-1 gene:OGLUM02G34870 transcript:OGLUM02G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIDLVLKFLQKNRFAKAEAALRGELNGRGDASNGPTVQRRVAEPKEDDEQDGSEAGSNAGPRGAASVRSADSSREFIVKEIDVGGLPNGSDGRKGLGIGLAQENNTGDLYPWNFSIANSTMEQLAELLVSEEVPRHRRGAVVAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDVAGTGRNEINEPGHSRDKNLVPEKEELLNGCTVKTVLPFPAENPSSSYHTAHHDGNERKDAKKSIDADCVGKATKGQLDEGNRQYYSGKSQENADQVADRCFDLQLIGDNQREELPKLPPVRLKSEDKLVNMNWEEKVNHNGSGAKHPSADHAFMIGSYLDVPIGQEITPSGGRRTISNNWFSVSQGIAEDTSDLVSGFATIGDDSLEYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTSGPDRHDRPTKDDDDDQSFVEEDSYISGEQYFHGKNIAQIGTSEGPMGHGIPDNDLIAQYDGQLLDPEELNLMHSEPVWQGFVSQNSELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESNLSVSGKRNSQQETEKKRINAKGAKQEQLNFIDNQKGVLPPGASYADGGFSFPPPLHSGKNVESDVKPLWSKKVDMYTINDPDDLQNGMVSDDMLATWRKKNSESSLRSSRDEMASDVVRSRNSSASSALNNTYDEVDDTMNSRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDMWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >OGLUM02G34880.1 pep chromosome:ALNU02000000:2:34132979:34136697:1 gene:OGLUM02G34880 transcript:OGLUM02G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGLRKALALLGPKTRGAWRAWREERGVVVRFGQGRSIGRAASAFSFSHFPLLPSCPASSAGAAPHVRGPTDGDRRPYPYRPRRTSPSGSGIVNYRFESSSLTAKRNRGIIQEKKRAGLQAHPDPGWSRRQLSECCSSGACQWRWLAHEHSPVQPDNRTASAEKRDTKFQFQEALVLSNTSPRSILLITSSLCHPVNFVMAAFHSEHHPNSSAPNMGGISGLGL >OGLUM02G34890.1 pep chromosome:ALNU02000000:2:34135201:34139193:-1 gene:OGLUM02G34890 transcript:OGLUM02G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome A [Source:Projected from Arabidopsis thaliana (AT1G09570) TAIR;Acc:AT1G09570] MSSSRPTQCSSSSSRTRQSSRARILAQTTLDAELNAEYEEYGDSFDYSKLVEAQRTTGPEQQARSEKVIAYLHHIQRAKLIQPFGCLLALDEKTFNVIALSENAPEMLTTVSHAVPSVDDPPKLRIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEVLCNTVVKELFDLTGYDRVMAYKFHEDDHGEVFAEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSIKIIEDESLHLDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEDDDEVGADQPAQQQKRKKLWGLLVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELERQVREKSILRMQTMLSDMLLRESSPLSIVSGTPNIMDLVKCDGAALLYGGKVWRLQNAPTESQIRDIAFWLSDVHRDSTGLSTDSLHDAGYPGAAALGDMICGMAVAKINSKDILFWFRSHTAAEIRWGGAKHDPSDKDDSRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLSDDIKPTRAASLDNQVGDLKLDGLAELQAVTSEMVRLMETATVPILAVDSNGLVNGWNQKVAELTGLRVDEAIGRHILTVVEESSVPVVQRMLYLALQGKEEKEVKFEVKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIIHNPSPLIPPIFGADEFGWCSEWNAAMTKLTGWHRDEVINKMLLGEVFDSTNASCLVKNKDAFVSLCILINSALAGDETEKAPFSFFDRNGKYIECLLSVNRKVNADGVITGVFCFIQVPSHELQHALHVQQASQQNALTKLKAYSYMRHAINNPLSGMLYSRKALKNTGLNEEQMKEVNVADSCHRQLNKILSDLDQDSVMNKSSCLDLEMVEFVLQDVFVAAVSQVLITCQGKGIRVSCNLPERYMKQTVYGDGVRLQQILSDFLFVSVKFSPVGGSVEISCSLTKNSIGENLHLIDLELRIKHQGKGVPADLLSQMYEDDNKEQSDEGMSLAVSRNLLRLMNGDVRHMREAGMSTFILSVELASAPAK >OGLUM02G34900.1 pep chromosome:ALNU02000000:2:34146922:34149721:-1 gene:OGLUM02G34900 transcript:OGLUM02G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCCSGAEEELHGALAANPAAPPPRAPGPPRGPNAPRAGGAPAKVLPIDVPAVALAELNRLTGNFGDRSLVGEGSYGRVYRATLSTGEAAAVKMFDNNGGSGQSEADFCAQLSVVSRLKCDHFTQLLGYCLELNNRIVLYEFATKDSLYDILHGKKGVKGAEPGPVLTWSQRARIAYGAARGLEYLHERAQPPIVHRDIRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNDEYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLISARPGDH >OGLUM02G34910.1 pep chromosome:ALNU02000000:2:34151891:34155237:-1 gene:OGLUM02G34910 transcript:OGLUM02G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTVVRAEREEESTLEQGLLAIPEESNQLTYTGDGSVDFSGNPVVKERTGRWRACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTAWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMAVLTLSASVPTFMPPPCEGSFCPPANPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPVERIQKGSFFNWFYFSINIGALISSSFLVWVQDNIGWGIGFGIPTIFMGLAIISFFSGTSLYRFQKPGGSPITRVCQVVVASFRKWNVHVPEDSSRLYELPDGASAIEGSRQLEHTDELRCLDKAATITDLDVKADSFTNPWRICTVTQVEELKILVRMFPVWATTIVFSAVYAQMSTMFVEQGMMLDTSVGPFKIPPASLSTFDVVSVIIWVPLYDSILVPIARRFTGNPRGFTELQRMGIGLVISIFSMAAAAVLEIKRLDIARAEHLVDQNVPVPLNICWQIPQYFLVGASEVFTFVGSLEFFYDQSPDAMRSLCSALQLVTTALGNYLSAFILTLVAYFTTRGGNPGWIPDNLNQGHLDYFFWLLAGLSFLNFVIYVICANKYKSKKAA >OGLUM02G34920.1 pep chromosome:ALNU02000000:2:34166597:34173745:1 gene:OGLUM02G34920 transcript:OGLUM02G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYV5] MVLSHGVSGSDESVHSTFASRYVRTSLPRFRMPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRMWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPAKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKTGRFNIVSKDNGVPLVAFSLKDSTRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDVEKVLHELDALPARVVANGEAAAAAASEREMEKQREVISLWKRAVLAKKKTNGVC >OGLUM02G34930.1 pep chromosome:ALNU02000000:2:34186986:34187198:-1 gene:OGLUM02G34930 transcript:OGLUM02G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNPYVPFDPQLIDTDRPRWPVLLLVRGGHRRGAVSCHGAHQLAGYRTGATLANPLAFLRLRRGATEAT >OGLUM02G34940.1 pep chromosome:ALNU02000000:2:34206866:34209249:1 gene:OGLUM02G34940 transcript:OGLUM02G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRGHWRPSEDEKLKELVARYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEELLLASHRAHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRLSNRRGGAAAGAAKGDESPARISNGEKTTTRPPATNGSGMAMASLLDKYRRECGAAGLFAIGRHHNSKEDYCSSTNEDTSKSVEFYDFLQVNASSSDTKCGSSIEEQEDNRDDDQAEGQVQLIDFMEVGTTTRQ >OGLUM02G34950.1 pep chromosome:ALNU02000000:2:34238122:34261291:1 gene:OGLUM02G34950 transcript:OGLUM02G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQEASAEEALRAAAAEVSTSSTTRRLRLFRHTLPHLLAKASESPSDTTLLVDLIFQTLLIYDDRASRKAVDDMVIQALGESTFMKPFAASLVQCMEKNMKVTSPLACFKLLRWSCYLLNLSQFAMLSKGGFSRLANAQAVLCQVLMDGSFRQRRTCKQLFTRLFSESMGTYKMYIDEIRDSRIPVRDSPAFLNIMLDFAITSPSLYAEYKPLFLDLYVKTILGSKDRPSQASAEAFKPLFLDMGHEDFKNIIVPSCIKMLKRNPEIVLQSIGYLLNTVRLDLSKYCMEFLPVVLHQARHSVEERRTIALSTVGTLSGKSSDPDTLLSMFNAIKAILGGSEGKLSIPYQRIGMINALEQLSRSPPKQISRLAPSLSSFLLTCYKDDGIEEVKLAVLSALGSWASVSTETVQPDVVSFIAAGLKEKDTLRKGHLKLIRAICKKSDSLTKVTSLLDQLIQLSKTGFTKATQRLDGIYALFSVSRLAAIDTKADAAVLKEKLWTLIAQNEPSLVSVQLFSKLTDEDCLTIMDLLQSLFVEHHSRVQEFFSVQSLLQLLLYLVCHPCWEVRKMSFDATKRILSSSIGLAEDLLFLFTNWLSLVGERMSILKQSDTDSTADSQLPFIPSTEVLVKCLLLIAPYAVGHSPISYSQLLLCSHHPCISSSDRSAGVWKRLLRRLKQQKIFFIELISPNISVICKELLSPNGLFSSNKQVQCAALNSLSTLMTITPSDAFLEFEKHFIGLPDRILHDGFSENDIKILYTPEGQLSTEQGIYVAEAVESKNTKLAKGRFRAYDDQDTDSARSGAPTKSDRRESSSIGKRETGKSTKKTAPADKAKTAKEEARDLLLKEEASVREKIGHVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVEPLLSSPIVSDAAFRAMLNLARCTAPPLCNWAPEIAAAIRVIAVDDFEMVMDLMPVIVEEDSNKKSSPGLFEQIVTGMTVACKAGPLPADSFTFVFPVLYHVLSTVPAYHPSVGPMLNELCLGLKSNDLAQALVGVYAKEVHVRLACLTAIKCIPSHSVQRDLQVVAELAEELWDRFGFDVFTDYSGIFDALSHKNYNVRAAAAEALAAALDENLDKMQDTLSTLFSLYIRDLGAGVEFGDIHWLGRQGVALALHSLADVLGSKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDKHGKENVPLLFPIFESYLNKKASDEEKYDLVREGVVIFTGALAKHLSKDDPKVHSVVEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEAQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIAAILRQGLEDRASAKSREGALLGFECLCEKLGKLFEPYVIQMLPLLLVSFSDQVLAVRESAECAARAMMSQLTGHGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQQVGSVIKNPEISALVPILLSALTDPNNHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVDTKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVAARALGSLIIGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGKDYFDQILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQIVLPAILDGLADENESVRDAALSAGHVFVEHYATSSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAHGRAIIDVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPDASRRQGVCIGLSEVMGSAGKHQLLSFMDLLIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDETSATALDGLKQILSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVVLVIDEEGIETLIPELLKGVNDSQASMRRGSAYLIGFLFKNSKLYLADEAPDIMSTLITLLSDTDKATVSAALEAFSRVVGSVPKEQLPTHIKLVRDAVSTARDKERRRRKGVPILVPGLCLPKALQPFLPIFQQGLISGSAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIIAKGGLALKPFLPQLQTTFVKCLQDNNRSVRTRAASALGKLSALSTRVDPLVSDLLSMLQSGDDAVKESVLSALKGVVRHAGKSVSPVVRSRGCDLLKDLLQADADDVRSSAAKAIGTLCQYMEENETSDLVQTLLNMGTLPDWCTRHGALLTFCSISMHCSSKLCRSMSFPSIVDLLKDSLKDDKINNPALATHLSILGPAIAEALKDTNTPVRVAAERCALHVFQLTKGADNVTIAQKHLNMTGLEVRKIAKLPEESDGSESSDDDKKT >OGLUM02G34960.1 pep chromosome:ALNU02000000:2:34261553:34263366:-1 gene:OGLUM02G34960 transcript:OGLUM02G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRWGIGGRAAEETEPARFAFADALPAWPQGGGFATGRICVGELELAAVTAFEKICALSATKGGGGGITFYRPVGVPEGFSVLGHYCQPNTRPLHGHLLVAKAVAGKPESESLPPLRPPHDYELVCAFRADGVGEDRKSCRGYGRTGAYFWLPVPTDGYRALGLLVTAEPDKPPLREVACARADLTDECEPHGSLLQLQLVGQSACWSSSTVPAAFALRGIRPTHRGMWGRGIGAGTFCCGAVGLSPREQGMACLKNVDLDLSAMPTLEQAHAVIRHYGPTLYFHPKEVYLPSSVSWFFKNGAALCKKGEDAAVELDGEGSHLPCGECNDGEYWIGLPDGKRGESIIYGDIDSAELYAHVKPAMGGTCTDVAMWVFCPFNGPARFKLGPITIPLGKTGQHIGDWEHFTLRVSNFTGELMAVYFSQHSGGRWVDASALEYTAGNKPAVYSSRNGHASYPFPGVYLQGSAALGIGIRNDAARSELAVDSSAKYRIVAAEYLGEGAVEEPRWLNFMRVWGPTVVYKSRQRMERMTSAMHRRLRSPAERMLNKLPNELSREEGPTGPKEKNNWEGDERW >OGLUM02G34970.1 pep chromosome:ALNU02000000:2:34264157:34266973:-1 gene:OGLUM02G34970 transcript:OGLUM02G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALSSLRYGDSLSVVAISGATAVLCEAISWLLIYRTATYNSLRATIERHSRKLDAMKAGASNSSSSSAGAGASGSSQPAGSSSSRAKKMDRVETSLKDAARELSLSKLKSGAVVAAVLFVVFGLLNSLFEGRAVAKLPFAPVPLVQRMSHRGVPGNDPTDCSMVFLYFLCSISIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >OGLUM02G34980.1 pep chromosome:ALNU02000000:2:34270503:34270889:1 gene:OGLUM02G34980 transcript:OGLUM02G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEAEGKVRRTRRLTPEQIDRAIAFERRPFRSKLLDMLDVPGSVIDDGMNQHLRETLAKAARHVEKMHDIRMEYKERVLRRFVLHGYVDVTDDEDDQVGDDDDDDDDDKDDPPASVIRPLNSAPVD >OGLUM02G34990.1 pep chromosome:ALNU02000000:2:34273008:34275746:-1 gene:OGLUM02G34990 transcript:OGLUM02G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFGTESSSTGGSSSTSTGDSPHCWSPGTNVQPGAGVGLNSICFASSGDGPARLGVAPFENGQYVRLLNRGRGGYLFADESGVRVRTDCRRGLINTVWCVQILGGDTPHILLRGAYGRYVAGTPLGADEGHIGILVTQRVLETMDTNVMWRTVPGPRGGGVVLINASSFNGGLRALRTNGKYQRWNTGVSLQCIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGAAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGPQNLSVPGRSLIELGSVLEDRLGSNFRFRNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGYRRLRFPDIDAA >OGLUM02G35000.1 pep chromosome:ALNU02000000:2:34279525:34280226:1 gene:OGLUM02G35000 transcript:OGLUM02G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARPAPSWGLLDSVVRLHKVGERTEPDWAVIECSETRAYVTLYNGHDRPDGASPFRLSPFDGLELLVRVADDPPYPTALSIRLHGDPDKDVRRGTIGGVLLADGGFVVLSSCLPDARGSSSYIVFDAANASLAMIRANLSLTFLLSVTYTPLPIRRTDGGGYVLALLASDANRKDVVCLMPSPLPFDQPWQLKSPLFPPEKPTWFNADEEFSSQGRAFWVSLGRGVLFCDH >OGLUM02G35010.1 pep chromosome:ALNU02000000:2:34280344:34283191:1 gene:OGLUM02G35010 transcript:OGLUM02G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVGDSLRFVSIEGYTTVHSRDMVLCMWTLVIPSSSSSSSSGDQWRKVGEICVGRLREQEGFKNARLPTHRPPTKPMLSSHEDGVVYFMLSDRHKGEDAAKYIYAYLKEP >OGLUM02G35020.1 pep chromosome:ALNU02000000:2:34283446:34284240:1 gene:OGLUM02G35020 transcript:OGLUM02G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYW5] MSSSQGGGGRGKAKTTKAVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGTVTIAAGGVLPNIQQVLLPKKGGGKGDIGSASQEF >OGLUM02G35030.1 pep chromosome:ALNU02000000:2:34284924:34285937:-1 gene:OGLUM02G35030 transcript:OGLUM02G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDASLQPIQSAKSAVESLAAVLGAALPGTLASADDPANALLHDAGVARAVVGRLRREGSGAGNDGLCRWLYDAFQSNLPEIQLAVLRFVPALAGVYMSRAVSRKPLAGFEAVLLALYAHAAAQRGSGEAETVSLPNLANPSVYHDAKVPPKTKAAELDVAVLSPALEPHGTMRATRRARIVGAVLELYHGKLAIMPLSSKMEFCEFCVAWTGNRSKLDDKPRVVAAPEPAAAEEKLRRVPLPWELFQPVLRIVAHCLLGPTNSDELKTQATRAAECMYWRAAETMDARSVLAARSLVRLSQMTEEPIPEPSFSGAVETNMAELEAMRANILSNKN >OGLUM02G35040.1 pep chromosome:ALNU02000000:2:34287820:34294322:1 gene:OGLUM02G35040 transcript:OGLUM02G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSSASAAHQGGDAPAEAPRRRGGGGKRKSGGSSFTPSKRHAKERNAAFHVPPHLLHSGPLTRAARQSPHKLAEEAAAAAAAGAGGSGAGGGKGGGDVIRLEGEEAPTEETPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHPIEKQMLPSFFNGKSDKRTPEIYLGIRNFIMLKFHANPQLQLESKDLAELSIGEADAHQEVLKFLDHWGLINFHPFLPAGQEESKPEEAHGKSQSEEKASVLEQLFKFESVQSYMIPLPKKGEVETPAPLPSLLPDPALVEDVVSAAEPSVEYHCNSCSVDCSKKRYHCRTQADFDLCSDCYNEGKFDIGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEALEIFGGKWTEIAEHVATKTKAQCMLHFLQMQIEDRFHGDGDINQNIQENTEQASAEKGAAEIPDKMEVEEKAEGKDTAGEKTPEKAEGNSVEAQTEDGNAIENKDANNSGGTDSVKSLNTDEPKKSSDADPPKISSDAEPVVKENSVDVDTSRENASNFAIDTLKSAFEAVGYFPEHEGSFADAGNPVMALASFLAGLVEDDTATNSCRSSLKAISEVSPALQLATRHCFILEDPPSDVKDMSGNASTTSTDGDKRKDKDKTQDSIDSEVEGINKKGETVLSVEGKKSSPISPKGQDTDKKDECDEDPSVDPKHNNGKESDDPVSLDKSVSNNKKGNTMETSNPEMIEDKASSEVNPADDSSLEGKVEMKKTKDAVANATTAQEQKQSQILENGKMEEPKSTEDVAADEENSSRVTANLTDSITRLKRAAATAISAAAVKAKLLADHEEEQIRQLAALMIDKLYRKVEAKVSFLTEVEHLVQRTREYTEKTRKKLLMERNAIIAARMGSLPSRPNQPGAAGNRLPAGYGGPIVRPPPNAMPRPSS >OGLUM02G35050.1 pep chromosome:ALNU02000000:2:34297557:34303677:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM02G35050.2 pep chromosome:ALNU02000000:2:34297529:34303677:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM02G35050.3 pep chromosome:ALNU02000000:2:34297529:34303922:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM02G35050.4 pep chromosome:ALNU02000000:2:34297557:34303922:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM02G35050.5 pep chromosome:ALNU02000000:2:34298163:34303677:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMVVAVANGTKVEAAPAAGAGAAANADSPTSVLEDEISECKNGDASDTVEAIKQEDDHLKVLAEERADDFVDASSSLTVELAANNGDLSPHPVPVKEEDQLLEPVKEEKADDFVDATSSLPIDLEAKNGDASLITDAMKEEEDKLHEARVKADEEEEARKRAEAARLAFDPNARFNKLDELLTQTQLYSEFLLEKMETIADVEGVDTPDEEEPVEEKKKGRGRKRKATSAPKYNNKKAKKAVAAMLTRSREDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGVIYHGDKAARAEIRRKFMPKTTGPNFPLIVTSYEMAMSDAKQLAHYKWKYVIVDEGHRLKNSKCILLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFCAKGGEEEQEESEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTNHQKEIQNHLVEQTFDEYLHEKSEIVLRRPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGMYPPVEKLLEQCGKFQLLNRLLNLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQDEEDRMIQTDITDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM02G35050.6 pep chromosome:ALNU02000000:2:34297529:34298199:1 gene:OGLUM02G35050 transcript:OGLUM02G35050.6 gene_biotype:protein_coding transcript_biotype:protein_coding GYFSPLTFPPETLAGLKPLLPTDFRGGQDERHGRQPAAERHPLRPHAARHQPHYARHQPFGGDERVPPAPRAEELKRPRRPPAEREHGAEGGEEEEGSDSKVIDR >OGLUM02G35060.1 pep chromosome:ALNU02000000:2:34304886:34312837:1 gene:OGLUM02G35060 transcript:OGLUM02G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRQLLLLLLLWGFLQLIRLPYSASQSFVGINYGDVADNLPPPASTARLLQSTTITKVRLYGTDPAVISAFAGTGISLLLGAANGDIPNFASSPAAAAAWVAAHLPSTSSPAISAVSVGNEVLFADTSLASQLVPALQNIYAALPPNSSVKVSTVHAMDVLASSDPPSSGAFKPELAAALDPLLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAQVDAVRAALDAKGYKDVEIVVAETGWPHSGGADEAGATAENARAFVSGLVSHLRSMAGTPRAPGKPVDTYLFAVYDEDLKPGKPSEKSFGLFQTTTLAETYPTGLMRNGTAAGLAPAVAPAAAAPTLPVKPSPAPARLPGQQAQVTPLQPGSAAAAGPSALCAPGTATTTARGAAAAACSSPSAAESPRTMSVISIIAGVLLMYLLI >OGLUM02G35070.1 pep chromosome:ALNU02000000:2:34308061:34312607:-1 gene:OGLUM02G35070 transcript:OGLUM02G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYX5] MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGLDAAAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKHVVAAFEVVNCNGVEVQCQVERLKCWTFTLIMLPADEAQTKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >OGLUM02G35070.2 pep chromosome:ALNU02000000:2:34308061:34312607:-1 gene:OGLUM02G35070 transcript:OGLUM02G35070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YYX5] MEKALVERLEAAVARLEAAVASGASLSAAPRDLGDGLDAAAAASDPAIVAYDEFVAGAVGRLTAAAEKIGGKVLDATKVLAEAFAVSKDRLVQAKQLQKPASMADAQDFFKPLNDVIAKAIAMTEGRRPDYFNHIKSVADSLTALAWVGFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDADHVEWAKALKELYMPGLRDFVKKHYPLGPSWGPVGGAPVSQPKATAPAPKAPGAKAPPPPALPSAPLFTTEKSPKSAQPKEGMSAVFQEISSGKAVTTGLRKVTDDMKTKNRSDRSGVVSSSTAAPAAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKNLAIDDCDARQSVYVYGCKDSVLQVNGKVNNITVDKCTKVGIVFKHVVAAFEVVNCNGVEVQCQVEHALPQQYIHSFKDGQFITSPVSHSGA >OGLUM02G35080.1 pep chromosome:ALNU02000000:2:34316077:34319236:1 gene:OGLUM02G35080 transcript:OGLUM02G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFARPNRASPSAWEADFLERTNLTMSTVEYALPTAIMTSPSFVAEGAGGSQICAMYSFQAFSEMINERNAEPGMINVFLLPHLPLNDVSDVYDQIGSGDAPYRISNGPMLLNKVNAYRELIRVGKELAPLEGLMKSLEDELLVRLNSIVSILKSDGEDIPLPLDMSAATQLLFKWESDFVEACEMATKARRRLMLATLAMFWSSSDDFVENEFSVREGDAISMSHVQETLQRLAPDLYQNVFGALNEVHYTEDGRPPKSVVVSLKRLITEITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIRLHMLDKEMLEPHLSRPTLLGCKEMDWFQKYLYKYTIFILGLKDWFDFCAKGGEEELEESEEERKVDVVSKLHAILRPFLLRRMKVDVEHMLP >OGLUM02G35080.2 pep chromosome:ALNU02000000:2:34316077:34318649:1 gene:OGLUM02G35080 transcript:OGLUM02G35080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPPFARPNRASPSAWEADFLERTNLTMSTVEYALPTAIMTSPSFVAEGAGGSQICAMYSFQAFSEMINERNAEPGMINVFLLPHLPLNDVSDVYDQIGSGDAPYRISNGPMLLNKVNAYRELIRVGKELAPLEGLMKSLEDELLVRLNSIVSILKSDGEDIPLPLDMSAATQLLFKWESDFVEACEMATKARRRLMLATLAMFWSSSDDFVENEFSVREGDAISMSHVQETLQRLAPDLYQNVFGALNEVHYTEDGRPPKSVVVSLKRLITEITSEMTPTEFVAFSLETSRRDLIHQLSDVSHQEFRLKVLRSLQSVASALIRLHMLDKEMLEPHLSRPTLLGCKEMDWFQKYLYKYTIFILGLKDWFDFCAKGGEEELEESEEERKVDVVSKLHAILRPFLLRRMKVDVEHMLP >OGLUM02G35090.1 pep chromosome:ALNU02000000:2:34321487:34328292:-1 gene:OGLUM02G35090 transcript:OGLUM02G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKCWMRLKEAALLVGWRSVQVAGEVATRQESRQKMHHKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDEFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >OGLUM02G35090.2 pep chromosome:ALNU02000000:2:34321487:34328292:-1 gene:OGLUM02G35090 transcript:OGLUM02G35090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSSQASPAAQRKCWMRLKEAALLVGWRSVQVAGEVATRQESRQKMHHKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVDLEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >OGLUM02G35090.3 pep chromosome:ALNU02000000:2:34321487:34328292:-1 gene:OGLUM02G35090 transcript:OGLUM02G35090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCTDACGQAMKSLHFLVASAPGHCCSTSYAIKLEVSGSGNQVLPSLTSQALRFGQGQWRPRVPPSTTDIVSSNKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVDLEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >OGLUM02G35090.4 pep chromosome:ALNU02000000:2:34321487:34326353:-1 gene:OGLUM02G35090 transcript:OGLUM02G35090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKEAALLVGWRSVQVAGEVATRQESRQKMHHKSVPMTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVDLEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >OGLUM02G35090.5 pep chromosome:ALNU02000000:2:34321487:34326353:-1 gene:OGLUM02G35090 transcript:OGLUM02G35090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPEALQLHHLPDDVLHRILSRLTFRESSRMGLLSRKWQKLWRSCCPKLVFTRATMFKPGNKTIRRTRTNFARRVNSLLRQLCAPPTLNKFVVKFGLRRKHTCHVNRWVGFCSKSRARHITFDFTPGVKGIFRGLADEKYIFHLHVFSVPDRSPAHIKSLHLSYVWLNTATTGFTGFANLKKLTLHKVSFLNDFQHLMLSECTALEWLSISCSSFTELTLCKPLRRLRYLSLHYCYMEKVDLEAPNLTSVDLTNRPIPLALSESLKVMEANIKLLHKSVLYGDNLDYICTELPAALPHVQKLSITSTLCIYDELQSFAKTSVRFINLRHLSLYLPLYGDGRSVGGILRLAYLLELAPVLEELELHFRFSDFVIRQAIRVDMLPYRHDKLKRVVMSGACHWQGLIELAHHIRRCASRIDCMIMDPMVRIKGLPTVDWLEERGRRIAKELLKRQEFQGVLTVL >OGLUM02G35100.1 pep chromosome:ALNU02000000:2:34337596:34339237:1 gene:OGLUM02G35100 transcript:OGLUM02G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECQQTGKGKARRNQLKIHLPPSVNFCFGEEMKKEAQREHHGSNSVLIVLSELGRTEPDDEGGGAEEEEGGDDDADFLVAVKSGHVGSNMNPDGELRKLKDRFATWKKYKCRLKETKFLNSGWIITASYNLCNHWYIERWRVVVYNGGFGVQEPDAN >OGLUM02G35110.1 pep chromosome:ALNU02000000:2:34349306:34351042:1 gene:OGLUM02G35110 transcript:OGLUM02G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCADSGNLMAIAQQVIQQQQQQQQQQQRHHHHHHLPPPPPPQSMAPHHHQQKHHHHHQQMPAMPQAPPSSHGQIPGQLAYGGGAAWPAGEHFFADAFGASAGDAVFSDLAAAADFDSDGWMESLIGDAPFQDSDLERLIFTTPPPPVPSPPPTHAAATATATAATAAPRPEAAPALLPQPAAATPVACSSPSPSSADASCSAPILQSLLSCSRAAATDPGLAAAELASVRAAATDAGDPSERLAFYFADALSRRLACGTGAPPSAEPDARFASDELTLCYKTLNDACPYSKFAHLTANQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPTRIRITGVPSPLLGPQPAASLAATNTRLRDFAKLLGVDFEFVPLLRPVHELNKSDFLVEPDEAVAVNFMLQLYHLLGDSDELVRRVLRLAKSLSPAVVTLGEYEVSLNRAGFVDRFANALSYYRSLFESLDVAMTRDSPERVRVERWMFGERIQRAVGPEEGADRTERMAGSSEWQTLMEWCGFEPVPLSNYARSQADLLLWNYDSKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >OGLUM02G35120.1 pep chromosome:ALNU02000000:2:34380370:34382152:1 gene:OGLUM02G35120 transcript:OGLUM02G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMRLVVDLMVKRGRPKLHDGVRLPRLRRDEGRVREATFGDGILAKPQPEDGKMSIFSIIWAVKEDLESTAHDTMGPLSVLSSGNRTQAFLWETGKKMLEPAAISLNKVYWWIHFIPLYDHANCLRYFLIYNNCWAICHLYIVLNHYMENGTPTFAASLQQINAARASFCLPMDKSPPTADFSPLPTYRHLSSHSPAPAHRVGKPRRAVVEELLGRRGDKLPGGTGRCLSVNPSTTPPPLEIRHWGDGRRRRDPRAVVAGHGCGHRRADGREARSWRAAVAVVDGLMGGRSATAAVAPSWWAPDDGHACRHGSLFL >OGLUM02G35130.1 pep chromosome:ALNU02000000:2:34391137:34392670:1 gene:OGLUM02G35130 transcript:OGLUM02G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLRLASSGSTGLGFALGRLGGRSGGGGGGGGLATASASSSSVVSARARRTVSATPNAAAPTPGEQGVAMEQGKQQHQPPPPQPQPQQEKRGSRDDDMHKTTGDVMTHSFGEGYSTRSDEEGFGGVYGQNDPVFNPGTEVHPSHPEYDTSQGSEVKEKEKARHHKDDKHAT >OGLUM02G35140.1 pep chromosome:ALNU02000000:2:34396775:34400688:1 gene:OGLUM02G35140 transcript:OGLUM02G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRKRQRSASSSRLSTLSSSPAPHAAAAAASASASSPPLSFPNADLVLRLHLDPCPDDDADLDAGEDHRPSLDLHVSSASLLRSRYFAALLSDRWSPAPTSAAGGHGHLSLAVAAPRSASHPFHAHVEVVRLLHTLDFAGAIHSPADALDILPVALQLLFDACVEACTRFLEAVPWSPDEEARVLEIAPLLPADEAADLLARITPPPAASASAAGGEAARSPSEAMLHGLIHSAIHGHPVPAATKAFVAMLLKDYPSRDCVQKVLDEAFLSRLETVKELMGKYASPDFRVAVDSDERDAIQRLNLQSAVLNVRHLLWLIERMVEMRVAGNAVKLWSEQAALTADLQKLLNDVDMWKNITPGLPVLVTRCTLRFANSVVNGETIVPRQVRTKLVRSWLPVLNVCRNMVQPMQCGYKSPNCQELEETFLQIISTLPVPDAQELLQQCLGFSTRNVDDCPHLIAAFKTWFRRAGRAPQGAEN >OGLUM02G35150.1 pep chromosome:ALNU02000000:2:34414177:34414653:1 gene:OGLUM02G35150 transcript:OGLUM02G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFATLFALAVVMALVPAMPVLQPDEATSATSTAAATWKASTRGPPVYMVKLAPTTGKRSTDKI >OGLUM02G35160.1 pep chromosome:ALNU02000000:2:34415353:34415706:-1 gene:OGLUM02G35160 transcript:OGLUM02G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAERWVPQADILVHRTFLNETFLVDVLRVGVRVREAAGNAATEAVARAVVRLMNDDNNDAAAARRVRVAELNVAARGAVAESR >OGLUM02G35170.1 pep chromosome:ALNU02000000:2:34424475:34429114:1 gene:OGLUM02G35170 transcript:OGLUM02G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEESLKWRTAYRPEDIRWPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >OGLUM02G35170.2 pep chromosome:ALNU02000000:2:34424475:34429114:1 gene:OGLUM02G35170 transcript:OGLUM02G35170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASIQMTLSNKKQRSKSSELHLGRCLPLPEISVESETGKMYRASFVDREGRTVVIMRPAKQNTSSHEGQVRFLVYTLENAILSLPEDQEKMVWLIDFTGWTLANATPIKTARECANILQNHYPERLAIGILFNPPKVVKHFLDPKSIQKVNFVYLKNEESMKILHKYIDPEVLPVEFGGKNNVVYSHEEYSKLMVKDDIKMASFWASDTKTDHVNKVINEHSVPEVTQQSSLVAAKAS >OGLUM02G35180.1 pep chromosome:ALNU02000000:2:34430376:34433884:-1 gene:OGLUM02G35180 transcript:OGLUM02G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPGRKKGHKNLKYILIGAICGGVLLVAVIAAILYCLVCSGSRRNGSRNDQRESGVRNTQLGASGTGGGAVTAGMQPSASPANLAKVGDSFGYDQLVEATTDFGDDRLIKHGHSGDLYLGALHDGTSVVVKRITSSMAKKDAYMAELDLFAKGLHERLVPIMGHCLDKEEEKFLVYRFVRNGDLSSALHRKSGEEEEGLQSLDWIKRLKIATGVAEALCYLHHECNPPMVHRDVQASSILLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSTADQGSSGSPSASCSYDVYCFGKVLLELVTGRLGISASNDAATNEWLDHTLRYINIYEKELMSKIIDPSLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGGSSSARLRATSSRGSWNAAFFGSWRHSSSDIGPSRDDNLLKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >OGLUM02G35190.1 pep chromosome:ALNU02000000:2:34437422:34442521:-1 gene:OGLUM02G35190 transcript:OGLUM02G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGGVKAAAEAEAEGEIVAAPAEKVACFKKTAGEEADATFMERVKDYYNQLKESSAEKHWICIKDKFRAAGEYVSQKSSSVFGKKKVEPVVKDAAVPGEASSVTVESQLRMESTKTQSQGSASSVPKNPAMSSCRKKKSDDATFLEDLKDHIDEFIHASMDEHKHCFKNTIQKMFGMSKVVAERSAEAKEAEVESALPLQTSVSQ >OGLUM02G35200.1 pep chromosome:ALNU02000000:2:34464150:34475121:1 gene:OGLUM02G35200 transcript:OGLUM02G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKDESSLLIALLIRLRHPDIDLDASGEVALGFKTIINQKEARSMSSKKKRAAAIDLSLEAERRRPEEEGGGGDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQGDMMEEDKQPAAAANVDDDGSDSDGAGASAEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDDGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAAGGIASQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSTFAWTRSMDCTATPASVPAPARPRREPGCPCLSPFSLSLGLLLSSSSSSSPTPSRPRAHRRRNPADLLRSRIGRSPPGSRFPKKWQEVQGQGGMWLVSLDPHRIPSLRTAGKQ >OGLUM02G35200.2 pep chromosome:ALNU02000000:2:34464150:34469992:1 gene:OGLUM02G35200 transcript:OGLUM02G35200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDNRIKDESSLLIALLIRLRHPDIDLDASGEVALGFKTIINQKEARSMSSKKKRAAAIDLSLEAERRRPEEEGGGGDREASDGAAAAAEEDGDVKQREGPKEETGGEEEKVVEVVVDQGEDGSNEEIKYRTQQGDMMEEDKQPAAAANVDDDGSDSDGAGASAEEKHMVTEATGGEGDDGGDSRTPMAQDELSEMQEEMERMKEENRMLRRVVDKTVRDYYELQMKLAAYQQQPAAADEPKETEVFLSLGATAAASAGCGGGFPEAKSKEQAAWRRRSVGSDDSDDGKEDLGLSLSLGASSSYDDDQKAVEARPHDVDGAAAAAMIGGDGSRPAPRGYALLESSKVQGGAAPAAGELAAAGGIASQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTTSSSSVSDASAAPSSSYLSPYLLNSASPLLMPGATGGGGGGMQHLNLFGNSPSSSSLLAPQAPGSSKYPWSPNHPPLAGAGGNKRPFWSAGGDGDKPAPAALAENVGAVMSDPNKFSAAIAAAINNFMGKDGESSSGKSSSKWGVVESLPPHDFCVDAVHGLHRDPGFRPGSRPPEEGAGAWTGSTQG >OGLUM02G35200.3 pep chromosome:ALNU02000000:2:34470368:34475121:1 gene:OGLUM02G35200 transcript:OGLUM02G35200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVGYLGGGLVRQAPRPKFFVLEWVYIHCLCGGVSEEMARSARARRHVARQLRSAPYPIPSYRWKAMKESNRKKTLPAAQKMDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGDAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERLFLGAN >OGLUM02G35200.4 pep chromosome:ALNU02000000:2:34472830:34474639:1 gene:OGLUM02G35200 transcript:OGLUM02G35200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEDANCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSHRHSNCLDQFKKAYTKGALLEELPANTVGTNLDSTPLIAGEKNESVDLACPLCRGKVKGWTIVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPILEQKWRLLEIERERQDALSTITATMGRAIVFGDYVLDLEDEDDLDDVESDEDDNANGHGTDNTRRMLMFLMRQVARHHQNQRLQNAIGTTGDAEDNYAVSSGANATTPYHYPLEGDDEDDLVMAGGGSTGMVRPERRRRRRRRNRERWRMNITNITSTCELVQCGAKNAHFCQTPYTIMSSIAQCPEPGGALDTQNLPLEHRAVTFS >OGLUM02G35210.1 pep chromosome:ALNU02000000:2:34474626:34482204:-1 gene:OGLUM02G35210 transcript:OGLUM02G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSALDKLNGTKLESSVDSYDKLKTTCIICSKDGKEPLAANDGVLPSCTVCANFSQASGDHSNEFTALKFLKHKDSECCPRLDVKVKRTTRNSSRLAKEQNVEAHVKTRTRSSKQTAHMKGEKASTELHCKNGLSCSDNLSTDTLVRGKANCILDGVDQSIDYTCSIFGCWNCLFVNTLNSGSIQNILQFRWDCVWHHNHVSILLKIAKALGAHGGLHGAHKIHNIYWQCISLLYFRSLPQDCYRTYEHNLFGLIMDQSTGDFLISERAEILYSMSLFLLKGFLSEQSRDICCRFCSVQMSDVVPWLLKAFVLSRENPSLFQENSVGTYLDCQYFAGLKSLLRKNDLKAALEDFSNASDESLSNMLEGDFVNVLGEILLLPSYFPAWMMLSRFDSTNKPITMLLPVDAISEETQHEDSCTKELDNLMRAADKNWQCPWGYTIIDYVAPTFRKILEENFISLSSATLTLNDGQANHVKWWSHRMKLNNHLDKMLKDMEESWLGPWKCLLLGYDLTDQHIEEALTNLIAGLESEFKFEVNPVLIKVILGGAMSVDEVQDCVSQLISYKGYFGRGGCCGKDRLRALSSCCIESEALETVECLIKSTVNELTEPVDRDPVIFVLDTNVQMLPWENLPAPRNQEIYRMPSIGSVFLALTRSNNYWKDARVIAPPFPVIDPFNAFYLLNPSGDLSSTQEEFDQMFKNYEWKGKAGYAPTAEELVLALRNHDLFLYFGHGSGTQYVSGKEIEKLDNCAAALLMGCSSGTLRCKGCYVPQGAPLSYLSAGSPAVIANLWDVSDKDIDRFSKALLGSWLQENFVAAKNCSKCCQLTREFESMTIAVEGNGRPRRRGTRGKKSERMNNCSKRCTCGNRRVASYLSEARRACRLPLMIGGSPVCYGVPTIIRKK >OGLUM02G35220.1 pep chromosome:ALNU02000000:2:34482964:34484920:-1 gene:OGLUM02G35220 transcript:OGLUM02G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGNIGVTLYNIGHLDEAPKALELCCQTVWVYARLSYHRLSASQDEQRIIEDIPKDTLKDISMDAFAKITKMVDILHRCGVKIIPDIIVKSLSELLANDSTSEFLNSSLVLIKLWVKITHKDAKDDESVDSAPLLYHSLMGCTPPLPTKLVGLILEQELLAYALVESRGTMFCVEMQKRITNILLNKIYCSKEYYLERSRVLVRKARVLRTCGMQSISSCLESLSEAISLLRDIPLDSSQGNAPAIHQLAIAYCLHAHCAQEANLGAEVIFDSAQNVFGLWSKIKTFGYYSPGMISQQPSENLVPLLCSLVDLLAMKGCFELQFDLYFPCYLPMGASIMRVVISQWTNNLFQLQSIILMLIAIVQNFGETVLKETILLFVCFSRDCGLLIPLFLRHVNLPFEGNLVSVVVFMRLIVSHHLWFLM >OGLUM02G35230.1 pep chromosome:ALNU02000000:2:34488940:34493582:-1 gene:OGLUM02G35230 transcript:OGLUM02G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZ01] MAASVEYKLAPHPWASNAPSSNLDLFPSGGGKRRSGSETDSDDEDSIPPDWRSLYHPRLEVAEPAVKDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPLPRLMSHGFITPAPLHYVRNHGAVPKADWSTWAVEVTGLVKRPARLTMEQLVTGFEAVELPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRWCGVMGASAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLTPDHGFPVRVIVPGFIGGRMVKWLKRIIVASSESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTMKGYAYSVTRVEVTLDGGETWQVCNLDHPERPTKYGKYWCWCFWSVDVEVLELLAAKEIAVRAWDESLNTQPEKLIWNLMGMMNNCWFRVKTKTCRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETSESAVSTLKRSTSTPFLNTATTQYTMSEVRRHTTPESAWIIVHGHVYDCTGFLKDHPGGADSIMINAGTDCTEEFDAIHSDKARGLLEMYRIGELIVTGSDYSPQSSSADLTSIVESPTAAAAPAVPVSTVALSNPREKVKCRLMDKKSLSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGYIELLVKIYFKGEDPKFPDGGLMSQYLDSLPLGATIDIKGPIGHIEYAGRGAFTVNGERRFARRLAMVAGGTGITPVYQLIQAVLRDQPDDGTEMHVVYANRTEDDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEYGVGRVDERTLREHLPPGDGETLALVCGPPAMVECTVRPGLEKMGYDLDKYCLVF >OGLUM02G35240.1 pep chromosome:ALNU02000000:2:34490331:34523139:1 gene:OGLUM02G35240 transcript:OGLUM02G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLVLSAYHEIPNELLRLGVEGLVPGADGDLLGGEQLEHLDIDGPEAPAPINTGDVTSIPVQEKETAFGGCRIQPPPNAACLRNDLAQVNPGELMDTDTGHAHTHEPHSEILPDMRQGQIFLAAAAELPTVAASSQDPKAGRLQSRSCLPPSPRANWTKPWSRGRQESRSKRAVNLNGMGLAISQCWKERRRRGHVQRRGVAETSGPRRRSAGGAGRWSGWTLVGGWGGVVVAWLAAPLPIQKLRCGSRPSQAAASVAYVIVLRYAVFEDKDDRDHVAVRAKEQKVGTDASRSDRGSGNDQANAKPRVLLRVRLRAQVPAPINHATASPPPSEHVIDELAATVAVAEKEILDIIFCTPDNEGHTVTVTTAALPQKASLVIIAQPRALYKGARCTHETNILSKIGAGQDDQVSGLQLLKDGEWVDVPPMRHAIVANIGDQLEGWVESRLRQLSARVEADTSGVLLCHLHPQAPSAVHSPGERGVRAFASIVSKSNHVAVSAN >OGLUM02G35250.1 pep chromosome:ALNU02000000:2:34501265:34506158:-1 gene:OGLUM02G35250 transcript:OGLUM02G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32950) TAIR;Acc:AT2G32950] MGDSTVAGALVPSVPKQEQAPSGDASTAALAVAGEGEEDAGARASAGGNGEAAADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSHKSDCPCCGNYLTKAQLYPNFLLDKVLKKMSARQIAKTASPIDQFRYALQQGNDMAVKELDSLMTLIAEKKRHMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELYRTKERYSMKLRMLLDEPAASKMWPSPMDKPSGLFPPNSRGPLSTSNPGGLQNKKLDLKGQISHQGFQRRDVLTCSDPPSAPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRLEERDIVTINKEGYHAGLEDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSTVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASAINIDMKANICSVKYNPGSSHYVAVGSADHHIHYFDLRNPSAPVHVFGGHKKAVSYVKFLSTNELASASTDSTLRLWDVKENCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAANHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >OGLUM02G35260.1 pep chromosome:ALNU02000000:2:34508704:34509657:-1 gene:OGLUM02G35260 transcript:OGLUM02G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPTPPPPPPPPVASSEETASGSDSDESEEEEESPLVQPAPVVSNKGAESDSSGEEESEEEEEEEDLVRSSATKSRDPPQENREEDDSSDEEEDESSESEKAEAPPPPPLNPAPKQGAEGNGPKVSSPKRQAFHRIWSTEDEVRILEALAAHRREHGSLPQTDALIATLAGSLDNTGYGRKELQGKVSTLKRRYESTAKKKGDLPSKGHDRRLYDLSKSVWGSEAAAAAANGTTTAPREFGEMCELYPHLAEEVKLLEAAHPGLFKRDFGKLDDDKAHALDMKIKKQRIAEISVVLRRGDLTKEVTKVLTELVE >OGLUM02G35270.1 pep chromosome:ALNU02000000:2:34511204:34514914:-1 gene:OGLUM02G35270 transcript:OGLUM02G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLEISPRQRSQQQKEEEGEHQQRAGEEAVGAVFSIEPWVDAAAVLVPPLNFAEVNDGIFRSGFPAADNFAFLLSLKLRSIVYLCPEPYPEENTRFLEQNGIKLHQFGIDGSKELLVNIPEEKIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLTSVFDEYQHFAAAKARSTDQRFMELFDTSSLMHLTASQC >OGLUM02G35280.1 pep chromosome:ALNU02000000:2:34534072:34553104:1 gene:OGLUM02G35280 transcript:OGLUM02G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLTSPPIRRSSPRWSLESDNVDQIDTARRLRQMWIEAAFVGPPLRTGRGGRRRAATAQRAREREREREMASVASFPVINMENLETEERGAAMEVIRDACENWGFFEMLNHGIPHELMDEVERVSKAHYANCREEKFKEFARRTLEAGEKGADVKGIDWESTFFVRHRPVSNLADLPDVDDHYRQVMKQFASEIEKLSERVLDLLCENLGLEKGYLKKAFAGSNGPTFGTKVSSYPPCPRPDLLLLYLRHRLPKRHRCPRRERQRSGRGEADADDDDDMDMARWS >OGLUM02G35290.1 pep chromosome:ALNU02000000:2:34556291:34557858:-1 gene:OGLUM02G35290 transcript:OGLUM02G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPGRLRALILAVALPLLFLSASEAGTVGINYGRVANDLPNPAAVVQLMKQQGIAQVKLYDTEPTVLRALANTGIKVVVALPNEQLLAAASRPSYALAWVRRNVAAYYPATQIQGIAVGNEVFASAKNLTAQLVPAMTNVHAALARLSLDKPVKVSSPIALTALAGSYPPSAGVFREDLAQAVMKPMLDFLAQTGSYLMVNAYPFFAYSGNADVISLDYALFRPNAGVLDSGSGLKYYSLLDAQLDAVFTAVSKLGNYNAVRVVVSETGWPSKGDAKETGAAAANAAAYNGNLVRRVLSGNAGTPRRPDADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGGNSAGGGGSSGKDNGGLGWQDNGGVNAGNAPAGAGGGVKATSTGEAWCVANAMAGEERLQKALDYACGPGGADCKAIQPGASCFEPNTMVAHASYAFNDYYQRKGRTIGTCDFAGAAYVVNQAPSESSRSFARTIT >OGLUM02G35300.1 pep chromosome:ALNU02000000:2:34563820:34568539:-1 gene:OGLUM02G35300 transcript:OGLUM02G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSAQGGGGVGVMESGGKRHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLQDQDTKPAHLWQLMVTKSRLSPFANTRTRRKGDETGKKLVFSKDPRHGSHLWNPSSRWYTLIDDAMRNKKLHVNRLKDSRLLNKELHRTLYGFIIFEVDWADVRGMNYFNELQTDTSMAVEAKTMKRWEFESVNQASSLITSWFSGNYSECQLLQDYLNSISPKGNVFYDAQNYFSTPEGDNENVQSDDDDSGPSQCMRESSSFTSSSYTPPPCSGPYKRRKIIRSDAGNNMSEESYSEVVTSPTHSSSPSSSCCSDDDCGKTLLEPSTYKDVLILFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKTYRPWMCPLARALYVLMSIVTVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVTATKSALSVLTKPIMGPILEVLEFTMPLWNLCAETVGHLSSVIMLAMETSCSVVISTMQMIIWPFWLVFSVVLNVANSVLYPFVWLLGEILAAPFRLVVAIASFVADSFVDIVGVLRETWSTLSSLYQVGSASGSTGLASETTIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSRVLTGAQHTTSCEGTRSKGKLTRDNSGPGNLTCQVTTSFREPMRGINRDSQS >OGLUM02G35310.1 pep chromosome:ALNU02000000:2:34570850:34571764:-1 gene:OGLUM02G35310 transcript:OGLUM02G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKQTHRLVVADVEMEDAVASGTPDMPFEQTTEAGAQLVSYLVHNTSIHPFHLIGSPYNDGGDVSYGWDGEVGIEALDSVNEKVDILDA >OGLUM02G35320.1 pep chromosome:ALNU02000000:2:34572487:34581418:1 gene:OGLUM02G35320 transcript:OGLUM02G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSREEEDEEEVYDSEEEEEEEGEVEERGGKRSRGGGGGGKWSGVESFIDDAASEDEDEEEEEDDDEDYVGSGGGRARKRKRASILIDDMAQVDDEEEEEEDGEFEDGFIDDTRADDPDQDVGRSSRRHPSSMLDEEEDVDALVKLIHDRYIIPSSHFVDDDDGVTEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDTPDLQIKSVLALDHLKNYIYVEAYKEAHVKEACKGVRNIFASRKVTLVPIREMADVLSVQSKSTDISINTWVRMKLGAYKGDLAKVVDVDDVHQKVTVKLIPRIDLQALANKFDGLKVVKEKKSFVPPPKLFSANEAREMNIRVDRRRNRDYGEYYEMVDGLKFKDGFLHKTFSIKSISAHNIQPSFDELEKFREPDNDINEDAASLSTLFTNRKKGHFMKGDSVIVIKGDLKNLEGYVEKAEDATVHIRPKLPGLLDTLVFNEGDLCKCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVDSSEILKGEPDKPELVLVKLREIKSKIYRRTSAKDRSSNIVSTKDVVRVIEGACKGKQGSVEHIHRGVLFIYDRHHLGHSGFICARAQSCLLVGGSTGSRRGNGMGTVDPRFGAFRSPARILQSPGRLPPKAPHTNYGRRFGGRDHGGKGHDTLVNRCIKIKSGPYKGYHGRVKEMTGALVRVELDSLMKVVAVKREDIADTATVATPFGESRNSWGNETPVHPSRTPLHPFQTPLRDPGATPVPNGMRTPMPSRAWAPMSPPRNSWEDAVTWESSPTYQPGTPVPRPHEAPTPGSGWAVTPGVGFGDASGKNPSSYATPAPSGQPMTPNPASYLPSTPGGQPMTLGYIEMDIMSPAIGEEGGRNWLLPDVLVNVLREGYDTTCGVVKEVLPDGSCRVALGSSGSGDEITAFPNEFEVVKPKKNDKLKIMSGSWRGLTGKLLGVDGSDGIVKVDGLETTDQTKILDTAILGKLAA >OGLUM02G35320.2 pep chromosome:ALNU02000000:2:34572487:34581418:1 gene:OGLUM02G35320 transcript:OGLUM02G35320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSREEEDEEEVYDSEEEEEEEGEVEERGGKRSRGGGGGGKWSGVESFIDDAASEDEDEEEEEDDDEDYVGSGGGRARKRKRASILIDDMAQVDDEEEEEEDGEFEDGFIDDTRADDPDQDVGRSSRRHPSSMLDEEEDVDALVKLIHDRYIIPSSHFVDDDDGVTEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKSIDTPDLQIKSVLALDHLKNYIYVEAYKEAHVKESKSTDISINTWVRMKLGAYKGDLAKVVDVDDVHQKVTVKLIPRIDLQALANKFDGLKVVKEKKSFVPPPKLFSANEAREMNIRVDRRRNRDYGEYYEMVDGLKFKDGFLHKTFSIKSISAHNIQPSFDELEKFREPDNDINEDAASLSTLFTNRKKGHFMKGDSVIVIKGDLKNLEGYVEKAEDATVHIRPKLPGLLDTLVFNEGDLCKCFNPGDHVKVVSGVQEGATGLVVKVEGHVLIILSDTTKEHIRVFADHVVDSSEILKGEPDKPELVLVKLREIKSKIYRRTSAKDRSSNIVSTKDVVRVIEGACKGKQGSVEHIHRGVLFIYDRHHLGHSGFICARAQSCLLVGGSTGSRRGNGMGTVDPRFGAFRSPARILQSPGRLPPKAPHTNYGRRFGGRDHGGKGHDTLVNRCIKIKSGPYKGYHGRVKEMTGALVRVELDSLMKVVAVKREDIADTATVATPFGESRNSWGNETPVHPSRTPLHPFQTPLRDPGATPVPNGMRTPMPSRAWAPMSPPRNSWEDAVTWESSPTYQPGTPVPRPHEAPTPGSGWAVTPGVGFGDASGKNPSSYATPAPSGQPMTPNPASYLPSTPGGQPMTLGYIEMDIMSPAIGEEGGRNWLLPDVLVNVLREGYDTTCGVVKEVLPDGSCRVALGSSGSGDEITAFPNEFEVVKPKKNDKLKIMSGSWRGLTGKLLGVDGSDGIVKVDGLETTDQTKILDTAILGKLAA >OGLUM02G35330.1 pep chromosome:ALNU02000000:2:34579988:34580578:-1 gene:OGLUM02G35330 transcript:OGLUM02G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGEGCGADAEGGEVRPEVSGDHLQEHALQQLYLQVDGEEEEVEVPEQVVAGVAAAEAPGPAASSASTMAAEDPPALTGKEAAGAAGKDGGTSGSREAGDGDLGEEREGGGEQPRRRQRRGACARRRRRSGWRTASRKCGEITPDAPLTSPTPCRRRPPRPPKTIPSSWLASSQPSQPYPERERVSKFVEGKGRR >OGLUM02G35340.1 pep chromosome:ALNU02000000:2:34586220:34587395:-1 gene:OGLUM02G35340 transcript:OGLUM02G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVYAFQFMLLGATAIIGAYAEPVSSLPRLFLALVIWLVGWRSTHLLVSVVAPEQREQQTTPPLNPPLCPHRQQQQQKEKRNVEERN >OGLUM02G35350.1 pep chromosome:ALNU02000000:2:34589110:34592915:1 gene:OGLUM02G35350 transcript:OGLUM02G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKIKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKELGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >OGLUM02G35350.2 pep chromosome:ALNU02000000:2:34589110:34592125:1 gene:OGLUM02G35350 transcript:OGLUM02G35350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKKRPRSSSGGGGVEKRPSRKQILGRKKAVEELIRKAVAVKDHLAQFPDFHKYQRNGLLVYLESGHGNQLPLSTRKYIQNLLKVNMEGQYGPEWPSEEKIKRREMVAPEARYIFVRQSSNAITTQNIMKQDSGLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMVPSAQGKELGKFLMELIELIACKHEGSADFDGVYMQSQMGAVMLTVQKANNLAMAFYKKLRYVISSTSPSRVDPLCLQIGLEKNYEILCKACESEAKSKLEEGN >OGLUM02G35360.1 pep chromosome:ALNU02000000:2:34593770:34603440:1 gene:OGLUM02G35360 transcript:OGLUM02G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPEIPPPPPAFAAGGEGDPHAPTTAAARSSSSSSLFPLFPLSAPEESSAPAAGSQWLSNPSFSFDASSLNIPATASSSVPPPLSASSDEEEAPRPAPAKYDLLPSSPSPPASDEERRDRRKDRKRRKRRREKERYNSAEASRKPGVRAWAGSETKLAKDYYFDAKGDQDNLAFGSIYRMDIARYKSQNMPEARGLKRLLFHNLGVSVHMGHESDLDGLDSKARAGGRYYSAKYAVVERNKGFKHLKVLKKDNSAILPEDFIPLGIPSLPENNTTGEQELEESWEDEILRRTREFNKMSREFPHNEKFWLDFARFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLCLLKAYGERDSTQNLLDKWEQILMKHPDSWEFSRFKVSDLRKSYAYAVQALSAACTKLCRQDTQYDRLEPKYSSLIHLELGLVDIFVNLCRFEWHTGHRELATALFQAQIEFSLFSPPLHLTTSSKQRLFEHFWNNGGARIGEDGALGWSRWLAKDEESRQNIDIQENTQETERGGWSGWFDPSLRTNSETSKVEPSTSDGNDAENPDDEDPSAQEDVESLLKKLGIDGDADYNSEVKDPKTWNRWSFMELSRDNEQWMPLHEKLGSLYSDDAPTGEDNDQLSRVILFEDITEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWIDRILSLEMITDDIQEDLSTVSNLIDKNQTSVHNKMVSLLGTMHEFSQRPGTAKFLKNAILLFLDVFPRNHILEEAVLVTPQIHTAQEKSLATPATASRALAKNLLKKDRQDLLLCGIYGRIEAMNGDFVKARHIFDLALSTSQGASEDLRKKVPILYFWYAEMELAIYASRNNSDSVDRAIHVLSCLGDKAKYASFDGSISRPQVLKARQGFKEQIRSLRSSFASDGMKEESVALICSASLFESMTSGFASGLEVIEETFYMTPSENNHSLEFEELWMHYIKQLQKNLNQLSLSRVWPKISQGIQTYPYNPKSYAAMLTLGCLYSVSNNLRLTLDKFNQRSKRLWLDGFEKLSSILTLKELSDLQEVMRDKELHIRTDIYEILLQDETDT >OGLUM02G35370.1 pep chromosome:ALNU02000000:2:34603488:34606130:-1 gene:OGLUM02G35370 transcript:OGLUM02G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNYHSYYVDDHFVLPPPPPPQLDWDWDQLQLHTLGGGGGDAAQDGIHGAFLPAMLGLESPESSSSEASSGYLQDAVAHWSDRCNKRQRMAEAAAPPRRPAAAAANEDLHCLLQSFWDSSSSGGEGGLMHDLNIMIPESGSFVSGDEDDASGWEQEQRGPISAAAAAATSAVQVPAAQGGGGGEAADPILHNHNSSPATSRTTTGQGAAQQLQLQKATSAAGAGHAAAAAGRPGRRGNYSCEEHVFVGKQQQQPSPSSRAASASSPRRSSSLTGKEKRDTGVLYPFAVVKPLGLEGGGAATLNDVNQRILKRPARPVRHPVGQFACSPAVYAHGLGLSGKAVVSLTRIRTAGKGTITIIRTRG >OGLUM02G35380.1 pep chromosome:ALNU02000000:2:34681342:34681747:1 gene:OGLUM02G35380 transcript:OGLUM02G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGSGAHARTVNALPRDRELLAGGASTGAGEEEDNTDAATDEEVEREGVALAAAVGAEHDEHLVEAGEDAAAGDKLRRGVDGGGCGRLRRAPTWDMDDEGCGR >OGLUM02G35390.1 pep chromosome:ALNU02000000:2:34687287:34689099:1 gene:OGLUM02G35390 transcript:OGLUM02G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03270) TAIR;Acc:AT3G03270] MAAEKRTIGLGMDYSPSSKAAVKWAVDNLVKAGDRIILVHVLPKGADASHKELWKSTGSPLIPLLEFMEMNVQARYGINPDKEVLEILQAESKSKQVEVLAKVYWGDAREKLCEAVDDLKVNTFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRAPTGSNA >OGLUM02G35400.1 pep chromosome:ALNU02000000:2:34689254:34692448:-1 gene:OGLUM02G35400 transcript:OGLUM02G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:Projected from Arabidopsis thaliana (AT1G48420) TAIR;Acc:AT1G48420] MAGVSAASAAGKIGSFLSKRPYAPPSWASHLSPAPSQTFSLGHGRVVCLWSLASDTRVWGKLQRDDISGMQLSGNKVRKLEFLMADAVAQGADCVITVGGIQSNHCRATAVAAKYINLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKKLLEEGRKPYVIPVGGSNSLGTWGYIEAIREIEHQIQISGDVQFDDIVVACGSGGTIAGLALGSKLSSLKAKVHAFSVCDDPGYFHSYVQDLIDGLHSDLRSHDLVNIENAKGLGYAMNTAEELKFVKDIATATGIVLDPVYSGKAAYGMLKDMGANPAKWEGRKILFVHTGGLLGLYDKVDELSSLSGSWRRMDLEESVPRKDGTGKMF >OGLUM02G35410.1 pep chromosome:ALNU02000000:2:34692701:34709068:1 gene:OGLUM02G35410 transcript:OGLUM02G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQERDDIPMLLRNVELPTFPPRSTSMCIPVRDDEYEEDTFVPHTGPLFVQPPTQTAAAGIPFTNTPDMPPRPPQGKQVNKPHAIMPEEIGGNRWSYSGNVPKNEHLMMSGPLGQCDDPDCVNCPPACKNKRHFHRGSSTLDSKFHNFLYEHGGGWKKEIERFLSRIPVMNPHAKVVQQWNQFFVISCLVAIFIDPLFFFLLSVQKDNKCIVLNWHFATALAVVRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKIAVRYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSTANYAKNLLRITVLLQYVPRIIRFVPLLGGQSDSSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRNACSASKIPSCDGFIDCGRGINIGKQNQLSRQQWFNDSASTACFDTGDNGFHYGIYEQAVLLTTEDNAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVEKMVFIVRGKLESISADGSKAPLHEGDVCGEELLTWYLEHSSANRDGGRMRFHGMRLVAIRTVRCLTNVEAFVLRASDLEEVTSQFSRFLRNPRVQGAIRYESPYWRTIAATRIQVAWRYRNRRLKRAGMSKLNDQSYNSALERGARECDARQHGRV >OGLUM02G35420.1 pep chromosome:ALNU02000000:2:34712759:34713349:-1 gene:OGLUM02G35420 transcript:OGLUM02G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLPSRGAATRPLHQPSRRRVAGVFTARPAAAASSSNVEVIDTTAAAAARGDVAKNRQEWRAAGGLGLGLNLSEDMRRGMMWRMLAPPAAAVAAEAAFLRVLDGAASDAAPAWAGAAGSAVLFAVGLLGFHYGFLSSRWDAAERGSLVGWELAASHWSELSMAKHSSIDDEVDDDEDEEEDEDEEEVEDEDTD >OGLUM02G35430.1 pep chromosome:ALNU02000000:2:34715560:34717042:-1 gene:OGLUM02G35430 transcript:OGLUM02G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDEEEKKSERLHLHPNADLADDDSSAAMRAAAALPLFPQDNAVVECSKIRPRGGAQQGAADGHRSLENGHYSKPDPAIRSTTGERLVNGVVRAMPVAASAKEETKNDGGGGGGGAKKRRGPAVLMEGSRCSRVNGRGWRCSQPTLVGYSLCEHHLGKGRMRSVTGGRGGAGQLGRTEPRKNTAAAAVAAAPKAAAAVAEPPVVRPC >OGLUM02G35440.1 pep chromosome:ALNU02000000:2:34717445:34718461:1 gene:OGLUM02G35440 transcript:OGLUM02G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVHISRLQRWMDGWREWWDGFIFACNTPCALELLYRRWDGEAVGMSSFEVPNGGGRRFIA >OGLUM02G35450.1 pep chromosome:ALNU02000000:2:34720342:34722848:-1 gene:OGLUM02G35450 transcript:OGLUM02G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAWKQSGVADHVGYLGGGGGALVGRARRARLCLYGLALAFGAFAAFLAFAPSLPAPSPSSPAAAWFDGLLASASPYRAQVSGYFSSLFPANSTSPEPPGGAATNRRGSSGGGGFSATGGQAGTNGSSTVVAGEQGRGVEVSSSNAGGVPSGNSPSGNATAAMQSNPPPNDQAGGGAAANNSTTGSAGEAAVPSRSSARNGTMTKDGAPDRINGTDVIASSSGDVTAVKANARNAAGSTHQLGGASAIVDSSNGTAAPSINKTGNAAVATDGNGAAPQRGGAPGKNQTVPNPPAAHDQNKSGSRAAASGGSNSTMDATPQGIASNTTEAAVDAGGKKKKTHWIEAMASCDMFYGNWVRDDSYPLYPEGSCPHIDESFNCPLNGRPDNAYQRLRWQPSGCSIPRLNPSDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEVSGRQQFRAEGSYSFLFQDYNCSVEFFRSPFLVQEWEFPVRKGLTKETLRLDMISNSFPRYKDADIIIFNTGHWWTHEKTSLGKDYYQEGNRVYSELNVDDAFQKALITWAKWVDSSVNPKKTTVFFRGYSSSHFSGGQWNSGGSCDKETEPITNEKFLTPYPRKMSILEDVLSGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLTEEEKKSPQIYQDCSHWCLPGVPDSWNELLYAQIMVKQHQMLHQ >OGLUM02G35460.1 pep chromosome:ALNU02000000:2:34727410:34729805:1 gene:OGLUM02G35460 transcript:OGLUM02G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRRHAAIALTHVEGDTSAHTAGSPPRTTERGRGVRARRPPLAPHFTPLSLPRLLFFPLFSCPRRLFSSVVVGPSMVVRPQSSAIVATSIQSIISTVCELELEMRCFPISCESFGPCESQLPKVNERIRLHRQPADTQTMLIYHPSFQVQVNIHDFDKQAATFISSATGAITISYIMRICTMCFVQRDRDLGDY >OGLUM02G35470.1 pep chromosome:ALNU02000000:2:34730271:34730591:1 gene:OGLUM02G35470 transcript:OGLUM02G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLELEFRHYRRSTPSSSPPSSAITDSRDPILSGLLTITVVFLWMASELELAGSFSFTIVDYSNPLRHHRCRFPWMGSPTAAPLPANELLKKKGDRWRDGEGRG >OGLUM02G35480.1 pep chromosome:ALNU02000000:2:34730881:34734156:1 gene:OGLUM02G35480 transcript:OGLUM02G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WCRKC thioredoxin 1 [Source:Projected from Arabidopsis thaliana (AT5G06690) TAIR;Acc:AT5G06690] MALIAPSPRVLRAREAPAAGALQPPAAACSTVAGGGGAAGRPLGMWSGGGGGGGGKGRRRERGDGMLRAEAYFWDVSKPVEMEEIDSMEKLDDALRWSVENKQPIIIDWMASWCRKCIYLKPRLEKIAGEFPGVRFYFVDVNKVPQTVVKRGNITLWKDGEWAAEVIGGHKAWLVMDEVREMIQKHK >OGLUM02G35490.1 pep chromosome:ALNU02000000:2:34733453:34733758:-1 gene:OGLUM02G35490 transcript:OGLUM02G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEATSLMISPEIRDAVTKVAVFVLVQALVYLILRSSSSVFSKDGKLRSSMSFRSMRSMSVRRFLAPLSDVPVGTDEPSPSPSSSPSPTLSRRWASRRED >OGLUM02G35500.1 pep chromosome:ALNU02000000:2:34735682:34739609:-1 gene:OGLUM02G35500 transcript:OGLUM02G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRAARRRDLASPLGTLTANAQSAYSANICSRWGSFARAFSVKPTGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFEDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRSEAIDLAKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADASGAKHLNITLTRSKFESLVNSLIERTREPCKNCLKDAGITTKEVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMATDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEAEIQKMVQEAELHSQKDQERKALIDIRNNADTTIYSVEKSLGEYRDKIPAEVATEIETAIADLRSVMTSDDIEKIKANIEAANKAVSKIGQHMSGGGGGAGGSETGGSQGGGEQAPEAEYEEVKK >OGLUM02G35510.1 pep chromosome:ALNU02000000:2:34742334:34744222:-1 gene:OGLUM02G35510 transcript:OGLUM02G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGSGGHAYVYLCYGLHMMLNVVADKEGVGAAVLIRSCAPGWQLFSSVEASRLISHFYSLDQERLVKLWGFPLTGPTILCTHLVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLIPR >OGLUM02G35510.2 pep chromosome:ALNU02000000:2:34742334:34743530:-1 gene:OGLUM02G35510 transcript:OGLUM02G35510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MLNVVADKEGVGAAVLIRSCAPVSGLATIQQRRGQQTDKPLLLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPENILVGPRVGIEYASPEHVAAPWRFAVAGTPWISAPKNTLIPR >OGLUM02G35510.3 pep chromosome:ALNU02000000:2:34743530:34744222:-1 gene:OGLUM02G35510 transcript:OGLUM02G35510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-3-methyladenine glycosylase (MAG) [Source:Projected from Arabidopsis thaliana (AT3G12040) TAIR;Acc:AT3G12040] MKSLPSICTVHPHAHTPTPPPPPVAGGSRPSPMTTTTTSGAPTPPRFKRSPHRKKRQPRTRRVAAGETIAAGKPPHPACTAVSLQSPFGPALPRDFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVEGMRMFTCAMDCT >OGLUM02G35520.1 pep chromosome:ALNU02000000:2:34745476:34746645:-1 gene:OGLUM02G35520 transcript:OGLUM02G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGNLRDLHGDIVERATLARDLLVPITSQLISEGGDMHSLHRRCVLAQGLDFIFQKLDDLQADMVAFGAIEAIALCVILDNTVIVFRDEDSVAIAVHRQEEAKHGLYFAVPPLHLALPICFIKPEIIEVALYPSPPSSEGTIGPTYDAEASAARAMVELNIGLCTISMPLWMRPRHVIVSNENLNLLSPPTTTTTRHGDGGQGPSPKTIIDTEFMLSTKSTLYGPRLGTDGHLWMDGGTITRYTGYTEIDGASVRVVQLSPPNYWMAISEPMKMVANTNSDPI >OGLUM02G35530.1 pep chromosome:ALNU02000000:2:34746970:34751257:1 gene:OGLUM02G35530 transcript:OGLUM02G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWITTASTWCLSSTRCSSQSAIWAASHCHRSVPSPLSRITRLLYSSCCAAAACVITYGGSRRRSKDDVPPSSGSSSEEESSIRRPVLTSNRGALLATNSVASASSYTSTPASPPLHATTAHAYSAPARWSLAARQRP >OGLUM02G35530.2 pep chromosome:ALNU02000000:2:34746970:34751257:1 gene:OGLUM02G35530 transcript:OGLUM02G35530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALWITTASTWCLSSTRCSSQSAIWAASHCHRSVPSPLSRITRLLYSSCCAAAACVITYGGSRRRSKDDVPPSSGSSSEEESSIRRPVLTSNRGALLATNSVASASSYTSTPASPPLHATTAHAYSAPARWSLAARQRP >OGLUM02G35540.1 pep chromosome:ALNU02000000:2:34759153:34760408:1 gene:OGLUM02G35540 transcript:OGLUM02G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLENLRDMCGDIIERATLARDLMVPIASQLISEDRDRYNDLHRHCVLAQDFTNFLQKLNKVSALDQVRTDMVTFGAIEAIASCERPSNALIVFRDEDSVAITVHRQEEVENGLYFAVPPLHLALPSCVIESKIIKNINTVVDAAEACDCAQPEPELPESYDNGDDHSSWSWRQKVTMEHHFSHQFYAVYRVRTLRTKARSGRSPVDGWSQFH >OGLUM02G35550.1 pep chromosome:ALNU02000000:2:34763110:34765625:1 gene:OGLUM02G35550 transcript:OGLUM02G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEFESDAEVLELEDKEQKQSESAMKISNYANIILLVFKVYATIKTGSMAIAASTLDSLLDFLAGGILYFTHLTMKSVNIYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAIEQLVENKAGEKMTPEQLIWLYSIMLSATVVKLALYIYCRSSGNSIVQAYAKDHYFDVVTNVVGLVAAVLGDKFFWWIDPVGAVLLAVYTIVNWSGTVYENAVTLVGQCAPSDMLQKLTYLAMKHDPRVRRVDTVRAYSFGALYFVEVDIELSEDMRLGEAHSIGESLQDKIEKLPEVERAFVHVDFESTHKPEHRVRSRLPSTEP >OGLUM02G35560.1 pep chromosome:ALNU02000000:2:34765673:34769429:-1 gene:OGLUM02G35560 transcript:OGLUM02G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C subunit 3 [Source:Projected from Arabidopsis thaliana (AT1G77470) TAIR;Acc:AT1G77470] MAGATAATPMDIDAAAPPPGAADKGKAPLSSTPGGRAAPWVEKYRPQSLGDVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYGNMILELNASDERGIDVVRQQIQDFASARSLSFGAKQSVKMVLLDEADAMTKDAQFALRRVIEKHTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGTHVRERLKHIIQSEGLDVDDGGLTALVQLSNGDMRKALNILQSTHMASKQITEEAVYLCTGNPMPKDIEQIAYWLLNESFSTSFKCISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRIKLINDLADIEYRLSFACNDKLQLGALISTFTGARTAMVAAAH >OGLUM02G35570.1 pep chromosome:ALNU02000000:2:34770814:34771281:-1 gene:OGLUM02G35570 transcript:OGLUM02G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGYYDDRGAPSSYRYDARQAADGAGTSFHLFIFLATASLLGAASLYSRCESAVESLFDQVRVVVVLSPLLLLLAMQYWAAAPGGGGRRSGLSSLLMAPLVGEHSGGGGGWYDQRRDGSSPWGVALALALVLLLISYQSCFQDWWFPLVNRRR >OGLUM02G35580.1 pep chromosome:ALNU02000000:2:34773185:34782216:-1 gene:OGLUM02G35580 transcript:OGLUM02G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPASRQRRASSAAAAVAVVRSSPQPQQQQQQLPIPQSGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPSVAYAYDRVFAPTTTTRQVYDVAAQHVVSGAMEGVNGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEVQASQNKIIDEKSLIKKYQNEIRRLKEELEQLKMGIITGTPVKDAGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYKRRDIVLDNESNELLSPVEGLGMTLEDSKEEKKNRKGILNWFKLRKREGGASILTSSEGDKSSLTKSTAPSTPIGESVNFPSEPRISNSLVGESASVDLFSIGHGEFATDSLHGEETPLASRKTIDHVDLLREQLKILSGEVALHTSVLKRLTEEAGRSPNNEKIQMEMKKVNDEIKGKKHQIASLERQIPHSISNNQGMADKLELTPSYAELLEQLNEKSFDLEVKAADNRVIQDQLNEKTTECMELQEEVAHLKEQLYQALQAKDSLSNSIMMQKNAGINHETDNHADQELSVPREVPGETSPKEPQSVEIDELKQKVCELIEVKAQLETRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLASELASVRSPTPRRANSGLRGTRRDSISRRHEPAPRRDNNAGYEREKALEAVLMEKEQKEAELQRRIEESKQKEAFLESELANMWVLVAKLKKSQGHDLEDFDTKYIGS >OGLUM02G35590.1 pep chromosome:ALNU02000000:2:34791937:34792782:-1 gene:OGLUM02G35590 transcript:OGLUM02G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQDMRSVDSFSQLPFIRPAPPPQQQARDTIRLFGCEFSNDLQLRPTEAGAGSPDAANGSTVTSEGSNGGDGGTKNGGAATAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYMPGHMYGLFNYHHHIGGRFDHHPPPPPPPPPPPPAHYPMWTSAAPGAFAGPGSMAQPINGSPVQAGLWSVPPPTENFGSTAGRQGADKLATTVAGTPAAGEVACKDEMVPMSLLSSSPSLSSCSSTSPEMLGRCELGQKEGVSLDLHL >OGLUM02G35600.1 pep chromosome:ALNU02000000:2:34805473:34805825:-1 gene:OGLUM02G35600 transcript:OGLUM02G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVQLLLVAIALMSLSSVMVARSADISALTPNCSSVILNPGKACSAAKCNADCSRMYKGTGTCFGPVGCDCEYCPSASAPTATGSKN >OGLUM02G35610.1 pep chromosome:ALNU02000000:2:34807041:34807481:-1 gene:OGLUM02G35610 transcript:OGLUM02G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMTPDPWISLLEEEEEACVSVNKRPKGEDTVTSDGEGEAACANKRLKVEATVTSDGAVVRQRHEAAVAARGYGDRMPLVPQPFWRVRQITEMPDRYRFLDRFTDEQLATMPESLHATLVRIEEGFKKSWVESELRRMEMYNNVI >OGLUM02G35620.1 pep chromosome:ALNU02000000:2:34808790:34809230:-1 gene:OGLUM02G35620 transcript:OGLUM02G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESEMKAFHAALLLLLAMVLVASSSSGVMAAKIAGPKYFQDTCSAVLNPGAPSCDSGECATNCPRQYKGGVGQCIGTQCKCVYTCASPAPASN >OGLUM02G35630.1 pep chromosome:ALNU02000000:2:34812569:34812942:-1 gene:OGLUM02G35630 transcript:OGLUM02G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHAALLILAIVLVASSSSPPGGVTAQLETCTEVINREYPTCDSGLCVANCQRQYRGGIGQCVGNKCKCDYSCAFLPPPPPPPATARN >OGLUM02G35640.1 pep chromosome:ALNU02000000:2:34815573:34816484:-1 gene:OGLUM02G35640 transcript:OGLUM02G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKYDEVEHHQTQAKFRIATTDPTLGQRLLEMKTSQLLALLFALAVVLAAEATPSDSGGVNTDECIGRKILKVPCQRCFSECIRSYSDGIGTCLDENTCSCAFGCGFNPPKASPPPPSELI >OGLUM02G35650.1 pep chromosome:ALNU02000000:2:34823919:34825442:-1 gene:OGLUM02G35650 transcript:OGLUM02G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISYPYNDSGSGVWASYSSRSVPYLHESRHRHAMKRARGTGGRFLNTKQLQLQQQSHTTSTKTTTDSQNSSGSVHLRLGGGAIGDQTPFPFKAMDSQANIKRAAASASTFTVTSAAQKDDAFFDRHGHHLSSFSGHFGQASAQGGVGRMHNGSQQRVPAMR >OGLUM02G35660.1 pep chromosome:ALNU02000000:2:34857997:34858908:-1 gene:OGLUM02G35660 transcript:OGLUM02G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHHSGRLAPPRRNRENPSFSAALLDAIYHSLDADGSLPASPADAEGSPVPGRRRGPSQCNNLSPSASSVRSPRLQKTPRPCRVRPDPQPSLLLPPPQPPPPMPESTGDVAEKKRGRRKNKNGAKSAPFACLLNALLCNRRSARSAEPTTPRALAVAPAAVAVTAAEPASARSILSSRASRRQSAATGGILTPARRAVRFSPVAVVVDDGEHGCRDAGVARLRGAEREVAAAQESAAEAERRVEELLRALGVAEESERAKESSESSSDLFELESLPAFDDAELPRPRAAAGLVLARPRPRVC >OGLUM02G35670.1 pep chromosome:ALNU02000000:2:34862208:34871609:1 gene:OGLUM02G35670 transcript:OGLUM02G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIPRLVSSAPCAISRLNRGGAPISVWRAEQGEGILVGGPQDALDNRSDDNDFDKNDNSDPVVYQLVRVEGDGTLIPATEDEVLQFETFLHDEKVDDDLPSIDDVTHVEEYFTNDCIVKKPEFEEGPSKLDTADVQTQKLDAGLEEDRLCTLNDSIVLPSNCSAVHDQQLDKLNTEQGANIIAQQDNASTETTKSTVLNDLSSDKEKADACSKPVNEASAGQSVSGVTSSVPDFSILKGEVCLDDLTMRELQEAFRATFGRQTTVKDKLWLKRRIAMGLINSCDVPSSGCVVRDYKVIAMGAKQEIPVVEAIPKMELEANLVRDQVMNPGHERDLPSSLSYHSEEQQRSSKRLKRVPTDNDEPQVTIFAEQGTTKRIRKPTKRYIEELSDIDTHESTGRLSSPGKRHVYDEVLLRPRIAPLHEVDSLSTAYPTREDTLGGCSVHVPYVSRMRRGRPRSNFIPFLDPEPSVECTEAPAADVVNLEKEGERKNHKNTGKKGVHVETSGEKDVQGLQDKDFCDSDDNPKTKRGGKRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRRLAFSSYSYRTSVDLKDKWRNLIRASQTQLSTENDGVCPRKSNPSAIPIPVSILLRVKKLAEMQSQAGDVRVPIKFSGQSTTVVQGKVSGFL >OGLUM02G35680.1 pep chromosome:ALNU02000000:2:34868357:34871436:-1 gene:OGLUM02G35680 transcript:OGLUM02G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication protein A 1A [Source:Projected from Arabidopsis thaliana (AT2G06510) TAIR;Acc:AT2G06510] MAMARLTPNGVAAALAGDTNLKPVLQIVELRGVQVNGAGVTRGERFRAVVSDGTAASSALFAAQLSDHARSGALRRGSIVQLSEYVINDVGPRRIIVILNLEVLVSECEIIGNPTALSETGSPIPNPTRVEQFNGAPQYGLMAGNSSNTTTKPSDNVPLFQNSMAGNSSNFATRPSDKVPVFQPTVQPSYRPAPNYKNHGAIMKNEAPARIIPISALNPYQGRWAIKARVTAKGDIRRYHNAKGDGKVFSFDLLDSDGGEIRVTCFNALLDRFYEVVEVGKVYVVSRGNLRPAQKNYNHLNNEWEILLENGSTVDLCPDEDSSIPTQRFDFRPINEIEDAQNNAILDIIGVVTSVNPCTTIQRKNGMETQKRTMNLKDMSGRSVEVTMWGDFCNREGSQLQGMVERGIFPVLAVKAGKVSDFSGKSVGTISSTQLFINPDSAEAHSLRQWFDSGGRDASTQSISRDITPGASRNEIRKTVAQIKDEGLGMGDKPDWITVKATVIFFKNESFFYTACPNMIGDRQCNKKVTKSTTGNWTCDKCDREFEECDYRYLLQFQIQDHSGTAWVTAFQEAGQELLGCSATELNALKEREDPRFADTMLNCLFQEYLLRLKVKEESYGDERKVKNTAVKVEKVDPSGESKFLLDLISQVLGATLADCATLSAARSCVVR >OGLUM02G35690.1 pep chromosome:ALNU02000000:2:34876078:34877473:-1 gene:OGLUM02G35690 transcript:OGLUM02G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMSGRPSGGAGGGRYPFTASQWQELEHQALIYKYMASGTPIPSDLILPLRRSFLLDSALATSPSLAFPPQPSLGWGCFGMGFGRKAEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPPPPSSSATSAASNTSAGVAPTTTTTSSPAPSYSRPAPHDAAPYQALYGGPYAAATARTPAAAAYHAQVSPFHLHLDTTHPHPPPSYYSMDHKEYAYGHATKEVHGEHAFFSDGTEREHHHAAAGHGQWQFKQLGMEPKQSTTPLFPGAGYGHTAASPYAIDLSKEDDDEKERRQQQQQQHCFLLGADLRLEKPAGHDHAAAAQKPLRHFFDEWPHEKNSKGSWMGLEGETQLSMSIPMAANDLPITTTSRYHNDD >OGLUM02G35700.1 pep chromosome:ALNU02000000:2:34891678:34903244:-1 gene:OGLUM02G35700 transcript:OGLUM02G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G35560) TAIR;Acc:AT5G35560] MAWRQARHPATRRATRLGSTQAPSFARAPRAVRQIAPQFQQTPTREKKRRGGGGGEGKGRRPPSHSLLVRRVHFGGGGGARARSGERRAEARRSSRGEASKPSPPPPRQEAFRMVDKNDGSEGLKFNTSHLMQTTEEVARAFIAAASAATVQSTRPSVVYSSREESGSPMQKLQQQFSKILKGFSTSPEVSGSYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGSNEEDVKKPRNIFGNNHHQVHALSNLEPQVLFAYPPERPLPLKYKDILSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLTSEKPVFPRRSRYVITTPRCYCIFSRLPFFELHFGVLQSILMEERLEWLTDGVSLLTSLSPEETCEDDVVCEGTELATEKQYFDGHAVDLEKSSESSVGVCSKELSDTDSSSECRDNQLDLNCKEVQQECVVQDDLVTGTAPQCDTLERPDNCLSEDTTADQSGIELHELDSVPVILKESDTTENCGYSLQDDVNDEQLDIFVNDTILPLMRSRLCEGSESSPGSQDSPSEGINFRSDSHESDLEEPSSIGHGDLVGHNNISQWAKAKKYGSLQVVSQYYQLQCPARGSSLTFHPLDHLHPLRFHRPGETVLHIAGSTIDLRSCDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIIIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPNDMMDFLDAPVPYINKTSDVHSRLVNAVVIDANKNQIKSTSVPQLPQQKELLSALRPYHSRLVGESFLARKRPVYECTDAQVEAAKGFLAVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPTRERPFMKL >OGLUM02G35710.1 pep chromosome:ALNU02000000:2:34906163:34906616:-1 gene:OGLUM02G35710 transcript:OGLUM02G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWADAIDISTNAFRDFSPNNFQTKMQQMDFRNDPISRLYESSGRENSAKILLDSSIKVNGRANAALETMAKAERGGMAWVRVRLVHAKIVSLIEIGTM >OGLUM02G35720.1 pep chromosome:ALNU02000000:2:34915053:34922764:1 gene:OGLUM02G35720 transcript:OGLUM02G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFHRNLANNNLEGPIPDNISSCMNLISFNAYGNKLNGTVPRSLHKLESITYLNLSSNYLSGVIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEIDLSSNQLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSCVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHAGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >OGLUM02G35720.2 pep chromosome:ALNU02000000:2:34915053:34922764:1 gene:OGLUM02G35720 transcript:OGLUM02G35720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGNKYSRTITTTRAKVAVIHMDVPPVRHPQDTPPPPSPSRQSLPLPSLPLSLPCAHSSQLCSSQAKKPTQPIRTAETPAAALVKPQQSVVDAPARTPRLGAMTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLELNDNQLTGFIPAELGKLTGLFDLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGVIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEINQLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSCVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >OGLUM02G35720.3 pep chromosome:ALNU02000000:2:34915053:34922764:1 gene:OGLUM02G35720 transcript:OGLUM02G35720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPERGNKYSRTITTTRAKVAVIHMDVPPVRHPQDTPPPPSPSRQSLPLPSLPLSLPCAHSSQLCSSQAKKPTQPIRTAETPAAALVKPQQSVVDAPARTPRLGAMTTTTTTRLLLAAILLAVAAADDDGQTLLEIKKSFRNVDNVLYDWAGDGAPRRYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAIGNLKSVESIDLKSNELSGQIPDEIGDCTSLKTLDLSSNNLGGDIPFSISKLKHLENLILKNNQLVGMIPSTLSQLPNLKILDLAQNKLNGEIPRLIYWNEVLQYLGLRSNNLEGSLSPEMCQLTGLWDLSYNRLTGEIPFNIGFLQVATLSLQGNNFSGPIPSVIGLMQALAVLDLSFNQLSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMSTLHYLNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGVIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEINQLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSCVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >OGLUM02G35720.4 pep chromosome:ALNU02000000:2:34915053:34922764:1 gene:OGLUM02G35720 transcript:OGLUM02G35720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFHRNLANNNLEGPIPDNISSCMNLISLNLSSNYLSGVIPIELAKMKNLDTLDLSCNMVAGPIPSAIGSLEHLLRLNFSNNNLVGYIPAEFGNLRSIMEINQLGGLIPQEVGMLQNLILLKLESNNITGDVSSLINCFSLNVLNVSYNNLAGIVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCYSTSHVQRSCVSRSAILGIAVAGLVILLMILAAACWPHWPQVPKDVSLSKPDIHALPSSNVPPKLVILHMNMAFLVYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYLENGSLWDVLHGSSKKQKLDWEARLRIALGAAQGLAYLHHDCNPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCTSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKAADNTVMEMVDPDIADTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDCLVYPDPPSKPALPPALPQSSTVPSYVNEYVSLRGGSTLSCENSSSASDAELFLKFGEVISQNTE >OGLUM02G35730.1 pep chromosome:ALNU02000000:2:34923312:34924722:1 gene:OGLUM02G35730 transcript:OGLUM02G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRARRERKWDALSVPVRFSTCCRGRDRDATRPAVRGRRRDALGWDAAFDRARGPSSSSVPFSWAGRRPITGHQCASAGPQDTGNGVPGHHPTDGASAAAAVCGGGEGVWRRGRRSPGAGAPSTTGTTVGGGLGRIAEGTMLLTDDDPSCANWWCGFGQGITGESLAEPFGWLTTATPFGVVPLLGGVHTPFLSLPYSPGENLASVPNERWRRSTSHPPWGHRFGETSSYKDIVIGLCIGFELQS >OGLUM02G35740.1 pep chromosome:ALNU02000000:2:34928800:34946356:1 gene:OGLUM02G35740 transcript:OGLUM02G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGLKVWVEEKGEGWVEAEVVEVKDRAVFVLTSQRKKITVLAEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPRSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLRMAAKLFMCDPDLLISTLCTRSINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEKIDWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFVISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGSLPEESLRSSYKFSSVASRFKGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLENFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKMYMVKRETAAAIIVQKYVRRWRLHRTYQQAHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVKIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKLDDLTLRLTLERRLRAAGEEAKSVEILKRDKMIESLSAECAAAKSDAQSEHDKNRLLQRQLDDSLREITMLRGSKIMTAEAEKENSNLKNLVESLSKRNSSLEYELTSARKGSDDTMKKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKALNMSPLNNMSMATKAFPQKFATPIGLPNGEQKHGYETPPAAKYLASLPQSLTGSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVAACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKGEEADGRLPYWLSNTSALLCLLQKNLRSNGLFATPSRRSGGPLGIGDKIVQTLRSPSKLMGRIDTLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQLGKASKPPGVGAQPPSNSHWDNIVNFLDLLMSTLHANYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWISDATDEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKYTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAIDYADVELPESLHHYPSVQLLVKHHDPQPV >OGLUM02G35750.1 pep chromosome:ALNU02000000:2:34948380:34951060:-1 gene:OGLUM02G35750 transcript:OGLUM02G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLRCFFGGGGGGDETGGDEEKKASAAAVVKNKKAVRRMRSATGRLRSLSLEDLSRTLAQSGLQAFTLAELKAATRSFSGSNFIGEGGFGPVYKGFIDAKLRPGLLQPQHVAVKYLDGEGDQGHREWLAEVVYLGMLSHPHLVKLIGYCCQDDHRMLVYEYMARGSLEHHLFKNLLSSLPWATRLKIAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRPERLHRVMDPSLEGGYSDKAAGKAAMVAYHCLHSVPKSRPHMRDVVAALEPLLQTSCGDVLAGPFVYTVPSAAAVVVAAKDDEKKAAAAAGEDGEEEVAAAAKAKRRYVASAVHAEGAMRKGEHRYASSVSGSPRQSRDRGG >OGLUM02G35760.1 pep chromosome:ALNU02000000:2:34966672:34987650:1 gene:OGLUM02G35760 transcript:OGLUM02G35760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) TAIR;Acc:AT5G49030] MDAASCCRVFSTQRCRFPLRRLAPPISRRPFGTESTSELFAASTSKRRSRGPVMAAKKAAEGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFIPGWDCHGLPIELKVLKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQSVLESESTSGGNQRKLGSILSPGSQKPFIIVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVPKDDVDFLSVILELRSEVNKILESARTGKLIGSSLEAKVYLHAENANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >OGLUM02G35760.2 pep chromosome:ALNU02000000:2:34966672:34987650:1 gene:OGLUM02G35760 transcript:OGLUM02G35760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase class I (I, L, M and V) family protein [Source:Projected from Arabidopsis thaliana (AT5G49030) TAIR;Acc:AT5G49030] MDAASCCRVFSTQRCRFPLRRLAPPISRRPFGTESTSELFAASTSKRRSRGPVMAAKKAAEGAKQEEGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGATFVLHDGPPYANGDLHMGHALNKILKDIINRYKSLDKETLNALTPIKLRQKAAKFAKATVTTQMNSFKRFGVWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISKSMYAAFKITNLSKPGLLEEFLPNLCLAIWTTTPWTIPANAAVAVNPELTYVVVELQSVLESESTSGGNQRKLGSILSPGSQKPFIIVAADLVSALESKWGTKLIIQKSFPGSALEHCRYIHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIVSPVDDEGNFTAEAGQFSGLSVLGAGNAAVVNYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRRVSWIPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSNEPLITEETIEHIKGIVSKKGSDAWWYMTIEELLPEKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLNFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSSVITHGFVLDEKGFKMSKSLGNVVDPEKVIVGGKNSKQEPGYGADVLRLWVSSVDYTGDVLVGPQILRQMSDMYRKLRGTMRFLLSNLHDWKPENSVRYSDLPKIDKYALFQLENVVTSMKDSYENYQFYKIYQILQRFAIVDLSNFYLDVAKDRLYVGGRVSFTRKSCQTVLSAHLLYLVRAIAPIMPHLAEDVWQNLPFQHTLDDGSVAEFVFNLKWPVKNEEWLSVPKDDVDFLSVILELRSEVNKILESARTGKLIGSSLEAKVYLHAENANTVSKLKELVSATNDADALHRLFITSEVEILPSVSSETTSGVSYTGTFSSERTGNIWIGVTRADGEKCERCWNYTRDVGSFLDHPTLCARCHGVIDLPPVPAAAAVS >OGLUM02G35770.1 pep chromosome:ALNU02000000:2:34988018:34988592:-1 gene:OGLUM02G35770 transcript:OGLUM02G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFLAVGRPARGRRWKGRKPAESGWPVCRRWCLPTATCNLLLQLCLSLFVHLLSSLCMG >OGLUM02G35780.1 pep chromosome:ALNU02000000:2:34989890:34993927:1 gene:OGLUM02G35780 transcript:OGLUM02G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLAGLRFGSQVREEHAIQILLAKLANATFGRLWNEVEERHACNILMLAAATVPPFENISPKMLADSMTLGRDNGRTREPVDQHSLEENHSGCTCVAVPRIILPEDATEPKTGIKFPTLLEDNSNPTSEVLVGMGFRSMRIMRVKNLNLYAFGLYIQPDSICKRLGPKYASVPVSELKDHPDFYEDLLRENIHMTVRLIVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEEICIPAGTKIDFRQTSDGQLITERAFFDMYIGDPPVSVETKQDIAQNVGGLIRRCY >OGLUM02G35790.1 pep chromosome:ALNU02000000:2:34994452:34997005:1 gene:OGLUM02G35790 transcript:OGLUM02G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9A4 [Source:Projected from Arabidopsis thaliana (AT3G43860) TAIR;Acc:AT3G43860] MKPRSSRDGHNAAAAAALLLAALVLSGDVLPAVVAGGAPSFNYKDALTKSIMFLEAQRSGKLPPTNRIKWRGDSGMEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTAMAFEKELKAAGELENVHAAIRWGTDYFLKAATKKDHLWVQVGDPNADHQCWVRPENMPTPRTLYQINDKTPGSEIAAETAAAMTASSMVFRKDKPYSRRLLNKAKLLFQFAKTHQGTYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFIGHEAISSSVAEFSWDLKFPGAQVLLAELNMTSSGGLQSFKSQADNFVCAVLPDTPFHQVSITPGGMIHLRDGANSQYVTSTAFLFVAYSDILRRINQPVMCGAQAVQPARLLQFAKQQIDYLLGANPRGRSYVVGFGVNPPTQPHHRGASTPVLPPGYQVNCGMSFSEWFTPDRPNPNELTGAIMGGPDGGDNFSDKRGNSSCTEPCTYINSLSIGPLAALAIRGPNLIATQ >OGLUM02G35800.1 pep chromosome:ALNU02000000:2:34998197:35001295:1 gene:OGLUM02G35800 transcript:OGLUM02G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIALESGPGLGGGGGGGGGPRFGRVARCAYAASPPPASVGARSSSSVGRDSDSPAAAAKWEWDGEEVEGGDGEVQSSYKGPFDTMDALQEALPFRKGVCKFYNGKSGSFAKLQDSVIPSPPEKSLPKPENPSPRKRKGLLPFSFKWGKPQNKEVFPEDDVIISPTNCRRMTLSPAATSSSGSNSGSDDEHYRSPKLHTRQPLRRPSNAAMGVFASPPAPRPPQVLSAHMRSHSMLDLQDVTESTAMVSPRDKRRRN >OGLUM02G35810.1 pep chromosome:ALNU02000000:2:35006574:35010652:1 gene:OGLUM02G35810 transcript:OGLUM02G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSVLSLALLPTSDANGLPSWASGCVEGATTTLVLCCLLAVAAAAAATWIGHDDQQDDDVSTYIVHVMTAHAPRLATHRIARDHYAPFLRELLFPPHVARPPPRLLYSYAHAATGFAARLTARQAAHLEAHPCVAAVVRDEAYELHTTLSSSFLRLSPSSGLQAESNSATDAVIAVMNSTMRPSYQTRLCPQHRLLPFVANMFYEGYERASGKPINETEDSKSPLDTTGHGTHSAAIAAGSPVSDANLFGLANGVAKGTAPGARIAVYKVCWKMGCFGSDVVAGMDEAIADGVDVISLSLAVNRKRTFAQDPTAISGFNAVRKGIVVVASAGSGGPKESTVTNTAPWLLTVGALSMNRQFQTIVVLGDGQTFSGTSLYLGDTDGSMKSLVFGGFAGSAACEIGKLDATKVAGKIVLCEAGQVLDVEKGVAVAQAGGFGVIVSSRSSYGEYAKATAHLIPGTTVPNAAALEILRYMARTSYPVGKILFFGTVLSSSPRIASFSARGPSLAAPEILKPDLVAPGVSILAAWSGLVSPTELDVDTRRVKFNILSGTSAACPHVSGVAALLKMARPSWTPAMIMSALTTTAGLDPGLVYDAGVDDYLDVLCALGYSDEDIVGIFLRDRTITNCSTRASTTVADLNRASISVAVKAYGDEITVRRTVRNVGGSVDAVYTVGGVPPPGTQLRIRPSNLVFDAEHQTRTYDVVIRTVSSGSFDEYTHGSIVWSDGAHKVRSPIAVTWPPSQSAAVAAI >OGLUM02G35810.2 pep chromosome:ALNU02000000:2:35003946:35007016:1 gene:OGLUM02G35810 transcript:OGLUM02G35810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLVVILLLCSSLLAVAAAAASPPSSTAAGHAGEPDDDVVSTYIVHVMPAHAPRRLPTHRASRRLTRGYASLVRGLLPRHIADPAPRLLYSYAHAATGFAARLTARQAAHLEAQPSIAAVVRDTAYQLHTTWSSDFLNLSPSFGLQAESNGAVDAVIGVIDTGIYPKDRASFAPDPSLPPTPPPTFRGSCVSSFRDSNASAYCNNKLVGAKTFYRGYEAQNGPIDERVQTKNPNWRVRAGPHGPRHAGHAVPNANLFGLANGIAKGTAPSVRIAMYKVCWEYPIPKCITTDILAALDEAIADGVDVISISLGSPG >OGLUM02G35820.1 pep chromosome:ALNU02000000:2:35012461:35014815:-1 gene:OGLUM02G35820 transcript:OGLUM02G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14067) TAIR;Acc:AT3G14067] MATLRHLAAVLLILFAAASPAAAAAREQSTYILHLAPEHPALRATRVGGGGGAVFLGRLLRLPRHLRAPRPRLLYSYAHAATGVAARLTPEQAAHVEAQPGVLAVHPDQARQLHTTHTPAFLHLTQASGLLPAAAAASGGASSPIVGVLDTGIYPIGRGSFAPTDGLGPPPASFSGGCVSTASFNASAYCNNKLIGAKFFYKGYEAALGHAIDETEESKSPLDTEGHGTHTASTAAGSPVTGAGFFDYARGQAVGMSPAAHIAAYKICWKSGCYDSDILAAMDDAVADGVDVISLSVGAGGYAPSFFRDSIAIGSFHAVSKGIVVSASAGNSGPSEYTATNIAPWILTVGASTIDREFPADVVLGNGQVYGGVSLYSGEPLNSTLLPVVYAGDCGSRLCIIGELDPAKVSGKIVLCERGSNARVAKGGAVKVAGGAGMILVNTAESGEELVADSHLVPATMVGQKFGDKIKYYVQSDPSPTATIVFRGTVIGKSPSAPRVAAFSSRGPNYRAPEILKPDVIAPGVNILAAWTGESAPTDLDIDPRRVEFNIISGTSMSCPHVSGLAALLRQAQPDWSPAAIKSALMTTAYNVDNSGAVIKDLATGTESTPFVRGAGHVDPNRALDPGLVYDAGTEDYVSFLCTLGYSPSIISLFTTDGSVANCSTKFPRTGDLNYPAFAVVLSSYKDSVTYHRVVRNVGSNANAVYEAKIDSPSGVDVTVSPSKLVFDESHQSLSYDITIAASGNPVIVDTEYTFGSVTWSDGMHDVTSPIAVTWPSNGRAASM >OGLUM02G35830.1 pep chromosome:ALNU02000000:2:35014924:35027660:1 gene:OGLUM02G35830 transcript:OGLUM02G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPAWARGILYPFGRRSSLITGLNASCYILIGKQRAREKREEMVDVKHTAGHAGARRVKLFRVPRRPARAAEEAGAPLVPAGERRKRKMAVARLGGGAGGRRRLFGAFRRLRSICVTVLA >OGLUM02G35840.1 pep chromosome:ALNU02000000:2:35041095:35041298:1 gene:OGLUM02G35840 transcript:OGLUM02G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRLGDGSRRPRRFMGALRRLRLRWVVAAMYRRALRRLRACYAKAIRDVLEGAALVGAARADAGV >OGLUM02G35850.1 pep chromosome:ALNU02000000:2:35042085:35043870:-1 gene:OGLUM02G35850 transcript:OGLUM02G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >OGLUM02G35860.1 pep chromosome:ALNU02000000:2:35043276:35043656:1 gene:OGLUM02G35860 transcript:OGLUM02G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKWMDGFQEKLNSGANFLEEMNSVVSLVKKQRINDPSMMNWSLQLKDAIDEVEFTRTARTTRIVKESPCALLRLFFSEGATAVAPWARAMGGGGGRVDAPTLCCDRERCSTGWRRRQEREKRRKP >OGLUM02G35870.1 pep chromosome:ALNU02000000:2:35044754:35049883:1 gene:OGLUM02G35870 transcript:OGLUM02G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGVVSREVLPACERLCFLCPSLRTRSRHPVKRYKKLLSEIFPKSQDEEPNDRKIGKLCEYISRNPLRVPKITVYLEQKFYKELRVEHFGSVKVVMAIYRKVICSCQEQLCGGGREDDNDDEEGGAYGGNGKGEQAFVVLMVSSAAASASTSTTVSGSVHVGLAAKNLKLMHEGAQDLNLAFPHHRGRALQLPEFMAFPSLESSNMCNPGGNMAVANGVGGRGSVDSTYMFNLESQIPKLCQLAQEMGEKEKISIVHAAGLQALSSMFGPAVHPISEKEMEAALW >OGLUM02G35880.1 pep chromosome:ALNU02000000:2:35054702:35056255:1 gene:OGLUM02G35880 transcript:OGLUM02G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEQMAGHAVAPRREAPFRATTRRAVSRRRKKMAVVRLGDGSRRPRRFMGGAPEAAAAVGVLVVAMYRRALRRLRACYAKAIRDILEGAALVGAVRADAGETMSHTRTSIYCQMTATGLLTAVVIRADLIAAGLQLGRATLSMAATPRHGRAIDDAKLDAPVVDSDGAWRVFSRPCREDVDTGCYHIRLEDLSRDAVWPTAREGSDPRSRWRLADHGFMVPRNSILATGLVVDRR >OGLUM02G35890.1 pep chromosome:ALNU02000000:2:35055587:35059198:-1 gene:OGLUM02G35890 transcript:OGLUM02G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKSSPSPAPARGNIAVDLRPFLVEFNDGRRWVLVRHETVAASDDKTRSGNGVVTKDVVIDDETGVSVRVFLPVDAAVAAAAGDGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYDDAWAALRWAASRRRRRLSDDTWVGDYADRSCVFLAGESVGANIVHNVAVRAGEVFDDDDDDIDIEGMILLQPYFWGTKRLPCETPDACWRTSGPPPMLLPERIDALWPYVTAGAAANNGDDPRIDPSAEAIASLPCRRALVSVATEDVLRGRGRRYAAAWGDSGSHRAATLVESKGVDHCFHLLLEFSSHAETGRDLFLPAVDDETGCKNRVPWHHESMISQSPSAPRVASFSSRGPNRIAAEILKPDMIAPGVDILAAWSGENPPSSIRVDDRRVEFSIIYGTSMAWRRRHAQGGTTKLEPGGDQVGPDDDSLQRGQRWQHPSSSCPRANRPGRSSSAPAMSTPINRALDPGLVYDATADDYITFLCSLGYTRRQISLFTNDGSVTDCSARPQRRVRDLNYPAFSFEFISVAGSRSAAA >OGLUM02G35900.1 pep chromosome:ALNU02000000:2:35066302:35068623:-1 gene:OGLUM02G35900 transcript:OGLUM02G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLLVVFSLLHALVLATSVGVEHAADDVSTYIIHVAHVHAAPPTHASQCMDQLAIAHYTSFLQGILPSHLSEPTPRLVYAYSHAATGFAAKLAKHQATHIVHHPSILAVFPDKRNELQTTLSPSFLGLSPSNGIVQASNGGGTGAVIAVVDTGVYPKNRRSFTADPSLPPPPSTFRGRCISTPSFNATAYCNNKLVGAKYFCRGYEAALGHPIDETQESKSPLDTEGHGTHTASTAAGSAVPGANLFGYANGTAQGMAVRAHIAIYKVCWAKGCYDSDILAGMDEAIADRVNVISLSLGGRSEQLYNEPTSVGAFNAIRRGIFVSAAAGNDGPDMSTANNLAPWMVTVGASSINRQFPANVILGNGKTYVGTSLYSGRNTTASLIPLVYSGDAGSRLCEPGKLSRNIVIGKIVLCEIGYAPAQEAAVQQAGGVGAIVPSRNIYGQFFLSSPDLIPASTVTFADANAIYSYTQSTANPVARIEFRGTMISQSPYAPRVAAFSSRGPNRFVAEILKPDIIAPGVDILAAWTGENSPSSLSIDTRRVEFNIISGTPMACPHVSGIAAMLKVARPDWSPTAIKSAMMTTAYEVDNGGNAIMSSVNGRAAGPFELGSGHVDPNNALDPGLVYNATADDYIAFLCGLGYTPNQIAIFTRDGTTTYCSRRPPVEDLNYPAFSMVFARSGGQVTQRRTVTNVGANTNAVYDVTITAPPGTRLTVAPMRLTFNVQRKTLDYAITLSAGSSNSPYNAWGDIVWSDGQHMVRSPVVATWK >OGLUM02G35910.1 pep chromosome:ALNU02000000:2:35081155:35087415:1 gene:OGLUM02G35910 transcript:OGLUM02G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTHWYGLQVWFMGEHSHISAELDNVVSAVLENYESPYANSDNDAAIEDRRTQWVSEVLKAEDHEPSGITILTRVPSWKAIRAPRGELSLTTEESESPNFWSGICLHNLARISREATTVRRVLEAIFRYFDNNNLWSPSKGLALCVLLDMQIVIEKSGQNSHILLSMLVKHLEHKNVLKQTDKILDIIEVTTRLAEHSKAQSSTALMAAISDMVRHLSKNMQLLVSDVGPGNGMVMNDRYGKATDECLVQLSRKVGDAGPILDALAVVLENISSTTPVARSTIAATYRTAQIIASLPNLLYQSKAFPEALFHQLLLAMVYPDCETHLGAHRIFSVVLVPSSVSPCSFSGSSQTSKIGIKRTLSRTTSVFSSSAALFGKLKRDVFSFRENSRLDGTNLIPISEDSDQINGNDPKLFKSQTIQRMYSAKDSFVTSSSEISNLSGTTQETDPVTLMLSGRQAILLLSSLWTQALSPENVPRNYEAISHTYCLMLLFSEDKLSACFFIAKHFITSRFFATITQAFTLYYGNFYACVDPFLRLVEDCKLQVVESCLTVYGSKDDDDLALKSLSNININDQSKQASVSLILDSLKDLSEAELSTIRKQLLEEFSADDACPPLGSHSNESTSQSPAYNAKLHQKSLEVIPVGFIFEDDTLVEPANSLAEPQLQQPLDNGLIDVNQLLESVVETSRHVGRLSVSTNLDLPFKEVANRCEALLIGKQQKLSVCMSVHQKQDGESPMDKLGSPQQISPTAGFVSTNDEQCHSDFCKLPVLSPYDKFLAGSGC >OGLUM02G35920.1 pep chromosome:ALNU02000000:2:35088336:35092222:1 gene:OGLUM02G35920 transcript:OGLUM02G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPAALQLIGRRKGSKLPSTVTTLNPNAAEFVPSTFRSPFGSKTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGEQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGQLEKAQIMICIGTGHHTKCSREARLPDALEHFLLDEGLHHTQP >OGLUM02G35920.2 pep chromosome:ALNU02000000:2:35088764:35092222:1 gene:OGLUM02G35920 transcript:OGLUM02G35920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSAGLGAVGGWLICKKMSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSKTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGEQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGQLEKAQIMICIGTGHHTKCSREARLPDALEHFLLDEGLHHTQP >OGLUM02G35920.3 pep chromosome:ALNU02000000:2:35088336:35092222:1 gene:OGLUM02G35920 transcript:OGLUM02G35920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPAALQLIGRRKGSKLPSTVTTLNPNAAEFVPSTFRSPFGSKTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGEQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMASMCSESRGEAGDFARIRNTCFEQATQAYMMGNKALAKELSMKGQLYNLQMKAAHETAREAIYQQRNPFSSEQGQDRLIDLHGLQVSEAIQVVKAELALLMGQLEKAQIMICIGTGHHTKCSREARLPDALEHFLLDEGLHHTQP >OGLUM02G35920.4 pep chromosome:ALNU02000000:2:35088336:35092222:1 gene:OGLUM02G35920 transcript:OGLUM02G35920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHGFSTKGGDAGSKLPSTVTTLNPNAAEFVPSTFRSPFGSKTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGEQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMGNILIQTF >OGLUM02G35920.5 pep chromosome:ALNU02000000:2:35088336:35093060:1 gene:OGLUM02G35920 transcript:OGLUM02G35920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPPAALQLIGRRKGSKLPSTVTTLNPNAAEFVPSTFRSPFGSKTVADVSKPDFRGLSGKTNLGRSESSKSNNSDDETHQFWHRQLPDDIIPDFSDMEKVEQQHGELSFSGLSLNAPPFFGTAASNLSREHHGLLSQAGKNLDLGHNDLYYDENSGSNSGEQNHADNLCYTNGKLDLLYDHDPLEYLASQFPGFSVESLAELYCANGCDFDLTVEILTQLEMQVDASSCQNLNLAPNTPNIGTGNFPVLPGTEDLNCLFEGNVGAHGITNRHNSSTMSRTGDFVSAIQRLALQDAGHMKFKNESPKYANGLLSIVARKQYGCDTRSSLGNKFLKASSNVHSAPVSLKTGDAMGNILIQTF >OGLUM02G35930.1 pep chromosome:ALNU02000000:2:35093907:35097921:1 gene:OGLUM02G35930 transcript:OGLUM02G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENHCLVHFPSFMRTQFAPLLGGYGSSLVDPRGKLVARSFDILGDVAFSLQDSLKVPVYSLPDIISAGLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >OGLUM02G35930.2 pep chromosome:ALNU02000000:2:35093907:35097921:1 gene:OGLUM02G35930 transcript:OGLUM02G35930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFENHCLVHFPSFMRTQFAPLLGGYGSSLVDPRGKLVARSFDILGDVAFSLQDSLKVPVYSLPDIISAGLYSTFVFQKPDPDAMPPIVTPELHDPENDQEEEWLWTQQASMDDGRVSRTDILPPADNEKGILGPGPADMRL >OGLUM02G35940.1 pep chromosome:ALNU02000000:2:35098734:35104613:1 gene:OGLUM02G35940 transcript:OGLUM02G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAIIEEVGGTAEDVLSETNDNEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVNDCLDSEVP >OGLUM02G35940.2 pep chromosome:ALNU02000000:2:35098830:35104613:1 gene:OGLUM02G35940 transcript:OGLUM02G35940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mono-/di-acylglycerol lipase, N-terminal;Lipase, class 3 [Source:Projected from Arabidopsis thaliana (AT3G14075) TAIR;Acc:AT3G14075] MATATMATAAGAAALLYYTLNRRLQVEKLNQEGDCGNERDAATRGALSTTSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLKRQGNVPVASIYAGDDSVELNGAPVIADLKHLLNLLTLCWHFSKKPFPLFLEATGYSSEDVLMQEPKAGILKPAFTIILDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALHTHPDYKIKIVGHSLGGGTAALLTYVLREQQEFASTTCVSFAPAACMTWDLAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSIANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRTNTVSTSTVTSEEIRATTNDGSESTSLLTETTEIVKTETMQFASSEEVQSSSEVSDAVGMMDEKVDSDGEDIIDHHVDEDRMTDVELWQQLENELYRRSEDDEIVEDMTESAIIEEVGGTAEDVLSETNDNEVHRFYPPGKIMHILTSTIEETVSAEESSVPHEDDTTGDSDTRIGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLIAELEKDSSFPVNDCLDSEVP >OGLUM02G35950.1 pep chromosome:ALNU02000000:2:35105043:35114542:-1 gene:OGLUM02G35950 transcript:OGLUM02G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G35740) TAIR;Acc:AT4G35740] MPTGGGKSMCYQIPALVKSGIVLENQVASLKSKGIPAEFLSSTQTSHNKQKIHEDLDSGNPSLKLLYVTPELVATSGFKAKLTKLYNRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRNQFPDIPILALTATAVPKVQKDVISSLCLRNPLILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIVYCLERAVCDDLTMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSKSVLYYGLDDRKKMEFILRNTKNKKSELSSSSTELSEKALADFSQELRRVPTCRYNKISPVFKSSLANPKHMETEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLLFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSLDQIQDRLHALADQITDHGAAASSPSIVPESPPASPDVICKIPGEATSNEAKDIPLKNNTEEFVTTEHSDEIAKVAVLSENMEFPKIPSFREFMSQKGRDRATSSSKVESLPSGVRRKVGIEKQGTTGPSKKMKS >OGLUM02G35950.2 pep chromosome:ALNU02000000:2:35105043:35114542:-1 gene:OGLUM02G35950 transcript:OGLUM02G35950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G35740) TAIR;Acc:AT4G35740] MHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQGIDRQDVRIVCHYNLPKSMEAFYQESGRAGRDQQPSKSVLYYGLDDRKKMEFILRNTKNKKSELSSSSTELSEKALADFSQELRRVPTCRYNKISPVFKSSLANPKHMETEFWNREDDASISVEDISDSDDGKEVVSNIAISKLPSKAGLDAKFKALERAENAYYQAKGQTKQQGGKLVDKKSISQTLRDASQKRLLNGLGQAKLRLGNLLFDEEPSAAHLEVECFKKYEKVGKTFYNSQIAATVRWLSSSSLDQIQDRLHALADQITDHGAAASSPSIVPESPPASPDVICKIPGEATSNEAKDIPLKNNTEEFVTTEHSDEIAKVAVLSENMEFPKIPSFREFMSQKGRDRATSSSKVESLPSGVRRKVGIEKQGTTGPSKKMKS >OGLUM02G35960.1 pep chromosome:ALNU02000000:2:35116037:35119659:1 gene:OGLUM02G35960 transcript:OGLUM02G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSGGGGRLHPHGQRRSVAAFLAANKTLLAAAWVVGFTLVFLWQSAKVSVGGGGGGGGFLRLRSAPPPPLLRPKAYELTDFGGVGDGRAVNTEAFERAVKAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGSEILGIPSFREVQKLVLLSGFDRDERYWPLMPALPSYGYGRERKGPRFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRILNNTRPPLLQLMWSKDIIVANITLKNSPFWHFHPYDCTNITVSNVTILAPISSAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSRNIVIRNVMARSLVSAGISIGSEMSGGIANVTVEDVRIWESRRGLRIKTAIGRGGYIRDISYRNITFDNVRAGIVIKVDYNEHADDGYDRDAFPDITNISFKEIHGRGVRVPVRAHGSSDIPIKDISFQDMSIGISYKKKHIFQCSFIEGRVIGSVFPKPCENLDLYNEQGQLVKRAAMWKLHGYMTRSSGVKAVNKRSIMKQGWTELEEVVNFLF >OGLUM02G35970.1 pep chromosome:ALNU02000000:2:35123150:35123452:1 gene:OGLUM02G35970 transcript:OGLUM02G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPELSRADEVDDGDGGGSTAEMVNDDGCNGGGERGSRRGGRGGARGADAGTTVAGAVLPSATVLPCCHPRPPSYCRAGAAVETRTTRRRRRRRRKM >OGLUM02G35980.1 pep chromosome:ALNU02000000:2:35128569:35129201:-1 gene:OGLUM02G35980 transcript:OGLUM02G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPVAASPPEMEKKYKGVRRRKWGKWVSEIRLPNSRDRIWLGSYDSPEKAARAFDAAFVTLRGHGAAGADLNFPDSPPSCDARSSDPRQVQAAALSHANRAHVTPQQAAAALMSPPSLSPPPGFATGSEVVAPAVRADGSIDWRPVMAHPPPLYSPPGWGGGHAYDFLQPPPPSPPLPSCDDDMVDVVDESSASLWSFDTRDYYFRY >OGLUM02G35990.1 pep chromosome:ALNU02000000:2:35135880:35138058:-1 gene:OGLUM02G35990 transcript:OGLUM02G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVQFSAAGVGAVAFATKGMASRDALRLPPPAAVRVLRQAPRPSRGLVVRAAAAIVAPKYTTLKPLADRVLVKIKSAEQKTTGGILLPSAAQSKPQGGEVVAIGEGRTVGDNKVEVSIQVGSQVVYSKYAGTEVELNDSNHLILKEDDIIGILETDDAKDMKPLSDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGKRIPLSVSAGSTVLYSKYAGSEFKGSDGTSYIVLRVSDLMAVLS >OGLUM02G36000.1 pep chromosome:ALNU02000000:2:35138471:35144939:1 gene:OGLUM02G36000 transcript:OGLUM02G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTDQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINAKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSTVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVVFFTPVTETKEAEYSARMIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVVYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >OGLUM02G36000.2 pep chromosome:ALNU02000000:2:35138471:35144939:1 gene:OGLUM02G36000 transcript:OGLUM02G36000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVQSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPELIAMSISILSQKMLVVVVGLPPPPPNYHLPAFCFEDCINAKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYASEWLSHRATNKPFYLLVSAISLMIVSCLSLMGIVSCLLKGKSLSQFEHHSEWNSDYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSTVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVVYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >OGLUM02G36000.3 pep chromosome:ALNU02000000:2:35138471:35144939:1 gene:OGLUM02G36000 transcript:OGLUM02G36000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT2G22530) TAIR;Acc:AT2G22530] MAGGGGASPSCAAVAGWTVTAVLLQVVGLSMFLYGFFPVKPTLPGFSGAESYRMPSCGLAGGGEQPALPPDQLRSLYRELSEVPPVYDRLVLMVIDGLPAEFVLGRVGKPPSKEMVESMPYTQSLLAGCKATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLDDNLLDQLHMIGYKLVMLGDETWIKLFPKLFWRQDGVSSFYVKDTVEVDFNVSRHLESEFAAKDWNMLILHYLGLDHVGHIGGRQSVLMPQKLKEMDDVIRRVHNAVSGLEDNLDRTLLSSYCSPYDQNEALQVDLAPTLSLLFGTPIPKNNIGVVLPEVFNSLTDQQKLRTLELNSLQFLRLLQAQLPAFCFEDCINAKYGLGIDKIPQSVEKKLCHLLSKAFDSHHPSHLHQTSNVKSIEAGCNRTAVNAYYEFLRYANYHWHLDEVFIIMGIFLYVSSLGSSSFVEEEQYTWHYLTSTLYLIFLIKTTQSMLRESNSTVARAEGKIFHGNDCSYFTSCKLIPSMRDGYKLCTIIIILVSGRILRAWHQGGVNWVHFPDISKSLAQADSFVVKALQIISVLAVVIVAMQLLGLSGHFGLGNTNNLASIDVAGAFIGISSYSTVLSGILMFMITYGSPLLLYLGMVVYISVISSDDISTPHQSKWSCILDKMITLPCLLPLLINSIALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTCAGVFIIAVTAVYTCTVFSFRMRNYKDKSV >OGLUM02G36010.1 pep chromosome:ALNU02000000:2:35150655:35154788:-1 gene:OGLUM02G36010 transcript:OGLUM02G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLQPACRKPARRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPCLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRNVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >OGLUM02G36010.2 pep chromosome:ALNU02000000:2:35150655:35154788:-1 gene:OGLUM02G36010 transcript:OGLUM02G36010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHHKPGLRVRLRITAARRRAWLSAGLQPACRKPARRDPSDSVHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPCLWSRTKIIEIVAAKDVIFALAQSGLCGAFNRGKSHSSMLTGFAFVRFMFLTKLLLMLAATNKRICYLNISPDEVIRSLFYNKNNESLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKSANHVPLKILSIEDGTPLKTFTQLLHRSRNVDFIEQFNEKLLVKQDKENLQIIDVRNSNLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFDDHELWHSNCNTNNIYITADQDLIISYCKASKEVRDSGGCEGIAPPTGSINMSNIFTGKCVAKISPLDPTLTIAPRKRGDSSRSTIRSTVSDALEDITALFYDEDRNEIYTGNSKGLVHVWSN >OGLUM02G36020.1 pep chromosome:ALNU02000000:2:35161374:35163115:-1 gene:OGLUM02G36020 transcript:OGLUM02G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRILCDLLKSRVASPEFLEKLDNIQKSVYQNGAVDETISWDIISAADIWDDIDKGMNISDDSEDGYVLIKQEDIVDGIASFMAAYLLSLKQTKDLTPNQLQQALSKTFSAKKRKSKLQKAWDGTKVIYNIASWSATAIGIYQNPAILKAATAAFWTSCRVASKFL >OGLUM02G36030.1 pep chromosome:ALNU02000000:2:35182049:35188312:1 gene:OGLUM02G36030 transcript:OGLUM02G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDGGEVDLWAMAAELERQFAGYKQRRAERSGAPRGDDDGADARGGGGEEEEEEEEGDGDGGDVRGRRYEAYTRRRDERLREREGWRARMERKEAEVRALWAQLERRAAGCATATATATDDDGGGAAGVREKAGKDGEKRRRSDVAAPASRISGKKHARTRSFSSTATKSSLPDAGARRALSQEPPPPPTSERPTTAGAGSHRVARVTGGGATTTAPKPRVFSGHRSSTAKEHGSSSAKGGTTKPKPPRSLPRRSSSGGLENLKEAVLSNTCAAVAPAQSCSTEQATVHGETGNASPPSPFAGAAAANARAASPDSDCGEAVDGGSYDREAEAKRVGEHDAEEVTVSPQKLANGEITSDSDTEPSYVYVKKDDVEGEEDAMARRSEALAVSDAKPAELEVEKNNSDAAARGEETTAPPSDAVAAESATTIVAEEAPARESSDESSSSSSSSFSGIRSGRGSPPSSAPASYISRAPSIERLLEEDAALLRKKRQQSADKLALMAMTTTTMSTPPARVSGAARSRGFKSFLSFGKKNRRGKDVTVIDCTSPSVPSVADDDSGSGGWPSGETIKPRMASSDAASDDMDHGYAIAASPQGCSLQSLVVASPAKSELHEIDPQEKSPKAHRSFFSFRSFNCGRS >OGLUM02G36040.1 pep chromosome:ALNU02000000:2:35191567:35198685:1 gene:OGLUM02G36040 transcript:OGLUM02G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34357) TAIR;Acc:AT2G34357] MSSKPSNTIIKYFKALLDLHQPILTRSILEILHAVGDSPTVQLKSDVLLDIVCSLGLSVSVERKSGDEMASIARLLNVATRKIYNQNKNICVVKLPLVFTSLGDILASEFEEARFSAVEAFKSLIEDCIDESMILQGITQIKSRHPGIRSDPTTIEKICAILEGLLNVRYGDVWDKSFHVISMAFDKLGESSADLLPEALKNLADMQNLSDDDFSFRKQLDSCLGSAVAAMGPKNVLKILQIHSISDENEWIFPILEKHIVGASLQFFLTDIRDIIRAVEKNIPKLLKEDKLFSAKRAEGYVYSLWSLLPSCCNYARDTSIHFRALQNVSCDTLKNQPDLRGIICSSIQVLIKQNKEALSVPVEEAILAEDEISKSERRAKERYTKEFAEENLKAIRAFSSMFLEVLCSIFLASSNDAIGLLQPAISDIASISEKDTVGRFFLDAIRKLLDATKAVNAEQKNDSSMQIEANSNTNNMARALLLDFAASLMPGLAAKSINVLFSYVKPAIKDTDSLIQKRAYKVLSMLLKDTEFIERNLDTLLDLMISSLPCQFPSKRYRLECLHHLIVYILKDSSKLGKREIIGSFLTEILLALKEANKKTRNRAYDLLIEIGRACEDAENDGRKENLHQFFGMVAGGLAGQTPYAISASVTGLARLTYEFSELIGVAYKLLPSTFLLMQRNNREIVKANLGFIKALVAKSKADVLHEHLKGVVEGLLSWQTDTKNSFKAKIKSLMEILVKKCGLDAVKAVMPEEHIKLLTNIRKINERKMRKSKSSEDGDNMSMTSRATRQSRWNHTQMFSDFGSDEDDSNGPFSAQHTVASRTGTKASTRSTRKRQADKSLLEKFIDQSTGEPLDLLDQKTVRLALKSTKKRAVPDEDDDEVEMDPEGRIIVREERERRKKKQPISRDDEADDRSTVRSQSVKRRKTTSSGWAYTGHDYTSKKASGDLKKKDKMDPYAYWPLDRKLLNRRSDRKAAARKGMSSVMKVTKKLEGKSAASALAAKRTQTKNRKQKKSK >OGLUM02G36050.1 pep chromosome:ALNU02000000:2:35204806:35212159:-1 gene:OGLUM02G36050 transcript:OGLUM02G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSNLNNSASNLQDSTGRPFTGSFSGQSGSVPGGFHHSGLHNMHGSLNMPNMPGSFSQRNAAMSGLPSSGVQQPGGSMPGRFASNNLPVGMSQIPHGHSGVGSRGLNVGGGPAFSSSLNIGGTIQGLSSNLGAGGSRNSVPGMSVSPSLGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNVGSGGLNVQGSSRMMNGILQQGSPQMLNMMGSSYPTSGGSLSQNQLQGGNNSLGSMGMLHDASDGAPFDMSDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGNTTDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRPLNSPNQTSSLGSYEQLIQQYQQPQAQNPFRLQQVSSATQSYRDQSLKSIQGGQTPSDPYGLMGLLGVIRMNDVDLSSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHTPACYSAEQPPPLQPIHFQKFQTPTLFYIFYSMPRDEAQLCAASELYTRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSQNVR >OGLUM02G36060.1 pep chromosome:ALNU02000000:2:35212202:35213251:-1 gene:OGLUM02G36060 transcript:OGLUM02G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLAHQSITLLRPREPNSTHPFPPLYSPPLLPPLHSPPTLPCAIADARRGEPTGSSSRAPPNSLPPPPNPPAGARSLILLLLCSCRRRGRTPSLSLDWKE >OGLUM02G36070.1 pep chromosome:ALNU02000000:2:35214517:35219719:-1 gene:OGLUM02G36070 transcript:OGLUM02G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGEKCRDAAGEGGGGGGDLYAVLGLKKECSDADLKLAYRKLAMRWHPDKCSSSSSAKHMEEAKEKFQEIQGAYSVLSDSNKRFLYDVGVYDDDDNDDDNLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQADLDSGFCNGPSKCYHTQAQSQTRTSSTSPSTSPSPPPPVATEAESPSCNGINKRGSSAMDSGKPPRASEVGAGQSQSGFCFGQKSDAKQAAKTRSGNTASRRRNGRKQKVSSKHDVSSEDEMPGSQWHGVA >OGLUM02G36080.1 pep chromosome:ALNU02000000:2:35223753:35225478:1 gene:OGLUM02G36080 transcript:OGLUM02G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKWVRGSSKCLLIFLDARQRSELRAISNLRFRSLYTHSSPPPPSRHCCSSKKESERAKPKLVAMTELFDTAVTSLLHLPEVLDRLGAAAGDRRSAGDHAHHAAHGHGQHRISGIGGGAPVDIMETPGEYAFVLDVPGLSKSDIQVTLEEDRVLVMKSSNGAGNGKRKREEEEGECKYIRLERRASPRAFARKFRLPEDADTGGISARCENGVLTVTIKKRPPPEKKTKSVQVTIA >OGLUM02G36090.1 pep chromosome:ALNU02000000:2:35234696:35242989:1 gene:OGLUM02G36090 transcript:OGLUM02G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVEQEAACLQSFELYESESRFYIFGTNTGKTHWRLLKINRSEPSDLDLHECCTVYTQSEYHELLKNLDEDHRLTGGVKFVTKFYGIIGFIKFVGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTQGIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVEIEQIVYGAGPRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEENHLRKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCTEIVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARTIKRVRSDGSILYGSNTSISGCSGCHNEDKELLNAAPLDVKSGSQFPVLESDSVHGNEISLTCESEVSNLRYTPMVPQTHHVPGGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTPDVNLSAENVISGINSETMENQDADIYTQNLPEHFVQWVNHGDTFWY >OGLUM02G36090.2 pep chromosome:ALNU02000000:2:35234696:35242989:1 gene:OGLUM02G36090 transcript:OGLUM02G36090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVAVEQEAACLQSFELYESESRFYIFGTNTGKTHWRLLKINRSEPSDLDLHECCTVYTQSEYHELLKNLDEDHRLTGGVKFVTKFYGIIGFIKFVGPFYMLIITEQRKIGEIFDHPVYQVTKTSMVELANSKTRSRFLNSKDENRYKKILNTLDLRKDFFFSYSYHIMRSLQKNLSDPQEGWNIYESTFVWNEFLTQGIRNFLGSTLWTVALVYGFFKQDKISISGKDIMFTLIARRSRHFAGTRYLKRGVNEKGRVANDVEIEQIVYGAGPRPTEVSSVVQNRGSIPLFWSQETSKMNIKPDIILHQKGENYEATRLHFENLRRRYGDPIIILNLIKKRERRESILRREFDRAIRIINKSIPEENHLRKPTNVLDVLLKVAFRALRLTEFFYCQLAPPTGSDTAHHWPSLLSGLDPFLCEENSNSDNTDCTEIVGDISQEDISGSSDSSCNGTTEDKAENNESPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHVLGSVESPELGLDDPLAHHLMHFYERMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIRSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQEGKPALWELESSSVDHIAVHARYTPMVPQTHHVPGGVETESSIHSGDSNFLDLEWLSTSGNSSDERSIAISTPDVNLSAENVISGINSETMENQDADIYTQNLPEHFVQWVNHGDTFWY >OGLUM02G36100.1 pep chromosome:ALNU02000000:2:35244518:35246753:-1 gene:OGLUM02G36100 transcript:OGLUM02G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIHHLKGHPEGSRRATEGLLWPEKKKPRWGGGGRRHFGGFVEEDDEDFEADFEEFEVDSGDSDLELGEEDDDDVVEIKPAAFKRALSRDNLSTITTAGFDGPAAKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPEAPTTAQKRRAGSTTAKAPKSSVEQKPTVKPAFNNLANANAFVYPSANFTSNKPFIQPDNMPFVPAMNSAAPIEDPIINSDQGSNSFGCSDFGWENDTKTPDITSIAPISTIAEVDESAFIKSSTNPMVPPVMENSAVDLPDLEPYMRFLLDDGAGDSIDSLLNLDGSQDVVSNMDLWSFDDMPVSDFY >OGLUM02G36110.1 pep chromosome:ALNU02000000:2:35253168:35263888:1 gene:OGLUM02G36110 transcript:OGLUM02G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICEEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLFRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHKARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDGSCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSLGKLSVSKPAASGSKVGTHASNSRPQCQNTVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSGGATKLTEALSCASQELLRLDLSNCGLTTPDFSQLCTNLSQINIVDLNECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLTEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >OGLUM02G36110.2 pep chromosome:ALNU02000000:2:35253168:35263888:1 gene:OGLUM02G36110 transcript:OGLUM02G36110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICEEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLFRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHKARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDGSCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSLGKLSVSKPAASGSKVGTHASNSRPQCQNTVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKECVLCLHIVTALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLTEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >OGLUM02G36110.3 pep chromosome:ALNU02000000:2:35253168:35263888:1 gene:OGLUM02G36110 transcript:OGLUM02G36110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKELIDAYNNMGMLELELDNYEEAEKLLVQGLKICEEEEVHQYDDARSRLHHNLGNVYIELRNWNRAKGHIEKDIEICRKIRHTQGEAKGFINLGEVHSRVQKYEDAKLCYNKALQITKCLEDEDALMDQIHQNIETVTKAAKVLEEMKTDEQKLKKLFRDTSNARGTSKERKLLLEQYAWLDNLMEKARMITAWPKLKEFSKGQKRVANELHDKEKQSNSLLVIGESYQKLRNFSKARKWCMKSWNMYRSIGNLEGQALAKVNIGNVLDSCGDWAGGLSNVQLDALENMHYSHMVRFDNIEEAKKLQQEIDSLKRMSDQHKARDTVSDYCSETESEDGNVSDNILNTEDNDGNIANNISEEFDDDVVLASLVHKSKSSKTKASKIHSSPKNVDGSCDMDGSPEEVVSKSFSNHSGRKRVRVVISDDEAEEAPEIDQSKRTLTGRADSLSTSERIANAANRNRNQHTSHPIETKEVDSVCTPCPAEESICSFKSGSPVCHGNDGPDLGASSLGKLSVSKPAASGSKVGTHASNSRPQCQNTVGLQSSDADHKFWVFKIGELLVYLDANACTCEGAFSIECLKVEVACVYYLQIPDEKRSKGLLPIIGELKCCGKVLDDTDSRDYIDQLASEQKCIDVVIDDWVPKRLMKLYVDFCTKLSEAPNKKLLKKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRLHKTIAVLDLSHNMLGNQTIERLQQIFSSSSQTYGGLTLDLHCNRFGPTALFQICECAVMTNRLEVLNLSGNRLTDACGSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSALSHLSLGNNNPISGNTMLSLLSKLASLKRFSELSLTGIKLSKLMVDKLCVLAQSSCLSGFLLGGTYIGSECDAIRALLSNPQCSLRSLTLDRCNLGLAGTVGIIQALAGNDQLEELRVAENTNLALQRTLQYDEDAQDVSPGTDQNQRTNAEANDHIDPDKMEVPDSEDEEAVHEDTRAATGPDGSCASSCQRNSSSGCHAIQELADAIISAKQLKVLDLSRNGLTEEDIQSLYSAWASGPRGDGMARKHVAKEVVHFAVDGMNCCGLKPCCRRDLQM >OGLUM02G36120.1 pep chromosome:ALNU02000000:2:35264321:35265492:1 gene:OGLUM02G36120 transcript:OGLUM02G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQMAAMSSCHHLSSSISTPRFGTRKATMAKFVRAPVHSCSCSNAHLSQTAAPAKMVFEDQVRGVVCYRDDKGDMICEGYDEGPRLGMRLPEKACFPWPMGVQVTDFIELSTLRVFEDVDSLQPRKDQKGKL >OGLUM02G36130.1 pep chromosome:ALNU02000000:2:35265439:35267904:-1 gene:OGLUM02G36130 transcript:OGLUM02G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZB3] MHLHTHQAKDMETLGRRRRVAVLAWCNAIAMALALATPVAVAANHGDTAAAKDNVAVVPRISASAAAPAPANVNVTAICMATPYPSACETALSSAAARGAANDPFAASVQFAMTRADGTHTSISDAIAAVTAPPPPPAHHPTASGGGGESRKVIYVKAGRYEESVSITSKQKDVMLLGDGKGKTVISGHRSVAGGYTTYASATVAAMGSGFIAKGLTIVNSAGPGKGQAVALRVGGDLSVVYNCGIEAYQDTLYVHSNRQFYAADDISGTVDFIFGNAAAVIQGCEIRARRPGPGQEDTVTAQGRSDPNQNTGISIHRCRITGAPDLGGTPVYLGRPWRRYSRTVVMGTFLDRSIAPAGWLEWSGQFGLSTLYYGEYGNTGPGAGTRRRVTWSGVHTSMSTSDATRFTVANFIVGDAWLPATGVTYTSDYNVSGCVEVNWNGSLCIKDSTPN >OGLUM02G36140.1 pep chromosome:ALNU02000000:2:35268767:35271652:-1 gene:OGLUM02G36140 transcript:OGLUM02G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLKSGXLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKLGVTRARLAAFVRAYPACLHASVAVDLTPVVKSLRGLDVDRQDLPRVLERYPDILGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEMLPLVIAQYPPILGLPLKTKLAAQQYFFNLKLQIDPDAFACAIEKLPQLVSLHQNIILKLVEFLRGRGISNEDVARMVVRCPQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVSTKGIRCSLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTTGGKLQMPGSQLVSDDDNAESDDEIWWRIIPKIFRDVLLTASCMQAIPSLSVNSCLVICICKLKFANHAGILNCKMKKALALMEHSHTNRGHWSAGLSNSLKGLTKVLYRTLLKKNSNNSPS >OGLUM02G36150.1 pep chromosome:ALNU02000000:2:35310137:35311441:1 gene:OGLUM02G36150 transcript:OGLUM02G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRSAKRMKRFSGACPCPLPDDIVDEILSHLPVKSLLRFRCVSRRFHATITSSHLFQEAHFLQRKQRNKHPPRLFIRPPFGPRQPFFAWQWQWQHLIPRPPVEEIMTARHLPHGTIFPLASKSCHGLVLLKITGHHTHYLWNPSTRHILRLPGTDNTPPPATYGLGYCSATRRHKVVRVVGCRCCSPATVVWEVLALDGDEPSPSSSWRPPAAAAMTPRAPPQHWHYPRENWRRGAVLCNGDLHFLQGDGDIVTFNVTDESFGVSSLKPPPELQSRDDFELTELDGCLCVYTFTAVKWQLVDEEPESPTCEIWVTREAAAGSWEKLCSIEWVSVLPPDHSYLRWFWIAPIHMFSDGNNEEKKKKKIMFATGTGHVLVFDPSVGTLEIIFSPEDEAVGEYHDYSNNEVPTLGLFEESLALVGNTSENIVFSQP >OGLUM02G36160.1 pep chromosome:ALNU02000000:2:35313710:35328729:-1 gene:OGLUM02G36160 transcript:OGLUM02G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MGERTNLPTVATPPYTATLQLHIAVPVLLVAVASTPPRTNSCPGPGPATPPSSPVASSARRRHPTASGAAAAAVSKSRIPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDVQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLYKIIDSLTSLANAHHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSNANDHGIGQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEVAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTATKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETEIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPALEILESSGIKLAERVET >OGLUM02G36160.2 pep chromosome:ALNU02000000:2:35313774:35328729:-1 gene:OGLUM02G36160 transcript:OGLUM02G36160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme [Source:Projected from Arabidopsis thaliana (AT4G33150) TAIR;Acc:AT4G33150] MGERTNLPTVATPPYTATLQLHIAVPVLLVAVASTPPRTNSCPGPGPATPPSSPVASSARRRHPTASGAAAAAVSKSRIPPWKLEAGAHPFLESLRLRLHSAACYDSVVVSVPRRSENSDTLLGNGVVGILAETANMWERRAPLTPSHCARLLLGGGKRGTGVNRIIVQPSTKRIHHDVQYEDVGCEISKDLSECGLIIGIKQPKLEMILPHRAYGFFSHTHKAQKENMPLLDEILEKRVSLFDYELIAGDDGKRLLAFGKFAGRAGLIDFLHGLGQRYLSLGYSTPFLSLGQSHMYPSLAAAKAAVIAIGEEIATFGLPSGICPIVFVFTGTGNVSQGAQEIFKLLPHSFVDAGKLPELSAARSLSQHPQSSKRVFQLYGCVVSSRDMVTPKDPTRCFNKADYYAHPEHYKPVFHERIAPYASAIVNCMYWERRFPRLLSIDQLQQLMKNGCPLVGISDITCDIGGSIEFVNKSTSIERPFFRYDPCTNSCHDDMEGNGVICLAVDILPTEFSKEASQHFGDILSKFVARLASAKELLELPSHLRKACIAHAGRLTSLYEYIPRMRKTMIELPPAPTNLLPDKKYNSLVSLSGHLFDKFLINEALDIIETAGGSFHLIRCDVGQSIDDMSYSELEVGADDTATLYKIIDSLTSLANAHHGDPNARREIELSLKIGKVNECGTDDSMAKEGSKVLILGAGRVCRPAAEFLASYSNIFSSNANDHGIGQIHVIVASLYQKDAEETIDGIRNATAAQLDVADIKNLSNLVSQMKKHLVTASYVDESMSKLEQSAEVAGVTILCEMGLDPGIDHMMSMKMIDEAHSRKGKIKSFTSFCGGLPSPASANNPLAYKFSWSPAGAIRAGRNPAVYKFHGEIIHVDGDKLYESAKRLRLPELPAFALEHLPNRNSLMYGDLYGISKEASTVYRATLRFSEIMATFAKIGFFDAASHPLLQQTTRPTYRDFLVELFNACNISTTATKEYSEVSGGQDGELISRLLSFGHCKDKEIAAKTVKTIKFLGLYEETEIPENCSSAFDVICQRMEQRMAYIHNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGRPTTAMALTVGIPAAIGALLLLQNKIQKKGVIRPLEPEIYIPGKCKSNQTPLVAYGYRTQQRRWVADTCV >OGLUM02G36170.1 pep chromosome:ALNU02000000:2:35321288:35327715:1 gene:OGLUM02G36170 transcript:OGLUM02G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSLGAVPLLGGVVLALTPPSTKNPLRAMVVIGGFLQRLRSSTSLGITMKSKLLCHLGDKLGNDNIRDRDGWDHGNGTGAQHVETHSLSLCTMVHYSTLSL >OGLUM02G36180.1 pep chromosome:ALNU02000000:2:35336875:35338238:1 gene:OGLUM02G36180 transcript:OGLUM02G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRCSQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVATKGIRCRLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWRRIIPKIFRDVLLTVSCTQLYHHYRCLVVRICKLKFANHAGILNCKMKKALALMEHSHTNRGHWSAGLSNSSKGLTKVADVLRDSLWALVMCDFETKTGDS >OGLUM02G36180.2 pep chromosome:ALNU02000000:2:35336875:35338511:1 gene:OGLUM02G36180 transcript:OGLUM02G36180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRCSQILLLRMELMKNSLYFFKSEMKRPISELLDYPEYFTYSLESRIKPRYMRVATKGIRCRLDWFLNCSDQRFEERMRGDFIEGDAPGPSFTMGGKLQMPGSQLVSDDDNAESDDEIWRRIIPKIFRDVLLTVSCTQRQVVNTLKGLSLRHSILNCKMKKALALMEHSHTNRGHWSAGLSNSSKGLTKVADVLRDSLWALVMCDFETKTGDS >OGLUM02G36180.3 pep chromosome:ALNU02000000:2:35335912:35338511:1 gene:OGLUM02G36180 transcript:OGLUM02G36180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSAYPLLLACSLRKNAIPVLSYLEKIGVTRARLAAFVRAYPACLHASVAVDLAPIVKSLRGLDVDRQDLPRVLERYPDILGLKPDGTISTSVAYLVGIVSVAPRDIGPMVTHFPFFLGMRVGTTIKPLCEYITSLGLPMRILARILEKRPYILGYDLEETIKPNVEALLSFGIRREMLPQVIAQYPPILGLPLKTKLAARQYFFNLKLQIDPDAFARAIEKLPQLVSLHQNIILKPVEFLRG >OGLUM02G36190.1 pep chromosome:ALNU02000000:2:35339603:35340568:1 gene:OGLUM02G36190 transcript:OGLUM02G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZC2] MALALATPVVVAANHGDTAAKDNVAVVPRIAAPAAAAPSGVNVTAICMATPYPSACETALSSAAARGAGSDPFAASVQFAMTRAESARALARNLSASSRPRVAPNGMDDCAELLDISLDHLHDALAAGAGDAAGVTTWLSAALTNQGTCGDSLAAVPDPAARSAVCARVAALEQFIGTALALHAKLNGGSGSSSPAPPNRAAFPSWVTMHDRHLISSPASTIAPDAVVALDGSGTHTSISDAIAAVTAPPPAHHPTASGGGAGSRKVIYVKAGRYEESVSITSKQKNVMLLGDGKGKTVISGHRSVAGGYTTYASATVGAY >OGLUM02G36200.1 pep chromosome:ALNU02000000:2:35340769:35342639:-1 gene:OGLUM02G36200 transcript:OGLUM02G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGRWKKEEEEELKAAKRGYREAVAEGNREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGNFSEALTYQLSLMFDASQKKHLQLAKEADDLVEQQRASTQLGRTYYEILLRSENDHSAIRNAKKYFKSSMKLARVLKENPSSQKSLFLKEIIDAYVMRKRYTSMTMLAVGSITIGKCNIAVVSIWTAED >OGLUM02G36210.1 pep chromosome:ALNU02000000:2:35346939:35347875:1 gene:OGLUM02G36210 transcript:OGLUM02G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNRKRKTVDSDSHGPPVKKASTGTPGDSSFSQFGGVADGRSVTWTAPSTTAVPMESIGRMDRSATPSRLDGNRSAGASGPLRIRGR >OGLUM02G36220.1 pep chromosome:ALNU02000000:2:35349119:35350866:1 gene:OGLUM02G36220 transcript:OGLUM02G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGNSKRSVGASKEPLPTAVSKLTSQDKNGIIKERVDELLEPARPSSPKCCSCDRPADWITSCCSLMLCSYCMPDKFHQKHKRGHSRELCRTQGAIGLLNPRSPLEEETRYLMKNDMLCKREIILQVEDEESG >OGLUM02G36230.1 pep chromosome:ALNU02000000:2:35354253:35355494:-1 gene:OGLUM02G36230 transcript:OGLUM02G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPSPAPTMADLPADLLREIFRHLRCVADRDAAANVCRTWRGALTEPTPPPSPPRPLPWLLLPSAGDDFIHVYCFYCGIDRCSLHHRLSPAHGARCFGSHEGGWLFVAFEHNRLHAMINLRSREDSKSSLIPFPDLLRSYQDEDDYQRAQNMVILAAALSSSPGGTSCIGAGIVMRWDLIAGSCRLAFWRMGDRVAVEGTMAPDSTVRLRDEIQDVIYQDGAFRLVTARGFLVTCIPMFYADGGLQGTTESVQRIRHRERLREHVHARYLVESRAKLLMIVRFAARPRSPTSLFKVFEMVQEMVQDYTGVEKIEDTWTELESLDGRLFFVGRGCSRSYESSAYPELGLGLKEGVYFLDDYVYADEGMPFRDEGHRRYPCSDNGRWCDGHVHRCFSEQRASSAHSSPTWLLP >OGLUM02G36240.1 pep chromosome:ALNU02000000:2:35357203:35360568:-1 gene:OGLUM02G36240 transcript:OGLUM02G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S protease regulatory subunit 7 homolog A [Source:Projected from Arabidopsis thaliana (AT1G53750) UniProtKB/Swiss-Prot;Acc:Q9SSB5] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OGLUM02G36250.1 pep chromosome:ALNU02000000:2:35361234:35361893:-1 gene:OGLUM02G36250 transcript:OGLUM02G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEMHIKPMQNAWSREKLQNYRKALKRWSVGMLVLFTRMLQSEFINTSQIQYSIYQLTHLAETAWLILLRCLAFAFFSDAVVRQPDYGSHGMPRTCRSISSVHRLQSDGPDNNLTKSRRLLLFVAV >OGLUM02G36260.1 pep chromosome:ALNU02000000:2:35363419:35363895:-1 gene:OGLUM02G36260 transcript:OGLUM02G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAATAGCHHLLLPTASRPCGAPPRRLARGAAAAAAAAGHVSVVGRRPLEEVYKVRVERGAAARERAEALRAMETWSTWRTGGRCRMPWDWHVDQLVYIVSGEVRVLPAEATTGEEYMHFVAGDLVRYPKWFEADLYFDGPYEERYRFLAYGDDN >OGLUM02G36270.1 pep chromosome:ALNU02000000:2:35366327:35377565:-1 gene:OGLUM02G36270 transcript:OGLUM02G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAMKKSFSLLFFLPFLLLAIIYFVIFPNEFRLQSSLAACGDSAPATAADAVAKAAPDIRVLLGVLTRADKYERRALVRLAYALQPAPARAVVHVRFVVCNLTAEEDAALVGLEIAAYGDIIVLDCTENMDNGKTYTYFSAVPRLFAGEPYDYVGKTDDDTYYRLGALADALRDKPRRDAYYGFLTPCHADPRTQYMSGMGYVVSWDVAAWVAATPELQNDLKGPEDKLFGRWLRWGGRGRNVFGAEPRMYDYLDGGMRHGPTCFRHLLQADTVAVHKLKDNLKWARTLNFFNATEGHKASPLFHRLSFSLFLLPFLLLAFVYSLFFPGDFSILPSLAARCSNSVAATPANATGPAVDLRVLLGVVTRAEMYERRALLRLAYALQPAPARAVVDVRFFVCSLAREEDAVLVSLEIIAHGDVVVLNCTENMDDGKTHSYFSSLPALFADAPYDYVGKIDDDSYYRLASLADTLRDKPRRDLYHGFPAPCHADPRSQFMSGMGYIVSWDVAAWVAATEALRGDVKGPEDEVFGRWLRRGGKGRNRYGEETRMYDYLDGGMREGVNCFRHALVADTVVVHKLKDRLKWARTLKFFNATQGLKPSKLYHLHLKPAKAPAIRLRLSIISLAPPNCTHLQFNSQQSLDHKESFLNRSSNDDEDDDDCVELELLPAMPPAKSSKHGFPKLSASSKALVLLPLLLLGFIYLFVYPKEFELQALMMSSCGPTTAAGAYTAAPRRLAGEPPASRKPDFRLLIGVLTRADNYERRHLLRMVYGLQLAAGDLTAHVDVRFVFCRLYKDDQRVLVPLEILRHGDIIVLDECEENLNGGKTYAFFSAAARLYADDPYDYVMKADDDIFLRLPRLLASLGAMPREDAYYGATIPCGSMDPFREYMSGMAYALSWDVVEWVATSDVPRNRTVGPEDRMTGQWLRLGGRGKNRFNAKPAMYDYPLPAPVDKCSHEFIPDTIAVHRLKDNPRWAETLKYFNFTKGLEPSKFYKIN >OGLUM02G36280.1 pep chromosome:ALNU02000000:2:35395598:35396560:-1 gene:OGLUM02G36280 transcript:OGLUM02G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZD1] MAANFSACLVPVAVLALFYLVIFPNDLSHQLQSALAPCDASKSVAPAAAASRLAEAADDDVDFRMFFGILTRPDFYERRALLRMAYALQPPPRRAAIDVRFVMCSLDKEEDAVLVALEIITHGDILVLNCTENMNDGKTYDYFSALPRLFPAGAEPRYDFAGKIDDDTYYRLGALADTLRRKPRRDMYHGFLNPCHIDPAWQYMSGMGYIVSWDVAEWIAASPELRGREIGYEDDVFGRWLRGAGKGKNRFGEEPRMYDYLDREMYGADVNCFRHELIADTVAVHKLKDRLKWARTLRFFNATDGLKPSKMYHVDLTPRI >OGLUM02G36290.1 pep chromosome:ALNU02000000:2:35397664:35398098:1 gene:OGLUM02G36290 transcript:OGLUM02G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSDACRAAGDCHGVNYVGAGRRRHAMQFRFLAFRQIAIARSPIAERVHVKRTRGDVGGGNHARFCFLFAAGRFAFWLPRMHALDLRARDAGGRWVGAPAIWGPKSVAVAPLPPPPRAQGPAL >OGLUM02G36300.1 pep chromosome:ALNU02000000:2:35400580:35402821:1 gene:OGLUM02G36300 transcript:OGLUM02G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQRVRLYVRGTILGYKRSKSNQYENTSLVQIEGVNTKEEVAWYCGKRMAYVYKAKTKSGGTNYRCIWGKVTRPHGNSGVVRAKFKSNLPPASMGRKVRVFMYPSSI >OGLUM02G36310.1 pep chromosome:ALNU02000000:2:35403623:35423856:1 gene:OGLUM02G36310 transcript:OGLUM02G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISQIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKVVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >OGLUM02G36310.2 pep chromosome:ALNU02000000:2:35403623:35423856:1 gene:OGLUM02G36310 transcript:OGLUM02G36310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQSIPLDPGDSDRVVLLRVLGRYLLAVCPASLHLWSAAHHKVRLARLDRSPESLAAHGDNAHSVWSPDAKTVAVLTSSFYLYIYKLQFSGKPLIIGGKPQPGLCLASISQIIVEKVPLANDAFITSNFVCDSKSMLLGLSNGHLQVISWNAEFSDSFKLGCSACSSNRTPTVGDALVFDPPSLRENSDASPAPCCTGNSAIIHVELSVKLRLLVALYSGCQIGLCAVGKKGLKQTSSIRVERWLNTDDAMCTSVASDQQILAVGCSRGVVDLYDLAENARHIRTISLYDWGYSVEDTGPVTCISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDYGYKLFAVEENLSERILAFSFAKCCLNRGLSGTTYTRQILYGEDRILLVQPDDTDELKMLHLNVPASYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRVFGDVTQEQKIQCKGLLWLGKVVIVCNYIESSNTYELLFFPRYHLDYSSLLYRKSLLGRPIVMDVFQDYILVTYSPFDVHIFHVVISGELSPASSPVLQLSTVRELSIMSPKSPPVSMRFIPEPTDEGKPKHDTNGSSDLSQQPSRCLILRMNGELSVLDMDDGHEQSLTNSVELFWVTCSQYEEKGNLIKEVSWLDYGHKGMQVWYPSHGENPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGISQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKHEEALRLANLSAEKPHFSRCLEWLLFTVFDAEISSQSASKNQLSQKNEPAKKSLLDKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFHAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENASTDSEKLSPRFLSYFQLRSPFKRQSSDLRSNSMKELSPHIASVMNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYNITLQSHDVFREYLDLLNTLEEELSSVSDLTLQNRPVS >OGLUM02G36320.1 pep chromosome:ALNU02000000:2:35429152:35435612:1 gene:OGLUM02G36320 transcript:OGLUM02G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein with octicosapeptide/Phox/Bem1p domain [Source:Projected from Arabidopsis thaliana (AT5G57610) TAIR;Acc:AT5G57610] MAPAAAPASVSAGSAEADGAPRMAKFLCSFGGSILPRPLDGRLRYVGGETRIVMLPRDVSYTDLAARMRELYEDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLIAAGEGFTRLRIFLFSQHLDDEAAAVAVHYNGDERETERRYVDALNSLGDVRTPSSPVSVEQLFGIGGNESGIPDIAGLRHLNVPRPSHSQRYGEMDSPWSPAYISPGHYGVHDPRDFPISPRFQVGAEDFDERIPDDFVRHSPKYRHYEVHSPQHVDNLVWLPPGAVIQQNAGFPGNLGRPGNFLDGSSMYDHCRSPFHKGQGDPRYVDPRWRPIQHFDQTSMTNEYSGHPTNSCPDCNRPGERFVLNQDVRLENGVYVKEQTGGHPPPMFYNESHSHDRAWHAHANQSHQRYEDPRLHMPGSARAMEPYIVDNSSVTSLSRSSHESPHYFHGSSEHVSDTYHNQQVGVGGPYVQTPGFEESTGQHYSHSSTYGADPFYQMQQNLPPLQSLRRRANSPVHTGSPYESPHLPIPNGNFVRNTGDVSPRIPGMPAYDRIPNPWPSPNGSIPYRVVGHDIPAVVENRSNPNSGQYVQPLFAPESVQNQPGAPLMEIHPERACGGSVLSSQVDGRVAALPLTDQLSRMDINPLKKLEGPEHEKFTRNANETTSLHAMNDPSALTHHIGVVPEVDPKQRKPVEYETVTSKVHEGGATALQECGDISEDRLNFLPELAASVKKAALEDSEEKEKAQQDAGPTLLPTCDEEGNGKKLEETPAGNTGTDQDSDVHGSGEQQKSSGIEATTAEAEALSKGLQTIKNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEAQILSSLHHPNVVSFYGVVRDGPDGSLATVTEFMINGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKSLASNGCYLTVIPPPFSAQIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAAIIGGIVNNSLRPQIPSWCDPEWKSLMENCWASEPADRPSFTEISQRLRKMAAAMNVK >OGLUM02G36330.1 pep chromosome:ALNU02000000:2:35441478:35446594:1 gene:OGLUM02G36330 transcript:OGLUM02G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARDDDLGNDNLDDDRSNPRRQSGGRTAGPIRQGLHGGIGLQMTAAMAGDDANDGGHSWRRWLSTAAVAAVLLSSGDGGDDDKATEGIRGSSAGMYTDKRSVSEDTHLYPSTKPTIKPARPQPQMHPLTAAAKHAITIPPPPPPAAAASYTSSPSSGTSDPSVLDLSSAEIDDDGDGDDDRAEQQEIKNSKELVMGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANQDHCGLVGGAAAAMCGGVGTAAAAAAPHQALSSSALERIQLHMRLQGLYNSAFGCTTTTSNGGGVGVAPPQWPKLEALLPSRPLPAVQPTDAVVATVQHPHHLVVGGHTLATAAATTSEAFQAAEHLDPAAATGSNYMPGVAGVEMTSSSSMAGGGGFVAGYGLHDELYDFLFKCESIGGAQGGIIPSSLPELQCPDGSAIIGADEKFSTWTSSSCDYGSGGAGDYVLGTHYLAV >OGLUM02G36340.1 pep chromosome:ALNU02000000:2:35447744:35451088:1 gene:OGLUM02G36340 transcript:OGLUM02G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLPFAAVTAVLLLLHGAADAKSSSSSGKTKSLASGFLDAHNAARRQVGVPPLRWDERLASYAARYAAARSGAGGGCALVHSHGPYGENLFHGSGVGWAPADVVAAWVSRERALYDAASNSCRGGDAAACGHYTQVVWRRTTAVGCALATCAGGRGTYGVCSYNPPGNYVGVRPSANPQLSILPPMASSTAIALALLGIVLLLPGNAFVVVAYPRGGGGGGGDYRMQFLGQQNAARAAMGLPALVWDERVAGYARWYAESRRGDCALVHSSGPYGENLFWGSGTGWSPAQAVGAWLAEQPRYNYWSNSCYGGMCGHYTQIMWRATRRVGCAMVACYNGRGTFITCNYDPPGNYVGMRPY >OGLUM02G36350.1 pep chromosome:ALNU02000000:2:35451144:35454498:1 gene:OGLUM02G36350 transcript:OGLUM02G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTDSFLGPLCRPAGSTAAHGRATQRSNQPILLSHSLPYDDDDDVTRVLQLSGLVQPILANS >OGLUM02G36360.1 pep chromosome:ALNU02000000:2:35454795:35456780:1 gene:OGLUM02G36360 transcript:OGLUM02G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLSELPDDVLLSILKRVDLRDAVRTAILAKRWRHLPAALPDIVLDVLSFRKKQDDDHQDGFTFTSRLSREARANLAVAHAAKAILARRRIGIVRSVDDAIASGRARFREAAFDVRGEKRALECTGRDTLANGRRLASLVGGCPRAFAGLTRLRVESVTLRGSNDVANVLAACENLEILSLHSCDASAGSNVAALEMEHPRLVRLDVDACDFETVDLKWLPRLVQVSNNIWFPSRTLPPLVFGHVPQLRTVILSTVGTVNYRTLKLSELLVNTTGIRTLQMIFESEKIWFQPESPKHLAPLLRNLRIACLDKIHKECDLIWTMFVLEAAPLLKELRISVTEHSCGSLAAADVMRKLLYCKKNNIEWHIDSDFKHYNLLLVTVVGFEIKDKFVKLIKRLAHAAVNLEDIHLEDEVKCENCQY >OGLUM02G36370.1 pep chromosome:ALNU02000000:2:35473736:35474335:1 gene:OGLUM02G36370 transcript:OGLUM02G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVALLVSTLLVHSLLADGGRRGKEAAAAALGYSNATVYDMSVAKCAGCGALGAWAESLEFLYYHNLVRLARWELPLAWSPRLESYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGAGGAWRPGDAVKDWAAEGVDYSYAANACAAGRECGHYTQIVWRGTTSVGCARVACDDGGVFMTCNYYPPGNVVGERPY >OGLUM02G36380.1 pep chromosome:ALNU02000000:2:35475227:35475763:-1 gene:OGLUM02G36380 transcript:OGLUM02G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31470) TAIR;Acc:AT4G31470] MEYSSRRVSCCVALAAVLLLSSRTLGGAAGGAPRRLLQISEAQQFVVPQTHLRAIYGLHPLKWSSDLADLATRWADQYKGDCAAASAASAAGGVNVFRGYGGEAWQPSDAVAAWAEEAQHYDYGANACAAGKECGHYKQMMWRDSTQVGCATVTCSSGETLMACHYEPQGNIMGQKPF >OGLUM02G36390.1 pep chromosome:ALNU02000000:2:35477002:35481015:1 gene:OGLUM02G36390 transcript:OGLUM02G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAPRSPPGPGRSRSRGISRWPPPPPPPLQGLRLDLGLLLGRKARTRSGGGKLDLANWLRCFVAPPLPQPSEAEASAEGRDAGNREEEEAGNEEADHLVVMVNGLYGSSADWKFAAEQFVKRLPGKVFVHRSQCNHSKLTYDGVDLMGERLAEEVRQVVQRRSNLQKISFVAHSLGGLVTRYAIGKLYDPSINEEASLDKENFSNELRTSDGGKIAGLEPINFIAVATPHLGSRWNKQLPFLFGVPLLERTAAVTAHFIVGRTGKHLFLTDSDDGKPPLLLRMAEDCDDGKFMSALRSFKRRVAYANLTPSDKKYPHIIHVDKGNSEHHQQEESVEASLTDSMEEVMIHGLTQVPWERVDVCFHKSWLRYNAHHNIQVRIHPVNSDGEDVIYHLIDNFLV >OGLUM02G36400.1 pep chromosome:ALNU02000000:2:35481532:35486126:1 gene:OGLUM02G36400 transcript:OGLUM02G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGGDPGGGGGYPLVAVCIDKDKNSQNALKYATESLAHKGQTIVLVHDAAGYKQPSDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVSKAIVEFAAHAAIEKLVVGATARGGFVRFKAEISSSISKTAPDFSTVYVVSKGGKVTSVRQAVRQAPAVSPLRTMIQGPKPDNVSTQKWTPPPPPSTTRPDIAGTPKIQDNFIMSPFARGANTSVRKAFPDYSMPESSDISFISSGPRRSLDRYPPRLSSGSDAHDHHSFEATRPPSMWGGDSFGSDSQSSNSSFASSLPMEDMEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKTEEEQRSHETRLTEESAMALIEQEKAKARAAIEAAEASQRLAEMEAQKRISAEMKALKETEERLKSMGGGGSRGAVRYRKYTIEEIELATEHFADGRKIGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFNQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADSVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTAKPPMGLTHHVARALDHGTIVDMLDPAVHDWPVDEARCFAEISIRCCELRRKDRPDLATVVLPELNRLRALGEDNMQLCNTMSGGGRSSMHSSPYNSNSSMHQPHRQTDMAIEHSVGRSSYDADTSQQAMQGRRLNYN >OGLUM02G36410.1 pep chromosome:ALNU02000000:2:35489637:35491058:1 gene:OGLUM02G36410 transcript:OGLUM02G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRSSVVHAVLFFAAVALPLAAAEPWPQCGNGGGTYTAGSTYETNLKNLSLALRANASSSPTLFASDFLGSAPDTVYGLLLCRGDMNSSNCANCGTRVGDDVGQFCNRAMDMALVYDHCYARFSNKGDFLKSMHNSLQSSTPLMSGTNITSTDVAGYDRAVTELLNDTVRYAVENSARLFATGQRVGTDPGFRNIYSMAQCWEMSPVTCRSCLDDLVGRWWKTFPTNVEGARVDGDRCHLRSELAPFYTVDPMVRLQADGLIKPASAPVPPELVPATGGKNNSASKILVIILPTVAVAIVAAISLCIWNVRKKRRLAKYSRPDRTEDFESVKSALLSLASLQVATDNFHKSKKIGEGGIR >OGLUM02G36420.1 pep chromosome:ALNU02000000:2:35495012:35496176:1 gene:OGLUM02G36420 transcript:OGLUM02G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYSHQGLQELKNELILVAKLHHKNLVRLVGFCLEKGERLLVYEYMPNKSLDTLLFVTFFKHLVKKDTEQKKRLDWATRFKIIEGTARGLQYLHQDSQKRIIHRDMKASNILLDADMNPKIGDFGLAKLFAQDQTREVTSRIAGTFGYISPEYVMCGQYSTKSDVFSFGILIIEIVTGQRRNSGPYFSEQNGVDILSIVWRHWEEGTTAEIIDHSLGRNYNEAEVVKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATCSLPAPAPRPTSLIDGSSGYSTGYSTEWSGW >OGLUM02G36430.1 pep chromosome:ALNU02000000:2:35501590:35511979:1 gene:OGLUM02G36430 transcript:OGLUM02G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSSLVHAVLLAAVALPLAAGQPWPRCGTGGTYAANSTYETNLLDLISALQGNASSSPTLYASGAVGSGGRDAVYGVMLCRGDLSASDCNDCGTRAGQDVGRVCNRTRDAALVYNQCYVRVSDADFLAATTNNSGEVDLMSSTNITRAADFRAYDAAVVSLLNATVRYAVENSTRMFATGQRVGNDPGFSDIYSMAQCSPELSRPLCRSCLDGLVGQWWDTFPVNVEGARIAGTRCNLRSELNQGTFYTGQPMVVLRADGLTPPQGPAPAATTGGKNNSASKVLVIVVPIVAVAIVAATSVCIWNVRKKRRSRKAEHFSELDASEDLESVKSTFITLASLQVATDNFHESKKLGEGGFGAVYKGLLFGQEVAVKRLAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEEGERLLVYEYMPNKSLDIFLFDSEQSRQLDWVTRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVIRGQYSTKSDVFSFGILVIEIVTGRRNSGPHFLEQNEDLISIVRRHWEEGNIVEMIDHSLGRNYPEAELLKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSTLPAFATHSPTISIEGNSVKNNSANKILEIVLPIVAVAIVAAVSILLWNMRKKRRRRKAEHFTGPDAAEDFESVKSTLLSLASLQVATDNFNESLKLGEGGFGAVYKGLLFGQDVAVKRLAKGSNQGLEEVKNELVLVAKLHHKNLVQLVGFCLEEGERMLVYDGYMSPEYVTHGQYSTKLDVFSFGILVIEIVTGRRRNNGPYFFEPNEDIISIVRRHWAEGNIKEIIDHSLGRNYPEGEVLKCVNIGLLCVQQNPIDRPTMADVMVLLNSDAITTLPAPVVHIPAASFSDGSSGYSQTVTQLSPSEAAGQMRAWRQEAVRAQRRAGKKQERGEEAGAVAGGVERAWQRWRERGREAAGTAGVAALVMLQLFTAGVVIMLVDLHERGRRSSGGRRAAGDYDGAGGLVGERRGASVAAERRQAVGDDLFADAARHLLSRRPHLRP >OGLUM02G36440.1 pep chromosome:ALNU02000000:2:35520256:35537091:1 gene:OGLUM02G36440 transcript:OGLUM02G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFYLAAAACLVGVFLHAPLATDAQPMPWHRCNATSGNYTANSTYHANIQYLATSLPAYASSSPSLFASGSSGAPPDAIYALALCRGDTTNASSCATCVAAAIQAAQKHCALVKTVVIYDDPCIVRFSNLVFPVSPPYNKGMFVAWDDNNVSAAAAAAFDAAFARLANATAEHAAADSVRRFATGEEAALAVAGEVYPKIYSLAQCTPDMSADACRSCLEDILVRMVPTYLAGRKGGRVLGVRCNFRFETYPFFFGQPLLQLPGSPASSSAPVNGERSKHKRSTMIGILVPAIALSSIVAWFCSWRWRRRLAARTLELIPTESSTDDMQSIGSLLLDLSTLRVATDDFSEHKRLGEGGFGVVYKGDLPKGQEIAVKRLAKTSKQGIEELKTELLLVAKLNHNNLVKLIGVCLEENEKILVYEYMPNRSLDTILFDAQKIKELNWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASNVLLDYAYNPKISDFGLAKIFERDQSKVITHRIAGTYGYMSPEYAMRGQYSIKSDVFSFGVLVLEIITGRRNFGSYGSDQQDYDLINATWEHWTSDKALELIDPSLGNHYPVDKVLKCIQIGLLCVQPKPADRPLMSAVNVMLTGTIRLPSLSRPAFWFQEIGASSDVNSEQNLLDPHNSTKMRNVRDAATEWDRRRARSNGWLRRAVYSSARDLDDARASPMAAHAPLRFSVAPTAAAKPKMFQSLNGIHATDAIEVAGVALVLIGPGTVEKTVAVAATATTARKRKGYHYACKNGVSYATTDSDSQTIMSMACYYLAAAAAGLALLLLHAPLTDAQTLVPSCGYSGNYTEDSTYHANIQYLAASLPSYASSSRSLFASGSSGTVPDAIFALALCRGDTNSSSCATCVAAAIQSAQELCPLVKTVIVYDDTCILRFANDAFPISPTSNSQGMVVAWKAQNVSAAVAPAFEAAVVRLINTTADYAATDSVRRFGTGEEAFDETTFPKIYSLAQCTPDMAATACRSCLEDIVGRMGEFWACDATSGLRYTLSSLSVATPGAVAFTGATGDRSRRKIEKQEKCNTSHFNAYNCSSISYYCSMVLFDELEEAETSKKNITTNEDEMQSFASLVLDLQTLRTATDNFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAERIKELAWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASYVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVYSFGVLVLEIITGRRNFGSYGSDHVVDLIYVTWEHWTSDKAIELIDPSLGNHYPVDKVLKCIHIGLLCVQPKPADRPLMSAINAMLSSTGTVRLPSLSRPSFWFQEIGATASSGANSEQNPHNSRKMSQNEAPITELEPR >OGLUM02G36440.2 pep chromosome:ALNU02000000:2:35529179:35537091:1 gene:OGLUM02G36440 transcript:OGLUM02G36440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPSEDEAAEMLCYIWACVLFLNAILMMRWTKKARDSIPAHLGTFPDDVGMAQARVFRRNVLQSTANEIVRLGDQDNQSFEILLRYLGEAKEEIAKAASKMSGAAASFHFISFQMFSFQMFQSLNGIHATDAIEVAGVALVLIGPGTVEKTVAVAATATTARKRKGYHYACKNGVSYATTDSDSQTIMSMACYYLAAAAAGLALLLLHAPLTDAQTLVPSCGYSGNYTEDSTYHANIQYLAASLPSYASSSRSLFASGSSGTVPDAIFALALCRGDTNSSSCATCVAAAIQSAQELCPLVKTVIVYDDTCILRFANDAFPISPTSNSQGMVVAWKAQNVSAAVAPAFEAAVVRLINTTADYAATDSVRRFGTGEEAFDETTFPKIYSLAQCTPDMAATACRSCLEDIVGRMGEFWACDATSGLRYTLSSLSVATPGAVAFTGATGDRSRRKIEKQEKCNTSHFNAYNCSSISYYCSMVLFDELEEAETSKKNITTNEDEMQSFASLVLDLQTLRTATDNFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAERIKELAWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASYVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVYSFGVLVLEIITGRRNFGSYGSDHVVDLIYVTWEHWTSDKAIELIDPSLGNHYPVDKVLKCIHIGLLCVQPKPADRPLMSAINAMLSSTGTVRLPSLSRPSFWFQEIGATASSGANSEQNPHNSRKMSQNEAPITELEPR >OGLUM02G36440.3 pep chromosome:ALNU02000000:2:35531060:35537091:1 gene:OGLUM02G36440 transcript:OGLUM02G36440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPNKLSQTIMSMACYYLAAAAAGLALLLLHAPLTDAQTLVPSCGYSGNYTEDSTYHANIQYLAASLPSYASSSRSLFASGSSGTVPDAIFALALCRGDTNSSSCATCVAAAIQSAQELCPLVKTVIVYDDTCILRFANDAFPISPTSNSQGMVVAWKAQNVSAAVAPAFEAAVVRLINTTADYAATDSVRRFGTGEEAFDETTFPKIYSLAQCTPDMAATACRSCLEDIVGRMGEFWACDATSGLRYTLSSLSVATPGAVAFTGATGDRSRRKIEKQEKCNTSHFNAYNCSSISYYCSMVLFDELEEAETSKKNITTNEDEMQSFASLVLDLQTLRTATDNFSEHKRLGEGGFGVVYKGDLPEGQEIAVKRLAQTSRQGIEELKTELLLVAKLNHNNLVRLIGVCLEENEKILAYEYMPNRSLDTILFDAERIKELAWGQRFKIINGIARGLQYLHEDSQLKIVHRDLKASYVLLDSAYNPKISDFGLAKIFERDQSQVITHRIAGTYGYMSPEYAMRGQYSIKSDVYSFGVLVLEIITGRRNFGSYGSDHVVDLIYVTWEHWTSDKAIELIDPSLGNHYPVDKVLKCIHIGLLCVQPKPADRPLMSAINAMLSSTGTVRLPSLSRPSFWFQEIGATASSGANSEQNPHNSRKMSQNEAPITELEPR >OGLUM02G36450.1 pep chromosome:ALNU02000000:2:35553137:35556019:-1 gene:OGLUM02G36450 transcript:OGLUM02G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCHRSYLAAAATATFLLAVLLHAPLAAGEDEPPPWVLCAPYPPSGNYLKNGTYQANLDLLSTTLPKNTSSSPAMYATGTVGDVPDKVYGLALCRGDANASACERCVAAALRDAPRRCPLVKDVLVFYDLCQLRYSNRDFFLDDDYFVTTYTLQRSRRVGAAAAAAFDAAVAVLVNATADYAAADSSRRYGTGEEEGVDGDSDRPKIYALAQCTPDKTPEVCRTCLSTVIGQLPKEFSGRTGGGMFGVWCNFRYEVFPFFSGRPLLQLPAFVETPPPPPSPSATSGEKTKNRIGAVLAIVMPAIAAVLLMVVACVCCWKRIKKRRPEEQTFLSYSVSSDDIQSIDSLILDLPTIRVATDDFADTKMIGQGGFGMVYKGVLPDGQEIAVKRLCQSSRQGIGELKSELILVAKLYHKNLVRLIGVCLEQQEKILVYEYMPNRSLDIILFDTDKNRDLDWGKRFKIINGIARGLQYLHEDSQLKIVHRDLKASNILLDFDYSPKISDFGLAKIFGGDQSEDVTNRIAGTYGYMAPEYAMRGNYSIKSDVFSFGVLVLEIITGRRNTGSYDSGQDVDLLNLVWEHWTRGNVVELIDPSMGDHPPIEQMLKCIHIGLLCVQKKPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQEVSASDSSNPYSERYARPRHSGYSDNSTVVSSNDLSITELVPR >OGLUM02G36460.1 pep chromosome:ALNU02000000:2:35562164:35568326:1 gene:OGLUM02G36460 transcript:OGLUM02G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGATAMRRRRHLALSLSLCYNLAAVALLVAFLHAPPLAGAQPLPWQLCNATAGNYTEGSAYQANVRALASALPANASSSRALFAEGAAGTAPDKVYAIALCRGDTNASFCAACVATAFDTAQQLCAFNRRATLFNDPCILRYSDQDILANVTDNRGMFVAWNYNNVSAAKVAAYDAASGRLVNATADYAAADPVRRFGTGEVGFDDATYPRIFSLAQCTPDMSEADCRSCLGDIIRRMTPKYFVGKPGGRVFGVRCNFRFEAYSFFSGRPLLQLSGPSPPPANMTPPVTSEGRTRHRTGRILAITLPIAGAALAFIALTCFCFRRKRTPANKASSLPFSTNPDDIQSIDSLLLDLSTLRAATDNFADRNKLGEGGFGAVYKGVLSEGQEIAVKRLSQSSRQGIEELKTELVLVAKLRHKNLVSLVGVCLEGDEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLKIVSGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGAMILEIVTGRRNSSFSNSEQSIDLLSLVWEHWTTGTIEELLDPAMRGRSADDLLKLINIGLLCVQDNPADRPTMSAVNVMLSSETFSIQAPSRPTFCIQETDSAADTDLYSGAFRSTGDSKRRSVASPNEVSLTELEPR >OGLUM02G36470.1 pep chromosome:ALNU02000000:2:35562935:35563385:-1 gene:OGLUM02G36470 transcript:OGLUM02G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFCFGNSPSLVTGGVMLAGGGEGPDSCSSGRPEKNEYASNRKLHRTPKTLPPGFPTKYLGVILLIMSPRQLRQSASDMSGVHCASEKILGRRTCPGYR >OGLUM02G36480.1 pep chromosome:ALNU02000000:2:35581912:35584700:-1 gene:OGLUM02G36480 transcript:OGLUM02G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPSATRSLLPSPRYTSLRASSLLPGAAAHHHGLPPSAPGWFPLSAGALPGTTPTEPGWLFHCHSPTTLPALPTTTPTKATTEASHRADEASQKTRASHRHIPNLLSLKIYIGM >OGLUM02G36490.1 pep chromosome:ALNU02000000:2:35585522:35589650:-1 gene:OGLUM02G36490 transcript:OGLUM02G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATAMRRRRNLTPCDSRLATAVPLLVAFLLLLHAPPLAEAQPLPWQMCDTAGGNYTEGSTYQANVRALASALPVNASSSRALFAKGAAGAAPDVVYAIALCRGDTNASSCAACVATAFQDAQQLCAFNKRATMFDDPCILRYSDLDILANATDDQGNFIAWNYNNVSAAKAAAFDAASGRLVNATAGYAAADPVRRFGTGEVGFDDATYPRIFSLAQCTPDLSEADCRSCLGRIIRWVPQYFAGKPGGRVFGVRCNFRFESYSFFSGRPLLQLPGPPPAPAPSPANMTPSSPPGTMEGGTRHRTGRILAITLPIAGAILALIVLTCFCFWRRRTPARKASPMPYSTNPDDIQSIDSLLLDISTLRAATDNFAERNKLGDGGFGIVYKGVLREGREIAVKRLSQSSRQGIEELKTELVLVAKLRHKNLVSLVGVCLEEGEKLLVYEYMPNKSLDTILFDYEKSKDLDWGKRLNIVNGVARGLQYLHEDSQLRVVHRDLKASNVLLDFDHNPKISDFGLAKLFEWDQTQDVTSHIAGTYGYMAPEYAMRGQYSVKSDAFSFGVMILEIVTGRRNSSFSNSEQSVDLLSLVWEHWTNGTIEELLDPAIGCRAVNDLLKLINIGLLCVQDNPADRPAMSAVNVMLSSDTVSLQAPSRPTFSIQEMDGADTDLYESGAYSLSRSAFQPTGDSNTRAAASSPNELSLSELEPR >OGLUM02G36500.1 pep chromosome:ALNU02000000:2:35597332:35615768:1 gene:OGLUM02G36500 transcript:OGLUM02G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAAAHHLAGVAAAFLLAVLLHVHLAAGEDEPPPWYLCDPYSANGRYSENSTFQANVNRLSATLPRNTSSSPAMYATGAAGDVPDKVYGYALCRGDVADAPACERCVAAALRDAPRVCPLAKDALVFHDLCQLRYSNRNFLLDDDYYVATYSLQRSSRLVSAPAPAAVAAFDAAVAMLANATAEYAAAANTSRRYGTAEEEGVDGDGDSGRPRMYALAQCTPDKAADVCRACLTTLTTVQLPKLYSGGRTGGGVFGVWCNLRYEVFPFFSGRPLLHLPAFVEAPPPATSAAATRRGEKKRNKTGIVLAIVMPTIAAMLLIVVAYFCCWRRRRPEEQTFLPYDIQSIDSLLLDLSTLRAATDDFAESKMIGRGGFGMVYKGVMPEGQEVAVKRLCQSSGQGIEELKSELVLVAKLYHKNLVRLIDWGKRFKIINGIAQGLQYLHEDSRSKIVHRDLKASNILLDFDYNPKISDFGLAKIFDGDQSKDITHRIAGTYGYMAPEYAMRGHYSVKLDVFSFGVLVLEIVTGRRNSGSYDSGQDLDLLNHVWGHWTRGNAVELIDPSLGNHPPIEQMLKCIHIGLLCVQKRPASRPTISSVNIMLSSNTVRLPSLSRPAFCIQDVSAIALLLALLHGPLAEAQPLPWQLCNATAGNYTEGSAYQANVRALASALPANASSSRALFAEGAAGTAPDKVYAIAFCRGDTNASFCAACVATAFDTAQQLCAFNRRATLFNDPCILRYSDQDILANVTDNRGMFVAWNYNNVSTGRMAVFDATSGQLVNTSGDYASAVYDAFSGMLVNATADYAAKDSVRRFGTGEMGFNVFDSPYHNIFSLAQCTPDMSEADCRSCLGDIIRRMTPKYFVGKPGGRVFGVRCNFRFEAYSFFSGRPLLQLSGLPPSPPGLPPSPPGLPAASGRNKPGTQILVIILPLVAISSVTAISICMWNIRKKRRWRRAENLSAPDTAEDFESIKSALLSLSSLQVATDNFDENKKLGEGGFGAVYKGLLSGQEVAVKRLVKGSSQGLEELKNELVLVAKLHHRNLVRLVGFCLEEGERMLVYEYMHNKSLDTFLFDPEKRRGLDWVTRFKIIEGVARGLQYLHQDSRKKIVHRDMKASNILLDTDMNPKIGDFGLARLFGQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGVLIIEIVTGQRNSRPYLFEQNEDIISTVWRRWSEGTVAKMIDHSLGKNYPEAEVLKCINIGLLCLQENPVNRPTMADIMVLLNSNASSSIPAPAARPTFSFDGNSRYSQTITQLSAR >OGLUM02G36510.1 pep chromosome:ALNU02000000:2:35620868:35631350:-1 gene:OGLUM02G36510 transcript:OGLUM02G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGYFAFVHGGYRLTISLSTTYICLAASRAAERNWRSGDSDLVYLRVSHRLESNLLYTGRGSIVVISVLLAAVTLVCCTGWKAAARWWAAGRRARTVHPVDAATAAAAVVPTA >OGLUM02G36520.1 pep chromosome:ALNU02000000:2:35642693:35643793:-1 gene:OGLUM02G36520 transcript:OGLUM02G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGGPPSLRAGLLQQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSTSSSGSSSLGGVPTPPNSVGSAPPAPPPLSELERVRRIGSGAGGTVWMVRHRPTGRPYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIASEAFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPAPPPNASPEFKSFISCCLQKNPARRPSAAQLLQHRFVAGPQQQQQPQPQPLAPPPS >OGLUM02G36530.1 pep chromosome:ALNU02000000:2:35666816:35667211:-1 gene:OGLUM02G36530 transcript:OGLUM02G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQFHSLNLADNDSSLSGTPSCPVPRQSPSGSKCDKATKRAAIFRTWIHTCTLATDGRQTTPVSVLLRALIPKRCLPPGR >OGLUM02G36540.1 pep chromosome:ALNU02000000:2:35669621:35674478:1 gene:OGLUM02G36540 transcript:OGLUM02G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASRMEQTTGVSGHEHIIDIPRDSGPSTSTSHSVTRENHGEPNPVDRPATRALVPALQAPSAVGAPSAGHTSGARRSDNYVRRHRSPLNSGLWISIEVLVNVSQIVAAIVVLSLSRKEHPQAPLFEWVIGYTVGCFATLPHLYWRYIHRNIVNGENEPAHTLQGSSQNNSTEPSASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINSLPTYKFKTKKRRHSSGNEAEGQDGGIVAAGTDKERSLSAEDAVCCICLAKYAHNDELRELPCTHCFHKECVDKWLKINALCPLCKSEIASSSGTSDTRRSDHTDIPVQEIEMH >OGLUM02G36550.1 pep chromosome:ALNU02000000:2:35680879:35687336:1 gene:OGLUM02G36550 transcript:OGLUM02G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZG1] MARRSCFFFFLLPLLVAALAGSPVVTAQRNAPPPVRVGVILNLTSAVGVRRRVGIQMAVEDYYAANPGSATRVELHFRDSAGDVIPAASAAVDLIKNVQVQAMIGPPSSAATEFVAHIGSHSRVPVLSYSATSPSLSPAQTPFFVRAAVNDSFQAAPVAAVLDAFRWRAAVVMYEDSPYGSGILPALADALQGAGAKIMDRTAVPVDATDDRLDALLFRLRAMPTHVFVVHMLYNVAGRLFRRAKMLGMMSDGYIWVATDGVATFMDRFSPEEVDAMQGVVSLRPYVQETDAVKNFSARFKARLRRDHPTVDDVREPTVLRFWAYDTAWAIAAAAAESVGVAGPAFQTPQTSAPLTDLDRLGVSATGAALLNAVLSTTFDGLAGKFRLVDGQLQPPAYEVVNIIGKGARTVGFWTPESGITQDLNAGSAKTLKQILWPGEPRDTPRGWTVSPSGLPLRVSVPTKRGFTQFVDVGNVTATGRRNITGYCIDVFDEVMKIMPYPVYYEYEPYPDSPESYEKLVDQVSSQKADAVVGDVTITASRMKEVDFTMPFTESGWSMVVAVQKETSTSMWIFLQPLTTSLWLASLAFFCFTGFVVWVIEHRINEEFRGTPWQQFGLIFYFSFSTLEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTVQKLQPTVTDVRELLRRGDYIGFQEGTFIVPVLEKMGFKGRMRSYSTVDQYADALSKGSANGGVAAIFDEIPYLKLFLSQYCDGYTMVGPIYKTDGFGFVFPRGSPMVADVSRAILTLAEGEKMAQIEKKWFGEPGACQSQGSAVGSSNLSFRSFGGLFLITGVVTSAMLLIYLAVFFYRERDELRAAEAAAAASGSGSRSLRRLRAWVRHYDQKDLKSPTFKRRWSDESVRNGSEYAASRTPRWGDESPCNVAGAADADAGRIPEEVVGGMSPFSISTSSDERNGAVSPAAAEFDNSSDRAAVVAGTSQPR >OGLUM02G36560.1 pep chromosome:ALNU02000000:2:35688173:35695199:-1 gene:OGLUM02G36560 transcript:OGLUM02G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZG2] MERAEETPRAPSTAPSARVSIWESVRACGVWGKEVDKAELRRQVVMPLYARRAVAAAVKAKDEAVGVAAAAAAERGEEGEVEVEAAVTPVVVFVNSRSGGRHGPELKVRLHELISEEQVFDLSVVKPSDFINYGLGCLEKLAEQGDNCAETIRKKLRIMVAGGDGTVGWVLGCLTDLYRLKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATAPTCRLDSWQAVVMMPDGEIKELPYALKKTEPADCLELCQENGTELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLSVRSLVVLNLYNYGSGRHPWGDLKPDYLEKKGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGQWNRAYVQMDGEPWKQPLLQEQSTIIEINKVPYPSLMINGEQ >OGLUM02G36570.1 pep chromosome:ALNU02000000:2:35698339:35699825:1 gene:OGLUM02G36570 transcript:OGLUM02G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPANHLPHHVGTPTNFHVSPRLRQGPPAMAQPVHWLAREAADDDRMGIGETAAARASRGGVGHHYIPSFYMPISDHSRKADQTAYYRRPCILVKQPNNWAGAGLATEDIVRAPAIDHASTTLAIQFMVLSVGRHGSGRSGGERGVKDDGAAELPSLFPVTCSGSSPTYGMFDKLAAAASCACDTIGAGCAAAGQQQNNRSNRPLKQTSAR >OGLUM02G36580.1 pep chromosome:ALNU02000000:2:35702820:35708698:1 gene:OGLUM02G36580 transcript:OGLUM02G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZG4] MAATTAKHQTMRWSDLDEDDDYGGGGGGGLSALQLPPRVVIGPDENGIKKTVEYRLNEEGKAVRVTTTTLVREVARTRVTKRAAERRGWAKFGDAAHNDDAGARLTVVSPEEIVLERPSAPGSKSEDPLIPALDKGAALMVCRICNAKGKHWTSKCPNKDFAPLQLDALVDNNIPPNSGGDNGSGSRPGKYVPPKPRESDMRRRNDENSVRVTNLSEDTREDDLRELFGSFGPLTRASGRTKRSEPLVPFPFLRRGLLPHSLPPTPPPPQTLANAAERGESAMAVEVATQKIRWGELEEDDEGDLDFLLPPRVVSGPDENGFKKVVEYRFDDKGNKVKVTRTFRVRKIARARLSKSAIERRSWPKFGDAVQEDVGARLTMVSTEEIVLERPRAPGSKAEEPSASGDPLASKSGAVLMVCRTCGKKGDHWTSKCPYKDLAPQTEAFVDRPPTADGSGPPGGAVKGAYVPPSMRSGAVDRVGHDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVSRVYVAVDQKTGASRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >OGLUM02G36590.1 pep chromosome:ALNU02000000:2:35707317:35709135:-1 gene:OGLUM02G36590 transcript:OGLUM02G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATPARAWWRTAAPASASTAAVSCFRVGAKSLTGLQMTSTRTNKVRTVHVKSGEAEGSPSTESITRDEETLQRDLQTAIQEEDYAQAAKLRDELRVLQEDSRSAVLAANARFYNAFKNGDLVAMHSTWAKGDHVYVVHPSAGRISGYDMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDLGYVTCLELVKTKGSSSWGRQVATNVFEKVDGKWFICIHHASHFDE >OGLUM02G36600.1 pep chromosome:ALNU02000000:2:35713983:35724996:-1 gene:OGLUM02G36600 transcript:OGLUM02G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYVIGAGADVRNVPPPLSNALAAALKRAYAHHRRIGSGVVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELAAKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAPGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPSSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQSRSPSLCSACLDGYERERADMAWSPGCGALHATEQPMSQWLQIGTPSSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGVGGCGGGRPSSMVTCSEWNGASVLANMQAIPLLVKRLTEAVRWQPEAAAAVAAAITKARSGERKRRGMGPTRADTWVLFSGHDVAGKTKMAEALSMSVFGTNAVALRLAGNGGEPIASLAGAAWTAGESATSLEAWADEVLCPTIRQLKRSLSANDVDGATTVSLSAVEGSGDRRRKDGEVFPTSVTVAVDGN >OGLUM02G36600.2 pep chromosome:ALNU02000000:2:35713983:35724996:-1 gene:OGLUM02G36600 transcript:OGLUM02G36600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAYVIGAGADVRNVPPPLSNALAAALKRAYAHHRRIGSGVVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVLHRQVSHREEEVNKVVEVLKRGKKRNPVLVGDTVDVDAVVQEVVTMIQRQRLGNARVISFQREFGDLVDLDRAELAAKIKELGEAIRSELLSPASRSAGVVVNLGNLQWLVEERCVAPGEQEKRRDVVLDTARAAVAEMARILRQSGEREHRVWVIGTATCATYLKCQVYHPSLESEWDLQAVPITPRPPPPPPSSLGLSPSVNGVNRGILSSSVEVLSSAMTTSAMQSRSPSLCSACLDGYERERADMAWSPGCGALHATEQPMSQWLQIGTPSSARPPFDRAQDKAREADELRRRWLDRCAQLHSHGVGGCGGGRPSSMVTCSEWNGASVLANMQAIPLLVKRLTEAVRWQPEAAAAVAAAITKARSGERKRRGMGPTRADTWVLFSGHDVAGKTKMAEALSMSVFGTNAVALRLAGNGGEPIASCRGRTALDCVADAIRANPLRVIVLDGFDHHDDDRVVQASILRAVESGRLVDSRGRDVALGEAIFVVMSLDDTRRCQEDHQFTDSPWNLELRVRNNARKRRPEPQPLDGAGDRRLKPRKDSPPLHLDLNLSMCEDHTDDDDSGGEESRNSSSDLTVEHEQEYGQPAAAAAKFSAPSSFSELTKAVDATVVFKPVDFGPLKRSVSDVVSAKLGDAAGAGAGLFVHVDDGVLDRLAGAAWTAGESATSLEAWADEVLCPTIRQLKRSLSANDVDGATTVSLSAVEGSGDRRRKDGEVFPTSVTVAVDGN >OGLUM02G36610.1 pep chromosome:ALNU02000000:2:35728534:35733904:-1 gene:OGLUM02G36610 transcript:OGLUM02G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G41190) TAIR;Acc:AT2G41190] MAAAAEAKHRKEEEEDEEEMTLEDGDIEESPRRSFDDDYEDGGSGDDDRDDDGEGLGSPRSFQSRQWPQSYRETTDTYTIAASPSFGYLGPSTSKYSILDGGRSSLGSDLKLPLLSDKLDGKQDSVKSLRKTLGSAIDRKSSLLTQHTGEVYIAQGCSVTQTVFNGVNVLAGVGLLSTPFTIHEAGWVGLAVLAMFAIVCCYTGVLMKHCFESKDGISTYPDIGEAAFGRIGRLLISIILYTELYSYCVEFIILEGDNMTSIFSHIGFDWLGVHIDGKHFFGVLTALIVLPTVWLRDLRVLSYLSAGGVIATLLVFLSVVLVGATDGVGFHLTGKAVNLGGIPFAIGIYGFCYSGHSVFPNIYQSMSDRTKFTKALFICFAICTAIYGSFAIIGYLMFGDKTLSQITLNLPKHSFASKVALWTTVINPFTKYALLLNPLARSLEELRPEGFLNETICSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIRQNKATTAQVVASIGIIILGIISAALGTYSSVLRIVENY >OGLUM02G36620.1 pep chromosome:ALNU02000000:2:35737924:35742544:-1 gene:OGLUM02G36620 transcript:OGLUM02G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAGKVDDEMALKRQRTVRFYDEKAKPTIPTHQKQAGFAASKLGVASSGKNKIFVPGEELWYKRILDPSSDFILTWNHIFLFSCFVALFIDPLYFYVPKISYGTPNSCIGTDRHLAITVTFFRSISDLLYFTHIIIKFRTAYINPSSTMRVFGRGDLITDPKEIAWQYLRSDFVVDAVAALPLPQILIWFVIPAIKYSTDEHNNNILVLIVLAQYFPRLYLIFPLTYEIVKTTGVVAKTAWQGAAYNMLLYMIASHVLGALWYLLSVDRQTACWKSNCKNETGCDIKFLDCDVIPNQNWASKTAIFNTCDATNTSISFDYGMFQPALFNQAPGQRFLMKYFYSLWWGLQNLSCYGQTITVSTYIGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDNQLLDAICERLVSSLCTQGTYIVREGDPVIEMLFIIRGKLESSTTNGGRTGFFNSTTLKSGDFCGEELLGWALVPKPTVNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKRLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMARDLSMRESFCSMRSDDSNGEDDSPPKQNLAMKIMSGSRKGPQNMKELPKLRKPDEPDFSAEPCE >OGLUM02G36630.1 pep chromosome:ALNU02000000:2:35747099:35750344:1 gene:OGLUM02G36630 transcript:OGLUM02G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEPHKENHLGHVVTCCSCAHELVKHCGALESDHEDFTFYHRSGSCGIEGCDCGCDSCYDAFHYPDLQDQYANDIHEQSAQFHHTHSTSSQTHHVDHDLRGYLSSILHRTATQN >OGLUM02G36640.1 pep chromosome:ALNU02000000:2:35747329:35750893:-1 gene:OGLUM02G36640 transcript:OGLUM02G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYGRRSYSPRDRSPRRRSVSPVRGRSYSRSPRGRGGSPYADGRDGSRYRRSRS >OGLUM02G36640.2 pep chromosome:ALNU02000000:2:35747354:35750893:-1 gene:OGLUM02G36640 transcript:OGLUM02G36640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARLYVGNLDPRVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDKRDAEDALRDLDGKNGWRVELSRNSSSRGGRDRHGGSEMKCYECGETGHFARECRLRIGPGGLGSGKRRSRSRSRSRSPQYRKSPTYEATVLVIALQGAAVFHQFVGAATAGHHVAEVVLLMLMGKAYFFNFTILVLYGFINHIFFIILMNSCFSPFFQKRW >OGLUM02G36650.1 pep chromosome:ALNU02000000:2:35750940:35754748:1 gene:OGLUM02G36650 transcript:OGLUM02G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHSREDLDLTSSSDEDDDEEYDPQTATSAASREDILRTSTPSSLEFLDAKLKALDLKYQAPNAAKLYLHVGGASASARWVPAERRATYAFVDKAASAAAGDSDGAASRWVLEVGPGPRVSAPVGPALQLKALPAQRRADFAAGGSVWALRLPTDAAFRRFRQEYDRCLFENTYGVEATDEGRKEVFGADFAAWARPGEADDAVWADAEDSFTPPVATPARDLLEEFEEEAGDGSIQSLALGALDNSFLVGGSGIQVVKNFRHGVHGKGVSVRISDGRGGGNAYMTPQKALLMRGETNMLLMSPGETGTRHSNGVHHVDIETGKVVAQWRFEKDGTDITMRDIANDSKGAQLEPSGSTFLGLDDNRLCRWDMRDSRGRVQTIGSSSESPVLQWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLSPLDSILAGSENKFHGGQFSWVTENGKQEKHLVATVGKFSVIWNFQQVKDSNHECYRDQEGLKSCYCYKVVLKDESIVDSRFMHEKFATTDSPEAPLVVATPMKVSSFSLANRRLH >OGLUM02G36660.1 pep chromosome:ALNU02000000:2:35766686:35767208:1 gene:OGLUM02G36660 transcript:OGLUM02G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCGRYGYTFERCWGLNSKPPVGDGGEARGALSFGKVGKAPPPGPAVLAAHVSFHVTLDATAPGQSIGLSCRGWPPRAGPTVCAGLTAVALSRQRGHSSSHVSVLFLTGSTAGQDYCPQRKENEGSGVGVFGSKLLSLGS >OGLUM02G36670.1 pep chromosome:ALNU02000000:2:35770370:35774319:1 gene:OGLUM02G36670 transcript:OGLUM02G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSCHNLLDLVAAADDAAPSPASLRLPRVMSAASPASPTSPSTPAPARRVVVSHRLPLRAAADAASPFGFSFTVDSDAVAYQLRSGLPPGAPVLHIGTLPPPATEAASDELCNYLLVNFSCLPVYLPADLHRRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFDRALYHSFLSANRAFADRLTEVLSPDDDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVREDLLRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDLVRRLTESYKGRRLMVGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDIQEVQGEARAISARVNARFGTPGYTPIVLIDRGVSVHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESTGLDDAAKRSVIVLSEFVGCSPSLSGAIRVNPWSVESMAEAMNAALRMPEPEQRLRHEKHYKYVSTHDVAYWAKSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVDHIVPSYRKSDNRLILLDYDGTVTPEGSIDKAPSNEVISVLNRLCEDPKNTVFIVSGRGKDELGRWFAPCEKLGIAAEHGYFTRWSRDSAWETCGLAVDFDWKKTAEPVMRLYKEATDGSTIEDKESALVWHHDEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVDNLLSSMVSRGKAPDFVLCIGDDRSDEDMFESIVCPSNSSVKLPASSEVFACTVGKKPSMAKYYLDDTVDVIKMLQGLANAPSQRPRQVQLRVSFEGSL >OGLUM02G36680.1 pep chromosome:ALNU02000000:2:35780971:35782257:-1 gene:OGLUM02G36680 transcript:OGLUM02G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTWQPSPPQTPAAVASSASGIDGVENKISPSIVFIVAVLAIVFFVCGLLHLLVRHLLRLHRQRRAREDAESATAFEGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGVGGEDGKDPFDCAVCLCEFAADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCTPVVMVLESESSRDMVHAADDEPADVGGEDAQGAEEVVEVKLGKFMCVEGNVSFNVNAIAGEGDRAGTSSNGNGDANAKAGGLGQRRCHSMGSYEYVMDAHASLRVSIKPPRKKPAAAASKSRRRGAMSECEFGASKRGESSLRLPPFRATPRKNPDDDAAAAAGAKLAKDSFSVSKIWMVPSKKEPGAAAERRAVSFRWPAAKDWDVEAGSCGGNSAVSSVAAEERPSFARRTLLWVVGGSRQLSRVGSCS >OGLUM02G36690.1 pep chromosome:ALNU02000000:2:35790943:35791786:-1 gene:OGLUM02G36690 transcript:OGLUM02G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQARWLILCTLQSALRLVMHPLIMHPRINQCKFDREFWVGPCVDLPVVSTPQREDHKSREKALASHAQIAADHHALASFALQIADLQPVFLSFCMDKELMEGTFGNLLRQKPSGNWQKSNPPPTVEYYSRNPHQNSHHDHEQHGVLKYLDLNNLCLVIRAPLFLLPDLLLRLCIVALPLCLG >OGLUM02G36700.1 pep chromosome:ALNU02000000:2:35805162:35808968:1 gene:OGLUM02G36700 transcript:OGLUM02G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRPRGLGNFRVKSSIRGLPVHAKRGDPQLRASMGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLMLFNANVHRTDYLNGGSALHFAALHGHARCLRLVLADYVPSMPNFWNSMKDSLSEEGPSADLDEDGLFKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEATIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGASLSAQNASGWTPLMVARSWHRNSLEEILSKEPESRIRTVPSPYLCLPLMSIMSIAREFGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVSPAGAIPCPLCRHPIIAFTALPGTSPIRELPRNSLSLSFCTTCPAVNSDSTPSIASHLYRTEFQCARMPPMGSSSFRSLSCQRLPAMKLNPSFCMGAMDTNPCLIRCSRFGPSFRRSASQGESSRRAWPLTFDPIAATGS >OGLUM02G36710.1 pep chromosome:ALNU02000000:2:35813889:35815566:1 gene:OGLUM02G36710 transcript:OGLUM02G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVQAGGGGGNGGAGGGSGRFKRALLRNLILGLRKGGVASGEMGFHERKSAIKRAADAALAAARGTAPCWSRSLAAELAQAPQQHRSPPSPSPRKMICRKIVRRSLIRRRRRPIRPANNTTAATTKAYGGGGGGGGAARAMVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >OGLUM02G36710.2 pep chromosome:ALNU02000000:2:35813851:35815566:1 gene:OGLUM02G36710 transcript:OGLUM02G36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSARVQAGGGGGNGGAGGGSGRFKRALLRNLILGLRKGGVASGEMGFHERKSAIKRAADAALAAARGTAPCWSRSLAAELAQAPQQHRSPPSPSPRKMICRKIVRRSLIRRRRRPIRPANNTTAATTKAYGGGGGGGGAARAMVRKRASVLKEIVPGGKALDMCALLGETLDYAVSLKAQVDVMQLLVRTLQEQKLKNSGN >OGLUM02G36720.1 pep chromosome:ALNU02000000:2:35817443:35818603:-1 gene:OGLUM02G36720 transcript:OGLUM02G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATDPSAPGNGSRGGRSRGQTGLSLGLSEQRGQRPIDRPYLYIYRSATANNTNQSCMQCRLDRQGEASS >OGLUM02G36730.1 pep chromosome:ALNU02000000:2:35825944:35827086:-1 gene:OGLUM02G36730 transcript:OGLUM02G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYRSSVESDCGTVIGSVRYVQGSSCVVGPSLTAATAEEMALASWLASRCACAYVDVTTAENGAGVMSSAVTTTSQLHACNICSIQLRRRRGRKIKVDLSAARNE >OGLUM02G36740.1 pep chromosome:ALNU02000000:2:35828784:35830097:1 gene:OGLUM02G36740 transcript:OGLUM02G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLEDDMFPSTPGKVKIERATAMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNSYYDPSLKKARRSLLASHGVFVIEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFTESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCLGSLDTAGTSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLARQQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNL >OGLUM02G36750.1 pep chromosome:ALNU02000000:2:35834200:35840376:1 gene:OGLUM02G36750 transcript:OGLUM02G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAWEKVATAAGLGGSGERRKYPIRVEDYELYEEIGQGVSAIVYRSLCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDQPNVMKAHCSFTNNHSLWVVMPYMAGGSCLHIMKSVYPDGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGVVKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKFPPMKVLLMTLQNAPPGLDYERDKKFSRHFKQMVAMCLVKDPSKRPTAKKLLKQPFFKQARSSDFISRKLLEGLPGLGARYLALKEKDEVLLSQKKMPDGQKEEISQDEYKRGISSWNFDMDDLKSQASLITECDDSISCKDSDASCFYDLDTILPERATGPHMSRVFSIKYDTDTEYINAQDYKRGTCKWPGQETQLHRNSKHQINIHNRDKCNGGPLQVADEPSPEAVPKVPKSSAANVEDHDDRSKPPLIQQRGRFKVTPGHVELDKAHSPGLQKSHSMQAISHLPSLSIPSSIEAASTIIGGSLYMQLYNVLQTNMLQREQILHAMKQLSGCDMAMTSPACIAPASRASSPSSALSIDRSLLEAAHEKEKELVNEITELQWRLVCSQDEIQRLKAKAAQLLSIIYIHKKDESSLTIGGHIYDTLVQLELESQPKPQTSPTSTLSYKLYVHTAEASVIGKPTSLLVSPCVVPPAGECYNRYPVY >OGLUM02G36760.1 pep chromosome:ALNU02000000:2:35840318:35843098:-1 gene:OGLUM02G36760 transcript:OGLUM02G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast autophagy 18 (ATG18) B [Source:Projected from Arabidopsis thaliana (AT4G30510) TAIR;Acc:AT4G30510] MASSSSPPPPPQIRCASFNQDNSLFYVGTKDGFRIFDAHTGKLHYQKNIGGIGNMEMYFRTNILAIVGTGEQPVLSPRCLRLIDTVAAVTKKDLNFKTSVLAVRLSRTRLVVVLQDRTFIYDVNSTTILEEIETVPNTKGLCAFAPNSEACYLAIPASTSKGSALVYKASEPELICQIDAHESPLAAMAFSSNGTYLATASGKGTIIRVFLVAQATKSHSFRRGTYPSTIYSLSFGPSDDLPDVLVATSSSGSLHMFFLDAARNRRNQTSKLLGSMIPGAITRALDPANHHIIHNVIPAGIKSCVAVHKVENSQNSSKLPALRTVVYIITHDGYFREYSINTTKSNESSWVLQRELNILDTGCSTPQREEQHKD >OGLUM02G36770.1 pep chromosome:ALNU02000000:2:35847875:35857593:1 gene:OGLUM02G36770 transcript:OGLUM02G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRLTPSAAIAAIAAFSGDMKSKPTQSVVSGHSSLNDPSSADGGSARCLRLFRLAGWLVTFSSSHALPLTPTVTARGCSVARRLRATATQLGDAALFDRPEPKG >OGLUM02G36780.1 pep chromosome:ALNU02000000:2:35878310:35882145:1 gene:OGLUM02G36780 transcript:OGLUM02G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNNIFRVALLSYIVLTIGGKELKSTNSGENTGLTFTNQQVNKTVQTEDGDIYNCVDVNQQPTFKHPLFKDHEIQMEPSSSPIRLDIKSPLVAAVSHAQLSTIDCPIGTIPILRNNKLDTTMVQGISTLASNDLQQLVAGIKYWDEIYGSRASINVYEPKVKQDSNDLSASWIQIGSVPKVGKGVGIGAGSCVYPSFSGDSFARFHISWDNEELKKNCIDHNCPGFVQVSHSVGLGGRVHPISVYNGPQYVIDVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSCIKDKCNFAFWGGYVQGPTASSDPSQIGSGHFASEGFGKAAFVRNIQAIEDENNKLVTPSIRSAHPRADNPKLYTYDDYGLNDDGMHVYYGGPGKYS >OGLUM02G36790.1 pep chromosome:ALNU02000000:2:35893804:35896357:1 gene:OGLUM02G36790 transcript:OGLUM02G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTGGAHARACLLERDALACRARAPHPPWNGYVKSGGERLPMHFAIALTPQGQHRLQRRCRVWIGRFKSLFSHLVASHKYYIFISKESEIIKNLVRIDQRAVPAAAAAEDRRRKGEKRRSGSGETKSSSSSCSSSSMEERVKIGGDGEEEEEAEEEEAPLEVVRAAKRRFGVVVVVGPPPS >OGLUM02G36800.1 pep chromosome:ALNU02000000:2:35901824:35903458:1 gene:OGLUM02G36800 transcript:OGLUM02G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the inner envelope membrane of chloroplasts 55-II [Source:Projected from Arabidopsis thaliana (AT2G24820) TAIR;Acc:AT2G24820] MTTPRATTTTSPFSSLLLLPISPSAATPPRRARTPPPAVAHGGVRWTAGGRRRRRGCRAAAAVVEDGVLLPKEQEDDDDEASSSAARYDWREEWYPLYLAKEVPDDAALPLTVFDRQLVLYRDAAGVLRCHEDRCPHRLAKLSEGQLVDGKLECLYHGWQFDGEGKCVKIPQLPDGAKIPRNACARSYEVRDSQGVVWAWMSGTNPPDERKLPWFEPYARPGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQPLFFEVTERTPRGFAGYWGKQRTPHLRNLLRFEAPCVLTNTLEFVDKDGKEQCFSAHFLCRPAGQGKSMLLVRFGSTQTSPLVKVLPRWYFHQNACKVFEQDMGFLSSQNEVLLREKVPTKELYLNLRSSDTWVAEYRRWMDRAGHGMPYYFGHSTLSPPPVPAVVEQAPAGAVAGMSASFPAKGGVGATHAPNPTNRYFRHVVHCKGCRDSVKRYASLKNAFAALAAAAVAAAILAATRQLKAVLLASAAALAAASYACNWVLSLITTNFIRTHRRL >OGLUM02G36810.1 pep chromosome:ALNU02000000:2:35904231:35907082:-1 gene:OGLUM02G36810 transcript:OGLUM02G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT1G16180) TAIR;Acc:AT1G16180] MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPCERLRNPFRVIRFGSFFFLSKSIGISHGAPLAAICAGINHFHKTPDREWFETDAVLRVSLGNFVFFTILAIIMAGIKDQKDPRDKIHHGGWMAKIFCWVVIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIVTFSFSGLLFHWFTPSGHDCGINLFFIVFTLILVFVFAIVALHPKINGSLLPASVIALYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLSLGLLTTILSVVYSAVRAGSSATVLSAPDSPRAGADKPLLPFSKADEEAEKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIATQWATAGLYIWSLVAPLLFPDREF >OGLUM02G36820.1 pep chromosome:ALNU02000000:2:35908190:35911543:-1 gene:OGLUM02G36820 transcript:OGLUM02G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G24830) TAIR;Acc:AT2G24830] MAGEEGEDEAASIELQLEHHLQEQRASLTAVDEALAADPSNADLLEVHEELLAAIKDAEEGLLHLKRSRLVKQIDEIFPNQEPTSEAPEVAVDPPDDVEPEPLEPQEFSVGSKCRFRHKDGRWYNGCVIGLEGSSDARISFLTPTSENMSMCKFFLQQRCRFGSNCRLSHGIVIPILSLKQFTPTRWQQSLVGSSILAASGHHSGLWRRAELESWDDDLKVGQVVFQDDGSSARLPSDSLSISEYADESDEDGEGSSSDEGSDFSEDGDQEDESVHQGLGLLESKNLSGVQTETAIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHAVAASEVNDSVGPGKKRSRGGKRKREKKFAEQARAAKAEEERSVFSFINSQLVGQDVAEGSAVKSKKDSSGEANGHAKKEDRRSLLAYDDEVKELRSRVEKLEEMMKRNRKDKAFYEAASKKLKQTRKALADAEATHASATNAVARKEKEKKWLKF >OGLUM02G36830.1 pep chromosome:ALNU02000000:2:35913538:35919508:1 gene:OGLUM02G36830 transcript:OGLUM02G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLIAQINTDLRSSDALRQSSALLQALQQCAAGRDVSALARTVATEILSAPSSAVCKRLALDLLRALPLPPDLLDSLLLSSLRSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSSAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMGHATTWWGRLAELALDPADAVAATAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAAEAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGMVNTLRQIAKPGDTTIDDSVESSAEKLVGVSDIISHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDANVKGDLNSITSKSLFREELVASLVESCFQLSLPLPEQKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCGGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIKDLREVHTPRISGRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFKIDSSTTTSSRIQDVQSVLICTQRLGSRNARAGQLLTKELEEFRASTSADSVTKHQSRYVLQIIKYLTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIEELWRPNPTQLTLLQMKGIGALHKELPKTLTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELHRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQTVRQKRSLRPELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDDTATMICKFVVRASDESITREIQSDLQGWLDDITDGAVEYMPEEEVKSAAAERLKVSMERIALLKAAKPKVPPAKTKEEEEEEKKEQEDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKALKAQ >OGLUM02G36840.1 pep chromosome:ALNU02000000:2:35923258:35933198:1 gene:OGLUM02G36840 transcript:OGLUM02G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MAAEPEERLDVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQSLRRIVGAH >OGLUM02G36840.2 pep chromosome:ALNU02000000:2:35919798:35932598:1 gene:OGLUM02G36840 transcript:OGLUM02G36840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MAAEPEERLDVLTAGGEKTGASKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >OGLUM02G36840.3 pep chromosome:ALNU02000000:2:35919798:35932598:1 gene:OGLUM02G36840 transcript:OGLUM02G36840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MAAEPEERLDVLTAGGEKTGASKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLSSAQRELDEELGIKLPSDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMHLDEYKSCLAKESGEYVPYDVNGTYGQLFSIIEERYKDNIESRSLTLQKQINRYAPIHLEPELTSLSEGDREALGYILKASIVIDDIFYEQVWNSNCTLRDWLKARANYSSFDKLKWLYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKSVSGWKGIEYRAAFPRDNPPGANFYPPDMDKMEFELWKNGLPEKEQKYATGFFTVIKRHDALLPSILAQSDGSNQTKTSDDLFVVPYSEEYKSSLEKAAELLHKASECSDSPSLKNLLKTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDGLFSYKATFEAFVGVRDDIATSQVKLFGDQLQDLEKHLPLDNIYKSDNVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTSMVMLKNISEAKFKHILKPIANACIREEQEDYVDFEPYYTHIVCHECCHGIGPHSITLPTGKRSTVRMELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSESMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYEKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKPAAQSLLQSHASLTQPLRVALDKIEHMQVPVDITPIFGTANKLLANDQ >OGLUM02G36840.4 pep chromosome:ALNU02000000:2:35919798:35922942:1 gene:OGLUM02G36840 transcript:OGLUM02G36840.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 3 [Source:Projected from Arabidopsis thaliana (AT1G79690) TAIR;Acc:AT1G79690] MARGRGRWLLPTRLLNVCLAALCRGGSLAAAESVLVDAIRLGLPPDVVTYNTLLAAHCRAAGLEAGLAVMGRMREAGVEPDAVTYNSLIAGAARRGLPIHALDLFDEMLRAGIAPDSWSYNPLMHCLFRSGHPEDAYRVFADMAEKGIAPCDTTYNTLLDGMFRAGYAMNAYRMFRYLQRAGLPVSIVTYNTMINGLCSSGKVGYARMVLRELGRTDHAPNIITYTAVMKCCFKYGRFEQGLDTFLSLLDRGYISDVYPYCTVISALVKKGRLGEANNYCDLMLQNGSRLDSVCYNTLIHMRCQEGKLDDAFELVSMMEDGGLESDEYTFAILVNGLCKMGHIEAAEKQLFYMEIKGMQSNVVAYNCLVDALCKFQEVDAAIRLLQCMKLKDDFTYTSLVHGLCRVGRYHMASKFLRICLREGNNVLASAKRAVIAGLRSSGFKNDLRKVRIDWV >OGLUM02G36850.1 pep chromosome:ALNU02000000:2:35933256:35934566:1 gene:OGLUM02G36850 transcript:OGLUM02G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTDTTNAATPLLQPSILLDTHVHIGDRRPNATTTAEDAQIQVSISPASPPNRSRLFVRRLNRDTVSLLYEDAQIVSAADGLLLLRVTIVTTTAPSRLENDYFVYSYQWPGRPSLRRLPSPPFPFHDDEAGILPLPVPGGEQQFKIAVLSVRLNGFNLRLFDSASWSWTNVGKVIVASPQRPFPIKIPRNAARLNQHITTTAITLGGEDGTMGWVDLYRGILFCDVLSGGDHPTLVGVPLPLPRRLVDRGAEVEGCPKANRGIAVLDGCLRMVELEVHGEILPTRDPETGHLDREIKNWELYMYTNSKITGAWEDWQLVHRVEASQINIDQAIHDSLLQSGLLRDKMQDGKERKLHNLLTSQPALSLDGEGVVYLLTKAKFMQRQAWVLDVDVKGNKILGLAEFGTDTYLGLSLAYCPSRISSYMDAWTSPDN >OGLUM02G36860.1 pep chromosome:ALNU02000000:2:35935451:35937272:-1 gene:OGLUM02G36860 transcript:OGLUM02G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGSDRLSKLPDDILLNILDRLHVRDAARTSVLSRRWRHLPSMLSQLVIDFVHFMPNGASMLSDDVLVWTNAAVVEATKSILERRNPDEYTIHLLRMLFYLNEGDCISIGQTVGHAMTTQKVEMAEFTIIVEKLPTRCTDDDLIDYGRRFMSFFDACPTAFGVLTRLIVGNLRFGESDIHNVLKTCQNLQYLRLFNCDSGNLTVLQLEHPQLNVLNIANCRFESIKLNCLPKLAQLMVEGWLSFQDPLTFGYVPSLEAVRLAGVGLKRHKLVKLSKILGKISVRDLRLNFKSEKIWVQPELPQKLASVFYKLRLVNLFRVPEGCDLTWTMFILEAAPFLKELRMTVWDHWCNMEKDEEMRASLYSSNKSVEWESSAEDFKHHNLSVLTIFCFQSEDFLVAFIKRIMEVAVNLEDVFLYNMLACDTCKDIRSPCKFPRTKRQRCSLKKRINEGNSFAKFHFLTSVTADHVPISEYP >OGLUM02G36870.1 pep chromosome:ALNU02000000:2:35940340:35940648:1 gene:OGLUM02G36870 transcript:OGLUM02G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G24940) TAIR;Acc:AT2G24940] MAAELTAAQLRAYDGSDPSKPIYVSVRGKVYDVTSGRGFYGPGGAYAVFAGREASRALGKMSKDDADVSGDLSGLSDKELGVLADWETKFQAKYPVVARLTE >OGLUM02G36880.1 pep chromosome:ALNU02000000:2:35942815:35947243:1 gene:OGLUM02G36880 transcript:OGLUM02G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQYVPRKRRAERSLAPAAPAAIFNVEAEEGRAGDVPLHKRVKEELQLQPPPPSLQDMHILDGSSPLGLRLRKSPSLLELIQMKLAMENTKKKDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLARPPTFFKETDPQPRKHTLWQVASDFTGGQASINRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPDGMKDDIANQQESFSRPINWGASDVDLQVDVSQELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAGNNPSLPISEASSNELLEKITQQLLSDSHVAPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDSGKIGVVEVGISSALDMGIANGTNPPGISRKDSYEELLSNLFNISEDFDD >OGLUM02G36880.2 pep chromosome:ALNU02000000:2:35942815:35947243:1 gene:OGLUM02G36880 transcript:OGLUM02G36880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHQYVPRKRRAERSLAPAAPAAIFNVEAEEGRAGDVPLHKRVKEELQLQPPPPSLQDMHILDGSSPLGLRLRKSPSLLELIQMKLAMENTKKKDIKSRSLIASERVKASNFAADFLKIGTWECTSQYEGDLVAKCYFAKHKLVWEVLDAGLKRKIEIQWSDIIALKATCPENGIGTLDLVLARPPTFFKETDPQPRKHTLWQVASDFTGGQASINRRHILQCQSSLLSKNFEKLIQCDQRLNYLSLQPYMIDSPVFRPKTEGSIFENPNKSKSYHGFSYLEGEHESHLSKYIDHVSPCDFPLMSKKDGMKDDIANQQESFSRPINWGASDVDLQVDVSQELKSPHPNSLSQARSLSIDDLLSHLDDCIVEQKPAGNNPSLPISEASSNELLEKITQQLLSDSHVAPASDEKRVMARVGSLLSLLQKDAVPANLPKFEPNDSGKIGVVEVGISSALDMGIANGTNPPGISRKDSYEELLSNLFNISEDFDD >OGLUM02G36890.1 pep chromosome:ALNU02000000:2:35947139:35950096:-1 gene:OGLUM02G36890 transcript:OGLUM02G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPASADPPRIFWKSRRRSASANGRSLQQELNKEAADEQLNNQAHEEAMKIDDANAVSTDDDVHPDPKANLSEKRKALFEPLEPINGKRSSAEMLLPPPDFEPASYPKGWLVGKKRKLVNVDVVESMRRIAIQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRTEIEKENSMLHEQVAAYMHSSSPSVEAYMQLGSAS >OGLUM02G36900.1 pep chromosome:ALNU02000000:2:35952326:35955459:-1 gene:OGLUM02G36900 transcript:OGLUM02G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRASRAPNPPPPAAAAAAAAENVRSDAGHAEPSCSTPAHHQVFRPVTRSMTRKPTAAAASSDVKGGESASTSKRRDSTDPCFSTQSAAARPSVTRVRTPHKVASSAWKPLTQPIVMSEDLKRASVPSTNPSAKRSRVASSQAAEDSPTVHRGKKRNEESASKGDQLDGAVIPSPSKKLQTGKSPSDVLPKRKPTIRNKDGKLAAPLSMVKLETESGESSVIASSKIGPATTNDNCQSAELAQQLQLDTKNNSNDIITEAIAYGTNQADLLVAPVTTDAIASGSSQVNLSAFPVTAEAIPNRTHQVNHSAGPLNIKAMINRTSLVNKPVAPVNAKAIANRAQQVAAHNKLPSPVIAAPRQNLQDDLQRKLAKLLIARKQPSGQAGATAPLVTPKLEIGKAKGSSSNVLSDPAYANVKALLIKQQEQLLQQFKSANSQPQVHIKGPALTDKDEAPPVEPLGTRCQLCKLDIAFRPQGDDARDNAPPVAAVLGCHHAFHSSCIEAIYGLAEPSQCIGCLDSAKA >OGLUM02G36910.1 pep chromosome:ALNU02000000:2:35958897:35960383:1 gene:OGLUM02G36910 transcript:OGLUM02G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPGYLWASSGLLLSMMITGVSLKDDGKDRWSVMCRPLQTFRNKMGEMVYEMTKPSCNDYLSSADSEQDFDMKQIKFRGEYGNV >OGLUM02G36920.1 pep chromosome:ALNU02000000:2:35963768:35971881:1 gene:OGLUM02G36920 transcript:OGLUM02G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRMDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRAAAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSVSKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKDIGTFSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPCSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLALDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIDSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPLTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVLESSLRTQLIQETE >OGLUM02G36920.2 pep chromosome:ALNU02000000:2:35963856:35973371:1 gene:OGLUM02G36920 transcript:OGLUM02G36920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRAAAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSVSKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKDIGTFSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPCSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLALDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIDSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPLTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPVTGGAKADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVRLGFLVHIIIFLCFCKVLRISRNLGQKMDLFLM >OGLUM02G36920.3 pep chromosome:ALNU02000000:2:35963879:35971881:1 gene:OGLUM02G36920 transcript:OGLUM02G36920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDACGIRAPGDVLLRKSELSSAAAAAKNYGNGHDDAAVRRKAAAGSPATPRRHPSPNAGRSSAAAAEAAGSQARRSQSTERRPATPSRLSPGGSRAAAPSSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVAAESQSAPRRLAGGRAPPDGLWPSMRSLSSSLQLEAKGKRSNGGSADQAKARDAGDRKRSPSRGRSAAEQQPENPHAKVIDHHRWPAMMGGRVSVSAMSRSVDLTDKISRPALSSIPSRGVSPKKATMASATNALTRSIDLADKIDRLVSLSVSSPRTPTASNGAADESKSMSVSKGTKPAAVAIPSRVSAIITATSGGIRALSKSMDLTEKDIGTFSSAASSPGISPSVSVSSMSNATSQTTAKSTRGLSPRRTSTSIGSGALSRNIDLPENDKRPASSSASLRGNSPRRRLASDSVNAVVKNIDFAEKDSRAAISSTSSRGFSPRRRLASDGLDAISRSTDFSDKDSRPSTSSSSAQRGISPLRRLTISKGTDFTDKSYRPSTSSAASRGVSPRTRLASDSAGNILKSMDLADRDNKPSTSSASLRGMSPRRRLASDGISKNITFTEKDDRTMPCSVASQEISTIRRLPSDGADSISKNIDLPEKVTRPATSSAASRGLSPRRRLASDGVNAISKSIDLADKDTGPARSTAALRGVSPRRQLASDRVDSISKNTDFTEKDKDTRPSTSSGASRGISPRRRLASDGVDDLSKGINFSQKSIRPSTSSMASRGTSPRRRLALDGVNALLKSTDFTDKDHRPSTSSAALRGMSPRNRVTSKSIDAKSLDFSDKDSRPFTPSGASQGTLQEVALASDGINALSEAVDTAVIDSLQSTSSVESGETSDARLNNGSGTVVNRIDFAQEVNIATPDGCNGHISESMDSHDIGTSAPSMSITSQEQSPSRTVSNGPKTLSEDINATKKNNRAMTVKIPSRGASPRRRLASEGFGTIYKSMDFSEKDRTSINMATPSRGMSPRRTARSGIVDMSKSMDFSEKCNGPISSIAPSHVVSARRILGPDGANAMSRSMDLTDKIRQPISSTVRKMSLADSRAKAPDLLSGDIESPGSANGNESQEENAGSSLDAPSNDSEKSAPPKRLARTLSSPSPTKASSISSFTPRRMPSPSRNRPLTPVSPCSSTRSDSASSILSYMGDVTRGKRSPSHMEDAHQLRLLYNRSLQWRFTNAYVDEMQSVQKMSAETMLYSVWDANSSLCDSMVMKRSYVQRLRQEVKLGVVLKEQMDYLTHWAALETEHSTSLSSAIEALRASTLRLPADVFTVKNAVSSAVDIMQAMGSSVCYLLSKLQATHSLVTELSAVAANESSMLNEYRELLGTAAALQVLESSLRTQLIQETE >OGLUM02G36930.1 pep chromosome:ALNU02000000:2:35973034:35976021:-1 gene:OGLUM02G36930 transcript:OGLUM02G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLAFAPGVPRVVPRRLAAGGHAMACSSSSARFMARRPRLVVVARYNASSSSSYESDEEEEEGFGGGGGWGRRDRGPDPDYDPALDIERIEASTVRLLDEEKRMVGVVSVSEAVQIADENDLILAILSLDGDPPVLRLFQEKDYKKHKYEQQKKKRTQQKRSVGKLSNLRYNIDIHDYSVRLKAARKFLKAGDKVKIMVNLKGRENLYKKQAIELLRRFQNDVGEMATEESKNFQERNIYLVLVPNKIAIQKEQDELNKKDTAIEDSDQSDDQPEESEPVPAQPEESKETETEVSANV >OGLUM02G36940.1 pep chromosome:ALNU02000000:2:35982705:35990470:1 gene:OGLUM02G36940 transcript:OGLUM02G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZK9] MATRGRIVAAVASVVVAWLAVAVGVNGGGCEAERDRVEALPGQPPVAFAQYAGYVAVSEASGRALFYWLTEAAAAAATKPLVLWLNGGPGCSSIAYGASEEIGPFRIKTNGTGLYLNKYSWNREANLLFLESPAGVGFSYSNTTSDLKTSGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEFNKASPYPFINLKGILVGNGVTDNYYDNIGTVTYWWTHAMISDTTYKAIMSSCNFTSANVSRLCNRAMSYAMNHEFGDIDQYSIYTPSCAAAAANATGRRRGKDAVLRFKDTFLRRRSFGYDPCTETYAEKYYNRPDVQKAMHANITGIPYRWTACSDVLIKTWRDSEFSMLPTYKLLMKAGLRIWVFSGDTDSVVPVTATRFALSHLGLKTKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >OGLUM02G36950.1 pep chromosome:ALNU02000000:2:35989047:35989286:-1 gene:OGLUM02G36950 transcript:OGLUM02G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEHPIPAQSPACPTMSEGGSAAPAPATDSKPKKKICCACPDTKRLRDECIVEHGESACTKWIEAHKRCLRAEGFNV >OGLUM02G36960.1 pep chromosome:ALNU02000000:2:35992444:35995452:-1 gene:OGLUM02G36960 transcript:OGLUM02G36960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTSAAAVAAASRLLVRRAPPRLLRRLPRAALAASRPSPSLSSYGAAAVALGRQPLGHRARMGHTAAAAGPALGLTKPNAVEPPQVSFAAKDVEFSEWKGDILAIAVTENDLVKGSDSKFENAVLKKLDGQLGGLLSEASAEEDFTGKAGQSVVLRLPGQGFKRVGLIGLGQNAPSTTTACKGIGESVASFAKSAQASSAAIVFASVGGIQEDFKLTAAAAIASGTVLGLHEDSRYKSESKKVHLKQVDLIGFGSGPEVDQKLKYANDLSSGVIFGKELVNSPANVLTPAVLAEEASNIASTYSDVFTATILDVEKCKELKMGSYLGVAAASANPPHFIHLCYKPPGGNAKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVDKIIDLATLTGACVVALGPSIAGIFTPSDELAKEVAAASEISGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSS >OGLUM02G36970.1 pep chromosome:ALNU02000000:2:35998910:36003815:-1 gene:OGLUM02G36970 transcript:OGLUM02G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZL3] MFSSPPPPPTFLFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQVADLKNSLNTINSVAEEVRNSLKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRTQMEAEKEKVKAAAHKEDLLEP >OGLUM02G36970.2 pep chromosome:ALNU02000000:2:35998910:36003815:-1 gene:OGLUM02G36970 transcript:OGLUM02G36970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZL3] MFSSPPPPPTFLFGAKDRSTARSRSPRSLRPNQSSKRTPLKPLHWVKVSRATQGSLWAETQKSDEASRTPEIDISELESLFSVAMPNMEEKRARQRPSVAAKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMNSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGFTGNKENLGKCEQVADLKNSLNTINSVAEEVRNSLKLKRVMQTILSLGNALNQGTARGSAVGFRLDSLLKLIDIRARNNRMTLMHYLCKVLSDKLPEVLDFNKDLTYLEPASKCGGESQIQLKELAEEMQAITKGLEKVEQELTTSEKDGPGSEIFYKKLKEFLADAQAEGRSLAFLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENLRQMELEKKRTQMEAEKEKVKAAAHKEDLLEP >OGLUM02G36980.1 pep chromosome:ALNU02000000:2:36004175:36005317:1 gene:OGLUM02G36980 transcript:OGLUM02G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVCIPGTIGGGGGGGGGGTAGACCPGAAGGGGGGVGGVFIPGIIGGGGGGGGGGTGDACSPGTAEGGCGGGCGGENDDTGNGGGGGGGGGGGGAGDWPLFSGAETTDEVDEYIEFEINVGCIGGGGGNITVDGFRGGGSGGGSGGDAAVEIEINEVRVSEVRGIAGKASGTYVLVLLDSFSMDNRESDDNAVTLEALENSGGNFSRFSQEASGEADDSGGCEGEGMIDLRETLIILVLVFMGKMGETGANETVGGGGGRGGGVHKAIKDAVDAELFTNLSVPVAELVRTVLGVLPCLKVVPLMKHWSLAS >OGLUM02G36990.1 pep chromosome:ALNU02000000:2:36005456:36007574:-1 gene:OGLUM02G36990 transcript:OGLUM02G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRKFFFKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGVAAHLLDNFGDHSFMISNFGIRDEESPIYHILSEYGMTVLDYPGHYEGCPLLTMEMVHCILKSSESWLSLGQRNFLIMHCEQGCWPILAFMLAALLIYLGQYSDEQKTLDMLYKQSPVELLEMFSPLNPMPSQLRYLRYVSMRNVVPEWPPADRALTLDSVILRMVPDFHGQGGFRPIFRIYGPDPLMPTDQTPKVLFSTPKRSNVVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREDMVFRIMFNTGFIRSNILMLNHDQIDILWNTQDQFPKDFRAEASVLYQVIFSDMDATTSHITTEPVSHQEKQGLGIEEFAKVLDIFNHLDWLDGKKDTSLHIPQRKTSSTSQGNIDESPADGSETFFDTKEELDFDSLSVNR >OGLUM02G37000.1 pep chromosome:ALNU02000000:2:36010000:36016560:1 gene:OGLUM02G37000 transcript:OGLUM02G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEGLMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVGISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEGMEFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGIWHNLDDNEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHKASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHNGGEGLLGANGQASEAHSGPCSSAFPIHNGGEGLLGASGQSSEPHTGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASELHTDPCPAAFPIHSGGEGLLGANGQASEPHTDPCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTDVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSDFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAEEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >OGLUM02G37000.2 pep chromosome:ALNU02000000:2:36010000:36016560:1 gene:OGLUM02G37000 transcript:OGLUM02G37000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGEAEEGLMHRRIEFHAARRPPRAVEGAGGRFWVEILSPDADKAAVVAAARSEGLVRGLEKGEGSGGGIDPELRVARMYLRRIGAGLQNFGNTCYLNSVLQCLTYTEPFVAYLQSGEHMSSCRTIGFCALCALQRHVNSALQSTGKILRPVHIVGISRSFRISRQEDAHELMVSLLESMHKCCLPSGVPSGSPSAYEKSLVHRIFGGLLRSQVRCTTCSHCSNKFDPFLDLSLEIANAATLVKALQHFTAEELLDGGEKQYNCEHCRQKVVAKKRFMIEKAPSVLTIHLKRFSPFNPRHKIDKKVQFQPTLNLKPYVSNPEVYQVREADVLRQKAYMLFYVRDRTRSSVMYSDNCTVNLSVNKMISEKITCMNGSIKKDTVETKTLRVPSFVKEDVNLKKQNSENGQSSNISNAPQDQCSKSHSNTEVLEAAASPNNDPASTQKASCIRPDTAAVNLPMKTEQTAPDNRREITSPAQADVSVLHKASFNQKLYEKQLQEHQLETDDALTDSRKDAPAALCTYGVGDGLLGRNGQSSEPHIGPCPAALPIHNGGEGLLGANGQASEAHSGPCSSAFPIHNGGEGLLGASGQSSEPHTGPCPAALPIHDGGQGLLGPNGQASEPHTDSCPAAFPIHSGGEGLLGANGQASELHTDPCPAAFPIHSGGEGLLGANGQASEPHTDPCPAAFPIHSAGEGLLGANGQDSEHRTGPIPAAFPVWNGTDVILEKYGQVSGPADPFCKPTPTISDTVSIAQIIPTEHAAVSNGTVSSSDDLTGNTEANESSDFVKNYGEQVMVRDLSAETSGDRANADEQTSMQNNTLEVGKDVAKDTDNVANAEEQVLNHPLAEQVKSEKQIYPGISTTLICSEDTTQLIDKDTGSGKLNKKMNCKSKRQVKYPAVRMFFGPKQLLLASVKLHKKRKHKRSKKHHALSVHIESIITDQQTSTSETVFSKIISHKSRGQKRSCASASSEDGTQLFNKKQHIEGTTNSVPMDNNDTKLASADSNDAKLASAELPSSCTNSLVNQTDSRNNVNANERGPWHFNLLTRGLREITVPRWDDTEIKNTKETEILHPRTRSIGYVLDEWDEEYDRGKRKKIRKPKHGFSGPNPFQETANIRSRQRMRLQSDQTKSGNQPLRI >OGLUM02G37010.1 pep chromosome:ALNU02000000:2:36021546:36023009:1 gene:OGLUM02G37010 transcript:OGLUM02G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERNAAPRVSASSSAAASPSTVGALLSKASVAAAPAREIPSPRSLLSRILHRSGGGGGGFGCRLRLPRYCSSGAAAKEDAAAEYVEVEAEAAAPKVVGRQAVDRESPRSSLGKKAAEEVSPASLGLGASLVLLLSKSAAELNRMVELRAQMERLVLDTKGEEEARSSNHPNASDDHADITKEEPTAFSGGALSRCSRTAAAPGNAGHHAAVPMDQMEAELEAELTLLQCATPRRDRQLETGDDEEESTDTHAATFADADDDTDGADDEEEEEEESGAAAQGGVSARELERRLHELLQWRHEERIAELETALERARKRLQEKEREVCWWRNTAKLVTRHKDDSRLR >OGLUM02G37020.1 pep chromosome:ALNU02000000:2:36022460:36046502:-1 gene:OGLUM02G37020 transcript:OGLUM02G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWKESMMDHDNEELKKGCADHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGMHVYYGGPGKGEELKPINNGENTSRILTTLQVNKTIQMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNSLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNEIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHTVGLGGRINPISVYNGPQYVINVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSYFKAKGPTAASDHPQMGSGHFASEGFGKAAFIRNIQVIDDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVSQLAGIGGRITPVSVYNGPQYIITVMLFQLFDTLQEKATYAFWGGWVRGPTVSSDPPPMGSGHFAKEGYRKAAFVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHIPTDSGTPLAAARPLPSFSPPPTAAARPPPLPLPARIRLLPPAPFTWPCLLGLVVSAFLLPLPLPLGISRGSSGAGAGQRLPAQRLLSGSLAQRLPGGAAARDLAPSSGPPQRRGEDQPIMSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLRYYDGDLHSARSYYAHSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRIKVMSQERPDLLAQSSLWRVTSLAVLRHQQTSRSFSCSLFLARSSAVSSSAIRSSCRHWSSSCSLLSSSLADTPPCAAAPLSSSSSSSSSAPSVSSSASAKVAAWVSVDSSSSSPVCEDELEEINVRKWRRN >OGLUM02G37020.2 pep chromosome:ALNU02000000:2:36022460:36046502:-1 gene:OGLUM02G37020 transcript:OGLUM02G37020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWKESMMDHDNEELKKGCADHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGMHVYYGGPGKGEELKPINNGENTSRILTTLQVNKTIQMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNSLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNEIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHTVGLGGRINPISVYNGPQYVINVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSYFKAKGPTAASDHPQMGSGHFASEGFGKAAFIRNIQVIDDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVSQLAGIGGRITPVSVYNGPQYIITVMLFQLFDTLQEKATYAFWGGWVRGPTVSSDPPPMGSGHFAKEGYRKAAFVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMGMHRRPTPTHPSAAARPPPLPLPARIRLLPPAPFTWPCLLGLVVSAFLLPLPLPLGISRGSSGAGAGQRLPAQRLLSGSLAQRLPGGAAARDLAPSSGPPQRRGEDQPIMSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLRYYDGDLHSARSYYAHSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRIKVMSQERPDLLAQSSLWRVTSLAVLRHQQTSRSFSCSLFLARSSAVSSSAIRSSCRHWSSSCSLLSSSLADTPPCAAAPLSSSSSSSSSAPSVSSSASAKVAAWVSVDSSSSSPVCEDELEEINVRKWRRN >OGLUM02G37020.3 pep chromosome:ALNU02000000:2:36022460:36046502:-1 gene:OGLUM02G37020 transcript:OGLUM02G37020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGWKESMMDHDNEELKKGCADHNCPGFVQVSRNVGLGGRIHPISIYNGPQYVINVLIFKDPKTENWWLAYGSNNTPIGYWPSSQFSYLKAKGDYAFWGGYVQGPIAASDPPQMGSGHFASEGFGKTTFIRNIQVIEDKNNKLVTPNIRDSDPFSSDPKLYSYDGYGLNDNGMHVYYGGPGKGEELKPINNGENTSRILTTLQVNKTIQMEPSSFPIGMDIKSPLVGAISQAQLSTIDCPIGTIPIVRNNSLANMMVQRIGTLANDDLPMLGAGIEYWDEIYGMQASINVYEPSVKKDSKDVSASWIQISVVPKGTNEIGIGAGSCVYPRSGDSFARFHIRWDNEELNKSCSDHNCPGFMQVSHTVGLGGRINPISVYNGPQYVINVLIFKDPKTKNWWLAYGSNNTPIGYWPSSQFSYFKAKGPTAASDHPQMGSGHFASEGFGKAAFIRNIQVIDDKDNKLVTPNIRNANPFSNNLKLYSYDGYGLNDDGGVKIHIDRDGIIGSTIPSKDLNMTIQMEPSSYPLDLDIQSILSSNISESNFPDIKCPTGTIPILRHNSSEAHMPNGGSQEEYAGIKYWDDNSFYGTHATLSVNQPFLTRNNGDHIASFVQLNNGPEEIAAGSIVWPSFSGDNFVSQLAGIGGRITPVSVYNGPQYIITVMLFQLFDTLQEKATYAFWGGWVRGPTVSSDPPPMGSGHFAKEGYRKAAFVKGIRIANKDNNFVNPNVGKATTVTTRGLCYTVDGFGVLKMDQPIMSSLCPFAKLASAGATCPVKSDNKTTSCPVTANNHTDDDDNEKTGNANTDPRVVPAKCPFGYDSNNTFKLGPLSCVVCHALLHQSSKCTPCSHKFCKACILRFKDCPLCGADIQGIEPDDELQGLVDRFIDGHARIKRSHAAGDGEAASDKTKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLSMCAEDIREELKSKEDNQELRTLGDAPSAITYYEESAEFLSKLPKKDLEVLVHTLSVSLNKIGDLRYYDGDLHSARSYYAHSLDVRRSAAKEHSAVASQVIDVATSLAKVADVDRNLGNESMAVEGFEEAIKCLENLKLESGEASLEQRIKVMSQERPDLLAQSSLWRVTSLAVLRHQQTSRSFSCSLFLARSSAVSSSAIRSSCRHWSSSCSLLSSSLADTPPCAAAPLSSSSSSSSSAPSVSSSASAKVAAWVSVDSSSSSPVCEDELEEINVRKWRRN >OGLUM02G37030.1 pep chromosome:ALNU02000000:2:36053850:36058095:1 gene:OGLUM02G37030 transcript:OGLUM02G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNARQMHGGGGGGGGGGQDDFFDQMLSTLPAVWSELGSGKPAWDLTAGAVGGGGGASDDHSAAAFDDSALLASRLRQHQIDGGGDKPIMLQLSDLHRHHGLAAGDDSGGAAGFLPLSLFADRSQDDIDAAFKSPNGARGDHALYNGFGAAGMHGAAAMQPPPFGQGGSMPAQSFGGGAAASGGGGGSASAAAAAGASSGGGAAAPPRQRQRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGASAVAPLVANMSSESNGNGNATSSSGNGEAANGSSNGDNNGGGTLRVTEQQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSATTSSLLPRTGGGAGGSLHEGGNGTSPPLVNGAATGCDDAGVFFSVKFVVELSFLLLNEDCRGKEESKLLVQKGP >OGLUM02G37040.1 pep chromosome:ALNU02000000:2:36058248:36063525:-1 gene:OGLUM02G37040 transcript:OGLUM02G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNGETLLHPLTTIRRSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINTNRYSLLFLHLIFYMSDGPESAWIASA >OGLUM02G37040.2 pep chromosome:ALNU02000000:2:36058784:36063525:-1 gene:OGLUM02G37040 transcript:OGLUM02G37040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNGETLLHPLTTIRRSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINTCAASSVSH >OGLUM02G37040.3 pep chromosome:ALNU02000000:2:36059769:36063525:-1 gene:OGLUM02G37040 transcript:OGLUM02G37040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 1 [Source:Projected from Arabidopsis thaliana (AT4G15850) TAIR;Acc:AT4G15850] MATKEEEGGPSSRVPHLPWMRNPVDIDSFSGCPVAHLPRLDPRLVKPLQRMGIESFFPVQVAAWLETIGPGAFERDICINSPTGSGKTLAYALPIVQMLATRKVRCLRALVVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLIEKSKQGLFPSLDEEYIQMEPQTKVDILVATPGRLMDHISMTKGFSLEHLQYLVVDETDRMLREAYQSWLPTVIQLTRSSDQNHSWSDMNGETLLHPLTTIRRSHRLSTLLEFFEDLPFKFSEYSRLQRESTRRKTLDAFKEGKIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCFTFLRKHEVKAFDKMLKKADNSSCSLHSLPEESVETLRPVFSSALKKLEESLESEATKKSKSGDKAPNASKRKRTINT >OGLUM02G37050.1 pep chromosome:ALNU02000000:2:36063939:36067828:1 gene:OGLUM02G37050 transcript:OGLUM02G37050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAAAAADDDDEDDYHATTGGMEPRGEEDNGASTRGVTGRLLAWRGEEEDDDDAEDSGGGSGAGANADEDDAASSVSLDTISNDSILIPPEPTGANLASLLRARKLILVVDLDHTLINSTRFAHLSDDEKANGFTERTGDDRSRGLFRMGLFRMITKLRPFVHEFLREASAMFEMHVYTLGNRNYATAVAKLLDPDGAYFGERIISSGESSQPDRKSLGDVFGWAPEMERAAVVILDDTAEVWKGYRDNLIEMERYLYFASSRGKFGIAARSLAERNRDESEREGALAVALRVLRRVHGEFFSGSVCSGSFADVREVIRQARREVLRGCTVAFTGVIPSGDGGRASDHPVWRRAEQLGATCADDVGEGVTHVVAGKPVTRKALWAQTHGKFLVDTEWINAAHFRWSKPEERMYPVEDDASAGAAPNPGGIAGEKEGDLDAEDSGGGSGADDAAAAVSHGATSTDSIVLPPEPTSGHLASLLRARKLILVVDLDHTLVNSTADYDISGTEYVNGLAELVTDDPGRGLFILDHASWFSAFITKLRPFVHGFLREASAMFEMHVYTLGDRDYAAAVAKLLDPDGVYFGERIISRDESPQPDRKSLDVVFGSAPASAAERAAVVILDDTAEVWEGNSDNLIEMERYHYFASSCRDFGSPWECTHSLSERGVDESERDGALAAALRVLRRVHAGFFAGGGGSFVADVREVIRRTRREVLRGCTVAFTRAIASDDHHSVWRRAEQLGATCADDVGPAVTHVVATNPTTFKAVWAQVFGKFLVNPEWINAAHFRWSKPKEEHFPVRW >OGLUM02G37060.1 pep chromosome:ALNU02000000:2:36071207:36073133:-1 gene:OGLUM02G37060 transcript:OGLUM02G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLILKLFGGLVSVEDEHERQESGSSFCHTIVIAMEHASSSLWCSSSSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSMLVDRAQPVAVREVMKASFQPAKLNDYLKPYAWKFQGEENLDDIYSDALKKIPDLALHSSGAQGLILANTSDCLLPTNANVIQGEECMYSQLNTDNYGIKYGNQLPFLLNQYTKLIATVAWQVLTELSINPQFLSSGPRLVHASEEVHEVILGVEWNTFSAVLSRFSMAGLLEFNPRRDNHMVVMTGIYAWLIMLCKAWLSDAPTQIGMIAERRGNRTELAIGGFGHGGGGDQVWAGTLSISLPHYSFLPATTPFLSPSIEPSRSL >OGLUM02G37070.1 pep chromosome:ALNU02000000:2:36073291:36073494:1 gene:OGLUM02G37070 transcript:OGLUM02G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYPRGDGHVTFEVLAYGRHSQPPPAYVSSSGWARCSIQLEDWHWRALPQPPYVQYPGYEREEAPP >OGLUM02G37080.1 pep chromosome:ALNU02000000:2:36074189:36077350:1 gene:OGLUM02G37080 transcript:OGLUM02G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSDPTAAVIPKPGGIGVGGGGGDDEEPVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVNLIILS >OGLUM02G37080.2 pep chromosome:ALNU02000000:2:36074408:36077350:1 gene:OGLUM02G37080 transcript:OGLUM02G37080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPTAAVIPKPGGIGVGGGGGDDEEPVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSSPRGELPALGPGRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNSERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCSSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVNLIILS >OGLUM02G37090.1 pep chromosome:ALNU02000000:2:36077586:36079423:-1 gene:OGLUM02G37090 transcript:OGLUM02G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27460) TAIR;Acc:AT5G27460] MAAVVRRSRLLALLVGASRPNPRPFCCSSSSSSAPPATAREDGDGGDLLSRCLLRIPRKSGRAAAAAAVERWARERGRVSPPELRRDVVRLRRARRYEQALEILSWMDSHNDFRLSPSDHMVRLELIAKVHGTSQAEEYYRKLSTAASKKAASFPLLHCYVTERNVQKAETFMAELQRYGLPVDPHSFNEIMKLYVATCQYEKVLSVIYLMKRNNIPRNVLSYNIWMNACAEVSGLASVQSAFKEMLNDDMVEVGWSTYCTLANIFKKYGQSSKALACLRTAETKLSSTGRLGYSFIMTCYAALNDRDGVIRLWEASKIVPGRIPAANYMSAMVCLIKVGDIGRAEWTFGSWEAESKKHDVRVSNVLLGAYVRNGWIEKAERLHLHMLEKGAHPNYKTWEILMEGFVQSKQMDKAVNAMKKGLSLLKTCHWRPPLELLEAIAKYFEEQGSVEDADRFIKVLQKFNLTSLPLYKSLLGAYINADIVPQNIPQMIAGDQIDMDEEMDQLIIRASKIDIT >OGLUM02G37100.1 pep chromosome:ALNU02000000:2:36080187:36083978:-1 gene:OGLUM02G37100 transcript:OGLUM02G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDNLATTYMKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLNHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSTNGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMSMPKNASDLSIPCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >OGLUM02G37100.2 pep chromosome:ALNU02000000:2:36080187:36083978:-1 gene:OGLUM02G37100 transcript:OGLUM02G37100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRVVWSVELHRKFVAAVNQLGIDTLSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLNHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSTNGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMSMPKNASDLSIPCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >OGLUM02G37100.3 pep chromosome:ALNU02000000:2:36080187:36083978:-1 gene:OGLUM02G37100 transcript:OGLUM02G37100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEERKGVVPAARRRDQFPVGMRVLAVDDDPVCLKVLETLLLRCQYHVTTTNQAAIALKMLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVLKGITHGACDYLLKPVRIEELRNIWQHVIRRKFSTRDRANLDFYEECNKPPNADSDHVHGHVTCGSPDQSGRPSKKRKEYCSEEEDEGEVNTQDIDDPSAPKKPRKYRLYLKRLSAVASQQVSIVAALGGRDPFLHMGGFEGLQGYQAFTSSAALSSFTPHGLLNSPRNNPAALGTQGVPASKSIQTMSGSHTLNHSINDANKYHLSLPGNQKGNLGQGLATSLGQTQMQQKWIHEETDDLSTILSGNGLSNGMSGTLQSVTSSPLLPQELAECTQAKIVSQPSIRTSSVSSEHIEGAVGVSSGLLESRVSQQSTIPLSGFSTNGLLIHGSFNNTCANKLGGTSSSCAPARSSNDLMVARDTKGGASSFGGAMLLPPDTEQKYLNFGGGNGLKQKFDDRTADSLFDPKFVWSSVPSSQLASNIGAHHAMSQRWNNSSSNSSNIGARMIGQATSSGSTVIPQMKTDFLVSGDMSMPKNASDLSIPCSFDGLLNSIVKVEKDDVTFSDDLGCGDFYSLGACI >OGLUM02G37110.1 pep chromosome:ALNU02000000:2:36096794:36100098:-1 gene:OGLUM02G37110 transcript:OGLUM02G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTSSVAARLAFFPPEPPTYELVAEEGGGGGGVVRMTGVSADAGVEVHALPTKGGTRVVAAFWRHPSARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYCDIEAVYDCLTKVYGIEPEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSAILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFSHGKRLWELAKEKYEPLWVKGGGHCNLETYPEYIRHLRKFINAMEKLSKDKTAKAPQLAPSSSNNNMTEVKHNKCLRFGKR >OGLUM02G37120.1 pep chromosome:ALNU02000000:2:36106227:36113829:-1 gene:OGLUM02G37120 transcript:OGLUM02G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G29380) TAIR;Acc:AT4G29380] MGNKIAKTTQASATEYYLHDLPSTYNLVLVEVVSRGRFLKSVRCKHDEGLLLVKVYFKRAGEPLDLKEHERRLERIRNAFEGLEGSHVWPFQVWLQTDKAAYLLRQYFYSNLHDRLSTRPFLSKIEKKWLAFQLIHAVEQSHSKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPDDDPSDFSFFFDTGGRRRCYLAPERFYEHGAETQVAADAPLQRSMDIFSLGCVLAELFLEGQPLFELSQLLAYRRGQYDPIHTLEKIQDTGIRDMVLHMIQLDPKERLSCKNYLQKYESVVFPVEKTQEYFEKMHDIMISGSTLEKIQGSATVPVHNELSGKMGIGGQTVNSPKEYAKSVPVVKINSPAQHKHIVGDLNFLLKELEKDDRTSTKITQDRDRSVTPNVCQAGRTESGLMGQNNCVSHLQKISKNDDSRLQLVIPYVIAMLSDPAAIVRCAALETLSDVLCLIQDFPISDAKIFPEYILPMLSLLPDDTEESVRVCYASNIYKLALTAYRFLLRSRSIEDARPLDESMVAPRSQSVESPVKRQQDSINGQLARLRKSIYEIVQELVMGQKQTPNVRRALLQDIGYLCYFFGHRQTNDFLLPILPAFLNDRDEQLRAVYFCQIVVVCYFIGSRSVEEYLLPYLEQALSDEMEVVLVKVLDCLTMMCKSGYLRKRAIVGLFGKALPLLCHPVQWVKRSAVRFVAACSESIGPVDTFVYLFPHLKHFLHREPPSLSSETALLSCIKPPVSKLVLYQALEDVHDAGDILLKGDGKKELTVHGGRYPAMVQSGSSIMIEDDSRLRGPKMSNDVSLNIKDFSSASSAYISSAVHGSSSFYDGVPKGIPLYSMQGTALHANLGSDRHGSLSSSVPGIRTRENHFSNMHRDGSISDSMNSSSSIPGDIISNSDNSGSFTRPALSLETSWKPRGVLVAHLQEHRLSVNDIAVSTDNTFFVTASDDSSVKIWDTRKLEKDIAFRSRLTYSLGSSRALCTTMLHGTPQVIVGASDGTLHLFSVDCARGVGSVIERYSGIVDVKRKDLKEGAILSVVNCSTESFSPTILFSTEHCGIHKWDTRANAESWSFRSSPEEGYVSALVVGQCGNWFISGSSRGVLTLWDNRFLLPVNSWKYLPATPIEKLCLFIPPPNSISSSGRPVVFVAAGCNEVTLWDAENGSRHQIFRTASTENEAVVPKAPSIHPNTRDETRPANYKYPIEELCDPPPRLPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSVKGAGNDETYDIRSSSCVQVVQEICRQPTTASRLTHKTQLAMAAADSAGCHRDAILALASVNLSSHRLISGSRDGAVKVWK >OGLUM02G37130.1 pep chromosome:ALNU02000000:2:36123670:36175179:1 gene:OGLUM02G37130 transcript:OGLUM02G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDVAFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGTNMYPSTTLLGDKSSEMSGLPIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLVALLWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALITALFFYLAHDTDFFTETFGVRPIKTNEKEMMAALYLQVSIITWESGGLCPFPLFGVVVSEPFFSFGFFSLLS >OGLUM02G37140.1 pep chromosome:ALNU02000000:2:36175597:36175875:1 gene:OGLUM02G37140 transcript:OGLUM02G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQARRPRFPRGPAAALLRYQFKTVRPPTKDIVELLPEGGSRTVPRSVLHGSALADENKFKSLSKMEPAIVAAAQEDGDLAAAAAPADEE >OGLUM02G37150.1 pep chromosome:ALNU02000000:2:36179471:36179899:-1 gene:OGLUM02G37150 transcript:OGLUM02G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPGLSGRAVGKLSRCLLRLGGRRGAARWGKEAGQRGRRRQSRSGSVSGVGAGPDGSATGASGGGSSFSLSVGTLDLPGAPPFLCGKFVGWIEVVACQRGKLRLPKQCHPVPDSPSVRFDEEGSGWWNEGVLSQLSRMVVQ >OGLUM02G37160.1 pep chromosome:ALNU02000000:2:36180977:36181719:1 gene:OGLUM02G37160 transcript:OGLUM02G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OGLUM02G37170.1 pep chromosome:ALNU02000000:2:36186391:36187023:-1 gene:OGLUM02G37170 transcript:OGLUM02G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPLADATTAAAAAAASSSFLSAALVAKLHRFNLASVQATQRQREAAATAAAASSASATTPPLGNAAAADDDARTTTTYGAEWSGRFLEEQHVEQMIDELLDSNFSMEICY >OGLUM02G37180.1 pep chromosome:ALNU02000000:2:36194608:36195605:1 gene:OGLUM02G37180 transcript:OGLUM02G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVFMQA >OGLUM02G37200.1 pep chromosome:ALNU02000000:2:36202550:36206435:-1 gene:OGLUM02G37200 transcript:OGLUM02G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT2G07690) TAIR;Acc:AT2G07690] MSGWDEGAVFYSDQAQFPRGGPGGDPSADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVTVAIEDLDAFDAELSDKIRKSPADYLPLFETAASEVLASLRSKVAGETGEMEEPATGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGITIAASRVKAKATHVTLLCKNCRSVKTVPCRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKLQENPEDVPTGELPRNMLLSVDRHLVQTIVPGTRLTVIGIYSVYQASANQKGAVGVKQPYIRVVGLEQSRDANSNGPSNFTLDEEMEFKEFAQRPDAYVKICSMIGPSIYGHSDVKKAIACLLFGGSKKFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDGSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDVRMYDQDKRIASHIIKVHASGAAASSKNTDASEGENWLKRYIEYCRVTCKPRLSEKAAEMLQNKYKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPDIANEIKQAEAQIKRRMGIGSHISERRLIDELNRMGMNESIVRRALLIMHQRDEVEYKRERHVIVRKA >OGLUM02G37210.1 pep chromosome:ALNU02000000:2:36208062:36212536:1 gene:OGLUM02G37210 transcript:OGLUM02G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate aminotransferase 5 [Source:Projected from Arabidopsis thaliana (AT4G31990) TAIR;Acc:AT4G31990] MASAPFAVSSSPAASALAARSKVLRGGRSEARTGCRLGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADQNDLKLNLGVGAYRTEELQPYVLNVVKKAETLMLEKGENKEYLPIEGLAAFNKATAELLFGADNPVLKQGRVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDAKVPWSEYRYYDPKTVGLDFEGMIADIQAAPDGSFVLLHGCAHNPTGIDPTLEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDASSVRLFVQRGLEVFVAQSYSKNLGLYAERIGAINVVCSTPEVANRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEEMAGRIKNVRQKLYDSLSAKDDSGKDWSFILRQIGMFSYTGLNKTQSDNMTDKWHIYMTKDGRISLAGLSLAKCEYLADAIIDSFHNVS >OGLUM02G37220.1 pep chromosome:ALNU02000000:2:36214366:36215022:1 gene:OGLUM02G37220 transcript:OGLUM02G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAVLGSHDALDNRMHLGAFAVSPASKPRRRRHSKAASPPPKVAAVSSPPVVKSAARVASPPPKAAAAAVVPQGRRSPVARKQGSPTKELPKQRLVMGEIRILKRGEEPPVPSPSPAPVAAQAAAVDQRAPRVPAVAQAAPTDQKAPRPARSKQQQHTAAVPTKIKSVADAPVYAGPAFSSASPEPSSLPFPAFIRRTEAEATRGLRCLLRIGELP >OGLUM02G37230.1 pep chromosome:ALNU02000000:2:36218432:36220429:-1 gene:OGLUM02G37230 transcript:OGLUM02G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endomembrane protein 70 protein family [Source:Projected from Arabidopsis thaliana (AT5G35160) TAIR;Acc:AT5G35160] MARLLPFLVAAAAALVAVSLSAPGADGFYLPGSYPHKYNPGEPLSVKVNSLTSIDTEIPYSYYSLPFCTPPDGVKDSAENLGELLMGDRIENSPYRFRMHANDSDLFLCRSPPLAADAFNLLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEEANVARVMGTGDATDGFPSTGKDGSGGAAGSSGWMVVGFEVVPCSIKHNPDDVKSLKMYGKYPRSIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTRYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHTGWMAVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVILILLWFCISVPLTLVGGLLGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYLGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >OGLUM02G37240.1 pep chromosome:ALNU02000000:2:36227322:36228647:1 gene:OGLUM02G37240 transcript:OGLUM02G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-N-acetylglucosaminyltransferase II [Source:Projected from Arabidopsis thaliana (AT2G05320) TAIR;Acc:AT2G05320] MATASSHHRARLRSRAAPLLAVVVLAVLALTAILRASRHDFVPGAARAAAAAAGVGGGGNNHTIAQRKILLDPDFTPRLPRQSALSLSLSQRNALPPRNADRFPRLPDGHLNIVLYVHNRPRYLRLVVDSLSRAHGIGEALLIVSHDGYFPEMDKIVQAITFCQVKQIFAPYSPHLFPEAFPGVSSGDCQGKDKAAEKHCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLDETRDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPSKCPQCYAINLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGSPVYSLRGPRRSAAHFGKCGLHQGQGLSNVCVDNGDATVQLDAIDKVPNIKANWPVHIIKRQEGYQAGFKGWGGWGDKRDQELCLSFAYMHHFKDPSSP >OGLUM02G37250.1 pep chromosome:ALNU02000000:2:36230310:36233941:1 gene:OGLUM02G37250 transcript:OGLUM02G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAGMLPGVECARRRRLRQGGGEAPCGTRRPSLCLYAGGHDHALLGSSASKQQRSACEEQQPGWWTLDSNVAGTSSDGGEQSTATTAAPQWEVYTRKEGRRRMWFRRLGRRPTPEEEEEECAVCLEELRAGEAVAHLPCTHRFHWGCAVPWVQTASRCPVCRAAVYLTSPAPAAGTYYN >OGLUM02G37250.2 pep chromosome:ALNU02000000:2:36231047:36233941:1 gene:OGLUM02G37250 transcript:OGLUM02G37250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAGMLPGVECARRRRLRQGGGEAPCGTRRPSLCLYAGGHDHALLGSSASKQQRSACEEQQPGWWTLDSNVAGTSSDGGEQSTATTAAPQWEVYTRKEGRRRMWFRRLGRRPTPEEEEEECAVCLEELRAGEAVAHLPCTHRFHWGCAVPWVQTASRCPVCRAAVYLTSPAPAAGTYYN >OGLUM02G37260.1 pep chromosome:ALNU02000000:2:36233981:36251325:1 gene:OGLUM02G37260 transcript:OGLUM02G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARERWDPHRLWLLSHDRHKGGKEQGHRNGLILEPGQRPWKAKVMQVAAIKKESQRQKPESPSQQPLELLAKIPPVLIRQNQS >OGLUM02G37270.1 pep chromosome:ALNU02000000:2:36238997:36239386:-1 gene:OGLUM02G37270 transcript:OGLUM02G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTIAVALLLATLVAVMGKEPETLEEAVRAGCKEECSEQKKKAPIDEKQCEDFCFIKTKSIFEAHKGVKDLKADRFIDFCNNECNAVYKEDPATSKKCAESCEADAKEAEVFLDKVVAYIQTTKQA >OGLUM02G37280.1 pep chromosome:ALNU02000000:2:36245307:36247660:-1 gene:OGLUM02G37280 transcript:OGLUM02G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRASVAAKDIRISSESDPRVHPSSSTISHHLRFNHLNSHENKEDALGTEIAETSVRQSSSGKEVMPRGNFSNEVAYAEITSREGISHIGRDILEPAANNAETDTVCIPEVGGPVSESGFSSSQRASERIMADLEAGEIAHGTSSTTIMSSERSDTSQSSLTSVLPATSTAPSTIGESLPDTVPSREDVPIFSGTQGEIGGNTLHDDMMSIFSNDGPARFRDSSSNETRRSHRRVLWDTFSRRSSRGYLDSDTDDLGFYSRWLDLGDELFADEIEEARFFHRRRHGSIRVSQYSRSRIREHRRAVFDSGTDQSTVACPLGIHQIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSAQAPESVVNSLPCKSYKKQTAQCSDDMEQ >OGLUM02G37290.1 pep chromosome:ALNU02000000:2:36252307:36259235:1 gene:OGLUM02G37290 transcript:OGLUM02G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFESLHMLTALLSQKESPLHDALRSVPSMIWKYQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >OGLUM02G37290.2 pep chromosome:ALNU02000000:2:36252307:36259235:1 gene:OGLUM02G37290 transcript:OGLUM02G37290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:neurochondrin family protein [Source:Projected from Arabidopsis thaliana (AT4G32050) TAIR;Acc:AT4G32050] MDSTPAPAAAAAETPTTAPAFEDCLRLLRGERDEQKLAGLLVAANLCRAGDAASVVEVYRAVGPRFLRRLLNTGLGKVEGGKEEDREAYLRLSVTVLSGLARFPEVAADEGVVSTVPLIAEIVSKSSDLAITEECFELLSLIAIASDGGAHTFCEPGVMDMLFLQISNFPDGSRCLELAIHLMQLLVHKIRIDNMTVEKLQGMTSMVTSLARLFAVLHTTVKFESLHMLTALLSQKESPLHDALRSVPSMIWKYQIRVGITAVLQNRVVSSEKLQALLLAECMMSILGENWLSEEYKVPDDQNMMPVDKFVLLVLESARIEVSVLLNELAYLKYESSKNSQRDDAISQKERNLAILFSLIERIIKMISNASSGEGAVCQTIRESTIMKAITGLNETVGLVLDFLQDAKDHGQRKGDDLLAAVRIVGSYLAEAPYACKEKIRHLLEFIFSVEGQDESSSFHSICFMLPMLSQITMEADGCRILASFGGYKMVIDCLIKMIEQNGMMIDTGNMFLACDTIINFLSNMKNAHIQMGSCFVGLLKALVSWTGTADDSSVIITASCLCTLVLDLTTEEFLLSSSDFDSKTLEKLSKLIARSLHQGIPDDDIEQSNQKQIILSGKYYTLFNINWKKEKKSSHLICYRLQEVV >OGLUM02G37300.1 pep chromosome:ALNU02000000:2:36259520:36262505:-1 gene:OGLUM02G37300 transcript:OGLUM02G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSEPLLAEIIKRIAKTSDRNSLSLVSKQLYTIDAEQRDAIHVGRGLHPATEALASLCSRFPNLWKVVIDYSGWISIHGKQLDNQGLLVLSSHCSSLTDLTLNFCSYIDDSGIGYLAYCKKLRSFRLNFAPAISSRGLLSVAVGCKSLCTFHLVDCMKVGSVEWLEYLGRAGSLEELVVKDCKGISQYDLLKFGRGFMKLQRFEYEINGNYWSSAARDPAYVAHYPYRYDICCENMKELRLAHIVTKPEIGLRFLLGKCRALEKLWLEYVIGLTDNDVIALFQNCGNLRSLSLRLIPLLCHAIYFRTALTDDSLKALALYCPMLQVLELTFTFCSEDYPSEVGFSQEGIVNLVQSCPIRVLMLNGASNFDDEGMKGLSSSRSLETLELVDCMFIGDLGMRSIARTPNLRNLTLRKCVCVTDNGVSELVHAQNLESLTIIGCHRISLKAVQGAARSVYYSAESEKHESLKGMKMTSLSNCDWIQLAATLFQLMKPLAMRIRAF >OGLUM02G37310.1 pep chromosome:ALNU02000000:2:36272710:36276915:1 gene:OGLUM02G37310 transcript:OGLUM02G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEELEGAERLDFGGVAELETTPADFEMEKVCENTVSLDFKQARSSSFVPVIRSGDWSDIGGRDYMEDAHVCISDLAKNFGHNSVDDEIISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVRRSFVQTDSQFAERCSHQNALSSGTTALTAMIFGRSLLVANAGDCRAVLSRRGTAIEMSKDHRTCCLNERKRIESLGGYVDDGYLNGQLAVTRALGDWHLEGLKEVGEPGGPLSAEPELKMITLTKEDEFLIIGSDGIWDFFSNQNAVDFTRKRLQEHNDLRLCCKQIVEEAIRRGASDNLTAVMVSFHQEAPPQLRVNRTGRVERSISAEGLHSLRVLLEGQ >OGLUM02G37320.1 pep chromosome:ALNU02000000:2:36277770:36280910:-1 gene:OGLUM02G37320 transcript:OGLUM02G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAAARGGGVIPAGKGGSLRSPGKPVVLADITNTGRPNPTGSVHAIADVLKENAKLRHLLAERNKVIEVSRVELQKIRLALQAMQQKNLQLVQANSQMFAEINQGKDRIKLLQHELACTIAVLKVKGSELEKMSKTSNNQQNRAKILEKKTRSSKCAPTEAHQKAAGSIREHLVEIQSAVPSYTSCHEPPQDKTNKRCTNRRKSESCEVTMDTNTVQHSCRSHVEYNGSSHDDDPRKTRRRRSARLNPGSFEVAEICDKLHEDATVPSAPSSSNVPKLQEPNAGKDMICGGKMKSLQKELPCDAIAQVVEAPELKEIQEAGSSVAGGEAHKFDIEDPEPPRKSMRIDANKRKLESCESRLASNKEDCINAICDSTSSVPIQHEQKRKLSRRKSSRLDPGPWEVTNGTFEIVQEDTVAPSAPSSSNALIEQTKNDMQNDRSCSTKPSDEQVIGRRSSVGRPSRRAAEKIVSYKEVPLNIKMRRP >OGLUM02G37330.1 pep chromosome:ALNU02000000:2:36282214:36286740:-1 gene:OGLUM02G37330 transcript:OGLUM02G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTRSKITAVLQKLELHQAAATPSDVSNVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQSPRQII >OGLUM02G37340.1 pep chromosome:ALNU02000000:2:36285026:36285505:1 gene:OGLUM02G37340 transcript:OGLUM02G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILGIFTLPVGTSAFCPEDGGRRKVEAIALAEPVDDSEEGNMDVLRRQGREGPTSAAADGAEVGSGRDGRKKPDLAAAAEDVGVGSGSGEDAAAVRAGRSSGHGEDAAALHAGRRRSERGEAKSARGGGGAKSSRVEKEERSLRVESCGGEFVRADGR >OGLUM02G37350.1 pep chromosome:ALNU02000000:2:36286679:36287070:1 gene:OGLUM02G37350 transcript:OGLUM02G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKLSMATAVILLLVVMAMEVEGIRLDAETRAATSNQMVNKSTENVPKDSGDSLGEAKRSIAGNEVRAVAHKLPEFHEDYYGPSDHTPRHH >OGLUM02G37360.1 pep chromosome:ALNU02000000:2:36295228:36300251:1 gene:OGLUM02G37360 transcript:OGLUM02G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVSLLRIAAVLLAILPFCATHPSPGFHAPREFHKALVPDRYGFVARRSIAEAPVDVNVTTNSSFVLAQERTYRKDPLNGFRKYTGGWNISEVHYMASVGYTAFPLFIIALVWFVLFFLVMLGICCKHCCCPHRSYTYSRVAYALSLILLILFTCAAIVGCVMLYDGQGKFHKSTTTTLNFVVSQANFTVENLNNLSDSLSAAKKVDIGRSFLPNDVQNQINEIQGKLNSSATELATRTTDNSEKIQKLLNQVRIALIIIAAVMLLLAFIGFLLSIFGLEFIVSILVIIGWILVTGTFILCGVFLLLHNVVADTCVSMEEWVAHPTEHTALDDIIPCVEPATANESLYRSRQVTYQLVNLVNQVITNVSNGNFPPQTPFFYFNQSGPLMPTLCNPFTADLNNRTCTRGEVTLDNATRVWKNFECQTTTVSGTEICTTVGRVTPTILGQMAAGVNVSQGLYQYGPFLIQLEDCTFVRDTFTNINQNHCPGLERYSKWVYVGLVMVSSAVMLSLVFWVIYARERRHRAYSKQHNYADKPHPAGPDA >OGLUM02G37370.1 pep chromosome:ALNU02000000:2:36306928:36307281:1 gene:OGLUM02G37370 transcript:OGLUM02G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIDEASMNSRPKLACLCSPTNHPGSFRCIRHRPIPRAARHLSSSSSSSSSSPPPPPPSSSSPSSGGVASAAARAKGGRSVRAHLLRMISCSNGGRRRRRGDFQPRPSRLRQCAS >OGLUM02G37380.1 pep chromosome:ALNU02000000:2:36313518:36314033:-1 gene:OGLUM02G37380 transcript:OGLUM02G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATRRPSGHVLSAAHYRSASPTRVKLAGGGARASVSVSSVTRRSCMCSPTNHPGSFRCSLHKEQKRSVHHKAAAPSSPPSPTSPPAPGPVRLGGARRMGGSALVRIGAVESGQWARRALAATIRPSPAAQQAQHRRRVAGLRPRPSRLSAVSMAGDRAGDNHHHHR >OGLUM02G37390.1 pep chromosome:ALNU02000000:2:36320761:36328261:1 gene:OGLUM02G37390 transcript:OGLUM02G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRSYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEGAGAEMMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESAVTEESHDDHTGYEHKHDHEHHHHDHHHHDHEHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLERSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >OGLUM02G37390.2 pep chromosome:ALNU02000000:2:36320761:36328261:1 gene:OGLUM02G37390 transcript:OGLUM02G37390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALCSASPAISAAAAAALGAAARRLRVRVRAASRSYCAAPAPPRAAAAVGAQSWRARRRFAASAASTTTEEEGAGAEMMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEYGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVDKKKGKFDHIIIETTGLANPAPIIQTFYAEDTVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVSEPEVSSLVERIRSMNRMAHLKRAEYGKVDLDYVLGIGGFDLERIESAVTEESHDDHTGYEHKHDHEHHHHDHHHHDHEHKHDHHAHDHTHDPGVSSVSIVCEGEMDLEKGVHDIFQGSPERMWEPNEPRINKIVFIGKNLNGEELEKGFKDCLLKK >OGLUM02G37400.1 pep chromosome:ALNU02000000:2:36326030:36328027:-1 gene:OGLUM02G37400 transcript:OGLUM02G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVVKKEIGGNHDVLRFGVNDSVKGDLAPPHPLQASVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSESFRAPDMHHGMEVRLGLSKGPVCPSFN >OGLUM02G37410.1 pep chromosome:ALNU02000000:2:36328307:36328512:-1 gene:OGLUM02G37410 transcript:OGLUM02G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAATVVGRAGEHGPAVDDGVGLARGQRGKLASTGWRPTMASRGWREVTAMTGGREEGEERG >OGLUM02G37430.1 pep chromosome:ALNU02000000:2:36334995:36336792:-1 gene:OGLUM02G37430 transcript:OGLUM02G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDLTTATCEKTRQWPHQYHSKILIKQGQCLCHAELLTEFVNWIFKDWKTIAGDNALDYAAEFSHMLGFDGVKMLGLMQICVTKHTWFLPVIMKVKMSVLIMEQSHGLVLGH >OGLUM02G37440.1 pep chromosome:ALNU02000000:2:36341911:36356987:1 gene:OGLUM02G37440 transcript:OGLUM02G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLLLKLVGFCFWAVFWLGGAATVSTNAGGEAAVVDARSAIAVTDEDFVCATLDWWPPDKCDYGTCSWGLATLLNMDLSNKILLNAVKAFSPLKLRLGGSLQDVLIYDTGDPRQPCTPFTKNSSAMFGFTQGCLPLHRWDELNAFFQKSGAKIIFGLNALNGRVPMSDGSLGGPWNYTNAASFIRYTVSKGYDIHGWELGNELSGSGVGARVDADQYAQDVLALKQIIDNSYQGHASKPLVIAPGGFFDAAWFTELISRTKPNQMDVMTHHIYNLGPGVDTHLIDKILDPSYLDGEAGTFSSLQGILKSAGTSTVTWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWNRLMGTKVLSATFNGTNKIRAYTHCARDSRGITLLLINLSGNNTNHIYVTSEGVQPYSTKKEGRRRFGRIPGLGEEAQLIREEYHLTPKDGSLQSQHVLLNGNVLAPDANGEIPKLEPVQVEGTQPITVAPYSIVFAHIPRFYAPACR >OGLUM02G37450.1 pep chromosome:ALNU02000000:2:36342482:36342673:-1 gene:OGLUM02G37450 transcript:OGLUM02G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMLFSYPYYLLEPAPMPSAHYHRRRLRRMGTEPERQRMAVTSPSGGDGGWRRRMGAEPERW >OGLUM02G37460.1 pep chromosome:ALNU02000000:2:36354160:36356555:-1 gene:OGLUM02G37460 transcript:OGLUM02G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G18430) TAIR;Acc:AT3G18430] MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVSFLSTFSAKASVQQKIELIFKVYDIDGKGKVIFKDLVEVLRDQTGSFMTEEQREIIDHPGLKMEVEVPID >OGLUM02G37470.1 pep chromosome:ALNU02000000:2:36357652:36361680:-1 gene:OGLUM02G37470 transcript:OGLUM02G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFAVVIFLFLGSVEGFSTKTHPCTYSKDKECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSMGIIVCLITTLFATDFFEIKAVKEIEPSLKKQLIISTALMTVGIALVSWLALPYKFTIFNFGEQKEVTNWGLFLCVSIGLWAGLIIGYVTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIALGIYVSFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLIVGTLFGVQTLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >OGLUM02G37480.1 pep chromosome:ALNU02000000:2:36363973:36367335:1 gene:OGLUM02G37480 transcript:OGLUM02G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVAEELIKLIFLTHETVRAGAGAIDLSSKTRTATTTGFAWMATHTTRPQYFVATPNSELQLPIIVRRKSRVIITVTDSFVSPKSSCVCLSTTKFLVLGKLFHNPLSNECIIDLVSRYELGLTDWWRREYGGLDFAYGTQQKTQASNDSVLL >OGLUM02G37490.1 pep chromosome:ALNU02000000:2:36369784:36374404:1 gene:OGLUM02G37490 transcript:OGLUM02G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSMASPRGRSIRETVLETVAAYHQQQRMRRKFRKSLSYAGELSSAGRARGEGGASSSASTTSLCGPDEDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDDYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHTTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHADVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDDLRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFDKELGKPIGQLIVICGRNKTLSSSLQALEWKIPIKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSSRETAKLVARWFGPDSDELKRMSEKALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPDTTPIQLM >OGLUM02G37500.1 pep chromosome:ALNU02000000:2:36377039:36395131:-1 gene:OGLUM02G37500 transcript:OGLUM02G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDFLRVLRALRDAARRVEAAAGGDDGPALHALLALDASADDLLAGDPDLGTLRRLLARIGALSWSIRFAGDGGGGGGVIGCLRGRWRRCEARRGIARAAGGVAGEIQAWIDRENVARLDVFVGPVLMGRAVGALVASASASPEPLRALNGLVAAIRSPLVDELHARGELPRLVSLLCSADPRIRALALEFALRVGYYARKEIVDALLAEGLVKRLLCLQRSDSETFDSYFSPQEKPDHGIIRGVIFFAGILGCQREDDDADDGGGGGAATSSARPFVSAVARFAVQVEVGEGLSQREKRAAKLEILRRVREAAVSPAEEATVLADVLWGATP >OGLUM02G37510.1 pep chromosome:ALNU02000000:2:36410918:36423510:1 gene:OGLUM02G37510 transcript:OGLUM02G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRINLRKKPLLLFPRPFSSSSSPNPPFPPPSPPPNDRDDASPKPGEGEGRNPAASLFQDLRDRLMSTPSHLPSRRIPTAPPPRPSGNAEPVASIDDIRRQLESYRGSLAARGAPPGSSPDGAAPSLLDLVRSTSSPTSPQGPNSGHFSSLAESLRNLPSGRQPQQRRQPRSTTPFLSPTAHPIFGRELGENARKAEGKEENSAIELKKEYSYAELGKKLGQLRPSGAGNDGKEWFSLEELQGRIAKLANLDIADDMRLGGQYVALRKSLLGIQADQKTKDDIKKTRSKFAPKVLIGYCFSQYFHPDHMSSEEKMKLELQREMNVTIVLDKHSRKGLQDMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKNKSTNLQDGELIHGENFSLFGAMSALEIMDPKMDCGIEKSGYYSIDEAIEDGIAPVPLSLDRTLDIQRTLDVMDHLFSCEATWHKGHTLAQTVFTCIYLMRMERTSSHAILNSFCRILRATCYAVVSVVSTARTHEEEDLFTMSFGLPLRDEGDEKCLSILNSVEETIARQLRACKAQALSKKKTLEDVGFTLLLYIVKFIAAGLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVTCLRRPHGRGLELARKHVASCLTELSLMLKSRDLLRSQSNNTQQQGDEICTTASGVRPVGFDASLNSRLLSPAPPRAVKLLSWSDAIRYFEKLLRDLDIICSSPLDPVLENVLHFVVQFQKSVPDLVPRAFLQTLLVQDGKLYGRDLSCDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLEFALKREFGETRNVLPHENMCMRVSKQLLVWTQEHTYWVAYRFLILGFELDLYSPSEYCMVYWYMYVVLMKLIEQMQLRILASNENSRRKGKKKKDHSKDSSRDTAFPSSCLLLQCYVLLSEGLSMRFIQHFDLLQKARLPEHITYYSFRESASHASIADLTKYNFFKEIHKITPSLRGSFASEPEKLAEIRQIEQVAEHNRIALNIISQLGAGDPSLRVSFEFTHHPHFAVAVVKRS >OGLUM02G37520.1 pep chromosome:ALNU02000000:2:36426987:36440551:1 gene:OGLUM02G37520 transcript:OGLUM02G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSHTYSGTGMM >OGLUM02G37520.2 pep chromosome:ALNU02000000:2:36428366:36440551:1 gene:OGLUM02G37520 transcript:OGLUM02G37520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSHTYSGTGMM >OGLUM02G37520.3 pep chromosome:ALNU02000000:2:36427878:36440551:1 gene:OGLUM02G37520 transcript:OGLUM02G37520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGTSIRKYVGALKDTTTVSIAKVNSDYKDLDIAIVKATNHVENLPKEKYIRGDIFYHLSAGRARADVAYCIRALGRRLSKTRNWAVALKTLIVIHRALREVDPTFRDELISYGRSSTHMLHLSYFKDDSSAEAWDYSAWVRNYALYLEERLESFRVLKYDVEKDPPRTRDLDTVGLLEQLPALQQLLFRLLGCQPQGSSSYNNIIQHALSMVALESVRIHTAINDGILNLVDKFFEMQRDDALRALDLFKRAINQAGQLSEFYEMCKTIHIGRGERFLKIELPPTSFLQAMEEYVRDAPLASINQRNQAVLAIEYKRKPEDEESSSSAPLPPPPVSTSESEPEPEPEPVKEVSPVHEPTDLLGMNEPTPDVSEIDQKNSLALAIVQPDNTPKAAAPTTENVATSWELALVAAPSSNGNAATSNKLAGGLDLLTLDSLYNEAHRQAQQNASYNPWEAAAPASSGPMMQQPMQNPFYASNAIAPPLNVQMAAMAQQQQHMFMLQQQQQQQQMMMMMGRQPYDQQQGSSSNPFASPYMSAGVHPYGPGMQLHAGNSHTYSGTGMM >OGLUM02G37530.1 pep chromosome:ALNU02000000:2:36433481:36435454:-1 gene:OGLUM02G37530 transcript:OGLUM02G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >OGLUM02G37530.2 pep chromosome:ALNU02000000:2:36433481:36434372:-1 gene:OGLUM02G37530 transcript:OGLUM02G37530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 (VPS55) family protein [Source:Projected from Arabidopsis thaliana (AT1G32410) TAIR;Acc:AT1G32410] MARSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSNSPSMMSNDGDTWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFLVFGVAILWLIQMNSEDEYSSAF >OGLUM02G37540.1 pep chromosome:ALNU02000000:2:36438653:36442269:-1 gene:OGLUM02G37540 transcript:OGLUM02G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAAAMAVDDADDDQLASMSTEDIVRATRLLDNETRVLKDELQRTNLEVESYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPEKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >OGLUM02G37550.1 pep chromosome:ALNU02000000:2:36448101:36449497:1 gene:OGLUM02G37550 transcript:OGLUM02G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZT2] MGEGGGGGLDVVMFPWLAFGHMIPFLQLSKRLAARGHAVTFLSTPRNLARLPPGLPEGAESTADVTPDKDGLVKKACDGLAAPFAAFLAGRAKRPDWIVVDFCHHWLPPIADEHCVPCAMFHIIPAAMNAMFGPRWANARYPRTAPEDFTVPPKWIPFPSTIAFRRREFGWIAGAFKPNASGLPDVERFWRTEERCRLIINRSCHELEPPQLFDFLTGLFRKPTVPAGILPPTTNLVTDDDDDDDDRSEVLQWLDGQPPKSVIYVALGSEAPLSANDLHELALGLELAGVRFLWAIRSPTAGGVLPDGFEQRTRGRGVVWGRWVAQVRVLAHGAVGAFLTHCGWGSPIEGVALGQPLVMLPLVVDQGIIARAMAERGVGVEIARDESDGSFDRDAVAAAVRRVAVGGEREAFASNANRFKDVVGDQEREERYIDELVGYLRRYS >OGLUM02G37560.1 pep chromosome:ALNU02000000:2:36467400:36467701:-1 gene:OGLUM02G37560 transcript:OGLUM02G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S30 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZT3] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >OGLUM02G37570.1 pep chromosome:ALNU02000000:2:36467690:36472470:1 gene:OGLUM02G37570 transcript:OGLUM02G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MVTHGGGGGGGARTIWDDEAAAALGRFGTTRRQRRLVCVGEFIGDPLLGRDIVLGLWDSRPISRVSLHRRPMKSPVERRSVVGTLGESRREHTTDLCGGGGGGEERRGEKPRRRQRGERMPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >OGLUM02G37570.2 pep chromosome:ALNU02000000:2:36467923:36472470:1 gene:OGLUM02G37570 transcript:OGLUM02G37570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57280) TAIR;Acc:AT5G57280] MKSPVERRSVVGTLGESRREHTTDLCGGGGGGEERRGEKPRRRQRGERMPRPEVQAPPEIFYNESEARKYTTSSRIIEIQSRITERALELLALPNDGVPKLLLDIGCGSGLSGETLTEQGHHWIGYDISKSMLDVALEREAEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSCHNPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTAAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSLNSSLPKGKGQDGAMCSDDDESDDGSGDEDGAQTVGIYERNRPKKRQKTKKNGKGKAWLLNKKEQLRRRGREVPADTKYTGRKRKSYF >OGLUM02G37580.1 pep chromosome:ALNU02000000:2:36472406:36478909:-1 gene:OGLUM02G37580 transcript:OGLUM02G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT1G80030) TAIR;Acc:AT1G80030] MALAASTLPFAPSNPSPSSRSAAAAFSPRGGVHFAAARSGLPLACAAPRHRGRPPPRRRRGGCLVVWASADYYATLGVPRSASNKDIKAAYRKLARQYHPDVNKEPGATDKFKEISAAYEVLSDEKKRALYDQYGEAGVRSAVGGSAGAYTSNPFDLFETFFGASMGGFSGMDQGTFRTRRRSTAVQGEDIRYDVNLGFSEAIFGTEKDIILSHLETCDACAGSGSKIGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPTCAGEGEVISEYCKKCAGEGRVRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLFVCLDIEEPADIKRDGINLYSTVSVSYIEAILGTVKKVRTVDGNSELRIPPGTQPGDVVVLAKQGVPSLNKPSVRGDHLFTIKVTIPKRISGREKELLEELASLSNGGFARAPVKPKSIRQENETTVAQENSDQPDEGEGDWLKKLQDFAGSIANGAAKWLKDNL >OGLUM02G37590.1 pep chromosome:ALNU02000000:2:36481012:36484410:-1 gene:OGLUM02G37590 transcript:OGLUM02G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZT7] MEGGGDGDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEAEPTGSPSSSSAAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGIVDGDRVELDNLHRQTIHIEAYVGQPKVKSAAASCRAINSSIKVFEYHITLNASNALDIMRQYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >OGLUM02G37590.2 pep chromosome:ALNU02000000:2:36481012:36484410:-1 gene:OGLUM02G37590 transcript:OGLUM02G37590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZT7] MEGGGDGDGGRSRAEAIMRELERLRAEREELDGRIRLLESQLRLGAAPLPPSAAAEAEPTGSPSSSSAAAADMISRYRRHLLLPQFGLEGQRKLSQSSILVVGAGGLGSPVAMYLAACGVGIVDGDRVELDNLHRQTIHIEAYVGQPKVKSAAASCRAYDIVVDATNNLPSRYMISDCCVLMNKPLISGSAVGLEGQLTVYHHNGSPCYRCLYPNPPSSPTSQSCSDNGILGIRVIGCLQALEAIKVATAVGKPLCGRMLHFDALSSHTRIVKISRSSPTCKVCGENPVFTKEDFVNFDYESFTQSPMSKNSTTRSLNLLPENARVSCRDYKKVLDSGRPHLLVDVRPSHHFQIASMAHSINVPLSLLEEKLPLLRDSAREVSSRRDGRQHCPVYVICRRGNDSQVAVQILRENGFLYASDVAGGFESWAKEVDPSFLLY >OGLUM02G37600.1 pep chromosome:ALNU02000000:2:36485785:36487838:1 gene:OGLUM02G37600 transcript:OGLUM02G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLKAKAQRPLGPCVYLSTHPSRGHCPFPPSSSLRRRRAAEMAHRAPVAAAAGGGDGAPRTGCRMYPASADPASAATPATFQRVNDPIALELLSKSGERFHYIMNKKNLAAVLRNCIDWQVHITATQQAIA >OGLUM02G37610.1 pep chromosome:ALNU02000000:2:36489122:36494239:1 gene:OGLUM02G37610 transcript:OGLUM02G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZU0] MYVVKRDGRQEAVHFDKITARLKRLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLGELAAETAAAMTASHPDYASLAARIAISNLHKTTMKSFSETIKVMYMHYDERSGLLAPLIADDVYEIIMKNTTRLDSEIIYDRDFDYDYFGFKTLERSYLLKVVGKVVERPQHMLMRVSIGIHKDDIESAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECATISKCAGGIGLSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQNNENWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVSAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIGLPRFVREKGVPMESHPAKLVGSNGSKNRYFDFDKLAEITSIVTRNLNKIIDTNYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHALKASAEIAAKEGPYETYSGSPVSKGILQPDMWNVVPSDRWNWSDLREMISKVGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWSPVLKNKIVYEDGSVQKISEIPDDLKAIYRTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKDKQHNAEEEDVQSKMAEVVCSLNNRDECLACGS >OGLUM02G37620.1 pep chromosome:ALNU02000000:2:36497699:36505307:-1 gene:OGLUM02G37620 transcript:OGLUM02G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVEKIVEDFASDIAMGPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAEIRAAIGENQQEIRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGYPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLMRSRDVNTKKKAALCAIRIVRKVPDLAENFMGLASSLLKEKHHGILISAVQLCTELCKASKDALEYLRKNCVEGLVRILRDVSNSSYAPEYDVAGISDPFLHIRVLKLTRILGQGDADCSEYMNDILAQVATKNESNKNAANAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDTQAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELVDYLDSADLDFKEDLTAKICSIVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVWHALVVVISNASELQGYSVRLLYMALQAFVDQGSLVRVAVWCIGEYGEMLVNNVGMLQGEDPITIIIAIFFSAIIYLAVLGSWFCTYFGHLVEDNWRIKQIVSQNKKNIVLELQQRSIEFSSIIQRHQSIRPSLLERMPALDEASYFLRRASATQATLAADKPTPAVTPGGLKLPNGVAKSATSPLVDLLDLSSDDVPAITTASTTTAPNDFLQDLLGIGGISSSPAAAPSSASTDILIDLLSIGSSPSQNGPPAADSTPAQAITELFKINVPDTKPVSTISQVGAIVPEATDLLSSLSSSTSVSAFAKMSDTSIAGTKSAPAVPQDMDLLDGLPSNTSVSGLVNHSSITAFQSATLKINFDFKKQPEKPHETTVHATFTNLTSSSYTDFVFQAAVPKFIQLRLDPASGNIVPASGNGSVTQGFSVTNNQHGQKPLAMRIRMSYRVNGEDRLEQGQSSPFAYLVLRGSLFSVSLGGGVDQK >OGLUM02G37630.1 pep chromosome:ALNU02000000:2:36509770:36511106:1 gene:OGLUM02G37630 transcript:OGLUM02G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZU2] MELELGLAPPNSGHLVVDELSSSSGGGSGRAPVSASSAGKRGFREAFQETLLLFDDGSCCNTSDDDCRRRKKTVVGWPPVSSARRACGGANYVKVKKEGDAIGRKVDLALHSSYDELAATLARMFPTNDHQGEKKMANDDHGDAAGPVVTYEDGDGDWMLVGDVPWDDFARSVKRLKILG >OGLUM02G37640.1 pep chromosome:ALNU02000000:2:36512572:36514931:1 gene:OGLUM02G37640 transcript:OGLUM02G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZU3] MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPNQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSSEFSRICKDLSSIGDTVIISVTKEGVKFSTAGDIGTANIVCRQNKTVDKPEDATIIEMQEPVSLTFALRYMNSFTKASPLSEQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKS >OGLUM02G37650.1 pep chromosome:ALNU02000000:2:36515779:36517592:-1 gene:OGLUM02G37650 transcript:OGLUM02G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGRGSQEEEHLDLIMRHHASMGLDRCESEEALGSSESEQPTRPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQSLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYVPPVNLSGAPEHLPIPQMSAALDQNSAKASDPSVVLQPVNQTSGALLPFELASQHKPLFLPGVRNATALEPRFLVESSRSNLQSLRFTEPAEMIYPDEMMLKHRLTSANESTIVPGTDEKSVRQNTYMMNADRFDRYALSKDQLQHIMPKNTESVLDMPHLQR >OGLUM02G37660.1 pep chromosome:ALNU02000000:2:36519621:36524276:-1 gene:OGLUM02G37660 transcript:OGLUM02G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIECKVRFTNTKGNTAARNTEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELRDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAVHARRVPAGQPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKNSYFLKNMYIYKGLV >OGLUM02G37660.2 pep chromosome:ALNU02000000:2:36519621:36524276:-1 gene:OGLUM02G37660 transcript:OGLUM02G37660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIECKVRFTNTKGNTAARNTEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELRDAVGAFQSMDMGELARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKNSYFLKNMYIYKGLV >OGLUM02G37660.3 pep chromosome:ALNU02000000:2:36519621:36524276:-1 gene:OGLUM02G37660 transcript:OGLUM02G37660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIECKVRFTNTKGNTAARNTEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSVCTAGQLLQRWGACTGICVTDLVEGGASHLHGGKSSRDKKARASPAAGAKGGGAGHGMADASAEMTKRSAYFRQIEEDVGTHPAAILELRDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAVHARRVPAGQPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKNSYFLKNMYIYKGLV >OGLUM02G37660.4 pep chromosome:ALNU02000000:2:36519621:36524276:-1 gene:OGLUM02G37660 transcript:OGLUM02G37660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIECKVRFTNTKGNTAARNTEASGVFNRHEGLALLTTIVEGGGNCNPASDPLPNQSLLSASIINKILHLIDALDLLCRRSYIALDQLLLDTQEALKIAYPKCLSGLSAYHNTSSVCTAALRAKKSATKLKRSAEMGSMYRHLRDRSSRRRRFSPAWRQELARQESPGVAGGRRQGRWCRSWHGRRIGRDDQEVRARTANTIDIDHDLEILVVIFACYDNIGTERSAYFRQIEEDVGTHPAAILELRDAVGAFQSMDMGELARFHCSSRVRPGAHYKPAHSPRARLLCHGAVHARRVPAGQPDWLPLLVLARFEGFPSKKLEALRMAAALYSKLDGVVATPSILNMQKKNSYFLKNMYIYKGLV >OGLUM02G37670.1 pep chromosome:ALNU02000000:2:36522417:36523640:1 gene:OGLUM02G37670 transcript:OGLUM02G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDGDAATSPSFSSTGSSGDGNGGGGGGGRVGIYACFALGGSNSLECYEPGANTWRRVGELPGVPDGHVLKGFAVVALGDFVYVIGGRLCRRERGGGGEYRDTDVDVRGDVVRYDARRGEWGRCAPLLVPRFDFACAPCGGRICVAGGQRSLSGARGTAAAEVFDADKGGWSRLPDMSTRRYKCVGVTWHGRFHVVGGFAESTSSSSPAAAAADEAAAAPPGRATALLLLLPQSSALERSSAEVFDCARGVWEIIPGMWQLDVPPNQIVAVAGRLLSSGDCLNSWKGHVEVYDGELNIWSIMDHSAMPDMPLLAALPPSAQRRYHTMAVVGNQLYFLAGYQVAAGGEGDGDGDGGFRTVSLVHSFDTSANPGLMPPWRSFQPTMDQDGVEDGSKELFSQCCSVQL >OGLUM02G37680.1 pep chromosome:ALNU02000000:2:36524313:36526355:-1 gene:OGLUM02G37680 transcript:OGLUM02G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAL2-like [Source:Projected from Arabidopsis thaliana (AT5G54390) TAIR;Acc:AT5G54390] MEVAVRVVQAACTLCQRVQSSLLLPASASASGSVHSKIDRSPVTVADWGVQAIVSWLLSDCFQDENISIVAEEDDETLSSSDGAALLESVVAAVNGCLIEAPKYGLRSPEKEFKAHDILQAIRRCSSIGGPKGRFWVLDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLNYHQRYYRLMSKVSPPTSGSWHKGCVMYAHRGCGQAWMQPLVHDFGKLDWRNSREVRVSTVSDPISATFCEPVEKANTSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDVEIFMKFARAGYKEKIWDHAAGVVIIREAGGVITDAGGRPLDFSRGVFLEGLDRGIIACSGPLLHHRIVGAVDASWNSSTL >OGLUM02G37690.1 pep chromosome:ALNU02000000:2:36528495:36531168:1 gene:OGLUM02G37690 transcript:OGLUM02G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G21580) TAIR;Acc:AT4G21580] MRAVVIARAGGPEVLEERDVGEGLPPPGEGEVLVGVSAAGVNRADTVQRQGRYPAPPGASPYPGLECSGTILALGPNVPSRWAVGDELLPVPQGVSLTDAAGLPEVACTVWSTVFVTSHLSPSESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVARVKEETNGKGVDVILDNMGGLYLQRNLNSLAVDGRLFIIGFQGGAVTEVNLQPMLARRLTIQAAGLRNRSLDNKALIVSEVEKNVWPAVVQGKVKPVIYKTFPLSEAAEAHKLMEESSHIGKILLIP >OGLUM02G37700.1 pep chromosome:ALNU02000000:2:36531553:36533068:-1 gene:OGLUM02G37700 transcript:OGLUM02G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVYFRIWARQSTDPSFTVDDREELRRQFERANLEAMDESAEWRMKYDTEFAKNKQIQDELLKAKASLSASTKRFSLLKKDNEVLKRQIQIMKQQCNCTVTSNLTQE >OGLUM02G37710.1 pep chromosome:ALNU02000000:2:36533830:36543213:-1 gene:OGLUM02G37710 transcript:OGLUM02G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGLNRSSSRGQLPPQELLDDLCSRFVLNVPKEDLESFERILFLLEQAHWFYEDNSVEHNPSLKSLSFKDFTTLMFNSCAALRPYRAHLDDIYKDFTHYKFRVPVCGAIILDDTYEKCLLVKGWKSSASWSFPRGKRSKDEEDHTCAVREVLEETGCDVSKLLKLEDYIEVSMWQQRVRLYIITGVKGDTVFAPQTKKEISEISWHKIDELLPAGDDAISRGVNGMKLYMVAPFLQGLKAWIATHRHPQYQKSDTSARGTVWKAKNSSTGAAPVENPVITRTGSDPQTLDSRPEASGIWSRADAMAALVRPAVLPVCAMTGGGGNDKRSPPQQRSWWGGNKQRLPHQPRRQPGGNGGRGGGGGALDQVLGVLRRDGEFLQAAAGAPLRDIFWLRFLEKKKQQRRRKQPKPKPKPPEQQQQQEEAAAASQAPSFPPPSYQPGLSCLDLMTADFEALKLYIGYSKHAIEQQFLKGKRQPQHQQTPKPKLQQQQQQQQKKQQQQEQQNQTLQPPAFPPPSYPPGLSCMELMMADIEALKLYINYYVAILTTPLPQHYDPEILSRYFTSRPHILAFRTIQIIFAFVSAAVKMQISKRTNLITDATYSGNSTGSKGFNASQYMVGQLLKETFLDLGPTFVKVGQSLSTRPDITGSEISEVSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLSFVRKVAKRKSNIALYADELGKGFVGELDYNIEAANATKFLEYHSRYSFMLVPKVLKQLTRRRVLTMEWVSGENPTDLLSLSKGISSEIAESSEKQKIEATARLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMEKKHQHAMLASIVHIVNGDWASLVYDLTAMDVVPPRTNLRRVTMDLEDALGEVTFEDGIPDIKFSRVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAIAADGTFKTFQAAYPYVVRKLLSDNSVATRRLLHQAIFNKRKEFQWNKITVFLKLASARYNTGVLPERKGLDVAKLVEISDASSSLDLNRATPERALHTANLCLRLLLSKESIVIRRLVMTANAKSLARDLISKDASIYRVLLSRVLADVIFQWMVKATGFKRAGQLEPHPRVTAGKNDEDLGLSKEAPALVTFQTAVRDRRLKVIFSKFVRDIREDPILMVRVSWNMFAISVVSAAIGVHRFIVVLSEEFLSTLPPPVPPPRLVQIQSI >OGLUM02G37720.1 pep chromosome:ALNU02000000:2:36543359:36548129:1 gene:OGLUM02G37720 transcript:OGLUM02G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39000) TAIR;Acc:AT2G39000] MMPPAISLARSPTSSASASPLYPVRRSAAVAVRHHRCPSFAAKPAAGVCCASPAVELLPSLSPDILVRDARLEDCWEVADTHCSSFFPDYTFPLDLVLRIDRYIALLSGFSVPPGCMRTCIVAVNSNSLSRSISIECGDLRDADFQEKHGLSKASIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVAEAEERAKSWGCRSMALHCDVNNIAALRLYKNLGYKCIRVPEDAKWPEPKIAKGVRYNFMMKLVPKM >OGLUM02G37730.1 pep chromosome:ALNU02000000:2:36562876:36568726:1 gene:OGLUM02G37730 transcript:OGLUM02G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHATMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEADADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >OGLUM02G37730.2 pep chromosome:ALNU02000000:2:36565255:36568726:1 gene:OGLUM02G37730 transcript:OGLUM02G37730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGFVEDMLREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSSRIIGGHATMAAAPPPPPQMMALPPPPAPAKDDASALFDAAGALGAEVFDRKDAHIGPCDELDMDMAQLEWLSGLFDDGTIPHEPSFPGVNCAAPIKASALTANAGVVLPDKAEEALFRSSSPISVLEHSGFNVATNGGSSSSSSSSASSSSESFSGSGRAWSAPVSPRPEPPVLVIPARARSKRSRPSAFPAVRGAPAATETTILVPTPMYSSTSSHSDPESIAESNPHPPPMKKKKKAKKPAAPAAASDAEADADAADADYEEGGALALPPGTVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFMPSIHSNSHKKVVEMRQKATRTADPSCDLLQYIRRRD >OGLUM02G37740.1 pep chromosome:ALNU02000000:2:36575349:36579805:1 gene:OGLUM02G37740 transcript:OGLUM02G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQTLVSNVGQLVGEEFRQLRGVGGEVARLRNELATISSLLRMQSEADEGGVDHFVREWMKQLREVAYDAEDCVHLYLFRARSRSEDPLLVCEIRALRGHAAAINEQHARYGVSLEPLRRPAASGPALAVAAASARALRPDNDPNQFVGNKDQATALANKVKALDAHENDKKVKVFSIVGFGGLGKTTLAVEVCRMLETEFQRQAQVAVSQTFSGKDLQGLLKRVLRQIRPYLILIDDVWSIAAWDAIRSKLPNSKCGSRIIVTTRIDTVAKACSDGNADYIHHMKKLDDKDSEQLFANKAFGYGNSCPKDLEASMRSILKKCGGLPLAIVSIASLLASYKPPEGKKMWETVQKSIGSQMETNPTLEGMTQILTLSYNNLPHHLKACMMYRSIFPEDYTIVKDRLLKRWIAEGLVAEKRGLTQMELAESYFNELMSRGVIDRATILVTLRDGRGEGCRVHDMMLEIMVSKSLEANFVSLVGGQYEGLSYDTVRRLSIHGGVEALKDESSSKNMAARRGTGNGIKGITVQHVRSLSIFDHEAHKLLARLGEFTLLRVLDLEDCKGLEKKHMNCICRMYLLRFLSLKGTDIKVMPRSIGDLEHLQTLDVRQTDLKNLPGSIQKLEKLEHLLFYGKGETWSGWMPTQGIKKMKALRQVNKAAVIDDHKVAEEIGELDQLQELALYVDTRKKMDHKVVEKLACSLSKLYSLRWLDIGNLGCDKWPFIPVMEFLHGVESPPRLLWYLKICGHIYRLPDWVESLTDLVEFDLGWTYLNGVELLDTLGKLPNLKRLYLGPYFIQHNEDMVVRSSQSFPELKELTLGYSPEVPEVYIFEEGSMSKLETLMVYFGDQPKKIVGIEHLANLKEVQYHGKREIGGMKHALKELEELNKKRNVSEQIAVRVRYED >OGLUM02G37750.1 pep chromosome:ALNU02000000:2:36593348:36596217:-1 gene:OGLUM02G37750 transcript:OGLUM02G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTRAHIVKNDALEGMLGEFVGGGKGGGKAGRAAARHSSRLVAALTCLQLAFAIYATFLLYYMSPAVDLRAKPDFAWATRIAQHWKQLMAQPDGGGGGMAVSPEEVCEHESIDFEQKKSTDAVMIRLKRELYDEVRDFQRRSFGAETLPELLRMRSRWSAAAAAAGNQPRVTVILNHFKRRTLCAQLDTLRRQTVPFHRAWVLAFGSPNEAALRRIVGSYNDSRISFVSSGHDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILTHVAGTDKYRNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDIAVDRIVQVDFLSSSWFLAADLVKALFIEVPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDAGDKETWGDSEHRLAYVAETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTAGRKAYLVVSGGGHCPCEEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSDVPVLHAVYSSMRGIVRMHNPSVIVAVADVDAKIKDALRMAADAAVNRTALVLLPRNSISKVLWMATLRPTSLPNWNRMRISVNIITQNRAKSLRRLLASLRDAYYVGDEVPISFNMDSRVDAATLNVVNAFDWPHGGKTLRRRIIQGGLIRAVSESWYPATDDDYGLLLEDDIEVSPYYYLWIKYALLAYRYDPQVSLPELSSISLYTPRLVEVVKERPRWNATAFFGRSKNHHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVALYPNFPDQSSFSTNHMEPGAHISAKGNVVKHDKRDFEVPLVADDFSPLLPAGKMPPASKLPVLNLFNQPVSFKALKAAGAKLRQDVIGCAATQLVAVDHVTGLPKNCTAF >OGLUM02G37760.1 pep chromosome:ALNU02000000:2:36599847:36604327:-1 gene:OGLUM02G37760 transcript:OGLUM02G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLAEIAQATQNYHEYQMVMNVVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALATRDKYRSAFATSGTHRSPGGYDNDRYEGSYGSRYDNRNGYGGEREYGYRDDDRYGVAGTTPNREGDRYSRDSNEQRYSRDREDEYKGSHSNHEYAEGSGRRSYGRDRDSYGDDEAYSSRGRQSNADGPTQDERPMERKPSNQQIASPPPNYEDVTRDTQDNNHDGRNGGTVPVPVAAAKVSSPPRTSVPPGQVNGVHDNTVEDVPAPPPTHPEVNGFDEFDPRGSVPDTSPPVNPSQAVNSLEMDLFGPDPISSLALVSVPQPTASPNVEPSANPGFESNSFMGMPPASTGFNEAFDATNPFGDPTPFKAVHEETPAVSQTNAAPAGSFHATEPAADANPFQPASAASFGFGDTLGDLSFGSNAAPGQQDIFVPTSSHSEVPPANPSVHPEQAVPSYVSSQAPQPAAAGPQTHAAPASFASQAPPTSFASQTPQAGAPYPQAASTFPHSQASHPAATNPSTIPQNVATSFAPLQMPQPVPSGQSNYFMQPVPGTGINGMSGAPSQNGAPSYIPSQASQFAAPTNLQPSQPTFPPQTAMAASQATSISRGASQPLAVPNSMPSGVNFPLQSSSSAPPETILSALQVSQSEPVKKFESKSTVWADTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKVSQAPVVSTITMGKAMGTGSGIGRAGASAMAPPANPMGASRGIGMGMGAAGSGYGGGMGMNRPMGMGMGMNQQMGMGMGMNQQAMGMGMNQQAMGMGMNQQPMGMNMGMGMNQGMGMNMRPPMGMGPGSGYNPMGTGYGGQQPYGGYR >OGLUM02G37770.1 pep chromosome:ALNU02000000:2:36610403:36614237:-1 gene:OGLUM02G37770 transcript:OGLUM02G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRWRKAKMSLGLNLCVYVPRTLDDGDSPSTGSSTAALVSPVASSSSAATSANTTPTAEQRVKGAGALMPTTPTPTSAGLRLSKSGSKSFKKTCAICLTTMKPGQGHALFTAECSHTFHFHCIAANVKHGSNNCPVCRTKWKELPFRGPLPGEFPQGSARINPVNGHQNGGQMTILRPLPRARSSGRLHHMTSLLPDTDRSIFNDDEPLDSLSEANEGSQQGCLRTVEIKTYPEFTEVPESTSERNFTVLVHLKAPLAQTLQTSSKLEDGNSLGTTRAPVDLITVLDVSGSMAGTKLALLKRAMGFVIQNLGSSDRLSVIAFSSSARRLFPLRRMTETGRQQSLQAVYSLTSNGGTNIAEGLRKGSKVIEDRQAKNPVCSIILLSDGQDTYTVSPTAGVHKAAPEYCSLLPYTSNGCQQVPVHVFGFGADHDSVSLHSISQTSGGTFSFIETEAAIQDAFAQCIGGLLSVVAQDLHVKVESLHPDVHFGSIRSGSYSSRLADDKRNGSIDVGDMYAEEERDFLVSVNVPPGYGETALLKVGCVYKDPLMKETINMADVQVKISRPAFVSVQSVSIEVDRQKNRLHAAEVMAEARLSAERGDLTHAVSLLEDCRRMIMGSTSGQSGDRLCQALDAELKEMQERMANRQRYEASGRAYVLSGLSSHSWQRATARGDSTDSESLIQAYQTSSMVDMLLRSQTMSRSSTPRQMRHVKSFPARPQPR >OGLUM02G37780.1 pep chromosome:ALNU02000000:2:36626855:36629929:1 gene:OGLUM02G37780 transcript:OGLUM02G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWGASGHQGRKMEGGMASSSSPIPIPSLSPPSSHRRSPPLTPRLLAHACRRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVKKLSKVGKIAEVMAPPPPPSPAAPSEEGKKSDSNGSEKPTSPADEKSTRKDEGKDGKGDKSPAAAAAAACKQECSKCTAGKEAATRADEAGRAAGKTASSKDATTKSSGDGDKSEPAAVVVEYQYHHHYNRLEPAMVVLVHLPYFAANATPYHAGGYYPMPPPMSVLRHPSQLRPQPSRFDEDYFNKDNTAAGSRPSLAPVRRWPSSHCCRSPKVD >OGLUM02G37790.1 pep chromosome:ALNU02000000:2:36630669:36635135:1 gene:OGLUM02G37790 transcript:OGLUM02G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKDGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILDSCIGSVEKYGVGSCGPRGFYGTIDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >OGLUM02G37790.2 pep chromosome:ALNU02000000:2:36630683:36635135:1 gene:OGLUM02G37790 transcript:OGLUM02G37790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAMFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDEWQPEPLCPPIKDGARIEAPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKILDSCIGSVEKYGVGSCGPRGFYGTIDVHLDCETKIAKFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >OGLUM02G37790.3 pep chromosome:ALNU02000000:2:36631522:36635135:1 gene:OGLUM02G37790 transcript:OGLUM02G37790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASTLEKLTHGNKRTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSIRVVDHQRLSSSGYVFSASLPPYLASAAISAVDHLEENPSVLANLRSNITLLHKELSDVQGLEIASNILSPIVFLKLKTSTGSSVADLELLEVISEKVLKEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDILKVSESLKRVAASVL >OGLUM02G37800.1 pep chromosome:ALNU02000000:2:36636957:36637941:-1 gene:OGLUM02G37800 transcript:OGLUM02G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGELSLRQEYVIGDEIGRGRFGTVRRCYAVATGEAFAVKSTPKAPLREAGAADALDLALAEQEPKVHLVASAPGPGASPHVVALHAAFEDDAAVHLVVDLCAGGDLLSLVSSRGRLPEHEAAGLTAQLASALASCHRRGVAHRDVKPDNLLFDGGGVLKLGDFGSAGWFGDGRPMTGLVGTPYYVAPEVVAGREYGEKVDVWSAGVVLYMMLSGTLPFYGATAAEVFQCVLRGNLRFPPRAFASVSPEAKDLMRRMLCKDVSRRFSADQVLRHPWIVSRGGAAVMG >OGLUM02G37810.1 pep chromosome:ALNU02000000:2:36643675:36652979:1 gene:OGLUM02G37810 transcript:OGLUM02G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQLPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSIFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >OGLUM02G37810.2 pep chromosome:ALNU02000000:2:36643675:36653179:1 gene:OGLUM02G37810 transcript:OGLUM02G37810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGLVVGSALRAAQLPPPPPLPRSPRGAADRLLIFSREPRPRLAPLTRCYCSREGKGNARSKPHREGSNSVREWLIFVSLSRLNVKANNDQRIMNLELMSQETYLADERHEGVDIWELFSEAQRNILHLNKQRLVAMEELKKLQDENKSLLQDIEVLETERQGFSIEIAESSRFSELLLRIDTMTISGMVGMTEASDLRKKVIDNRYMIHSIFSDIHHKQDTELLSELRLFLRKPAEKPLHVVHICSEMDPITSRGSLATYVTGLSSALQRKGNLVEVILPKYAGINEDVIHFLRKAEADYESYYGGCWHKNRIWTGISSGVSLTLIEPVQLSYFDRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDVLHVHNWETAIVGPLFWDIFAHQGLGNTRILLTCQDLTSQCLEVPNMLELCGLDPHKLHRPDRLQDNSETNLVNVLKGGIVYSNKVLLMSSTLPRDMAIQGLGHGLEATLTTHKEKVLVASHGLDGELWDPSKDIYLPQRYSPNDIEGKSFCRKTLKRRVGLHSGSSVVVGCICNGDSNTDGLREAVRVALHGGAQGDRVMFVEIYDEALEHLIFAGSDIFLCSSFYDPSLQIAIRAIKYGSAPVQINFPSNGSRQTEWHDYPSTALSQYIISTYGDMSLSQALDGIKNNPSQWDWRIKDAMSKVLSWDAECYDLHWEAYSVIRKL >OGLUM02G37820.1 pep chromosome:ALNU02000000:2:36651477:36653959:-1 gene:OGLUM02G37820 transcript:OGLUM02G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSTLHQAVALLAVMLVCLAPVTPASAQPWPGCPDKCGNISISYPFGIGAGCARDRDFQLECDGNTPHFNYLDDREKKLVSLSIADGEVRVFVDAGSNCHDDRFKAISGHYRTPDYGHSIAYRFSTARNRLVVLGCPVLGYLVDADDNYVTGCTSTCRRSQSQEEPTRNVPDQQELPPTEPVFRHLDSTKCQYVFVAEDKWINTTYSYRAFINRTNDFTVPVVLDWAIRNAGNCDIAVRNRTDYACRSAHSECFNASDGQGYRCRCSKGYEGNPYLDGGCKGSSSERSKDSFEQNGGVLLQQQMRSYGGTSGGAGGFKIFSKEELEKATNSFAADRVLGRGGYGIVYKGVLEDNMVVAIKKSKMIEEAQTKEFAKEMCILSQINHKNVVKLLGCCLEVEVPMLVYEFVSNGTLDHYIHGGTLNTVISLDSRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGTSKLVPNDEFEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFGGSEEDRSLVSCFMTAVRDGRHEELIDSQVRNEMTEEVLQEITHLVMRCVSMSGEERPMMKEVAEKLEMLRRYQLHPWDKGDANPEEKQSLLDMEQRNVDQKFRHHHDYDPENPACRS >OGLUM02G37830.1 pep chromosome:ALNU02000000:2:36656690:36668917:-1 gene:OGLUM02G37830 transcript:OGLUM02G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYNGIPFERDDTDRYRLLSGDSTGYQEPGTKASSSVAVSSAFEQGALYRTPDYGSSIAYRFSTKRNRLVVLGCPVLGYLVDADDNYVTGCTSTCRRSQSQGDLPGQCTGESGCCQNTMPRALNNVYKPYILTLQKTEEPTRNVPDQQELPPTEPVFRHLDSTECQYVFVAEDRWINTNYSYRAFFNRTSDFAVPVVLDWAIRNVGSCDIAGRRARGGGYR >OGLUM02G37840.1 pep chromosome:ALNU02000000:2:36670018:36681397:-1 gene:OGLUM02G37840 transcript:OGLUM02G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIRHLQPGVAISAVVLLLGMALAPATPASAQPLPGCPDKCGNISIPYPFGIGAVCARGPKFQLECNHTYSPPRLIAVIDPQYNLEIHLVSLSLTDGEARIYNNASRSLYNGSTVSTNNAVYLFLGPDGPYRFSSAKNRLVALGCPNLGLLLDATENYVTGCISLCRSSPLAVSAGACAGVGCCQSSIPSGLHTYYVNQDKPKNVTLQYYAATDYRYVFLADAEWLSTSYRGDFNRTGDFAVPVVLDWAIRNVGSCEAAMRNKADYACRSANSYCVHSTEGEGYRCNCSRGYEGNPYLDGGCQDINECERPPDNFKCFGECTNTLGSFLCMCPRGARGNPFIDKGCVKTDLGLTIGIGVGSGAGLLAMAFGAVFLTREIKNRRANMLRQMFFKQNRGHLLQQLVSQNTDIAERMIIPLAELEKATNKFDESREIGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPLSLSWEDRLRIATETARALGYLHSAVSFPIIHRDIKSHNILLDGSLTAKVSDFGASRCIPAEQTGVTTVIQGTLGYLDPMYSYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSPEDDGLVSHFTTLLTRDNLGHILDPQVVEEGGKEVKEVAVLAVACVKLKAEERPTMRQVEMTLESIRSLFLQQEAIHSMANKNSKENHVSMSYPANEGTSMESTRQYSLEEEYLLSSSLGVVVLLLLLVVALAPVRPASGQPLPGCPDRCGNISVPYPFGIGARCARDFGYELFCNHSYFPPRLTFFPPLPTPTSILAGRRLNLASLSIADGEAVALVNVFRQCYSSNESYVSDNSRNYTVYLSLLGSNTYRVSAARNRFVALGCPNLGYLSDDAGYYITGCTSVCRPSQWNSVSPAACTGVGCCQSRIPPNVTYYEASVQGFQEAQGRIFRENTTSCRYAFVVEDRWVDTTYRDSADFNRTDDFAVPVVLDWAIRNVANCDIAKRNRTDYACRSTNSDCVDSTNGVGYRCKCSNGYDGNPYLDGGCTDIDECQHLDKYPCHGVCTNLLGGYKCDCPHGFSGDAIKNDCRPNDKFTLALKIVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMHSGGGTGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDNMVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPTTDIALDNRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLNTKVSDFGASKLAPTDEAAIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTTAVKAGHHRELMDSQVRKEMNDEMATEIADLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWAKAKGNAEENQSLLGIEHQNPNYQFRQHDVLDLEEGSTYTFSL >OGLUM02G37850.1 pep chromosome:ALNU02000000:2:36690441:36697131:1 gene:OGLUM02G37850 transcript:OGLUM02G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHHLCVDLVRENAWPASRLNSISIVSNMTQPGVKWSAWIQVGKELNE >OGLUM02G37860.1 pep chromosome:ALNU02000000:2:36691966:36694713:-1 gene:OGLUM02G37860 transcript:OGLUM02G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLCLQGAALVVLIVCLAPVAPAWAQQPAGCPDKCGNTSIPYPFGIGSRCARDFNFRLVCNHAYSPPRLFVSEVELVSLSLDGEARALINARNYCSDGTTYISYSALRRDSQGQLPLSDVSFGRSTAYRFSAARNRFVVLGCPVLGYLVDAEEYYVSGCISMCRKSQAGDDHLSSRCTGERGCCQNTIPRPLNFYKPYILSLNKSAEENRVEPIYHRLNSTACNYVFLVEDKWIDTTYSYRAYFNRTDDFDVPVVLDWAIRNVRNCRVAKRNATKYACRSEWSECFDASDGVGYRCRCSNGYQGNPYLDGGCTDIDECQDKEKYGCYGDCTNTIGGYTCICPRGTIGNVHEKNGCRPKDKFTFALKAVTGVGLGVFMSVFMAFWLHLGLQKRKLIRTRQKFFEQNGGIFLQQQMRSYGGAGGGVGVFKIFSTEELKNATNNFAVDRILGRGGHGIVYKGVLEDNTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKDPEVDIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFDAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSCFTTAVKVGRHQELLDSQVRNELSDEMLQEITHLLMRCLSMIGEERPAMKEVAERLESLRRYQQHPWAKAEGNEEEIQSLLGMEQNNANYQLRQQDVLGLEEGNAYTFSL >OGLUM02G37870.1 pep chromosome:ALNU02000000:2:36699593:36702110:1 gene:OGLUM02G37870 transcript:OGLUM02G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSALHLQVLALTVFLVCAAAVTPAALAQQQQLPGCPDKCGDISIPYPFGIGAGCARDQYFELECNRTNSPPRLIVSTHRQHLVNLSLADGEATALINARRQCYNSTEGLIGDANNMSTRISLFNAYRFSAARNRFVALGCPNMGYFVDTVGCYVSGCTSICRPSQGSGASTGGCTGEGCCQSKLPNNTDYYELYIQTFKPGEGDPILRGGTTSCRYVFLAEDKWIDTTYRGSPDFNRTDDFAVPVVLDWAIRDVYNCSAAMRNMTNYACRSAHGGCFNTSDGQGYQCKCSQGYEGNPYLNDGCQDIDECKHLDKYPCHGVCTNVPGNYTCECPQGTSGDATMKNGCRPKDKFTLALKVFTGVSVGVFMSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMHSSGGVGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLEDDMVVAIKKSKMMEEAQTKEFAREMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVSNGTLYHYIHGKEPIDQISLDTRLRIAAESAEALSYMHSSASLPILHGDVKTANILLDDKLNAKVSDFGASKLAPIDEAEIATLVQGTCGYLDPEYLMTCQLTNKSDVYSFGVIVLELLTRKKALYLDGPEEDRSLVSCFTTAMKAGRHQELLDNQVRNEMNEEMLTEIAHLLMRCLSMNGEERPTMKEVAERLEMLRRYQQHPWVEAKGNAEENQSLLGMEQQNSNYQLRQHDVLDLEEGSTYTFSL >OGLUM02G37880.1 pep chromosome:ALNU02000000:2:36705188:36706606:-1 gene:OGLUM02G37880 transcript:OGLUM02G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYNVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLKKSLHEAVLCMQQKGHLPLIYPVPKRAYL >OGLUM02G37890.1 pep chromosome:ALNU02000000:2:36708381:36710482:1 gene:OGLUM02G37890 transcript:OGLUM02G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESGVGMKAVDYEVEQQDGGRLVKRKEADLTRGSHGGVPVATSSVGRDMLAVSDIQAETPYAQLNAASVPGDNHDLQTGLAATIIQTQDGKLADRADGEVSQPRELYVAHACWQLISLMSFVHTHPSAAPSHIMHMNHPTPAKITATCTKANRIQIEAATYQVNSYGSNTTQRGGKRGYYTLVKSHLAGTAAAKTSLAKRKQEYDDG >OGLUM02G37900.1 pep chromosome:ALNU02000000:2:36711733:36713256:-1 gene:OGLUM02G37900 transcript:OGLUM02G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDSSPHSAAATDDAHHEGSEAAAAQAAPPPPAPPAKVRLMVSYGGRIQPRPHDHQLSYVNGETKILSLERPLRFADFAARLAGLAGSPGDFCVKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSSRGGSTPRLRVFLFPVQSPTPPPPPQPSGLLEPKQEQRQWFVDALNSVHQPPPPSPPQPKQESVSVQSPPPAVVPMPQPPPVLPAPTGPDYLFGLDNGFVPPPAVKVKDPAGDPPTVKDNVPVEIPAKNDDRHTTNPVSDHVVVSPVVSPGEFQRQIQGLEKLQFADTAAQQPPPPPAPATAAPPPAALPRNGSDDSLTRAYPPATVTPTPPTATPEYYLPKYQEKPAAPPPSSAPPPTAFLPVPGRYTSVAPGSGADHAPVFFIPAPPHGYFTTAAGPGATSFPAVYAVAQHNGNANASGNGPSPAAASNAQAYAPQVAYDSNGRAIYYTSVLPQYASAVSGVPAAATVLGTDPAKPVAVKPTVS >OGLUM02G37910.1 pep chromosome:ALNU02000000:2:36716741:36722377:1 gene:OGLUM02G37910 transcript:OGLUM02G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICLYIILPRIFIKSYLNESKAEKKNHTKIEERSGNNTPKLQAERSSTEEQDTTWIYLSSSIGIWIRATRNLMLAVVDAGRVPPPWPEPPRPRRRQQKAPSTGRDRRRRRWQRRRPHRCFFFFFPQRSQRHDEHNGAEKRTEAEKRQRSSWSSSISNPSSSCHARISLQ >OGLUM02G37920.1 pep chromosome:ALNU02000000:2:36722556:36722804:1 gene:OGLUM02G37920 transcript:OGLUM02G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGFSGVWTKSEMGDDHVYAKARSRGGCFLSTAREEKQLRELCVIHRVSDSIITIHRWLCRRLSKTCP >OGLUM02G37930.1 pep chromosome:ALNU02000000:2:36730168:36734134:1 gene:OGLUM02G37930 transcript:OGLUM02G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGHSNSSKSLERFISRRALQMGSSAPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEPGGATETDDLSVLQEKIEIATNSKDTNEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFRSNAKSDRAPYPPWIAGSDEENYPLTRQVQRDVWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRIWGRPWKYMQPTTEIDGRLVKNHRKMDRRWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >OGLUM02G37930.2 pep chromosome:ALNU02000000:2:36730559:36734134:1 gene:OGLUM02G37930 transcript:OGLUM02G37930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGHSNSSKSLERFISRRALQMGSSAPCKTWALGFFCGVCIVYLFGVALPPIQILMIRSVHPPVRRAILLNSTSTEPGGATETDDLSVLQEKIEIATNSKDTNEADKMHLYNAWSTLLDTTSDEVMKSSDVPRPPHLENCRLKWERNKKFDSYSDNGVFPPWTLWKGSLGLELFNQNYSDSEEWRQMFFRSNAKSDRAPYPPWIAGSDEENYPLTRQVQRDVWIHQHPPNCSDPSLRFLYADWERLPGFGIGAQLAGMSGLLAIAIKERRILVAGYYNRADHNGCKGLARSSWSCYFLPETSADCQKRALDLMQSKDSRENGIIKVKENYTSKQIWAGHIPRIWGRPWKYMQPTTEIDGRLVKNHRKMDRRWWIAQALRYLMRFQSEYMCRLLNVARHSAFGMQAAKMVLENVPDPPKPSISRTESDIERLVWSEHKPYIPGPLISMHEVIDETKLYPKWNFYFTNVTRQDVNVSMAMYEASLGRETSTNYPLVNFIMATEADFFIGALGSTWCYLIDGMRNTAGKVMSGYLSVNKDRFW >OGLUM02G37940.1 pep chromosome:ALNU02000000:2:36734708:36736728:1 gene:OGLUM02G37940 transcript:OGLUM02G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKDGLLRHGCDNLRLGPGMSHNRVEPTTMLPRNFEPTGAVPLKEGISLAPHFQRFLLNPISFYHGSSPRGLSPLGHHGMRTVRTRNGDRAFGGAVLGALEIRY >OGLUM02G37950.1 pep chromosome:ALNU02000000:2:36743293:36749152:1 gene:OGLUM02G37950 transcript:OGLUM02G37950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAAAAAAADHLLPPPHAAKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADHAAARRARGLARSRSMPPPPPSSSPPSSVHGSSGRYLLSGLSSPQSMSDGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAASLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >OGLUM02G37950.2 pep chromosome:ALNU02000000:2:36745691:36749152:1 gene:OGLUM02G37950 transcript:OGLUM02G37950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MEASVSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFMKHFTGNDRKVAMKYLKPQQPRNTHMITFLVCSRALLFHSHVSGIFTSTGNSAYMEIVYHVFSMFALISLHIFLYGCNLFMWKNTRINHNFIFDFSSNTALTHRDAFLMSASIMCTVVAALVINLFLKNAGVAYANALPGALLLLSTGVLFCPFDIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFTACYFMAGSFRTHPYETCTSGQQYKHLAYVISFLPYFWRALQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPFWVWMVIISSSGATIYQLYWDFVKDWGFLNPKSKNRWLRNELILKNKSIYYVSMMLNLALRLAWTESVMKIHIGKVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNVGKFRAVKTVPLPFRELETD >OGLUM02G37950.3 pep chromosome:ALNU02000000:2:36743293:36745519:1 gene:OGLUM02G37950 transcript:OGLUM02G37950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 1 [Source:Projected from Arabidopsis thaliana (AT3G23430) TAIR;Acc:AT3G23430] MVKFSREYEASIIPEWKAAFVDYKRLKKLIKRIKVTRRDDSFAAAAAAAADHLLPPPHAAKEAGGYGFSILDPVRAIAARFSAGQQPSASEDEECPDRGELVRSTDKHEREFMERADEELEKVNAFYTGQEAELLARGDALLEQLRILADVKRILADHAAARRARGLARSRSMPPPPPSSSPPSSVHGSSGRYLLSGLSSPQSMSDGSLELQQAQVSEGAAVADEVMAALERNGVSFVGLAGKKDGKTKDGSGKGRGGGGGGGGGVLQLPATVRIDIPATSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKIQHAEKNIRDAFMALYRGLELLKKFRHIFPLHLSFLPYLTS >OGLUM02G37960.1 pep chromosome:ALNU02000000:2:36749312:36752756:-1 gene:OGLUM02G37960 transcript:OGLUM02G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14310) TAIR;Acc:AT4G14310] MASRIKDRGKAAPAAAGSRPLTPKAFSASSSARRTPAAAAAGKENSASKPSKPTAAVRWSTSSIPRASRIPSSVESSKLVSTLRASSVMPGRASVGKEMEAEAGLRRSVSGGIRAAAPERARRSVGGVGGRVPDARRASSVPRADEIGRRDGFDARAKASDVTSGRREGFDGKAKQMHAVDRKRESFDAKAKQISGKRGSFDVNVTKQCDEIKGKREGFGTNAKKQCDGIDGDNEGFDVKARLGDEINKKKDGFDTKLVKEIHPKKVISSVTGSAEASSKPAPFPATEKDGDGGNNAVIPVFTVHVVDVDDVPCRAREEQKNTDEPKKQEEKSKLADKIRVFEKASASGGSVKSVSSLNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEITAIEKAISHVKDDNKIQLGTADNSECEISYAKKAAECAVTKPSDPKHAAKGMNTDELEARFFPHHKLLRDRKSSSATQQESSMAVKKDCNTDMELSSLEPQEDENSIAMEFLASLDGEESGFFKNRRAKNLEKTIICEAADVSSKTSGQGSSNNPVGPNHDKEIELLATEKLEEFDEQENKSSLILQEETEEFSSDQLLGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANREFKSEYKPPSVISNNMWGDCWLIRAPGVDGCSGRYVVAASAGNALDPGFCSWDYYSREAKAFHIEEISHASSVPSSRTVLGPLPNVGSSRSSSAISTVERQQWWYRPCGPLLLSAASKQKMVTAYDIRDGDVVMKWEVSNPVQGMEYSSPLQWRSRGKVVIAGTESIGLWDVNSLNPQPLLSVASAGKKLYCLHVNNTDAELGGGVRQRVSSCEVEGNDGVFSTQESVNVFDFRVPAGIGLKMARHGGTASSIFSRGDSVFIGSTEGRLQIKGGLKSRVQQYSLRKGKLVATYELPDFNAHFHHSSITQVWGNSNLVLAACGMGLFAFDAFKEDGQQTYSFDRGATLGVREAIGSDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >OGLUM02G37970.1 pep chromosome:ALNU02000000:2:36754252:36757704:-1 gene:OGLUM02G37970 transcript:OGLUM02G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G04470) TAIR;Acc:AT3G04470] MEDASKYAHSPAHLAVVRRDHASLRRLVAGLPRLPRAGEVATEEESIAGEAVADAVSAAIDRRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREDAIATIIARHYQPLAWAKWCRRLPRVLASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTEAEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGHWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMEGEEKNGRGTELDAELDEVLTAEERKQLDSALRMGNQEEEFEERCEEGDGGADHLDANGVAKDKKGWFGWGGKKGTKNDEKPSKANQGSKDESGDLGKGKEKNSSKKKKGASSGDSTKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPPGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKGKESEGSGSWYSWVRGGRGAQSSDSGDSRNWKDEVDPFQIPSDYTWVDANEKKRRMKAKKAKNRRGSTRKQSSKSTSSEGGHHPMMDGFEE >OGLUM02G37980.1 pep chromosome:ALNU02000000:2:36763650:36768017:1 gene:OGLUM02G37980 transcript:OGLUM02G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14330) TAIR;Acc:AT4G14330] MAPPTPSPRPGPPPTPQAAMTTPLKTPASKHRLHFPAMTPRNGGGGGAAAGGTEHPVEVIGRIRNLAAGAGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTIMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGGGGGGVSGGGGEGDGRGEDDAGFGMGLFVQVAVLEIYNEEIYDLLVGSGANAKGNAPKARLEVMGKKAKNATYISGNEAGKISREVAKVEKRRIVKSTLCNERSSRSHCMIILDVPSVGGRLMLVDMAGSENIEAAGQTGFEAKMQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRAAHAATPRDKMSSEESSTMLNSRIVAMNQFIYNLQKENKLREKERNEAQSVLRKKEEELAQLRAKLKLIEGQGAAAKEEEINSKVMEKTQSLRTELMKMEEKMLRQQQELLALQQRLKEVEREKPVQQDIIGGRLLARLSEMSARADQSMSMDMSIDFDMGDQPAAQDVKVIKEDTRKQGQIWSQANTAGSCTSAEEQEDDVVRLSGYPEKVVLSTVFEEGDEEEDKDSGVEEEVCKEVVEESYVMQQPLAEPEDPATRNNRIQNIFRLCGNHRELAKKVQSPAKKAFGDENNEPAKQTFGDENKQQPAKRVFGDENKDPSAWGAIEPPMCDVRVTDSPVSSQLSPIVCQVVDDAKLPVSEQLKSCNALEAADENKENNASGQDGLLEVYIKWESGHLIKGLKLLSNSCLSDLRKLLEAHFEEAGSKQQQQFTFLLLGDPSGAPVSREKEAGVPISKLPSCNNQPNSYLACLRAVKKQPATEQMPFSPLESKLNSTLNDVHLAALSPKVNPMSPNYIRELRA >OGLUM02G37990.1 pep chromosome:ALNU02000000:2:36769236:36773780:1 gene:OGLUM02G37990 transcript:OGLUM02G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDEGWRRSGIEVSALQFGYDGQPPLFARFNLRIAPGSRCLLIGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGDLSYLGGSWSRAIGSAGDVPLQGDFSAEHMIFGGTVLLLDEITVDLDVVTRMDLLDFFKEECEQREATMVYAPHIFDGLESWATDIAYIQEGELRKSAKYSDVEELKSAKNLLSVVESWLRSETKLPKKEHPRPETQPRRSSPFDASPFRSSRHMAYYR >OGLUM02G38000.1 pep chromosome:ALNU02000000:2:36776265:36790359:1 gene:OGLUM02G38000 transcript:OGLUM02G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSKPLMRPSERTSGAAGPSMEKMEKAPGEASGRRNPTGSLNQSDNYAQRPSMSLKEIHRPVRRKDRGKTPYILPYRQCIKESGCVKQQARFIGGTNGAAIQPDKQVVLKQWKPSFQHAEAVARGSRDEPLHRSLELLSLGGEVDKKDLPCVKLPVSLLRQRGLSVIVALANLIPEDDAVDELDSYMYQTGLKYNVTTGDEVEDMFALLSEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLDEMIRRGIVAIIVKVAAMGLKPSAHLGKELAELKCHLLQLNESYGINVCGEGGEYETLTLDCPLFRNARIVLDDFEVILHSPDSIAPVGILHPLKFHLEHKPNSFGTVGDSAIAQENSSHLYEVDGAIAHSDVEKKQETLSPVTTVDACTNIDLCISKTGKKLFSIGCWIQDPCGTSEGLKTDLVAVLSRIENQLKEEGLGWMNVLYVHLFISSMKEFGLANEVYVSFITEQKCPLGVPSRSTVELPLVQVGLGHAYVEVLVTKEQVKRVLHVQSISCWAPSCIGPYSQATLHGEILYMAGQLGLDPPTMKLCPGGPTAELEFALRNSEAVANAFGCSIFSSAIHFLVYCSAHLTSSEKEQVEHTLRSSYITSLDCSNTGSYPTILYVFASDLPKGAYVEIKPILYVPSPTNDDGVPTREQEAGGSLPASSEAFSAWSAQYSDLDDSCCQVHTIGGKICSAVVSVTNDIALKICSTTEQLYHSEEHLKALARFCAFQLAKILIDNGFSWDNLTMLRFYYSVEHPVTADVMSRVFSEAFTELEEGGVGSCTPDGVPIFNIVPVSASGCFTSLSDIISCELLASKFRKRNIRPAQARTVHLSDEALIQTKQQQLLRFFVSPSLSSRPPKFSRYTTFRSLSSLSLSLSTAKDLNHREGTSSNKSGKEGRKERKGGSEEQILIRPHCALPPVRVVPADHQQRPASSRLDPSCLFGRGSIWAVKMNRMMFMACCYNDPDMLIDPDTVYPIRPECREDAAKTRFKPRPGLTLSPRRWKLLHNEEGVLDIAGMIKRVQRGGTHPNIKGEVWEFLLGCYDPKSNSEQKSQLRQQRRLEYEKLKTKCQEMDTTVGSGRVITMPVITEDGQPIQDPNSVDAEQQASDTPLPKEVIQWKLTLHQIGLDVNRTDRQLVYYESQENLARLWDILAVYSWVDKDIGYCQGMSDLCSPMSILLEHEADAFWCFERLMRRVRGNFVSSSTSIGVRSQLTILSSVMKAVDPKLHEHLENLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPGLFSMLESDNSTSQANTKDENALKQCGKFEQKNLQAAKKEEQIPLSVFIVASVIEARNKQILTDAKGLDDVVKILNDITGSLDAKKACRGALKIHERYLTTLPVD >OGLUM02G38010.1 pep chromosome:ALNU02000000:2:36791526:36792347:1 gene:OGLUM02G38010 transcript:OGLUM02G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRIB domain-containing protein RIC5 [Source:Projected from Arabidopsis thaliana (AT3G23380) UniProtKB/Swiss-Prot;Acc:F4J424] MKGLLKGLRYISQIFDPSVKEPEMQIGNPTDVKHVAHIGWDNASVTAPSWVIAAALLLPPDRAISHVSNCSDHWSQMNEFKPTAGGTEGGEAEENQGGGGGRADQAERPRRAGRGKERRDGGSEGSRRPPKTEVGENNCEGEAAAGAAPKQRRRKARASGGTSSGRSKSSSVGGGGGPSAAARPAAAGDDDGDKCF >OGLUM02G38020.1 pep chromosome:ALNU02000000:2:36818831:36821736:1 gene:OGLUM02G38020 transcript:OGLUM02G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVLNQHRFAGVGAAAGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENQQEAVIAMARSAAAIKAGGCYSNSSSSHDPAAAGHHSPPPFPASLAACSSSHHYSSHPPPPPDHHHHHHMPVTGGGGGSLNEFIPTTSMALYSSIFDFSQHLDGGAVAASASASAAGSRVDGGEQCGLMELGLEEHYNYNGLMPM >OGLUM02G38020.2 pep chromosome:ALNU02000000:2:36818679:36821736:1 gene:OGLUM02G38020 transcript:OGLUM02G38020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIELTLPPGFRFYPSDEELVCHYLHNKVLNQHRFAGVGAAAGGTMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIHNPKLHAAAAAHRRASIVGMRKTLVFYRGRAPNGVKTNWVMHEFRMENPHTPPKEDWVLCRVFYKKKAETETESSYSMENQQEAVIAMARSAAAIKAGGCYSNSSSSHDPAAAGHHSPPPFPASLAACSSSHHYSSHPPPPPDHHHHHHMPVTGGGGGSLNEFIPTTSMALYSSIFDFSQHLDGGAVAASASASAAGSRVDGGEQCGLMELGLEEHYNYNGLMPM >OGLUM02G38030.1 pep chromosome:ALNU02000000:2:36833660:36834406:-1 gene:OGLUM02G38030 transcript:OGLUM02G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHHHHHHHHHHHMMSGGGQDPAAGDGGAGGATQDSFFLGPAAAAMFSGAGSSSSGAGTSAGGGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREVRETQARARGISYEKKKRKKPSSAGAGAGPSSEGSPPPPGGSASGGGDTSASPQFIIP >OGLUM02G38040.1 pep chromosome:ALNU02000000:2:36848676:36854887:-1 gene:OGLUM02G38040 transcript:OGLUM02G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARTRAIPAGPQMRELGPTTRVLFSKGGPAISVLWGKQFTPAGNINSRDKSKSFACVLHPAANQSLVIHARGVSWPWPGPSPLDFWEPSDLSDWTEKKLEDGEGVYLGAEVAVKIPLSVDVEIHREQPVHVQGRSLVADRRPACRIPGRCLNPGPRRPAYRRWGTRPRARVPSPTYTDRPSPVCVHAWPRPRPRCTYVSIYSIVSKYFTPLTFVNMFVRLSYLKTFIKYVKLYAYIKVYLTMNQIINNYLNFLNKTNG >OGLUM02G38050.1 pep chromosome:ALNU02000000:2:36856735:36861454:1 gene:OGLUM02G38050 transcript:OGLUM02G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIHFQAIALAAVLLICRLSPVTPAASAQQLPGCPDKCGDISVPYPFGIGARCARGQGFELDCIHDSPDSPPRLVTLLQFEKQQQLVSLSLADGEARVLLKPESKCYPPPEERGSSDVPTSSYTSINGSTTYRYSPEKNRLVALGCPNLGYIVDGSGNYVSGCMSACRRPSLGNDTVPRLPGRCTGERCCQSIIPPTLNFYVPRMFNFENGTAAVDNELRGGTTPCRYVFLVEHTWINTVYDDRKDFNRSDGDFEPVPVVLDWAIRNVYNCSAAKRNATDYACRSTNSKCFDTIDGQGYRCNCSQGYEGNPYLYGGCTDINECLRPEKYGCYGDCTNMLGSHTCVCPPGTSGNWTDRNGCRPKDNFPLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKVIRTKQKFFEHNGGVILQQQMHSGGGTHGFRIFSTEELKRATHNFASDRVLGRGGHGVVYKGVLEDKTVVAIKKSKMMEEAETKEFAREMFILSQINHRNVVKLLGCYLEVEVPMLVYEFVSNGTLYHYIHGKEPKADIPLDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKFNAKVSDFGASKLAPTDKAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVMLELLTRKKALYLDRPEENRSLVSCFTTAMKVGRHQELLNSQVRNEMSAEMLEEITYLLMRCISMNGEERPTMKEVAERLEMLRRYQQHPWAEADDNAEEIESLLGREQQNTNYQLEQQNALYLEEGRNYTFSM >OGLUM02G38060.1 pep chromosome:ALNU02000000:2:36879191:36888156:1 gene:OGLUM02G38060 transcript:OGLUM02G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNFEANNNNGEKQLVCVTGAGGFIGSWVVKELLIRGYHVRGTARDPADSKNAHLLELEGADQRLSLCRADVLDAASLRAAFSGCHGVFHVASPVSNDPDLVPVAVEGTRNVINAAADMGVRRVVFTSSYGAVHMNPSRSPDAVLDETCWSDYEFCKQTDNLYCCAKMMAEMTATEEAAKRGLELAVVVPSMTMGPMLQQTLNFSSNHVARYLMGTKKSYPNAVAAYVDVRDVARAHVLVYERPDARGRYLCIGTVLHRAELLRMLRDLFPQYPATAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLRKSLHEAVLCMQQKSHLPLIYPVPKLSSTVPRCRSISDTTIKLFNMSCNSAEVAANDGSSNGGEKQQQEEEEVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPSKNAHLLALDGAGERLTLCRADVLDCESLRAAFAGCHGVFHVASPVSNDPNLVPIAVDGTRNVMNAGADMGVRRVVFTSSYGAVHMNPNRSPDTVLDETCWSDPKFCRQTDVYCYAKTMAEKAAEEEAAKRGVQLAVVLPCVTVGPILQPAINTSINHVVRYLTGAAPTYPNAVAAYVDVRDVARAHALVYERPDASGRYLCIGTVLHRVHLLQMLKELFPQYPVTSKCKDDGNPMKEPYRFSN >OGLUM02G38060.2 pep chromosome:ALNU02000000:2:36887787:36892872:1 gene:OGLUM02G38060 transcript:OGLUM02G38060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCLCDAVVCMQQKGHLPLVGTFRASTMSSNNSMEANNGNNNGDDEKKQEQVVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPRKNAHLLDLEGAKERLTLCRADVLDFASLRAAFAGCHGVFHIASPVSKDPNLVPVAIEGTRNVMKAAADMGVRRVVFTSSYGAVHMNPNRSPDAVLDESCWSDPEFCQREDIYCYAKMMAEKTATEEASRRRLQLAVVVPCVTVGPILQPSVNFSCHHVVRYLTGAAATYPNAVAAYADVRDVARAHALVYEHHGARGRYLCIGTVIHRAELLRMLKELFPQYPVTSKCEDEGNQMVKPYKFSNQRLRDLGLEFTPLRKSLHEAIECLQRKGHLPVVTAAQQRACL >OGLUM02G38070.1 pep chromosome:ALNU02000000:2:36907269:36908787:1 gene:OGLUM02G38070 transcript:OGLUM02G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISKANDNNGDQKRQQQQPQQLVCVTGAGGFIGSWVVRELLLRGYRVRATVRDPADRKNAHLLALEGADERLSLRRADVLDFDGLLAVFAGCHGVFHVACPLSNRDPELMAVAVEGTRNVMKAAADMGVRRVVFTSSYGTVHMNPNRSPDAVLDETCWSDPEFCRQKDMYCYAKTMAEMAATEEAAKRGLELAVVVPSMTMGPMLQRALNLSSTHVANYLTGAKKSYPNAVAAYVDVRDVARAHALVYERHDARGRYLCIGAVLHRAQLLQMLMDLFPQYTIAAKCDDKGKPMVKPYEFSNQRLKDLGLEFTPLRKSLYDAVMCMQRNGHLPVVLP >OGLUM02G38080.1 pep chromosome:ALNU02000000:2:36910835:36912480:1 gene:OGLUM02G38080 transcript:OGLUM02G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLAMGCSRRIHVVVIDTGDRLSSLPDDILHTIMSFLPAWQAVQTCVLSRRWERLWCSMPCLNIDQQEFEDCGRDREGGGFEEFVNNLLMFHSAPIVGYFHVTHNYDYKVVDRWIRRGIKCCPAVVEICNSSNAHMYELPNLGSGARRLKKLHLVVIALVKGFTQHLPSACPVLEDLELDKCCLDHPEITSLSLKNLILIDCTTYCGKVLTITTPALSVLHGVLDIFPTFYNLRTLLFNGRDLSDDFQILGCFLNNAPRLEKLTLQYCKLPESSRKRKRTENPKRITIKCHDTLTLRCPNLKLTEIMYGEEDVHQLFGLLSGIWRNLQKTTIVLTKA >OGLUM02G38090.1 pep chromosome:ALNU02000000:2:36913559:36923768:1 gene:OGLUM02G38090 transcript:OGLUM02G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEECFVFCCAHAQQVKEHLGKAGWTGKPAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDTLQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVVGNGTSVGENCKISNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQRAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQWLKEAEEEDEEEE >OGLUM02G38090.2 pep chromosome:ALNU02000000:2:36913559:36923768:1 gene:OGLUM02G38090 transcript:OGLUM02G38090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKSRGGGGGAAAGEDPEDLSRSPLQAVLLADSFTLKFRPITLERPKVLLPLVNVPMIEYTLSWLESAGVEECFVFCCAHAQQVKEHLGKAGWTGKPAAREMTVTAVESHDAISAGDALRVMYGRGVIHGDFVLISGDTISNMNLKDTLQEHKDRRKKDPLAVMTMVIKHSKPSILTNQTRLGNDEIVMAIDPETKELLYYEDRADVSNLYVTIDKDILASNPTLQLRNNMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHEIRSSYAARIDNFRSYDTVSKDIIQRWTYPMVPDVLSFGDRQEIKLHRQGIYKASDVTLSHSAQIGANSVVGNGTSVGENCKISNSVIGQGCNIGKNVLIHGSYIWDNVTIEDGCKVSNSLVCDGVHLGAGAIVEPGCILSFKVEVGKNVVVPAYSKVALLPQPSNEDSDEELEYADTNSGVTDSPPFSSMRSADHPTVSDDDDLEASETGTCGVVGYVWENVDAGIQEEWRQSIAPIPKDKLEELQRAASFDDDDDGSEDDFKNRPTVLDQDDDSDVSAVEDDDYSKFEKEVEETFQRALDGIHQDNLILEINALRLSYSLQHADCAGALFHSVMRSALVAAQSTNGNLLKTTAEALGKWKDLLRNYTKSVDEEMEILLKFEEMCQENTKEFSPLFSKILPYLYDKEVVSEDAILRWAEEKENADESDKVFVKQSEAFIQWLKEAEEEDEEEE >OGLUM02G38100.1 pep chromosome:ALNU02000000:2:36926791:36955420:1 gene:OGLUM02G38100 transcript:OGLUM02G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSGVVPEAQSSALHARVIVDESSMEEEEAASACEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTSMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNSLACSHEKPSYSLLTTSRSSKEEIFLADGLRSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSQCTTHQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHSEDCNGDVCFSLLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMEMERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSPAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARFEEGAQLIDVCWMEIRCNIDSKMLSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIAERTWQMSFLRSTTVLDDGLRAFYDQRERLGRRINCGYKKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKSAGHYLDLYTKILALDPSHRLQIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMEVELGEFFNEEGEDGNVNIRIFGKGPNWKKGLISMWLDREKGAKCYMLSARALQISWGDSPQYWSWIPLADSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEEGDDGEVCISFMETKGGHWKSGLVVQGIEIRPKKSPPLNSLACSHEKPSCSTLTTLQSCMEEIFLSDGLTSMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEIARLPVELLSAVISRAAPRPRDACRASAVSPAFRAAADSEDVWSRFLPRDVPDLADGELSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRVFYSTTAHSHTLSDDIFLLCFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRRRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >OGLUM02G38100.2 pep chromosome:ALNU02000000:2:36926791:36955420:1 gene:OGLUM02G38100 transcript:OGLUM02G38100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSGVVPEAQSSALHARVIVDESSMEEEEAASACEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTSMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNSLACSHEKPSYSLLTTSRSSKEEIFLADGLRSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSQCTTHQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHSEDCNGDVCFSLLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMEMERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSPAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARFEEGAQLIDVCWMEIRCNIDSKMLSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIAERTWQMSFLRSTTVLDDGLRAFYDQRERLGRRINCGYKKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKGMWLDRETGAKCYVLSARALVIIWTCTPRYWRWIPLTDSRFKEGAELLSVCWLEIRGKLPGKKLSQNTNYAAYLVYKIADRSYGLDFPFQEASVSIGGSITARQRIEPRAVVLAEDIENPQKRADGWMELKLGELYNEEGDDGEVCISFMETKGGHWKSGLVVQGIEIRPKKSPPLNSLACSHEKPSCSTLTTLQSCMEEIFLSDGLTSMWLDRETGFKCYMLSARALQIVNLTHSWRWISLTGSSRFSEVVEFLKGYRVEVCGKIPCKMLSGNSNYAAYIVFVVAEDSCGLASVWVATVGVGGRQSTRQVCLDSSNRNDYYYEGEIEVPQDGSVILPQERADGWMELELGEFYNQEGNNQGEIARLPVELLSAVISRAAPRPRDACRASAVSPAFRAAADSEDVWSRFLPRDVPDLADGELSPPPPSNKALFLRLSGSDGNVPLLLPDRLRGIWFDRETGAKCYVLSARTLVIKCSETSDYRRWIPLADSRFAEAVEFMDAPPRMEIRSKIDSMVLTPNSTYAAFMVFKIADGLYELDTSPHDATVSIGENESRREVAFTGRYPERRADGWMEVELGEFFNEDGEDGAVYMRLMSEGPNRMRVFYSTTAHSHTLSDDIFLLCFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRRRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >OGLUM02G38100.3 pep chromosome:ALNU02000000:2:36926791:36955420:1 gene:OGLUM02G38100 transcript:OGLUM02G38100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRSGVVPEAQSSALHARVIVDESSMEEEEAASACEIARLPEELLVAALSLTSPRDACRAAAVCRDFRAAADSDAVWSRFLPRDLPRLADGELSPPPPSTKGLFLRLSAAPLLLPHELTSMWLEREKGGKFKEGAELLSVCWLEIHGKILSKMLSRNTNYAAYLVYRIADRSYGLDFPFQEASVSIGGSTTTRQVGSVERRLKRRCSHALVLAEDIEHPQKRSDGWMELKLGELYNEEGDDGEVCISFRETEGHWKRGLVVQGIEIRPKKTLTSNSLACSHEKPSYSLLTTSRSSKEEIFLADGLRSMWLDMETGFKCYMLSARALQLANSTDTWRLISLTGASRFSEVIELPACYELVICGKIPCKMLSGNTNYAAYIVFVVVEDSFGLATILDASVSVGGSQCTTHQVCFDSTSSLSADEHFVEDNIEVPQDGSVILPQERDDGWMELEVGEFFHSEDCNGDVCFSLLEAEDGRWLSKRGLVVQGIEIRPKKSSCRIVFEREREMEMERGRRTMEEAWIDRLPQDLLQRVIPLETPRDACRAAAVSPAFRAVADSDVVWGKFQPDDSSLQLADGELFPPPRSKKERFLRLSAGLLLLPDRRRGMWLDRGTGARFEEGAQLIDVCWMEIRCNIDSKMLSPNSTYAAFMVFKIAEGFYGLDTPLQEGTVSLGGRESRREVAFTSIDPRPPQGSAAYPQKRADGWMEVELGEFFNENGEDGEVGISLMSKGPNWKRGLIAERTWQMSFLRSTTVLDDGLRAFYDQRERLGRRINCGYKKPDSSKGAEASPSMEEGEACDDCECEIARLPEELLSAAISLTAPRDAFRAAAVSRAFRAAADSDAVWASFLPRDLPDLADGELSPAPPSKKDLFLRLSAGHYHLLPDRLKGMWLDRETGAKCYVLSARALVIIWTCTPRYWRWIPLTDSRFTEAAELLSVCWLEILGNIDSRMLSPNSTYAAVLVFKIAEEFYQLDTVDATVNLGGSKSSREVALTRSRRRPEEEISAVLFPRTRADGWMERIEPRAVVLAEDIENPQKRADGWMELKLGELYNEEGDDGEVCISFMETKGGHWKSGLVVQVFYSTTAHSHTLSDDIFLLCFFFSFAIKPDSRTEGSMEEEGEGLCEIARLPEELLSAAISRASPRDACHAAAVSPAFRAAADSDAVWASFLPRDLPDLADGELSPAPASKKELFLRLSDGPYLLSDRLMSMWLDRETGAKCYMLSARSLVIIWGDTPHYWRWIPLTDSRFAEGAELIDVCWLEIRGRIHSKMLSPNSTYAAYMVFKIADEFYGLDAPFQEASVSLGGRGSTKIVCVQSYDSEDEEVPENYWPMSIGPLLRRRARRRDRRLVLDEGVTVPQKRTDEWMELEMGEFINEEGEDGEVCFSLMETKGGNWKRGLIVQGIEIRLKKSG >OGLUM02G38100.4 pep chromosome:ALNU02000000:2:36924261:36926987:1 gene:OGLUM02G38100 transcript:OGLUM02G38100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEGAAAAEIYRLPEECVAYAISMTTPGDACHSSAVSPAFRAAADSDAVWDSFLPPDHAAILARADDGIAAAGECASKKDIFARLCGRPVLLDDATMSFGLDRRSGAKCVMLSARALSIAWGDDPSRWRWTPGLPGSRFPEVAELLDVCWLEITGKLQLSLLSPATTYAAYLVYSFADYTTGLECNIGMPTPMATVTVVSGAGGTTSKPPAPPATTTTTEQHKICLQHMGEEETIMHRQELVIRLRKAFGRTVRFDPDMDIRCPRMRDGGGGWREVELGEFAVPAAGGEDGAVEVSFKEETGRWKTGLIVQGIELRPKCTSKLIKLDS >OGLUM02G38110.1 pep chromosome:ALNU02000000:2:36956544:36963254:1 gene:OGLUM02G38110 transcript:OGLUM02G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MARKMLKDEEVEVAVADGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >OGLUM02G38110.2 pep chromosome:ALNU02000000:2:36956554:36963254:1 gene:OGLUM02G38110 transcript:OGLUM02G38110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MARKMLKDEEVEVAVADGGSYDYDLFVIGAGSGGVRGSRTSASFGAKVAICELPFHPISSDWQGGHGGTCVIRGCVPKKILVYGSSFRGEFEDAKNFGWEINGDINFNWKKLLENKTQEIVRLNGVYQRILGNSGVTMIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSKTVFGGQPTKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >OGLUM02G38110.3 pep chromosome:ALNU02000000:2:36956544:36963254:1 gene:OGLUM02G38110 transcript:OGLUM02G38110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione-disulfide reductase [Source:Projected from Arabidopsis thaliana (AT3G24170) TAIR;Acc:AT3G24170] MIEGAGSLVDAHTVEVTKPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWKGMGAHVDLFYRKELPLRGFDDEMRTVVASNLEGRGIRLHPGTNLSELSKTADGIKVVTDKGEEIIADVVLFATGRTPNSQRLNLEAAGVEVDNIGAIKVDDYSRTSVPNIWAVGDVTNRINLTPVALMEATCFSALEEAKSDVLVYTSSFNPMKNSISKRQEKTVMKLVVDSETDKVLGASMCGPDAPEIIQGMAVALKCGATKATFDSTVGIHPSAAEEFVTMRTLTRRVSPSSKPKTNL >OGLUM02G38120.1 pep chromosome:ALNU02000000:2:36964261:36968850:1 gene:OGLUM02G38120 transcript:OGLUM02G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPQADLADLASAADKLIKDDYCSRDDDEDDEFDEMHALSQIETRRSVTLSGGRSGASLLPGCVLALSR >OGLUM02G38130.1 pep chromosome:ALNU02000000:2:36972313:36973704:1 gene:OGLUM02G38130 transcript:OGLUM02G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z010] MDLLPLVTLLLLAHAAAWVAWQAAARRRRATCYLLDYACHKPSDDRKVTTELAGAIIERNKRLGLPEYRFLLKVIVNSGIGEHTYSPRNVLDAREDCPTLRDALDEMDDFFDDAVAAVLARAAVSPRDVDLLVINVGSFSPSPSLADRVVRRFGLRDDVMAYNLSGMGCSAGLVSVDLARNVMLTRPRTMALVLTSESCAPNWYTGTDKSMMLGNCLFRCGGAAALLTNDPAFRSRAKMELRCLVRAHIGAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTENLQRLAPRILPAGELARFAARLLLRKLLRRKAAGGAAAKINFKTGVDHFCLHPGGTAVIEAVRKSLGLDSYDVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLNAGDRVLMVTFGSGFKCNSSYWVVTKDLADAGAWEDCIHDYPPANLVNPYMEKFGWVNDLPSQGQGGAFPFF >OGLUM02G38140.1 pep chromosome:ALNU02000000:2:36979073:36979434:-1 gene:OGLUM02G38140 transcript:OGLUM02G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRAPFGLALVAVVAALLLVVSSCHASREHPDIRNTMAVESSKTVVILDDPKCEVMEKCDTKGCTDYCIGIGLGQNNGFCTFHDLQFYCCCPID >OGLUM02G38150.1 pep chromosome:ALNU02000000:2:36980247:36989648:-1 gene:OGLUM02G38150 transcript:OGLUM02G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQSTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLIQHRHAQLQRTNASHPSLNGPINTLNSDGILGHSTASVLAAKMYEERLKHPQSLDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTMNIKSEGNMGVAQRSLPMDPSSLYGQGIIQPKPGLGGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLSNSSNYGDLDPRRYTALTRGGLNGKDGQPAGTDGCISSPMQSSSPKVRSDQEYLIKVQQTSSQQPQEQQQQSQQQQMQQSNRKRKQPTSSGAANSTGTGNTVGPSTNSPPSTPSTHTPGDGLGMPGNMRHVPKNLVMYGADGTGLATSSNQMDDLEPFGDVGSLEDNVESFLANDDGDARDIFAALKRSPAEPNPAASKDGKILASAGHEKKAVLWNMDTFQSQYTSEEHSLIITDVRFRPNSSQLATSSFDRTIKLWNAADPGFCLHTFVGHNVQVTSLDFHPKKTDLLCSCDGNGEIRYWNLTQLSCMRAMKGGTAQVRFQPNTGQFLAAAAETMVAIFDVETHSKKYTLQGHNTDVQSVCWDSSGEYLASVSQDLVKVWSISSGECIHEVSSNGNKFHSCVFHPSYANLLVIGGYQSLELWNMVKNQSMTIQAHEGLIAALAQSPVNGMVASASHDNSVKLWK >OGLUM02G38160.1 pep chromosome:ALNU02000000:2:37011745:37012696:-1 gene:OGLUM02G38160 transcript:OGLUM02G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGYKVVLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSLAAGRTPYGRPVRVVEMGETHIPREVFEDYLRDISPRYTAETYRLLSHNCNNFSNEVAQFLVGAGIPDYILNLPAEVMSSPMGPLIMPMIQNLESTLRTNAAPQATQFVPTSVPPPPPPQNKPGEGSSSSKQEDKAAKAKQGSAADPLGGARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDATMQQS >OGLUM02G38170.1 pep chromosome:ALNU02000000:2:37014656:37020247:-1 gene:OGLUM02G38170 transcript:OGLUM02G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid sterol acyl transferase 1 [Source:Projected from Arabidopsis thaliana (AT1G04010) TAIR;Acc:AT1G04010] MPRRRLRRGDLACSVAVAVAVAAVAVAAVGAVGGGDGGADFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFETALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIRWLDEHIHAYFAVGAPLLGSTEAVKAALSGATFGLPVSEGTARLMFNAFGSSLWLMPFSEYCKADNIYWKHFFEGKGGCHHRQQCDEMEYKSEFSGWPTNLVSIEVPTVRDTEAYPSIMDTTENITSSMECGKPTLLSFSAREVSDGTLFKTIKDWDPQSIGLIHQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGLDSKTEVGYYFAPSGKPYPDNWIITDIIYEFEGSLLSRQNKIVTQFILSRSGNSVTGKPNNSSGDGTVSYNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTKMNVDHHVGQGILPNMTRTPHVKYITYFEDAESIPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPDAKSKFVTKDKLMDTD >OGLUM02G38180.1 pep chromosome:ALNU02000000:2:37021717:37025606:-1 gene:OGLUM02G38180 transcript:OGLUM02G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGPLTQWPWHNLGNYKYALVAPSAAYSTYRFVTASSAAERDLLNFMVFPMLLLRLLYGQLWITVSRHQTARSKHKIVNKSLDFEQIDRERNWDDQIILTALVFYLVSATMPQAQVAPWWSTKGMVVTAVLHAGPVEFLYYWLHRALHHHWLYARYHSHHHASIVTEPITSVIHPFAEEVVYFVLLAIPILSTVATGTVSVVTANGYLVYIDFMNYLGHCNFELVPKCLFHVFPPLKYLLYTPSFHSLHHTQFRTNYSLFMPVYDYIYGTTDKSSDELYERTLQGRDEAAWRPDVVHLTHLTAPESVFHNRLGFAAVASNPLGAAASGHLLRAASAVASPLLSLFASTFRSEANRLDKLNIETWVIPRFTSHYTSKSDGYKVSRLIEKAVSDAEASGARVLTLGLLNQGYDLNRNGELYVVRKPSLKTKIVDGTSLAVAAVLNMIPQGTKDVLLLGNANKISLVLTLSLCKREIQVWLVGDGVTDEEQMKAQKGSHFVPYSQFPPNKARNDCVYHCTPALLVPESFENLHVCENWLPRRVMSAWRAAGIVHALEKWDGHECGGRVTGVQKAWSAALARGFRPYDHHHHPGITHDGRGGL >OGLUM02G38190.1 pep chromosome:ALNU02000000:2:37042677:37043462:1 gene:OGLUM02G38190 transcript:OGLUM02G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAGDAAACPAYPWPSDGAQRGRKVFMQSDRTACHGMFSSNAGLISDDDAAWEPKVAEIVVVEEAHQPVAAAATLRGGAYYPAPDLTFIAKGLRGNNLYSGGGASEAARMLADAAAACQELKKRALASPVWL >OGLUM02G38200.1 pep chromosome:ALNU02000000:2:37043661:37045718:1 gene:OGLUM02G38200 transcript:OGLUM02G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVSSTRVSSARAPNSISGGCNTLCKGENGFATIPCRIRIAGRGPWIRYHPRQHDAIHNRSSSLSAERGVGPLRCFTVDHTRPLDQVASLLAAALSSESMAATSGDGGAAACPAYPWPNDGAQRGRKVFMQSDCAACHTSLPYAGLSDDGARARAAAVEPKAAEIVVVEEARPPAAETVNGGANSPDLILITKGLRGNLYATAAPRMLTGAAAACQELKKRAMASPVWL >OGLUM02G38210.1 pep chromosome:ALNU02000000:2:37045788:37053001:-1 gene:OGLUM02G38210 transcript:OGLUM02G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLGLVRGFVDVLVLAGGRTSSGAAATWRSGDVKKALQWALFFEEVFKNLRDDGQYDESASELDAALVQLASSPNFPKGIEDIRAKTLAMARELVVKHFLKTTNAENLGAVLQAVVEMDMDGISASGECNVCQEYVQSILDMNLPSLMRTKNARDVGHSTSSDEFCEESLFTGNSQILLKELQKKLDSGSCISLAERELNTLLKSMKKDSFDSAGSTLCTSATAQKTQIIDKFILWKQWKAKCLSYLLDERTIRIMSGTNMIFNAPKEQWMGLFEPLKVSADSSQSGIIEVMELCLLGLVARQWNSLIESIMSHTFSSFPISKQYADLHQLLQGTTQDECQDKLLGFKEMDICEYARQSLESEPYILWLLPPVLTAAAMPPRSSLFKIYLVEIDKQLGEAASKDRTVRFLKGFNVSTHSMFKELNCQFSSGSSHGPQFKTVQEICTSNFTSDLYINNSESPNGKLSSESYAIDTSGCDSSLPPTQSLYKGNPSSLRMVYPKVSEQNSWSQEPLPGPFVCPTSVDFFDQQDMTIFDQQIQDNIAASPSTNLAKQNEWFSSGTSLQYLESSVSAGSVLKAVDATSTTPSNYLHCHAQRNTSNPPNFNEICSEATPKAVQKVMKVDGLTIYHVKSHLQKYRTVHHRPQLSDGRGMETTCEGLKVQIGLQKQLHEQLEIQRKLQLQVEEHSKYLAMIIEKQSESLRQLGALPRSLDAPTQVLDNRETCEGQTGDADSAEQKPEK >OGLUM02G38220.1 pep chromosome:ALNU02000000:2:37053257:37057850:1 gene:OGLUM02G38220 transcript:OGLUM02G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWYSFIYPSVNNGVYRCGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >OGLUM02G38220.2 pep chromosome:ALNU02000000:2:37056029:37057850:1 gene:OGLUM02G38220 transcript:OGLUM02G38220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G44000) TAIR;Acc:AT5G44000] MPMWSQPPPPPSSLQLRRPPPPLPHRPRRLRSRLSPIAASQDPLTALSRLLWGRALPPSQLVLAVRHGWTAAWGLLMRQLAPSDPATGAFTRTPSRFPAVVGTPSARLHLYVGLPCPWAHRALLVRALLGLERRLPLSVAVPGDDGAWSFTPDSPDALYGKRKLREVYASARRGGFEGRASVPLLWDAERREVVCNESIEIAKFLCDLAAADGSAGGLDLWPPELRQDIDRWCGFAQSQEAYDAAAGELFAALDRLEDHLSGSRYLCGDTLTLADVCLFTTLVRFDLVYHSLFRCTRRKLVEYASLHAYTRDIYQMPGVAGTCDMAAIADGYFGALFPLNPGGILPLVPASCSPEALLEPHGREALSSSAAADAGGGGNGRQLEATSASN >OGLUM02G38230.1 pep chromosome:ALNU02000000:2:37058374:37060221:-1 gene:OGLUM02G38230 transcript:OGLUM02G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAHSFLFRPIAGGAAPASSAACSGDQGGHGILRGSVEGRTSLGRSRNFVAVENLRRYWRAAMEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKAMQKGFQRTLTVLSRIRNALSKDGLADGGSPNVMLLCGSDLLESFSTPGVWIPDQVRTICKDFGVICIRREGKDVEKIISSSEILNECRDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >OGLUM02G38230.2 pep chromosome:ALNU02000000:2:37058374:37060221:-1 gene:OGLUM02G38230 transcript:OGLUM02G38230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRAHSFLFRPIAGGAAPASSAACSGDQGGHGILRGSVEGRTSLGRSRNFVAVENLRRYWRAAMEELELPLPTEKLAVDPGREGGKRGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYSVLGGYMSPVNDAYKKKAMQKGFQRTLTVLSRIRNALSKDGLADGGSPNVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRECIKKCLSIKYLVCDEVIQYIGEHKLYKEADGSDTRK >OGLUM02G38240.1 pep chromosome:ALNU02000000:2:37060351:37062436:1 gene:OGLUM02G38240 transcript:OGLUM02G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z023] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPKRFKSNFREGTEAAPRKKGAAASN >OGLUM02G38250.1 pep chromosome:ALNU02000000:2:37062788:37063627:1 gene:OGLUM02G38250 transcript:OGLUM02G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGGRHRDDCSASPPPSTLLQLLEVTVISAQDLHRRLGRRVRAAYAVAWADAAHKLRTGVDLAGGADPTWNDRFLFRVDDAFLRSDTAAVTVEVRAPRRFGGDAVLGVTRIVVSTFVGSASSSARGTTGRQVAALQLRRPRSLRPQGIVNVAVAVLDATDARAVPLCSSPDSPDAFSVKDLLVRRPGSLARIVEVSETEEADDEPAAAAAAVVEHSGAMDTRGSAVEQRKLELLLEKWKADLSPDQQKEKANSRRSSGPRRHRRRSSCFGGGSAEWER >OGLUM02G38260.1 pep chromosome:ALNU02000000:2:37064480:37067161:1 gene:OGLUM02G38260 transcript:OGLUM02G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G60000) TAIR;Acc:AT1G60000] MANSSCLSTSPAALRLARPKLPDSAMIMQLQLQLQHAPLFPRPPAARAHHHHHQRRLLLAVSDHVAAKPVAVPVGRMRMRAATAMVSQEEAAATAVEEQQEEEVEEEQLQEEDGAAVQKEEQGGVLEGSSGGGEAEAEAEAAGITTKLYFGNLPYNCDSAQLAGIVQDYATPEMVEVLYDRATGRSRGFAFVTMSTIEDCEQVIKNLDGSLYSGRTMRVNFADKPKPKLPLYPETEHKLFVGNLSWTVTSEMLTEMFQKCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGTELEGREIRVNLALGKK >OGLUM02G38270.1 pep chromosome:ALNU02000000:2:37067687:37068166:1 gene:OGLUM02G38270 transcript:OGLUM02G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of Synechocystis YCF37 [Source:Projected from Arabidopsis thaliana (AT2G23670) TAIR;Acc:AT2G23670] MAVSTTASNLVLRAAPSTTTTRRRRVAASAVRFDRRSAALLLLSAAAGAAPTVASPSPANAAGIGLFGIRKNLERAEEVAAEAVREVEEAAVEAAEVGGEAVKAAEKEAAEVAGEGVQLVAGAELAGDGLVQAGAVAAAEALGVVVGLSVVNGILRPES >OGLUM02G38280.1 pep chromosome:ALNU02000000:2:37068891:37071723:1 gene:OGLUM02G38280 transcript:OGLUM02G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLRRPLLAAAAAASSTSSSFRPSRFHLRRCRYPPPVFAVSSDSPKPVTSSSTGGDNPDEEPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKAWEMADTSTSNNLVKQLPSMEPYLTGTFGKRLMSAGRRFTSMGQYGKGELKKIAETMSKTGKLLSKRPVVQSEVEAMKVKRKLKFLELEFELTAEKANIGAAVGLVFGFLSWQLARGIQNIPDGSMQYANDNALQMAKSLKVSLLVLGYTSTALSVFASIGLLLLAQQINSDDKTE >OGLUM02G38290.1 pep chromosome:ALNU02000000:2:37072509:37076445:1 gene:OGLUM02G38290 transcript:OGLUM02G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G43940) TAIR;Acc:AT5G43940] MASSTQGQVITCKAAVAWEANKPMTIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPTQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVEKYLNKEIKVDEYVTHSMNLTDINKAFDLLHEGGCLRCVLATDK >OGLUM02G38300.1 pep chromosome:ALNU02000000:2:37077800:37079176:-1 gene:OGLUM02G38300 transcript:OGLUM02G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT5G62030) TAIR;Acc:AT5G62030] MDAGEPSTSDSLVLATGAAQGPKRKPPPKRFVHTPIPPSILSDPTLAAAATGLLPAAYNFELPKTAHRIRSSGARRAALQLPEGLLLFSLPLSHLLAPFLEPDPSNDVLILADPTYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDAQRLVDAVRAAFPDPADAPRLAIAGTVQFISAVHAAREILSHDGYQGIVVPQAKPLSAGEVLGCTAPALKRSEGVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHVGMKQARKEAVLAARKAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLEHTVVLMSELSPARMELFGDSVDAWVQIACPRLSIDWGEGFKKPMLTTFEFDVALGYVPGWWEKGSRECGSGDATGCCSGSGTSTDCGCSNGGCADKDFGGEYPMDYYSQDGGDWNSCYMKKKPSTGERKL >OGLUM02G38310.1 pep chromosome:ALNU02000000:2:37079573:37083728:1 gene:OGLUM02G38310 transcript:OGLUM02G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLVSWNPRNSRVCGKSGSQGTLTGVGLSISYPMALNGSPSGLSVMSATPGGPAENSGILPGDVILAIDNRSTEDMDIYDAAQRLQGPEGSSVDLDIRSGSNTRHVVLKRQTVTLNPVRSRMCEIPGAKDNSKVGYIKLTTFNQNAAGSVKEALQKLRENNVKSFVLDLRNNSGGLFPEGIEIAKIWMDKGVIVYICDSQGVRDIYEADGISTVAASEPLVVLVNKGTASASEILAGALKDNKRAVIYGEPTYGKGKIQSVFALSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSNSACNLNAAQLFTR >OGLUM02G38320.1 pep chromosome:ALNU02000000:2:37083507:37086239:-1 gene:OGLUM02G38320 transcript:OGLUM02G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT5G38890) TAIR;Acc:AT5G38890] MAATAMDHDGGEVVTPGELLGNSSLLAGLGAYADGRCVRASITGHRRLVPPPPGSTDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMARMASADIMCVDSKAVKEKFTGMIRQQDVRATEIDKVDMFQSYRPGDIVRALLSLGDARAYYLSTAQNELGVVSAQSITGGTLVPISWTEMQCELTGQIEQRKVAKEATIGILDKR >OGLUM02G38330.1 pep chromosome:ALNU02000000:2:37087708:37093749:-1 gene:OGLUM02G38330 transcript:OGLUM02G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20300) TAIR;Acc:AT2G20300] MGGGGGGGGGFFVLLMLGAVYTLHATALPSPAPHPSGSPDTRILGPRISPAFSPRAMSPESTGGPVHPPSHKHHRRPYHPHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGVLLLKWYKLRQLQEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEAACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >OGLUM02G38330.2 pep chromosome:ALNU02000000:2:37087708:37094265:-1 gene:OGLUM02G38330 transcript:OGLUM02G38330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20300) TAIR;Acc:AT2G20300] MQGGQQAAVLAGTVNPSQWALAPYLATSPSFLPSLAPPRTATALPSPAPHPSGSPDTRILGPRISPAFSPRAMSPESTGGPVHPPSHKHHRRPYHPHSPSPSPLPQGCTDNCSDPMTTTPIGSPCGCVLPICVIVDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAIPSIQDDQKTRVTIYLVPLREQFDGYTASLISDRFWNNKIQINSSIFGDYEVINVTYPGLRSPPPSLPETSHGSDPTGTGEDPITADVHHGKNKKLDSWIIVVVAGSSITLIAACIGLGVLLLKWYKLRQLQEAVSPATTPAVNRRYGGRSTLSVSRVSSASASMLSTVATCTTSVKTFSLSQLEKATDGFDSKRVLGQGGFGRVYHGTMDGGDEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIEHNKRCLVYELIRNGSVESHLHGADKAKGMLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKGSNILLEEDFTPKVTDFGLAREATNGIQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVCMSDTNGPQNLVTWARPLLCHKEGLERLIDPSLNGNFNFDDVAKVASIASMCVHNDPSQRPFMGEVVQALKLIYNDAEAACDDSYSHRDSSCDQYDDYHGALALDSGSGSWWNRSSNPSGFFDNRNPLPVITMEYSSGRIEGARDPRFALSTGGHAQSPALQNRSGPIRMKKKLASFYRSRGSFSEHGQLPRH >OGLUM02G38340.1 pep chromosome:ALNU02000000:2:37100882:37103884:1 gene:OGLUM02G38340 transcript:OGLUM02G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G04150) TAIR;Acc:AT1G04150] MAKAAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFEHQRRRTRARPKELNPVWNERLVFAVADPDDLPYRAIDVGVYNDRAASGGVAGGGGAAPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRTNSGEVVVKSKPEKPVKAVVSGPEVVAAPPVTGPKKQQQQQQPVVAVQPPPPQPEAPMDILPPPAPVLMKPVMLADPYPASAVFSGPGDFSLKETRPRLGGGTTADKASATYDLVEQMQYLYVRVVRARGVAAVGETVAEVKLGNYRGVTPATAAHHWDQVFAFSKETIQSSFVEVFVRARGSDDHVGRVWFDLSEVPRRAPPDSTLAPQWHIMEDRKGERGAAEVMIAVWFGTQADEAFAEAWHSKAAGVHGYGPLGSIKSKVYVAPKLWYLRVSVIEAQDLIPMDKGPMAIGRYPELFVRAQVGSQMLRTRPAPVAANRGPSSPFWNEDLMFVVAEPFEEFLVLSLEDHVSPGRDDVLGRLVVPVSSIERRWDEKLVVSRWFGLDRGTGGGNVASGNTNRFGSRVHLRLSLDGGYHVLDEATAYSSDLRPTGKQLWQPHVGVLELGVLGATGLIPMKARDGRGATSDAYCVAKYGQKWIRTRTVVDSVCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTTLAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGVKKMGELHLAVRFCCGNAGNMFHAYVRPLLPKMHYIEPLLVRQVESLRFQATNVVAARLGRAEPPLGREVVEYMLDHRSHLWSMRRSKANFFRLVTVLSGPITIGRWFELVRSWNRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRSRHPPHMEMRLSHADAATVDELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQALLSWRDPRATVLFSIACVLAAVIAYTIPMKVLVGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSKADSLL >OGLUM02G38350.1 pep chromosome:ALNU02000000:2:37106591:37109100:-1 gene:OGLUM02G38350 transcript:OGLUM02G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33255) TAIR;Acc:AT2G33255] MLPRLLLAHRLLAGAAAPLPRRRTAAAMSAAAPGTRLLRGVVFDMDGTLTVPVIDFPAMYREVLGGDEAYAAARAAGGGSVDILHCIEGWAPDEQRRAYEVIARFEQDGLDRLQIMPGASELCGFLDAKQIRRGLITRNVKTAVDLFHQRFGMMFSPALSREFRPYKPDPAPLLHICSTWNIPPNEVVMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDYLPEDVRPDFKVSSLSEVFSVLEEHFDLAPVVSESRI >OGLUM02G38360.1 pep chromosome:ALNU02000000:2:37109327:37116059:1 gene:OGLUM02G38360 transcript:OGLUM02G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDPATTFFACSSPTTARSRSWYCSKYLICFSMWMMFANCTPVSFRMPMPADAKFAPTSSLSPSSSGLRYGNTGSAPRHVLAIGEPPGGAVVGGVNAVRRRVGAGRRDESPKTKKARAACDAGSAPMQSAAESSTSHDDDDDAMNATTAITIHYLYLYLYGADDPSDSAATRFTG >OGLUM02G38360.2 pep chromosome:ALNU02000000:2:37109327:37114064:1 gene:OGLUM02G38360 transcript:OGLUM02G38360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDPATTFFACSSPTTARSRSWYCSKYLICFSMWMMFANCTPVSFRMPMPADAKFAPTSSLSPSSSGLRYGNTGSAPRHVLAIGEPPGGAVVGGVNAVRRRVGAGRRDESPKTKKARAACDAGSAPMQSAAESSTSHDDDDDAMNATTAITIHYGDPTTAN >OGLUM02G38360.3 pep chromosome:ALNU02000000:2:37109327:37111046:1 gene:OGLUM02G38360 transcript:OGLUM02G38360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSCMLFIEYTSVKSSVEMNKPMINFQCQLCTCIANANNAADSFSVGSEELSSEPCMNCCTMRRLARSVGWKASQKTYESRSAQRLETRVQRPMPLNLPCPQHASVEVSNPCALGSSMKSSWARLVFTPTNTSPPTSALSSFSIATSCGLYASAARCSSASHPPSRLCSASSAGTHPIGPTPVTSTRRAPSAWMCRSTCLYSERMYRM >OGLUM02G38360.4 pep chromosome:ALNU02000000:2:37109327:37116059:1 gene:OGLUM02G38360 transcript:OGLUM02G38360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSCMLFIEYTSVKSSVEMNKPMINFQCQLCTCIANANNAADSFSVGSEELLYLYLYGADDPSDSAATRFTG >OGLUM02G38370.1 pep chromosome:ALNU02000000:2:37110515:37111722:-1 gene:OGLUM02G38370 transcript:OGLUM02G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSWLVLLSAALCIGALPASHAARAFFVFGDSLVDNGNNNYLITSARADSPPYGIDTPDHRATGRFSNGKNMPDIISEHLGAEPVLPYLSPELDGDRLLVGANFASAGIGILNDTGVQFANIIHIEKQIRYFEQYQDRLRAVVGDEQAKKVVAGSLVLITLGGNDFVNNYYLIPYSPRSREFSLPDYIRYILSEYKQVLRHIHALGARRVLVTGVGPIGCVPAELALHSLDGGCDAELQRAADAYNPQLVAMLKELNAEVGGDVFVGVNTKRAHDDFIEDPRAHGFETSTEACCGQGRFNGMGLCTLVSNLCADRDSYVFWDAFHPTERANRLIVQQFMHGSLDYITPMNLSTILKLDQQAN >OGLUM02G38380.1 pep chromosome:ALNU02000000:2:37123305:37132410:1 gene:OGLUM02G38380 transcript:OGLUM02G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSASAAAVARLRELTPAPGAELSEAGAAALAECCARLLISAAGGDAEAARPALDALCAASGEAMRRHSDELAPLVVGRLGDGDPAVRDAARRFLVRLMEMREMNARMGNGEKTPCISDVQDNRCMTIQIEPMDTNQAKKSPKVKIVTRDASLLAGDGDITRKSLGPIKVFSEKDLSREIERVASTLHPDNEWAIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQILDRRSSVVKQACHLLNFLSKELLRDFEPCAELLIPVLLKNVVITIHVIAESSDNCIKEMLRNCKVARILPKIIEFAKNDKSAVLRARCCEYAILMLELWVDTPEIQRSVDLYEEFIKCCIEDATSEMINDEDAETQQRHLSPVERVKLMQPQSSSCNSTEIDKVVKVDSGTSFSSVDLQSVQVKASVQHDDMTSKIQPEGKTDGTTTTGSSFKDTCTLEKETSPDKGPDPDKCDSGVNLSSCDPSSATQFILEPTSELLPSDATVVTIVQDKAECRPNTEQLTQQVQVLGHPSDLLNRSPPVSLKESGNSLKHNPIQVNSDGSSGGKLGAQKVKHHTSAPNKSVVRKEPRNNYIPNFRRPLLSKQMTNWFYASNRSDLDDKQLILGEMVSNMDVPSSLTEALSLGLKPKSDWMMRGPRGIQEVAQNFDKVMRFVSRYLADPHHKIAQAALSSLSEIMPVFKKPFEHYLDKTLPHVFSQLNDPKESIKQQCSAILKLAGEIYSIDSLLPALLRTLEEQKSPKSKLAIIEFANSSFVKCTVSSDNYSSSSFLKPWLEKLALLFKDKNSKLKEVSVAGFSSIYSHYDPASLLSFLVSLSMEEQKRLRRAIKQLIPTIESDLEEFLQQRKHKPKVPLFDSFVGAYQPYAKGLVIKQNKHHLHATYQSDCLQADDVFDSALHCLPRISLEVRERRAGKIESESYDESNGHDAEMMDKKSSDTRSSDPPRTFDYNVISDNTVESPRKEGTDIKRFEESNESELNITVRNRNVILNSCQDHGPSISRTYHQDEMSQMEEVPEINGPSVSIKNLHQMSSSLLEMLDDPEESTRELALTLLVEILEKQRKAMENCIDTLIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLTCLRAIASQLANQDEKVLIVSINSLSKLVIRLSQDNLMAHLSIFLPALLDAFENHSPYVRKAVVLCLVDTYLKLGPAFLPYLERLDSAQLQLVTTYASRLSQTSFIAVDA >OGLUM02G38390.1 pep chromosome:ALNU02000000:2:37133524:37140326:1 gene:OGLUM02G38390 transcript:OGLUM02G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVYYAASLDAERQTTHTALGHEDESGFRSLPPNHHSLLFPSPARRSARAAAAAAWARSPAGLLLRRRGCNGALARSDPSGAMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSSPLELYLLEKFSDYQEEGTKLRERQSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTKVLEDLIADAVVDLSA >OGLUM02G38390.2 pep chromosome:ALNU02000000:2:37134204:37140326:1 gene:OGLUM02G38390 transcript:OGLUM02G38390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGSEMRGVQDRKQKKKQDLQVLGPFPGCLGRMINMFDLSNGVVATKMLTEKAHRDGKDRGNAFKMAIGPFSSQIEDKKRDSQPRKQSPTKRLSSPTKRSGEAPVKLLMEQDMWKEGMSGEEPLNVVARLMGLNDAAGHQSDLKSGKRSDKEYRSGGFDENSRNLRPKKDSKGHPNQKAGTHSEPCSGFSDQTLRMNSSRNKHQGKEPSCEKRMTLVREKFAEAKRLATDEKLLHTKEFQEALQFLSSNKDLFLKFLDEPNPLLSHNNYEFQPDTPPSETKQITILKPSDSIKRNGNTLVGRQLYSDGDESEGNRCRRHQSLSVSPTNSTFSEPTRIVVLKPGLVKSQEPKILRSPSSSSTAADSEDDSMSAVDETVPSSRRLAKEITWQMRMRLKDKQDEENLLSYEFHDIYIGDDSFSKSEVENAKEVSGEISEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREAKKRIFERLSIVSSTVGGEEEREARRSMGTLGEMLTIPEVKKDQEVFGGVTLENPSPEMDSEEPFLCLPRSRSVPISLSFGGTELNGVATGCQEAEKEKNRKSLSFREKVSSLFSKNRKVARGKLDPSGIPSTDDRLKHGNSATVNDFSENADHSALDNPLNCTIQNVDEISMPRLMASSWHMNDMENMPAKDISSIPVIGAPGIFGESQDQPSPVSVLDGPFLSDNSRSLLCSSESFITASPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDQDSLTFIQKLVCSAGIDREGCMLSSPLELYLLEKFSDYQEEGTKLRERQSKEKFLFDAVNEALTELTWTAELMAYPLGRSSSLERKDCENAFSNSAADEIWRVIRNWSILDKYPPGETIERNLLVEMILKREVLEAASSDTTRLETFELTSMVCTKVLEDLIADAVVDLSA >OGLUM02G38390.3 pep chromosome:ALNU02000000:2:37133524:37134257:1 gene:OGLUM02G38390 transcript:OGLUM02G38390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVYYAASLDAERQTTHTALGHEDESGFRSLPPNHHSLLFPSPARRSARAAAAAAWARSPAGLLLRRRGCNGALARSDPSGAE >OGLUM02G38400.1 pep chromosome:ALNU02000000:2:37145026:37151821:1 gene:OGLUM02G38400 transcript:OGLUM02G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLRSVSFSIHTPSIVVGLFPAVKNFTTFSTLFKMTLSSSVIVGSSALALTGTMLKTGVAFPSPKDAAICISCSLETASMALTICNAENKRSQYGRHWIILNNIPCNMQINVVWFKQNTKMLQHESSPHQE >OGLUM02G38410.1 pep chromosome:ALNU02000000:2:37145465:37151666:-1 gene:OGLUM02G38410 transcript:OGLUM02G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24190) TAIR;Acc:AT3G24190] MEAAAAAASAAPHLLHCGGFGRLPGLAASLPGRRRRRPSRRVLAVATEPKPSASAPAPRSRSRTRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMAISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVVYLVQELLPVLPSISSKILPEVMSRLSSRGSAPFSFMSAVLAASFSTSFS >OGLUM02G38410.2 pep chromosome:ALNU02000000:2:37147612:37151666:-1 gene:OGLUM02G38410 transcript:OGLUM02G38410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24190) TAIR;Acc:AT3G24190] MEAAAAAASAAPHLLHCGGFGRLPGLAASLPGRRRRRPSRRVLAVATEPKPSASAPAPRSRSRTRTPNDISSTRFGEVSKEIQRVRKQMEEDEQLATLMRGLRGQNLRDSQFADDNVRLRLVEVSSMNNNEALPLVYSPEIISAYWGKRPRAVATRIVQLLSVAGGFISHLISDLINNKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFSDDLAMTLLEEELGRPWHEIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGNRFAEMMKKDLPQVVVPKTYQKYTSRKVLTTQWIDGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGSMAELAEIGTLPSTSLVPAFPMAISQPEQPVKARAALSFLLSERGDFFREFILDEIVKAIDAVSREQLIQIAASFGLGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTAGNNPTTIDGDVNVVYLVQELLPVLPSISSKILPEVMSRLSSRVFARLIREAFL >OGLUM02G38420.1 pep chromosome:ALNU02000000:2:37153924:37155637:-1 gene:OGLUM02G38420 transcript:OGLUM02G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGAWRGGASASSRISYKNATVAVCAINLLACALLFRNYYSSWPRIAGDHQFDSAQLRFIWESEELRRAMEPVDLIRRVKEIEQEAYGEHGMMTQEDAKQTAAVDASKRLQDLRAGNDGSSQKALEEWRKRKMERARQRAIEKNGTSSAAKTR >OGLUM02G38430.1 pep chromosome:ALNU02000000:2:37155868:37160168:1 gene:OGLUM02G38430 transcript:OGLUM02G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAWRRHLLDGNISPTTAAAISAFRSASQPALAPQGLGGADGARYMSARAPAVKGTGHLVRKGTGGRSSVSGIIATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDCHRHLKLMGDLGQIVPMKYNPRDLDSIKAVMAKSNVVINLIGREYETRNYGFDEVNHHMAEQLAMISKEHGGIMRFIQVSSLGASASSPSRMLRAKAAGEESVLKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVDSGSTKIQPVYVVDVAAAIVNSLKDDGTSMGKTYELGGPEIYTVHELAELMYETIREWPRYIDVPLPIARAIASPREMLLNKVPFPLPTPSIFNKDQINAFSVDTLVSDNALTFSDLGIVPHKLKGYPVEFLVCYRKGGPAFGSTVSEKIRSSEL >OGLUM02G38440.1 pep chromosome:ALNU02000000:2:37165832:37182152:1 gene:OGLUM02G38440 transcript:OGLUM02G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTYDPSLVGPTYDLRLTAPWVVGGGDGDRAFPVFRGVSRAPPRAAQATIVVGSQVWVEDPGVAWIDGEVIKVHGDTVIVKCSNEKTVSVKGSNVHAKDPEESPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMEQYKGADFGELSPHPFAVADVAYRLMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAAAEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEELKRYKLGDPRTFHYLNQSNCYKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFAEGDDGESSKPKDEKSLFHLKTAAELFMCDEKALEDSLCKRIIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVDKINKSIGQDPNSKCLIGVLDIYGFESFKTNSTFSETFPEEHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIIALLDEACMLPRSTHETFAQKLYQTFKNNKRFTKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASQCSFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNILKPSIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEIAAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGRSANMIQRKVRSFLAQKNFIKLRRSAVQLQTICRGELARKIYQNLRREAASLRIQTCYRMHAARKAYNELSASAVTIQSALRGMVARKELHFRQQTKAAIVIQSRCRQFLARLYYSRTKKAAITTQCAWRGKVARKELRKLKMLQMFCYTAVYISQYMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQATLQEVQQQYKETQEMLVKEREAAKKAAEVAPVVKEVPVIDTELMNKLRDENDKLKTLVSSLEKKIDDTEKKYEETNKISEERLQKAMDAETKIVDLNMAMLRLQEKLSNMESEEKVQRQALLSSPVKSMSEHLSIPIVPKNLENGFHEVEDPKEPQSAPPAIKDYGNGDPKLRKSCVDRQLENVDALIDCVSKNLGYCGGKPVAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIELASFFGPQSAYVVKPLMQNEEDNDNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMAQGLRSASFVNMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNIKKELSSLISLCIQAPRTMKASMLRMSGRLSGQAQSNHWQRIIESLDILLKKLQDNHVPPVLAQKVFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAAASWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSIQEKDFTDVKPAEELLENPAFQFLQD >OGLUM02G38450.1 pep chromosome:ALNU02000000:2:37182479:37188329:1 gene:OGLUM02G38450 transcript:OGLUM02G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFMITYKRKRVTSHVYTADGTDLKSSGASSSVPVSSLSPKYGVGADNNMLEEDNFSTSTKQQDVFDSREQVIKEESPKQSANAPGKERAELKSRESLSQKEQPEICSTHTAIGDACENKLECIDGTHNQSLVSSCVHADRTINQAEDSSASVSVGVNSHQQPNNSTRPSQSKSRFSPMLTFHRRVKNKIGLEEPAAGSCSRDNDKHCSKLSCNPPSSPLDAIPLCRQTAGSSLDVEDKVTIAGTSTGQSVIVDHLLEQKSSHIPKSSVHHMVPSQPAKDANKGTPVSEFTRVQETSELDARVEDSNRTPVDAIEVPKVIEVKRDEHGNGQTNCLQSPRKNINVNLLKPTNRSEAADLLESQDSTKNIPIIVLDDDSDERGKEQEKSDVLDQLIQEKNKGRFSLGQINLNLNCAELPQERLLSLDETSVYKLKDQDQCVHERKQMSHPVERLFFTKEKDAMHGKKHHEETSTMHSSYSNLFDPAPSSSWITGNFKEPSVMPSELKFRILDKAPEFNLDLKLDSFPDSSVSALRHDKLFRGGTSSGSHFLTERLGTYSYKRHSSPWSEEELDFLWIGVRRYGTNNWNAMLRDRRLRFSNSRNAEDLAKQWDKEQRNLLGVDFLQSLRSSARGPPPPSHIPEDYVGSSSWTGCSKSPFLSAPTDLSLGDMYLRSARTSERGQHHLSNLGMLNLHATDNVPRNLSLGGFPVASSPYGRSSSKRRRASKLPKSYYDNKAVWCQDPSERVAQFLPISQEPINNLPEWLTKDAEMAGVSRLDADLWPSMQAPGHSAADRLNEMKPHVLPDGSLKRAPKRKAEWRAFSKKLFKSGDGALDLNQRAAAIAGPLGAIGTSDTGASSEETVSDS >OGLUM02G38460.1 pep chromosome:ALNU02000000:2:37194498:37195927:1 gene:OGLUM02G38460 transcript:OGLUM02G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHHHGSSSLVAEELNLLHGGGDGGRGGGGLGQWKCRLLGSLAGLGRPRRARCVVCLQVQHVTGLPPAAEGRGVVVGWRGRGGEGEHTSPVRASRGAAAFDEVFLNYFVAGGATLRSFAVWAALVDDPASTARGGGDLGSFPVDLTEIATAESSNPRFGGKALSFPLGGAAAGAVLTVSVYCRVMEHEENHGGANGHARAERKNKGKGSYASCLPDLSCLRNRPSPAAAAASGSARRAASLRSDRGGFITIENSVAEMEGGGAFGRVEDVDEEGAGFITMEKGTISSSRSRSRRPAGEDDEAGDMEDEKPCLLMELAPEEAAAAFEVEKVEEEFLAMLEDKYWARSKEIEKGLGVSLDMGLDLGLDLDSLIKDAEMELAKAEQAWRSKVGAAIVEEEEYMDLVRRWSARDAAAACWPAAAFAFGSPI >OGLUM02G38470.1 pep chromosome:ALNU02000000:2:37198675:37203985:-1 gene:OGLUM02G38470 transcript:OGLUM02G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPVVGVPNGPVRSADEWCTSSSVFRFASKFQPFPPPSPPSTSASASASATAAPRRSPPPPPAMAAAAIHQFAECITCHAWSPDHSMIAFCPNNNEVHIYKFFTDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGHDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQDNNWWISKVVRKKHESSVTSVAWHPNNILLATTSTDGKCRVLSTFIKGVDTRGSHASTSTDWKFGEQIAQLDLSSMWAFGVRWSPSGKTLAYAGHNSMIYFIDDVETSPAAQNLALRDLPLRDILFLSERTVIGVGFDCNPMIFSADETGLWSFVRYLDERKVTTSTSRASQLSEAFGKLYGQSKQVASSDTVEPSKPRGGVHENCITCIVPLRKGNDSIVKRFSTSGLDGKIVVWDAENHIDIKK >OGLUM02G38480.1 pep chromosome:ALNU02000000:2:37205262:37210592:1 gene:OGLUM02G38480 transcript:OGLUM02G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium channel beta subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G04690) TAIR;Acc:AT1G04690] MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAMRDLGWRRSDVVVSTKLFWGGQGPNDKGLSRKHIVEGLRGSLKRLDMDYVDVVYCHRPDATTPVEETVRAMNWVIDYGMAFYWGTSEWSAQQITEAWSVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGLGLTTWSPLASGVLTGKYAKGNIPADSRFALENYKNLANRSLVDDTLRKVNGLKPIASELGVSLAQLAIAWCASNPNVSSVITGATKENQIVENMKALDVIPLLTPEVVDKIEAVVQSKPKRTESYR >OGLUM02G38490.1 pep chromosome:ALNU02000000:2:37211655:37213634:-1 gene:OGLUM02G38490 transcript:OGLUM02G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z055] MRGGVAGPTAGEPPGTEAEAEEVEESSAGDDEELELGLSLGSKKQQQQQHAPCRILTARDLQPAAALSPDSSVSSSSPAAAAAGGKRAEGPTATTSPGTVASGHPHSSFGVVGWPPIRQFRMNSLFNQAKENTSETHTKKTATNESDVQKDKEEGEKKGRVAGWVKVNMDGEVIGRKVDLNAHRSYKTLALALELMFTKPSIGLCASHNTKSLKLLDNSAEYQLTYEDRDGDWMLVGDNVCQLCEEVEDHEDIRCEWSWSAIPGNSQNHCFNKRQILRA >OGLUM02G38500.1 pep chromosome:ALNU02000000:2:37219717:37223153:-1 gene:OGLUM02G38500 transcript:OGLUM02G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAIDRQRVLLAHLLPSSSSSDQSLLSASACAAGDSAAYQRTSAYGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGEIGDIVVGTVLGPGSQRAIECRAAAFYAGVPENVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSVNAMGWEGQVNPKVNEVQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATAAGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPVFRKDGTTTAGNSSQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLQIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIALGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDSVDQFSNVRHH >OGLUM02G38510.1 pep chromosome:ALNU02000000:2:37229394:37236969:-1 gene:OGLUM02G38510 transcript:OGLUM02G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAAAAPPPCRLLGSGQAHLRLPPSAAAAAASARRRLLLRCAASGGNGKGGGGDGSGSDPVLEERRRRRQAELAARIASGEFTAQGPAWIAPLAAGLAKLGPPGELAAALLTKVAGGGGPEIPQAVGSMSAVTGQAFFIPLYDLFLTYGGIFRLNFGPKSFLIVSDPAIAKHILRDNSKAYSKGILAEILEFVMGTGLIPADGEIWRVRRRAIVPAMHQKYVTAMVSLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALALINKTLDELIDICKRLVEEEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKYPNVMAKLQDEADTVLGDRLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSIEEDMLGGYPIGRGEDIFISVWNLHHCPKHWDGADVFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFETVVATAMLVRRFDFQMAPGAPPVEMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVISDSPENMSTTTSMPVSAASIASGEDQQGQLSREKFSGHSPEMMASGFSSISSRRLPSMNVDARHRKQNGAWVVLTKVSLTRPETGYGYMDMYYYYQGQSGRRGARRLAGGILLGPGHVAVDKPDHPDLWFGTEYRLAGR >OGLUM02G38510.2 pep chromosome:ALNU02000000:2:37224186:37229661:-1 gene:OGLUM02G38510 transcript:OGLUM02G38510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYYQVRWVAFISEEVRDRAGDVARGDWLAGFSWAQVTWQWISRIILTFGLVLSTDWPAAIPPPRPLFSNLLEPSPIPHKPSCSDLNAGGEALHFVGVDGQGQDMVLQKRLDYGSHGHRAPVKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSCKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGHDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEGILTEIKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVMDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLVLANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >OGLUM02G38510.3 pep chromosome:ALNU02000000:2:37224186:37229661:-1 gene:OGLUM02G38510 transcript:OGLUM02G38510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYYQVRWVAFISEEVRDRAGDVARGDWLAGFSWAQVTWQWISRIILTFGLVLSTDWPAAIPPPRPLFSNLLEPSPIPHKPSCSDLNAGGEALHFVGVDGQGQDMVLQKRLDYGSHGHRAPVKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSCKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGHDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEVKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVMDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLVLANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >OGLUM02G38510.4 pep chromosome:ALNU02000000:2:37224186:37228581:-1 gene:OGLUM02G38510 transcript:OGLUM02G38510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAQAHLQPTTLPQNHFFPSSKKKEKKEKKKQQETQGKSSLLLFHGVFLLQSFPLSLSSSSSLRLPLAAIPPPRPLFSNLLEPSPIPHKPSCSDLNAGGEALHFVGVDGQGQDMVLQKRLDYGSHGHRAPVKPRVATLAPVKRSTRIRKKQMYALDLLATAAEKLLADQDNLSSGPNINETPEGYVTSMKPVKAEQFDEAFPLRSVAVKKDDCKGCTVGCAGICGFLRQANMCLAENSSTQNLADSVLESLTAKPDVLAKDSFVSCKKSCRLGFGLGTIPEYGSVGVCQPWSTRSAEVKQVHRARPTAIRSQEDSDAAALCALVETMDLDTKPLAEASSGSNSGVHICGHDRGHNSHPSCLAKVQHAADRDDDENSSGCVHPSTSGNNRGYIPHYIGDRRIRRLFASRLRKAARNRICGEMSCKGNKLSLCEKKMPTTRRRVQQTTLKRKRLAQLYSEKSSDEGILTEIKLTIKSFNIPELLIEIPENATVGSLKKTVSDAVTTIIERGLRVGILLQGKNIQNDNKTLRQAGICRGKKLDDIGFTLECEAGQDSHPGVIVPEEMDFVGASVMDKSATVKCEEPAENQQLMQDFPGCSLSDPGSVDYPVEWSTQETSASSQAIVPFADPNSLVLANVPLSRSKRPDFGQRRIRRPFTVAEVELLVEAVEHLGTGRWRDVKFRAFENVHHRTYVDLKDKWKTLVHTASIAPQQRRGAPVPQELLDRVLAAQAYWSEQQAKLHGDPPVPEICPT >OGLUM02G38520.1 pep chromosome:ALNU02000000:2:37228914:37233606:1 gene:OGLUM02G38520 transcript:OGLUM02G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINTFQSCPVLKGAKINGTKIGGGRGSPTFRCRASTFMDGSLRLEIDENPEAIISGEWPENFSLLSYDDLRAYLQSQEAAAQADNQRVALLSEAMSAPVLVATAEQTLEEVECHFETVSGLPVIDASLRCVGVIVKSDRARASHGSKTKIAEVMTSPAITLPSDKTVMDAAALMLKKKIHRLPIVNQDRQVIGIVTRADVLRELEALLEVTGFMPCINSLQDCNTEENNYNRCIA >OGLUM02G38530.1 pep chromosome:ALNU02000000:2:37237980:37238505:-1 gene:OGLUM02G38530 transcript:OGLUM02G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWSLVKTTFLLAAFDDLDDGNGRVNVFLRKLAKSCIGIISSESPHALVQFVLEEAALGFISSECSIGAAADRIWRLFPVLYLPACSNFILWQQHPTPGQMLFALEGIKMPIPSNSRYLEAPTAATRKPALSVGLSNLP >OGLUM02G38540.1 pep chromosome:ALNU02000000:2:37242648:37243879:-1 gene:OGLUM02G38540 transcript:OGLUM02G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKNKNKCSLHLPPMEKREEGHGGWGAREFRANLWRGRVGLRIDGGKYHRRLVPTPGTQSKAVWTEVATSGSASEERAATAVE >OGLUM02G38550.1 pep chromosome:ALNU02000000:2:37244801:37251142:-1 gene:OGLUM02G38550 transcript:OGLUM02G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTLSVGKSVLNGALGYAKSAFAEEVALQLGIQKDHTFVADELEMMRSFMMEAHEEQDNSKVVKTWVKQVRDIAYDVEDSLQDFAVHLKKPSWWRFPRTLLERRRVAKQMKELRNKVEDVSQRNARYHLIKGSGSKATITSAEQSSVIAAAIFGIDDARRAAKQDNQRVDLVQLINKEDHDLKVIAVWGTSGDMGQTTIIRTAYENPAVQIRFPCHAWGSQNGEDSMKPVSISDVATTSTNDHTVAHGDIIDDQSMDADEKKVVRKSLTRIRTSVGASEESQLIGREKEMSEIIDLILKDNSQQVQVISVWGMGGLGKTTLVGGVYQSPKLSDKFDKYVFVTIMRPFNLVELLRSLAGRLHEGSSKKEELVENRVSSKKSLASMELDQLTKQLERLLEKKRSLIVLDDFSDTSEWDQIKPMLFPLLEKTSLIIVTTRKENIANHCSGKNGTVHNLQVLKPNDALCLLSEKVFEKATYLDKQKNPKLVKEAKQILKKCDGLPLAIVVIGGFLANRPKTPEEWRKLNENISAELEMNPELGMIRTVLEKSYDGLPYHLKSCFLYLSIFPEDQIISRRRLVHRWAAEGYSTAAHGKSAVEIADDYFMELKNRSMILPFQQSARSRKSIDSCKVHDLIRDIAISKSMEENLVFRMEEGCSSYIHGAIRHLAISSNWKGDKDEFKGIVDLSRIRSLSLFGYWEPFFVSSKMRFIRVLDFEGTRGLNYHHLDQIWKLIHLKFLSLRGCVGIDLLPDFLGNLRQLQMLDIRVHEEEGAMVPSGIRNAVLRDIGMLTGLHKLGVAGINRKNGEAFRLAISNLSKLESLSSLKLYGNLKTLPEWIKELQHLVKLELVGARLLEHDVDMEFLGKLPKLEILVIAPFQGKEFHFKSPQTGTAFGSLRVLKLADLWGTKSVKFEEGTMLKLERLQVEGLIKNEIGFSGLEFLLNINEVQLRVEFHFDEKRIRAARAAGADYETARAEEEQEARRKEDV >OGLUM02G38560.1 pep chromosome:ALNU02000000:2:37253040:37260622:1 gene:OGLUM02G38560 transcript:OGLUM02G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWPIIMARSLPRTTAIGRRAWPPGARKLEVSDGGCVTPRHRCPQLLADEPRLLPDRRCRSHSRTGEEEEMCGQCEFGAVTVFDEMRERGIGDDGSGAEGMASPSWLRLWSLPLVANEQNLQRKIVLQSTDEESMSSPVDGTAADHPYN >OGLUM02G38570.1 pep chromosome:ALNU02000000:2:37258303:37258883:-1 gene:OGLUM02G38570 transcript:OGLUM02G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTIATGLRKNQLQQGKNISTPEPFLQFRGTVTQSVTYVGWAKRWEEKNKICINKKHVR >OGLUM02G38580.1 pep chromosome:ALNU02000000:2:37261461:37263017:-1 gene:OGLUM02G38580 transcript:OGLUM02G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08125) TAIR;Acc:AT1G08125] MALLGCDVVTTDQVEVLPLLLRNVERNKSWISQSNSDSGSIGSVTVAELDWGNKDHIRAVDPPFDYIIGTDVVYSEHLLQPLMETIIALSGPKTKIMLGYEIRSTTVHEQMMQMWKSNFNVKTVSKSKMDAKYQHPSIHLYIMDPKAILIPEVSDNGNNEEEEEVVSNPGDDEDPGAESGGPCTGSEDSAEAKTGNLDDDWEIRRCGAMAARLLKDVKLA >OGLUM02G38590.1 pep chromosome:ALNU02000000:2:37264190:37268832:1 gene:OGLUM02G38590 transcript:OGLUM02G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTKLRRGGRAITAIPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLPAPTASRLLRPHLRSGNPSASLRLFLRVLRDRDRDRRPCPVDSQEDVPDSSSFSAALAACSRHASPSPGFSIHAFLLKSGFASDVFAANSLLHFYGSFGLHSLARKLFDEMPARDTVSFNTLISSYVQSCCINDAFEVFRIMMESGLRPDGWTVTALLGACAELQDLRAVKAVHGVARRMLEPQVFHSGEVATSLVDAYVKCRGMELARQVFDLAEDNARNARLWTTMVSGYARAQEFDIAQRLFHEMPEKDTVAWTALIGGFVRAGRYKEAVVLFEEMEEAGFEADEATIVKVLSACVGYGNINLAKRLHCLVGRDGLINRNAKLATTFVDMYAKHGCIQTAQEVFSGVDDDFKTLELFNAMINGLARCKFGEKAIALFDEMGSLGLRPDKITFVGVLSACRHSGLVSQGFHIFDSMEEKYGVKPEIEHYTCMVDLLARDGQPDNAYIFIQNMPFKANSVVWSSLVRACMLHGNIKVRKLAEEQLLRLDPNYKPENLPLSNLFSEGKRKERTARMRKFLNHKPVSKTGISVSATHTSHPQTKLINLMLVGIDMHRKCNGCIKKINDGVEWADLMAYLSQARSNLMDED >OGLUM02G38600.1 pep chromosome:ALNU02000000:2:37272750:37273478:1 gene:OGLUM02G38600 transcript:OGLUM02G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSKNGGGGGGEKKATTTEVVLTVAMHCKCNGCKDKIRNGVKKLALVPGVEAVDKSAVESKGEVRLVVAAATAKPEKLKDRLHRVTGKKVDLLGGYYYSPSTYPAGGLVYPYTAAYPPPGQQLLGNGGGVVSPWYTHGY >OGLUM02G38610.1 pep chromosome:ALNU02000000:2:37275073:37277035:1 gene:OGLUM02G38610 transcript:OGLUM02G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKRTGGGEQPKPASDVAEDKPAEDTSPDVQQPHLNAATTTAAPGTDDKDASTKADDPKKDAKEKEKKPAALPVVTAVLKVDMHCDGCAKRIRASIRHYPGVEGVAMEVDKGTMTVVGRFDAKKLRDRVANKTKKKVDLLPNNKKAGDDNDNKNNKANECDGKPADKKQQQQEDDGDEAGKEDKKKKKEKEEQDDQKKKKAKDNKKPVVPVPGTVVLKIGAVGLHCDGCMNRIRTKLFHIQGVEQVAMEMAKNQVTVTGTMDIKALPEKLRKKLRRPVDVVPPGKQKDKDGGKDKEKQDGGKDGGGGGKDAAAKALTAEKEAWKAAFYDQQALLATEFMLSDENPNACSIA >OGLUM02G38620.1 pep chromosome:ALNU02000000:2:37296829:37300028:1 gene:OGLUM02G38620 transcript:OGLUM02G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G04270) TAIR;Acc:AT5G04270] MARRRGGAAAAAAAASPAVVGAVSVLALVYYSTVFVFLDHWLGLGTLAGAAHAAAFSLVVAACFFSFVCAAAADPGSVPASFAPDAEDPQRQGLKSRYCDKCCMYKPSRTHHCKVCKRCVLKMDHHCVWINNCVGYANYKSFIICVLNATIGSLYSLVVFLFDLFQTEHEYDIPYVKVIHVLVGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREATRAKWLAQKSGQKYRHRFDLGTRKNIQMIMGPNILCWLCPAATGHLKDGTEFQITNN >OGLUM02G38630.1 pep chromosome:ALNU02000000:2:37306839:37309597:1 gene:OGLUM02G38630 transcript:OGLUM02G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKWFSTLSVLPLTVGWAPPAVSHVRNKEHKPDDLVSASIADGFLLRFFLPPPPPLAVAGGFYLSFALLVRPISCIAYDNGHRRLRSHRRRGSSGGGGVAVPSCPCRWPASSALHLLPLQIAVLVRLDLSPARAPPRRRHGRGESGGGGGGADLGGAGADRQRAAAGACPRGGAAARPPHRPSLDGKLVQEVYIFEA >OGLUM02G38640.1 pep chromosome:ALNU02000000:2:37310566:37316393:1 gene:OGLUM02G38640 transcript:OGLUM02G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 4 [Source:Projected from Arabidopsis thaliana (AT3G10360) TAIR;Acc:AT3G10360] MAPSPAAAAGPTFEDLERDLQAVLMDQNHSATADELSMFRSGSAPPTVQGARTAVGSLFSAAPVHVDSFVDPSNGGVGDVLSDEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRVAQRVQAVSGGVGDRRRRPSDVGGGSSLFSVQPGARHGNGEDLLVNDRMGGGERNGLTRQQSSEWLGSGADGLIGLSDSSGLGSRRKSFADALQENISRPASAASHLSRSNSRNAFDSPNPIRPDSSRAQLQSRSESMNGLRSGSTSPSLVRVQSLGSSISHNFASAVGSSISRSTTPDPQLIRRTPSPCLPPVGVRMGSTDKKVDGSAVASHNHDTADIAAALSSMNLSGSKMASLEAEVQNRAYQNFGDQTDVLFSVPKERRQLSQQKLAQNADEESINALEYAAFPNGSGNFNNSNMSKLSVDSRSKFPIQSPHGNANNKGSLVSPTGSVSLYQNLNGDNSNIDVSVRNNKIRSSSFGSSMLNNQLSADGEYVNLLSNQGGSGFQGQPMESMYAPYLQANSDSPLGAATNLNPFQGSSFSGSVPLDSPGYQKAYIASLLAQQKLQYGVPYLGKSGSLSPNIYGSDPAFGMGGMAYLSSPTSTPFISSPQGHVRQGDRLARISSMGKTTTGGPMGSWNSDNGLIDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASAEEKDTIFPEILPQARTLMTDVFGNYVIQKFFEYGTEPQKKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGNIMKCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSTHPYGCRVIQRVLEHCDDENTQSTMMEEIMQSVVLLTLDQYGNYVIQHVLQHGKPDERSAIIKQLAGQIVKMSQQKFASNVVEKCLSFGSPEERQILINEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRSGVSSSSS >OGLUM02G38650.1 pep chromosome:ALNU02000000:2:37320281:37324707:-1 gene:OGLUM02G38650 transcript:OGLUM02G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPQCASSKPPSPPPPPHPHEHEVGDDMAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >OGLUM02G38650.2 pep chromosome:ALNU02000000:2:37320281:37324539:-1 gene:OGLUM02G38650 transcript:OGLUM02G38650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAPPPPPPPKLLYIAVSDAAARRAFRYTRPVLQGTLQLMGCKARHAFKISKRVFNVMRSEFLDASKSDTADNEENAPSLVKDVEMLKPKILEATLSSIPFELYKTQTTIVVSREKFLSVVCDALSSYKYVGPNQKADFLLACRIKERKESVTVLLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFTDEKQNPLLYASTYHAGECLDPVAVAQAKAKRKAQKLDIVSHPNTNEGRDDTSDDKAHHGSSELPPRTELIGSKQMAIEGFKAQSEMVIDSLDRLITSWEEQKQSVIVEGVHLSLNFVLIQRQGSSRNLMAILNTDGSVTKAWHVDKNNGNGSLDGTSSDKSTKNPMYDTFGKAEPVNLQFGSFGISAWMSDTGGTSHTGSVDDLRADGIETGGRYYSSCCSSPKVSDCPSKELMEDDYSVFGSEEDADDPPDAGTDEDLTDEERDMHEIEAGSVDEHSTKSDEEYDDLAMQDVMENGYWSDDEQAASSTKNSSNQEKNIHGAADGDVVDDEGSGNDRFHHNLAFFLKMSKKVAATELPCA >OGLUM02G38660.1 pep chromosome:ALNU02000000:2:37328329:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAGLLFCREVGKD >OGLUM02G38660.2 pep chromosome:ALNU02000000:2:37329287:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >OGLUM02G38660.3 pep chromosome:ALNU02000000:2:37329343:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >OGLUM02G38660.4 pep chromosome:ALNU02000000:2:37329287:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >OGLUM02G38660.5 pep chromosome:ALNU02000000:2:37329343:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >OGLUM02G38660.6 pep chromosome:ALNU02000000:2:37328524:37332269:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEMRRGRRRRGRGDGGRSLTTTTITTIDAWAAKLFFLDQDDPEKRLIKMTQKESCR >OGLUM02G38660.7 pep chromosome:ALNU02000000:2:37329287:37332269:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGECAEVADDEEEEMVAVLSQPPPSPPLMPGLQSFSSLIKMTQRSG >OGLUM02G38660.8 pep chromosome:ALNU02000000:2:37329343:37332269:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MCEKDQNFHWGYDLFRDPFAPIGYYGPPHGYGDGNYCDLHYARDASHPDETHLHSSALTYDLYNPSVGIYHPGNAGEHDHDTVYIEPTSSNSCPDTDDSFPMDEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLRLYELVEHKVKGDGNCQFRALSDQLYQSPDHHEFVRQQIMSQLKSNRDAYDGYVPMAYDDYLEKVSRNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRSQTTRKRRWWPFSHNHHHHHH >OGLUM02G38660.9 pep chromosome:ALNU02000000:2:37332285:37333779:-1 gene:OGLUM02G38660 transcript:OGLUM02G38660.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04250) TAIR;Acc:AT5G04250] MRPGGCPPPPERSLAAAAAAHRRCHPPPPPLLRRGRASPAASRANPLTDARNFVVGGAHAGSVFDRA >OGLUM02G38670.1 pep chromosome:ALNU02000000:2:37341480:37343909:-1 gene:OGLUM02G38670 transcript:OGLUM02G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLPFLHGDSKEEDPVNKSASVRSLSTTSTERDVRSGSDFNSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFSELKNATRNFSRSLMVGEGGFGCVYRGVIKNSDEPTERTEIAVKQLNRKGLQASYFSSELYLLQAFNAHSNFLLLGQKEWLTELNVLGIVEHPNLVKLIGYCAEDDERGVQRLLVYEYMPNGSVDDHLSSRSNSTLSWPMRLKVALDAARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPSEGLTHVSTAVVGTLGYAAPEYIQTGRLTAKSDIWGYGVLLYELITGRRPIDRNRPKGEQKLLDWVKPYISDIKRFPIIIDPRLEGHYNLKSMTKLASVANRCLVRLPKSRPKMSEVYEMVQKIVASIETGTPQPPLHYHGSVSEPGSKRPKKGSLKRRFQEFKFGCRQIVWRGWKPEIIKTC >OGLUM02G38680.1 pep chromosome:ALNU02000000:2:37350205:37351274:-1 gene:OGLUM02G38680 transcript:OGLUM02G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G54826) TAIR;Acc:AT3G54826] MAARFLPLVRRGLARVLNQSPAPASTRGFLFPAPVTAGIRSLQTIMEASNNASDDRNQDIEDSKTDTAPATVPSSDSGFKVRDTSNLKISPRHDLAMIFTCKVCETRSMKMASKESYEKGVVVARCGGCNNFHLIADRLGWFGEPGSIEDFLAEQGEEVKKGSTDTLNFTLEDLVGSLANDKGPSDKK >OGLUM02G38690.1 pep chromosome:ALNU02000000:2:37355378:37356634:1 gene:OGLUM02G38690 transcript:OGLUM02G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLWKRKPKPNPEPEEKEKEEEKDHRMCKTKSSVATASTATTPRKHQHQHQRSPRATATQYSTTSSSSAPSTATATSSSTAASLQALRDSLPDLPLLLTFHELAAATANFSSSHRLAPNSSSFRCSLRGHSAAVFRRPLRRDQAHVAARLAALGHCHHAAIARLLGAAASPDGSLFLAYELLADASPLSALLRNPNSPSFTPLASWQSRLKVAADVADALHYVHLQADTVHNRLSASTILVSGDGANLRAKIAHFGAADLAGELLGDRRGRRIEGTRGYMAPELIAGAAPSRRSDVYALGVVLLELVSGQEAVRYEQNKATGEYERTSVIESAEAAAEGGGGEAMRRWVDRRLRDSFPVEAAEAMTAVALRCVARDAAARPDMSWVAAKVSKLFLEAQDWSDKFRIPTDISISIAPR >OGLUM02G38700.1 pep chromosome:ALNU02000000:2:37358374:37361810:-1 gene:OGLUM02G38700 transcript:OGLUM02G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z088] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKRVVTMSKAVI >OGLUM02G38700.2 pep chromosome:ALNU02000000:2:37358374:37361810:-1 gene:OGLUM02G38700 transcript:OGLUM02G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z088] MDPVLLDDIIRRLIEVKNLKPGKNAQLSESEIKQLCATSKEIFLNQPNLLELEAPIKICGDVHGQYSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNDAQGWAMNDRGVSYTFGPDKVSEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPARKMLGGSTNSKSGFKRVVTMSKAVI >OGLUM02G38710.1 pep chromosome:ALNU02000000:2:37365690:37367093:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAGTAVMASVAPGRWGPCLHPWFAANTSLSTQAVANEVGGKNEKAAPDQVGKCSGVVKEIEEGKKPNLQSKSKSRTAAVGAIVACTTAQHKENELFAHTPCRDGDAVYDDHGTGGDGGLMFWMRLKCGRVTGTRLWRLRVPVHIAIADLQICRMRGREILVLIQLKLQEWEAGELEGTTSLQY >OGLUM02G38710.2 pep chromosome:ALNU02000000:2:37365207:37367093:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAGTAVMASVAPGRWGPCLHPWFAANTSLSTQAVANEVGGKNEKAAPDQVGKCSGVVKEIEEGKKPNLQSKSKSRTAAVGAIVACTTAQHKENELQATFDFASKKDQEK >OGLUM02G38710.3 pep chromosome:ALNU02000000:2:37367643:37371368:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEDQKLPYWPPAVVLVHLLLPRQ >OGLUM02G38710.4 pep chromosome:ALNU02000000:2:37366576:37371368:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEQLAGLHTLPAEMEMLCMMITALVVMAA >OGLUM02G38710.5 pep chromosome:ALNU02000000:2:37368908:37371368:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRAASLLLRPRLRAPVPIPTPKTPNPLLLPPRRHFSQLPPPPVPASAAAAAAAVAEEAEEAFEAAKGTKDLLAAFSRLEAVMPPSDKRLALACIKLGQHLEASGAADPSRVLALALRSLGILEATPNSATSTTASHSDAVSLAMALHLAGSASFDLSRFHDALSFLTRSLRLVSPLLPSSSSAAAASGDDDAQGFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAYSTVLDFKEALPLCEKALELHQSTLGKNSVEVAHDRRLLGVIYTGLEQHEQALQQNEMSQKVMKSWGVAGDELLHAEIDAANIKIALGKCDEAVTVLRNVSKQVEKDSEIRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDKVAEAYVEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHMEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEIGRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNAMGSYALAMEFQKRVVDSWRNHGPSARDELKEAIRLYEQIKIKALSCLSPENSAIALPEPLEKEVDSDSTRVAQQ >OGLUM02G38710.6 pep chromosome:ALNU02000000:2:37366667:37367093:-1 gene:OGLUM02G38710 transcript:OGLUM02G38710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAVAGTAVMASVAPGRWGPCLHPWFAANTSLSTQAVANEVGGKNEKAAPDQVGKCSGVVKEIEEGKKPNLQSKSKSRTAAVGAIVACTTAQHKENELSVHSYP >OGLUM02G38720.1 pep chromosome:ALNU02000000:2:37365626:37367977:1 gene:OGLUM02G38720 transcript:OGLUM02G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSTDIKKVGFLSFLNFFHNSTAFSNLIGCCLFILPSYLVGYYIEHHHLVSPFIPENQYICCISSIIKPGLSSSVAHLLVCLSSCLQQTMDADRDPIFPVQQMPSLLFPPPPPRPLALDSTSSASSSFVPHHPSITSFPILVLTVLGILTTSVLLLTYYIFVIRCCLNWHSSSSSDTRTAGLISRRRRGAASSSLPAVAEPRGLEEAAIQSLPAFRYRKAIKDTTADSSECAVCISEFQEEERVRLLPSCLHVFHVDCIDTWLQGNANCPLCRAAIATNDSQLPLDQFVRPEEVVIQVITGAEEEGAQAPQQEANTAASDPAVDATSTNQQVSSKKTKNQNAWHVSISKGDECIAVRRDRNVLPLRRSFSMDSLGGAGEVHLQIQNILQRSTHFHGDISDSSSSSTGTL >OGLUM02G38730.1 pep chromosome:ALNU02000000:2:37372702:37374063:-1 gene:OGLUM02G38730 transcript:OGLUM02G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVVDKLTVAASPPADGGVLPLTFFDVPWIFTGPVERVFLYTYPHAVEHLAAHLLPSLASSLSAALHRFYPLLGRVRPCSSGGGGGGYEFCSTGGDADRVELTVAESGDDFEELAGGGPMDVGRLYSLVPRLPRPEEGSSELAAVQVTVFPGKGLAVGVSIHHVACDDSSFMHFVKTWAANCRVASGGDVDAVPPPPPPFLDRGVVADPDGLAAKTLDQMRQLANSGPPPPPPSGPPPKLFMASFTLTRDSIDKLKQRVTASGGGGVHCSAFTVACAYAWTCLARVDATSAARERAHLLFSVECRRRLTPPVPQEYLGNCLRPCFVEVDTAGLLGSGADGVVTAAVAIGAAIRGLDDGVLDGADGWFQKIVSLMPHRPMSIGGSPRYGVYDTDFGLGRPAKVELLSIDKTPGTVSMAEARDGHGGIEIGVALPEADMARFSSCFADGLKQL >OGLUM02G38740.1 pep chromosome:ALNU02000000:2:37378090:37378846:-1 gene:OGLUM02G38740 transcript:OGLUM02G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATAAGAAAAAAGTGAGSPCGACKFLRRRCVPECVFAPYFSSEQGAARFAAIHKVFGASNASKLLSHLPVADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQARAQLACGIQSSSHSPVSWPDSGSISALLRQDMARRPPGGALDDCFGGGGALLPELMAAGFKDDVAAVQMQQHCSKAVDAGELQYLAQAMMRSTSNYSQ >OGLUM02G38750.1 pep chromosome:ALNU02000000:2:37381387:37384325:-1 gene:OGLUM02G38750 transcript:OGLUM02G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDLFEGLPPPAAAAAAPAAGGERAPSPSPPPPPRLPALKSSLKRDKPSSSDAAASSPAAAAATDAAAEGRVPEKRLRFRTTVDASEMQVIDAMHKITSHIRNPSKFSKASKLALQLIEAGSVKPGTVSHFFAILEAAMSSPGACNEPSVRADYQALFNAAQGVTECFNQQQKKQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAISALPITTVDDDNDEAAALAAVESKSGTTHNNTDDNAQAAASNSLPDDSTHAAASNSREESSDPFGLDGLLEHKSKKSEKAREKTVAALNRKADEDESKRFLKSQREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNMNRFTTQQRDAITKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHAVGGGGERRATQWLG >OGLUM02G38760.1 pep chromosome:ALNU02000000:2:37386251:37390529:1 gene:OGLUM02G38760 transcript:OGLUM02G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSNYRSTRTSLFDGIEEGRIRATSYSSHEIDENENDQAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDSSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >OGLUM02G38770.1 pep chromosome:ALNU02000000:2:37394799:37395599:1 gene:OGLUM02G38770 transcript:OGLUM02G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEQPSGSSGPKAELPVAKEPEASPTGGAAADHADENNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLEEEEEGSGPPMPGGAEPLMAGGHGIADPSALPMFNLPPSNGLGGGGDGFPWAAHPRPPY >OGLUM02G38780.1 pep chromosome:ALNU02000000:2:37402995:37408252:1 gene:OGLUM02G38780 transcript:OGLUM02G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLVHWMMPPRFRCQDYLLPLLLALSPAAAAAREVEYHHCHCDGGGGGLWSMDSIFRWQKVSDLLIAAAYFSIPLEILYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLTAFTYEPHPFMVVLLLTTAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWLKARELDREVVLMKRQEEASWHVRMLTHEIRKSLDRHTVLYTTLIELSRVLGLTNCAVWMPAAGEMCLTHELRRDGGGEDGVVGVDDADVVEVRGSDGVKLLGPDSVLAAASGGKEEGTGAVAAIRMPMLKVSDFKGGTPEVIQTSYAVLVLVPPAGKSWGRHEMEIVEVVAGQVAVALSHATLLEESRAMRDRLAEQNRELLQARRDALMANEARQAFQGVMSQGMRRPIHSILGLVSMVQEEALAPEQRLVVDTMARTATVVSTLVNDVMEMSADSRERFPLETRTFHLHAMIRDAACVARCLCDFRGFGFAVHVENALPDLVVGDERRIFHVLLHMVGNLIGRTEPGHVTLRVRAADDDVLDDRLGQRWDPWRPSYSTGYSSVKFVIGVKRQQNGDAGSPLSRRPSGKGIDLRLSFSMCRKLVQMMQGNIWAILDPQGLPESMTLVLRFQLQSPLTSSSLGGSFEQKHSSPSCQIAGLKVLLIDDDDDINLVVARKLLEKLGCVVSSPPSGSGFLSSVGSSAAAFQLVMVNLEMKRVKALDVATRISQYRSGRWPIVMAMASDQKAWEKCAQSGINGILKKPVILQELKDELARILQST >OGLUM02G38790.1 pep chromosome:ALNU02000000:2:37408827:37410034:-1 gene:OGLUM02G38790 transcript:OGLUM02G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGGKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPAKL >OGLUM02G38800.1 pep chromosome:ALNU02000000:2:37413431:37414018:-1 gene:OGLUM02G38800 transcript:OGLUM02G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQETSKDEVIGGRSPSGDGDKAEEELREARSGDDDDDDEAGTRQPYNCTFCRRGFPTAQALGGHMNVHRKDRVGRATPSSSSSTTAAAARRSVSYDTLVRLFRPPASGGSEDAAASTAAGGGGSLRSRNAEPAPQELRLFGRGAGRREEGGGRDRRDRYGCCSKDGDGNGGHDHGEEEELDLELRLGGSGSAGS >OGLUM02G38810.1 pep chromosome:ALNU02000000:2:37415989:37417878:-1 gene:OGLUM02G38810 transcript:OGLUM02G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G00330) UniProtKB/Swiss-Prot;Acc:Q8VZJ9] MEGRERRRSMSSSSSGRRTPELERERWAPWSPAPTWSRPLSVGGGGSRAASLKSLFRTIGLWFSSLSTSSSSSSSAAATSASGSNAKRRSRREPNDLIKKPPLPGPGSDQGKASMRGLYNSSRGRGIATQFQSSVFSMEEILRATNNFSPALKVGQGGFGAVYRGVLPDGTLVAVKRAKLRDQNPHVDVEFRSEVKAMARIEHQSLVRFYGYLECGQERVIVVEFVPNGTLREHLDRCNGRFLDMGARLEIAIDVAHAVTYLHMYADHPIIHRDIKSSNVLLTPSLRAKVGDFGFARLGVGEAGAADGVTHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLLEIASGRRPIEARREMRERLTARWAMRKLAEGAAADVLDPHLPRTPATARAAEMVMELAFRCLAPVRQERPSMGECCRALWAVRKTYRDMVVAAAGDETPLSSISDRASSSSAGTGGDRSGELWRN >OGLUM02G38820.1 pep chromosome:ALNU02000000:2:37419812:37422891:1 gene:OGLUM02G38820 transcript:OGLUM02G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0A6] MAYTEPLLLSARHTTQTSPRHHFLRSRHAAAAAADGRMVVAVQDDETGALVAAVGKGDEDDDDDDAVAGEEDEDDDDAPVVRTARGAWEVFAAESRRLWAIGAPIAFNVICLYGTNSTTQIFVGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAFGAGQVAMLGIYMQRSWIILAASAALLSPLYVFAGPILRLLGQEESIAAAAGEFTVRIIPQMFALAINFPTQKFLQAQSKVTVLAWIGFAALLAHVGLLALFVSALGWGIAGAAAAYDVSSWLTALAQVAYVVGWCRDGWTGLSRKAFNELWAFVKLSLASAVMLCLEICMNINGWEGMLFIGLNAAISVRVSNELGSGRPRATMHAVVVVLVQSLAFGLLAMVLILATRNHFAVIFTGDRHLQKAVANIAYMLAVTMGVVAYINLACYYGFGLPLGFIFGYLFRWGVKGIWAGMLCGTAMQTAILMYMVCKTDWEAESVQALERVRLWGGQPEHEKLPTSEPEETII >OGLUM02G38830.1 pep chromosome:ALNU02000000:2:37423460:37430821:1 gene:OGLUM02G38830 transcript:OGLUM02G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRGRGFGRGGRGDGGGRSGGGGRGFGRGGDSGGRGGRGRGGGRTPRGRGGGRGGGRGGMKGGSKVVVVPHKHNGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >OGLUM02G38830.2 pep chromosome:ALNU02000000:2:37428548:37430821:1 gene:OGLUM02G38830 transcript:OGLUM02G38830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSDFDYRKMEPDQSGAEQTSPRGNDWEVVQLTASTYASAPGPRMSEPSDEAEVKGYDTKGDDSAAALLMSGHFSVSPSEVESLLRGTDGKEHQKELSGQDAVSAEGDDEKFQDTCEHKLKDDLHWIPSFDKGKNLSLVDMEFDKAFQGMGLVGEEPLGFSSSRYNPIDANNEKKTEEPTVQNVNRVIDSSKVVASSEQNKPDDSEFPHEASWKKQLLSLYKNVRKSNKFWPIVVATALVGVTCFWRRWQKGKLQHQPVKLYPSSNEDRGRPRYLIGKYAVVLPPTNMKKINQAVGPLNRIKDILVANNHPAPAIHGHARLS >OGLUM02G38840.1 pep chromosome:ALNU02000000:2:37432674:37436992:1 gene:OGLUM02G38840 transcript:OGLUM02G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAEPLRLLYLVGKIEELIGKRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >OGLUM02G38840.2 pep chromosome:ALNU02000000:2:37432609:37436992:1 gene:OGLUM02G38840 transcript:OGLUM02G38840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >OGLUM02G38840.3 pep chromosome:ALNU02000000:2:37432674:37436899:1 gene:OGLUM02G38840 transcript:OGLUM02G38840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSEMRPVHNSVDTVNAAAVAIVTAESRTQPQAEPRRKWADWLSVYFCFGSQKNGRRISHAVLVPEPLPPRTDAPMPEIPNHPPPPVFPFVAPPSSPASFLQSGGASIVQSPVGAPSFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNAETGELQSYQIYPESPIGRLISPSSACSGTCSPFPDPEVQTSSRSTFPSFPVREPPKILDGEGIATQKLIPRHMRNGGSLLDGHISAAVPVVDFSARLQNNDHAMDHRVSFELTVEDVARCLEKKTNINGESAAASFRLVPTGNGDHIHPRESNDTRAGLCVDETYHDLPEKARRSLSLRKAKEFKFNNVDAPSVEPSVGSDWWANEKVAGITSEPRKSWSFFPVAQPGVS >OGLUM02G38850.1 pep chromosome:ALNU02000000:2:37438900:37442952:1 gene:OGLUM02G38850 transcript:OGLUM02G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPTVKVALGTAAFGIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLFGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFKHLGRLLSWRSQGGKDLLVRTCVVAALASALFTNDTCCVVLTEFILKIARQNNLPPKPFLLALASSANIGSAATPIGNPQNLVIAVQSGISFGQFVFGILPATLVGAVVNAAILLCLYWRHLSDEKCVEVVAPVPTDVVEEEDVTSHRFSPATMSHPRSSSHHHHHHQPGSSLSSPDCEVFEPVKPVTVTSNGDSNNKPDAADAAVVVGIHQRRGGVGGGVRMKEEHAFRWVEEKEEAMEQWKSTVWKTGVYVITLSMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEFMEPYARIDTPTGIVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLILAWVSTVAGNLSLLGSAANLIVCEQARRSEQYGYTLSFFSHLQFGFPATLIVTGIGLLLIRSN >OGLUM02G38860.1 pep chromosome:ALNU02000000:2:37445243:37449013:1 gene:OGLUM02G38860 transcript:OGLUM02G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0B3] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >OGLUM02G38860.2 pep chromosome:ALNU02000000:2:37445765:37449013:1 gene:OGLUM02G38860 transcript:OGLUM02G38860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0B3] MSWCTIESDPGVFTELIQEMQVKGVQVEELYSLDVDSISELRPVYGLIFLFKWMAGEKDERPVVKDPNPNLFFASQVIPNACATQAILSILMNRPEIDIGPELSNLKEFTGAFAPDMKGLAINNSDSIRTAHNSFARPEPFVSDEQRAAGKDDEVYHFISYLPFEGVLYELDGLKEGPISLGQCSGGPDDLDWLRMVQPVIQKRIERYSQSEIRFNLMAIIKNRKDVYTAELKELEKRRDQLLQEMNESSAAESLNSELAEVTSAIETVSEKIIMEEEKFKKWRTENIRRKHNYIPFLFNFLKMLAEKKQLKPLVEKAKQQKASSTSTSAR >OGLUM02G38870.1 pep chromosome:ALNU02000000:2:37449768:37454721:1 gene:OGLUM02G38870 transcript:OGLUM02G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding to TOMV RNA 1L (long form) [Source:Projected from Arabidopsis thaliana (AT5G04430) TAIR;Acc:AT5G04430] MEAPGSPYASSPESAPKRAPRSSPPPPQQQASSEVTSKYKKYKVLLIHLEWKDDKEKPTHLRFLVSNTAAGCIIGKGGSTINEFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVIKAMELILEKLLAEGEESNEVEARPKVRLVVPNSSCGGIIGKGGSTIKSFIEDSHAGIKISPQDNNFVGLHDRLVTVTGPLDHQMRAIYLILSKLSEDVHYPPNLSSPFPYAGLGFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGRYQNNKPSTPMRSPANNDAQDSLTIGIADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFIAGTSERKVTITGTSEAIQAAESMIMQRVTASSER >OGLUM02G38880.1 pep chromosome:ALNU02000000:2:37455233:37464476:1 gene:OGLUM02G38880 transcript:OGLUM02G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSPPDSSSAAAVPLAPGFRFHPTDEELVSYYLRRRILGRRLRIDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFARLDRKVTGAGAGGRGGPGNRTNRATPRGYWKTTGKDRDVHHRGKLVGMKKTLVFHSGRAPKGQRTNWVMHEYRLLDADGTQDLHVVCRIFQKNGSGPQNGAQYGAPYLEEDWEEEDDAIENMPASGAFAEMAATQEYQPEITPVKAQGSNEETNGGGYSCDVFSLDEILQEPENVCKNEEQNAIDDKFTIAELSGYPRQDDGYVGENGPVNWIDPSNGDNTNWPLRAYSTQNHVNGTLSADGFFDTVNGTNSYSGQQQVCPSDNQNLYLQDDGLTSSHQVGDNMPFYDASSNHKWVDGKDDYLNLNDLLYPPAENQPLFDAGDDLMAYFDATEDDFKFDIMGTEDSNSQLPDMSNFVQKDDNNNKFTLDGISNTALYGASSSGSHGNMYPDTAVPDMPMDDTVDKSFGKRLASMLGSIPAPPAMASEFPPSTGKSVVPLSAVNPSSSIRVTAGIIQLGGITFTGSTERLQKNGDFNLLLSFTVEGDVSTKSIGFEPDTQMSTTPMVLRSGMYLFFVSAMILMLSYKVGLCIYSR >OGLUM02G38890.1 pep chromosome:ALNU02000000:2:37460226:37464344:-1 gene:OGLUM02G38890 transcript:OGLUM02G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidyl inositol monophosphate 5 kinase [Source:Projected from Arabidopsis thaliana (AT3G09920) TAIR;Acc:AT3G09920] MTAPAVLPNELEGISRSQRVELFRDASCNIEKEVLSSLANGQDSHASGTNPGFRVGEIRLSNGDIYFGTLLGNTPEGSGRYVWSDGCTYDGEWRRGMRHGQGKTMWPSGATYEGEYSGGYIYGEGTYTGSDNIVYKGRWKLNRKHGLGCQTYPNGDMFDGSWIQGEIEGHGKYTWANGNTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTKGLKDGKGTFYPKGCRVPVNDELYINNLRNRGVLPDIRRQNHGSRILHSSSVDMGNMKVGLTRESSGPSSRRNSSEQPHSKNVSLERRWSLEVAIEKFIGHDATGSSGLERSESINDSDLPMLEREYMQGVLISEVVLDRSFSDSSKKAKRRQKKIVRETKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPRASFWMTFPKEGSRLTPSHPAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPKYYHHVRTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYHRSMAADRLTVLSEEDAQEDDAFNYPEGLVLVQRGGDENSVVVGPHIRGSRLRSTAAGFAEVDLLLPGTARLQIQLGVNMPARAEQNPKEEESKSFREVYDVVLYLGIIDILQEYNMSKKIEHAVKSMQYDSISISAVDPQFYSERFLKFIQTVFPENS >OGLUM02G38900.1 pep chromosome:ALNU02000000:2:37464393:37465851:-1 gene:OGLUM02G38900 transcript:OGLUM02G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGFVVVNKSSDRAASRAGGRQVTTSTSSSKRSSAAYTTTRDEAAAERGLSSATHAGRRRTTATATARLPLQPTNQITTASTGGIPSRSPFDSLLLLRLVVAVVEFVSQSPPPLPLGRA >OGLUM02G38910.1 pep chromosome:ALNU02000000:2:37472691:37475158:-1 gene:OGLUM02G38910 transcript:OGLUM02G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L9 [Source:Projected from Arabidopsis thaliana (AT3G44890) TAIR;Acc:AT3G44890] MASTLAWSSAASSSAPSSRLPPRRSPSLVVVAQGKVKKYRQVILTDDIAEVGKKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQVEQERIDAEKKRVKEEAQQLARVFETIGAFKVPRKAQDLVDIIKSQLNRDVDKRLVTVPEIREIGEYIAEIKLHPEVTAQVRLTVHLLYSHIV >OGLUM02G38920.1 pep chromosome:ALNU02000000:2:37476479:37478207:1 gene:OGLUM02G38920 transcript:OGLUM02G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEILESEYSAAAAAGDAASFVLSRLPHPDTTASAAAAFVVDVAGAGGGRTSTLSFVALRRAALSLASGLRFGLGLRRGDAVLVLSPNSLLLPPIVLGVLAAGGVVVAADPGSTAEEVATVARSSGAVVVVAAPEVAEKVAGAGVPLLLTSRSMDPRALSAEELMDDGDPTALASPEASAAAARPRPSDVAFVFYSSATTKTAATMTHADLIAAVSGASLPEEGRVCLASLPICSVHGLPLLALALPAAGVTTVLLAASPSSDPTAAREAAAAHGATDVVATPDVAAALADPLTMLSSLRRVTVVPALATTEARQAFRRWLPWVELTEMSGSPEKMMASASEQVQVAPDAASAAVIAHIFASLRYINNVFIPSPKPMNTFYFCNTDYRKVPLLKKIQKTVLGDIISKSTANKILREHPEIISKL >OGLUM02G38930.1 pep chromosome:ALNU02000000:2:37478992:37483924:-1 gene:OGLUM02G38930 transcript:OGLUM02G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04420) TAIR;Acc:AT5G04420] MGEGINGDAGDLAAAPYDQWLPFSPAGGSPRPSARYKHAAEVVREKLYVVGGSRNGRYLSDIQVFDFRTLKWSALSAARDSSQLNIENNTTDPSFPALAGHSLVNWKNYIVVVAGNTRTSTSNKVSVWLINVETNSWSSVDTYGKVPISRGGQSVSLVGSRLIMFGGEDNKRRLLNDLHILDLETMMWEEVKTGKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFSDIYLLDLQTMEWSQPDTQGAHINPRSGHAGTMIDENWYIVGGGDNASGSTDTIMMNASKFVWSVVTSVPARDPLACEGLTLCSTTVDGEKFLVAFGGYNGQYNNEIFVMKLKPRNLVQPRLLQSPAAAAAAASVTAAYAVITDEKTRDIVATDDLDVKRVQPSGSSKQITTELDALNGEKGKLESRLAEVRDENSKLKDRLDMVKLSHGELTKELKSVQHQLAAEGSRCQKLESQIAAAHKRLESTDSLENELEVLRQQISQVEQTMTTAQRQKSGGVWKWVAGSAEISDDE >OGLUM02G38940.1 pep chromosome:ALNU02000000:2:37487185:37493060:1 gene:OGLUM02G38940 transcript:OGLUM02G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKDAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKTWVANKVAEKNSQVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTRKVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKDVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGDGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHALCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMRRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHRWRLRRAMRTNFTTGLDSYYY >OGLUM02G38940.2 pep chromosome:ALNU02000000:2:37487185:37493060:1 gene:OGLUM02G38940 transcript:OGLUM02G38940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGAGGAIGGSGREAAAEEEDGGEQWLEEEAEAEAVYCAVGKDAVKEWKANLMWVLAAFPWRRRRSRIVLIHVHRPPSRVNMMGAWVPVSQLAEEEVNAYRQLEEERISKVLDDLLDICKSQKVNASKIIFSCDDIARGLLQLVDDHGITDLVMGAASDKAYSRKMRAPRSKKARKVQLKASPSCKIWFVCKGNLICTRKVNEGLNRTGSSTTSTSPRSSTSDYSRSRSSPRVHSLSSEQFGMQDPAEPTTSSVDQTPIREDNAMDRGTEGFNHEAVAVASSSTVPVSENVEAVQRSAAAVVQSLQEIEEDSPTPSGHGSEDAGDVSDAYDKFKDAVIEAENLRHEAYEETRRRQKVERDLADATRIANEAESSQQREARHRKDVEERLARERAAMEQDRRELDDILEQTREVDARAAELELQITSSERMMRDLEAKLSESYDLLHQLRRERRRDDVPAEAMAGSEAGDQRLTFLRLGLPELEEATNHFDESVRIGGGDGSRGSVYRGDLRSMAVAVKMIGRDVAVDEVGFCREVEAVGRARHPNLVTLVGACPEARAVVHEFVPGGSLEDRLAGAAPALPWHALCGVAHRACSALAFLHSTQPRATVHGDVRPANILLGEECCSSKLAGLGMRRLVRSSGGVALSRPAVGYVDPRHLATGEMTPERDVYALGVVLLRLVTGKPPLMAKQEAREAAGGSKAWHEVFDASSGGWPLEVAREVALVGLKCCDVEEEPAGARRPGELLEEACGVLEAAMSAAPGRSWSSVSSSSDGGEGGAPSYFVCPILKEVMRDPQIAGDGFSYEAEAIREWLRSGRDTSPMTNLKLPRRELVPNHPLRDAIHRWRLRRAMRTNFTTGLDSYYY >OGLUM02G38950.1 pep chromosome:ALNU02000000:2:37493831:37503690:1 gene:OGLUM02G38950 transcript:OGLUM02G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPSGGPAHLPPESIPTSSASQLTTEAEKKRRKKSISIPIPIPIHPSRRRAARRRRGPVAMGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPTQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPSPKDTNCDAVSSCTGDVRPETGVEGRWWCG >OGLUM02G38950.2 pep chromosome:ALNU02000000:2:37493831:37503690:1 gene:OGLUM02G38950 transcript:OGLUM02G38950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPSGGPAHLPPESIPTSSASQLTTEAEKKRRKKSISIPIPIPIHPSRRRAARRRRGPVAMGTSSPEMAAALLLVMAALAGVAAGGDIVHQDDEAPKIPGCSNDFVLVKVQTWVNNREDGEFVGVGARFGPTIESKEKHANRTGLLLADPIDCCDPPTQKVAGDVLLVQRGNCKFTKKAKNAEAAGASAIIIINHVHELYKMVCDRNETDLDINIPAVLLPKDAGNDLQKLLTRGKVSVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREAVIEQEKLLKDGHESSLNLEAGGSSGMVDINMTSAILFVVIASCFLIMLYKLMSHWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFFGAVSYLTIAVCPFCIVFAVIWAVYRRMTYAWIGQDILGIALIVTVIQIVRIPNLKVGSVLLSCSFLYDIFWVFISKMWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGFSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLMITYVALNLMDGHGQPALLYIVPFTLGTFIALGRKRGELRNLWTRGQPERVCTHMHMQPSPKDTNCDAWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >OGLUM02G38950.3 pep chromosome:ALNU02000000:2:37501646:37503690:1 gene:OGLUM02G38950 transcript:OGLUM02G38950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANRYTERQPIGTAAQGAEEKDYREPPAAPVFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVNKSASKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMAMQCLGAICGAGVVKGFQRGLYMGSGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRAHAWHDHWIFWVGPFIGAALAAIYHVVVIRAIPFKSRD >OGLUM02G38960.1 pep chromosome:ALNU02000000:2:37505477:37509273:1 gene:OGLUM02G38960 transcript:OGLUM02G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYRKPTKPRVRHTRPWSSCTKSSNRDNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >OGLUM02G38960.2 pep chromosome:ALNU02000000:2:37505477:37509105:1 gene:OGLUM02G38960 transcript:OGLUM02G38960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYRKPTKPRVRHTRPWSSCTKSSNRDNFHPSSILQTRVNLTRLGTPTLWRYWKHFNLVSMNPDPSKEQLFHGVQQHFQSQFVVLTSGSNLLQMLNKKQQQLDELQVILGFIQAAKRLKTLYHS >OGLUM02G38960.3 pep chromosome:ALNU02000000:2:37505477:37509273:1 gene:OGLUM02G38960 transcript:OGLUM02G38960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYRKPTKPRVRHTRPWSSCTKSSNRDNFHPSSILQTVSMNPDPSKEQLFHGVQQHFQSQVILGFIQAAKRLKTLYHS >OGLUM02G38960.4 pep chromosome:ALNU02000000:2:37505477:37509105:1 gene:OGLUM02G38960 transcript:OGLUM02G38960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSLPRYDSGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGNEDDDDIDGNNSFCSSSDMGDKDMDYSIIEYRKPTKPRVRHTRPWSSCTKSSNRDNFHPSSILQTVSMNPDPSKEQLFHGVQQHFQSQFVVLTSGSNLLQMLNKKQQQLDELQVILGFIQAAKRLKTLYHS >OGLUM02G38970.1 pep chromosome:ALNU02000000:2:37514809:37521152:1 gene:OGLUM02G38970 transcript:OGLUM02G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPTSSSSSCSAAGGGVAAGHQPDEEPESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPTAPPPRPQAPSPTAPPSLLQMWRELEHRRSDADQPFDREPSPDTADRERVRQIARRLTANTDVPTAAAAAATTGEWLGETERQRVRLVREWVQMASQPRDSRVASRRDDTAAGERERRGEPPRLRGRQARLDVITRMARERQRELQGISGYHIVSEFPHRSRNRIQGLLRGRFLRNVVLPVEEEERPPSVAARELGQLRQSHRVSALRSESAVSSEDVSRFDSSVAESVGVLGSDEPQQGAEVRALTGTENTTQIMLEDVDLQEADAENAAIESPSVALDNMVEMHETQVDNRLQDEAGRDARFWQPSLDDSLDRWPNETAEDAERNWEDNAEELHSETMEDDAREHDHLQDEHDEWHDDESHGTENWQDDFQDSPLDMGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRRGRGPLNWNLDAAIPAVNSPNENQEQERNAETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSTRHREWDAINDLKADMGRLQQGMSSIQRMLEACMDMQLELQRSVRQEVSAALNRFAGPEGYPTDLSDDGSKWDQVRKGTCCVCCDAQIDSLLYRCGHMCTCSKCANELIRSGGKCPLCRAPIAEVVRAYSVM >OGLUM02G38980.1 pep chromosome:ALNU02000000:2:37520805:37527667:-1 gene:OGLUM02G38980 transcript:OGLUM02G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSAMGTEIISVQDINTGKELTMGSRSLFTPILPIANSFQQSINTPFAARAAANSKATNMSTCSRSIDTQEEDERGQTKMEEEKE >OGLUM02G38990.1 pep chromosome:ALNU02000000:2:37522397:37527933:1 gene:OGLUM02G38990 transcript:OGLUM02G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G53140) TAIR;Acc:AT3G53140] MGGGGEGELSPAEARLAMMELANMISVPMALTAVIRLGVPTKLWAGGANAPLAAADLLPAGHPDPSVLERLLRLLASRGVFSEHTGSSSPSPRRFSLTAVGRTLVPGGGGSPSGSGASYADYVLQHHQDALVRAWPLLHEAVLDPSGPEPFARANAGVPAYAYYGKDREANEVMLRAMTGVSEPFMEALLEGYGDGGFEGVSTLVDVGGSSGACLEMIMRRVRTIRDGVNFDLPDWVLTTWTNEECTAILSNCHKALPGGGKVIACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRHLGLAAGFASYRAIYLDPFYAVLEYTK >OGLUM02G39000.1 pep chromosome:ALNU02000000:2:37528770:37530811:-1 gene:OGLUM02G39000 transcript:OGLUM02G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 32 [Source:Projected from Arabidopsis thaliana (AT2G36870) TAIR;Acc:AT2G36870] MAIIGRRQQQGVAAAAATLVALMAVVVAAAAEAQPSPGVYPSRMFRAREFGRDFRSLWGAEHQQQEAAAPETGVTVWLDRRSGSGFKSRRAYRSGYFGAWVRLQRGYTAGVITAFYLSNGEAHPGWHDEVDMEFLGTTPGKPYTLQTNVFSLGSGDPPRSLGREIKFHLWFDPTADFHHYAILWTSDHIIAGGAAGFPARPMWVYGSIWDASSWATEDGRYRADYRYQPFIARFSAFLLRGCSPHAPRTCAAPVAGDLTAAQLAAMRWAQRFHMVYNYCYDPKRDHSLTPECRTHLHPSSSSSNSSSSSYHG >OGLUM02G39010.1 pep chromosome:ALNU02000000:2:37531434:37537467:1 gene:OGLUM02G39010 transcript:OGLUM02G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRMVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKIARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLHVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNKEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >OGLUM02G39010.2 pep chromosome:ALNU02000000:2:37531434:37537467:1 gene:OGLUM02G39010 transcript:OGLUM02G39010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRMVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKIARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLHVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNKEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >OGLUM02G39010.3 pep chromosome:ALNU02000000:2:37531434:37537462:1 gene:OGLUM02G39010 transcript:OGLUM02G39010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPQAGPSPAVRVLSRTPPPPASSSPSPPPPAATPPSHDGVVAVGFVGGGGTARLADRILDAHVFSPGGSARTLAGGVRYHRDGEKRMVFLHLAPSPPTPLEGAGDLRELLFMFSVCHVIIFLQEGFRFDTQILKKFRLLQSSKHAIAPFVKSLVAPAVPSKIARSNTPTKPTHRASSISPPARRGGRHPSAISLMSGTGSHPCMLPGLCIPVVLFVFEDDITDAPGAPTSPDDTNDTSSSNQASNTDGLPKPNMTSKGSSSVVMLARPAIRSDGTFSKKLHSSVEGQIRFLLKKCRTLVGLEPGHIVSRGVSNVSHLPLFSLDTSRVVALLDRSISKKREPLDIIAGLFEDSLTSKSSLHVSSLENNCHPATHEDVQFIKDFIFRQSDGLRGRGGHSSNTTAGPVSGVGMVAAAAAAAAASAASGKQMSAPDLPTFDTWLSISSSILSALFSGEDGLSSSQNMKASPTHTSSFPKNDQLPSAGSNAIQTALSCLEGNKGLNVKFSSSWCQRILPAAKEVYLKDLPAFYPTSMHEVQLQKALRSFHSMVKGPAVQVFSKKLKDECQAIWESGRQQCDAVSLTGRPCKHQRHGKSSPSDAALQHSSGYVFLHACACGRSRRLRDDPFDFEAANVTFNCFSNCEDLLPTLVLPRETNAGAFPVSSWRLVRLGGARYYKPTKGLLQAGFCSKEKYLLRWTISLGKGQGKHGTHATNKPFSTASNADPQAPPIVAGEVKSAVTQVTAEIKSMKLENSRKQPEVESMNNSSINFGKGLPNFTMKKPFAEVVAGHTARDSEFPALQQKRPLKPGNWKDERQVSGADQTNGRGHPALSQGPIADNESEKVSRDKSNGSAGGKPFLQIGSNIVPMVVGKETKEVNQSIQQFMVYVGFEHECSYGHRFLLSEKHLKEIDSSYLQFERSNLNKEAESKHGSQKLPQNASRLAATMDVTSGGKLNRPMDSSGRNSQQQLLKPRVDAETLQPSHWLSDPQNERKGELSLQYVTLDDGGEAFSLLNRNLPIYMHCPHCKSSDRKGNQDAKVAAAVSQLQRIFIASCLPSNASDHDQQGSFSLGCRVVLPPESFLTMRLPFVYGVETRDGNTAPLKYLEEQPELTAWLVGGTALQIVSVGHTNEKEAPL >OGLUM02G39020.1 pep chromosome:ALNU02000000:2:37541675:37542640:-1 gene:OGLUM02G39020 transcript:OGLUM02G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDKHAGVVKRKRTKRPRHHAAPASSSESTTTEEEDMAHCLILLAQGAAVVDSKPSTPAPPPAQPPVLAAPAPAPPPPQPPVVVVKSERYTSRKYTEAATTADGVRAGFYVYECKTCNKCFPTFQALGGHRASHKKPRLPGADDDNVNNVTNTNAIVVKSKPPLTTTTTPSAPSPPPPQADAVVVPDVTTVLSLNNVAAAGSIINKLRVHECSICGAEFGSGQALGGHMRRHRPLHAPPERAATTAATTAATATAPDIKKEGSTSINLELDLNLPAPSDEESVSPPPPPVLLALGGQFNDGKKPILQLTASAALVGCHY >OGLUM02G39030.1 pep chromosome:ALNU02000000:2:37547231:37552174:-1 gene:OGLUM02G39030 transcript:OGLUM02G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKEDSEN >OGLUM02G39030.2 pep chromosome:ALNU02000000:2:37549115:37552174:-1 gene:OGLUM02G39030 transcript:OGLUM02G39030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILQESRNPRIHILRKYTASVHLDIGDLCILVLPGLDHRIISGTGGVLITAELFRLLHKTFTKPRKKEKDGCKKERDGGGHETGMRPQVK >OGLUM02G39040.1 pep chromosome:ALNU02000000:2:37552347:37555088:-1 gene:OGLUM02G39040 transcript:OGLUM02G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14770) TAIR;Acc:AT5G14770] MLPALALRRSRAHRAPEPREPHPPAPLLLRRLIPALATSGLAAAAIRFRPADPASLNALLYSHCRLRLLRPAIALLRSSRPTTVAYNILLAALSDHAHAPAVLAEMCKRGVPFDGVTVNTLLAGLCRNGQVDAAAALADRGGGIHALDVIGWNTLIAGYCRVGDTPAALSVADRMTAQGLPMDVVGYNTLVAGFCRAGQVDAARGVLDMMKEAGVDPNVATYTPFIVYYCRTKGVEEAFDLYEGMVRNGVLLDVVTLSALVAGLCRDGRFSEAYALFREMDKVGAAPNHVTYCTLIDSLAKAGRGKELLSLLGEMVSRGVVMDLVTYTALMDWLGKQGKTDEVKDTLRFALSDNLSPNGVTYTVLIDALCKAHNVDEAEQVLLEMEEKSISPNVVTFSSVINGFVKRGLLDKATEYKRMMKERGINPNVVTYGTLIDGFFKFQGQDAALEVYHDMLCEGVEVNKFIVDSLVNGLRQNGKIEEAMALFKDASGSGLSLDHVNYTTLIDGLFKAGDMPTAFKFGQELMDRNMLPDAVVYNVFINCLCMLGKFKEAKSFLTEMRNMGLKPDQSTYNTMIVSHCRKGETAKALKLLHEMKMSSIKPNLITYNTLVAGLFGTGAVEKAKYLLNEMVSAGFSPSSLTHRRVLQACSQSRRLDVILDIHEWMMNAGLHADITVYNTLLQVLCYHGMTRKATVVLEEMLGSGIAPDTITFNALILGHCKSSHLDNAFATYAQMLHQNISPNIATFNTLLGGLESVGRIGEAGTVLIEMEKSGLEPNNLTYDILVTGHGKQSNKVEAMRLYCEMVGKGFVPKVSTYNALISDFTKAGMMTQAKELFKDMQKRGVHPTSCTYDILVSGWSRIRNGTEVKKCLKDMKEKGFSPSKGTLSFICRAFSKPGMTWQAQRLLKNLYRV >OGLUM02G39050.1 pep chromosome:ALNU02000000:2:37563306:37564901:1 gene:OGLUM02G39050 transcript:OGLUM02G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 715, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G52400) TAIR;Acc:AT5G52400] MELVVQALAAAAALLAVFFLSTLYLSPAATARRLRNAGFRGPTPSFPLGNLREIASSLASNNDTDESNTKGGDIHAAVFPYFARWRRAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGKLWGKPDVFRRDRMPMFGRGLVMAEGDEWARHRHIIAPAFSATNLNDMIGVMEETTAKMLGEWGDMVAAGESCVDVEKGVVRNAAEIIARASFGISADDDDATGARVFHKLQTMQAMLFRSTRLVGVPLAGLLHIRATYEAWKLGREIDALLLDIIESRRRREGGGGGKKKKKTTSNDLLSLLLAGSEASAGAERKLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQAAVREEVVEVAGRSGPLDAAALGRLTKMGCVLSEVLRLYPPSPNVQRQALEDVVVVAGDGEKKVVIPKGTNMWIDVVAMHRDGELWGEEAHEFRPERFMREGVQGGCRHRMGYVPFGFGGRICVGRNLTAMELRVVLAMVLRRFAVEVAPEYRHAPRIMLSLRPSRGIQLRLTPLC >OGLUM02G39060.1 pep chromosome:ALNU02000000:2:37569296:37572461:-1 gene:OGLUM02G39060 transcript:OGLUM02G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRESTVASGSNFSSFYVQHRGIGVPGGSGHPTGLHGPPPGGYRQHLDAVSAGYPFQPPHIGGSHIGQGYHHVDASAPVAQHGGGGGGGGMDIGMGVEMSADAKGDQGSGAGQDEPVKKKRGRPRKYKPDGAVTLGLSPSSSTPHSSTSAMGTMVTTPGSGFGSGAGSGGSGSGALTEKRGRGRPPGSGKMQQLASLGEILQPRKWFLGSVGTGFTPHVIIISPGEDVAARIMSFSQQGPRAVCIISATGAVSTATLHQDSNSGGVVTYEGRFEILCLSGSYLVIEEGGSRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGTKKNKAKAEQETENNEEPIGGEEETPTMALPEHNMPHHTMGGWSAGLMRQMDSRTPNIDINSIRE >OGLUM02G39070.1 pep chromosome:ALNU02000000:2:37574539:37576901:-1 gene:OGLUM02G39070 transcript:OGLUM02G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNNLAKRKKQHEFDLQREKEAKEKLAKKLQAKKSKMKIDGDVKRKGGKFKVGKKKVKTKLSALTKAKAAQAMEVDKLE >OGLUM02G39080.1 pep chromosome:ALNU02000000:2:37577410:37580726:1 gene:OGLUM02G39080 transcript:OGLUM02G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQEAKRADVAAAPATATGGEVVKPAAGDAGAVAKMTDGPSAPAHKAATPTGSVDRDAILANVELERKLSMIKAWEESEKSKAENKAQKKMSSILSWENTRKAAIEAKLRTQEEKLERKKAEYAEKMRNQVAAIHKAAEEKRATVEATRHEEIIKYEEMAAKHRSKGTTPTKFLSCFGS >OGLUM02G39090.1 pep chromosome:ALNU02000000:2:37579605:37580626:-1 gene:OGLUM02G39090 transcript:OGLUM02G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSPAAVHTPRPASIRSSSASARPSVGSSSTPRPPIPAAANSKGVAKCLAFHDGDFTFPDDLAPLLDLPDPADSSSTTTTAALISAAPDPDDAITASADSALTEVVDFEMLTLWVLVTAPAEATAMVDAEEEEPLPDQISLALAELRGGRGLSPRSKRLVAALVEAAAAELRPNAATLRLRRAAFWGKVRVWILAATVATVFAIDIALAVALVSRRGNDLYDALPPT >OGLUM02G39100.1 pep chromosome:ALNU02000000:2:37580817:37584019:1 gene:OGLUM02G39100 transcript:OGLUM02G39100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKTVSSSSSAFFSLGFSLVTSGTPAAIHRRSTARASIGDRLRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >OGLUM02G39110.1 pep chromosome:ALNU02000000:2:37584374:37589071:-1 gene:OGLUM02G39110 transcript:OGLUM02G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAASTGSLPVDRFTKLPEDVLLNILDRLNTPDAVRTCLLSKRTLNLRHLLSNFVISAGSFFPDSRFVTLPHLIQANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYPDAFAGLTQLFIQNLRLAEADIPNILSTCFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRVLNLDRLPEGCDIAWTRFFLEAAPNLKKMSITVWDHWCDMETDSVEREELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFIGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVAPSRFPRTIKQQELKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDNVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTNKVEREEQGYSEKKTHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSGYPETIQEQELLKRQITEGLVMVSPHVIHFRS >OGLUM02G39110.2 pep chromosome:ALNU02000000:2:37584374:37589071:-1 gene:OGLUM02G39110 transcript:OGLUM02G39110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAASTGSLPVDRFTKLPEDVLLNILDRLNTPDAVRTCLLSKRTLNLRHLLSNFVISAGSFFPDSRFVTLPHLIQANSAVADATDNVLSFRSQDVPLHRLSICFYLKYYDCLTIGKAVSQAMATYNQIDSVEFIILTELQPECYTVDDFRRNGKQFMTFLGSYPDAFAGLTQLFIQNLRLAEADIPNILSTCKRLQYLRMSVCDSEDSVLQLQLEHPRLVELDIYDAGFHLVDLKSLPNLKRLVFGMWVSPGEPLSFGNVPMLSSLSLNNVSAGYQEVFRLSHFLANVPNISNLHLSFASEKIWVKPECPKLLAPVLQKLRVLNLDRLPEGCDIAWTRFFLEAAPNLKKMSITVWDHWCDMETDSVEREELGYRDKTNVEWQSSQPDGFKHHNLVKLIIYGFQPDDNFIGYIRCMMEAAVNLVRISLYDRRFSDCCSDLDPKIKIKVAPSRFPRTIKQQELKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSRFQISVDSFVPDCGYATLKDTIPMNAAVADATDSILNFRRQDIPLRHLSVCFYLKYYDCLTIGKAVARAMATNNLLDNVEFIILPEKKPEHYSTYDLRHNGKQLMRFFGACTDAFAGLTRLYLRNLKLGETDIPNIIATCKLLEYLRLSFCETEDSVLQLQVEHPRLVELDIYHASLELVELNYLPNLKHLDFSLWVCPHEPLSFGNVPLLSSLSLTNVAMRYQEVIRLSHFLANVPNISDLYLNFGSEKIWVQPECPKLLAPVLRNLQVLNLDELPEECDISWTCFFLEAAPSLKEMRVTVWDHWCGMQTNKVEREEQGYSEKKTHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSGYPETIQEQELLKRQITEGLVMVSPHVIHFRS >OGLUM02G39120.1 pep chromosome:ALNU02000000:2:37596487:37599478:-1 gene:OGLUM02G39120 transcript:OGLUM02G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCCCCMARHVQIELDEAGLLFFGSEAMPNINRWIGDGSPASLLKGSVVGIKNELGFLTYAMPPAGSFDSAASAITTNTSTDLVAAIAAAATASNNDKDKMKKTVDQEEEEEVTDQEDNKVKIGKT >OGLUM02G39120.2 pep chromosome:ALNU02000000:2:37596487:37599478:-1 gene:OGLUM02G39120 transcript:OGLUM02G39120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCCCCMARHVQIELDEAGLLFFGSEAMPNINRWIGDGSPASLLKGSVVGIKNELGFLTYAMPPGNFALCYSSSLIALNPNSADILLLIHLAGSFDSAASAITTNTSTDLVAAIAAAATASNNDKDKMKKTVDQEEEEEVTDQEDNKVKIGKT >OGLUM02G39130.1 pep chromosome:ALNU02000000:2:37601946:37609487:-1 gene:OGLUM02G39130 transcript:OGLUM02G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRRNRNKSAAHNNHLLVVDRFTKLPDDVLLNILERLNTPDAVRTCLLSKRTIHLRHLLSNLNISLHSFLPHYYGYYATSKDAIQIQMNAAVSDATDNILNFRNQEIPLRQLSITFYLKYYDCLAIGKAVARAMATHSHNLDSAEFIILTGKRALYCSIDDLRHNGKLLMTFFGACTDAFAGLTRLHLRNLRLAEADIPNIIATCKRLEYLKLSACQIEDSVLQLQLEHPHLVELDISTANLDLVELNSLPNLKRLVFSVWVCPQEPLSFGNVPLLSSLSLTNVAMRWHKVIRLSQFLANITFIKDLHLNFLSEKIWVHPECPELLAPVLQNLQVLNLDELPEECDIAWTSFFLEAAPSLKEMCITVWDHWCEIETDKVEREEQGYCDKTNLEWESSAPDGFRHYNLTKFTIYGFQPNENFLGYIRHIMEAAVNLEDISLYDRKVLECCEDLDPKIKVAPSRYPQTIVEQELLRKQITEGLVMASPHKSAPVMVVDRFTTLPDDILLNIMDRLDTPDAIPLRQLSICFYLKYYDCLTIGKAVARAMATHKLDSAEFRILTDYKLHYYTFYGLRNNGKRLMTFFGACTDAFAGLTRLYLQNLRLAETDIPNIIAICKRLESLRMFMCQTEGTVLQLQVEHQRLVELDICHGCLKLVKLNSLPKLERLVFYSWRHPQEPLYFGNVPQLSSLSLTNVGLRWHNLIRLSQFLSNVTSIRDLHLNLESERIWVQPECPKLLAPVLQNLQVLTLDDLPEGCDIAWTHFFLEATPFLKELCITVWDHWCNIVTDKVEREEQGYCDKTNVQWEHIMETAVNIEEISLYDRKVEDCCEELDPKIKFAPSRYPQTVEEQELLWKQITERLVMASPHQSRGYSSLITVYKCTTTRELVIQLRAEARRRGLLRHPVQTICDFAVTNTDPSSQHRHRQQKNTTPGSAMLRSTAAELQPMVMYSSFTE >OGLUM02G39140.1 pep chromosome:ALNU02000000:2:37612715:37619243:-1 gene:OGLUM02G39140 transcript:OGLUM02G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWREYILEASSRFFFFLARIISNGVSMIYPTNTHIASQDLRGGHFLEDRLKLNLEWLGGGGFSSDKEPLELGKTAERGTLPPSERARPDKFQRKDIPYKKGSNPKLAAAAAAAASSSSPTPSAPSSLFAAPHPGRLSMAAVDIVEVTFEAKKHMGSRHGSLVEDKRKVLGFLVYPMPPEGAFDPSTDPKILKGIKGELESEGTQVRIGSAKTLRKVREKAIRRGADLIVHWITSLCSGSEVTDDSEAEASEQLDGEMTAATFAVSAA >OGLUM02G39140.2 pep chromosome:ALNU02000000:2:37614128:37619243:-1 gene:OGLUM02G39140 transcript:OGLUM02G39140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWREYILEASSRFFFFLARIISNGVSMIYPTNTHIASQDLRGGHFLEDRLKLNLEWLGGGGFSSDKEPLELGKTAERGTLPPSERARPDKFQRKDIPYKKGSNPKLAAAAAAAASSSSPTPSAPSSLFAAPHPGRLSMAAVDIVEVTFEAKKHMGSRHGSLVEDKRKVLGFLVYPMPPEGAFDPSTDPKILKGIKGELESEGTQVRIGSAKTLRKVREKAIRRGADLIVHWITSLCI >OGLUM02G39140.3 pep chromosome:ALNU02000000:2:37614128:37619262:-1 gene:OGLUM02G39140 transcript:OGLUM02G39140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQIGDGSKPSERARPDKFQRKDIPYKKGSNPKLAAAAAAAASSSSPTPSAPSSLFAAPHPGRLSMAAVDIVEVTFEAKKHMGSRHGSLVEDKRKVLGFLVYPMPPEGAFDPSTDPKILKGIKGELESEGTQVRIGSAKTLRKVREKAIRRGADLIVHWITSLCI >OGLUM02G39150.1 pep chromosome:ALNU02000000:2:37620541:37625629:-1 gene:OGLUM02G39150 transcript:OGLUM02G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKKGSRCNRNKSDPVMLDGFTKLPDGVLLNILDRLNTPDAVRTCLLSKRTLHLRHMLSNLHIRINSFVPRCGYATLKDTIPMNAAVADATDNILSFRRQDIPLRKLSIGFFLRYYDCLTIGKAVARAMATHNIHSAEFNILTEKPPDYSTIDDHRRDGKQLMTFFCACTDAFAGLTRLHLRNLKLAETDIPNIIATCKRLEYLRLALCQTEDSVLLLQLEHPRLVELDISNANLELIELNSLPNLKRLGFGVWVYPQEPLSFCNVQLLSSLSLTNVAMRWHKVIRLSQFLANIPFVKDLHLNFASEKIWVHPECPKLLEPVLQNLQVLSLDELPEECDIAWTRFFLEAAPSLKEICITVWDHWCEIETDKVEREKQGYCDKTNVEWESSAPDGFRHYNLTKLTIYGFQPNENFTGYIRHVMEAAVNLEDISLYDRKVLECCEELDPKIKVVPSRYPQTIEEQELLRKQITEGLVMASPHATRELAIQLTAEATVLLKYHQGIVVQNLIGDTKIQEASFCFFGPKRCCSVIPPFQVHGDASMMLMLLISRGLASGRISPSLFNQREDHIENNSSLSARLMGLELLVLDEAVHLLDLGFLTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDDVFVDTVGLGAVETPTKMIVFCTTAMMTEFMYIMLRDLKLNVREIHSRKPQHYRTLISEEFRDSSRLILVTSDVSTRGVNYPVVTLVIQV >OGLUM02G39160.1 pep chromosome:ALNU02000000:2:37637078:37642579:-1 gene:OGLUM02G39160 transcript:OGLUM02G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKGSRCNRNKSAAHNNHLLMVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLQIWVNSFVPDCGYATLMDTVPMNAAMADATDNLLTFRSQEIPLLNLSIGFYLKYYDCLTIGKAVARAMATHKLDSAEFIILPEKKLQYCTIEDRRRNGKQLMTFFGACTDAFAGLTRLHLRNLKLAETDIPNIIATCKRLEYLRLSMCQTEDSVLQLQVEHPRLAELDISSAGLELVELNSLPNLKRLSQFFSSAPSIRDLHLSFLSEKIWVQPECPKLLSPILQNMQVLNLDELPEGCDITWTCFFLEAAPSLKEVCIIVWDHWCDMETNSVEREEQGFCEKTNVEWESSAPDGFRHYNLTKLTIYGFQPNENFMGYIRHVMEAAVNLEDISLYDRKVLECCEVLDPKIEVAPSRYRQTIEEQDLLRKQIIEGLVMASPHIIHFRSYPQQKNKKSAAHNNPQLVVDRFTKLPDDLLLNILDRLNTPDAVRTCLLSKRTIHLRHLLSNLDISVDSFVPHYYGYYATSKDAIQIQMNAAVSDATDNILNFINQEIPLRQLTICFYLKYNDCLTIGKTVARAMATHNLDSAEFIILTGKRAHHCSIDDLRHNGKQLMTFFGACTDAFAGLTRLHLRNLRLAETDILNIIATCKRLEYLRLSMCQTEDSVLQMKLEHPRLVELNISSAGLELVELSSLPNLKRLVFSLWNCPQEPLSFGNVPLLSSLSLTDESMRWQKVIKLSQFLPNVLSIRDLHLNFSSEKIWVQPECPKLLAPVLRNLQVLNLDELPEGCDITWTRFFIEAAPVLKELCITVWNHWCEMETDSVEREAQGLCDKTNVEWESSAPDGFRHYNLTKLTIYGFQPNDNFLGYIRHIMEAAVNLEDVSLYDRKVLECCEDLDPKIKVAPSGYPETIQEQELLKKQITEGLVMASPHIIHFRFKIDQRSLESNPCHGGGNGVGLLESSDALVMPLIARVLASGRISPSLFNEERKWRPDSSDEDVSTSRKDLRFAKFGASSEEELVGGRGERIAGEREAERHRLYDLGRRGWGCGGQAAWRG >OGLUM02G39170.1 pep chromosome:ALNU02000000:2:37643075:37648113:-1 gene:OGLUM02G39170 transcript:OGLUM02G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLSKWQHKRMHEKLARHKERGLLRHEKQLYLARLRSEIRASRLPAAGASPPDDGEGPTSSRAHIRALADRFLLPGAEDLWNEDDGPIRRADRPRPPRRIVSVGGNGGDRRKLDSTKQELPRGGKEPRLAAFNPRRDFQTAAPWWWQWSSSSAIPSRTKEASFCFFGPKRSYSVMPLFQAHQESSDASMMPLIARGLASARTAPSQLNGERFYSFAAGRFGRKLRPDSSDEDDEDISTAKKDMRFARFGASSEEESGDDELEARSAIRKKWSSAALRNCDKKKERRALKSYEEENKDLSGSFRELREEIKNREVLGAERRRYESRGESLFTNKRFDECGISPLTVKALTDAGYVQTTFVQETALPMCLEVLNAMKSHTNHRVSPIFSLVLCPTRELAIQLTAEANVLLKYHQGIGVQSLIGGTRFKLDQRRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRTDIEKIVDSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVEQLYLVMPHELHFHMVYRLLREHIDQEVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSSRLILVTSDVSTRGVNYPGVTLVIQVGVPSDREHYIHRLGRTGREGKSGKGILLLAPWEEYFLNEIHDLPVQKSQTPNIDEEMKRKVDGSIKIVDMSIKEAAYHAWLGYYNSIGDVGRDKTMLVDLANRFCKSIGLEKPPALYRKTALKMGLKDVPGIRIRK >OGLUM02G39180.1 pep chromosome:ALNU02000000:2:37648310:37650671:1 gene:OGLUM02G39180 transcript:OGLUM02G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00560) TAIR;Acc:AT4G00560] MERKSVLVVGGSGYLGQHLLAALAAGGEVDVAFTHHRDTAPQPLLHALPGLRAFRVDLRSGDGLRAVSDSFGQPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQARDNRGSYENPEFIGYLLIYAFVVYIVTSKCRKFLLLILPSHSLVVPVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDNVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEAST >OGLUM02G39180.2 pep chromosome:ALNU02000000:2:37648310:37650671:1 gene:OGLUM02G39180 transcript:OGLUM02G39180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00560) TAIR;Acc:AT4G00560] MERKSVLVVGGSGYLGQHLLAALAAGGEVDVAFTHHRDTAPQPLLHALPGLRAFRVDLRSGDGLRAVSDSFGQPHVIVNCAAISVPRQCETDPAAAMATNVPSSLVTWLLSFGNDNTLLIHLSTDQVYEGVKSFYKEDDETLPVNMYGKSKVAAEKFIIEQCSNYAILRSSIIYGPQTISPVAKSLPIQWMDNVLSQGQQVQFFNDEFRCPVYVKDMVDVVLSLTKSWLADGKAVQVLLNVGGPDRVSRLQMAESVADVRGYSHSIIKSVSASSVDRGVASPPDISMDITKLTQMLGIKPISFQDGVRATLAAEAST >OGLUM02G39190.1 pep chromosome:ALNU02000000:2:37652485:37652754:1 gene:OGLUM02G39190 transcript:OGLUM02G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSKQHLVPCILLLLLVMSHLPISSLGSRRAFREEAVSGFRSHEIAPTMAPSQEKEAGVVAGADSICGEKYAVSRRMVPQGPNPLHN >OGLUM02G39200.1 pep chromosome:ALNU02000000:2:37654787:37656057:-1 gene:OGLUM02G39200 transcript:OGLUM02G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDDLADAHESKDRPLVLSDANAHAHTDAHPDPPAAAADADKHKDDKLPPFTIAYDQMSVDVSDNECLSLNVDSQAIIFAMKSHNNCA >OGLUM02G39200.2 pep chromosome:ALNU02000000:2:37654787:37656057:-1 gene:OGLUM02G39200 transcript:OGLUM02G39200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESWASWVGASVTSAFFASLERCSCINLSTDDDDDLADAHESKDRPLVLSDANAHAHTDAHPDPPAAAADADKHKDDKLPPMSVDVSDNECLSLNVDSQAIIFAMKSHNNCA >OGLUM02G39210.1 pep chromosome:ALNU02000000:2:37656249:37662276:-1 gene:OGLUM02G39210 transcript:OGLUM02G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSTSKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLYAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKENGKKILYMYYYWSDGGGQNPQGNP >OGLUM02G39210.2 pep chromosome:ALNU02000000:2:37656705:37662276:-1 gene:OGLUM02G39210 transcript:OGLUM02G39210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSTSKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLYAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >OGLUM02G39210.3 pep chromosome:ALNU02000000:2:37656705:37662276:-1 gene:OGLUM02G39210 transcript:OGLUM02G39210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:general control non-repressible 3 [Source:Projected from Arabidopsis thaliana (AT1G64550) TAIR;Acc:AT1G64550] MAAATLAAASMGVVREVLGSDVVDEVDQPIIDYIANVLADEDFDFGAPDGHGIFDALGDLLIDARCVADEEHCLEVCSKICEKFGKHGLVKPKQAMRSLVTPLRMNEGMDDKVAPKKQADVFDGPLLSSRDKAKIERKKRKDERQREAQYQTHVAEMEALRAGMPPVFVNHNNSGGPAVRDIHMENFSVTVGGRDLIQDCTVTLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADIERVQLLQEEAHLVQRQKDLEYEAEFEQSTSKSKDGLDKDAISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKQFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGQKLYAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPTLFKNLNFGIDLDSRIAMVGPNGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGNESVLIDVLSILKAAYGLSQFHEKLRTILLPNDSSARRILNLSVLIRAFPIPGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSEGRVSPFAGTFKDYKKMLKS >OGLUM02G39220.1 pep chromosome:ALNU02000000:2:37662801:37675031:-1 gene:OGLUM02G39220 transcript:OGLUM02G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKGRRNKPVCHSVKDKDRLTTLPNDVLLNILERLDTADAIRACTLCKRMAKLPAELSRIVVDANSFTPNKVEPDLLTLSDVVQMNEALAGATEKLLNFRSQQIALRQLSLRFYLRYYDCLTIGKAVQHAMSTYNLETLEFTILTEKQGDCCEETHMLCFGKQFRTFLAAFPDAFAGLTRLQLQHLHFAEPDIPNLLTTCKQLKHLRLFSCLNQDDPAVLRIEHPQLVELGINYGDFEFVELKCLPKLRHMAYVHWDCHGDPLSFGDVPLLSSLSLTNTSAGWQKNLRLSQLLSTVTSISDLLLNFESEKIWVRPECPKLLGPVFHKLQRVSLVDVPEGCNIDWTMFILEAAPSLKEICITIWDHWCNMKTEEDRREEGYGDKTVVDWESSAPDGFRHESLSKVTIYGFQPDDSLVGYIRRVMEVAVNLEEVSLYDRKVCENCGDLDPKIKMKVSPSRYPRTMEKRDLLKNQIIAEGLGMGCPDVIHFRRVAVCCLCLLVQQIRSDQVSGDGDLRPEAACMERVLKSARESGSLNLSNRSLREVPKEVYNNLDTGAQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNNISSLPAAIGDLPLLKSLDVSSNQINALPEEIGFATALVKVDCSNNRLTDLPVSLARCLELSELNASNNTISVLPDELAGCSKLFRLNLEGNKLVTLSDKMFMSWTMLTEMNADHRADSFFPWGICFENLACSMQVCYYLNELSKLWTLTYASACYIQGTMTTLRKLLLTGNPMRTLRSSLVSGPTTALLKYLRSRLSSDEGASGSGSTPTKDDQIAAARRLSLSSKELDLSGLGVTSVPPAAWETNDVMKLDLSKNSIEDLPNELSLCSSLQSLILSNNKIKRWPGTVFSSLASLSLLKLDNNPLAEILATDLEALSKLEVLDLSGSASSLPEPSAVSKLPYLKELYLRRMKLHGFPDSLLGLKLLRILDLSQNYLTSVPEGIKDLTSLIELDLSDNNITTLPPELGLLEPNLQVLKLDGNPLRRVIDVKCWYLNANGLTPSLVPGKDRLSDLPDVVLNILERLDTSDAMKTCILSKNMRATLPDMLSRIAVDVAAFSRPNHRRLTLREVVRTNGAVADLTAAVLEFRRPEIPVHHLALRFYLRSAADDLVYFAGQFHTLFTAYPAVFAGLTRLQLENLWFGDSDIAGILLTCKNLRFLRLFNCKSVRRSVLQVEHNHLVELEISHGNFETIELVHVPKLQTMKCQGWISYRDPLFFGYTPLLQSLSLVDTGMSWKNSIRLSHFLANAPSLHQLNLNFQSEKIWVEPEGWKRLAPVLGELRHVTLVDLPEGCDIAWTMFIVEAAPRLESLSIRVWDHWCKMERDETTRQENGYCDKSNVEWQPSVANLEHRNLAKLTIVGFQPDEHFVGFIRRVMESAVNLEEISLYDRVVGRCCSYLDPKTKSKVVPSRYPRTMKEQVLLRKEMTKGLLLGMDLSHVIHFRS >OGLUM02G39230.1 pep chromosome:ALNU02000000:2:37675493:37676778:-1 gene:OGLUM02G39230 transcript:OGLUM02G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRQVQCPDCQRKRNKKTKTNVGRKKAKGAIDAQAAAVDVQANTPRTRAAAVDVQANTPRTRAAAAKEAAAESQIRLYIAANGY >OGLUM02G39240.1 pep chromosome:ALNU02000000:2:37687074:37689518:1 gene:OGLUM02G39240 transcript:OGLUM02G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLALIAFGVFSLPGLGERGAQWTEVISWEPRAFVYHNFLSKEECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHTTEE >OGLUM02G39240.2 pep chromosome:ALNU02000000:2:37682241:37689518:1 gene:OGLUM02G39240 transcript:OGLUM02G39240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVERMTWMKEMISLDEEGTIKKVQPLTLVIQNIKISFTYTDELSWRSVHGLLESVRGWSTRCSAALECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHTTEE >OGLUM02G39240.3 pep chromosome:ALNU02000000:2:37686805:37689541:1 gene:OGLUM02G39240 transcript:OGLUM02G39240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLALIAFGVFSLPVSAPNAATTDSAAAGGDAEPADSPPPPSPCCHFSRFASSFDLPLLIISLLVSLEQRGPWGTRSTMDGSHILGAQGFRLSQFPECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGQFSGLSAGVVLSSKETNGHQPSGCMSVSTKLELSEVVITKDYRGMNGPLLGT >OGLUM02G39240.4 pep chromosome:ALNU02000000:2:37686805:37689518:1 gene:OGLUM02G39240 transcript:OGLUM02G39240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRPLMRGIRPPRVFPTRGGRTSPLALALAALLLASALLLALIAFGVFSLPVSAPNAATTDSAAAGGDAEPADSPPPPSPCCHFSRFASSFDLPLLIISLLVSLEQRGPWGTRSTMDGSHILGAQGFRLSQFPECDYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRAIEKRIADYTFIPMEHGEGLQVLHYEVGQKYEPHFDYFLDEYNTKNGGQRMATLLISDVEEGGETIFPDANVNSSSLPWYNELSECARKGLAVKPKMGDALLFWSMKPDATLDPLSLHGGCPVIKGNKWSSTKWMHTTEE >OGLUM02G39240.5 pep chromosome:ALNU02000000:2:37682241:37686856:1 gene:OGLUM02G39240 transcript:OGLUM02G39240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVERMTWMKEMISLDEEGTIKKVQPLTLVIQNIKISFTYTDELSWRSVHGLLESVRGWSTRCSAALEEMLNLGGLSSKAKAWEKRGRRWWRRLRPRWMQMKAATAVDKGRAMALMDKGDVVDSHGGRRLGQRPPWTRTARRVQATAMAAECVCPRF >OGLUM02G39250.1 pep chromosome:ALNU02000000:2:37689889:37694993:1 gene:OGLUM02G39250 transcript:OGLUM02G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKNGMSARRRVGARDLGWLSSSSLRLAALPRLRRGRPTNASIKHKHTTRTQQQGRRQFPILPRPASPRLSLTLQTPTSDAASLAPCPRRSHQTLPDLRPAMDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGPPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAVTLIGFSADLGYILGDTTEHCSTYKGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPRNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLPNSYRSAGFHGFG >OGLUM02G39250.2 pep chromosome:ALNU02000000:2:37689889:37694993:1 gene:OGLUM02G39250 transcript:OGLUM02G39250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKNGMSARRRVGARDLGWLSSSSLRLAALPRLRRGRPTNASIKHKHTTRTQQQGRRQFPILPRPASPRLSLTLQTPTSDAASLAPCPRRSHQTLPDLRPAMDSAAGGGGLTAIRLPYRHLRDAEMELVSLNGGTPRGGSPKDPDATHQQGPPAARTTTTRKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICFAVNLGYILGDTTEHCSTYKGSRFRAAIIFVLGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCTWMAVGNVLGFSSGASGNWHKWFPFLMTRACCEACSNLKAAFLVAVVFLLFCMSVTLYFAEEIPLEPTDAQRLSDSAPLLNGSRDDNNASNEPRNGALPNGHTDGSNVPANSNAEDSNSNRENVEVFNDGPGAVLVNILTSMRHLPPGMYSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPNGNLSERKAYDNGVREGAFGLLLNSVVLGIGSFLVDPLCRLMGARLVWAISNFTVFICMLATAILSWISFDLYSSKLHHIIGANKTVKNSALIVFSLLGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALFGGGNVPAFALASVFSLGAGVLAVLKLPKLPNSYRSAGFHGFG >OGLUM02G39260.1 pep chromosome:ALNU02000000:2:37695245:37700432:1 gene:OGLUM02G39260 transcript:OGLUM02G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKSKEEEAARHPSVFLDCPCLRIDECVGTSVAAAQPFFMCVYCMTDGLHLDMMMMFTLLDNYRVVEDRERYVLFSHTSNIILASVSCFFLLSFPLLREEAFFAFLSPGDK >OGLUM02G39260.2 pep chromosome:ALNU02000000:2:37695245:37700525:1 gene:OGLUM02G39260 transcript:OGLUM02G39260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >OGLUM02G39260.3 pep chromosome:ALNU02000000:2:37695245:37700525:1 gene:OGLUM02G39260 transcript:OGLUM02G39260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEVDAAPDLPNGSSATATTDKKKSRESERRRRRRKQKKNKAASNAADADAAGDAGADDDAAEEKPDVKPQVEVEVEYVPEQADLDDGLLADFKSIFDKFTFKDSSADAEDDEKKDEAGTDAAKKAAGSDSDDDEQGTQQKKEGGLSNKQKKLQRRMKIAELKQICNRPDVVEVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEEPMEDEDMEDGMQSVDTISSTPTGVETPDVIDLRKLQRKEPEKQTEKQLYQILEQKEERIAPGAIYGSSHTYAIGAQDKAGPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQEKDGKSKKKDFKF >OGLUM02G39270.1 pep chromosome:ALNU02000000:2:37701002:37703504:1 gene:OGLUM02G39270 transcript:OGLUM02G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSNTDNNLEVFLQAATPCLIWRSASMECFQDPSKVWQLDKKKDEVDYFALEDLWEHYAESSAYGLAVPVRLESGNTITQHFVPYLSAIQIYTSTKSLLAFSRGSAGSESDSWSDDSTGDKLSRSWDAAMSDDDDSSHDSSESVSAKQGAGCLNFQYNEWSSPYERVPLADKVAELAQHYPCLTSLNSAQLSPSSWMSVAWYPIYHIPARGNLKGLSTCFLTYHSLSSVFQDNVEEGRSVVGVSPFGLATYRAEGKLWTSSRSSDLFWAASSWLKQLRAYHPDFIFFTSHCRQSAF >OGLUM02G39280.1 pep chromosome:ALNU02000000:2:37703712:37710215:1 gene:OGLUM02G39280 transcript:OGLUM02G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFLLGFLLGLLALAALEAAALLWLVRRLRRRDSAPQPAPDADELPGERPFPYEKQGFLWILEPEKTPKASNERSSIGGPKETKEKKNIVEVFPAKRSAKIKGRSLILSGPDGFHTTIKLLNCTVFAVSASSMPSRKWAKRYPIKLESKEYQIYNGSKACYLYAETSWEKESWCKALRFLKPTVFSSEDHEIMDRAIKTDGSSKVRLFLKKLAKKASTKVPLEGKTSSGSSTQGERKILDKLRSYQGTPFIEGLMGSQDDKSNSSSSQDTVKPSPTSPALGQIGQPSAFPDVNADDRIADEGTLCWNLLSSRLFFDAKMSDEIHKAIKARIQRTLSSMRTPPYVGDITLADFSLGKLPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGTDSNGEVESDLLESIEQYGNQFRDSQNSVSSVEEKGEPDGSQPKSTGWTSAYISGWKNIMHSIADHVSQVPLSLAIKISSVRGVLRVHVKPPPSDQLWYGFTSMPDLEWDIESSIGDRKITNSHIGSLIGNRFKASLRDSLVLPNCESISIPFMLAEKDDWVPLKDAPFIWLNREPTETRSHAAAVTPTRPDEVILKDDASNKTVAPSLPNSSARSEETLKTAASIDEPTQVPVAAADASHEPRKSPLAPAGEASSPSSPDTIDELRKPLLITEKIQEEDSESKVESPSPLYTSLRGIVPAGEQSGDESKRKGGRRARMMDFGKKMGDKLEEKRRHIEEKGRNIVEKMRENARTNSFDRSMTSSSHSNSQSQ >OGLUM02G39290.1 pep chromosome:ALNU02000000:2:37709485:37711855:-1 gene:OGLUM02G39290 transcript:OGLUM02G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3531) [Source:Projected from Arabidopsis thaliana (AT5G08400) TAIR;Acc:AT5G08400] MMPPPVQTLRLLLTPFPPPPRLRFHRLTATGRSDNAAAASGTTARERRLAKNACRDDDELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVAFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLANSMLDYDPSYDSDQASGVMPSSFHDISDVEFQDNWGRVWVDLGTSDYLGLDVLLNCLTQLSSEHLGIKQVVFGGKKMGDWEEGMKNSDYGYRHFKI >OGLUM02G39300.1 pep chromosome:ALNU02000000:2:37717333:37719488:-1 gene:OGLUM02G39300 transcript:OGLUM02G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMEPGFERGDILFLQMRKHPIRTGDIVVFNDGREIPIVHRVIEVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKYCNVEGFCIFFRPDVCGSDGKADTLVVIGCY >OGLUM02G39300.2 pep chromosome:ALNU02000000:2:37714643:37719488:-1 gene:OGLUM02G39300 transcript:OGLUM02G39300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MQIRHALVHLITLGMVISSALMIWKGLIIMTGSESPLVVVLSESMEPGFERGDILFLQMRKHPIRTGDIVVFNDGREIPIVHRVIEVHERRDNAQVDFLTKGDNNPMDDRILYTHGQLWLQQHHIMGRAIGYLPKAGWVTLVMTEKPVIKTNQAANLQVMGCFNSKPNNAGAIRRRPGRVEVDVCAWIMSS >OGLUM02G39300.3 pep chromosome:ALNU02000000:2:37714578:37717298:-1 gene:OGLUM02G39300 transcript:OGLUM02G39300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MAPPPAGVEAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLFLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMEGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKNKSSCKFAGDGVLQFQTKQCRCDQKKTWEGRSGCLRLDYEFLRLRGAASRTWIIFMATHEHYS >OGLUM02G39300.4 pep chromosome:ALNU02000000:2:37715353:37717298:-1 gene:OGLUM02G39300 transcript:OGLUM02G39300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38730) TAIR;Acc:AT5G38730] MAPPPAGVEAVCAAVIKSTLTQPHNHHHLLAASPSLLAAVLHRLSPLPSTALAFFRSLPPPHPLDASLALLRLLAPHPRHHPTARSLLRDLSLRHPLSSPLFLPSLLADPHLPSWLLLLLSQSARPHDALRVFDHMRAREVVPDAHASSALLTALAKSRMTATARKVFDQMTRAGVAMNTHVYNAMLHVCLKAGDAALAESLMTRMDAAGVPLDRFSFNTVIALYCRKGMQYEAMCVRERMENQGVKADVVTWNSLIHGLCKERRVKEASQLLREMAMEGVAPDHVTYTTLVDGYCRAGDLEEAVKLRGEMEAMGMLPGVATYNAILRKLCEDGKMKEVNVLLNEMDERKVQADHVTCNTLINAYCKRGDMTSALKVKRRMMESGLQLDQFTYKALVHGFCKAKELDEAKEALFEMMGAGFSPNYSVLSWIVDGLCNKNNAVAVLAIPDELMKRGFPPDKAVYRSLIRRLCKKGFIDLAGNVFNEMQGKGLEADCLVYATLACAYLTAGKPVAALDILNEMAKKQLYITPQIYNCMCTSYADEKGSLNMLWVHAIERGLITKSVYKVMHQARMKSSNPAV >OGLUM02G39310.1 pep chromosome:ALNU02000000:2:37720277:37723175:-1 gene:OGLUM02G39310 transcript:OGLUM02G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMATHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKNGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPKPAAVGIDEEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >OGLUM02G39310.2 pep chromosome:ALNU02000000:2:37720275:37723195:-1 gene:OGLUM02G39310 transcript:OGLUM02G39310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMATHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKNGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPKPAAVGIDEEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >OGLUM02G39310.3 pep chromosome:ALNU02000000:2:37720275:37723195:-1 gene:OGLUM02G39310 transcript:OGLUM02G39310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFNSKPNDAGAIRRRPGSIGEVAVFVPGLRVPESSDELPLQPLGDGLPRRLTERLAALRNRIIVMATHEALYMTKPTWRITITQHGGSKSADLLQALEDYLPTLLGLVKNGSELEDKVQFAWMNQEDDAEDTSMPSAWYEVLSVLHLMALLRLSQANSLLVPKTSIEGYHAKVSEENKRASVEIFLKAAGYLECAIQHVLPKISPEKRWKGLPVDLAEGILKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESISDLPLLDGWAEKHRLFVTWKHIEAKAAAYYYHGLILDEGNSEKSHRTAVAALQSAEELLKESKAACEAFHAASPVSRRAIHSFQISPPLWGSMRYLQEKIHKESSCKVRINKDLYNKDNIIIHDHADSAPPLPDFAVALKPDEYRLPPPLTDTN >OGLUM02G39320.1 pep chromosome:ALNU02000000:2:37727939:37730722:1 gene:OGLUM02G39320 transcript:OGLUM02G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPHLAGALDAARPFLRGEEEQVDPALPKLAAVLRAAGAGECWHKHGTFLAHLLDVHRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFEPDVGRDHVRPIVGAAAERLVHLFCVVPRHQLIHDDLLFHYADQDLVADLAASEASLQDARRGLFHHDGEATGEDVALSRRIAATFLLMTMADFSDQLFDWQDRLFGNTNGRLEFSGNTWTSLWPGTGKPGLWTTSISRMGALYSLIVRDEEIYIAQRKHSNNGQEDDRDEDIELVIPPVFNGCTQVLTADDQKAARDLYWDAVCSGGEDETDWRKVEELLRRCIGRNPFVGEPHLVLAQVLLNMEMYEEAEEQIEAGVKLLLEWGSSWDKRMPWEAWVSWGRAMLIKAKDKDWPHTSFGILSIGLVK >OGLUM02G39330.1 pep chromosome:ALNU02000000:2:37736280:37737515:1 gene:OGLUM02G39330 transcript:OGLUM02G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVDAVVAAARPFLRGDLSQVDPELPSLVSVLCDAGAGECYHKHGTFLAHLIDVYRILRLWGAPDAVARCGLYHSAYSNSYVNLAIFQPDVARDHVRGIIGAAAERLVHLFCVVPRHQLMHDDLHLRYTDAELTAHLAASQASLDAARKSGGDPGEAWRAKLASVVPPEGVVARHIRTGEAVALSRRVLGVFIVMTIADFSDQYTDYQDKLFDNEDGRLEYRGDNWRALWPGSGKPGLWMSAMSRLAAVYRLIATDEEIRELTAGERSVVKREDAELELVIPPVFERCSKVLDAAEQKEGRDLYWEAVCGEWEEGKTERLLRRSIEKNPFVGEPWLVLAQALLNSGHDEEAEAAAEEGVRLVVEWGSSWDKRMSWEGWVSWGRVLRDGAHRRQWPRTAWGIINLGLVK >OGLUM02G39340.1 pep chromosome:ALNU02000000:2:37752220:37754545:-1 gene:OGLUM02G39340 transcript:OGLUM02G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFSQPDAFSPSQFTSSQNAAADSTTPSKSRGASSTMPLTVKQISEAQQSGTTGEKGAPFVVDGVETANVRLVGLVSGKTERNTDVSFTIDDGTGRLDFIRWVNDGADSAETAAVQNGMYVSVIGSLKGLQERKRATAFAIRPVTDYNEVTLHFIQCVRMHLENTKSQIGSPAKTYSAMGSSSSNGFSEMTTPTSVKSNPAPVLSVTNGSKTDLNTEVLNVFREPANVESEHGVHIDEIVKRFRLPEAKIKVAIDYLADIGHIYSTIDESHYKSAFNE >OGLUM02G39350.1 pep chromosome:ALNU02000000:2:37755451:37760068:-1 gene:OGLUM02G39350 transcript:OGLUM02G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSAGTPLPPPSLSAASTSSCFLPSLLPIRRRRWPTPKAAATAAFPPRRPAPLSANNLPPHTPGVSETTSTSTSSTTFASGTFRGAGGEDPLVSKLRTQLGVIHPLPAPPVNRSVLGLFALFFFVGAAFDKLWTLRKRRRAEREVKVNGTWPQVPTSSFSLFLEEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIVGLLQPVIDNLHKPDYVNRVEIRQFYLGEEPLSVRNVERRTSRRANDLQYQIGLRYTGGARMALALSLKFSAVPVVVPVWVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSLFRLFNLMEDLPRLFVRPKKIVLDFQQGRSMGPVAGDVASDVIQNVASGILQDVASDVIQDGNKDFVGELSVTLVDARKLSFVLFGKTDPYVVMILGDQEIKSKKNSQTTVIGQPGEPIWNQDFHMLVANPRKQKLCIQVKDSVGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGGWGLLRKRSKGEVLLRLTYKAYVEDEEDEGVKNEFAAGYVSDEDVLDYVQDSTSKQSDMDGKERETFMDLLAALLVSEEFQGIVSSSEPGSLRDSEQAAKSRDGENAAAAADTGTVSNSSTDTALVWLAAITSVMVLVSSNLGGSGYFNP >OGLUM02G39360.1 pep chromosome:ALNU02000000:2:37761357:37765503:1 gene:OGLUM02G39360 transcript:OGLUM02G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPPPPASLSPQEWEQLIDDFPTPRRHRWLHLPLLDLALSSLPRRDLPSHLRPLLLSFLDDHLLRDHLLLTVTSAFASALSPPVSTDHADPLSALVNALLASANRPNHAPDRAARALACDALRALDAALPGLLADVLGHVYALAAAERSPAAQSYLLLLASAARHVVRLGRLPSTTSILAVSGPPTPFFVPAHLLAPAPDPANPVPPPSEVNLRDIRKVLALIMDRPQVLTPASAMEMAAILAEVSAAVVGWAPAIAAHVKVQFGGMAHSSSLMLLHSLLTLFIQFPDAFGAEDERKMARRLALAACEAHRPLTARLLALHWLLGSGKFRYAVPGLAKWFYPGVFDPLAVKAKKLDCLALVAAGVDADKIEGGRDVDQTIGLVDDGLACVSAFRWLPGWSTETCVAFRALHVVLVAAAPHSTDGSGCSGAGELLNSTIFHHLQAMLVDMTSEHRGLVPVIADFINRLLACNTHRWAGEQLLQTLDENLLPRLEPGYQLASYYPLFEKIAENETVPQLRLIELLTKQMASLAKKHDPETELKTWSQGSKVVGICRVMMKHHHSSRIFFPLSCLLVLTIKSYPDLEVRDHARICLRMLSCIPGKKLRHLMGIGEQPAGVTPSHPGPLFDVPSPRPAQDLKSMPDLASYIHLERVVPLVVKQSWALTLPNFSIQSRASGQILSIQDVSSTPPEQEKTPQPTIERIAYTQEALRVMDSKGAETLEILRRHFACIPDYLHSVGLKIKIPCTFRFDSEPFNHAWGSDSAVPGSEGVDGLPALYAATINFSSSAQFGKIPSCHVPFLLGEPPGSGMDIMPLDNGHRLESSYCASVVIELEPREPSPGLIDVVITANTENCQVISGSIQPITVGIEDMFLKASVPPDILKEDAAEYYQDLFHALWEACNSCSNTGRETFPLTGGKGSAAINGTRSVKLLEVTPKVLIRAIERYLAPFVVSVAGDSLITILRGNAIIKNVVWEESDSAPIVGADALVPYSVDTNLSLRRIDEDEFEVGAETYAHLSKRDMGIVRVLIFLPPRYHLLFSMEVGYASTLVRIRTDHWPCLAYVDEYLEALL >OGLUM02G39370.1 pep chromosome:ALNU02000000:2:37770056:37772392:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPVDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTASIHTEKPAKDILQVQNMFPLPIDTHLLPGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKALFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIAITTQARGIL >OGLUM02G39370.2 pep chromosome:ALNU02000000:2:37765898:37768402:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALMMSLRFAPPASVAAPPPRRPRAVASSASSPAFQRRRPQNVPGDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDEHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIANYTS >OGLUM02G39370.3 pep chromosome:ALNU02000000:2:37765898:37772392:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPVDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTASIHTEKPAKDILQVQNMFPLPIDTHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIANYTS >OGLUM02G39370.4 pep chromosome:ALNU02000000:2:37765898:37772392:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPVDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTSSIHTEKPAKDILQVQNMFPLPIDNHLLPGVYLCGYHSQDSYGATSYLLTHPDGNILVDSPRYTTKLAENIEKLGGARYMFLTHMDDVADHRKWAERLKCERIIHSGDVEDVTADVEWKLTGNGPWDIGADFELIHTPGHTEGSVCLFYKPVKALFTGDHVAKSEESDDLDLFLMYSKQSVGLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIANYTS >OGLUM02G39370.5 pep chromosome:ALNU02000000:2:37768428:37772392:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPVDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQALLSCPTASIHTEKPAKDILQVQNMFPLPIDTHLLPGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKALFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPVVRVVLCICCPAQSERC >OGLUM02G39370.6 pep chromosome:ALNU02000000:2:37770056:37772392:-1 gene:OGLUM02G39370 transcript:OGLUM02G39370.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT4G33540) TAIR;Acc:AT4G33540] MALSLLFAAAPRAVASFRASSASSPALDSGRRPQNVPVDFFVDHRCIDCQTCRWMAPEVFKRVDGKAAVAAQPISDDHRTKALQGVYHCGYHSEDSFGATSYLITHPDGNILVDSPRYTTKLANNIEELGGARYMFLTHRDDVADHRKWAERLKCERIIHSGDVERATVDVERKLTGNGPWNIGADFELIHTPGHTQGSVCLFYKPVKALFTGDHVAKSEESDDLYLFLMYSRQPVSLQLDSMRKLLKLDFEWFLPGHGYRIHYKDVHAKDSAIESLIAITTQARGIL >OGLUM02G39380.1 pep chromosome:ALNU02000000:2:37772904:37776551:-1 gene:OGLUM02G39380 transcript:OGLUM02G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19895) TAIR;Acc:AT3G19895] MSSSHSHAHGGLLPTSAASSSGRLARVRLHDLAPYDGAATPAYGRAVHALSASLTRHGAALLDLPDAHAAILRCALQSARAFFRANDQPAFYLYRAGGSASRTSDDGGGDLVPACMDDAFRCFGEAARAALSAIARHLRLRTNVFDHLLDDTPLPVNEVSSSELLVAYSNQHLHTDHASSTACLGSSVPQVDRGFLVLIASDHPGIEVCDPNGQWYLADGISGPGDLLLLTGRALSHVTAGLRPTSRYRITNETRASLTFRLMPHANAILDCSPIAAAGHCVPQMYQPISASQFMDDLCAEERAVSNHSEAPSESQGSFISEPSLRSVLSDPLSGAFLEDAMVLLCGHSFGGLMLKKVIEMARCTICNGEVDPATLFPNLGIFLTTNFLPRNWHYLCLTCSLTAVSILDIIALRAVATVVKMEDDRRLFHNAALRKRRKEVTECMDVLKSTGGSRGNGELVLDAENPTSPRGVQYPFVVGERVLIMGNRRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKVSELQLQSEMRLQPLTFLHNKYPSSGS >OGLUM02G39390.1 pep chromosome:ALNU02000000:2:37777801:37778166:1 gene:OGLUM02G39390 transcript:OGLUM02G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVDSMEAEYDALLDAVAAFIAEPVRTTGAIQDLKNRIDAFYASCDRADDLVRAAADRVAFNATGNAHVPPPPAAAPPSPGTGTTRIDALLRAVEGIAHHDHPPLQAKAKAAAGDQHHN >OGLUM02G39400.1 pep chromosome:ALNU02000000:2:37779965:37781236:-1 gene:OGLUM02G39400 transcript:OGLUM02G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRRSLAAGPAPALLLRRQLLMRFLSTQTESQTQTPADLATLKNSIRSAAHTPEALADLFISGLSHPAFLADRPIFTLSVHRLASAGRRDLVASILSSSLTSLPAPHPSEGFLIRLISLYSAAGMPDHSLSTFRIVTPPSDRALSALLSAYHDNRLYDRAIQAFRTLPAELGIKPSVVSHNVLLKSFVASGDLASARALFDEMPSKAGVEPDIVSCNEILKGYLNAADYAAFDQFLKDNTTAAGGKRRLKPNVSTYNLRMASLCSKGRSFEAAELLDAMEAKGVPPNRGSFNTVIQGLCKEGEVGAAVAIFKRMPEVPRPNGKGVLPNSETYIMLLEGLVNKGVFAPALELFKECLQNKWAPPFQAVQGLIKGLLKSRKAKHAKEVAMAMRKVVKGDAKEEWKKVEAEFSFEPTDKKA >OGLUM02G39410.1 pep chromosome:ALNU02000000:2:37781866:37785804:-1 gene:OGLUM02G39410 transcript:OGLUM02G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGHGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFNYCSSMNESINGKMNELFAVAGRAGVAMMNMVSSSSIQPGQIHSIWQRRQGGESSGRYVVMSSGSVRKSSSSRRRVVAVIRAVGDGAGESTSGKDDEEEEKRRREELERLVGGPEDATFSGADLAALIRSKYGRSYDVTLIKKEFMGRNLLAMNVMWKYREQRSFPLTEEEYLLRLDDVAASLRCWGAVAHVRSSLAKLKDRPRIGKAVSIFIDMPTDDSGARSNEWIYK >OGLUM02G39420.1 pep chromosome:ALNU02000000:2:37786125:37787627:1 gene:OGLUM02G39420 transcript:OGLUM02G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPAALHLLLSRPWLTAAQLRQAHAHLVVSSLLADRFFPNSLLRSLLPPSPSHSPLRALRLFPRLRRIIATNPFFPNAYTFSFLLTASASLAPLPHAASPRVLVESLHALAILVACDAHAYVSNGLIHAYASCALLCSARRVFDVNVSCRDVCSWTSLLTAYGRAARLHHARALFDAMPHKTTIAWAAILSAYVGAGSFAEAVDVFQEMLRARVRPNRAVILSVLAACGALGALEQGRWVHAHLVAAHGAMAKDGMVATALVDMYAKCGSLETARQVFSGMAERDVFAYTAMISGLSDHGRCVEAIELFGRMQEEGVRPNEVTFICVLSACGRAGLVGRAREILGSMSAVHGMEAGVEHYGSMVDVLGRAGMVEEALEVVRRMPMRPDSYVLGALLNACVARGDTEGGEEVAAMMAELGLDDRSGVQVQLSNLYAGRGKWEEVVGVRRGMEERKVVKVPGCSMVEVDGVAREFVAGDRSHEAWIIDVAEQLERMLAHH >OGLUM02G39430.1 pep chromosome:ALNU02000000:2:37788147:37792968:-1 gene:OGLUM02G39430 transcript:OGLUM02G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPRGGVGVGVGGGSGIGVAMVFLIVSASSLLIRLPLVARARLPNPTSSSYTSRSRSRALLLLPASSPLRAYCPASRRPSPATCSAAYASSSMATDDNPLLADFDFPPFDRVEPTHVRPAVRTLLARLEGELADLEKGVQPTWGKLVEPLERIVDSLEVVWGTVDHLKAVKDSSDLRAAVEDVQPDKVKFQLRLGQSKPIYQAFNAIRNSSDWETLSEARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLTQKFSENVLDATKKFEKLITDKNEIDGLPATALGLAAQTAASKGHENASAENGPWIITLDAPSYIAVMQHARNRALREEVYRAYLTRASSGDLDNTNIISQILKLRLEKAKLLGYKNYAEVSMAQKMATVDRVEELLEKLRAASWDHAVKDMEDLKAFAKESASPEANDLAHWDLSFWSERLRESKYDINEEDLRPYFALPKVMDGLFSLANRLFGVSVEPADGLAPVWNSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGSPVRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGFVSGIRGVEWDAVELPSQFMENWCYHKNTLLSIAKHYETGELLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTTYDPNGSLSIYDVDRRVAERTQVLAPLPEDKFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLDNEKAIEETGRRFRETVLALGGGKSPLEVFVSFRGREPSPEALLRHNGLLPVAAL >OGLUM02G39440.1 pep chromosome:ALNU02000000:2:37794020:37803854:-1 gene:OGLUM02G39440 transcript:OGLUM02G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDPSVGFFSGVWSRLRAAWRRTGAAHHPAGDDDDGQNEETVVRSRLVRRAAAARRLAHKLAFLSFNLEVLVFVYAFWRARRRNLSWRQPIQALPVLVIPALATLIYAAFIRFTRRLDLKDHRRLKRIQEQKQANDGEPRKPNQNDLISAKKQNCGDVDDASNSLPTTDSNSTFLPPTHSENRTSKPKKRRQPSISSRGDGEADISWGHSKDFQPMPLDGLRKRRFSSEKTYTTTSIATESIEEDTQNTMPSSSCSKEFPELSDSSISQSNNIKPICGSSAPLIRYPGILPRDGNEEVPTASTHLDQHGGAHDSIEDTVFSPLNYRNHSGPAIFVKLTEPPTIHHEAPVGCGEDKVFDRLLDIVNTNFSSCKENLICPVNSYDSSFDRGDSCLTEHGIPSLMTVFREMPVKVSEESSPSQPEKLESYPVSINEAPASPSDYIVAYGSLNDVSQDPSDPVLSALENFEKVPPEGGKEDSLLEPHKLAELQTDTVAPEIVPTSHAIDDNEVIINPDEVTNALACIYTDANIIAAVADIDTGISPRLNLPASQESHCEEFEDPEVRFSSSAELVMKGDEDTWEKEPCGFNGQEGNYVFICSEEEALLGPLAVSTTEQYMTTSGFPLCCQDANMMEVPRIVAVNPELNNPTSGELLTDSDEVSKEELSYDLHLKEPNSLPFDLEKEDFMDPPVVDISEHSLATSDFFLCNEGVETTITEAHEAVKKSLSESQDDGPFNPIKAAVDPSCDDSNTQDMINNVMPAHFVPDINMRENFQGEINNDVFYSSSAAEDNAPSSVQGRFSESEDGTTSAFVDTPIFLDEVTRAENWTNNTGSSQCISDRREIRSFHDGKQVPSETIQGLTLGVEGSFVSPEESINSEKYSLYSRSSSCVSEVNMMHATGGGALAEPGNNQIFNLDDKNTMMFQSVNSTENYGNNSRSVEFIPETNMIETLEVAGESIDGLLHEVSSNVVNAFVTPDIGNDMGKSDDYLDLLSSSSVHTVQNCKAENNPYKTTSSLFSADVNLTGCLGSGQQGNQQGEETALCSENLYMALQDANSEDHFTNLGSQDIPGASISYILQVEEKSDRLHDGIFSIEGTLLSLDDGNIADSNNSSFVLHNTQINKNLLGLQKGSFKLQDEHTMTFISRNKVDIVEKSTCYVAGESMVADLRDTNKTLSEPRGGNISSFSGACNILDESKGSTNHPYYSRSMPSGPECSLIETPEAARGESVETNDENCFSFEETLTPEISSNSPRSASYNCTQEAVRSSGKGSTDPLMVDVHNFDMIPAGEERENESLNEIAYNSEQTAIIMEDVKYTESFLNKPGSLPYAPNDNCAVATENFDKGFSEPQYQDGPEVAVCLAGMPLFVDNGTEAEKSHDNTSSSHSELNITEAIQELSIDVGNKVSPKGSELPDWHFMDKEAKDSRLDDVKEDLEDLDKDHENSPINPPEAAGITPSLKLYAKDASWRDSSMGVSNDFEVARAAGLRQRKQVFTISSGTGSSTMSELTDTQYTELVDDVIDSLNAPLPSSAVTNIKSRPNGMAAYILEQSATEQPHQQYMASEWSSEWCVEEGNVLLSQHLFFANYHCIKARLRLVHRQEHQKRESALLCSILCLMSTKTVPEPEPHVLAVDDSIVDRTVISRLLRSSKYRVTTVDSGKRALEVLSLDRNVHMIITDYCMPEMTGFDLLKRVKESAELKEIPVVLMSSENSPTRIRRCLEEGAEDFLIKPVRPSDVSRLCNRVIMK >OGLUM02G39450.1 pep chromosome:ALNU02000000:2:37804795:37806474:-1 gene:OGLUM02G39450 transcript:OGLUM02G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEQQQRTSTRWKGRRKTLVLVVEEEKRMDCITTYLLFNINIKDMFTHDDEWTVLRPLPRPMAQMDTLRRLALEYLNFAVVASNTIVGCDATADVLSPGPELPEEIIGGTVLIPLGTGVYAIGNRPCRRSPTFQLLLPPSRRVSGRRRASSGAARCGDGWAPASTCGCRSGTPAPTPSTPVGVGWRKEGDWELPIDGRGVFVPELGLCPRLRCLCAFDLPTATAPPVVRYVWPETFSEELNAMGVRAGNPWQLGLPGTMGIQHDHRRVPTRFALLLIAVQLQRDDKEEFCLVSRKLRCYDLPANAKKCLPAAYLATVRLLVTVGAEGGGGEIPVVPTKILLPPQPFFLPPPSQEKTRKSWGLKEKKNHQEKSRSKIIRAAARPVVVTDATAAAGDTSRRREPAAAAKNPDLLPLLLAAAACTVGKEARRRWLAPGSPGNSPPDDRRRI >OGLUM02G39460.1 pep chromosome:ALNU02000000:2:37807054:37807898:-1 gene:OGLUM02G39460 transcript:OGLUM02G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLPRTGSFSGVWWKLGDDADEQRRLADEEAAVKASIQRRHATARVIRRTIAFTSFALEAAGLFVYGLWTARIKAAANKTKKKKQLLLGVLLSVPAFAALLFAAIARFHKFIDAKDQQKLDRLRAERNKAKMGHSRGSHHNMQKLLLTHNTQESDSDSCAEAAATKTASHSRLSFHDGDDD >OGLUM02G39470.1 pep chromosome:ALNU02000000:2:37810607:37815094:-1 gene:OGLUM02G39470 transcript:OGLUM02G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPPSPCLFFLFLLFFMWSSHSHLGAASDADALLALKSALDRSDRLPWRRDTAPALCSSWLGVRQCSQPPRDRRVTKLVLENLNLTGVLTATLLAPLSELRVLSLKSNALTGPIPDALPAALPNLKLLYLSANRLQGRIPPTLALLHRATVLVLSSNLLHGEIPTSLTTLPRLTSLLLDDNRLNGTLPPLPQPTLRLLNVSANRLSGEIPSVLATKFNASSFLANADLCGPPLRIQCAAPTAPAAAAAFTPLPPPRSNRSRRAKNAGIVAGATAAGVVVLGILVAAAVMASRRGRNKRVAGDVDKGAMPEEEEEQQQQQPQAQPREEINASASASASASVASERRGGREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMREPAAGAAELGRRAEELGRLRHPNVVALRAYFQAKEERLLVYDYYPNGSLFSLLHGSSSRTSSKGKPLHWTSCMKIAEDVAAGLVHLHQSPPAGIVHGNLKPSNVLLGPDFESCLTDYGLVPTLLPSHADLASSVSVLYRAPETRTAHAFTPASDVYSFGVLLLELLTGKAPFQDLMEMHSDDIPSWVRAVREEETESGGESASAGGTEEKLGALISIAAACVVADPARRPTTPEVLRMVREARAEAMSSSNSSDRSPARWSDAVQVQMGMGVPRDQRELGGLT >OGLUM02G39480.1 pep chromosome:ALNU02000000:2:37817269:37818190:-1 gene:OGLUM02G39480 transcript:OGLUM02G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLSRLVSKRWPSGALSKRCISQPHGCSLLVAWFCQLYRVLVVVVVVVTTAGLALTACSAFRAARASTGRAIGDAAVAQSYLERIGDRSGEKVEQEKRKASEGFTCKFR >OGLUM02G39490.1 pep chromosome:ALNU02000000:2:37822124:37835059:1 gene:OGLUM02G39490 transcript:OGLUM02G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15680) TAIR;Acc:AT5G15680] MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVQDARQGLWGLEVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHIVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKNPRSRREDLRTHVANIHRMIAEKIWPGMLSRKPVLRLHFLKFIDETCRQILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQGDDIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >OGLUM02G39490.2 pep chromosome:ALNU02000000:2:37822124:37835059:1 gene:OGLUM02G39490 transcript:OGLUM02G39490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15680) TAIR;Acc:AT5G15680] MGAGGAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRESESPKGAHDASTFQKKKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNSRRNDAPLSRSDSLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKHHWPPLGVEPALSLWYDAVARIRGALMYWMDKQSKHIAVGFPLVTLLLCLGDSHTFNTHFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAESNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNKQIGLDVFQEYGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPYLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRCLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEELLAKDMQNVKRSSLGGDALQRSPFHRSRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNELRDYSANEWGDSKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPVPLDVTLQSILESADKSRWARYLSEIVKYAAELCPSSVVRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDSREEFALRAAREIFHIVFPSLRHGSESYALAATAALGHSHLEVCEIMFGELTSFLEDVSSETEAKPKWKNPRSRREDLRTHVANIHRMIAEKIWPGMLSRKPVLRLHFLKFIDETCRQILPPSDNFQDLQPLRYALASVLRYLAPEFIDAKSERFDSRLRKRLFDLLLSWSDDSGSTWGQEGNSDYRREIERYKASQHNRSRESLDKLAFDREMAEQLEAINWASMNAIASLLYGPCFDDNARKLSGRVISWINSLFMELAPRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHLRVLLAKTALKNILQTNLDLFPACIDQCYSPDSPISDGYFSVLAEVYMRQEIPKCEIQRILSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDADGVGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDDEEPVRPGKVDTSANVVLEFSQGPSTSQVATIVDSQPHMSPLLVRGSLDGAIRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTTGRSGQLLPALMNMSGPLIGVRSSAGNLRSRHVSRDSGDYYLDTPNSNDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKQHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLMRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDYVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDINGYTSDLHRLESRTTSERLLSVTETGKVPDFEGVQPLVLKGLMSSVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKDASSLGSSSPIQEQNQKAYYVASNISVWCRVKSLDDLAEVFRAYSFGEIISLEDLFARASPPICSEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESALCAEALNVLEALLRSCSGVTGGQGDDIGFGENGHGMGEKVHQSMLLPQSSFKARSGPLQYAAAGSGFGTLMGQGGGSAADTGVATRDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >OGLUM02G39500.1 pep chromosome:ALNU02000000:2:37835551:37841352:1 gene:OGLUM02G39500 transcript:OGLUM02G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVRPPVDDEEARRRRSTDCIYFLASPLTCKKGSECEYRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDGLVGAPTTPRTSQQSAPQVSVPAQAPVPNPASGTAKQGVPCYYFQKGMCVKGDRCAFLHLPQATGSPAPQHTTKVFAPASVPHPQLKNSWTKPNSSAQQNAPPAIFDKPKDSAHNGKTAQKQNLTNRAGHSSGIIHDKKGSYMPSGVTKNYRPPPSTGDDLAENGVEMGEFVREPSAGSDVLTGGADDNAEQSLREDRGAYRRTNGEQHIGMLRQTHDSYGFERSHRGSAEKLLSESRFSQREPMPLTADSSDLRQRLLKQRRLNNPRSGQVSGRHNVYPEDERHDRHRRRGEEQASHDGVSSSRLHGRIRLPAETTFDRLGLQHEKERDRGPRARLSPPSQTDLRGKLHDRLKAKPNEDVSGNVQSSLSKANEDAESLNFAGPKSLAELKAKKVAGSLMKSSRSLTGPVRMTSEIVTIKDSSDPVLFDGPKPLNAILKRKREADSGNATDFGSKRGEHSGGDEEGSQNDFRNIEDDIVGMNTEGNGEEAFQPEDDVVYGDSLSPADDIAAEAADDASRELEEQQDVETAEEYDYEMDDVNAAEENDYQEYEDEDDDLEDDDDFARKVGVMIT >OGLUM02G39510.1 pep chromosome:ALNU02000000:2:37839487:37841237:-1 gene:OGLUM02G39510 transcript:OGLUM02G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTRGRGWDGTKRRKKKLGVGGEKMMMVGVGIGGVAGALPLVLRRTRTCTPPPPMAMTPLHHTCSSFFRPQPSPSLSHSPCAAADDDDFFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSTHSRARAATMGILILSSTNLTGTKDDDTPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEDRVEEPDVVDLGTIFEEEQTKAPSLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCLGCGENLNTSSCSCNAEKQQAKNVQRRGPLKDLLKPLQR >OGLUM02G39520.1 pep chromosome:ALNU02000000:2:37843831:37847536:-1 gene:OGLUM02G39520 transcript:OGLUM02G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02710) TAIR;Acc:AT3G02710] MDAAHKRKRPDADDDGAAGAVDLSSLEGADDVEVLDLRAAKRLLLAFERRLRDNLEARMKHPDDPARFADSEIALHAETDRLRLLAGAPDLFPDLVPLGLASSLSSLLTHDNADLAAAAASLLADLTDSDDPDDLAGLHSLADALVEANALDLLVHNLSRFSEADPDEAEAVHHTLSVVENLLELRPQLADIVCDRTKLLRWLLARLKAREFDANKQYASEILAILLQDSPANQKRLGNINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPMENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMDSCEQEKQKRELSGSTRRTGGITKGSRRIRLLGKFVENECEKIDRLMEFYTRYSERVKAETERLDSLDLDDLEMDEDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRTRIELLLRQNKLTKDDVKDILEEYHDNIGDLDGPEEKERAQGRTKEIIASLSL >OGLUM02G39530.1 pep chromosome:ALNU02000000:2:37848261:37849836:-1 gene:OGLUM02G39530 transcript:OGLUM02G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEDASSTPLPGGADDYIRDSIHDSLGLPVSDRSLRLKLLASEDHRRRLQDHVFALEEDLRAAARRIEQLKAESAMNAAGLRRCIEEKETMVSAYNDLSSHSAKLERECTLYERDLERAMESCDDLAKENDEMRARLNDHTTLSALTTQVEALHKDKENLRINLNKAEEEVKLLFEENKILDEENKKLLALLEKERQHRSERKHSSSASTAKHKRKSSSLKDTSPVGRTIDFNGADSSSRHPLSPLPPNSPDYRAHKK >OGLUM02G39540.1 pep chromosome:ALNU02000000:2:37851346:37858202:1 gene:OGLUM02G39540 transcript:OGLUM02G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0L7] MAVGLGRSDSIADMMPEALRQSRYQMKRCFQRYVSQGKRLMKRQQLLDELDKSVDDKADKDQLLQGFLGYVISSTQEAAVLPPFVAFAVRMNPGIWEFVKVHSANLSVEQMTPSDYLKNKEALVDDKWGAYDDDSQLEVDFGALDLSTPHLTLPSSIGKGAHLVSRFMSSKLTDNKKPLLDYLLALSHRGDKLMINDTLDTVDKLQTALLLAEVYVAGLHPDTHYSEFEQKYMLYYHTWFQEWGLEKGWGDTAETCKETLSSLSEVLQAPDPINMEKFFSTVPCVFTVVIFSIHGYFGQEKVLGMPDTGGQVVYILDQLTRLIPEAKGTKCNVELEPIENTKHSNILRVPFKTEDGKVLPQWVSRFDIYPYLERYAQDSSIKILEILEGKPDLVIGNYTDGNLVASLLTSKLGVTQGTIAHALEKTKYEDSDIKWRELDHKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSVYFPFTQKQKRLTDLHPQIEELLYSKEDNNEHIGHLADRSKPIIFSMARLDKIKNITGLVEWYGQNKRLRDLVNLVIVGGLLDPSQSKDREEIEEINKMHSLINKYQLVGQIRWIKGQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLNGKEASDKIADFFQKCKEDLIYWSKMSTAGLQRIYECYTWQIYATKVLNMASIYGFWRTLDKEERQAKQHYLHMFYNLQFRKLAKNVPTLGEQPAQPTESAEPNRIIPRPKERQDCNQLTWTTAPSLQFLNRWSLK >OGLUM02G39550.1 pep chromosome:ALNU02000000:2:37851384:37852400:-1 gene:OGLUM02G39550 transcript:OGLUM02G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGDVNLGEKQCCLKLVDGVKGVVDHQLVAAVAERQQVVQQWLLVVGQLGRHESGDQVRAFADGGGQREVRRRQVQGAKIDFQLGVVIQAASIYTR >OGLUM02G39560.1 pep chromosome:ALNU02000000:2:37858012:37864550:-1 gene:OGLUM02G39560 transcript:OGLUM02G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRPTHRHHTEAPDPGGRGRGRGRGRAARYAQPQPQQQQQQQQQGRGCRARGASPPPPPQQQQQQPRSTPTRATTVTVASSSSTTATASSSPLAPELRQAIMEAPRPSELAQPSPTPPQEQPVDAATTTPHHIPSSSKSIRFPLRPGKGTIGTRCMVKANHFFAHLPNKDLHHYDVSITPEVTSRIVNRAVIKELVNLYKASYLGGRLPAYDGRKSLYTAGPLPFTSQEFQITLLDDDDGSGSERRQRTFRVVIKFAARADLHRLELFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPYLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDQESLERSKGGSYPPWQHEAEVPDIWFDNSANSFPVDEGGTVKSVVQYFQETYGFAIQHTYLPCLTVQRLNYLPMEMVKHNAYQDDPYAKEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMDFAPEPILPPLNARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKIWQDPQRGTVSGGMIRELLISFKRSTGEKPQRIIFYRDGVSEGQFYQVLLYELNAIRKACASLEANYQPKVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFTADALQILTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSSSVVSGPGVRGPLSGSSTSRTRAPGGAAVKPLPALKDSVKRVMFYC >OGLUM02G39570.1 pep chromosome:ALNU02000000:2:37867598:37868553:-1 gene:OGLUM02G39570 transcript:OGLUM02G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSPLLALVFLAAGVLSSATSPPPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSRKCPYEMAMATMTSGMDMAIMLSQLGTQKLEL >OGLUM02G39580.1 pep chromosome:ALNU02000000:2:37868703:37873762:1 gene:OGLUM02G39580 transcript:OGLUM02G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYYSCGASSTSSPTSPSLVDYYYCYHRYPSSCSSTSTATSSGGRMPIRSHQQRLSSPTAVLGHETPALREVYTVGRKLGQGQFGTTYLCTQVSTGAEYACKSIAKRKLLSPEDVEDVRREIQIMHHLAGHGSVVTIQGAYEDNLYVHIVMELCEGGELFDRIVERGYFSERKAAEITRVIVGVVEACHSLGVMHRDLKPENFLLKESSSSSSLKAIDFGLSVFFKPGQVFSDVVGSPYYVAPEVLCKHYGPEADVWTAGVIVYILLSGVPPFWAETQQGIFDAVLRGSLDFDSDPWPTISDSAKDLIRRMLRSPPRERLTAHQVLCHPWVCDDGVAPDRPLAPAVLSRLKQFSAMNRLKKMALRVIARNLSEEELAGLKEMFKAMDTDASGAITFDELKEGLRRYGSNLREAEIRDLMDAADVDKSGTIDYDEFIAATVHLNKLEREEHLLAAFAYFDRDGSGYITVDELEHACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGAIDIIGNGRLTIGRPTTATSDDPSPTISSSSR >OGLUM02G39590.1 pep chromosome:ALNU02000000:2:37875896:37877854:1 gene:OGLUM02G39590 transcript:OGLUM02G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVVAAAAAAVGNMLQGWDNATIAGALLYMRKDLPALQAHPALQGLVVATSLIGSTIVTTFSGPLSDSRGRRPMLIASALLYSLAGLLMLWSPNVPILLLARLVDGFAIGLAVTLVPVYISETAPPDTRGLLNTLPQLTGSTGMFLSYCMVFLITLAPIPNWRLMLGVLLLPALLYLLLTIFFLPESPRWLVSKGRMKEARTVLQMLRGRQDVSAEMALLVEGLTTGRDTAIEEYVVGPTDEAKVTLYGGMSSGLAPGSMFGSAVTLASRQGSMLDHLKDPVVALLDSLHDMNPPAGGTTDVPNLGSMIGVHDRPPIDWDEENSGDDDGDIAAPLLTMEGEAATSTVGIGGGWQLAWKWTEGVAADGTRQSTVKRMYLHEEQAEGVHAAALVSQSALCTKQEAEAEVEGGWREVLEPGGVRHALVCGVAIQILQQFSGISGVLLYTPQILEQAGVGVLLSRLGLRDDSASILISGVTTLLMLPSIGVAMRLMDVSGRRSLLLWTIPLLVASLAVLVAASVAPMAAAAHAAVCTGSVVVYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYTLPVMLASLGLAGLFAIYAAVCCVALVFVALRVPETKGLPLEVIIDFFNVGAKGTLPNLHDDDDHY >OGLUM02G39600.1 pep chromosome:ALNU02000000:2:37879897:37882517:-1 gene:OGLUM02G39600 transcript:OGLUM02G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVRGRGGSIQVEQKRMRVLVHSAESPATPAAAISIDSDMVVILASLLCALICVAGLALVARCACRRRGAATTTTTTTTTPAATSPAPKGLKKKAIDALPTVSFALKQQQQAECAICLAEFAAGEELRLLPHCGHAFHVSCIDTWLGTHATCPSCRATVGTSTLFLPLPGRCRRCGEVDLPTLHDFSTATATATAHHNTPP >OGLUM02G39610.1 pep chromosome:ALNU02000000:2:37882528:37884117:-1 gene:OGLUM02G39610 transcript:OGLUM02G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLRLRGGGSRPQTKSQEGLRIHAKEKLPIASNALLQGSRCQAQTWISTLAQVKTLASLAEHRAVFLLSLEIRTKAISSQWHILLFSTFQGSCGTTPLNCALILVSHRVNTMPYFQLRENNWTFNAYMDGKWDVRFDL >OGLUM02G39620.1 pep chromosome:ALNU02000000:2:37886234:37909330:1 gene:OGLUM02G39620 transcript:OGLUM02G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGRRVGGPRGGGESSPSPAAXXXXXXXXXXXXXXXAASGGRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQAILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKDHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKRWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGDEEAFLKKVVTPIYKVIEKEAERSESSERSERSKTTKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPDYAYHDEVSGENRRVGSGQWMGKVNFVEIRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDAGVFKQVLSIFITAAILKLGQAILDIILSWKARRSMSLAGKLRYILKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGDGQNQPSLYILAVVIYLAPNMLSAVLFLFPVLRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLIVSYYVEIKPLVRPTKDIMKEPIRTFQWHEFFPHGNNNIGIVIALWAPIILVYFMDTQIWYAIFSTLIGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQRLIPSDSNKRRGIRAAFSSKPTKTPEDSKEEEKIAARFAQIWNLIITSFREEDLIDNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSEGKDRDLKKRVKSDPYFTYAIKECYASFKNIIYTLVVGAKERDVIQKIFTAVDDHIAQDTLIKELNMSNLPTLSKKFIELLELLQKNNKEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESVHGGNNRRYEGITPLDQQDQLFTKAIDFPVKESHAWTEKIKRLQLLLTVKESAMDVPTNLDARRRISFFANSLFMDMPSAPKVRHMLPFSVLTPYYKEDVLFSSQALEDQNEDGVSILFYLQKIYPDEWKHFLQRVDCNTEEELRETEQLEDELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLREGFRAADLLNDESPLLTQCKAIADMKFTYVVSCQQYGIQKRSGDHRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMMTVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIVYEIFGQSYRGAIAYIFITFSMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPIKYSGKRGIVLEIVLALRFFIYQYGLVYHLNITKHTKSVLVYCLSWVVIFVILLVMKTVSVGRRKFSADFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDIFVCILAFMPTGWGLLLVAQAIKPVIVRIGLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >OGLUM02G39630.1 pep chromosome:ALNU02000000:2:37909021:37914294:-1 gene:OGLUM02G39630 transcript:OGLUM02G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVRTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVHTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAVLKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTFARMKGNEILIDI >OGLUM02G39630.2 pep chromosome:ALNU02000000:2:37909021:37914294:-1 gene:OGLUM02G39630 transcript:OGLUM02G39630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVRTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVHTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPKALYRSDFFPGLGWMLTKPTWIELSPKWPKAYLYYFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAVLKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTFARMKGNEILIDI >OGLUM02G39630.3 pep chromosome:ALNU02000000:2:37909021:37914294:-1 gene:OGLUM02G39630 transcript:OGLUM02G39630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVRTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVHTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVYDPNFTYWDDWVRLKEVHRDRQFIRPEVCRTYNFGEHGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAVLKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTFARMKGNEILIDI >OGLUM02G39630.4 pep chromosome:ALNU02000000:2:37909021:37914294:-1 gene:OGLUM02G39630 transcript:OGLUM02G39630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVRTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVHTERPGELIAYYKIAKHYKWALDELFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDNWKAKDFICNVSNCFAAIPVTVGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAVLKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTFARMKGNEILIDI >OGLUM02G39630.5 pep chromosome:ALNU02000000:2:37909021:37914294:-1 gene:OGLUM02G39630 transcript:OGLUM02G39630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,3-mannosyl-glycoprotein 2-beta-N-acetylglucosaminyltransferase [Source:Projected from Arabidopsis thaliana (AT4G38240) UniProtKB/Swiss-Prot;Acc:Q9XGM8] MARSPCDLRILLLAAAAAFIYIQEKIVALEEMKIRQDEERVHLKILIQDLEKRSVRTLVNNNVAPVAAVVVMACNRPDYLQRTVESILKYQTSVASKFPLFISQDGINGEVKKKALSYNEITYMQHLDLEPVHTERPGELIAYYKIAKHYKWALDELFIKHNFARGSSMGQFFRQYLEPIKLNDAHIKWNSEDLSYLKEDKFLIQFGKDVASATPLHGSDAVLKAHNMDADVRIQYNDQEDFERIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSRRRIYLVGPDSLSQLRFLNWTFARMKGNEILIDI >OGLUM02G39640.1 pep chromosome:ALNU02000000:2:37914974:37919383:1 gene:OGLUM02G39640 transcript:OGLUM02G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGANSAIQNFSGVRLRGLPFDCGDLDICKFFVGLDIVDCLLVHKNGCFTDEAFVVFPSAMQVEFALHHNRQNMGRRYVEENVHIAYRLDGKALYGVPNSRGCEDNHVQGQDDHWDKEGMSCFSWNPSLRCWHGSNDGGSPCSQAAQAASAGAGGAKKFTLAQLSAATDGFHESNVVGEGGFGRVYRGRLEEGGQVVAVKQLCHGGAQGTREFLVECMMLMMLHHPNLVSLVGYCADAGERLLVYEFLPRGSLDAHLFGRRPQEPPLALGWAARVRIAVGAARGLRYLHEVVTPPVIYRDLKASNILLDDDLNPRLSDFGLAKLGPVGDDTHVSTRVMGTYGYCAPDYAMSGKLNVKSDVYSFGVVLLELITGRRAFDAASSDSESEDHQRFLLLRDWARPYLAGDRKRCFALADPAFQGRYPRRAFYQLAVVASLCLRDNPNLRPSMTDVTRALDHVASQSQPWEDKQRATTTTPPPPNSQP >OGLUM02G39650.1 pep chromosome:ALNU02000000:2:37919780:37929130:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHKEEPKTELR >OGLUM02G39650.2 pep chromosome:ALNU02000000:2:37919780:37929130:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHKEEPKTELR >OGLUM02G39650.3 pep chromosome:ALNU02000000:2:37919780:37929614:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLG >OGLUM02G39650.4 pep chromosome:ALNU02000000:2:37919780:37929130:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLLWKSGPWSFLHKEEPKTELR >OGLUM02G39650.5 pep chromosome:ALNU02000000:2:37919780:37929614:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQIGVVSGLALSAGLYTSFSNIARLFTSDPEVLMVVKSCSLFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLG >OGLUM02G39650.6 pep chromosome:ALNU02000000:2:37919780:37929614:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQYVDQLVIDRAFIHGPCPFCPLMNGLFRELLFSILWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLG >OGLUM02G39650.7 pep chromosome:ALNU02000000:2:37919780:37929614:1 gene:OGLUM02G39650 transcript:OGLUM02G39650.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0N2] MATAGVVVEEAVRRYAGGKPAALLPALLGLLSRQQPSSGWARALASQLHADVAKRPLSAAASNSLLCYYLRSSRLDLALHHLRCRSTPRDSLTYNTLLNHLPASSSSSTTFRLFRFAMRHAHAHAHAAFHPNVASLLSLLRASSSYSDHFLHMIHAYLLKTPASIHTPVANSLLSLYATLGDFASAAILFGEMPDRDVASWTSMIGACLGSGYADQALRLFREMLADGALQPDGVVAVVVLRACAMLEDVRAGASVHAVATRRGLQGDLFVDNSLVDMYAKCLDLRSARKVFDLIAVKNVVSWNTMLSGLVHAGSYPEALHLLALQIGVVGDETTLAVLLQLCKKKLGGQAARSVHGAAIRRRLLSMALLNALLDAYGKCGLVEDVLRVFQGMRERNVITWSTVIAACAHNGRPHAAMACFAAMLETGERPNSITVLSLVEACGSCAEMRASRRAHGVAVRSGLGIDLAVGNALVHMYGRCGELGASARVFDRMPGKDVLTWNSMIGALGMNGRARDALALLHRMEAEGDEVRPNGVTMLAALWACAHGGLVEEGIGCLESMARQSLQPRVEHVSCVVDMLARAGDLDGAAEIVRRSGGSGSPVAWSALLSACRRRGDGGGEVGRSAAARVLELEPGKSAGYLMSMSMGMGLGEEGWAAGMRWAMREKGVKVESGHSVVQHAGGSERDLRPGGVRNELILLALPAVLGQAIDPLAQLMETAYIGRLGALELASAGIGVSVFNIVSKIFNIPLLSIATSFVAEDISKNASKHSSSGKLELSSVSSALVLAAGIGTIEALALFLGSGLFLKLMGVSPASPMHKPAKLFLSLRALGAPANVIMLAVQGIFRGFKDTKTPVFFIGLGNLSAVVLLPLLIYVFRLGITGAAISTVASQWHAVRKNPLDFINDDHRDINGCSTRPNSYGSSSDLLTSMACDALAVSAQAMIASSYAIFDYKRVQKIAMFALQFVCASQPINALAFIFDGLHYGVSDFDYVAQATIAVGVMSSLVLLYAPSVFGLAGVWAGLTTLMGLRMASGILRLG >OGLUM02G39660.1 pep chromosome:ALNU02000000:2:37928218:37931208:-1 gene:OGLUM02G39660 transcript:OGLUM02G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDHYILITINYLQLDCCFQAIVLVQLCSCAGKMAYSSLCRQERKDFEVVIEDGRSKNMYVDQE >OGLUM02G39660.2 pep chromosome:ALNU02000000:2:37928218:37930933:-1 gene:OGLUM02G39660 transcript:OGLUM02G39660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKITINYLQLDCCFQAIVLVQLCSCAGKMAYSSLCRQERKDFEVVIEDGRSKNMYVDQE >OGLUM02G39670.1 pep chromosome:ALNU02000000:2:37933212:37934405:-1 gene:OGLUM02G39670 transcript:OGLUM02G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPSPSPASGAQYAHQFLNTALSQRGPSALPYAEDVKWLIRNHLVALADAFPSLHPKAALFTHNDGRAAHLLQADGTIPIHHAGASYNLPAVLWLPEPYPRSPPLVFLSPTRDMVIKPHHPLVDRSGLVANAPYLRSWVFPSSNLVDLVRSLSHLFGLDPPLFTRSPNPPPIPATPLPRVHPSSSSSSSSPSPYRFPASPQLAARLPPTEDPAEVYKRNAIAKLVDMAYADAATLRPAREAEVDTLFAMQATLRSRGEVVSDGVRKMGEEKEALERRLQDVMMATDLMEAWVMENTKGAAGDTEADEAIETADVLSKQMLECTAADLALEDTIYALDKAIQEGSVPFDGYLRSVRALAREQFFQRVLSTKVNKAQQQAQVARMAARAPQYAS >OGLUM02G39680.1 pep chromosome:ALNU02000000:2:37939426:37940480:-1 gene:OGLUM02G39680 transcript:OGLUM02G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASSTTSTACRAQVHRMARHRLLGLEVVSEEGVSRRCHTGRDLLIMSLPCSVIVKQLGGFLRVPITPGGDILHATMRICFQGIDSFTSPFFVKSGGCRFWEWCDDEAEPYMKQLLLDLRNAVWSAREQVNGLEAALRDRTVAQHNLQSTPKKESNEVQGLRAAVEKMEAANCVLVDRINKQQTCIMMLQMDQPYWVTGNQCMLWQLEIMETTKVMINNDIYFHIWNPLYLITSASKQEWWQ >OGLUM02G39690.1 pep chromosome:ALNU02000000:2:37960631:37965101:-1 gene:OGLUM02G39690 transcript:OGLUM02G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLLLTSRATHSPAPRPQTRRASQLLVSFPSRRSRFVGLRLARAAADSQGPNGAAPGSGSGGDGEGKAANGADTKLPKNRRDILLEYVKNVQPEFMELFIKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEENDSADYAPGTQKKVTGEVIRWNKTTGPEKIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKTLEPQNLKELASSAGEDVVFAMNAFIKRLLAVSDPAQMKTTVSETSANQLANLMFWLMIVGYSMRNIEVRFDMERVLGAAPKIGELPPGENI >OGLUM02G39700.1 pep chromosome:ALNU02000000:2:37968297:37971295:1 gene:OGLUM02G39700 transcript:OGLUM02G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAKCSDEVNDGTYFMAGVMAVTGLMATVLALSGIFHCALRRVGQPSIISHILAGVVVGPTVLGRAVDLRPLGMQDAGSALSDTIYFVRIIFMFFIGLEMDLRYLRHHLRRSLAIACGGSGLCLLLAALAGPFFYGLLHPGQGPFKPEKLYASTALFMLVLTTTASPVLIRIVTELKLTGSEAGQLAIGAAFANDMASLSVFSIMVVGTTAYGPDGQPTPSFPDMSIVMSMAFTACLAVLAAARAARLLNRLKRGRRYVSKYELCAMLLLIIALSLLEQVFGYSASMTAFLIGLAMPRDGPTARTLVDRLTYPVHQLVMPLCFGAIGARLDFAAVGSFTAMQFAVAVAFTTLLGAVGKVGGTVLAGRMLGISARESLVLGFLLNVKGYCDILAINFGNQAGIWGQTAQVVLLLSSILNTFMAGPAAAAIVRQQRAASRYRSRCLQDLKVDHELRVLVCVHGAGGVHTMLTLAELSKGTAPLAVYLLHLVELMAARKYAITHLYHDADADDDEWGYAREIEQVAAAVNTFTYDAGVPVRQMTAISSLGSMDADVRNGVEDSRASLVIVPFHKEQRYDGRMVCRREGRRQLNQRILQRLPCTVGVLVERRLGGRGGGGDEGAENVVKNQVVAVFLGGPDDREAVAYATRLAAHPWVSVTVVRFLPARQDDITIGIDKQLLGTTKSHAGEGAMEVAVEDEEAMADEEFMADVYARLVLAGQVEYTERYVSNGAEMVNSLSAMVGTYSLFVVGKGGGGSAAAAMTSGMGGLLEDECPELGPVGEVLASDDFTACGSVLVLQQHSAHVHHRMRRWNPNIHIANITTTPSSSDHHSHPP >OGLUM02G39710.1 pep chromosome:ALNU02000000:2:37974657:37977160:-1 gene:OGLUM02G39710 transcript:OGLUM02G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLGGVGSPQLSLSSCSSFLSISSAGTSAADGAPHLSLGVGGAEELDLLLQVGIGGGGGGGGGDEEEEERKTIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRHCKQQPTNKQSSHRRSSST >OGLUM02G39720.1 pep chromosome:ALNU02000000:2:37979944:37981228:-1 gene:OGLUM02G39720 transcript:OGLUM02G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGAQDEQMAILLIKTAPWNLLIAKMLTESGMRDSGLDSSSASHKEAMMTQDLSLDSKFNLLLKTTGENEKKRVEAEERSRAHFLDIKRVEEKSQVDFHELKKAVKVRLPQVEK >OGLUM02G39740.1 pep chromosome:ALNU02000000:2:37990949:37991257:-1 gene:OGLUM02G39740 transcript:OGLUM02G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGWPITYHGDCVPGGQRKDVSAGDDAGAEGFDGGLGVVDNKACSDALGGPSFSADMFPDESKSTEPSHPCS >OGLUM02G39750.1 pep chromosome:ALNU02000000:2:37998497:38003411:1 gene:OGLUM02G39750 transcript:OGLUM02G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAC-like 3 [Source:Projected from Arabidopsis thaliana (AT4G35020) TAIR;Acc:AT4G35020] MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENWIPELRHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPISTAQGEELRKLIGAAAYIECSSKTQQNIKAVFDAAIKVVLQPPKQKKKKKAQKGCAIL >OGLUM02G39760.1 pep chromosome:ALNU02000000:2:38017786:38038957:1 gene:OGLUM02G39760 transcript:OGLUM02G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKAMKLLQVAAALVLACLALQATTAAAHFTLGVSDDHYTERSQLTPRLHSEPLLLHLIDRQSEMRLTRRHRPSGLGLVGRLPLHPMGSRGCFCKRPAAMGNLLLLLPHPAKAKLRPPTAAAKRGTLFFCSLSIDGPSSTMTVSITGATGFVGRRLVQKLLSEDHKVCVLTRSASKATSVFPASTFPGITIAEQGDWDKCIQGSTAVVNLAGMPIGTRWSPEIPTMYGLSPDAPSDGVTATNNQTLNGRKKMNKRDGRRQRNDRLDLAQLHSSAPRMATADAAASSFRAPTPPLPGTRLLPQPPLPTPLAMKVGTGPTG >OGLUM02G39760.2 pep chromosome:ALNU02000000:2:38017786:38037312:1 gene:OGLUM02G39760 transcript:OGLUM02G39760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >OGLUM02G39760.3 pep chromosome:ALNU02000000:2:38017786:38037236:1 gene:OGLUM02G39760 transcript:OGLUM02G39760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >OGLUM02G39760.4 pep chromosome:ALNU02000000:2:38018192:38037236:1 gene:OGLUM02G39760 transcript:OGLUM02G39760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLSGRKHARGMTSSSIKCPIKKEIKESRINVTSKVVNYINNASGDARPSVFVSATAIGFYGTSEISSFDESSPSGNDYLAEVCREWEARACQVNQEDVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHQDDLVDLIYESLKNPSYKGVINGTAPNPVRLSEMCERLGRVVGRPSWLPVPEIALKAVLGEGASVVLEGQKVLPVKAKQLGFSYRYPYVQDALRAIARDL >OGLUM02G39770.1 pep chromosome:ALNU02000000:2:38022849:38023900:-1 gene:OGLUM02G39770 transcript:OGLUM02G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin-related [Source:Projected from Arabidopsis thaliana (AT1G02180) TAIR;Acc:AT1G02180] MREIWSSFGLWMLLLMLEAVSPAKIHGNPANDLVALVNANRTATKLPHLRTSAGLGCMALQYISDCIGIGIGCAGDNTVACQPPEAHITEVYAANCGVELPTVDVITGRLLGCHRQRSDTEAALEAVLSGSGNSTAARAVIRGKEHTQVGAGFDRAHRRGPFFWCLLFSSGSANSTFLLEAAGKGVHQSHGCFSVPDNTSLSLSCSSAATAAAVPLLFFILLLLVLQVYY >OGLUM03G00010.1 pep chromosome:ALNU02000000:3:1934:4365:1 gene:OGLUM03G00010 transcript:OGLUM03G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRNTSPPTSASNNSSSSSSKAKKKAVTPAQVAFLVERYLADNGFSASLAAFRTDAAHLFTKAAPVPPKGLLPLSDILHDYVALKEARLAVDSAMHAMHNLVSAYYPHHPPPPAPAPSSPTQFFAASSPPAVPAGAGAVAGYASPIIRYTQTSSSVVVHNSSTSEANAMSTPAQAPAAAPISFPAKKRKAATTKSAAKSKKTCIAPTASSHPKGKTVASQLSLDNSERHSAMAKLPVQGSSVAKSLFNPLQPQVHSSPCTPQQNNPIVAYQTERASSSVVANAHTQQEVASSQCSMVSSKTLIVSPLKGAAYYAVERSYHVSSPLKPSSHKSSKREHVKGKLDFGTCDDRPCSNEAICEEASTSSDVEKQDDFDIDFTNLDIFDGDFSFSELLVDLDLDSEGVHCLNPPTNAEVQRLEGVADPMKAMAEDPTEDINSQGAASAVTCVRAITKRIKIVSPVKGRAGAAP >OGLUM03G00020.1 pep chromosome:ALNU02000000:3:6021:6845:-1 gene:OGLUM03G00020 transcript:OGLUM03G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT5G46700) TAIR;Acc:AT5G46700] MALNYVGLAAINLVAALLSIPVIAAGIWLSAQVDSACVQLLQWPLIGLGVAVLAVGLAGFVAAFWRLPWLLLAYLVGMLLLVVALACLAVFVFVVTGGASSGGHTVPSRAFLEYELDDFSGSWLRGRVDEPAGRWEQIKTCLAATPICSDVNQTYATAQDFFSASWLTPLQSGCCKPPTRCGYTFVTPISWISPISAAADPDCGAWSNDPSQLCYSCSSCKAGLLHNLSREWRRADLILLVATVALLAVYAFACYAFRTAKTDDLFRRYRQGYT >OGLUM03G00030.1 pep chromosome:ALNU02000000:3:10465:14171:1 gene:OGLUM03G00030 transcript:OGLUM03G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAASSSSSSSSTVTNTCKLRLRLSYTHCSIGFAPRVRSWIRAAAEGRGGDQRRSGRLAADGPRVVEVAAPPAAPVVTGGGGGGGGFAARDAELAMWERLGAVVRLSYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQEIRQEGTTNSDTL >OGLUM03G00030.2 pep chromosome:ALNU02000000:3:10465:14171:1 gene:OGLUM03G00030 transcript:OGLUM03G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELAPFAAASSSSSSSSTVTNTCKLRLRLSYTHCSIGFAPRVRSWIRAAAEGRGGDQRRSGRLAADGPRVVEVAAPPAAPVVTGGGGGGGGFAARDAELAMWERLGAVVRLSYGIGIYAAMALTGRFICQMAGIDCTGGFHPSLSALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFYGMSPWQFILIVTASSIGEELFYRVAVQGALADIFLRGTELMKDARGIASLSGMVPPFVPFAQTFAAAITAALTGSLYYVATAPKDPTYVVTPAMRSNTGRENLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSTVVLGHGLWKIHDHRRRLRQRIQEIRQEGTTNSDTL >OGLUM03G00040.1 pep chromosome:ALNU02000000:3:17954:19102:1 gene:OGLUM03G00040 transcript:OGLUM03G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFVKISAPCSFAGHGTTSSSSGSSRSKRGLLLPVGAGAGAGAYGGRQYSDVVPVPALSQAQASSSGGRLRIFSGTANPRLAQEVACYLGMELGRVKIKRFADGELYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVVPYFGYARADRKMRGGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVYGQPVILDYLASKTICPDEVVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRQGHNQSEVVHLIGDVRGKVAVMVDDMIDTAGTVSQGAELLHREGARAVYACSTHAVLSPPAVDRLSSGLFQEVIVTNTVPVLHHRTFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >OGLUM03G00050.1 pep chromosome:ALNU02000000:3:19235:22339:-1 gene:OGLUM03G00050 transcript:OGLUM03G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Inner nuclear membrane protein MAN1 (InterPro:IPR018996); Has 58 Blast hits to 58 proteins in 29 species: Archae - 0; Bacteria - 4; Metazoa - 11; Fungi - 15; Plants - 20; Viruses - 0; Other Eukaryotes - 8 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G46560) TAIR;Acc:AT5G46560] MPMPSRARRRSKSRWPLGEPPPGLFPARDDLLRLLAVVSIAAAAAAACSLLNRRPKPLCDSGGAAYTHHDSCQPCPPHGRCVDGNLECVQGFNKYGNLCIEDGLVSQTATKILLERRICDQYARALCGQPAKILFQELDISNMADELLSKGFVGLSQDGAKVAKIKVLDSARAFFEKTFSSDGVEEFKCPDLVAELYRPLTCQIRQWISRNVMSVTAFGVLFSALLWILWSIYKRQALSKRAEQIYAQVCEVLEDNAIDAKIGNSECEPWVVTSWLRDHLLVPQERRNAFLWKKVEELILEDSRIDQYPKVVKGESKVVYEWQASGSLSGKKKVKKMQGVAAGKSRADGAAGGAIKLAEEMDAG >OGLUM03G00060.1 pep chromosome:ALNU02000000:3:22358:25322:-1 gene:OGLUM03G00060 transcript:OGLUM03G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTRAPTRAIATQLNGLIFFFLLNLKHTYDRKRSTGDPTGLRSGENGSTIGIDGDWTPQGDLDDVRGECDDAAITDLALANTSRHERKLEGAGAVRMDVTASRGLGAQRRHEKSLAFNDLTNPVQAPAQHPVDSMIYQQKGQV >OGLUM03G00070.1 pep chromosome:ALNU02000000:3:25419:26030:1 gene:OGLUM03G00070 transcript:OGLUM03G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKALAAVVAISVSLSAAAMGVDATVESTCSDAAASDKRVHLAMCLSQLGHHRDADAWGLAKAATLVGVDKADLAADDIKELEAGASTAGIKPALAECAKQYRGVGFAFASAHDVINNRAYDVGEKKLDEALSLTQKCNAAFAKIGVPLQQPLAQLTADTIQIAIIAKAITCLVNVNNNPALVAAAAAAAAAKAPQQSQYP >OGLUM03G00080.1 pep chromosome:ALNU02000000:3:26240:26926:-1 gene:OGLUM03G00080 transcript:OGLUM03G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase [Source:Projected from Arabidopsis thaliana (AT5G51110) TAIR;Acc:AT5G51110] MRLAAGVEWWTGGCSCSSSPFQSNPMALTNHILAPAAAAACCFGRRVPLPPPHQLAVRRKQKSVVVAMADLLGDFGARDPFPEEIESNFGERVLGNVDTLHNILIPTLSVLSIARLPLEPNPAPVDAADARRLLHKVVGWRLLDDADGMRLQCVWKVRDEACGHELVARINAAVDGAPATVVFEAPNQVRAELQTPSAGGLTVNDFIVAARIDKVKTVDLIPKKRVWA >OGLUM03G00090.1 pep chromosome:ALNU02000000:3:30957:39447:1 gene:OGLUM03G00090 transcript:OGLUM03G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease, type II-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41150) TAIR;Acc:AT5G41150] MLPFEEQVVADLLEDPNGGLVVVSCGLPVASLASAVLLQLFHQSPDDGCFLILSAPEPVKAQIWRRLLLNSQSQPPLLQLQVHDVAPDLPAHHRVALYASRAALFLSPRALVADLLTSRLLPSRVRALLLLSAHRSSDTSSDAFVARLLRHHHLLPVYAFSDRPHAMVSGFAKAERAMKSLYIRRLHLWPRFHLLAAADLERSPPEVIDVRVPMTQPMRGIQAAILDAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWIFADSSHKIFDLSKRRVYQVVRADGTKVAADNKGTPTKKRKVARASSRKGKETENEGSSDNKDNTQKVNAEMGVVVEEILEEAPKWKVLRELLQEIAEEQAKGDGDNVNPVHEDGINESGIVLVACKDERSCVQLQECISKGSHQVMRAEWEKYLLGKAELHGLHRKNKKTSQQLKGFGVLDGEVPMRSGESAGPVSISKLEANALLAAASEISTVTKEANLADDSNVSCKKRSFGKGKGKGKFKKTMAKREASKLRNRNTTEHTDLEVEGQSGKTDEQAETDACKLSAEDDSASAPAVDKAANNLSAFGDSVDTEPLPPVQFYALDSDQHVLDVWKPSVIIVYHPDITFVREIEVYKAENPSMKLKVYFLFYEDSAEVQKFESSIRRENEAFESLIRQKSLMMIPVDQNGRCIGPTLANEPEALLSQNSLTRKAGGRKPLEKEMQVIVDMREFMSSLPNVLHQKGIRIIPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQIETMVRYYKIPVLLIEFSQDKSFSFQSASEIGDDVSPTNIISKLSLLVLHFPRLRIVWSRSLHATADIFLSLKTNQDEPDESKAMRVGVPSEDGVVENDVRAENYNTSAIEFLRRLPGVTDSNYRRIMEECNSLAELALLPVERLSELMGTQKGARMLKEFLDAKCPTML >OGLUM03G00100.1 pep chromosome:ALNU02000000:3:39632:53357:1 gene:OGLUM03G00100 transcript:OGLUM03G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCNGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNILLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLPAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEVGGELHLAAYEALSYVLPTLSTACTSQFLDLVEPKQINQNSKFSLDFLVISFLDNINNFLVNGVLKRSRRAVLMCWKWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLVALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFHHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >OGLUM03G00100.2 pep chromosome:ALNU02000000:3:39632:53357:1 gene:OGLUM03G00100 transcript:OGLUM03G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCNGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNILLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLPAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEVGGELHLAAYEALSYVLPTLSTACTSQFLDLVEPKQINQNSKFSLDFLVISFLDNINNFLVNGVLKRSRRAVLMCWKWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFHHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >OGLUM03G00100.3 pep chromosome:ALNU02000000:3:39632:53357:1 gene:OGLUM03G00100 transcript:OGLUM03G00100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAALERCFQAVPTDAVAGIVDCVLASSSWSSPSQLFHALLHSDHAAASSLPHAAALCHLLALLDQERPAEDSVRALLWRVFLPLLRQEDQLQHTIALMCDAVSTNQSFSDLLGATILPLCIRSSAIAMDMDLDSTLLFVYQTGEDPPPPAPGGLLLLPISKATAVLASLLQHTLERNRKSTSLNALLHNLTWDLSSLALNMFGHSQEYRSCATRVLLHPLLLSLADVSCVTVHLELAAAPQLKLSRSGFLESIWASCLSLFALGPAERLDAYNILSLYLSTLKHVILGPDEYDLRNSNDFWDEIRRGLVDKDSLVRKQALYILRISLDIFSSSEDNGAQQCSRRRSAALPAQDKSNTAMTKRERWAQKEAKSLGIGEMSQSDENCSSGKDRWKVFLLLYEMLQEYGTHLVEAAWTHQVMLLFESTPRSDYSNHMSYTVFHAQMESFEGFFHWMVVLWERGFTHDNPQVRYLVMHSFLDITWEHYLVCPQIVPRGFVLGPLLRGLNDVVHHKDFGVKGVYDSKIIKGAERFFGLYAQRLTTRDRLHLVWSLSSSAKQDSFGRAGLMALAFCVASCACQLNTNDLPCDSAGQEMAKCNGDAHTKVNIEDLLDALMILCEKSKQHFNPKYRLKVCEQVMKATTSLISAAEIPLNQLLYFISAIPREFTDYYGELRPMVQKWFVEKKECSSGNILLEKLIDFPTTFVKHTEVNGPYLFDDEDVGAWEAEARRWARTLLLVTSEEQHFTQIFVFLEKYGNNLSEEYPTGECIQVKFFIIVLCLIEELEVKHKRLIHQNNTISKEGSDSSNGLEHHALNKKLAKFLLIILENMVIFSRTSCSIFWLRNSEDMDLPSSVKGKLGGPSQRRLPTSTTSLVLPAIWSMRSISSIVTWCNNYCSDISLCSTLTFFWEFCWEVIQHHSYATEWLCLDSLLSFSCCRGENESLLKMLYPLFSESTLRSIFVDIIESLENAGENSVLAILRCVRSVLGLLHFSMRTRNLSSLGISYEMMMQFVKSSWILHLSCNKRRVAPIAALLSAVLHPAIFPNLEMHQENEKGPGPLKWFIENLLGEGSKSPRTIRLVALHLSGIWLMYPKTLSFYMEELKLLSLYGSVAFDEDFEAELSENHEARLEVSMLAQSPDREFTEVFINTELYARVSVAVLFHHLWKQIEVKSTLETEEALRSGKLFLLKLLDSAVNDKDISRELYKKYSSYVEDDIVKEVTSSIHICLYRNNLPAVRQYLETFAILIYLKFPALAEEQLIPIFHDNEMRQQALSSYVFIAANLILHSRELAVQINHLNELFPPIMPFLTSHHHSLRGFTQLLVHCVLSKMGSVLALGSSENPVFERRCFQDLKRYLAENTDCVRLRASVERFLDVFNPDTSGTPSGIFSSRPEVSFDFECVPVSVMERVINFLNDVREDLRQSIAKDSITIKNEDLTAEMHHKEDRTDENIVELLEPSQDVLNFQKKITPYRNFDQPLNVGGHSVVGDDYISRLLLDLEGDDQQLDLALESRNHAVETIKQSQQQLIVVASLVDRIPNLAGLTRTCEIFKAAGLAVADKSIIEDKQFRLISVTAEKWLPMMEVPVNSVKVFLEKKRLEGYSVIGLEQTANSKPLDHFSFPSKTVLVLGREKEGIPVDIIHVLDACVEIPQLGIVRSLNVHVSGAIAVWEYTRQQRIAT >OGLUM03G00110.1 pep chromosome:ALNU02000000:3:54607:56324:-1 gene:OGLUM03G00110 transcript:OGLUM03G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTGIPSGRDPSSCLPIQRETRAERLSVWRRSLPPVREDLRRGWGRRPARREEDAAAGDEPCAVSSCYIEDLVCVASRQQHIVAKPPAGDTSTKRGGASVAGARKRSDGGGRLAGAREGRGGGGGSRIRGTERRRWPEPGEGGARRRRRILPRPRSTSELVVGDRVLRRELVTSGRVRRPRLNPRPRSPRRRCRHHHAGSSAVHAPHWCSAPAPSLSPRRILRRPCDHAPRWSSPVAVSSTPVLVAGGRVLHAEACRRCRWSSLSAPPASARLRSLLPWWPVLPCLREVKRERSRWDIIPTGYRYIAAPIIII >OGLUM03G00120.1 pep chromosome:ALNU02000000:3:56568:66666:1 gene:OGLUM03G00120 transcript:OGLUM03G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 7 [Source:Projected from Arabidopsis thaliana (AT3G60330) TAIR;Acc:AT3G60330] MLMEVANAMDAITKETVDLEHIPVEEVLDHLKCTREGLTSEAAQQRIHSFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGRDARGKRMRIDYHDFVGIVLLLFINSTISFMEENNAGNAAAALMARLAPKAKVLRDGTWDELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVVFEKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDRKDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVLGFLLLACFWKFDFPPFLVLVIAILNDGKNIAVCTHHRGTIMTISKDKVKPSPYPDSWKLTEIFATGVIIGAYLAVTTVLFFWAAYKTQFFVHLFNVDTLNINKVDTTDNELVARNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIATWEVASIRGIGWRWAGAIWVYNIVVYLLLDPMKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVVAWAHEQRTLHGLQSAASREKAASTELNQMAEEARRRAEITRLRELHTLKGKVESVAKLKGIDLEDVNNQHYTV >OGLUM03G00120.2 pep chromosome:ALNU02000000:3:56568:66666:1 gene:OGLUM03G00120 transcript:OGLUM03G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 7 [Source:Projected from Arabidopsis thaliana (AT3G60330) TAIR;Acc:AT3G60330] MLMEVANAMDAITKETVDLEHIPVEEVLDHLKCTREGLTSEAAQQRIHSFGYNKLEEKQESKLLKFLGFMWNPLSWVMEAAAIMAIALAHGGRDARGKRMRIDYHDFVGIVLLLFINSTISFMEENNAGNAAAALMARLAPKAKVLRDGTWDELDASLLVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTTHVGHFQKVLTSIGNFCICSIAAGMVIELLVMYAVHERKYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVVFEKGIEKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAEGKMHRVSKGAPEQILNLASNKCEIERKVHHVIGNFAERGLRSLAVAYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDRKDGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITVRIVLGFLLLACFWKFDFPPFLVLVIAILNDGTIMTISKDKVKPSPYPDSWKLTEIFATGVIIGAYLAVTTVLFFWAAYKTQFFVHLFNVDTLNINKVDTTDNELVARNTEKLASAVYLQVSTISQALIFVTRSRGWSFLERPGLLLMAAFVIAQLIATVLAAIATWEVASIRGIGWRWAGAIWVYNIVVYLLLDPMKFAVRYGLSGKAWNLVIDNKVAFTNRKDFGREARVVAWAHEQRTLHGLQSAASREKAASTELNQMAEEARRRAEITRLRELHTLKGKVESVAKLKGIDLEDVNNQHYTV >OGLUM03G00130.1 pep chromosome:ALNU02000000:3:67624:71278:1 gene:OGLUM03G00130 transcript:OGLUM03G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLPASWSSAASSASASKDRLNSPTPAPPPPAPPPPAPKSSSSSSAELYLNIYDISPINHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGSTDMSRSEVRSFIEDLAEDYHGDTYHLIAKNCNHFTADICKRLTGKPIPGWVNRLARLGSFCNCVLPESIKVSAVKDVTDPPEFPDDDMESNASIVDGSDADDLDHLLITPSSDVVSSKDKILTPGRDSL >OGLUM03G00140.1 pep chromosome:ALNU02000000:3:71262:93233:-1 gene:OGLUM03G00140 transcript:OGLUM03G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQEEQRVVVMRHGDRVDHADPLWAANNPRPWDPPLTDAGLLRASTVASRILADGFHIHRVLVSPFIRCLQTAAQAIAALSPLPRINIKVSIEYGLSEMMNTQAMGILVSQIAPSIDRWFPDMSQLEAALPAATIDHSAEPLYQEVPKWGESVWEARSRYASVIKALADKYPDENLLLVTHGEGVGASVSFFEPGVEIYEVEYCAYSVLGRQQHKVGIEQGSEEEGLKNLRVLSTSGPTGIHYYYTTPAPAPAPSEVPDPFVEGGGSGYSGRVTPFVVLSCIVAGSGGILFGYDLGISGGVTSMEPFLKKFFPDVYHQMKGDKKKVSNYCRFDSELLTVFTSSLYIAGLVATLVASSVTRRFGRRASMLIGGSVFVAGSVFGGAAVNIYMLILNRVLLGIGLGFTNQSIPLYLSEMAPPQHRGAINNGFELCISIGILIANLINYGVDKIEGGWGWRISLSMAAVPAAFLTVGALFLPETPSFVIQRSGDVDSARALLQRLRGTAAVHKELEDLVMASEVSKTIRHPLRNMLRRRYRPQLVIAVLVPLFNQVTGINVINFYAPVMFRTIGLRESASLMSAVVTRVCATAANVVAMAVVDRLGRRRLLLVGGVQMLVSQVMVGAILAGKFRDHGEEMEKEYAYLVLSVMCVFVAGFAWSWGPLTYLVPAEICPLEVRSAGQSIVIAVIFLLTFLIGQTFLAMLCHLKFATFFLFAACLCVMTLFVFFFLPETKQLPMEQMDQLWRTHWFWKRIVGDSPQQQESSFLLRLRGDFQRAGIEAMRPLPRNKASSEKERQNRGKMLVGLAGSVPLQSLRIRGRVAWHLCVSIATSLDSPPTNSPRRTSKVKAQVLLIKGNDVSQTVSTVVSDYQIKILIVGVTARTSDLASSSKSDDLSDEMSSSSDQSPFDSPRLLGSNLPSENPKNSSPAHRNRSLTLYDYLNGSTSIYPDKDRRVNSSTGTESSKPSELKGSNEVLRQESLLQGMLSDNKMAELAAKRMEEEAQLREIQSRLDKANDNVEKQKAHRYAAEQALNHVQDLVKASRDADKKLRLEKLFVLQGNSYSTFTWEEIDNATSSFADNLKIGSGANGTLEVLGKIHHPHLVMLLGACVERGCLVYEYMENGSLEERLRCKSGTAALPWCDRLRIAWEVASALVFLHSSKPNPIIHRDLKPENILLDGNLVSKVGDVGLSTLVSSGSGGSSSTMYKKTALAGTLFYIDPEYQRSGQVSVKSDTYALGMVMLQLLTARAPIGLAEVVERAVEDGKLRDILDENAGWTWAMEEAQEMADLALRCLEMRGKDRPDLKTRVAVDLDRLKRRALPTQPPPEHFICPILKRVMQEPCIASDGYSYERHAIEMWVCDKDVSPVTNVRMPNKTLVPNRSLLTAITAWKSQGGRKPTNKFAVAMGGGPVGEKAGELGDTMVGALVSDPCTHGTAGGVSVAATCQTEDDEGHGGGWWREDEDGDADEDEDEGISGHLLLIFLSFLYSSSSSSSANPPTPNFSNPNERKWEAWGDEAPYSLARPSDFDLVVPSSPDMPTSTTAAMEGTVLCAANHASLTPITFLDRAALVYPDHPAIVASSSGLTRTWRETRDRCLRLAASLAALGVHRHHMHSKRSVWFANN >OGLUM03G00150.1 pep chromosome:ALNU02000000:3:76893:80870:1 gene:OGLUM03G00150 transcript:OGLUM03G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSLVFVFVGVAVFVLAPPASAVPFIVLHGIGDQCANHGVAKFTRLLADWSASHGYCLLILFAVKEMKELSNGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCILADSLIKMEIYSDYVQAHLAPSGYMKIPTDISDYLKGCRFLPKLNNELPGERNATYKERFSSLENLVLIMDDAVLIPRETAWFGYYPDGGFDPVLPPQKTKLYMEDWIGLKTLDDEGRVKFVSVPGGHLGISKTDMMKYIVPYLKGKDNGKAWIAATWRAITNTIGGEAFVLN >OGLUM03G00160.1 pep chromosome:ALNU02000000:3:81114:81908:1 gene:OGLUM03G00160 transcript:OGLUM03G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMKCSGGGCVGSARRLRRSRSTATLVLRSGRSLPLISRHLSASLPSSTARSTTSASPMGALAVSSCSITMPSAYVSDFTDTCPLLWYSGSITASQPEPSSCTWYCYCHHYHLTPPHITNLAHQVAVQEDVLRLEVPVNDWVWLAAVEEHKGRGNLPGDAQPVTPRQRRRTAFAPQPLLQAAILHVLVHQAPPLHTRSQEHHQMRVMYLPQNLKLQN >OGLUM03G00170.1 pep chromosome:ALNU02000000:3:93700:95643:-1 gene:OGLUM03G00170 transcript:OGLUM03G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGPVLLCGLCLCHSPSSSPLLSLTRTRRLLSPSPSASLTTTTVRCSCSCSKGEMAVVKCIRVHELGGPEVLRWEQVEVGEPKEGEIRIKNTAIGVNFIDVYYRQGVYSAPLPFVPGREAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQIIPASVAVPLPPSIDHNTAAAIMLKGMTAHVLLRRVYKVQSGDCVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQAAEDGCHHVIIYTKEDVVTRVKEFTAGKGVNVVYDSVGKDTYKGSVECLAWRGMLVSFGQSSGRPDPIPLSDLASKSLLVTRPSLMHYTATRDELLESAGEVFANVGSGVLRIRVNHTYPLSQAARAHADLQARKTTGSILLIPDDA >OGLUM03G00180.1 pep chromosome:ALNU02000000:3:105868:108066:1 gene:OGLUM03G00180 transcript:OGLUM03G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATLSHPSRYVKLSREHDAPAPAEDIRPGELNQPVHLEGRRCSECGQVLPESYEPPADEPWTTGIFACTDDPQTCRTGLFCPCVLFGRNIEALREDIPWTTPCVCHAVFVEGGIALAILTAIFHGVDPRTSFLIGEGLVFSWWLCGTYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERTGRLAENSAVPMTVVNPPAVQEMSMTESRGPVSPGMENGAPSNSKGEHEEPKSDHDDVEVIPL >OGLUM03G00190.1 pep chromosome:ALNU02000000:3:109780:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKASAIAFDVTFMGILDGSVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHREQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >OGLUM03G00190.2 pep chromosome:ALNU02000000:3:109780:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKVVNRTWPFADYSGALFDIQQLHTDDILNTGFISQASAIAFDVTFMGILDGSVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHREQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >OGLUM03G00190.3 pep chromosome:ALNU02000000:3:109780:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MRRFRVWPPSPSPWPLLASRPCPHSHHHRSPFHASANSGARQGNFILPGATAATLVMFGILHARRMYEDQKVVERKEKGIEPEFSPDFKELPVFMRPAIYKAWARAFHSNLQEAAMPLEEYPSLQAFFIRSLKEGSRPIDADPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSAASLLGASSSLHGAEEEDFSREHREQSNPADSNAKSWWRVSVAKPKLWDQTLLSPKKGIFYCVIYLHPGDYHRVHSPVDWNIIKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGQWKEGFVAIAAIGATNVGSIKLYIEPELRTNRAGSKILNSQPEPPDDRVYEPVGTGVMVKKGEEIAGFKMGSTVVMVFEAPVVSKARWREDGSGTVTSDFDFCIKAGDRIRVGEAIGRWTSRE >OGLUM03G00190.4 pep chromosome:ALNU02000000:3:114591:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MGGFLILNEYEQDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OGLUM03G00190.5 pep chromosome:ALNU02000000:3:114591:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MAAPTRYLAGDGASSSIACLSLCLVVLDEGRRKRRRSSEEERSRRSGRDAVGSEAEQEAERKCEDMLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQEIPLFSHACALLFTFSQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OGLUM03G00190.6 pep chromosome:ALNU02000000:3:114591:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MAAPTRKKKKKKIVGGGKKSEIWEGCGWIGGGAGGDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OGLUM03G00190.7 pep chromosome:ALNU02000000:3:114875:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MLSVARRPLSAAVPVGNLLGIHLFQCPDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQEIPLFSHACALLFTFSQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OGLUM03G00190.8 pep chromosome:ALNU02000000:3:114591:118221:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MGGFLILNEYEQDTVGIVARLSECIASRGGNIHSVDVFVPDDKPVFYSRSEFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVIRSIYQI >OGLUM03G00190.9 pep chromosome:ALNU02000000:3:116060:118238:1 gene:OGLUM03G00190 transcript:OGLUM03G00190.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylserine decarboxylase 1 [Source:Projected from Arabidopsis thaliana (AT4G16700) TAIR;Acc:AT4G16700] MGGFLILNEYEQDTVGIVARLSECIASRGGNIHSVDFTYNPMLWPRDVLRTDFLNLSQHFSAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDINCVISNHDRLKDNHVRRFLERHGIPYHYLPTSPGNKREQEILELVQGTDFVVLARYMQILSEGFLKAYGKDIINIHHGLLPSFKGGNPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >OGLUM03G00200.1 pep chromosome:ALNU02000000:3:124435:125559:1 gene:OGLUM03G00200 transcript:OGLUM03G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >OGLUM03G00200.2 pep chromosome:ALNU02000000:3:124435:125739:1 gene:OGLUM03G00200 transcript:OGLUM03G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKFCRECNNILYPKEEKDRRLLLFACRNCEHQVHTLIISIRLWRRRNLKKQQQQEVSESKCVYRNEVAHAAGERTQVLQDVASDPTLPRTKTVRCAACGHGEAVFFQATARGEEGMTLFFVCCNLTCAHRWRE >OGLUM03G00210.1 pep chromosome:ALNU02000000:3:137124:155056:1 gene:OGLUM03G00210 transcript:OGLUM03G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCSNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSVISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQLPYYSQDCVSFLKETMSNASCALEGTIQESQAVVMNIYREYCPHFIKILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPQQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCRSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNLTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALRPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSRYTGFGFLVPYHWIDKSVMPPVVFVLLKLVSVTLLLYMVDPVVLHQLFLQRTIFMLSAYLMTGAIHDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATKEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >OGLUM03G00210.2 pep chromosome:ALNU02000000:3:137124:155056:1 gene:OGLUM03G00210 transcript:OGLUM03G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISEISATEVRLLLLQAAADSNLDSVRRRLCQLVDSGLDGCILLLQVCLDQVLLKPHQLDKSKHDLLSAVFRYCMDKTYFTTCFCEALGPMPATHGDGFLKTLSNVLELSPAERVGIGLALSDSEDSGLKLKGQQFSIAQIEGLCSNLLQSPSNDQIHDIVIFLHQTDGLSKHMDTFNTIISLFKTKETPFFAPTPFNKCDIQSRHLDMCFGSMDYDSELLLSEIEKEITMADIVTELGYGCTVDTTHCKEILSIFEPLDDVAVSKLVGAVIGTHNVLVEAHNTYAMFVSVISNMNDSPQLTTWNTDVLVDSINELAPSTNWVHVMENLDHEGFNVPDEAAFCLLMSIYAHACKEPFPLHAVCGSLWTNTEGQISFLKHAVSVPTDTFTFSHCSRKLAFPDLACPIQDNHAWFCLDLMVVLCQLAEVGHTVSVQSMLEYPLQHCPELLIVGLGHTAYNLLQYEVQSRVFPAILKDATKSNVVNYLWQINPSLTLRGFVDAHSDPDCLLRIVDVCRDLKILSAVLDSTPYAFSIKLAVAASRIDHSHLEKWLTAKLRVCKDDFLQLPYYSQDCVSFLKETMSNASCALEGTIQESQAVVMNIYREYCPHFIKILQYQSGHLLSNQKLDELRKSYISYELRNHDSVVRGIPTSDNVEIEADAYFHQMFSGQISIAAIVQMLSRFKNSPEKREQLIFKCMISNMFEEYKFLPKYPDKQLKLSALLFGSLIKHRLVTHLELGIALHAVLDALHKSVDSKMFMFGTTALEQFMDRLIEWPDYCNHILQISHLCGAHTEMVSAIERALARISSSQNELSVNISVSSEQHVTGLAPIEPIEASDQPSSLPSPHQLSSVPSTMHATVFSHPQSSCSGLPQQPSNSTGFGTPLNIGTLVAAAEQRYTSIESPPSEVQDKIMFMINNISISNMEAKAKECIEVLPECYYPWFAQSMVMKRASIEPNFHDLYLKFFVKVNSRFLNKEVLKATYENCKILLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALLAKEIDPKVLIVEAYEKGLMIAVIPFTSKILEPCRSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFEIEVVLFKNLDVDLKDVNLTSLLKDRVCEVEGNPDFSNKDVAASQTQISSGISRSTNHVELQSVISSTSHAYATPHLPSNSMVEDDNVAFMMPKHVSSHTLTQVSPSETALASQSPFSLTQLVKLIPHDEIRCKISSKLGSLGRQLQYSKIMDTALDKAIKEILCPVVEKSVGTAIQNTKKLILKIQTLTSNNKTIKQLIDVLINDNLDLGCRIIKSVAMCKAIEMIDEEITESFPLQKKQREAAGSAYCDAFTHAQGRFAHEPEALRPKHEHLSVAQQVYEDYVHVWQSHSQHVDASCFGQSGKATCSSNFIVPRAYSPNSASATSSDCTAAQTAPFIYKLTELLSEELIAEPSRLSAYLMTGAIHDHPSSADVAYGRFVMHLQQIGVLMGDDITERFFHIFTELAVKHSLVPNQIVATGGVSQKSSQQLKISYFPIDSFSKLVAMVLKYSSAETGPNKCSLLPKRDSEEKKASFNPRPYFRLFISLLYDLISSDLHSDGANFQVLIAFANAFHALQPLRIPSWSCIQMRNVILDAHPQDMRVVDPASPNLKIDLLPEISMAPQIMSDVEGALKSKLMKTEVDEYFKKSEGSLFLSDLKQKLLLPQNETSVAGTRYNVPLINSLVLYVGIQGLQQQQTESSASGPAIHTAHMDIFRTLMADLDTEGRYLVLNAIANQLRYPNIHTHCFYFIILHLFSEATKEIIQDQIMRVILERLVVRRPHPWGLQMTLVELIKNPRYKLWSRPFIRCGPQIDKVLIEFVDMLDDPRLTSLYSSFRSIN >OGLUM03G00220.1 pep chromosome:ALNU02000000:3:155041:159978:-1 gene:OGLUM03G00220 transcript:OGLUM03G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQQTRMGDGLGLSSVAEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSSSSLSQSQSQPHSHSQEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM03G00220.2 pep chromosome:ALNU02000000:3:155041:159978:-1 gene:OGLUM03G00220 transcript:OGLUM03G00220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQQTRMGDGLGLSSVAEGRGAIDQTTMVRAASGADPPPFLQTRMTHKEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHVISSSDPACSKEKNPRAEGEGHKDLDLQKNNATKKDKPAAEPIIVPIVLRMSDFDHKALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM03G00220.3 pep chromosome:ALNU02000000:3:155041:159978:-1 gene:OGLUM03G00220 transcript:OGLUM03G00220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGANQQTRMGDGLGLSSVAEGRGAIDQTTMVRAASGADPPPFLQTRMVDMPSSSSSSSSLSQSQSQPHSHSQEKEKEKEKEEEQEEEKPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSKMEKKVAESENSPATVKSKTEKQQENELHEKRMDDSQECMSEEGRISEGLSCAKSHALLEEWIATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM03G00230.1 pep chromosome:ALNU02000000:3:164046:164891:-1 gene:OGLUM03G00230 transcript:OGLUM03G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRRRRGDVGVVVYYLLLVLVVMQGCKGSSAVQGEGRWHNESEAIGGAAAWGNAKATWYGQPNGAGAADNGGACGFKKVNQYPFMGMTSCGNQPLYKGGKGCGSCYRVRCNRNPACSGNAQTVAITDMNYFPLSQYHFDLSGIAFGRLAKPGRADDLRRAGIIDVQFARVPCEFPGLKVGFHVEEGSSPVYLAVLVEYENGDGDVAQVDLKEAGAGGGRWTPMRESWGSVWRLDSNHRLRAPFSIRIRSDSGKTLVAPDVIPLNWTPNTFYRSFVQYSS >OGLUM03G00240.1 pep chromosome:ALNU02000000:3:167613:176577:1 gene:OGLUM03G00240 transcript:OGLUM03G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQGDSSSRSKAKSRTTSLQPGSASEHVAASSAAAVETGLEFGKVDGEAHAPVPLHLARHQQAVVGGLDLDPKRSGVEIQKSKSERDIQGKKKKKKRSMAVAARVVEEDPDVVEMMKRRDDDEEEVVGEAWDYKGRGAIRGSTGGWRSAAMILCVELNERLTTLGVAVNLVTYLTGTMHLGSAASANAVTNFLGTSFMLCLLGGFLADTYLGRYLTIAIFTAVQAAGMAVLTISTAAPGLRPPPCSSGGSGCAAANGTQLGVLYLGLYMTALGTGGLKSSVSGFGSDQFDESDGGERGSMARFFSWFFFFISMGSLLAVTALVYVQDKVGRRWGYGICVVAILTGLLFFLAGTTTYRFKKLLGSPLTQIAAVTAAAWTNRNLPLPSDPSMLNHDDSAHTTAKPNQKLPHSKQFRFLDHAAIVETTTAEAKKRKRWAACCTVTEVEEVKQLVRMLPTWATTILFWTVYAQMTTFSVSQAAVMDRRLSTSFVIPAGSLTVFFVAAILLTVPLYDRLLAPLVRRLTANPRYDLSPLHRIFVGLLLAALAMVAAALTERARRDAAVTLSVFYLLPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFFSTALVTVVHKVTAESRRPWLADNLDEGRLDNFYWLLGGISVVNLAVFVAAAARGYVYREKRLADAGIIHNQR >OGLUM03G00240.2 pep chromosome:ALNU02000000:3:167613:185308:1 gene:OGLUM03G00240 transcript:OGLUM03G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGWGESGGGDPPRLQGDSSSRSKAKSRTTSLQPGSASEHVAASSAAAVETGLEFGKVDGEAHAPVPLHLARHQQAVVGGLDLDPKRSGVEIQKSKNPMLHYYSSTCKITLNFQQSGTTKWRIQFA >OGLUM03G00250.1 pep chromosome:ALNU02000000:3:187516:189101:1 gene:OGLUM03G00250 transcript:OGLUM03G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSRRRSFWSVGVAAALLCLLAAHGCSAKHHKPKPTPGGISGNASSSSSNSSTPSIPPPVAPTPTAPTPPIPSPGTGSSNGSSGSGGGGWLNARATWYGAPNGAGPDDNGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVGHPACSGLPETVIITDMNYYPVSLYHFDLSGTAFGAMAKDNRNDELRHAGIIDIQFRRVPCQYPGLTVTFHVEQGSNPVYMAILVEYENGDGDVVQVDLMESHYSTGGVDGTPTGVWTPMRESWGSIWRLDTNHPLQGPFSLRITNESGKTLIADQVIPADWQPNTVYSSIVQFD >OGLUM03G00260.1 pep chromosome:ALNU02000000:3:205005:208323:1 gene:OGLUM03G00260 transcript:OGLUM03G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKVQVCALFLALNVLFTMQMGAVVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLSVCANVLNLLKLKIGVPESEQCCPLLGGLVDLDAAVCLCTAIKANILGINLNIPICAVFVVALNMVISMQMGAVQACEPYCPTPTPPVTPPPSPPSGGGNKCPIDALKLGVCANVLNLLKLKANVLGININVPVDLVLLLNYCHKTCPSDFSCPLI >OGLUM03G00270.1 pep chromosome:ALNU02000000:3:220312:220896:1 gene:OGLUM03G00270 transcript:OGLUM03G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAGVIATLLALNLLFFTFSDACGCQCGSCPSPGGGGGGGGGGRGGGGGSGGGSGGGSGGGGSGGGGSGGGGGGGSGGGGSGGGGSGGGGSGGGGGGGSGGGGGGGRCPIDTLKLGVCANVLNGLINVQLGTPPRQPCCSLIQGLADLEAAVCLCTALRANILGINLNLPINLSLLVNYCGRSVPSGFQCSN >OGLUM03G00280.1 pep chromosome:ALNU02000000:3:243815:245935:1 gene:OGLUM03G00280 transcript:OGLUM03G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEERRKASSSFTLTSPGLRPPTHAASTPPPWLVDADHHHDNTFLHWVINDHHHPLLDLDDVDLDYTKPAPSFFADRGLHHHHPASSSFPSPKPTADDNTSTVEQLVQAAKLTEAGDVLAARHILARPAINYRLPASAAPPLLRSALYFKDALRRALNSDVDDDSSSTPTPPLHDLLLKLTAYKAFSDLSPLLHFAHFTCVQAVLDELAPSASCSCIHLLDFDIGVGEQWASLMHDLAHRHPGVALKVTALNVTASSSHHHPLELQLIHDTLSTFAADLSVPFRFAAFNLDATDLTPLLAVAAATDAIAVHLPVGSVHATAVPSVLHLVRRLGARLVVSVDRRCDRGELPFAAHLLQALRSTVSLLESLDAMGTDSDVVAKIERFWVQPKIQECVRAAVGGDKTAASAWRATLASAGFVPVQVSSMAEAQAESLLKKLPVRGFRLERRGGSLFLHWQRGELASISAWRC >OGLUM03G00290.1 pep chromosome:ALNU02000000:3:255120:257293:1 gene:OGLUM03G00290 transcript:OGLUM03G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0U9] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYDGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGHIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYEDEEEEADLQD >OGLUM03G00300.1 pep chromosome:ALNU02000000:3:259859:262101:-1 gene:OGLUM03G00300 transcript:OGLUM03G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPTAYRNLRGPSPFVLAMKTRLAPPPQLSDPPPPPLPAVPPSLLPPLLPPPEKRRRGRPRICDRLTAPPGFFAPLPPPPPPQPPTLPAPLLAHGQGQFGGLQPHLLQIDAGEEIIPKITALSKSNGRVICVLSVLGAVQEATLLLSSGVTSYHKGPLEIIRLFGSILTPNDQGCLRVTLASGDSSVIGGVITGPLKAATPVQVVVASFYSDVYWPNRTPKIIAPYPNSQSTIRNGSTLSSEHVNPGYVSYTAVDQHESSVVDVKPSLGMLNLASLDRHESSEVDVKPSLGMLNLASL >OGLUM03G00310.1 pep chromosome:ALNU02000000:3:263968:267627:-1 gene:OGLUM03G00310 transcript:OGLUM03G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQDVASKCDSRSRSGAAPEIMRSPRRTIGENGYRDTRHHQLKCSDGNLGELRVESIPNFHCKSLPTRNRNTNAEDSIVGKRGSMYQSSSEISMIRKLHEGRRKKIDSALDGDAFLSFEIVDSSSQPSTSGAYLFSHQNRRSEAKSSVESRRIHRASRDFLDLSFRELPDENCRLDRPRMDCTLLKNDAGDGFLEISLEEEVTKGPCRNAAPQLIGGESSKGTEANCLQNKISVCPSESNCSQRERNSTNSLPESMSAKVSISDGTRPSESVRHGIENSTKVRSSPFKKILDPIMKSKSIRSPSLMEDSNHVTMPVNRKNCVSRKSLLSDFSRSEQSQSINCQLNGERQHTTNALSPAHLQAVLNLDTKNGIPVFEFCVEGPEESISARSWKTGNDLNWIYTFHSGGKRASAAGRASKDGRWCSPPIVGQMQVSSYLCSEVGKDGVLTNSVITEFVLYDIAHARRSFSVEEKTQCTETTQSTLSSAVEKSASGDCPQRINLMDQQNSARNNSDVSTSCPWSEEDLYPHLEIAATVIQVPFNRSKSKELKSGLSPGTVKVITPSGLHGLPNDDETSPSPLLDRWRYGGGCDCGGWDMACPIAVLGNAYDNNWANSVTKEGENPMELFVLGGKEELPALSIKGNEKGQLLVHFHARLSALQAFSTCISLLHCSEASTAMSIEKGKHKLYSSSLKMLLEEEVSQLIEAVTAEEKRKTKKRSEKPPRPVVLDPPFSPMGRV >OGLUM03G00320.1 pep chromosome:ALNU02000000:3:271635:273934:-1 gene:OGLUM03G00320 transcript:OGLUM03G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRPIPSIHRQPPKATTTHVARCFRDPPKAPDTNSNSNPLLSLLSAVPDWADAVSERRIRDRRPLYTHADWREHRSSRRHLRHLLSSLTSRVILSLAPPVSALTAFAAAIATYNTLLPAYALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVIAAAADLAGMAMRHHNTPATRALLNYILAFPLALKCHIICHSDIKRDLQGLLSEDDLNVVLRSKHRPRCIIEFISQSLYMLDFDENKRNIMESKLSCFLEGISVCEQLIGIPIPLSYTRLTSRFLVLWHLTLPVILWDECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCKQLHDSTQDVMSVQNLVHNRLAAKTKDQDSGSMRCSDNGWPSSKSEQAKID >OGLUM03G00330.1 pep chromosome:ALNU02000000:3:275073:282230:1 gene:OGLUM03G00330 transcript:OGLUM03G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLSGHLNEPNAWEIPSSSIYSVSEVSSRKVPSLGLASLTDIMSQLVLHESFDVSCIADDNNAY >OGLUM03G00340.1 pep chromosome:ALNU02000000:3:276404:280548:-1 gene:OGLUM03G00340 transcript:OGLUM03G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSQRLARRQENIGCMWGLIGMLYFRRDAKFLLDRKQGSRRHTFGGLAGRRHSRKKSRDFEEIDECGEDNIEECNTRKQTVKRLVEDELGKVKQVKKIPNEEVQRILADLGHDVCLEKSSMQSTKQNRAKTHSTSTAMASPSGLLDPSGSKSMKQAEEDDLELSLADFVGELYGYHDEWPHDDCKNKSELCPELKSHIHTKLSEFKSVPCQRAYEESPDRGQKEHFYEKYICNSKSYQSNKLVDAPEMLSPEKELFLKTLQKPSLHTLEKNTQNNQNRQVVTKLEPRKILEKGENTKNSKQHEVAIKTHSKEGRNIFFWRKDKSIRKGTSEGTNSSKMINKIVILKPNPRGIDTTVATSSTCLDQQSCTIQSPKYSATESSKFSIKEVRRRFKIVTGETRRGRPSVYEDDLQRDSQWINDSVFKVRKDSKQSDKDDLRPSTSGKQKQTNDGLGAINKDIITSKDTSIFYEEAKKHLTNILEYKSQTTKHPTVHTSKSLIGMLSLPQRNASSPRSSPRLKGCIDLSPEETNISAIQQDERIDYAKERNLSDEDSGSVACGTSEVLSMKQKTAQDGDIMHIEEIDKPTCSETICSAGTTLKEQCTCNSSLEMIEGDEPGREHAGMLLSYPENVVEGLEHQEPKTPRSSASLELISQISSEGNHEKQEQPSPVSVLDPFFHEDVDSPDHETMIKRELHQERMRPHIPDAISDQWVFWEDEDARLSYIKAMLELSELCTYQNLEVWYLEDELISPCLVEELHQGNQTDDLKLPFDCICEAITIIQETYFRNPPCLSFLMHKIQPPPMGENLIQEINKHIERHLQNQFPRTLNQLVNIDLEDGTWMNLQSESEEIIVDTWEFILDELLEEVANDLLI >OGLUM03G00350.1 pep chromosome:ALNU02000000:3:284730:288861:-1 gene:OGLUM03G00350 transcript:OGLUM03G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKACDIASISVLPPRRTGGSSGASASGSVAVAVASQPRSQPLSQSQQSFSQGAAASLLHSQSQFSQVSLDDNLLTLLPSPTRDQRFGLHDDSSKRMSSLPASSASCAREESQLQLAKVPSNPMHRWNPSIADTRCQVTNEDVERKFQHLASSVHKMGMVVDSVQSDVMQLNRAMKEASLDSGSIRQKIAVLESSLQQILKGQDDLKALFGSSTKHNPDQTSVLNSLGSKLNEISSTLATLQTQMQERQLQGDQTTVLNSNASKSNEISSTLATLQTQMQADIRQLRCDVFRVFTKEMEGVVRAIRSVNSRPAAMQMMADQSYQVPVSNGWTQINQTPVAAGRSPMNRAPVAAGRSRVNQLPETKVLSAHLVYPAKVTDLKPKVEQGKEKAAPQKPFASSYYRVAPKQEEVAIRKVNIQVPAKKAPVSIIIESDDDSEGRASCVILKTETGSKEWKVTKQGTEEGLEILRRARKRRRREMQSIVLAS >OGLUM03G00360.1 pep chromosome:ALNU02000000:3:289778:293990:-1 gene:OGLUM03G00360 transcript:OGLUM03G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0V6] MELHLTSRGALPLSPPLAGQRRPHLSLSTPSLPIKNHTYSVPPPFSKAHCAIGCQASLATNYMETSAVADLDWENLGFGLVQTDFMYIAKCGPDGNFSKGEMVPFGPIELSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAIRMRNGAERMCMPAPTLEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLAPINLIIEENFHRAAPGGTGGVKTIGNYASVLKAQRIAKQKGYSDVLYLDAVHKKYLEEVSSCNIFIVKGNVISTPAIKGTILPGITRKSILEVAQRKGFMVEERLVSVDELLEADEVFCTGTAVVVSPVGSITYLGQRVEYGNQGVGVVCQQLYTSLTSLQMGHVDDCMGWTVELNQ >OGLUM03G00370.1 pep chromosome:ALNU02000000:3:301108:301911:1 gene:OGLUM03G00370 transcript:OGLUM03G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLACVVVAAMVSAVSCGPPKVPPGPNITASYGDKWLEAKATWYGAPKGAGPKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEIKCSKPEACSDKPALIHVTDMNDEPIAAYHFDLSGLAFGAMAKDGKDEELRKAGIIDTQFRRVKCKYPADTKITFHIEKASNPNYLALLVKYVAGDGDVVEVEIKQKGSEEWKALKESWGAIWRIDTPKPLKGPFSVRVTTEGGEKIIAEDAIPDGWKADSVYKSNVQAK >OGLUM03G00380.1 pep chromosome:ALNU02000000:3:314231:315034:1 gene:OGLUM03G00380 transcript:OGLUM03G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCLLLACVVAAAMVSAVSCGPPKVPPGPNITAAYGKQWLEARGTWYGKPKGAGPDDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGCGSCFEVKCSKPEACSDKPVIIHITDMNTEPIAAYHFDLSGHAFGAMAKEGKDEELRKAGIIDMQFRRVRCKYPGETKVTFHVEKGSNPNYFAVLVKYVGGDGDVVKVELKEKGSEEWKPLNESWGAIWRIDTPKPLKGPFSLRVTTESDQKLVANDVIPDNWKADALYKSEIQVD >OGLUM03G00390.1 pep chromosome:ALNU02000000:3:325028:325495:-1 gene:OGLUM03G00390 transcript:OGLUM03G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLWVAAALLLSAPRLLSLSPGLPPVAHGLLLSAEAGRNLLSVVATSGLLLFAAGRREPGARSGCRDDGGVRIGRRDNGGGRRGGSDDFSTERIWWRAVLGRSSTALKEVDPMAAATTTTTTGLLQPPSLYHLATTAWDSVGGGHGKVL >OGLUM03G00400.1 pep chromosome:ALNU02000000:3:327022:327465:1 gene:OGLUM03G00400 transcript:OGLUM03G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLASVMVATMVSAVSCGPPKVPPGPNITASYGDKWLEARATWYGAAKGAGSKDNGGACGYKDVDKAPFLGMNSCGNDPIFKDGKGXQPLPSLKMGSLPQEFMPRKGALSTSLYPQAPPLSLGPAPLGAPYQVALASSHLSP >OGLUM03G00410.1 pep chromosome:ALNU02000000:3:331398:335450:-1 gene:OGLUM03G00410 transcript:OGLUM03G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGIDKDSTGRVPPFRFASPKPQAHPHQPKNHNLPLSSPLLAAGAAAAAVTAAFSLSSLSFSPPRGETIVLQPYQLFIWFQFNFIVNSQALKSYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPTSLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDEILCFINGDGGSGGGKASKNKKKNKRRKDHSKNPPKANPEPVNKEEATRGVPFNVGTGNISRTPCQSSDVQDDVEYPFEDADLDDGLDPAMQEELDREVEDFARRLNSVWPERMHLGQERRIESHMIGGNGSLQRFSGFNHR >OGLUM03G00420.1 pep chromosome:ALNU02000000:3:338526:342593:1 gene:OGLUM03G00420 transcript:OGLUM03G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKFLMKLFSLWEWNNIFPKYKNMICVILNSMSWVTVSSTVVSLTIASAGQRSSMMSITIYLLATSLTACLTVKLVVEYAKAPLEAKAYAPRAKVLRDGMWINVHAVNLVPGDIIFLKVGDIVPANARVLRFEKINTMTCWAKRSVDCVHGFLIYYAWTVSCGQGTAVVIATGRDIPRSTLRLYPQRYTRPGQLKEGIMLVGCFCFSLVLFGTIAEVILRLLFQKHSSGAMLQGGCFMALIGVVPMAMPVVLYLALAFGSLRLCLLGVASRGTVALEDLASMDVMLFNMTGTITCNKPSFARDKIELFAKGVNEDQAIVLASRASRSQHELYIEPIDPAILSLLDDPEQARAGVQVIEHHAHFFVSLKLMFLATYIDENGSKCCVFKGDPANASHLYNIHTTEKRVAHQCGCSKAVKERISMIMDNLAVDGYQAIAVGHQSDSCWEFAGLLLFKEDLRHDSADALNGLISLGLDIIVLTGESHIGISVADATDYTKTVQISREICQMMKGYMIYTVSSTVHLFGVHAILLLWNFDLPSFLTLVIAAFNYCCGQFKIEHKSLMDTDEEIRAALFLQMSIVNQAVALFAYSDDCCHIRCPGPVVTFAFIFTQMVATRKAVGGDLDFAIAKGVGWLKAGLIWLYNFVLLLVPVDLSDMEACKDDWRKIVDEAFSHPTYNSALVTVHNT >OGLUM03G00430.1 pep chromosome:ALNU02000000:3:346097:347602:-1 gene:OGLUM03G00430 transcript:OGLUM03G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELASAPKVALGSIAFAVFWMMAVFPSVPFLPIGRTAGSLLSAVLMVIFHVISPDDAYASIDLPILGLLFATMVVGSYLRNAGMFKHLGRLLAWKSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSAATPIGNPQNLVIAFNSKITFPKFLMGILPAMLVGMAVNMVMLLCMYWRELGGGAELSVDGKQMEAVEEGRSPASAKSTPQLNGNGNTMMSLEMSENITTKHPWFMQCTEARRKLFLKSFAYVVTVGMVVAYMVGLNMSWTAITTALALVVVDFRDAEPCLDTVSYSLLVFFSGMFITVSGFNKTGLPGAIWDFMAPYSKVNSVGGISVLSVIILLLSNLASNVPTVLLMGDEVAKAAALISPAAVTTSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWQHIVFGVPSTLIVTAVGIPLIGKI >OGLUM03G00440.1 pep chromosome:ALNU02000000:3:354682:362335:1 gene:OGLUM03G00440 transcript:OGLUM03G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLHRHRSSDRVGQRFDFRFSNFRAVQVPAVSDRLFLSIVSVDTGKTVAKSGKAAARSGICQWPDSILESIWFSQDEVSKEFDECQYKIVVSVGSIKSGVLGEIFLNLTNFLNLVDPTAISLPLKRCNSGTVLQLKVQYLGAKSKSSGVRSWKDLSPRLDDRSPTNDDIDSKSDGSDSVANRSVRSSSGNPLGGTTQDELGNREMSFSASGSHRSSNSGDSTADRTNLSPRDNSNGGMHVGRQDSASSYVSASRGDDGFRSNNSSFSSRASGPNVLQGNTPKSFGNGFGQLSLGTSDSSKELLEAAEETIEELRDEAKMWERHSRKLKADLEMLKKECSEKSKQQTELEAELSAAHAERDSYRQEIEELKSSMKEVTTRQKVGGTSKYGDWIDLQKELEDDVKFLKESNANLSIQLKNTQEANIELVSILQELEETIEEQKAEISKISKVKNVTDADALKKGPLVKQDTEWAKQLSIKEDEITMLREKLNHVLNIENLGSDAVYLELEKENELLRVKIQELEKDCSELTDENLELIYKLKEVGGATKGQGPCIPNDSNLQIEELKSQICQLEEELRSKELLHTGSLTDASISSSKVLQEKCADLELKLLNFRSQTYELEEKFQKSQEELEQRNLELSELRQKLDSSHSMAGEGVQTSGARGYQFRNGMDSEPETDVLKAKIQLQQQENDDLRCSKVEMESVISKIQAEKSQLEERLEASRKESSISSKCLDEVRQDILVLSSSIDSHVSANKVLERKVTELESCKADLELHISDLEQENIELSERISGLEAQLTYMTNEKESSELQIHDSKSLIVNLKDKVERQQAEMETQRLEFKQKQQEAQRKLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNQIAELKRQKLELHGHLTQQEQELDNSKKRNLDFCKTVEFLEAKLSSLQKDISSKEQSLLSELESIFQEHTEQEEKINRAHFMLNKIEKEKTLEVENLEREVMSLTAQASSTQEERENATVEAIREVSVLRADKVKLEASLQDVSAQLRHYESQLEDLRKESKSKIKGLVDSLNASKQSEEMLAADAEHMKKLMEDAKSNEDKLRKSSGELELKLKASDYEKQQMIEEISGLKLQVQKIMSLQDEVLKLKSSLDEAKFERGKLEELHRSVTEECEELKAQKAMLTDKMSNMQETLDNGEEEKRSRIAMQAKLVRLESDLSAVEASHVHEAELKNELNRIKRSNSEYQRKIQSLEQENEDLTSQLEQMAHIKEEDLGKQDIGGSPVDEESGIHLKIQVLEAKLAEALEENKMYRAQQKSPMPDGQCAAGNGNESSNERVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGGGRWF >OGLUM03G00450.1 pep chromosome:ALNU02000000:3:366986:391046:1 gene:OGLUM03G00450 transcript:OGLUM03G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPVSNTMEPDLRAKDHRACSASATDPSSRIIVQWAARRRQLACDDQVIDRRDRDSELLALARLHAVSMLDASFLRAHDDAGGGGRRARSPERALVRRIAREWTASSRTSPRGGGAGGEELLGETERQRVRAVRERVRMASQGQGHSGAHTPRLMRGRGRHGQDVVTRMAMERQRELQGLSDHRAVSAFAHRARIQSFLRGRSFHSGSPMHDERPLSMAARELGQLRQSHPVSRFREEVRSRTEVTTNGPATNHTGPMDTIVDLHLHENDHRQENATHNEIQTHQSMENESVDIQRSITTSNDDVVQSDFGQEQLHRYEDYSDSGSSEEASEQSDSSSPSDNSNQQEEETYEQQTNLLWSRETSSSEDGDHEWNVMNSQEAEAQWRSGPSFSSNRNINRFSPPDDDVYGVELRELLSRRSVSNLLRSGFRESLDQLIQSYVRRQEEHDDPLDWDYQRQGTATGLHSDDQGEDRIDEATNQTVSDTRDHQPSILPQQRHWQMELPHHHHNWSQQAMRHSEVDWDAIHVLRDDLTGLQRGMTSMQQMLEACMEMQMELQRSIKQEVSAALNRSLAVPAGEEGMLEDGSEWKLARKGTCCICCDRQIDSLLYRCGHMCTCSKCASELLHGVGKCPLCRAPIVEVVRAYCIIFAFIPCSSNSVQRYSATKTDKNKSSDLIIQPQINDVTEESNQRPTDSSEINPTVGVFGEHYLFWTQHICQLSSHPPVEPMRYSVATRCNPDLENCLCMTCQMVQIFSLKLAHTSINSGPTQLYGYIAARDHVDSMLNYVFNRSRDDPIAVHQGSLIEMTGPKRGIALIPECLFEFDMRIKTGEKEEDDLQLIDGMIELDEMRMPETPYTTRINGDSGTVDLCLANVSNGVEATVEVVISELMVNGFDLSISCVVSSSRYEYDESKEFQIFGGSIGEACGLRRFVLAVYLDTVMQLKLKVDQKGSNGVEHCCSFSCKLHGCASEDVKLEEVASISVKVTWSALIE >OGLUM03G00460.1 pep chromosome:ALNU02000000:3:396950:399865:-1 gene:OGLUM03G00460 transcript:OGLUM03G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAARPSPVQPPLVLAAAQGGQERRRQREGLWKAGADPTKSEGAISGLFLARSIGYPTK >OGLUM03G00470.1 pep chromosome:ALNU02000000:3:399729:401676:1 gene:OGLUM03G00470 transcript:OGLUM03G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Domain of unknown function DUF1990 (InterPro:IPR018960); Has 259 Blast hits to 259 proteins in 120 species: Archa /.../ Bacteria - 197; Metazoa - 0; Fungi - 0; Plants - 57; Viruses - 0; Other Eukaryotes - 5 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G17695) TAIR;Acc:AT2G17695] MAWGGLFLSFSRPSQDQQKSCLSAAGGFNYDAPLHGASRPKSVAKLTAGDTEASDKALVERGFFVNRSRVLVGSGTTTFNHAKSALLSWKHLALGWANVEPDTPVKAGTRFCICYKELIPWVMLPLQIAYVTDGNGGNSSGHGKGCVFAYGSGTLQGHLLAGEERFSVQLDEDDQVWYEVMSFSKPAHILSSLCYPYVQLRQKHFAHQSGQALLRHVASRSRDTR >OGLUM03G00480.1 pep chromosome:ALNU02000000:3:400002:403969:-1 gene:OGLUM03G00480 transcript:OGLUM03G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGEDACSAPEGRKETERHHSLWAETHLTHSFLFFFPSKEREAEDKERSADLAWLKVVVPEPTRTRYQIKEEEKI >OGLUM03G00490.1 pep chromosome:ALNU02000000:3:404086:404406:1 gene:OGLUM03G00490 transcript:OGLUM03G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYRPSPPAFSPSCAAGTSTTEVDEYSCCRTPTPGIREPATCPPAPRKPRPVACRKLLFDPAQQQGKGKAISLRLDELERLFRPITNNANLHLQTNKPTHT >OGLUM03G00500.1 pep chromosome:ALNU02000000:3:405113:410570:-1 gene:OGLUM03G00500 transcript:OGLUM03G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLVTPLPFHSIHTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEHCSRSDEFGLWVSHDD >OGLUM03G00500.2 pep chromosome:ALNU02000000:3:405113:410570:-1 gene:OGLUM03G00500 transcript:OGLUM03G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEHCSRSDEFGLWVSHDD >OGLUM03G00500.3 pep chromosome:ALNU02000000:3:405675:410570:-1 gene:OGLUM03G00500 transcript:OGLUM03G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLVTPLPFHSIHTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEYFGVDICAIQEYCLQCKDIEHCRAEIRDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQYVER >OGLUM03G00500.4 pep chromosome:ALNU02000000:3:405675:410570:-1 gene:OGLUM03G00500 transcript:OGLUM03G00500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:dual specificity protein phosphatase (DsPTP1) family protein [Source:Projected from Arabidopsis thaliana (AT3G52180) TAIR;Acc:AT3G52180] MNCLQNLLKEPPIVGSRSMRRPSPLNLTMVRGGSRRSNTVKTASGASTSSAESGAVEAGTEKSDTYSTNMTQAMGAVLTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRDIGVKTVFCLQQDPDLEDFDAFDLRLRLPAVISKLHKLVNHNGGVTYIHCTAGLGRAPAVTLAYMFWILGYSLNEGHQLLQSKRACFPKLEAIKLATADILTGLSKNSITLKWESDSCSSVEISGLDVGWGQIIPLTYNKEKRAWYLERELPEGRYEYKYIVDGKWVCNDNEKKTKANADGHVNNYVQVSRDGTSDEERELRERLTGQNPDLTKEERLMIREYLEQYVER >OGLUM03G00510.1 pep chromosome:ALNU02000000:3:412890:415857:1 gene:OGLUM03G00510 transcript:OGLUM03G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable glucuronoxylan glucuronosyltransferase IRX7 [Source:Projected from Arabidopsis thaliana (AT2G28110) UniProtKB/Swiss-Prot;Acc:Q9ZUV3] MAMATRGDPKQRRASASAPHGGAAHHVADKLRRHSTFLLLLLLLWFALSLYLFLSATPPPPRPAFLPSTSTPRPALRIYVYDLPARFNRHWVAADARCATHLFAAEVALHEALLAYAGRAARPDDATLFFVPVYVSCNFSTDNGFPSLSHARALLADAVDLVRAQMPYWNRSAGADHVFVASHDFGACFHPMELFVIIHFELGVNAKSNLALEQEDVAIADGIPEFLKRSILLQTFGVQGTHVCQEADHVVIPPHVPPEVALELPEPEKAQRDIFAFFRGKMEVHPKNISGRFYSKKVRTELLQKYGRNRKFYLKRKRYGNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADDIRLPFPSVLQWLDISLQVAEKDVASLEMVLDHVVATNLTVIQKNLWDPVKRKALVFNRPMEEGDATWQVLRELEILLDRSQRRHVESWKR >OGLUM03G00520.1 pep chromosome:ALNU02000000:3:416381:426298:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVYSAKITRCSCNIGTMCTQYGGTTEVAIFHTITICPISNSSSIHRRAVHGEVLCNSKSNIRILRTSWCSTTLKFESGTQDLSMEFGCSIVIYPISLRMRSALSSPAIRFPSPNCSRLASIRRRLQPLPPPRLPHLFLRRDSTAGTPSLCFPPLRRSSTAGAPIAAPSSSSPHLPPLRRAAGAPIAVPSSSSARLPPLHRASTTGNPIATPPLCVSRDPADWKPPVRISSCFQSMSSKWIILLGAPHHHVQNQIRDQPIRQKLADKLVPPGTSEWCNRTIHHLRELRWASTSVAASSTPVTTFARPRAPPPPPRPRAPPRLHGRHRRSSASTTSASTAVPSTQIRPPPHLRTRRRLRFIRDCCHLHEHRDRHLRNLRHLCLVYDRRHLRKSRRRSSQIRAPPSTSAPRRH >OGLUM03G00520.2 pep chromosome:ALNU02000000:3:418079:425656:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKKRKERRVVNLAEGTVEDEGFLALGGLGQLRQPLPEAVAGVMMRAGDTCDPTYKTYVDTFGGTHSSSSATKKNWRHPQSVTTHPPPPTPPSSLVPHRAFPSTRAAHDRRPAVVASRLLHTIADAPRRLRRIPDLGRSGRAPPPRIAFLPAGRSRSRSNRRRRSPHPSPRPYHASTAGAPIAAPPPRLRRRAPVIHSASTAGAPTTPSPTAAPARPNPHRRRRGSTSPLLPRHPHRRIGGTPPAPPAPTAPHPPPPADGCGAATGRAAADHPAAAEGCQDGGRRGFPLLLLSSSSSECDGLMALSMPQCSVVD >OGLUM03G00520.3 pep chromosome:ALNU02000000:3:418079:426298:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKKRKERRVVNLAEGTVEDEGFLALGGLGQLRQPLPEAVAGVMMRAGDTCDPTYKTYVDTFGGTHSSSSATKKNWRHPQSVTTHPPPPTPPSSLVPHRAFPSTRAAHDRRPAVVASRLLHTIADAPRRLRRIPDLGRSGRAPPPRIAFLPAGRSRSRSNRRRRSPHPSPRPYHASTAGAPIAAPPPRLRRRAPVIHSASTAGAPTTPSPTAAPARPNPHRRRRGSTSPLLPRHPHRRIGGTPPAPPAPTAPHPPPPADGCGAATGRAAADHPAAAEGCQDGGRRGFPLLLLRRREWGVATTHGGRGGFQFQRSSRERWQRRRLAGRALAFAHSYVDAASICQKELNAPTALGTTEVAIFHTITICPISNSSSIHRRAVHGEVLCNSKSNIRILRTSWCSTTLKFESGTQDLSMEFGCSIVIYPISLRMRSALSSPAIRFPSPNCSRLASIRRRLQPLPPPRLPHLFLRRDSTAGTPSLCFPPLRRSSTAGAPIAAPSSSSPHLPPLRRAAGAPIAVPSSSSARLPPLHRASTTGNPIATPPLCVSRDPADWKPPVRISSCFQSMSSKWIILLGAPHHHVQNQIRDQPIRQKLADKLVPPGTSEWCNRTIHHLRELRWASTSVAASSTPVTTFARPRAPPPPPRPRAPPRLHGRHRRSSASTTSASTAVPSTQIRPPPHLRTRRRLRFIRDCCHLHEHRDRHLRNLRHLCLVYDRRHLRKSRRRSSQIRAPPSTSAPRRH >OGLUM03G00520.4 pep chromosome:ALNU02000000:3:418079:425656:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKKRKERRVVNLAEGTVEDEGFLALGGLGQLRQPLPEAVAGVMMRAGDTCDPTYKTYVDTFGGTHSSSSATKKNWRHPQSVTTHPPPPTPPSSLVPHRAFPSTRAAHDRRPAVVASRLLHTIADAPRRLRRIPDLGRSGRAPPPRIAFLPAGRSRSRSNRRRRSPHPSPRPYHASTAGAPIAAPPPRLRRRAPVIHSASTAGAPTTPSPTAAPARPNPHRRRRGSTSPLLPRHPHRRIGGTPPAPPAPTAPHPPPPADGCGAATGRAAADHPAAAEGCQDGGRRGFPLLLLRRREWGVATTHGGRGGFQFQRSSRERWQRRRLAGRLNPDIIILGKYMSSSSSECDGLMALSMPQWNYGSCYLPHHHHMPHLQFILHSPAGSPWRSPMQFQIQYQDTEDLLVLHHSEVTNYASGGSLT >OGLUM03G00520.5 pep chromosome:ALNU02000000:3:418079:425656:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKKRKERRVVNLAEGTVEDEGFLALGGLGQLRQPLPEAVAGVMMRAGDTCDPTYKTYVDTFGGTHSSSSATKKNWRHPQSVTTHPPPPTPPSSLVPHRAFPSTRAAHDRRPAVVASRLLHTIADAPRRLRRIPDLGRSGRAPPPRIAFLPAGRSRSRSNRRRRSPHPSPRPYHASTAGAPIAAPPPRLRRRAPVIHSASTAGAPTTPSPTAAPARPNPHRRRRGSTSPLLPRHPHRRIGGTPPAPPAPTAPHPPPPADGCGAATGRAAADHPAAAEGCQDGGRRGFPLLLLRRREWGVATTHGGRGGFQFQRSSRERWQRRRLAGRELRKLLSSTPSPYAPSPIHPPFTGGQSMAKSYAIPNPISGY >OGLUM03G00520.6 pep chromosome:ALNU02000000:3:418079:425656:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKKRKERRVVNLAEGTVEDEGFLALGGLGQLRQPLPEAVAGVMMRAGDTCDPTYKTYVDTFGGTHSSSSATKKNWRHPQSVTTHPPPPTPPSSLVPHRAFPSTRAAHDRRPAVVASRLLHTIADAPRRLRRIPDLGRSGRAPPPRIAFLPAGRSRSRSNRRRRSPHPSPRPYHASTAGAPIAAPPPRLRRRAPVIHSASTAGAPTTPSPTAAPARPNPHRRRRGSTSPLLPRHPHRRIGGTPPAPPAPTAPHPPPPADGCGAATGRAAADHPAAAEGCQDGGRRGFPLLLLRRREWGVATTHGGRGGFQFQRSSRERWQRRRLAGRELRKLLSSTPSPYAPSPIHPPFTGGQSMAKSYAIPNPISGY >OGLUM03G00520.7 pep chromosome:ALNU02000000:3:421810:426298:1 gene:OGLUM03G00520 transcript:OGLUM03G00520.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRSALSSPAIRFPSPNCSRLASIRRRLQPLPPPRLPHLFLRRDSTAGTPSLCFPPLRRSSTAGAPIAAPSSSSPHLPPLRRAAGAPIAVPSSSSARLPPLHRASTTGNPIATPPLCVSRDPADWKPPVRISSCFQSMSSKWIILLGAPHHHVQNQIRDQPIRQKLADKLVPPGTSEWCNRTIHHLRELRWASTSVAASSTPVTTFARPRAPPPPPRPRAPPRLHGRHRRSSASTTSASTAVPSTQIRPPPHLRTRRRLRFIRDCCHLHEHRDRHLRNLRHLCLVYDRRHLRKSRRRSSQIRAPPSTSAPRRH >OGLUM03G00530.1 pep chromosome:ALNU02000000:3:416903:418426:-1 gene:OGLUM03G00530 transcript:OGLUM03G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVSYVSAAQLVPMLRDPRIAVVDVRDEERIYDAHIAGSHHYASDSFGERLPELAQATKGKETLVFHCALSKVRGPSCAQMYLDYLSEADEDSDVKNIMVLERGFNGWELSGRPVCRCKDAPCKGVCS >OGLUM03G00540.1 pep chromosome:ALNU02000000:3:427061:428181:1 gene:OGLUM03G00540 transcript:OGLUM03G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGHGLRRHKRRRLVGQQAATTQLVEEVDDQQQQQQEEEAAAPTCSICLQPWTCNGDHRIPPFHNLHSLWTCLRQIVPGEVAGTCSSTRHWTSKATNQLVTILSMQCPQCGEEFEPHRITNLYAPGINIRDGCCKNHANEVGEYYNREYEGLKTQMESTIAKQGKDLEELDVLVKSVGRQFESLESSLKSFLLSMTERMKMMATQLPAAMDLVQYLEKDVHDIISALSQKPP >OGLUM03G00550.1 pep chromosome:ALNU02000000:3:429760:434950:1 gene:OGLUM03G00550 transcript:OGLUM03G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGSLIIFDIRPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLMSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >OGLUM03G00550.2 pep chromosome:ALNU02000000:3:429760:434950:1 gene:OGLUM03G00550 transcript:OGLUM03G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPRGRRLPPPHPPLIQEILDDDLFDVEVNYSDEEEEEEEEESDEEESESEDNEPEEVVPGQESIGEGCGPAIQARVSGAAAEKNACPVCMEAWASQGAHRICCIPCGHIYGRSCLERWLRHKGNTSATCPQCGKRFRPKDITNLYAPEVAVPNNDLEKEVLYLRGKAESLGETVMKHEKLIEEMNERLVELTSAQKRQILSEQRLMNVGSSKRQKEFFMDGLRVMAIDAFNQTILASGKAPGIGQEHVLYKFSMVSHHEARNIQLPLDTKTVRDICILPSGSAIFTSLGRKLSSFSMTADRVVLQCDLPCPGWSCSADESSRQICAGLQNGSLIIFDIRQTSRPLHSMVGLSTHPVHTLHTVIDNNGSRKFLSASSIGPCMWDTDGIQGRPKLLLGTDNQRVCISLACAPSSSDLLVASFRPKVETSEDATASQVYLSQTPTPSGGGKLGYHSFIRRAGNSSSFTEDRTCSTLMSEIRMSKSAIIPYGNNQHLFAYGDESLRGVRTWRLPSFEMHSDLSSLQQPVLDLRYAESSSGGRYLGYLSTEKLQVFRIR >OGLUM03G00560.1 pep chromosome:ALNU02000000:3:434776:435801:-1 gene:OGLUM03G00560 transcript:OGLUM03G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0Y6] MEQKPPAVAANNNQLLLMMIMVVVACSNYMISGAGAQPSPGYYPSKTIRSMAFGEGYDNLWGGQHQTLSADQTALTVWMDRSSGSGFKSKRSYRNGYFGASIKVPSGYTAGVNTAFYLSNNELYPGQHDEIDIELLGTVPGEPWTLQTNVYVHGTGDGAIIGREMRFHLWFDPTADFHHYAILWNPDHIVFLVDDVPVRRYPRAAGNTFPDRQMWAYGSIWDASDWATDGGRYKSDYRYQPFVSRYRDLKIAGCEAAAPASCQPVPASPSGATGELSAQQKAAMRWAQQRSMVYYYCQDYSRNHANYPEC >OGLUM03G00570.1 pep chromosome:ALNU02000000:3:439406:441729:1 gene:OGLUM03G00570 transcript:OGLUM03G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLLKPKPTPQQQLREWQRRLRNEGRNIDRQIRDVQREEKKVEKSIREAAKRNDIGSAKALAKEVVRSRKAVNRLYENKAQLNSISMHLGEIVATARTVGHLSKSTEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVMEEMVNDAVDSALDNEDIEEEIEEEVDKVLSAIAGETASELPDAVRKEKEKMKQPSTSEPAERTAIAEAVDDDDELEEIRERLAKCLLWQEVKSAVDNDS >OGLUM03G00580.1 pep chromosome:ALNU02000000:3:442029:443534:-1 gene:OGLUM03G00580 transcript:OGLUM03G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAAVGRGLTWAEAAWFRYSASIPDYCLYCHNVPILLLVYTLAPLPLALLELRRHLPLPHKLQPGVLHPPAAFLRCYAATARVLLLAVGPVQLASFPAVRAVGIRTGLPLPSAGETAAQVAVYLLVEDYLGYWIHRLLHTPWAYHHIHRVHHEFTAPMGYAAPYAHWAEILILGFPAFAGPAIVPCHMTTFWLWFVLRHLEAIHIHSGFKLPFDPTKYIPLYGGVEYHDYHHFVGGHSQSNFSSVFTFCDYIYGTDRGYRYHKASLSKLKEMAGNHVEKGDENGFGNGKQD >OGLUM03G00590.1 pep chromosome:ALNU02000000:3:447434:451193:1 gene:OGLUM03G00590 transcript:OGLUM03G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT3G06980) TAIR;Acc:AT3G06980] MPHQHRPPLKPSKESICTGFKNVGNVITGFAVERNDPIGIKKGVKVDYSLNQSGDLVEASPYRPIKQTSPNTALDNISGSPLRSIEYRIGRKETAALREMEVAGAQAGILPLLRHPASLRGSLSLSCGGARRSWAAAAATAEGGGGEEGRGYERVPMDTPGAYRLVDRATGRSVIVWGGTDDVSMPSPAVLSTTTRVPDRPKVYFSKNCFTFPKMRPFFYTTENGRSTSIGNFGRLKAQKVKVLARRSAHLKREDSGRISTSRFSESPSDESDEDGAYFERDRARNTRQNSRSRDDKTRGAHSLNSVLRQYRGADDLDFPGSEATSGSKRWGNISDVTFGRQNQRQKGPLDSGFFSRRSFKEIGCSDEILGALRSFGFPRPSHIQAMAYRPVLEGKSCIIGDQSGSGKTLAYLCPVVQNLRKEEVEGLHRSSPRNPRVVVLTPTAELASQVFYTLNVILPMFSDCFYAKLGKAGVLIGTFLISQVLNNCRSISKSGVPFRSMVATGGFRQKTQLESLDQELDVLIATPGRFLYLLQEGFVQLNNLRCVVLDEVDILYGEESFEQVLHQLITVAPLTTQYLFVTATLPLDIYNKVVETFPDCELIMGPGVHRTSSRLEEVGHFLLSSFLRKMFPGDTFWRILVDCSGDDNEEKNPETAFSNKKSALVKIIEESPVRKTIIFCNKIETCRKVENALRRVDRKASQIKVLPFHAALDQQQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNIKGHPLHDVPCV >OGLUM03G00600.1 pep chromosome:ALNU02000000:3:450985:452049:-1 gene:OGLUM03G00600 transcript:OGLUM03G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGTKRMRTAAAAAAMSNGGGGEREGEEEMASQGSAGGGAAASSGVAVTITTAPMTETEDDMAVTEEEEVAAASAETEEHVQRILLAIDAFTRQQVSEMLEAGRALFKNLAADFEDRLCSIHKERVERWEEEIRELRARDAANEQARSLLHNAQLHLLATVRHDHT >OGLUM03G00610.1 pep chromosome:ALNU02000000:3:452105:452469:-1 gene:OGLUM03G00610 transcript:OGLUM03G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVARSRSVGRRAAKGEKRRGERKRGEGKKGLTCGTHVGPPLSQLPHRIKPESKLPRDLSIDQTVLPTQRSPAQPSPAVY >OGLUM03G00620.1 pep chromosome:ALNU02000000:3:452444:456677:1 gene:OGLUM03G00620 transcript:OGLUM03G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNTLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >OGLUM03G00620.2 pep chromosome:ALNU02000000:3:453218:456677:1 gene:OGLUM03G00620 transcript:OGLUM03G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEKEEKIGEGTYGVVYKARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLHDVIHSEKRIGLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNTLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQDLATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >OGLUM03G00630.1 pep chromosome:ALNU02000000:3:459944:460610:1 gene:OGLUM03G00630 transcript:OGLUM03G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLLTFDRWRAPGVAFKPSSLSNGRSKHTVENFVSYSSTVAEAKTMETHAQASPPAAADKFAS >OGLUM03G00640.1 pep chromosome:ALNU02000000:3:466680:468302:1 gene:OGLUM03G00640 transcript:OGLUM03G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLISDDSMMMGGEVRAIESRLPPGFRFHPSDEELVGYYLRNKQQQQQTAATSMLVEVDLHACEPWDLPEVAKVGSDEWYFFSWRERKYATGWRRNRASKQGYWKATGKDKPILHPTVAGARKTLVFYSGRAPNGRKTAWVMHEFRLLHHHHHPNPNIQNMQQQEGDDWVLCRVFRKGNNSNGQPLATSSPPAHHLVESLISSPAPTIMSDHDRLFTIQLPHHQHCDEQYFFLDDDEQHQQQLLDLSVLQAPTSFESEQAPGHGGMEINIAEMESFDTTCAALQDASDYCMQLY >OGLUM03G00650.1 pep chromosome:ALNU02000000:3:483198:484657:-1 gene:OGLUM03G00650 transcript:OGLUM03G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGDKKTEKDGDDEDEHEEEEVEEEENSDDDYNQNIEFDDDDDDWNQEEEAHEDYYD >OGLUM03G00660.1 pep chromosome:ALNU02000000:3:484927:490178:1 gene:OGLUM03G00660 transcript:OGLUM03G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z0Z7] MRQQQQQQQQESRFKRTCVFCGSSQGNKTTYRDAAVDLAKELVARGIDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPEIIGETVGEVRPVSDMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDQAVEEGFISPSARRIIVSAPTAQELMDKLEEYVPYHDRVASGLNWETGHLGF >OGLUM03G00670.1 pep chromosome:ALNU02000000:3:497164:505415:1 gene:OGLUM03G00670 transcript:OGLUM03G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTVKRCQIPNYIIHYPFDCSLGPYDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >OGLUM03G00670.2 pep chromosome:ALNU02000000:3:497164:505415:1 gene:OGLUM03G00670 transcript:OGLUM03G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVRGSSSDGGGYDKVSGMDSGKYVRYTPEQVEALERVYADCPKPTSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTPLANDTSCESNVTTPQNPLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVVEILKDRPSWFRDCRNLEVFTMIPAGNGGTVELVYTQLYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSAASAQQYVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGVEDVVIACNSTKKIRSNSNAGIAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASTLKTSACSLPGLRPMRFSGSQIIIPLAHTVENEEILEVVRLEGQPLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDDFPDETPLISSGFRVIPLDMKTDGASSGRTLDLASSLEVGSATAQASGDASADDCNLRSVLTIAFQFPYELHLQDSVAAMARQYVRSIVSAVQRVSMAISPSQTGLNAGQRIISGFPEAATLARWVCQSYHYHLGVELLSQSDGDAEQLLKMLWHYQDAILCCSFKEKPVFTFANKAGLDMLETSLVALQDLTLDRIFDEPGKEALFSNIPKLMEQGHVYLPSGVCMSGMGRHVSFDQAVAWKVLAEDSNVHCLAFCFVNWSFV >OGLUM03G00680.1 pep chromosome:ALNU02000000:3:506762:507196:-1 gene:OGLUM03G00680 transcript:OGLUM03G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFSTHLMRRIQRGPVRGISLKLQEEERERRMDFVPDRSALEVDDIRVDKETLDMLTSLGMADLPGVVRQPDASTSAPQQYGAARLPYARRDRA >OGLUM03G00690.1 pep chromosome:ALNU02000000:3:507958:509398:-1 gene:OGLUM03G00690 transcript:OGLUM03G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z101] MNVDKLKKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRVGVNNIPGIEEVNIFKDDVVIQFQNPKVQASIGANTWVVSGTPQTKKLQDLLPTIINQLGPDNLDNLRRLAEQFQKQVPGAEAGASAGNAQDDDDDVPELVPGETFEEAAEEKKEPEEKKEAEAEEKKESS >OGLUM03G00700.1 pep chromosome:ALNU02000000:3:510978:514527:-1 gene:OGLUM03G00700 transcript:OGLUM03G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDYLNLPKDEPFFYQDDLNLCDPEGTAFLAPYIGELGLLHPTTLGGDDAEPKEAAAPLFPQFIGDLSAQPPPPTPGGSVKAAPQFIGDDVSAQPPYHNGAGEGSGLPPAMSSGMEEAAHLAPSNAPLHPFDDVFDLLEPFDEVPRPEETEELFEYALELDKGNDDQWILGAAHLDANPNQTPCSLLSQFDADSLLGMNHQTTIHNSAAATHQLVQEIPCSDTPPPARAAAEATEMAELSRVADECGGEASMVWSSDEDKFLLDGFSRLANQDSVSMCMEIAYGLPKKTAMDVALRIRWFRNKNKSAAQAGVISKESAGGNTEKAQAQAGVRSTESTVRKTRKGKGIENPNKKRNKHALSERGQDCMSTKALIRDNSMLLDQIYDKLRTGQLACAPSMFDRVKTNLDAILAKMRAMGVNTDEYKIDLEALEEIKQGLHPSVNSLYCICVLHMLSVGSHYII >OGLUM03G00710.1 pep chromosome:ALNU02000000:3:515125:517707:-1 gene:OGLUM03G00710 transcript:OGLUM03G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVSRAAFASVLLAPRAVGVAARCASSSSAASPSVAAATYDHASFIKEVAATDPPEHLSSLLNVLQARGEKLVSPGAKRGLIPLVVPLAESPAGNLTSLLRWPTAPSGMEMPVVEVRNHGLWLLAKNVNQYIHRVLVEADINGYADDLWSAVGDSGKKLYTKGDFKESQMADLDAYLLKKVGLFPDVIERKTSCHFEKGDYVSALITGEFYTRDQFPGFGRPFVFNAEILKRVGRTTEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVSEEGKREDLKKGKAPEQVVLDEAAFLMDLASVDSNWDDVVDRIAGCYREAGLDDIAKFIAYRE >OGLUM03G00720.1 pep chromosome:ALNU02000000:3:517849:520998:1 gene:OGLUM03G00720 transcript:OGLUM03G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPAPLGSSASHQESEGDQCQLQVDRSHASGSNGSSSKASDQMANRSVQTRIDTTAPIDSVKGAANKFGGSLDLRERRKQAQDELDKVQEEVSECLKRSQEAEAGRAQAVKELGGANGVIDELTLSLEKAQAEEARARQDAEIAELRLRETQQGVSESTAAKAELAVARDRHANAVADLQSVTAELEILRMEQPVAAAKADAAAARARRMVSSSQEAVKVVEELTAELVALKKELDASHHAHDEAEEKRMRLAQALEQDKDQWQLVLEVSEQEVKKLRNSLIAAADLEYKVEAASEQLAALRAELFAHAVEGTIGEEAAAATASSRAKLDKIKKELEDVKATVDKAKDEARCLRVAAASLSADLEKQKAELAALRRRDGVSATSIPSLEEELSRLTAALTAAQEARAKERSVETKLGALLEEARREAAQAKANAQSAQEEVSKARQEAILAKAAVDAMEARLEAAKRETLAATTSAEMAAASAAALQQEDENARRRRETGVGEGSVTLTMEEYDELSRRARETEEIAGKRVTEAVKLIKEAKDAEVRSLEKMAQLAKQVEQRRQALEAATMEVEEAEFSKLAAERELRQWRAEHEHQRRMTGEGMASPRTGLAEISVFDGGEGRGNPHILSPRGGYMPRTEMAAAEVEAGAKQRPTFFPRMVMFLARKRAQNWK >OGLUM03G00730.1 pep chromosome:ALNU02000000:3:521378:545714:-1 gene:OGLUM03G00730 transcript:OGLUM03G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSNGINDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQSQWADSNLDAFFASAMKPNFFNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSPNCILDLTSMLEPATPRSRISGVNGGGCAAAASSKAVMTIAFQFVFESHLQDSVAAMAWHIISSVQRIAVALSSSLLVPPGVAAAAQLAPATLEAAALPRWICQSYRFHFGAVWRHPSAILYSSLKVMPVFTFANRRRRRQAQGGGGGYSEMRNVSTDYGSLSIFVFPRIDLYSIEYGLLYELEAICTILNSGRRHGGGRLRLPVPRRGGALHVLLATRLPPSPCAPPPRRVASLGTVATRRIFRAEAMKTQREKEQTEVAVEESFSFRETAPPDEPLVTAEESWVVKPEQSVNFFLMESVITILDGYTVTATTLDFSYWRLARRLSLCFTCMKPLAGGGELIIMSDESEDDEELGFSSTFGCKLKYNYINGEKSVFF >OGLUM03G00730.2 pep chromosome:ALNU02000000:3:520844:545714:-1 gene:OGLUM03G00730 transcript:OGLUM03G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSNGINDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQSQWADSNLDAFFASAMKPNFFNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSPNCILDLTSMLEPATPRSRISGVNGGGCAAAASSKAVMTIAFQFVFESHLQDSVAAMAWHIISSVQRIAVALSSSLLVPPGVAAAAQLAPATLEAAALPRWICQSYRFHFGAVWRHPSAILYSSLKVMPVFTFANRRRRRQAQGGGGGYSEMRNVSTDYGSLSIFVFPRIDLYSIEYGLLYELEAICTILNSGRRHGGGRLRLPVPRRGGALHVLLATRLPPSPCAPPPRRVASLGTVATRRIFRAEAMKTQREKEQTEVAVEESFSFRETAPPDEPLVTAEESWVVKPEQSVNFFLMESVITILDGYTVTATTLDFSYWRLARRLSLCFTCMKPLAGGGELIIMSMLHELSFII >OGLUM03G00730.3 pep chromosome:ALNU02000000:3:521722:545714:-1 gene:OGLUM03G00730 transcript:OGLUM03G00730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSNGINDVCISVNSSKVIGCNATFSSGLPIVSTGVLCAKASMLLQSQWADSNLDAFFASAMKPNFFNLPMSRLGGFSGQVILPLAHTFEPEEFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSPNCILDLTSMLEPATPRSRISGVNGGGCAAAASSKAVMTIAFQFVFESHLQDSVAAMAWHIISSVQRIAVALSSSLLVPPGVAAAAQLAPATLEAAALPRWICQSYRFHFGAVWRHPSAILYSSLKVMPVFTFANRRRRRQAQGGGGGYSEMRNVSTDYGSLSIFVFPRIDLYSIEYGLLYELEAICTILNSGRRHGGGRLRLPVPRRGGALHVLLATRLPPSPCAPPPRRVASLGTVATRRIFRAEAMKTQREKEQTEVAVEESFSFRETAPPDEPLVTAEESWVVKPEQSVNFFLMESVITILDGYTVTATTLDFSYWRLARRLSLCFTCMKPLAGGGELIIMSVLF >OGLUM03G00740.1 pep chromosome:ALNU02000000:3:548419:553508:-1 gene:OGLUM03G00740 transcript:OGLUM03G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGTLGRIPLVRVGFPRATSVVFIFPHPPIPTPPCHRRPPRPSSWWPVPMPYWCHPDCVEDESEDVEDESEDDEELEFSPTFGCKLKYNYINGEKTADQARAPQIDWRQSFSPSFGAALLREWMGVNRQRCGLCSDLVKG >OGLUM03G00750.1 pep chromosome:ALNU02000000:3:553512:561667:-1 gene:OGLUM03G00750 transcript:OGLUM03G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFSSLLFPLREGGRNLTTFSNRCDKPPPSSLETPRPISPTIAPGVSPDRNLSQVVRGDATLLVGNFTLRCSVTCPSVLLANPPEPPPPPLGRGPVPLLGRGLSPRDSGGXGGAAACRVRPDTRSTETRSEPLD >OGLUM03G00760.1 pep chromosome:ALNU02000000:3:558524:560474:1 gene:OGLUM03G00760 transcript:OGLUM03G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANRHMELSHNFVKKFRMWKCRRSFAAVGVDPAVMGVGPVVSIAAAVKAAGLQIDDVDLFEINVAFASQYVYCCKKLGLDPTKVNVNGGAMALGESIGCYRYFLWYLSHSQTVDLVSIS >OGLUM03G00770.1 pep chromosome:ALNU02000000:3:566050:574664:1 gene:OGLUM03G00770 transcript:OGLUM03G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWAAGTARRRSGHQRRGSAVWGSSELGGGGWDSAWVGNFTPRCSVSCPSALLANPPEPPPPPLGRGPVPPLGRGLSPWAKPVPTSATRRKRLWISHPPCRGRGRSGQQTIDGCTKHSPQRRSPPSPLLPPDRGLQQSPSLPSATAS >OGLUM03G00780.1 pep chromosome:ALNU02000000:3:576358:577954:-1 gene:OGLUM03G00780 transcript:OGLUM03G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13230) TAIR;Acc:AT3G13230] MAVDAAGGVEKPRFEALMPSEMSGGRPQFRKVPVPQHRFAPLKKAWMDIYTPVYEHMKIDIRMNLKARRVELKTRQDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERY >OGLUM03G00790.1 pep chromosome:ALNU02000000:3:589061:601883:-1 gene:OGLUM03G00790 transcript:OGLUM03G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVWAWPQGRPAAAGERRNTAATTARRRRRAAAAMATRWIWGKIPMAWRGGGGGIEAEEARWSGPAGTTSIEVGIKAVIYKSAVAGDNRSVAGGDGGWGRRRLRPWPMGKTTEAVEGAAALAVAVDGADLSSGRGGWRRSRIRRRLEKKSAWAAERDDLGSGDGWRRSRLRREQRWRSESAAPVHAGRTGGARRIGLRVERRWRKGWPLGMSTSNFAAVAKNVPDLIDNSVIPHVLEYGWTKLRANSIEGEKNAIKNHDQNTLDPISSLLSVFLLSVWLSDTARDGDGDDVDGGSAPGDGEDGGAAGYGEGGGADRNGGDAARDGTAATRLGTETVAAAAPTWLGQVGTSSVVPATPTSSPTPISILLDDLLLECLTGVPYASLPQLPTTSPRSSPPSRPRRAPPLPPRPLRRAHGEPTDVGVVVVMRTRSRRPPTVPFFYVATPHRRRRCYAPPPATGWGSIPFLLSPRNPIPNMTKCQFSRPAPALARGERGHDAETKIPLIRAHLSYSIHSLFLLFLSPKGAPVVGRRAVAGTASLSLSPSPLIALRPLCPAASFAPPRHAEEDSGGVEEDRWRWRR >OGLUM03G00800.1 pep chromosome:ALNU02000000:3:590047:595508:1 gene:OGLUM03G00800 transcript:OGLUM03G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGVGDEVGVAGTTEDVPTCPNHVGAAAATVSVPSRVAAVPSLAASPPFLSAPPPSPYPAAPPSSPSPGALPPSTSSPSPSLAVSLSQTERRKTDRRDEIGSRCATGEIMEKLFKLSQIFAERL >OGLUM03G00810.1 pep chromosome:ALNU02000000:3:597377:601059:1 gene:OGLUM03G00810 transcript:OGLUM03G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSMDVVPAGPLHRASSAARRPCGQRPPQPTYSLPLTTTAVVAAHLGHRPPSPSMITTLVVHPHTGREVYSLPAMSVM >OGLUM03G00820.1 pep chromosome:ALNU02000000:3:601777:617725:1 gene:OGLUM03G00820 transcript:OGLUM03G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLLLLAVVAAIPRPDPLPAASDAASSVQERAKDILVVVSGLLFGFGCGALTAATMYLVWSLLASTCAPSYDEVYGEDDDDELSDSESPKKAGYVIIHDAEQYVGVT >OGLUM03G00830.1 pep chromosome:ALNU02000000:3:618894:625905:1 gene:OGLUM03G00830 transcript:OGLUM03G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear matrix protein-related [Source:Projected from Arabidopsis thaliana (AT5G09860) TAIR;Acc:AT5G09860] MAEPTPPPPSNAGLRILLSKDRPPASSSSAIAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYDKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTRWQKFVSNLTVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEIIPPKGKEFLQSIEHILEREKNWVWWKRDGCLAFEKQPFEKKPGQAGVRKRKPRWRLGNKELAQLWKWAEQNPNALTDSERICMPSVTEYWKPLAEDMDPSAGIEDEYHHKNNRVYCWKGLRFSARQDLEGFSRFCDYGIEGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSA >OGLUM03G00830.2 pep chromosome:ALNU02000000:3:618894:625905:1 gene:OGLUM03G00830 transcript:OGLUM03G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear matrix protein-related [Source:Projected from Arabidopsis thaliana (AT5G09860) TAIR;Acc:AT5G09860] MAEPTPPPPSNAGLRILLSKDRPPASSSSAIAAATSAAVSSHTDRDRIIGVFRDALSRTESPEAFALQAVQDAIKPQKQTVLVLEENQSLENALRKLLQELASSAVQSGKRIMQYGDNEENNCPITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDVFGYIESKQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNETKYDKDATDGISVDFNFYNTLWSLQEHFSNPALTAANLTRWQKFVSNLTVVLSTFEAQPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDFLKAPGKTDKEGPTGSMKEEIDSCEERVKKLLEIIPPKGKEFLQSIEHILEREKNWRLKNNLLKRNQAKLESENGVVPQELLPPEVRSKFYSKPGDKAKRPKREDPKGTSAQPKEQQVVSATPETDGGGSGAEPEEGAVPMDSDNAAIDEGRKQSPEEVSGPESGQCEAEADGEDNMKNEASKDGPSA >OGLUM03G00840.1 pep chromosome:ALNU02000000:3:626282:630646:1 gene:OGLUM03G00840 transcript:OGLUM03G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMEKQQQQQTRCPPWLQAAIADNEQRVRALAVSVPEDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLAANKPFHAAAASSDCGSSDVDDRSSDAGSSLSFQPPPATSSSVRDAVDAELVVAELVAAWIDREILADEAERRKAESARKIELQGSLVEVLESERLVLLGENARVGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKVGLAEIERLRADNKRLAAEAAMAAAARRKRKGGNGSGWLWWARVRMAAEWTPCAPAVRKVGEQIKHGGGRKDVKYNAGGCFCL >OGLUM03G00840.2 pep chromosome:ALNU02000000:3:627439:630646:1 gene:OGLUM03G00840 transcript:OGLUM03G00840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMEKQQQQQTRCPPWLQAAIADNEQRVRALAVSVPEDAAATATDHSFAERAENYYHKRPQLLALLTDLHHRYLYLADRYSQSLLAANKPFHAAAASSDCGSSDVDDRSSDAGSSLSFQPPPATSSSVRDAVDAELVVAELVAAWIDREILADEAERRKAESARKIELQGSLVEVLESERLVLLGENARVGFRASAAEEEAAAAAAELGYMRRRAAEMARLVVKLREDHRVCMLGRKIEALQSQVYGLELRNRECYEAMAAWEAERKVGLAEIERLRADNKRLAAEAAMAAAARRKRKGGNGSGWLWWARVRMAAEWTPCAPAVRKVGEQIKHGGGRKDVKYNAGGCFCL >OGLUM03G00850.1 pep chromosome:ALNU02000000:3:636892:640303:1 gene:OGLUM03G00850 transcript:OGLUM03G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSAPAPDAHRRGVFLLSVGAGGGGAGTAVVEVEVEHPHSLSLSPPPMSSCGRYILHRVCRFDTLAGVAIKYGVEVADVKRVNGLTTDLQMFAHKTLRIPLPGRHPPAATATATATATATAPSHPPPSSSPAATHRPREWATRRPPKNSALDPLLKPPRSTVAPSMDLLQNYYGLARPPKGDPENEGTEMATYSIGQHTKARSLSTGFSLVNGEVDDAEKPIRRRQKSDAEFSTREGNSGGVLMKAGPGLALRPKSGSRPEINNSQQDLVATAVPSYGDGLQAVRKSSSTPEFQDSDNSIASVWLKSKWNLKPDAFTLPLPILLLDSIPKPIFDTFPKQIAAWRNKAARD >OGLUM03G00860.1 pep chromosome:ALNU02000000:3:647410:654696:1 gene:OGLUM03G00860 transcript:OGLUM03G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAPVGFVYTSRRREARRQRRVVAIAIAFLLLLLLLRRRALPRRRAPRAIGGGRPRPRARERGARDYRTSRFAELSRMVDWASDSDNDKFEWGTDGEAETSSAPALRNIDAPGPSTRLPQDANGKANGSGALVAEFMGMGFPKEMILKAIKEIGDTDTEQLLELLLTYQAIGGDASVGNCSASACAPQTLEVDEEEDDTNWDEYDTAGNCDRTPHSDGSGDEDFFQEMSEKDEKMKSLVNMGFPEDEAKMAIDRCGLDAPVAVLVDSIYASQEAGNGYSANLSDYEDTEFSSFGGRKKTRFVDGSKKRKRYGSGPSGNQVPFDGSHEEPMPLPNPMVGFSLPNERLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIQPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPMPPKTISEAFPNTKRWWPSWDPRRQFNCLQTCMASAKLTERIRCALGRFSDVPTPQVQKYVLDECRKWNLVWVGKNKVAPLEPDEMEFLLGYPRNHTRGVSRTERYRALGNSFQVDTVAYHLSVLRDLFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVISVEKSEVNRTILKSWWDQTQTGTLIEIADVRHLTTERIETFIRRFGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYDYIRILEHVKATMSAV >OGLUM03G00860.2 pep chromosome:ALNU02000000:3:651927:654696:1 gene:OGLUM03G00860 transcript:OGLUM03G00860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLVALSRKGVRLRIFALLAPTSEDLSIIVHDSLEYIDGQSDLVNYGRKIVEHIILLRAKPNISDAEEKDMLDYLYTSQYQMRGILAVSLGRIEDPNTENFTHAVLMRFQQKDDIAKFHSSPYYYKILDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLESASGDSVEDALASLQRFISQCSSFIVQATLGCCLNHMDSGYSHAAVIRFPSFDDFKIFREGMEYKDMWASTFQPIVEKSLELHFTVDPVGNQLM >OGLUM03G00870.1 pep chromosome:ALNU02000000:3:654925:660324:-1 gene:OGLUM03G00870 transcript:OGLUM03G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z124] MPHRPHLAQLRRLLLLPCSSVPVPVPACRLSSPRAMASSSVSAAAAAAQAGGAVAAAEYEDVMGRLSSLITQKVRAHSGNRGNQWDLMAHYLQILELEEPIARMKVIHVAGTKGKGSTCTFTEAILRSCGFSTGLFTSPHLMDVRERFRLNGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPTYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGIASLGYDHMEILGNTLGEIAGEKAGIFKKGVPACTAPQPEEAMIALKQRASELGVSLQVAHPLEPHQLKDQHLGLRGEHQYVNAGLAVALASTWLEKQGHVERIPLNRTDPLPDQFISGLSNASLQGRAQIITDSQVNSGEEDKDCSLVFYLDGAHSPESMEICARWFSHVTKEDRTVPSSMILLFNCMSVRDPMRLLPHLLDTSTQNGVHFDMALFVPNQSQYNKLGTNSSAPAEPEQIDLSWQLSLQRVWQKLLHGDKGMNNTNSSENSLVFESLPLAMEWLRTNARQNRSTSFQAIK >OGLUM03G00880.1 pep chromosome:ALNU02000000:3:662092:664051:-1 gene:OGLUM03G00880 transcript:OGLUM03G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKKVEVTKDIAEEKAVVPLPTPPATEHDDSKAIVLVKEAEATGGSAERDAYLAKIVSEKRLVLINAWEESEKARAENRAAKKLSYITSWENAKKAEMEAELKRIEQELEKKKAAYEEKLKNKLALLHKTAEEKRALTTAKRGEELIMAEEMAAKYRAKGEAPTKLFGLLKA >OGLUM03G00890.1 pep chromosome:ALNU02000000:3:678779:679069:-1 gene:OGLUM03G00890 transcript:OGLUM03G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLAVLVLAVAMVAACGGGVVGVAGAGCNAGQLTVCAGAIAGGARPTAACCSSLRAQQGCFCQFAKDPRYGRYVNSPNARKAVSSCGIALPTCH >OGLUM03G00900.1 pep chromosome:ALNU02000000:3:679589:682831:-1 gene:OGLUM03G00900 transcript:OGLUM03G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLPQFTRKRKYGECGAAATGKSRDDGAESTRGADATQSSPSPIGRSHGDSGGPKQEGTWGKRVPALAELLVFGLQNGVEEERVTNTAVGAARLEDGIPTSTLQSALANELYTRAMA >OGLUM03G00910.1 pep chromosome:ALNU02000000:3:683022:684085:-1 gene:OGLUM03G00910 transcript:OGLUM03G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQKVVLKVPTMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTIIGDMDTVAIAKKLRKIGKIDIVSVGPAKEEKKPEKKEEKKEEKKEEKKEEKKEEKKDKK >OGLUM03G00920.1 pep chromosome:ALNU02000000:3:684959:696670:1 gene:OGLUM03G00920 transcript:OGLUM03G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKPTPTPTSAAAKSAVAGGGEVSTETPRRSARLQQAAKKKRSRDASLPPAPAPARHRQAGKVLCAPEISDKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDCYGFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEELFFAELALINNVFDQYSGYTTTACCIIDGNCLGKGERGKKAQGKGKAQAASDGPKKSEKKQRKKAVEDENPEDFIDLDTPHGQKKFLASQMAKQYSPAPVEKSWYAWWESSGYFGADPASSKPPFVIVLPPPNVTGVLHIGHALTVAIEDAIIRWRRMSGVDHAGIATQVVVEKKLMRERKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLDIKEETMLKVPGYSTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHSLEFINIFTDDGKINNNGGAQFEGMPRFAARVAVIEALKAKGLYKETKKNEIWLANTRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGYLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASKRCLKDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEVEKLQHALSQKMEASGYKEKAPQNVQEEDIRKLTSFLEQLEIISEAEKKLDAKTGKN >OGLUM03G00920.2 pep chromosome:ALNU02000000:3:689383:696670:1 gene:OGLUM03G00920 transcript:OGLUM03G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAASDGPKKSEKKQRKKAVEDENPEDFIDLDTPHGQKKFLASQMAKQYSPAPVEKSWYAWWESSGYFGADPASSKPPFVIVLPPPNVTGVLHIGHALTVAIEDAIIRWRRMSGVDHAGIATQVVVEKKLMRERKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLDIKEETMLKVPGYSTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHSLEFINIFTDDGKINNNGGAQFEGMPRFAARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLANTRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGYLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASKRCLKDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEVEKLQHALSQKMEASGYKEKAPQNVQEEDIRKLTSFLEQLEIISEAEKKLDAKTGKN >OGLUM03G00920.3 pep chromosome:ALNU02000000:3:689383:696670:1 gene:OGLUM03G00920 transcript:OGLUM03G00920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAASDGPKKSEKKQRKKAVEDENPEDFIDLDTPHGQKKFLASQMAKQYSPAPVEKSWYAWWESSGYFGADPASSKPPFVIVLPPPNVTGVLHIGHALTVAIEDAIIRWRRMSGVDHAGIATQVVVEKKLMRERKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLDIKEETMLKVPGYSTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHSLEFINIFTDDGKINNNGGAQFEGMPRFAARVAVIEALKAKGLYKETKKNEMCLGVCSRTNDVVEPMIKPQWFVNCNTMAKAGIDAVRSKRIEIIPQQYEQDWYRWLANTRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGYLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASKRCLKDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEVEKLQHALSQKMEASGYKEKAPQNVQEEDIRKLTSFLEQLEIISEAEKKLDAKTGKN >OGLUM03G00920.4 pep chromosome:ALNU02000000:3:689383:696670:1 gene:OGLUM03G00920 transcript:OGLUM03G00920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAASDGPKKSEKKQRKKAVEDENPEDFIDLDTPHGQKKFLASQMAKQYSPAPVEKSWYAWWESSGYFGADPASSKPPFVIVLPPPNVTGVLHIGHALTVAIEDAIIRWRRMSGVDHAGIATQVVVEKKLMRERKLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSRECFTMDKPRSKAVTEAFVRLYKQGLIYRDYRLVNWDCTLRTAISDVEVDYLDIKEETMLKVPGYSTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDNRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHSLEFINIFTDDGKINNNGGAQFEGMPRFAARVAVIEALKAKGLYKETKKNEIWLANTRDWCVSRQLWWGHRVPAWYVVLEDDQENILGSDNDRWVVARNESEANLEAHQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADVKAFYPGSVLETGHDMGMQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGIPLEGLLKRLEEGYLDPNELNIASDGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQELESARAASKRCLKDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSLVKEWADDKLENQIDIALDTVNKLRSLKPPTDTNERRPAFALCRGQEIAAIILTESDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEVEKLQHALSQKMEASGYKEKAPQNVQEEDIRKLTSFLEQLEIISEAEKKLDAKTGKN >OGLUM03G00920.5 pep chromosome:ALNU02000000:3:684958:688176:1 gene:OGLUM03G00920 transcript:OGLUM03G00920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVKPTPTPTSAAAKSAVAGGGEVSTETPRRSARLQQAAKKKRSRDASLPPAPAPARHRQAGKVLCAPEISDKKTVEGTINDAEIESIVLKLWNFTEEERVPYYNRLNKKRANMALAWYNENNPEDCYGFTSVLLHDVCNFCDGGVCHVHINFKARNVTTNSEELFFAELALINNVFDQYSGYTTTACCIIDGNCLVVGDRKLHEKELVDQLRAGR >OGLUM03G00930.1 pep chromosome:ALNU02000000:3:696871:705257:1 gene:OGLUM03G00930 transcript:OGLUM03G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQSLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQALEKSLLCSYKSNTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQAQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKMVSNDVDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSKDVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTIVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKYLECRHIFTADPRGVLKLWDIRNALLSNTLDITVSQKVPLIAVFESSFGARIMCLDAFPQDEILVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDHFKGAHGISSVTRGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASQKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSSGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDILVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTAYHGDAYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPDMSYCVISGGDDQADCAIHTSLDSPDNGVVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >OGLUM03G00930.2 pep chromosome:ALNU02000000:3:696871:705257:1 gene:OGLUM03G00930 transcript:OGLUM03G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQSLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQALEKSLLCSYKSNTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQAQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKMVSNDVDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSKDVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTIVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKYLECRHIFTADPRGVLKLWDIRNALLSNTLDITVSQKVPLIAVFESSFGARIMCLDAFPQDEILVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDHFKGAHGISSVTSVRIITSTFDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASQKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSSGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDILVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTAYHGDAYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPDMSYCVISGGDDQAVHCFSFTLGSLQDCAIHTSLDSPDNGVVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >OGLUM03G00930.3 pep chromosome:ALNU02000000:3:696871:704266:1 gene:OGLUM03G00930 transcript:OGLUM03G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQSLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQALEKSLLCSYKSNTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQAQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKMVSNDVDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSKDVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTIVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKYLECRHIFTADPRGVLKLWDIRNALLSNTLDITVSQKVPLIAVFESSFGARIMCLDAFPQDEILVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDHFKGAHGISSVTSVRIITSTFDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASQKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSSGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDILVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTAYHGDAYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPDMSYCVISGGDDQAVHCFSFTLGSLQDCAIHTSLDSPDNGVVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >OGLUM03G00930.4 pep chromosome:ALNU02000000:3:696871:704294:1 gene:OGLUM03G00930 transcript:OGLUM03G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQSLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEILIWKIVPQALEKSLLCSYKSNTLGVEDYENMHFSDKQYITIHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARIWMLSSQAQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKMVSNDVDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSKDVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTIVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKYLECRHIFTADPRGVLKLWDIRNALLSNTLDITVSQKVPLIAVFESSFGARIMCLDAFPQDEILVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDHFKGAHGISSVTSVRIITSTFDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASQKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSSGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDILVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTAYHGDAYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPDMSYCVISGGDDQAVHCFSFTLGSLQDCAIHTSLDSPDNGVVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >OGLUM03G00930.5 pep chromosome:ALNU02000000:3:696871:705257:1 gene:OGLUM03G00930 transcript:OGLUM03G00930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G01860) TAIR;Acc:AT4G01860] MAAGDHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYDVDAARLVASFQVFDGVRVHGIQPRCPDGPSPGDVTVVVFGERRVKIFRIRADFEDGEACGVRLELEQSLPGFDHWVLDACFLEADGLLAIGLSDNSVALWDLSQRVLHARVKSPEKCLLYSMRMWGNSLESLLVASGTILNEAQNFVNKADGQDDVRIIPKLTLFGHIGEDCSCCIWAMDGKLIKKFREHIGRGIWRCLYDPSTLLLVTAGFDSAIKVHHLYNSSCHDKMEDKMVSNDVDSEVFSISSPTVSGQYGPMDSKSEYVRYLHFVKENDLYVATNNGYLHHAELSNSKDVRWTKVTQVAEVAPIICMDVMVMHSDISLNRDDIIALGDGRGNVTIVHLTASDLGPKVNSSFTWPAEKDRQLLGVYWCKYLECRHIFTADPRGVLKLWDIRNALLSNTLDITVSQKVPLIAVFESSFGARIMCLDAFPQDEILVAGDKKGNITAFHFPKVLVEHESCGTQQNMPSCDHFKGAHGISSVTSVRIITSTFDHLQIHTTGGDGCICFFKYDRNVQKIEFFGMRQVKELGTVQSIFPPHASQKQLLSTYAIGFTSADFIIWDLENETKLLQISCGGWRRPYSYYLGMVPEYQNCFAFVKFHGREVHSLCFIDPAGYSNPEKSSNLYIATGCEDGTMRLTGNSINSSGKWCSSKLLGEHVGGSAVRATCFVQKGYTSLDKSCNIIPNGNSDDILVKNKDNISLLISVGSKQVLTTWVLQPKVAENRHICSSGLDVDSKQSLNGDSAMTFQWLSTHMPPKLTNRLKTGNVKNNNEEGDSSMMQPNQFIVDQLENDWRYLSVTAFLLEHPSTNSTVCFVVVACSDATVVLRALLLPSRLWFDVALLAPQGSPVLVLKHIIAAANTAYHGDAYIVVSGSTDGSITFWDLTDTIHGFMQLVSETQPHMVIDCQKRPRTGRGSQGGRRRWRTLPGRSLKKINEETSIPDGSIPNTPNATENTSETSNVEETDATNQNYAFSNLQSCNIPEVTPMHRFSGVHQSGVNCLHVSEMRACSYSTPDMSYCVISGGDDQAVHCFSFTLGSLQDCAIHTSLDSPDNGVVKIICQHRVPSAHSSAVKGIWTDGIWAFSTGLDQRVRCWKMESSGKFTEYSHVIISVPEPETLDVVHDRAERKYWVAVAGRGMQMVEFLSAENDEEEISK >OGLUM03G00940.1 pep chromosome:ALNU02000000:3:706975:718075:1 gene:OGLUM03G00940 transcript:OGLUM03G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETYQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWSDRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERMARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPGARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPALHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGAALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSAIVIADQPPVNGSVPASNHLTLVKMPSQNITEESNVTYEEPPVEIPKENGAPVEVESKVENIPETNIESKVEPPAAHPASQADLLADLLGPLAIEGPPAAVEQNPVQGLETNQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCSKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTAPLLSVQALILPPSHLKTELSSVPDTIPPRAQVQIPLEVVNLRASRDVAVLDFSYTFGTSLVNVKLRLPVVLNKFLQPITLSPEEFFPQWKALNVQNLKVQEVVKGVKPLPLPEIASLFMSLHLAVAPGLDNNPNNLVACTTFFSETTRAMLCLVRIETDPQDRTQLRLTVASGDQYLTFELKEYIKEHLIDIPRVQTASPPVPVQPQLPPAAVPATYNDPGAMLAGLL >OGLUM03G00950.1 pep chromosome:ALNU02000000:3:720863:730119:1 gene:OGLUM03G00950 transcript:OGLUM03G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGAEMLSPGEADWPPELRLSPPPPPSAASEREPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVDLKGKLSLTLEELTSAKARWNAPTTYTRKEKDESSDDLYDANNDDDAGSDCSSGKRKRNSSRKKGRKRRKPNSDCSIATKKVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGIHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDWFENEKQKLCHLRDRASETGQCVEKLQLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYLNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECLSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >OGLUM03G00950.2 pep chromosome:ALNU02000000:3:720863:730119:1 gene:OGLUM03G00950 transcript:OGLUM03G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGGAEMLSPGEADWPPELRLSPPPPPSAASEREPPPARAAVGMDDSQFLGSIIGLPAQPPQATAEALAVVGVKRRRGRPPKKRDGAAAATAVVPAARPARRREDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGWHICSSCEKAVHYMCYTCTYSLCKVCIKQGKFFSVRGTKGFCDTCYSTILLIESKDEGDTKIVVDFDDQNSWEYLFKLYWVDLKGKLSLTLEELTSAKARWNAPTTYTRKEKDESSDDLYDANNDDDAGSDCSSGKRKRNSSRKKGRKRRKPNSDCSIATKKVETVTRDDGTLPNKVPTEEASLPVDTKWASPELLEFVGHMRDGDQSFISQFDVQALLLDYIKQNNLRDPQRKSQIICDSRLHRLFRKTRVAHFEMLKLLEMHFIVSEPSAVNDGSQGIINPDSAQIDHASGYNDMAAKFSPDRRRRMHRKMEREPQANPEDYAAIDMHNINLIYLRRSLMEDLIDDPTLSDKISGAFVRIRISGLGQKQDMYRLVKVVGIHKVSEKYSIGKKMTNFALEIMNLNKKEIITMDTVSNQDFTEEECKRLRQSMKYDLISRLKVGDIQEKAKIFQFVRVNDLLNTPEERARRINEVLDVHVDSHMDPDYESDDEFGNKKAVERSVNWARSDPFVSPVKVKYLNSSQKNGDATRHLKNLSKQNTERKSGAARNFENSHSPVGMDIPKSGTNVKSTRCETTSPSSHGVVSSDMEPEKVWHYKDPSGNVQGPFTLVQLSKWTSYFPRDMRVWLTFESEERSLLLTEVLSKQPKDFGQPASVTTSSKSTVADTGQNRNTEIVDLNKAPSPVGYSMLNSFETTVQSTKHSAPERESVNSLDDRLSHSTDSVPPKDANASNSQAMCQIKHSGSLPSPGSPHQRSDLHHDEVQGGRSGEWNNQHNSELWSPSMPQTSSSAHSNVESHHDHYPSWSQVQHDPKNSLQAGSGKDLNSRYDIAQKLPSQRITRDVPSPVFAWSPSESRTASSQHEGSCLSSTTNLCTHDELHSSIASAKAKSFAPATPVEDRGSSSPSGMLSLSERAPICSPQSAPSASASDTCKMEENMNQQKTLEADISNTSVNQSPQSKILPESSPDNQDAEHEYRSPPPISESKELSPQSRTTPGSSPDNQDTEREYPSPPPISGSKEISPQSRTILESSPDNQDNGHEYPSPPPIPESIELSPHSKALPESSPDNQDIEPECPSPPQIPESKELSRQSKILPESSPGNQDIEPECPSPPQIPESKELSQQSKILPESSPDNHDIKCEYSSPTPIPESKELSLQSKILPESSSDNQDIKCEDPSPTPISKSKEVSPQSKILSESYLDNQDVERECLSSILITESKELAVDLPGSISLAPEKTASTDVGENSSLAFIFPKSTLAGDDALKSVFDMAKAHLECEDSKVKEELYVESTVVIRDDMVVNPASGVESIDMSENLLESLMEQSCGTFYMDGTTALEGFLSGSTKEEPQCSSPIALSTCSSPIALSPWGEHGYYQGDSVGSSLWGVQDDDPIGNIWPLSSQAPALQYSSGSTAHFIDEATVTHGNNGVVLSSTPGEEVGLPNSGVCTDWGLVEQVNPEANDASVSMIDKNSGLVDSQPSANDGSDVGTARNTNHNTNLSLNHETAVPLSRSSGEASRKHGFITDLNVATSEEALGNTKNWNPSAGNANRGSQRNHHRDRYSQISESWLLSSNYSRSRSDGFGTGGSSRSTPRGQTQRGICKFHENGYCRKGASCNYLHP >OGLUM03G00960.1 pep chromosome:ALNU02000000:3:730082:733967:-1 gene:OGLUM03G00960 transcript:OGLUM03G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLTPTQRYAAGALLALALRQAQIHQSVLLGAHHHDDDDDEEQGRTSTSSGGGGGSSSSSSNSGAGADADLWTHDSHGLLRPVFRFLEIDPKAWSGLEETAASSEAKHHIGAFLRIIFEEDGESSSDRSVQELALAKGVDVMVMSLGNDSEVGNTIKGGDQDALPSSSGTDKSPGESSHDDLLGINKLTLDDIPANNHRKMALLFALLSACVADKPVSQEEEDRKSTRFRKGYDARHRVALRLLSTWLDVKWIKMEAIEVMVACSAMAAAKEQEQSQESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPVIGASGFAAMATAAGSVAGSLAVAASFGAAGAGLTGSKMARRIGSVKEFEFKPIGENHNQGRLAVGILISGFAFDEDDFCRPWEGWQDNLERYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEAARKMVAGRFVNVYSTDDWILGVTFRASLLTQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAAQQILEHLELNTYYPVFVPLSAANEETDGTVAQ >OGLUM03G00970.1 pep chromosome:ALNU02000000:3:735164:736798:-1 gene:OGLUM03G00970 transcript:OGLUM03G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGVAEYLLMDPWLVLWLVLASMAFALLHLRRRARRGAPPLPPGPRPLPIIGNMLMMDQLTHRGLAAMAARYGGLLHLRLGRVHMVVVSSPEHAREVLQVQDGDFSNRPASIAIAYLTYGRADMAFSHYGHFWRQVRKLSAVRLFSRRRVQSWRAVRDESAKLVGAIARRAGEAVDLGELIFGLTKDVIFRAAFGTRDGGGHGELEVLLQEFSKLFGAFNVGDFIPWLAWLDPHGINRRLRAARAALDSVIDRIIDEHVSNPAGDEDADMVDDMLAFLDEAGRDQTGGGGELQGTLRLTRDNIKAIIMDFVFGGTETVASAIEWAMAELLHSPGDLRRLQAELADVVGLGRGVEEGDLEKLPFLRCVAMETLRLHPPIPLLLHEAAADCVVGGYSVPRGARVVVNVWSVGRDAGAWKGDAGAFRPARFMAGGEAAGMDLRGGCFELLPFGSGRRACPAIVLGMYELELVVARLVHAFGWAPPGGVAPEELDMADGFGLTAPRAARLRAVPTPRLTCPM >OGLUM03G00980.1 pep chromosome:ALNU02000000:3:741825:743125:-1 gene:OGLUM03G00980 transcript:OGLUM03G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHRHRHRLLCCGGGVATAPGRFAGDAIADHQQAAAGAKNAAATSSARQLSWAQVEAMTRGFTSAVVGEGGFSTVYLGRVAGSLAAVKVHRSSERLQRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHEQLHGGGGGGAMPWARRVAVALQVARALEYLHDRCEPQVVHGDVKSSNVLLDAAMGARLCDFGSARAGFSAAVHCPRPRPSALAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGTQAFRDGMLLTAAVAPKLKGAAAGDVEKLVDERLGCQYNAAEAATVAALAAACVGDNPSLRPSMADVVRTLEKPAQKAGR >OGLUM03G00990.1 pep chromosome:ALNU02000000:3:744808:748823:1 gene:OGLUM03G00990 transcript:OGLUM03G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase a [Source:Projected from Arabidopsis thaliana (AT3G07800) UniProtKB/Swiss-Prot;Acc:Q9S750] MSSICAMRSLLAASTFLRSGASPLLRPLSRPLPSRLNLSRFGPVRPVSAAAAAADKSRGGGGSAMEAQPSYPGEIHVIVGPMFAGKTTALLRRVQVEAGTGRNVALIKSDKDNRYGLDSVVTHDGTKMPCWALPELSSFQDKLGTEAYDKVDVIGIDEAQFFDDLHDFCCKAADRDGKIVVVAGLDGDYKRNKFGSVLDIIPLADSVTKLTARCELCGRRAFFTLRKTRETKTELIGGADVYMPVCRQHYLDGQIVIEATRIVLDLEKSKVIHAFK >OGLUM03G01000.1 pep chromosome:ALNU02000000:3:749201:752124:1 gene:OGLUM03G01000 transcript:OGLUM03G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRYQNRPTALAACRSKRRRCTAADAAAATRDPSPWESLQEDLLELIAWRVLAGDLRDYIRFRAVCPHWRSSTTCPRGRCIVDRRFHPRRWMLLPEGHGLYPGHGKLRGFVRFFNLSTGAFVRVHLPLFRDHCVLDSVDGILLLQRDHDTAIRLLHPFTGDILDFPPLETLLRYVSSKLVGDKWHYARRIGAASINVSADQVVSLMMWSPGMVQVAFATSGEQQWRASSWYFNQIFSPLAFQGKLYMVRHHLTYGEPEILQIDPPQLEGTEFWLPPPTLIAKCPANAVNTSDSRFYHLVECDSEVLVIALSAGIHRKISVYRLADFMLGRRTLRVTSIGGNAIFIGMRNLCVSSKAFPTVVGDTIVFHHYQQGYLAQYHLRSGTLEPASDGTIAEYAIPSPTCIIYHIYTCCFREQWNKGHITFQGLLTINWRVKRKWRSGA >OGLUM03G01010.1 pep chromosome:ALNU02000000:3:762251:765280:-1 gene:OGLUM03G01010 transcript:OGLUM03G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPGMPPFSAAGGEGAPSPISSRPPPPEQAAAAAAEEQLNGSSLEHDGVLGGEEGDRGGSSAGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEEVSRKLAEMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKTYRFFTELEALHGAAAATARPPPVSLAPAPVAVAPPATPAGLSALRVHAAPPPPVKQHAAPPPPVMDAAACVMTMDDVSFSSGSDTEETAEEGGKRKRRGGGGIGGGGGGGKAMRMFEGLMRQVMERQEAMQQRLLEAIERRDQERMIREEAWRRQEVARLAREQDALAQERAIAASRDAAVISFIQRVTGQSITAPPPPPLQPTPVASAAPPPPPQHHHQQIPPPIQIQPHHIMPMTPQPQLQPPQPQSKEASTVVRAAPPPQEQHDTAASGGGGASSSRWPKAEVHALIQLRTEMETRYQDSGPKGPLWEDISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLDALYRTKAANAAAAAASASPAPATTTVLAPVPLSQTPPHVDHGGSNGNGNGWASANNGGGGSSSGGMQTKASNNGTATAGGLPVVSVAGGNGNGNGVAATTDNKGSKQVPVAKETAGQRQPQPLAMNHNYGNDRMADDMDSDSMDDDDDDDEFDDDEDDDDDIGGGKMQVQYETSSHFQRPQLQNQNVVVGRPNASGGGGGGGAPTTPAGPPPPAATSGTSFLACVQ >OGLUM03G01020.1 pep chromosome:ALNU02000000:3:772115:772540:-1 gene:OGLUM03G01020 transcript:OGLUM03G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRYTKKSSLMIPLLLIILVVAAASTTVARSAWVGDYASNHGCGETAAAELCDPRDPAANRACDDACHYNGCRGGRCILLYRGYLDGGDGGGGGRRGIGRGCHCR >OGLUM03G01030.1 pep chromosome:ALNU02000000:3:776877:780923:-1 gene:OGLUM03G01030 transcript:OGLUM03G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLRDVRRRDLASPLGTLTANVQSKCAANVCSRWAGFARTFSAKATGNEVIGIDLGTTNSCVSVMEGKNPKVIENSEGTRTTPSVVAFNQKGELLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWLETTDGKQYSPSQIGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGTNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSEFKRTEGIDLSKDRLALQRLREAAEKAKIELSSTAQTEINLPFITADSSGAKHLNITLTRSKFESLVNSLIERTRDPCKSCLKDAGITTKDVDEVLLVGGMTRVPKVQEVVSEIFGKAPSKGVNPDEAVAMGAAIQGGILRGDVKDLLLLDVTPLSLGIETLGGIFTRLINRNTTVPTKKSQVFSTAADNQTQVGIKVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKEQQITIRSSGGLSEAEIQKMVHEAELHSQKDQERKALIDIRNTADTTIYSIEKSLGEYGDKIPAEVASEIETAIADLRNEMASDDIEKIKSKIEAANKAVSKIGQHMSGDGSGGSQAGSQGGGDQAPEAEYEEVKK >OGLUM03G01040.1 pep chromosome:ALNU02000000:3:781226:786922:1 gene:OGLUM03G01040 transcript:OGLUM03G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSARPTPADSGELPNGAGMAEDAVVAPDAAEAPEEGEESGIANDSETNAALGAEGEPSRPLTMRELLGELKDSGEPSSGRSTLSEGNGIGSAGAERASQDSLQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVSAIERNPEDPDAYYNWALVLQESADNVDPDSSSSKDSLLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAIMNYDKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRSAIQLQFDFHRAIYNLGTVLYGLAEDTMRSAGPDVSPNDLYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKAGYLTAPPADNTIAPHKHWERSEFVLNHEGLQQVDASESPSSKPLGHMGRSKKYIKVAVEDIVSVSACSDLTLPPGAGLCIETIHGPLFLVADTWESLDGWLDAIRLVYTIFARGKSDVLAGIITG >OGLUM03G01050.1 pep chromosome:ALNU02000000:3:792092:792685:1 gene:OGLUM03G01050 transcript:OGLUM03G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRSPGAGSLRFLGLLKQPESGPDGAAPFELDESDVVWPAGGGGDDGYCCPAPPHPEGPPRVPRRAAHAVPQSFGLSSLLANGGRGGGDDGRQDGVAVPVRAAAAPGGGAAAPRRSAPVRVPMWPGKGAAAATNVVGGEESDDNEDDEMVPPHVVAARRHARSSSVLEGAGRTLKGRDLRRVRNAVLRQTGFLDL >OGLUM03G01060.1 pep chromosome:ALNU02000000:3:798467:803283:1 gene:OGLUM03G01060 transcript:OGLUM03G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MVCGICIRKRVAIAVTDMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESSNQELERLKIELHQKVMQSDNIEQVVDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSIEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKLKEQEHHRSVAESKIKELELKSKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKLRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTAPVTRATRQQPAVNKTRGWVR >OGLUM03G01060.2 pep chromosome:ALNU02000000:3:798467:803283:1 gene:OGLUM03G01060 transcript:OGLUM03G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT2G22610) TAIR;Acc:AT2G22610] MVCGICIRKRVAIAVTDMVTEGNVLCKRCSAHTGNSPLQTRTSKLISKYEKQIEELTNQCNMKSDECYMAWSSVESSNQELERLKIELHQKVMQSDNIEQVVDRQADQLRSVSQKYENAKKLWAAAISNLENKIKAMKQEQTLLSIEAHDCANAVPDLSKMIGAVQTLVAQCEDLKLKYYEEMAKRKKLHNIVEETKGNIRVFCRCRPLSKDETSSGYKCAVDFDGAKDGDIAIVNGGAAKKTFKFDRVYMPTDNQADVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKETVTYSISVSVLEVYNEQIRDLLASSPSSKKLEIKQASEGSHHVPGIVEAKVENIKEVWDVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRAENLMNGECTRNLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKNSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSNNDVSETLSSLNFASRVRRIELGPAKKQVDTAELQKVKQMLERAKQDIRLKDDSLRKLEDNCQNLENKAKGKEQFYKNLQEKVKELESQLDSKMHSQITSEKQQNELFGKLKEKEEMCTTLQQKIAEESEHKLRLQQQSESEIKELELKSKEQEHHRSVAESKAMEIGQELLETQRTEAMLQIKLRDLENNLQERTTLQDTNMILDSTNCMRVASTPGEAKAHLLTREEAMSEKEQHILRSSDSMNKKVTNNSSIVGAPEVVNEKKRKGDARNSSIGGELENQPVGSQNASRKRSLQGEPRLKRKSTEPLKNPGRVTATSKTAAATHKTAPVTRATRQQPAVNKTRGWVR >OGLUM03G01070.1 pep chromosome:ALNU02000000:3:805128:805859:1 gene:OGLUM03G01070 transcript:OGLUM03G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLATVDDGRHAMAASTRARARLGVRAFYLRLSVGAGGTDAAAYASADRVCVAEGARFEVYAAGKDLVADGVFSRRRGGGGGWRVECRRAAAAAAVAEVVVLEEGGALMRATAKASARGLGCGGTRLEGIPEEGWGCDCECGTCGDDEWEVVGDDGELDTPEMEAETLTWALEMGAWAVCVGVGLLATARRFRRKRAFW >OGLUM03G01080.1 pep chromosome:ALNU02000000:3:807142:809511:-1 gene:OGLUM03G01080 transcript:OGLUM03G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40780) TAIR;Acc:AT2G40780] MKAGRKNLRRACGEGAVTLGEGESIMQVLALRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKNGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >OGLUM03G01080.2 pep chromosome:ALNU02000000:3:807142:809511:-1 gene:OGLUM03G01080 transcript:OGLUM03G01080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT2G40780) TAIR;Acc:AT2G40780] MKAGRKNLRRACGEGAVTLGEGESIMQVLALRGSNVIEVMDAKGVKSLALFPAKFQKSFWIKNGEWSPDFSIAGNFVVVDASGRDQALESGSKIACVVSQVLFHDQVRAMEKSEEWPAIFKSTSKEGSEAGTQEGTRPQIEEEPDSDEDDDLPPLEANTNRQRPFELYADSESDSDS >OGLUM03G01090.1 pep chromosome:ALNU02000000:3:811378:820863:1 gene:OGLUM03G01090 transcript:OGLUM03G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKRNIIQAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKYVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSVRKVDGYRKQGEAAVKLASDKQKSSTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISCKLQEGSSLRKSASIGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >OGLUM03G01090.2 pep chromosome:ALNU02000000:3:811378:820863:1 gene:OGLUM03G01090 transcript:OGLUM03G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGAGYNFKYVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSVRKVDGYRKQGEAAVKLASDKQKSSTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISCKLQEGSSLRKSASIGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >OGLUM03G01090.3 pep chromosome:ALNU02000000:3:811378:820863:1 gene:OGLUM03G01090 transcript:OGLUM03G01090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGSVRRTAASSSPAAAAVPTAFTASPGDYRLLEEVGYGANAVVYRAVFLPSNRTVAVKCLDLDRVNSNLDDIRKEAQTMSLIDHPNVIRAYCSFVVDHNLWVIMPFMSEGSCLHLMKVAYPDGFEEPVIASILKETLKALEYLHRQGHIHRDVKAGNILMDSPGIVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLLMTLQNAPPGLDYDRDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLIHDDDPPEIKEDVDNDRINEADKEPFSGNHFGQPKILSGKHFSEQTCVTAVSPGGNMHETSRGLVSEPGDADSVRKVDGYRKQGEAAVKLASDKQKSSTKRTTNLSGPLALPTRASANSLSAPIRSSGGYVGSLGDKSKRSVVEIKGRFSVTSENVDLAKVQEVPTSGISCKLQEGSSLRKSASIGHWPVDAKPMSNSHQRKELCNGSVSASVLIPHLRNLVQQTTFQQDLITNLLSSLQQNEKADATQYRLGNMDGDTEVETSISEGERSLLVKIFELQSRMISLTDELITTKLQHVQLQEELKILYCHEEIIDTREVDNA >OGLUM03G01100.1 pep chromosome:ALNU02000000:3:824590:826155:-1 gene:OGLUM03G01100 transcript:OGLUM03G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAMSGGGVVVAVAYAALAVVALRMALSYKSALYAVRRLWRWADEWAQAYQYHEVPRFACDGGGAENPLFRKAAQYVAALPSLEDADAASVLSSASRTNGGFSLQLGPGHTARDAFLGARLAWTNRGDVLVLRVRRHDRTRVLRPYLQHVESVADEMELRRRELRLFANTGVDGSTGTPRWASAPFTHPATLDTVAMDPDLKARVRADLENFLKGRAYYHRLGRVWRRSYLLYGPPGTGKSTFAAAMARFLGYDIYDVDLSRAGSDDLRALLLHTTPRSLILVEDLDRFLQGGGAGDAEARAARVLSFMDGVASCCGEERVMVFTMRGGKEGVDAAVVRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEESFHGGARLSPAELGEIMLANRSSPSRALRNVITKLQHVSGAAAAPRPPHRRNTSWSGAGGPWEEQAARASADAADGGEEAITATAACGVFAKDAPMREFKKLYGLIKIRSRKEGSGGFMPLHGGEAPSPANGRGSEHDKER >OGLUM03G01110.1 pep chromosome:ALNU02000000:3:831011:834612:-1 gene:OGLUM03G01110 transcript:OGLUM03G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAERSVLIIRGRLLGDALIALSNAGGCTCGGFKGRRLEEQRCPQVATLLGAYRMIPREVEWIMTVLNFDFSLLLDLCKKAQPMQPCEVL >OGLUM03G01120.1 pep chromosome:ALNU02000000:3:835606:839477:1 gene:OGLUM03G01120 transcript:OGLUM03G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSAKDRVTTSQAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDSQWLSITFSKAIFLGNGLVAIVSGLFANLLADNLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMSQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIAARLLARKLKVEGYMQIVFTISAFTLFLPVVTNILVPTSSVKGGSISFGGTLQLLGFCTFEAYVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSSTKAQEMVDEDEPLNP >OGLUM03G01130.1 pep chromosome:ALNU02000000:3:842155:842739:-1 gene:OGLUM03G01130 transcript:OGLUM03G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFSSGSGTRDDRTDASGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEESLAQRRSWGENLTYYTGIGYLSGAVAGAAVGLRDAARNAEPGDTAKIRANRVLNSCGSNGRRMGNTLGVIGLLYAGIESGMVAVRDRDDWINSVTAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQAAKRYVPAL >OGLUM03G01140.1 pep chromosome:ALNU02000000:3:844258:848823:1 gene:OGLUM03G01140 transcript:OGLUM03G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early nodulin-like protein 8 [Source:Projected from Arabidopsis thaliana (AT1G64640) TAIR;Acc:AT1G64640] MRGASALASLVAAAAVALLLLIDGCGGAMYKVGDLDAWGIPPPSKPDVYSRWAKSIHFALGDSIWFLYPPSQDSVVQVTPVAFAACQASDPVLKLDDGNSVFNLTTPGRVYYISAAPGHCRKGQRLAVDVPMANGTYLPPTANDLAAFAPMPAEAPAGFESAALGPAGARQSAAPRAAAAGGAGSVLLAALAFAVFLL >OGLUM03G01150.1 pep chromosome:ALNU02000000:3:845080:845792:-1 gene:OGLUM03G01150 transcript:OGLUM03G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFCGSQHGLPDGAWQHSQPYGQQLPVCARQASKKTAKARAARRTDPAPPAAAARGAADCRAPAGPSAADSNPAGASAGIGAKAARSLAVGGR >OGLUM03G01160.1 pep chromosome:ALNU02000000:3:846215:850801:-1 gene:OGLUM03G01160 transcript:OGLUM03G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-fucokinase/GDP-L-fucose pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT1G01220) TAIR;Acc:AT1G01220] MEPAERHRRRRRRAHTADEAAAVLRKAWCRLRLSARDPSRVPPWDAVVLTAASPEQAALYDRQLARARRLGLFPASTAALAVPDPDAARIGSGAATLHAVASLVRHLIAQASKEEIAELLPEASDSSADDIPLSSVVRFMANKHILLLHAGGDSKRVPWANPMGKAFLPLPYLAGDNPDGPVPLLFDHILAISSSARQAFKNQGGIFIMTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVAAKDGTDGENYSLCLVDNLLQKPTVHELVEGQAIRDDGRALLDTGIISARGKAWQELVRLAYSSSHVMIKELITSRKEMSLYEDLVAAWVPSRHEWLRTRPFGMELIAALGKHRMFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMSSIPETTACDIAATAVILSSKISAGVSVGEDSLVYDSSLSGRIRIGSQCIVVGVNIHELHGNRSQIISTSSYFTLPDRHCLWEVPLVNSVERVMVYCGLHDNPKVSMKKDGTFCGKPWRNVLEHLKIQDTDLWSSTNEDNCLWNAKLFPVMSLPETLKVGMWLMGSTCDLDGKVASLWKESQRISLEELHRSIDYHQLCVNSSKHQADLATNIAKACMTYGLLGRNLFQLCEEMLQKENSCVEVCNELLSLCPSHGDQYSGVLPQSRRYQVKMDLLTASGDLSTAAIVEDKVWASIASETASAIKYGSKEPSSDSKCSSNGNLHPKKAIVELPVRVDFVGGWSDTPPWSLERPGCVLNMAIRLEGNLPVGAMIETTMDHLGVLIEDDAGRNVCIDDLSSITSPFKENDSFRLVKSALIVTGVLNHERLSKLGLNIRTWANVPRGSGLGTSSILAAAVVKGLFQLIEGDESDATVARAVLVVEQVMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLHVVPLLASPQLIQELQQRLLVVFTGQVRLAHRVLQKVVTRYLRRDSLLISSIKRLAELAKIGREALMNGEIDELGGIMSEAWRLHQELDPFCSNKLVDELFAFADPYCCGYKLVGAGGGGFALMLGKNLNSAKELRQALENSATFDVKVYNWNVAMTP >OGLUM03G01170.1 pep chromosome:ALNU02000000:3:855134:856683:-1 gene:OGLUM03G01170 transcript:OGLUM03G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53170) TAIR;Acc:AT3G53170] MHSPSPAPARCPPATSSPPPRASSRATHVVMARGGRRHKPPSSAPEAEQPEALSRILRTEAAVSGVSRKAAAASRQQSTRLWPRAVLEALDSAVASCRWESALEIFELLRKQQWYKPRSQTYARLLMMLGKCRQPGAATALFKVMLSERLKPTVDVYTALVGAYGYSGLLDQALATVEQMKGVADCKPDEYTFSVLINCCSKLRRFDRIPAILDEMSYLGLQCNAVIHNAIIDGYGKAGMLEEMENALTSMLEDGDSVPDIYTMNSIIWAYGNHGNRIHEMERWYSEFQLMGVEPDTQTFNIMIKSYGNAKMHDKMMSVLKYMKKHFFSPTVVTFNIIIESFGRAGNIEKMEYYFRLMKIQGVKPNPITYCSLVNGYSKAGFLDKVPGIIRQTENTDVVLDTPFFNCVIDAYAKSGDIKIMEEMLQLMKEKKCKPDKVTYTTMIQAYNVHGMDEAANLLKMEVGMVDGKLLVSVFHCYSKLVFHINQCMH >OGLUM03G01180.1 pep chromosome:ALNU02000000:3:864272:870361:1 gene:OGLUM03G01180 transcript:OGLUM03G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVSDEFHNEHDSSSCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >OGLUM03G01180.2 pep chromosome:ALNU02000000:3:864272:870341:1 gene:OGLUM03G01180 transcript:OGLUM03G01180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVSDEFHNEHDSSSCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >OGLUM03G01180.3 pep chromosome:ALNU02000000:3:864272:870361:1 gene:OGLUM03G01180 transcript:OGLUM03G01180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMARPRFEEDDEDEFFDSREVMSPASVSSPASSGRYDGPMLEVWATDPCSVHERRQRFIKSLGLSDSSPSAGGGGDRPDEEPCSRSSAAEEILPCSPTVELVSAVPSFACRGEEPGASGGGGGGAEVLDCVFKNLDDGTVFVVDEMGKDGSFRSLRDRRSNRTVTAAEFERTYGSSPFICELMRRVDDSDESSAVEKALVRGRRRRRRFGWLRRLGIRGCVVDVEEDDETNSTSSSSCRSCSGKVDRVKVRHYKKRSKELSAVYRGQDIKAHEGAIVTMKFSSDGQYLATGGEDGVVRVWRVVEGERPNELDFAEDDPSCVFFTVNENSELAPVNSSEGSKSKHYKNSKVSTDPACVVIPHRTFALSQEPVHEFYGHDDAILDLSWSKNRDLLSSSMDKTVRLWQVGCNSCLKVFSHTNYGKPFPPLSTRFSTYLFPASDADILIFVYYAVTCVQFHPTSDNYFISGCIDGLVRIWDVRRCQVVDWADTKEIITAVCYRPDGKAAVVGTITGNCRHYDASENHLELESQVALNGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGLHVISNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPVTNHVKTVWSNEHFSCHDVAIAIPWNASQTRNSISLACSITSSRQEVSDEFHNEHDSSSCSHPEDSPDGDSLYQLPSGNFTLSSAFFAESAPRGSATWPEEQLPSNSTTQSTLRKSQYKFLKASCQNAATHAWGQVIVAAGWDGYIRSFQNYGLPVQV >OGLUM03G01190.1 pep chromosome:ALNU02000000:3:872593:876112:1 gene:OGLUM03G01190 transcript:OGLUM03G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAASALSTPWRSLLQRALDGNAHLKHSTFFQLATVGGGGRPANRTVVFRGFQEQSDKIQINTDARSNKIGEIRNCSFGEICWYFTDTWEQFRISGFIDVIDGSNPEPVKLQMRERAWFGSSVKSRLQYLGPCPGLPIPDDDLIKDAHLDPSAGPVDAFCLLVLDPEKNANPSSHFLAGYELAELQLPVASERTNFHVQVFIFYKTREPFLKFPYKHLNLFRSTSTSLFRGNFRGTVMCTRSRDTNRSGFLNAHALEPRNRSTTSIRVSDVRIKLHIAGGAGLLEINSGQAMNAAFKIALMAEQGSNVAV >OGLUM03G01200.1 pep chromosome:ALNU02000000:3:878928:880690:-1 gene:OGLUM03G01200 transcript:OGLUM03G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAKYLLGSPGANGFGSKSTAEDVCPDLGCITAIITGATSGIGAETARVLAKRGARVVIPARNVKAAEDMRARIRGECPGADVLVLPLDLSSLASVRAFADRFLSLGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHSWFAGDWVEYLDLVTRRKIAYDATQAYAVSKLANVLHTKELAVRLKEMGANVTVNCVHPGIVRTRLNRDRDGLVTDLVFLLLSKLLKTIPQAAATTCYVAAHPRLAGVSGRYFADCNEALPSPAATNRHEAERLWQISESMLLCTNQHSKDASAP >OGLUM03G01210.1 pep chromosome:ALNU02000000:3:881027:882286:-1 gene:OGLUM03G01210 transcript:OGLUM03G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVFPSSNLKSCGWISQGGRSLRCQTSISSALATPDCGKFSYSAGGRKSSSFEVAGHSLRWIWMASTDFRDPFVHLILGAVPFLSDALSRVVSGEGGLTQGQIVVIFGGLGGAELAELLEQFVLADSSHASIQNIVSTDSTSINSLLCLNQLCLNQLSKQQHKSWASKEHAMNPLHHTGNQQATQQGKAGPGNSVHAGNEVSFSILTLSMLRLSFGLSTDYLLDFGRPVKPPTYLRLNSKAQLVPNPWRQPEGSLVGQTGSTFEEVLGCNRRGNAADLRRLYSLLLLYQMIWKFASIIQSLPSFRKMVLNRS >OGLUM03G01220.1 pep chromosome:ALNU02000000:3:882362:883410:-1 gene:OGLUM03G01220 transcript:OGLUM03G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRTAKTVFFSLIESYRSARHLPSKLSQTIEPQCLLLEACGSPLLFHGITDISNGVFDRAIARLPHERKRKPHLRPLFLLDSGGSPPVTGGRGVRLNRVSFV >OGLUM03G01230.1 pep chromosome:ALNU02000000:3:899631:903487:1 gene:OGLUM03G01230 transcript:OGLUM03G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSTDELDASAEVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATVKLNAMRPEIEAINLEMRTSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >OGLUM03G01230.2 pep chromosome:ALNU02000000:3:899631:903487:1 gene:OGLUM03G01230 transcript:OGLUM03G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSARRSLASGLSRHLSRRLHPSVSHLLPSHHDDHSENPSPPAQPPPLPSALRSPSRSQALGLPLPFGLLHASRRSLSTSPRSTDELDASAEVLSDAASSVSVPADVLADAAASVPVSAPAPFPGEVAAAAADSFAPVAALQHLIDGVHSLTGLNWWACIALTSLLIRTLTVPLLLNQMKATAMRPEIEAINLEMRTSTDPQSMLEGKRKLGELFLRHGVTPLTPLKGLFIQAPIFMSFFFAISNMVEKVPSFKGGGIYWFTDLTTPDELLILPMLTSLTFLVTVELNMQDGMEGNPMLKTMKNFSRVMAVLTIPFTMSFPKAIFFYWVTSNLFSLGYGFVLRKPAVRSFLDLPPIETQFAPAQQPTFNLFGASKSVPAAGSSIAESDRSSSVLSQRFSDLENRAKSRRESQD >OGLUM03G01240.1 pep chromosome:ALNU02000000:3:909838:915654:1 gene:OGLUM03G01240 transcript:OGLUM03G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQLSGTKETVGDRKAVLEEKVGAKLAPPTYPASKNETWPAPEPTGAMELLTTAPMLNCAAKRAGGSALGPLAGALGSWIARAVVPPPPPPRICGSPGGPPVTAPRVRLRDGRHLAYAESGVRKEDARYKVVFSHGFTGSRLDSVRPSPEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLKTIFG >OGLUM03G01240.2 pep chromosome:ALNU02000000:3:909838:915654:1 gene:OGLUM03G01240 transcript:OGLUM03G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTSMPQVWDEEGVAKGSVVTPAPATALLGSLAGWMSRAVEPPAPRPCGTEGGPPVTATRLRLRDGRHLAYCESGVPKEEARFKVVFSHGFTGSREDSVRASQEVAEELGVYMVGFDRAGYGESDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPERIAGAAMMAPVVNYWWPGFPTDLAAEVYNKQEVGDQWALRVSHHAPSILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRKNMKADGSFQKKMDLATQQGIHESYYRDMMVMFGKWEFDPMSLPKPPCPVHIWQGDEDGLVPVVLQRYLVSRLSWANYHELPGTGHFLSAVPGLGDTVLKTIFG >OGLUM03G01250.1 pep chromosome:ALNU02000000:3:919329:927525:1 gene:OGLUM03G01250 transcript:OGLUM03G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z179] MATSHADVEKGARRKEVGKVPSPLYPQHEGEREWVPWIVPSFLVANIVVFVLTMYANNCPLHTPPRSGKCIARFLGRFSFQPLHENPLLGPSSATLQKMGALVWDKVVHEHQGYRLITSIWLHAGVLHLVANMLSLIFIGLRLEQQFGYVRIGAIYLLSGLGGSVLSSLFIRNHISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVITLLFVIAVNLALGILPHVNNFAHIGGFLTGFLLGFVLLMRPHFGWMERYSLPSGSPCSSKKYLVYQWILLAIATALVIVGFAVGMTMLFRGENANDSCHWCHYLSCVPTSRWTCSN >OGLUM03G01260.1 pep chromosome:ALNU02000000:3:922889:926509:-1 gene:OGLUM03G01260 transcript:OGLUM03G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome, regulatory subunit Rpn7;Proteasome component (PCI) domain [Source:Projected from Arabidopsis thaliana (AT3G61140) TAIR;Acc:AT3G61140] MDVEGEVPAAAAEAVANGLGGAEPSPAPVSAEQLDVEAYAAQYTGRTRLARLLFIAERCGVEAVELEALRMAYDEIKRGEDTMFHREVTNKINGRLGPKYALDQAWTDSVNRRAEQRKEKLESELNGYRTNLIKESIRMGYNDIGDFFYAHGHLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFPHVSNYVSKAEQTPDTLDPIIVAKLRAAAGLAYLATKKYKLAARKFVETGHELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNSNFRNFLELVPEVRELVNDFYSSRYGSCLEHLEKLKTNLLLDIHLHDHVETLYMDIRHKAIIQYTLPFISVDLNTMAAAFMTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNATFQRVLQTGNEFERDVKSLLLRANLIKHDFNQRAGQRKM >OGLUM03G01270.1 pep chromosome:ALNU02000000:3:926746:926979:-1 gene:OGLUM03G01270 transcript:OGLUM03G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAQSNSAPSSDGSPESSEAAKRLNTRAALVRATGFDDTTSPLTALIAAAAAAAARALVLPNVEVFSGFPLLGF >OGLUM03G01280.1 pep chromosome:ALNU02000000:3:929686:932047:1 gene:OGLUM03G01280 transcript:OGLUM03G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSDLAAGMEAVGKVAGGAGLGELPELCAAEVLLHLDAPEICRLARLNRAFRGAAAADFVWEAKLPENYGYLLDFVDGAMEGGGGGRQRSLMGKKEVYARLAKAVPFDGGKKELWLEKSKGGVCIALSSKSLVITGIDDRRYWSHMPTTESRFHSVAYLQQIWWFEVVGELEFCFPAGTYSLYFRLHLGKASTRFGRRVCSSEQIHGWDKKPVRFQLSTSDGQHALSQCYLDEPGSWILYHVGDFVASTTEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFQQEKVISSQK >OGLUM03G01290.1 pep chromosome:ALNU02000000:3:932739:936042:1 gene:OGLUM03G01290 transcript:OGLUM03G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERRRRARPLRCAPQKRKPTTKALARKAKTHTHKKKERKPRRKRDERPWRRTALRCVASRWRLGVSSRLPTTKEERRCASPPPPPPPLLSSSRQAARQKSSMVPVEMEVDDPRGWDFVDWVGPDASASIFRSLDDPADIVRAAAVSRSWRRFVVENEFSKGICLRICPEIANFTSAEEVSRSPPQPPHAESSHGVQRKALERDYRIYSYLSGALVSTSPSMDCILQCIGASSTDNFPDETIENTLIPHDRVKHRPSYWSSGGHDDPDAPETLTYRLNCDMCIVDEIKLQPFKAYFQYGHPIYSSKAVRFRMGHSKLPHGSDSFVTVEDENLMAIADENYVWTYTSPEFPMLQENVLQSFKLPRPVLCIGGIVKVELLGRVQKQEADDRYYICICHAQVRGRSLSPVFMVDTSDPAGYSVLKYLPDAKILRSEDAMLDDGSESLEWHSLVARYRRMRHLAIMNVLLGPEEFMDEDDIIGGVLMDEDDLGGMLEDDPFV >OGLUM03G01300.1 pep chromosome:ALNU02000000:3:937230:939548:-1 gene:OGLUM03G01300 transcript:OGLUM03G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNLRFPVISVFRTKAWLLYATLFIPEHPERLAGEMQQQRSSAQRRCRHLRSQSSSTVTCSEGKIWWYIEKVVIIGATREIGRTAIVAVSKARGMELAGAIDSQCVGLDAGEINGMEEALEIPVLNDLTMVLGSIAQVSYPPTIWGKKLSYIVKWDCEAAAFGLNSVVYVPNIELDTVTELSAFCEKASMARGQILGEDGVRVHSMVLPGLASSTSINFSGPGEIYTLRHDVTNVQCLMPGLILAIRKVVRLKNLIYGLEKFL >OGLUM03G01310.1 pep chromosome:ALNU02000000:3:939396:940388:1 gene:OGLUM03G01310 transcript:OGLUM03G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALSRRPLLLHFSCQSFRMLWKAKVQASWSEHIVIHQFTWRDALFGLLCVLAIAVVEGRKKSRRGNGFLLTLYIVYILDKAFVLTLACHQIYVNLLCRRI >OGLUM03G01320.1 pep chromosome:ALNU02000000:3:942297:949582:1 gene:OGLUM03G01320 transcript:OGLUM03G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKHPAAAGDGEVVETVVELLARVRGMVPPALGAAGAAEGFPGRWKAIAAKLEGLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAAELAARCREPPAAGKLQMQSAIDALAGKLDLNLRDCALLVKTGVLSDAFTPPPPTDEATSTATAAQADVRELLARLQIGHTEAKSRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQLAESGGCEGLLVSEGALPPLIRLAESGSLLGREKAVITLQRLSMSPDTARAIAGHGGARPLIEMCQTGDSISQSAAAGALKNLSAVPEVRQALADEGIVRVMVGLLDCGTVLGSKEHAADCLQNLTSSSDSFRRAVVSDGGLRSLLVYLDGPLPQESAVSALRNLVSAVSPDSLVSLGVLPRLAHVLRVGSTGAQQAAAAAICRISTTTDMKRVVGEHGCVPLLVRMLDAKSNGAREVAAQAMASLVGYPPNAREVRRDGKSVPCLVQLLDPSPANTAKKYAIACLLSLAAAKRCKKLMISHGAIGYLKKLSDMDVAGAKKLLERLERGKLRSLFSRD >OGLUM03G01330.1 pep chromosome:ALNU02000000:3:945233:946578:-1 gene:OGLUM03G01330 transcript:OGLUM03G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQPGTAQDVDRSTTLARKVFRLLKWVNDLHGLISPPAKGTPLTLVLLGKNKERTDRIVRISLYCWMASSVCAGLVELGELKRLSKSMRKLARELRDTDKYENDQYKSKMKQSDERLLALVKAAMDVVVAVGLLQLSPKKITPRVTGAFGFVTSLISCYQQLPSRAPAIKVKA >OGLUM03G01340.1 pep chromosome:ALNU02000000:3:951093:951923:1 gene:OGLUM03G01340 transcript:OGLUM03G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESECVAVAEPPHVHVHLHPDGTEPLAHIAVDYCPEACHHASEDGEIHVTYDDRGGARWRSRCRFLPGGAVAATIRAPAGDTAGLNYNLYLSSLEGSRDMDEIDFEFLGHDKCAVQTNFHVAGGGGREQIHVLPFDSSDGFHHYAIAWGADAIEWRIDGELIRREERVAGEPWPEKPMFLYASVWDASHINDGKWTGTYHGRDAPYVCSYRDIRVPLALSLEDEEDPYKCACVGDASAATAAADAAEQVDAGDAPAAAAAADAVKEVDAGAGKD >OGLUM03G01350.1 pep chromosome:ALNU02000000:3:953597:953893:1 gene:OGLUM03G01350 transcript:OGLUM03G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQIGARGASGGGGRLGAERRGRRWRRRPKCEKELPVGVARSLAHEGWPVGDAGAGIPHVDKACVVVEHRCVSRGFADGERWVKTQSGLGWTDNDA >OGLUM03G01360.1 pep chromosome:ALNU02000000:3:954992:959019:1 gene:OGLUM03G01360 transcript:OGLUM03G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61080) TAIR;Acc:AT3G61080] MANVALLSAASPSTSSAAPRLRHVARRRPSRRSACPRSAASRLSIMAALGEDPIRQWILTEGKATKITGVSSIGGGCINSAQCYKTDAGSFFVKTNGRIGPSMFEGEALGLKAMYDTNSIRVPLPYKVGSLPTGGSFIIMEFIEFGCSRGDQSALGRKLAEMHKAAKSDKGYGFYVDNTIGSTPQINTWTADWIEFYSKHRLGFQLELITQRFGDSAIYDKGQRLIENMHALFEGAVMEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGEFYSSYFEVMPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLKA >OGLUM03G01370.1 pep chromosome:ALNU02000000:3:959345:959944:1 gene:OGLUM03G01370 transcript:OGLUM03G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDESLGTQPLTGRRVRADTRHPVYRGIRLRSGKWVSEIREPGKSSRIWLGTYPTPEMAAAAYDAAALALRGADAALNFPGTATSRPAPTSGSPDDIRAAAAAAAAMIGSGHRGKQRAADASTSRAAPAPEAAVAAGAGDQKRVVDEDDVFEMPRLLVSMAEGLMMSPPRLSPSTDGVGGVSPEDDEDEDGMSLWNHS >OGLUM03G01380.1 pep chromosome:ALNU02000000:3:966935:970990:1 gene:OGLUM03G01380 transcript:OGLUM03G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPARRMTPLTLRDFLEQSSSEGFRAYPRFPVADEGVAGGDLAPPVRLLIEAGLRRSPSRLPSFYNFFHKSPGTLAKISRLSRSLSRRFRDGLWRRRGEDDGEEDDDIAVDERDSLGLPSPVVSSCSSSECEYMAESEAELATTEEEKCASASSASEYKKTSQSSTGSVAFHGAADAGGDGHKEDVGDEPVGRKLEMEDKQQLSPVSVLDFPFDDDDGEEGSDAGMCSPSFQQCLAELQRSKAELLHKIRRLEGLTQVVVPVDLEAQFTESDSSERTHLNANSTSSSDDTATTAPTTPRQCTDDQDVVNHGEEQEEEHSLLARLLESVVVTDEVSEWLLLDFFAEGVDRLRSSASSCPLNDREEAALLRAAGDWARGAGQRWGVGDVVFSGWAAVADMERSRRWMCVAEEERDVGAEVDGLVMDALVDELVADLALGGATTVGVEVCTCRR >OGLUM03G01390.1 pep chromosome:ALNU02000000:3:972072:975946:1 gene:OGLUM03G01390 transcript:OGLUM03G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGEEQGRRLFGVSLTDRPRWQQFLICSSGFFFGYLVNGICEEYVYNRLQFSFGWYFTFVQGFVYLGLIRLQGFTVKQMVNPWRTYVRLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFHEYISAVMLVIGLILFTLADAQSSPNFSMIGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLVVPMVLTGELMRAWTACSQHMYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVRTLVLLLKVTEFAPDKTGRKTNFSVVLIVQVTTARKAVTLLLSYVIFTKPLTEQHVTGLLLISMGIVLKLLPENKENVPRRQVRKTVQHWDDKQREIREVEEEKAPLV >OGLUM03G01400.1 pep chromosome:ALNU02000000:3:978700:982715:1 gene:OGLUM03G01400 transcript:OGLUM03G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSVGTLQQQRKGRKAQSKRLGEAGKASELGGGPASNQPPAPNGGSSRSSSFAHRCRFCPPLLRLIPSPPLPPTSPPPLPPLADPTYSRRRRRIGRQTEGGLTLMEQYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >OGLUM03G01400.2 pep chromosome:ALNU02000000:3:978700:982715:1 gene:OGLUM03G01400 transcript:OGLUM03G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSVGTLQQQRKGRKAQSKRYEKEEKIGEGTYGVVYRARDKVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHGNIVRLHDVIHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRQYSTPVDMWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSSLPDYKSAFPKWQAQALATIVPTLDPAGLDLLSKMLRYEPNKRITARQALEHEYFKDLEMER >OGLUM03G01410.1 pep chromosome:ALNU02000000:3:983279:988570:1 gene:OGLUM03G01410 transcript:OGLUM03G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAAKVLCSTQMPPSQSTIKVVIIGATKEIGRTAIAAVSKARGMELAGAIDSQCIGLDAGEISGMEEALEIPVLNDLTMVLGSIAQTRATGVVVDFSELSTVYDNVKQAAAFGLNSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYNNVEIVESRPNPSNLIYGLEKFL >OGLUM03G01420.1 pep chromosome:ALNU02000000:3:989615:991994:1 gene:OGLUM03G01420 transcript:OGLUM03G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGHRHGSVPPVVLLLLVATVLGSLCLSLTASPEAQKRYNFRFVRHARDAPLVSYYNYIVVGGGTAGCPLAATLSERSRVLLLERGGLPYGNRNVSSEYHFADALADTSPRSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVRAAGWDPRLVNASYRWVERELVFRPDVPRWQCALREGLLQAGVTPDNGYTLEHVQGTKIGGTIFDRAGRRHTAADFLRRAHPRRLTVFLRATVSQILFRRTGTSKTDTTKGTATPVAYGVVFTDPAGVRHHVYLRGGAKSEVIVTAGTLGSPQLLMLSGVGPRGELEKHGILPVLDQPRVGQGVADNPMNSVFVPSPVPVALSLVQIVGVSRFGTFIEGVSGSQFGIPLHGRAASRRARSFGMFSPMTGQLGTVPPKERTPEAMRRAAEAMQRLDRRAFRGGFILEKILGPMSTGHVALRSADPDANPAVTFNYFRDPRDVERCVRGIETIERVVRSRAFARFTYANVTAMEAAVLGRRAGHLPVNLLPRRATDTRPLQQYCRETVMTIWHYHGGCHVGAVVDQDYRVLGVRGLRVVDSSTFKYSPGTNPQATVMMLGRYMGLKIQKERWTRNDETH >OGLUM03G01430.1 pep chromosome:ALNU02000000:3:996176:1001132:1 gene:OGLUM03G01430 transcript:OGLUM03G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxymethylglutaryl-CoA synthase / HMG-CoA synthase / 3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:Projected from Arabidopsis thaliana (AT4G11820) TAIR;Acc:AT4G11820] MAAERKDVGILAMDIYFPPTCVLQDELENHDGVSKGKYTIGLGQDSMAFCTEVEDVISMSLTVVKSLLENCKIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPVSFESKYRGSHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKLEGKQFSIHDADYFVFHSPYNKLVQKSFARLYYNDFLRKCSTVEDGSREKLEPYSGLSSEESYQSRELEKASQQVAKHLYDSKVQPTTLIPKQVGNMYTASLYAALASVMHNKNKTLAGQRIVMFSYGSGLTSTMFSFKINEGQHPFILSNIAGILDVSKKLESRHVVAPEKFVAALKLMEHRYGAKDFTTSQDTSLLAPGTYYLTHVDSMYRRFYAVKGQAVTEVSNGH >OGLUM03G01440.1 pep chromosome:ALNU02000000:3:1002249:1007741:1 gene:OGLUM03G01440 transcript:OGLUM03G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00231) TAIR;Acc:AT4G00231] MWEAEEIEDEETLEALLEASRSAQGRAALSDALADTLHLLPASTHRLLLLRLRLLRNLLAGDDLNQGTFVLLSGPAAVVSSALSSPSDSPDVARAGLQALGNAALAGEHHRAAVWDALFPGSLLELARVREKGVLDPLCMVIDTCCSGEGGRGRLEELCHEELGLPILVEIVTTAWQVGHDEEWLEWLLFKICVEEQKFETLFVALCSRNDAEHSDGDECKTEFNAKHAYLLGKLSKCLANRPKEVSVSISFALDIFNAQKHAAEIVDFTCRVNSPLPTGHPAIDVLGYSLVLLKDICAWESPPSDTQAPVDSLMQTGLVKHLLTYLRELEPPSMIRKSMARGQGDHQPALGTAKVCPYIGYRRDVVAVIANCLHRSKKVQDEVRHLDGIILLLQQCVVDEENPYLREWGLFAVKNLLEGNEENQKEVSGLKMQEAVITPEIADIGLRVEIDKETGHPKLVNN >OGLUM03G01450.1 pep chromosome:ALNU02000000:3:1005856:1007690:-1 gene:OGLUM03G01450 transcript:OGLUM03G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51880) TAIR;Acc:AT5G51880] METAGGDAAPPPQQQRRNKSSAANKGKKGGAAGGGGGGRWPPVKPKKDLQVNRLKGTHLLTVPNFFTSAEAKAFVDIAENIGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQTIWESGINKIFEDISISGKVATSLNPNIRFYRYTEGQRFGRHIDESVDLGDGSRTLYTLLIYLSGKGSAKESSGQALVGGETVFYDHRGGVVAEVAPVQGLALLHLHGAKCMLHEARVVKKNIKYVLRSDVVFA >OGLUM03G01460.1 pep chromosome:ALNU02000000:3:1007741:1008392:-1 gene:OGLUM03G01460 transcript:OGLUM03G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAYNSAARRSSTGSRRVRPDARRHELVQFVKIAVKSDPAINSYGPDSMSGRENLLNPTVMRGLPAA >OGLUM03G01470.1 pep chromosome:ALNU02000000:3:1008714:1012610:-1 gene:OGLUM03G01470 transcript:OGLUM03G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1A2] MQYDKWALESTYRSDGICPWAHGPFILPSISGEAAINREERRTCDVHEAHATRSSIDQGKTARTFDAKSSNWSGMDVVWPHGHGVWGSSSASRRSAMLHGSLDIWIHEARNLPNMDIVSKTVVDILGTKKKKKAANGAMTSDPYVTVQLASATVARTYVVNDDENPVWAQHFLVPVAHEAPAVHFLVKDSDVFGAELIGEVVVPAEQLEAGEHVEGVYPVLDPAAGKPCAPGAVLRLSVQYIPVARLTMYHHGVTPGPDFAGVPNTYFPLRRGGRVTLYQDAHVPEGSLPEIRLGNGALYRQGQCWHDVYDAISQARRLIYITGWSVFHTIQLVRHGGAGVSLGDLLKRKSQEGVRVLLLVWDDPTSRNVLGIQMEGYMGTRDEETRRFFKHSSVQILLCPRSAGKRHSWVKQQETGTIFTHHQKTVILDADAGNHKRKIVAFVGGLDLCGGRYDTPTHPLFRSLQTLHKDDYYNPNFAVLDAQGPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKRSGVKKLSKANNDTLLWIGRIPDIASIDDEVYSSDNDPERWDVQIFRSIDSNSVKGFPKNPREATSKNLVCGKNVLIDMSVQTAYVNAIRGAQHFIYIENQYFLGSSFNWDSHKDVGANNLIPIEIVLKIANKIYANERFSAYIVIPMWPEGNPTGAPTQRILYWQKKTMQMMYEVIHKALKEVGLDNTYEPQDYLNFFCLGNREAGGSPSTCSGSSSANNPQDQAKKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQYTWANMLSAPRGQIYGYRMSLWAEHIGAVEESFSCPESLECTRQVRHIGEQNWRQFASSEVSEMRGHLVKYPVSVARDGKVKPLPGCAAFPDLGGNICGTFLPIQENLTI >OGLUM03G01480.1 pep chromosome:ALNU02000000:3:1015257:1017521:-1 gene:OGLUM03G01480 transcript:OGLUM03G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQRILLAVVVSMVVSSAMLAVVSCARERKNYVVHLDPREDGGVADSVELWHRSFLPEATPEAAGDDGPRIIYSYSHVLSGFAAQLTDDEAEAMRKKEGCIRLYPEEFLPLATTHSPGFLGLHLGNDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGIPPPPKKWKGTCEFKAISGGGCNNKIIGARAFGSAAVNATAPPVDDAGHGTHTASTAAGNFVENADVRGNAHGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASPGAPFNYDLVAIATFKAMEHGIFVSSAAGNDGPVAATVGNGAPWMLTVAAGTMDRAIRTTVTLGNGQVFDGESLYQPRNNTAGRQLPLVFPGLNGDSDSRDCSTLVEEEVSGKVVLCESRSIVEHVEQGQTVSAYGGAGMILMNKPVEGYTTFADAHVLPASHVSYAAGSKILSYIKSTPKPTASVTFKGTVMGSSPAPSVAFFSSRGPNKASPGVLKPDITGPGMNILAAWAPGEMHTEFADGVSLSFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSDVADHAGVPIKDEQYRSASFYTMGAGYVNPSRAVDPGLVYDLHTNDYIAYLCGLGIGDDGVKEITHRRVSCAKLKAITEAELNYPSLVVKLLSQPITVHRIVTNVGKANSVYTAVVDMPKNVAVTVHPPLLRFSRAYEKQSFTVTVRWAGQPAVAGVEGNLKWVSDEHVVRSPIVIPPAKAVV >OGLUM03G01490.1 pep chromosome:ALNU02000000:3:1031244:1058093:1 gene:OGLUM03G01490 transcript:OGLUM03G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 10 [Source:Projected from Arabidopsis thaliana (AT3G07160) TAIR;Acc:AT3G07160] MARAAANWERLVRAALRGERLAGAFGLPVTGIAGNVPSSLGNNVHIDEVLRAADEIQDEDPTVARILCEHAYTLAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKREGGAIDRSQDVAKLQEFYKLYREKHKVDELCEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEEITREISPEDAEKLISEEMKRVMQKDAERTEDVVAYNIIPLDALSTTNDIVNFPEVRAAISALQYHRELPRLPATFSVPDARNSDMLDLLHCVFGFQKDNVTNQREHVVHLLANEQSRLGKLPGNEPKIDEGAVHVVFSKSLDNYIKWCNYLPLPPVWNNTESLTKEKKLLYVCLYYLIWGEAANLARELEEIIRRQTAEPAESCISNGGVSFLDQVISPMYEIIAAEAANNDNGRAPHSAWRNYDDFNEFFWSPKCFQLGWPWKISNPFFSKPSRKEKGLMIIAFKDRKFDKKTVLTLLSLGPTYVIMKFIESILDILMMYGAYSTSRRSAITRVLWRFCWFTAASLVICYLYIKAFQDGTNSATFKIYVFVIGAYVGAKIIIGLLMSVPCCHGLTDYCYRWSVVRLGKWMHQENNYVGRGMHERPSDYIKSVEAVHRFFEKFPEAFMDKLHVAVPKRKQLLSSSQHPELNKFDASKFAPFWNEIVRNMREEDYINNTELDLLLMPKNNGSLPIVQWPLFLLASKVFLAKDIAIDCKDSQEELWLRISKDEYMQYAVVECYHSIYYILTSILDKEGRLWVERIYVGIRESISKRNIQSDLHFSRLPNVIAKLVAVAGILKETESADLRKGAINAIQDLYEVVHHEVLSVDMSGNIDEWEQIKQARAEGRLFNNLKWPTDSGLKDLIKRLYSLLTIKESAANVPKNLEARRRLEFFTNSLFMQMPVARPVSEMLSFSVFTPYYSETVLYSKDELQKRNEDGISTLFYLQKIYPDEWKNFLARINRDENTTDSELFSSPNDMMELRLWASYRGQTLARTVRGMMYYRKALMLQSYLEKLQSEVATTGLGLADIHFELSPEARAQADLKFTYVVTCQIYGVQKAERKPEAADIALLMQRNEALRVAYVDIVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNFKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFYQNHGKHKPSILGVREHVFTGRVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRLGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTIGFYFCTMLTVWTVYIFLYGKTYLALSGVGESIQNRADILQNTALNAALNTQFLFQIGVFTAIPMILGFILEFGVLTAFVSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGLEVALLLVIFLAYGFNNGGAVGYILLSISSWFMAVSWLFAPYIFNPSGFEWQKVVEDFRDWTNWLFYRGGIGVKGEESWEAWWDEELAHIHNVGGRILETVLSLRFFIFQYGVVYHMDASESSKALLIYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLIKSIALLMVLAGLVVAVVFTSLSVKDVFAAILAFVPTGWGVLSIAVAWKPIVKKLGLWKTVRSLARLYDAGTGMIIFVPIAIFSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGV >OGLUM03G01500.1 pep chromosome:ALNU02000000:3:1058580:1059854:1 gene:OGLUM03G01500 transcript:OGLUM03G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLPPLHRAAAVFLRLRLASPRATFSSKPANPRTSSVASAAAPAAAAVSDAIVRLVAAGGRSLEADLDRLDPALSHPIVSATLRALTDRGLPAARFFDWLALRRGFSPSAHAHNLLVENAGSLADYRAMSRAMASMSTRRVPLTERAFAFLNTSQGSARDTAIAILATLDEVGGPCRASGVFSLVKALASIGEFDAAMSVIQETARGARYYNALIAVKCKTGDFHGAREVFDEMRRSGFGPNSNSWNYLLGCLLKNGRVAEACELVEAMERSEHNDIPNSLTYEILAHHACKAGRMDSAMRILDQMFLEKLTPRVTIHTAFIKGYLYAGRIDDACRYVSAMSTRDRHSVNRNYSLLAKLLCKAGMIVDAGRILYELMEKEALLPDHSAYIRVIKDLHKIGKGDLAAELKLILQKLSVHAESAG >OGLUM03G01510.1 pep chromosome:ALNU02000000:3:1060560:1061067:-1 gene:OGLUM03G01510 transcript:OGLUM03G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGGTKRQMRWEGEQKLEPLSPPAPACPRACTHASPACTRSARMRSEAQIDRFSRAWLGSPICTRGARLGWPCRQPNTKGLHPWMRARCDAGNQTHPKSIQCQVTDLRQDLLTSTSQNISGRGHQGKIHCADSACTVSF >OGLUM03G01520.1 pep chromosome:ALNU02000000:3:1061426:1063153:-1 gene:OGLUM03G01520 transcript:OGLUM03G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKWTGMIGYGLGGRIGDVAGRRGSIRVQINKRKKKAGDCGLVAETANYAAVAGRRSGNSRILTIRRHQCVRIRQPEA >OGLUM03G01530.1 pep chromosome:ALNU02000000:3:1063238:1063636:1 gene:OGLUM03G01530 transcript:OGLUM03G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM03G01540.1 pep chromosome:ALNU02000000:3:1068500:1076129:1 gene:OGLUM03G01540 transcript:OGLUM03G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGVVHHHVEQPQWMTSSIDRSPTLDVSCDGRGDDFESSSFSFPTETPMDSMHGGFGMQMSAPHEDGKWMQFLSEDAFNATNPFLTNPVSANFSCLPSKVDVALECARLQHRLTLPPLEVEDFPQDVSLDTKTGILRSNPNEVDILQEFLSVATASQELINGSTSSYPEMWLGASTSSASYVNELSSLVEMGGVGTSNHHESARLQVEIADMEVFKDDKKRVENLRGVKLVNNDLGEIVVEGDESNPTEDIIAQYPIKVTADNSGEAGHRMTDPTDVGGIDTAPIFSQSQPDDFAAGFDDVNPNASFDLYEKVDVNHRLFVSRVAAAKTFFHRIEPSKKVSFHSNPAATAVSKATEKFHFPVTTKVSGRVSIFSKFKALIRDKFLMMRPSHSYQRLGSKETTVNELLQIVSLLLAPKQINGCPTEQELVKKKAKEVMKPGWGREGSNKLWLPLSKGKGISSMFLSGKWTFLTSALAISTPAECDH >OGLUM03G01540.2 pep chromosome:ALNU02000000:3:1064648:1068828:1 gene:OGLUM03G01540 transcript:OGLUM03G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYWNSLQLCFATYHHFDNCPEPNLSISGCLIQHENISQLSTGWWVGMTVSTTRYEAGKQNCSSLNWKLIEVNDNLCCVFWLSNAILPLSNAVRVSPQTWLIRFSVVFRFLSLSGYKKILVEAYLNSNYFRIQVEVLCLPLAEATDLSVDDNVGTQGLIPTPPSMF >OGLUM03G01550.1 pep chromosome:ALNU02000000:3:1078220:1084657:-1 gene:OGLUM03G01550 transcript:OGLUM03G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLELGLTLSAHVNNFSDWVDACHCERNVLPRVLPLSPSLSAILHRPPTPEVAHHRGLTSSSSPSSSSRGGDRGRRDSKFTQQELPACKPLLTPGIVVATFLLIGIIFVPIGLASLSASQEIVELVDRYDTNCVSTPDKVGFIQNTDTDKTCTRTLTVPKHMKSPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAVHLTKDCDPEGNTVDGAPIVPCGLIAWSLFNDTYTISVNKKAIEVNKKDIAWKSDKTDKFGSDIYPSNFQKGSLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLTIGGLCIFLAVGFVVLLYMVKPRTAKNADRYSALNST >OGLUM03G01560.1 pep chromosome:ALNU02000000:3:1085056:1085316:-1 gene:OGLUM03G01560 transcript:OGLUM03G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSASASAEERQRIERVARFVARDRDGDMAEALLLRLLKITRNARRWGFLDRDHPLHPYYLQQKVSEQCRILRPRPAAAAAGDR >OGLUM03G01570.1 pep chromosome:ALNU02000000:3:1086274:1089789:-1 gene:OGLUM03G01570 transcript:OGLUM03G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHGPPLQRQSGGFSPAKLRAMLVGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >OGLUM03G01570.2 pep chromosome:ALNU02000000:3:1086274:1089261:-1 gene:OGLUM03G01570 transcript:OGLUM03G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPGTIACSGGFSPAKLRAMLVGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >OGLUM03G01570.3 pep chromosome:ALNU02000000:3:1086274:1089261:-1 gene:OGLUM03G01570 transcript:OGLUM03G01570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRPGTIACVANSARILLKTFPISFSFTKIFPSFLFLLQSGGFSPAKLRAMLVGLEKNQHNGEDTSPEANDSGELDDQRSMECSTSTEMSSNSGHRSRNRAQDDDSFDSESSSSGPPTVKRPAAVTALLPPFSRPTPSKWDDAEKWISSPTANRGGRVGSAAGAAPKKSALAFPEHVSRPPAVAKVVAEVPINTGTLVKNSVALAQPISFNPAQSASIVDEPAPAVRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRNAEISIGEFGPNKMEMSEEELQMNTRKEIMDLGQRLGKTTIAAWASKEEKSTTSFANVITDKAVEIDREARAADWEEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRMEAKIEIKRAREQDRLSSKLAAARHKAEARREAAESRKNQEAARTEEQAAQIRKTGHIPSSISCWCWCL >OGLUM03G01580.1 pep chromosome:ALNU02000000:3:1096837:1100199:-1 gene:OGLUM03G01580 transcript:OGLUM03G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAAAVAPDWWNDVNNSPMWQDRSFHALATLYGAVSFVALVQLIRIECRVPEYGWTTQKVFHFMNFIVNGVRSIVFVLRRDVQLVQPEIFQHVLIDFPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPAFYTINGVVYAIQIILWMALWWKPVRAMVILSKMFFAATSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFSGFLIRCVMMCLNAFDKEADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >OGLUM03G01590.1 pep chromosome:ALNU02000000:3:1102062:1103585:-1 gene:OGLUM03G01590 transcript:OGLUM03G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSSALSSFLYCCFSPTGGHRHGHRAGAYYYSSHPTSTNTYYYEGGLAGRRMGRSRPLSLQTVELKVRMCCSGCERVVTVTGYVERQRVLKEVRRAGKKAEFWPNPDLPLYFTSAKDYFHDEESFRPSYNYYRHGYNGDKHGHLPEPHRGADPVSNLFNDDDVNACSIM >OGLUM03G01600.1 pep chromosome:ALNU02000000:3:1109318:1109623:-1 gene:OGLUM03G01600 transcript:OGLUM03G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPSPSAVTFRMSRPNVDYLYTKLTAAIAKEDAALRAAIPVRQHVTVCVRCLAIGEPLSNRTRCFPAGQGAHGGMKDARRERGEGRRVDPQPSLEVVVA >OGLUM03G01610.1 pep chromosome:ALNU02000000:3:1112861:1117284:-1 gene:OGLUM03G01610 transcript:OGLUM03G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETNANAVQRPRKRREAGAPETGVVRRGMEQVSGPDNEGERRPGGGGACYGVAMAAMGGGVTPRTSPERS >OGLUM03G01620.1 pep chromosome:ALNU02000000:3:1117931:1122555:1 gene:OGLUM03G01620 transcript:OGLUM03G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVIAATGYAGTANTDGFLFSDSALHNVYPEDQFSSIKQLHPWIPSTTGRSSWLEEKIPVIHQRTSAAASSDIGSSVLHKPSLFPSIIDCFDKEPVPIHQSDGRYSYDSHLSHLTSCSTSLNYGLSMPSVAASPVVCGMKRIDPSPSDPVLKGRFLQYANPCRFNIGHFDSVQDEQKDHAGFQTAYRHCSDWNRCTNDTGIVGNYLANSSGETCNVGENSITGRFSQEILCSEVPMSRVQEPLSHHHSLVQEDLNAFSENITYRCNYHAELIKSMYNLSVALISSCNGDYELDESYQELIQSAIQNLSSLSPKRSKNLSIEENKSGNDKDAHVLAYKNLWIEAEASMCKLKYELQLARMELALKYHSQQSGAPPTIPLDVQDSSLSKSKSLLCDEVLDDPSKQQNHVKENTICSATLLPEEGNTGDGQSPKVNRSIANEVEAGVFTQLRVLRSRGDSICSFGEGSDEEQQETSNNKKTNGFDNTAAVSMDTLKSGDDSMNSVVVEPIKERVESSKTDVDTAAPFYSFVKRLSGSSSSSDVDFDKFLSSIKKQTDVTVMARHKDFVCDKGNIRSLDDTTNQCQAASNTKQLEDDALKFFQSLKIPEGIPEYHSDDGSSDSDYYQTEHYPLRVEPGRLLFIHKVLGSGKGQA >OGLUM03G01630.1 pep chromosome:ALNU02000000:3:1132698:1135235:1 gene:OGLUM03G01630 transcript:OGLUM03G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFITPIVRPASAAAGGGEVQESGGRSLAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAASNEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDVVAALQPPTHRFAHHLPSSIPFAPWAHHHGHGAAAAAAGARFLLPPSSTPIYDHHRRHAHAVGYDAYAAATSRQVLFYRPLPPPQQHHPAVVLESVPVRMTAGHAEPPSAPSKRVRLFGVNLDCANSEQDHAGVVGKTAPPPLPSPPSSSSSSSGKARSIGLSFQGISNSSYS >OGLUM03G01640.1 pep chromosome:ALNU02000000:3:1144594:1151279:-1 gene:OGLUM03G01640 transcript:OGLUM03G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKKTSPKEKIIERRGTQAGRGRRRESKQRQQQAGSSASRAAAWPVKCRVGRHRCRRPNSVRTDARMHQITTVHGEIWDKERWGMDGPESIASRSGGGRSGEVDGGVAANQGFDVSSSFPLRFVLWSCSARRIMVQYAMTVSSVVVPVRRIVKRWESRTNGTSYVDLVVPTCRAAKHLIKKEDASLQCP >OGLUM03G01670.1 pep chromosome:ALNU02000000:3:1168746:1169776:-1 gene:OGLUM03G01670 transcript:OGLUM03G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1C3] MAIVCAGFPANDGSLHPNFYAATCPQAETIVRQEVTRALYTNIGFAAGLVRMHFHDCFVRGCDGSVLLESTSDNVAERDSPINNPSLRGFEVIDAAKARLEAACPGVVSCADVLAYAARDGVALTGGPRYDVPGGRRDGTASLEPEVADNIPAPTFTLDQLTQSFAAKGLTQEEMVTLSGAHTVGRAHCTSFSDRLYNFSATGAADPSVDPALLPQLRRACPAAGPDGAVDAGLVVPMEPRTPNGFDALYYWAVLRNRALFTSDQALLSSPPTAAQVRQTAYGGYPWKLKFAAAMVKMGQIEVLTGGSGEIRTKCSAVN >OGLUM03G01680.1 pep chromosome:ALNU02000000:3:1172188:1174377:1 gene:OGLUM03G01680 transcript:OGLUM03G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTAPVATAEAELESSAAPPVKPDEAAAKAQPEDDAPAVEDAKDDDDDEEDDDDDEDEDEDGEQGAIGNEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMSKPDAAAAAPADEEEEVDETGIEPRDIDLVMTQASVSRAKAVKALKAHDGDIVSAIMELTA >OGLUM03G01690.1 pep chromosome:ALNU02000000:3:1173913:1182754:-1 gene:OGLUM03G01690 transcript:OGLUM03G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 1 [Source:Projected from Arabidopsis thaliana (AT1G01040) TAIR;Acc:AT1G01040] MAGGGGVGGGAGEHAAAAYWYDACEDGASLLCGIDFAASADFDPGLIPAMDTGADDGFVAEIDRILESINAESSPAPPPPPPPPLPEPVPVAPPELPIQEKQLQVASAPVANNAVAVVGVVQRSKGVVARKEPRRESHGCAANGGGGGEWRDGKRPRLASGGVGGPRQEWRRRPMLPPPPSRGWDDRRGRRDFDRVRKHEHHRREARGFWERDRGGKMVFRPGTWEQESDREAKRARTQDGGSMEKKAEADRMGAAQREKPVAEEHARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSVCDKMLKENKKMLAVFLVPKVPLVYQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPAVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVQYDKAATLWSLHEQIKQMESTVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSETSDVEMQNTEKHNTNDLEEGELPDSHGEHVDEVIGAAVADGKVTPRVQALIKILLKYQHTEDFRAIIFVERVVTALVLPKVLAELPSLSFIRCASLIGHNNNQEMRACQMQDTISKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNISHETFLRNARNSEETLRKEAMERTDLSHLDGTSVLSPVDTSPGSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILHPEFIMQKYEKPGGSVEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDTLLPDRGSGEGEKTEQNDEGEPLPGTARHREFYPEGVADILRGEWILSGRDGYQNSQFIKLYMYSVNCVNVGTSKDPFVTQLSNFAIIFGNELDAEVLSTTMDLFVARTMITKASLVFRGRIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCTDPLREIDWTLVNNIVNTDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIAEFDIVKASGLVPARDRGHFSDYQNQGKLFMADSCWNAKDLAGMVVTAAHSGKRFYVDCICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYRKQPLIRARGVSYCKNLLSPRFEHSDAREGDFSENLDKTYYVYLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVQLKDIIDYPVPATKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKTLQSYIQADRFAPSRWAAPGVLPVFDEESREYEPSIFDEESTGCELQKESYDDYADNMQEDGEIEGDSSCYRVLSSKTLADVVEALIGVYYVAGGKIAANHLMKWIGIHAELDPEEIPPPKPYDIPESIMRSINFDTLKGVLGIEFQNKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALETQIREFVKDVQEELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGYDTSVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKASRAGNIATVEVFVDGVQIGVAQNPQKKMAQKLAARNALVVLKEKETATKKEDERDGEKKNGAQMFTRQTLNDICLRRQWPMPQYRCVNEGGPAHAKRFVYSVRVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELLNRDFPDKPDGKQP >OGLUM03G01700.1 pep chromosome:ALNU02000000:3:1189348:1198230:1 gene:OGLUM03G01700 transcript:OGLUM03G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFQLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLCLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVALYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYMRPVCNKTVD >OGLUM03G01700.2 pep chromosome:ALNU02000000:3:1189348:1198232:1 gene:OGLUM03G01700 transcript:OGLUM03G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61960) TAIR;Acc:AT3G61960] MAAAPARSAGAAAEKRMVDPPRVVGEYKLLEEIGVGSFAKVYLATHLRTGDVVAVKEIDPRRIDERVRGGILEEKAILSTLSHPNILRLIDTIQEENLYLILEYCNGGDLEGYRTKGGEDARLPDATARDFMRQLAEGLKMLRGRSIVHRDLKPQNLLLSTNGDAITLKIGDFGFARSLVQENLAATMCGSPSYMAPEIMRCEDYDAKADLWSVGVILFQLVTGKLPFYGANLFQLRQNIHESNGVKFPKEIKDDLHPDFIDLCRGLLCLDPKKRISFEEFFNHKFLSTTGSTLYSGGSIQRKREISSEPNHPADLLRDTCQIISSDVLKDKSESVDSRNLQAFDSWEWIEREYVLVQANSTSTEILSSLEKSMKDGTGAKPASYDRSTVKRSAWNQNRNSVSRGVAIKSNGCTPLSTSHESTAAENLLNPPYCYTRLQLLNQYIVVLTELAEEKLFKGLDLEALSVELIILAIWNEALNACSLSTDATHDGFFLTQAHVNFLPKNDHRPSRNVVQGLDFTRLVSVCSWAESGFIKAYDRAEKISHRLRDNNDNTEMPDAVEIIFQTALIYGTTGAAKEVLGYQNRSVALYSKAIILLTFVLQEATNLPLNPPFSLSSSDQQRIHRYIANLRSHLCSAQLTGQQERYPLVLDR >OGLUM03G01710.1 pep chromosome:ALNU02000000:3:1204646:1207874:1 gene:OGLUM03G01710 transcript:OGLUM03G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWAAAAAAAADQAAASAGAGQMPFLALLQGAMEADGGGGGDGRKRHAAAAAFASCCPCPPVADLDLLESCVTQAAAPPVTAPATRAERRRKRPRPRPRAAPPPEKRKKPEEAENQRMTHIAVERNRRRLMNDHLASLRSLIPSNYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAERSGVGVVATAATAASDGVFVSPQYTSYSEARGGSGVDVEATAAVGGHVRVRVAGRRWTGRLVRAVAAMEDLRLTVLHLAVTSVGHDAVVYCFNLKMEEGCEMATADEVATVVHQIFAYAGACC >OGLUM03G01720.1 pep chromosome:ALNU02000000:3:1210340:1212149:-1 gene:OGLUM03G01720 transcript:OGLUM03G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLSLHGVPSPTATKLSSSFLGAPASFLRPTPPPLAAPSRRALAVRAMAPPKPGGKPKKVVGLIKLALEAGKATPAPPVGPALGAKGVNIMSFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIDSAMRIIAGTAANMGIEVDPPILEKKEKVLL >OGLUM03G01730.1 pep chromosome:ALNU02000000:3:1213447:1218805:-1 gene:OGLUM03G01730 transcript:OGLUM03G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLISTADHDTLPGNYVRPEAQRPRLADVLSDASIPVVDLANPDRAKLVSQVGAACRSHGFFQVLNHGVPVELTLSVLAVAHDFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHRYLPDWPSNPPSFREIISTYCKEVRELGFRLYGAISESLGLEQDYIKKVLGEQEQHMAVNFYPKCPEPELTFGLPAHTDPNALTILLMDQQVAGLQVLKEGRWIAVNPQPNALVINIGDQLQALSNGRYKSVWHRAVVNSDKARMSVASFLCPCNDVLIGPAQKLITDGSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTTPTDTS >OGLUM03G01740.1 pep chromosome:ALNU02000000:3:1232254:1233650:1 gene:OGLUM03G01740 transcript:OGLUM03G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGSKSVSTKLVRYLLSQFGNLLLKQLCLTEMENLLANLPSPDANEASLQLGLQAMQFFNRTFIQLFTQQFSAYQQIKHDDNSLFFDSYKDGTSVIKLKGVPFVSFKSFKFLCQTISVSFYLLQFRQPNNLLKIVQLQ >OGLUM03G01740.2 pep chromosome:ALNU02000000:3:1232254:1233650:1 gene:OGLUM03G01740 transcript:OGLUM03G01740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNTVSTKLVRYLLSQFGNLLLKQLCLTEMENLLANLPSPDANEASLQLGLQAMQFFNRTFIQLFTQQFSAYQQIKHDDNSLFFDSYKDGTSVIKLKGVPFVSFKSFKFLCQTISVSFYLLQFRQPNNLLKIVQLQ >OGLUM03G01750.1 pep chromosome:ALNU02000000:3:1232662:1237220:-1 gene:OGLUM03G01750 transcript:OGLUM03G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAPVADHKMRKKGSTSEDVTSALTPLKKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEAKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKENEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >OGLUM03G01750.2 pep chromosome:ALNU02000000:3:1232662:1261829:-1 gene:OGLUM03G01750 transcript:OGLUM03G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASASTQKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEAKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKENEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >OGLUM03G01750.3 pep chromosome:ALNU02000000:3:1232662:1237156:-1 gene:OGLUM03G01750 transcript:OGLUM03G01750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDSSDSGGRDKVDAWATTQKTIERYRTYTKENIGNKTVQQDIEQVKADADGLAKKLEALETYKRKLLGEKLDECSIEELHSLEAKLERSLISIRGRKTKLLEEQVAKLREKEMKLRKENEELREKCKNQPPLSAPLTVRAEDENPDRNINTTNDNMDVETELFIGLPGRSRSSGGAAEDSQAMPHS >OGLUM03G01750.4 pep chromosome:ALNU02000000:3:1237411:1261829:-1 gene:OGLUM03G01750 transcript:OGLUM03G01750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASARKIRPEKTAKTIFPRVAIELPSKQSHYFHKEISCETGKVHLVPTPKERTG >OGLUM03G01760.1 pep chromosome:ALNU02000000:3:1249210:1252613:1 gene:OGLUM03G01760 transcript:OGLUM03G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQVDQSTLVDLSTSMGLRRINGKWDDSYDLLPTYREELLRSVPSSLDELDSKECNGDVCFTRWKGKWQWRCRWKGKAMKRREASFMGKIT >OGLUM03G01770.1 pep chromosome:ALNU02000000:3:1284620:1290974:1 gene:OGLUM03G01770 transcript:OGLUM03G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLADGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPPIV >OGLUM03G01770.2 pep chromosome:ALNU02000000:3:1284620:1290974:1 gene:OGLUM03G01770 transcript:OGLUM03G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQTPRTKPSTGNSKKRKKPRKDKWGQPIIDAGDRPAVEPEPEPEPEPVPAPAAAAAAEEEEEEEAGIYETGKVVASGLPYTTTEAEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRD >OGLUM03G01770.3 pep chromosome:ALNU02000000:3:1284775:1290974:1 gene:OGLUM03G01770 transcript:OGLUM03G01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQTPRTKPSTGNSKKRKKPRKDKWGQPIIDAGDRPAVEPEPEPEPEPVPAPAAAAAAEEEEEEEAGIYETGKVVASGLPYTTTEAEIRELFERFGPLRSLQLSRFPDSGNFRGLAFVSFESNEVAMKSLELDGFKIGNRFMRVERCRLAAGSKRKRTVEFQTDPKKADGCLSAYVGNLKWDVTETDLRDFFKSLKISSIRFAINKRTGDSRGFCHVDFEDDESLEKAVGMNQSELRGRPIKISYAVSNRD >OGLUM03G01780.1 pep chromosome:ALNU02000000:3:1293316:1297549:1 gene:OGLUM03G01780 transcript:OGLUM03G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHLLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSFAPTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAASAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNYVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >OGLUM03G01780.2 pep chromosome:ALNU02000000:3:1293316:1297449:1 gene:OGLUM03G01780 transcript:OGLUM03G01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHHLLPPPPPRSPMENSASSKPPTPASTPSSRLAAAPSSRVSSAAPHPSPSSFAPTPASRTVYSDRFIPSRAGSNLALFDLAPSPSHHDAASAAASPGAPPPSGSTPASSPYCALLRAALFGPTTPDRVASSASACSSSSSAGASPVGSPATGNIFRFKAEVPRNAKRALFSDGDDEGVLFPGVFTTRGTGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNILAVGLGNCVYLWNACSSKVTKLCDLGVDDNVCSVGWAQRGTHLAVGTNQGKVQVWDATRCKRIRTMESHRMRVGALAWNSSLLSSGSRDKSILHHDIRAQDDYISRLAGHKSEVCGLKWSYDNRQLASGGNDNRLYVWNQHSAHPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNMHLNYVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSSIGATSFVRSYIR >OGLUM03G01790.1 pep chromosome:ALNU02000000:3:1308244:1313613:1 gene:OGLUM03G01790 transcript:OGLUM03G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KNOTTED-like homeobox of Arabidopsis thaliana 7 [Source:Projected from Arabidopsis thaliana (AT1G62990) TAIR;Acc:AT1G62990] MQGGDHGGMEMGVGSFTGGGGGGECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKQELDSFLAQYMMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGTGATMSEDEEETAPMLEGPMDMGSDGHDLMGFGPLMPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >OGLUM03G01800.1 pep chromosome:ALNU02000000:3:1314620:1317692:-1 gene:OGLUM03G01800 transcript:OGLUM03G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVYCSVCACMQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >OGLUM03G01800.2 pep chromosome:ALNU02000000:3:1314620:1317692:-1 gene:OGLUM03G01800 transcript:OGLUM03G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >OGLUM03G01800.3 pep chromosome:ALNU02000000:3:1314620:1317692:-1 gene:OGLUM03G01800 transcript:OGLUM03G01800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQANLDKMQLRQSYRNLWHSDLTSTIQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGKCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAAIVGSEELSEASQILSCLSDMVNIHNIFGDYLLTLLNLCVSILSTEMHVQTQHKIEMDKRDGKFGPQPMAVPPMQQMSRIDQPIPPPVGYTPQQPAYGQPYGGYPPAPPAQGYPPAAYPPAGYPQGGAYPPPGSYPPPGSYPPQGSYPPPQGYYGK >OGLUM03G01810.1 pep chromosome:ALNU02000000:3:1318882:1319304:1 gene:OGLUM03G01810 transcript:OGLUM03G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGGDDATRLAQPGCEVIREMEISPPTLSLILLLPTTAPPLSLVHREALHVTEQRGIRAEGDGVDTDLVDHVSTTSFVITVVGSPSPLRPSLACRRRCSSQKERERGEKREREKGERDEEERMWAHISVGPTNVFLCE >OGLUM03G01820.1 pep chromosome:ALNU02000000:3:1322929:1326375:1 gene:OGLUM03G01820 transcript:OGLUM03G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKLQLPLVHNERLWARPWRWAKTVFFLVSMLASLLLVCAPPLLIVVLDLLLPPALLSNFHRAANHPASLIDQARGFHFRSSLVDLPAVSAARSLLILCAYTACGGGAAYLWVAVACSVGSVCYVVAKAAVVFGAAPDGAVVGLQGKGQLVAVEAMFLMSLALAAAHIAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKSLKQQFAV >OGLUM03G01830.1 pep chromosome:ALNU02000000:3:1333650:1340115:1 gene:OGLUM03G01830 transcript:OGLUM03G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAVTQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDGGEQKPTRAQLRHDAGSLASVVNVDVAAGAGCVARLHQAENFGIMDHLDFDAYDDSHQQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAVHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >OGLUM03G01830.2 pep chromosome:ALNU02000000:3:1333667:1340115:1 gene:OGLUM03G01830 transcript:OGLUM03G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGFADYFSAADASASSVTTLMPAMDEAAPELFGLQAGMELLGVRGLGMSMMPGAAGKVAALVADAGDDGGGGGSTMRFLSEQHQQPSQAPLSLSLCRPDGVLHLGGAARPQHQLAPAAPWMTHHDASSSAPQVHGAWHLRSSRFLLPAQQLLQEFCSLPVDSTKRGNGAKAVTQQEDGRGDGSSSSSASWTPSPQIQAMEALELQRLKDKLYIMLEEVDRRYRRYCEQMRAVAGGFEAVAGERAAGAYTAVAARTISRHFRSLRDGIVAQLQAARKALGEKDVSAAGTTRGQTPRLRVIDQCIRHHKSLQGVAAMDSHPWRPQRGLPDRAVTILRAWLFEHFLHPYPSDVSNWFINARVRLWKPMVEEMYVEEMKGQDGGDGSGGQGSLNPKPTCSHASEARGGQQLVVGDGDGGEQKPTRAQLRHDAGSLASVVNVDVAAGAGCVARLHQAENFGIMDHLDFDAYDDSHQQQQHGGFGGVSLTLGLQQHGSHGGGGVNIAFGAPGSAHGGAGFLYPGEQMAPDAVHPGHGHHVVGGQFGVAMDGDAASHAQERYRSLSAGFHLLRDLAG >OGLUM03G01840.1 pep chromosome:ALNU02000000:3:1335664:1336180:-1 gene:OGLUM03G01840 transcript:OGLUM03G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARSGRPRWGRHGWLSMAATPCSDLWCLMHWSITLSRGVCPRVVPAALTSFSPSAFLAACSCATIPSLRLRKCLDIVLAATAVYAPAALSPATASNPPATALICSQYLLYLLSTCTNTHEYTYRALHQAYVYGRGKDGDSQ >OGLUM03G01850.1 pep chromosome:ALNU02000000:3:1342340:1343979:1 gene:OGLUM03G01850 transcript:OGLUM03G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAGKAPKCKASFFLCSLLLYFLLPVLALYVVALAVSPFYSGSSCPEESLASGDVAHLAAAGDAGNRRNASSPPSDDAAPTGLGHIVFGIAASSELWKSRREYIRTWWRAEQMRGFVWLDKPVYEFYSRNASTGLPGIKISGNTTKFPYTHGRGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLVDVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAISRALASQLAHMQDGCIDRYPALYGSDDRIHACMAELGVPLTRHLGFHQCDLWGDVLGLLVAHPVVPLVTLHHLDFLQPVFPTTRSRTAALRRLFEGPARLDSAGVAQQSVCYDGDKQWTVSVSWGFAVVVTRGVLSPREMEMPMRTFLNWYRRADYTAYAFNTRPVARQPCQTPQVYYMRQSRLDRRRNTTVTEYERRRVAPVKCGWRIPDPAALLDRVIVLKKPDPDLWKRSPRRNCCRVMSSPKQGKDRKMIIDVGICRGGEFARIEVAR >OGLUM03G01860.1 pep chromosome:ALNU02000000:3:1345320:1348187:1 gene:OGLUM03G01860 transcript:OGLUM03G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFEPNKSVVSGRGGIGKCDDPISVHSIVHSTIPVFHHALPSGRCPIFLRVHFPVPDSTDHSTASHSSFPLPSNTTTRIVRTLVRFRLILPWTRQWRHDHASTTASMATVVVILLLLPLLPSTAHAAFPYFLACGAASNVSFPGDSPARTFVPDAPFLSPAGRVPAVTSTGSNTVPPLYAAARAAGSVFSYRFADADTATANVSRVLRLHFFPFTSSSSVNLSSASFSVSVRDAYTLLSSFSPPRDGVVKEYFVPGDGSGEFRVTFTPDAGSTAFVSAIELFPAPSELLWRRPVKPVGALVDSVDVNAWPQQALETVYRLNVGGSKVSPANDTLWRTWLPDDPYFSSPRGLSEVNSTSTRIIYGTSIGYTREVAPDSVYKTQRAMNMTSQQLFLTPGPFNLTWTFALPPPAPGSDSDYLVRLHWCDYSVVTSVVGTGIVFDVYVAQRLASKDLDRNAADAAEQPNEAFYLDYAATAPTTGNLTISIGKSDKSDAGGMLNGLEIMKLRRAYNLNSAGSHGRRKKILIGTLSAALGVAVLACALLCLLAVLRRRRQAPTPAPEEKESTQLPWSQHTQDGSSWVDMSNASGAGMTGGLHRMSMQLNISLADITAATENFNERNLIGVGGFGNVYSGVLRDGTRVAVKRAMRASKQGLPEFQTEIEVLSRIRHRHLVSLIGYCNEQSEMILVYEYMEKGTLRSHLYGSEEPPLSWKQRLEICIGAARGLHYLHTGYSENIIHRDVKSTNILLGDAFIAKVADFGLSRIGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARTVIDQSLERDEINLAEWAVSLQQKGELAKITDPRIAGQVNDNSLRKFAETAEKCLADYGLDRPSMGDVLWNLEYCLQLQETHVNRDAFEDSGAVATQFPADVVVPRWVPSSTSFLMDDSVTDSGIANSKAFSQLSSGDGR >OGLUM03G01870.1 pep chromosome:ALNU02000000:3:1349564:1351945:1 gene:OGLUM03G01870 transcript:OGLUM03G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVPLLLCLLASASAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPAPTLPSAASSAAPQPTPLHAAARVFSCRASYDLAVRRRGYHVLRLHFYPFEPALASARFHVGAAGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQTNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSASFSGHGVCG >OGLUM03G01870.2 pep chromosome:ALNU02000000:3:1349564:1351613:1 gene:OGLUM03G01870 transcript:OGLUM03G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLAVPLLLCLLASASAARFAPADNHLLACGATAPAVLPDGRRFVPDSGCASTRLRSPAPTLPSAASSAAPQPTPLHAAARVFSCRASYDLAVRRRGYHVLRLHFYPFEPALASARFHVGAAGFLLLHNFSASAPVVKEFILPVHSDVLVLTFVPESGSNAFVNAIELVSAPDELVGDIGTLVTSSGTDQTNGLSSQVYEMLYRINVAGRKVTPFNDTLWRTWVNDERFLVSTESSNSGVWSFGGRIAYPKGSRLMTREVAPDNVYNSASFSGHGVCG >OGLUM03G01880.1 pep chromosome:ALNU02000000:3:1355307:1356234:-1 gene:OGLUM03G01880 transcript:OGLUM03G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASNGAFLPWKKQGHQIKLRATKGKRTLVMQLGKQAWISRAIIKIQFKDQEPGPGKTSSETLKHGTIVSVNNQQDGSNDIRTY >OGLUM03G01890.1 pep chromosome:ALNU02000000:3:1359106:1361063:1 gene:OGLUM03G01890 transcript:OGLUM03G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRHCRGNMDIAPNGPRPRRGDDPLYLRRAMPCSPLPAQHAALHSKPHGLLVSSSVSRAHATRWELMEVGSLQHLGDSFSYRWLKHAAQAPSFKRLVDDDVGGSSRYFIDMDPADLFSMRWTAPGTDFDFDFDLPGGDDDAASPIPLLVSASQIFHDGRLLPHELDDDGRFGAQEDGDAARVAHLLSEPRLSASSPLFHSAQSTPASLSSSSSARSGASKNASAPPLLAAGRRGGGSSPWKILLRYLRFLMPLYRKVRALPPLRAPRTRVSPASPASARARASTSSIDWCHGIADTAVHDAILYCKKSSS >OGLUM03G01900.1 pep chromosome:ALNU02000000:3:1363549:1364025:-1 gene:OGLUM03G01900 transcript:OGLUM03G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVQPSPSSLPPPLTGAGRRRHCRCRRVGGEGGDSEELGGGRGEREGERERGVEVEHAREETKEVECALPVEPERETVGEAGEREWLGGRRRHEREVRRRELLEGVVQVPCRHVLRRRLSASSEVEMPRYQAADGGVLFALSLGMMPGTQVPRSDI >OGLUM03G01910.1 pep chromosome:ALNU02000000:3:1363741:1364034:1 gene:OGLUM03G01910 transcript:OGLUM03G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTEPLTLPCLAHRLPLRLHRQGALHFLRLFPRVFDLHAPLPLSLSLTAPTAELLAVATFAAYPTAAAVAAAAGSGERWGQRRRGGLHLGCHLI >OGLUM03G01920.1 pep chromosome:ALNU02000000:3:1369937:1375269:1 gene:OGLUM03G01920 transcript:OGLUM03G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKTILQVVCAVALVALCACGVAASPSSPAGAGCRKHIARITEYGGVGDGRRSNTAAFAKAVADLSLRAGDGGAALVVPKGKWLTGPFNLTSHFTLFLDHGAEILASQNLEDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGRNGTINGQGQVWWDKFHAKELTYTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGITILAPLNSPNTDGIDPDSSSHVKIEDSYIVSGDDCIAVKSGWDQYGIKFNMPSQHILIRRLTCISPTSAMIALGSEMSGGIRDVRAVDNVAIDTESAVRIKSGVGRGGYVKDVFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNALPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICMSNVTAQLAPDAKKLQWNCTDVKGVASDVSPVPCPELGAAGKPCAFPEEELVIGPPELPTCSY >OGLUM03G01930.1 pep chromosome:ALNU02000000:3:1372046:1372796:-1 gene:OGLUM03G01930 transcript:OGLUM03G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSSHLAQTNAFLMATLIKCSVTCMFIPGSIPSVLGELRGARMVMPLMVTLLAQHGTQRRMAEELSEANLPSSEERNEQLRLSTLQIMRDEPVTLVTENG >OGLUM03G01930.2 pep chromosome:ALNU02000000:3:1372491:1372796:-1 gene:OGLUM03G01930 transcript:OGLUM03G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKSSSHLAQTNAFLMATLIKCSVTCMFIPGSIPSVLGELRGARMVMPLMVTLLAQHGTQRSRWDGDSMTASRRR >OGLUM03G01940.1 pep chromosome:ALNU02000000:3:1373634:1375339:-1 gene:OGLUM03G01940 transcript:OGLUM03G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L5P family protein [Source:Projected from Arabidopsis thaliana (AT4G01310) TAIR;Acc:AT4G01310] MSNSLMGRTMTRKPTCDTGQQRQPATANSPYPVIHPWHKAPSSAPTSVSAHAPMAATAVTLPSSPAPFPVTTTASSSRNVRLLLRSPPPRRALRVAASAAADAPPKPAPPPTSPSGIVLVDPTEAQKVHRLKAVYDQKVVPLITEEFGYTNVHQVPKVEKIVVNCGLGAEAGNSKGLESAMKDLAMITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRVMFNFLDRLINLGLPRTMDFLGVNPNSFDGHGNFTIGLRDQGVFPEIPYEVGGKKNGMDVCIVTTAKTDNEALRLLTLLGMPFAEHIKSSVVIRKKRLKRHHFMSKGRGRRSFADEHS >OGLUM03G01950.1 pep chromosome:ALNU02000000:3:1376730:1379128:-1 gene:OGLUM03G01950 transcript:OGLUM03G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARLVAARAPLLSPAAVAAAHRSPPALLRLAFAPLPARRLAVPLRVAVGEPEPEEDARRAVAERAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEVGNTPSPNSRSHLTITQFGNSFAAALKFLRVLDQGSGEIPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAMSLLAYGFFTRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHQIHHMDKFEGVPYGLFLGPKELEEVGGIEELEKEIKRRIKRKETLDAIQ >OGLUM03G01960.1 pep chromosome:ALNU02000000:3:1384952:1392196:1 gene:OGLUM03G01960 transcript:OGLUM03G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63980) TAIR;Acc:AT1G63980] MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSATPAIKEFEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEMCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLVS >OGLUM03G01960.2 pep chromosome:ALNU02000000:3:1384952:1392196:1 gene:OGLUM03G01960 transcript:OGLUM03G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63980) TAIR;Acc:AT1G63980] MAAPEAPSCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDSPHNKWVYDTTQFDNILKKLKVQSATPAIKEFEDDVSNSPDSTPKEAKPTNNEVTKVTRPQGRYKKRERGKSVSGYSAKDLEGILVRKSDDNCKVDQEVEPTCCDEPDPIICQDTVSQADDVNWWGHKFGFKSGGFLGAKSRKNKSSATKGTSNVRQTFGEEDQENLYNLVQDKATSGKQGLGIKGLPIKVAGHRWKGNKTSFGDSDEENSTQSNELSELEEDGDEEESANDNELIDANVNTVKEMCVDVKHKHKTKVKKLCKTILRQAPAQSMKLKELKVAVEAHSESMFSNFSCRREALLFLKRKLQGSRKFNIDGKRVQLVS >OGLUM03G01970.1 pep chromosome:ALNU02000000:3:1397020:1401977:1 gene:OGLUM03G01970 transcript:OGLUM03G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRLLAEAPPHQPHPSRHQQGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFVCLSRRRRRRDDLASNLYPADTKILKQHLQQPTPPKDIQEIVRRQQRQQQTPTPTPPQPPPPAAQHGVQLAKAETPPPPQRTQPPVLPAGSTRSTAASGMSATTSGGSERDGATPRSTASGSAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEVRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >OGLUM03G01970.2 pep chromosome:ALNU02000000:3:1397020:1401763:1 gene:OGLUM03G01970 transcript:OGLUM03G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRLLAEAPPHQPHPSRHQQGAPSSVWSAGYLNGWLSQRTAVFGLRLWVLIGIAVGAAIVLVLVLVFVCLSRRRRRRDDLASNLYPADTKILKQHLQQPTPPKDIQEIVRRQQRQQQTPTPTPPQPPPPAAQHGVQLAKAETPPPPQRTQPPVLPAGSTRSTAASGMSATTSGGSERDGATPRSTASGSAGPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYKGTLQNSAMVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWEVRMNIILGTAKGLAYLHEGLEPKVVHRDIKSSNILLDQQWNAKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRAPGEVNLVEWLKTMVAERKAEEVVDPKLPEKPSPKALKRALLVALRCVDPDGHKRPKMGHVIHMLEMDDLLCRDDKKPGRDAPQTSDRHSSRDGGNFSKRENQRYR >OGLUM03G01980.1 pep chromosome:ALNU02000000:3:1403662:1406788:-1 gene:OGLUM03G01980 transcript:OGLUM03G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKGWRNQEKRGRRRSRSRRRHGELRGGDGELPGRLAVLQPAKLQPGRPRRPLRAALECRTSHLHCNTQDGSTSRSSDNNRPQEHPVEAFLSKIRGKVEAKESCTRQPDPTEQRQTTKRPSL >OGLUM03G01980.2 pep chromosome:ALNU02000000:3:1403662:1406788:-1 gene:OGLUM03G01980 transcript:OGLUM03G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGKGWRNQEKRGRRRSRSRRRHGELRGGDGELPGRLAVLQPAKLQPECRTSHLHCNTQDGSTSRSSDNNRPQEHPVEAFLSKIRGKVEAKESCTRQPDPTEQRQTTKRPSL >OGLUM03G01990.1 pep chromosome:ALNU02000000:3:1410419:1411362:1 gene:OGLUM03G01990 transcript:OGLUM03G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAVVEVKALLRASLLDARQHHNQQGGCTGPTFAHRAPCSWPQRIGWSPPVMEIMWEARSK >OGLUM03G02000.1 pep chromosome:ALNU02000000:3:1416206:1424733:1 gene:OGLUM03G02000 transcript:OGLUM03G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEIGADGFVAAACAQALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGTPNNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVSDDLPLYDILNEFQKGHSHMAVVVRRIKEPGASIEKTYSDRSDYKTNSDRSDYKINHRDAHADGLSPSRVSIAGSRRSNIEKNGEVRLYKKSEKKRDNILDFNSGPLPSYSLDQEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSNGPHSQGLRRTPMTSPLSSYHHGGSILRSPASNNPQSPGTLPTIISPERSPASQAPSHSSPNSTQVSRNS >OGLUM03G02000.2 pep chromosome:ALNU02000000:3:1416206:1424733:1 gene:OGLUM03G02000 transcript:OGLUM03G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBS domain-containing protein with a domain of unknown function (DUF21) [Source:Projected from Arabidopsis thaliana (AT5G52790) TAIR;Acc:AT5G52790] MSQHAVCCGAMFWVYLMSCVGLVMFAGLMSGLTLGLMSLSLVDLEVLAKAGTPTDKDNAARILPVVKNQHLLLCTLLIGNSLAMEALPIFLDSLVPSFGAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRVLLIVFFPVAYPISKLLDWVLGKGHVALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALEMTQKTAKDAMTPISETFSLDINAKLDLHTMGMIMTKGHSRVPIYSGTPNNIIGLILVKNLITCQPEDEVPIRNVTIRKIPRVSDDLPLYDILNEFQKGHSHMAVVVRRIKEPGASIEKTYSDRSDYKTNSDRSDYKINHRDAHADGLSPSRVSIAGSRRSNIEKNGEVRLYKKSEKKRDNILDFNSGPLPSYSLDQEAVGIITMEDVMEQLLQEDILDETDEYVDVHNKIKINMLPLGKSLSPTISPSNGPHSQGLRRTPMTSPLSSYHHGGSILRSPASNNPQSPGTLPTIISPERSPASQAPSHSSPNSTQVSRNS >OGLUM03G02010.1 pep chromosome:ALNU02000000:3:1424850:1429638:-1 gene:OGLUM03G02010 transcript:OGLUM03G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan biosynthesis 1 [Source:Projected from Arabidopsis thaliana (AT5G17990) TAIR;Acc:AT5G17990] MAAASLKLSSSAKPLAPAPGSHLLPLRAHHARPLPARLPPPPRVAVQHTAAPRSDASPRTASFDKVLEALIGGNHFSEEEAEATLRLLLEEENEARIAAFLVLLRAKGETYEEIVGLAKAMIGCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCVNEVGVGFMMSANYHPAMKIVKPVRKKLKIKTVFNILGPLLNPARVPYAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPRKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGERGSIADALILNAAASLLVSGKVNSLHDGVALAQETQRSGEAINTLESWIKISNLNMNLTPSGKLLIQSCRD >OGLUM03G02020.1 pep chromosome:ALNU02000000:3:1432049:1437741:-1 gene:OGLUM03G02020 transcript:OGLUM03G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASGGGGGGQPLVVSLNCLDDPSLEQEGLAGVAGVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAALAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRHSANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLGRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGVTRTTGTKIQCFDADTLAPKQSRCNNTTNAQQTTYLARNRS >OGLUM03G02020.2 pep chromosome:ALNU02000000:3:1432049:1437741:-1 gene:OGLUM03G02020 transcript:OGLUM03G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAASGGGGGGQPLVVSLNCLDDPSLEQEGLAGVAGVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAALAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMDVLYFDPRHSANGKAKRPSIVFPSAARRMDTLNDLLAASDLVSLHCTLTNDTMHILNADCLQHVKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITILQSFFFDGAVPSSAISDEDEEISEAGNEDDQLEEKVSSSQVFDSEQQTDESQLKMEYEKRRAISQHKEPQASARSQHIVPRSEGRRSRSGKKGKKRPARRRSQQKTDELSAVESGSNYSSRRDDDTAMSGRDQVLSSSSRFASPEDSKYKQKSPAESPMEITSETKLPTVLGRKYPDTLKDGFVVALRTKDNSGFHVARQRLAGGGGWILDIVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRRMEFVFASHTFDVWESWMLEGSLLEGCKLINCRNSSAVLDVCIEILAAASEEDGNNTTNAQQTTYLARNRS >OGLUM03G02030.1 pep chromosome:ALNU02000000:3:1438723:1442930:-1 gene:OGLUM03G02030 transcript:OGLUM03G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEEEEYTLLAITGSDCNEVIMIIDSPATRYLLLDANRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWSEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSEMSSKVKQWAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >OGLUM03G02030.2 pep chromosome:ALNU02000000:3:1438723:1442930:-1 gene:OGLUM03G02030 transcript:OGLUM03G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEEEEYTLLAITGNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWSEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQLNWKRLSEKESVNYVALTGPLPDLDEWSTSVPSEMSSKVKQWAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >OGLUM03G02030.3 pep chromosome:ALNU02000000:3:1438723:1442930:-1 gene:OGLUM03G02030 transcript:OGLUM03G02030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEEEEYTLLAITGSDCNEVIMIIDSPATRYLLLDANRNVHSVLPKTGVWTNSYWDEFVSLPAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWSEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >OGLUM03G02030.4 pep chromosome:ALNU02000000:3:1438723:1442930:-1 gene:OGLUM03G02030 transcript:OGLUM03G02030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTMPLTFSPPRLLHRHRRREAKPQRRSATGVSLLPRRGAASAPRRLYCAPSGGGEVSAPPADEQQEQAAKEEEEEYTLLAITAVVPRGPVALLGLGAGTAAHLMLKFYPWLQLVGWEIDPKIIELSRDYFGLSDLEKATESGGSLSVRIGDALSPSATIEGGFAGIVVDLFADGKIIPQLQEVETWSEIAKKLMPDGRIMVNCGGADAAVSLADDMGLSSWVQNPTIKALCAAFPGQAYASDATLKCSRNRSARCMDGTSPLMRALKKATMLYCCLCD >OGLUM03G02040.1 pep chromosome:ALNU02000000:3:1444060:1444755:-1 gene:OGLUM03G02040 transcript:OGLUM03G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLPSKKKKKGSSAAAARGFMCGCGGAKSVSVVSRLSAAGNISPATTTPLTTTSAKTTRVTTPAPTTVHDADGTPSVGTLLLQLRELERGVRALGVREDRGIRPATPPPRHRRSASDASSGSRRRRGRLEEESVAVVTESDDPLGDFRRSMAQMIVENEITATPELRELLHRFLSLNSSRHHHLILRAFADVCEELFAGAGQHNSHHHHHHRPRRRPTKPLPYSATTS >OGLUM03G02050.1 pep chromosome:ALNU02000000:3:1448105:1449622:1 gene:OGLUM03G02050 transcript:OGLUM03G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKVVSTLAGIDAISMDMASRKMTVIGTVDPVNVVSKLRKASWAAYIESLGPAKEPEKKKEGGGDAKKDGGDGKKDGGGNGKKEGGDGKKEGDGKKDDGDGKKGEAAAAAAKKEEGGAEKKVAAVAPMPMPMPMHQLPPPYMFNAGYMNQYRPPPPPPPAYPYAPPPQYYYARDMSMEENPNSCAIC >OGLUM03G02060.1 pep chromosome:ALNU02000000:3:1466980:1467454:1 gene:OGLUM03G02060 transcript:OGLUM03G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTWHAQLAGAAAVLVADGVDKPLLTMDSPEEETPDMAFLPFGDALRAADDELVVRLDWRESMPHPDELWTNSDDECRPRCDEQAAFVGAFRGHAQLLEAALHHLVMPRRIPGYEAVQGAVHQPRPPRRLWQAGDDDFDVLLHFNVCLY >OGLUM03G02070.1 pep chromosome:ALNU02000000:3:1468775:1472120:1 gene:OGLUM03G02070 transcript:OGLUM03G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1I5] MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEANEKIKAGRNGLASRPSSSLVASAAAPLLAVLAASTTLRRLRRLPRPRRLRACPPLSPPPSPTSSPPRPSPSLAVSMVMQVFRPEPIDETPEHARDGANGHVKLESLEGMRDDGYFIPPYFIGGQQENEHDLSRLCPTIGEEDSDDIPNKVTMSLDGKPADVASSFDLCMTQLKLYMCLMYASVDISEQVGYEKILAVATPRPASFYFSMKYLNKCITAVIDPFTGWLLAIITSNYAAQFRRSDFPYVRLANTVLMKAERKYNELAPDGNLSIPIGIGHLREAVITLADFDGIETPESLFSMAVLVHHIMEPAKIHKAYSLVYKTLSEGARLVRMPHMSGDWDLQSEEDRYVMR >OGLUM03G02070.2 pep chromosome:ALNU02000000:3:1468775:1473808:1 gene:OGLUM03G02070 transcript:OGLUM03G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1I5] MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEANEKIKAGRNGLASRPSSSLVASAAAPLLAVLAASTTLRRLRRLPRPRRLRACPPLSPPPSPTSSPPRPSPSLAVSMVMQVFRPEPIDETPEHARDGANGHVKLESLEGMRDDGYFIPPYFIGGQQENEHDLSRLCPTIGEEDSDDIPNKVTMSLDGKPADVASSFDLCMTQLKLYMCLMYASVDISEQVGYEKILAVATPRPASFYFSMKYLNKCITAVIDPFTGWLLAIITSNYAAQFRRSDFPYVRLANTVLMKAERKYNELAPDGNLSIPIGIGHLREAVITLADFDGIETPESLFSMAVLVHHIMEPAKIHKAYSLVYKTLSEGTETTYSLSDPSIVQLTKNLGHVSSLAGRSLFDLFLGLPVRTIPPSKFKVEGISTIHDVFSEICLIPYSLIPKWYICSKTPPMFWCPVSPGLGDPDIRPLENFPAALQTTDGERMLDLLRIELFFGDRTGGHPCAKAFEVVRRRPPGEDASYVWRLGPPIRGRPLCDAVSLKPAVDAVLRSKETRRK >OGLUM03G02080.1 pep chromosome:ALNU02000000:3:1476846:1477111:-1 gene:OGLUM03G02080 transcript:OGLUM03G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCRGTRLSPAAHRLLLFGLNNALFFNKGGPCCAQRHGAQIRNRRRSLALGKIAQLADYLEPIIQS >OGLUM03G02090.1 pep chromosome:ALNU02000000:3:1485646:1488646:1 gene:OGLUM03G02090 transcript:OGLUM03G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVTANSYGCTKKVAGNCNFSKLYKMMHITASSIWLSTHEPQKQNYLTPSVIAGQLQRGRNLYY >OGLUM03G02100.1 pep chromosome:ALNU02000000:3:1506868:1510930:-1 gene:OGLUM03G02100 transcript:OGLUM03G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEAEAEAAGAGAGAGPARRTTRVGRYELGKTIGEGSFAKVKVARDTRTGDTLAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKSATVDMLTYMYKALPEMHIVFAVGMSNRCSTCFGDQ >OGLUM03G02100.2 pep chromosome:ALNU02000000:3:1507170:1510930:-1 gene:OGLUM03G02100 transcript:OGLUM03G02100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 9 [Source:Projected from Arabidopsis thaliana (AT1G01140) TAIR;Acc:AT1G01140] MAEAEAEAAGAGAGAGPARRTTRVGRYELGKTIGEGSFAKVKVARDTRTGDTLAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKSKIYMVLEYVDGGELFDKIVNSGRLGEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGALKVSDFGLSAFAPQTKEDGLLHTACGTPNYVAPEVLADKGYDGMAADVWSCGIILFVLMAGYLPFDDPNLMTLYKLICKAKVSCPHWFSSGAKKFIKRILDPNPCTRITIAQILEDDWFKKDYKPPLFEQGEDVSLDDVDAAFDCSEENLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMVKRETSFTSQCTPQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYNNFSSELKDIVWKSESDAKAAKKR >OGLUM03G02110.1 pep chromosome:ALNU02000000:3:1513143:1514959:-1 gene:OGLUM03G02110 transcript:OGLUM03G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHERKPVGEAVDVEAGGGGGRQRAAAAAGERMRLTWSCLAVAAGVAATGVAGAAVLVWWAVAFHPAHEQLWMVPVGLVLLGTPLVAWLSLFASGACRRLGSLRAVQDQDSGGNVRSGPDSTRILHASCMQKPPCELRVPTYGYLWAPNGKPAKPLLCFEFRRRRHCGSGALTLTIAVAIQLDYITGGGMACPGTVPFGGASTRKAAVR >OGLUM03G02120.1 pep chromosome:ALNU02000000:3:1517376:1519018:-1 gene:OGLUM03G02120 transcript:OGLUM03G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGYGWALAAGLNAALAAISAKFFAPPLLKYSMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFEEPLPSKWFVGASLIIVGVFILSKSSIEKKLNSD >OGLUM03G02130.1 pep chromosome:ALNU02000000:3:1521280:1523638:-1 gene:OGLUM03G02130 transcript:OGLUM03G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYDEQSEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQRTAYPARSMVETWDYSLHERNIMSAAAAAAFADPSAAYAQMRRQHRSGRFKQEAELDGAATALLHYSSHLAELPQLESPSAAAAPLQPNPSQLATAGEDDDCKGDNGGRRAKKARAAGDKVATTTDWRALDKFVASQLSPGECGSMEATAEAAAAAVAGVSSPLDHGDDDMAALLFLNSDERDEVDRWTGLLGSGAGASGVDGDLGICVFDK >OGLUM03G02140.1 pep chromosome:ALNU02000000:3:1543623:1547650:1 gene:OGLUM03G02140 transcript:OGLUM03G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGIGDALMQPQHVQVMSSSLPMVASTFVAEPAAANKPRAAGLPPTPPQVFAAQRAAAAAGGDVCMEESAQGGGGGLPPRKAHRRSSSDVPFGYLAGQHQLLPPKVEAGWGHLGAGAGGAAAADDLFNAYLNLEGLDGLNSSDDRHDEGDSRGSSIKTNGADSSENESEECADDGGIRLWSADGGERREGVKRNAAGEPATAPLARHARSLSMDSLIGKFNFTAGTAAAAGNGVALGPNRFSLEFGSGEFTPSEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQSEATNLSAQLTMMQRDSAGLATQNNELKFRLHAMEQQAQLRDALNEALTTEVQRLKLATAELGDSCSSSSLAQQIQLNAQNQMFQLQQQQATQIPFYQLQQSQQNGAAKNNESKE >OGLUM03G02150.1 pep chromosome:ALNU02000000:3:1546516:1551227:-1 gene:OGLUM03G02150 transcript:OGLUM03G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G45460) TAIR;Acc:AT2G45460] MAALDPPSELLVTPKTARKDEAASCNAATPPKPSPVSPEEMRAVARKFAEQPVQNPDDGVWAVLTAISKNARLRPEGMNILLSADEHYIGRAVQESSFKISSLQISGKHCKIYRDTVLGELNRNEPVPVFLKDSSSNGTFINWTRLKKTSPPTKLNHGDIISFVSAPHDNTSFAFVYREVNAVSRAENEVTILKRKSEDIHSERKRLKGLGIGSSDGPVSLDDVRRLEKSNAELREQLEEHVVTIETLRTQIKISEAQHEKELKELKEITSSTYVDQAKSLQQTLEDKQKQIDSLSTSNTELQNSIKDLDERLSAYKQSRAEADEIIQSQKSNICELEAQLSEERDLRREERDKAAEDLKSALHKVNAEAQEEIKRQAEAHLRQQREQKEVISKLQESEKEIRLLVETLRSKLEDTRENLVTSEKKARELEAQLQDEQLVSANNQKKSDKLEMDLRKVKKELEHEKAAREEAWAKVSALELEIAATIRDLSIERQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTIMGDDLNKVPLATVTADDARTRVNYSKNTMEASGASTENTQASEQSSSDDSKETEQQDDFTRVEGANTQEVECNSPETATERFRSDSHGDLAATAPELEPTDTEQVPETESQAGNVGCGDHNSALQRFSEMGGDTMQLDDEVQPQENDESILICKDRGQPQGNEEASLTLKDGIGHYSEEKLEVNCSERKHEDTQTRTIGTADLLASEVAGSWAVETGPSVNGENESPRSLGETTDHAGEQDENVRGSSAADALVNSDGQAAGSQSNIDHVISKITDHHRVLNAMIEIVDPDFRKQLPGSGVGKDDLMSDAETEEGSEANDTDSDSEEAMVEDSVG >OGLUM03G02160.1 pep chromosome:ALNU02000000:3:1554284:1559075:1 gene:OGLUM03G02160 transcript:OGLUM03G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTRSLAAAAAVLVVVVVLHWVGVVDAATAAERGILLEFKAAVTDPNGALASWTAGGDPCVDFAGVTCDPSSRAVQRLRVHGAGIAGKLTPSLARLASLESVSLFGNGLSGGIPSSFSALGPTLHKLNLSRNALSGEIPPFLGAFPWLRLLDLSYNAFSGEIPASLFDPCLRLRYVSLAHNALTGPVPTAITNCSRLAGFDFSYNRLSGELPDQLCAPPEISYISVRSNSLSGAIAGKLNACRSIDLLDVGSNHFAGPAPFGLLGLVNITYFNVSSNAFDGEIPNIATCGTKFSYFDASGNRLTGPVPESVANCRSLRVLDLGTNALAGDIPPSIGKLRSLSVLRLAGNAGIAGSIPAELGGIEMLVTLDLAGLALVGDIPVSLSQCQFLLELNLSGNQLQGVIPDTLNNLTYLKLLDLHRNHLVGGIPVTLAQLTNLDLLDLSENQLTGPIPSELGNLSNLTHFNVSYNGLSGMIPASPVLQSFGSSAFMGNPLLCGPPLNNLCGASRRAKRLAVSVIIVIVAAALILIGVCIVCAMNIKAYMSRSKEEQEGKEEDEVLESESTPMLASPGRQGSNAIIGKLVLFSKSLPSRYEDWEAGTKALLDKDCLVGGGSVGTVYKATFENGLSIAVKKLETLGRVRSQDEFEQEMGQLGNLSHPNLVAFQGYYWSSSTQLILSEFMVNGSLYDHLHGSPHTFSGSSSGVGLSWEQRFKVALGTARALAYLHHDCRPQVLHLNIKSSNIMLDKDFEAKLSDYGFGKLLPILGSYELSRLHAAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVESPGVATAVVLRDYVRAILEDGTVSDCFDRSMKGFVEAELVQVLKLGLVCTSNTPSARPNMAEVVQYLESVRTNS >OGLUM03G02170.1 pep chromosome:ALNU02000000:3:1561167:1569500:-1 gene:OGLUM03G02170 transcript:OGLUM03G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) TAIR;Acc:AT5G51710] MESKPEYNHHKAQGPPSPRVPVFSCSDGDSATAPTTPIFPRNQNPPTPTRHPRISPPPAAAAASSSASSASAGRSSRRRTDPMAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNELPLQDKTTMLEAYNRSL >OGLUM03G02170.2 pep chromosome:ALNU02000000:3:1561460:1569500:-1 gene:OGLUM03G02170 transcript:OGLUM03G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 5 [Source:Projected from Arabidopsis thaliana (AT5G51710) TAIR;Acc:AT5G51710] MESKPEYNHHKAQGPPSPRVPVFSCSDGDSATAPTTPIFPRNQNPPTPTRHPRISPPPAAAAASSSASSASAGRSSRRRTDPMAPAAAGRVPLSRRRSTAALLLVALALVLGVQLRSAAARPDKEMREKFYGKLVTNGSGNATGDGSIAEMFGRVLDKEFSDSDTPEAPDKSSFNNSISDHQAVLETVAVITHDKKKNDSEQANSSKPFQIGDMFGGQNENSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATAGGIIFSCLGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKAVGPVAVIGGLLQIVLFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSVFITVAYMMTWSFVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYLGLSLELGSFLAGVMISTTDFAHHTLEQVEPIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSVVVTVVVKAFGYSIRTAFVGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPSESSMQNEVCFLSFFADGCGYNY >OGLUM03G02180.1 pep chromosome:ALNU02000000:3:1572710:1573915:1 gene:OGLUM03G02180 transcript:OGLUM03G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLVAAVVVLVACLALPAARGLNITAMLNGYPDYKMFNKYLSETKVCDEINARESITLLVLGDGPMSTLVLDADQSLAGIKNALRLHAILDYFDPKKIRGLPDADTLTDTLYQAGGDAAGKMGNVKISTLDTGKIAFASANPGGKYEATMVKAIKQMPYKLSIMEISAPIEFDGLFDTLSATNLTRLLEKAGCKRFASLITSTGVLKTFEDAMDKGLTLFAPNDDAFDAKGAPDVKRMPSADLVTLLKYHALPSYNPKPTLKTVSRAMRTLASTASGKYNITVDTRGDAVTLNTGVDKSRVAATVIDDTPVCVLTVDNLLMPVELFGDAPAAAPSPDDAAPAPSPSVADASPPAPPPADAPSKPADHKEMKASSAVALRSVVLGAFAAAVCSFVLL >OGLUM03G02190.1 pep chromosome:ALNU02000000:3:1575802:1600190:-1 gene:OGLUM03G02190 transcript:OGLUM03G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRTLSRGGPMQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYFKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQPRLFVGRGMHESAFSLFIYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKKKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMVGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNSGGIGVSPDKSWESWWEMELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFMIFVSFIAILIVLIAILHMTLRDIFVCFLAFLPSGWGILLIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >OGLUM03G02190.2 pep chromosome:ALNU02000000:3:1575802:1600151:-1 gene:OGLUM03G02190 transcript:OGLUM03G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPGQRRILRTQTAVNLGEQIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENEPTLRGRARKSDAREIQAFYQHYFKKYIQALQNVSDQVDRAQLTKAYQTANVLFEVLKAVTQQHSVEVDHEILEAADKVKEKTKIYLPFNILPLDPDSGNQAVMKFPEIQAAAVALRNTRGLPWPKTYEHKVNEDLLDWLQSMLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLVGNVSALTGEYVKPAYGGEKEAFLKKVVTPIYLTIAKEAERSKREKGNHSEWRNYDDLNEYFWSAECFRLGWPMRADADFFCQHLNSPDQRNETTRTEKQKGKVNFVELRSFWHIFRSFDRMWSFFILALQVMVILAWNGGSLGNIFDPVVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTLAALWVVLLPVTYAYTWENPTGIIRAIKGWFGNGQNHPSLFVLAVVIYLSPSLLAAILFLLPFLRRILESSDYKFVRFVMWWSQPRLFVGRGMHESAFSLFIYYVEIKPLVEPTKDIMKLPIHTFQWHEFFPKANGNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFGSIPLAFNACLIPAEESDAKRKKGLKSYLHSRFERKHTDKEKIAARFAQMWNEIITSFREEDLINNKEKELLLVPYVADQALEIMQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLENDYYFKCAIEECYASFKNIIKDLVQGEPEKRVINTIFAEVEKYIADDKKKNDKNDRDAVIKIFQDMLEVVTRDIMEDQLSSILESSHGGSYQRPEGTTTWDQEYQLFQPAGAIKFPLQFTDAWIEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELQEENEDGVSTLFYLQKIYPDEWKNFQQRVEWDEELKENEDKNEELRLWASYRGQTLARTVRGMMYYRKALVLEAFLDMAKHEDLMVGYKAVESTDEQWKLQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALPNAQDILQLMRTYPSLRVAYIDQVEDRVEEKKMEPAYYSTLVKVALTKDSESTDPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRIFHLTRGGVSKASRSINLSEDIFAGYNSTLRGGNITHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLLTQRRYIHNHPLQVALASQSLVQLGFLMALPMMMEIGLEKGFGQALSEFIMMNLQLAAVFFTFSLGTKTHYYGRMLLHGGAQYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELLILLIIYQLFGQSYRSTIAYIFVTFSMWFLVLTWLFAPFLFNPSGFEWTKIVDDWSDWNKWISNSGGIGVSPDKSWESWWEMELEHLKYSGTIGLFVEIILSLRFFIYQYGLVYHLNITGDKSILVYLISWLVILVVLLVMKTVSVGRRRFSADFQLFFRLIKFMIFVSFIAILIVLIAILHMTLRDIFVCFLAFLPSGWGILLIAQACKPLARRAGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERERSSRNKD >OGLUM03G02190.3 pep chromosome:ALNU02000000:3:1600187:1601284:-1 gene:OGLUM03G02190 transcript:OGLUM03G02190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPPPQPPDSRTAATTSPRLLPLPSSQWHVPVNNPQFPAQLRSLLLLHCLFFPNPPPSLPPSQLPPLKKKKKKPRIRSSSPTPIPTASRHADHLLLPFRWIPAAVSTSPASPSGVERRRGRWPVRCGVVAGERLLGFAASEKVSGVFWKLRCDAPATARKGLQVCDTGVILLVGWGFAFRRRGGLGGRFGRGDWKQSR >OGLUM03G02200.1 pep chromosome:ALNU02000000:3:1604907:1605475:-1 gene:OGLUM03G02200 transcript:OGLUM03G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHGGGGAVSVQHVARASSDELLRKFADPDAHAKQITPPRRSLALRRKRSSRRVASGLSARDLESGAELAAPKRRRSIGGSTEWKAGLLLPTTTTASARKGSAASHSRRGGAARLDDAAGIGLLLAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >OGLUM03G02210.1 pep chromosome:ALNU02000000:3:1606607:1608103:-1 gene:OGLUM03G02210 transcript:OGLUM03G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFPHLASTLPLLRAVRTPRRLPPAVSAVPPRAARVVLRGFRLPDPAARKFLCFEDSIGLQTEHQKPDSTSTGAKQNSSSDDNSSSTDGPPVLTILAGIIVFLLVLWVIGSLFTWIAGLVFGAAKS >OGLUM03G02220.1 pep chromosome:ALNU02000000:3:1608918:1612811:-1 gene:OGLUM03G02220 transcript:OGLUM03G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta small subunit [Source:Projected from Arabidopsis thaliana (AT2G42120) TAIR;Acc:AT2G42120] MERKQAEYSNLDERYAIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPHLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLILEDESGRVTLAGAIPPAAYVTGVVIALHGKETSAGNFLVEDILEAGIPPQITLPSINEDKYVVFVSGLSIGSEKFNPLQFQLLIDHITGHLGDENEQSIASNIVRVVVAGNSVHISPRFFNGQAVASKDQSRIAEPIKELDIMLTQLVASLPVDMMPGSNDPANFSLPQQPLHRCLFAGAATYNTFSSCSNPHQFELDSVRFIGTSGQNIDDLYKYSDAKDKLEFVERTLRWRHLAPTAPNSLGCYPYTDKDPFLVESCPHVYFVGNQDKYETQLLQGPEKQKVRLICIPRFCDSGVAVMELGVQHVEFLNKLRCLTMLYLSGVQKMELLFNMNGKS >OGLUM03G02230.1 pep chromosome:ALNU02000000:3:1621658:1634685:1 gene:OGLUM03G02230 transcript:OGLUM03G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGAWKNKRQQYSPSSPISLGSSVFSESSLELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVAAILYSISALIMFQASNEYTLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEEDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPVMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGARLSVKRNENI >OGLUM03G02230.2 pep chromosome:ALNU02000000:3:1621658:1634685:1 gene:OGLUM03G02230 transcript:OGLUM03G02230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGAWKNKRQQYSPSSPISLGSSVFSESSLELSTTTADGSTANAVLAAIVASIGNLLQGWDNAAIAGAIMYIKNEFNLQNDPMMEGLILAMSLIGATIITALSGMITNSIGKRPLLSVAAILYSISALIMFQASNEYTLLLARLIYGFGSGLVVTYAPLYISETAPTNMRGLLNTLPQFNGSLGMLLSYIMVFLMSLTLNPNWRIMLGSLSIPSFVFLLLCIFYLPESPVFLVSKGKIEEAKNVMKRLRGTNEVSSEIAFLIQGLTVDQDNYIEDYMIGHNNDEFDDQSISNTETTKLYGHEEGVTWFARPFKGKNVVESDHSPIPNLLDPIVTLFDSIHGNILNTPEFTSSGNMSNDIEQPKTDLESQEDLDTDYEDDLGHPLLFHQGSYMEGIDDACVNGGWHMAWKFVQRENEFGQTQDDFQQIFLQGDILQAGRVSHATALVSTPSFHHSIGPAMVHPSKFNLSTEGQSWSDLLQPGVKQGLIVGVTIQILQQLAGISGILYYTPQILEQAGAGILLKWFNVSSSSSSILTSALTTFMMLPSIGIAMKCMDRYGRRSLLLYTIPMLIVSLIILIVVNVMNLEAIFGAILSTFGVIIYVCCFVMGFGPIPNVLCSELFPPSCRNRCMSICTLTFWIVSIIVTYAFPVMLSSIGLIGVCGIYAVVCIVSFIFVLIKVPETKGMPLAVIANSLAVGARLSVKRNENI >OGLUM03G02240.1 pep chromosome:ALNU02000000:3:1626974:1628268:-1 gene:OGLUM03G02240 transcript:OGLUM03G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHLLSGQRLLLPIPCGACYRSPPSPAIKPSPLGLRPFTKSSSSLPLHLHAVSPATVATDGSSTSAQPDSWAEFASRVSGEWDGFGAEFTAAGDAVELPENVVPEAYRDWGVQVFDWQTQCPTLADPAAPCDLHYRLVRLLPTVGCEADAATVHTSHQRHASSASAFAYSAAGSYVAAWPRGPAPVLEVEHCVVHPDNREVRVRLVQTVALAKEARLRGVKVFSEQWYGPYRNGDQLGGCALREAAFAAGEKLAASDVTGQWQSDAAFAARFSGELDPETGKFAGLTPDEPGERLSRDDGDGIVTLPKQLWSSFKENGEDEFVCEVGWVLGHGSAITSRCVLSRDGDVKASFQQSTQPRNEIH >OGLUM03G02250.1 pep chromosome:ALNU02000000:3:1635048:1642581:1 gene:OGLUM03G02250 transcript:OGLUM03G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLCRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKIFLSWIIHINGPFTGLHICRYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >OGLUM03G02250.2 pep chromosome:ALNU02000000:3:1637901:1642581:1 gene:OGLUM03G02250 transcript:OGLUM03G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEATQVSASSAVAVHALCFAGIVAAHQLSGRGMLVSNPAYALRLLVVFEAPLVIAVFSLLCRNPKRCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTFVPAACVFGASKVNWQAVLSHSIYCGSTDSVDYMISAPAHGAVIGAWLGAWPMPLDWERPWQEWPISVTYGSVAGHLIGMAISLALVVTHKRRGRAKAD >OGLUM03G02260.1 pep chromosome:ALNU02000000:3:1641864:1645205:-1 gene:OGLUM03G02260 transcript:OGLUM03G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1L0] MAGGGGGRALPETPTWAVAVVCAVIVLVSVAMEHGLHKLGHWFHKREKKAMGEALEKIKAELMLLGFISLLLTVAQTPISKICIPESAANIMLPCKAGQDIVKGLKGKKDHRRRLLWYTGEEESHRRSLAGAAGEDYCAQSGKVALMSSGGMHQLHIFIFVLAVFHVTYCVITMALGRLKMKKWKKWELETNSLEYQFANDPSRFRFTHQTSFVKRHLGLSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVAILVLFLDIQGFGTLIWISFVPLVILMLVGTKLEMVIMEMAQEIQDRATVIKGAPVVEPSNKYFWFNRPDWVLFFIHLILFQNAFQMAHFVWTLATPGLKKCFHENMGLSIMKVVVGIFIQFLCSYSTFPLYALVTQVKPFRQKSLVNALGGLNSNVLNLSVCLQMGSNMKKTIFEEQTMKALMNWRKTAREKKKLRDADEFLAQMSGDTTPSRGASPTPSRGSSPVHLLHKQRVRSEDPPSAPASPGFAGEARDMYPVPVAPVVRPHGFNRMDQDKRRAASSSAIQVDIADSDFSFSVQR >OGLUM03G02270.1 pep chromosome:ALNU02000000:3:1649335:1658044:-1 gene:OGLUM03G02270 transcript:OGLUM03G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex exonuclease RRP44 homolog A [Source:Projected from Arabidopsis thaliana (AT2G17510) UniProtKB/Swiss-Prot;Acc:Q9SHL7] MLQSKTFVKKTRAGRVQKVVREHYLRDDISCGAASCSTCGAVEHPLSADAAAILVVDTNVVLHQGVELGIPEADVLRALLQIDLLENPAIDDVVLLSVVLDEVKNKNLAVFNRIKALCSNKARRFFVFTNEHHRDTYVKEMVGESPNDRNDRAIRVATRWYQSHLGENVKVLLITNDRDNKRKAIEEGINAETVESYVRSLAQPGLLDLVVVSTSGDVTMEDVEDLRPSKKKVIYSEHKPMSEITAGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIHGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEDDEEDVHLAPNTADDAPRKANPTQSTAASSADSVSSRPVGRVVGIIKRNWNSYCGSLEPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDVLSRYPSGHYVVLIENDINTRPFSTQVLACLPPLPWTLSPEDLANPDRQDLRHVRVFSVDPPVLDLGLLDIADVTNFVHPGTPLDEEASQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPHADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRNRRCERGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSVGLNLDVSSSKALAESLDNAKNDDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVIVHRLLAAALEIAKLPPLFQDGPQLTGVADNLNYRHRNAQMASRASVELHTLIYFRTRPMDTEARIVKIKANGFIVFVPKFGIEGPIYLTPKGDKGGDWVVDEVHQRVTKPGTNVSYAVLQTVMIHMEHFSQMDKPAECDEIVEWNFCSVAQNISDMNTVDQSVRAKEAIRNDDHHTAETKERMPLWSRWVNIGRLAYRCSWRGHR >OGLUM03G02280.1 pep chromosome:ALNU02000000:3:1658555:1662236:1 gene:OGLUM03G02280 transcript:OGLUM03G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1L2] MATHAALAASRIPATARLTHSKAASKQRVDFADFAGLRPGSCSISHAAREASFSDVLGSQLVARATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHERENSPLEVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDQTISVDGKLIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVLGVNEGDYSHEVANIISNASCTTNCLAPFVKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTVKTGITADDVNAAFRKAAAGPLSGILDVCDVPLVSVDFRCSDVSSTIDASLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAVQGSGDPLEDFCKDNPETDECKVYEN >OGLUM03G02290.1 pep chromosome:ALNU02000000:3:1663544:1667361:-1 gene:OGLUM03G02290 transcript:OGLUM03G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1L3] MATADEVGGGGGGGAGVGAGDQVRRAKTAALFLAAVALPCLVLYRAAVSPAGLFLRPAALPAPPRGDVDPVNSEEARLERVLRAAAMANNTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLIMVSLDLTAHRRCLQIHRHCFALTTDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSATAAFYRYWYAARERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRHSVTWSVPRNCSMKKLGRSVGANALTATGKPQIR >OGLUM03G02290.2 pep chromosome:ALNU02000000:3:1664690:1667361:-1 gene:OGLUM03G02290 transcript:OGLUM03G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1L3] MATADEVGGGGGGGAGVGAGDQVRRAKTAALFLAAVALPCLVLYRAAVSPAGLFLRPAALPAPPRGDVDPVNSEEARLERVLRAAAMANNTVILTTLNSAWAEPGSVVDVFLESFRIGDDTRWLLDHLIMVSLDLTAHRRCLQIHRHCFALTTDDGFDFSGEKNFMTDGYLKMMWRRIDFLGHVLAKGYSFIFTDTDIVWFRNPLPHLHHDGDFQIACDHFTGDPDDLSNSPNGGFAYVRSTSATAAFYRYWYAARERHPGLHDQDVLNLIKRDAYVARLGVRIRFLSTDLFAGLCEHGRNLSTVCTMHANCCVGLRRKVDDLGLMLQDWRRFMATPGSDRHSVTWSVPRNCSMKKLGR >OGLUM03G02300.1 pep chromosome:ALNU02000000:3:1663724:1664463:1 gene:OGLUM03G02300 transcript:OGLUM03G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQQWKGHAEEQEIQFEAGLHFCLSLLCLPLPFLLLGCSVESLYYGFNRPWHQHQQRYVMTMRLCELHELECHCSGFRTTKWTLFFVGNLGRNAATKCIYELKVISKLSVTHYFDRGVDAKELQSVEKMY >OGLUM03G02310.1 pep chromosome:ALNU02000000:3:1668755:1673553:-1 gene:OGLUM03G02310 transcript:OGLUM03G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRERNGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGIQVQQVDQEHTYGGGVEVWTDMQQCDHDECDVPCCSCHSPKPRKEPLLHLQLKSSGMNIDEPGTRARIAAPNVRSCRDDAAASATETRRRRGGRPEEVDDDANASASPRISQLQLLHLRGHGIRESDMSPSTSLQCYYVRSQRTTTMPPGAAAAAAGGDGGSQCYAAPRYSSSSKLKFLGFVVTSGPPPPPPPPPRLPPPAACCCGSDDNIPFQVGTFAPHRVAPPTASCGGGGGGRAEARPSSSYRSVWFEPAAAAAASNNGVAPDGEHDDGCSLSLSLALDTGCGGAGAGAGGSLVTSTTSSSSGSRISLDLSLSTLDS >OGLUM03G02320.1 pep chromosome:ALNU02000000:3:1684974:1685306:-1 gene:OGLUM03G02320 transcript:OGLUM03G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAGVQLGTSKPQIATQAEMSEARLPLPYRDQCAHLLIPLNKCRVAEYYLPWKCEPERHAYEKCQYELVMERMIQMQKIREAQEAKSKGAATIGVPLIPSTAKLS >OGLUM03G02340.1 pep chromosome:ALNU02000000:3:1709953:1710717:1 gene:OGLUM03G02340 transcript:OGLUM03G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRKVFSAMLLMVLLLAATGEMGGPVMVAEARTCESQSHRFKGPCARKANCASVCNTEGFPDGYCHGVRRRCMCTKPCP >OGLUM03G02350.1 pep chromosome:ALNU02000000:3:1711893:1716848:1 gene:OGLUM03G02350 transcript:OGLUM03G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQRLLRASASGGAAAAAAAARRRMSTAVAPEQTPAAAAFPFAAAAGRARRRVAEERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASSGPLSVQLAEIVNQGKLVSDEIIINLLSKRLKKGEEQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICGQCGKNFNLACIDVKGENGLPPIYMAPLLPPNNCMSKLITRADDTEEVVRNRLQIYNDMSQPVEGFYRQQGKLLEFDLPGGIPESWPKLLHVLNLEDQEEMKLATA >OGLUM03G02360.1 pep chromosome:ALNU02000000:3:1716017:1723187:-1 gene:OGLUM03G02360 transcript:OGLUM03G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G20760) TAIR;Acc:AT1G20760] MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADKNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIAGGGQAPPQMAAAGAPRPQVNAAVSPAPGQAGAPQPQMNVAGAPRPQGSGMMLGSVQIGGSQQVNAGAVPRPQGVNSMMPAASQGGALQPTQFATQRGMQSQPPSMGFNQQPPPSSTGFMRPTQPGAPAASLQGQAPGINQVPLGGGSMGAPAGWRGGNVGSVGGLPQATPGATAPQQATPGGFGLTLSSTMGMAPGQQAQGTPPSSMPPQSNSAVSAQDSKALVLSGNGSASGLGASNDIFSALTQPKSNVSTLSFPTSMAPNSSSFMSTPSGSQNLSNLAQFGSQQGIPTVSSGGSQPQQTHPITKPSVPAPTVSGVSAGVSNSASQWPKVTQSDIQKYTKVFGDVDRDRDGKITGAEARTLFLSWRLPREILKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLKYDEVLLRATGLPSTAYNGPSWQQNQGLPHKGPGAAGMPATGVRQPLPPHLQAQMDGANRPGQPRPHMPGMDNHAAPQANKDDGSGANSAVQEDAPKKVEVEKQVLDSREKLEYYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEHAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQYQLEEMEKAFSERCKHFGLQFKPSASVELPFGWEPGKQEGAIEWDEDWDKFEDEGFGFVKDNGTIVENPVASENVKVPSLWNDMDESPVASSNGHIKAERHYRAGDHAAESDLGYDFGEESVRSPSSAGRSASGSPFVSSNFAMHDSSPSKKETYSDHGGSESIFGDKYADETSWNFDDQDTESVWGSNATNNESDHHGSAHNSFFGSDDFGVNPVRVGSPSGASTYGKKKSSFFDDSVPSSPAYTSGFSPKFSESRDDSSSYNFGRFDSFRSQESGFFPQESRFSRFDSINNSKGENVTGFDSPKGSTNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >OGLUM03G02370.1 pep chromosome:ALNU02000000:3:1751737:1752552:-1 gene:OGLUM03G02370 transcript:OGLUM03G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPTPYVSLHDVVAFDFVDGDVPFDDLVDGEGLCCPDDPFEEVMRCLSAVDDPFLAAFKLDCSPPTPAADADVDSRSEEHMHADVGGGLDLQRAVGGGDEKAGTPSTIDDVPWLQASAVARKPRRAPAAVRKRVWSLVSPQLATAAAAAVDNSRDEVSSGGGGGGEGGEHCSRPAKRRRKCGEEKRCGHCQTTETPQWRVGPDGPSTLCNACGIRYRMDHLLPEYRPSTSPGFGSDGYSNRHRKVVKLREKKRKKAMLAATATALTSGPV >OGLUM03G02380.1 pep chromosome:ALNU02000000:3:1756400:1767379:-1 gene:OGLUM03G02380 transcript:OGLUM03G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPIRPCGRETNTETDRHESQRSSATSLPSCLRRRRPLRYQPPVLPPTPALRPPPVTRPSPRPAATTDQMAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRQCVEELNGIDSMGSPTMNAVRIMSRRRSNMKKHVSFKLNKLIKPPPLDLISISIRYPNIAIAAYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAINSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >OGLUM03G02380.2 pep chromosome:ALNU02000000:3:1756400:1767362:-1 gene:OGLUM03G02380 transcript:OGLUM03G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTAAAAALPASRPASDAGAAAAAGDPPLAAAGCHDRPDGRRAVEVPPRHRPAGEVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRQCVEELNGIDSMGSPTMNAVRIMSRRRSNMKKHVSFKLNKLIKPPPLDLISISIRYPNIAIAAYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAINSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >OGLUM03G02380.3 pep chromosome:ALNU02000000:3:1756400:1767172:-1 gene:OGLUM03G02380 transcript:OGLUM03G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSRCLLVTGPPGVGKTTLVTRVFETLRESHPHLNIRGFYTREVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRNAVRIMSRRRSNMKKHVSFKLNKLCFSVLFILQHQAPTSGSDLHLHKRDPISTVGEATVSPLIGGGRPVVAGELHANCREEAREDPSYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAINSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >OGLUM03G02380.4 pep chromosome:ALNU02000000:3:1756400:1767362:-1 gene:OGLUM03G02380 transcript:OGLUM03G02380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRDKHRNRQTRISTQQRHQPPVLPPTAAAAALPASRPASDAGAAAAAGDPPLAAAGCHDRPDGRRAVEVPPRHRPAGEVRESGERVGFEVVTLDGRTGPLASSKVSSRESVRWPTVGRYKVDIASLESLALPELQVKDDTDLFIIDEVGKMELFSSAFFPAVMRVIESNIPVLATIPVPRLGRDIPGVARLRNHPGAVIYTLNTGNRDAMREGVYNHLSSLLQKRQCVEELNGIDSMGSPTMNAVRIMSRRRSNMKKHVSFKLNKLCFTYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAINSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >OGLUM03G02380.5 pep chromosome:ALNU02000000:3:1756400:1760910:-1 gene:OGLUM03G02380 transcript:OGLUM03G02380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSLARSLQLIAEHRSAASLLFLPQRDPISTVGEATVSPLIGGGRPVVAGELHANCREEAREDPSYCWISLATSATMLQYLDFSHASTSRKWSHKRQGEGFEAPRNSMEFTLEAPQSYGVFQEDVPYSCNMRQQYPKAGLNHSSSPIKRIIHEDVSFRTNEVQKRPSVIARLMGMDSPPMSTTAGELAAGHTEEKRQDMITSTRPMPRRDPSEMVSTKHVSFVQHKGSMKHSPKQAEVCAYDDSMELFGQLSKAINSSEWAKPQPREHPQEEELQKFKKDFEAWQASRMWEQSRALELESHLDDDDDDDVRCTDIVPYRFQHRGKDNAGKKHTHSNGDAHWRRSKESGTGTGTSISGSRTFSLTSADASSTRLPLSRFYYEEERLLSPKKIVILKPCPEMSTDDIEESSLGSPEMVKKENNMEAFLEEVKKRLKVELEGRMASDDRAADRWAAGGDIPADPKQIARSIANQIRETVTKDLHPALLRSESTRSYRSDVPLNGQSQMDYICRDARKHLSDRLKNVLRREPETEPPALSHRRRTASASFNEEPRPKPRHEVARKGKIRSKEEKKHAIESDVRSFRRGHHKASPTPAIDSDPVSPRNLIRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPPPPSSSEERKGRKDTFNIKGRVSNLRQNLGLRAKLFGKKLHSADESPFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEASFSEHRSPLKMAARDMSSSASEPELAETSPIQDDDDDDTDEIDNPIKAYIRAILVIAGLYGQRRSSDQLFSDREVKPIPAWVFEEVESSSSSSAPATTDCDAAATGVDHRLLFDLINESLPRVVQASTTLCAFSRWYGAAPRRSPGGKRLLDGLWNTVQAWLAPPPPTDSPNSVDELIGRDLSMSPWNGPFREDVGAAGAEMEAEILDELVDETLWDVLLNVGD >OGLUM03G02390.1 pep chromosome:ALNU02000000:3:1769913:1776526:1 gene:OGLUM03G02390 transcript:OGLUM03G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKAAAAPPPPPPPPPAETPARRKGKKKGRPSLLDLQRRSLRLQAQNPSPAPSPSRRDANPSDEDDDGVGSGGRRRQKRLKSVLSSSGGGEDDEAPAAAVVVKVEVEEKKKKVSSKATGKGDAASDGGPTTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDHEELPDYHEIIEHPMDFSTIREKLLNDSYTTLEQFENDVFLLTSNAMSYNSDDTVYYRQARSIETLAKKDFENLRQASEPEEEQQPKTVPRRGRPPKYAKKIEKTENDVSPDLSNAKTKSADHAETIRKRLTGDRTRNANITTRDSPFLQHNTPGSFAGKRTDRFGDYSGPSKYGKKTTPTISDDERRSTYDQQYFHSSPLFSALDGERKVLVPVGLQQQHAYARSLARFAAKFGPVGWDIAAKRIRRLLPSGTNFGPGWVVDGEPPENSQWPRVPMLSDPSIQSTGVPASNVISKNDESNQKSGLTSNEDSGEEHLARTEPVASTSACVNTNSVSATKLATKCENGANVSCDGVGSTGQTPPLQQHSHSREIHSNMNGFTAVPNTISQYAGQGFLGQMQLTHAQVLGMFSGVNGRTNGFIHGHPLVANSIKAPQNGDVGKVATNPSPDAGHDSEAALSQTMTSSAPSLSAGVQPSGSMPSGKLANPKKHPDLALQL >OGLUM03G02400.1 pep chromosome:ALNU02000000:3:1783338:1793698:1 gene:OGLUM03G02400 transcript:OGLUM03G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRGGRRVAHVHSIIGCALLGQQPSDMHQSGLEAWEQFTHDPIQEEDDEGIHPSIDMGNRLCCYDAADDEKPAAAAVSGGGGGGGREEYRRWPIAAESGGGETGRVLDTPRLRVFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAVRAAKLADKCLCGDPKSRPSMDDVVVALQEIESVGTARPPAAKLPPRAPPPAAHRSPHRNPYSKPR >OGLUM03G02400.2 pep chromosome:ALNU02000000:3:1783338:1793698:1 gene:OGLUM03G02400 transcript:OGLUM03G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFGCFSPEVDDDLKPSKPNYHSSDESSGADARRKVAPLATDGGNGYAHSFTFKDLSVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLTRDGVQGRNEFLVEVLMLTVLNHPHLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKQPLDWNTRMRIAVGVAEGLSYLHNVADPPIIYRDMKAANILLDEDYRPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVSRPFLHDKRKFYRLADPALHGCYPTSALNQLVVISIMCLQDQPHVRPIISDVVIGLNHVASQPYVPERSSVSLSSPARCGSPQYAGTPSRRRGGRRVAHVHSIIGCALLGQQPSDMHQSGLEAWEQFTHDPIQEEDDEGIHPSIDMGNRLCCYDAADDEKPAAAAVSGGGGGGGREEYRRWPIAAESGGGETGRVLDTPRLRVFTLAELRAVTRGFKPEMVLGEGGFGRVYKGWADERTLNPAKSSAGVVVAVKKLNPESVQGLHEWQSEVNFLGRLVHPNLVKLLGYCKEERELLLVYEFMPKGSLENHLFRRGAFDPLSWSLRLKIAIGAARGLAFLHSSERQIIYRDFKASNILLDVDYTPKLSDFGLAKNGPVAGRSHVTTRIIGTYGYAAPEYVATGHLYVKSDVYCFGVVLLELLTGLRAHDLNRPSHQQNLVEWARPYIAGGKKLAGLMDPRLAGDYPAKAAVRAAKLADKCLCGDPKSRPSMDDVVVALQEIESVGTARPPAAKLPPRAPPPAAHRSPHRNPYSKPR >OGLUM03G02410.1 pep chromosome:ALNU02000000:3:1794996:1798529:-1 gene:OGLUM03G02410 transcript:OGLUM03G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKPPPPPRREEEEEDGLMGCGMSGTGDIAGGDLDLMEEFLLATPGLDLSEFWHPGAASPFSPLFDIGSSVTTLTTPAPPAAEDDRDEAEMPSSGGGGGGGGLEVSPAHRGWTFQTAPQEVAVEPTVKERLRRALERIASQSQSQAQRGDGELLVQVWVPTRIGDRQVLTTCGQPFWLDRRNQRLASYRTVSMKYQFSADESARADLGLPGRVFVGRVPEWTPDVRYFSTEEYPRVQHAQYFDIRGSVALPVFEPRSRACLGVVELVMTTQKVNYSAEIENICNALKEVDLRSSDVSSDPRSKVVDASYRAIIPEIMDVLRAVCDTHNLPLAQTWIPCICQAKRGSRHSDESYKHCVSTVDEACYVRDRSVLGFHQACSEHHLFRGEGVVGRAFGTNEPCFSPDITTYSKTQYPLSHHAKLFGLRAAVAIRLRSVKTGSLDFVLEFFLPMKCINTEEQRAMLNSLSNTIQQVCYTLRVVKPKELVNDGPFEISQPTRPEFYAKSVHENLDELCSGINVPGRTTSLEASEEVSSWIASLVDAQNKGGKGEIDVDLPFGFSKQDDEGFSVTAGWHTSPVMAPDGSMFSGFKRHEDYDVKENTCSSDPSNSNSDKAVEKRRTKTEKTVSLQDLRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGPEGTVQLSSLYENFTKTTWSERELQGDVHFPASEQNFQLEPSVPDRPCEGRFTSHTSGSNSISPSCSQSSNSSLGCSSVPKTQQQHGSAPQLAVKEEISMDENQCSTLIKSASHEEAELQMFVEERPTMLFRSQSQVLLSEHKPIENLSNVQKARSDSLKIKAIYGEERCIFRLQPSWGFQRLKEEIVKRFGISQDTHVDLKYLDDESEWVLLTCDADLLECIDVYKSSSNQTVRILVNPSIQPLLNASFGQTGLS >OGLUM03G02420.1 pep chromosome:ALNU02000000:3:1805243:1807900:-1 gene:OGLUM03G02420 transcript:OGLUM03G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1N1] MDPYKHRPSSSFNGPLWSTNSGAPVWNNNNSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHSLKPNPKSHVQENWRILDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWKPTCGVKSLLDDEAVTVGGTNHSHATQDLYDSIAAGNFPEWKLFIQTIDPDHEDRFDFDPLDVTKTWPEDIVPLQPVGRMVLNRNIDNFFSENEQLAFCPGIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPPNAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDPAKHAPRYPIPSATLTGRREKRWIDALSDPRLTHEIRSIWLSYWSQADRSLGQKLASRLSAKPSM >OGLUM03G02430.1 pep chromosome:ALNU02000000:3:1809207:1813730:-1 gene:OGLUM03G02430 transcript:OGLUM03G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGEEAAAAAAAATLHIRCTNGSKLAVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPASTAPPAANNVTPAINATTASNSPAVGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSATAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGPERTQSGAGAGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >OGLUM03G02430.2 pep chromosome:ALNU02000000:3:1809207:1813730:-1 gene:OGLUM03G02430 transcript:OGLUM03G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDGEEAAAAAAAATLHIRCTNGSKLAVRADLGLSVGAFKAIVAESCDVPAPQQRLIYKGRILKDEQTLASYGVETDHTIHMVRGAAPPPASTAPPAANNVTPAINATTASNSPAVGFGGLLHGLGGSGSANSGGLGSFGSGLPELSQMQQQLSENPTLMREIMNMPLMQNILNSPDLIRNIIMNNPQMREIVDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGEGDRSSNPFSALLGNHGSNQARDPAANSPTTTSESTTGSPAPNTNPLPNPWSTTAGAAQGATRPSPVTNARSATAGGLGGLSSTDLGGMLGGGSDTSFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFVRQLTSPETLQQLISFQQSLMSQLGQQQAGPERTQSGAGAEYFNGTTYESTLMPGNTNLNNLMSMFSGLGAGGGLGVPSAPNVPPEELYATQLAQLQEMGFFDTQENIRALIATAGNVHAAVERLLGNIG >OGLUM03G02440.1 pep chromosome:ALNU02000000:3:1816509:1821560:-1 gene:OGLUM03G02440 transcript:OGLUM03G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPRRRADPSPPPARRLLHRLRSAASGLQTLAYSSKKEGGGGDMGPRNGGSSSNRRPGFVDSSSWRYFDSRVVGITRGDMPRHAWTVLHMLKRKGFAAYLVGGCVRDLLLKRAPKDFDVITTASLQQIKKMVFQRCIIIGKRFPICQVNMYGTKIEVSSFSTNANHVKGSKNIGCSEEFKRYDEGDILLWQNSMKRDFTINRKFRFWFISARILRGLRIAARLGFEFSSETSAAIRELSLSITDIDKARLMMELNYLLSYGAAASSLRLLRKYGLLDFLLPFQAAYMSDQMKDKSNDTDLMLMKLLANLDKLLSADRPCPSCLWLALLTFHSALVNSPHDAQVIRAFAALVYFGSWEGAVNFLNQDIGAPAPFIPETLGPSRSKLENLMEQTSHLASLVKSSVDTLTSIDALQQSLAKYSKASQVSGLVLVSSRERERVLRIFEGLDTDLTSYEGTRGMQEIDYKLLKDGHPGEVRFVLGQVIMDTMSEELPRVSTEYDQLNMEASEDDLADGSRPSLSTFSSKS >OGLUM03G02450.1 pep chromosome:ALNU02000000:3:1821729:1824836:1 gene:OGLUM03G02450 transcript:OGLUM03G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H dehydrogenase (quinone)s [Source:Projected from Arabidopsis thaliana (AT4G35760) TAIR;Acc:AT4G35760] MATISATLSISFLPSSIRFAVATTSTSTTSSSSWRIKRPARFRCCAEPSSPEQENPSTPAPPPVKPPASPSSLWGISTSTWSAGVAGLGFLETAYLSYIKLTGSEAFCPVSGGGCGDVLQSDYSVVFGIPLPLLGLVAYGLVLTLSLQENGKKFLPGIDDLDIRLTLLLISTSMATASSYFLYILNTRFIRTSCSYCLLSAFLSFTLFSIRVKDLGLERVQKFVGLQLSVAIIVALALTNSYSSATTQLNGTDDFVLERYDTEITTESTPFAIALAKHLHSVGAKMYGAFWCSHCNEQKQIFGREATKILDYVECFPNGAGKGKKMAPECAAAGIEGFPTWIINGKVLGGDQELPILAEESGFTVEGTEQS >OGLUM03G02460.1 pep chromosome:ALNU02000000:3:1826810:1830803:1 gene:OGLUM03G02460 transcript:OGLUM03G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDPYASPFSETLHILKLQSEAKVLLNSKIIKALMIHFAAAQTFYPVLLNTAKPGRIEHPPSTATRCHPATGGGGGASPPAATPDCRCSNSWRSSASTFSAGSHVASSMSTHLTRYSHFPSPSAASLLSTTASATAYSSPASKSFTRSSATHAALTHSLSPPSRHSTKYSRHLPSPRPSTTFSTSPHSYTAFSSSFAAASSASRRSNVAGLPLGVFASTSWTSSSGVRPCPSGASGSAPSSSSARTAAGRPYPTAMCSGVSPPPARSGRPTSAPASASARTHSSDPSPAAKCIAVRPCASSASGSRRSVSSASSAVISAASAFLAAVHHGVSYSATTSAAMASAGIHEGSCPSFHSTRYSVAVTVVAEPSSANTGFLMVRLDTIRSTSP >OGLUM03G02470.1 pep chromosome:ALNU02000000:3:1829249:1830415:-1 gene:OGLUM03G02470 transcript:OGLUM03G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast signal recognition particle component (CAO) [Source:Projected from Arabidopsis thaliana (AT2G47450) TAIR;Acc:AT2G47450] MEAVLRHPSLSRLKPPNPNAQRTPALSITVPFRLRLPHRRLTAAAVFQDQTNPRNPASKGGDDDEAYGEVDRIVSSRTIKNPVFAEDGSATTVTATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADAAEITALLADETLRRDPDAEDAQGRTAMHFAAGLGSEECVRALAEAGADVGRPERAGGGLTPLHIAVGYGRPAAVRALLELGAEPEAPDGQGRTPLELVQDVLAKTPKGNPATFERRLALEAAAKELEKAVYEWGEVEKVVDGRGEGKWREYLVEWRDGGDREWVRAAWVAEDLVKDFDAGLEYAVAEAVVDKREAAEGEGKWEYLVKWVDIEEATWEPAENVDAELLQEFEQRQSGVAAGGDAPPPPPVAG >OGLUM03G02480.1 pep chromosome:ALNU02000000:3:1832583:1834913:1 gene:OGLUM03G02480 transcript:OGLUM03G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLPKRPANYVPLSPVGFLPRANAVYGDRTSVIYGRVRFTWSQTYARCRRLASSLLSLGVRNHDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDARAVAGILRHSEAKVFFVDYQYVRLASDALQLVADEGRHVPLVAVIDDIDVPTGVRLGELEYEGLVARGDPAAELPSLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTMSLLMSWVVGEEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHGVTHLCCAPVVFNILLEGGDAAAKQLAAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRAQWDRLPLPERARLKSRQGVSVLSLADADVKDAKTMASVPRDGKTVGEIVLRGSSIMKGYLNNPEANGDAFKGEWFLTGDVGVVHADGYIEIKDRSKDVIISGGENICSKEVEEVLFQHPAVADAAVVAMPHPHWGETPCAFVVARDKAAGVCEDDVVAFCRKHMARFMVPKKVVVYDVIPRNGNGKVEKNLLREAAKKLAPAAVPAQKTKVKTTTTTVGGRSGEHPVAHVMAVSRL >OGLUM03G02490.1 pep chromosome:ALNU02000000:3:1837218:1841009:1 gene:OGLUM03G02490 transcript:OGLUM03G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPEMEVDARSGYCAATRTFRSRRADVPLPADPEVDVVSFLASRRHSGVVALVDAATGRRITFTELWRAVAGAASALAAHPVSLRKGHVALILSPNSVHFPVAALAAMSLGAVLTTANPLNTPAEIAKQVADARPVLAFTTRELLPKLPRAHDLRVVLLESARLPGDSSDPRIVATIEEISATTPDPARRKDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGSDKTETFLCTVPMFHVYGLVAFATGLLGCGATVVVLSKYELPEMLRSINAYGVTYLPLVPPILVAMVAHPKPLPLGQMRKVLSGGAPLGKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPDSGEALPVNRTGELWIRGPYVMKGYFKNAEATQSTLTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEVTDVAVIPFPDREVGQFPMAYIVRKKGSNLSEREVMEFVAKQVAPYKKVRKVAFVTDIPKNASGKILRKDLIKLATSKL >OGLUM03G02500.1 pep chromosome:ALNU02000000:3:1842185:1842591:-1 gene:OGLUM03G02500 transcript:OGLUM03G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSVAMRGYRNGARGAVASSAAVSAAFHPVRAAPLAGGFASDSGRQAAGIQNTYYVASSDVRRESETAVSSAFLPSFEA >OGLUM03G02510.1 pep chromosome:ALNU02000000:3:1845930:1848584:1 gene:OGLUM03G02510 transcript:OGLUM03G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVRCCFGSSSLSHHARLLLVIVALLAPRLASGCDRCVRRSRAAYYTSSLTLTAGSCGYGTAAATFNGGGFLAAAGPALYRGGVGCGACYQVRCKDKKLCSNAGARVVVTDRARTNRTGLVLSSPAFAAMARPGMASSLTELAAVDVEYKRVPCEYRHRSLSVRVDERSRGPNELTISFLYQGGQTDIVAVDVAQVGSSSWKFMTREHGPSWSMANAPPGPLQMRLVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQITDIAQEGCFPCDTHEWK >OGLUM03G02520.1 pep chromosome:ALNU02000000:3:1851967:1854778:-1 gene:OGLUM03G02520 transcript:OGLUM03G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1P2] MAGSFITGALMLILGYAYPAYDCYKTVELNKPEIEKLRFWCQYWILLAVLTVFDRVGDNFVSWLPMYSEAKLAFVVFLWYPKTLGTAYVYESFFKPWIAKYEADIDHNLLELRTRACDMAVLYFQKVSNYGQTRLYEILQYVASQSQTQTSRPQARQQQQRPPPAQTRQVNPAPQPVPAPSVPPLPPQPTQAPSAPPRNQTQDTTPVPVPPPGAESLAQPQAHAGPPQANASDGPQNTEAMQIDPSGPSTSNARQSSIPDEDTLIQEAIRMTRGRLRRRTAGSGPPPS >OGLUM03G02530.1 pep chromosome:ALNU02000000:3:1858888:1860837:1 gene:OGLUM03G02530 transcript:OGLUM03G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFRRRLTALTIPKASSYLRRTRHKKLSYSRARSASLPGRFHPVVTGLHESASALLGWTDEAPAQAGTQWIGEGVGHLARLLAGLTELLHHPQAQDPLRPPRKAAPWTERLLDDLLLLADAHGCFREALLALKQLLAEAHAALRRRDATXXXXXXXXXXXXXXAALRARRRSDRDLSRLASTLRDLSYRSSSAAATSDSGEAALAEAVGAATCAAAAASASFFAGLASASASSASRSLASPTAASPAKVAVAPVWWVADLLRWRRRTVSVAACESGAGAKETPLDECIDEEEEERKAAMDRLLRLEECVVAAEDGCEQVYRALVNARVSLLNISWVVVKVNAPKARIKIVSQEKLHF >OGLUM03G02540.1 pep chromosome:ALNU02000000:3:1867608:1872675:1 gene:OGLUM03G02540 transcript:OGLUM03G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCGSSLQAGTHPEKPPGRAAPPQRPSFSLNQHQAPGSAAAQGVGRGEVPAFAEFSLAELRAATGGFAAENIVSESGEKAPNFVYRGRLQRTRRAIAVKKFPKMAWPDPKQFEEEAKGVGKLRHRRLANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAHHIAEALDYCSSNERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDMIRGKNIQVLLDSHLEGKYSTEEATALVDLASQCLQYEPRDRPNTGKLVSILDPLQTKLEVPSYEMLGIPKHEEEAPPAPAPAPAPQPQHPLSPMGEACSRMDMTAIHQILVATHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKDFKTAIECYTQFVDVGTMVSPTVYARRSLCHLMSDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQERLWSKDASAQSPLRLKGLC >OGLUM03G02550.1 pep chromosome:ALNU02000000:3:1874243:1875976:1 gene:OGLUM03G02550 transcript:OGLUM03G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLALAGATLLIAAAGGASGQQAGVGSIITRAMFESMLSHRGDQGCQGAFYTYDAFIKAAGDFPRFGTTGNDETRRRELAAFFGQTSHETTGGWATAPDGPFAWGYCRVNEITPSDPPYYGRGPIQLTHKYNYQLAGDALGLDLVNNPDLVSSDPVVAFRTAIWFWMTAQSPKPSCHDVITNQWTPSGDDRSSGRLPGYGMATNIINGGEECGKGYSTDNAKDRVGYYKRYCDMFRVGYGDNIACRDQKPYGGG >OGLUM03G02560.1 pep chromosome:ALNU02000000:3:1876753:1878456:-1 gene:OGLUM03G02560 transcript:OGLUM03G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAVAGPSMEVEQDLPGFRFHPTEEELLDFYLSRVVLGKKLHFNIIGTLNIYRHDPWDLPGMAKIGEREWYFFVPRDRKAGNGGRPNRTTERGFWKATGSDRAIRSSGDPKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGAARAAAPPPKEDMVLCKIYRKATPLKELEQRASAMEEMQRGSSHGDYTATRASPVHDASASTGDDYFSSDDVHDSGFLIQSSSSSAAPSGSSSKNGGAGAPREAKKEEADVTVTVASATSLQLPAVSQLPSLQLPAMDWLQDPFLTQLRSPWQDQHCLSPYAHLLYY >OGLUM03G02570.1 pep chromosome:ALNU02000000:3:1889899:1890246:1 gene:OGLUM03G02570 transcript:OGLUM03G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activator-related [Source:Projected from Arabidopsis thaliana (AT5G42290) TAIR;Acc:AT5G42290] MEKLVPNTKKAMEDKEEKPKVPSSDPDLVDLVAGEQPQPQREHQPPNISEMKPLTREAYGGGMYANEGRRDPTRPRASATQSADGPEEAAVRPKHAPPPSTGDRDLDITGQSYIQ >OGLUM03G02580.1 pep chromosome:ALNU02000000:3:1892066:1892366:-1 gene:OGLUM03G02580 transcript:OGLUM03G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKEKNMGLGKKTKDAGGSRLSVGVKIGSNQEGTPRCGPTARMPPCAKLVVIV >OGLUM03G02590.1 pep chromosome:ALNU02000000:3:1892312:1900784:1 gene:OGLUM03G02590 transcript:OGLUM03G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTARGAAHWPCPAPRRRAIRCCCRAQQEPRRRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >OGLUM03G02590.2 pep chromosome:ALNU02000000:3:1892312:1903039:1 gene:OGLUM03G02590 transcript:OGLUM03G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNKHQASHSHLSRRAFPTMASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGDLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPDLVLTSGKLVSSVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMLIEASSSGRSLTTSRRPTPRRASADAPARHRSRVSRHEYSLPPETTAVRSPYTTAARSKKLTGVSGA >OGLUM03G02590.3 pep chromosome:ALNU02000000:3:1892312:1904424:1 gene:OGLUM03G02590 transcript:OGLUM03G02590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRGLVARPFDLTARGAAHWPCPAPRRRAIRCCCRAQQEPRRRLSKAAAAAPERTEEWRIDGNKPAAAARGRRRASLTAMPSLPFPSPRSRRQWKQQNFYPRCTPRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGDDRGDNGYRCRWARMGGQSHDGTTEWKETWWEKSDWTGYKELGAEKSGKNGEGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPVERPPGVYPTIDFSASSPAPPSDDPPGMPPSPLE >OGLUM03G02590.4 pep chromosome:ALNU02000000:3:1892511:1904424:1 gene:OGLUM03G02590 transcript:OGLUM03G02590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSNKHQASHSHLSRRAFPTMASLTAALATPAAAALLLLVLLAAPASAANFTCAVASGTTCKSAILYTSPNATTYGDLVARFNTTTLPDLLGANGLPDGTLSSAPVAANSTVKIPFRCRCNGDVGQSDRLPIYVVQPQDGLDAIARNVFNAFVTYQEIAAANNIPDPNKINVSQTLWIPLPCSCDKEEGSNVMHLAYSVGKGENTSAIAAKYGVTESTLLTRNKIDDPTKLQMGQILDVPLPVCRSSISDTSADHNLMLLPDGTYGFTAGNCIRCSCSSTTYQLNCTAVQNKGCPSVPLCNGTLKLGETNGTGCGSTTCAYSGYSNSSSLIIQTSLATNQTTACQKGGSGRSQFARSMWSMSVISFHMVLIIICFL >OGLUM03G02600.1 pep chromosome:ALNU02000000:3:1901325:1903068:-1 gene:OGLUM03G02600 transcript:OGLUM03G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCMLSDANYAPLTPVSFLERAAVVYGDRTAVVSGGREYSWRETRERCLAGASALARLGVGRRDVLSTRHGLIAGQVAVIAANIPAMYELHFSVPMARGVLCTLNTRHDAAMVSVLLRHSEAKVFLVESQFLAVAHDALRLLADAKAKFPLVIAIFDTGDSSSSDGGGLEYEALLRDAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAYLNSLAALLCNDMTSMPVYLWTVPMFHCNGWCMAWATAAQGGTNICVRNVVPKVIFEQIVRHGVTNMGGAPTVLNMIVNAPASERRPLPRRVLISTGGAPPPPQVLAKMEELGFNVQHGYGLTETYGPATRCVWRPEWDALPLAERARIKALQGVQHQMLQDVDIKDPVTMASVPSDGRAVGEVMLSGNTVMSGYDKDAAATEEAMRGGWLRTGDLGVRHPDGYIQLKDRAKDIIISGGENISSIEVESVLFGHHAVLDAAVVARPDDHWGETACAFVTLKDGASATAHKIIAFCRARLPRYMAPRTVVFGDLPKTSTGKTQKFLLREKARAMGSLPMQSKSKL >OGLUM03G02610.1 pep chromosome:ALNU02000000:3:1903108:1910810:-1 gene:OGLUM03G02610 transcript:OGLUM03G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLAQSHTMRAASRALRRLARRSSTGSPPCYHQSPQDYSIPHLDYTTNLASPRAINLSPWRHQRAFTSARSGAASLLFSSLAGREGEAEGEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVARGDVVSGNSTYQHELPRAAAVYGDRAAVVCGERRYTWREARGRCVRLAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSASSLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANSKLEAN >OGLUM03G02610.2 pep chromosome:ALNU02000000:3:1903108:1910810:-1 gene:OGLUM03G02610 transcript:OGLUM03G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLAQSHTMRAASRALRRLARRSSTGSPPCYHQSPQDYSIPHLDYTTNLASPRAINLSPWRHQRAFTSARSGAASLLFSSLAGREGEAEGEVLDMEAGTVRCAANYAPLTPLSFIERAAAVYGDRAAVVCGERRHTWREARRRCVRVAAALAARFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMISTLLSHSGAKVFFVESHLLDVGRAALRRLAGSTSASSLPVLLTISDDGAGARDSGCVDYEDLVRDAPSEFDIRWPVDEMDPITLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNICLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANAPAADRRALPGPVRVMTGGAAPPPRVLLAVEELGFVLYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGFHHIAVQDVAVKNSATMENVPYDGQTVGEVMFRGNTVMSGYYKDIGATKESMAGGWLHSGDLAVRHPDGYIQLKDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGANATEGEIISFCRERLPHYMAPKTVVFDDLPKTSTGKTQKFVLREKARAMGSLTKSANSKLEAN >OGLUM03G02620.1 pep chromosome:ALNU02000000:3:1911853:1912223:-1 gene:OGLUM03G02620 transcript:OGLUM03G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDQYHLDNSRRWGWERLLYKRLVVRRYNILTWTGSTADQEGPWPTSMAHIALGPLISKLTGAMCYYHEVKLSG >OGLUM03G02620.2 pep chromosome:ALNU02000000:3:1912000:1912223:-1 gene:OGLUM03G02620 transcript:OGLUM03G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDQYHLDNSRRWGWERLLYKRLVVRRYNILTWTGSTADQEGPWPTSMAHIALGERVGLPSPQVGEWTS >OGLUM03G02630.1 pep chromosome:ALNU02000000:3:1912981:1916833:-1 gene:OGLUM03G02630 transcript:OGLUM03G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGCLVLEKVEDHGGEAPGRGRGRLAQGGGGGGGCGSCAGEWRSRSETMFPIYVMGSSRASSAAAARGIVDAAGDPIWEAVKSEAKSEAEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDIRRSIRLDAQAFKDRDPACAQYSWALLYLKGYHSVQSYRIAHVLWNQGRKVLALALQSRISEVFAVDIHPAARIGEGILLDHGTGLVIGETAIVGNWVSLMQGVTLGGTGKENGDRHPKIGQGALLGAGATILGNINVGEGAMIAAGSLVLKDVPPHSMAVGNPAKVVGYKDKEDPSLTMKHDARRDYFEHVAVSFSDDKANGSVVK >OGLUM03G02640.1 pep chromosome:ALNU02000000:3:1920879:1924859:-1 gene:OGLUM03G02640 transcript:OGLUM03G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIQRLPTSLPIRSWPPLPQQNHRALPPLSIAPPSRSDRLHRRPSQIHLDRRLSLNPLVPDGRPLAQSPATTVAGKTPSADVTTGTDELAAIQEWEVSTYGGQNTWRQVTHGRGGQAATVVGGKPPSPGPEAAIDVAAELARSGGGVAEPRTATGRGMAAHDDSPRACPLLSSHCGRRPPPTPPTERKEDDDEVRRQIHCRLFLRRLLFHRRCLLFSPGRRRCAVDLA >OGLUM03G02650.1 pep chromosome:ALNU02000000:3:1925018:1929789:1 gene:OGLUM03G02650 transcript:OGLUM03G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMLATLPDVTAVLHSPSASPPSGLRAPAAVGMGMARTRFLAPRAAASAASAVSAKPAAVAPLYADRTVVRIGLPSKGRMSEQTLSLLKSCQLSVRHLNPRQYTADIPQVPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGQGSDDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLANMPEWTQERPLRVVTGFGYLGEKFMRENGFNHVSFLAGDGALESYPAMGMADVIVDLVSSGTTLRENNLKEIDGGVVLESQATLVACRRSLHKRNGVLEITHEMLERLEAHLTATGEIMVTANMRGNSAEEVAERVLSQTSLCGLQGPTISPVYRSRDGKVAVEYYAINVVVPQKSLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRKLLSELGL >OGLUM03G02660.1 pep chromosome:ALNU02000000:3:1930263:1932212:-1 gene:OGLUM03G02660 transcript:OGLUM03G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAAENWWVLPLTFLPPISGQNESAATLSAMVTSFVYLAIFACLAWAGTALLYWAHPGGPAWGKYWRARGQSPRCSIPGPKGLPVVGSLGLMSGLAHCSLAAEAARWPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRVAAGHLFGPRQVDAFAPYRARVAGGVVAALRGAGGEAAVQVRGVLRRASLYYIMRFVFGKEYDVSRGAPESGEEVEELLEMVHEGYDLLGKENWCDYFPGLAAVDPQGVGARCAELMPRVNRFVRGIIQEHRGKAIAGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHPDVQANVHRELDAVVGRSNTVAESAVPSLPYLQALLKEALRMHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAMSRDADVWDAPLEFQPERFLPGGKAHGVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWTPASGAVDLSEVLRLSCEMAVPLEVRVSARRNV >OGLUM03G02670.1 pep chromosome:ALNU02000000:3:1936460:1944400:-1 gene:OGLUM03G02670 transcript:OGLUM03G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARSIWSGSAAGEWWGKRRLGFGNGSGFVFDRFGSCRVGVSVTVSLDGEVVGLDGKPLDLARVHYHRQTTARLTTTSLPHAFSPPPLLSRTLSPSPDHDFLLIAQLLYPSPRFGAPLASAAPAPSLCRHCPSAPLPKPLSSTTEGVVEVIRGSGVVVVGVVVEVVGGAVVIVVIEVVVGVVIEVVGVVIEVVGCHHLPPPTSSAAIASSPQSQRRPLDPAASPRRLPPPRVVAPPHAMRRPPSATAWPE >OGLUM03G02680.1 pep chromosome:ALNU02000000:3:1945357:1946665:1 gene:OGLUM03G02680 transcript:OGLUM03G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARGLSCLMSPLSGKRHAGDARRSSAACICCIGPHHKPSSAGGGGGCVPCLAPHADHSVRAPLTSCCGSGGGDNNLRGRSSSTTSARTPRTPKTPCTPTARRLCGVRSRTPRRGQVGCFQSSAPAAARTPRTPTTQRACCVRGTGTAQGNAKLGRRRRWLRSTGQTPRRTARAGGDVGNGGDVKVYDTGLVEAAAEESVTKEEETSSNDEYALLCRQGFPREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAIHCMQAMVRLQMRVRARQVLTKPKEGQLLPS >OGLUM03G02690.1 pep chromosome:ALNU02000000:3:1947164:1948284:-1 gene:OGLUM03G02690 transcript:OGLUM03G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASVKVFGSPTSAEVARVLMCLFEKDVEFQLVRVDAYRGTQRMPQYLKLQPLGEALTFEDDNLTLSESRGILRHIAHKYARQGNPDLIGTGALERASIEQWLQTEAQSFDVPSAEMVYSLAFLPPNMPKQNDNGNGNGNGYGNSNGREVQVANASSKRVVAGATDGKTAASGANGNKQQQKEEEMRKVFEKSKKDLEKLLDIYEQRLEEAAYLAGDKFTIADLSHLPNADRLASDPRSRRMFEARKNVSRWWNNISSRESWEYVKSLQRPPSAAHAGNAQQQQQQQLPSAGNNYQHQQGQGQGQGQQHYRNEQVENYNN >OGLUM03G02700.1 pep chromosome:ALNU02000000:3:1951087:1955532:-1 gene:OGLUM03G02700 transcript:OGLUM03G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDDDLNRRFAGGDSDLEQRRIRRGCRSAATLERAVAPNLWLRRLNVTVPSATLIEVVVSADAL >OGLUM03G02710.1 pep chromosome:ALNU02000000:3:1957322:1958206:-1 gene:OGLUM03G02710 transcript:OGLUM03G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLQVFGQPASTDVARVLTCLFEKNLEFELIRIDTFKKEHKLPEFIKLRDPTGQVTFKHGDKTLVDSRAICRYLSTQFPDDGNRTIYGTGSLERASVEQWLQAEAQSFDAPSSELVFHLAFAPQLNIPADEARIAENERKLQQMLNVYDEILAKNKYLAGDEFTLADLSHLPNSHYIVNARSPRGKKLFTSKKHVARWYEEISNRASWKQVVKMQSEHPGAFE >OGLUM03G02720.1 pep chromosome:ALNU02000000:3:1958354:1964673:-1 gene:OGLUM03G02720 transcript:OGLUM03G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTVYGPMISPAVARVAACLLEKDVPFQVEPVDMSKGEHKSPSFLKLQPFGQVPAFKDSLTTVFESRAICRYICDQYADSGNKTLMGRKEDGAVGRAAIEKWIEAEGQSFNPPSLAMAFQLAFAPFMGRATDMAVVEQNEAKLVKVLDVYEQWLGENQYFAGDEFSLADLVHMPNTDLLVRKTNKAGLFTERKNLARWWDEVSARPSWKKVVELQNPASTDVARVLTCLFEKDLEFELVRIDTFKREHKLPEFIKLRDPNGQVTFKHGDKTIVDSRAICRYVCTQFPEGNKTLYGTGSLERASIEQWLQAEAQNFSPPSSALVFHLAFAPHLNIPQDHAVIAENEKKLQQVLNVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVSSERGRKLFTGRKNVARWYDQISKRETWKQVVKMQREHPGAFDKAST >OGLUM03G02730.1 pep chromosome:ALNU02000000:3:1965998:1968528:-1 gene:OGLUM03G02730 transcript:OGLUM03G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G17020) TAIR;Acc:AT2G17020] MCPPPPDPISSPPPPAMDSALPSAVLATILSRLDVRSLVAASAACRCLRSCASHALSFLPSFHLSEVALTHELLRPLMPPNPSLRSIRLDCARLEDAAIDCLAHPDLHELMLLNCDNISGRLLCELGATCQELRVLSLNALAERRGLPISFSDLQQLLNGCSQLESLRLALDFSMFDDPNFSHVWASASEALTSLEIGYIPMTMLLELLTVAMESQRCMHHVKEPVFFPSLQKLCLTVDFITDHLIGSLSTALPSLTHLDLQDAPIIEPTTSSDLTNAGLQQINPNGKLKHISLMRSQEFLFTSFRRVNDLGILLMAEKCSSLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSQFTDLVFHDIIATSLCLTHVSLRWCNLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRSLSCLPKLQILFLDGSDISDQALKYLGLGTCPLASLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDLSRIPGITDDGIMLLARSRTPIIELRMRENPKIGDAAVMALASMLVDGGTHGSSLQLLDLYDCGAITPLAIRWFKKPYFPRLRWLGVTGSLNRVMVDALVRSRPFLHMACRGEELGTFNWDRSSDWYRHDDDDLDELEQWILNGEPVSDTETITEE >OGLUM03G02740.1 pep chromosome:ALNU02000000:3:1980337:1983305:-1 gene:OGLUM03G02740 transcript:OGLUM03G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat protein [Source:Projected from Arabidopsis thaliana (AT5G66055) TAIR;Acc:AT5G66055] MPPSLSLHHHLLLFPFPSHVQTLNPLSASPRLHPHSKSLLPRRRPLVTSPSSFAVAAVDEFDEDFAIGDCVVFEDDAFEEPDVDLPSPAPSTTSRPRRKPAAEAGGGGGSSLVPERWRDAAEEINLTKKEKRRIAHGLRFGSRLERRTPPAVAAPDEYRAYREGRLDAELGRVARDYAEPIERSPVPDRVEAPLPPEPGARVAPRNPRLGLGVRSLDDITELFNSTEYVPGEMEDGNNPKSRRKLFTDEEKVLLNKRVPDLEAATSSKWHPLHTIAASGDFYLLDNLLKHNINVNALDKDGLPAIHKAILSKKHAIINYLLRNSANPFIHDKDGATLMHYAVQTACSQTIKTFLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRTLKTQDGFTPLELCLQLGHHARTYELIKLLKSFRLPKQHDPVQHLDDRGCSLKGINRLWYAHDNWKAKVP >OGLUM03G02750.1 pep chromosome:ALNU02000000:3:1987439:1989314:-1 gene:OGLUM03G02750 transcript:OGLUM03G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEESFLDELMSLRRDGSAPWQAPPYPGGGGGGGMMMSDLLFYGGDGGGAEARGGMDASPFQELASMAAPPPQHPHEEFNFDCLSEVCNPYRSCGAQLVPSEAASQTQTQLTPLRDAMVAEEETSGDKALLHGGGGSSSPTFMFGGGAGESSEMMAGIRGVGGGVHPRSKLHGTPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKTLEEEIGATPEELDLLNTMKDSSSGNNNEMLVRNSTKFDVEKRGSGNTRIEICCPANPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGKRQVVSTDEIKQTLFRSAGYGGSSGSTSFLNTPESKLKICSSIVSFAFVNRYGSRPCDLSNI >OGLUM03G02760.1 pep chromosome:ALNU02000000:3:1994749:1998258:-1 gene:OGLUM03G02760 transcript:OGLUM03G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSLCMQVVNNSDQDYSHLPELDLSVMLNQGTLHQSQDQLLINHTSPVRSPSDCGVRYHRCLWSRGLRTMVETSTDLEGTLDQVSGIFSLWVDRAKWTVQGIPTRPRGNNYRKGSYSAVEDPIVAAGNTAVFVRNQIPFAALRP >OGLUM03G02770.1 pep chromosome:ALNU02000000:3:2000719:2001201:1 gene:OGLUM03G02770 transcript:OGLUM03G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAARVKLGGGAMMTAEGVGGAAADCRRSAPPVSCAATPPDSRLRSPPPTRLHWFAPPGVDPPIRQGWAAGLAMARAAPPPTLLGPSYHHILTTLGTKMSSRSASEQPNRRSHKPHASDASFPLTWIGTTPSIRLRGFPLQPSPSQPDADAVTPARR >OGLUM03G02780.1 pep chromosome:ALNU02000000:3:2006710:2007801:1 gene:OGLUM03G02780 transcript:OGLUM03G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1S3] MEAATMAWTAAGVGMALVYWFVWVMGAAEVKGKRAVDLKMGSITNDKVKDKYTQYWSFFRRPKETATTEASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHREATRVHEERVADLLQAKPGQRLLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFSDASFDGAYSIEATCHAPRLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRADNPEHVEAIHGIERGDALPGLRRQDEIASIAKEVGFEVLKELDLALPPALPWWTRLKMGRIAYWRNSLVVRVLTMLRIAPKGVCEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPVESK >OGLUM03G02790.1 pep chromosome:ALNU02000000:3:2011569:2011973:-1 gene:OGLUM03G02790 transcript:OGLUM03G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVSTSADSAPDLRRLCLRPRAPSTPPPTVGSADSAASTTAHTSTGPSLQAPDGSGAPGRIRALTARSGGGNDFCDFVLCPNDCEREVILFFGQMNVIVMFLVILFCVQMIVNVIIFVICECLMKVCDQLCL >OGLUM03G02800.1 pep chromosome:ALNU02000000:3:2015198:2016849:-1 gene:OGLUM03G02800 transcript:OGLUM03G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDQMHVLSALDSAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLIGRVYYTADGASKPGSLPPNVSAAVNGVAFVGTLTGQLFFGWLGDRVGRKSVYGMTLLLMIICSVASGLSFGDTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSDATIMSEYANKRTRGAFIAAVFAMQGFGILAGGAVAIGITAIFRSRFPAPPFAADPAASTPPQADYVWRLILMFGALPAALTFYWRMRMPETARYTAIVAKNAERAAADMSKVLQVKITAEQAEMASPVDKPFTSKPFGLFSGEFARRHGFHLLGTTSTWLLLDIAYYSQNLFQKDIFSAIGWIPEAKTMSALDELYHIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAAGFFMMTAFMLALAVPYDHWTAAGNQIGFVVLYALTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIVGSFGFLYLAQSPDPAKAAAHGYPPGIGVRNSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >OGLUM03G02810.1 pep chromosome:ALNU02000000:3:2017624:2018040:1 gene:OGLUM03G02810 transcript:OGLUM03G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAAAATYRCAECGADLNLTAAQLYPEGVYFEAGNKGTLSFSWVDESRLRFAPEDKIRPFFETLNYWGIQRKRTRISCDACGRLLGYVYDDGPPAMDGTGQFGMGPSQVIPRRPRYRIKTKAVTVANPPATAPYR >OGLUM03G02820.1 pep chromosome:ALNU02000000:3:2018392:2018871:1 gene:OGLUM03G02820 transcript:OGLUM03G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARASSSLLRGVAVLRRRPPVRSIHEGPDTIDELLDRHLAKKSPSSSSGALDGDAAEAEARRRLTSSRREALGLYRDILRVTRLFEWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLISGRDAVQQALDRLAEASRRMVEAEEAKRRGGA >OGLUM03G02830.1 pep chromosome:ALNU02000000:3:2019409:2021754:-1 gene:OGLUM03G02830 transcript:OGLUM03G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35130) TAIR;Acc:AT4G35130] MATPLVLAARPARATTTTACASQNLIPATSKEPPPRLPPKRGSSKSLVVSLAAEGRMDEAVEALAAVRGPDAFLHNVMIRGFADAGLPAGALAAYRGMLEDGARPDRFTFPVVVKCCARLGDLDEGRAAHGMVIKLGLEHDVYTCNSLVAFYAKLGLVEDAERVFDGMPVWDIVTWNTMVDGYVSNGLGSLALACFQEMHDALEVQHDSVGIIAALAACCLEFSSMQGKEIHGYVIRHGLEQDIKVGTSLLDMYCKCGEVAYARSVFATMPLRTVVTWNCMIGGYALNERPDEAFDCFMQMRAEGLQVEVVTAINLLAACAQTESSLYGRSVHGYVVRRQFLPHVVLETALLEMYGKVGKVESSEKIFGKIANKTLVSWNNMIAAYMYKEMYTEAITLFLELLNQPLYPDYFTMSTVVPAFVLLGSLRHCRQIHSYIIGLGYAENTLIMNAVLHMYARSGDVVASREIFDKMVSKDVISWNTMIMGYAIHGQGKTALEMFDEMKYNGLQPNESTFVSVLTACSVSGLVDEGWMHFNLMLQEYGMIPQIEHYGCMTDLLGREGDLREVLQFIESMPIDPTSRVWGSLLTASRNQNDIDIAEYAAERIFQLEHDNTGCYIVLSSMYADAGRWEDVERVRLLMKEKGLRRTEPISLVELHSTACSFANGDMSHSQSRTIHEVSDILSRKIEETDDTRNQSYPVPVATRTTTMPNKHSVRLAVVFGLISSEIGSPILVKKNVRICNHCHHALKLISRYSGRRIVVGDSKIYHEFSDGSCCCGDYW >OGLUM03G02840.1 pep chromosome:ALNU02000000:3:2022443:2025349:-1 gene:OGLUM03G02840 transcript:OGLUM03G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23590) TAIR;Acc:AT5G23590] MAAMGQEDDDVDHYEVLCLPSGEEGAGLSLEQIEKAYRTQSRLRHPDKRPDDPNATADFQRLASSYNFLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAAATGQAVDAAEAARREDKRKAADVKRELEEFFAAKQSASSSTPPASAPGAPQDAPKTDKGKILKVSWEGGADYYNAAKLEEIFKQFGGVEDVVIKTRKSRSRGSAIVVMASKEAALSALQNHSVYNVFSVPLIVAPVQESGGVPTRSTHTPETRPSNLGGTGFNDLEASVFRKLQEAQKRKQSG >OGLUM03G02850.1 pep chromosome:ALNU02000000:3:2030546:2036939:-1 gene:OGLUM03G02850 transcript:OGLUM03G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aconitase 1 [Source:Projected from Arabidopsis thaliana (AT4G35830) TAIR;Acc:AT4G35830] MPPLTSALLSRSSSTRIPAAAAAAAVISNPAGAAASSSSPSPPPPSSRPRPASPFASGLAGRIFGGRRAAARSSSSAAAVFERRFASAAAKNSYDEILTGLAKPGGGAEFGKYYSLPALSDPRIERLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSAPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSKLGSDPNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFGFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLTGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGGGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLKLTGRSDDTVAMIESYLRANKMFVDYNQPEAERIYSSYLELNLEEVVPCLSGPKRYKLKDPLHRPHDRVTLKNMKSDWLSCLDNDVGFKGFAVPKESQGKVAEFSFHGTPAKLKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGELDETVSAAISDNDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEIAEVVKSSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPTSTYIHEPPYFKDMTMSPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAARYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLSVFDAATKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKSGEDADTLGLTGHERFTVHLPANVSEIKPGQDVTVTTDNGKSFTCTLRFDTEVELAYYDNGGILPYVIRKIAEQ >OGLUM03G02860.1 pep chromosome:ALNU02000000:3:2046135:2046569:-1 gene:OGLUM03G02860 transcript:OGLUM03G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSRGGNVDIAYSPPMDMDARKALEEIIRNYIWRVWWANSMEGVMGKRGTRGRYQEKKEIATFTRTIGVVGTPTTFHSSFHLDRDGAKSDHAYEGITNHFPCSEDDGFPRGNVVVLAVRGEAELRRHHGGDEVKRREERGSR >OGLUM03G02870.1 pep chromosome:ALNU02000000:3:2060040:2068128:1 gene:OGLUM03G02870 transcript:OGLUM03G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMDYFRSCWGPRSPAGHRVRGSDVAGRQDGLLWYKDAGQLVTGEFSMAVVQANNLLEDQSQVESGALSMAEPGPQGTFIGVYDGHGGPETARFINDHMFHHLRRFATEHKCMSTDVIRKAFQATEEGFLSLVSKQWSLKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRFVKSTGEVVATQLSSEHNACYEEVRQELQASHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEYNREPLHSKFRLRETFKRPILSSEPAIAVHQIQPNDHFVIFASDGLWEHLSNQEAVDLVQNNPRNGIARRLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNAISKANWSRGPSVSLRGGGVTLPANSLAPFSAPTVLSSTY >OGLUM03G02880.1 pep chromosome:ALNU02000000:3:2064563:2067765:-1 gene:OGLUM03G02880 transcript:OGLUM03G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT2G20920) TAIR;Acc:AT2G20920] MATATALSLSGGGGGGGGGRYPATVARRCCCAVPRSRRGPAPRRRLDLAASRADDSSPAPFEMTLEGALKLLGVAEGASFDDIMRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVVDNSIRYADVKPVKSAGSGTVPQWMQATMKNAPVTFEAPSSSSLGIQSCVYGALMVFTYASGSATSLPSTYTSPDVPGFILATGFGASLYFLTKKNMNLGKAALITVGGLAVGATVGSAVENWLQVDVVPFLGVHSPAVVVSEFILFSQLLVSLFVR >OGLUM03G02890.1 pep chromosome:ALNU02000000:3:2074032:2079906:1 gene:OGLUM03G02890 transcript:OGLUM03G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MSKGPAPFLNIGKRAKDLLYKDYNFDQKFSLTTTSNSGLGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >OGLUM03G02890.2 pep chromosome:ALNU02000000:3:2073853:2079906:1 gene:OGLUM03G02890 transcript:OGLUM03G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] MSRKPGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >OGLUM03G02890.3 pep chromosome:ALNU02000000:3:2073853:2079906:1 gene:OGLUM03G02890 transcript:OGLUM03G02890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage dependent anion channel 4 [Source:Projected from Arabidopsis thaliana (AT5G57490) TAIR;Acc:AT5G57490] LLTGVPNFFSIFYYFCNNECLIIISLQFHVVILFFSWAVLDSDCGQIPGCTRSPYRQTAHVNWPLTSLRSPLSLGFHSRLLVSSRVRPPPCADQRSRSGAGVLLLPPASSPVRGRITSLGKDEQRPSSGLTATGVKIDELFIGDIQTQHKSGKTTVDVKIDSESRVSTTVTVDEALTGLKTSFSFRVPDQKSGKLDLQYLHDHFALNSTIGLTSTPLIELAATIGTNELSAGAEVGFDSTSASVTKYNSGICYNKHDFSAAVLLADKGETLKASYIHTFNETNGATVAAEVTHKLKTKENYFTIGSSHAIDSSTLLKTRFSNGGKVGVLCQHEWRPKSTVSISAEYDPKVVSSPSRFGVAIALKP >OGLUM03G02900.1 pep chromosome:ALNU02000000:3:2080068:2081927:-1 gene:OGLUM03G02900 transcript:OGLUM03G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCAFLSAVAAANATSFSSSPESRRCRSVHRVPSRPRLPLAPPARVMGKGNSKRKAANTRLWMRLDRRGGCEMILCDKSFVARRSGLPARDLRVLGPLLSRSPSILAREKAMVINLEFVRAIVTADEVLVLEPLAQEVLPFVEKLRKHFPLKSLDVDDVSTHMHTENQDGELAQDVSCYEVEGANHELPFEFQVLDFALEAVCLSYNSTISDLNRSAIAVLDDLMKSVSTRNLERVRSLKSSLTRLLASVQKVRDEVEHILDDNEAMAHVCTARKTKGQKDLLNTILFPETRLCRTHSSIENSTGIRTCVPSDSDAHILDMLLEAYFKQLDGIRNRIFLVRQYIVDTEDYISIQLDNKRNELLGLQLTLIIASFGIAINTFIAAAFAMNIPHRGYHFVIGVPFGQFVGATSFLCMSIVILLFTYAWRNRLLCT >OGLUM03G02910.1 pep chromosome:ALNU02000000:3:2092709:2096461:1 gene:OGLUM03G02910 transcript:OGLUM03G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKGKVSGEVAVMEVGGALLGVRTRSRTLALQRTTSSQKPPEKGEGDPGAGAGAGAEYLELRSRRLEKPPPHTPPAKEKETARRASAAAAAAVRMPAAPQAAEEFEAEVEVSFGDNVLDLDGDAMERSTRETTPCSLIRSSEMISTPGSTTKTNTSMSSRRRMETSVCRYVPSSLEMEEFFAAAEQQQHQAFRERYNFCPVNDCPLPGRYEWTRLDC >OGLUM03G02920.1 pep chromosome:ALNU02000000:3:2102040:2106490:1 gene:OGLUM03G02920 transcript:OGLUM03G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFCPGAKMNRIEKAARSSEISKHSSTNGEIKQHINNIDTEEADFPLQEGGSLNSKEARALLGKVENQYGHAEEALRVFSGINVPALIPKVKMSIIRKVDLQKAQLHSSSPSLPFHAAILLLEIIYFKATALRNLGKIEEATKECSSILDVVESALPEGLPDIFGDDCNLKPTLCRAVEMLPELYKLGGFHFEAISSYRRALWSNWNLDEKTIGRIQKEFAVLLLYSGCETCSPNLLSQLDGSFVPRNNLEEAILLLMLLLRKFNLKRLERDPIVMHHLTFALSMSGQLKPLAIQFEELLPGVLHNREWSYNVALCYLAEEDDLIALNLLKRILVSGEDSNNLKELLLVSKICCENSVQSEEGTLYARRALTNLHGGCDQIEVTADLLLGISLSNQARFATTNTKRASQQREALEVLGISEKKMHGIDFRVLYNLSLENAKQRKLDTAARYAKKLLKLEAGSELKTWLLMARIMSAQRRFEDAESIVNAALDQTGKWFQGDLLQIKAKMQAAQGKFKKAVETYTQLLAVIQLRTKSFNAGISVLKGSKDDRSLEIETWYDLVLLYIRMSQWRDAELSISKIKAISPYSALAFHATGKLHEAKGFLKEALRAYSTALDLEPRHVPSLISTAIVLRRLGERPLPAVRCFLTDALQLDRTNHIAWLNLGLLYEDEGGSSALEAAECFQTAALLEETNPVEPFR >OGLUM03G02930.1 pep chromosome:ALNU02000000:3:2108159:2115415:-1 gene:OGLUM03G02930 transcript:OGLUM03G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVQNEKDSGRITSDGTAARTRPLSIQDIMSRREKKTASEAKKTKEGLEENSNGKSNHLESGRGSKSRKDVREMPLEGSKKDNSGKPGEGSKKDEMRHTPREERRKDNMRDMPREVSKKDNLKDRPKDISKIDNLKVKVKVPSKDDQRDAPKKSSKKERSSTRDDSHLVNKDKGNHNSHKLSTYTSGRVGKSRGGNHGEITARDGDATIQESQKGPGKRWIDEPVGNDRIKERSERRTDGKRKSRGFDDEKSSQVDRPTLKKQDAVQLQDPRHFDRKDGRKENAKLHHEEPRSKRRRSTSRDHYQERHDRSVSPSSREQRHSYRGHGHDYYPPYHSMDKSRRKHFETDRHRTSWNDGYSSGSYRRYESRLGGYSPRKRKTAPKYEQTTIKTASPVIWSPEKKSATWDQLPVATDLSNFVTTLQSTVGLKDSTVPVNFTTSKQDPNTTIGTILTGSNLAVDSVQLTQATRPLRRLHIENLPSLATEDMLIGCLNEFLLSSSASHIQRSKQPCLSCVINKDKRQAFVEFLTPEDATAALSFDGRSFGGSSLKIRRPKEYVEMAHVAPKKPSEEIKLISDVVADSPHKIFIAGISGVISSEMLMEIVSSFGPLAAYRFLFNEDLGGACAFLEYIDHSITSKACAGLNGMKLGGGILTAVNVFPNSTEQAFNEASPFYGIPDSAKSLLEEPTKVLQLKNVFDQEEYLLLSKSELEEILEDVRVECASLHYGQDDRFGAVKSINVVKYPASSDNTTGDTITECEDGSTKIEPKEYCGNVSCTETGAECSVLNQSTDVPDPSICEVQDPVELDTDSIPKGRDHKDLDTRGECDAPTAGDENTDQGVEADQTDSTDAQDDARGTIERGHADADPASLETSCSTAPGDGADKSGRENEQQGGAGVSESNTEKAPAVDARDNALASNTSALEAGCILVEFSRKEAACTAAHSLHGRRFGSRIVSAGYAPHDLYLQKYPR >OGLUM03G02940.1 pep chromosome:ALNU02000000:3:2115454:2116845:-1 gene:OGLUM03G02940 transcript:OGLUM03G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILWLC >OGLUM03G02950.1 pep chromosome:ALNU02000000:3:2120204:2121760:1 gene:OGLUM03G02950 transcript:OGLUM03G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIPPRDLVVVLPFLALLLPLYIYLRYSRSAKANPSLPTEWPLVGMLPSLVANIHNLFDYATALLAASGNNFEARGPPMSGLRFFVTCDPDNVRHIFINNFANYPKGEEFASFFDVMGDSFFNADGESWRRQRARVQHVMSNPRLLASMAACCRGKVEKGLLPILDRMASAGAPFDLQRLLTRFAFDVTAMAVFGVDTCRLSIDMPPLDVANAMDAVMEVGFFRHTVPVSCWKLMRSLRIGPERKLAAAQRLLRRFVAEMIEKRRVAGGACKATDDEQGGVPPPADIVSSYINDPEYVDEDGNPREFMYATFINYMIAGRDTVGTTLSWLFFNLTEHPRVVARIREELEPIASSKAGGGGMVVFDPEETKPLVYLQAALFESMRLYPPGPIERKATLADDVLPSGHTVRAGDNILIPVYSMGRMASVWGKDSGEYRPERWVTEDGKLRHVPAHRFMPFNAGPRLCLGKDISVLQMKSVAAAVAWNFDLEVVAGHAVEPKVSIVMQIKNGLMVKVKKR >OGLUM03G02960.1 pep chromosome:ALNU02000000:3:2122643:2122865:1 gene:OGLUM03G02960 transcript:OGLUM03G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDEDFEEGEEKTKLLCGRLLNPLTVVGHHHSVCPPRERVGGGGMVGPEGNGDDARARSSSSEG >OGLUM03G02970.1 pep chromosome:ALNU02000000:3:2123690:2128300:1 gene:OGLUM03G02970 transcript:OGLUM03G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSFRSVSCNGSGKNLASPSPAAGSRAPAAAPAGSKGDAVVSVERRALLSPHPAGGTARKGMRGSKRRVQWKDRHGKKLIEVREFQPSDTDDSDDEYLDTCICSIM >OGLUM03G02980.1 pep chromosome:ALNU02000000:3:2128368:2130846:1 gene:OGLUM03G02980 transcript:OGLUM03G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGGAKERRRRRKRSPAHSPSGRARATPRLSPKKAAAAAALDGDVVSAAAPLLATLLELRDSTDDLCLTVIKKKVTFDPNVTTYEAAAIPEAEEEDDEEAEPAAGDDKDEARWMLAPDCAKSEAFPLNHRYSNCAYGDNDSEYDEDEDEEEEEDEDEEEEADGLDECAIDDEDDDGLLGIGRGEDEACESLFLLPMSKTKESSGGHEAASGVTSPEAPPAPQQTRDANPVLSSVENLTQWKDAKARAATATAAPNASDKENRTTANLLPEPAIPAKKREWQVVCSDYSPSTPSKQEVSVDASLSTWLGSSGTPESNSVRSFSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSSKAGDPVTRGGFMRTRTRFGQMF >OGLUM03G02990.1 pep chromosome:ALNU02000000:3:2130934:2134407:-1 gene:OGLUM03G02990 transcript:OGLUM03G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLQHHHRSRSASSSSFARSSDTAATDADARSLAADAIVDCPFGHVNGLTRAELREAAYEVFFMSCRAAGGGRLNYFPAGESGGGDVSPTIGAGPRGGTGMSVVNSKVKRALGLKARRSSQPTTARVSSMNASSAPGSPGRAMWAMSQPSTPVSPGKGRRPMTSAEIMRQQMRVTEQNDARLRKTLMRTLIGQVGRKAETIVLPLELLRQVKLTDFADSGEHHQWQRRQLKLLEAGLIAHPSLPHDRLNAVVLRFREVMQAADARAIDTGKTSDAMQALCNAVHALAWRSAPGSKAAGGEACHWADGYPLNVLLYVSLLQAIFDLKEETVVLDEVDELLELMRRTWPTLGITKMLHNVCFAWVLFQQYVVTGQIEPDLAGAALAMLTEVAADAKQESRDPVYARVLSSILATIHDWSEKRMLGYHEWFGNGNCGAGGAMAMEGALSLALATTQIISDNAIFTSISTAETEHEDCSVGSFAGDRVDYYVRCSTRSAFTKVSFLRVWPRHGERLVLICRGNVCQILENGLGQGDSLIIDRHDDEDPGDILARLAGDTEHIALSERDAFGPVLRRWHPFPGAIAAVTLHGCFGVVLKQYLGKATVMSNELVHVLHAAGRLEKALVQIVVEDVADSDDGGKSVVREVVPYDVESIVFGFLRTWVEERLKICRECMLRAKETESWMPRSKNEPYAQSAVELMKLAKATVDEFFGIPVAVRDDLVQDLADGMEAIFLEYISFLTSCGSKQSYLPSLPPLTRCNQDSKIIRLWKKAATPCRAPVSSPRAHGHHQGQGGMASGGQNPRPSTSRGTQRLYVRLNTLHFILSHVHALNKSLSFFSRGRCSSSPSSAATARLLAPCSHFDRARAAAQSAVGHVAEVAAYRLIFLDSHHSFYDGLYVGGVADARIRPALRTLKQNLSLLLSVLVDRAQPVAVREVMKASFQAFLLVLVAGGGDRSFTKEDHGMVEEDFRSLKRAFCTRGEGVVTEEVVDGEAEAAESVVALMGQTAEQLVEELSIACELNGTASSAGQRMPLPETTWRWSRTDPDTILRVLCHRDDEVASHYLKRAFQLPKRR >OGLUM03G03000.1 pep chromosome:ALNU02000000:3:2137169:2141544:-1 gene:OGLUM03G03000 transcript:OGLUM03G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESLPLPAMENGEGKGGGEYTQDGSVDLRGNPVLRSKRGGWTACSFIVVYELFERMAYYGIASNLVIYLTDKLHQGTVEASNNVTNWSGAVFIMPLLGAYAADAYLGRYWTFVAGSAIYFLGMCLLTLAVTIPSLKPPPCAGGVCPPASALQLGVYFGGLYIIAFGNGGTKPNISTIGADQFDEFDPREKMHKMSFFNWWMFTIFVGILFSSTVLVYLQDNVSWSVGYGIPTLGLLVSISIFLAGTPLYRHKVPQGSPFTRMGKVVAAAVWKWRVPVPADAKELHELELEEYTRKRKFRMDSTNAMRFLNKAAVKEDGSPAARWSLCTVTQVEETKQIVKVIPLLATMFVPCTLIAQTNTLFVKQGRTMDRHIGRHFQIPPASLVAFVTLSMLVAVVVYDRLFVPAIRKYTKNPRGITLLKRMGVGLLLQVVAMATASLMESRRLGYARRHGLDAAAAEVPVPLTIFELLPQYVLMGVADAFLVVGKIEFFYDQAPESMKSLGTAMSLTAYGVGNVLSSFLLSLVSRVTRERGDAWVTNNLNASHLDYYYGFLTVLGAINAVVFVALSSRYRYKVESTETIDIAMDVKGDTAKKIQN >OGLUM03G03010.1 pep chromosome:ALNU02000000:3:2145105:2146046:1 gene:OGLUM03G03010 transcript:OGLUM03G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAAGAAGAARPCLSSSPAPPIFSPRAISLLRHTPIPHHRRRPLAALRTRIVLLRPLRAAASPGPAASSRPARDRVIDFGKHKGQMLGTLPPSYLRWVVAELDYGDTAAWASLAREVLDDPVYTDRVEWEHAHRFLRGDTEYDGDGEDGPLHEMADRFGWDLSDEEGWSRLDFRLLGTSYGGRIPRKGGRRQTTTTSSSLFDIGADPDGPRGKRDERRERMRLRRETQVRGAKLDMLGVNAGVKDGGVLGTPRKARTRTTGKTDILGLGRRSRGGEVLGEKVLPGSGGQGGNPFPGRQAFLDKVRKLKDDS >OGLUM03G03020.1 pep chromosome:ALNU02000000:3:2147736:2155892:1 gene:OGLUM03G03020 transcript:OGLUM03G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVSEDTIFSCMLNSAVLFFNGDTPGEEISHVSGDISFSISDCVMMWDFDRAFLILVPEWKNEGLFGLVFASTSECKESNIIFSEGPRTTLPVLAPLLIMSSRSLTLWVLLGCLRLLMRNSSIIIFAGSVGYKSEWNTSLLQEHGDL >OGLUM03G03030.1 pep chromosome:ALNU02000000:3:2151107:2154493:-1 gene:OGLUM03G03030 transcript:OGLUM03G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVYLERRNGFDEDSTSPEFSGRSAIDHQQLSIATPEVESDLGQTIYKQPLPSDEKKSRSCQSCHKSPCSCRSEVFHSDLYPTLPAKMMILEFLIRSLRHPRRTHNVSDLDDMISNGASTGSVVLGPSEKMMLDSLHSLVDAKTRPKSPSFFHSGTKMRKARSKSHIITQSEILKLISPETWEISSPGVSPLKKSTAELSMHEKMVSSDTPSMSSNQPVLSSCPSSLSAGLLQCIWKDGLPHFELSLDNPMAVYTANPTKAHDNDKPLDYVYLFHSGEQGRKDWLGNSSSVSRLVGKMKVSSSLVLNPDKSTSMETEFVLYGSPDDYLRQMQSSYGVTKGKGLAKRVADIMKPSNLNSSPKHVWKFGKSSSQQIDEMTEIPEGEQCSAKESVLKNLVADDLPTNQEIAAIVVRKQRRERRKSPVLGGWGLKFLEKAGATHPGSTEDGDVQNKKNNAGSVSVIFPRGYHGGAASKNGSPASLIGRWRSGGRCDCGGWDIGCPIRVLQNDGCGTSPQAESQSQDRKSVELSVKGAKKGPMFRLVNITDDLHIIYFDSSLSPLQCFSAGIAIIHSQAPHLYPKL >OGLUM03G03040.1 pep chromosome:ALNU02000000:3:2168673:2172297:-1 gene:OGLUM03G03040 transcript:OGLUM03G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFEADMAIREMMMFEAHTNSGPMFSDQLCIEGLSSPIAAQILDFCDDGLGDDLFAAVATTSEQFAASSEDGSSSSTATPPLCSNSNDITAVADTAFSPLLSFDSTLSAFLEQEQNPDQDTKLLPSIDETFTAPAYYPAATEANIEQFSQIMVPEHTDAPMPPMQTNRTANALLPLASGYDDECFTAALAGGYMGLDGTLYDQTGVMIPNCNVETPQVGFFNHNSTSNNGMVMDLNNFGEYQRMMEGEGLTRTYSDTDSMHGAFNNAAEMQMGENTQHMVTGCNDSPLTLPSTEGSSLEDTPYKGVRLTAEQRKEKISRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEATRSSSQDFEQYEHVVGMKGEDMLDSSNILAHLSGMNPYGYKYNSTVESWI >OGLUM03G03050.1 pep chromosome:ALNU02000000:3:2170049:2171227:1 gene:OGLUM03G03050 transcript:OGLUM03G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSLVSWSGFCSCSRKAERVESKESNGEKAVSATAVMSLLLLQRGGVAVDDDEPSSEEAANCSEVCTDWPGEQHVVISVTIQ >OGLUM03G03060.1 pep chromosome:ALNU02000000:3:2182766:2188827:1 gene:OGLUM03G03060 transcript:OGLUM03G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSILQLTLCFLCFSVFYYYHIKSKRKNPAIPVCWPLVGMLPDLLVNRHQLHDWITSFLTASQLNFRFIGPTMSSNMRFFFTCDPANVRHIFTSNFANYPKGPDFAEIFDDTLGDGIFNVDGDSWLRQRAKTQLLMYNHRFQSFVSRCSSDKVENALLPLLSHFAGTGERCNLQDVFMRLTFDMSTMLASGEDPGCLAISLPMPKVPFVRAVDYTTRVLLVRHIIPLSLWKLARRLGVGFERKMAEALRTINQFIYETIVKRRAKKANEGIEDSEDLLSSYLKDDDENADTFLRDTTMTLIAAGRDTIGSALSWLFYLLTKNPHVASKILEELDSVERATTTPDGMVTFDPDELKSLVYLHAAVCESLRLYPPVPLDHKGVVAADVMPSGHKVRPGDKIVVSIYAMGRTESVWGSDCMEFRPERWISDHGKLRYMPSYKFTPFITGPRTCLGKDMALVQLKVVAATVVKNFEIEAVPGHIVEPKLSMVLHMKNGLMVRVKRSLLGPSFSSCLDLIGCTRALYLYLQKLFVRCNGQVMAFASILQLALCFLCFSIFSYNHIKSKRKNTAIPVCWPLIGMLPDLLANRHRIHDWITSLLTASQLNFRFTGPPSSNMRLFITCDPANVRHVFTSNFSNYPKGPDFTEIFDIFGDGIFNVDGDSWRRQRAKAQLLTCRPRFRAFVSRCSRIKVEKALLPLLAHFAATGESCNLQDVFMRLTFDTTTMVVSGADTGCLAISLPEVAFARAMDDATRVLLVRHIVPLSWWKLARRLGIGYERTMAEALRACDHFVNETIEKRRAEKAKGEADGSADLLSSYINDDDEEENASSSFLRDTTMNLISAGRDASAMALSWFFYLLTKNPCVVSKILEELDSVNGITTPDGMVTFDPDELRPLVYLHAALSETLRLYPPVPLEHKGVLAADALPSGHDVRPGDKIVVSLFAMARMEAVWGSDCREFRPERWISKDGKLRYVPSYKFMTFSSGPRTCLGKDMAFVQLKAVAAAVVKNFEIEAMPGHVVEPALSIVLHMKNGLMVRVKRRHVLNN >OGLUM03G03070.1 pep chromosome:ALNU02000000:3:2212914:2214440:1 gene:OGLUM03G03070 transcript:OGLUM03G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSFSFLELLLSFLCFGFFYYYHVKSKQKNPVIPLQWPLVGMLPALLANCNHLHEWITSVLTVTPLNFRFIGPPSSDMRLFITSDPANIRHVFNTNFSNYPKGREFQEIFDILGDGIFSADGESWRRQRTKAQLLMSSPRFRAFVARYSRDKVEKALLPLLAHVAGTGDACNLQDVFLRLTFDTTTTLVFGVDPGSVAIDLPEVPFARAMDDAMTVLLLRHIVPLSWWRLARRLGIGYERKMPVAWRTMDRFVADTIAKRRAEKARTGIDDSADLLSSYINDDEEDAGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTKNPRVLHKLLQELDSVKSTTTTDGMVIFDPDETGRIVYLHAALCESLRLYPPVPMEHKGPAAADVLPSGHEVRPGDKIVVSLYAMGRMESVWGSDCMEFRPERWISDDGKLRYVPSYKFMSFNSGPRTCLGKDMAFVQLKTAAAAVMKNFEIEAVAGHVVEPKLSIILHMKNGFMVRVKRRLAVC >OGLUM03G03080.1 pep chromosome:ALNU02000000:3:2223695:2236324:-1 gene:OGLUM03G03080 transcript:OGLUM03G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLANYAINSEVNLVTGNVHLAQRHLPDSGASSPISLTHSTSQIKMLGGVGLSLLELDGGICRPGQAVVQCWVGFRQEQASAVWSSVLVARVYSSGWRACGRSSKDFIITLPHLTLIHLENPFHYLLAFLTPPHPHDEDPAAAGLIANLSTGRVAWNSVGPGRAKLTDGPQLGLRRYTRPSSRSPGPIRESASYQVAACLCVIVVFALGRAESAQRREKLPQGCALLHYHQCLKTPGPQCVITVILTCK >OGLUM03G03090.1 pep chromosome:ALNU02000000:3:2225042:2226592:1 gene:OGLUM03G03090 transcript:OGLUM03G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLLCFVEIFSILCCCIIYYYHLQTKKASASEPTEWPVLGHLFGMFANIHRFHDWATDILAGGWHNFEARAGLTGIRFFITCDPSNVRHIFTSNFANYPKGDEYAEIFDVLGNGIFNADGESWRSQRAKAQMLMAGARFRAFAARSSRDKAEKSLLPLLAHAADTGARCDLHDLFLRLTFDVTCTLVFGVDTGCLSAGLPVIPFARAMDDVLETLFLRHIIPMSCWKLMYRLEVGTERKMAVARRTIDRFVAETIAKRRADMIRQGTSNSDDLLSSFISHDDDDTSNGNDVVDETDEFLRDTTVNLLLAGRDTSGSALSWFFYILSKNPRVEEKLLEELAPIAAQKGGDGGGMVIFDASELKNLVYLQAALSECLRLYPSVPFEHKAVAADDVLPSGHEMKAGDKVLVFSYSMGRMEGVWGKDCTEFLPERWITSEGKLRYEPSYKFFSFNAGPRTCLGKELAFVQLKTVAAAVMWNFAVEVVPGHAVEPKLSIILHMKNGLAVTVKRRATMASC >OGLUM03G03100.1 pep chromosome:ALNU02000000:3:2236584:2238200:1 gene:OGLUM03G03100 transcript:OGLUM03G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGNMNLFDLFFVLQLLLSGVCVLVICYRYQRLKSMKKCSLGVVQWPIVGVLPAIVANMHRLLDGVTFLLATSQLNFQCRFWLAGFRFFVTCDPDNVRHIFTSNFDNYPKGDVFADMFDVLGGGIFNTDGERWRRQRNKAQMLMTTPRFRAFVARSSLDKVEKGLLPFLAHVADARKTCDLQDVFTRWSLDATCHLVFGVDPGCLDIGLPEVPFARAMDDVLRTIFLRHTMPVSFWKTMRWLGIGHEKRNAAARRTVESFVASAIAKHRADDESKSRQGGGGGGDKQSVADLLSSFLCDDEIAGSADADVYIRDMAMNLLVAGRDTTSSALSWFFYLLSTNPRVEQKLLQELAPIASRKPQLQQGRLFPGNGGMVTFDASEVRNLLYLHAALCEAMRLYPPVPLEHKAAVADDVLPSGHEVMAGDKVLVFYYSMGRMKRVWGKDCREFRPERWITEDGKLRYVPSNKFVAFNSGPRTCLGKEMALVQMKVTAAAMAWNFAVEVVPGHVVEPRLSVILHMKNGLLVRVKRREPVMNT >OGLUM03G03110.1 pep chromosome:ALNU02000000:3:2239470:2241644:-1 gene:OGLUM03G03110 transcript:OGLUM03G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRALLLLLLHVAVVVVLRSLPVSSADLYALVYKGCSNQSFPGGAVPPTVAALSSSLSAQSASAKFYKTSSSSSASSTSVFGLFQCRGDLSGSDCAACVSRAMSSWSEVCGASVAARVQLTGCLALYEISGFPQVSGTQMLFKTCGTGGGGGTDFEMRRDTAFAQMEASVGGGNGGFYATSYQQVYAMAQCEGDLSSGDCGQCVTQAVQHVEVECGGAPSGQVYLEKCYISYSYYPHGIPHGGGIGGQQTAKTVAIVLGGAVGLGFVVICLLFARSLVKKKEATIASCSGYLPSVLLTKICVRLALSFACRLLMGTHGATGQKALGHGGEWNTEGIVFFGSSSSGHVGCAMPSRVMREGKRR >OGLUM03G03120.1 pep chromosome:ALNU02000000:3:2263005:2263245:-1 gene:OGLUM03G03120 transcript:OGLUM03G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLIKKVEKLGQGAPPLLHHSEKLAIAYGLISGAVPSGKMLRIVRNWRICVHCHQFFKYASMVIQVIVVRHFT >OGLUM03G03130.1 pep chromosome:ALNU02000000:3:2263310:2264922:-1 gene:OGLUM03G03130 transcript:OGLUM03G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPVSPITPAQYGPSTNPTKQISTRLLPLKPSSFPRLTTAPLRERTPKSSRNRHLPISHPRRRRRGSGLAAGEPAPRRRSVLIQTYPAFHAAHNLLPSRYPPPSLQSLPPPLRPNAASFTSSLASISSSSSPPPQRCRSAACPRPSSTTAPSPPSSGPCRHPSCPAPWLAALEHAVGFMRAHIVVELGGDQNLIMWNAVLTAHTQPGDVEAVARLFHSMAELELGFELIELNGLKFLALLTACSNAGAAAAGLSFGWKKCNSTASLTLSITPVWWVRWREWDVWRMWRTLHAQHHVSQMQQCGFTRLAGCVVHHIFFREHAKTKNLARHSMKKASLQPKDHPGSETGMPATTERLKDSWGNWFITCLTWQESWDNGFRNRGVGKKVVKVGLRLD >OGLUM03G03140.1 pep chromosome:ALNU02000000:3:2268023:2270077:1 gene:OGLUM03G03140 transcript:OGLUM03G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSASTPVLGALLPSGSHSPAVSSPAVHFFADSSPTVSYHPPTISCRLTPGGSDAHDRSLGGLRRACSDGNLAALGASGDDDHHHHLPPSGKCAPRSKPTTLETIQSFTQRGGASTDDEEEEDEDDGDHESAEQELSFGKFRFSGSSTFAQVEHPLFLARGLGIDRLGSGLLSADGGSGGIGGSDGGGGGGAGGSYLVTSDNGGNRSDIEMHYKKMIEEDPCNGLFLRNYAQFLYQIKGDSRKAEEYYSRAILADPNDGELLSEYAKLVWDVHRDEDRASSYFERAARASPQNSHVLAAHAAFLWDTDDGDGPEGSSSDALGYAGFVAAAAHSSLASATT >OGLUM03G03150.1 pep chromosome:ALNU02000000:3:2273362:2276558:1 gene:OGLUM03G03150 transcript:OGLUM03G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAVVEISSDDEAIPVAAKRPNVPPVSSSHPLPEDCNGVEEGLGDPAAFVKFVASMLDDKRSARDVAAADDGDDDDCVMLDGDPDKAVLIVNEQRPGQGGPEEELQIVSEKGEIACRDFPHPRHLCVSMPFTKPTDHCHATDKNAKWTKMRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNLQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAAFQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQSTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQNIWKEALAKLASELGVSDYNIDPPGRLPSTPQPNQLHAQMRPGHQPTQATARQGVQANGGHVAAASQKRTSNGHHLPNHKQFNPGAN >OGLUM03G03150.2 pep chromosome:ALNU02000000:3:2275519:2284018:1 gene:OGLUM03G03150 transcript:OGLUM03G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLKRKNLPSSNRRGIKNHFQPISATASLQLQQYTGDRFSVPRLSPLSPVGFHVSRNVSQNQWMMKLIGVPPNVGQPVNLQEATFPRASIPRKRFRSDGSAPPVHLSTNANHLRHPAPNSVLVQPVSSAAFQTTQSQPASSAVSQNSVSAARPLRVQTTQSQPPSSAVSQNSVTAARPLRGYSPQNSFSAPVRVQSTSYHQVAPGISQGLQVQSTSYLQVDPGRAVSAELQLSQCSSLQTQGIQHQHDPSADIYQNIWKEALAKLASELGVSDYNIDPPGRLPSTPQPNQLHAQMRPGHQPTQATARQGVQANGGHVAAASQKRTSNGHHLPNHKQFNPGAN >OGLUM03G03160.1 pep chromosome:ALNU02000000:3:2285669:2289907:1 gene:OGLUM03G03160 transcript:OGLUM03G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1W3] MMSLNLAHQTGAAAAVAPAAPRTAVVAAAAGTVSAPAVAPAAAPSLQLQTQTVDPAAPAQGPDLPMAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEDSPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >OGLUM03G03160.2 pep chromosome:ALNU02000000:3:2285669:2289309:1 gene:OGLUM03G03160 transcript:OGLUM03G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1W3] MMSLNLAHQTGAAAAVAPAAPRTAVVAAAAGTVSAPAVAPAAAPSLQLQTQTVDPAAPAQGPDLPMAFQALVESLPEEQHPDVGGEERRKVGVPVYVMMPLDTVRKDGNGLNRRKAVEASLKALKSAGAEGIMVDVWWGIAECEGPGRYNFTGYMELMEMAKKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYLSLGADAMPVLKGRTPVQCYGDFMRAFRDHFAAFMGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGGYNDWPEDSPFFRREGGWNTPYGEFFMSWYSQMLLEHGERILSAASGVYTGTPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLARHGAVLNFTCVEMRNHEQPQDAQCRPEELVQQVAAAARESGVGLAGENALPRYDETAHDQIVTTAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMTESGVRDVCREQVEREAQGVAHATGSLVHEAAVALSN >OGLUM03G03170.1 pep chromosome:ALNU02000000:3:2289683:2290145:-1 gene:OGLUM03G03170 transcript:OGLUM03G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTLCKMFVGGIGPYTGDEDLRRHFRQFGYVASVHMPIDRHTGRHHGFAFIQFTCLEHLINALAYRHTGQHHGFAFIHGHTLGTRLIEPRLSRGVTRYTTVIGNHIYRIGHNCTFC >OGLUM03G03180.1 pep chromosome:ALNU02000000:3:2291359:2314337:1 gene:OGLUM03G03180 transcript:OGLUM03G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPIRLLFLFLAATPPLSSSELRRPRQLYDALSIAIHITIPNPNLAGVRGNSCAIPLVAAAAGVPAPLHSSVGASSSQPLVALSHQRRTCGSLASAAPAVARTHRRLLQVGAAARTESTGVPIGFYTPLFEVMVVSEYVRKRYVRCCLLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKNLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLTYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLVRHDSSCDRSCGGDDCMIRKGEALAAALLKGRELNKSSKEPGMWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKYSWSSASTAGGESGGRADVYPFQNGMDTVSHAMNWSLRLSAAKMADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYVPTRFCFRNIALFDLDKECEYIHSSIILQNLGVGHRSNRSIARNIHGWCTLNISSIKVTESDVGYPISVFGTVLARDEYDFRRDRDDPQLITSLVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEYHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEVEFELYLGQNDEECTLQQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >OGLUM03G03180.2 pep chromosome:ALNU02000000:3:2291359:2314337:1 gene:OGLUM03G03180 transcript:OGLUM03G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPIRLLFLFLAATPPLSSSELRRPRQLYDALSIAIHITIPNPNLAGVRGNSCAIPLVAAAAGVPAPLHSSVGASSSQPLVALSHQRRTCGSLASAAPAVARTHRRLLQVGAAARTESTGVPIGFYTPLFEVMVVSEYVRKRYVRCCLLDRILWLVCHGKLAETIGSSLGQFDLLNGAKKGYIPERIVRLYHMVRSFILLTDDKDYRIKKGKNLRPVPESVEKALCETLLSNRKQLTQGLRLLTRSKLQAESEELLTYCQLAEIETIVVWHVATCKLEQQSPHEPVESYQVATALSKYCAYLVFYNPKLLPVGNTSVRHTCKTLVRHDSSCDRSCGGDDCMIRKGEALAAALLKGRELNKSSKEPGMWTELAEFWSELLISLAPFGSVGAHEKGLGDGGEFITHLWALLYHAGIDAKYSWSSASTAGGESGGRADVYPFQNGMDTVSHAMNWSLRLSAAKMADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYQNLGVGHRSNRSIARNIHGWCTLNISSIKVTESDVGYPISVFGTVLARDEYDFRRDRDDPQLITSLVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEYHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEVEFELYLGQNDEECTLQQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >OGLUM03G03180.3 pep chromosome:ALNU02000000:3:2291359:2314337:1 gene:OGLUM03G03180 transcript:OGLUM03G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGPIRLLFLFLAATPPLSSSELRRPRQLYDALSIAIHITIPNPNLAGVRGNSCAIPLVAAAAGVPAPLHSSVGASSSQPLVALSHQRRTCGSLASAAPAVARTHRRLLQVGAAARTESTGVPIGFYTPLFEMADSSKQVGMDTDGGGAAAAAVDGQNLPVLVTNRKRELTLEGKALPVDCSGRRRIDLEKDLSMIGSPFTKHTSDKNGDNNEMRDVDERCTMDVDNTEMRDVVHERDARDVELGDMAAAKELEQGHMASVKEESELIKVVEVLHMVRCREITEYNLKLGRYQNLGVGHRSNRSIARNIHGWCTLNISSIKVTESDVGYPISVFGTVLARDEYDFRRDRDDPQLITSLVRVDGDVDKVFCKGVREHHADACLIRPVTLWLRSCLSTVILVYSPVESAIEACVAVNIQGVVSNFNGKVTAWTTEYHENKIVLYDSKVAGTKTVLGVDGSVELTRRFVAVELEDILVLNICVFEGEDEVEFELYLGQNDEECTLQQGPYKLQVKISWTAAMKKRWRERSMKLGRKFVLV >OGLUM03G03190.1 pep chromosome:ALNU02000000:3:2318079:2321931:1 gene:OGLUM03G03190 transcript:OGLUM03G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPAVMAPPPALKYQRCNNVDGLVSVEALRIIVETKACFVAVALALAYFLTASRHRLWSSSHLIKGFLFAVTQPVTRFLVSMFAMLLSMPFRNDLYLLWGILLLAGYEGVYTISGYGVSARLSDLAVHEFTRCSNIVVLGLYVRYYSNASQFRYPLWALWALMVAKFLERIVRFKIANKKYGNGNISRVADYMKHEHKLSESSNDQQSSSESSTDTEAAGEHEFSGSTSTDVEAGEQGFHMKNYNYLIVGDSKLDGEKNTTRGIYEPELKPVTHTVTVAKVWEYPGNLLGPDKKGRYKLKDVCLSFALCKLLRRKFAGVEATKSELRKARKLVFDGLITSDIDEERTFRVIRAELGFARDISFTKYPILFSCGFPVVSVVLFAATLGVSIWIIVSAILHYRVPRGSSPNLVHGKNVDLSITFGIVMVISEYVRNRYGRCYLLDRIIWLVCCGNIAEPIGNSLGQFNLVYGAKRGCIPTCVIKVYHAVRSFVLLNNDGEYRIMKGKTIRVPDEVKKAICQTLMANKTELTQGKPLPRTASMLQRYGRHPTAIETIVVWHVATCHLQKLVDESQRKSYKVATRLSKYCAYLLFYKPKLLGSVGNNSVRYTCKTLVQEAAAARGSGSGSGDDNMMMRKGKALADKLKARGRVDWTELAEFWSELLISLAPSGNVSAHEKGLGDGGEFITHLWALLYHAGIDDKFTWSTATGSTVGGDSGGTADNSTFQNGTAIVEPHTVSTA >OGLUM03G03200.1 pep chromosome:ALNU02000000:3:2327539:2332174:1 gene:OGLUM03G03200 transcript:OGLUM03G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWDRAKHALATRLCIRFPARQRAVEDAPAEDEAPPPPPPPAAAARAVPEEKLKSPSVSKVCAICLGGIRTGGQALFTAECSHEFHFHCISSNVNHGNYVCPVCRAEWKELPFQGTQPADTAYGRARVSPVNWPQDEGQMSVVRRLSRGYSGNLQQQLAVFRTPEASIFNDDENIDPQSETVDDHNAVTNSVEIKTYSEFPAIQKSERRKVFAILIHLKAPKSLDSVSSRAPLDLVTVLDVSGSMSGIKLSLLKRAMSFVIQTLGPNDRLSVVAFSSTAQRLFPLRRMTLTGRQQALQAISSLVASGGTNIADALKKGAKVVKDRRRKNPVSSIILLSDGQDTHSFLSGEADINYSILVPPSILPGTSHHVQIHTFGFGTDHDSAAMHAIAETSNGTFSFIDAEGSIQDAFAQCMGGLLSVVVKDMRLCIECIDEGVSLTSIKSGSYASQVAGNERSGLVDIGDLYADEERGFLVTLHVPAAHGQTVLIKPKCTYLDAITMENVQLDGEEVIIQRPAYCVDCTMSPEVEREWHRVQATEDMSAARSAAEDGSFSQAVSILESRRRILELHAAHSSDSQFLALIKELREMQDRVESRQRYEESGRAYMLSGLSSHSWQRATARGDSTELTTLINTYQTPSMVDMLQRSQTILPSVVEMLNRSSTVATSKSFSSYLPTSRHIA >OGLUM03G03220.1 pep chromosome:ALNU02000000:3:2350752:2357515:1 gene:OGLUM03G03220 transcript:OGLUM03G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 5 [Source:Projected from Arabidopsis thaliana (AT1G04120) TAIR;Acc:AT1G04120] MPHFPNLPLPEAAAAAAHAALLALALLLLLLRSARALASRCASCLKTAPRRAAAVDGGLAAASSVGAWYRAALACCGYALLAQVAALSYEVAVAGSHVAVEALLLPAVQALAWAALLALAMQARAVGWGRFPVLVRVWWVVSFVLCVGIAYDDTRHLMGDDDDDEVDYAHMVANFASAPALGFLCLVGVMGSTGVELEFTDDDSSVHEPLLLGGQRRDADEEPGCLRVTPYGDAGIVSLATLSWLSPLLSVGAQRPLELADIPLMAHKDRAKSCYKAMSSHYERQRMERPGSEPSLAWAILKSFWREAAINGAFAAVNTIVSYVGPYLISYFVDYLSGKIEFPHEGYILASVFFVAKLLETLTARQWYLGVDVMGIHVKSGLTAMVYRKGLRLSNSSRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLVATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLKLEEMRNVECKWLRWALYSQAAVTFVFWSSPIFVAVITFGTCILLGGELTAGGVLSALATFRILQEPLRNFPDLISMIAQTRVSLDRLSHFLQQEELPDDATITVPHGSTDKAININDATFSWNPSSPTPTLSGINLSVVRGMRVAVCGVIGSGKSSLLSSILGEIPKLCGQVRISGSAAYVPQTAWIQSGNIEENILFGSPMDKQRYKRVIEACSLKKDLQLLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFREYILTALASKTVIYVTHQIEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVCAHKEAIETMEFSEDSDEDTVSSVPIKRLTPSVSNIDNLKNKVSNNEKPSSMRGIKEKKKKPEERKKKRSVQEEERERGRVSLQVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLATAQKLFVKMLRCVFRAPMSFFDTTPSGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAVACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFGQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKLPSEAPLIIENSRPSSSWPENGNIELVDLKVRYKDDLPLVLHGISCIFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKVIIDDIDISRIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEECTDQEIWEALEKCQLGEVIRSKDEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKIAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >OGLUM03G03230.1 pep chromosome:ALNU02000000:3:2358430:2360776:-1 gene:OGLUM03G03230 transcript:OGLUM03G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWSCFGCGPSIAAEGEVRLPEPFQLPAPLPDWPQGGDFSKGTICIGELEVASITKFQSIWSCSGATFYEPQEIPDGFHCLGHYAQQNDRPLQGFLLVAREAASCQSINLKPALEKPLDYTLVWTSTDLNDDDNSDCGCFWSPSPPDGYEALGYVVTRGPKKPSLDAVRCVRGDLTDECENFKSITNMGGNCYIWNTRPCHRGMAGRGIPVGTFFCGTDTEESDIPCLKNFDSSLSSMPNLEQIKALIEHYGPTVFFHPQEIYLPSSVSWFFENGATLHKKGKEMGDVILASGSNLPAGGTNDGEYWIDIPDGDRNEYVKAGNLKSAELYVHIKPAHGGTFTDIAMWVFCPFNGPATIKVGFASFALQKVGRHTGDWEHFTLRISNFSGELSSIYFSQHSGGDWVDACDLEFISGNKAIVYSAKDGHASYAHPGCYLLGSEKAGVGVRNDAARSDILVDSSTRYKIISAGHLGDAVIEPCWLQYMREWGPTVEYNSRSEIDAVLSFLPFFLRFTAEAILNSLPVELYEEEGPTGPKEKNNWEGDERG >OGLUM03G03240.1 pep chromosome:ALNU02000000:3:2363086:2367574:-1 gene:OGLUM03G03240 transcript:OGLUM03G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26200) TAIR;Acc:AT2G26200] MAAGEQQPQQQQISASSTSSGRLVTPFWKACSVYLFKLLAGTVMDPRYSIVEGTSLFIVLFFKDRHYLDKEWGKYFEVGCGAGNTIFPLISTYPDIFVHACDFSPRAVDLVKKHKDYRPDRVNAFACDITSEQLTENVQPSSVDVVTMNGGRVLFRDYAFGDLAQERLMSKGQQISENFYVRGDGTRAYYFSNEYLMDLFSTCGFTVEEICVHNKQVENRSLDLVMNRNWIQATFILGSAGLQGPNGQHDSHTCEDEKDKLTANASQEKSTSEEIDLSEDFNNMFGTSHNLDEAQVLRIKAKGHDFEIKMLTKEHQHTCKLTGLMLWESAQFMCSVLAENPSILAGKRVLELGCGSAGICSMVAATVAQFVVATDGDAESLDLLRENTASNLEPDLLKKILIRKLFWGDKDDLKAVRELSSDGTGFDCIIGTDVTYNPDAIFPLFKTARELISNKDSDAALILCYIQRRVNEDSILSTAMAQGFRLVDKWINGIHESNGIISSWFCGNDVCSSFRNATLSILYFQV >OGLUM03G03250.1 pep chromosome:ALNU02000000:3:2369870:2372221:1 gene:OGLUM03G03250 transcript:OGLUM03G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease SBT1.2 [Source:Projected from Arabidopsis thaliana (AT1G04110) UniProtKB/Swiss-Prot;Acc:O64495] MGFRLFFVFFHLLFFCLFPVLGSAGAEDLQSYIVQLHPHEATASSGDAGEAVLASKMHWHLSFLEKSVAWEREKRPSSRLLYSYHTVFDGFAAQLTEEEAAALRELPGVASVRADRRVELHTTYSYRFLGLDFCPTGAWARSGYGGGTIIGVLDTGVWPENPSFDDRGMPPVPARWQGVCQGGEHFNATNCNRKLIGARFYSKGHRANYPTNPSDAVSLMEYVSPRDAHGHGTHTASTAAGAAVAGASVLGVGAGDARGVAPAAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATTRGVSVVCAAGNNGPSPSSVANEAPWVITVGAGTLDRRFPAYVRLGNGRILYGESMFPGKVDLKNGGKELELVYAASGTREEMYCIKGALSAATVAGKMVVCDRGITGRADKGEAVKQAGGAAMILANSEINQEEDSVDVHVLPSTLIGYREAVELKNYVSSTRRPVARIVFGGTRIGRARAPAVALFSARGPSLTNPSVLKPDVVAPGVNIIAAWPGNLGPSGLEGDARRSDFTVLSGTSMACPHVSGIAALIRSAHPSWSPAMVRSAIMTTADVTDRQGKPIMDGNGGKADAYAMGAGHVNPARAVDPGLVYDIDPADYVTHLCNLGYTHMEIFKITHAGVNCTAVLERNAGFSLNYPSISVAFKTNTTSAVLQRTVTNVGTPNSTYTAQVAAPHGVRVRVSPATLTFSEFGEKKSFRVAVAAQSPAPRDNAEGYLVWKQSGEQGKRRVRSPIAVTWVVE >OGLUM03G03260.1 pep chromosome:ALNU02000000:3:2372297:2378234:-1 gene:OGLUM03G03260 transcript:OGLUM03G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCADWVADGDGSREEAKGKNRGIRSHRSTARTRAVVPLVVSVSLTSDGRIFFMKENEGKGEEQADLKDKQGLCGLRRSTLGLRDMRGSKGKFAINLATALD >OGLUM03G03270.1 pep chromosome:ALNU02000000:3:2378339:2382495:-1 gene:OGLUM03G03270 transcript:OGLUM03G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKPTPQLELFNSMTKKKELFEPLVEGKVRMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIKRANEAGETVTSLSSRFINEFLLDMAQLQCLPPTCEPRVTDHIEHIIELITKIMENGKAYAMEGDVYFSVDTFPEYLSLSGRKLDHNLAGSRVAVDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDQKMSKSDKNFFTIRDIIDLYHPMALRFFLMRTHYRGDVNHSDKALEIASDRVYYIYQTLYDCEEVLSQCRGENISVPVPVEEQDMVNKHHSEFLESMADDLRTTDKLQQKLEQQKKKQQQQKQKQQQAQKQPEEYIQAMFALETEIKNKISILGLMPPSSLAEALKQLKDKALKRAGLTEELLQEQIEQRTAARKNKQFDVSDQIRKQLGSKGIALMDEPTGTCSGRRGIGEVGRAAGRRASDA >OGLUM03G03280.1 pep chromosome:ALNU02000000:3:2382861:2388705:-1 gene:OGLUM03G03280 transcript:OGLUM03G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRAAASLASKARQAGSSARQIGSRLALHRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRTVVIEQSFGAPKVTKDGVTVAKSIEFSNRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFAEGCKSVAAGMNAMDLRRGISMAVDEVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITITDGNTLYNELEVVEGMKLDRGYISPYFITNQKNQKCELDDPLILIHDKKVSNLHAVVKVLELALKVCAVKAPGFGESRKANLQDLAILTGGEVITEELGMNLENFEPQMLGTCKKVTVSKDDTVILDGAGDKKAIEERAEQLRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEEAAAAAAAMGGMGGMAPALTLLELLT >OGLUM03G03290.1 pep chromosome:ALNU02000000:3:2390690:2394632:1 gene:OGLUM03G03290 transcript:OGLUM03G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24010) TAIR;Acc:AT3G24010] MGRLVGPPQGPHSHRHSLGGLICKGDLWSRRTATQPNPTCAVPAGERLLPRPHRRGAVRSPVPAPSSHVQVRGRSNPSPVNAMGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEELRQEKEAATTAAAAAAAAAAAASVATGTPVAATVTASAGTSTADNTPKGGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWYHFGCVGVKEHPKGKWYCPSCIGFQKKRKGK >OGLUM03G03290.2 pep chromosome:ALNU02000000:3:2390804:2394632:1 gene:OGLUM03G03290 transcript:OGLUM03G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24010) TAIR;Acc:AT3G24010] MGFLEDFQASVEALPAMLQRNYSLMRELDKSLQGVQTGNEQRCQQEIEDIKHGLESGSITYDPAKLKFSDEAIEEQKHCVRIADEKVALASQTYDLVDAHIQQLDQFMRKLEELRQEKEAATTAAAAAAAAAAAASVATGTPVAATVTASAGTSTADNTPKGGRSGERGRGGRKKTAKVPTEQPAPAIDLELPVDPNEPTYCLCNQVSYGEMVACDNNDCKIEWYHFGCVGVKEHPKGKWYCPSCIGFQKKRKGK >OGLUM03G03300.1 pep chromosome:ALNU02000000:3:2396245:2401342:1 gene:OGLUM03G03300 transcript:OGLUM03G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRAYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGANPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >OGLUM03G03300.2 pep chromosome:ALNU02000000:3:2396565:2401342:1 gene:OGLUM03G03300 transcript:OGLUM03G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIDLSAGASARVATRDGSPVRETQSERKEYADLKTVTGSVRRSSSDRSCGSGTPMKVLIAQEMAKEGDTNQKTTSVVAKLMGLDDDAALPKPVQPSNNRRRFPDGHLSAMLARVNNQMSFDNHTGFVENMEYKDVYEVGYQPPSCEHLSNEFPQRRRPHEDHDKKRMDLVRQKFVEAKHLASHENLLQSKEFHEALEVLNSNKDLFLKFLEEPNSLFAKQSGEFHSAPTSPQRKRITVLKPTRSVELKGEKEIKRQQDQTVNGSRVERSNTHRRSHSGGGHAKAERLPQHTRIVILKPSTALTSMEHFQKNGHDNLDDSEAPVISRHLSDEINWSAQDMCHQHDESFRGCMQSNMFIRDRAYYGYAEEEGSSFSDSEIGSPTSRHSWEYIYRFSNPYFGSTLSHASCSPDSLVTREAKKHTSDRWPTVSSNEISQEKVLVRRSLSTLGEMLAMSDMKKKGAAEQVVTNTSNQLCSNEPRLAVPSKCSVDGDGESSLRKISRSKSVPVSSAAFDSLRLDDGCSNPEHEEPTSSKEEIKPKNGKSSLKGKISSFFLKRKKSGKDKLAPSPLGALGTRVPLASNASIVSSDVSQTEWTSLQDDVASENLEKFDIAPTVVPVNEPEATSSSKPPIITLEKALSFEIRNSHFDQPSPTSVLDASFEDASEKSPNSSEHAIIAKQEPLSRSLPIGSIARTLSWDDSSQEATLCSAKGDSHEQDQHEFVEKILSSVGFDNEKTSDIFVRWHSHDFPLGTGVLDQFLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQSKLWGANPCTDPQVNNARRVATGEVLVVDEVWRLVKGWLSDDDGEKHMVNAEDNAGLVADWVVGKEIQGRSWPEALRLEVDEISTEICGEVLDELVGEAFSELVGCH >OGLUM03G03310.1 pep chromosome:ALNU02000000:3:2404045:2422042:1 gene:OGLUM03G03310 transcript:OGLUM03G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIR [Source:Projected from Arabidopsis thaliana (AT5G18410) UniProtKB/Swiss-Prot;Acc:Q5S2C3] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKNDPVIPAFPDLHLSPAAILKELSSAEHDDFSIRFASAMNQMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVVRWNYTGEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKGDPEHNSLETEEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVIWNEMQTDFLPNFILCNTTQRFVRSLKGTHHSSQRSSASTGKAYFYCGSHDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSAATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRLELLSSNDESARTSEAKMSSFKSPAIPLAALVITVLHIAATHAQIPAATTTGAAVSATNPQNGGGGVSGGVGATAGGAHEPLELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFATPKNGVPIPNSNGAIPTYNGNNGIPLDTGLSGAGFLQPAKGASAAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASAADGSAQMMAFTAMMEGGEYGDTLNFFGVYKVGSAMCKLSITGGTGKFKGACGFAEVRPLIATGQHVTDGAETLLRISVHLA >OGLUM03G03310.2 pep chromosome:ALNU02000000:3:2404045:2422042:1 gene:OGLUM03G03310 transcript:OGLUM03G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PIR [Source:Projected from Arabidopsis thaliana (AT5G18410) UniProtKB/Swiss-Prot;Acc:Q5S2C3] MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQLNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQNSVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKESESLYKRVKMNRLLNIFKMIILKSSDGADNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPSDSQHGSTTFFDYEKVVRWNYTGEERRALLELIGYIKSIGLMMQRCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMANTSKGDPEHNSLETEEMRQSTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVTETQDAGLLESVLIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLSFDLLVEKLNEIIFTYYKSCAASTLLDSSFTYLCDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKLFRENIDFLLERFESGDLCGVVIWNEMQTDFLPNFILCNTTQRFVRSLKGTHHSSQRSSASTGKAYFYCGSHDLTMAYQGISGLYRDFFGIPHMFAVVKLLGSRSLPGIIRALLDHISSKITAMVPKITALQEALPKSIGLLSFDGGIAGCQKIVHEILTWEAKSEVKTEVLHDLKEIGSALYWMSLLDIVLRQIDTTQFMQSAPWLGLIPGNDGQVKHAYSDNTPFTTLLSAATNAVASSPACPNPSSFLVMAKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRVFSGLQYSYLEESINPSRKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVENATVSLYQYSDRNKSPNFLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKIACAIKPSGAPLHRLELLSSNDESARTSEAKMSSFKSPAIPLAALVITVLHIAATHAQIPAATTTGAAVSATNPQNGGGGVSGGVGATAGGAHEPLELYMHDILGGSSPTARPITGLLGNIYNGQVPFARPIGFATPKNGVPIPNSNGAIPTYNGNNGIPLDTGLSGAGFLQPAKGASAAQVQLGPDGLSLGFGTITVIDDVLTSGPDLGAQPLGRAQGVYVASAADGSAQMMAFTAMMEGGEYGDTLNFFGVYKVGSAMCKLSITGGTGKFKGACGFAEVRPLIATGQHVTDGAETLLRISVHLA >OGLUM03G03320.1 pep chromosome:ALNU02000000:3:2404462:2420665:-1 gene:OGLUM03G03320 transcript:OGLUM03G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTQKRVTHSLSLKKLLEQPKLGEHEVEYGAREKEEQSPTQLEGMVTMAAKILVGSNSSPKKMKIVPASYMGKEVFKLDDKQQHHCILNIKVQITDDKNNYQNSASDQREIL >OGLUM03G03330.1 pep chromosome:ALNU02000000:3:2422161:2427078:-1 gene:OGLUM03G03330 transcript:OGLUM03G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSEAMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFDGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFTQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNDVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLGDAVSHLAKDAHTHL >OGLUM03G03330.2 pep chromosome:ALNU02000000:3:2422431:2427078:-1 gene:OGLUM03G03330 transcript:OGLUM03G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSEAMAIYKSIIRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFDGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFTQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNDVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLGDAVSHLASFSDKI >OGLUM03G03330.3 pep chromosome:ALNU02000000:3:2422431:2427078:-1 gene:OGLUM03G03330 transcript:OGLUM03G03330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGAAGEEAEERRRRSELGSLVEAIKSSEVALKCSELDTTNCLGPFLTLGSKAGSWCVRHLLWSVESIDESEDAQEEEHSRLFPEIIALTLNISSKHLPVASKCIAEDTVHAVGDFILELLTLTESSILDKKLGTTGHVAKAAPVFLDETIKLCRVYSEAAKSDQCIMSMPKEETTEEHKETDLTSNIAQITACTIQSLCKIGTHAASSGGSQVILLNISWKGIISLLQLGKGMVEEKVNVREIILIPISVAIESLRVATERWCVPLQEVLGTAEARRAFLPIKYFLTNAVRICSIYPSEAMAIYKSIIRCALLISHSSILFCRNPQLKAASELLFELLEPSSFLLLDTLMKSTEVSPESKCQLVQYFLEKVRTGNPEYTGEVDHTMNFATLGCIFSVDSDVDNINRALLPAEFTVFLHFLNASPWLREEVVIELCKKLHLFLNILTLEDVYSYVLGCQIPALSSDDDSPKVVWQPVYTSLIQALKTFMIAASSSSAAWSEFEVFLLENLFHPHFLCLEILTELWCFFMHYAEAETSTYLINQLFLLLKTLASPEEVLAPLSALRKLARASCNILSYASSATIDQIYTMLNDSSSKSSILYLALLMEGFPFDSLSRGVKEHAVKTLFTSFDGYLQNQNYFKNHGEINLPTSSSSGIIGEIKDVTIDEKSIATMFKIATSLINLYRTSPDSSKNLLVKHISSILVIITHMRHLCAFSELEKLTLELHTLFMSSFDNSNTAISQCKPSMASFMAILGHLNTTEDDANPLCSAMWDLFHFLLRERHWALIHLAMGSFGYFAARTSFTQLWKFVPVDAALSYTCTGVDIDEDGFMSELKAFLQKEVALRDDKWSEEQICSLVSEGRMLKKLVESCLEIPLVPEPEKVSITNDVKTKKRKMPDGICEGMPENVSVTNDVETKKRKMPDRICEGMMLVQNGLKIMRSALSETDLAELKDRFAVHLSRLGDAVSHLASFSDKI >OGLUM03G03340.1 pep chromosome:ALNU02000000:3:2435890:2437597:1 gene:OGLUM03G03340 transcript:OGLUM03G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z1Y8] MDRARRRLRGRGGNNNHPRRVLADVVIRFDPTWLVTILLLSLLLLGKATGTTATVVVSGSMEPGIRRGDMLIVRERRNDGDGDDNIRAGDIVLFSTELHPVPIVHRVIELHERHDGGARQMLTKGDNNGVTDRSSLYTGQWLHDHHILGRAVGMYHQQTSSPLINSFSIQVVVVNVLKY >OGLUM03G03350.1 pep chromosome:ALNU02000000:3:2438430:2444753:1 gene:OGLUM03G03350 transcript:OGLUM03G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSVVISSWILIRQLIKSAPKGGGRQQRRAKGMEKVAVGLLPPLRFIAVLAVVSWTSFIYCHFSLLSGGLLLGHGGGDDGADPCRGRYIYVHDLPRRFNDDILRDCRKTRDHWPDMCGFVSNAGLGRPLVDRADGVLTGEAGWYGTHQFALDAIFHNRMKQYECLTNQSAVADAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMRRPEWRRMGGRDHFLVAGRTGWDFRRDTNINPNWGTNLLVMPGGRDMSVLVLESSLLNGSDYAVPYPTYFHPRSDADVFRWQDRVRSMQRRWLMAFVGAPRPDDPKNIRAQIIAQCNATSACSQLGCAFGSSQCHSPGNIMRLFQKATFCLQPPGDSYTRRSVFDSMVAGCIPVFFHNATAYLQYAWHLPREHAKYSVFISEHDVRAGNVSIEATLRAIPAATVERMREEVIRLIPSVIYADPRSKLETVRDAFDVAVEGIIDRIAMTRGGYARSLKLSTAMERTGAHGGKRLLPRLLFLAALSVTPWLLIFCLRFSVFDGAPPVSSPAARQSLVAVVSEGGEDAQRFLLEQEEQLRRLPSARDVTTTTAAAVAGDAHACEGRYVYIHDLPPRFNDDILRNCREWYQWINMCVYLSNGGLGEPVDNADGAFADEGWYATDHFGLDVIFHSRIKQYECLTDDSSRAAAVFVPFYAGFDVVQHLWGSNASVKDAASLELVDWLTRRPEWRSMGGRDHFVMSGRTAWDHQRQTDSDSEWGNKFLRLPAVQNMTVLFVEKTPWTEHDFAVPYPTYFHPAKDAEIFQWQQRMRGMKREWLFTFAGGTRPGDPNSIRHHLVRQCGASSLCNLIQCRKGEKKCLIPSTFMRVFQGTRFCLQPPGDTYTRRSAFDAMLAGCVPVFFHPASAYTQYKWHLPDEHETYSVFIAEEDIRSGNVSVEETLRRIPPDVAEKMTETVISLVPRLLYADPRSKLETVKDAVDLTVEAVIERVKKLRKDMHGAGASSRLSTALGANTNGGFQSS >OGLUM03G03360.1 pep chromosome:ALNU02000000:3:2464948:2465232:1 gene:OGLUM03G03360 transcript:OGLUM03G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRASSRSLRARHVTGGAATGGCGGLPSSDFAPYSSHITSCRRQAGADWAAMTTTRPPHLPVSRRPPLPLLPPVRSAGSPPTPALHPNRIEI >OGLUM03G03370.1 pep chromosome:ALNU02000000:3:2465127:2465564:-1 gene:OGLUM03G03370 transcript:OGLUM03G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEYLERVGGVTDAVGKRVGGVTDAVGKRVGAADGEGAAERRSTGRLFLSAGVGGEPADRTGGRSGRGGRRETGR >OGLUM03G03380.1 pep chromosome:ALNU02000000:3:2470370:2472133:1 gene:OGLUM03G03380 transcript:OGLUM03G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT2G20370) TAIR;Acc:AT2G20370] MEKVGGKPPQSRLCFLATLCAMFWVLIFYFHFFVIANEPGSAGADTAAGAAASIARAELPLPEPERVSDPAVPLPPPALVSEPPPTTATVAKVEEEKPTAVAHQEAAPRDYAFQRALKTAENKSDPCGGRYIYVHELPPRFNDDMLRECERLSLWTNMCKFMSNEGLGPPLGNEEGVFSNTGWYATNQFMVDVIFRNRMKQYECLTKDSSIAAAVFVPFYAGFDVARYLWGHNISTRDAASLDLIDWLRKRPEWNVMGGRDHFLVGGRIAWDFRRLTDEESDWGNKLLFMPAAKNMSMLVVESSPWNANDFAIPYPTYFHPAKDADVLLWQDRMRSLERPWLFSFAGAPRPDDPKSIRSQLIDQCRTSSVCKLLECDLGESKCHSPSAIMNMFQNSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDGVRKGNVSIEDRLKSIHPDMVKKMREEVISLIPRVIYADPRSKLETLKDAFDVSVEAIINKVTQLRRDIIEDHEDKDFVEENSWKYDLLEEGQRTIGPHEWDPFFSKPKDKGGDSTNPSTNAAKNSWKNEQRGQN >OGLUM03G03390.1 pep chromosome:ALNU02000000:3:2474996:2476007:1 gene:OGLUM03G03390 transcript:OGLUM03G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRQHRRQDVATVGSLEVHRPEVGNVGATALSLSCSHHAFPAASRTQAKLRSITITSRSYNHRGIGGIRDGYKEWQGFGDEGSDGGCGCGYGAAGKAGVDIVVDVRQVLEYTERDGRQAKEGFWVHEHGRAAVEYLDGGGEPSNGIGVGDGEKDGRVRDKDDERGETGRRRLAEEDNATCHGSLLLHAVDRALWPLPISRHGLGDGMDELASPRCPLSSSLHVVGARRQLLSSSLLTACCSSSPSAASHHPGEMGTEGRERDKGH >OGLUM03G03400.1 pep chromosome:ALNU02000000:3:2477931:2481020:-1 gene:OGLUM03G03400 transcript:OGLUM03G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARHLFFTLSSFLALLSCIAVCNAGDEAAALLAIKASLVDPLGELKGWSSAPHCTWKGVRCDARGAVTGLNLAAMNLSGAIPDDILGLAGLTSIVLQSNAFDGELPPVLVSIPTLRELDVSDNNFKGRFPAGLGACASLTHLNASGNNFAGPLPADIGNATALETLDFRGGFFSGGIPKTYGKLQKLKFLGLSGNNLNGALPAELFELSSLEQLIIGYNEFSGAIPAAIGNLAKLQYLDMAIGSLEGPIPPELGRLPYLNTVYLYKNNIGGQIPKELGNLSSLIMLDLSDNAITGTIPPELAQLTNLQLLNLMCNKIKGGIPAAIGELPKLEVLELWNNSLTGPLPPSLGKAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGAIPAGLTTCSTLVRVRAHNNRLNGTVPLGLGRLPRLQRLELAGNELFGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPALQTFAAADNELTGGVPDELADCPSLSALDLSNNRLSGAIPASLASCQRLVSLSLRNNRFTGQIPAAIAMMPTLSVLDLSNNFFSGEIPSNFGSSPALEMLNLAYNNLTGPVPATGLLRTINPDDLAGNPGLCGGVLPPCGASSLRSSSSESYGLRRSHMKHIAAGWAIGISAVIAACGAMFLGKQLYHRWYVHGGCCDDAAVEEEGSGSWPWRLTAFQRLSFTSAEVLACIKEANIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEAATVDGRTDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSNNLDTMVIYEYMVNGSLWDALHGQRKGKMLMDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDANMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGESQDIVGWIRERLRSNTGVEEMLDASVGGRVDHVREEMLLVLRVAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >OGLUM03G03410.1 pep chromosome:ALNU02000000:3:2482300:2484240:1 gene:OGLUM03G03410 transcript:OGLUM03G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTITRPTLNLPMLAMETIIGPTWQAYMIRSIPMDSLQLQLSE >OGLUM03G03420.1 pep chromosome:ALNU02000000:3:2488063:2489349:1 gene:OGLUM03G03420 transcript:OGLUM03G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKDAAALAGELTGDAGASLNGFFDHTGLEAAVVGEGQGEGEEEEELEWLSNKDAFPSVDTMAAEVESAAPGAPARAAVGPRTKGLRRRRRVTAPWSLAPLLSRPRQAAAAAADAGAPRRRCTHCAVDETPQWRLGPDGPRTLCNACGVRFKSGRLFPEYRPANSPTFSPLLHSNSHRRVMEMRLQSEEDASAASRVNAKARRAERAAARLAGKDKK >OGLUM03G03430.1 pep chromosome:ALNU02000000:3:2489820:2490332:1 gene:OGLUM03G03430 transcript:OGLUM03G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGRGAGRVVRELQVYSNNNSWRWGIVAAQRTVGPGHFVAVGGAASQGRDEIFMGGSGGTAPEHVVAESGEEHSRSRTGQIVVCLGWVLALRTTRAQKTNGTRSLAYPDEGGYSLPYVRSHSAYDFLCGVLPFYFLYLADSYTPFAPKYSSCFKREHSL >OGLUM03G03440.1 pep chromosome:ALNU02000000:3:2492257:2495915:1 gene:OGLUM03G03440 transcript:OGLUM03G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G24040) TAIR;Acc:AT3G24040] MQPSAPAGPAATAALPLAVFPKDTRPLPCLLLSCLLLLLLLHLLSSPSSSSSPPPPPPPSPPHHVPLPVDASSAGPAPPSLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDGAASAGDRARLARQARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYIPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPSAYKLFTGSSSVILSRKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGNPDVLRPGPAAMKLAKLLAQRLTYRNFYSQQCN >OGLUM03G03440.2 pep chromosome:ALNU02000000:3:2492257:2498576:1 gene:OGLUM03G03440 transcript:OGLUM03G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G24040) TAIR;Acc:AT3G24040] MQPSAPAGPAATAALPLAVFPKDTRPLPCLLLSCLLLLLLLHLLSSPSSSSSPPPPPPPSPPHHVPLPVDASSAGPAPPSLAFLLTGSAGDADRLLRLLLATYHPRNLYLLLLDGAASAGDRARLARQARAGPGRANVHVVGDPGFANPRGASTLAATLHGASLLLRVGQDWDWFVHLDAGDYPLVTPDDLLHVLSYIPKDLNFIQHTSYIGWRESRQIRPIVVDPGLYLSSRTDIFYATQKRELPSAYKLFTGSSSVILSRKFIEYCIIGTDNLPRTMLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSKWDSSSKKEPLLLTLDDVENMTQSGVAFGTRFSMDDPVLNHIDEEILHRQPEEPAPGGWCIGVGDASPCSVSGEDVAVQGKLGNVGEE >OGLUM03G03450.1 pep chromosome:ALNU02000000:3:2496037:2498208:-1 gene:OGLUM03G03450 transcript:OGLUM03G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRASMSSRSVLVQIPDQLPTLFEPQKAIMTPNIRAGVDDDDGGDAAPPERRLTVLALQLAVLEKAASRLGTLGFIWATVVLLGGFAITLGRTDFWCITVLLVVEGARILGRSHELEWQHEATWRSPVSSAAGLVFYWMQLLSASACVALSLVRLVHQRYGGSADARTNRSSALDIFYGLALAEALLFLVEKALWQWRVGHRRLIERVAKECHLAGACGAVAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLTAGSYDEQRLGASVLVALAESDRFADATLRRIGTSAPVTERLIEMLSWKNSSEKGVRRSAAVVVSMLTEGKLNALRVTGIPGAIESVASLLYADLDELNLLGLSILNKLAHDHDICDKIGKTKGLLDKIISYSSIASAPATAAPTDTNLMAVKQSLRVVKRLASTTGTTGKLLRRELSGIVFTVSNVRDVLQQRDGKAWSELHQLAIETLTSLTMDEEAREMIGRTGGVVSELVAMFLPATEAVGDRPADAVRVEAGEALAMLALDSRRNCGAIMTACGGGVERLVEAMSDPVVIVGAARILRNLCTYAGDEWRLPLRGVAAGATKVLRTIMAEKGKVLNIFLGLAAQILRFMEPEELRASLAAARVTDAALAKTMVQVLREYSRPSMVVPRIRRYTIELAVAMMRSDKRYVALFVELGMEDQLRRVAGTTSELECFNVFSGSVGLSRRGVSVCADVEAALELMRQA >OGLUM03G03460.1 pep chromosome:ALNU02000000:3:2503825:2512352:-1 gene:OGLUM03G03460 transcript:OGLUM03G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCILENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >OGLUM03G03460.2 pep chromosome:ALNU02000000:3:2503825:2512352:-1 gene:OGLUM03G03460 transcript:OGLUM03G03460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCILENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQVKACSLPQLPRFKELVSDLSPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >OGLUM03G03460.3 pep chromosome:ALNU02000000:3:2503825:2512352:-1 gene:OGLUM03G03460 transcript:OGLUM03G03460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DENN (AEX-3) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G20320) TAIR;Acc:AT2G20320] MELSGETASPAPEPEPPGQPEVSPRGAGMFEDAVEGSSTATESPVAVARPDGEATTESSSPSASRTLAGDEPAAAGAGEVEAYGGDESPSVSEPREESGSVDTGSAASPSVLRSEQRARGAEEQENSMAAQSGEGSPAREETKSRISSAPSSPVLSGTSTSSSSPLSQIKHQARHVRTGSFQRFRQQMQRAWKWGPIGSGGGGERSPREQLLRTTVNFEAMAHQKRQWYQIQSKSRDNKQYKEPTTLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVEHSEIVDLRKIQYHGPIPTMEPQILFKYPPGKKAEIREIDLPSFCFPEGVKARLIERTPSMSDLNEVVFGQEHLCRDDLSFIFSMKVSDNAPLYGVCLHVQEIVQRAPGILGMVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPIPRSMKEQSQVNGEDFESANELSYNDWTEYAVPVNSISGLISSSGLPSEGEIPSYLFRSWEPNSPESMSASETSDSSYIRELEKEGRHSFQQYEDCILENLGSRCDSFGRTSYTYENGHTSPDLLSTHSPISRRIVRAQSMESLHSSVKGVGSDEEEELNMKQEIVVDDEKVMGWAKVHNNEPLQIVCGYHALALPPRGGELVFHPLEHLQPVKYSRPGLSLLGLGDTISDNGLTSVEKTEVNARLAAAEEAIALSIWTTATICRALSLESVLELFAAALLEKQIVVICSNLGVLSAIVLSVMPMIRPFQWQSLLLPVLPRKLVDFLDAPVPFIAGVQHKPPDIKMKASSIVRINVDKDQAEAAWQFLNVMRSYLESLCSDLRSHTITNVQSNNDRVSLLLKDSFIDSFPSKDRPFVKLFVETQMFSVLSDSRLSTFENELT >OGLUM03G03470.1 pep chromosome:ALNU02000000:3:2519626:2520909:-1 gene:OGLUM03G03470 transcript:OGLUM03G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLLPLPPAAPPQPRRGKPGCWNTPPAPPKVCHYWKSGRCSRNPCRFLHTDAPYPAPPIAAVNTRSNTWVNPSCVAANSDGKGRAPPVQPAKRQVEAPPETPAKRRCGGGAWCVGDGFCGVARLKGHAKAVTGFALPEGSDKLFSGSLDGTVRAWDCSTGQCVRVEEMQEGEVHKLIAMGPWVLAGVRGAVKAIHPGTGKELWLRGPASQITAMLAEDEDHLFAGAEDGAVFMWRMNQEQQSFDEVAALTGHYKAVVSLAQGKGALYSGSTDGSIRVWDLDTHRCIYSFAGHSSAVTALLCWERFLLSSSDDGTVKVWQWKPDHDDLDLEVHYTHKEDERVVSMDGTYDADEKPVLLVSRGDGVVRVYDLPSLKKRGDILCDDEVRTISVRSRGVVFTGDASGEVRVVKWTSLSDAAESYLAMA >OGLUM03G03480.1 pep chromosome:ALNU02000000:3:2521228:2525308:1 gene:OGLUM03G03480 transcript:OGLUM03G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQSFKSGAARSFTTTMQRGANGAPLRFSGSREGKRRALSLPNGSQGRSLRLRLCCAPPESKWWTTPLTPDDLIEPTGHGQEELEAIWNALVEEPLRPILTAFQEIKATNGNVFRCRCFHAGITSGLLVLVIRICQFHKLAPGLCVDFVLGYVCYRMSVLAAELKRNGKANNICARIQFVIMLLLFWANNPIKDSCFYFTQLIWYFALHVYSCAVFYEYIGVKHPARYLEAMFNTILTTNGGLMKVLKFMFLGQE >OGLUM03G03490.1 pep chromosome:ALNU02000000:3:2528445:2530563:-1 gene:OGLUM03G03490 transcript:OGLUM03G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERDERVVSMDGTYDADEKPVLLVSRGDGVVRVHDLPSLKKRGDILCYDEVKTISIRSRGVVFTGDASGEGFLHPQLRSRKFPKTATTTQYSSRNNPMVPSTGVMFAKLNADTSEIKFTEKQGDDTEDDKKKVNGSMIIPRVWLSLSDCWEKMKVSIFEFMIDTSGKKEAIYTVYSYIAIGIVLSLNCFWKVWREASNSDEKPSGFKAFFITIVCMVGKKLYDSLKTTK >OGLUM03G03500.1 pep chromosome:ALNU02000000:3:2531349:2537456:-1 gene:OGLUM03G03500 transcript:OGLUM03G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G09890) TAIR;Acc:AT3G09890] MAVPGRRDDLVDDDGIDEEYMGPSDDEEAQLPDELFRLADAAQSGNVAALRAALDNYSGNIDDPIEDGDTLLHIACLYGHLPCVQLLLERQASLECKDEEGAIPLHDACAGGFSDIVQYILNFAANIDGCVTRMLNTVDSEGDTPLHHAARGEHLGVVDLLLKAGACAKKENTYGQVPAEMADEGTEVRKLLIQEQVEASTHTSN >OGLUM03G03510.1 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRQVVLNLHSCDGVMKYEPFLINLTITFYRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMSNQIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >OGLUM03G03510.2 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGKYFCAKCNFYDDDVSKNQFHCDGCGICRQVVLNLHSCDGVMKYEPFLINLTITFYRTGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >OGLUM03G03510.3 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVGVPVQLSALSATKNRMYNRIAPIVGHAWVNISAQNATFMMTITGGAENFFHCDKCGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >OGLUM03G03510.4 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMSNQIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >OGLUM03G03510.5 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQENTIQITLHSGCSIADASDLSEEDDMDPMQRLWDDIERAIPHIGTQVPRMQLLQHPADKSRPCCRVLQSLNSEEQ >OGLUM03G03510.6 pep chromosome:ALNU02000000:3:2539706:2544240:1 gene:OGLUM03G03510 transcript:OGLUM03G03510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTRVGDARPARLLYLLRHIKTKRHRMLRDPLSPSFFSSSLNRAAPRLPKYITVGAAVRRAVRAAGAGRARARSLGQSVSLREERGGPWQAWRTTRCRPSAAAAAAAASCRGREIIGLTGGRRTQVLRATIPENSVSGSSVRGKLREMEMDLAVEQYGCVHYRRKCKIRAPCCGEIFDCRHCHNEAKDSLEVSISDRHEIPRHEIKLVICSLCNKEQDVQQDCSNCGACLGCCYSYVLKDSHHCVERAMHHNCPVCFEYLFDSTKDISALHCGHTIHLECLYEMRSHQQFSCPVCLRSACDMSHAWQKLDQEVAASPMPVIYQKKMIWILCNDCGTTSNVQFHILGHKCPGCSSYNTRQTRAAPAAACSRV >OGLUM03G03520.1 pep chromosome:ALNU02000000:3:2544737:2548033:1 gene:OGLUM03G03520 transcript:OGLUM03G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPASSYDCSFKILLIGDSAVGKSSLLVSFVSASHIDDEIAPTIGVDFKIKFLTVNGKKLKLTIWDTAGQERFRGITSSYYRGAHGIILVYDVTKRESFTNLADVWAKEIELHSTNKECIKMLVGNKVDKFIVSLQHLIIDSIWCNYISEVDNFRVQLL >OGLUM03G03530.1 pep chromosome:ALNU02000000:3:2554358:2555861:-1 gene:OGLUM03G03530 transcript:OGLUM03G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRNIAVGSHQEVYHPGALKAALAEFISTLIFVFAGQGSGMAFSKLTGGGATTPAGLIAAAVAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGLLYWIAQLLGSTVACFLLRFSTGGLATGTFGLTGVSVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWESQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >OGLUM03G03540.1 pep chromosome:ALNU02000000:3:2564669:2565891:1 gene:OGLUM03G03540 transcript:OGLUM03G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRG >OGLUM03G03550.1 pep chromosome:ALNU02000000:3:2568887:2573014:1 gene:OGLUM03G03550 transcript:OGLUM03G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase family protein with Rieske [2Fe-2S] domain [Source:Projected from Arabidopsis thaliana (AT3G44880) TAIR;Acc:AT3G44880] MRAPAAHLSPASSAVPSRNHGLLLHLRFLLSSSVSHLSARENSNPPSGCWFSCQKQAILPVPVSSRLPPPPSCYYSVLLEEHWSPRLPQSPLTTPGASYKNRHAKNLQNHTETSDLRGFPSESTKMPVMAPTASLLLSPRPLPASRRVPSFPALSASGRLRLRRARADTRLRVAAPPSVPGEADQAPGETEPSTSSADEKFVWRDHWYPVSLVEDLDPSVPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAAPEGPEAKAVRSPKACAIKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFEDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLPFKMESSGAWGYSGSNSGNPRISATFVAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLSASKESSADINQQYTKITFTPTQADRFVLAFRAWLRKFGNSQPDWFGNPSQEVLPSTVLSKREMLDRYEQHTLKCSSCKGAYNAFQTLQKVFMGATVAFCATAGIPADVQFRLLLAAAALVSAAVAYAFYTLQKNFVFVDYVHAEID >OGLUM03G03560.1 pep chromosome:ALNU02000000:3:2573620:2576521:1 gene:OGLUM03G03560 transcript:OGLUM03G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKVWWCLLAASLMALEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >OGLUM03G03560.2 pep chromosome:ALNU02000000:3:2573620:2576521:1 gene:OGLUM03G03560 transcript:OGLUM03G03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT5G04440) TAIR;Acc:AT5G04440] MAASLRSPPPFPAAAFRRRRAVVRASSSSSSSSSAVSSAPKARFVARRSESTFVQQLARPLAEYMSLPASQYSVLDAERIERVDESTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCISLLSCKLEGSPLVEAQNDKFSASMVNKVFCNSSMSDSTSQQLTSDTTIEVTIDIPFPFRALPVEAIESSGRQVLEQLLRVMLPRFLKQLVKDYQAWASGDSSRKPLGTGEI >OGLUM03G03570.1 pep chromosome:ALNU02000000:3:2577136:2598145:1 gene:OGLUM03G03570 transcript:OGLUM03G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36810) TAIR;Acc:AT2G36810] MARSVASSSSISTMPSSSAAAAAAALEAVQVLVTSLADESPVARDAALAALREIAPMNPLLVLDCCATVSRGGRRRFGNIAGVFLVMASAVKALDRSDAEREFLRKLAKIATAEIVSSKELNVDWQRAAASLLVAIGSHDPDLMMEELFLYFAGPTSALPAMLQILADFASAEAFRCWCQAAWQYIGDAPSELPFDTDVMSFMNSVFELLLKVWTGSRDLKVRLSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDQEIAFVASHSLHNLLNASLLSESGPPLLDFEELTVVLITLLPLASANTSKFEHSYVSKGLKTYNEIQHCFLVISSAYPEDLCMFLLNLIVVMASHCYLSGHPAELAVEFLVRHSAITDDDLNDPNTLKNEYFQDKRFEMKISLSGLSELRAVCEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATICKCITELCRHKLSQTNPLYTEFNASNEIPNPEDLFARLLVLLHNPLARGQLATHILMVMCYLGQLFPRNLSLFLQDEVPKMKAYIGDPEDLKQDSTYQETWDDMIINFLAESLDVVNDSEWVISLGDAFARQYDLYATCDGHSALLHRCLGMLLQKVDDRIYVREKIDWMCAHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILENAGQSALQRFLSLFSLGAKVEDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRFLHVQHPTAKQAVITAIDLLEPRLPMETRNRVMKATLGFFALPTEPSNIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSAEHQRRRGCAAVNEVLVKFRNLCSGGFGVLGSYPTFTLNKQIDQAAPRSLSTAFVLPTRDSLSLGERIMAYLPRCADTDAEVRKVAIQILALFFNIALSLPKKKASVNDIDLESSYSALSSLEDIVSIIRRVGEASIDQAEVFHRVVSSLCVLLSKDELVVLLHSCTLAACDKVKQSSDGSIQAIIMFIIRRGKELREADVLRTTQSLLSSAVSLTNKESRQEVLNAISCLAENTNHTVVFNEVLFVAGRDICTKDIARIRGGWAIQDVFHAFSQHKVLAILFLEYILSILHKEPVATNDSEKGEITSESSADDCILQATMFALNAFLRGGGKIGKQAVEQSYPSVLSALILKLGSLHGLAELGRNELLRSLLIAFQSFCDCVGDIEMGKILARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCSILSKALNKNQRAEREAAAAALSEYIRHSEKEPILLDQMVLELCQHVSDDSPTVRSLCLRGLVQIPESCIPKYIQQVLGVILALLEDTKESVQLTAVQCLLTVLNVSEQDAIDPVLINLLVRLRNLQVSMNTKMRSNAFAAYGALSAYGVGSQQFLEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSSLLSKKYFTSDRRSDYEDFIRDLTRQLCRLSPARVDSYFESAIQAFDAPWPVIKANAVCLVSCMLSFLDDQRFLAPYFSQVFAILVGRLSQSPDAVVRAASSSALGLLIKRSNVVKTLTPKFDRADSSQNFQHGDTRTKTSSEPQQETIDRPNDTQREQ >OGLUM03G03580.1 pep chromosome:ALNU02000000:3:2614982:2616186:1 gene:OGLUM03G03580 transcript:OGLUM03G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLGHSRRFLNLIVGMSGVKSLCCIDLMHQPLFNPTTPTTQPPNGNGSQSVPQTPAALMMEQFVVPASSFSFQASPSASNYDQRKIECFPLTGRQVICTDQLGRTFHCDRRGAMSIPCLHKPKSMPLSLFVPNPDVDNDKDLNRWDWGSSLFVIERFPKSEVSYQVEAFVYRHPSPARYSRTWHCELLPPPPYLREPNKYNRRLEICSYAALGSSSICISVNGIGTYSLNIATQTWEEVGKWTLPFHGKVEYVPELNLWFGLSTESHHLAAADLSSLELDSHPQLLDLGRSFTCRGNERNARILSLSVWALASSASQGELGDEELSSQNCITILTGVEVVPRVPNANVNSNSSGSVSSNGISELQMIPHKSRCHTSNGTIVHTVF >OGLUM03G03590.1 pep chromosome:ALNU02000000:3:2629663:2641966:-1 gene:OGLUM03G03590 transcript:OGLUM03G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVPASVALKDVYAQWVPVDRIITTNLWSAELSKLAENAFLAQRVSSVNAISALCEATGADLTEVATGAAQRLGAGSTAAADAASCARQLRLERLDGDAELRENGDSSNVSKI >OGLUM03G03600.1 pep chromosome:ALNU02000000:3:2645072:2645884:1 gene:OGLUM03G03600 transcript:OGLUM03G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLNKPKRDPISLFVPNAVDGDGDDGGSIFVMDRVPKPEIGSRAQTSDQFEAFIYRKNFVDCQLLPPPPYLRDYKHCESRHKINAYAVVDGGSQICISVEDVGTYCLDTASHTWSQVGEWTLPFDGKLEYVPELKLWFGFSAGAQHFAAADLSSMDCQSQPQLVGAWKELEPPMEWRETYDSQLVNLGSGRFCIARFFQTKREGCYDEDDVYLWQDVTALTCLEVKPYVHDGSYSYSGSGSRNGEVKLEMHTHKSLCHMTERFGIDDVL >OGLUM03G03610.1 pep chromosome:ALNU02000000:3:2647377:2650006:-1 gene:OGLUM03G03610 transcript:OGLUM03G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIHRTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGEKDKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >OGLUM03G03610.2 pep chromosome:ALNU02000000:3:2647377:2650398:-1 gene:OGLUM03G03610 transcript:OGLUM03G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDPHNGSSTLNGGTHQSPQIIHESRGRSLYLAAPLHSFLPPPPLYSRHHHSAAAACFCFLPLLTSPSPNSKAPVARGTVDSPLSTPLLRGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGEKDKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >OGLUM03G03610.3 pep chromosome:ALNU02000000:3:2647377:2649256:-1 gene:OGLUM03G03610 transcript:OGLUM03G03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTSKVVLGCVAFGIFWVLAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAIASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLCYFWKYLSVEKDQEGGQPAGPEVVADDEVTSHRFTPARMSHVSSLNPDDMDCISEPIIRSNSVRSTSANENLRSRSVNSEADIQLAIKSLRASSMSHEMVEVSTVTDRRDEGASSRKFTRTASQQRSVIIEDSPPSPASNGEKDKEDEVAEKRWRVFVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHDSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLSFWSHLRFGVPSTIVVTAIGLLIVTSY >OGLUM03G03620.1 pep chromosome:ALNU02000000:3:2672303:2676510:-1 gene:OGLUM03G03620 transcript:OGLUM03G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQRQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNGEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >OGLUM03G03620.2 pep chromosome:ALNU02000000:3:2672303:2676510:-1 gene:OGLUM03G03620 transcript:OGLUM03G03620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSPKIPRRSPDDSKDKDSDRNRGRDEKNDWDSSRTYGSETDCKEERCDTNKRKGSAMGEDVGDDSRSVDRSHETEVHVFNDKQDKAVEIKNILHDGVGQSDYGQRQLGLDNERRNGTVDKSRVDAHIDDKLGSGRDRNWTGKTQEPEGSVDYLRSCKWQDSKEASDSEWKNAQERQDGGGFHGRVGYRRDFRGRSESTRGSSTYGSRYDTSDSIEIRPNNSLDFGREGSVSGRYDVGVGAHRDVTYGTNGDKVTNSEPDQSGSASMISQFPQHGHKGDRPSRGGRGRPNGRDSQRVGVTLPIMPPPFGPLGLPPGPMQHIGPNIPHSPGHPLLPGVFVPPFPGGPLLWPGARGVDVNMLSVPPNLPIPPPVAGEHSFTPGMGAGPNIHLNQFGSGIGAPTNMSGLSFHQLGTQSREMVHGKPPVGGGWTPNRNSGPTRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLRQHVLSPEFFGTKFDVILVDPPWEEYVHRAPGITDHIEYWNDTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKSATPSLRHDSHTILQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIADEPTDGSTKKPEDMYRIIEHFALGKRRLELFGEDHNIRPGWLTLGKGLSYSNFNKEAYVKNFADKDGKVWQGGRNPPPEAPHLVVTTPEIEGLRPKSPPHKMNALPWYGSNKMMQTVVGTRSVFPAYTGDKIRNEAQVGKRRSKFEP >OGLUM03G03630.1 pep chromosome:ALNU02000000:3:2677864:2682156:1 gene:OGLUM03G03630 transcript:OGLUM03G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHPELYLAAAAMKFITMILALLMVMKQRKRCSSSSSSCCLKLSSFLLSCLSTSCTSLPFPPAPAAGRCSTHSRSSATMCSPSSRTRATSRLIVSMSRLSMYVPFAAASSCTSARRAARPEKNRSPSHAGDIIGNAANAARMCTPMQAPTTATTATAVTKDTDSARLSRATLLIRWRAFFLPASRPLSRSPEPACTSRSLPCDAASAVNGCPSAAETSARPDTPTSEASLRSVCLSGR >OGLUM03G03630.2 pep chromosome:ALNU02000000:3:2678332:2682156:1 gene:OGLUM03G03630 transcript:OGLUM03G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHPELYLAAAAMKFITMILALLMVMKQRKRCSSSSSSCCLKLSSFLLSCLSTSCTSLPFPPAPAAGRCSTHSRSSATMCSPSSRTRATSRLIVSMSRLSMYVPFAAASSCTSARRAARPEKNRSPSHAGDIIGNAANAARMCTPMQAPTTATTATAVTKDTDSARLSRATLLIRWRAFFLPASRPLSRSPEPACTSRSLPCDAASAVNGCPSAAETSARPDTPTSEASLRSVCLSGR >OGLUM03G03630.3 pep chromosome:ALNU02000000:3:2678332:2682156:1 gene:OGLUM03G03630 transcript:OGLUM03G03630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHPELYLAAAAMKFITMILALLMVMKQRKRCSSSSSSCCLKLSSFLLSCLSTSCTSLPFPPAPAAGRCSTHSRSSATMCSPSSRTRATSRLIVSMSRLSMYVPFAAASSCTSARRAARPEKNRSPSHAGDIIGNAANAARMCTPMQAPTTATTATAVTKDTDSARLSRATLLIRWRAFFLPASRPLSRSPEPACTSRSLPCDAASAVNGCPSAAETSARPDTPTSEASLRSVCLSGR >OGLUM03G03640.1 pep chromosome:ALNU02000000:3:2681393:2683631:-1 gene:OGLUM03G03640 transcript:OGLUM03G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATFRCFGGCVKPDDQQVHEPKKVVAPSSSFDFREEYTSAFRTESYNDFWARVLDIALAHGAALVPRHGGGGGCAASKRLPSYRLFAEHLLEPDQRAVAAALASPRGSRLRPDVRGLLAAYYAETANASFLCSHLLKDIEHIRLRYRPLKHTLRKLASDVGVSGLADVSAALGQPFTALAASQGRLREVQAGSGDLLRGLDAGRKKARHRIRSVARLRRALSVSFVTAVAVVAVVGACIGVHILAAFAAFPMMSPAWLGERFFSGRAARRALVQLEAAAKGTYILNRDMETISRLVARVRDEGEHMVALLRLCVEHRPAAGAGGKGRLVQEVLRQLSKNEESFRQQLDELEEHLFLCFMTINKARIMVMNFMAAAAR >OGLUM03G03650.1 pep chromosome:ALNU02000000:3:2689186:2690105:-1 gene:OGLUM03G03650 transcript:OGLUM03G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Mesoderm development candidate 2 ( /.../ro:IPR019330); Has 31 Blast hits to 31 proteins in 13 species: Archae - 0; Bacteria - 0; Metazoa - 5; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46000) TAIR;Acc:AT2G46000] MARTAWRCALVILAGVLLCAAVETAVAAKRVSIPDDLRDVVDDEEDDDWRHWGTAAPPRDDGPPPDLAGMDPAALQAELLRRHAGPSFGFVKLRLGVRRSQEEVMGIATRWTNVLRTGSVAAKFVAVDFGTLMFTMDRGQDILESSDNWVTLFKVKEFILSQPEAYEFKIGNQAFRRPGDPPLDEVVEMLQKQKSTMLSQDPGSQQYKSKVEL >OGLUM03G03660.1 pep chromosome:ALNU02000000:3:2692697:2694022:-1 gene:OGLUM03G03660 transcript:OGLUM03G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVEQPQPQPQPQREEEEGQPGVGGGVERCGRHPSQVFTGVCSTCLMERLSSVRNPAEEEEEEIVEVGTADAGEGSSADHGKLRKTLMLLFQLDDSCSGSAVAAAHPADAKDPQVADFEVDPGGGNRGAKWKGGAWLRSILPRKGMRWSRRKEPPRPPRPRPVDPDGAGDNAQVERKPSFRRSCEWMVCREPVRGSGSLEPPRHSWDGSMVGRALACSFACLEEPQPPPDGERRAQRSNAEEEAGESRAGVAESRNGDHLADACGEGRHLSGRRNCSDTGPEMTMSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKDTRRGRNGETGDMDCEIQPGRNGHGSVRASQGSFRSSQAVANGDVHNFRTDWLRNKECKIGRSRSVHYTSPGNLDNGMLRFYLTPMRCARTANRGRRRNSRLFSRGLFGFI >OGLUM03G03670.1 pep chromosome:ALNU02000000:3:2704341:2707789:1 gene:OGLUM03G03670 transcript:OGLUM03G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSRGGGGGAAPRPRRRSDPGDSRDLSVATTTESYNSERSVGSGGGRGTAFLDAFRSCFVSGEAQARSPETSSLSDDFHPSQQLSQSISSQGSSSGSNFEMRRSMKGLYNPMHRNSSGKEIPGSTQFSLPQIQKATKNFSPNLKIGQGGSGTVYKGQLNDGTLIAVKRAKKNVYDKHMGREFRNEIETLQCIEHLNLVRFHGYLEFGGEQLIIVEHVPNGNLREHLDCVNGKILEFSLRLDIAIDVAHAVTYLHTYSDHPVIHRDIKSSNILLTNNCRAKVADFGFAKLAPTDASHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELITGRRPIEPRRAIVERVTAKWAMEKFVEGNAIQTLDPNLEATDAINLAVEKTYELALQCLATTKRNRPSMRRCAEILWSIRKDFRELDIPTASLN >OGLUM03G03680.1 pep chromosome:ALNU02000000:3:2710495:2713093:-1 gene:OGLUM03G03680 transcript:OGLUM03G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTTKKAEAEAEARGGTRGGHQKIPPSPRRGEAKRSSPPKFPNPPPLPPPPPCASAATPRASSPPPPPPPPPPSRRPXXPAASAAWCHAAADDCAICDLTRSASPQVVAPDAIKQKGHIAGRAPEPELRSDPRVGLAERTPVPEDQGRDIDDGPPAKRSLTFTDAAARLEGSGNVGAGVATEPAARVGAPVANGAVSGQEDRAATCLADESAAELIATRVTSLVTGATAEPEVLKGASFANTNVTEPRVSERVPLVREAATEPEDEVSMREVTEGALPDGEGAAKLEITGGLSRESADKMEVTKGISLVTEASADSELAQRVPTEPGVELSLPEVTERVPVVTEDFTELGDTFSGLHITGFASLDNEGSVEQEVTGSGSLVNEATEMEVKEGTCIFTRVATELGDTGRVSACSGDGDIALDEPRPPDCVSEVANVNVGNAGEAVASKVQPFRDNAESVGGSINSTGNGHVSSKSPTADEAAPPGGCTDTPSVSCLSDIVARSIGKSGRTDIICYVRRRGKRKLEMVEVKEENVEMDDSAICDQYDDKVASERTGPCESVTSTAVSVEIKIADIKRELEDNSTASKGKKKRAKRFQCEIDYCRMTFKNRAELSVHKKNTCTVKSCGRHFRSHKYLRRHQSIHNDDMPYKCPWEGCSMAFKWSWDRGEHFQVHAGKRPYKCTTPGCSKIYKFVSDFTRHKRRCKPQR >OGLUM03G03690.1 pep chromosome:ALNU02000000:3:2714685:2715317:-1 gene:OGLUM03G03690 transcript:OGLUM03G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGEQEFMAEMAPVMSSPGQQEAVASPTAAPAAARPYYGCVFCKRGFTTAQALGGHMNIHRRHRHRAMPSRRPTATGTTSMVSRDDVDCYNQHRYLEYSPPPPTPAPPPVTSPPMSSSFAATSYAGGTATVAGVDGEAMRAAGSSDSHIRELSLLGGADSSTDRDHDLHLRLGRHGRGGDGSPRTPEGSPERKPDLDLELRLGRRPRH >OGLUM03G03700.1 pep chromosome:ALNU02000000:3:2720044:2720953:1 gene:OGLUM03G03700 transcript:OGLUM03G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVGGVPGSPCGACKFLRRKCAAECVFAPYFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPGDRSEVAATVTYEAQARLRDPVYGCVAHIFALQQQVITNS >OGLUM03G03710.1 pep chromosome:ALNU02000000:3:2723659:2724519:-1 gene:OGLUM03G03710 transcript:OGLUM03G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVRIVHSIDVSLVGVAGLLILVSRRVFEQVMTLQAQLASLKAAAAQGIHHQDVGATTKGGYMSAAATAADDQLGYGGYDQWCGSNGGGAPAASQPGAYSSNGGAGHGHDSITALLAAGSDYMQHSLYHAFEHSEGAGAVDDGHAAAAAFEAAESSSCGMAASFAADESVWRSSSSGYQDCEDLQSVAYAYLNRS >OGLUM03G03720.1 pep chromosome:ALNU02000000:3:2725032:2736255:-1 gene:OGLUM03G03720 transcript:OGLUM03G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGESDVIASCPATAGQGALVWPAIPTSLGFPAAKLAGYDGNGWVPALAEHISTSESKAIEHARRCKEATIACGLQRFQGLLVPFLPSGPRLSGTHLFATLLGHKQAKEIN >OGLUM03G03730.1 pep chromosome:ALNU02000000:3:2736272:2739357:-1 gene:OGLUM03G03730 transcript:OGLUM03G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSFAGRVLFASVFLLSAYQEFSEFGADGGPAAKALRPKYNVFTKNISAHLGVAVPHVELKHIVAATIGLKGLGGLLFILSSSFGAYLLLIYLAFITPVVYDFYNYDMEKSEFVQLFMKFTQNLALFGALLFFLGMKNSIPKRQAKKKAPKSKTN >OGLUM03G03740.1 pep chromosome:ALNU02000000:3:2744476:2747659:-1 gene:OGLUM03G03740 transcript:OGLUM03G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z241] MALHVLASMGDLWRRYAPHNLMILSQLCYTLMYFITEAAFNKGLNPFIYVTYRHLVVAVFLAPFAYYQEKKLRPRMTLMLFLEIFVLSLLGVSLTLNMYFASLMYTSPTFVTSVVNTVASITFVIAIVVRCPTFSRISRRTHAESDRLYCSLSAWLTLARDQINLDAVRMEIVDVRSIRGLAKIAGTVVSFAGVTTMTLYKGTAISSPWKAPISIHGGGGGGVHESWLKGSFLAVASCICWSIWYILQASSLKRYPAQLSLTAWMCTVGGIQSAVFTAFMQHKPEDWRIGFGLKFWCIVYSGFACNGFTVFAQLWCTEKKGPVFVTMFNPLSTIMVAILAYFMFGENLYVGSIIGGVVVILGLYMLLWGKDKDQEYNANKEQESDLDCEKQARITDFSAAQNDQEEPRRMKK >OGLUM03G03750.1 pep chromosome:ALNU02000000:3:2751538:2758527:1 gene:OGLUM03G03750 transcript:OGLUM03G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGLALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDMKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >OGLUM03G03750.2 pep chromosome:ALNU02000000:3:2751538:2758527:1 gene:OGLUM03G03750 transcript:OGLUM03G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGLALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDMKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >OGLUM03G03750.3 pep chromosome:ALNU02000000:3:2751538:2755375:1 gene:OGLUM03G03750 transcript:OGLUM03G03750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPKSLLLASLSKPFLRRNREPLPLLRPPPRPLPRVLPFAARTLAAPAAPPPEAAPAAQSDGLALLEAAQLREAADDHQEALALAIKALEPLQASHGGWSLPVARTLRLAGAAASRLGRLTDSLDSLNAAADIIDSLEAGDAEVAAVGAAVHEQLARTKTAMGRRWDAASDLMRAMELKAVFLEKGSLELGNAYKDVAEAYRGVLACDKALPLCLEALEIARNHFGGDSQEVAKVRQLLATIYAGSGRNEEALEQYEIVRMVYERLGLDVELSLAETDVAMVLVLLGRSEEAMDVLKRVINRAGKESEERALAFVAMANILCIQDRKADSKRCLEIAREILDMKISVSPLQVAQVYAEMSMLYETMIEFEVALCLMKKTLVFLDGVSEMQHIQGSISARMGWLLLKTERVDEAVPYLQSAIEKLKNCFGPLHFGLGFAYKHLGDAYLAMNQSESAIKYFTIAKDIINATYGPKHEDTIDTIQSIANAYGVMGSYKQAMDYQEQVIDAYESCGPGAFEELREAQRLRYQLKIKARGLPHACFPANSLPTKFQDRE >OGLUM03G03760.1 pep chromosome:ALNU02000000:3:2765406:2766353:-1 gene:OGLUM03G03760 transcript:OGLUM03G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPAFRSSSPSSSNASVPMVVITVVGILAAFALLASYYAFVTKCQALRGLWSRGATPWRGHGGGGARRRAAREASVIRTVATEERGLGMPFIRMLPVVRFTAAACGGAGGEGGGGGVGARISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGNARCPFCRSDVTLPFTPPAAAAPVRPTSATHPDDDEDAESARRHHHHHHHHHHNHNHRPDDELINSIVIEVRGEHESWVSHRGGAAAAPPATKRTPQRRRKPESVGDEAIDTRKKYDEEFAVQPMRRSLSMDSSCHKQLYVSVQEFLTQQRQV >OGLUM03G03770.1 pep chromosome:ALNU02000000:3:2770838:2771710:-1 gene:OGLUM03G03770 transcript:OGLUM03G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPYDNPTAGFPIAIVIAIGFMVTSLILASYYFLVVRCWLRGTGGGGAAGAGLLHRSRRESAAERVAAVFFTDYEAEVGGGLDPDVVAALPVVKYRRAASGKSASPQECAVCLSEFVRDERLKLLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGGAIGLLVRDDQYDASSRDLAAGERRIDAAARMGHGISSCRFPKTGAEQEPIRRSFSMDCFLGDLGRKPPPPPPKDPAGSEAGPSHPDAAGSSSIVGTAGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >OGLUM03G03780.1 pep chromosome:ALNU02000000:3:2774151:2784252:1 gene:OGLUM03G03780 transcript:OGLUM03G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAGDAGCGRGRVADRSGEISRAADDDAIARRAVTADRCLHMDHGDMPDAGYCMCLTKPVVWGLVVIEMGETARVTCRANNRAGDNPNRHRSPPSLFCRRRHQSEQLDHSSESKDDGGGVDSREAAGPVMRGGGGMTLDSDCGDPTTRCISDGDGVEAARSGSSKATAAKQQQQVGGGARKKAEVRGVSNLVITFLVPEGSMELGEANFWSWRCRWSAEVVGAMSVGRMVAGEGGGSVVSEL >OGLUM03G03790.1 pep chromosome:ALNU02000000:3:2790860:2791285:1 gene:OGLUM03G03790 transcript:OGLUM03G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKSKEGKSSSSYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAMKGATAMLNFPGDHHHGAASRMTSTGSSSSSFTTPPPANSSAAAGRGGSDRTTDKVELECLDDKVLEDLLTEANYRDKNY >OGLUM03G03800.1 pep chromosome:ALNU02000000:3:2793367:2793796:1 gene:OGLUM03G03800 transcript:OGLUM03G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAAAATESGTHDVSDSNAIDPVQDQRAGAVDPVVAIDPVSVEAAAIFHAVIIVDVGQTRCCQNLVKRGTCYQNLNCNKSFLSETCLPSIYDNT >OGLUM03G03810.1 pep chromosome:ALNU02000000:3:2794637:2796269:1 gene:OGLUM03G03810 transcript:OGLUM03G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQDQQLQVLNALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPASPTPGSLPPNIAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGVVTLAMSAGFQAAFPAPAYEVNAAASTVPQADYVWRIILMLGALPAILTYYWRMKMPETARYTALVAKDAKQASSDMAKVLQVEIEVEEEKLQDITRGRDYGLFSARFAKRHGAHLLGTAATWFLVDVAYYSQNLFQKDIFTSIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDIIGRFKIQLLGFAGMTAFMLGLAIPYHHWTMPGNQVIFVVLYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFSFLYAAQPQDKAHVDAGYKPGIGVRNALFVLAGCNLVGLLMTWMLVPESKGKSLEEMSGEADDEEASANGGATAVNSSGVEMV >OGLUM03G03820.1 pep chromosome:ALNU02000000:3:2796343:2803723:-1 gene:OGLUM03G03820 transcript:OGLUM03G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQLNVLSTLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTDDSKDTPGALPPNVSAAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSVASGLSFGSSAKGVVSTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALAVSAGFRHAYPAPSYSDNHAASLVPQADYVWRIILMSTI >OGLUM03G03830.1 pep chromosome:ALNU02000000:3:2803995:2808837:-1 gene:OGLUM03G03830 transcript:OGLUM03G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCDRGRWPNAFRRQRSSGEGEGSVTRTRKEPSEEEVGVAKAEVEQGGMCPCWQRRKAEVRSRSAQAGGGWGSPAKVSAVELYAGEGKVAMGPPLASGYGWSDLATFAWSNSNQQGHNEVKSYPRGTTDYKVSISTCFVSN >OGLUM03G03840.1 pep chromosome:ALNU02000000:3:2804901:2808246:1 gene:OGLUM03G03840 transcript:OGLUM03G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGPAVMEVDTGAERCGDAASHLHCRSEEAVTGGDAKRLRKVRGDDDHHQYMDDDDDYGDDEPPSNPLDSYREDWVEIYGKTGSFEDETEILPMRHTDGPIWPESWPMNLLQIFSVKVVEVMGDLQWPLDVYGVVAVRDSLDRKRNILFCRERDDCQTLLQACPSRAVVVLDPVVDLKVKGRVPASRDKVLSYHAFVYAYLTNNGFARREVESTEHSTLEFTFAHLAYAVEATIIIHVVQGSTDFRARFSARTAGIDEDVVLLDSGDRKVVVADDGLVVLQRCVVVVEEKGKLNLRVEASENGSDTVVGKQMSFSARPALRSEGRFVLGFCTMSVIVAWSVLP >OGLUM03G03850.1 pep chromosome:ALNU02000000:3:2809950:2821061:-1 gene:OGLUM03G03850 transcript:OGLUM03G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRGQERKDFVTEGDKETMSAVVAPGYARNMSWQQLIGQKSAHIEGKKIIMAGSQLNVLVKLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDITKPNPGTLPPNVSSAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSIASGLSFGHTPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRHAYPAPSYAQNPAASLAPQADYTWRLILMFGTIPAGLTYYWRMKMPETARYTALVARNAKQAAADMSKVLHAEIEERPEVVESQVVAGETWGLFSRQFMKRHGMHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFFMMTVFMLALGVPYDHWTHPAHHTGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQDQHNPDAGYSRGIGIRNALFVLAGTNFLGMLMTLLVPESKGLSLEEMSKDNVVDETAQEAIAQA >OGLUM03G03850.2 pep chromosome:ALNU02000000:3:2809950:2821061:-1 gene:OGLUM03G03850 transcript:OGLUM03G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRGQERKDFVTEGDKETMSAVADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTQIEESADRAETVAVGGESWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRIARAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTRGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRMYGKKIIMAGSQLNVLVKLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRLYYTDITKPNPGTLPPNVSSAVTGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVVCSIASGLSFGHTPKSVIATLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRHAYPAPSYAQNPAASLAPQADYTWRLILMFGTIPAGLTYYWRMKMPETARYTALVARNAKQAAADMSKVLHAEIEERPEVVESQVVAGETWGLFSRQFMKRHGMHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRISRAQALIALCGTIPGYWFTVAFIDIVGRFWIQIMGFFMMTVFMLALGVPYDHWTHPAHHTGFVVLYALTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYAAQDQHNPDAGYSRGIGIRNALFVLAGTNFLGMLMTLLVPESKGLSLEEMSKDNVVDETAQEAIAQA >OGLUM03G03850.3 pep chromosome:ALNU02000000:3:2811552:2821061:-1 gene:OGLUM03G03850 transcript:OGLUM03G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLRRGQERKDFVTEGDKETMSAVADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLHTQIEESADRAETVAVGGESWGLFSRQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPAKTMNALEELYRIARAQALIALCGTIPGYWFTVAFIEIMGRFWIQIMGFAMMTAFMLGLAIPYHHWTTRGHHTGFIVMYGFTFFFANFGPNSTTFIVPAEIYPARLRKAYNFAA >OGLUM03G03860.1 pep chromosome:ALNU02000000:3:2822423:2828658:-1 gene:OGLUM03G03860 transcript:OGLUM03G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELGLNGRGRMDDKEKKIAHALSSAAERLRLRLLPSHHPKLLSHQSPSSRRLAALSHFARAREPAPIAAHALALAALIRSPMQQRSPTAASASASASSVAAAAGVGGVEPAVTLDQVPRWSDADQRLSPSSSPTAAGSDETPASSFLSFADPLIGDGAAAGAGGRGASRFPVDHEINSKICLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >OGLUM03G03860.2 pep chromosome:ALNU02000000:3:2822423:2828658:-1 gene:OGLUM03G03860 transcript:OGLUM03G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELGLNGRGRMDDKEKKIAHALSSAAERLRLRLLPSHHPKLLSHQSPSSRRLAALSHFARAREPAPIAAHALALAALIRSPMQQRSPTAASASASASSVAAAAGVGGVEPAVTLDQVPRWSDADQRLSPSSSPTAAGSDETPASSFLSFADPLIGDGAAAGAGGRGASRFPVDHEINSKICLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGKITAVVFCTTTSSDTEIYKRLLPLYFPRDKKEEEIASLKLPADVGDENGETIIDERKIRIKPLPAGSAINKSAAPAPVDIPLSDSGLTRSRNSFKLDSYLDPAFMSLIKDPDLRRKEQLEKSVQANKGFNWAKLVGFGDLGGPPLSAAEDYSLHSRHLAKANSLSLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFILYVVKEFEPLIQKPYSIVYFHSAASLQVRPDLGFMKRLQQILGRKHQRNLHVGISYDHTAIYVLHPTLGLRTAILALQLFVDGEVWKKVIYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIIDPRTKHVYQRPSG >OGLUM03G03870.1 pep chromosome:ALNU02000000:3:2831360:2840710:1 gene:OGLUM03G03870 transcript:OGLUM03G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein / transcription factor jumonji (jmj) family protein [Source:Projected from Arabidopsis thaliana (AT5G04240) TAIR;Acc:AT5G04240] MPPQPPPAASASASAPDPAVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPHPRPSRRFVFAHLNRSLASSYDAPAPSPAAASDSSIPPSSSSSPPPASAAVFTTRHQELGNPRRGRPTPQVLKQVWQSGERYTLDQFVSKSRAFSKTHLAGLHEPTALAVESLFWKASADRPIYIEYANDVPGSGFAAPVQLQRKKKRKRETAPMDGWEKSSGWRLSNSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHDLHSLNFLHTGAPKTWYAVPGDRAVELEEVIRVHGYGGNTDRIGICLILCFFIQILCSCDASLAVLGEKTTLMSPEVLIDNGVPCCRLVQYPGEFVVTFPRAYHVGFSHGFNCGEAANFATPQWLKFAKEAAVRRAVMNYLPMLSHQQLLYLLAVSFISRNPRELLSGIRTSRLRDRKKEDRELLVKQEFLQDMISENELICSFLGKKSVDNVVLWEPDLLPSLTALHPCSSCSKAPEKKGEDGPRIGSTQSSSKDDSSSDGTACMTGTQSKGLSMDSKQAPEGEKLDTDDGDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSRKALEEISLVDKERYKLSCEKEICSNVLPCSPNDGSSGCPLIANRSSSPVENANLSHQDVKPIRSDISLMGKEFNGTLGKHIGTSCSCSSENTIDPYGDTETPEKKIPSDCPGSELSKQSGRGDVNVPDVEGSDETISWNTGCAFARPRIFCLQHALEIEELLASKGGVHALIICHADYVKLKALAISIAEEIEFQFDYKDVALANASKSDLHLINISIDDEGYEEEGTDWTSRMGLNLKHSSKIRKETSESQEQPPLSFWGLFSKPSPISVVSNLKWLCRKARTPYKVIGYASSPDVVATPDKVKPAVTETQIDTSGNAHENIGSEQTLQQDCVLQESNDVADMCKRPKVNDQDGHSLINIPIAVAEYPMMHQVCERPDSPTTVAVSAGKPTREQCGAESTELSTVQQFLDNGLIAEGGSMNFISNHEHLESDNATSVCKDEQLQVQQDQLAMVLCNNPNTELVAGELHGGAASSTLENEDSCGNTSYYSDTVLKNSKPDTDDQPETCDRSVVLVTPKSSCDQMISSSDRSCSLTLDCPVSTDAAFSSEKLSMAHDLMGSELQAVHNSKAEVAASLTDVKGAKLNSIHTAQLPHESPSSDFIISEGSQSASATAIPRKNGTSMHTESNSIDILLGVLADESKVSSGKDEVGKASLTLMTLAGNDQSADDVTQDEVAEITDTSHGFCASDIVSRSIGSSNRTNIICYARRKHKRKSGSEFNINSPQSLGSFVRSPCESLRPRTRPAIVEDMTNETKTAEASTANKRKKAKVEAFQCDREFCDMTFETKAELRAHQRNICTDESCGKRFSSHKYLKRHQCVHRDERPFKCPWDGCPMTFKWLWAQTEHIRVHTGERPYKCSAPDCGQSFRYVSDYSRHRKKFNHY >OGLUM03G03880.1 pep chromosome:ALNU02000000:3:2840080:2840364:-1 gene:OGLUM03G03880 transcript:OGLUM03G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKAPEKEAAAMACYRRTVGEEATFKERSKDLFRQFKDAPAGDHWVCLKNKVRAAGEYATLRTRQGITMFGEPNVGDLLGRTKDDDSKKTPSA >OGLUM03G03890.1 pep chromosome:ALNU02000000:3:2842168:2842851:-1 gene:OGLUM03G03890 transcript:OGLUM03G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPANATTFSGEVWAELRLADASDVPHIHALIHQMAEFELLTDLFAATHELLTSTLFPSPPRPPFTSFTALILDLSPSPLPASGPSTIASHHLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSTFLSKPGLYVEDIFVRAPWRRRGLGRMMLSAVAGKAAELGMGRVEWCVLDWNKNAIDFYEGMGAEVLPQWRICRLTGAALDKYKGNQEEGGGSKAAE >OGLUM03G03900.1 pep chromosome:ALNU02000000:3:2843655:2849773:1 gene:OGLUM03G03900 transcript:OGLUM03G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G21540) TAIR;Acc:AT3G21540] MVKAYLRYEPAISFGVVASPESNVAYDPSGRLLLAAALDRFAAWDLKRGLPSLSFATAAPSPSLAVSCLATFPSAASASASSIASGHADGSIRLWDTETGSCEATLHGHRSAASALVFGPSGAIIASGSKDCDIILWDVVAQAGLYRLRGHRDQVTGLVFLDSGKKLVSCSKDKLIRVWDLDTQHCLQIVGGHRSEIWSIDVDPSERFLVSGSADQELRVFTVRKSAEEGEDWSKWDMLKLFGEIPRQSKERVATVKFNKNGNLVACQVAGKTVDIYRVLDETEAARKAKRRMHRKKEKTLAKSMAAEGNGTVIDPLSAQDLQNPTPVVTDIFKLLHVLRVNKKICSVAFSPNNPPKGCLATLSLSLNNNMLETYSVDNENVSKMYSIEMHGHRSDIRSLALNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSDYGLCSAFVPGNRYALVGTKSGTLEIIDINSGSSIEVIEAHAGSIRSIVLIPNEDGTAGARGFVTGSADHDVKFWEYQLMQKSDNDSKQLSVTNVKTLKMNDDVLAVTISPTGNHIAVALLDGAVKVFTMDSLKFALTLYGHKLPVLCMDISSDGVLIVTGSADKNLKIWGMDFGDCHKSIFAHTDSVMDVKFVSKTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLAISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEQEKRLEETFEADLDSAMDHSYGQKDGAPDEGSVGVPGRKTKETVTAADAIIDALDTAEEEVKRLDQHQEGQNNGNGTTFQPNVIMQGQSPLDYVLNVVSNVRPNDLEQALLSLPFSDALKIMSYLKEWSMVPLKVELVCRVCLVLLQTHHSQLTTTPSARSILTELKGILYSRVKECKDAIGFNLAAMDHIKELLAMRSDAPFRDARAKLMEIRQEQSRRSDRSDGAEKRKKKKRRTSGES >OGLUM03G03910.1 pep chromosome:ALNU02000000:3:2850714:2854673:-1 gene:OGLUM03G03910 transcript:OGLUM03G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVIGMHPDTMEKLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPLRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDDQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMEKRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFADQPASGAGAAADPFASAAAAADDDDLYS >OGLUM03G03920.1 pep chromosome:ALNU02000000:3:2855545:2858164:-1 gene:OGLUM03G03920 transcript:OGLUM03G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSVPARSTGGLNNISNDNSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAVVVYDITSPESFSKAQYWVKELQKHGSPDIIMVLVGNKADLHENRHVSSQEAQEYAEKNNMVFIETSAKTADNINQVFEEIAKRLPRPTAS >OGLUM03G03930.1 pep chromosome:ALNU02000000:3:2864258:2865672:-1 gene:OGLUM03G03930 transcript:OGLUM03G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKAAPKAGATADPVVLRMELHCAGCAQKVKKSIKHLAGVESVAADVATNTVVVAGTADAAALKARIEAKTKKPVEIVSAGGGGAAAKKPAAEPKAFKDDGGEKKDAQAKEEKGKKQPPEEKKPKEETVLLRIRLHCDGCADRIRRRIYKIKGVKEVVMDGNAKDEVKVSGTMDVPAMLTYLTEKLNRAVEAVAPGSKKDEKKKDKGGDADGGEKKKDAAGGDKKDKGKSIEVAGPSTAAAAASMAPAPAEASTYHVSPYGHGYFAYPQQQGPPPSYYQYYGGGNGDGVGYANPNAGGGGGYYHPHPNDVPNYQPPPSYPPYPYQLDMSPAPQLFSDENPNACSVM >OGLUM03G03940.1 pep chromosome:ALNU02000000:3:2867440:2874154:1 gene:OGLUM03G03940 transcript:OGLUM03G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGTALGFGLISGAGSRRVTEPKPPNFISKKKKRKRKKQKRKEEKRSSSPRLCPPSRRFLVSFSPSNLPPLCRFRVSALQLLPNSGPRFARSRPPMVSGVAHRPDDDGGRAASTFQRPPQPAGARPSLATPPPSGGAQSASTSGGSAGSPSSRSEQHVPAAAGMAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQLIQRNEQLYGSGNIPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKAMSSCGEEQIDGIHDLISNGGESSSMPNIYRQQTFTQAAVVDPGS >OGLUM03G03940.2 pep chromosome:ALNU02000000:3:2867440:2874154:1 gene:OGLUM03G03940 transcript:OGLUM03G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPGTALGFGLISGAGSRRVTEPKPPNFISKKKKRKRKKQKRKEEKRSSSPRLCPPSRRFLVSFSPSNLPPLCRFRVSALQLLPNSGPRFARSRPPMVSGVAHRPDDDGGRAASTFQRPPQPAGARPSLATPPPSGGAQSASTSGGSAGSPSSRSEQHVPAAAGMAAGAAAASTPISENTFLRLNDLDIHGDDAPSSQAPTSKKKKRGARAVGPDKGGRGLRQFSMKVCEKVESKGRTTYNEQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWKGLPRTSINDIEDLQTELVGLKSRIEKKNTYLQELQDQLIQRNEQLYGSGNIPSGGVALPFILVQTFTQAAVVDPGS >OGLUM03G03960.1 pep chromosome:ALNU02000000:3:2883152:2887872:-1 gene:OGLUM03G03960 transcript:OGLUM03G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT4G05160) TAIR;Acc:AT4G05160] MYNSTHPRVSTHHNKALLFSRTNQTQEAGEAMASASVPAAGYGADGVYRSLRPPAPVASDPGLSLTDLLLRRADACPSALALADAAAGGRALTFAELRSAVLSTAVALSSRAGVRPGDAVLLLAPNCVLHPVCFFAVTALGAVGTTVNPDYTPREIAKQVSDARAKLVITISALVPKIAGLRLPVILLDDDANAAAASLPPDATVTLYTNLVAGVKEADYRRPPIKQSDTAALLYSSGTTGDSKGVILTHRNFIAAARMVTSDQDERREGPNVFLCFLPMFHIFGLSVITYAQLHRGNAIIAMSRFDINSLMEAVQRHRVTHLFCVPPVIIALAKHGKAGKYDLSSLKFIGSGAAPLGKDVMEVVAKKFPDSEIVQGYGMTETCGIISLEYPEKGQAREFGSTGTLVSGVEAKIVDIKTLKHLPPNQVGEICVRGPNVMQGYFNNVQATEFTIKQGWLHTGDLGYFDRGGQLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPDSSLTEVDVQKFIEKQVAYYKRLKRVTFVGSVPKSASGKILRRQLIAQVRSSKL >OGLUM03G03970.1 pep chromosome:ALNU02000000:3:2896793:2899329:-1 gene:OGLUM03G03970 transcript:OGLUM03G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAASSSLPRSLASRRPLPSSPLRGGRPRSPRRCRFGSRSLHRLRARAGKDDPEDLYGPYPWDQSLDLTTGLDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEKRQRKVKGIQNIRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >OGLUM03G03970.2 pep chromosome:ALNU02000000:3:2896793:2899329:-1 gene:OGLUM03G03970 transcript:OGLUM03G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAASSSLPRSLASRRPLPSSPLRGGRPRSPRRCRFGSRSLHRLRARAGKDDPEDLYGPYPWDQSLDLTTGLDIQWVPEDRVTLFTSDGLVQIGGSLVPRRITPSEVPNHSTWARFINRSFFSNKLCGILEYLDIQKRQRKVKGIQNIRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASKDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVEKIYWQWDLF >OGLUM03G03980.1 pep chromosome:ALNU02000000:3:2900641:2911922:1 gene:OGLUM03G03980 transcript:OGLUM03G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAAAAAAAAAVSFPPLLSRPAACVLLRCGRHRRLPPLLLRAASSSTSSAPDFNITFAEPTASAPSKPKPSSPAPSAEQVVPWIVRGEDGKPSMRTAPPPDVLQAIALAEAEAKKAKKDPRRSHKGAAALATPVANAKVRERKAAPATAPPKFSKAARRFYNENIKEHEPQRLAKVLAAAGVASRRTCEELIFQGKVTVNGSVCTAPQTKVDISKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEPKSIISLFDDYLKGWNKIQPGVPKPRLFTVGRLDVATSGLIIITNDGEFAQKLSHPSSNIMKEYVVTIDGPVHRNHLIAISEGTKIDGVKCIPDLVEPLDVQSNTKRTRIRIAVHEGRNHEVRELVQNAGLEVYALKRVRIGRFRLPPDLGLRVSDRGYTLSIYMVVEMGYCQVEGARRVEMNFLYRTSQPVAPELPRIPEQDQQRDSLQKPVTTLEGLIADDPYHPSPEDEDTDNGAVDIGGDSADADSKNSVPTGKHTDVLDDEGWITIPNKELPDNWNDLSDMLQLQPLDRPFLFPENGDSNVKNGENGSQVVEDDMQSVELNSEMSPMTQDDMQNVELDNEMSPSKQDDMQNVELNNEISPSKQDILETESLLRLEDHKQQIESMLQRFKMSNFFVRIAESDEPLWSNKKLAVSKVPEEQSYSDNQENNKGSRSNAYNTISDKGVFDGSTSGGIARGTARCYALQNGDIVVVLQVNVGVNKMEAPVLEVLQFEKSSSSNYITKNLVNGLSSASSTQPPNIRPPPISESQEFVPEKPAKTPDIINDGQLSFRGVPLEPERYSNISPQHVKDIVVLVDAITIVFEEASKGGAPLSLPIASIEVGHGHSLPNLALRRGEEHSFILKPATMSFRDRRTNNDAPLTLSLPKMNGTATNVSLPKVGETIGSLMDQYAVLVSYRCNYTESKLFFKQATSWRPCVASDLMISVSSELSLRNPISSARVPQLPVQVLTLEATNMTSENLTVTVLAPEASGSSSVVSLNSAPTTPNSSYDNLNESVRRSGLGKHRAGFRRMNSVLAGSPKESDNGGNIINTSGGCTHLWLQSAVPLGCIPALSSTTVKLELLPLTDGIITLDTLQITIREKGLTYIPEHSLEIYASAANSTGSS >OGLUM03G03990.1 pep chromosome:ALNU02000000:3:2912824:2922770:1 gene:OGLUM03G03990 transcript:OGLUM03G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G54870) TAIR;Acc:AT3G54870] MAANGRASVRPVERHGAPPRPAGRSRSVAPPSRRPSPSPSRARPAAADNDGGSDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRVYEVVAKPSVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIRSSRIKDESNTSLTNGTDNLFPDNLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPIRDSKLTRILRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNIQIENMAIEKRQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLRQLEDERSHSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSNISKIFEEVGLPNVLALLKCDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANIASKTDDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELLRISRESSRDDTRNLAKKALNSNPAFFKEIQ >OGLUM03G03990.2 pep chromosome:ALNU02000000:3:2912824:2922770:1 gene:OGLUM03G03990 transcript:OGLUM03G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo/beta-catenin repeat family protein / kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G54870) TAIR;Acc:AT3G54870] MAANGRASVRPVERHGAPPRPAGRSRSVAPPSRRPSPSPSRARPAAADNDGGSDSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPECKKLKLKKNNWSCESYRFDEVFSENASQKRSVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSEGGIMVRALEHILSVMSLETDSVAISFLQLYLESVQDLLAPEKTNIPIVEDPKTGEVSLPGAAIVEIRDLEHVFQLLQIGEMNRHAANTKMNTESSRSHAILIRSSRIKDESNTSLTNGTDNLFPDNLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENSPHIPIRDSKLTRILRDSFGGTARTSLIVTIGPSSRHFSETSSTIMFGQRAMKIVNTIRIKEEVDYESLYKKVEHEVDHLTSEMERQQKLKNSEKMQLEKKLKESEASLNDLKVTSNIQIENMAIEKRQLESTIKRLMLDLEKEKGKNNILSEQIIHLETSLDENKQKQLENISNTNILADTTKSHEKKIRELLRQLEDERSHSASMNDHLNVLQQQLSDAQNYFQENIACELEKQLSRTTEEFASQISSLEERIADLISEKELVYEELKSTQEKMQQEMRHRQGLEDEILRLKQSLADNCSEESKALCGMVRSGSGLGSVPFMSKSGKSRELLSSQRSNISKIFEEVGLPNVLALLKCDELEVQIHAVKVVANLAAEDVNQEKIVEEGGLDALLSLLETSENTTIHRVTAGAIANLAMNGSNQGLIMNKGGARLLANIASKTDDPQTLRMVAGALANLCGNEKLHVMLKQDGGIKALLGMFRTGHNEVIAQIARGMANFAKCESRVISQGHRKGRSLLIEEGVLNWMVANSSAFSASTRRHIELAFCHLAQNEDNARDIILTGGIKELLRISRESSRDDTRNLAKKALNSNPAFFKEIQ >OGLUM03G04000.1 pep chromosome:ALNU02000000:3:2922054:2929974:-1 gene:OGLUM03G04000 transcript:OGLUM03G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEREREKDRERRSRSRSELRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSAGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >OGLUM03G04000.2 pep chromosome:ALNU02000000:3:2922054:2929974:-1 gene:OGLUM03G04000 transcript:OGLUM03G04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEGSGAPSNGAAAPRSEEKERSSRRRSGGGGDEERDDGERRSKRSRSEENRDRDKDKDRDRHRDRDKDRDRHRSSRERRDRDRDRDRDEKDREKERDKDKERRSRDREKEKEKEREREKDRERRSRSRSELRRDDEERDRERYRERDYRDRDVRRRKEEAGEPEADPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSNASSSGAASGGARKLYVGNLHSNITEDQLRQFARLEDAKAAQSLNGQLDIAGRVIKASGFFRFTRIHVVFKLYISNIRVLPDTMLNCGNQVSAVTDQAGVQVSGVTTGDLDDDEGGGLALNASSRALLMQKLDRSGVTTSLTAGIAGTGLNTSAGLPPASVLGAPPVAASVLPTVPGLGSVPGVSLPITTQAIETAPPSECLLLKNMFDPSVETDPDFDLDIKDDVQEECSKFGQVKHIFVDKNTSGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMSPQQYSTKFPS >OGLUM03G04010.1 pep chromosome:ALNU02000000:3:2932962:2935759:1 gene:OGLUM03G04010 transcript:OGLUM03G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLQQKEAGEEDVVVVVVGAGIAGLAVALGLHRKGVKCSVLESSPELRATGFAIATWRNALQALDALGVGDKIRKCHLHLQELQVFSSSTGEKSHTTSLNVQGKRGPNEMLCVRRDWLLRALEEELPNGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVLIGCDGVNSVVAKWLGLPKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRVGVIPCNDTDVYWFFTWSPSEHDDDPLAKKKQFVLTKLRSAEIPAEVLEVVERSDAKDVLTAPLRFRPPLSLLLASISRGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRLWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALVRKLLKMADYDCGKL >OGLUM03G04020.1 pep chromosome:ALNU02000000:3:2945936:2949155:1 gene:OGLUM03G04020 transcript:OGLUM03G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILQRKGTGEDPVVIVGAGIAGLAVALGLHRKGVKCTVLESSPELRASGFAIATWRNALQALDALGVGDKIRKCHLHLQELHVFSSSTGEIAHATSLNEQGKRCRGPNEMLCVRRDWLLRALEEELPEGTIRYSSKIVEIEEDGDAKILHLADGAILRAKVVIGCDGVNSVVAKWLGLAKPSYSGRLATRGLACYPGGHGFDPKFKMFFGHGFRVGVIPCNDTDVYWFFTWSPSEHDDDALAKKKKFVLTKLRSAEIPAEVLEIVERSDAKDVLTAPLRFRPPLSLLLASISKGNVCVAGDALHPMTPDLGQGGCAALEDGVVLARCLGDAILGGGGGGAESERIEAGLREYARIRRWRSAELIGTAYAVGFMQESSNAVISFLRDNWLAGALVRKLLKMADYDSGKL >OGLUM03G04030.1 pep chromosome:ALNU02000000:3:2949948:2952679:-1 gene:OGLUM03G04030 transcript:OGLUM03G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGPRLAMQQQPTVFAPPPPSQQGSASTGPGMERRWRHGRRGWWRGRVSRVVAANSHADAGTAVATAKSGVATVSPSPRGMTMVVALSKASRGQAGGAQEGMAARDPELQIGHDGRLEDELRQQGGNGGLMWSPAAETASTGPFVDSLGRSFWGPIGGAAGPTSQCPVLGRIQEHRERRGCDREKDREAVTAHTQRDGTVVLASPVWRGRQRWLRVKKGSNDGSCTRTEQRQRRAEGGSGSARLDNDDEE >OGLUM03G04040.1 pep chromosome:ALNU02000000:3:2955816:2961865:1 gene:OGLUM03G04040 transcript:OGLUM03G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTNISMCTSHTRIRESRHVPVCFTAGMTDGRAASLESGLLRPIQPPLDRFATKGRRIIENPELVQQQYGLRPSSLCFHLRATPTAGGGSRTMEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >OGLUM03G04040.2 pep chromosome:ALNU02000000:3:2956531:2961865:1 gene:OGLUM03G04040 transcript:OGLUM03G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTNISMCTSHTRIRESRHVPVCFTAGMTDGRAASLESGLLRPIQPPLDRFATKGRRIIENPELVQQQYGLRPSSLCFHLRATPTAGGGSRTMEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >OGLUM03G04040.3 pep chromosome:ALNU02000000:3:2956531:2961865:1 gene:OGLUM03G04040 transcript:OGLUM03G04040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTNISMCTSHTRIRESRHVPVCFTAGMTDGRAASLESGLLRPIQPPLDRFATKGRRIIENPELVQQQYGLRPSSLCFHLRATPTAGGGSRTMEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >OGLUM03G04040.4 pep chromosome:ALNU02000000:3:2955816:2961865:1 gene:OGLUM03G04040 transcript:OGLUM03G04040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTNISMCTSHTRIRESRHVPVCFTAGMTDGRAASLESGLLRPIQPPLDRFATKGRRIIENPELVQQQYGLRPSSLCFHLRATPTAGGGSRTMEGSGVEGIVIAGAGLAGLATALGLHRKGVRSLVLESSATLRASGFAFTTWTNAFRALDALGVGDKIREHHLLYERLLAFSASTGEPAAKLSLKMQGKSGPHEIRCVKRNFLLETLENELPEGTIRFSSKIVSIEEDGNVKLLHLSDGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKLRAARIPAEALDVIERSEMSDVVSSPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARCLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >OGLUM03G04050.1 pep chromosome:ALNU02000000:3:2979398:2980556:-1 gene:OGLUM03G04050 transcript:OGLUM03G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPAAAESPAAEPACGSSSSAAPMRTRSVVPERESGVSVVVAAVAAASAAEPVASAAEPDYMKEYRSELCKRLLYHRFNDLHPKRRF >OGLUM03G04050.2 pep chromosome:ALNU02000000:3:2979400:2980556:-1 gene:OGLUM03G04050 transcript:OGLUM03G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPAAAESPAAEPACGSSSSAAPMRTRSVVPERESGVSVVVAAVAAASAAEPVASAAEPDYMKEYRSELCKRLLYHRFNDLHPKRRF >OGLUM03G04060.1 pep chromosome:ALNU02000000:3:2980816:2984298:1 gene:OGLUM03G04060 transcript:OGLUM03G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNNARHLLTLDEKNPRRIFEGEALLRRMNRYGLLADGQNKLDYVLALTVENFLARRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >OGLUM03G04070.1 pep chromosome:ALNU02000000:3:2988975:2990162:1 gene:OGLUM03G04070 transcript:OGLUM03G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKSGPHEIRCVKRNFLREKLENELPEGTIRFSSKIVSTGEDSNVKLLHLADGSTIRAKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHGFGPEILQFIGQGFRSGVLPWSDTSVYWNYTWYPSPDDGDAEESVAKMRSYVLAKAARIPAPLRFRSPLALVRGSISRGNVCVAGDAFHPTTPELGQGGCAALEDGVVLARRLSEAFLADGAEHDPGYEAVTAALEKYAEERRWRGIRLITAAYVVGFIQQSTNPVIKFLREKFLSGLLAKTMIAMADYDCGKL >OGLUM03G04080.1 pep chromosome:ALNU02000000:3:2992806:2994410:1 gene:OGLUM03G04080 transcript:OGLUM03G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAFFFHCVVAVAACLAATAAALSGTATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSSALFNDGAACGECYQITCDESSSKWCKAGTSVTITATNLCPPDYSKPSNDGGWCNPPRQHFDMAQPAWEQIGVYRGGIVPVNFQRVSCTRKGGVRFTINGNSYFELVLITNVGGPGSIKSVQIKGTKTGWVTMSRNWGANWQANNYLNNQAISFSVTSTAGKTLVFEDVAPSNWQFGQTFTSGVQFY >OGLUM03G04090.1 pep chromosome:ALNU02000000:3:2997193:3036770:-1 gene:OGLUM03G04090 transcript:OGLUM03G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDPLPAMFVTNTSSKVLLRWSLLIVNHQNPTRRASPPARFMAMWKKKKTPSILPLVVVIAAASLIAPTTAGWSSGTATFYGGSDASGTMGGACGYGNLYWSGYGTNTAALSSALFNDGASCGQCYQIACDHQAEPRWCLQGRTVTITGTNLCPPNYALSSNDGGWCNPPRTHFDMAEPAWLQIGIYKAGIVPVLYQRVPCVKQGGVRFTMGGFNYFELVLISNVAGSGSIQSVWVKGPNTDRMPLSRNWGANWQSHAGLVGQTLTFGVTSTGGQTLVFQNIVPAHYHGMQSKISIIRLQIEEAYIMEYTILFATSLVITVLAAAGFAPAHGWNKGTATFYGGADASGTMGGACGYGNLYTAGYGTNTAALSSVLFNDGWSCGQCYLIMCDAAATPQWCRAGAAVTITATNLCPPNWALPSNSGGWCNPPRPHFDMAEPAWLQIGIYKAGIIPVLYQQVKCWRQGGVRFTMGGFNFFELVLVSNVAGSGSVRSVSVKGGSTGWITLNRNWGANWQCNSGLVGQALSFAVTSTGGQTLYIYNVVPSWWSFGMTFTSNQQFMS >OGLUM03G04100.1 pep chromosome:ALNU02000000:3:3035895:3037167:1 gene:OGLUM03G04100 transcript:OGLUM03G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVLQLLAILALCIAPARSGWLQGTATFYGGADGSGTMGGACGYGNLYDQGYGINNAALSTPLFNNGASCGQCYLIICNYDKAPSGCRMGTAITVTGTNFCPPNYDLPYGGWCNTTRPHFDMSQPAWENIGIYSAGIVPILYQQVKCWRSGGVRFTITGLNYFELVLVTNMAGSGSIASMSVKGSSTGWIQMSRNWGANWQCLAGLAGQALSFTVTSTGGQTIVFDSVVPAGWSFGQTFSTYQQFDY >OGLUM03G04110.1 pep chromosome:ALNU02000000:3:3051040:3056900:1 gene:OGLUM03G04110 transcript:OGLUM03G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNADQHAAANGGGGTTPAATGSPPAGKKAAAAAAWKRPGNGAAVPVVVAPGIPIMDADSWPALPGLASPPPTTLTPTPMPPKASPKAASLPPPAEAVIPPISLGNSGAPDANPDHEAPVRNPPARRALVMPVGDGLDKRAPGSEPSPVYTPNARSNGGGDHHQNGRYGSHPHSRGSGYGGGGNRRGNGGGGGGGGRRGQEHHGGFDGQRRGGGRRDGHGPGHQQRGHHPSYIRAPLAVVTAAPPPPPPFVNPATPQTPPYGAPIGFPEIASHVYYFTSPPEGVQALPFVPHPASPPAMLIPQFDPLRAELLKQIEYYFSDDNLCKDIFLRRHMDDQGWVPLPLIAGFNQVKKLTNNVQFILETVLQSTVVEVQGDKLRKRERWEIWLLPKQGYSAGNSSGSLSPVTSNIDSLASQFQSVGLEGAGYHASQGMPGEALLTRSATSVSLGYQAPPLGGLYSNGSGPLFGQKSARSLLRSDTF >OGLUM03G04120.1 pep chromosome:ALNU02000000:3:3058370:3059004:-1 gene:OGLUM03G04120 transcript:OGLUM03G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDIIAELRVLPAKILPKKKPKQFQKVEVKVRMDCEGCERKVRKAVEEMKGVSSVEVDAKQNKVTVTGYVEQEEVVGRLRRRAGKKAEPWPYVPYDVVPHPYAPGAYDKKAPPGYVRNALADPDAAPLARATEEEEKLASAFSDENPNSCAVM >OGLUM03G04130.1 pep chromosome:ALNU02000000:3:3060046:3062776:-1 gene:OGLUM03G04130 transcript:OGLUM03G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRPRRGRPLRRRRLDLRGSCCGVCSPRAALFLLVALVTGTLQSSIPYPAHASVQSITKTGGLFTSEILSSGWAGFIAGCLHTLSGPDHLVALAPLSIGRSRLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEILRTWGTRVVGLTLLIIGGMGIREATEVQESSLALEGVDCNITRSEPLPTPAAPRKKKVGFATFATGVVHGLQPDALLMVLPALALPSRFAGAAFLGMFLMGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAICMGIALLVGQFFGFSLY >OGLUM03G04140.1 pep chromosome:ALNU02000000:3:3078533:3083878:-1 gene:OGLUM03G04140 transcript:OGLUM03G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKYLVDAYENRVAYKEKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDSGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >OGLUM03G04140.2 pep chromosome:ALNU02000000:3:3078533:3083878:-1 gene:OGLUM03G04140 transcript:OGLUM03G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESIMEKPMMDTGGGMIERTKSDQLAPPPPPSSAQSLSRTASAETVLSTADVTTTTSLSRKSSFGKRSASGGAGAGGNSHGYSSSNNNNSHIRKSRSAQLKLEMEDLVSSGAALSRASSASLGFSFTFTGFTPPPQDTMSSAELHPFSDDDNTMDIEAGTPRKKLMTEPTLPIYLKFAEVKYKVAVKGTPREILSGISGSAAPGEVLALMGPSGSGKTTLLSILGGRVAGPGDVEGCVSYNDEPYCKSLNRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQEKEERTIDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIIQLLHDIAEDGKTVITTIHQPSSRLFHKFDKLILLGRGSLLYFGKASEAMPYFQSIGCTPLIAMNPAEFLLDLANGNTTDVSVPSELDDKVHMENQNLQTNTKNDYKPSAQDVHEYLVDAYENRVAYKEKKQLLDPLPISDDMKTTITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWHSDPSTPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAADMYKLSAYFLARTTSDLPLDLFLPVIFMVIVYFMAGLKATAAHFFLSMLTVFLSIIAAQGLGLAIGASLLDIKKATTLASVTVMTFMLAGGFFVKRVPPFISWLRYLSFNYHTYRLLLKVQYHPVPDILINAIPLDSGVTEVVALVAMIIGYRVLAYMSLRRTKTSAS >OGLUM03G04150.1 pep chromosome:ALNU02000000:3:3089741:3093743:1 gene:OGLUM03G04150 transcript:OGLUM03G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLVAASQLTSRCENMMGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKKCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >OGLUM03G04150.2 pep chromosome:ALNU02000000:3:3089741:3093743:1 gene:OGLUM03G04150 transcript:OGLUM03G04150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPESSSSSPVLLNIGGKRYATTVETLTQREPSSMLAAMFSGRHTLPRHPDTGMVFVDRDGKHFRHVLNWLRDGAVPDMSESEYQQLLREAEYYQLLGLADCINDRLGWKNDENFSEAELTRKDVIKCIQAPRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNANFSSAYLRKAKFRLTEATCSSFQSANLHECELIGANLEGSVLDKANLQSANLQDACLKKCCFIETDLRSAHLQGANLMGANLSGANLEGANLKGAKLAGTNLECANLQRAYLREVDLRETHLTGAKLGGANLLGAIR >OGLUM03G04160.1 pep chromosome:ALNU02000000:3:3094123:3094988:-1 gene:OGLUM03G04160 transcript:OGLUM03G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAATSPRNYTDFVPPHQLVEEAGKKKEQLRVQIDNHGKLRISGERQVSGNRWSRFHKDFQVPDDCNAGDVRARFDSRDRVLHITMPKLSPAEEEPKAAAAAAADAAAPADHGAAQAQQTAAPADQEKEDKEEEDDDGAANDGAAAGGTALVTGRRKTPWRVVLAVVLALVAAAGFYAKYRLMMDPSAADGGHGLIGFSDH >OGLUM03G04170.1 pep chromosome:ALNU02000000:3:3096063:3100385:1 gene:OGLUM03G04170 transcript:OGLUM03G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGGGEPSPAPGVGGRKHLSSIANHVLRQCSLTLDRSVDELVADFELGLKTAAVDNYSRRLVEFCILQALQVVTSVDLGDKIHDGSLSRFTFDMMLAWETPTPSDQQVTMESIAKEREDKKEPLGANEAVMGDETSLFYSDIMPLLVNEEPTVGEDAYVWFGSVFPLACDVINARFTFEALTATTANRLHYPAYDKFLKEMDKSSEFLQKVSTPTGTELAEDEFILHIEGTAGTQRVVRHIGTTSWPGRLTLTNKALYFEASGKISYEPAFKVELTGSEIGKQVKTTSTGPFGAPLFDKGIEFELPEPLVLEFPEMTSSTRRNMWLTLIREVIFLHRFISMYSIDSPIHKWEVHSRIILGVIRLHAAREMLRMSPPPPSSFLVFSLYDELPKGDFVLEQLANNLKETSMITPLSASHVFKGLSKSHRVALSAEIAKEHDKDSNSHEQPLASLENTIGQVRDEAREVTVANVAIEGMKEEGITDSLLVLVGLVSPMSKLFPVIQKIISWEKPLVTISVLAMMVLTIYREWVGFALAAPLILAAGFMVWARRRKIGALCSEVIIDTSSDKTTMESLVEAQQSLKRVHEYIKTANVVILRVSSIALARSPKHTETVIWMLTGLALALAVIPFKYVLIGVMAGGFMSNTRIARAMSNPQGSRRWREWWESIPAVPVRAVDGGGL >OGLUM03G04180.1 pep chromosome:ALNU02000000:3:3102178:3108346:1 gene:OGLUM03G04180 transcript:OGLUM03G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFKGPGEKEKEEEAGDKAAAEEAGDGFQVVRGKKKKRPNGGSASGAGSGTMMAKDKAAAAAAAEPWTKAKVSFHDPNIPRPQDVYAIRVNNYNVPFDHVWLERTEDGSRPIHPLEKLPMEQFIDRNVPESEPVKPADLEDTPFTLVEDKNGLADLAKKLKSVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRIYIGLYLKEHFKDPTKRKVMHGADRDIMWLQRDFHIYVCNLFDTGQVQKRSNEICLQLYEKELLTDTSYLHIYGLQEHDLDAKQLAVVYALHQWRDYIAREVDESTGYVLPNKALIEIAKKMPTDTAELKRMVKSKYPFVDENLDQVVGIIWNATESSYAFESRAEQLKKERLEQLTDRVQTISSPEMKTSMNLSGQIRSMDKEILSDNIHQQVAQATFQELKRPMALGAVGNSTSGGQRDFFGGFSNKSEKMEKAKSYPAFYYPQLPQYSPEVGYGFQSINRTMAGTAQSPTGNKERDLQNPRRRQYFPPSGNISDTHQ >OGLUM03G04190.1 pep chromosome:ALNU02000000:3:3109233:3110507:1 gene:OGLUM03G04190 transcript:OGLUM03G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2A0] MAAAAATTPNSLLLRRPAAPKPASAAASPLRLPTRAARISCAAVATPAQSSSSPATAAADRGVYNFAAGPATLPLSVLQRAQAELVDYRGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHEVLFLQGGATSQFAAAPLNLCASPSDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTSLPPFDAIEQNPEARFLHICSNETIHGVEFKDYPEPKNKSGILVADMSSNFCSKPVDVSRFGLIYAGAQKNVGPSGVTIAIVRKDLVGSAQPITPVMLDYKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGIIYDAIDASGGYYICPVEKSVRSLMNVPFTLAKGGDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >OGLUM03G04200.1 pep chromosome:ALNU02000000:3:3112157:3117991:1 gene:OGLUM03G04200 transcript:OGLUM03G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLMRFFLSSGGAAYTLLTFFLRMRLSSTVHTPCRGSSPPPPPNHPPSSRFMASAGVRSAKPAAGGGWEQ >OGLUM03G04200.2 pep chromosome:ALNU02000000:3:3112157:3118182:1 gene:OGLUM03G04200 transcript:OGLUM03G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >OGLUM03G04200.3 pep chromosome:ALNU02000000:3:3112157:3119740:1 gene:OGLUM03G04200 transcript:OGLUM03G04200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53110) TAIR;Acc:AT3G53110] MADGGKPPTPEKKSWADVEEEEEAKAKAAAAAEAASSSSSNEPAVDAQAKQIEALSLSVPEEHGGSGGGGDDQGPPLLDDSDESQIQAVTSGGTVYESAAAFEDLKLTPELLKGLHDEMGFSRPSKIQAVTLPMILTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPNRKVTQAICICPTRELAQQNKSVLMRMGKFTGITCACAIPPAQKDYVPIAKMPKITDQVVIGTSGTLMKWINHKKILTNDIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDERAKIAVIKDKIFEFGQKVGQVIIFVRTKQSTKDVHNALTLEDYVCSSIQGSLDQSEREKIIQEFKNGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNLLCGETDNTVMRKIETYFQHNVPEVRNWQSEEDFERALKDAGLVE >OGLUM03G04210.1 pep chromosome:ALNU02000000:3:3116957:3120723:-1 gene:OGLUM03G04210 transcript:OGLUM03G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) TAIR;Acc:AT1G64770] MATSSLLPLHLPTRPSAVKASAAATAAAAPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLQLRLADGLVTSYRPKVYWKDDGCREVLHTVAGAGAGGEVKGGVGLALSEVSSSGAAESLLVGSEWSVVDADSDSYDAVQVELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLRSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREDGGWFGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMYKKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNLKR >OGLUM03G04210.2 pep chromosome:ALNU02000000:3:3117055:3120723:-1 gene:OGLUM03G04210 transcript:OGLUM03G04210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G64770) TAIR;Acc:AT1G64770] MATSSLLPLHLPTRPSAVKASAAATAAAAPTPQSLEESFGRKGLRFAADPATGAPTAELSVRNGSSLQLRLADGLVTSYRPKVYWKDDGCREVLHTVAGAGAGGEVKGGVGLALSEVSSSGAAESLLVGSEWSVVDADSDSYDAVQVELGCTKGSGTLEVTYVVTLYPLSMATAVMVKNNGKKPVSLRSAMLSHIKFDKRRGTAVEGLRGCPYCSHPPPAAGFALLTPAEAMKREDGGWFGGGGGEEPRQGVWTVEDNLYTILKKKVSRVYAAPPEERKKRIYSTAPSKFTTIDQNSGLGFRVVRMGYEDMYLCSPGEMYKKFGKDYFLCTGTASMLVPVVVNPGEEWRAAQVIEHDNL >OGLUM03G04220.1 pep chromosome:ALNU02000000:3:3123728:3127254:-1 gene:OGLUM03G04220 transcript:OGLUM03G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPPQVPAAAPAPAAAAAPPAPAPAAAATAAPVADQTTDLLQKLSLDSQPKAVDAATEPAGAKKGPAASQPLSVAIPPERSITPVLQDFMDPNMFYLPAYYYGELCWFAKLPGYDGSVSEWDDYPRYVNPDGVEITPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGQHYQYPTSYYQPPTPVPSTTQGDLQPSANPDKPTAKADPAKTTTNGAPNGTVHSNSGTVPLGSSQQNSSLTPDGTYRAPLLGGVPSAGYLDSTYGYDSTGAHFAWYDGSAYTNGQQRTTTTNHMSSSTFSNGSSARTQNKGSTPQQMGMNNRRPTTTTGSAAPTYPNRMYPSTRSYSQYGNSYKTGLSYSTNGYGSNGYGSNGYDSRLYGRWGLSMDNRYKPRGRGNGYYGFGNESQDGTIELNRGPRSGRFKNQKLFGHTVTIAVKGQSLPTSDSKNATDVPDRTQFNRDDFPVQYDDAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQAKSSKCPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSLSLKWHIVKDVPNNILKHIILENNENKPVTNSRDTQEVNLDQGIQMLKIFKEHVSKTSILDDFAFYENRQKLMQEKRVKQQQIQKQVWDSRAPSSVTGEKQQDAATAKPKLPNGVNGELKVPAENGTAPVVTYAAKVAQTAATEKPALANGTVKAS >OGLUM03G04230.1 pep chromosome:ALNU02000000:3:3128179:3129794:1 gene:OGLUM03G04230 transcript:OGLUM03G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCAVRFSHTNSILPLHGRTPKCRVCIVLIWACYGRATLYWLIAALLPALDGVAVSHLCRDENKVAHGFAKLGHKARHPTRYSCSCNVMLIEGKSTRTEQHNVSR >OGLUM03G04240.1 pep chromosome:ALNU02000000:3:3138443:3151801:-1 gene:OGLUM03G04240 transcript:OGLUM03G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNVGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASYYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARAMDTATATQLQDS >OGLUM03G04240.2 pep chromosome:ALNU02000000:3:3145343:3151801:-1 gene:OGLUM03G04240 transcript:OGLUM03G04240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MGFLGGLGYGVQGTYLLDVIQDILLKSYHTINQVHIVYLGHNDGLNASLTASLHLQLLSGVFTRSDEARDAILYSYSYGFSGFAAMLNSTQAAKLSEAEEVISIFRSRMLEIHTTRSWDFMGLSLHIQNEQSAGMQLKYGDDIIVGILDTGGLEAKQTVIPAARRAYIPKQTFAFCQSLLSSRLLLPLTVQN >OGLUM03G04240.3 pep chromosome:ALNU02000000:3:3138443:3142804:-1 gene:OGLUM03G04240 transcript:OGLUM03G04240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like serine endopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT4G26330) TAIR;Acc:AT4G26330] MHLFFFMCVWPESQSFRDDGHLGDIPSSWRGTCVEGEKFDPATACNRKLIGARYYLAGFESEVGPLNTSGGAEYRSPRDRVGHGTHTASTAVGAVSPDASYVGGLGRGVARGGAPWSRLAVYKVCWFKDLTGRCSDADILAAFDDALRDGVHVISASLGSTPPLMPLFMTSTEIGAFHAMQLGVPAVFSAGNDGPDAAMVQNVSPWVITVAASTIDRRFPTVITLGNNVSLVGESFNVNDMKMRLVESGSVFSDGSCSFDQLTNGSRAAASGRIVLCFSTTTASSGVAALAVYAAGGAGLIFAETISRRSTQDNFLPTVHVDLRQGTRILDYIRGSSRPPTARFSPSTTLVGKSPAPAVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPMSSPTVIPLDKRSVTWNFDSGTSMSCPHVSGIVAVVRAVHPTWSPAAIKSALMTTAYMYDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDAGARDHVLFLCGLGYTRYQIRQMVLPSPSLDTSCGGEGGGAAPPEYDLNYPAIVLPRLNATVTVKRTVTNVGPRRDAVYRAAVVSPHGARAAVWPPALAFSPYRDTASYYVTVAPAKLSRGRYDFGEIVWSDGYHRVRTPLVVRVTTMPDTDTGVDVDVAAARAMDTATATQLQDS >OGLUM03G04250.1 pep chromosome:ALNU02000000:3:3143571:3145037:1 gene:OGLUM03G04250 transcript:OGLUM03G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKQTFAFCHSLLSSRLLLPSAAAAPTAPALPVQALLTTAGLLPRHPDLSLVALNSLLRLLSRRASSPAHPLLALRLLLLMLSPASPLPPPDHLSFPFALSAAATVSPSPGAQLHALLVKNGHFPSDHYVTTALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYTRTGMAGEGLGVFRAMFVDGVAPDAVVLTTAIAACAQAGALECGEWVHRYVEASAPWLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGMAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVEDGLRLLKEMRRRYGVAPGHEHYACTVDMLCRVGRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVAAAELGKLGADEGVYVQLSNIYLDSNRKDDARRVRKLIGSRGIRKVPAYSAVEVDGVVRSFVADDQAHPQRVEIWEVLGLLADQMGGEPDEEEAMAALS >OGLUM03G04260.1 pep chromosome:ALNU02000000:3:3151232:3155635:1 gene:OGLUM03G04260 transcript:OGLUM03G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMKSPSERKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >OGLUM03G04260.2 pep chromosome:ALNU02000000:3:3150587:3155635:1 gene:OGLUM03G04260 transcript:OGLUM03G04260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFKGNPYFNRVSSNATKSESPKMKSPSERKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >OGLUM03G04260.3 pep chromosome:ALNU02000000:3:3151232:3155635:1 gene:OGLUM03G04260 transcript:OGLUM03G04260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVGDVFGGCWAWKIGTGPESPKMKSPSERKEKDDSMLPSNAKEVEELRRESARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYKGYITSDLREGLTIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLPWFTRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPMLIQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQMEEDPSISLVSGTEV >OGLUM03G04270.1 pep chromosome:ALNU02000000:3:3156746:3164821:1 gene:OGLUM03G04270 transcript:OGLUM03G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function XS (InterPro:IPR005380); BEST Arabidopsis thaliana protein match is: XS domain-containing protein / XS zinc finger domain-containing protein-related (TAIR:AT5G23570.1); Has 565 Blast hits to 510 /.../ins in 121 species: Archae - 2; Bacteria - 90; Metazoa - 191; Fungi - 32; Plants - 51; Viruses - 4; Other Eukaryotes - 195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G22430) TAIR;Acc:AT3G22430] MKNPNPPHPKHSSSSAAAAAMAPRKSRWGPPPPAGEKGAGAASTSARTPTPTPSTAGDPRRHPPPPGPGARNPAASSAAAFRPPPQPRVETPPPPSYGFHNLDRRTMLLADGSVRTYFALPPDYPFEPTPLPQLPHLPRGAGHEVWPPHHPPPPPQQQQLQLAQHDAKRKHLAEHDEGFHSRHPKQPRFEAAPPQQQQLPPHAAVDRHAVRRAFLKYAKMLNESAAQRRSYLEGGRVPCLACGRSSKDFADVHGLVMHAYNPPNVDSFIDHLGLHKALCVLMGWDYTKVPENSKAYQSLLPDLVQASREDLIIWPPTVIIHNTATGRKKDGRAEGLGNKEMDKKISELGFAGGKSKSLYGKEGHLGLTLIKFANSPAGLKEAERLADFLERQDHGRIGWLRARANQSVGSDNSPLLVETDNRTGEKRRILYGYLAISSDMDELDSDSRKRASLKSKREFDPSD >OGLUM03G04280.1 pep chromosome:ALNU02000000:3:3165778:3166365:-1 gene:OGLUM03G04280 transcript:OGLUM03G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSDDASTSTSAGGGGGCTAETSPCSSSSSLRCKNSGGVGGEDDDDGVVGGGGAVVAKNASALSDSGLSSAIASRRFFLSSPGRSNSIVDTSAHGAAVSVGAAGVAVPTYSPDPHADFLRSMEEMVAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRITAAANLDDGEPPRHGRHLHESH >OGLUM03G04290.1 pep chromosome:ALNU02000000:3:3171861:3172867:-1 gene:OGLUM03G04290 transcript:OGLUM03G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRRPSEKAAGGGGGEQGIRYGDVFPVTGSLAAKPIAPRDAATMQSAENLVLGKTVKGGPAAAMESAASRNEEMGVVGHDQATDAAAEQGVNVSDTLVPGGGRIVTEFVAGQAVGHYVEQDDGAAVVAGVVGAAPGAVRVEEPAKITIGEALEAAALAAGGTPVERSDAAAIQAAEAKATGTDTYMPGGLAAQAQSAAAANLWTARDADKTKLGDVLSNATAKLAADKEVESGDAARVAGAETRNKPGAAARPGGVAASMAAAARLNRGPTT >OGLUM03G04300.1 pep chromosome:ALNU02000000:3:3173619:3177524:1 gene:OGLUM03G04300 transcript:OGLUM03G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASDGSSAAAAFWEPLAAALLRASYPAKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAATTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVERLTRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFRMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >OGLUM03G04300.2 pep chromosome:ALNU02000000:3:3173619:3176965:1 gene:OGLUM03G04300 transcript:OGLUM03G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARRRLWRGLTTAAAASVGVEADTSALLARLVAEPEYRVKATMEEASDGSSAAAAFWEPLAAALLRASYPAKANLVLEWKLEKLIKEGIRDCEPYSVIIRFCRETKNAEFAMKVFEFVEELGIQLNTGIFNALINAFLSVGDLLAATTLYEAMEDIEDCKPNSATYDAFISAFSRLGSGHAMMSWYLASKDAGFTPSIKAFEYLITGFVKLDRLDDAEVVFEEMICFEIKPNFAILEAKLELLSRRKDPNRVKVFLELVSDGNQELSEATVERLTRLCLYEDKIGELDQLLSLVQGMHTSSLTKLHCGIIRFYANADRLSDMEHAIFRMLDNGMVFAHSEDVEAVICSYFRHKDFDRLDLFLNRIRSLYKLTRSTYDILISGYQRLNLHGRLDLAIKDMREAGFA >OGLUM03G04310.1 pep chromosome:ALNU02000000:3:3178862:3186363:1 gene:OGLUM03G04310 transcript:OGLUM03G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRAHVLKLYRQALRMTRRAPVHARDELRQTVRAEIEKNRPTINRRLNTSGQTGNWEGKEWSRQLVVQVAAHHGIV >OGLUM03G04310.2 pep chromosome:ALNU02000000:3:3178907:3186365:1 gene:OGLUM03G04310 transcript:OGLUM03G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRAHVLKLYRQALRMTRRAPGNWEGKEWSRQLVVQVAAHHGIV >OGLUM03G04310.3 pep chromosome:ALNU02000000:3:3178907:3186365:1 gene:OGLUM03G04310 transcript:OGLUM03G04310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRAHVLKLYRQALRMTRRAPVHARGQTFLNLAFLSLLSNGNWEGKEWSRQLVVQVAAHHGIV >OGLUM03G04310.4 pep chromosome:ALNU02000000:3:3180232:3186363:1 gene:OGLUM03G04310 transcript:OGLUM03G04310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMDLRAFILRAHVLKLYRQALRMTRRAPVHARGQTFLNLAFLSLLSNGNWEGKEWSRQLVVQVAAHHGIV >OGLUM03G04320.1 pep chromosome:ALNU02000000:3:3182947:3183435:-1 gene:OGLUM03G04320 transcript:OGLUM03G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHPSPATTAGGSCGGKKDLRRLPHVYSKVLELPFPADTDVAVFEGPDAFHFVVSAAAALAGEVRVRTVRIHPGVVRVVVQAGGGGVHDDGDDDDMELDKWRSRLPEASCPAMAVAGYVNGQLVVTVPKGRGGGEGDNDGGEEAWRCCNGGKISGRLVVVQ >OGLUM03G04330.1 pep chromosome:ALNU02000000:3:3188182:3195235:1 gene:OGLUM03G04330 transcript:OGLUM03G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLFKSKIKWQHRSNDPASSQGQGLPQQTPPSPSPASSPSGGPPALSVSTVSSSSPSAAATPTGAAAAGAGGGGGGTGGEDYMLSEEEFQMQLAMALSASNSECVGDLDGEQIRKAKLISLGRGDRFAAVRDDEQTADALSRRYRDYNFLDYHEKVIDGFYDIFGPSMESSKQGKMPSLADLQTGIGDLGFEVIVINRAIDTTLQEMEQVAQCILLDFPVANIAALVQRIAELVTDHMGGPVKDANDMLTRWLEKSTELRTSLHTSLLPIGCIKIGSNYTGDDDDAINIIKMNEREFLVDLMAAPGTLIPSDVLSWKGNSLNSNARLTQNPLAGSSSTTDSNLSANALPPGHKGGQLPLFSSGDWISASQSGYEKDGATTSSQASSSGTTSVAAGSAFDSSWTLVSHGQSDDPSTSAGMSVQQKVILPGGEHPWNENINARNENIKLVSDLQGNSESINLFADLNPFGGREPKRTSVPLNGPDNRNNELQRRRENVVPSTRRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNIGDNAASSSQVPRPSAKNTNLNVGVRTDTPYMAAHNYDNSMAGSSAMKMTSTARIGKVPDKVLYGDLDKGLTNSRLGDQPPIERHKWGNSVEGRIPTGTVHNQAKEHKENFDGKQDNKKLHPDPKKSPLDRFMDTSMPSRNPESVSPSFARSHKLDTMFDDVSECEIHWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCQIDEKRRIKMALDVAKGMNCLHISVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDKRLDIPKEIDPLVARIIWECWQKDPNLRPSFAQLTSALKTVQRLVTPSHQESQSPPVPQEIWVNSSTP >OGLUM03G04340.1 pep chromosome:ALNU02000000:3:3195775:3198409:1 gene:OGLUM03G04340 transcript:OGLUM03G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVISKQRSHQQQSSDRRRKPSSHFASPQSMRGFNAVNCRAFHSSVSIGILPSPPPPPARTYSSPEPKTPKSQPHHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLELPPAGRSDDVVVPLHAKSAPSSPTAGSGVSFFSDSDTAIATENLRRILHLKIADH >OGLUM03G04350.1 pep chromosome:ALNU02000000:3:3199650:3199934:-1 gene:OGLUM03G04350 transcript:OGLUM03G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESAECECCELREECTRGYILGVKADFGGRWLCGLCSEAVRDEAAKLGRNRGGGGMEEALRDHMSFCGKCRKNPAFRVADGMRQMLLRRRSK >OGLUM03G04360.1 pep chromosome:ALNU02000000:3:3202103:3206994:-1 gene:OGLUM03G04360 transcript:OGLUM03G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MNATSSFHEITISCGLLNFCKFAIEAINCSSSSPRKTSPPQTLADRSAAGLLRTNWRASEQEIPPIHPASEGWGRRRSSSDEYRGFKMGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTRQHSQGFKFKLPQFVIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRQGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYTFPPECIKHAAYTGTLQCYNEQNEALS >OGLUM03G04360.2 pep chromosome:ALNU02000000:3:3202103:3207483:-1 gene:OGLUM03G04360 transcript:OGLUM03G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05460) TAIR;Acc:AT1G05460] MPRASEQEIPPIHPASEGWGRRRSSSDEYRGFKMGTYVRNYSDDEFSVAGEKPDVEFMDYQNDGSIQDYSLEDAPVVVTVPFPFIDGKPKSVLVGETSADTISIENTSSEPVNLWSVRIFSSNPEDSYVLSMMKPPLNDTDEEAKRNFLGLTSVEDRTLQPGQTLTIWLSCTPKDIGLHTSIVHVDIGDEKIERVAFLLADDNVSQALFSDKPYSRKHTQKKKFECSSFVPGCRPTRQHSQGFKFKLPQFVIPADIRELIQSKQRPDVLSEELNMTNYAKFFSTLLVMEEINLEEEMRSYDMERILMRRRGLEFLSLEVPGLAEKRPSLVHGDFIFVRHAGSDARPYQGFIHKVEADEIFLKFDNQFHLAHRDRNQYDVSFTYNRLNMRRLYKAIHEAELLGPDILFPCRSSSGSVKKGPFKPLNPHINTEQADAVATILGCRGVAPYVIYGPPGTGKTMTLVESILQLYTAKRRANVLICAASNSAADHVLAKLLQASYLIRPSDIFRLNAASRQYEDVDPDFIRFCFFQDMVFKCPPLQALLRYKIVISTYMSSSMLQSEGIRQGHFTHIFLDEAGQASEPEAMVPLSGLCGRDTVVVLAGDPMQLGPVVYCKQAEKDGLGKSYLQRLLFEYEQYSTGDPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEVSSIYDSIDLPNKSFPVLFVGIQGCDEREGNNPSWFNRIEASKVVNIIRNLTRGGDVREADIGVITPYRQQVVKIKKALETFEMPDLKVGSVEQFQGQEREIIIISTVRSTVKHNEFDKFFNLGFLSNHRRFNVAITRAKSLLIIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPEETQVSGFRDSQDEPARWGYNQQQESSANYSYKQDPHDSGSEHVNGLPSTENEVEWSEKTLNEEHQPCSSAAEADSPEFMLKQKAEEEEHVEQDGVQPEQCPAKDNKLQDAYAAKYTFPPECIKHAAYTGTLQCYNEQNEALS >OGLUM03G04370.1 pep chromosome:ALNU02000000:3:3215919:3216303:-1 gene:OGLUM03G04370 transcript:OGLUM03G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTPAAIDEVAALLMEIRGPSEQVHIECSKIPEQHIAHLQKFTKHLNSSQDRFIVTKPRYGPCFSEP >OGLUM03G04380.1 pep chromosome:ALNU02000000:3:3216335:3220687:-1 gene:OGLUM03G04380 transcript:OGLUM03G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OGLUM03G04380.2 pep chromosome:ALNU02000000:3:3216337:3219377:-1 gene:OGLUM03G04380 transcript:OGLUM03G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGSNTTKKSKLSWSKSLVRKWFNIRSKAHDFHADDVAAIGRRGGDDEWRGSSFTRREPSTVKKSKTERSSRRSHERSRRGKIDLDAAEATVTLDYKIFVATWNVGGRSPPNTMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPDPVVEMDDDFEGLSSRQNNASFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGRRPSDYEATCRWGGSSDDENNTGESPSTVYSPMSYGYGNPSSLEESHRPAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKDGDEMRRNSDVLEILRKTRFPMVYGQYERSPETILEHERIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWNEGKIYFPPTYKYSNNSDKYAGDDMNQKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OGLUM03G04380.3 pep chromosome:ALNU02000000:3:3219372:3220691:-1 gene:OGLUM03G04380 transcript:OGLUM03G04380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGVHSSSDYIHSLRRTRAQNLAAAEEATTSPPHWNRTLLEPLERDLPLSLSLVLLAQLSSAQLRASSSKKLKASSSITFVLLPLLQKPARTLVLPASFLQRESRFCSHSQSSSSSFSLCVCLSSSSFHQITIFVYRETNSGRPHCLLPLLGPYLPSNCPFRCNQQFVWLHECSPEKQ >OGLUM03G04390.1 pep chromosome:ALNU02000000:3:3225371:3225819:-1 gene:OGLUM03G04390 transcript:OGLUM03G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADDAWIEILLRLLGTTLGFIQLLQPSIISNLASHFIFKSFILAAAIQTQDFWSVVHAITGEVCYNSTIHVGSDWFSPLSWSVALAAAVVSEVAWTA >OGLUM03G04400.1 pep chromosome:ALNU02000000:3:3228783:3229431:-1 gene:OGLUM03G04400 transcript:OGLUM03G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPATNQSVEDKAPAIDPSERHPLEEDKAPVMDLSGKGKALVVDPSDLGEYIDELKAIDDSREEDKKFWIEMNRQIREEREGILNQYYTKGYAEYEVDDDDDEDEGNKGHARVAAPSGRRRFRHGVALKKNQSGGGSIRKI >OGLUM03G04410.1 pep chromosome:ALNU02000000:3:3239053:3243900:1 gene:OGLUM03G04410 transcript:OGLUM03G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCVADGPPRMEASICSTDEWTYGRGRRSIDGGSAGVGSRLTGGAAPPRADRWGPLRSAVIALTDRWAGDGWSPRGARLTGGAWPWMRYIYMNRSLRCRCPPSRLVGGKPEAISSIESNLPPPDFSAQHSPSSGDPASPPPPPPPPYLHRNGRCHRRAHGRGVRPTRPEGFPRSPVGDMGEGTPQNPPGARRLRPFSRYPFTYIPAIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKFLNAYTVSGFRVPEMPSQFDTQF >OGLUM03G04410.2 pep chromosome:ALNU02000000:3:3239375:3243900:1 gene:OGLUM03G04410 transcript:OGLUM03G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIYMNRSLRCRCPPSRLVGGKPEAISSIESNLPPPDFSAQHSPSSGDPASPPPPPPPPYLHSFARMADAIDEPMVEAFARLALKASLAHPWVTWGRAPRKYFGRNRLLPRPQNPPGARRLRPFSRYPFTYIPAIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKFLNAYTVSGFRVPEMPSQFDTQF >OGLUM03G04410.3 pep chromosome:ALNU02000000:3:3239375:3243900:1 gene:OGLUM03G04410 transcript:OGLUM03G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIYMNRSLRCRCPPSRLVGGKPEAISSIESNLPPPDFSAQHSPSSGDPASPPPPPPPPYLHRNGRCHRRAHGRGVRPTRPEGFPRSPVGDMGEGTPQNPPGARRLRPFSRYPFTYIPAIGMLRKIIYMRKAYIPKPAKKEAFLRRTAEMWDRHGHLLTNAKKFLNAYTVSGFRVPEMPSQFDTQF >OGLUM03G04420.1 pep chromosome:ALNU02000000:3:3244402:3256988:1 gene:OGLUM03G04420 transcript:OGLUM03G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILGPLPMAESSRQAAIDGCTWVNRVATSLAANCSSTTTTTVSTCPCCCNGGGPCEPFSARYTLHAADCGDHRERQMGGEMGSCIACCLALPPLATACSPLVTATLRASAMEYHEWEVNCPQLEGKFGVLFRLLALRFAWKHDIFRCCLNAYFEEVETSFVVTYDLPSCCSILSTEDIETDRSLLCGSLLANLCQWLLWSGMIQIPPSTHGGGLAISAPKIPNGFKRTLQPGIKLFTFDHSKGMCLETIYQFYGLSGSIDSSTPQDMDAMVKAMIKLINEDADSFARRAEMYYKKRPELMNLVEEFYRAYRALAERYDQATGALRQAHRTISEAFPNQMPSMSEDSPSSGQDVDPRTPEVLMPTRAPFDLDDLQDAAGVSPHLFTVKRNGTQPDDIGFSSSRKGLKQFSDLFAGSDSSQRVNFSDGKVRKGLNFESPDVKGKKDDSNDIMNLQHEVSKLLTERQSLKEKISSESQRANTAESEIHSLKDTISCLISEKDTTLLQYNESTRRLSVLECEISKAHMELKKLSDDMAMEVDKLKCAESQNSAMQSELETLDQKVRVQEQELEQSRKEIESFHFSLQDEMAKRKQGEDALCSLEKQYAQSQKEINRLTLDMEMANDRLNDFNLVKLNLENTVCELKKEVTSLELKIQIQAQELEQKREEADVMHAQLQDEHSNHMQKELALHALENLHSQSQEDFNLVKLNLENTSQEEVKQMARDVEHSNKKLSDLENNNLKLHDLSQGLKKTVAELNSMKDSALLQQQKSSEKVSYLEAQVLVVRSEMEKMVQKTQMLDQELEHKNKEISELQSSLQEQVQKCILAETSLLRLEDLHTNSQEEAKTLAHDLERLSEQLTEVENDRLDLQNISQELKNTISEINSEKDLMLLQQQHSLERQSYLEAQLLDALSEVEENKKEVQLLEENLAHKNDELNDLQNNLEEEGHKRMHAEAALSMVENLHSKSQEEVGKLVMDLDKLENELSELQGRNSRMEELSCELQNTISLLNSEKDTALLQQQLSCERACDLMSQLSKIQLELEKAEEKMQTMEQKLADKSEMVDFLQLSLQDEGKKRVEVETALISSGNLYSQSQEDVNRLTLEIERLNEMLNDMENKSSEYKNTILLLNSEKDMSVIQYKQSSLRIYELESKLSGVQEELDNAEQKVQMLDKELKEKREVVETMQASLQDEAQKRMKGEATLLTMTNLHTQSQEEVNRLTPEIERLNRKLNEVENVSCELKNTILLLNSERDTTVLQHKQALAELVNAEKNVQILDKELKQKREEVDSLQASLNEEAQKRIEGEVALLAMENLHSQSQEEVRGLVLKIETLHGKLNEMENSNGDLKNMICKHSEEIHVLGEQNLSAELTIKGLHDQLEKFTEMNIGLQNEVGIHVGEKEVLQQDLARQKEDKDILEKQLCSLEHELKAVNIRVATQQHLIEELQSKNIELEEVCNACDVEKTLLLEKLHGMEELSTEYSILKKSFSNAIVETEDLKEIVKELEASKNSLKYDVSLHATEKDALALELETLGKRCADVLEEKSILETSFSNVNYELQELRVKYKDSEESSRSYLADNTALLAEKHKLLSQLESTAVSLKFLEDKHADLRDNHGSLLSEKVLLCNQVKNMQDQLGIKNEQHEALLKLHQMQVNDYEEMVSSLQDKIRHMDQMLEHEQQKCADASFSTLILENSLVDARDKNLALFNECQKFIQATDSAEVLIAQLKEEARKEEEDKKALLNRNEKLRDGISEQIKVLNICKDLGPTDVVHDEIMLQTMSRETFNHVKHKEETEERNVFMDAELSVLGAILAQTVIGFRALHQQNCELVEEVESGAAELLFLQKENHKLIELNEQLEQRLQLGGNREEMLKIEILGLCKELSGLRQSYQTSQSEICNLTKKYDSLLQQYKVLVEKYNALDDENAAVIAECIKLDLLSSFFHDLTVESASVLVSLNNDMAMLSSVRHELDHEVTMLNRRAKILEMDFQHLKCILENLLEALGSRLVLSEFDSNTSKIICQELTIECNSSMTQLMQKDDKLRKVDEKVQFLQERNQELCRVLRDLEVAVEDAEGVKGDLEKKITTLTERGAIQDNETRLLREANNTLQVEVGIHKQKEESLMSTFETMRKEAEQHEREITLLVCDTITRSVNTMVLEEQVLEMMMEREVLETRFFTEKDMLMKEISSRDAYVDDLQKRVASMRGENAGLMAELAAYLPIVASLSDQIRALEELEDGTLLLSELNKEGKLEFVQKDRHVPESQDDSSGALKLRSLIARVEALHVVILDAKGRRDKEFTESVAQLEAANMEIQELKARKGSNAKEECTEDDRQKYDADNSKGKHVQIMKDIELDQVSTCALYGTGATIYPLGGDANVELDDEMLQLWETAERDCKNQTAKSSSSEHDIQAVEEVKSEYPSFELARGRDPGIDRLEISAVSLEPQQLWSKNVLDKLASDAQRLSIVQASIEEIKQKMVGASKGKSTVSSEYSSIRAQLQEIDGSILEQIDFNSNVTKKAENYPAFEVSAELEGYSSRRKISEQVQKGSEKVAKLELELQKIQYVLLKLEEEHEYKRVKAPEKRSRVLLRDYMTARKDKNDAGQKTKKKRIPFCGCVRIKSRTEP >OGLUM03G04430.1 pep chromosome:ALNU02000000:3:3257683:3263233:-1 gene:OGLUM03G04430 transcript:OGLUM03G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSAANGGGGGAGAARVPMPAAKPFLETLGGNMKETFLPDDPFRVVRRERGCGRRAAAALRYVFPFMEWAPSYTLGTLKSDLIAGITIASLAIPQGISYAKLANLPPVLGLYSSFVPPLVYAMMGSSRDLAVGTVAVASLLIGSMLSEEVSAAEDPALYLHVALTATFFAGVFQALLGVLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGMFGLDHFTTATDLVSVMRSVFSQTHLWRWESVVMGCGFLFFLLITRFFSKRRTRFFWVSAAAPLASVIIGSLLVYVTHAENHGIQVIGYLKKGLNPPSATSLNFSSPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSVAVMITLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYPAAVHLWQVDKVDFCVCLGAYLGVVFGSVEIGLVVAVGISILRVLLFVARPRTTVLGNIPNTMIYRRMDQYTAAQRVPGVLVLRVDSPIYFTNASYLRERIARWIDDEEDQCKEKGEMQIQYVVLDMGAVGSIDTSGTSMLDELRKTLDRRGLQIVLANPGSEIMKKLDSSKVLEAIGHEWIFPTVGEAVAECDFVMHSQKPGMVMGSGASHENLV >OGLUM03G04440.1 pep chromosome:ALNU02000000:3:3277574:3279543:1 gene:OGLUM03G04440 transcript:OGLUM03G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGGKKGGKKGEAMAAALPGEAAKEKRWSFRRPVHGEKAAAAADGVVVGEAEAGFDLSASESEFDQKRHAMAVAVATAAAADAAVAAAHAAAAAVRLSSRKAHQLPASAVEEAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLVRKQATATLRCMQALLAAQSQLRAQAQRVRALHEHHRTPPRPRPPSPPQHPRHRRSYEMDRSCEENAKIVEVDSGAGEPARRGGEYGHHGRWSPAPSAMTEVMSPRAYSGHFEDMAFAATAHSSPHHASASSELLCCPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKSGGGGGGAKMQRSSSSHAAAAQRGAQFPWPVIKLDTSSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVSYDFRDIVHVFF >OGLUM03G04450.1 pep chromosome:ALNU02000000:3:3282105:3285120:1 gene:OGLUM03G04450 transcript:OGLUM03G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASTVATRAAVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGNAPAYGAISQQILLPLLMLGSLMVCQAI >OGLUM03G04450.2 pep chromosome:ALNU02000000:3:3282105:3285120:1 gene:OGLUM03G04450 transcript:OGLUM03G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARTRFAGGGGAAVVLLLIVASTVATRAAVADDFFSPLSPLLAPVIGSMCKTVACGKGNCTAASGFPGYRCECEPGWKQMHVGDQASFLPCVIPNCSIDRACSNTIAPAPAPLPSPKNFSLPTDPCQLAYCGSGGTCKNGTGLSYHCECSEGFSNLLNITTMPCFQNCSIGADCASIGLSPSSNSSSSPAPPGSAGISNNGAISQQILLPLLMLGSLMVCQAI >OGLUM03G04460.1 pep chromosome:ALNU02000000:3:3291418:3291873:-1 gene:OGLUM03G04460 transcript:OGLUM03G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARRGRRRRVPVAASPLLILLVFLLAASLGMGRELGVGFFAFHACSDALDRVPAVVFAGACSSARKRSGDGGGAVAEEVYYSSWGSAVAVAGRRRLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGDKLFMP >OGLUM03G04470.1 pep chromosome:ALNU02000000:3:3312470:3316117:1 gene:OGLUM03G04470 transcript:OGLUM03G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2E6] MENQFQDGKVEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >OGLUM03G04470.2 pep chromosome:ALNU02000000:3:3312750:3316117:1 gene:OGLUM03G04470 transcript:OGLUM03G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2E6] MENQFQDGKVEVIEAWYMDDSEEDQRLPHHREPKEFIPLSKLSELGILSWRLNADDWENDENLKKIREARGYSYMDICDVCPEKLPNYEAKLKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRVAVKKGGMIVLPAGMYHRFTLDSDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVEKIINRGGTQAVEAR >OGLUM03G04470.3 pep chromosome:ALNU02000000:3:3312500:3312899:1 gene:OGLUM03G04470 transcript:OGLUM03G04470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2E6] MPRREDDAYAAMAGATRRVFSRLQRKLRGLRMNSVCEVHQISANFCQTQTRRLVPGVSI >OGLUM03G04480.1 pep chromosome:ALNU02000000:3:3316453:3318996:1 gene:OGLUM03G04480 transcript:OGLUM03G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMMPGMVKEEWPPSSPEEGEAPRPMEGLHEVGPPPFLTKTFDLVADPATDGVVSWGRAGSSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYFLVRTNYLNKRSHFYSLRFQGFRKIDPDRWEFANDGFLRGQRHLLKMIKRRRPLSYLPGSQQALGTCLEVGQFGLDEEIDRLKRGKNILLAEVVKLRHEQQSTKANMRAMEERLQHAEQKQVQMMGFLARAMQNPDFFHQLIHQQDKMKGLEDTFSKKRTRSIDIVPFLNPGEVSQGDQLKSTLLFDPRPFAELNDETAKSELENLALNIQGLGKGKQDVNRTRNQPRNQASNETELTDDFWEELLNEGARDDAGIPGMERRRPRYVDALAQKLGYLSNSSQK >OGLUM03G04490.1 pep chromosome:ALNU02000000:3:3325566:3331210:1 gene:OGLUM03G04490 transcript:OGLUM03G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2F0] MQGQQQQNAGGGGGDNASPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMALGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >OGLUM03G04490.2 pep chromosome:ALNU02000000:3:3325566:3331210:1 gene:OGLUM03G04490 transcript:OGLUM03G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2F0] MQGQQQQNAGGGGGDNASPCIVLDGPIIVGAGPSGLAVAATLRQHGVPFTVVERSGGVADLWTNRTYDRLRLHLPKVFCELPHVAFPPDFPTYPTKHDFLRYLHSYAARFAIAPLLRRTVTRAWYDHPASLWRVTTTTTSSSATSVITEYASPWLVVASGENAEVVVPKVKGRERFAGEALHSSEYRSGERFRGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGASTFGIAMKLLRWLPIKMVDRFLLLVARMALGDTEKYGLKRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFSGNGARFVDGNEMAFDAVIFATGYRSNVPSWLQEDGELFTEEGKLRSSGSSSEWRWRGPNGLYCVGFSGRGLLGAGADALRAAADIAGRWQETQQAAANISSV >OGLUM03G04500.1 pep chromosome:ALNU02000000:3:3350886:3351881:1 gene:OGLUM03G04500 transcript:OGLUM03G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2F1] MAGKGGKGLLAAKTTAAKSADKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTSKE >OGLUM03G04510.1 pep chromosome:ALNU02000000:3:3359248:3362212:1 gene:OGLUM03G04510 transcript:OGLUM03G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAGQIDPSPGAPAKSRLKRLFERQLLRVSPAERLPSVAGVGEKDESSEPSSVCLDGMVRSFLEDGVGVERPAGAARCCNCFHGGEASDDDDDGPAAAEAAATSDAAETIKGLVHCASLRERNLLADVSTLVERHRAAGARKRDLLRLLADSLRAAGHDAAVCISRWDKSSSHPKGEHAYLDVLLPPASDRAERERILVDVDFRSEFEVARPTKAYRAVLQRLPSVFVGKEDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYERDVPSPQQPDASAGELAVDGEGGGAWTQ >OGLUM03G04520.1 pep chromosome:ALNU02000000:3:3363969:3364752:1 gene:OGLUM03G04520 transcript:OGLUM03G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPATATATDEISPDQQIPFIVQAYYTNTAKREYNYRPTVRNTTSVAAVEDQMLPLFLTVEQKRRAYSLNQIFVFFTCPALSG >OGLUM03G04530.1 pep chromosome:ALNU02000000:3:3378416:3392080:1 gene:OGLUM03G04530 transcript:OGLUM03G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23020) TAIR;Acc:AT3G23020] MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMHSGGVVPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMVTEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCICNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISSFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKSGKRNTRKHVTS >OGLUM03G04530.2 pep chromosome:ALNU02000000:3:3383239:3392080:1 gene:OGLUM03G04530 transcript:OGLUM03G04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23020) TAIR;Acc:AT3G23020] MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMHSGGVVPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMVTEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCICNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISSFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKSGKRNTRKHVTS >OGLUM03G04530.3 pep chromosome:ALNU02000000:3:3383239:3392080:1 gene:OGLUM03G04530 transcript:OGLUM03G04530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G23020) TAIR;Acc:AT3G23020] MMSPCDCFLHATAPPLNPPSSLAAAAPGARWRDSNLSFQSSAVSAPARNVETSPNLVVPCTVHTQAAERKKSGRWVKYGGSIPAMLEALERNEDIGEALRPWRDTMSNRERTIILKEQKDWRRAVEIFNWFRRRRRHEVNVIHYNVVLCAVGRARRWDLVARLWHEMHSGGVVPDNSTYGTLIDVHCKGGRERMALLWLGDMIKRGLLPDEITMSIVLQVHKKAGEYEKAELFFKRWSLESDVKMKGHPCYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVSPNVVTFNTMIHVWGKHRRMEQVASLMRTMEEFQCLPDTRTYNILISLYREINDIDVAEYYFRKMKAENLLPDVVSCRTLLYGYSIKGMVTEAQALLKEMYERNMVIDEYTQSAVTRMYVDAGMLEQAWRWFEKFNYQLNSECFSANIDAFGERGHILLAEKAFMCCIKRKMLSTCICNVMIKAYGLVEKLDEACEIADGMERYGILPDYLTYSSLIHLMSTAKLPEKALYYLRKMQAAKLLIDCVPYSVVISSFAKNGNLHMVDCLFREMITSGIQADTYVYSILIDAYAEVGDVQKAEAYFGLLKKAGLCESATIYNSLIKLYTKVVYLAEAQKTYKLLKSLNADTNLYASNCMIDLYSDHCMVKEAREIFENLKVTGKANEFSHAMMVCLYKKIARFDEAHRISKEMQASGFLTQALSYNSVIQMYVSGGRMEDALKIFQKMLASNTPPNDATFKALKIILVKRGVSNNDIRRLELLRKNRIHDCLRHWYRMLCMAVRSGGSSSRRISDNSALRTHIFDIGNSKSGKRNTRKHVTS >OGLUM03G04540.1 pep chromosome:ALNU02000000:3:3392279:3402230:1 gene:OGLUM03G04540 transcript:OGLUM03G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >OGLUM03G04540.2 pep chromosome:ALNU02000000:3:3392279:3402230:1 gene:OGLUM03G04540 transcript:OGLUM03G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRGFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGDTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >OGLUM03G04540.3 pep chromosome:ALNU02000000:3:3392279:3402230:1 gene:OGLUM03G04540 transcript:OGLUM03G04540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRGFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >OGLUM03G04540.4 pep chromosome:ALNU02000000:3:3392279:3401907:1 gene:OGLUM03G04540 transcript:OGLUM03G04540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLQSNQENTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >OGLUM03G04540.5 pep chromosome:ALNU02000000:3:3392279:3402230:1 gene:OGLUM03G04540 transcript:OGLUM03G04540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLDAAAVSSPPRAPAARRCLRPALPLALRFHAFPGQVRVYRGGGIGVGVRSAGHLPSKRGLVRGFDSAMGMNEKVTNGNLEQPTTSTSGNNPSFPAEGNFNVVTVVSITFCVLHKIVIGQMQLMTKFLPWMSHNITSLPLACISDPMKKPVPLKLDVSFPQLPDIRWSISRLYYLFNSQLERNIALKNQQSLEECFWEAWACLISSSTHLRQKTRIERVLGFFLAIWGILFYSRLLSATTEQFRIQMHKVREGAQQQVIEDDHIIICGVNSHLPSILNQLNKFHESSIRLGTATARKQRILLLSDLPRKQIEKLGDSFAKDLNHIDVFTKSCSLSLTKSFERAAANKAKSIIILPAKNERYEVDTDAFLSLLALQSLPQIASIPTIVEASNSTTCDLLKSITGLNVQPVEMAASKLFVQCSRQKGLIKIYRHLLNYHKNVFNLFSFREVVGMKYVDVRRRIPDAVVCGIFRSGMMHFHPCEDEVLTETDKLLLIAPVSWRRRAQSTFSNSPNGAQNSSHYSESTEGQRSSSMALEVNETRLNSIRKRPSKTLSKSNDYTLGPREHVLIVGWRPKVTDMIREYDNYLGPGSVLEILSETPIKERSSIVNPLMQKQLKNIKVNHQVGCPMNYDTLKEAIIKFKKSRKHDQNVPFSVVVISDKDWLGGGMGYMPVTEPCYFPVIYKIEVHLLMDSADTAQVDKQLAYTLLLAENICQKHDIKVEHLVSEIVDTGLGKQMSRIKPSLSFIGAEEVMSLVTAQVAGSSELNEVWKDILNAEGDEIYIKEIGFYMKEGEKISFSELAERAILRREVAVGYVKGKKQYINPTNKLELLSFEMTDQLIVISEFEGQNTRMRKMTASANGDTDETSGLTTPRSCEVPLCSSYENGITRIPEETRLRDPEAYHPKAVCIGPYFHSGRNSPSFRRMEQHKHWCVNRLLERSNHSLEPLVQAFLLRLSKTIKTKSFQQLYAEPVDMTEEGIGMMLLFDGCFILHFLLRHDPNKGAEHEYWTKLDAGLLDHEYETLQWERPWEWSLVAIDMLLLENQIPFVAVRILFDILKTEHDKAVDLTACARNMFNKYLPAGMRTSTRPIRCQDVRCLLQLLYRSLLPNPKLHSDLMKPPPKPPRTGIDPAKKLDTDGVRITRRQQWYWWPLSHFQEPFTFLDIVFSHGKVQIPQLEVSDASIQLLQNLIAFEKCYQGTTSHVANYAAFMDALNSDHHDTELLRKRSIFDVQFTPAQPELSLRRRCKQDVDPSSENYLSRMMVDVVLYKEARASRKKTQTPMSDTAFFAVLAVTAYVLLAFCWYIVS >OGLUM03G04550.1 pep chromosome:ALNU02000000:3:3402758:3407499:1 gene:OGLUM03G04550 transcript:OGLUM03G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATLPFSCSSTLQTLTRTIPLRLRLHRRRFLHHLPSLAALPRLLLPRPPLLPHARRHVSVSAAPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGARAAVCEMPFATVASDDLGGVGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWVYETDPKHDWNTLIANKNTELQRLVGIYKNILNNSGVTLIEGRGKIVDPHTVSVDGKLYTARNILIAVGGRPSMPNIPGIEHVIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFIAEQMSLRGITFHTEQSPQAITKSNDGLLSLKTNKETIGGFSHVMFATGRKPNTKNLGLEEVGVKLDKNGAIMVDEYSRTSVDSIWAVGDVTDRVNLTPVALMEGGAFAKTVFGDEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIEEYGDVDIYTANFRPLRATLSGLPDRIFMKLIVCATTNKVVGVHMCGEDAPEIIQGVAIAVKAGLTKQDFDATIGIHPTSAEEFVTMRNATRKVRRSTTDEIGGILRGTTLLPSS >OGLUM03G04560.1 pep chromosome:ALNU02000000:3:3408224:3410397:-1 gene:OGLUM03G04560 transcript:OGLUM03G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLARPRHIALAGPKHATCRRRRPRPALPQISERAGCRRCSPERSGSSSAGWLEHYVGGNYFPKEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDDQEEEDFVWGWHALPNPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLPFSGRAYFIAELDAWVGLSRKPSTTTSWRICSMDVISDDYENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVVECCLDHSVSVPFIQLVTFSVMYGKNGELTTGNSRQFREYKNIPQGVSSEMLENPVAFWM >OGLUM03G04560.2 pep chromosome:ALNU02000000:3:3408224:3410397:-1 gene:OGLUM03G04560 transcript:OGLUM03G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLARPRHIALAGPKHATCRRRRPRPALPQISERAGCRRCSPERSGSSSAGKLGVGGGWSIMLGWKGNYFPKEKRRHLYLVLDDWSRGYSIRKIDLSVGNDGDGEHSMPPAIFSFEAPRAGPKYFAGAFDSKILAMQPVDPQFSFNPMAGIPIYDVRMRSLMVGPRQRPDPVDPIYIPVGGRLFALSVGSFQLLYPPPDDESDDQEEEDFVWGWHALPNPPFQHEHVTSYAVHRDGRTIFVSIGGESSATFSFDTAESVRDGCMWKNHGQWQLPFSGRAYFIAELDAWVGLSRKPSTTTSWRICSMDVISDDYENGQAVKYTREELATTVSDHELVTGVTLVSMGGGSKFCVVECCLDHSVSVPFIQLVTFSVMYGKNGELTTGNSRQFREYKNIPQGVSSEMLENPVAFWM >OGLUM03G04570.1 pep chromosome:ALNU02000000:3:3412109:3414475:1 gene:OGLUM03G04570 transcript:OGLUM03G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2G5] MTAQPKKRSVIESGDGGLGLGLALFISNGEDLGPIIRHGFDSGKPEALMQNLRSIVKKKEVEIEELCRLHYEDFILAVDELRGVLVDADELKGMLTGENIHLQKVSSATLLNLDELFELYSIKKNIGEAITTLKICVKVISLCMACNGYIAEAKFHPALKTLDMIEKGYLKNIPLKLLKKVVAKHIPLIKMHIEKKVCSEFNDWLVHIRRMAKQIGQVSISQASMARQKDEEMRARKREAEAHSDARSDEHVYTLDVENTDEESTLNFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPLLAQVAGFFIVEQRVLRSADGLLSESQVESTWETAIAKITSILEDQFARMSIASHLLLVKDYVTLLGTTVSKYGYQIAQLIQVIAKYKDKYHQLLLIESRKQIDDILANDSYEQMIIKKEYEYNMNVTAFHFEPDDVVPEFPYVAPFSSGVPDICRIVRSFIGDSVSYFSYSARTNIYEIVKGYLDRLLIEVLNDSLLNMVYARSLAMSQMMQLAGNISVLEQSCDMFLLFSAQLCGIPKRIAQRSHSGLTAKAVLKASQNAAYNSLINLANFKIDEFMVLLDDVNWIVEEAPDNPNEYMNEVLIYLETLVSTAQEILPLEALYKMVSGAMSHISDSIMTTLLNEGVKRFTVNAVMGLDIDLKLLEAFAEEKFYRTGLADLGKETTFADCLVEIRQLVNLLLSSQPENFMNPVIRGRNYGSLDYKKVAIVCDKYKDFADGLFGSLSNRNKQDARKRSMDVLKRRLKDFS >OGLUM03G04580.1 pep chromosome:ALNU02000000:3:3429259:3434228:-1 gene:OGLUM03G04580 transcript:OGLUM03G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVRVVLVSVLLMQCCNAIMAARLLEGDLGSWLQGQGGVAGELILQVLKGGSPPGGNGCHQGPGGGSGGNCYQPVVVVAVLLMQCCNAIMAARLLEGDMFGSSWLQGLQGGVATGELILQVLDKGTSDSGGNGCHQGPGGGSGASCHQPVVLVAVLLMQCCNAIMAARLLEGDLGSWLQGQGGFAGELILQVLKGGSPPVGNDCHQGPGGGSGATCYPP >OGLUM03G04590.1 pep chromosome:ALNU02000000:3:3446723:3456627:-1 gene:OGLUM03G04590 transcript:OGLUM03G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSSSSATVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSSWLQCQVGAAGELILQVLDNGGSPPGGNDCHQASAKTMVRAVMVAAVLLQCCGVLLAARPLKGDVVAAAGGGGGGGGEMFVMQILKTSTPTTPVGNGCKQGETGGNGAPCHGSG >OGLUM03G04600.1 pep chromosome:ALNU02000000:3:3458657:3458914:-1 gene:OGLUM03G04600 transcript:OGLUM03G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAMVVRVVVVAVLLMQCCNAIMAARLLEGDLFGSSWLQGQGGVAAGELILQVLKVVPSGPPGNDCHQGGAGSGGQCYQPPK >OGLUM03G04610.1 pep chromosome:ALNU02000000:3:3478844:3479544:-1 gene:OGLUM03G04610 transcript:OGLUM03G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKLVMALVAAAVLMQCCGVLLAARPLEGDVAGGGGWRPMQTAGGGGGKMPVMQILQAVPIPPGNG >OGLUM03G04620.1 pep chromosome:ALNU02000000:3:3481990:3488757:1 gene:OGLUM03G04620 transcript:OGLUM03G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G06730) TAIR;Acc:AT1G06730] MQTHLEFAIHPFSLARSPMALQTLNPRHVLPLPLPRRRAPRPRVLHRPPPPRRRLEGAARPRAVAVAVNEARRRWPPAEGGGEEGKETDLATLGNLCVDVVLSVPQLPPAPREEREAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLEEEGISVVGMLDNSDSSACRNAYETLLCWVLVDPFQRHGFCSRADFSDEPAFSWIRKLPAETKTAIHHSKILFCNGYAFDELFPDVISSAIDCAIDAGTAVFFDPGPRGKSLLHGTLDEQRALEHSLRLSDVLLLTSDEAESLTNIRNPIQAGQELLKRGIRTKWVVIKMGSKGSIMVTKSAVSSAPSFKIDVVDTVGCGDSFTAAIAFGFLHNLPAVSTLALANAVGAATATGCGAGRNVAHLDKVLQLLRESNINEDDTPWSELIEASSFCSEVSVLSKTAVNSFSDRLVHVPTCNVVSNLLSMLEAVSERSTVQA >OGLUM03G04630.1 pep chromosome:ALNU02000000:3:3486072:3488427:-1 gene:OGLUM03G04630 transcript:OGLUM03G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKARARSPDFARQFWPVLCHAFSECSLIIMLFVTAVVSFTATRFARIWSLRPPCILCSRLDRLLHGNTWFSEDLICAAHKLEISQLEYCQSHNKLAHSDDLCERCLLSCAGLDETFKKTRHAHKLPELANGIVPDDVSTVKERSIDMTSVGHSSDEGSEELSYGGYSKLNVRHDSESENRISDDDEDEDGNSMIHKATQRSRDFLFHDSQLQPMISDTNSLSMHPSENVVLAEPMNTAPVPVSTAAKTDNVATGTNLVSAAKSSEHIAQGSREISLSNVNVSGNNHDVQPKIVPEQVCAELPKEKTFLVGIEEIGDSVGISGSPDEEVAKGFVASANAGMSSVLDACINRKNSMKSASRRRSNLQSPRWSEIISAKDNSSRTNQEVKTFLSQMSSARGFDGPWSEVAASPRITQIDDKQYDATGSRQFLETNYSNMEPFDVHATSEDEGDTSLEGLKQKVELGKKKMSILYKEFEAERSASAVAASEAMAMINRLQEEKASMHMEALQYLRMMEEQADHDQEAIERLNDLLTEREKEMLDLEAELDNYRRLHEPFGCKFDFTDGDMASGVLDSSDFMRDTMFDFEDEKANILKSLSKLEETLGMSSTDRHNFGGTYDSLQNMSVLHPEHWSGEPVSSQQIDENQSVDSGSCSHLDDGRISSMTSVKHEISLLNTRFTALETDQKFLKQILSSLKCSDDGVQCVQEITAHLRELRRIMTEQRERAVL >OGLUM03G04640.1 pep chromosome:ALNU02000000:3:3490630:3492466:-1 gene:OGLUM03G04640 transcript:OGLUM03G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQIGSVTALTRKWRALTSGRDERYISMHGDGGWSTDGWLHGQTSSYLQKLHAGSVARRFVPKPKN >OGLUM03G04650.1 pep chromosome:ALNU02000000:3:3492489:3502396:-1 gene:OGLUM03G04650 transcript:OGLUM03G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2H3] MQHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRKRDTLYAGLADLDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNVVWLPRSLSGAAVTNQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >OGLUM03G04650.2 pep chromosome:ALNU02000000:3:3492489:3502396:-1 gene:OGLUM03G04650 transcript:OGLUM03G04650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2H3] MQHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRKRDTLYAGLADLDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTNQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >OGLUM03G04650.3 pep chromosome:ALNU02000000:3:3492489:3502396:-1 gene:OGLUM03G04650 transcript:OGLUM03G04650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2H3] MQHGGGGGAIRVLNVAEKPSVAKSVAEILSRPSGGMRSREGRKRDTDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHSIVHEQVAHPNWGTYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNSIETVRPCAACNESEMFLKQRPCRNVVWLPRSLSGAAVTNQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMELSRFGSHSQTATPARNQSQTASGVRQGSSRQDLHTSFHPAVQFTNGQTPVVNPQGFRSTHTQSSGNASGQVQCTSCREPCVLRTANTEANRGRKFYKCQNLACGFFAWEDDVENSAPRGRGGRGRGGRSSSRQSSASASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVYGSCFICGDPTHFANVCPNLGR >OGLUM03G04660.1 pep chromosome:ALNU02000000:3:3492656:3498762:1 gene:OGLUM03G04660 transcript:OGLUM03G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMIACCYCARPAHARHGPSAQSSPHRLPICNQSRTAGFLFGAIKDEAAIWKEAGEVAAVATSFHFDSLLSKSETCMCIDKKCTLSIQPRRSASASRGGGRVIPESSVKVVGTNCKVINSS >OGLUM03G04660.2 pep chromosome:ALNU02000000:3:3492656:3498762:1 gene:OGLUM03G04660 transcript:OGLUM03G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMIACCYCARPAHARHGPSAQSSPHRLPICNQSRTAGFLFGAIKDEAAIWKEAGEVAAVATSFHFDSLLSKLTRSVLYPYNQGGQLQQAEEVGESFQNQALKLLEQTARSSTPHEQCY >OGLUM03G04670.1 pep chromosome:ALNU02000000:3:3503223:3504721:-1 gene:OGLUM03G04670 transcript:OGLUM03G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDLKTDEYCLLRSHNQQNVIDQKETARLLGSLVHPDAPGFAEVKNTVLPCRGCDLAPSNLDA >OGLUM03G04680.1 pep chromosome:ALNU02000000:3:3504847:3506793:-1 gene:OGLUM03G04680 transcript:OGLUM03G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01110) TAIR;Acc:AT5G01110] MAIPRRLAAAAAAETTKRSVAGLAAALGGSGGKPATADLAAAATAAAAAGRASECQSLLLRMSRRRGACRREIVSSLLGSSPTPQPRVFDLLIRTYTQSRKPREAFEAFRLILDHRVPIPAAASNALLAALSRAGWPHLAADAYRLVFSSSSEVNTYTLNIMVHNYCKALEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDAEAAMALVDSMVSKGLKPGIVTYNSVLKGLCRSGMWDKAREVFKEMDDFGVAPDVRSFTILIGGFCRVGEIEEALKIYKEMRHRGIKPDLVSFSCLIGLFARRGKMDHAMAYLREMRCFGLVPDGVIYTMVIGGFCRAGLMSDALRVRDEMVGCGCLPDVVTYNTLLNGLCKERRLLDAEGLLNEMRERGVPPDLCTFTTLIHGYCIEGKLDKALQLFDTMLNQRLRPDIVTYNTLIDGMCRQGDLDKANDLWDDMHSREIFPNHVTYSILIDSHCEKGQVEDAFGFLDEMINKGILPNIMTYNSIIKGYCRSGNVSKGQKFLQKMMVNKVSPDLITYNTLIHGYIKEDKMHDAFKLLNMMEKEKVQPDVVTYNMLINGFSVHGNVQEAGWIFEKMCAKGIEPDRYTYMSMINGHVTAGNSKEAFQLHDEMLQRGFAPDDKF >OGLUM03G04690.1 pep chromosome:ALNU02000000:3:3508002:3519665:1 gene:OGLUM03G04690 transcript:OGLUM03G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSSQAATSTPPAAATPLQRPPAQATAQPSTQRYYVGVQRDKGTGKWAACVVDPSNPTKHRLVGAFPDEHAAALAHDRLDLAFRGGGHRGAGANFRPAFHAVELEFLRLCAATSSPGSHCGLVAGGDKYDEKYSEFLRKIYHGVMDNSPSYKKFFDVILDFFIARAREIGREALEDGGDMLVERFVAMHKNKAVTPRWRAWYRRKVEEAHAKQVDAQQRLRQQAMEEAQAKQVAAQQEAMANKRSLVDCGIGHCKRLSGSCEQAQIRIDGFDSDRKMGFCWMDSDSCKRRKHEGGHDSSSRVQSQSSILSRNRILCYQLLEQCDDLKYGSSTNDYKAISMKRLELISILQKLQEVPIQLPYASPLKSSETNRLVQDGRNSSCRNIIDLDSDNDEDYTFANVDNIGANTTVVLVDSDDGDSVASFVDEKSSDSKQNANYIEESVLPEQHAQQQEISMLDNENISSEAQAVKKGKDSMDINDVIYNKSGHEEIGEEEAQAENVQIKGNLKKEIISVASDELACEVMRSQSPTNGNFDQYDNSSPVDELEGLWMDMSLAMACSKTVGSDHNIVPSENSCEQAEDECQHDFLMKDDLGIVCRVCGLIQQRIENIFEYQWKKRKQSYRARPSEHRNSSDADAIDKTSGAILEVVPDALCLHPQHSQHMKPHQVESFNFLVKNLADENNPGGCILAHAPGSGKTFLIISFVHNFLAKYPAGRPLIILPKGILSTWRTEFLHWQVDDIPLYDFYSSKADKRSEQLKVLNLWEESRSILLLGYQQFACIVSDHTSDTEAIMCQEKLLKVPSLVILDEGHTPRNEETDLLTSLENIRTPRKVVLSGTLFQNHVREVFNILKLVRSKFLKMDKSRAIVNCILSKVDLMGKSARSKNISDKDFFDLVQEHLQKDGNDKMRAEDQSIISGIDINDGVKAKFVHNLLSLSEATGEKVLVFSQYVRSLIFLEKLVSRMKGWKSEVHIFRVTGGSTQDQREQAVHRFNNSPDARVFFGSIKACGEGISLVGASRIVILDVHENPSVMRQAIGRAYRPGQSKMVYCYRLVAADSPEEDDHHTAFKKERVSKLWFEWNELCSSDDFELAMVDVSDSEDRFLESPALKQDIKALLKR >OGLUM03G04700.1 pep chromosome:ALNU02000000:3:3515985:3519437:-1 gene:OGLUM03G04700 transcript:OGLUM03G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFSSSTNQRDLTGGGGDGGDMSFQHYPPPSNPYSDSSAGGLIPLPASIVSHSHIAHGGGDEPAAFREAATADGGEMGLQTQLLMAHGAAARGHQGGLSLSLGTQVPVSLYQYRPAGMAAASLLSPSQSSPMAGRSAQNSIYVQNSRFLRAARELLDEVVNVRDAIKRKGDKNQGKDSGECKGGDAAGDDKAGSNPQEQESNSAPELSPSERQDLQNKVTALMAMLDQVDRRYRHYHHQMQIVMSSFDAVAGGGAARPYTALALQTISRHFRSLRDAIGAQAQAARRGLGEQDASAQGGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGTEMDSNSSSENGGKGKDEAISSEDRDEFQSPSSAAAARHAGVAGQLNNPFKSEAMGGAALDVGVGVVGLSSCLGGAMGTYATGLNLNHHVHHPGAGGTSLLHDALHHHHHGGGGDARFVSYGDMADLGGGGGYDGGSVSLTLGLQHCNNAGPVPAEQQGLLYGSAGDFDYINGSDDRQRFGPASQLLHDFVA >OGLUM03G04710.1 pep chromosome:ALNU02000000:3:3523357:3524440:1 gene:OGLUM03G04710 transcript:OGLUM03G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2I2] MAGDRWEDSPCVRMELDGNEGRTAAGGNRRQHQGSRELGPDGKPCIGGRERRQAGKARTTRHGHPPANGRAASTSLFGEARITIRKSAAKPKPSAFGSPWYGPNHVIRSTDRGRCVRSPTRREKDGGGLRH >OGLUM03G04720.1 pep chromosome:ALNU02000000:3:3527188:3533306:-1 gene:OGLUM03G04720 transcript:OGLUM03G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCLAALLGGAVAVAVLVAVVHCAVTYDKKAVLVDGQRRILFSGSIHYPRSTPEMWDGLIEKAKDGGLDVIQTYVFWNGHEPTPGNYNFEGRYDLVRFIKTVQKAGMFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKNAMQGFTEKIVGMMKSENLFASQGGPIILSQAMGVIVWTQIENEYGPEGKEFGAAGKAYINWAAKMAVGLDTGVPWVMCKEDDAPDPVINACNGFYCDTFSPNKPYKPTMWTEAWSGWFTEFGGTIRQRPVEDLAFGVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPLDEYGLAREPKFGHLKELHRAVKLCEQPLVSADPTVTTLGSMQEAHVFRSSSGCAAFLANYNSNSYAKVIFNNENYSLPPWSISILPDCKNVVFNTATVGVQTNQMQMWADGASSMMWEKYDEEVDSLAAAPLLTSTGLLEQLNVTRDTSDYLWYITSVEVDPSEKFLQGGTPLSLTVQSAGHALHVFINGQLQGSAYGTREDRKISYSGNANLRAGTNKVALLSVACGLPNVGVHYETWNTGVVGPVVIHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSLEGSGSVEWMQGSLVAQNQQPLAWYRAYFDTPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYAEGDCKGCHYTGSYRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALAKRTVSGVCADVSEYHPNIKNWQIESYGEPEFHTAKVHLKCAPGQTISAIKFASFGTPLGTCGTFQQGECHSINSNSVLEKKCIGLQRCVVAISPSNFGGDPCPEVMKRVAVEAIA >OGLUM03G04730.1 pep chromosome:ALNU02000000:3:3542549:3553090:-1 gene:OGLUM03G04730 transcript:OGLUM03G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTRTLNTAAAAANPTPPSPPAAGHPDAAAADGGAAVRAVCEKALAALQRGNHAKALRVVKDAVGKHGEGSPLLLRAQGTVLARYAAVLDEPVSRARHQRLALEAARKAVELAPDSIELAHFHAMLLYEIASDTTGYEAASSECNRGMAIQSPTDPAPHSLRLPAPDVEQVKAELSNLLQRSNMTSISLWVKNMNMGYTPEDKVRVFPIRRGGADDSSEVRLLPAAPAPRRPNEIKKANKTPEERRKEIEVRLAAMRLMEQQKHNATSASSSTSQSQSPGDEAPSSSCQSSVSGHRADRRKGGSRKAAASPVSGRMNQVREFWATVPMDRRLAFLSTSISELKSHYATAMHKEKDAASLVSDVLNEAIRFATRSGKWEFLVCGRCEEHFADAESHVHHAMEEHVGVLPPRLNDVVPEEIDDAWAEKLTGSTWRPVDATAALKILEEELADNVGSDRDKDSMSSDIWSTKDKSDTSDSSTSPHNEECESFGAVTREGDRKWPLSDDEERANILERIHSLFKILVKHKNLSLSHLNKVLHITMEELRKMHSASLLLNHSLDESPLCICFLDVSSLRKVLKFLQELMQASGLNDRNTDKDEEVADKDSFPKNRSNLEKVTLDSDSSLLILDGQAFEMRSDRDNVVADPFLSWLYTGPSVEEQLLDWNHMLEVRSDQCTHILHELEKEFSALQNSYEQKHDQLSSEEGLLAVDSLLCEEQRRRDDVDPYPFQGYEELLKKRQEQLELNAEELFSGCRSELHAISTILREVKTAPFRYDETFSGMTSNHRDYDGTEEDEWGFYDFEHSNDSVVQLVVSRLKEHVAMELNKIDARIMRISAVIEQLKLKLGPASVLDYRTIILPLLKSFLRTHLEELVDKDARERSDAASEAFLAELALDAKRNANKVSDTKQSHDKSKDKKKVKDSRKSKDLKDLSWSDQYLVRPDSVDEETSEQSLSTSDNYLNDQEEFRNRLRLEAEERKLEETLEYQRWIEEEAKKKHLAEQHRRTSPGSNGSACLRTDVNLNGDQDKHHCAQNNSHTHLEGINFGDFRFSEVPLQEEHSILRSCDSDLLQTKEKNHNEVHNGLGYPGTRPIASSDVDLIKPTVKVNGVWKNVEYTKATLKANGVGKNAENTNLPTIPSTQKSRRSTSQAHKKYIQGVTGTFPVDDGDDDTRPSIRQSGSPVSRWSSSGKAVDTANHSYQDTKQNQLPLLSYSHRVHGAHSAGRENSSSEKGTSNEKEVYGTGLKNAAGEYNCFLNVIIQSLWHLKRFRDGFLKTSSLHKHVEDPCAVCALYDIFTDLSKASEEQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRADCQVKSHEINYIGSWDCASSSCIAHCLFGMDILERMNCQSCRLESRRLKYTSFFHNINASSLRTAKDMFPDHSFDDLLKIVIMNDHLACDPEDVLGWQNNKESVDDISGTLAGISTEIDISTFYRGLDQGSKHSLVSVVCYYGQHYHCFAFEDGQWVMYDDQTVKVVGNWDDVLVMCKKGHLQPQVLFFEAAK >OGLUM03G04740.1 pep chromosome:ALNU02000000:3:3554193:3556856:1 gene:OGLUM03G04740 transcript:OGLUM03G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQKRTTLVYSFVARGAVVLADHAEVSGNFASVAAQCLQKLPSTNNRHSYNCDGHTFNYHVHDGFTYCVVATESAGRQLPVGFIERVKEDFSKKYSGGKAKNATANSLKREYGPKLKEHMKYCDAHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRKAGTKIRRKMWWENMKMKLIVFGIVVALILVIILTVCRDLNCW >OGLUM03G04750.1 pep chromosome:ALNU02000000:3:3558241:3560692:-1 gene:OGLUM03G04750 transcript:OGLUM03G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLVVGVARPSSPPAAAHVAYKIPAGDGPYARAKHYQLVEKDLDASIAWFWKAINSGDKVDSALKDMAVVMKQRGYHADAIDAIKSLRHLCPKQSQDSLDNILLDLYKASGRTKEEIELLKQKLRKIYLGEAFHGKTTKRARSHGRKIHVSVKQETSRVLGNLAWAYMQQGNFMAAEVVYRKAQMVDPDANKACNLALCLIEQRRPADAEAVLAGVLAGRYHARDDDHGAPHTGGKILAKVEELMARITGEVDGRSNSSGGNSSDDDDRDVEDEMVELLDVVVRQWAAPYRRSNRRLPVFEEITPVCREQMAAC >OGLUM03G04760.1 pep chromosome:ALNU02000000:3:3564178:3567768:-1 gene:OGLUM03G04760 transcript:OGLUM03G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKSIKLPCMQSQLSHKDTNKPSNINNNSTSLGQAKFKQIHMGAAVVEAEEEEGVGVVGEAMVEGMVDMITTKEVMVDMDTRVDMDTKGGMATREDMATIKVVMEGMVTTKVDMEDMKMVAGTTTGTEVVVVAGAVEEATGDMVVQEDMNVQVRHMNVVAEVEVAQAAEAMPGAVDEWAVAVGEATKTIRSSLVGCASPVVGCCMKNKNCGCVLHRTEP >OGLUM03G04770.1 pep chromosome:ALNU02000000:3:3569165:3571382:1 gene:OGLUM03G04770 transcript:OGLUM03G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLAVLPLLLLFVAGDSYATAGGGGGGGGGRRFDASRAVDVSWRPRAFLYEGFLSDAECDHLISLAKQGKMEKSTVVDGESGESVTSKVRTSSGMFLDKKQDEVVARIEERIAAWTMLPTENGESMQILRYGQGEKYEPHFDYISGRQGSTREGDRVATVLMYLSNVKMGGETVFPDSEARLSQPKDETWSDCAEQGFAVKPAKGSAVLFFSLHPNATLDTDSLHGSCPVIQGEKWSATKWIHVRSYSYRRRSAGKCEDEHVLCSSWAAAGECAKNPGYMVGTSDSPPGFCRKSCNVCTK >OGLUM03G04780.1 pep chromosome:ALNU02000000:3:3572365:3574283:1 gene:OGLUM03G04780 transcript:OGLUM03G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVLLVALLLLLSVTGETSATGGGGEGGRFDASRAVDVSWRPRVFLYEGFLSDAECEHLIALAKQGRMERSTVVNGKSGESVMSKTRTSSGMFLIRKQDEVVARIEERIAAWTMFPAECITFYCFARFVILERSENGESMQMLRYGQGEKYEPHFDYIRGRQASARGGHRIATVLMYLSNVKMGGETVFPDAEARLSQPKDETWSDCAEQGFAVKPTKGSAVLFFSLYPNATFDPGSLHGSCPVIQGEKWSATKWIHVRSYDENGRRSSDKCEDEHALCSSWAAAGECAKNPGYMVGTSESPGFCRKSCNVCTS >OGLUM03G04790.1 pep chromosome:ALNU02000000:3:3574690:3584333:-1 gene:OGLUM03G04790 transcript:OGLUM03G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGAGGEPFPAVDKCDVDASCRRGGRRAVVVVSDLDGTLLRSRSEFPYYALVAFEAGGAPRLALLLLLAPVAWLLRRAAASESAAVRVLVFAATAGARVSDVESAARAVLPRFYADDVHPAAWRVFAACGGGRRLVVTATPRVMAEPFLRGYLGADAVAGTELAAWRGRATGMVDARRGVLVGERKAEAVREMVGDGEMPDIGLGGRRSDYAFMSLCKEAYLVPRDPVEAVPADKLPRPSPRPAPETAPRPVVFHDGRLVQRPRPLAALLAVAWFPVGFLLACVRIAAGALLPMLWLRRAFGALGVRVVLRGAVPAPAPMPLSAGGRGGVLFASNHRTLLDAVFLSVALGRPVATITYSVSRLSELLSPIRTVRLARDRATDAATIRGMLDDGDLAICPERMSRLKSGGDWRRRRRRNSSRGSLDLIGWIRGGTHENILERPHYITKQAAQIMAQDGKLDQLLKIMEEAEKKREEMERRREESEALSLAELQSLKVAVESRIPLVEKKVSELGGSVSDLAKKVSLIQAGLEKCQREGTTCWEPYLLRFSALFAELTNDIVPVATECRTSMFHSTTARGCKAMDPFYFFMNPFPEYTVTFLDKLPAELTCGGGGGKSSHDVANHVQKLIASTLSYECTSLTRRDKYQALAGNDGIVAVKTAKAK >OGLUM03G04800.1 pep chromosome:ALNU02000000:3:3587539:3588876:-1 gene:OGLUM03G04800 transcript:OGLUM03G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADCYSIVMELIEHPQKYGLNRTAFVFWDAYHTSDAANQVIADRLYADMLTAHICKVCPTIYHPYTERKPLFYPTNYHPTPYKQIVR >OGLUM03G04810.1 pep chromosome:ALNU02000000:3:3596487:3602550:1 gene:OGLUM03G04810 transcript:OGLUM03G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVLRVHLPSEIPIVGCEITPYVLLRRPDGGISTEDVHEAIPLDGHFMRYKWYRIQSDRRVAVCNVHPTEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERALSALNENGNEEEELFGRFGSGNAGIINASLSGSTANIGQSSSVNNGPTPVYPTGTEKNSGETWFEVGRSRTYTPTADDIGHALRFECVAVDSETRSSVGAPTSVMTSRVIPAPTPTPRRLIPVNSADVMGHFDLDSRNSSFGTFTVLSYNILADTYATSDTYCYCPTWALSWPYRRQNLLREIIGYHADIICLQEVQSNHFEEFFAPELDKHGYQALFKKRTTEVYTGNLQSIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQRKVALTRLIKDNIALIAVLEAKFGSHGADNPSKRQLLCVANTHINVHQDLKDVKLWQVNTLLKGLEKIAVSADIPMLVCGDFNATPGSTPHGLLAMGKVDLMHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGAGYDLEHQRRRMDPATNEPLFTNCTRDFTGTIDYIFYTADSLSVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >OGLUM03G04820.1 pep chromosome:ALNU02000000:3:3605169:3607577:1 gene:OGLUM03G04820 transcript:OGLUM03G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAMVAMVAAAMAVAAAAVARGDMSADRTECADQLVGLAPCLQYVQGEAKAPAPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATHANVSHCPQLLHIPPNSKDAAIFSPGGDKGSPAAPAKDNSTTTTDSRAVQAANGGSRSSAATAGAALTALLAGYFLLLLPEFSAPSSF >OGLUM03G04830.1 pep chromosome:ALNU02000000:3:3608412:3610079:1 gene:OGLUM03G04830 transcript:OGLUM03G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >OGLUM03G04850.1 pep chromosome:ALNU02000000:3:3616281:3621189:1 gene:OGLUM03G04850 transcript:OGLUM03G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACKGCRVAFTPFRHHAFEALKAACRRWLLPNGILSCKQTQHACIKSDYQKFYKNNSRFPWKFSKISAFPKEYYFGGFTSLGSSLVAPRSYHTNTKQSKAKRDASEDFDFDPPPTRFPLASLQRCPFPSPALHTRTAAPPSHCLPGPAPSGAHPSLAGSGDREMRSRVALETLAEEPGGGEEEAARRKSSGLQAALHRVARRLLSGAGEDARPAADLRVLLSVLACPLSPVPILPRLPRHVASSAQYIIEQFRATTGCAKIEGAVKSMYAAGRVRLAMLQDPAGGGAGGGGGGGRGHEGSFVMWQLAPSMWIVEMAVAGQHVAAGSDGRVAWRRTPWVGAHAARGGSRPLRRALQGLDPVTIAVIFSTAEHAGEKLVDGEDCFVLRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLVRLEDSQLTRIQSPGAAAMYWETTISSSLADYRAVDGGGGVHVAHSGRSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRFYDAMAAGK >OGLUM03G04860.1 pep chromosome:ALNU02000000:3:3625567:3630357:1 gene:OGLUM03G04860 transcript:OGLUM03G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSLLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLLSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINISQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSG >OGLUM03G04860.2 pep chromosome:ALNU02000000:3:3625567:3630222:1 gene:OGLUM03G04860 transcript:OGLUM03G04860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSLLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLLSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINISQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEEHPQASLSGNPI >OGLUM03G04860.3 pep chromosome:ALNU02000000:3:3625567:3630535:1 gene:OGLUM03G04860 transcript:OGLUM03G04860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVSIATPAPQLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSLLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISMAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLLSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >OGLUM03G04860.4 pep chromosome:ALNU02000000:3:3626110:3630535:1 gene:OGLUM03G04860 transcript:OGLUM03G04860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMAVCCSGYLLGAANVVLMGTNLTLSFDDVEASFAPGVKGSGFEGVVYTAEPLDACSLLTSKAEKGPPSPFALIIRGGCTFDEKVKNAQDAGFKAAIVYDNENSGVLISSNFTVAGSSGGIHIYAVFISKASGEVLKKFSGHTDVEVWILPAFENSAWSIMAISFISLLAMSAVLATCFFVRRHHIRRDRPRIPEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYNVGEKLRVLPCRHKFHAACVDLWLTTWRTFCPVCKRDASTGIPDPPASETTPLLSSAVRLLSQSSSFRSSVAASPPRPISRRPSSQSISRIYAASGTPNSPNPIRSFTNSTAMSISRSNVDLSNMSSRPRASHLASAHSLVGSHLSPPINIRYASPHMSHSGYASPSPHVSSSYVSNSGYGSSSYYLGSSSQHRSYLRRCGESGPSLSTMAPQSPQQSQLRHGGESDINLAGASSGQSFRQSYLRHCADSEVNLAGASSGQSFRQSYLRHCADSDASLSAMASAQSLPGC >OGLUM03G04870.1 pep chromosome:ALNU02000000:3:3631321:3632163:-1 gene:OGLUM03G04870 transcript:OGLUM03G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEDLKLLSFSLADCRRRPSFCRWSVKQFIIRDAKLLSLLGLWHAKLVHFLEMQWFMQKIQFPGAAQNTTVVKRV >OGLUM03G04880.1 pep chromosome:ALNU02000000:3:3632009:3638673:1 gene:OGLUM03G04880 transcript:OGLUM03G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2K1] MGMSSCVNLSRVAAAAAAGRRPGFAGELGGRRGHGRSVLPVVAALPVRRKGSGCGVACCVSSSSSSSVHGKNSAAAAEGHAGGIGIAEFLGGKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDGDAALKRLHNEVVDTELFSRLQEIHGKDYHSFAARKLVPVVGDVREANVGIAPELAGVIADEVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGVVLEKPFRLGDTIAKELGSPDSSEHKNTMLDIEAEIKLAFDHRRHGDDSASFSEEMKELGLERAKLHGWQDTYVFTKAMGEMVINSMRGDIPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGRGGAAAAEGMHVYHVASSTVNPLAFGDLSRFLFQHFTGSPYSDAAGRPIHVPPMRLFDTMEQFASYVETDALLRAARLAGAGAGAGDERVSQRLRELCAKSVEQTIYLGSIYQPYTFYGGRFDNGNTEALIGEMSEEEKARFHFDVRSIEWTDYITKVHIPGLRKHVMKGRGVGGGSGASSSSNASLLAGASV >OGLUM03G04890.1 pep chromosome:ALNU02000000:3:3636131:3640075:-1 gene:OGLUM03G04890 transcript:OGLUM03G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2K2] MEPMSVDGGGGCGGLDTQIEQLMQCRPLAEQEVKALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >OGLUM03G04900.1 pep chromosome:ALNU02000000:3:3642661:3647861:1 gene:OGLUM03G04900 transcript:OGLUM03G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAGGAPPRPAAGIRVRVPLVESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIKPNKRKSRSSRKERCRTQAPLLPGLPDDLAITCLMRVPRLEHTNLRLVCKRWNRLLSGNYYYSLRKKLGMAEEWVFVFKRDRDRKISWHAFDPVHQVWKSLPPVPAEYSEAVGFGCAVLSGCYLYLFGGKDPVRGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNRLYVAGGECEGIQRTLRSAEFYDPNRNRWSYISEMSTGMVPFIGVVYDGKWFLKGLDSHHQVVSEVYMPTSNVWSVTADEMVTGWRNPSICFNGRLYSAECRDGCKLRVYDRDTRSWTRFMDSRRHLGNSRAFEAAALVSLNGKICIIRNNMSITLVDVSNTPTVIEINSAHMWDVFARKGQHRSFIANLWFTIAGRNFKTHIIHCQVLQV >OGLUM03G04910.1 pep chromosome:ALNU02000000:3:3650539:3654825:1 gene:OGLUM03G04910 transcript:OGLUM03G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVNGELHDTEFHKPGSLSKLQKCVHYAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLV >OGLUM03G04910.2 pep chromosome:ALNU02000000:3:3650539:3654978:1 gene:OGLUM03G04910 transcript:OGLUM03G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKGDEVLAEGQMPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQEIGLAPRDAAIVMLSGQVADGLMTILAGEMIDRFGHFKLWHIGGSILVGISFSSVFGGCLLCTVLGTDSYLVRTIGYSFFAAVFNIGWAATQVNGELHDTEFHKPGSLSKLQKCVHYAYVSIFVGCCFLVVFYAGTKEPTLQSGSDCKKSARISWGYWFKKALYYQVALLYMLARLITNVSQSLIAFYVTRDLKMNEYSKAIIPAIIFCCSFLVSVVLQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLMYPLAMVIGAANALVMVTTIGLESALVGDDLNGCAFVYGSLSFLDKMSCGIALFVLESYEDSISCGETRGLYTVSRFGTGLIPSCFAVFALLVTSTLKLQDTNPRAAAAALEAPLLHTENGIVWRATANTLKNITEKCYVDMG >OGLUM03G04910.3 pep chromosome:ALNU02000000:3:3650539:3656500:1 gene:OGLUM03G04910 transcript:OGLUM03G04910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLAVIGGVIGAGDALLHLLHLLLRLLLHPLVPLVRLLPRRLRRLPRGVLRRLRLLPRRVLRRAGLPLRRFLGLPRLLAGRLRLLPRRVLRRPGLLRRGVLRRACLLPRRVLRRPGLLRRGVLRRAGPLPRAVLRRPGLLRGGVGGLPERLLRLAGLVPDGVGGLPESLLRLAGLVLGGVGGLPESLVRLAGLVLGGVVPLAGLLLGRLFDLGLALLRLLVHLGAPLAGRLGGLLGLVLGLLRSPGRLAGGALRRLLRLLLCLLSLARRARRRRLRRLLRVPGLLARLPPCLCTVAHTHTHTHTHTHTHTHTHMLISSNKRWHQAWHDAAGERARRRAASFDVPEAVCWADLAAWPALRAASSSSSTSATWCFSPSPSVIFSLAQPVHDSSSLLAASSVPASVFVATAAAATTANSSSSSVTASLLDRDTIAIARDVRELLQTRTDNRFRVLENTRSREPNSAVITLGFMGFYGGDG >OGLUM03G04920.1 pep chromosome:ALNU02000000:3:3655005:3656362:-1 gene:OGLUM03G04920 transcript:OGLUM03G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSRSRRLAVTLLLLLFAVVAAAAVATKTEAGTEDAASKEDESWTGWAKEKITEGLGLKHHVADVDEEEDAARKAGHAAKSAQHTASEAGRQTSKKAGDAKEAAEATASGASSKAEQAKEKTKEAAKGAAGEASRRAEQAKHKTKEAAEAAGERGAEVHEQSKQGKAKVEETAKEKAGEGYDAAKDKAGKAHETLRQSSDAAKDKAGKAQETLRQSTDAVRDKAGKAQETLRQSTDAAAEKAGAAKDSAWERTSSAKDAAAEKAGSAKDAAWEKTSSAKDAAAEKAGAAKDAAREKAEAAGEKARQSKEAAKGKAGAAKDAAWEKAEAAKDAAWETAEAAREKANEGYERVKEKAKEKVEEVKERVTGADDAADHGKEKKHHHRHVDGKQKPRTVDEL >OGLUM03G04930.1 pep chromosome:ALNU02000000:3:3657261:3660569:1 gene:OGLUM03G04930 transcript:OGLUM03G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLPRLAVSHRRAVVAAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGRTPPLPQSLERDLRARKRSLED >OGLUM03G04930.2 pep chromosome:ALNU02000000:3:3657261:3660465:1 gene:OGLUM03G04930 transcript:OGLUM03G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACLPSLSPPPHQAPVPAATTALAGNLTSSLLSLPPPLPRLAVSHRRAVVAAASSRPPPPPSREGGDGGGEEEEEVERAMGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARRDNHLWTMKTRRSRSEVERELGMLLKGGGSGVGTKSKYSGSKFNMLVEDIREGILVFEDEDDAAKYCDILQGGGQGCEGIAEIEASSVFNICHKMKALAVLFRRGRTPPLPQSLERDLRARKRSLED >OGLUM03G04940.1 pep chromosome:ALNU02000000:3:3659942:3661722:-1 gene:OGLUM03G04940 transcript:OGLUM03G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSVSSSHHAIHPGSSRSMQCICTRVTPSRSVGEISRSRSRNDLPLGVSPSSRMLTTCTLKTPSYGNKSKSKEKINPRDMFTFSYRFNTDIPMTETPGASIDEYLQNRPRIVGAVFPDKRKRTKLSDEEWSVQLLPIQFLFLSASPVIVMRFVSKSGGKEYPPHVPVKATSLLLMEVTDYKLDGLDSNAMPSHLALTVRGSLYPRPEGRKSLRGHVEMSVGFNLPPVLALVPEGVIRGVGETVLRQLALQMKQDFDNGLASDFKRYRREKLTEKKTTP >OGLUM03G04950.1 pep chromosome:ALNU02000000:3:3664473:3673921:1 gene:OGLUM03G04950 transcript:OGLUM03G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGKLFSQVIQAYAEAGNLGKSISIYDCARDRCLIPSGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRYLPDLLLSNRIIASLCANIGTDEAWLVFQRLEVLGFVPDATTFGIFIRYSCRELKLKAAFLYLSECFSRHINPKVCAYNAIIGGIFKEGLYRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLRLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADAPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDMAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHIVQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >OGLUM03G04950.2 pep chromosome:ALNU02000000:3:3670477:3673921:1 gene:OGLUM03G04950 transcript:OGLUM03G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDSADIISLHQIRGCRALQAPQLGEKKCTTDFMFFSHHLVFIGV >OGLUM03G04950.3 pep chromosome:ALNU02000000:3:3670477:3673921:1 gene:OGLUM03G04950 transcript:OGLUM03G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSGFPESGELTITPVELTELHEIKLMQLNVPFTSEGHDKDVLSIIVYVGGDSADIISLHQIRGCRALQAPQLGEKKCTTLW >OGLUM03G04950.4 pep chromosome:ALNU02000000:3:3664473:3670427:1 gene:OGLUM03G04950 transcript:OGLUM03G04950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMKERKAPQEPKIICHGYANGASELNSNARSLLKGEICYTGKKKESISVSSSNIAVSSQGIGFSLEQRTGEKCLANSHLDVKLCTGIVKLVIDKCSYIFKSKGGIFDGNCRLQDVLKLGFWLSPETLRPFWRASELKPDDFLNILIGFGPDAAEVKKAIFLWNLYRWASWQSKAFQHLPRSNEIMVSILANAHMLSQAESLLLLLDGNRVLADAGSCYQVLLHLLMERRKNDLVLRVYLDMLGAGLGSYTEGDILDIVVKALIKKDKFLQAIGIIRQLKDLNIQMSKGSLSAVTQEFCKKKDIGDMMNFLEEWRHAKYVFEDMAERKIIPELLTYKILLAGYCRYRQFDEIEQTLRTMETNGINDIPSGNCVLSKALSFLRLDHLGVKVKRDNAAGYPKAEFFDSVGNGLYLDTDSTKFEASLVQIIDYALYPDISLNLVRACRQGDIASALVLKDETFQWGHDISTASYSELLKALSASPARAMDAINLIDEMADAPDKFDAQNLNLAVQTLSRNGRSACARLAFDRLLRDGFPASQDTYTYLMIGFCIERDMAGFWECWSLATKHGWSPGSRDVIPLISHLSKWGVIEEALEFISVLLDCYPSLFFSAYCQLLEELCMTGCTSVGCAMLEALIEKGVAVDPSLICNVMEGFLKEHKIAETIGMYDMLLNRNKVLNVSTYQSALSSVARIDAERAMDLVQSVMNMESTDFSTCSSIVKNLLQSGKIGQVMSVFEETVLGKKFNATLLNSFLQAYYCVKNWRKADAVLCMMLKMQNSLSISSYRFLVRRMCEQSRISSALRLKELIQDRDKSTELILYNILIFYLFRRRHIVQVHNLLKDMKSNGFSPDTTTYDFLVNGFHKSGDVDHSINMLDSCIAQGLTPSNRSLRVVLSHHCKLGNLEKSLELFHLIESNGWKHGLVIETTLISSLLSSGRFSEATSCLNSMNKRELIGFDIHFDVLIKELCLLGDVEMSVSLLNTMLKKGKIPSEVSYDSVVYRLCMLKEFDQALDFLAEMQFANLKPSDMSCDVLIQGLCAMGRTCDAMNILEMLTTIGSSPSYHMYRVVFDNCCRSNNLQKAATLLHDMQQAGFSPNFEMHWSVISNLSSNAKRTTGYEKPILSNLISSTQVNSP >OGLUM03G04960.1 pep chromosome:ALNU02000000:3:3671250:3673094:-1 gene:OGLUM03G04960 transcript:OGLUM03G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSALTNCWAISRSSQRGSKNNSSDGSFMVPARNHNRINRKHLLLREKDASTGWQITKAATENSTNAVHTPMKTKWWEKNMKSCNMKNIESQEDFDKQLLLASDKLTVVHFFSPSCGACKALHPKVCQLAGMHPELQFLMVNSNEQKEMCRRLNVHVLPMFRFYRGAEGRICSFSCTISTIYKIKDALRRHGVQLENLGPDKGLEKSELQNSDIDASYNMDGGVGAVVPNNE >OGLUM03G04970.1 pep chromosome:ALNU02000000:3:3678646:3680575:-1 gene:OGLUM03G04970 transcript:OGLUM03G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 704, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G69500) TAIR;Acc:AT1G69500] MKSPMEEAHAMPVTSFFPVAGIHKLIAIFLVVLSWILVHKWSLRNQKGPRSWPIIGATVEQLKNYHRMHDWLVEYLSKDRTVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGEVYRSYMDVLLGDGIFNADGEMWRKQRKTASFEFASKNLRDFSTVVFREYSLKLSSILSQACKAGRVVDMQELFMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKKFLHVGSEALLEQSMKLVDDFTYSVIRRRKAEILQARASGKQEKIKHDILSRFIELGEAGGDEGGGSFGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMTHPAVADKLRRELAAFEAERAREEGVALADAAGEASFAARVVQFASLLSYDAVGKLVYLHACVTETLRLYPAVPQDPKGIVEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGPDAASFRPERWLSGDGGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILFRFYTFDLVEDHPVKYRMMTILSMAHGLKVRVSTSV >OGLUM03G04980.1 pep chromosome:ALNU02000000:3:3679668:3680378:1 gene:OGLUM03G04980 transcript:OGLUM03G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLPALHAWLRMLDSFREYSLNTTVLKSLKFLEANSKLAVFLCFLHISPSALNMPSPSSTSMTCSTFTGSAMR >OGLUM03G04990.1 pep chromosome:ALNU02000000:3:3681672:3683282:-1 gene:OGLUM03G04990 transcript:OGLUM03G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 35 [Source:Projected from Arabidopsis thaliana (AT1G26760) TAIR;Acc:AT1G26760] MATPGLDDDSLQQLRSRATQLLLKENWTEYIAVCSLIIEAFDAAAACKDRRVLCSTLAHRADARARLGDAPGALADCDAALAADPAHPGALLSKGAVLRGLGRYARAAECFRAALAVSGTDEVREMVEQCKRLDAQARSGAVDLSEWVLAGFSGKCPDLAEHVGAVEVRRSAHGGRGVFAVKNIEAGANLVISKAVAIGRGVIPDAADSGEKMVVWKDLVDKVLDAAEKCPRTASLIYTLSTGEEPEDELPIPDMAHFKQETEELDDGTAMAPKASLDVDKILKVLDVNCLTEDAAPSANLLGSNGVVNCGVGLWILPAFINHSCHPNARRTHVGDHAIVHASRDIKAGEEITFAYFDVLTPASKRREAARAWGFECQCDRCRFEASDAIVGQELTKLENELVNGRGGDMGALVVRLEERMRKSMVKERRKAFLRASFWSAYSALFDSDKLVRKWGRRVPGEAAVAESVAGAIGGNESVLRAMLRGADNGNGCGNRLEVEDKVVRIGRATYGRVVKRQAMRALFRLTLDADSNKSL >OGLUM03G05000.1 pep chromosome:ALNU02000000:3:3683993:3686212:-1 gene:OGLUM03G05000 transcript:OGLUM03G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSLASSHLADLHRAGGASPSPLPRRIRSSSASGALAAARSASSPWPDPARLPSSVLLKPAIQASDAVLEVVSELGFLDTATATSRGLPSAVVLPGRKGKYYLCYASSSRILSHPRSTSELVAGDGVLRRDLDTGDHPVAASSTPKLVAGAAAITTQDPPPSTPHAGARRLRRLCHHADPPPSMRPCPTPELASGRVLHAGARRRCRRHHHAGSSAVHAPRWSSSPAPSLSPRRILRRPCDHAPCRSSPVVASSTLELVAGSRVRAADVSLIYAAPIVAFVLASEGGGQGAIPLGQYPNGIPVYSSSLFKYRKNSNSLTQSQSSETL >OGLUM03G05010.1 pep chromosome:ALNU02000000:3:3684582:3685859:1 gene:OGLUM03G05010 transcript:OGLUM03G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAGVEVPAEDAVAGDELRCGPWMGEDAAAGNEDQLEYKEYIILLRLRQDAATIGMDDDDA >OGLUM03G05020.1 pep chromosome:ALNU02000000:3:3686365:3688583:1 gene:OGLUM03G05020 transcript:OGLUM03G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGWLRRAAAAAAAAPRLPSGLPILPTPPPAPLTEAQSFVLPGIGAAVAGGMDLMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGEKGNPGDSSS >OGLUM03G05030.1 pep chromosome:ALNU02000000:3:3688966:3692946:1 gene:OGLUM03G05030 transcript:OGLUM03G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDADALSFPCRFRCKNAATTRAQWWCGFGRGRNRGRWLGLGWLASEPPPTNQPKTPPQPKNATKFAGPPAAISVDRLRLGCSSEQITRRSGARFPSPLLSSYATPPSRHRFTKSKALAAPREGVGGEREREMASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAGSALSRESRPAKNLWLYQHEDPKVKSMYFALAIIMN >OGLUM03G05030.2 pep chromosome:ALNU02000000:3:3688966:3692854:1 gene:OGLUM03G05030 transcript:OGLUM03G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDADALSFPCRFRCKNAATTRAQWWCGFGRGRNRGRWLGLGWLASEPPPTNQPKTPPQPKNATKFAGPPAAISVDRLRLGCSSEQITRRSGARFPSPLLSSYATPPSRHRFTKSKALAAPREGVGGEREREMASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAAIKGIKTSQKPVAVPA >OGLUM03G05030.3 pep chromosome:ALNU02000000:3:3688966:3692867:1 gene:OGLUM03G05030 transcript:OGLUM03G05030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRDADALSFPCRFRCKNAATTRAQWWCGFGRGRNRGRWLGLGWLASEPPPTNQPKTPPQPKNATKFAGPPAAISVDRLRLGCSSEQITRRSGARFPSPLLSSYATPPSRHRFTKSKALAAPREGVGGEREREMASPSSSSSLCSTFASPRAASLGRRLAFSSPRKAFRVRASSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVEVAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLTAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIAELRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANAIVAAIKGIKTSQKPVAVPA >OGLUM03G05040.1 pep chromosome:ALNU02000000:3:3689288:3696440:-1 gene:OGLUM03G05040 transcript:OGLUM03G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVSLRAVGRNSINTSSFNLRRQLKAHEPIQSQKIGSAAAHTSEEGGAISEANGGGNYLGEEKARRRPREAARGEAKVEHSDDDDDGDAISLSLSPPTPSRGAARAFDLVKRWRLGGVA >OGLUM03G05040.2 pep chromosome:ALNU02000000:3:3693097:3696440:-1 gene:OGLUM03G05040 transcript:OGLUM03G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRPRVWLLAGCGAVLLWAFVGQLVAVGRLLALFGLAGDAEPSPPPTALPPRRVYKSNGYLKVSCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFEDIFDVKHFIDTLRDEVHIVKQLPKRFGPEDSNNILNMPPVSWSDEKYYLHQILPLFSKYSVIHFNKTDARLANNGISTQLQLLRCRVNFHALKFTPQIEALGNKLVQKLQAKGSFVALHLRYEMDMLAFSGCNHGLSQEEAEELKRMRYAYPWWREKEIDSQAKRLQGLCPLTPEETSFILKALGFQKDTLIYIAAGEIYGGEKRLEPLQAAFPKLVRKETLLDLEALRQFQNHSSQMAALDFIVSTASDIFIPTYDGNMAKLVEGHRRFLGFRRSVLLDRQKLVGFIDLYNNKTISWNNFASSVQETHRNRVVQPSCRQKLENKPKEEDYFYANPHECLANSRFCSRTKDAISVSGLKPAAQQAESILIV >OGLUM03G05060.1 pep chromosome:ALNU02000000:3:3700109:3704373:1 gene:OGLUM03G05060 transcript:OGLUM03G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSISPGDLVSFAGKCSTKRELRLLHGALLRRRHLLPAADAVTALAKLLRFAAVSPAGDLRHAAALLSLHLPFLSSASSHLAFFYNTLMRGLAASSSPAAAIELFAAMRRAGAAPDAFTFTFALKSCSRCVSQRRLPSDLHAQAIKHGCLGAGSSHVHVHNALLHGYSSRAAVGDARRMFDEMPARDVISFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYARARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERVCQYVDSNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTVRSLASWNTLISAYASHGDVESTVALFHRMLADGKSVKPDGTTLLAVLTAYAHKGFVEEGRAMFNAILSGNYGKVDFTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIPSNSVVWGALLGACRTHGDINMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTTEAMEIRRIMNQAGAQKTTGQSSWSTPCLPQPISMSKLNQTARSRESVVDEAVPTATAQNSFKEIRGGTEWAAYHGAAGRVAADGLLQRAAVEEDLPVVVVDEHGSCLLEQNTDSLGFVAVPLNCSAGGKNRLRFLTLGFSFLAPCLAVASILGYERRRPTPPAPSAEAGVKNCTPGELGGRQHAGRGENGSPGAARSDAMARPRVETLASCLGWAGNPSSRCGAESWWELLQCPRLGFRNGICPHFWGPTCHLTGNTRLKQGCNRKIPPRVYSFRIHFVLSSHHLNLD >OGLUM03G05060.2 pep chromosome:ALNU02000000:3:3700109:3703642:1 gene:OGLUM03G05060 transcript:OGLUM03G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPSISPGDLVSFAGKCSTKRELRLLHGALLRRRHLLPAADAVTALAKLLRFAAVSPAGDLRHAAALLSLHLPFLSSASSHLAFFYNTLMRGLAASSSPAAAIELFAAMRRAGAAPDAFTFTFALKSCSRCVSQRRLPSDLHAQAIKHGCLGAGSSHVHVHNALLHGYSSRAAVGDARRMFDEMPARDVISFSGLLTLHLKANDLDAARVVFDQMPHRDVVSWTAMISAYARARRPREALALFDAMPVQPDEVTMLSVVSACTALGDLATGERVCQYVDSNGFGWMVSLRNALMDMYAKCGSLTEARNLFDGMTVRSLASWNTLISAYASHGDVESTVALFHRMLADGKSVKPDGTTLLAVLTAYAHKGFVEEGRAMFNAILSGNYGKVDFTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIPSNSVVWGALLGACRTHGDINMAERAVQKLRSLNPEEGGYYILLSDMYAASGRTTEAMEIRRIMNQAGAQKTTGQSSWSTPCLPQPISMSKLNQTARSRESVVDEAVPTATAQNRNPYCETGTSNAIIFSPRANPLRS >OGLUM03G05070.1 pep chromosome:ALNU02000000:3:3703402:3704105:-1 gene:OGLUM03G05070 transcript:OGLUM03G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G14205) TAIR;Acc:AT1G14205] MASLRAAPGLPFSPRPACCRPPSSPGVQFFTPASADGAGGVGRRRSYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNRKILFYGSTLQKAICGDPPCGAVEAAGRVGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPVSQYGFLPR >OGLUM03G05080.1 pep chromosome:ALNU02000000:3:3704440:3709507:1 gene:OGLUM03G05080 transcript:OGLUM03G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQWGRWRLAAAAAASSSGDQIAAAWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >OGLUM03G05080.2 pep chromosome:ALNU02000000:3:3704440:3709507:1 gene:OGLUM03G05080 transcript:OGLUM03G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQWGRWRLAAAAAASSSGDQIAAAWAVVRARAVAPVLQFAVWACMAMSVMLVLEVAYMSLVSLVAVKLLRRVPERRYKWEPITTGSGGVGGGDGEDEEAATGGREAAAFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPAIKDLVELECKDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGKIQYQNYLHELFMPGPNIADLPTGTAGVWRVSAINEAGGWKDRTTVEDMDLAVRASLKGWQFLLRVNSQVPSKPTDISSIDGLVGVSVWKKLHLLYSFFFVRRVVAPILTFLFYCVVIPLSVMVPEVSIPVWGMVYIPTAITIMNAIRNPGSIHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELMVAFYLLVCASYDLVLGAKHYYLYIYLQAFAFIALGFGFAGTSTPCS >OGLUM03G05090.1 pep chromosome:ALNU02000000:3:3713777:3718520:1 gene:OGLUM03G05090 transcript:OGLUM03G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECKVGGGGGGGDCLIKLFGKTIPVPEPGACAAGDVDKDLQHSGSSTTEPKTQENTVQDSTSPPPQPEVVDTEDSSADKNSSENQQQQGDTANQKEKLKKPDKILPCPRCSSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSVSAASHFLQRVRAALPGDPPLYAPVKTNGTVLSFGSDLSTLDLTEQMKHLKDKFIPTTGIKNTDEMPVGLCAEGLSKTEESNQTNLKEKVSADRSPNVAQHPCMNGGAMWPFGVAPPAAYYTSSIAIPFYPAAAAAAAAYWGCMVPGAWNAPWPPQSQSQSVSSSSAASPVSTMTNCFRLGKHPRDGDEELDSKGNGKVWVPKTVRIDDVDEVARSSIWSLIGIKGDKVGADHGRGCKLAKVFESKDEAKTSTHTAISSLPFMQGNPAALTRSVTFQEGS >OGLUM03G05100.1 pep chromosome:ALNU02000000:3:3719994:3721261:-1 gene:OGLUM03G05100 transcript:OGLUM03G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT3G47490) TAIR;Acc:AT3G47490] MVGRKPLRRRRHDAPPSPPSFGATPRPTSPRSSSASVAAVAEELDGLLLTAPRPSASSSEPRSFPYVVKQRCWEKAERVAGRDPERWRRDALGNVVFRKLVGCPGCLCHDYDHIVPYSKGGKSTLENCQVLQATVNRSKGNKTELSKSELIQKSAYCRVSGRDMDLLELSAYGNVRRGPDSGGCRIQ >OGLUM03G05110.1 pep chromosome:ALNU02000000:3:3725852:3726557:-1 gene:OGLUM03G05110 transcript:OGLUM03G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIKGGHGAAAAGGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRTSLGGDDDLLAQQKQQQPQPQQKPAKANLGRPADDHRHRQLHGRHGSPTYKIGFN >OGLUM03G05120.1 pep chromosome:ALNU02000000:3:3726630:3732270:-1 gene:OGLUM03G05120 transcript:OGLUM03G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANYKAFVRGRSSGSSPVPSAAGSSAYSVLQRTAGRRECGRGKEDGTWRKVKVIRFDRTFPALNGLGWTWALKSIFIVMPCDVKREEVVGRSRAHLTSGPILVSPGSLFTHINASPAVAFGPSGTRGLRRASPHILRYHLQDKLSTAQLGSLPSRRKKIF >OGLUM03G05130.1 pep chromosome:ALNU02000000:3:3732413:3732781:-1 gene:OGLUM03G05130 transcript:OGLUM03G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCCVFLRWPSASPSRIGYRSLDDGDDGPSPAAVTTTVVVGKERRVFSVDQLVLDTYPFRLLLETAVRKEESKAALFVDVDAILFEHILWLAGHHDRSSSSASSLLHLDLKEIIDFYSQDA >OGLUM03G05140.1 pep chromosome:ALNU02000000:3:3738481:3741970:1 gene:OGLUM03G05140 transcript:OGLUM03G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: 3-dehydroquinate synthase, prokaryotic-typ /.../erPro:IPR002812); Has 390 Blast hits to 390 proteins in 131 species: Archae - 144; Bacteria - 105; Metazoa - 0; Fungi - 0; Plants - 54; Viruses - 0; Other Eukaryotes - 87 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G28760) TAIR;Acc:AT3G28760] MAVSSLAFARVLVPPSPRTALASACSHSIKMCASSASPSESKKTVWVWTTNRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFINGLEIFDEKKQKIAVISEVSSPGELELIQPDNVEVENIVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSTEAQVFLEALEQGLDGVILKVEDMDDIIKLKDYFDRRNEAKSQLMLTKATVSKVEVVGMGDRVCVDLCSMMRPGEGLLIGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVAVPGGRTSYLSELQSGREVIVVDQNGLWRTAIVGRVKIESRPLILVEAKENGGDDTYSIFLQNAETVALITPEKGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >OGLUM03G05150.1 pep chromosome:ALNU02000000:3:3742638:3746385:-1 gene:OGLUM03G05150 transcript:OGLUM03G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQEVCSSEKGGENGTASPFIHTLAFFSTEVVWSVRSQPASQLILLPPLFSVSSRSLPSLPSPCGLRAIHLAASAAFVSCVPLLLLRVQPRRGRQLPQQPLLAQILAGARGRAGEMGNCMDTTARVDHSMNNGAYPSKVTSKTSLSSVPSTLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >OGLUM03G05150.2 pep chromosome:ALNU02000000:3:3742638:3745458:-1 gene:OGLUM03G05150 transcript:OGLUM03G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTTARVDHSMNNGAYPSKVTSKTSLSSVPSTLKSNSSRSTLTLPSMKDRSELPTPRTEGEILSSSNLKAFSFNDLRNATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNSKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWAKPHLGDKRRLYRVMDTKLGGQYPKKGAHAIANIALQCICNDAKMRPRMSEVLEELEQLQDSKYNMASPQVDIRRTSNAVPKSPMRIQPSPRRSLGAAASPLPGYRTAKVH >OGLUM03G05160.1 pep chromosome:ALNU02000000:3:3751550:3752107:-1 gene:OGLUM03G05160 transcript:OGLUM03G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14345) TAIR;Acc:AT1G14345] MPPPSSLPSAAAAATASAAFLRPSASAHPASASAPPNAAACLRRSPRRRLVAARAAADGGGAETVFFDGGAHYGDLAANLALGLTLLWLPLTLAAVSRAFILRYRFTSRRVSVISGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIVITLKDGTKVDLRSVPRFREVADYCRSMAAAEGSLAAST >OGLUM03G05170.1 pep chromosome:ALNU02000000:3:3752125:3759492:-1 gene:OGLUM03G05170 transcript:OGLUM03G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSTVTSAQQVRSTAELAHGRTIACGWISSGGAVHTSQAEKPKDRVRSGPRIRVHEHKCFQGISPASASSRWVGGVTPNATGERRTGRSTATKKNESLTLPSTTSER >OGLUM03G05180.1 pep chromosome:ALNU02000000:3:3759552:3761484:-1 gene:OGLUM03G05180 transcript:OGLUM03G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGMASSPSSFFPPNFLLHMAQQQAAPPHDPQEHHHHHHHGHHGHHHEQQQQQQHHHHLGPPPPPPPHPHNPFLPSSAQCPSLQEFRGMAPMLGKRPMSYGDGGGGGDEVNGGGEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKMQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKAENDALLNHNKKLQAEIVALKGREAASELINLNKETEASCSNRSENSSEINLDISRTPPPDAAALDAAPTAHHHHHGGGGGGGMIPFYTSIARPASGGGVDIDQLLHSSSGGAGGPKMEHHGGGGNVQAASVDTASFGNLLCGVDEPPPFWPWPDHQHFH >OGLUM03G05190.1 pep chromosome:ALNU02000000:3:3767153:3768121:1 gene:OGLUM03G05190 transcript:OGLUM03G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTTSRQARHDLRHCPSPLALPRCQSFPARCAGDAGVHVVRLTSTTLGSLEVDKGAPRAAEAPPMRRMVPRTPTMTPPNEPEAIDAWALMAGLEEHSPLLVPPFARHSFSFPITAVPPELAAASRKVTPLPLVEKKKASPVARPRKAVLYFTSLRGVRATHEDCCLARAILGGYGVRVDERDVSMHRGFRDELHGLLGLGRGAALAKCWAPAEAPALPSLFVDGELVGNADELKRLHEAGELAARLAGCESAAPGEAAGACEACADVRFVLCGACSGSCKVYVDDGDDDDENPLDGGGGGGFRRCTECNENGIVRCPVCCC >OGLUM03G05200.1 pep chromosome:ALNU02000000:3:3770756:3776639:-1 gene:OGLUM03G05200 transcript:OGLUM03G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSGAGGGGGGGGGGLELSVGVGGGGGARGGGGGEAAAAVETAAPISLGRLILSGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGMVVQPCVGLYSDRCTSKWGRRRPYILTGCVLICLAVVVIGFSADIGYAMGDTKEDCSVYHGSRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGRHGPGTANSIFCSWMAMGNILGYSSGSTNNWHKWFPFLKTRACCEACANLKGAFLVAVIFLSLCLVITLIFAKEVPFKGNAALPTKSNEPAEPEGTGPLAVLKGFRNLPTGMPSVLIVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTDPQIEAFNQGVRAGAFGLLLNSIVLGFSSFLIEPMCRKVGPRVVWVTSNFLVCIAMAATALISFWSLKDFHGTVQKAITADKSIKAVCLVLFAFLGVPLAVLYSVPFAVTAQLAATRGGGQGLCTGVLNISIVVPQVVIALGAGPWDELFGKGNIPAFGLASGFALIGGVAGIFLLPKISKRQFRSVSMGGGH >OGLUM03G05210.1 pep chromosome:ALNU02000000:3:3780276:3780482:1 gene:OGLUM03G05210 transcript:OGLUM03G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGDTKDIREDEDNSAGEGEKPLIMGWDGRRRLGGYGDWVAMFGGNRLGHMTVLGPRWHDRDGVMDI >OGLUM03G05220.1 pep chromosome:ALNU02000000:3:3784394:3785452:-1 gene:OGLUM03G05220 transcript:OGLUM03G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVATLSFTAGQLARTHPRNYSTNLAGLVSPASCLFRRWLNRFCYPILRAPQAPGVVVVPTLRTQ >OGLUM03G05230.1 pep chromosome:ALNU02000000:3:3786660:3793965:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLKWGCQDSRSSESKILFMPHAPQDMGCGCQMIGEETSQHRDTAESDTHHEAK >OGLUM03G05230.2 pep chromosome:ALNU02000000:3:3785468:3793965:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLKWGCQDSRSSESKILFMPHAPQDMGCGCQMIGEETSQHRDTAESDTHHEVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >OGLUM03G05230.3 pep chromosome:ALNU02000000:3:3786660:3793965:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLKWGCQDSRSSESKILFMPHGPDASALISVLVLPDSLRSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEAK >OGLUM03G05230.4 pep chromosome:ALNU02000000:3:3785468:3793965:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAREWLKWGCQDSRSSESKILFMPHGPDASALISVLVLPDSLRSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >OGLUM03G05230.5 pep chromosome:ALNU02000000:3:3786660:3793965:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRASARGPDASALISVLVLPDSLRSNNTYSVTHACIDYQAPQDMGCGCQMIGEETSQHRDTAESDTHHEAK >OGLUM03G05230.6 pep chromosome:ALNU02000000:3:3785468:3786123:-1 gene:OGLUM03G05230 transcript:OGLUM03G05230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRQSGSSRITDEQISDLISKLQDLLPEARLRSNDRVPSSRVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >OGLUM03G05240.1 pep chromosome:ALNU02000000:3:3804248:3805543:1 gene:OGLUM03G05240 transcript:OGLUM03G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEGNSCLISRSLPSSCEPESQWAYLSHEVLNGKRPAPEDAEAEDMDEVDCGGGKRSKPPSPQPHTPDISEGHGSSRHVAASGGGEEHGNGSSLIGAIGRDLTINCLLRLSRSDYGSVASLNKDFRSLVRNGEIYRLRRQSGVAEHWVYFSCNVLEWDAYDPYRERWIQVPKMPPDECFMCSDKESLAVGTELLVFAMAHIVFRYSILTNSWTRADPMISPRCLFGSTSVGAKAYVAGGTDSSGRILSSAEMYDSETHSWTPLPSMNQARKMCSGVFMDGKFYVVGGVASNNKVLTCGEEYDLKRRSWRVIENMSEGLNGVTGAPPLIAVVNNELYAADYSEKDVKKYDKQNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTSIGGTIELNSWTPDERPPVWNLIARRPSGNFVYNCAVMGC >OGLUM03G05250.1 pep chromosome:ALNU02000000:3:3814197:3815436:1 gene:OGLUM03G05250 transcript:OGLUM03G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRGGGGGGGRITDEEINELISKLQALLPESSRSRSASRSSASKLLKETCSYIKSLHREVDDLSDRLSELMSTMDNNSPQAEIIRSLLR >OGLUM03G05260.1 pep chromosome:ALNU02000000:3:3819133:3819462:-1 gene:OGLUM03G05260 transcript:OGLUM03G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSPKFRSKQTAIKPKREAFRSTREAEFEAKKKKEQRGRGCLYLCSPTTRRREQRARTVALVARGKRVTKTSALLDIGRDEELVGSQDRVEAELPMAEERGIQR >OGLUM03G05270.1 pep chromosome:ALNU02000000:3:3822861:3827015:1 gene:OGLUM03G05270 transcript:OGLUM03G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MAASSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >OGLUM03G05270.2 pep chromosome:ALNU02000000:3:3822861:3827015:1 gene:OGLUM03G05270 transcript:OGLUM03G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MAASSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >OGLUM03G05270.3 pep chromosome:ALNU02000000:3:3822861:3827015:1 gene:OGLUM03G05270 transcript:OGLUM03G05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase 2 [Source:Projected from Arabidopsis thaliana (AT4G39660) TAIR;Acc:AT4G39660] MAASSSSLLLRRGATGGAGRRWGPGEALRRLVSSSEAAPAEKVPARSPPVMPPFEHRPRPYAGWSGDEILAKRKQFLGSSVFYYYQKPLNIVEGKMQYLYDENGKRYLDCFGGIVTVSCGHCHPDIVNAVVEQTKLLQHTTTIYLNQPIVEFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGNLNMIALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAVAYAKEVEEQINYGTSGRVAGFIAETFQGVGGAVELAPGYLKLAYDTVRKAGGVCIADEVQSGFGRTGSHYWGFQTQDVIPDIVTMAKGIGNGLPLGAVVTTPEIANVLAQKIQFNTFGGNPVCSVGGLAVLKVLDKEKRQAHCADVGSHLVNRLKELQQKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETNLLFEKLKDLNILVGKGGLHGNVFRIKPPMCFTRDDADYLVDAMDYAMSGL >OGLUM03G05280.1 pep chromosome:ALNU02000000:3:3828026:3833494:1 gene:OGLUM03G05280 transcript:OGLUM03G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUPPRESSOR OF AUXIN RESISTANCE 3 [Source:Projected from Arabidopsis thaliana (AT1G80680) TAIR;Acc:AT1G80680] MSSDPVFPVLRHGDYFTKPSIDELVEREAADPGYCSRVPDFVVGRVGYGRIHFPGDTDVRGMDLNGIVKFGRHSVEVYKDEASKPPLGQGLNKPAEVTLMLNLSVLPEPSALGELLKCQTRKQGARFVSFNHLSGRWKFEVDHFSRFGLVDEEEEDVVMDEVVVRQPIAEVRDPPANGHELELSRSLPAHLGLDPAKMHEMRMTMFSNEEGDEDMEDGFPSDQRYFSSEKMNVDSPNSSAKGLRLRSLSPLHGSSLKVSRRPGVIGRREPQALLEYSVNSSEHGPSSHGILMSGQNKGFPVRMTKVDGFKLPSDQETPVAGNVYSNCVVDAALFMGRSFRVGWGPNGILVHSGSLVNRPGTGLSSVIHIEKVAGDKVVRDEKNKIKEELTDLCFSDPLDLHRRLHHEYLETESDLFKLKLQKVVASRFVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERATGNQLEPTGDEDQEGMILDKKEGTVAIDLEALPLVRRADFSNWLQDSVCHRVQGEAGSLNDARYLEHIILLLTGRQLDTATEVAASRGDVRLAILLSQAGGSMLNRSDLSQQLDLWKTNGLDFDYIQEDRLKIYELLSGNVQGALVDSSIDWKRYLGLIMWYQLSPDASLDIIIHSYHQLLGEGKVPYPVPVYIDEGPLDESLQWSPGDRFDISFYLMLLHANQDEKFGMLKTMFSAFSSSYDPLDYHMIWHQCSILEAIGAFSSNDLHVLDLSFVYQLLCLGKCHWAIYVILHMPHLDDAPYIHEKLIREILSQYCESWSKDETQRVYIAELGIPVEWMHDALALYNEYYGDQQSALENYILCGNWKKAHTIFMTSIAHSLFLSSKRQEIWDITSSLEDHKSEIADWELGAGIYIDFFILRNSMQEESTMDDPDQLEKKNESCSTFFGRLNDSLIVWGSKLPVEARACFSKMAEELCELLMNSPGEGLTPDLYMGCFQTMLNAPVPDDHRSSYLQEAVSVFTDILCRD >OGLUM03G05290.1 pep chromosome:ALNU02000000:3:3835379:3835621:1 gene:OGLUM03G05290 transcript:OGLUM03G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVTEEFERKLKRGAPGEDAAAESRRNFGAVIKVCSSWVDAAAAAAAGVTVNVALLNVDPKSGPAVAAMDGAFSA >OGLUM03G05300.1 pep chromosome:ALNU02000000:3:3836175:3839831:-1 gene:OGLUM03G05300 transcript:OGLUM03G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLWPCVTGGGAAADGAGSLFRSKARGPVEVVRHARELLAFLAENHDACGDKRDVKREHKMADLAKSIGEMKSILYGNGEADPVDEACSQLTKEFFKENTNSLHLLVVCLPYMDLETQKDVTQVTANLLRQKVDYRMVASDYLEENQDLLDVLMSGYDNMDIAIHYSAILRDCIRHQVAARYVLESQHMKKFFDYIQYPDFNIASDAFKTFKELLTRHRSSAAEFFSNNYDWFFPEFNSKLLSSSNYIIRRQATQLLGDILLDKSNTTAMVRYVSSKDNLIILMNLLREQSRAIQVEAFRVFKLFTCNPKKPPEIVGILVTNKSKILRFLADFTIEKEDQQFEADKAQVVTEISAM >OGLUM03G05310.1 pep chromosome:ALNU02000000:3:3840866:3841950:-1 gene:OGLUM03G05310 transcript:OGLUM03G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R2] MAGCLWPCVTGGGAAADGAGSLFRSKARGPVEVVRHARELLAFLAENHDACGDKRDVKREHKAVANVNDKSIQQAGQFGLWIYRQITRLYFLRYVNVVSGQTQPYNGGYNYRLVVTVYGGPNWKTTLYDADVWGIPGTTTHWWFRSFTPKRS >OGLUM03G05320.1 pep chromosome:ALNU02000000:3:3842985:3843392:-1 gene:OGLUM03G05320 transcript:OGLUM03G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R3] MRQSSCLSIIVVVISVTLVAITNNADGALASSLPPAPPAAAAGWTAVANVNDKSIQQAGQFGLWIYRQITRLRTTAATTTGWRLVVTVYGGPNWKTTLYDADVWGIPGTTTHWWFRSFTPKRS >OGLUM03G05330.1 pep chromosome:ALNU02000000:3:3844944:3850397:-1 gene:OGLUM03G05330 transcript:OGLUM03G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R4] MKPSLLLLALMLATFVVAIANADDYTAAAPAPSSEAEASPPSPPPEASPPPLAPLPSVTSSPPPPTSSPLMPPPLPPPPPSVTTSPPPPPPPAVTSSPPPPPPPAASPPPPPPSPPPPPPSPVKSSPPPPPAWSPVTNVNDYTIQQVGKFAVQSYCLNTGAKLVYVNVVSGQTQPCSGGGSNYQLVINVAAGVRTAQYSVFVWGILGTTTWKLWSAVLLSAIFTAALLAVAAKADGGSVTAAASPSPPPAWTAVANVNDRSIQQVGQSAVRIYGLSTNKTYLRYVNVVSGQTQPCNGGYNYRLVVTVAGPGANAARYDALMWGILGTTNWKLLSFTLAAN >OGLUM03G05340.1 pep chromosome:ALNU02000000:3:3854266:3854634:-1 gene:OGLUM03G05340 transcript:OGLUM03G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R5] MARSSPLFLLGAALAVVAAAAATEAAGWAPVADVQELVIQQVGRFAVLVYSLAHHTDLAYVGVARGVTEAAAGGAGGTNYRLAVAVTKPDGSAAQYECLVWGVPGSRLDTWKLRRFRRIQLP >OGLUM03G05350.1 pep chromosome:ALNU02000000:3:3857463:3857828:-1 gene:OGLUM03G05350 transcript:OGLUM03G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R6] MRTSSSLLVAAALVFAVVVVAETLPAAEATYRPIGNTSNLVVQQVGRFSVLVYDLSHRKSLVFVSVVSGETEAAVGGGTNYRLVILAETTPGGSKAKFQCVVWGVPGSRANTWKLLSFKAI >OGLUM03G05360.1 pep chromosome:ALNU02000000:3:3861623:3861973:-1 gene:OGLUM03G05360 transcript:OGLUM03G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2R7] MKSLAAVLIVLAAAAVAASASESEFKQTPVADMPADPRGPLLGRFAVLVYSLNRNRRLTYDGVSLVDQHPDKGGVRYQMVVTAADAGGGAAAPYRAVVWGIPETHAWMLLEFNRIN >OGLUM03G05370.1 pep chromosome:ALNU02000000:3:3866187:3866501:-1 gene:OGLUM03G05370 transcript:OGLUM03G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNKSGILPCTYGAWCQPPVVQQQPQLLQPFVYGAWRQQPPLLQQQQQQLSTTGAWAQPPRLQQAPHVQQLSTGGVALSQRQPSPPHSSSSRQNMAKLMIRE >OGLUM03G05380.1 pep chromosome:ALNU02000000:3:3868178:3872245:1 gene:OGLUM03G05380 transcript:OGLUM03G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKLPPYSTMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDPTGAKVTKAAAKKX >OGLUM03G05390.1 pep chromosome:ALNU02000000:3:3874023:3879410:-1 gene:OGLUM03G05390 transcript:OGLUM03G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHPRPRRSIIPNVLPTIKPPATTHLSGASLSPKMPVFRHKLGDMGREVFFNSTSNNTFVNSTLPSLSSSSSIHTDHCLIPMWATCFPRTKHTMGDRLLCLILIALCQCQERIASHCSRITTSSISSSTTSSTPPPAAAVSAVSSSESNSFTAAQQQQQQYQHQNLQQQYQQQQQQQQNLQQQYQHQNLQQQYQQQQQQQQQQPSWLQQQDARQRKRKISVGGVGEGASKSRKFSAFQFPVTLVPLEDFLSANKDEIIEQFRFSAGSFVGNINPEVVVDVPTGTGLSLIGGYFEEVSVAHERGLSWAGDFDKHDMFVTEREPTQCTIMKVPSHPATPDNIDQDHISLMNCLLSWFERMDGQRPPFFDELFQRIHFPASAEDPDGRDKYLFVLRNHPAFARPAKRRGFLEDFYKFYKTLSPEEREKFLQYTNRDLFEFIFHLLVSADNFLLDIFWKRKDLSLEQKRSALEKGMQGEQRLPFTSEDLYVAIYLRHLIVHGPEYSQVGGINVDISLLELDLIGAQHFSWFLPQFMEALLEMVNKYPLSILEVNLLSKWLAKKPLADCDVLEFSTMPLKRKSQLFANSLRNNYDYANVYEHNGFCIGLETAYCQISQADNSSTRRVWTIMKNWISIDFPLPERRSDSLAVWWLEARQHFRTGYRELFDTVFMLTCWFIWKERNGRIFEHRSRTPEQLVHDIKEELMIWKMAGVFAECSNNGNGDS >OGLUM03G05400.1 pep chromosome:ALNU02000000:3:3880582:3881912:-1 gene:OGLUM03G05400 transcript:OGLUM03G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVTCYETICKDCRSRSNIIKNEEKVHRRPPVVGRRSPGRGGPTAGWRCGGGGDTGEGEGGGKARRRQRRGGGGGRRARLLELLLLIHDCSQDAADADYLTALPNPYLIAELSAYGSVADAVRQGPQHNDQEMGGAPRHPHPGRRGGAGLRAESATTTHDQTLLVTTTPSPPASTVSMPPTSSVMVASAPANSTTGNSNARSPHPPVHVAVAVAATARTFVAVSFVGFALWELARHARARRRGTTAMAVADKRDSLASAAAFGAAVHPCRHLDGACDHDAENVVAEEKDAVVGGGVPPHLVGGLGGEAWRDEGGE >OGLUM03G05410.1 pep chromosome:ALNU02000000:3:3889160:3890336:-1 gene:OGLUM03G05410 transcript:OGLUM03G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGKSREVPSLPFLSHLSIDLSLSLSFSLSLGQRGNLCAAKATLGAGWTYGGGGGWLSGGGGGEGEGETRGMRCCCDVVYSFHCMCCRSLAGNGAGEMGCCQRQLQDLSSAWTKEAHNNKILPENVATLSSHHETKEKELSLAVEHGMLLREECSIVFTLINRRPTLPPPRPLVFCSDETHAFLYTNMHFFRYIVRRW >OGLUM03G05410.2 pep chromosome:ALNU02000000:3:3889160:3890336:-1 gene:OGLUM03G05410 transcript:OGLUM03G05410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGKSRESRWEWSGGDGVLPATAAGLELSLAVEHGMLLREECSIVFTLINRRPTLPPPRPLVFCSDETHAFLYTNMHFFRYIVRRW >OGLUM03G05410.3 pep chromosome:ALNU02000000:3:3889160:3890336:-1 gene:OGLUM03G05410 transcript:OGLUM03G05410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSGKSREVPSLPFLSHLSIDLSLSLSFSLSLGQRGNLCAAKATLGAGWTYGGGGGWLSGGGGGEGEGETRGMRCCCDVVYSFHCMCCRSLAGNGAGEMGCCQRQLQDLSSAWTKEAHNNKSEISISTLFLSHFLHAHVVIVLFLPYSCSELSLAVEHGMLLREECSIVFTLINRRPTLPPPRPLVFCSDETHAFLYTNMHFFRYIVRRW >OGLUM03G05420.1 pep chromosome:ALNU02000000:3:3891381:3895841:-1 gene:OGLUM03G05420 transcript:OGLUM03G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASRCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETNSNENHPKTIVAVKISHEMVSCTSWLAFCESCQTSSELEVMPTITFSSLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPRPSQQFSACGTMLPPSSSQPFCPSVRSLAMQTFATPGPILPGPPVTPMQWPVFISPPQQQLSACAVWPQAGTLQQELPPHIPFRAHQIPATQPGKFKVLEQPQVPSRDRTY >OGLUM03G05420.2 pep chromosome:ALNU02000000:3:3891381:3895841:-1 gene:OGLUM03G05420 transcript:OGLUM03G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIMNRESFNPPSLPNLAASRCWPESVILANRAYIADCKNPTTATAQSRDGHTVQISFCFAEAPVISYFCAHCYNPRVKVEFFTEPIIVAGEGAVVVLRVHLSSPLLSEFFIYRAGPGLPSLERVQDPTGYNSPIFYLSLLGIASCDNDDGGSCLLVGFRNRLTHYEVYVYTSTTKTWSTRKLDLSPGIRAIRPQKVINLGRGLLGWVDLWHGILIFNARDEQSQIQYVPLPEPMPLNKESFRPSNRSESCPMLFRDVICSSSGEIKFVELEQRQRKIQPIPQELEDVRQESKEDVCDRDVLDDSYLMSCAHMDDLPKEETPSFVGDGWRAVTWSRLISSNCWQKGYVIDSDDMQSTYEVLTSTQRDKGVEMLRFRDLFSVFPTLSLNGAGDLLYLKSTVKFKDLNGWVSSIDIGKKTIKVLKPYCNGRHIPFVQMFRSCVLCHHLTVLPGPENIGRFIETNSNENHPKTIVAVKISHEMVSCTSWLAFCESCQTSSELEVMPTITFSSLRNTAGYHMAQTCCFENDPRATANTTPRHPENQFTQHGYEQRENDFILSAPNFVRWHRPPFSAGGTLPPPPPTQQPSAFGTLPPPRPSQQFSACGTMLPPSSSQPFCPSVRSLAMQTFATPGPILPGPPVTPMQWPVFISPPQQQLSACAVWPQAGTLQQELPPHIPFRAHQIPATQPGKFKVLEQPQVPSRDRTY >OGLUM03G05430.1 pep chromosome:ALNU02000000:3:3897057:3898079:-1 gene:OGLUM03G05430 transcript:OGLUM03G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDTSNVAAHGDPKRCGSIRLETPAAPPHACPRFEVGFIDLDYNALDSGGPMQFLLEEMCHGNVDNAGASGIQGVARISKLDVTYNTMIDLYGKAMKIKDASCRRRRLRRAIDLCNTVQTPFIDLRRAVLLQEPPSVPTLTGGGGGAREAAVIDPSDERLATMSLREQTALLSRQWQWRRARDLFDRMRALPGYAPNDIHYDIVIRHLAHACRWAELRQSGPAIAPLAGHRPPSLKSNKKE >OGLUM03G05440.1 pep chromosome:ALNU02000000:3:3898088:3903365:-1 gene:OGLUM03G05440 transcript:OGLUM03G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAKVAVAVARRGGEGGREGDEIFCGSRAVVLLSARLVPIPPRFIPTPRGAVSPCVALRCVARRLIVSSRLAPLSSRRDISSCERGNDPGSNLQASRLRSYPHRVLSLHVGPGEPGPHPQHGLCDDNIGCCGNNEENESTVMCEGDKVDLVE >OGLUM03G05450.1 pep chromosome:ALNU02000000:3:3903018:3908591:1 gene:OGLUM03G05450 transcript:OGLUM03G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYNPRFFPPPPPPMPLPRRDIAARRERGETRRDDKAPRDATQRNARRHCASGSGYKAGRDRDEPGGQEDDSTRATEDLVSLPPSLPSPSRHRHRHLSRARHCPPPARPPPTTAAEAAPAGAGSTTTDSVHDPSEGMNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >OGLUM03G05450.2 pep chromosome:ALNU02000000:3:3904395:3908591:1 gene:OGLUM03G05450 transcript:OGLUM03G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFAIADAFHDQANPYWAMMHTNSYKYGYSGAGNYYSYGHVYDMNDYMHRADGGRRIWDNATPVNNTESPNVVLQGGETPHANTSSTTEECIQQQVHQNSSSPQVIWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGDEPKRQ >OGLUM03G05460.1 pep chromosome:ALNU02000000:3:3911206:3915597:1 gene:OGLUM03G05460 transcript:OGLUM03G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G19350) TAIR;Acc:AT5G19350] MAAAPYHQPTSLEEVRTLWIGDLQYWADENYLYNCFAHTGELQSVKIIRNKLTSLPEGYGFIEFISHEVAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVSYPSVKGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGMYCSTRPMRISAAIPKKTTGSQLQYGAAKAMYPAAGYAVPQVQPVLPDSDPTNTTIFIGNLDQNVTEDELRQICVQFGELIYVKIPANKACGFVQYASRASAEEAVQRLHGTAIGQQVVRLSWGRSPASKQDQSAVWSQQADPNQWASAYYGYGYDAYGYAQDPSYAYNSYAGYTQLRERPIWHQQLEAMPQEWKRRRLNASYIAVHGRAMLGRPLWLRTSSLPQSA >OGLUM03G05470.1 pep chromosome:ALNU02000000:3:3915970:3918461:1 gene:OGLUM03G05470 transcript:OGLUM03G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPEAGVAAVDDEAWFCRPDCRHPKTVEDFLKMSPSPKGKLLRSVSAMMMPFGERDTNLRDGNNNLKRRGAVAGSGIAATFTPPKPKAAAKKRFQDDSENQDPALATPPPPPPAASRPPFGAARWAKNAKDAIKSSAEKRPGNAEKEALLSKNAAPRQLKSTLSARNLFSGKDILGQISDFYNELKRMAGGNGSRPGSEAMEELSSNPINEGDVAEKKVDCGCGTGDQVPSEEAIKEKSRQETAEKSPSTMKGKKMGLKVEAAKPTRSSVLKEVKATPPTPQRFPSPSTNRVKNVKAGGMSMASSPLKKLLKEKGTPSKDLENSKDAKRQPFGVKDMNNTKACDAEGSSSMFWFLKPCTFLVE >OGLUM03G05480.1 pep chromosome:ALNU02000000:3:3919638:3924561:1 gene:OGLUM03G05480 transcript:OGLUM03G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G57630) TAIR;Acc:AT3G57630] MRTMRSTKCPWAFLGVAGALVMLVFAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFTTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETHVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSMPSNYSVAYGMPSWLQPPMNLPDSSINVKVVAKKKRPLIYVYDLPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGNHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYNSFAVRILEDDIPNLIRILRGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKAS >OGLUM03G05480.2 pep chromosome:ALNU02000000:3:3919639:3924561:1 gene:OGLUM03G05480 transcript:OGLUM03G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:exostosin family protein [Source:Projected from Arabidopsis thaliana (AT3G57630) TAIR;Acc:AT3G57630] MRTMRSTKCPWAFLGVAGALVMLVFAVHVFMVPILPSSLDFFGAGHGIGKPRNVLPGVGVVDSRLSGQFPSDSYGAVTYRGAPWKAEIGRWLAGCDAGLSVVNITEFIGTKRCEQDCNGQGVCNYELGECRCFHGYAGKRCEEVQKVECNLPSSQEWPVGRWIVSICPAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTILPKKPDDPKLTDWKTPDPDVFTTNKSKPGWCNVNPEDAYSSKVKFKEECDCKYDGLWGRFCETHVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSMPSNYSVAYGMPSWLQPPMNLPDSSINVKVVAKKKRPLIYVYDLPAEFDSHLLEGRHYKFQCVNRIYDDKNRTIWTQQLYGAQIALYESILASPHRTLNGDEADYFYVPALDSCLITRSDDAPHLQMPRDLRLRSYHTLEYYRMTYDHIAQRYPYWNRTSGRDHIWFFSWDEGACYAPKEIWNSMMLVHWGNTNTKHKNSTTAYWADNWNYIPIDRRGNHPCFDPRKDLVLPAWKQPNPAAIWLKLWARTRNNRTTLFYFNGNLGPAYKDGRHEDTYSMGIRQKLAAEFGSTPDKQGKLGRQHTANVTVTYLRTEKYYEELASSIFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGILLPYENMLNYNSFAVRILEDDIPNLIRILRVCVCINSPFRSVITFDTYLVFPSHQGINETQVEFMLRNVRQIWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLDKVDDVFATFIQVLHYKLYNDPWRQGVLQRKETGLPDICSKAS >OGLUM03G05490.1 pep chromosome:ALNU02000000:3:3925915:3926871:1 gene:OGLUM03G05490 transcript:OGLUM03G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57600) TAIR;Acc:AT3G57600] MESYGRKRAWKKGPTRGKGGPQNAACEYRGVRQRTWGKWVAEIREPNKRTRLWLGSFATAEEAALAYDEAARRLYGPDAFLNLPHLRAASAAAAHQRLRWLPASAAAAAARGGAAAVPAYGLLNLNAQHNVHVIHQRLQELKNSSSSPTKPPPRTPTRANPPPPPLPTSSPCSTVTNSVGSAALPPPMSCFQALEQAMAATAAMESAPCDDDAAVVGFGADKPQLDLKEFLQQIGVLKADDDGATGKNGAVHGDDGELADAFGFGGSGEFDWDALAADMSDIAGGHGGALGANGGFQMDDLHEVEQFGGCMPIPIWDI >OGLUM03G05500.1 pep chromosome:ALNU02000000:3:3928039:3930997:-1 gene:OGLUM03G05500 transcript:OGLUM03G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylosuccinate synthase [Source:Projected from Arabidopsis thaliana (AT3G57610) TAIR;Acc:AT3G57610] MSLSTVNHAAAAAAAAAGSGKSFSAAAPAAPSVRLPRTRAPAAAAVSAAAVGADPAADRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFNEIDGLESNGVNCNGRILVSDRAHLLFDLHQAVDGLREAELANSFIGTTKRGIGPCYSSKFTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFEGFEYSKSMLREEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRCIGDLIGVVKAYTTRVGSGPFPTELFGEEGDLLRKSGMEFGTTTGRPRRCGWLDIVALKYCCEINGFSSLNLTKLDVLSGLPEVKLGVSYNQPDGQKLQSFPGDLDTLEQVQVKYEVLPGWQSDISSVRSYSELPLAAQRYVERIEELVGVPVHYIGVGPGRDALIYK >OGLUM03G05510.1 pep chromosome:ALNU02000000:3:3931090:3939011:1 gene:OGLUM03G05510 transcript:OGLUM03G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSGARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRSGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCIAKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >OGLUM03G05510.2 pep chromosome:ALNU02000000:3:3931090:3939011:1 gene:OGLUM03G05510 transcript:OGLUM03G05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;tRNA (5-methylaminomethyl-2-thiouridylate)-methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G51310) TAIR;Acc:AT1G51310] MLRVVSTLPALRPLLAGSTLLLNARPLLRSRLTRRPFRAVSSSTASPSSSSSGARDFGGVDFGDERLLRCAAAGRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDDDLKYAQAVCDKSIYLMRAFLEAIENLGFDYIASGHYAHVVHPSPDDVEGPSVLQLSKDKVKDQTYFLSHLSQTQLRRLLFPLGCIAKDEVRRLAAQMDLPNQDRKDSQGICFLGKVKFSEFVERQIGEMEGVLLEAETGDYLGTHRGFWFYTIGQRQGLRLPGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFSNSGPTNNEQLKCKVRHSPEFHDCTVTQEQTSENGVILVVRLSEDDQGLAAGQFAAFYRDNLCLGSGIILDSWDEMNFPVCARALEIARMEDKSRLGKPVKIMNLEHIVKSEKEAIEVA >OGLUM03G05520.1 pep chromosome:ALNU02000000:3:3940548:3940748:-1 gene:OGLUM03G05520 transcript:OGLUM03G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAIEAVFRDCAPYSQGAIFRFRLGLSRILSGLARALR >OGLUM03G05530.1 pep chromosome:ALNU02000000:3:3943413:3946350:-1 gene:OGLUM03G05530 transcript:OGLUM03G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPHLTENGTVMIQFGHKMPDYESSATQSTSGSPREVSGMSEGSLNEQNDQSGNLDGYTKSDEGKMMSALSLGKSETVYAHSEPDRSQPFGISYPYADSFYGGAVATYGTHAIIHPQIVGVMSSSRVPLPIEPATEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKNRKPYLHESRHQHAMKRARGTGGRFLNTKQQPEATDGGTPRLVSANGVVFSKHEHSLSSSDLHHRRAKEGA >OGLUM03G05540.1 pep chromosome:ALNU02000000:3:3955205:3956991:1 gene:OGLUM03G05540 transcript:OGLUM03G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVVDMDEEGGAAAAADEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPQAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAATAAASAAGGVSAAIAAQVVWTPVDVISQRLMVQTSSTCRYRGGVDAFKKILLADGVRGLYRGFGLSIVTYAPSNAVWWASYAMAQRFIWRVVGAERSESYPSLMAVQGASAALAGGASALVTMPLDTVKTRIQVMETDGAAAARPTLKSTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGLWVLICGRILLLVSSICSLLELGAGARDNEWTALPIDQEHVPDSHSLETRKKEPSHPVTVNLCSEMVSEQALRLELGNSCTLGKIGHDGDGNIEFNTCKNHMGGADVR >OGLUM03G05550.1 pep chromosome:ALNU02000000:3:3960101:3964516:1 gene:OGLUM03G05550 transcript:OGLUM03G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G12040) TAIR;Acc:AT5G12040] MRATAAAAFSLLTCSCLRSTSPTRLPSSSLRLPLRRGPLAAMATAASFRPEAARSPPAVQPPAPPLSKVFKVALCQLSVTADKARNIARAREAIEAAAAGGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSISERSGNKLYNTCCVFGSDGELKGKHRKIHLFDIDIPGKITFKESKTLTAGQDLTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCAPARDTSAGYIAWGHSTLVGPFGEVIATAEHEETTIMAEIDYSLIDQRRQFLPLQYQRRGDLYQLVDVQRSGSDE >OGLUM03G05560.1 pep chromosome:ALNU02000000:3:3970930:3971118:1 gene:OGLUM03G05560 transcript:OGLUM03G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEVRACMDLGLELPSDYTVEIQCYGLFATSNPTHTNSGNSSNDEEGARRERRASAISDG >OGLUM03G05570.1 pep chromosome:ALNU02000000:3:3987570:3990615:-1 gene:OGLUM03G05570 transcript:OGLUM03G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDGTGLACRATRPGQTTARAGLLSPMRLCPLITRQRWAAPKVTAGSARRYVADQPSFSSTLLDAIYKSMDEQPGHGGGATGVEAVAAAAKKQHEAALHYGYYYKPSLAGSYRARAPGPHATTSSSSECSSYGGFSSSEAESSHHRRLRPIRTTVPGGAPAPAPEKKAKKPGASIRAKLRDLRKPASPGARLAGFLNSIFAGKRAPATPPSATAGAESACSTASSYSRSCLSKTPSTRGQAKRTVRFLDSDTESLASSTVVDRRRVPVEAVQQMLLQRMEMESDEDDDDDDESSDASSDLFELENFAAIAPAGAAYRDELPVYETTRVALNRAIGHGYGHGRSARVV >OGLUM03G05580.1 pep chromosome:ALNU02000000:3:3994458:4000680:-1 gene:OGLUM03G05580 transcript:OGLUM03G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSLRKTGKSVQVKSVNERREPLLYPRRRSRPGCTDASFCKMPAAERKGAASPLGRGGLAGGDAHASLGFDRRAVGGETDMVRQAKASSQRRTDT >OGLUM03G05590.1 pep chromosome:ALNU02000000:3:3997449:3998848:1 gene:OGLUM03G05590 transcript:OGLUM03G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHPSSVVDLLIDSVKHKFDYNVPWNTECGFIKDTYFAPNMALHERLNKFRQQQERCQTTLSSIAPNQASTPRSNITRWVQPTNGPSTPAKPPQRKFSDDTERLQRINSVRKSPAAAQIKIVIELLEKTRQALTADQINEATYVNIHGNKEVFDRLKNNPKVHFDGNLFSYKSKYGVNGKDKLLSLIRKFPDGLAVAEIKDAYLAVLEDLKSSSS >OGLUM03G05600.1 pep chromosome:ALNU02000000:3:4001053:4005146:-1 gene:OGLUM03G05600 transcript:OGLUM03G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNNGWLGFSLSSSSARGYGDGCGEGNGGGDGDGSCSSPVAASPLVAMPLHSDGSVHYDAPDWRHAEAKDPKLEDFMSVSYSNKSSSNLYGSSSSSSCGHADQIKYHHVHDVQAFSTPSFYGHGGSGVGIDINMNAPPAGCTGVLPDHRPPPPQQDHIFLPPHGQYFLGPPNPMAPAPMYNAGGGGGGVVDGSMSISGIKSWLRQAMYVPERSAAALSLSVPAAPPSEAPLPPAAMPVVRKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKHMTRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICSSTHLIGGDLACRRSPTRMLPPDAPAGAAGVDVVVAPGDHQQISAGGGGASDNSDTASDGHRGAHLLHGLQYAHAMKFEAGESSGGGGDGATTNWMAAAAAAARPVAGIPTTVHHQLPVFALWND >OGLUM03G05610.1 pep chromosome:ALNU02000000:3:4014051:4016549:-1 gene:OGLUM03G05610 transcript:OGLUM03G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGVASWLTATAAGRADASPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPTDDYEMGDATELDPRLKQLQDIL >OGLUM03G05610.2 pep chromosome:ALNU02000000:3:4014051:4016549:-1 gene:OGLUM03G05610 transcript:OGLUM03G05610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGGQTRARLTNTKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPTDDYEMGDATELDPRLKQLQDIL >OGLUM03G05610.3 pep chromosome:ALNU02000000:3:4014049:4016549:-1 gene:OGLUM03G05610 transcript:OGLUM03G05610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSLLPTTSGGGHLCPSPPPSRRRRCCQVAAAAGGIGRRAVSLAGVASWLTATAAGRADASPFDKYVKKKKLEPLETYVPAVLLTQDQFRDLEKSLEFEKPRYDESRSLLRSGPASSLRINIRAVAQYASSSGQGKAASDAVDECLRALEDLDSLLLQASRNNPSASVDVMRSKISVALGALDNLLQTVPSAVLDKGKAIADAYRTPTDDYEMGDATELDPRLKQLQDIL >OGLUM03G05620.1 pep chromosome:ALNU02000000:3:4018046:4022069:-1 gene:OGLUM03G05620 transcript:OGLUM03G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKTAYISDRRNASTAESQTSEGQIVQGDGFSDEPSVLAAEGSLVLFRVNLSPRPRRSGTYMSPCNYFVYTAGPGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLEKLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCDGLIKFVDIEYCYSDDVNGNGWKATIWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCSDCRPCAFSKYLKITSGVVIPNPVGEYTKRTVFWKRYGLKTHDCSEFERSNFEEYRSLVQSSPVAGYYASNDIEKAASKAVNICLRASEDLNQVLQESTSYPSAHAEAIRSKINVVLRAIGSLVQTVPVQPRMTTVADSHGVSKIYSEEEKNNSHEP >OGLUM03G05620.2 pep chromosome:ALNU02000000:3:4016717:4022069:-1 gene:OGLUM03G05620 transcript:OGLUM03G05620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKTAYISDRRNASTAESQTSEGQIVQGDGFSDEPSVLAAEGSLVLFRVNLSPRPRRSGTYMSPCNYFVYTAGPGRPWLDLLPDPNAMPFNSQQFGLFPCRSGVSEHYDVAFLNSEWVASDEACQFELCTFSSKTGRWSSKPVLLDLSPSEIHKVAIEHETDKLITIGHDSLGLVDLWRGIILLEKLFDDYPVMRYMTFPKPVVYTIDAYGATVCGEIAPECARDVACCDGLIKFVDIEYCYSDDVNGNGWKATIWNRMLSWKDWRKRFSVDKFDILVDPSYSTVLPDLWDDNTKMMQLKKLICTIPTLSMYDDDFVYMMSTMTEEDKNAWIISVDMKQNTLQAVAPISAERFSVLCSDCRPCAFSKYLKITSGVVIPNPVGEYTKRTVFWKRYGLKTHDCSEFERSNFEEYRSLVQSSPVAGYYASNDIEKAASKAVNICLRLVQTVPVQPRMTTVADSHGSVSPGNPEPT >OGLUM03G05630.1 pep chromosome:ALNU02000000:3:4023449:4024137:-1 gene:OGLUM03G05630 transcript:OGLUM03G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSSTERLVCVVLAVLAVLSPLYIDRRRPAAWDSDDEEEGGGVSALLLPALLIVLILAINVTCFVDRRVVRSSSSFSRNLHN >OGLUM03G05640.1 pep chromosome:ALNU02000000:3:4025323:4029429:1 gene:OGLUM03G05640 transcript:OGLUM03G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06025) TAIR;Acc:AT2G06025] MATGSVVAPPPSLAGGGRGLRRRGVLHRRLAASPMKDEPVASTNGSKDEMVTDSLSVARRASHPGLSSSLSNPMSEVTTPFHPAAPSDLRFNRLRPSVEESDCKYKRFFGCYVAREAIIDEEYWIAAWLRAENRYEDQSSDRYVESFKRKFASQEFHALKRRCSKLQGEKYICFVAVKNDDLKRTVLNSVVGTLDVCIRHPLHGETFPAEPGKTSFHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLNGAEEVYIHVHKDNLPARRLYDQIGFRMVDFDGARQSSDLCLLSFSS >OGLUM03G05650.1 pep chromosome:ALNU02000000:3:4029615:4034289:1 gene:OGLUM03G05650 transcript:OGLUM03G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase family protein [Source:Projected from Arabidopsis thaliana (AT1G54150) TAIR;Acc:AT1G54150] MSARDRETAVALARLAAALDGAVLGLGTAALAVASWVKYLAASGQLRRIASAPAAAIPDLRSLLAEYGGGGGDGDQPILAAVRGHVRAAPRGKYLVPPGSGEHCVVAKHTQLCLFNEWRGIFGWTFDLHALFFKSIKEQIITSFRWVYHLYFVPFVLVDPENMTGMVHVKLDRAMQPLPLTTVYHKLTPVDSTPYTLFQTIIGNGYPIALLDEEKILPVGKEITAIGYIRPHKASVEISSCPEIPFFLSDLTKDEMEAELSSRAKTLFWASVVLGTMSVCLLGFATYRSWKKIKERREARQAQEVFRQTTDEVTDDQSSDEEAGEMGDGQLCVICLRKRRKAAFIPCGHLVCCCKCALIVERQFDPLCPMCRQDIRYMIRIYDN >OGLUM03G05660.1 pep chromosome:ALNU02000000:3:4031030:4034786:-1 gene:OGLUM03G05660 transcript:OGLUM03G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRKQGEERRSEADLEGEDGSSREEAAAAAAGDPYHVSDVLPTHRAQWVELTFHNQCTFAAADKLLHQYNIKSSGTHKMIYKNKWNLAKGKN >OGLUM03G05670.1 pep chromosome:ALNU02000000:3:4036386:4042953:-1 gene:OGLUM03G05670 transcript:OGLUM03G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATPase of Arabidopsis 2 [Source:Projected from Arabidopsis thaliana (AT5G21930) TAIR;Acc:AT5G21930] MAATASRSPLHVTAPVRGVNPLLLRRLRLGRGGGCGKASTAQRFRLVVLPRGPAVATPRATADPSASASSAVDAAAAAGEGEGGSDAATVLLDVSGMMCGGCAARVRTILAADERVETAAVNLLAESAAVRLRSPEPAAGKELAARLTECGFPSVARRGGAASGASDSARKWREMAARKAELLTRSRGRVAFAWTLVALCCGSHATHFLHSLGIHVGHDILFDGLRAFKQGSPNMNSLVGFGSAAAFAISSVSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELVSLLSPQSRLVVTSSSDDPSSDGVLNSDAITVEVPVDDVRVGDFILVLPGETIPVDGNVLGGSSFVDESMLTGESLPVPKEKGFPVFAGTVNWDGPLKIKATTTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLSAATFSFWYYIGTHIFPEVLLNDISGPDGDSLLLSLKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDAIVLDKTGTLTKGRPVVTSIASLAYEEAEILRLAAAVEKTALHPIANAIMEEAELLKLDIPATSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASSTELTDLRNHLEFVSSSEASSNHSKSIAYVGREGEGIIGAIAVSDVLRDDAKATVDRLQQEEISTFLLSGDRKEAVESIGRTVGIRSENIKSSLTPHEKAGIITALQGEGRRVAMVGDGINDAPSLAAADVGVAMRTNSKESAASDAASVVLLGNRLSQVMDALSLSKATMAKVHQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQSTEKQREDLNSRLN >OGLUM03G05680.1 pep chromosome:ALNU02000000:3:4042990:4048328:-1 gene:OGLUM03G05680 transcript:OGLUM03G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKDLKLTSKEKTRGGGCGGGGGGWDETGEGKAMATPSLRVWDTIDVIYDTTTDPVAPTNTSQPMLPLHHDPPRRNCYTYTIRIHQTVESTHTAEITRKVDSHGPQTSSRWGLSPPPTTPRLGAFHGRLLPPPHLSLILLR >OGLUM03G05690.1 pep chromosome:ALNU02000000:3:4044523:4045780:1 gene:OGLUM03G05690 transcript:OGLUM03G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTVAVGVIKNVEKKDPTGAKVTKAAAKKKCFVDASWKDQLGFGMFLHDPQTRKAICVQASSILYTNALQAELASMVMLSDNLPLVKLLRQRNFASQPHHWSLHPLLLKLQDFTQGKSISIVWNSGYKEI >OGLUM03G05700.1 pep chromosome:ALNU02000000:3:4050806:4051735:-1 gene:OGLUM03G05700 transcript:OGLUM03G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREDRKLTSKVKTGEGERRRRRRRARHHRLRPIMKMHMEQQPPPLPPSACRRYHSSARRSRRFYFSLAAQPPPTPPSAPPPCASQPHRLFSFLRTAQPLPSPPSTTATVAVPTLAMEWHEALGGAGSASPPLPRRSRRSGLAGLKMARAASTASR >OGLUM03G05710.1 pep chromosome:ALNU02000000:3:4056924:4057712:-1 gene:OGLUM03G05710 transcript:OGLUM03G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLITTSLCSFRSNSTAPRTPGMPQSFSSTTLSASIGVASGCNIGRGKGGCSSSKGVKDDELLVEDMEMAGEDELFLLNGVRVVGRASSSARQRPPWTCTSPLQQHGSTTMQALPYHTRTPLTNSRSSLSCRHRRSALWDADEMMTSRCGVRGGLLAGAFARESTRKEKRETCSCCSDSSPDDDLPSLYLSFPAHPYRSRGAMGLATARPWGLRPQHLPVAKRDLGLHRARQSPLPNIIAAVPRRLGFASAPLRCVGFLSC >OGLUM03G05720.1 pep chromosome:ALNU02000000:3:4059241:4060913:1 gene:OGLUM03G05720 transcript:OGLUM03G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YGGT family protein [Source:Projected from Arabidopsis thaliana (AT5G21920) TAIR;Acc:AT5G21920] MASRNADPPRHHPSTPPLLLAMRHLPFPGVHRPRALPAPDLAPLAARLEELAAAAAAHPLLKPLFAFHSHLAAFSQSRRRAMATMRRRRTTGECPLSGEHCFAAVLGDSVAGVVVSSGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNALSSTAAALPAELPDPAPPTSCGATSSSSVLTANRRKWMRRIRPVKSQEGEEEM >OGLUM03G05730.1 pep chromosome:ALNU02000000:3:4061010:4062195:-1 gene:OGLUM03G05730 transcript:OGLUM03G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVIPLMEYIARRAFLAAGLRPSTVTLPSTSGDGEARTIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPFSRRFHVIVPDLLCFGASSSSSSPPPSESAQAAALLDALPALVGTAARVAVAGTSYGGFVAYAMARAAGPERVGPVAISNSDLLKTAEDDGAFLERAGGGWTHPADVLMPLDARGARRLMELTFYRKQAGAMLPDFVIRDIMKKLFSDKREEKIELMNATTVGTDAFQLTPLAQDVLLIWGDHDQIFPLDKAFAVKSCLGDHVRLEIIKKTGHVPQMEDSDRFNKIVLDFLLGSQGSPSNEH >OGLUM03G05740.1 pep chromosome:ALNU02000000:3:4063262:4066124:-1 gene:OGLUM03G05740 transcript:OGLUM03G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSLVPLLDYFARREFAAAGLSPGAVTLPYPGDGGEATCTVHYWASTGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHLIVPDLIGFGGSSFGGDSAASPPSEATQAAALAALLDALPGMKGRRVAVAGTSYGGFVAYWLARTAGAGRVGPVVIASSDLLKTAADDRGFLKRAGDGWSGVEEVLLPAEPAAMRKLLEMASCRPPPPVLMPDFILRDFIQKLFTENREQLIQLFKGITAGTDKFPVTPISQEVLIVWGEQDQLFPVEKAYAVQSSLDGKARVEIISKTGHAPQLEDPTRFNKILLDFLLATHKPDPSSNGASQ >OGLUM03G05750.1 pep chromosome:ALNU02000000:3:4066955:4071661:-1 gene:OGLUM03G05750 transcript:OGLUM03G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRHATATASALFALLPLSLLLFRLLVLLVRLRLAAFRDAALSLHFLARLRIRPVHLRLPGTDATTVRVWCPAAPSAKPPLLLLHGFGGDSKWTWARNLPALSRHFHVYAPDLLFFGAHSRSASPLRTVAFQARCAAEAMRLLGVDRYDVVGISYGGFVAYRLAAVEGRDRVPRVVVMTSGVAATPGEMREMAAREERAVEESLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKLMCVVQRKERAELLHELLKNGAGIDPLPVLTQKTLILWGDKDQVFPLDLGHRLQRHLGDVSRLEIIKDAGHALQLEGADQVNRFIKSFLLDS >OGLUM03G05760.1 pep chromosome:ALNU02000000:3:4073206:4073534:-1 gene:OGLUM03G05760 transcript:OGLUM03G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIACPTLSIAGDNVVHNFTGSPLLDLDHERSGMMTLNLQKKTLEALAQLHCIGLWSDFIDQIYMEYKTCMAMPAE >OGLUM03G05770.1 pep chromosome:ALNU02000000:3:4078844:4079987:1 gene:OGLUM03G05770 transcript:OGLUM03G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGLKSNGQYCHATITTRQRSDGQEGTLAPVAAYIRGNPLALTPSSLPSHPSRRRRSLLLSPYPVLSFEVPPYSTMGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMXTRKENRPVFFWRKKKKGLVLSGW >OGLUM03G05780.1 pep chromosome:ALNU02000000:3:4082039:4083383:-1 gene:OGLUM03G05780 transcript:OGLUM03G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGMFSGRVDKEYFVYKAGSGTESPSLRRIPTHDPRYNRGEDIGIIRCGDHGQFFLAALLFTSCNIREFTLHLYSSASDQWTMKSVPLDPSCNLERVDSKYYPALPHKTIQLGGSLLGWVDLWKGILICDVLADHPVVRFIRLPELMPGNYCHDSPHMIRDVHCMGGVIKFIEMEHFLIPTVEPTQEPTQGRRRPGEEANILYDWDLEPPCKEDAPDPDIWLKSFVGWRTVIWDRMVYGNCWNKVCKASYDEIMVPDPSHYEMLSELGDGSAGNLVLMNLSTDSPTLSIGGDNVVHMSSIVKLDRGRSVMMALNLQRKTVEALALYGPERKTCHPYRPCTLSKYLKISPGSFRDWKVNDLPRAISKPVPQPISMRPWRTDLGGNRHQRRAAPY >OGLUM03G05790.1 pep chromosome:ALNU02000000:3:4083953:4096326:1 gene:OGLUM03G05790 transcript:OGLUM03G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPLQPDRDAAMRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPLHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDLPSSAVGASRRCCSPDWVMLDETAYISDRRNKSTAESQTSEGQIIQVSFWLVDPPGLSYFTVHCPGLEEDGLDCEPSVLAAEGSLVLFRVKLSPRPMRSGTYMSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSEKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDPLGLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVWDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKDWRKRFTVDKTDILVDPSYAAVLPELWDNNTKKMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISKYLKISWISAIAVGDANGYIYEGHARGKPGVIKGSEFFNIVFGCLFLDELKDVSQL >OGLUM03G05790.2 pep chromosome:ALNU02000000:3:4083953:4098062:1 gene:OGLUM03G05790 transcript:OGLUM03G05790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPLRHHL >OGLUM03G05790.3 pep chromosome:ALNU02000000:3:4083953:4091748:1 gene:OGLUM03G05790 transcript:OGLUM03G05790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGVRRFYQSLAQASKPFFSPAHPVRRRRRRSPGAIAMSPAAASPDDRIRSYEDFARVHAYLLAASGIPPSLHQRLYRKLADEVFDGGEAFSVEPCEGGRQRRLVLAAEGTLGRESDVFLVDHAWSFRLSDALKQLREVPGLAERMAALMCVDLDERTELEEADEQDNGNGGSLESALEVVEKERTRIQEKGSDFAAWLELEELGIDDDMLIALDLSSKFPNMVALNLWGNKLQDPEKIMKGIGECRRLKALWLNENPALKEGVDKVILDGLPELEIYNSHFTRKAGEWALGFCGDIIGADNPCSSAESIPLENIVSLDLSDRCIHKLPVVFSPRKLSSLLSLNIRGNPLDQMSSDDLLKLISGFTQLQELEVDIPGSLGNSAISILECLPNLSLLNGINVASIIESGKHIIDSALKPRLPEWSPQESLPERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDDANFRIAPFLFMPDVTQFCGLFMMFILEKSALEFRKYKEQLQSSSICPSRKVTPVTKSIRPSDGHALRVFTDIPQVEEFLTRPEFVLTSDPKEADIIWVSMQVDSELKNALGLTDQQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCVRKRDGMDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVFVRSICPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFVKEFEKEHQVKWLEIHGRIRDMIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNKFNPKILEVTYCPDCTRACKYDTQALVGSQGVIRGTEFFNTVFGCLFLDELKDVSPL >OGLUM03G05790.4 pep chromosome:ALNU02000000:3:4090891:4096326:1 gene:OGLUM03G05790 transcript:OGLUM03G05790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPLHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDLPSSAVGASRRCCSPDWVMLDETAYISDRRNKSTAESQTSEGQIIQVSFWLVDPPGLSYFTVHCPGLEEDGLDCEPSVLAAEGSLVLFRVKLSPRPMRSGTYMSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSEKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDPLGLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVWDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKDWRKRFTVDKTDILVDPSYAAVLPELWDNNTKKMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISKYLKISWISAIAVGDANGYIYEGHARGKPGVIKGSEFFNIVFGCLFLDELKDVSQL >OGLUM03G05790.5 pep chromosome:ALNU02000000:3:4090891:4097913:1 gene:OGLUM03G05790 transcript:OGLUM03G05790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVAHRILLSLALFAVLCRCDPDLLFDYCVADTAAATAAGAFHLNGLACIDPALARADHFATSALSRATNPAATLYGFNATLTSPAASLPGANAQGLAMARIDLAPGGMAPLHSHPRASEAALVLSGSVLVGFADTSYRLYTQLLRAGEAFVFPRAMVHFLYNMDTAAPAVVLSGLNSQSPGAQLVPFSAFRTEPRLPDEVLKKAFKITGQDLPSSAVGASRRCCSPDWVMLDETAYISDRRNKSTAESQTSEGQIIQVSFWLVDPPGLSYFTVHCPGLEEDGLDCEPSVLAAEGSLVLFRVKLSPRPMRSGTYMSPCDYFVYRAAPWSPWLDLLPDPNVMSFNSEKFGLFPCRSGVSEHYNVAFLNIEWVPSDVACQFELYIFSSKNRKWDVKPVLQDLSRSENHKVALEHEIDKLINIGHDPLGLVDLWHGIILLEKMFDDYPVVRYMTFPKPVVYTTDAYGETVCGETAPECVWDVACCNGLIKFVDVEYCYTDETNGNGWKATTWNRLPSWKDWRKRFTVDKTDILVDPSYAAVLPELWDNNTKKMELKRLICSIPTLSMLDDDFLYMITKMNEEDKNAWIISVDMKHNTLQDVAPISAARFSILSSICHPCAISKYLKISWISAIAVGDANGYIYEGHFSGNVGTQAQSSAPFSYARRCWKNRG >OGLUM03G05800.1 pep chromosome:ALNU02000000:3:4104856:4111002:1 gene:OGLUM03G05800 transcript:OGLUM03G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAWSSLFGCFTSHHDGGGKRKKGGGGGKKAKKKVAAAAAAASQKQRKLQSRLSFSDLSFGGMVSPEDLSLSLAGSNLHVFTIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKLKPGLRAQPVAVKLLDLEGTQGHNEWLTEVIFLGQLRHPHLVKLIGYCYEDEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDFKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRKSVDKSRPAREQNLVEWARPYLTDARRLGRVMDRNLAGQYPAKAAQKAAALAHRCVNLNPKSRPHMSAVVEALEPLLALDDDCLVGTFVYVAPPDDVAANGDGSSKRRAGRRRSDGAAAAADGVQRE >OGLUM03G05810.1 pep chromosome:ALNU02000000:3:4111220:4111756:-1 gene:OGLUM03G05810 transcript:OGLUM03G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNNKEDNDDDVEAGLTAAAAAARRSSPDQPSPWWDDEAIWLRWEELTSSEQIRMLRTTVTVLLCVSVVIGLCAAAAQAMFVPATTTALSSAGVGVLFFLAPFSLYMRLMLWPCLVEDMHRGTLACLVAAPVLLHAAAVAYLQLMGRGQVAFAALGVWLVDVAAAAALGWCFWNDRR >OGLUM03G05820.1 pep chromosome:ALNU02000000:3:4112114:4112515:1 gene:OGLUM03G05820 transcript:OGLUM03G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAACAAVAGAAVACLPGAPAGAAFGLGLAGLLFLLPFSSLYVPMLRPPVDMSRPFLSFTIGTALALAAAYLALLLLAATDKMLGADAVTDFLWGADLTGAASLGWFVLTTKDTTRSYIIREERLEVVKIG >OGLUM03G05830.1 pep chromosome:ALNU02000000:3:4113367:4114191:-1 gene:OGLUM03G05830 transcript:OGLUM03G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYAALTPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDRDQRVVFSVDGCGIIGASGQLIVRDGDGTAILFIHKKGGVVQVLSINNWWKGYLMDYGEPSKLVFSLQDPKPVLCMNGDVRVTVEPKGRKRHWDYEVIGSFSQRACTVRSRAGHVVAQIGVKGMLAGKDFYHVVVQPGYDQAFVVGVIAILDNIHGESTRC >OGLUM03G05840.1 pep chromosome:ALNU02000000:3:4118486:4125285:1 gene:OGLUM03G05840 transcript:OGLUM03G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z2X8] MELTGLTRAAAAATVTPPAPRRGWGELRFAPLLPGERHGRRKVVVAAISEEVPRLAASPSSGIKGGGAGERRPAPEKVALRAALTVRRKQKEDIKEAVAGHLDALWDMVGRNVVLELISTKIHPRTKKPMQSGRVSIKDWCQKRGAKGDHVVYTAEFTVDADFGEPGAIAVANRHNREFFLESIVVEGGGLPCGPVHFACNSWVQSTRELPTKRVFFSNKPYLPSETPPGLRELREKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDKGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMLAESRVEKPHPIYVPRDEAFEELKQGAFSSGRLRAVLHTLIPSLIASISAETHNFQGFHHIDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQAVAGINPVNIERLQVFPPVSKLDPAIYGPPESSITETHIAGHLNGLTVQQAMDEAKLFIVDYHDAYLPFLDRINAIDGRKAYATRTIFFLTEAGTLKPIAIELSLPRAKPGEPRPSKVLTPPYDATSNWLWMLAKAHVSSNDAGVHQLVNHWLRTHATMEPFILAAHRHMSAMHPIFKLLHPHMRYTLEINALARQSLINADGVIESCFTPGPVSGEISAAYYRNHWRGVAVEDATQPHGVRLLIEDYPYANDGLLLWSAIRSWVESYVQLYYPDAGTVQCDLELQGWYHESIHVGHGDLRHAPWWPPLSTPADLASILTTLVWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDLERDAAEYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEGGVPWTADEAAVAAHGMFAADVRRAEETIERRNADHGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >OGLUM03G05850.1 pep chromosome:ALNU02000000:3:4125927:4127846:-1 gene:OGLUM03G05850 transcript:OGLUM03G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAGPHPRRIRPLAAASLVLFLFLLYSRSGGSLVRSPRVLSFDSHTGHRRFLSDADPISPASNLSEIGELNGEQPPVDPSVACAGVARHEGFGSQCEFLRAHPQCSSGGFVDYLGFFYCRCERFRVLGYAVLGVCLAALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGTGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFVRDVGFFLMTLVALSIILIVGKVTVWGAIIFVSIYVVYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFAQGTEDDESVYSSLLEEESDGDVARINTSLPQWMWASHVAIYSNHGIRGGSPDSSRPLWGWSDEEVDNSTVSFSKLFLFLELPLTIPRRLTIPIVEEDRWSKEYAVASAGLAPVLLAFLWSSQDGVSTKAHIAAYVIAGISGMALASLAFMFTDHERPPRRFLFPWVLGGFVMSIIWFYIIANELVALLVAFGVILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGISMLLGAWSTAPNSYVLPQDSSLIYTMSFLVGGLIWALVMLPRGGMQPNKILGVGLIALYSVFLFIRVSNAMGILPLPGLS >OGLUM03G05860.1 pep chromosome:ALNU02000000:3:4131639:4132064:-1 gene:OGLUM03G05860 transcript:OGLUM03G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAGQEVNPGVVVAAVVEVEWAECACCGLREECTPTYAAGVRARYGGRWLCGLCGEAVGEELAAAGGGAGDDGSVVVEVEAAIARHAAFCRALGCRSPAAAERLIAAVRRLLRGAGEGGRKEKAKAVVVLEFHDA >OGLUM03G05870.1 pep chromosome:ALNU02000000:3:4134321:4134876:-1 gene:OGLUM03G05870 transcript:OGLUM03G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHVKWRLLWRVCLSREGSRGPHLSMRWGPRGGAVPASSCALFEGARWRSEYFVANDSLVAWDFVVLDAISYQKSTEQPIRSLVTLAFADLLQHHGQSARKFTTSMCRIYRTIWRQNNLVLFC >OGLUM03G05880.1 pep chromosome:ALNU02000000:3:4135080:4141988:1 gene:OGLUM03G05880 transcript:OGLUM03G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >OGLUM03G05880.2 pep chromosome:ALNU02000000:3:4135080:4141988:1 gene:OGLUM03G05880 transcript:OGLUM03G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >OGLUM03G05880.3 pep chromosome:ALNU02000000:3:4135773:4141988:1 gene:OGLUM03G05880 transcript:OGLUM03G05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >OGLUM03G05880.4 pep chromosome:ALNU02000000:3:4135127:4141988:1 gene:OGLUM03G05880 transcript:OGLUM03G05880.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >OGLUM03G05880.5 pep chromosome:ALNU02000000:3:4135074:4141988:1 gene:OGLUM03G05880 transcript:OGLUM03G05880.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTC-interacting domain 7 [Source:Projected from Arabidopsis thaliana (AT2G26280) TAIR;Acc:AT2G26280] MSIEERTLSLINKTTALNPNAQEFVPSSLRSINDVSNRSDTSRISVSGSSKDTIADQQDPVIPSNPDEEAHRYWQEQLPDDITPDFKVLGQDEMPGPDNISLTGLSINDSIGASLFSPNQTSNLQHRASPFIRDTLSTRSKIEFPSPTYVEEQSRATIMSPTASAMSPTAAPWVKTVRNGVQYNANRRDASHYNGDSSIGAPVQNLTDAYFGSRRSFSSTMDIMSQLENKVDGRLNQNLRSLSFGHSSPPSPASYGQNGLANYNKEAFGLANNTYRSHSAVLADDILSPSAGREHISLDAPRGRYNTTNLPVSGLGSSRGSQFMASSFNGNHDIVSNNTLQNIAGVQTGPAWLEADTAANMFLESKDEAHDFASLRHALLEQDRQVLLTGANPLAKELNIKELYNLQSRLAQEKARDVYRQRFQMPEFQGLVQEQNTPIDLCGLHVSEAIHVLNYELNNRRKIARSTGRRLQVIIISSTRTPARLTAAVEQYLLEHGIQYTQAQPGLFRVLLQ >OGLUM03G05890.1 pep chromosome:ALNU02000000:3:4142321:4144469:-1 gene:OGLUM03G05890 transcript:OGLUM03G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6-B [Source:Projected from Arabidopsis thaliana (AT2G05840) UniProtKB/Swiss-Prot;Acc:O81147] MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKNAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFSYEETVQIAISALQSVLQEDFKATEIEVGVVRKDDRVFRALTTEEIDQHLTAISERD >OGLUM03G05900.1 pep chromosome:ALNU02000000:3:4150128:4151918:1 gene:OGLUM03G05900 transcript:OGLUM03G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQQSLLLLVLLRFHALNWVQTRLHGTRKQDHTAVSSRRAHTSGDLHRNGDELDGGWAAAMLSIGTLGGPKGRHGSGTPWTTAAAGADELDRLQEELRLLVRAQAVVTGGEDDDGGGGGGRQRRSLSRTSSSTNGREVVAKLKQRSIRKIMAAALGGLLHRPSCRETMPEATVSEIIWSLLHKNTHPEKPALPHTVMKGDPTVPTPQKEKQEGTKWIRTDSEYIVLDLEI >OGLUM03G05910.1 pep chromosome:ALNU02000000:3:4151542:4155321:-1 gene:OGLUM03G05910 transcript:OGLUM03G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFHPASLTEKHEKEVEDRNTTVFTSWVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRMKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERHRLKCMITFDR >OGLUM03G05910.2 pep chromosome:ALNU02000000:3:4151542:4155321:-1 gene:OGLUM03G05910 transcript:OGLUM03G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALEAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLLLRENPSIHIHEMKSVQLTGILKISGALTLLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRMADGAFCVTKAMKHELDQKWGINATVLYDQSPEFFHPASLTEKHEKEVEDRNTTVFTSWVDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIDELVKINNNGLLFSTSSELADELMMLFKGFPEECDDLKSLKVGALNTGSSSKWSTEWERHRLKCMITFDR >OGLUM03G05920.1 pep chromosome:ALNU02000000:3:4155357:4156113:-1 gene:OGLUM03G05920 transcript:OGLUM03G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNSGLPNKDESRGGWTPRGGARRRAVPRRRQPEESSRRPASPPVSPSPRTAIGSSARVGKQAAPLSPTHPKCGGGAGTSLLGGG >OGLUM03G05930.1 pep chromosome:ALNU02000000:3:4160307:4160849:-1 gene:OGLUM03G05930 transcript:OGLUM03G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDPMTRRFAVACGVLSQYVKANSSQPSTAAPVAQGVSGLMAAAAAAAAAPVVQEPGCEVDGGGQQFTIFYAGKVVVIDRCTPAMAAELMRFASAAQGGGGGAPEAPPALVDMPIARKASLKRFLAKRKATPASARSSYVVRAAAAEEEQPPAKKAKAAVERREDWLALGSLGHMHSR >OGLUM03G05940.1 pep chromosome:ALNU02000000:3:4164172:4165737:1 gene:OGLUM03G05940 transcript:OGLUM03G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSEQQLVANAAAATVAGNGSRFAVTCGLLRQYMKEHSGSNGGGGFLPAVTAMSLMTGGADAEEEAPEVRKTMELFPQQAGTLKDTQERKEITEKAQLTIFYGGSVVVFDDFPAEKAGELMKLAGSRDSTAAAAVSDAGAAAGQPCLPDMPIARKVSLQRFLEKRKNRIVVAEPLPESEKKEAESSKRAKKDDGGASWLQVNPTLSL >OGLUM03G05950.1 pep chromosome:ALNU02000000:3:4173217:4173768:1 gene:OGLUM03G05950 transcript:OGLUM03G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSRRFAMACGVLSQYVRAEQKMAAAAGAAPARAVTTLSLMPGAEVVVEEEERREVGEEEAGPATASAAPLTIFYGGRMVVFEDFPADKAAEVMRMASSGMAAAPAQREGAALADMPIMRKASLQRFFAKRKDRLAATTPYARPSPAETKASEPEEKKTPTSWLDLAASAAARRDSLTIAL >OGLUM03G05960.1 pep chromosome:ALNU02000000:3:4186633:4188743:1 gene:OGLUM03G05960 transcript:OGLUM03G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVRMSRWPKEDEVEVGVRIEDKPLMTSYARLSLSWRPEKGRNGGFKERETQNKIGLDLWYNEKSHKAEFIKEWVATERWKVLTKVLAVVDALKKEEEHVTPLKRLEILHPQLGLTKPNKVAHFVSRSPQLFEVCRDSRGVTWADLSPQAEALVEEEARLLEDHSRTATEYVTRLLMMSVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAALTGDANANGIGSPPGELSLSFPMKFPPNFTSYYKFYGKVHHYVKKGNTEQFQKTTYLSPYAEARGLTPGSPEFDKRAVAVMHEVLNFTLEKRLVTDHLTHFCREFVMPQKLMRLLLKHYGIFYVYERGRRFSMFLTKSYDGTKLIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELFDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDVGDLSDCCIE >OGLUM03G05970.1 pep chromosome:ALNU02000000:3:4192922:4198572:1 gene:OGLUM03G05970 transcript:OGLUM03G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase 10 [Source:Projected from Arabidopsis thaliana (AT2G26250) TAIR;Acc:AT2G26250] MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWRKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPGTNCATMKEGRAEASAAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAVDLARDMLQASGAGLAVVVSTEAVSFTWYAGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHIVRTHKGADDRSFRSVYQEEDKQRIKGLSISRDLVEVGGHALKTNITTLGPLVLPFSEQILFFAGVLFRHLFPSKTSAPPPPSADGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQGNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPARSPWLDCVEQYPARMNA >OGLUM03G05980.1 pep chromosome:ALNU02000000:3:4199345:4204052:1 gene:OGLUM03G05980 transcript:OGLUM03G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEDGGDVHVGAGEGEDGGRVTVDELTRLRFNMRDQLQDTSVSNSHQQALHGGAQGSQKLSIASLPNGHFNHALF >OGLUM03G05990.1 pep chromosome:ALNU02000000:3:4205901:4211898:1 gene:OGLUM03G05990 transcript:OGLUM03G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSFSRSGPQGGGRHRRRGSPYSVPDSSTSFAAGLPLSPRRRDVVVDDMSWQSSVSWQPDTSWAQPHGLGAAVGPWAPAGMGSAGRRGPALFRRTAREYYVSRRSTRPHYRGGDVSSSAHRPVAGGGGGGGGRRLELQSVVTDASRAIVVVPNTSFASNDDSVVVADSAVYSAPGHDAGGGGRAMVRYSDTKAASAAAASREVSFSRDNHDQLYVSAARRDPPSFGYDISVASFSGQSRYEDAAGDYDADFDDNDDGDEIDVRVGKPVGVAGLFKYSTAMDIVLLVLGCVGAMINGGSLPWYSYLFGNFVNKIVNVDKTQMMKDVKQISVYMAFLAAVVVVGAYLEITCWRIIGERSALRMRREYLKAVLRQEIGFFDTEVSTGEVMHSISGDVAQIQEVMGEKMPGFVHHVFTFVFGYVVGFAKSWRIALAVFAVTPAMMACGMAYKAIYGGLTAKEEASYQRAGDVAQQAISSIRTVMSFVMEERLAGEYAEWLDKAAPIGVKMGFAKGAGMGVIYLVTYSQWALALWYGSRLVANGEIKGGDAIACFFGVMVGGRHAHQPPRTASCCRQWRRSPEFRGWGLALTLSYMAQFAQGTVAAGRVFEVIDRVPEIDAYGAGGRALPAVKGRMEFKDVEFAYPSRPDAMVLYNLNLVIPAAKTLALVGVSGGGKSTMFALIERFYDPTRGEREWPLTRTQSTTMARSSVIDDEAFASVGCAGSITLDGHDLASLNLRWLRSQIGLVGQEPVLFSTSIIENVMMGKENATRHDAISACAMANVHTFVLALPDGYDTQVGDRGAQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLAAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLMARRGPYSSLVSLASDSGGARSDLAGAAAAYTSFTDESGYDVSVSKSMYGFQTIREEEEKKDSQDAKVRVSEIWRLQRREGPLLILGFLMGIHAGAVFSVFPLLLGQAVEVYFDADTAKMKRQVEYLAMAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAIMRQEPAWFDEEDNAMGVLVTRLARDAVAFRSMFGDRYAVLLMAVGSAGVGLGICFGLDWRLTLVATACTPLTLGASYLNLLINVGARSDDGAYARASGIAAGAVSNVRTVAALCAQGSVVGTFNRALDGPAAKASRRSQLMGVILGLSQGAMYGAYTATLCAGAHFINNGVSTFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPAAIAGILTILKRRPAITGDSSKRRITIKDGKPIDVELRKVTFAYPSRPEVTVLSGFSLRVKAGTTVAVVGASGSGKSTVVWLVQRFYDPGDGKVVVGGVDARELDLKWLRGECAMVGQEPALFSGSIRDNIGFGNPKASWAEIEEVAKEANIHKFISSLPQGYETQVGESGVQLSGGQKQRIAIARAIVKQARILLLDEASSALDLESERHVQEALRRASQRATAITVAHRLSTVRDADRIAVVSAGRVVEFGGHDALLAGHGDGLYAAMVKAETEAHAFK >OGLUM03G06000.1 pep chromosome:ALNU02000000:3:4214116:4218827:1 gene:OGLUM03G06000 transcript:OGLUM03G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALDHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTLTPAPPPPAMTSPPKLNLAAKPNGTSSTVTSTPTVKRRVTETVSWDSLPTNLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGFGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVIRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKHKVYTCLLGTVETAASALEAR >OGLUM03G06000.2 pep chromosome:ALNU02000000:3:4215320:4218827:1 gene:OGLUM03G06000 transcript:OGLUM03G06000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF936) [Source:Projected from Arabidopsis thaliana (AT3G14170) TAIR;Acc:AT3G14170] MASLTPGVLLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPTGISEALDHQRKATKSAELSESEKENSQRKVVIKEQKAVVASRYMLGISSNNSKITNLNSSIDSDKSNGGSSICSANQKSAPTKFKQESKPQERPNTPSRSPAKIVSAKQEINKDTRKTSASSPSQNGSAVVKKQMSKDSKKESASEKNSPPKLYKTSPPTLTPAPPPPAMTSPPKLNLAAKPNGTSSTVTSTPTVKRRVTETVSWDSLPTNLIKSVKVVARRKTIALVVAAEAQREATAAASLLKGFGIFAEIRKSAEEDPHAAITKFFQLNRLIIQQSVFWKDYSSEPVKESRPEKEKPSRKASASQNKAVAGSTAKNSDDAYTSEKIDWAREDGFKEISRSWIILKKESQSWFLSFLEDALEAGFKFEGQNKNTRERVIRGHSKGGDGQIAVRLSQLKETSNWLDQLHSEVDKSQDALVETIEQLKHKVYTCLLGTVETAASALEAR >OGLUM03G06010.1 pep chromosome:ALNU02000000:3:4242001:4245032:1 gene:OGLUM03G06010 transcript:OGLUM03G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQQQQKEGGARRTREEVPAVGRVAIIGGGISGLAAAKQLAAHDPVVFEATPHIGGVWKHCAYRSTRLQTPRPDYEFSDMAWPNRDDPSFPTHVEIVDYLEDYADRFCLWRYIALRSKVVGVKFLGGPSAGFTELWSGSGEPLQGKPMWELAVSSTDDPDSDDVQLYKFEFVVMCTGKYGDVARMPVFPPGKGPEVFKGKVMHSLDYCKLNEQETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGQPCTMLVRTLHWVVPSYSIWGLPFFLFYSTRFSQLFYERPNQGIFRSLLCRLMTPLQKAGVSKFIESYLSWKLPLSRYGLRPDHPFVEDYASCQMAILPDGFFDMADRDLIRFRRSAGGWCFSENGVVLDDGTHVDADLVFLATGFEGKDKLRSVLPEPFRGLVVNKSSMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLGGRFALPAVEEMVRHVDGETEAMRRTTRFYRRHCISTYSIHDSDAMCADLGSRVHRKSNFLAELFAPYNNQDYKEE >OGLUM03G06020.1 pep chromosome:ALNU02000000:3:4270819:4279747:1 gene:OGLUM03G06020 transcript:OGLUM03G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAGDKFLQKFRLYETRSKFYLIGRDKTRTLWRVLKIDRMEPTELEIEEDHTSYTENECQELLWRIHEGNRLTGGLKFVTKCYGIVGFIKFLGPYYMVLITRRRKVGTICGHEIYSVGKSELIAIPSPIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITDKNTGQVVYETMFVWNEFLSRAIRNHLKNTTWTVALIHGFFKQSKLSVAGKEFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTPDEIPHQISSVVQHRGSIPLIWFQETSRLNIRPDIILKPDVDYKTTRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLPDDKRLKFLHMDLSKLSRRKGTNVLSLLNKVASDVLDLTDFLHCEITTSKYEDASSGQGAVANSGDIESIQDQNLCATKLVPLLLQKGVLRTNCIDCLDRTNVAQFAYGLAALGRQLHVLQLNETPTIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYSNAYTDPEKQDSINVFLGHFQPQEGKPALWKLDSDQHYNIGRQGTLTEETGRSFIKRSLSDGNILCDNTGGPVSDCNVGKNNTSSELLPMQPLEDIREPSDSAPEISIEPNPCSSTNYSTLSGRHSISEERQNYLRRLGYPELHSSNFLDLDLLSSSGNSCEEEIYEREEMTQICHAQAAKCQTSVTTLTASRIGWMAEACFATRAIKFCLGDISAKGFNSRSYRSYIKGNTRSQAASAANCNGLVIEEIITTNGRNINLVCPEKVLKDDNPLGNMKPF >OGLUM03G06030.1 pep chromosome:ALNU02000000:3:4280494:4282258:-1 gene:OGLUM03G06030 transcript:OGLUM03G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z303] MAAAAGGAARALSQAEQDVQMMLAADVHLGTKNCDFQMERYVYKRRSDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEAPAQDFAAITDYTAPEQWSADQWTSDVAAPPAATVGDWGAAPAPVAAAEGWDQAGAPVATEAAVVPPVAPTGWDPAAQPAAQGWD >OGLUM03G06040.1 pep chromosome:ALNU02000000:3:4283161:4286089:-1 gene:OGLUM03G06040 transcript:OGLUM03G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3K [Source:Projected from Arabidopsis thaliana (AT4G33250) TAIR;Acc:AT4G33250] MASEQAAESYTVEELVAVNPYNPDILNDLEGFVNDQVSNQTYNLDANLSLLRLYQFEPERLSVQIVSRILIKALMAMPGPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEASKNRNILDVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEHHIANSGWVIEKGARSQLIVLPRNEFNHPELKKNTAETVPFEHVTRIFPVLS >OGLUM03G06050.1 pep chromosome:ALNU02000000:3:4287519:4292706:-1 gene:OGLUM03G06050 transcript:OGLUM03G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDDAMAKLNLCWRRNLAHQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >OGLUM03G06050.2 pep chromosome:ALNU02000000:3:4287519:4292533:-1 gene:OGLUM03G06050 transcript:OGLUM03G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSGDDDDDAMAKLNLCWRRNLAHQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >OGLUM03G06050.3 pep chromosome:ALNU02000000:3:4287519:4292533:-1 gene:OGLUM03G06050 transcript:OGLUM03G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDESLAVSCVSSPKPKAVPSFSFSSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSDQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >OGLUM03G06050.4 pep chromosome:ALNU02000000:3:4287519:4292533:-1 gene:OGLUM03G06050 transcript:OGLUM03G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSPGERRARRAMCGGAILADFTPARVPRRLTAAELLPVTPTPPAAERRTTRKRKSDVDFEAEFELFEDDDDDDEFELSDDGDEISSDVSSSSRPRRRVAAAAAGRRKASKKSKYRGVRRRPSGRFAAEIRDPKKGRRVWLGTYGSAEEAAMAYDREARRIRGKGARLNFPRDGDGSPRRSNDRPCWTIDLNLPAAAVSDQEALSAAKCKIKQCPRDEQMASATPELMEEDASSSRNMVPLSMALQLQYAAMIAECDREMEEIAAVERDLERRRRQVFERRGHLVRQASLLLD >OGLUM03G06060.1 pep chromosome:ALNU02000000:3:4292722:4293835:-1 gene:OGLUM03G06060 transcript:OGLUM03G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAEFIPAPSRAAAATKRVTASHLWPAGSKNAAGGKSKSKRQQRSFADVDDFEAAFEQFDDDSDFDDAEEEDEGHFVFASKSRVVAGHDGRAAARAASKKKRGRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFNTPEEAARAYDVEARRLRGSKAKVNFPATPAAARPRRGNTRATAAPPPATAPAAAPPRGLKREFSPPAETALPFFTNGFVDLTTAAAPPPAMMMTSSFTDSVATSESGGSPAKKARSDDVDSSEGSVGGGSDTLGFTDELEFDPFMLFQLPYSDGYESIDSLFAAGDANSANTDMNAGVNLWSFDDFPIDGALF >OGLUM03G06070.1 pep chromosome:ALNU02000000:3:4300396:4302809:-1 gene:OGLUM03G06070 transcript:OGLUM03G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRFGDIVPADACLLEGDPLKMNCHTPFMRKMSITGIQLSLVNPFLSPKEPGTIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKILTSIGNFCIYSIAIGVIVEIIKGEISKDIDITSKFIVLQISEKNEDQRVSATSSMELVLLVRVYFL >OGLUM03G06070.2 pep chromosome:ALNU02000000:3:4300439:4302809:-1 gene:OGLUM03G06070 transcript:OGLUM03G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQGTDWEDFLGIVCLPIINSTISFIKENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRFGDIVPADACLLEGDPLKMNCHTPFMRKMSITGIQLSLVNPFLSPKEPGTIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKILTSIGNFCIYSIAIGVIVERSASFSY >OGLUM03G06080.1 pep chromosome:ALNU02000000:3:4302933:4306613:-1 gene:OGLUM03G06080 transcript:OGLUM03G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPPHPGRTLPAYHGDNRFLLGACFLSKLPMLRPMKLSLVCSANPNNHRSRSSDITRHQKGGSARRKSKPYQEKDDSENIDEFDTDIMSSKNGPPISLTSNSRPQATSVPGEREKEIVELFKRVQAQLRARGKGKEEKKPEQAKAQGERGSVDSLLNLLRKHSVDQRRKSGDEKEQSVDQTKRSNESGNKQNSSIFIKNDTQEEQKKPHPAAFKRPASNFRRRSPVPNVKFQPVTNVDAERVINNINDAVQEAKPTLENKAATDEPDSVSTFEPNSVIEPENLSLDDLDHISDDEPDASDTDEPSGEYDEPSLQIPSVPIIDESHDTTLKSSLGGPDLSTLKVTELRELAKSRGIKGYSKMKKNDLVELLSNMA >OGLUM03G06090.1 pep chromosome:ALNU02000000:3:4310659:4311624:-1 gene:OGLUM03G06090 transcript:OGLUM03G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILANIIPATPPRRATAAHVWPGGDGEKRRKVGGGGCDDDFEAAFERFGREDSEMEEEEVEEVVVGKKAAVRRRRAAPAAGRRARPSKYWGVRRRPWGKWAAEIRDPVEGVRVWLGTFATAEAAAHAYDAAARDLRGATAKLNFPSSSSSTAATPRPRKCRPTTATATPKATTPNVVVVVNLVDEEAEASESSGASSSALPDFSWQGMSASSDDDAAAQQALLDAAGGAKKRPRSEPHVTSDDEVLPASFDSDNNTAAAGLLPLDDPFLFGDQFGDLNGGAFASLMDGLFAAGEANVAGESVGLWSFGDDGLNASYY >OGLUM03G06100.1 pep chromosome:ALNU02000000:3:4315788:4316798:-1 gene:OGLUM03G06100 transcript:OGLUM03G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILAELIPSEPAARRVTAGHVWPGGANKAKKKGARADDFEAAFRDFDNDSDDEEMMVEEAEEEEATSEHKPFVFRAKKARKAAAAAAASSRRRKPAQYRGVRRRPWGKWAAEIRDPVKGIRVWLGTFTNAEAAALAYDDAARAIRGDRAKLNFPSATTPDTRKRGRATAAAAPAVKATPVINLVEEEDEEEEVAAAMASIKYEPETSESSESNALPDFSWQGMSASDEFAVAAAALDSDDDLAKKRPRTEPEDITTTTDSGSGDDTDALFDALLFADQYNYFNGGAYESLDSLFSADAVQTTAAAAAADQGMGLWSFDDGCCLVDVEASLSF >OGLUM03G06110.1 pep chromosome:ALNU02000000:3:4323306:4323962:-1 gene:OGLUM03G06110 transcript:OGLUM03G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRAPSLFHIEDGAVGVAASTKKPMPADSGELVGLRLIIQQSPRQRPPLSVLRRSAVRPSPAATAAASQDEAGAPAGRGFMGLGFLNCCYCCHKKLDADMDVFVYKGEHAFCSAECRSQQMAREERREIEMLVRRRRDAFHRRRASPPAKIGGHARLQIAAS >OGLUM03G06120.1 pep chromosome:ALNU02000000:3:4327992:4332812:-1 gene:OGLUM03G06120 transcript:OGLUM03G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVSVENINPKVILGPSSIAECIVIRGEVAIHAQVSWFSSSMFWKSISIYSFSANARREINRYLTFFFQHLQQQLQTQPGSLPFDEIVYCNIGNPQSLGQKPITFFREVLALCNHSNLLEREEIKSLFSTDAIARAKKILSMIPGRATGAYSHSQGIKGLRDEIAAGIASRDGFPANADDIFLTNGASPGVHMIMQLLIRNNRDGIMCPIPQYSLYSASLALHGGALVPYYLDESSGWGLEVSKLKNQLEDARSKGITVRALVVINPGNPTGQILDEQQQYELVKFCKDEELVLLADEVYQENIYVTNKKINSFKKIARFMGYNGDDLQLVSLHSVSKGYYGECGKRGGYMEVTGFSTPVREQLYKIASVNLCSNITGQILASLIMDPPKAGDASYDLYEEEKDNILKSLSRRAKAMESAFNSIDGITCNKTEGAMYLFPRIYLPQKAIEAARAVNKAPDVFYALRLLDTTGIVVTPGSGFGQIIGCYLFTCNSEFLTTQVWSFVKGCRDMAREMHDPAAGGEDTFDDLPLQGIP >OGLUM03G06130.1 pep chromosome:ALNU02000000:3:4333598:4336800:1 gene:OGLUM03G06130 transcript:OGLUM03G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSLPSLRLPSISVASPLRPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHFEAQSTLMGLICKPTSELHTCECDIASWARPDLVEIGPFATAIANLKCEKDLFSSADTIVTNPIECFVSYLLRNGLDELIHFSSSLLAASRLPLLRGDEAFNLSSFQFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEATKDQYLCSSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPFRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYDYLPHMQLDKVTHAISREAFGPLYLVT >OGLUM03G06130.2 pep chromosome:ALNU02000000:3:4333598:4336800:1 gene:OGLUM03G06130 transcript:OGLUM03G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEFSSPKCSSSSSLPSLRLPSISVASPLRPRGPPPSAAGGSFTRRPTAASPPPPAGRASRFRQRHGRGRRRRRMMSTTKHFEAQSTLMGLICKPTSELHTCECDIASWARPDLVEIGPFATAIANLKCEKDLFSSADTIFIEATITQEEECTQVSNKTTSSNPENMLLSSQGLPDNFTDEATKDQYLCSSGLQAANRESKKKNSTFLTKFQNRIIASLASESSPFRNAFRRPLLSREIVVREYFKLARIIRRTAAACFSPSSDADEDYDYLPHMQLDKVTHAISREAFGPLYLVT >OGLUM03G06140.1 pep chromosome:ALNU02000000:3:4340539:4346608:1 gene:OGLUM03G06140 transcript:OGLUM03G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRADAPWLPLLLLCSSCCFCIWPQKQILVAADTDPNDVTVLNTLFTSLNSPGQLKGWQASGGDPCGQSWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMGSLIEIDMSQNNLGGGQQIQYNLPTNKLERLNLAGNQFTGNLPYSIFSMSNLKYLNLNHNQLQGNITDVFSSLYSLTTLDLSFNSLAGDLPQGFTSLSSLKKLYLQNNQFTGYINVLANLPLDDLNVANNHFTGWIPSQLKKINNLQTDGNSWSNGPAPPPPPYSAPPPPNRPNSPGQNNGGSSSGGSSGIGGGGVAGIIISLLVVGAVVAFFVIRRRKRRAALEEHFEQHQPFTSFPSNEVKDMKPIEESTTIDVESLPSPASFSLKPPPKIERHKSFDDDDLSNKPVLKKTNVAPIKATVYSVADLQMATESFSMDNLVGEGTFGRVYRAQFTGGKVLAVKKLDSTVMPFHSSDDFAELVSDISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNLLLDSEFNPHLSDAGLASFISDAEFQAAQQSAGCTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSTRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSSRRTDDQEQDFI >OGLUM03G06150.1 pep chromosome:ALNU02000000:3:4346709:4351817:-1 gene:OGLUM03G06150 transcript:OGLUM03G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autoinhibited H(+)-ATPase isoform 10 [Source:Projected from Arabidopsis thaliana (AT1G17260) TAIR;Acc:AT1G17260] MDEPGEPLLGLENFFDEDVDLENLPLEDVFEQLNTSQSGLSSADAAERLKLFGANRLEEKRENKIIKFLSFMWNPLSWVMEAAAVMALVLANGGSQGTDWEDFLGIVCLLIINSTISFIEENNAGDAAAALMARLALKTKVLRDEQWQELDASTLVPGDIISIRLGDIVPADARLLEGDPLKIDQSALTGESLPVTKRTGDIVFTGSTCKHGEIEAVVIATGIHSFFGKAAHLVDSTEVVGHFQKVTHPSSDCNKNLTSGCAVLTSIGNFCICSIAIGAIVEVIIMFPIQHRSYRDGINNVLVLLIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSREMDREMIILLAARASRVENQDAIDMAIINMLADPKEARSSITEVHFLPFNPVDKRTAITYVDSDGNWFRVSKGAPEQILSLCYNKDDISEKVQLIIDRFAERGLRSLAVAYQEVPEKSKHGHGGPWVFCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMYPSASLFGRHGDGGGAAVPVEELVEKADGFAGVFPEHKYEIVRMIQGGGGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVIGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPSPDSWKLNEIFAAGVVIGTYLALVTVLFYWTVTRTTFFESHFKVRSLKQNSDEISSAMYLQVSIISQALIFVTRSQGLSFLERPGALLICAFILAQLVATLIAVYATISFASISAIGWGWAGVIWLYSLVFYAPLDLIKIAVRYTLSGEAWNLLFDRKAAFASRRDYGGNERRPETRWPRSHHHHQQQRRALSDHLLSSGWRPTRIAERAKRRAEIARLGDAHMLRAHVQSVMRLKRVDSDVIRSAQTV >OGLUM03G06160.1 pep chromosome:ALNU02000000:3:4352338:4358481:1 gene:OGLUM03G06160 transcript:OGLUM03G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytoene desaturase 3 [Source:Projected from Arabidopsis thaliana (AT4G14210) TAIR;Acc:AT4G14210] MDTGCLSSMNITGTSQARSFAGQLPTHRCFASSSIQALKSSQHVSFGVKSLVLRNKGKKFRRRLGALQVVCQDFPRPPLENTINFLEAGQLSSFFRNSEQPTKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKLLGRMKMEIGMKLGFISFNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPLNGIWAILRNNEMLTWPEKVKFALGLLPAMVGGQAYVEAQDGFTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHVRSLGGEVRLNSRIQKIELNPDGTVKHFALTDGTQITGDAYVFATPVDILKLLVPQEWKEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIEATMQELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTIPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSVVEDYKMLSRRSLKSLQSEVPVAS >OGLUM03G06170.1 pep chromosome:ALNU02000000:3:4359937:4362666:1 gene:OGLUM03G06170 transcript:OGLUM03G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >OGLUM03G06170.2 pep chromosome:ALNU02000000:3:4360113:4362666:1 gene:OGLUM03G06170 transcript:OGLUM03G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSVNRPIKAEPAAGGIAQGNRILDMMSSGWTDERHMHYISSMEASFVEQLYNHEQNDAGNGFKVLRGGVWEKLKFDRTSACSRIGRKYCLPASPWIQHFRPRECSSNARNDAAEALVGDHESGIQTIQGRTPLSHGREWEACKEEKAVGESTEVSDQNFADDEAEVDAESSKACKRRKLRSALTRNYQVVPSDKLFASTKADGRKDAPE >OGLUM03G06180.1 pep chromosome:ALNU02000000:3:4362389:4364641:-1 gene:OGLUM03G06180 transcript:OGLUM03G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGDGEFAFDLNEPPLEHGNEHVVAPVEVNHQRKERHDRRSEKTIVLSYHFFGIILPGSELTFALLVKHLEHNASGGGGVERERARPVSATQATRAGPASTMQAAAVASATRAAAASMTRARPASATQATAASVSGEVERRAACLHATLTELNEESTGVLRINCGSGYRTDKGNSSGSLVNSCEDGGQEWQICVPSYLYTALNVGLHREVRTCIISNSVLLKICTLHTMNTIIYGHFTDVGYHSAKLVIFHSQYHDGSTCMYNGIMAKGMMGFASFSSCGKHKHITHIANKQLPEHSNTHKKNHYINMIKQ >OGLUM03G06190.1 pep chromosome:ALNU02000000:3:4372826:4378333:1 gene:OGLUM03G06190 transcript:OGLUM03G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant glycogenin-like starch initiation protein 3 [Source:Projected from Arabidopsis thaliana (AT4G33330) TAIR;Acc:AT4G33330] MQRRPGLGKTARTSARDARQPRRRRTTRGQASPAVCAIGMGVTGGAGEAVKPSSSSSLSPVAGLRAAAIVKLNAAFLAFFFLAYMALLLHPKYSYLLDSGAASSLVRCTAFRDACTPATTSTAQLSRKLGGVAANKAVAAAAAERIVNAGRAPAMFDELRGRLRMGLVNIGRDELLALGVEGDAVGVDFERVSDMFRWSDLFPEWIDEEEDDEGPSCPELPMPDFSRYGDVDVVVASLPCNRSDAAWNRDVFRLQVHLVTAHMAARKGRRHHAGGGGRVRVVVRSECEPMMDLFRTDHLGLGVNLFSWIGIQEVFNVSELTAAAATAGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLVLLHDHTVSKPALAALVAAGWTPRKIKRIRNPRAERGTYNEYNYSKFRLWQLTDYDRVVFVDADILVLRDLDALFGFPQLTAVGNDGSLFNSGVMVIEPSQCTFESLIRQRRTIRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTAERALKERLFRADPAEVWSIHYLGLKPWTCYRDYDCNWNIGDQRVYASDAAHARWWQVYDDMGEAMRSPCRLSERRKIEIAWDRHLAEEAGFSDHHWKINITDPRKWE >OGLUM03G06200.1 pep chromosome:ALNU02000000:3:4378827:4383709:1 gene:OGLUM03G06200 transcript:OGLUM03G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAFLFLLLLTASSHATASTEEQIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPINPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMSWSENVKYSFFTVQ >OGLUM03G06200.2 pep chromosome:ALNU02000000:3:4378827:4382485:1 gene:OGLUM03G06200 transcript:OGLUM03G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPIAFLFLLLLTASSHATASTEEQIGSIKWIQDEGFIAVGNMSAVDKPNILPLLATVRYFPDATARKYCYQLPVVKGSRYLVRTTYFYGGFDGGDVPPVFDQIVDGTRWSAVNTTDNFRRGMSTYFEMVAEAQGKTMSVCLARRADTRSSPFISALELVSLDDSMYNTTDFDKYVMSTVARSRFGAKGEIVSYPDDQYNRYWAPFTDANPTVESHSAITPEEFWNVPPAKALRAGVTTSRGKKLSVQWPPVELPAATYYVALYFQDSRTASPYSWRVFDVAVNGKEFFRELNASAAGVMVYSTMMPLSGKMEIVLTPNETSPELARSIKNPPPDWAGDPCLPRQNSWTGVICSEGSPVRVVSLDLKNHGLSGSLPDSIGNLTGMKNIYFGGNKLTGSIPDLSSMHILEELHFEGNQLSGPINPSLGTLTNLKELYLNNNNLTGQIPESLKNKPGLDMRTEGNKLN >OGLUM03G06210.1 pep chromosome:ALNU02000000:3:4382670:4384305:-1 gene:OGLUM03G06210 transcript:OGLUM03G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMEKGMTAYEAARERTVEENKRKMEALNLRHLSAAIAVAPKTPSPMKQKRRRIIEAAVVAPSPPRRSRRLANLPEVKYAEVAPDGAERMKRSPRKAIDSIYLATRGSISMEARLEAARKAEELESQLDPEFPSFVKPMLHSHVVRGFWLGLPRHFCETYLPKHDAIVTLLDEKDEQFDTNYLAYKNGLSGGWAGFALDHGLLDGDATVFQLVKPTTFKVHIIRATVDDGNEVTK >OGLUM03G06220.1 pep chromosome:ALNU02000000:3:4386150:4393074:1 gene:OGLUM03G06220 transcript:OGLUM03G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) TAIR;Acc:AT4G33360] MGGGMRVVVTGATGYLGGRLCAALAAAGHAVRAFARRSSDASGLPASVELAYGDVTDEGSLATAFDGCDAVFHVAAAVEPWLPDPSVFTTVNVRGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQEKTFCSEYEKSKVLADRIALQAAAEGVPITILYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRPGERYLLTGENLSFKQIFDMAANITNTKAPLFHVPLWLIEIYGWISVFISHITGNLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >OGLUM03G06220.2 pep chromosome:ALNU02000000:3:4385961:4393074:1 gene:OGLUM03G06220 transcript:OGLUM03G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) TAIR;Acc:AT4G33360] MRIAVTGATGYLGSRLCGALADAGHAVRAFALRSAGGGGGGGDVEAGLLPASVELAYGDVADVESLAAAFDRCDAVFHVAAAVEAWLPDPSIFITVNVGGLENVLKAARRTPTVKKIVYTSSFFAIGPTDGYVADETQMHQGKTFFTEYEKSKVLADQIALQAAAEGMPITIVYPGFMYGPGKLTAGNLVSRILIERFNGRLPGYIGHGHDRESFCHVDDVVAGHVVAMEKGREGERYLLTGENTSLVQIFDMAARITNTKAPRFHVPLWLLEIYGWISVLVSRITGKLPFISYPAVRVLRHQWAYSCEKAKKELGYSPRSLTEGLSETLLWLKDSEMIRF >OGLUM03G06220.3 pep chromosome:ALNU02000000:3:4386150:4393074:1 gene:OGLUM03G06220 transcript:OGLUM03G06220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33360) TAIR;Acc:AT4G33360] MRIAVTGATGYLGSRLCGALADAGHAVRAFALRSAGGGGGGGDVEAGLLPASVELAYGDVADVESLAAAFDRCDAVFHVAAAVNVRGLENVLKAAKRTPTVKKIIYTSSFFAIGPTDGYVADETQRHQEKTFCSEYEKSKVLADRIALQAAAEGVPITILYPGVIYGPGKLTTGNIVSRILIERFNWRLPGYIGDGYDRESFCHVDDVVNGHIAAMEKGRPGERYLLTGENLSFKQIFDMAANITNTKAPLFHVPLWLIEIYGWISVFISHITGNLPLISYPTVRVLRHQWAYSCDKAKRELGYSPRNLTEGLSEMLLWLKDEKLIKF >OGLUM03G06230.1 pep chromosome:ALNU02000000:3:4390970:4394029:-1 gene:OGLUM03G06230 transcript:OGLUM03G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex second largest subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G37560) TAIR;Acc:AT2G37560] MIKPFAVKRGSKSAGKPPINRRNRLPYAPQEATKQNPSWLFSQSHAAPPTRRTPARTDGPTPHAGGHPARLPPGLGLPALAPPSRGPASRGLPWSRPTPPRRVRHSGLPPSAPAPAALPLRTPDSVIYRQAVLKCVLPLSRPPSSRRRWRKGTPMAPRGGHAAAAAGVSSGSEDDDEEAGFSRSYFLAKEKEPSSGKKRARAAGKLSDLNLVDEQVLRASLAEIPPKHEREVEALTRSYKEQYRNWLFELRCGFGLLMYGFGSKKMLLEDFASTTLSDFTVIVVNGYLPSINLKQVIVTIAEIFWEQTKLKRKRQTATRSQLQPFASQSIDDIISFLNNQTSDNGDDNVCLLIHNIDGPALRDAESQQYLAQVSCCPQVHVVASVDHVNAPLLWDKKMVHTLFKWSWYHVPTFAPYKVEGVFYPLILASGGHAQTTKTALVVLQSLTPNAQSMHFSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLHIPLVSDALEKLLQELT >OGLUM03G06240.1 pep chromosome:ALNU02000000:3:4403494:4404080:1 gene:OGLUM03G06240 transcript:OGLUM03G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLAALGQQNDDLAKPNRRFPPNQVLAGQFKRYADMLSLERRPRHLPSCHRKQRRDKRTVGVDGSVRNKDARGERELARPRKEEGGRDGLREREVKGGGGLGFAQAPCEAAIRVHSIG >OGLUM03G06250.1 pep chromosome:ALNU02000000:3:4408750:4408983:1 gene:OGLUM03G06250 transcript:OGLUM03G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAGRARPSRWRLRRRGTARRWLDDEPEDKDTSCMRQSMSFAPTARIVLPDPRGLRPCPPMSGLGEDGREVAPS >OGLUM03G06260.1 pep chromosome:ALNU02000000:3:4409048:4409785:1 gene:OGLUM03G06260 transcript:OGLUM03G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDNLAKPNCRFPPNQVLAGQFKRYADTLSPVYSLHAAPRHLPSRQRQQRRDKRGMRMVDGSVRNEDAREERELARPRKEEGGHDGLAFPKGMGRERERGEGWRQARVCPSSLRSCD >OGLUM03G06270.1 pep chromosome:ALNU02000000:3:4410327:4416991:-1 gene:OGLUM03G06270 transcript:OGLUM03G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSASAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLTFYASAGPALVALYATCGKVNAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGGSGSNAILWNALMNMYSRVGCVDDAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALKLVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAIVWGALLSGSRMHHDVEHAQMAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQIVNAGIAGCCTGLALSFPAYVMV >OGLUM03G06270.2 pep chromosome:ALNU02000000:3:4412593:4416991:-1 gene:OGLUM03G06270 transcript:OGLUM03G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSASAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLTFYASAGPALVALYATCGKVNAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGGSGSNAILWNALMNMYSRVGCVDDAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALKLVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAIVWGALLSGSRMHHDVEHAQMAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRVQAIVQQHSCIHYQQFIWCLPLDMDKVCSLRGDLRACSAPRVLCQIVNAGIAGCCTGLALSFPAGLHIPFCQEIDRRRGVGDGVPAWSPRLTAVEVTTMAPVRSREVEEEQIGRRHGVQI >OGLUM03G06270.3 pep chromosome:ALNU02000000:3:4410327:4416991:-1 gene:OGLUM03G06270 transcript:OGLUM03G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSASAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLTFYASAGPALVALYATCGKVNAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGGSGSNAILWNALMNMYSRVGCVDDAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALKLVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAIVWGALLSGSRMHHDVEHAQMAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRDKVCSLRGDLRACSAPRVLCQIVNAGIAGCCTGLALSFPAYVMV >OGLUM03G06270.4 pep chromosome:ALNU02000000:3:4412593:4416991:-1 gene:OGLUM03G06270 transcript:OGLUM03G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKLGVLPSSLPASNALVSAYSLSGLLPCALRAFSLIPHPSTGSYTAILSALSRHGRPREALSLFSASAVARPDAELLSCVVSCCRRASASLPARAAHAYGVKTAPLLTFYASAGPALVALYATCGKVNAAKRIFDRMDGEDVVSWNAMIGGFAGAGMNGEAWDCFREMRARGVRGNARTAVAVLGACDLESGRQVHGHMVRNHGGSGSNAILWNALMNMYSRVGCVDDAEHVFFEIERKDVVSWNVMIGAFAKNGHGERALKLVDAMLRCGMQPDSVTFTTLLMACCHCGLVDEGLALFERFVENSALIPTMEQCACIVDLLSRAGRFREAAGFIDQMPIRPNAIVWGALLSGSRMHHDVEHAQMAFEKLVQLEPDNPGNFVTMSNIYAKAGMVEDAKRVRMMIDREELVKPSGQSRDKVCSLRGDLRACSAPRVLCQIVNAGIAGCCTGLALSFPAGLHIPFCQEIDRRRGVGDGVPAWSPRLTAVEVTTMAPVRSREVEEEQIGRRHGVQI >OGLUM03G06280.1 pep chromosome:ALNU02000000:3:4417340:4430673:-1 gene:OGLUM03G06280 transcript:OGLUM03G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRARCSTSAPAAGERRALPVDPLSLPRLLVSAATTPGAAAPAVSTLHAAALKPGVLPSSLPASNTLNEPPRRFVNLVLDGIARRQPSYGLHRIDVSTLFRPLAADEDEEGRRGGAMEVEDAQLPRAAMIFHPCPSYGLPSWPSSVDFMPLGSGSGRGGEKNDVVAVDYSGSGVLYGAASRAVTILPPMNTPKAFPVSLTIGGNIYVMERYPASSQSPSSCFEVLVHDRHPNHPFATPHWHWRLLLPPPFAFTTDDVLDSIRNFFQDDDDFLTAYTAVGGGSCIWMTAQSTSWYEYDYMHVHSRKGYCIPFHRLGLKS >OGLUM03G06290.1 pep chromosome:ALNU02000000:3:4432800:4441682:1 gene:OGLUM03G06290 transcript:OGLUM03G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34310) TAIR;Acc:AT4G34310] MLRRGLLVVNRLRNVHLQRLPRHRTHCSSGPASAPSTSTTSSSAPLPVAAPPPPHHLAPRGGGGGGGPRRRMTPLLALSTLSLVTAAGTIYHISAGDLEGTVERSRASAARVVERMQHTCAAGKVLCRSLMSVLSSANHEVRSGFELRVAALLADIAAASAARRAAIVSAGGGAVVDWLLESVVRRATQAEAARALAHLLADPWVAPAVLGRPRAVPCLLQFIFSYQPKRGKKNSEYSSFNVSDNSKGRSMLVAALMDIITSNCDNADYSSFQPLLPSDADTRDIAAAIEVIEQGGMHFDDHDDNNSDDGDSGLKGIGIKVLGGTTVLGFSREINSLEVGNSDDGIVGASNSRILMQETATDSPLVEKLSSAAAPGLWDDLQREHVAVPFATWALANWAIASDLNRSRIQELDSDGHTVTTALKAPERTVKWHGAMVARALLEDQNLTLAPSVPDWCSSLLLTASRAAENGDMALAQMSLSTFLLSMIRCNESKFVIRQKGLHLLRSIAKKIENENAQSRMKESLAVALSLLYAGEVPLSLEETQRWSGILLRWLFDKSVSETTNLTAIKILSCILEDYGPASVPISQGWLALVLSEILGDNKTQNLKGTTQPQPERVKNQVDHHNASSATQILNQLATAVVKLAIVQSHYDTASGDKVPLSDFLSLEPFATALKNLNKKNPPKFDAADSASATLKGIKALAELCSEDGACQKRIADLGVLSLLRRILLGDDYEKLAAIEAYDASRIREVQDKNVSASNDSSNDTTSDPSSVRVPPAAHIRRHASRLVTILSLLPNSKKEIISDDVWCKWLEECASGRVPCNDLKLKSYCRLTLLNVFCSEDPNTGSASDEYPDSKSEYKRKCPQFGDALFLLNPELPLEVHLDNNGHEISRKKFKDDCCTEEGGDSETGDAPSNTSKYTPPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLSADFPQARFLTVKYKTNLTQWTGASLPLQEVSSMLLRKLIAAGIGSRPVVFVTHSMGGLVVKQMLYQAKLNNYDKFLNNTNGLVCICLLILSNICEI >OGLUM03G06300.1 pep chromosome:ALNU02000000:3:4442660:4444015:-1 gene:OGLUM03G06300 transcript:OGLUM03G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSTAMLAPVYGTPHPLAGAEVQLTVFDRAAFDLYVPSVLAYRAPAPSNEAIKEGLLRAVAAYPHLAGRLAVDRHGRRFLHVNDQGVLVVEATVDGADLDDVLANSGRAMATDVADLYPALPEDNVVGAALLQVKLVRYRCGGLVVGSICHHHTADGHSMSAFFTAWATAVREGKGFTAPTPFLDRAATAVPRTPPAPVFDHRSIEFDGGEAGRSSYAAVSLDKIKDLTVHFTAEFVGELKARAGGRCSTFQCLLAHVWKKITAARELSPEEFTQVRVAVNCRGRANPPVPMDFFGNMVLWAFPRMRARELLRASYGAVVGAIRDAVARVDGEYIQSFVDFGGGAAAAGGGRELVATAAASGTMLCPDLEVDSWLGFRFHQMDLGTGSPAAFLPPDLPVEGLMVFVPSRAAKGGVDVFMAVAEHHVEAFERIIYSLEEGHGHHVGPCHL >OGLUM03G06310.1 pep chromosome:ALNU02000000:3:4444265:4448749:1 gene:OGLUM03G06310 transcript:OGLUM03G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uroporphyrinogen-III synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G26540) TAIR;Acc:AT2G26540] MKAQFKYGPMFRLGCTISARRPRSRRRGSVRGAHRPKQRLLHKLRLASPSPRHVMALSSSSHLLPFSRPPATFPRARHAGGGRGRAGATGRFMACSSPPPPDVVVTRERGKNAKLIAALEKHNVQSLELPLIKHVEGPDTDRLSAVLRDEKFDWITITSPEAAAVFLEGWKAAGNPKVRIAVVGAGTERVIDEVMQYNDGSLEVAFSPSKAMGKFLASELPRTTETTCKVLYPASAKAGHEIQNGLSDRGFEVTRLNTYTTVPVQDVDPLILKPALSAPVVAVASPSALRAWLNLASQVDNWGNAIACIGETTASAAKKFGLKSIYYPTTPGLDGWVESILEALRAHGQSKEAPGC >OGLUM03G06320.1 pep chromosome:ALNU02000000:3:4461042:4466362:1 gene:OGLUM03G06320 transcript:OGLUM03G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFPFFLPWIPMATTVKSRPPPPPPPPPHALPAVTTRRRGSSSSSPPAAKASVFLSAPRELLLVLCSLLVVAVLFVSSAAAAAEEDGAEGSDGDLGGCLGFRDGCADRSALCFSPSAVESMLASEDDGVKEMDLVVSRDWGPPPPPSLGFRLPGHRGVVTCSSAADALITSRNGVGREDGGERWYNVASCQAPLVPDNWMRAMAGATPELDAADASTDGIFGSSSLDVEISPPVLDWGKSSLYVASMATLTVVNLNNDSALRVYEPFSTDPQFYVYGYDDLVLQPRENASVTFIFLPKLLGSSSAHLVLQTNFGGFIIQAKGMAVRSPYQLEPLAGMDVITGGRLERNLSIFNPFDDSLYVEEVAVWMSSFQGTKQSSHIVCQLGPFDESLEFTSLSSNWYTASSTEFGLPMIHIRPSEQWEVLPTQSSTVVELKLQPLSEGMVFGAICMKLRNCTSDRVDTVVIPMELEVRARTYYEPTNLVSVTFERVSSCAGVGSIISLSLRNEGTKLLRIVRVTEDNRDGSNNFEVKYLNGLILFPDTVTDIALIKYTSVPTDNSFDNCNIVVETNSSVGSSILIPCRDVISATLSYTASAVTESDGPFSEDELSANSRTGSLGSIVEVKGLQHMKPTITRAYKADDTVLRRWRSHGTRTGISVLTDQKMLFPIVQVGSQFSKWITVQNPSLEHASMQLVLNSEEIIGQCKTINDACEHTFSSRSPEVDSTETRFGFSLSDAAITEASVGPLESALLGPIIFRPSNQCMWSSMVLIRSNISGMEWLPLQAHGGRQSIVLLEESEPVWKLEFNLISDIQNKSALSKSEFTSPLCGQHLSKEIHVKNSGDLPLQVTKVKISGADCAVDGFSVDNCKGFSLAPSESIRMLVSFKADFSSAMVQRDLELAMTTGIFIIPMTANIPVCMLDQCRKSYLRSIHWKTLIFLFGTVSVFVLVFARGVPTSLSGGSQDCYIKIHDGKGTIDKPVKPSFLQCSSKTSRSTREHKRDKETHKYPADIHNSPKRTEDKNNADEQLNTTSTMSLPPSNTVEDKVSIEAPETSGNLTIRVARDKGKRRKRKVSGAGLAAKFEVSSSHSGNSTPSSPLSPGSTPKQSWSFSGTPSELKHSSKLENGSDIEARPPSARNNHEKSSWLQTAKEQPAPPPSVTSGNPSPSPSPSPAAPTNAWRSPLLSSPSPIAPRSRAPGSNLMKDKAVKRTEGAATTTTRKKDFTYDIWGDHFSGHLLGKAREVAPPCYKMFAASEGASNSFFAREPQALVTKPQSPSPSSSSSSSPPVTRGRGSLPSDVASGYGIN >OGLUM03G06330.1 pep chromosome:ALNU02000000:3:4467456:4473181:-1 gene:OGLUM03G06330 transcript:OGLUM03G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGRGEGEGRAATGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFQFASTSMKQIIDRYNSHSKTLQRAEPSQLDLQGEDSSTCARLKEELAETSLRLRQMRGEELHRLNVEQLQELEKSLESGLGSVLKTKSKKILDEIDGLERKRMQLIEENLRLKEQVSRMSRMEEIQPGPDSEIVYEEGQSSESVTNASYPRPPPDNDYSSDTSLRLGLSLFSSK >OGLUM03G06340.1 pep chromosome:ALNU02000000:3:4479743:4483599:1 gene:OGLUM03G06340 transcript:OGLUM03G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQTKPKSKRLHGPTESPPILSPRPSPRPTPRRLSPPLSLLLPQIPIAYSPSTSRPPSIAASLAPTRSNANATRSPPRHLAAARPGLRDPRRPSLSAARTGNSVSRLISADCSSTMSWRFPLFGSNSQQQQPDPNFQDNPTQPWYPQSVVGSSSHPSTPSSSNVGPHQRASDNSQSSSRAQPSPAEAAGIIARLKDKSVDELQRLLKDKEAYNAFFNSLDQVKTQNNLRDELRKETVQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLAELERQKDEIMRSYSPAALLDKLQKSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKLRAAYHKQALLHLAGKTSLR >OGLUM03G06350.1 pep chromosome:ALNU02000000:3:4487988:4489316:1 gene:OGLUM03G06350 transcript:OGLUM03G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT1G09750) TAIR;Acc:AT1G09750] MAATTTIPLLLLLLAATVAAAAAAASELSVYHNVHPSSPSPLESIIALARDDDARLLFLSSKAATAGVSSAPVASGQAPPSYVVRAGLGSPSQQLLLALDTSADATWAHCSPCGTCPSSSLFAPANSSSYASLPCSSSWCPLFQGQACPAPQGGGDDAAPPPATLPTCAFSKPFADASFQAALASDTLRLGKDAIPNYTFGCVSSVTGPTTNMPRQGLLGLGRGPMALLSQAGSLYNGVFSYCLPSYRSYYFSGSLRLGAGGGQPRSVRYTPMLRNPHRSSLYYVNVTGLSVGRAWVKVPAGSFAFDAATGAGTVVDSGTVITRWTAPVYAALREEFRRQVAAPSGYTSLGAFDTCFNTDEVAAGGAPAVTVHMDGGVDLALPMENTLIHSSATPLACLAMAEAPQNVNSVVNVIANLQQQNIRVVFDVANSRVGFAKESCN >OGLUM03G06360.1 pep chromosome:ALNU02000000:3:4489902:4491802:-1 gene:OGLUM03G06360 transcript:OGLUM03G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHQRKARPKSKASVGKNATPPRAVPTSRSRRRRTAPRHRRRGGPLVAHRGVLFLSIGAASAAAVACRTGCAFSRRRFPFLGVRGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNTKYLEWIKNSTKAN >OGLUM03G06370.1 pep chromosome:ALNU02000000:3:4491877:4494774:1 gene:OGLUM03G06370 transcript:OGLUM03G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3223) [Source:Projected from Arabidopsis thaliana (AT5G62440) TAIR;Acc:AT5G62440] MAEPEVVVAAGGEAMEAEAAEPAAANPSQKREREEGDDSAAGAAGEEAAEGAAAKKQKVEGEGEAKGAEDEAKGEEEEGAKEEEAKPVKLGPKEFATGVEMFDYFFALLHSWAPQLEINKYEHMVLEDLLKKGHAESDRKIGAGIEAFEIRNHPVFQSRCFFVRRVDGSSDDFSFRKCVDSILPLPEDMKIGNKSNGKKGGGHFKGGGGRGGGRGGGRGGGRGFRGRGRRGN >OGLUM03G06380.1 pep chromosome:ALNU02000000:3:4497082:4517412:1 gene:OGLUM03G06380 transcript:OGLUM03G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSSENNARVERMEMDCFLNVALRGARSIVLDPANPSVKSQAKSMAAAAVEGNMRRMNWKQKKEFYKKNPAAVIAPTKSVSKVKLSNSVPKANFSTSPALSPEQPGPSIPSVPTGSDVNNEVITPFDLNKDENSKVEKSTPNRVSQGTSRRASVVSASTDDNTNELRSLLISVLSENPKGMNLKGLEKAVADVFPNASKKIDSIIKNIAIFQAPGRYLLKPGLEAESSKRSIDDNTEEAGPSLKMDDPDIFERIDIGGSPVSAARDEKVNNDSDGKAGSSSESGSGSDSDSESSDSGSDSGSQSRSAASGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKGGAHRKAADELKLSSSPRDLTALDGDDELIDIGTNLDYKSASPHIDLNNFNDNNNESAYTAVPTDSFDASHLEKPPEIPGSKNMVNTSIDPSKIDSKYAANEMSYEDLFGDPLAPSSENLPKEETSQSTKHHGSRRKSVSKDGSNHGQDRINEKGAKPKLKRCSANENSSVMSGSAKRAKADYLGTTSSLSEQRQTLPLDKHVNEKLSKETGNVSWDAHSDLHAHDSSPAVKVRHLASGNLQKINQSPNVLNQAMHSERTQDKVEKSISKKKADKVQKPWNSLDGNLGRVSHAEDPYANFDDSDDSATRKKARYGGTQVEDKMLSRSKDANIDVNSMVSAKSAKGNVGYDGVMPFPDSNESNGQPSNLQRNNAESSPHGKKVLQRELSDLELGEFREASLENETGRAKKQFERNSSSKSLDGKLANVDNSIPSMANRKGSLSSSQDQRKPSPREFGIGGNINQEGFPKKASGYDFDNNRPQQRGNVPQSQQLSKPDNPVPEVILHPDQPGEKPGKRETRVQVGMLDQVGPKKIGKTTPKFPQNGSRNAIGSRTRKSISPAENEERSRNNSLIENDASRKRRDSSSDEDNLFFSKYDKEEPELKGPIKDFSQYKDYVQEYNEKYKVYSYLNIQIEKTRSEFLKVQEDLNVAKERDKDLYYNIMERIRDMYHESGTRHKLMKKVFSLLHEELQTIKRRIKDFADSYSNE >OGLUM03G06390.1 pep chromosome:ALNU02000000:3:4522100:4530339:1 gene:OGLUM03G06390 transcript:OGLUM03G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G10940) TAIR;Acc:AT5G10940] MEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPTFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILQAVDHNIDDNMKHDCLCTRAGLYLKRRWKNDVYMAIRDCNSARCIDSRSFQAHLYMAEALLQLGRLKEASEYVDALNTIVPPYSQSVKQVENIKEQLFAAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGELGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >OGLUM03G06390.2 pep chromosome:ALNU02000000:3:4522100:4530339:1 gene:OGLUM03G06390 transcript:OGLUM03G06390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G10940) TAIR;Acc:AT5G10940] MEGHLGCVNAIAWNSKGSLLLSGSDDTRIGIWSYANRELLHDIDTGHSANIFCTKFVPETSDEVIVSGAGDAEVRVFNLSRLSGKRPVEISMEPSAVYQCHSRRIKKLAVEIGNPNIVWSASEDGTLRQHDFRECSSCPRARSTNQECRNVLLDLRWGAKKSLADIPRQPLALKSCDISTVRPHQLLVGGSDAFARLYDRRMLPPLSTCQTKKEPPPCIKMFCPMHLADTRKSNLHLTHVAFSPNGKEVLLSYSGEHVYLFDVDLENTSSVRYTADNVQEQLCLPTFNKEPAKLISKQQKFPVNRASRNVCRVDTFKKLMQVATKSLEMGTNLMLGVEACSTILQAVDHNIDDNMKHDCLCTRAGLYLKCKVYRFQIISSASVYGRSTITAELEKNKKDQVGNTNTDARHGRLRSLSDLLFRSDASGSSSQEGREDSDYDDEMELDFETSASGDESRDSDPGFVRGSLSLRFHRRDSQTDEHSGENGSAESTQNGDSAYQPEVAVDMKQRYVAHCNVGTDIKQASFLGELGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPYDCAVATSGIDNTIKLWTPDANATSMIAGPEIDVSSVIENNQRKLSRNREILLLREKEGNAWKVTSSKR >OGLUM03G06400.1 pep chromosome:ALNU02000000:3:4530492:4531728:-1 gene:OGLUM03G06400 transcript:OGLUM03G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKSEAIGLCALVTKKALVRVPGMMMDRGCSLVAVVQHQRKLLPCVPLPLQLEKCSSTVLIDSPCNQKVWLVLPIQQAGTALPCTRPTGHASCRQRRRRAQELMFITELLAANLPGRRRRVQMT >OGLUM03G06410.1 pep chromosome:ALNU02000000:3:4534036:4536714:1 gene:OGLUM03G06410 transcript:OGLUM03G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKSAAGSSSSPPRPVAAATAAVCCMCGDRGLLPELFRCAACAVRSQHTYCTERYPKAESYGTCNWCLRADQGSDGGGGAAAASSSMARPPTGARAAAGGDPAASSRSTAAAKVVAARGHFAADLSKPIKKPPPAPAPLPPPSPAQRRILLRRSASDLGGRVRRADHDAPPPPSPGVARGRPRVRRYKLLEEVITTS >OGLUM03G06420.1 pep chromosome:ALNU02000000:3:4545379:4547395:-1 gene:OGLUM03G06420 transcript:OGLUM03G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDDDEVVDWVAAFPPGTTDLESLSFECYVRPVSFAALEALVARSPRLTRLGVNEHVSLGQLRRLMANTPRLTHLGTGAFRPGDGPEDVGLDIEQMASAFASAGRTNTLVSLSGFREFEPEYLPTIAAVSGNLTNLDFSYCPVTPDQFLPFIGQCHNLERLYVLDSVRDEGLQATARTCKKLQVLHVLPLNALEDADELVSEVGLTAIAEGCRGLRSTLYFCQSMTNAAVIAISQNCVDLKVFRLCIMGRHQPDHVTGEPMDEGFGAIVRNCSKLTRLSTSGRLTDQAFEYIGKHAKSLRTLSVAFAGDSDLALQHILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFLWMSGCNLTLQGCKEVARRLPRLVVELINSQPENERTVVELINSQPENERTHGVDILYMYRSLEGPREDVPPFVKIL >OGLUM03G06430.1 pep chromosome:ALNU02000000:3:4552954:4555614:1 gene:OGLUM03G06430 transcript:OGLUM03G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPAAAAAAAGGDHATATPAPATGAAAATASDYAHYPRLSPEDVAPPPPPPYHAAASSAPPYSGNPYVSSPAGGVAPASKNTMDTVKDVLGKMGKRFGEAARKTETLTGNFWQHLKTGPSITDAAMGRVSQITKVIAEGGYDKIFHQTFDVLPDEKLKKPYACYLSTSAGPVMGVLYLSNKKLAFCSDNPLAYKVGDKDEWSYYKVVIPHTQLRSVNPSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >OGLUM03G06440.1 pep chromosome:ALNU02000000:3:4556356:4560973:1 gene:OGLUM03G06440 transcript:OGLUM03G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYCKTTLRNMFTTPVNMYTTHPSRHVQSHCKLRRRRLHVCGLLCQRHLLLALAHLLRLLLLLRLLAVEVGGESPEREHERDALRPVELLVEEDDGEDLRERQEHGDHDAGEQRRRAGDEPHDAQVEQLPRHGVPGEDEVVVGRAEPERRRFPRHRLEVALDRHAEHAHRRARRAHHRLHLHHRRVGGRHPRRGPRAAPVPRRGDLDERDHQPGERRRADGLAEPRPVRPGGLPRRLPRRRPDAQHRRADGEQHDGVGRERREPEAHEEEREHGGEGQLRRQQQRRRGQRQRLRPQRVHEARHGVQPAEHGGGADEAPREEEVADPVPAAAVERHAQRRQQQRPAGDLERGGQPQPGPAVHEVDAEEERPAGAEEDEARAEYDQQRRPGPRRRRRIAAAGGRPLASRHRLAGLFHGCRSSTGSGTSSSNGGHDQMKQC >OGLUM03G06450.1 pep chromosome:ALNU02000000:3:4559751:4560950:-1 gene:OGLUM03G06450 transcript:OGLUM03G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAASPRPSAAPAAMKETSKAMPTSEWPAASGGNASPPARSRPSLLVIFSACLVLLGAGGPLLLRVYFVHGGTRLWLSATLQISGWPLLLPPLCVSLYRGRRHGIGNLLLPRRLVGAAAVLGGLYAVSCFVYALGSQALPLSTSSLLLATQLAFTAVFAFLFVGLRFTPFSANAVVLLTIGPAVLGVGPSSGKPAGESSRAYWTGFCEAIGAAALAGLVIPLVEVATARYGRRTGPAARVPPPYATVMQMQAVMGAAGTAVCVLGMAIKGDFQAVSREAAAFGLGAANYYLVLAWDAVSWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLAVIFLHEKFDGTKGIALVLSLWGFASYLYGEKAQKKKEAQKMREREQEVALAQKTADVESAAP >OGLUM03G06460.1 pep chromosome:ALNU02000000:3:4568921:4608845:1 gene:OGLUM03G06460 transcript:OGLUM03G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z359] MGDGRGDEEECRVALLNGGGAAKEGWQVVSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKYHMMGVYMQRSWIVLLSCALLLLPMYIYAEDVLLLTGQPPELSAMAGRVSVWFIPLHLSFAFLFPLQRFLQCQMKNFASAAASGVALCVHVAISWLLVSRFRFGLVGIALTLNFSWWATAAMLFAYVACGGCPETWNGLSLEAFAGLWEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINAWELMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSVTSLVIGLFFWVLIVGLHDKFALIFTSSDVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGTYYLIGIPMGILLGWLFKLGVLGIWAGMIGGTAVQTLILAIITIRCDWDKEAMIASTRMDKWSQVR >OGLUM03G06460.2 pep chromosome:ALNU02000000:3:4568921:4570196:1 gene:OGLUM03G06460 transcript:OGLUM03G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z359] MGDGRGDEEECRVALLNGGGAAKEGWQVVSGGDGKLRRRVWEESRKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMRPKSSCNPVHIADSPPEEFN >OGLUM03G06470.1 pep chromosome:ALNU02000000:3:4609109:4610170:-1 gene:OGLUM03G06470 transcript:OGLUM03G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIALAVLVTLLLSAFRPCLAQQSNDDTSKHHRSATAGGFTPTTVVVLVALITAFVLLTVFSVLINRCAQARAPPHRAFRSTASHQPVGGAAAASRASRGLDKEVVEAFPTAVYGDVKARMAAKSGPLECAVCLAEFADSDELRVLPACCHVFHPDCIDPWLAAAVTCPLCRANLTAPPVSLAAAESSDLTAPEEAVQEEESEELDEASLMATFTPESVIDFGATHDHEFDRAGYPHYRRTQSAMDAAPDRHTLRLPEHVMKELAADRRHRRAASLAGYPDSVERTPRWLTSLWRSVSWQRQSRADWDAGEEHGGSKRVHPVAGAQDETPSGSGSDGSKENSDSDALNRV >OGLUM03G06480.1 pep chromosome:ALNU02000000:3:4621647:4623255:1 gene:OGLUM03G06480 transcript:OGLUM03G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFPQGHFGYGCGRDSPAYGMPWCDVLAAAGGGGGFGEFYGVDEWDDQLQVASVDEWEVASKDNSDASTEGKAAAAERAAPVAAGRRKRRRTKVVKNKEEIECQRMTHIAVERNRRRQMNEYLAVLRSLMPASYSQRGDQASIVGGAINYVKELEQLLQSLEVQKSLKNRSGAMDAAGDSPFAGFFSFPQYSTSPRTGCSAAASAGSSGSASSVVMDDTAGSAESGRQAAAIADIEVTMVEGHASLKVLARRRPKQLLKLVVGLQQLRIPPLHLNVTTVDAMVLYSFSLKVEDDSKLGSVEDIATAVHQILGSIQQQEAVLSIS >OGLUM03G06490.1 pep chromosome:ALNU02000000:3:4627219:4627611:1 gene:OGLUM03G06490 transcript:OGLUM03G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPATATKLAVAVYAEEAAGAPVGAPVGAETEEGVTADGVTAEGALAAGASVGGAGGDAMGDGDAAVGGVATGAGAVAGDLAGGAGSGAILGAGTGAAPGACAAAVTARRATMAATTAKRAIIFRL >OGLUM03G06500.1 pep chromosome:ALNU02000000:3:4642252:4651289:-1 gene:OGLUM03G06500 transcript:OGLUM03G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z364] MAQKSIASPPSPPRQTKISRLRLRSLRLRRSPSREHSSRAVVLSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDILGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQEAEQSVILWLLHQSTRPCKYRSRRLPCQGSPMVLQDLVKLLVLRFDVDRCEPSWATKATYQTSYLLLLMAGAATGRQQEAGYQPAASKLP >OGLUM03G06500.2 pep chromosome:ALNU02000000:3:4642252:4651289:-1 gene:OGLUM03G06500 transcript:OGLUM03G06500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z364] MAQKSIASPPSPPRQTKISRLRLRSLRLRRSPSREHSSRAVVLSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDILGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQDGAARPGKVVGSALRCEAGYQPAASKLP >OGLUM03G06500.3 pep chromosome:ALNU02000000:3:4643351:4651289:-1 gene:OGLUM03G06500 transcript:OGLUM03G06500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z364] MAQKSIASPPSPPRQTKISRLRLRSLRLRRSPSREHSSRAVVLSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDILGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQEAEQSVILWLLHQSTRPCKYRSRRLPCQGSPMVLQDLVKLLVLRFDVVTAQHLLLSNMSIDEPIETKH >OGLUM03G06500.4 pep chromosome:ALNU02000000:3:4643351:4651289:-1 gene:OGLUM03G06500 transcript:OGLUM03G06500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z364] MAQKSIASPPSPPRQTKISRLRLRSLRLRRSPSREHSSRAVVLSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDILGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQEAEQSVILWLLHQSTRPCKYRSRRLPCQGSPMVLQDLVKLLVLRFDVVTAQHLLLSNMSIDEPIETKH >OGLUM03G06500.5 pep chromosome:ALNU02000000:3:4643298:4651289:-1 gene:OGLUM03G06500 transcript:OGLUM03G06500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z364] MAQKSIASPPSPPRQTKISRLRLRSLRLRRSPSREHSSRAVVLSLSEMDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDILGTPSTEAISRIRNEKARRYLSSMRRKKPIPFTQKFPNADPLALRLLERMLSFEPKDRPNAEEALADPYFRNIANVDREPSAQPVTKLEFEFERRRITKEDIRELIYREILEYHPNMLREYLEGTESAGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDDRPQNTTNIAEDLSKCVLGDNAQKMHQGSASVSANRVPQDGAARPGKVVGSALRCGNCSTSTAKQYEHRRTDRNQALATNTVSPRGSYPRRNPS >OGLUM03G06510.1 pep chromosome:ALNU02000000:3:4663246:4667171:1 gene:OGLUM03G06510 transcript:OGLUM03G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLESASTFLLRVSACFATSWLSGSKISTTLLPFLLSGKLAFTQLSTVIEFMPPRITRYLLSCRKERSFLVISVSSPSDDSQHECALPNTSLPQYGNESLLWSMFMRKEMILQCPQVTIKSHRSVPNVVPGN >OGLUM03G06510.2 pep chromosome:ALNU02000000:3:4663257:4663673:1 gene:OGLUM03G06510 transcript:OGLUM03G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCPCPGDSGAISTELGRLPRSSRSLRTALRFPSPAVSHTAQLRPCYGQQPRADDTDRRQGVALARLPSSRRPLILLRGISLRHP >OGLUM03G06520.1 pep chromosome:ALNU02000000:3:4664229:4671841:-1 gene:OGLUM03G06520 transcript:OGLUM03G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAITAVLSKFGELASREAAVLVQVGNDIMLLRDRLEWLQAFVRDADRRRRLASDDFTRVWVRQTRDVAFDAEDALDHFFHKVDLEAQGYRGWRIWRRYLTGCTTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNIGDAPVGFDGYLRALENHLLSHEHTPQQRFISILGETGIGKSTLMLTICNKIIKDHENHFDKLIWYNMPPNSSANDLLKQVYERAPDKVPSEGEDTDITKKLRSFLHDKRYLVILGGINSITASLPDNRNGSRVVLILEPESQEVAKHADTLNKKVDADSKNISGSTIQLGRLNESQSAELFCRRVYGYNYTKPRGYKVSYNEQVFKITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEAGESQGNKIAGVLLTKEKPFEWDALLQQLMPTTEAKLSNRMAIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKNMEDLAQEYLKELISRFLVEVKYRNECEKIELVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATPDLKNDTKNIPRKDPLKFLCGSKFLRVISIGGIHLAELPDAIGDMIHLRYIGVTSCDLENLPSSIGRLLNLQTLDIRNSKVKIIAPKFWRIKTLRHVIAAQLQLPNSVGELNNLQTLHGVKPAENWGGLTCPLDMMTNLQSLELHGFNDANHGVALERALQKLELLGHLKLTGDKIPSSVFTAPSLRYVESLVLDGDIKWADNSSNTSNYSPEVALGICELRPNLTVLKLNSVSKELEEFIEKIRPHLTVYECPTRTDA >OGLUM03G06520.2 pep chromosome:ALNU02000000:3:4664229:4669743:-1 gene:OGLUM03G06520 transcript:OGLUM03G06520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDLEAQGYRGWRIWRRYLTGCTTQISVRHDLSGQIKRIKSRLDQISENHKEFKIEHTPGAWTSSITEVAAWDNIGDAPVGFDGYLRALENHLLSHEHTPQQRFISILGETGIGKSTLMLTICNKIIKDHENHFDKLIWYNMPPNSSANDLLKQVYERAPDKVPSEGEDTDITKKLRSFLHDKRYLVILGGINSITASLPDNRNGSRVVLILEPESQEVAKHADTLNKKVDADSKNISGSTIQLGRLNESQSAELFCRRVYGYNYTKPRGYKVSYNEQVFKITGGHPLAIVVLAGLLRSKEMPVEWDSVLQQLMPGVEAGESQGNKIAGVLLTKEKPFEWDALLQQLMPTTEAKLSNRMAIERIFSTSFDDLPHDLKSCFLYFAAYPTNITHPADQIMRMWIAEGFIKPEKGKNMEDLAQEYLKELISRFLVEVKYRNECEKIELVQVHNRLLRFLQSEAREASFIEIHDNTDVLAPAAVRRLSIQNDSGNYIPFGNRFPKLRSFICRVEEGEGASATPDLKNDTKNIPRKDPLKFLCGSKFLRVISIGGIHLAELPDAIGDMIHLRYIGVTSCDLENLPSSIGRLLNLQTLDIRNSKVKIIAPKFWRIKTLRHVIAAQLQLPNSVGELNNLQTLHGVKPAENWGGLTCPLDMMTNLQSLELHGFNDANHGVALERALQKLELLGHLKLTGDKIPSSVFTAPSLRYVESLVLDGDIKWADNSSNTSNYSPEVALGICELRPNLTVLKLNSVSKELEEFIEKIRPHLTVYECPTRTDA >OGLUM03G06530.1 pep chromosome:ALNU02000000:3:4668443:4669046:1 gene:OGLUM03G06530 transcript:OGLUM03G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAREAGGVAAGEVGRRWAAHEAGSAVAGGGGRPTRRVLLLEPAAAAMGSAAAPPPPCHVVAVPIPGAATARSQTFSDFPPSLRKLYF >OGLUM03G06540.1 pep chromosome:ALNU02000000:3:4670226:4672248:1 gene:OGLUM03G06540 transcript:OGLUM03G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMTDLVEEVVEGVLGVEGDVPRLAHPHPGEVVGGQPASPVGVADEGLQPLQAVAEQHDVVAHLHQHRRLPRRQLPELGQHRRDRRFCHATQLLLPTFLLLFFFFLAGLLASSFACPP >OGLUM03G06550.1 pep chromosome:ALNU02000000:3:4681252:4681986:1 gene:OGLUM03G06550 transcript:OGLUM03G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCQLSTKNGVFFLTLGGHGGGENYRTEQFIAELEQKLKEVRGHARPSSKGLVTTFAAGEGGSFCDDVDNGGTSAAATAELAAYRTAEAVRALFDMPFPTAAAVAGDVRSSLALALVLAHDDMAVWKEATFEAPEVRLRRDDGGGGDLPPAPPPYVAALLRDKAPYPMMRSKLVLRSEAMDGSTFGGYWYMTDSRCDGREEVTGKAAGIVTTSIGKVRDGEAYVATRKSFFPESWKAVCEFLV >OGLUM03G06560.1 pep chromosome:ALNU02000000:3:4687555:4690646:1 gene:OGLUM03G06560 transcript:OGLUM03G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTSSSRKSKKQGEDLAFSEEGSLPAVTMEQKDEAEMEEVDDEEEEEVDEDMAGGHAAQSPSPSCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALRARHDALRADCDALRRDKDALAAEIRELREKLPTKPADTAASVKVEAGNDAAAGAAAATVCKDGSSDDSDSSVVFNDEASPYSGAAFIGFGPSFLVDDASAATVGCSSSLPALESKWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASGNEGWE >OGLUM03G06560.2 pep chromosome:ALNU02000000:3:4687555:4689998:1 gene:OGLUM03G06560 transcript:OGLUM03G06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPTSSSRKSKKQGEDLAFSEEGSLPAVTMEQKDEAEMEEVDDEEEEEVDEDMAGGHAAQSPSPSCGLGEKKRRLALEQVRALERSFDTDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKQLERDFAALRARHDALRADCDALRRDKDALAAEIRELREKLPTKPADTAASVKVEAGNDAAAGAAAATVCKDGSSDDSDSSVVFNDEASPYSGAAFIGFGPSFLVDDASAATVGCSSSLPALESKWHGPYSDDSCKGGVYGFTEEWLAACSGEMAGNDAAGFFSDEHASNLNFGWCASGNEGWE >OGLUM03G06570.1 pep chromosome:ALNU02000000:3:4695899:4696429:1 gene:OGLUM03G06570 transcript:OGLUM03G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSEWEDRWCKRHPEHRLSKGVCPYCLRDRLAHLSASSSATTTTRASSSAESSGYSSGSPPRYAALSADVSSVHVVGGAGSSFANVAAFSQPLMPSSVSRKPAGGGQEEPGREASGKGKQQEVKRKKSGKKKKIGRFLSRLVGAEKRRQSGDADGGDLFHSKTMKEKTAHKWVFF >OGLUM03G06580.1 pep chromosome:ALNU02000000:3:4700369:4701804:-1 gene:OGLUM03G06580 transcript:OGLUM03G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLAHPRSPHHHGPRILAHIAVQRLKRCSIRTNKMQQSRQDVDEHVAELRGELRKAREERDRAHRVLEVSEWKALASANDRTTIETLEAELDASRESEKRMLESLAMQTKQLEVTKIELEEARLENASLRETTQRLEAIAVPVATTPRGRYDRDYQRVHGELRMALVAEDKNKKAMEELVLALKEVNGELHTTRQLLARSQHEAETARLESDRLHVSLKRKDDKLRALSEEVARLRADAEESFAAWRGKEAGFTSCMKSTEAELAETRRENARLLESQRSGRDEIAKLRDILRQAVKDTKVVKEALEEARGENAALKEMLGDKDTAIKCTKQELECLRVTEAAARDSVKELQSLLVATSSSPTAAGMKLSSSPSPTASGIKLDMEDSSSSHGSRELHGLIKCHSEARVKPPAGLTLPRRRSDNFEGSVYDIFGSMEDQKSELSAFSTMPRSLPARRRVMMRKVGSLFRFKSFSIK >OGLUM03G06590.1 pep chromosome:ALNU02000000:3:4702505:4704087:-1 gene:OGLUM03G06590 transcript:OGLUM03G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENSKVIDLGVTNCRVVESVGEHVEGFAAGDPVVPTFLGQCTECVDCASERSNVCSTYRFAVRPGMPRDGTARFRDRHGAPIHHFLGVSSFSEYTVVDANQVVRVDPAVPPATASLLSCGATTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASTIIGKFGVTHFINPQELGDKPTSQAIIEMTDGGADYCFECIGLASVMSDAFRSSREGWGKTIILGVEMHGAPLSIPSLEILNGKCVMGSLFGGVKPKQDIPILADKYLNKELELDKFITHEVPLKDINTAFDLLLQGKSLRCTIWMDK >OGLUM03G06600.1 pep chromosome:ALNU02000000:3:4715736:4728307:-1 gene:OGLUM03G06600 transcript:OGLUM03G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEALGLHTNAFNLTPSLYIFQTFTTELHRPFQVERRANRNLFTIVLSLASMAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGSPQNIALENTLLQCCLSSPSSRNGRSEPEAHPLQRAASEPLIVEDIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGVGAAWRLAKVQPGSSVVVFGLGSVGLAVVQGAKMCGATKIIGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLFLQGKSLRCIIWMDK >OGLUM03G06600.2 pep chromosome:ALNU02000000:3:4715736:4728307:-1 gene:OGLUM03G06600 transcript:OGLUM03G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEALGLHTNAFNLTPSLYIFQTFTTELHRPFQVERRANRNLFTIVLSLASMAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGSPQNIALENTLLQCCLSSPSSRNGRSEPEAHPLQRAASEPLIVEDIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGVGAAWRLAKVQPGSSVVVFGLGSVGLAVVQGAKMCGATKIIVKKNLCIFSQLKKPLLFAGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLFLQGKSLRCIIWMDK >OGLUM03G06600.3 pep chromosome:ALNU02000000:3:4715736:4728307:-1 gene:OGLUM03G06600 transcript:OGLUM03G06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEALGLHTNAFNLTPSLYIFQTFTTELHRPFQVERRANRNLFTIVLSLASMAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGSPQNIALENTLLQCCLSSPSSRNGRSEPEAHPLQRAASEPLIVEDIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGEPEIRRYIEPAWGRSSMEVGQSATRFVGGCLRAGIRRIGGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLFLQGKSLRCIIWMDK >OGLUM03G06600.4 pep chromosome:ALNU02000000:3:4715736:4728307:-1 gene:OGLUM03G06600 transcript:OGLUM03G06600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEALGLHTNAFNLTPSLYIFQTFTTELHRPFQVERRANRNLFTIVLSLASMAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGSPQNIALENTLLQCCLSSPSSRNGRSEPEAHPLQRAASEPLIVEDIVVDPPKAYEIRIKIICTSLCHTDVTLWHKVDPAFPRILGHEAYGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGVGAAWRLAKVQPGSSVVVFGLGSVGLAVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLFLQGKSLRCIIWMDK >OGLUM03G06600.5 pep chromosome:ALNU02000000:3:4715736:4728307:-1 gene:OGLUM03G06600 transcript:OGLUM03G06600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEALGLHTNAFNLTPSLYIFQTFTTELHRPFQVERRANRNLFTIVLSLASMAGQIPQPIRCKAAVCRAAGEPLAVEEIVVDPPKAHEVRIKIVCTSLCHSDVTFWRMQDFPGVFPRIFGHEAFGVVESVGENVEGFAAGDTVVPTFMGQCDSCASCAAEWTNQCTAVPFTMGPGMRRDGTTRFWDGEGKPLSDTVAVTSFSQYTVVDVNQVVKVDPAVPPKIACLLGCCGGTGEPEIRRYIEPAWGRSSMEVGQSATRFVGGCLRAGIRRIGGKEFGVTDFVNPSQLGDKSVSEVINAMTDGGADYSFECIGISSVMTEAGRGKTIILGVEKDSQPLCLPSFEFLFGKCVMGSLFGGAKPKTDIPILAEKCMNKELELEKLVTHEVGLTEINTAFDLFLQGKSLRCIIWMDK >OGLUM03G06610.1 pep chromosome:ALNU02000000:3:4739436:4740194:1 gene:OGLUM03G06610 transcript:OGLUM03G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDKSSLPPPPPPPPPPPPASSASAAAASSALKALNKSSYKISKQTSSSSLASMKASQSPPPPPHPRRPSPPPLPPPPAPPSSAPAAVDHPPPQPPVYNIDKSDFRDVVQKLTGSPCHLLPPHAQPPAPAAAVSMPPPQPPPPTIMAPPPPPPPSAIPTRLHRIRPPPLAPPRPPPILPPAPPALSPLPPLPAVCMTAESPISAYMRRLRGMPSPIHVPTSPLGFGCLPSPRTPPSPGVPMPATSPRVRDP >OGLUM03G06620.1 pep chromosome:ALNU02000000:3:4739540:4740043:-1 gene:OGLUM03G06620 transcript:OGLUM03G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAVMQTAGSGGSGESAGGAGGRMGGGRGGASGGGRMRWRRVGMADGGGGGGGAMMAVAAAGGQPRRGRTKEEPAAAGAEEEKGGEDAAAAVAIGMPSSKPEMTRRSAWRSCRMTC >OGLUM03G06630.1 pep chromosome:ALNU02000000:3:4740252:4741938:1 gene:OGLUM03G06630 transcript:OGLUM03G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDATSVLLFGPFEFSFFSASLCFCCHNILLPDVIRCFCPFVSLTPPFRCFAIQVTWFRQIMRTCVRFEQHGKHLQLYTIV >OGLUM03G06640.1 pep chromosome:ALNU02000000:3:4742457:4744649:-1 gene:OGLUM03G06640 transcript:OGLUM03G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G60600) TAIR;Acc:AT1G60600] MPLAGIALAPLLVSHLAPPHHRRSSVASAAAAAARRRPRAVQCSATATAASGEGAGDDVELSRGTLLWRAAKLPIYSVALVPLTVGSACAYHHVGSFFGKRYFVLLVASVLVITWLNLSNDVYDSDTGADKNKKESVVNIVGSRTMTQYAANISLLFGFMGLFWAFAQAGDARFILSVTCAIICGYVYQCPPFRLSYRGLGEPLCFAAFGPLATTAFYFSNSSRNISSGTALLPLSKTVIASSILVGLTTTLILFCSHFHQIDGDRAVGKMSPLVRIGTKTGSRLVTLGVVTLYVLLAAFGMSKSLPSACTVLCALTLPVGKWVVDYVLKNHEDNSKIFMAKYYCVRLHALFGMALASGLVLARNGTLV >OGLUM03G06650.1 pep chromosome:ALNU02000000:3:4746784:4749720:-1 gene:OGLUM03G06650 transcript:OGLUM03G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISCFSALLAGKRKLSKVTSKIGYGKKSGGNEFQKVKPVEFMEGTDTVDIGKGGGDIVLACDTKVVAFNAAELACEGRDKDDDMVSVKRDTSDVDLVAGGDADSSGYNSDAADKDASSAAAAPDASEPGVGLMVPAMASRLERSCSNIETARRGSKAFELPVKSLSYGDLMALPAGGSATATPVGAPDDSPAASVKTTCSADHVMLKKCSSSQVLPSRSRKLWWRLLLRSHRNLHRPAATVPAAVPSAEQRHDGYASDTLDAGAATADVKNKGIAVGHEPIPNQWMAFSSEATSLDRVSAWVNSLVDNPFKANEECIVEHDDDDDDTARPHCTEIGEPSSFGGKFPAQARRRMAGEAIKANSIIQTLTTSSSVAHISGMGLTVIPVISPFSSLRAISVIEGLRELTRLRVLNLSYNKISRIGHGLSNCGAIRELYLAGNKISDVEGLHRLLKLAVVDLSFNKITTTKALGQLVANYSSLRALNLVGNPVQTNIGDDALRKAASGLLSRLEYLNKQPVRPQRAREAAKDSVAKAALGNGGWSSRRRPTPSSRRLSQSPGSSVKNRGRDNGSGSHRGSRSRSKSRPHQGFSLARK >OGLUM03G06660.1 pep chromosome:ALNU02000000:3:4762691:4781591:-1 gene:OGLUM03G06660 transcript:OGLUM03G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKSTGDVEDNMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKESKVVAEPCENSSLKKNSNGPINVQLNGTKKEPVPTLVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLINTVDNSDLEYSLFAVLVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQTFFGSAQEFSGNTDNGYILFYESLAEKS >OGLUM03G06670.1 pep chromosome:ALNU02000000:3:4783491:4786559:-1 gene:OGLUM03G06670 transcript:OGLUM03G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (TAIR:AT5G51150.1); Has 323 Blast hits to 315 proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi /.../; Plants - 73; Viruses - 0; Other Eukaryotes - 45 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34630) TAIR;Acc:AT1G34630] MAPTSPEQLKCAANGGCAAASPGGTPRAGHYLPAVPAAVEGELRWLRRCVEAAAKGFAIGAGLKGGLALFSVLVRLRSRRRSPRSRKVGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDECIAGIWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGNICKPLTWSHGDIFLMCLSSAQILSAYILSQESLPSTYKAFLNKHGGKDLTILQGVKEVVNHTAFSNLAGIEKYYKSVGVDLKLDPNMKVPCSIVHGNQSCAGHFITFLFQAYVRAVPVYVPVYLVPALVVHRQDLLKRPYPIIGKSLLGTARSSLFLSVYCASAWAWTCLLFRIFQSCNTPLVVLATFPTGLALLIEKKSRRIEIALYCFARAIESFFTCMTDAGLCPPILQIKRADVVVFSMATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPSDEESNKDFPSDDGTKKIC >OGLUM03G06680.1 pep chromosome:ALNU02000000:3:4788866:4796204:-1 gene:OGLUM03G06680 transcript:OGLUM03G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKAGKLSSRSTGHDDVLQASHADSPLSQLPSQTTEGESSVSGQASEYDETESAYLKQNSVQQIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIRDVDGDDTDGETPWQIPNASGTFATVDSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >OGLUM03G06680.2 pep chromosome:ALNU02000000:3:4788866:4796204:-1 gene:OGLUM03G06680 transcript:OGLUM03G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRYAIAPQLDIEQILKEAQRRWLRPTEICEILKNYRSFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENINFQRRSYWMLEEDYMHIVLVHYLEVKIFIREEPDTTLSLGCGSMKMEVDLYQGLQATAPNTGFYSHGQDNLPVVLNESDLGTAFNGPNSQFDLSLWIEAMKPDKGTHQIPLYQAPVPSEQSPFTGGPGIESFTFDEVYNNGLSIRDVDGDDTDGETPWQIPNASGTFATVDSFQQNDKTLEEAINYPLLKTQSSSLSDIIKDSFKKNDSFTRWMSKELAEVDDSQITSSSGVYWNSEEADNIIEASSSDQYTLGPVLAQDQLFTIVDFSPTWTYAGSKTRVFIKGNFLSSDEVKRLKWSCMFGEFEVPAEIIADDTLVCHSPSHKPGRVPFYVTCSNRLACSEVREFDFRPQYMDAPSPLGSTNKIYLQKRLDKLLSVEQDEIQTTLSNPTKEIIDLSKKISSLMMNNDDWSELLKLADDNEPATDDKQDQFLQNRIKEKLHIWLLHKVGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTIAAGVNINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGAVTDPTPSFPSGSTPADLASANGHKGISGFLAESSLTSHLQTLNLKEAMRSSAGEISGLPGIVNVADRSASPLAVEGHQTGSMGDSLGAVRNAAQAAARIYQVFRMQSFQRKQAVQYEDENGAISDERAMSLLSAKPSKPAQLDPLHAAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRPTENAVTESTSSSSGNVTQNRPAENDYDFLQEGRKQTEERLQKALARVKSMVQYPDARDQYQRILTVVTKMQESQAMQEKMLEESTEMDEGLLMSEFKELWDDDMPTPGYF >OGLUM03G06690.1 pep chromosome:ALNU02000000:3:4796247:4797546:-1 gene:OGLUM03G06690 transcript:OGLUM03G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYYPLCPPMMRAVSNCSPSRLAPQSNTATYRLSRRTPAQNPPRNLAPDRRGKGGGGSPLAIREEEEEER >OGLUM03G06700.1 pep chromosome:ALNU02000000:3:4800852:4803642:-1 gene:OGLUM03G06700 transcript:OGLUM03G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G64970) TAIR;Acc:AT5G64970] MPWLEMWLPPAGSGGGGEGMAAGLFLDGEAAHGALLAAMPGISASFGVRQRRPGFVSLTMSVKGGRGFVSGPVGLLASGEEKGARAEEAEALVAGRRATEEEVAEASEGKVVEEVKEARAGAGAMNMTKHLWSGAVAAMVSRTVVAPLERLKLEYIVRAEQRNLFELIHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLLKWSGNDETTNFERFIAGAAAGVTATILCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMGYLHSPEGKRRVLTMKQQGQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVLKVE >OGLUM03G06710.1 pep chromosome:ALNU02000000:3:4808228:4809127:-1 gene:OGLUM03G06710 transcript:OGLUM03G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQSALLLPEASRRPPRPTCLPLVDSVASTATSKKRKRAGVDDVGEGESGEVGIELCFDAAPLPLEWQRCLDIKSGQIHYYNTRTHKRTSRDPRAEAPPAPAPESHHRRAAPAEEEEEAANYCAPPLGLDLELNLTFEPRRVPIQEAKKHRSSAVETTTKPAAAVAAEKLALELPAGGASREMVAAVCARCHMLVMMCREWPACPNCKFVHPTANQSSPPPPPPPEPAPLKLGLQLLCCKD >OGLUM03G06720.1 pep chromosome:ALNU02000000:3:4819269:4822130:1 gene:OGLUM03G06720 transcript:OGLUM03G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDATYQATIGIDFLSKTMYLEDRTGYSWAGEVPELDPKLHQGFFCGSDRLRYRQSFLSISKWIEEVNTQRGGDVIIVLVGNKTDLVDKRQISTDEGEAKAQEHGAMFMETSAKAGFNIKPLFRKIAASLPGMEALSSAKQEDMVDINLRPAASGQIPSGAEAQEEQKAGGCSC >OGLUM03G06730.1 pep chromosome:ALNU02000000:3:4821752:4828499:-1 gene:OGLUM03G06730 transcript:OGLUM03G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAKVVAAAAEEEREMELLLSEIPQVTAPHGQRGGRGGGGGGGGGAAQCYGLHGPARYHAHAGAPARYGGDPCFPLVLNRRDDGGGQQGGARGAIHVPLSGGFASSPASSTSAGSAPSPGSDRFVGRSPSPMVQATTDEDTERLASQLDGLLVGDAPAADALAAALMPPQGSPASAAKNVYLPDVSAVHGAYNNGYNFGAPGYSLHHEPGVLADQAMASGYVAPSQCFPVDVGLDGYGGFPPSLGTSVGSFMYTRTRNSSGIGWEQGLVHPDHARPVLLPGQSGAEHNWGYAGTGQISLDSRGRSLPKSPYEYSVAAARDIGYMKGGFNQMEPFCDGRKNVPFLNRAKERRFQQHVNNRSVELESPGMLMYENIVELESPRMLRYENMVGTKGYIYFMAKDQNGCRFLQQKFEEGKDQADLIFEGIIDHIPELMANSFANYLVQKLLDVCDEEQRLRIIAVLTEDPEKLLRVSLNSHGTRSIQKLIETVKTRKQIMLIISALQPGFIHLVNDPNGNHVIQKCLKNFDAEENKFIFEAAATHCVEMAINRHGCCVLQSCISNAYGEYQIKLIMQICADGLYLAQDQFGNYVIQYVLDLKIPFANAQLASLFQGNYVYLSKQKVGSNVVEKCLKVFPDDDKAAIIWELISASHFEQLLQDPYANYVIHTALVQTRGHLRSALVNAILPHEEAIRTNPCCKRISKVLSRRYLPGGSRP >OGLUM03G06740.1 pep chromosome:ALNU02000000:3:4831236:4832051:1 gene:OGLUM03G06740 transcript:OGLUM03G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRALPPPVPPASPDPAASSGAVSTAFGSPLDPVAARPHQPRDTYVVHVQKDQVYRVPPPENAYLAERYRAEGGGGGGGKGGACSPCALRTLGAVLAAALLLGAAAALSAVVLRPDAPSFVVDNLSVHTNASRQHHVAYDFFLTAINPNKVTALWYGGRGTARLAHKGNALAKGGVGEPEDGGEDAMGFNVLLHGTQRDGRTPRAVEKALRGSKGAVTLDLTVEFAVQVHAGALGFERRTLAVSCHITAAGLRKDVHISSQTCKSRFGN >OGLUM03G06750.1 pep chromosome:ALNU02000000:3:4836359:4837249:-1 gene:OGLUM03G06750 transcript:OGLUM03G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVDWCGRGSNLPAAMYDMVVDSKELMGALAPSMVSFSYPCSEQSASSLLAGANYLTPAQVLHVQAQLQRLRRPGAASGCLAAAPPLPMKRHGAVAVAAAAARAPVKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGESARLNFPELRRGGAHLGPPLHAAVDAKLHAICHGMDLPQPQPQTQSNATTTTMSTTATNTPSPFFSSESPVVKSEPVCSASESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFLLHKYPSLEIDWDAILS >OGLUM03G06760.1 pep chromosome:ALNU02000000:3:4839092:4849130:1 gene:OGLUM03G06760 transcript:OGLUM03G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3A2] MRALWLWLSVAIVFSVQSCRALAAGEGSKEADKIAALPGQPPDVKLQQYSGYINVNETSGKSLFYYFVEASVDAAHKPLLLWLNGGPGCSSMGIGAFQEIGPFRVDTDGKTLCRNPHSWITAANLLFLESPVGVGFSYAAVKPQEVYSTIGDNMTAHDSHTFLLRWLDRFPEYKTRDLFIVGESYAGHYVPELAVTILDNNLLPHATPIKLKGIAIGNGILEFAAEQTQLYEYLWQHAFISDSAHALITQSCKYPDDHPSALCESARKAAYSRIGNIDIYNIYSSTCHEQKVRPSASKCMDLADPCSQYFVEAYMNQPQVQKTIHANTELKYPWTRCRVYNLDHFGDSPKSMLPYIKAVITGRIRIWIFSGDLDAMVPVTATRQSMERLQLRVAADWRPWSADGKDVAGYVIAYDGLVFATVRGSGHMAPIDQPERALVLVSSFIRGQPLPPPQPQHDA >OGLUM03G06770.1 pep chromosome:ALNU02000000:3:4842365:4845331:-1 gene:OGLUM03G06770 transcript:OGLUM03G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKKAKPSRSRKKAKPSPDQAVALDYVRAWAHPAASAPPPEPSAADAAGDDFLPHQAARMASGGGGSVLFELHSHSNHSDGFLSPSELVERAHRNGVKVLALTDHDTMAGIPEAVLAAHRFGMRIIPGVEISALYSPKEISGTVEHVHILAYYGMCGPSRFDDLERMLSNIRDGRYLRARNMLAKLDRLKVPIKWEHVTKIAGEGVAPGRLHIARAMVEMGHIENIRQAFNKYIGDDGPAYATGSEPFAETVVQLINRTGGISALAHPWALKNPDAVIRALKGAGLNALEVYRSDGKVDGFSELAEKYDLLKIGGSDFHGRGGKDESDIGTVKLAITTLCSFLKMARPIWCSAMKDILLKFAEEPSTTNLGKMVMFGELINFNGFSSTGSGMDIVNLCLSSWLSNNGMEEVELEEVRLKLAHCVGKR >OGLUM03G06780.1 pep chromosome:ALNU02000000:3:4845423:4847125:-1 gene:OGLUM03G06780 transcript:OGLUM03G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTSCGFTAAYEKPTPTGLSRNSSFTSGGWPGSAAILSASLEPSPAARARHDCTEKTIATESHNHNALPIDNEIKPGPNSPDNQKPRP >OGLUM03G06790.1 pep chromosome:ALNU02000000:3:4848866:4854636:-1 gene:OGLUM03G06790 transcript:OGLUM03G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF966) [Source:Projected from Arabidopsis thaliana (AT5G10150) TAIR;Acc:AT5G10150] MEATVEGRKVVGRAEASPERGRPAYAPPVRSAPARPMRKVQIIYYLCRNGQLEHPHFMELAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRFPHLRVTDRSPAKRLALPPSHKQYVDSYRDDAAEDPEDDELGYGYHRRAGGARLAAKPVSARTNRSRPVELPVEETSPPSSTSSDKPPAAPQLQPGGGRGSDETESNRAGSMLLQLIACGSAAGGGSAKCRTEPRRSCGLVSRLAAASRAGTEVDDDEEAGGGGELSRRFGHLAVEEKEYFSGSIVESGGRGTPLPSSSLKRSNSYNEERSSRLGVSEERSTTDEQMEGEEGMIRGRCIPGRKKQHKW >OGLUM03G06800.1 pep chromosome:ALNU02000000:3:4864884:4867166:-1 gene:OGLUM03G06800 transcript:OGLUM03G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLFSLVSRRFPKNLAPISFASSSRLAPSTNPSGNCDLQPLDLSPEAPDLREVVDLVAGGGVSESGAKMTEAWVRHKPGMASVKDMPVLQDGPPPGGFAPVRYARRIPTKGPSAIAIFLTTFGAFAWGMYQVGQGNKVRRALKEEKIAARTALVPVLQAEEDERFVKEWTKSLMWEEIIMKDVPGWKVGQSVYNSGKWMPPATGELRRED >OGLUM03G06810.1 pep chromosome:ALNU02000000:3:4868224:4870402:-1 gene:OGLUM03G06810 transcript:OGLUM03G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCCKWVSREWIGSDRHGCLDSAACGCSFCVGGRHEMMDSDNLSLTMPPATPVKQER >OGLUM03G06820.1 pep chromosome:ALNU02000000:3:4871691:4877534:1 gene:OGLUM03G06820 transcript:OGLUM03G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEARAGGCSPAPPRAPAASCGAAAELCLCSPTGVEGIEQVPGCPCFEDAGAVVVSGEAPEGPGVLCSEDGAELKLAEQGALDVRLGSPAVGIHEQQLLHRGTSGSDEAGAINEISPVEVSPSEASSNLDTAGAIGGSPLMLESLPETSDTRGCEQEVMPGVVVGSSNRDASSEVGVESERGSDGRNGLGEGELVSSVDGGGAEKSSKVTGVLSEEGVDGMETALEPCVASVGSITQVEEGVDRMETSLDDSEASDGSTTQDFDTDVETESSGSSIEEQDTGYGVHIPHTEQAICEVARGNKSSEVKSSDRMSSVTLPTLILASGAAMLPHPSKVLTGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKAVMESQGAPEMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHACNIGDSGFLVIRNGEIYKKSKPMTYGFNFPLQIEKGDDPFKLVQKYTIDLQEGDAIVTATDGLFDNVYEEEIAAVISKSLEAGLKPSEIAEFLVARAKEVGRSATCRSPFSDAALAVGYLGYSGGKLDDVTVVVSVVRKSEI >OGLUM03G06830.1 pep chromosome:ALNU02000000:3:4877127:4878642:-1 gene:OGLUM03G06830 transcript:OGLUM03G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRTRSTAMAAWSYSSLLLQLLLLSMVAVLDGAATTGGGGAGAPAPAADCTDALLSLAGCLSYVQEGSTVAKPDAPCCSGLKGVVKKEVACLCQAFQGSQNFGVTLNMTKALQLPAACKVKTPPFSKCHLSIPGVTGGAPAPAPFSGAPFFGGSSPSASPAGTGSDSAAATVRAPAPSPSAAVRPKETKAALFSAAVIAAATLLAHRA >OGLUM03G06840.1 pep chromosome:ALNU02000000:3:4882311:4885770:-1 gene:OGLUM03G06840 transcript:OGLUM03G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYRYDTTELVHESHDGASRWVVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRAKGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >OGLUM03G06840.2 pep chromosome:ALNU02000000:3:4882311:4885770:-1 gene:OGLUM03G06840 transcript:OGLUM03G06840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESFRVESPHVRYGAAEIESDYRYDTTELVHESHDGASRWVVRPKSVRYNFRTTTTVPKLGVMLVGWGGNNGSTLTAGVIANREGISWATKDKVQQANYYGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPDDLVFGGWDISNMNLADAMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQMEQIIKDIREFKEKSKVDKVVVLWTANTERYSNVCVGLNDTMENLLASVDKNEAEISPSTLYAIACVMEGIPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKSTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >OGLUM03G06850.1 pep chromosome:ALNU02000000:3:4892850:4901639:1 gene:OGLUM03G06850 transcript:OGLUM03G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 26 [Source:Projected from Arabidopsis thaliana (AT3G49600) TAIR;Acc:AT3G49600] MSRPNTRNKSKRPRADDCESPSAVFKKIHSTGAITKGDIKQLYMVWKPVCHGCHGNSKDSPNCFCGLIPAANGVRKSGLWQRTNEIIRALGPNPSTDLRDSTETPAGLTNLGATCYANSILQCLYMNTSFRLGIFSLEPDILKMHPVLDQLARLFAQLHSSKMAFIDSAPFIKTLELDNGVQQDSHEFLTLFLSLLEGSLSHSKVPGARTIVQHLFRGSVSHVTRCSSCGRDSEASSKMEDFYELELNIKGLNNLEQSLDDYLSTEALDGENQYFCESCQKRVDATRCIKLRSLPPVVNFQLKRYVFLPKTTTKKKISSAFSFPGQLDMGKRLSNPSSSYTYGLSAILIHKGSAANSGHYVAHVKDESNGQWWEFDDEHVSKLGLHPFGEKPGKSSNKTDQKPQGSSTADSVTNDDNNSCHEAAFTSTMEEMFSSTDAYMLMYKRIAKDENGIESNNISSNNSLPHHFVDEIDERNTSYVKECEEYESKKDVHLAYITERRQEVKSVLTEAPATPEEDSYFWISTDWLRQWADNVNPPSPIITGVRVHSSIDNSPIQCEHGKVSASKVTSMKRLSAGAWHKLFSKCLKDGAKNSVSADVYRDRKASLRSIAEAALAGNNPDGPLYFVSRPWLTQWLRRKNVDIPSDADSGPTIALTCTHGNLLPEHASGAKRVTVPEDLWLFLYETSGMKIDDIVTFPSDSQPCGICSQQLSVVASVEDNLRAVKLKQRQSHEKLTSGKSLALHPGQKYYLVPSSWLSEWRAYITATGKNISSLPEPQSLEVTINSLICEKHSRLLQRPLDLVCKRGTITQKASNTDGLTMISESDWILFSEEWNVAHGKGLCAEIVFSKSSQDNLQSSEAVPILVEDLDQSTNDLSNDLGGREPYVRTDPEVCEECIGEKESCALVEKLNYQNEDIQVYLVRGKEAPKSIREASAAVPVPDRRTSKRSRRTTSGNSISLKVSGSTTVYQLKLMIWESLGIVKENQELHKGSVEIEDDFATLADKCIFPGDVLWVKDSEIYENRDIADEISEQKVVVQTEEGFRGTLLTSSASAQLCQDISFSD >OGLUM03G06860.1 pep chromosome:ALNU02000000:3:4907689:4913903:1 gene:OGLUM03G06860 transcript:OGLUM03G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQVLQAQYCTLDSSSGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRARLSMAGFRPYPLSALVNNTIKKLLDSYHSYYKLEERDGALYLGWKNRKLVVSSAWRFLSPPNPPPFLQLQGKGERRRDATLSWAPRLPFPSLTSLVY >OGLUM03G06860.2 pep chromosome:ALNU02000000:3:4911087:4913903:1 gene:OGLUM03G06860 transcript:OGLUM03G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKFILERARMWKDS >OGLUM03G06860.3 pep chromosome:ALNU02000000:3:4911087:4913678:1 gene:OGLUM03G06860 transcript:OGLUM03G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYRVLPQEKAYDQSQKYKEGKFILERARMWKDS >OGLUM03G06860.4 pep chromosome:ALNU02000000:3:4911141:4913903:1 gene:OGLUM03G06860 transcript:OGLUM03G06860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFVQRLDGNGVFKCRRCRVDAASKDAIISKEFQGSSGRAYLFDHVVNICLGPNEDRYLITGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKFILERARMWKDS >OGLUM03G06860.5 pep chromosome:ALNU02000000:3:4907689:4910758:1 gene:OGLUM03G06860 transcript:OGLUM03G06860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQASNRPYRYPDNSQIPYYSRSSMHVGQNGTYHVQQNHEDLYASSDDGSQNGNSKAQVLQAQYCTLDSSSGNFVYPAHSSTSSHISGSPISQQDSHSEHTSGSPASASCVTEVPGLRFTTIEEIENAMFGPEPDTVSSDCSLLTDSAFYQDNWREHLGINTGDLKQVIAACGKAVDENSWYRDLLISELRNMVSISGEPMQRLGAYMLEGLVARLSSTGHALYKSLKCKEPTSFELMSYMHLLYEICPFFKFGYMSANGAIAEAVKGENFVHIIDFQIAQGSQWATMIQALAARPGGPPYLRITGIDDSNSAHARGGGLDIVGRRLFNIAQSCGLPFEFNAVPAASHEVMLEHLDIRSGEVIVVNFAYQLHHTPDESVGIENHRDRILRMVKGLSPRVVTLVEQEANTNTAPFFNRYLETLDYYTAMFEAIDVACPRDDKKRISTEQHCVARDIVNLIACEGAERVERHEPFGKWRARLSMAGFRPYPLSALVNNTIKKLLDSYHSYYKLEERDGALYLGWKNRKLVVSSAWRFFRGFLVDA >OGLUM03G06870.1 pep chromosome:ALNU02000000:3:4914461:4917010:1 gene:OGLUM03G06870 transcript:OGLUM03G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKQPAASPPPLQQQRTAYPASFEAYFSAMGLGGASSSGQEPQTPRQAQLQQRYATPNSPLMLPQPPPSQGGGGGGQGGVHPLMQQHQMMLAQMRATQLQQQQQQQTSSYCVGEQGSSTNGYFWPVGHGGVSPAEAAAHAQGSSDYAMLLAADRPMPLAADRILPSAADGSSSDYLSRFANGYANNPFAAAAAAPAAPRPPVAPRPCTLRANASQYQPIGASSRSAVASPSPPRTRRHPYPHPANNYNPSAAIADYQERLVVMNALRANPEDPLWRGVSRISQGRTPEEIRSDMLRGPMPLQLVFFQESAAHVIRLLDEGAETGVDQYRLSALAAIKSDVHRVMEDREGCQVFMALVRACAEQEDEIHAIIAAAASAPPVDGNGKHKTTQLLRVTRQDYGEASLRSLILAAARYPDLCKLLTDCLVCERVMDHAKGDRLLHDCFRAMNYEDSKILIKFACYHANKMLLASSGSRCLVECFMNARGEELEHLEQLILANATMIAKGHYSNYFMQKVLEHGSVALKRELVALLMADVVSLSRQQFGSYVVEACFLKGSSDLKRIVISTFVSLTDDQLADVVQCGYGNYVIQKLVEACKDDYPEETILLARRIERLPGEVLDRMSAKQVMKVVRRLFPRHRIY >OGLUM03G06880.1 pep chromosome:ALNU02000000:3:4918720:4923063:-1 gene:OGLUM03G06880 transcript:OGLUM03G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3B9] MAEGETPPSSSAAAAAPVLAALASLQTYSSALSAFTSAWRALYSDATALDSTLASRLEGFSELDLLCSAMDGPGLRAYLTEHRDALQDSSLPALDAALLVAPDPGRLVLSAAAGFCRAPPTEGAAKVACRLLVDLLDRLRALGVKPSPEARDEARAIAADWKRSKRIGPQAVLKETIAFLLLVGAFGLVDDVGGASEVLDLVVSVSGRERAVEAFVGLGLDLEKHMPGMSVCVNINMKTLFPPVFIHTMIKKGKQLEAVKFIQALNLVEKYPLLPVLRSYISDAAKAGNMIRIRGGDSACQTEADAKERMLLGVLQKFIKDQKLEELPILEIVKQRLAQLEKKSVERKRAASAAIEAAHEVSKKIQKQMKQQQQVQSAMRSRVPGKAAQNSLSQNIHSVDSLSRPLMSSQSMGISGVSNLYQAASSQNIIPAISPSPLSQHPVGIKNQTLNTPPVQTRYGGLADYYGLSSGRPRPDSVSPGSSVTSAHTSSRSKLYSADPLAAVSRASDKKGSSYNYSLSSMSTYNPNP >OGLUM03G06890.1 pep chromosome:ALNU02000000:3:4924175:4924908:-1 gene:OGLUM03G06890 transcript:OGLUM03G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHGDGGGGAALDKQLVPDASNDNGTAFAICKPPSKDCHSKVDTLGCRIRMHIIYTAHIFQLNRELGHKSDG >OGLUM03G06900.1 pep chromosome:ALNU02000000:3:4944313:4947849:-1 gene:OGLUM03G06900 transcript:OGLUM03G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHESLDGAGVSTVHARANGDPSISTATPATNHLISPPPLHSPPPFAGDNPTFGTTGSLSTAPPWILHLPLSITPPLGLLAPASHRSRLSMKCQSFIGTFTLLLILRY >OGLUM03G06900.2 pep chromosome:ALNU02000000:3:4945047:4947849:-1 gene:OGLUM03G06900 transcript:OGLUM03G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHESLDGAGVSTVHARANGDPSISTATPATNHLISPPPLHSPPPFAGDNPTFGTTGSLSTAPPWILHLPLSITPPLGLLAPASHRSRLSMKCQSFIGMSNLHFVLFAGDLHPSTYTKYT >OGLUM03G06900.3 pep chromosome:ALNU02000000:3:4946083:4947849:-1 gene:OGLUM03G06900 transcript:OGLUM03G06900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHESLDGAGVSTVHARANGDPSISTATPATNHLISPPPLHSPPPFAGDNPTFGTTGSLSTAPPWILHLPLSITPPLGLLAPASHRSRLSMKCQSFIVYSMFARWSVVGATRWNATRVAPNSLRSILAEPYHI >OGLUM03G06910.1 pep chromosome:ALNU02000000:3:4948819:4951310:1 gene:OGLUM03G06910 transcript:OGLUM03G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYYLYDYEYAEPPRVTSLQNAVPQRTFSDFGDDVYFVADQRGYESVVHYLAGQYLNTDDSGNVADPRLQLNKVVREISYSSSGVTVKTEDGSVYQADYRHGLCQLGSPAERSYTVQATAASSDRCVLHVFDQKWKILAIYEFDMAVYTKIFVKFPKRLWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDSNVLLVTVTDKESRRIEQQSDNQTKAEIMEVLRNMFPDQDVPDATDILVPRWWSNRFYKGTFSNWPIGVNRYEYDQLRAPVERVYFTGEHTSEYYNGYVHGGYLAGIDSAEILIDCAQNQMCKYHVQGKYD >OGLUM03G06920.1 pep chromosome:ALNU02000000:3:4957908:4958843:1 gene:OGLUM03G06920 transcript:OGLUM03G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVAGNEQCYCPECHRTTVVVVDHATGDTICTECALVLEERYIDETSEWRTFSDAGSGEDRDPNRVGGCSDPFLSHAELGTVVAPAKRQAKDTAAAASPPHVRVDSKSGQDSSLAVAFRAISDMADRLQLVATIRDRAKELFKKMEEAKLCARVRNRDAAYAACLHIACRNEGNPRTLKELASVMRDCQDKKEIGRMERIIRRHLGEEAGTAMEMGVVRAADYMSRFGSRLGMGKPEVREAQRAAQTLEDKLDVRRNPESIAAAIIYMVVQRAGAQTSARDVSKASGVAEATIKEACKELSQHEELLFSS >OGLUM03G06930.1 pep chromosome:ALNU02000000:3:4959131:4963855:1 gene:OGLUM03G06930 transcript:OGLUM03G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPWAVKKGLLCGRTHSGRSSATGSAAAAALRRLLSPSASSPSRSSVAVPVPVRERRRSVLPIQIAPPYPPARRTPPPTVGPPRLRLPVKIRHRAAVLRCLRLLSEIRSTAQPLLCTSASATKIS >OGLUM03G06940.1 pep chromosome:ALNU02000000:3:4966377:4967585:-1 gene:OGLUM03G06940 transcript:OGLUM03G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYQTGPVSEPPMLNTEIITQKVTGFNTETTTKEKPIGYLDVFVHQARDIHNICIYHKQDVYAKLCLTSDPDVSCSTKVINGGGRNPVFDDGLRLDVRTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMADGKLVQEFSMTSTDLLHTPAGFVQLSLSYVGCSPDVIPIPAPNKSALVVNGSGNDSSVPCELEKIEFPDLNVVNENQIMVSKYFEMETLSYEDSVKVDNPKLVQSDAAVPGTELFNKNLDEYREGSPQSCVSTTDYSTGTSVTPHSISEPSDTILAASPTGSQREKSQDVTDGEADSSDVPLKGEVVKPVISINLNPGESVVQEDIVNMYMKSMQQFTESLAKMKLPLDVENSSPSTENNTDSITAEKPSPSKGSRVFYGSRAFF >OGLUM03G06950.1 pep chromosome:ALNU02000000:3:4974829:4976073:-1 gene:OGLUM03G06950 transcript:OGLUM03G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive family protein [Source:Projected from Arabidopsis thaliana (AT2G04850) TAIR;Acc:AT2G04850] MRQQRCATLLASLLQLVAVAVLPAAAAAAAAGGAAGRCTTSTPLKTYAKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNKDAPAMTGARVLAAFSDPSTGALLALPFLLSPDVKLQASPLVSRPLDIPLLASSASLVGPARTVRDGATVTIAATIRLSPNRTKLHFVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPTASATLQWTHGSLNALSWGFLLPVGAAVARYLRPCASTGPAWFYAHAAIQATGYALGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMLFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCMALATLAGGCVALEVNAWVVFCRRQQEEKLMRREVEDVVVKDRAAAF >OGLUM03G06960.1 pep chromosome:ALNU02000000:3:4978737:4981355:1 gene:OGLUM03G06960 transcript:OGLUM03G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04845) TAIR;Acc:AT2G04845] MESSSSAAAAVDGEDGGGSKRSWYAVGERAVLVPYLREHVPRYHGWMQDPALLEATASEPLSLDQEFDVHRSWTLDPLKHTFIVLDKDLIEGGFAVGDPHTEAMVGDVNIYMNDPDDLQLAEIEIMIAEHKSTFCYSRGKGLGQEAILIMMAFAVEKYGIHTFRAKINESNTASLKLFRKLGFKDASYSSVFKEVTLEAPATALPLVSPLTIGNW >OGLUM03G06970.1 pep chromosome:ALNU02000000:3:4981500:4986253:1 gene:OGLUM03G06970 transcript:OGLUM03G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKRGSESDGDELGGGGAAEGTSPNDGGASPPPLAAAPAVCFIRSAGDFAGGAFIGSIVGYGQGLFTKKGFKGSFSTAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGTPQALLQSCATFAAFSCIMEGLNKQQAAMAQTLGGSALTVSHQNGGVLPPFTLPPLLDASDALSSCCQSLVLKPKH >OGLUM03G06980.1 pep chromosome:ALNU02000000:3:4994074:4996814:1 gene:OGLUM03G06980 transcript:OGLUM03G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLLAGVLLLQLAASAAGQQQCLSATFQNGRTFLKCNPLPVLGASLHWTHHAENGTADVAFRAPQQSSGWVAWGINTRGTAMGGSSVFIASQDGSGAVSVLMTVLENTSPSLTNGSLSFDVLSPPTADYTNGVYTIFATIALPNNSTTQNTVWQAGPGSTGNVGQHATSGPNVQSMLRLDFSSGQSTGTASNSRLHRRNIHGILNAVSWGILIPMGAMIARYLRVFEAADPAWFYLHITCQLSGYILGVAGWALGLKLGSESKGITYSAHRNIGIAIFCLATLQVFALLLRPDKKNKYRFYWNIYHHSVGYSAIVLAAVNIFKGLDILKPASGWKRSYIAILATLAGVALLLEAITWVIVLRRKKSDKSSSSPYGATNGNGRA >OGLUM03G06990.1 pep chromosome:ALNU02000000:3:5002705:5012118:-1 gene:OGLUM03G06990 transcript:OGLUM03G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGNIWSCPALLNIRKMKDEICIISHLRILSEFEQLHHEQKTAGVTASDLKALRLRCNIQCWKNSLLKLSFK >OGLUM03G07000.1 pep chromosome:ALNU02000000:3:5006732:5013963:1 gene:OGLUM03G07000 transcript:OGLUM03G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGD2-like defense response protein 1 [Source:Projected from Arabidopsis thaliana (AT2G13810) TAIR;Acc:AT2G13810] MPVNMISKLLEKAVLPALDVAPPVKIGGPRRTSVLRNPNMEKLQKGYLFPEISIKREEHLKKYPDAKVISLGIGDTTEPIPSIVTSAMAEYALALSTPEGYQGYGPEQGHKNLRKEIADKVYPDMGIKESEVFISDGAQCDIARLQTLFGPNVIIAVQDPTFPGYVDNGVIMGQTGKADDGGRYAGIEYMRCAPENAFFPDLSRVRRTDVIFFCSPNNPTGHAASREQLRQLVELARRNGSIIVFDSAYSSYISSSSSSSTPRSIYEIPGAREVAIEVSSFSKFAGFTGVRLGWAVVPDELLYSDGVPVARDFDRVVCTCFNGASGIAQAGGVACLSTEEGRGAVARVVGVYRENARVLVETFRSLGKEVHGGGDAPYVWVRFPGRRSWDVFAEILEKTHVITVPGSGFGPGGEGFIRVSAFNSRDKVLEAAEKVGMIPATTIGSLKLLKYDGLTETRSATTEFHKRDIT >OGLUM03G07010.1 pep chromosome:ALNU02000000:3:5016775:5025707:-1 gene:OGLUM03G07010 transcript:OGLUM03G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLLGLTVQVLTSVGNVAVSSSSMGVLPNTSEPAMEPMASEPSVPKGTAFADLLLQGPEPPSLWNDLTGMFRKAFRWRGADKRFTLSVYVMSVLQGLFPILDWSKTYNLKFFRSDLMAGLTLASLSIPQSIGYATLAKLDPQYDTSVVPPLVYAVTGSSREIAIGPVAIVSLLLSSMIQKIVDPSVDPAFYRKMVFTVTFLTGVFQFAFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGFQQLKGLLGLSHFTNRTDVISVTKAVWVSVHETWHPENVFIGCSFFMFILAMRFIGRKYKKLFWVSAIAPVLSVALSTLFVYATRADKHGVKIIQKVNSGINASSVKQIDLKGGYAAECAKIALVCAVIALTEAVAVGRSFSAINGYRLDGNKEMVAMGFMNIAGSLSSCYVATGSFSRTAVNFAAGCKTTVSNIIMAATVMVALELLTKLLYYTPVSILASIILSALPGLINVQEVCFLWKVDKMDFLTCMGSFLGVLFGSVEIGLSVALLVSFAKIIIQSIWPQVEILGRLQGTEIFCNVKQYPVVRETPTVLTVRIETSFLCFVNASSVKEKIMGWVTDEREAFCSVVLDMSNVVNMDTSGLAALEELHKELACLGIQMAIAKPGWQVIHKMKLARLVDGIGEGWFFLTVGEAVEACLANKAGNALECC >OGLUM03G07030.1 pep chromosome:ALNU02000000:3:5043013:5047764:-1 gene:OGLUM03G07030 transcript:OGLUM03G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTAAAAAVETPVTVSTFDVSRHPDTARLVLSSPKPPGVREEFVGVVRKAFRPRASGGGGGGAGRTPPARWGWALTALQTVFPVLQWGRTYNFNLFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYDTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMVSKIVDPAVDPVTYRALVFTITFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDVVSVIKAVCSALRDPWHPGNFLIGCSFLIFILATRFIGRRYKKLFWLSAISPLLSVILSTAAVYATRADKHGVKIIQRVQAGLNPSSASQLRLSGPYTVECAKTAIICAVIALTEAIAVGRSFASIRGYKLDGNKEMIAMGCSNVAGSLSSCYIATGSFSRTAVNFSAGARSTVSNIVMSITVFIALELLMKSLYYTPIAVLASIILSALPGLIDIKEALSIWKVDKMDFLTCLGAFVGVLFGSVEIGLAVALAISFTKIIIQSIRPQVEVLGRLQGTNIFCSIRQYPVACRIPSVLTIRIDTSFLCFINSTFIKERIIEWIREEVETSDEKARDRVQSVVLDMSNVVNIDTSGISALEEIHKEMASLGIQMAIAGPGWQAIQKMKLAGVVDRVVGRDWIFLTVGEAVEACVTMQKGTALEC >OGLUM03G07040.1 pep chromosome:ALNU02000000:3:5102664:5107350:1 gene:OGLUM03G07040 transcript:OGLUM03G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVSDGGEAIDADIASRTSSHRHMDGGHHHHHGHKVEFPPKKKLIDEFTDAVKETFFADDPLRQYKDQPMSKKVLISLQNFFPVLDWGRHYTFRKFRGDLVSGLTIASLCIPQDIGYAKLAGLLPNYGLYSSFVPPLIYAMMGSSRDIAIGPVAVVSLLLGTLLQNEFDPKKNQEEYTRLAFTATFFAGVTQAALGFLRLGFIIEFLSHAAIVGFMAGAAITIALQQLKGFLGIANFTKKTDIISVMKSVWGNVHHGWNWQTILIGASFLAFLLVAKYIAKKNKKLFWVAAIAPLTSVIISTLFVYITRADKHGVVIVKYIKKGINPPSASLIYFSGPNLMKGFRIGVIAGMIGLTEAIAIGRTFAGLKDYKIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSIVVLLTLELITPLFKYTPNAILSSIIISAVLGLVDYHTAYLIWKVDKLDFLACLGAFFGVIFSSVEYGLLIAVAISLAKILLQVTRPRTVLLGNLPRTTLYRNIDQYPEATLVPGVVIVRVDSAIYFTNSNYVKDRILRWLRDEEERQQEQKLQKTEFLIVELSPVIDIDTSGIHALEDLFRALEKRKIQLILANPGPAVILKLRSAKFTDLIGEDKIFLTVGDAVKKFAPKAVENV >OGLUM03G07050.1 pep chromosome:ALNU02000000:3:5102815:5107817:-1 gene:OGLUM03G07050 transcript:OGLUM03G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGAGAIVVVVHGAPPPQAKQWRWRRRGAERGRRLGGWGRAPLPAVQRTSAGEKAPLECCYLLGCGMAAGPSLRRGGRRLAARLIQIRPGKASY >OGLUM03G07060.1 pep chromosome:ALNU02000000:3:5107964:5135658:-1 gene:OGLUM03G07060 transcript:OGLUM03G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHGGSEASPSIIHTSSIALLQERFRNLQKVKEMREAGNKELNRVRPADAHDRAAAAGSASASALGLGLHHAAVNGTNEQPRWFLHPDLVRPPSRPLHHGSGVVQASPSTPATTSPWTTMQNSGYRGDVDVDTSLHLPMVGGSLLLSIPLSWVGLDQSPGQTNPPPRRIVLCPPDIPIEQKSCLLRPAWGFSPTCVAFAARTKGNLAPDPEASLNCGRRPPRARRRGAGCGSGDQAPSRAHGVLPCGWSSAPGSPPVILPPVPLKFFIPGARGRL >OGLUM03G07070.1 pep chromosome:ALNU02000000:3:5120297:5123705:1 gene:OGLUM03G07070 transcript:OGLUM03G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSDGADNLDADMDNGAAQQQHDGYNVGAPPKKNLLAEFAGTVKEMFFSDEPMRRYKDQPRSRKLWLALQHVFPVFEWGSQYTLAKFKGDLIAGLTLASLVIPQDIGYAKLGNLPPEIGLHSSFVPPLIYALMGTSRELAMGPVAVISLLLGTLLQEEIDPKKNPLDYRRLAFTATFFAGVTQAALGFCRLGFIIAFLSHAAIIGFMAGAAITIALQQLKGFLGIANFAKKTDIISVMKSVWGNVHHGAMELADNIDRSINFGIPPGCQGKKNKKLFWVPAIAPLISVIISTLFVYITRADKQGVAIVKNVKKGINPPSASLIFFTGPYLLKGFKIGVVAGMISLTEAIAVGRTFAGLNDYQIDGNKEMLALGTMNVVGSMTSCYIATGGFARSAVNCMAGGKTPMSNIVMSTVVLLALLWITPLFKYTPNATISSIIISAVLGLFDFESAYLIWKVDKLDFMACLGAFLGVIFSSVEYGLLIAVVISLIKVLLHVTRPRTALLGNLPRTIIYRNVEQYPEATKVPGMLIVRVDSAIYFTNSNYVKERMLRWLRDEEEHQKEQKLPKIEFLIVDLSPVNDIDTSGIHAFKELLRTLEKRQIQLIFANPGAAVIQKLRSAKFTELIGEDKICLTVGDAVKKFAPQLTENV >OGLUM03G07080.1 pep chromosome:ALNU02000000:3:5135362:5135682:1 gene:OGLUM03G07080 transcript:OGLUM03G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRRRPDQVRVQEPPRLLIGAVHRRVMKAKAESRRRRRAGGGGAVVSVGGAHPVQLLVARLPHLLHLLQVSEPLLEESYGRCVDDRRGGLAAAMPSHQIVELSY >OGLUM03G07090.1 pep chromosome:ALNU02000000:3:5185347:5191036:1 gene:OGLUM03G07090 transcript:OGLUM03G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3E1] MRQQQAGGVGDGVSPGNVPVCYYGPGGRVPSSLERRARAAEVLLRCAACGLAVLAAALLGADRQTRVFFSIQKVARYTDMQSLVLLVIANGMAACYSLIQCARCLVSIVRGGVLLSRPLAWAIFSCDQAIGDIRTITVMAYIVISAVAAAMEAALIGKYGQPEFQWMKTCHLYKRFCAQAGGGVACAIAASVNMVGVALISAFNLFRLYGNSNGGGKATTTTMAGGK >OGLUM03G07100.1 pep chromosome:ALNU02000000:3:5216447:5216983:-1 gene:OGLUM03G07100 transcript:OGLUM03G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G48170) TAIR;Acc:AT5G48170] MGARPVPRREEVVVVTELELRMQLLGGGGNCYNINDNADLLAEILARLDGRSLAAAACVCRLWAAVARRDAVWEALCLRHVGPASGPTAGPATRAVVAALGGYRRLYRLCLGPALDRLGRGGGAIAHAHARARLSLSLSLSLFSIDCYERLGGGGGAGAGRQPQPSSLLFLCKPVDVS >OGLUM03G07110.1 pep chromosome:ALNU02000000:3:5265991:5269230:-1 gene:OGLUM03G07110 transcript:OGLUM03G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSASSTLPLLSLHRAAGNPNPAALSFPPPLRAPPLRSRAAAAAASAAAPPAETIPDMPEETEGTGIPMPSSIGDDGEQRLLSTLYVDVVLSKSSKESRTILCLSDALTSENISVFRFDFTGNGESEGTFQYGNYYKEVDDLCDVILHFKKHKRDTRGIAGHSKGGNVVILYSSIHRDVASIINMSGRFDLRRGIADRLGSDYMEKIDRYGFIDVGVKTGRSIYRVTKESLMDRLKIDMKSACMSIDPKCRVLTIHGSDDDIVPSEDALEFDKYISNHELSIIEGADHRYSLHHLELATIVLKFINVSSVYLWKLLFSGTLMPFRVNVFCFQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >OGLUM03G07120.1 pep chromosome:ALNU02000000:3:5270073:5272486:-1 gene:OGLUM03G07120 transcript:OGLUM03G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant-specific TFIIB-related protein [Source:Projected from Arabidopsis thaliana (AT4G36650) TAIR;Acc:AT4G36650] MSQPTQCPYCRASGPARCVTTQPPLSRAVSECSSCARLVLERHLYTHPFFPLLPSLHPLPLVTPDLADAAPSPSPSAASASASGDDDDDDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVESAASSTPDPAGPMVSVDSLRAYVQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQEPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPNYTPATPPEKAFPMTTIYSSRSSSGKDLYQDKQLDSAKLKSSEAAEPDHMVIVKEEEDKKIGPFSRPSAKTETHDLNQAIWTPNVSSTPFSSSPKLDHDKTETSVRGINLNEASCTMDTDRPDMPVKSPFAERWLNESKVIPSPSRQPAPWQLKQGAPSAGSSYHSMPYGLDLLSRGKRNTGDGGDKEGR >OGLUM03G07130.1 pep chromosome:ALNU02000000:3:5273866:5277939:-1 gene:OGLUM03G07130 transcript:OGLUM03G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPPHVWMLMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >OGLUM03G07130.2 pep chromosome:ALNU02000000:3:5273866:5277939:-1 gene:OGLUM03G07130 transcript:OGLUM03G07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSPPHMSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >OGLUM03G07130.3 pep chromosome:ALNU02000000:3:5273866:5277939:-1 gene:OGLUM03G07130 transcript:OGLUM03G07130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSVIIKLILGLLWFIVHLAISLFSLWFDLIYSIECYLISFGLIPKYRKFQLDRLKHLAVVVDSREAKNVAKINQLLCWLSNVGVKYVCLYDIDGVLKKTFAPAMNGSRYGNSGKYLDVGANTKALTCCHKEMTIECISGSDGKDGIAKAASLLCSTCVNGNRNTCGNGEIVFTEADMSGALKAIGCGGPEPDLLLVYGPARCHLGFPAWRLRYTEIMHMGPLNSMKYGAIVKAFYKFSKKYQNFGKFVFSFLENRNILQ >OGLUM03G07140.1 pep chromosome:ALNU02000000:3:5284660:5289332:1 gene:OGLUM03G07140 transcript:OGLUM03G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDDAVPVAVAPAKRPPINKYAFGCALLASMNSVLLGYDISVMSGAQIFMKEDLKITDTQIEILAGVINIYSLFGSLAAGMTSDWLGRRYTMVLAAAIFFTGALLMGLAPNYAFLMAGRFVAGIGVGYALMIAPVYTAEVAPTSARGFLTSFPEVFNNSGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPIFLGIAVLAMPESPRWLVMRGRIEDARRVLLKTSDSPDEAEDRLLDIKKAVGIPEDASDGEDVVAIVRANKASQGEGVWKELLLNPTRPVRRMLVAGLGLMFIQQATGVDCVVMYSPRVFERAGIKSKTNSLGASMAVGVCKTFFIPIATLLLDRVGRRPLLLASGGGMAIFLFTLATSLLMMDRRPEGEAKALGAISIAAMLSFVASFASGLGPVAWVYTSEIYPVRLRAQAAAIGTGLNRLMSGATTMSFLSLSNAITIAGSFYLYASIAAAGWVFMYFFLPETKGKSLEDTVKLFGKDTDDDDDVDTSRHERKRSTELSAQH >OGLUM03G07150.1 pep chromosome:ALNU02000000:3:5301986:5304243:1 gene:OGLUM03G07150 transcript:OGLUM03G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILFMYKNDDHSLDTMTHTAVCNICGRRPHIRARGGSGTGRAAAAGVKRRTYVRPVIPHKLDPSELPRRLCHHVEGRLLRRPCRRGAGEACPPQQVRPRLRNPRLHELHPPRLRHLGDERRAEVHEEGPEHLGREGGGPRRHHQHLLARRLARGGPDVRLDRPSLHHGARVGHILRRRAHHGPRPELRDRHARPLRGRRGCGLRAHDRAGVHGRGGADVRPRLAHVVPRGVHQRRRPPRLRLQLRLLPPPAAHWLARHVPSRRRAARLPRRGRPRHAGVAAVARHAGAHRRRATCPREDLRLTGRGRGAARGHQERGRHPRRDLRRGRSCSRRPQEQGLPRRGCLEGPAAPPDAGRAPHTHRLPRPPVLPASLRHRRRRAVQPAGVRQRGPPLRLRLHRSLRGGGRQQDALHPRGHVPPRPRRPEAAAPHQRRRDGDLARHAGLGAAHDRAPPGGPGDGAGGSEHRDGAGVRGVLLHRDGPDRVGVQLGDLPAAAARAGMRARHGDEPGRERRRQHVLHLALQGHHLRRELLPLRRHSCGRVGVHVLLPAGDARQEPGGHRQTLRRR >OGLUM03G07160.1 pep chromosome:ALNU02000000:3:5306680:5309481:1 gene:OGLUM03G07160 transcript:OGLUM03G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDTTPRHPHVARAPPIACLYIIDRRGLSASPRIAPHTAGRSIGQKKRAERWGRMAVAAATVRWLVLLLAVSAAATASREKWWHGAGGEASGGGHLVQKEWRRVVAASDAGLVTAVDVADAAGTAYRLHFITMSPGTLFLPVQLHADMVFYVHSGRGKVTYIEEGDSESSSLQVERGDVYNFDQGTILYIQSNACGTRERLQIYAIFTSDSINADDPRHPTSEVYSCVSDLLKGFEVEVLRPGFGVPREVVESIKSTKTPPAIIPYNPEEEDEDDSSNWTEEITDALWGVRDPHFLNKKKKDKQKDKHKGKDKKSKSKAFNFYSGKPDVENCYGWSRSMTNRDLETLRGSNIGMFMVNLTTGAMMGPHWNPRATEIAVVTQGAGMVQIVCPSIPSGESKKHHHDEEGGRGDHGHGGGGVRCKNSLFRVKEGDVLVVPRFHPMAQISFNNDSFVFVGFSTDMGHNHPQFLAGRHSVLQVIGKEILARSLGQDNSTNVGRLLSSQRESTIMACTSCAEELERKAEEEEEGGGGKGEKEREEEERRRREKEEERRRQEEERKRREEEEKERREREEEERRQREKEEKKRREEEERRRREEEEEERRRREEEEEEQEGGREDEPKPRREEEGDWGERQIRLPRSLKKCFIGIKGRLSSG >OGLUM03G07170.1 pep chromosome:ALNU02000000:3:5309284:5314813:-1 gene:OGLUM03G07170 transcript:OGLUM03G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42970) TAIR;Acc:AT5G42970] MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQAGSWKGGGYDYTHQQLI >OGLUM03G07170.2 pep chromosome:ALNU02000000:3:5309873:5314813:-1 gene:OGLUM03G07170 transcript:OGLUM03G07170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G42970) TAIR;Acc:AT5G42970] MDNALASAAAIADQRQKIEQYRHILSSVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQELGRLEPEAQKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDSVNAEAFINKASFLVTNSHQELLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRQIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVFLERILRKPEIEAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGALLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIAGLCQALNDILDSMSSKGMAIPV >OGLUM03G07180.1 pep chromosome:ALNU02000000:3:5322939:5326872:1 gene:OGLUM03G07180 transcript:OGLUM03G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETSSGTAERGAGAGAQQQPPPQPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEVRKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHTKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAAPPPPADEDGTSASAGAPPPQAPLPPPAPVPAPAPPPPPAAAPAPAAQPEQRDRDAALDQFATPAPPPPPVTAPPPPPVAAPNDCVSSSSSGVAPTSQSLLSSMFAPPSVAQAPQYADPIGVGAGGHQERAVPAKPPALCLAPNASSSLFTAPVPADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDMSSSSSAPPSSSGQQQQHHHHHHQETMQVPLPASSLPEWPPRLQPEPSPMLSSGLGLGLPYDATGGPVSLPELMMGQSTLFSAKPATLDFLGLGVSPTGASTSRGFPTFIQPIGGAVSLAGSATVAAETFGAAHGGQANPWERNPSSSPIL >OGLUM03G07190.1 pep chromosome:ALNU02000000:3:5340466:5341284:-1 gene:OGLUM03G07190 transcript:OGLUM03G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLFHSCRSPSAADAVVTSTTTLLATDHPPFVPGSCPRRVPRRRPQQPPPGACAAGYAADDLTPARGTPAYRWLKSSQWHVIEAAGVTDDDHTPRLKIDARRRLRRSRRRLHRRADPVSGSSGDSGWFTSDEDSYANSCGVGVGGGEAETLVTSTTTESSSGASGNCGGSGEADGVVVAGSFAVVKRSDDPRADFRRSMAEMVVGRAIYDADGLERLLRCFLALNHQRHRHDIVAAFGDVWEAVFSNPTSSQRRIVTCDSKAAATVSNRR >OGLUM03G07200.1 pep chromosome:ALNU02000000:3:5344950:5345186:1 gene:OGLUM03G07200 transcript:OGLUM03G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCCSLIEFLHAFEHHSRAVDSAVACSSRSRRTRSSSCGSLTAFCDHSPMAVVDAVVLLSVFAALGFLVVPYVKLRI >OGLUM03G07210.1 pep chromosome:ALNU02000000:3:5350775:5360113:1 gene:OGLUM03G07210 transcript:OGLUM03G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLGVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKISESKIWEWSKGKEGNIRSLLSTLQYVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >OGLUM03G07210.2 pep chromosome:ALNU02000000:3:5350775:5360052:1 gene:OGLUM03G07210 transcript:OGLUM03G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERPSRSDVDFADVFGGPPRRSSGHDSLRRSSMDSSFGSATKGRSGAEERPVFGDRTSSDRRRQLGQEFYKDIFAGSESMSPRRGGAAGDLGVFGAQASPGSTSRLHSSFSMKFNGGLDSSVPTSPSRHTSNKNDDGISYAYSVPTSPNSSMNSFLAQGAPQQDSTKNPFSWHRYPFLSRFRSNSGDKKDTSHYVSSMDSEYEGTPVSLESSIANNKFHFSFYKWGGKGAVLVLPTTAQENAGDIVGVRSFPQVIVQGMDLIDEEDSTSTATGASKSQTDYEDYKSGKDVSLGALLKTKDGALPLAFDDYVLGDKSEESGTKHNTNNAKNNVLGASPSSKSSRSPSGEKSRGSRVKGKVKDFMKIFSPESSPKSKRDRTSSGKNGSKSGPEDKFSISNSEVDDNVRTANMNKQNVFPPVPSPISEAQDRTEIPVFTVDNEMDSKADFGRKEVTPPSFDESSDAQTKYKVDEITDLAEGPVEDLEECVVEDVSEDFILRNNEEKEQIKVLWPESGWKPVPLVDIIEGAAVKKAYQKALLCLHPDKLQQRGAAMHQKYIAEKVFDILQEAWKEFNTVTFG >OGLUM03G07220.1 pep chromosome:ALNU02000000:3:5363894:5368826:1 gene:OGLUM03G07220 transcript:OGLUM03G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRAGWKSLMPLQLSRKSALRFFLFPKVQAAGQSPDDTPVYLNVYDLTPMNGYVYWAGLGIFHSGIEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSRPEDCEKRRLRNPLSCFSSISSQRQLPPSSPFPTSPVKEPLAYSSSRKSSAPSLRNR >OGLUM03G07230.1 pep chromosome:ALNU02000000:3:5369936:5370919:-1 gene:OGLUM03G07230 transcript:OGLUM03G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREEDEKLLFPSFAFPAECFPEAATSGGEQKKARQRRRRKVKPEAAAAAAAAAAAALAGESGGDEQAKKRRLSDEQARFLEMSFKKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFAKLRSAHDAVVLQNCHLETELLKLKERLADVEEEKAKLAAVAAATTGGGGGGGGGSSSPSSSSFSTVTYHPALAGQFGVEAVAEEADLTYMSEYAYNSYMLELAAAGYCGGVYDQFS >OGLUM03G07240.1 pep chromosome:ALNU02000000:3:5387682:5393572:-1 gene:OGLUM03G07240 transcript:OGLUM03G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISESDELRAFEATGIYRLAESGAAFLDPVRILNASYRRFRLVPSAYYSRSFGTSRQGGEAETERTGEASPERKKRKRKRQRQPKPRELNEVERMAEARHQEARPLLSSAHKSLLKAKDLLEFLPRMIKEDVRVLDVESNLEKNLVELGSSWRAPLCEMTLCFQKSSGEDSEEGICHKTSTPLFNSTISIEENDDAEGEFQDRRYILPRRCCFLMFFHRSIIFVLDLELFLHDLDDTLKTDLKHVRGLIPDNYNQGYNLIVVDPPWENGCVRQKVATKRPYEVQNSSFWKKGGLCHSHWRSASAECACRAAEWRSSSISSMPLRVATLVRNGTRRWTQGTKSPYPSSCRGRCTRRRPRRPARRDRPCRRGDDAVNPNDASAPARAFGICRQGQFRPLTDVSKNPYYEPIIPAPAPNHCNCRAQRLASEMPGLRPESPAIPSPELRRVRTSFTGASSWVATTAMGKVVRSANAPVEPKAKVEDVEKQRRRGKGGCNGTVSSARCRSPPPSPVSPELGKTRCSWITVNSEPLYVAFHDEEWGVPVHDDQKLFELLTLSQALAEITWPIILNKRDEFREMFDGFNYASVSEFTDKKINLLSKSNGNMLLSEQKIRAVVTNAKQMHKVIQDFGSFSNYCWSFVKHKPVKSNFRYARQVPIKTPKSEAISKGLMRRGFQCVGPTTIYSFMQVSGIVNDHLSCCFRFQDCRDIKRNLRAEPGLIERRLNSPPSSEDSETSREA >OGLUM03G07250.1 pep chromosome:ALNU02000000:3:5393424:5398738:1 gene:OGLUM03G07250 transcript:OGLUM03G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMALLLSSPPPPRPSLRRVTRWSAASLSPRASLPSPRRVGLAVAAASWDGAGRWRVETTTTRARAAARAGASGEGGDGEVEGGGGTGIAAAAAATVVLAVMNRVLYKLALVPMRNYPFFLAQATTFGYHAGIVTKEMLALPKSRFMLIGLLEALAMLPGPSIPVLSQLILSVLILGRKYRANQIFGCLLVTAGVILAVASGANSGPFLSDVKLFWPAVLMASSACHAGASIIKEFVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLKGIPLAELPAYINRGAACFLNIGGNLKDCHGAPLLPLLFIAMNMAFNISVLNLVKMSTALVASLTATLAVPLSIYVLSLPLPYIPGGTNLSTSFLVGAAILVLGLLLYNLPKKLAGRMKTD >OGLUM03G07260.1 pep chromosome:ALNU02000000:3:5394225:5401547:-1 gene:OGLUM03G07260 transcript:OGLUM03G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGSNLMRLTPSIAGAGRVSIWWYLLSQRRGADVVVRRGAGESIDTYVVVGVRVSLVDTDGTRRGADRSIDLRDGGGEGLVSVVMAGREPKYDTLGQRKTRL >OGLUM03G07270.1 pep chromosome:ALNU02000000:3:5401348:5403251:1 gene:OGLUM03G07270 transcript:OGLUM03G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSSSSGSHRPPRPASSESALPPAAAAAEELSSYEAACRSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLESLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDNDAAAAAGQEDAAPSARYARTLHELRQFKAAGDPFTEEFFSAFQAVYRQQLTMLEKLQQRKHRLDKKVRAIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAASIPVGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVELEISSMIDCVEFAERDEEAVKFGVEEIKKKLEVFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRHPS >OGLUM03G07280.1 pep chromosome:ALNU02000000:3:5405868:5406583:-1 gene:OGLUM03G07280 transcript:OGLUM03G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQPRCRGGLAPGVADDQREAQSGDDDEKKNRVAAPRRNPPQPLAHVPGLADLNVLGPSAGARRSERPSLEGSVDLVYGGYPTKGGEEEADMWDSRGSHAESAATPDKIGVKNHRGI >OGLUM03G07290.1 pep chromosome:ALNU02000000:3:5406179:5407406:1 gene:OGLUM03G07290 transcript:OGLUM03G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRDRFPRVAMEIGGGPLPGATRVEVDAEVGAEGIDRRESAAVGAELSGRGGWRGGNSAGPASVVARLSPGTGRRSKDVEVSQPGDVRQRLRWISSGGGHPIFFLVVITRLRLPLVVGNTWGEATPTSRLESSHRRVPIDHRTTSTHRGVTLGSLRRAAALLVGVDPPPPVVSATSAGDRRGAMAVGSGARAVGDGGGGASRGTFDVLFPWVVQAEPSSRRLVGGAPLGSGRCRVASRWRTLHSAKPYLSVA >OGLUM03G07300.1 pep chromosome:ALNU02000000:3:5412869:5413786:-1 gene:OGLUM03G07300 transcript:OGLUM03G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDAMAAASSGCSSGCQSGWTTYLDDHSSYSCGTARFHGKAQQPYYHCDYSEDAEEDDLSMISDASSGPRLQCSTGNDDGAAAAAAHANAARRRGRRMEPTARRQSKTAAGASLLEDTASSPAFFKYTNASAEGNGYGYGGVASPVMEMGNAADFSCAFSATTGFESPLNGIPLSGYLQMQYSTTHVKAIPARQARRGGVEKKRR >OGLUM03G07310.1 pep chromosome:ALNU02000000:3:5439243:5440874:1 gene:OGLUM03G07310 transcript:OGLUM03G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVTLEKTTTIRSEAVVAADAAVDRAATLKSPLLEGKKCGDGGVAKRCCERKYELVSYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFAVHNETINVWTHLIGFFMFLALTVCAATMVPMESSATSMTMANNTGNPMVLMMMSYGSNGAAMAVQALRNVSVESELAAAALSAAGDQVVRWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPFFRTLYLGFITLFGAAAVAVSLMPVFEKPELRWARAGLFACMGMSGLVPIVHKMLVFEARPEAVLTTGYEMVMGAFYLAGVVVYATRVPERWMPGKFDLAGHSHQLFHVLVIAGAYAHYLAGVVYLSWRDGEAC >OGLUM03G07320.1 pep chromosome:ALNU02000000:3:5442940:5445275:1 gene:OGLUM03G07320 transcript:OGLUM03G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFARVYAVEWRLQKIVVALAFSEVANRFSRPAGEAGEEEVGWPATNDGRRGGGNDVRAGGTPPTPPPLLPPTARAPDRGLDDDGSFLALPIAFPVGAVRLRLILPRQPSHVAGLRQLSRPADITPELRRFLDSRFCSQADLAVAANVEAEIRGRYAELEVSVSDHSVRLAAAAAAYSSSCSAAGTALSNVRGCLAALNASTSEPRVTEEVEVGSEEMLFEQLTSLAKEVAIVELVRDYATEFSSDNGDGESKEAAVVIHGSWWRGDGGRRRGGQPSCSHVVAVDAAAAAAASPVAGRPTSSRLAQTEEKNERKEVREEGKEKE >OGLUM03G07330.1 pep chromosome:ALNU02000000:3:5447512:5449917:1 gene:OGLUM03G07330 transcript:OGLUM03G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIRHVSRVLSYEWYQPKRSIKRSPLPPAALTRQASRNLSYSPTETKGQRDQETGVARHRRGSAQMGNCQAAEVATVVIQHPGGGRTERAYWAMSAGAVMAANPGHYVTAVITSPPAAGASSATGAAAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIKAKDDVEEETKPAKPRRRRGSGGVAPEEEYSSRSLAKVMRQSDEPEPVARASPSAAPKPESDIDDHADGEAAEPDCDLEALLPPHGVVFGRRVARQWRPALQSIAEG >OGLUM03G07340.1 pep chromosome:ALNU02000000:3:5458215:5459325:1 gene:OGLUM03G07340 transcript:OGLUM03G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKDTRRRRWMPTGRPAASTITTSTTNIISTRRSRRRRWMPTGRPLASTTTTSTTNTISTRRSRRRRWGTRGRTRTLLRHSRTDTRRRRCTRRPAAASAAPARAPLVLPGMPRGPLLLLPPGRMLLTTRRDDRITECKALLKWRARRESTRRRTWMVLSTSSQARLWLGSTLE >OGLUM03G07340.2 pep chromosome:ALNU02000000:3:5458374:5459325:1 gene:OGLUM03G07340 transcript:OGLUM03G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPRHKVKTLRRYPPPPMDAYGKAGGVDDHHLHHQHHQYPPQPPPPMDAYGKAVGVDDHHLHHQHHQYPPQPPPPMGYPGAHPYPPPPQPYGYPPPQMYPPPRRRLRRTGTSAPRSARDASRPFVAAASWTYASDDEERRSNYRMQSPAKMACSEGIYTTTYVDGPFYVIAG >OGLUM03G07350.1 pep chromosome:ALNU02000000:3:5461886:5464553:1 gene:OGLUM03G07350 transcript:OGLUM03G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3H2] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDGYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYAKEDIGTKLDRPAEDEAVAGQEVAAAE >OGLUM03G07360.1 pep chromosome:ALNU02000000:3:5464706:5468270:-1 gene:OGLUM03G07360 transcript:OGLUM03G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 1 [Source:Projected from Arabidopsis thaliana (AT1G27460) TAIR;Acc:AT1G27460] MSQVGSAPANWPLELGIAVSAAACSVLATSDSPLQATIAQSNYGDQSKFEEMPRSPESLATRDYSATGSSSRIGNRESTADDNQVSEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >OGLUM03G07360.2 pep chromosome:ALNU02000000:3:5464706:5467765:-1 gene:OGLUM03G07360 transcript:OGLUM03G07360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:no pollen germination related 1 [Source:Projected from Arabidopsis thaliana (AT1G27460) TAIR;Acc:AT1G27460] MPRSPESLATRDYSATGSSSRIGNRESTADDNQVSEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLMPRMTTAIADSVKPRGPPRSRKKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTDAAEECRTIIDIVESAWPYGVPEGTSEECKLIDIFHSALEYLPKLWMRSGCCEEAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGAQVKFTQEFDQHKPATPRNNMEEAILLLLILTKKLALQEIKWDPDLVNHLMFALSLSGHYEILASHLEMLLPGTYNRSERWYILALCYSAAGMDDSALNIIRNGFNVLERKGKPHIPSLLLGAKLCCKNPKRASEGIKFADKAMKSFRKHDFHFVSVVNHLLGVCYGPFARSSTSHAEKLRLQDEALRLLQDAAAMAKYSPEIMYSLAWENAMQRKLNAAVESATECVEMVMGSLVSAWKLLILVLSAQQNLKEAEAVANIAIDEAEKEDQMGILRLKAHIQASRGQFKSAVESFRSLLAIIQAKKEIWKQTPYDKVKSLQNLEMEAWLDLASIYTKLESWHDSNVCLDKAKSISSFSPKCCHVRGLILQAQSLHQEALTAFSLSLSIDPDYVPSMVCMAGILTILGGKSLSIARTFLRNALRLEPTSHQAWLRLGLVLKSEGSLLEAADCFQAAYELQELSPIQDFSEHLPIMLQ >OGLUM03G07370.1 pep chromosome:ALNU02000000:3:5470743:5473810:-1 gene:OGLUM03G07370 transcript:OGLUM03G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus protein 1 [Source:Projected from Arabidopsis thaliana (AT3G17910) UniProtKB/Swiss-Prot;Acc:Q9SE51] MAAALSKLLRRRLRGGGHRLLPSRPSTSAASQPPLPPPSAATPPPPGAGKEAGAWSKLFLFAPGAITFGLGSWQLFRRQEKIEMLDYRTRRLEMEPIAWNQMAPSDLSAGVDPATLEFRRIVCEGDFDEERSVFVGPRSRSISGVTENGYYVVTPLIPRPSEHGSSWPPILVNRGWVPRDWRDKNVQDHQGVREVPEYKEADKKTDGKGSWWKFWSNSKEPEQSCEIEKPVKPPVRVLGVIRGSEKPSIFVPANEPSVGQWFYVDVPMIARACGLPENTIYIEDINEDVSPTNPYPVPKDVSTLIHHSVMPHDHLKYTVTWYTLSAAVTFMAAKRIKAKKKEMFDNLSTASSSLRQ >OGLUM03G07380.1 pep chromosome:ALNU02000000:3:5475042:5477356:-1 gene:OGLUM03G07380 transcript:OGLUM03G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKSELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTDVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >OGLUM03G07390.1 pep chromosome:ALNU02000000:3:5481204:5484035:1 gene:OGLUM03G07390 transcript:OGLUM03G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFLPYNKRKKKIPRVFIRCDYFLFFFRFIFTAIIIVLVCSNVLPLPSNRFSTGAALGRRRDRFDGKPAMGGGSIRAAAKAAMIGGYRSASAVRRAVLPASPAPQTAPSAAGEGRKAASTYAAIDDWVIPDREVFGPVPTHEEAMAATLDLKESFQFAKSAQLEPLPSGDLDVPTKVGQEGLVHSETPQDLVHSETQGLVDLGASQDLVHSETSQGLVHSESSQGLIHSKTSEHEDNHEISLVSSGAPGRVVQAFTMLQDSPEAQEVVASLASDQNVWNAVMRNEKVMKFYKTYATKLNEDEVEGSESDSVQNSSELGSAGEAFMCYVEKMKALVSEMMTNLSSIMQDLVATSDEGQSKGKLKTMILDSKKDFANAPSAFVLLAIASIMVVLLKRA >OGLUM03G07400.1 pep chromosome:ALNU02000000:3:5484632:5488252:-1 gene:OGLUM03G07400 transcript:OGLUM03G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 49 [Source:Projected from Arabidopsis thaliana (AT5G67530) TAIR;Acc:AT5G67530] MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGFADVVADDSNKKRKAKLHTEL >OGLUM03G07400.2 pep chromosome:ALNU02000000:3:5485111:5488252:-1 gene:OGLUM03G07400 transcript:OGLUM03G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 49 [Source:Projected from Arabidopsis thaliana (AT5G67530) TAIR;Acc:AT5G67530] MGKKQHSKDRMFITRTEWATEWGGAKQKEAGTPFKRLPFYCCALTFLPFEDPVCTADGSVFDLMSIIPYIKKFGKHPVTGTPLKQEDLMPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWRELLTDEPFTRNDLITIQNPNAVDSKILGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKLAFLHGGGGQKAQKERAAALAAILAKKEKDDSKSGKEPKPHQPFSIVDAASASVHGRSAAAAKAATAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNELEYVKVEKNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDELNSKLIHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILKVSVFVNPYTEPDEEEEEKAKEEEKKKDEDYDKVGSWYSNPGTGVAGSTSSGGGVGKYLKARTAGFADVVADDSNKKRKASVSNVEFKDFSGW >OGLUM03G07410.1 pep chromosome:ALNU02000000:3:5488258:5490951:1 gene:OGLUM03G07410 transcript:OGLUM03G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDRAYSRWCWCRCFCCSPAARKRGDLTARSVWVGLVGEREGRRSRFARGSARLRLEYGSSRRLTRSVQTPEIATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >OGLUM03G07410.2 pep chromosome:ALNU02000000:3:5487953:5490951:1 gene:OGLUM03G07410 transcript:OGLUM03G07410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGASSSSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKEEKAWEQRK >OGLUM03G07410.3 pep chromosome:ALNU02000000:3:5487953:5490980:1 gene:OGLUM03G07410 transcript:OGLUM03G07410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGRPTASGASSSSSSSSDDDGDAAWKAAIESIAAVGFGLPLSNGAAKATSGGGGEASHGVEQQPPQEGKAQAPGLKLYQIKVRNMLDNMLEKNLEIVKTTCSNLADPMETDGGIKLFKKAPPGIRMDAMDKYHVQLKRPRILPGTDIDEKSKKFRHMLKSVAVDGNDILVSAKKSSERSLARLEAREAAAKAAAKREEERVRELKKTRGEKWLPSIARQMKNRKKVASCHQQ >OGLUM03G07420.1 pep chromosome:ALNU02000000:3:5495854:5500717:1 gene:OGLUM03G07420 transcript:OGLUM03G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MPDRRESCTHGLGEVLVCARAVSIDRSINLPACSTNLINTDSESLIMQAQAGMVEQPPQIHSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRVKSLKILRCDDVTDMDWLFTIGQEVFGALHPTAEASIIQSAALTAWRALYDNCRVMEITAEALTREEVCWLY >OGLUM03G07420.2 pep chromosome:ALNU02000000:3:5493547:5497909:1 gene:OGLUM03G07420 transcript:OGLUM03G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MRASQAGMVEQPPQIHSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRVKSLKILRCDDVTDMDWLFTIGQEVFGALHPTAEASIIQSAALTAWRALYDNCRSAAMPPVKELRKSHSNTS >OGLUM03G07420.3 pep chromosome:ALNU02000000:3:5491127:5497909:1 gene:OGLUM03G07420 transcript:OGLUM03G07420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MDTPPSAAAATATATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTSPLADAALSAYARLRLPHLAAQLLHSLRRRRGVRPSLQAANAVLSALSRSPSTLPQASLDVFRSLIELRLHPNHYTFNLLVHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDPRTYNVLAVGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNELVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDSSTYITLMEGLIKRQKRQTHAAGQSTL >OGLUM03G07420.4 pep chromosome:ALNU02000000:3:5495854:5497909:1 gene:OGLUM03G07420 transcript:OGLUM03G07420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MPDRRESCTHGLGEVLVCARAVSIDRSINLPACSTNLINTDSESLIMQAQAGMVEQPPQIHSVRHLGLRVNYTAMVHMLPRQIEQILKSFPRVKSLKILRCDDVTDMDWLFTIGQEVFGALHPTAEASIIQSAALTAWRALYDNCRSAAMPPVKELRKSHSNTS >OGLUM03G07420.5 pep chromosome:ALNU02000000:3:5491127:5497909:1 gene:OGLUM03G07420 transcript:OGLUM03G07420.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G16880) TAIR;Acc:AT2G16880] MDTPPSAAAATATATATAVASDSDCDSALVADVAEALVSASRLPEPPPIPALLALYLPRLAASHHPRVLSLAASHPGLASPDLLLAYRRHLSPPSCLPSLVPLLPVLPYRHLLPLLLSFVPLDPLRHLHRHLLAHLPTSPLADAALSAYARLRLPHLAAQLLHSLRRRRGVRPSLQAANAVLSALSRSPSTLPQASLDVFRSLIELRLHPNHYTFNLLVHTHCSKGTLADALATLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKRDGIAPTQPTYNTLVSAFARLGWIKQATKVVESMTAYGFEPDPRTYNVLAVGLCQAGKVDEAFRLKDEMERLGTALPDVVTYNTLVDACFKWRCSSDALRLLEEMRDKGVKPTLVTHNIVVKSLCKEGKLEEALGKLEKIAEEGLAPDVITYNTLIDAYCKAGNVAKAFTLMDEMVGKGLKMDTFTLNTVLYNLCKMKRYEDAEELLHSPPQRGFVPDEVSYGTVMAAYFKEYNPEPALRLWDQMIERKLIPSISTYNTLIKGLCRMERLKEAIDKLNELVEKGLVPDETTYNIIIHAYCKEGDLENAFRFHNKMVENSFKPDVVTCNTLMNGLCLHGKLDKALKLFESWVEKGKKVDVITYNTLIQSMCKVGDVDTALHFFDDMEVKGLQPDAFTYNVVLSALSEAGRSEEAHNMLHKLADSGKLSQSFACPLLKPSSADEADVKEHEGKPEAESSEKAQDNALETYMERLNGLCTGGQLKEAKAVLDEMMQKGMPVDSSTYITLMEGLIKRQKRQTHAAGQSTL >OGLUM03G07430.1 pep chromosome:ALNU02000000:3:5493595:5504137:-1 gene:OGLUM03G07430 transcript:OGLUM03G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKMAGIRSGDGVGGRGSDGGSAMRGDGGDVWCAAMRCGDGGVWCAREIRGGGACGSKPST >OGLUM03G07440.1 pep chromosome:ALNU02000000:3:5503409:5507270:1 gene:OGLUM03G07440 transcript:OGLUM03G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDPMGKLLFLLAWITLLQGCCMCLPEPPEPQYGGGVVRNADFSAGLHGWSAFGYGSLAEGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGVADVRVVVKAAGDFIHAGGVAAKSGCWSMLKGGLTTVSGGRAEIYFESNATADIWVDSVSLKPFTKEEWSNHRDASASTARRKTVRLQATDSAGNPLPGAAVSLENVRNGFPLGAAMSGEILRNPSYQRWFASRFTVTTFENEMKWYSTEPAPGREDYSVPDAMLEFARSHGIAVRGHNVFWDDPNQQPRWVQGLPYPQLLAAASRRIRSVVARYAGKLIAWDVVNENLHFSFFERRFGWDASTAFYAAARMLDTGSTLMFMNEYNTLEQPGDMAALPARYVQRLKQIIGGYPQNGAGMAIGLEGHFTAPVNIPYMRAALDTLAQAGVPVWLTEVDVGGGASQAYYLEEILREAYAHPAVQGVILWAAWRPQGCYVMCLTDNDFNNLPQGDVVDRLITEWSTAPRAGTTDAEGFFQAELAHGEYKVTVTHPSLNTSVSQSVKVEMGSGSHYFIQV >OGLUM03G07450.1 pep chromosome:ALNU02000000:3:5507287:5510028:-1 gene:OGLUM03G07450 transcript:OGLUM03G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT5G60590) TAIR;Acc:AT5G60590] MKACARAAGERLPLVGAPARQPLARRLSSQHETKSVVSCSVRVSDDKTRRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISCGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEACILFVGDQM >OGLUM03G07450.2 pep chromosome:ALNU02000000:3:5507811:5510028:-1 gene:OGLUM03G07450 transcript:OGLUM03G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT5G60590) TAIR;Acc:AT5G60590] MKACARAAGERLPLVGAPARQPLARRLSSQHETKSVVSCSVRVSDDKTRRIEATAEHILPATNDHVMKAIDSINRGQVIAVPTDTIYGFACDACSAEAVNRIYEIKGRVQTRPLAICVADVPDISRFAVVDHLPHGLLDSLLPGPVTVVLKRGNNSILERSLNPGLESIGVRVPDFDFIRAISCGAGSALALTSANLSGRPSSVNVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSRQETTAVLGKFGFVEAW >OGLUM03G07460.1 pep chromosome:ALNU02000000:3:5509754:5513831:1 gene:OGLUM03G07460 transcript:OGLUM03G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAEAAVRCLRDGRLDGEHAPALAVASNLQCGPLAAGAMLHLAAAVASNAAAGKAQARGLVIVAFNRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVSTEVSQSLLPKVQFNLELSEKERSDKANVLLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDELQWVKFITFVILMMSNLTPMKTQMTIWIFEFHPCYPQNV >OGLUM03G07460.2 pep chromosome:ALNU02000000:3:5509754:5514223:1 gene:OGLUM03G07460 transcript:OGLUM03G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAEAAVRCLRDGRLDGEHAPALAVASNLQCGPLAAGAMLHLAAAVASNAAAGKAQARGLVIVAFNRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVSTEVSQSLLPKVQFNLELSEKERSDKANVLLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDEVKFPKSAAPMGEIHYFRDSDDEQPDSDEDPDDDLDI >OGLUM03G07460.3 pep chromosome:ALNU02000000:3:5509754:5514223:1 gene:OGLUM03G07460 transcript:OGLUM03G07460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Histone acetylation protein /.../terPro:IPR019519); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G18410) TAIR;Acc:AT2G18410] MAEAAVRCLRDGRLDGEHAPALAVASNLQCGPLAAGAMLHLAAAVASNAAAGKAQARGLVIVAFNRSPEVYLDFMRRRGLDPNALNRCVRILDCYSDPIGWNQKIRSQQQQESGADLCSANKENVTIFRNVKDLDKLMCSTIDLGRGFAGEGKIYFSIAVDSISSMLRRASVSSISSFLSNLRSHDQISSIFWLIHSDLHEPKFSRAFECLSTMVASLEPAVVDSVYEEEIPGNISFLEENYSKAKFYLRLKRRNGRVKHLYEELHVEGNDVRFVSAPSVSTEVSQSLLPKVQFNLELSEKERSDKANVLLPFEHQGKGEPIHIYDGRRSLPEAQQDSNLTASALLDEVKFPKSAAPMGEIHYFRDSDDEQPDSDEDPDDDLDI >OGLUM03G07470.1 pep chromosome:ALNU02000000:3:5513293:5521930:-1 gene:OGLUM03G07470 transcript:OGLUM03G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSENRYEHQEQLDHGAGQSVPELAGCLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFESNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEFLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENRMTLDLDSKAEAKIELRSSTSALDFAAHWISSRFLGHNIRCSHHGWNSNIQIVIWVFIGVSQNAADKET >OGLUM03G07470.2 pep chromosome:ALNU02000000:3:5513293:5521930:-1 gene:OGLUM03G07470 transcript:OGLUM03G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSENRYEHQEQLDHGAGQSVPELAGCLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFEVNESIDLIAIAIKNSRLLFLTRRGCNGVMPWQSNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEFLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENRMTLDLDSKAEAKIELRSSTSALDFAAHWISSRFLGHNIRCSHHGWNSNIQIVIWVFIGVSQNAADKET >OGLUM03G07470.3 pep chromosome:ALNU02000000:3:5513293:5521930:-1 gene:OGLUM03G07470 transcript:OGLUM03G07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSECLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFEVNESIDLIAIAIKNSRLLFLTRRGCNGVMPWQSNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEFLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENRMTLDLDSKAEAKIELRSSTSALDFAAHWISSRFLGHNIRCSHHGWNSNIQIVIWVFIGVSQNAADKET >OGLUM03G07470.4 pep chromosome:ALNU02000000:3:5513484:5521930:-1 gene:OGLUM03G07470 transcript:OGLUM03G07470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRASAVFLLAWLVAGVVKAAEAASGMAQPLAYDYSSSSENRYEHQEQLDHGAGQSVPELAGCLPEPMDAHYGGGIIRNGDFSAGLQGWSAFGYGSLAVGSSPAGNRYAVATNRTRPYQSVSQKVLLQNDTHYTLSAWLQVSDGIADVRAVVKTAGGDFIHSGGVEARSGCWSILKGGLTAAAAGQAELYFEVNESIDLIAIAIKNSRLLFLTRRGCNGVMPWQSNATVDIWVDNVSLQPFSREEWSAHHEAAIKKARKKTVRLQARDAAGNPVAGARMHIEHVRNGFPLGSAMSKEILTNPGYQRWFTSRFTVTTFENEMKWYSTEAIPGREDYSVPDAMLRFAKSHGIAVRGHNIFWDDPSTQMGWVKALSGEQLRRATEKRIKSVMSRYSGQVIAWDVVNENLHFDFFEGRFGWEASAAFYRKAHQMDGGALMSMNEFNTLEQPGDLTVLPGKYLRKLWQIKAFPGNGNAARMGIGLEGHFSAQPNIPYIRAALDTMAQANAPIWLTEIDVAPGPDQARHLEQILREVYAHPAVHGIILWTAWHPQGCYVMCLTDNNFKNLPAGDVVDKLIWEWKTRSHVGVADADGYYETELFHGDYKVTVTHPAANSTVAQSLSVDRESDNEFTIHCVKEPEKPLYGGGILKETEAKGYASGKKLLSENSKSAAPVKGSALKVDLKKDHHYALSVWLQLSKGEGDIRAVLVTPDGKFNTAGMIAAKCGCWTMLKGGATSYDDGKGDIFFETNVTAEVMAEGMALQPFSFDEWKGHRAESVKKERMKKVKITVVGPDGKPVPEADVSLERVGKGFPLGNAMTKEILDMPEYEKWFAARFRYATLENEMKWYSTEFHQNEEDYKVSDKMVELAEKHNITLRGHNVFWDDQDKQMDWVEKLGVPELKEAMAKRLKDIVTRYAGKVIHWDVVNENLHFNFFEGKLGKDASAEIFRDVAKLDSKPILFMNEFNTIEEPNDAAPLPTKYVAKLKQIREFPGNADLKYGIGLESHFAAPNIPYMRGSIDTLAQAKVPIWLTEVDVKPCKNQVEFLDEVMREGFAHPAVKGIVLWGAWHAKGCYVMCFTDNSFKNLPVGDAIDKLLKEWTAGHTGKTDSKGVLEVEIFHGEYNATVKHKEFKENRMTLDLDSKAEAKIELRSNKVLTPWVELKYPNRHLGLHRSQVAHHQNHESNEFHPLELQI >OGLUM03G07480.1 pep chromosome:ALNU02000000:3:5527562:5533020:-1 gene:OGLUM03G07480 transcript:OGLUM03G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3J9] MPSHAIPSPLLRARPPAPSAAAAAATGRRAPSRVVVALGGGGPAGRGMEQQQQLAGDGSRSPVKEKPLVSTIGKSTNILWHNCPIGQSERQNLLGQKGCVIWITGLSGSGKSTLACALSRELHCSGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRVLLPESRFIEVFMDLPLEICEARDPKGLYKLARSGKIKGFTGIDDPYESPVNSEIVIKMVDGECPSPKAMAQHVLCYLEENGYLQA >OGLUM03G07490.1 pep chromosome:ALNU02000000:3:5534809:5538004:-1 gene:OGLUM03G07490 transcript:OGLUM03G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKVEMQYFHENASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNTGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >OGLUM03G07490.2 pep chromosome:ALNU02000000:3:5534809:5538004:-1 gene:OGLUM03G07490 transcript:OGLUM03G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKGPGLFSDIGKRAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKSTLVDVKVDTESNISTTLTVFDVLPSTKLVTSVKLPDYNSGKNASFATAVGMKPSPVVEFSGTAGAQGLAFGAEAGFDTATGKFTKYSAAIGVTKPDYHAAIVLADKGDTVKVSGVYHLDDKQKSSVVAELTRRLSTNENTLTVGGLYKVDPETAVKARLNNTGKLAALLQHEVKPKSVLTISGEFDTKALDRPPKFGLALALRP >OGLUM03G07500.1 pep chromosome:ALNU02000000:3:5539099:5543081:-1 gene:OGLUM03G07500 transcript:OGLUM03G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19630) TAIR;Acc:AT3G19630] MASSSSSSSSSSPPPPPRRSVFDAAYIRAEFAAAGISPHFIPLIWKYVLQNPRCGDLDAVPSLPAAAYALLRQKFQPTTSTLTTAAESKDRTTTKLLIRLKLQFDLNLVTLLSDNKHNGESVEAVIMRYDTRLGKYDGKPRPGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPLNNYTALVEAIQVLIGSPFQLSPKRITVSTVGIIHSINKFNNDLPNINLAVSLHAPDQDIRCHIMPAARAFPLVKLMNALQSYQNESKQTIFIEYIMLDGVNDQEQHAHQLGKLLEMFKAVVNLIPFNPIGSSNNFKTSSEHNVKKFQKILRGIYNIRTTIRQQMGQDIAGACGQLVVSLPDERSAGGATLLSDIEDIRI >OGLUM03G07510.1 pep chromosome:ALNU02000000:3:5543973:5558871:1 gene:OGLUM03G07510 transcript:OGLUM03G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGTRSGWENLDLVLSLQGKELSLERKIELAFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKMSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRAFLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWRLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKYSSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >OGLUM03G07510.2 pep chromosome:ALNU02000000:3:5543973:5558871:1 gene:OGLUM03G07510 transcript:OGLUM03G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGTRSGWENLDLVLSLQGKELSLERKIELAFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKMSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRAFLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERLVDAIRPNLCHLVRNESNSSSEFVYSVIGKHISNKQGANWQKIPSLSWLYVFFFRIYMSCRSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWRLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKVLLKNLVSGFCHALKKSVLSFVTDANEDNDLLDSPPDLVDILTKLENEKFFSTNSDVTHTNGIDKLWICENLLNFFSTVPGFHANSKSLLQLIAYILHLERLLLLAMVCHRYESCNSMGLLRLFVCCRRAMKNLIFNFGKEFPELKQYSAFSKIFGGSCLIWLLRSVQELVSLSHKIFEEHTDEWKNTIFSLVDKTSEIFSTLTNMNSVFYLLGAKKQIISSSRESSTPKHDDQAFNILENSALEHVKIMAELLEKSTTGIPVTVKGSQCVIKLENCYDTVCWDRLLCTMSCIRGFLWGLISALEGTCKDYLSSPEERNVMFQYASRFSGCVAKFEAFVDICMHVLFMETKDCELADLISVHLPQELDCENNSLNITAIMDEWTRHQPEENGFHSDGVLNISTETRGFDLPKVQFVKGFLLENLLSGEGPSVAFTLRELYNASAAIVKLKGILSFPSEVCRQICSPFQKLPLGPMVATAYIALHKLADMSNWPDMFSLLWIDGILSYLEAVGNILALPEINMSKELYTQVVNAHLRAIGKCILLQGKNATLPTHEIGSSTKTLYLQNRSGHVVAKGIINRQNRLNSLKSRLRLSLGKYVNVSSNMHLNTAVQVIERALVGVNRFSHSIYEINTGNCDGGTVSSDVAAGIYCLYLVLETVPGNKRVFKRTIPGLIGALFNIVLHLESPFIFYTERMPVHYPYLHPDAGAIVLMCIEVITAFVGRHSFQIDSCHVSQCLHVPMTLFKGFKHLLSCRNMPHSCNQSEEQLAASNEYILDRQFSVDIEVARCVAVLEDSVNILLSCLESPNPKMMRQQRELLGKHSMYFLAGYISMYSGQGPFQTGITREIDEALRPGVYSLIDICEESDLQLLHTYLGEGPCRTTFANLVQDYKLHFQYQGKI >OGLUM03G07510.3 pep chromosome:ALNU02000000:3:5543973:5558871:1 gene:OGLUM03G07510 transcript:OGLUM03G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding METATATPGAARKRRRRRRRSQSPPPAGEGPSEPKLSKLRFGCGGGTRSGWENLDLVLSLQGKELSLERKIELAFNFTSTESNWSNHGRRLDIVQLLRAVSFIGNWVQSILILPENSKKMSEPFDPVLDYRCWAILRVCIEKKPSISISPNVLKSLGRVARNGLSRVDTGALYDDKESFDLFGHVLGCMSSVFSINTRTFFNAGVDLWASCAIEVISLAQKVSHNERNGCTVLWNLGNCLFEQFSSFLRFYANPKNIFRTFVDRILDPLLELLVLLNSQVNSLTHKQDRTMLKVVEEILSNGLFHPQHLSGYFGLKNLNKSSTSRDVIGSYHRHLFERFKAIKAENKSVMLAGFGYLLQLFVRRSGNQRAFLGPRETSLQKSSEGSEEPHHHRESLFEVFMQFMEPLILECKSYSEKNFSNLGVTKLVEVHCMLKSINKVLTTVIEEKIYVPTEDTSEGSYFEFLQDIYRVLVSMAEKMYEFWVSAVHLEDANVKKMLPLMFAEIVDAVGHLLDIEYKVMGRDLVKLWLMIFALSATNASSKDIKPCFLLASKISGLSSQVICTFSELRQVSFSIFTLCGAVRTFRAAVGTGVAASSFSVSSLSSDKCLESLAALLSSQTLRDAIRTSINSMPEGQSSRCIEELTLDLTGTLKWMRTCGLLDVKLEVQGESSLVTRDSVFGQRAELLGRHLSEIYTNVLESITVTTSNSTLVAKSVERSLYLQSIGLMPPDSAIEATELVGNSFVVCCGKEWTNSANILAEGYFAWIVQNSFPLFDAIEILTQSLSRNCSGFTLLVFILHVMALQRLNDLNRQINAFDFLLEDDTDQFDKENSEGTELLKKSSCLEATQLTSFMMSYVRLLSSGETGSFWCYEISSSWDSSLCSLDEFSFPIATWRLLCENIDIWSPHASKKDLKNFFSNLIKFAFVEKRSCKDVENSGSQSSHREITLCNVSVQLLCDTIIYDRKYSSWISCKLQVIVATYSLHSPSGKTAAIGNGMPSL >OGLUM03G07520.1 pep chromosome:ALNU02000000:3:5544775:5547463:-1 gene:OGLUM03G07520 transcript:OGLUM03G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAQEASRREGRERDEHDASRRERERERDHTHGRRPHSSSRSRRDDPSPRRRREDRRHHESDRSHRHRSRAEEGAKAVDRDQKRDRPLQDAAQPDDPLRAETKPLDDARNGSPTRHERSPRGTKRFPESRDARRPRSFFQHNERGSAGQGGRRYYRRASDRGRQRDEKEHVGDREKNKDEGKAVQDEQQNDGESTWKHDGFFQLEEEAHPSKRRPPFNEMGIPLEGKESVTAVTEPDSRSQKHDQAGPTSAIGEERRNYHPRGFDRHGGPFGRPDGRGMRRGFSDHRNAGQRNGYDSWGRFAGRGRGRDRFNNPYDGRNSMHQAAGDQAEKWKHDLYDQTNRSPTPKTEEEQIAKIEALLAL >OGLUM03G07530.1 pep chromosome:ALNU02000000:3:5548125:5564862:-1 gene:OGLUM03G07530 transcript:OGLUM03G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKKKEKVAKSTLEDSKPNSILGGISTVGTFEPGVRYWPTKKKCPRKACDTGLEPRVVPLFHWLCDASPCRRAGGDFGFSLAWRAGFLTGHGPNNWTTARVTGVLAGESIHLQQ >OGLUM03G07540.1 pep chromosome:ALNU02000000:3:5572831:5576129:1 gene:OGLUM03G07540 transcript:OGLUM03G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03990) TAIR;Acc:AT3G03990] MVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARPRKYFGATCQD >OGLUM03G07540.2 pep chromosome:ALNU02000000:3:5572831:5576180:1 gene:OGLUM03G07540 transcript:OGLUM03G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03990) TAIR;Acc:AT3G03990] MVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARRCVQS >OGLUM03G07540.3 pep chromosome:ALNU02000000:3:5572831:5574389:1 gene:OGLUM03G07540 transcript:OGLUM03G07540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03990) TAIR;Acc:AT3G03990] MVGGGGGGGGGSGSAAPSGAKLLQILNVRVVGSGERVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPDHFDFRRYDNLDAYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPDLFAKLVLIGASPRFLNDSDYHGGFELEEIQQVFDAMGANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCQTVFKTDLRGVLGMVRAPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPSLLAQVLRRALARY >OGLUM03G07550.1 pep chromosome:ALNU02000000:3:5577879:5578131:-1 gene:OGLUM03G07550 transcript:OGLUM03G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRIGAMRRVRWWRGSARRDKAYSRRSWRGATVKWSDMSAELGDGGASVSGGAGGERRLKT >OGLUM03G07560.1 pep chromosome:ALNU02000000:3:5607324:5614812:-1 gene:OGLUM03G07560 transcript:OGLUM03G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTKCEQEASRPPGLPLPLLILGRPIFETPRRANHTATGIYTLYGKSERQSGNNYKVSMTTYDKVTVHSNAEDLNPVLAGLSRSRVNVMATRCEGVLKDRAFDRNVHRVFSAGKVVETTRPPTTALHGLHPHAHTLRVLDFLSFSAMGKARKCTAHHSDGPPLRTSTLSCVSFATMQFALGPLGHWLAARRRPKPQHGVEIVSINRKTKTRTVAWTASKMAMGLPLSLVKGLMDYGGRVSHPTDLDQSTVKLRTRARTPYQPGSAACRHARICTPVWYFASMPSQSQPRQRNAGATTGDGEHAGDPTADRMGVRRGRRIPSIRRRRRWARRCAARSAAPVDLAAAQVEVLPSAGEQGRGKPEERMRDGRAAMGGDVGGGSGGR >OGLUM03G07570.1 pep chromosome:ALNU02000000:3:5616745:5623192:1 gene:OGLUM03G07570 transcript:OGLUM03G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3L3] MESYLKENFGGVKAKHSSDEALGRWRRLVGVVKNPKRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIQGLAPASEYTVPDDVKAAGYGICAEELSSIVESHDIKKLKSHGGVEAIAAKLCTSPEDGLPKSRRRQAVREELFGINRFAETESRSFWVFVWEALQDMTLMILAACAFFSLVVGIATEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVSRNGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTEGLFRRKIMDASYLSWTGDDAMELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIKDVESASDTKSLFSELPESAMTLLSQSIFNNTGGDVVFNKSGSREILGTPTETAILEFGLSLGGDFLAVRKASTLVKVEPFNSAKKRMGVVIQLPGGAMRAHSKGASEIILASCSKYLNDQGNVVPLDDATVAHLNATINSFANEALRTLCLAYVDVGDGFSANDQIPEDGYTCIGIVGIKDPVRPGVKESVAICRSAGIMVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSAEELNELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRTPVGRKGNFISNIMWRNILGQAFYQFIVIWYLQTEGKWLFGLKGENSDLVLNTLIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFVAVLGSTVIFQFIIVQFLGDFANTTPLTLKQWFNCIFIGFIGMPIAAAVKLIPVDF >OGLUM03G07580.1 pep chromosome:ALNU02000000:3:5625493:5626984:-1 gene:OGLUM03G07580 transcript:OGLUM03G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASASTSAPATPTSTLICREDGNDLFSADPADDDGGGGSGGDWELSIADDDHVLLMDRDDEYLALMLSKERCAGGGGGERGDEEEEEMVEEWMKNARAWCVGWIVKTNAGFRFSLKTAYVAVTYLDRFLARRCVDRDKEWALQLLSVACLSLAAKVEERRPPRLPEFQLDMYDCASLMRMELLVLTTLKWQMITETPFSYLSCFTAKFRHDERKAIVLRAIECIFASIKVISSVGYQPSTIALAAILIARNKETAPNLDELKSVVGSLWQQLDTGHVYSCYNKMMIQEDRSMQSTTEVASSGVSVAHIGGSEDSAMGGANNATTLEATPDKKRKRLHSPQRQ >OGLUM03G07590.1 pep chromosome:ALNU02000000:3:5630373:5630615:1 gene:OGLUM03G07590 transcript:OGLUM03G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWRLGARMARWRLGARATRRRLGARAGPHGEVEVGDGDDALEIGGGDGAMEIGGGDGAVEIGGRDGTVDVGGRGRAAR >OGLUM03G07600.1 pep chromosome:ALNU02000000:3:5635936:5636834:-1 gene:OGLUM03G07600 transcript:OGLUM03G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRRGSGARRRRRRERREQHTLSAATRLHHRRRVRSWRRTARAVAVALTAEAGKDVEAGALVRDGGGEVGPLLEGDTTKTTGSTAGARSSSPWLPYGGCLLTVTLAGPGSGRVLNA >OGLUM03G07610.1 pep chromosome:ALNU02000000:3:5641242:5645682:1 gene:OGLUM03G07610 transcript:OGLUM03G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3L8] MPPAIARFVAIAAVLLCGHVAVAAESGGVGGGSARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSTPPAPDAPSGDFFPPAPPTTTTPTSPGTTPSPTTVAADVSKTPSGSGSGHHGGGPTKATIVAAGAGAAAAVALLGFACAFLITGRARRRGDSQKLLGPDRAGAHRSAATSAADFLYVGTVEPTTPARHHGPTTADLVGSPYRKLRSERARRGVSRDEDADHPSPELRPLPPLRRAATLGSSDEDGYYTPRQLSGGSGGGGAAEAWSSASASSPPTTTTASRRSLPSMTSDFFPPVAAIAAPPAPPPARSRRTPPRTRFSTGSTPDTKQVTSPSPRPVQPSNAPPPPPPPPPPPPPPPPPKLNTAPKPPPPPPPPPPSVPSNNNLPKPAEPPAVPTSRRRLLKPLPPEGPRIAMPMPITAATTVDNNGSTSMREGDNAAADDGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNIKKAATMDFDVLHGYVNKLETGLEKIESVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >OGLUM03G07610.2 pep chromosome:ALNU02000000:3:5641242:5645329:1 gene:OGLUM03G07610 transcript:OGLUM03G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3L8] MPPAIARFVAIAAVLLCGHVAVAAESGGVGGGSARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPSTPPAPDAPSGDFFPPAPPTTTTPTSPGTTPSPTTVAADVSKTPSGSGSGHHGGGPTKATIVAAGAGAAAAVALLGFACAFLITGRARRRGDSQKLLGPDRAGAHRSAATSAADFLYVGTVEPTTPARHHGPTTADLVGSPYRKLRSERARRGVSRDEDADHPSPELRPLPPLRRAATLGSSDEDGYYTPRQLSGGSGGGGAAEAWSSASASSPPTTTTASRRSLPSMTSDFFPPVAAIAAPPAPPPARSRRTPPRTRFSTGSTPDTKQVTSPSPRPVQPSNAPPPPPPPPPPPPPPPPPKLNTAPKPPPPPPPPPPSVPSNNNLPKPAEPPAVPTSRRRLLKPLPPEGPRIAMPMPITAATTVDNNGSTSMREGDNAAADDGGSGEPRPKLKPLHWDKVRATSDRAMVWDQLKLDEDMIEALFMNNSTPAAPPREVGRKAAGVPSFRQEERVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGSELLETLVKMAPTKEEELKLRDYSGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRNSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAMISSSKDDRKHGLKVVSGLSSELGNIKKAATMDFDVLHGYVNKLETGLEKIESVLQLEKKCTQGQRFFMSMQDFLKEAEREIERVRGEERRALGRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDQVCREVGRMQQDRTVIGGSARSFRISATSSLPVLSLYGQRRENNSDDDSSSS >OGLUM03G07620.1 pep chromosome:ALNU02000000:3:5655389:5658011:-1 gene:OGLUM03G07620 transcript:OGLUM03G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRSAESRGVQGKPHRKIIRDDKKKRIQAGIASEDEGDDEVVVFSEKEEAAMAKIFDKEDEIIVQMYHNSLRIKGSNIFIICEMDQLEAGQPASILIEHIGDDLVSPDYG >OGLUM03G07630.1 pep chromosome:ALNU02000000:3:5661736:5665540:1 gene:OGLUM03G07630 transcript:OGLUM03G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVALAAATAAAVADQGRFNQLPDHILLSMLERVDMRTVLRTSVLSTRWKHLPLLLSDVDLDADEFIHQNSSMSADEAMAVLVKLMSSLFGSPRSESIIKKLNLRFCLLTDLETSLDYLFNIGELVCNAIDSGKVKSVELAITTEKRSSVMLPHETPPMYGKHLTLYYCELRDSSTLKLDMPNSKLRFVELNSCYVKTVEFLSLPKLEQLYCDSWRLSGAPLSFGVVPCLEELRLVCATSRIQSGFKLTDLLHGTANVQDIALDFQGEVIWITPEGKKLRSALNKITKLFLHGIYVKFDLLWTLVLLESAPSVKVWNHACDDGTENRKQLSERRNDLWDAAQLDGSIHYLQLERLEFGGFNQIIRKHLDFIRAIIERAPNFKSVILEDRDPCED >OGLUM03G07640.1 pep chromosome:ALNU02000000:3:5665144:5665561:-1 gene:OGLUM03G07640 transcript:OGLUM03G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWASPKTRGVGGKPYRNDSSHKDATRIKAGIPSDDEGDVDREDVPFSEKEEAAMNRIHARAMAKKAAASAAIAPAPAVVPAAIAPVPADALDAIAPVPAVVPAAIAPGP >OGLUM03G07650.1 pep chromosome:ALNU02000000:3:5666983:5672465:-1 gene:OGLUM03G07650 transcript:OGLUM03G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue protein [Source:Projected from Arabidopsis thaliana (AT1G27752) TAIR;Acc:AT1G27752] MSSAPPPQQSKPSYNHRRHNNAAPRHHHGQQQQQPQPQPQQRYVPKPAAPKPSPPPTLTTALRSSASPSASGAGRVGEEADGFVAYLPHDEVVAAGLGGPDAQESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHEFLDSYLQFRHRWYDLPHRAPKGTVAGLVVGELELCRRVFMVLYRISSNKDPGAFRGESLSMKEHAALLQEKRLLDLPKLLDICAIYGHDNCKLTSSLVENAINVQPNILDGINIVLPQFLGIFHTMQERCMKSLQVLDFVNDAIVTLDAFVDAYKPAALLFCTSFETSYGVEELLNTLPRLYDSLLPSLLHGFQVMSSSQSNGETASDIILSDIVLGIRMLSRRTVSFGWRLLEFCYLNDQLVERDVEACTKMFPAKVEDPMIRGDIIIQTLKDINREATFSQDHPGKTFLQALEKEFKLMNRIGDIRKKGWIHMDDEQFQFIARLCGSTLTSWNSVPDLPVSSYGGELQQKNEDTAITESKITQIRDLFPDYGKGFLAACLEAYNQNPEEVIQRILDGTLHQDLLALDTSLEEMPQLKPAATVGKDKGKGILVETEPQIMNKPHKVNTEMHRYVEHSSSSSVPSASQGPSSSVPSVPQGRFTRKTNDDVPDSATLDSQKAKDAVRSAVLESQYEYDDEYDDSFDDLGFSVVESSYEETDGANDAESSSQGPRWSSQKKTQFYVKDGKNYSYKVAGSVAVSSAREAAVLRNVQKDTIHGLGRGGNVPLGVPNRQQHRDMEEEEGSNANNFGRGGSNIGRGGSYPRGDHGRRGGRGHGDPPPEGENPNGPPGFGRGGRRGGRNHGNQPEANENPNGQRGFGRGATRGGRNHDHPAEDHEDPDAAQGFARGGPAPRGGRGGRRGGGRDNHHRRDRAMKKHMQGLTGL >OGLUM03G07660.1 pep chromosome:ALNU02000000:3:5675036:5677728:-1 gene:OGLUM03G07660 transcript:OGLUM03G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFFFPASWACAYDPQAGGTGIRLLVRMEPKHSAEMSKHLDKQNQALMETYRAMSHELHKVQVEEETIMRKLYELMSAEGLLPKRKKESQA >OGLUM03G07670.1 pep chromosome:ALNU02000000:3:5677412:5678491:1 gene:OGLUM03G07670 transcript:OGLUM03G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRAREEAARGGSLKQARARSGRRGDRAHRAADLLAGRGHDEARRSRCTTARSGAAALELWLTVLKSQDSALLPPACGSYAQAHEAGKKKFFISEKVHLRSLFLTSSFKTVPELKYQDTMYVTFGPKAVLLYDFGDMAVESVWGPCERHMSAINFLPPLSTLFSYQPKPLGQPTMGGEKGASRRGQARRRRLPASVAPNSRECSCHVPDCAPNCNCNVFTCTHGGWGFRHVMLPKLLFPKLRAISTELLKSRFVQVSSSFVSSPRRRTHSSTASSSRSTPFTPCCGRVILNASALLPATRHRQASRRSPAFSHPLPAITVRLLLGVAAQRPLLFSPPVVGCPRGLG >OGLUM03G07680.1 pep chromosome:ALNU02000000:3:5679027:5680441:-1 gene:OGLUM03G07680 transcript:OGLUM03G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEASWHSFDPSVAMEDSEAMAQLLGVQYFGNDQEQQQPAAAVPPAMYWPAHDAADQYYGSAPYCYMQQQQHYGCYDGGAMVAGGDFFVPEEQLVADPSFMVDLNLEFEDQHGGDAGGAGSSAAAAAAATKMTPACKRKVEDHKDESCTDNVARKKARSTAATVVQKKGNKNAQSKKAQKGACSRSSNQKESNGGGDGGNVQSSSTNYLSDDDSLSLEMTSCSNVSSASKKSSLSSPATGHGGAKARAGRGAATDPQSLYARKRRERINERLKILQNLIPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQQ >OGLUM03G07690.1 pep chromosome:ALNU02000000:3:5689971:5698631:1 gene:OGLUM03G07690 transcript:OGLUM03G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G28030) TAIR;Acc:AT3G28030] MGVHGLWELLAPVGRRVSVETLAGKRLAVDASIWMVQFMRAMRDDKGDMIRDAHLLGFLRRICKLLFLRARPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKARKLEELAAQIKSDRAKHDNKGKQVESSKMGETEKINGEQKKNNDGENSGGIAAPIDQEKLDELLAASLAAEEEANLTGKGKQYTVSVPLQEAADISEDDDEDDGEMIFPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQKIKKEPAKFSELQIQSYLKTVAFRREIDEVQRGAAGRGVGGVQTSKIASEANREFIFSSSFTGDKQTLAQRGGKEHIVDSIKSKREINPAVFKSNPTSSSSSTKPNNSEPLGSFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKEHEQVRNRGHDSVVEGLANNEEPPDFPEHLFEGYGLRSSLHLSEDYDETASDNHHTSSLVGSDKISEGDYHGSKETIEISFADDQTEVKDNDDQIFLHLASGTSSNLFTTEQTDGSDCITKEGVLEGETPPMQVDEKDHQASLMDNFCTDDEIEWEEGGCDVPGGPSSNENDQSKVPKGDLEEDALVQEAIRRSLEDFKKQEHENVTPEDLQASFEDKPLQSYDDVPKPAGAAGKTADKIGKEINSEENDIVHGSLVVDGRENENQTQPENSDGHADMKRAYLLDPLPPCNMTASTSAAKSPEGSEVQHHNSMLHSIRTPEWPKNDSDKVMTQYSLNSDNSKCKIDDSCTGETSRSLQNDLLMDELVPDTAVQKENMIQRTTDLSTSEINYTKLNDNVGIDSVSASNLEKELSLLRQEQEYLGNERRKLESHAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMEMTNLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYLMKDIESELGLTREQLIRMAMLLGSDYTEGISGIGIVNAIEVAHAFPEEDGLQKFREWVESPDPTLLGKLGMESGSSSKKKKSGRNHSDGKGNSLEPEYTKGSDDSQSSNETQRIKEIFMSKHRNVSKNWHIPSTFPSEAVINAYISPQVDDSTEPFSWGRPDSGLLRKFGWSKEKADELLIPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFLETDELDHDSPSTSNTSKKKERTSSGRGRAKGQRTKDVGPGNTGNQDYDIVDSLVDAGEHTTEKSTSSKKRTANSSGGSRGKGRRSMNAAHVIIGNGEDSDVSNLASDEDSHIRHTNDYESEGLTLRRSNRKRKQVTYAEDGQEADDNDVSIHQIDENQGQGTLEEDMCHMAGLDTQSNLLHQDTSELNIDQTHTDPSDMNEDPSGFELPEDCHTDTAPKDYLFTGGGFCMEEGDEQDTGVDQSGAEMEHETRDACEGIDEVSESQSGKSMSYSATGEGTENANTEARGASSSQGRNASRGSGAVPKLTKRRRKS >OGLUM03G07700.1 pep chromosome:ALNU02000000:3:5698936:5704355:1 gene:OGLUM03G07700 transcript:OGLUM03G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGSSWKLAKFQFLPEIDVIGSASTSFPSSDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPNCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >OGLUM03G07700.2 pep chromosome:ALNU02000000:3:5698936:5704355:1 gene:OGLUM03G07700 transcript:OGLUM03G07700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPRLWTKEELAVYNGTDESLPILLGILGSVFDVTKGKSNYGPGGGYHHFAGRDASRAFVSGNFTGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPNCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >OGLUM03G07700.3 pep chromosome:ALNU02000000:3:5698936:5704355:1 gene:OGLUM03G07700 transcript:OGLUM03G07700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:membrane-associated progesterone binding protein 4 [Source:Projected from Arabidopsis thaliana (AT4G14965) TAIR;Acc:AT4G14965] MVLGARLLLGLALLAALLAVVLQLYRLRKPGSRIMVLEVVIITSLAGDGLTDSLQGLSSMEVNSIVDWRKFYFERYIFAGKIVGRYYDDEGNPTKYLKGIEMKAKRGAQLLEKQKSEEAKIPNCNSKWSQQEGGEVWCETGYPRLVRRPGDIALTGQVSQRCACYKEEELDKAGLVVYEGQGGLGYRLICCLVFMILAPRVLERTAQELLKSEEVSHDRFRFGSREQEIN >OGLUM03G07710.1 pep chromosome:ALNU02000000:3:5711115:5716289:1 gene:OGLUM03G07710 transcript:OGLUM03G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >OGLUM03G07710.2 pep chromosome:ALNU02000000:3:5710687:5716289:1 gene:OGLUM03G07710 transcript:OGLUM03G07710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >OGLUM03G07710.3 pep chromosome:ALNU02000000:3:5711115:5716289:1 gene:OGLUM03G07710 transcript:OGLUM03G07710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSNPDTFQDDGNEVSIVATELVSDVTVRIGTTKFYLHKFPLLSKCARFQKMIPTTGDENIEIHIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMHETVDKGNLIYKIEVFLSSSIFRSWKDSIIVLGTTKAHLPWSEDLKLVSHCIDSIASKASTDTSKVEWSYSYNRKKLPTENGLDLEWNGVKKQQFVPHDWWVEDLADLDIDSYKQVITAIKTKGMVPKDVIGEAIKAYTYKKLPSLSKVSMVHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGELCRKELMKRIGRQLDEASVSDLLIPTVDGETTVYDIDMILSIVEEFVRQDSKNAQKHNGGEVDSHVQAPSASMIKVAKVVDGYLAEVAKDPNTPILKFIHLAETMSINSRPVHDGLYRAIDMYLKEHPSLGKNEKKKLCSLMDCKKLSPDACAHAVQNERLPLRTVVQVLYHEQTRASAAVTIRADSICVGSYESSRSGATTNTEDEWDGVMAVEDLSLSTKTTTKLDGAANSHCSNGKATKGGASTPKKAAHRKTTTVPTGKGQSGERSSSDSSDSAILQKLELPKRTPSRSTKPAAV >OGLUM03G07720.1 pep chromosome:ALNU02000000:3:5720209:5721661:-1 gene:OGLUM03G07720 transcript:OGLUM03G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDEEEEEGVVIIIREYDPSRDRAGTEAVDRECDVGPTGGISLHADLLGDPVARIRHSPDYLMLVAETTSGATGGRIIVGIIRGTVKSVATGKSCPGAPAVASVGYILGLRVAPSHRRMGLALRMVRRMEAWFERMGAEYAYMATDKSNEASLRLFTVRCGYSKFRTPSLLVHPVHAHRRRVPRRAAVFRLGASDAERLYDGRFAHVEFFPADIGAVLGNQLSIGTFLAVIDDDGRWRHGEWRGAERFLASPPAWMRVPSVPDFFRPFSGWFVYGLGGDGPDAAVAAEALFATFVNMARGRAAAVAVEVAACDPLRRRIPHWRRLSCTEDLWCMKRLGRVGESDGWDWARSPPGLSIFVDPREV >OGLUM03G07730.1 pep chromosome:ALNU02000000:3:5728930:5733139:-1 gene:OGLUM03G07730 transcript:OGLUM03G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAATAPDPAHPARPPLTPALDKPNSAPAARRPARSSKPVSSRYLSAAASPTSSTSSSSSTSSSSAPSSNRRSLSAQRARSSTPPPQHSTSPTPAPAAAAAAAAVAVASGGLTATTMRSLSVSFQGESFFYQTSRAPRAASPSSPGGRRGPTPERRKSVSSVPEAENTRPQHRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAAAHDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSSGRRSFSDSQMSPRLPGRSPSPSRGSRGMASPARGRSGEASPNGHTMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDAALLVQSFNAEKTLHSAWKEISKLRDNVSSKRSKLQLLKQKLKLFAILRRQIYYLDEWSHIEKHHSSALSAAIEALKASTLRLPVVGGAKADAQGVKEAVNSAVDVMHTMASSMCTLLSKVEGTSSVVSELAKLATQEQMLLDQSRDLLSMVAAIHVKQCSLQAHMLQRKQKQSQTRV >OGLUM03G07740.1 pep chromosome:ALNU02000000:3:5739422:5740202:-1 gene:OGLUM03G07740 transcript:OGLUM03G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLDEASSRSHARHLRQKGGPDIASTDGGGYDREYVQEKTARKQAISYPVKCERETEAEGPDQRVYNYYSVRISGSLCKVRCERGGR >OGLUM03G07750.1 pep chromosome:ALNU02000000:3:5751284:5752411:-1 gene:OGLUM03G07750 transcript:OGLUM03G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSLSFERGSSERRKGQKHLKLRCCRGDEDEASSSVGFATLCISWRRKHVARDRFGCKKKHYDMLRKEDFLLLTERQGPWKMSGSMTTDLLIQVQAPRRLSGQVQLSMALLSFLTCRDRSRLLIILPNLLPPR >OGLUM03G07760.1 pep chromosome:ALNU02000000:3:5753155:5755882:-1 gene:OGLUM03G07760 transcript:OGLUM03G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr family protein [Source:Projected from Arabidopsis thaliana (AT1G49880) TAIR;Acc:AT1G49880] MPPPAWGWGSNPLEPVVHTVAAFSRRLLIAPDAAPDEARLRPLLSLSLSPPPTPPSPPPPPPEVLKKDSKAAPLTKEEVGRATWMLLHTIAAQFPDEPTRQQRRDARELMAIISRLYPCKECAEHFKEVLKANPVQAGSQAEFSQWLCYVHNVVNRSLGKPIFPCQRVNARWGKLDCPERSCDLEGSNDIIPNR >OGLUM03G07770.1 pep chromosome:ALNU02000000:3:5761942:5762321:-1 gene:OGLUM03G07770 transcript:OGLUM03G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWWDRVVLPVRRAWLGVAARFGVRQTGLWRLRQEVSTCEYEDVRVMWEMLSRTSTVGAGAGRAAPPARRHSRFRQPRPWTESICLCAGF >OGLUM03G07780.1 pep chromosome:ALNU02000000:3:5765815:5769012:1 gene:OGLUM03G07780 transcript:OGLUM03G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3N9] MFASRPAVHPVEAPPPPDPAEQPRGVLMKDLPGMPGTAGGLGLRLAQFAFAAVALAVMASTTLSPPPFLVAAAILQCLWSFSLAIVDIYALLVKRCLRNRRAVCLFAIGDGELEMRCTLSHENIIVIVPGETG >OGLUM03G07790.1 pep chromosome:ALNU02000000:3:5769598:5772114:-1 gene:OGLUM03G07790 transcript:OGLUM03G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEARFVSPAMKRTSDWILSQELPSDITIKVDDAAFNLHKLPLASRCGYIKKQVSGVGGNKATHLEIAGIPGGSKSFELVVKFCYGVNFEITVDNVAMLRCAGEHLEMTEECRPGNLVGRTEAYLEEVALASLEGAVAVLRKAEELLPASEEKARLVARCIDAVASIVCGGDGQFSMSLGTPGGGGGGGGYNGVGAAASREVDDWCADELTALRIDTFQRVMIAMKARGFKGIAMGTLIMLYAQKSLRRLDMHGRDRKKMGARQEHEKRVVLETILRMELLRMKMRLRDPSMAFSAGGGGVPPSGRPPLPKKPGGGSGGGFMNNMSKKLGRLNPFLRSDVLGGGRVRTKPPKDRRHSIS >OGLUM03G07800.1 pep chromosome:ALNU02000000:3:5773440:5775655:1 gene:OGLUM03G07800 transcript:OGLUM03G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFTARRARAARLSDPDPDPDPPADALRDPHGLADRRGRRRGCRPRRQLDAAGDVRQHLHTGAPPSRRRASYTDRVLSYIDNSNIGDSATRRNRLDRLIFRTNERLPGAVLQAQARVLERLRGISIGSSVSRPSITLDEFSATDVFRIIDFGNRDAPYEANRSSSSLAHPSSESDEERPPIDTSSLKRSRGLSKAAFLRLQIEIFEASKDDNREASPECSICLDGFYDGDELIKLRCGHSVMCMPVTRSWYDITLLNFIVATGVVPQKD >OGLUM03G07810.1 pep chromosome:ALNU02000000:3:5776484:5779450:-1 gene:OGLUM03G07810 transcript:OGLUM03G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPADQQVADSTSTCCAIHLWSELDDMLDVARNVRRLEETVGQLAAQRSSLHGAIVDAHVVGVDDGEDGGAADRLRRLGCTEEAANWLGRARVAEKQGNAVAADYAALSMPRLRLVARYRIGKRASRALRQAQQLVQERGAICAARRGVGSFAATTHQSAPTPAAAAVGMEDYLKEALGYIADDAVGVIGVCGMGGVGKTTLLRAINNSFLPTARQPPASSKVFDHVVWAVASKECRIDRLQDDVAKKLGLPLASLPDEHSDADLEQRALPIAEHLKNTSFLMLLDDLWECFDLKLIGVPYPDGGAGDELPRKVVLTTRSEIVCGNMKADRVLNVECLKPDDAWTLFEMNATAAAVTSHPAIAGLAREVAGECRGLPLALITIGKALSTKTDPELWRHAIDKLRDAHLHEITGMEEENAGMLRVLKVSYDYLPTTTMQECFLTCCLWPEDYSIEREKLVECWLGLGLIAGSGSIDDDVETGARIIAALKDVRLLESGGDVVGDTRGVRMHDMIRDMAIWIASDCGATRNRWLVRAGVGIKTASKLNEQWRTSPAAAGASTERVSLMRNLIEELPARLPARRGVRALMLQMNTSLRAIPGSFLRCVPALTYLDLSDTIVMALPGEIGSLVGLRYLNVSGTFIGALPPELLHLTQLEHLLLSDTNMLDSIPRNVILGLQKLKILDVFASRYTRWRLNADDGDDDDDAATASEASLDELEARNASIKFLGINVSSVAALRKLSGFTNVSTRRLCLKDMAGPASLTLLPSTLSDTLGGLDMLERLQHLAIRSCTGVKDIVIDAGSGSGSDSDDELRRSFRLPKLDRLRLLSVRHLETIRFRHTTAAAHVLPALRRINILNCFQLKNANWVLHLPALEHLELHYCHDMEAIVDGGGDTAAEDRRTPTTFPYLKTLAVHGMRSLACLCRGVPAISFPALEILEVGQCYALRRLDGVRPLKLREIQGSDEWWQQLEWEEDGIKDALFPYFKNHS >OGLUM03G07820.1 pep chromosome:ALNU02000000:3:5786452:5789175:1 gene:OGLUM03G07820 transcript:OGLUM03G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPQVVGAVSRSIAGRLLADIDLASSVGTNVEDVTDALTRLTSIRADLEASMGRLPQRRRPEEVTDWLSRVDGAEKRVAKLRREYQRRCCSCGGGGAFSLNLFASYAISRRACHERHRLAALLGECDRVRSLAAGAPRPSSGAMVVPSTVVGMEGYLEEALACLDDRDAGVVAICGMAGVGKSTLLRRINNVFVQDPDRRHEFDYVIWLDEPGDCAAVGKMQDAMAHRLGLCALPDGGAPDHRARPIFEVLRDSSFLLLLDGVTKPVDLVGIGVPHLVHDDRRRQKVAMTTRTRGVCGRMSSSQRIDMQCLDSDHSWRLFREIARDETINADPRIPDLAKEVAGRCGGLPLALTAIGGAMRCRRQPEEWVSTVTALRNLELAKIPGMDAGEKPGAMLRSLQESYGDLRHPVLQKCFLATSLWPEGHAIDKGELVECWIGLGLVGESLPMDEALRTGLAVVNELEEANLLLPGDATGEVKLHGVVRGAALWIARDLGKAPNRWVVCTGGVSLRSRQKLVEFFERARDAERVSAMRSSVERLRAMPPPSSPCRSLSVLMLQHNAALRDIPGGFLLGVPALAYLDASFTGVREVAPEIGTLASLRYLNLSSTPLESVPPELGRLRQLRHLLLRHTARLSAFPAGVLRGLPSLDVLDVCPSRYTEWCGAGGGGGGASLDELRSSSAFVRSLGIAVATLAGLRALRGLDNVRTRRLTVTRVAATAPSVALRPSMLGLLEALHELTVAKCSGLQELEVVAGEEDNAWWRLPELRKLEIDELHELAAVRWTRTDVGAFLPALRWVKISHCNRLRNVSWAVQLPCLEQLELRHCSEMVHVVDIDGDDEEQRREHPETRTFRCLRRLLLVELPSMGSIGGGAALSFPRLETLEIAGCDSLGELPVELQKKLEI >OGLUM03G07830.1 pep chromosome:ALNU02000000:3:5789717:5792116:-1 gene:OGLUM03G07830 transcript:OGLUM03G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQGSADRMESVYRIWVQDRSGGDSEAAAAAAAAAAVGGGGLPAGELRRELHTALGTAKWQLDELERAIRSNDKVFSAGKDTKARHDDFVAAIGCRILEVENNLKESNVAEGRGALSWIDLDEDERNDLATFLSASSFQQRDKVVTIPSVGDIDVGNNAAMVKKDMYADSSKDSGSAELSSARVKEETHRGHRRAASAHADIGSWTMLCPNESESSADLPYDDKHQEPLLKIVKTCALTSALQSKPRTKKKGGSVKWAAVDQQDVEETIPLSSQMGQGSDRCFERSKSCVSTCDESTYNKKLYGCLGALHRRLQRSRYRIRYGRPVQLIVLAVAALLICT >OGLUM03G07840.1 pep chromosome:ALNU02000000:3:5793024:5794712:-1 gene:OGLUM03G07840 transcript:OGLUM03G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L51/S25/CI-B8 family protein [Source:Projected from Arabidopsis thaliana (AT3G59650) TAIR;Acc:AT3G59650] MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLAPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTELKM >OGLUM03G07850.1 pep chromosome:ALNU02000000:3:5795709:5800129:-1 gene:OGLUM03G07850 transcript:OGLUM03G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYFKGPELLVDFQSYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLLRFDHHDRLTAREAMAHPYFEQVRAAEDCRMRT >OGLUM03G07850.2 pep chromosome:ALNU02000000:3:5795709:5800129:-1 gene:OGLUM03G07850 transcript:OGLUM03G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDVKPHNVMIDHELRKLRLIDWGLAEFYFPEKEYNVRIFRKEPFFYGHDNHDQLVKIAKVLGTDQLNAYLNKYRIALDPQLEALIGRHTRKPWSKFINPENRHLVSPEAIDFLDKLLRFDHHDRLTAREAMAHPYFEQVRAAEDCRMRT >OGLUM03G07860.1 pep chromosome:ALNU02000000:3:5804932:5810423:1 gene:OGLUM03G07860 transcript:OGLUM03G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRRWLPCCCCCHGGGGGGGGGSVGDGLVWDVALKAHASGDYSVAVAQANEALEDQAQVFVSPAATLVGVYDGHGGPEAARFVNKRLFSLIQEFAAQSGGISAEVLEKAFGETEEEFVASVQRSWPSQPRILSVGSCCLVGAIEDGTLYVANLGDSRAVLGRRAAAGAAHGRKGKNRVVPERLSRDHNVADEDVRRELKELHPDDSHIVLNTHGVWRIKGIIQVSRSIGDVYLKKPEICKSNPMLQQTICPFPLRRPVMSAVPTIKTRKLRPGDQFVIFASDGLWEQLTDEAAVAIVAGSPRRGVAMRLVRAAQLEAARKKDVKYERIRTIEKGQRRHFHDDITVVVLFLDKCRGKAGRGDEIDGTDGPVDVFSLSPDDREDPTRPVLR >OGLUM03G07870.1 pep chromosome:ALNU02000000:3:5817482:5819730:1 gene:OGLUM03G07870 transcript:OGLUM03G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTRSLQQSGSSKSKGKIMAKIVEEDEEGEEEEEEEEDSLSSGCHCFLCAIKEPDARLRRASLAAFFRELPYCEDDDAGAGAGAGVDGGRSCGEVVGAVWRVAMAAPDDPELPSLGAIRCMSLLLARALADVEWRRRGRNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLLAFLGGAMTWVEQRAAARALGHLASYDATFPAVARHAAEAVPLAVRAASTCVGNVYASFVALAPSKRPKYQRDLLTRGLDGGGGGVVADGEERKAEEWASQLQCWSLYFLSCLASRDVSSHATICHDPVFLRELCQMWGGLANGDSPAGVGLLRLLCRSAAGRAAIAACRDALSGLCDLARSSDDWQYMAIDCLLLLLDDRETWHAVADATAARLVDLAELRHLGPRRRLGNAITAALLLDDGDIVHGRELGMEAKEAIARFREVQVERKGREDAMSRDELLKRRIMAKEKKRQGNDMFWHGEVEKAIELYTEALELCPLSRRRERLVLHSNRAQCRLARRDADAAVGDATRALSLARPAANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLNRKDGTAAASRGGNPKLPYCVARMISKQMGLTGLFSAVATNSSTTKVDRDDHMPHYSDGDGDGDGGDSDDEEDDDDDDRDESEEEEFAEKGMKLCRSGKGLPIITDEAWRRLARRKKATSRVLSHDHRF >OGLUM03G07880.1 pep chromosome:ALNU02000000:3:5822664:5825390:-1 gene:OGLUM03G07880 transcript:OGLUM03G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G21060) TAIR;Acc:AT3G21060] MKCIAFNRRGTLLAAGCANGTCVIWDFETRGIARELHDKDCTAPITSVAWSKYGHHLLASATDKSLSLWHVVNEKKIARITLQQTPLSVRLHPGGPSTPSICLACPLSSAPILVDLNAGSTTVLPAFLSDNGNLPAPNPRNKFSDGSPPFTPTAATFDKYGDLIYVGNSKGEILIIDSKSIKVHAVIPIPGGTVVKDIVFSRDGRYLLTNSNDRVIRVYDNLLPVKGSGEEIEKISSNNISYESHYEKLKANGASCLAISCELLDAIAKIPWKAPCFSGDSEWIVGASASKGEHRLCIWNRSGRLVKILEGPKEALIDIAWHPVDPTIVSVSVAGLAYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNAYVEKAEEQLVNEDEYVDIETYDKNSTFSDLDDSSSTTMELIYLAAIPIPDTPDEQPDKCLGSSSKLEDSNHSDSPSSLDAIQNGQAIPPASSPMEVDNSTAEEPTEAANSKRRRRLSAKGLELQQAEKGKKPTTKNKSNGKSPGSNGKQLEPANGNSSAVDDEATEDDEI >OGLUM03G07890.1 pep chromosome:ALNU02000000:3:5836197:5840144:-1 gene:OGLUM03G07890 transcript:OGLUM03G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDLAESLLPVGGGAATATASAHDEYDERAYDSDDKVSIDVSDSDSEDGGGGGGGDAMRPAFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYELLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSAGTVPLWGGVVITAFDCFIFLFLENYGVRKLEAFFGVLIAVMAVSFAIMFGETKPSGKELLIGLVVPKLSSRTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVFYYNIESILALIVSFFINICVTTVFAKGFYGSEQADGIGLENAGQYLQQKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNEALNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLILSFYATEVRGALVRSSLCAVLAVYLAFIVYLIVRNTSLYSRLRSAMTKST >OGLUM03G07900.1 pep chromosome:ALNU02000000:3:5840226:5848521:-1 gene:OGLUM03G07900 transcript:OGLUM03G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCPVCRITATRQAKASGEDAASPAWRVLALPLRRLPAGPHRRLSPESTTSHPNLPPES >OGLUM03G07910.1 pep chromosome:ALNU02000000:3:5849527:5851920:1 gene:OGLUM03G07910 transcript:OGLUM03G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02420) TAIR;Acc:AT1G02420] MPPKPERRLFQYVSKPRRPAREPAPAPEVCGGGGEEVVASDADADAVYRMVTAAPTPSAMESALSASGVAISAPLLDLVLRRFRFAHGDPLRALSLLSLALDRHGVAPSPFALDTALYVLGRARRFAHMWDLLRSSRRLVPDAVTPRTAMVVLGRVAKVCSVRETVDSFRRLSRMLRGRGDDQEGQLFNALLRTLCQEKSMSDARNVYHALKYEFKVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNRGVENAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKHLLKEMHELGCYPDVPAYNTAIRNFVIAKRLGDAFALMEEMASKGLMPNATTYNLFFRCYYWAYDIGSAWQLYERMRSEGCFPNTQSCMFIVRLCHRHGRVAQALELWSDMVNNGFGSFTLVSDVLFDLLCDEGKLDEAERCFHQMIELGQKPSNVAFRRIKILMQLANREESIARLTAQMAQFGRLAPEDCRRVDHTLQSTHQSMKFCM >OGLUM03G07920.1 pep chromosome:ALNU02000000:3:5852702:5855972:1 gene:OGLUM03G07920 transcript:OGLUM03G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAEEEAGNPDGVEGAAVAAVAPEAAAEGPSEPNAGEASREPDAGQASREPGAAGPSREPDVAGPSREPDAAGPSREPGAAGGSREPGAAGGSRQPVPDAAQLAVVPYVEDIDRYLRSLEAEQTRRPMINYVQEIQGGIINMDVRGILVDWMADVAYVFNLQEETLHHAVSYVDRFLSKIAFPGDKLKLLGTTALFVASKYEEIHPPHVRNFSAVTVNTYTTQQVSKMELDILRFLNFDVGSPTVITFLRKFLTSCCGGNNSSNRKLELMCNYLAELSLLDDYYIRFLPSIVAAACLFVGKFTLNPNTRPWFGSVSTITPPENIKGGVEKYMVSRIYMCVFDLPMLFLMETWSSSGVSNHTRLQLKQNMMELPIENPTFISAS >OGLUM03G07930.1 pep chromosome:ALNU02000000:3:5868305:5868793:1 gene:OGLUM03G07930 transcript:OGLUM03G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDELHSAGAPAGVAAAEEGEGEAAQGFSFSIWPPTQRTRDAVVRRLVDTLGGDTILCKRYGAVPAADAEPAARGIEAEAFDAAAASGEAAATASVEEGIKALQLYSKEVSRRLLDFVKSRSAAAKATAAAAAAAAAAAPSEGEAPAAPSESEVVDPQPAE >OGLUM03G07940.1 pep chromosome:ALNU02000000:3:5873625:5875941:1 gene:OGLUM03G07940 transcript:OGLUM03G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRTRSVARMEATAAAAAAAAEEEAGNPDGAEGAAVVAVAPEAAAEGPNEPNAGEASREPDAGQASREPDVAGPSRQPGAAGPSREPGAAGGPRQPGAAGGPWQLVPNAAGPAVAPYVEDIDRYLRSLEAEESRRPIVNYDQEIQGGHINMRGKLVNWMAELVYGFNLWDNILYLAVSYVDRFLSRNVVNRERLQLLGTSALFVASKYEDRCHPSARFFSSITADTYTTQQVVAMEANILSFLNFQMGSPTVITFLRRFLFSCRGSNRPINIRLELMCIYLAELSLLDDYNIRFLPSIVAAACLFVGKFTRNPNTRPWNLSVQRITGYKVSDIEDCIRSIHDLQAGRKWSNLRAIRSKYEDDAFERVSTIPSPNTIKPSFLRDLKYVNG >OGLUM03G07950.1 pep chromosome:ALNU02000000:3:5876178:5891087:-1 gene:OGLUM03G07950 transcript:OGLUM03G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRREQESITRKMDRIPQGNRCYVDASWEDHVSTNFLTDSATIADEVKTRNFSEQPGHWSLRPLWSQITTTIPNHLLKVFWISREINIIVDKLAKEAKADALIKPIYNCQNISHIAYPSRDCHASSLNAHFSSLNCKINHDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNDMPGNSAEKALTITFTYHR >OGLUM03G07950.2 pep chromosome:ALNU02000000:3:5876178:5891087:-1 gene:OGLUM03G07950 transcript:OGLUM03G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRREQESITRKMDRIPQGNRCYVDASWEDHVLISLQTALQLQTRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNDMPGNSAEKALTITFTYHR >OGLUM03G07950.3 pep chromosome:ALNU02000000:3:5876178:5891087:-1 gene:OGLUM03G07950 transcript:OGLUM03G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFNAIEDVLKQKKDRANLRRVSSFEALHSATKALPADYVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRHTSPHLLASGDGKRSATIIGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLIHCIILDDVEIMGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNDMPGNSAEKALTITFTYHR >OGLUM03G07970.1 pep chromosome:ALNU02000000:3:5926510:5930650:-1 gene:OGLUM03G07970 transcript:OGLUM03G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3R0] MRKHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRKAFQYVAMVLYTPLITSVVVLYIWCAATNPGDPGIFKSAEHPKLKDGRRSQKNSDHGLSQGGKMSSDGFNAVDNSEKLSSMLEQKDSHSWPTFSEILCFPFSCLCKRCFHADNQSSEQHMSEEGMFFCSLCEAEVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFLLMTSAVLLWLSGALVLILCIVDRGEFSRQIVSKLGSSFSTVVFIIVVGISTYDYIIALREQEEDQQEEIAGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVIPPEIGMLQNSGSKKTKEDEGARRRTGTVKISPWTLARLNAEEVSRAAAQAKKKSKILKPIARHDVPIGHDINIGGKLVPKADNNRRPDKRGRFPAELSLDPLTRLSASGTESNVSDTAIETSGNLAPLQFEARSAFQPSAAASTRNAASSPESSFDSPDLHPFRLSSFTADEMQGAIPHPAQSGIKFSRSTSDGYEASGGEDSDRIPSRIVHRSSNWANAILSSGQGGGPTADPNMPSSEG >OGLUM03G07980.1 pep chromosome:ALNU02000000:3:5936916:5944431:1 gene:OGLUM03G07980 transcript:OGLUM03G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACGRNPKDHCDTISNSYKMLWADLDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEHAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >OGLUM03G07980.2 pep chromosome:ALNU02000000:3:5936916:5944431:1 gene:OGLUM03G07980 transcript:OGLUM03G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionyl-tRNA synthetase / methionine--tRNA ligase / MetRS (cpMetRS) [Source:Projected from Arabidopsis thaliana (AT3G55400) TAIR;Acc:AT3G55400] MAAGRAFLRAAPSSLAAGAGRFAFACPTALPLTAAAAAATGPHRRGRGRCYCSASDAPPPPPYVLTTPLYYRLLDKRVVFITGTDEHGEKIATSAEACDIEYDKFIRTTDRKHEAVVNDFYSRVLDSGDIYRADYEGLYCVSCEEYKDEKELGENKCCPVHLKPCVPRKEDNYFFALSKYQHQLEDLLTKNPNFVRPSHRLNEVQGWVKSGLRDFSISRASVEWGIPVPNDTKQTIYVWFDALLGYISALLDDGEKASLQQAVERGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVNRFGVDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAFDSIAAADGISLKDNVENLVDKAKDQFENLLLSSACETLMEIGNLGNLYIDEHAPWSCFKQGGESAEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRTLRWEDTKWGGLKAGQVMMEPKPVFARIETETDEKDQSSSKATKGGKKKARSQGLVEA >OGLUM03G07990.1 pep chromosome:ALNU02000000:3:5945095:5949722:1 gene:OGLUM03G07990 transcript:OGLUM03G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQPQANGDHQPAAEAAMEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVVNIGKMSISGINLDEDDEPSCDN >OGLUM03G07990.2 pep chromosome:ALNU02000000:3:5945095:5948383:1 gene:OGLUM03G07990 transcript:OGLUM03G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQPQANGDHQPAAEAAMEDNGAQVEEVVDSGESETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKHEKVVVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSLSFECETLKADKAAEEHISKYMPNLKGLDAVVNIGKMSISGINLDEDDEPSCDN >OGLUM03G08000.1 pep chromosome:ALNU02000000:3:5950787:5961927:1 gene:OGLUM03G08000 transcript:OGLUM03G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPREASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVITLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKASNGNDKGFQPPKKAARTEHVENTSQMESNDPSHQKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKIIKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >OGLUM03G08000.2 pep chromosome:ALNU02000000:3:5950787:5961927:1 gene:OGLUM03G08000 transcript:OGLUM03G08000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPREASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVITLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNVENTSQMESNDPSHQKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKIIKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >OGLUM03G08000.3 pep chromosome:ALNU02000000:3:5950787:5961927:1 gene:OGLUM03G08000 transcript:OGLUM03G08000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPREASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVITLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNVENTSQMESNDPSHQKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKIIKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >OGLUM03G08000.4 pep chromosome:ALNU02000000:3:5950787:5961927:1 gene:OGLUM03G08000 transcript:OGLUM03G08000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPREASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVITLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQFSSVPPVENTSQMESNDPSHQKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKIIKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKTKADLEEVATAEADILNLKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >OGLUM03G08000.5 pep chromosome:ALNU02000000:3:5950787:5961927:1 gene:OGLUM03G08000 transcript:OGLUM03G08000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPREASEFRIPYQQVSSSQTAENAGQYKICRCGEGDPNTSETGDSSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPVRGNEPVITLGGIDLNNTGSVVVKEDRKLLTVLFPDSRDGRTFTLKAETTEELNEWKSALENALAQAPAVANAVGQNPIFSTDIAEPAEAPAEQPDDKSVIGRPAEFALVDADGSPSFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVQDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTKRLDAMSKVIYEVFPEPNQQLLQRILKMMQIVGSHKAVNRMSPSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDEIFDDLEDGSCSSDAYTDSEDGDVDKEYSTDNDVDGSYDSGEDNIEEDMEDDTEYSSGGSECDDKVKRNNSGKASNGNDKGFQPPKKAARTEHGVLREDTNQFSSVPPVENTSQMESNDPSHQKLHESNGSTDQIEKSNVRASSSRAKFMEKSSSRNKSKKTLLGRASARRDLSADETDFCSDDETLIEKLENNKTDLQSKIIKEVKENSILQASLGRRKEELHERRLALEKEVENLRDQLQKERKLRASLESGLMNLRRGQVSFPSTIDSKQKVSDLRGQLNNHVQMSSTSLCDSCNKRLLSTDKLFEDEQNTSPSNVGPNSMSDMASATDMADIEQSRKQTTQHSSSSIDKPTLHKHQKSIASNEQSSTISQRAQRILSSKGGIMKDGQDGSFTSKWNLAQKQYSNNPLLGRLGSNAYSSTRTEESGAVPFALAKLTNRLNFLKERRAILASEMQNLNLARPPGPTAPAPKKDST >OGLUM03G08010.1 pep chromosome:ALNU02000000:3:5962706:5964019:-1 gene:OGLUM03G08010 transcript:OGLUM03G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLVDALVHGGGDDDDDDDGGGYYYFAFGWECGGRPMSLRLGGKELQNMCYIDKRMSSRSEAKCPGPVNKERPKIWAEKTVDSLNYIGE >OGLUM03G08020.1 pep chromosome:ALNU02000000:3:5964492:5966039:-1 gene:OGLUM03G08020 transcript:OGLUM03G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3S1] MRTSSLVLFAAVAVFGAACTAAAGDESWKTIDANDRHVQDVALWAVAETDWASATGGLTLNTVDGAEKRFEAGVTYYRLTLEASSRVVAKYLRFQAVLYDM >OGLUM03G08030.1 pep chromosome:ALNU02000000:3:5968977:5969330:-1 gene:OGLUM03G08030 transcript:OGLUM03G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3S2] MTMRTSSLLLAAVAVVAIVAGATAATVGSWEPVDINDPHVQELGRWAVAEEDRGVAAGGLTFERVTDGEKQVVAGVNYRLTLEASSSGAKDGRYEAVVYEQDPRSNARKLVSFEPIH >OGLUM03G08040.1 pep chromosome:ALNU02000000:3:5971151:5971492:-1 gene:OGLUM03G08040 transcript:OGLUM03G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3S3] MAMTTRTLLLAAVCAAAALPRGWSPIKNIDDPHIQELGRWAITENNRVSPSDELTFHRVTGGEQQVVSGMNYRLEIEAASGGGDVTGSYGAVVFEQEWSNTRKLISFDKNHNF >OGLUM03G08050.1 pep chromosome:ALNU02000000:3:5973792:5975098:-1 gene:OGLUM03G08050 transcript:OGLUM03G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDTRPLGSVRAALTHFQQRADHHSRFSPDRNLQEIEILTKELASCRMQLEVKENEKIQANLKLESLQNAMQESSDNRMIARQQSEASEECKALRDELTVVRGELDAVRSSNSFLLREIELMETRMILEKESIRDSLNHVLQINESVLSSAVAAIRAEEERSVFFQEITLEFLSSDKNREVIDRQVEMIKNLESELMAKTVEIAYLQSQLQQVKEHCISSEIIAGNQEQQAEASLTLGNGDAEAVVVAGGGFVAVISKEDDGGGEEFYTKEIEHDQQQAAGAAGLAVADGYVLVAKSDGGDADLKGKLEAARAEIGDLRFSLEEAVRRAELAEEAKAALERALREEIQRKAQPRNTPSLTTTTTTPAKPPLTGPRGGDGRPLPGGCLTLGKVLNMKYK >OGLUM03G08060.1 pep chromosome:ALNU02000000:3:5976764:5983108:-1 gene:OGLUM03G08060 transcript:OGLUM03G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGETQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNALARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTEGEVTSLADKEDISSIFLEFLDLFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >OGLUM03G08060.2 pep chromosome:ALNU02000000:3:5976766:5983101:-1 gene:OGLUM03G08060 transcript:OGLUM03G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04080) TAIR;Acc:AT1G04080] MEQDQGVAAAAASENPSAGQGAHDSGSAGAGAAASSIASFDSATANPDANVYSQNPSSVPQADGAQGADASVYPADHAPLNGTAGQVVDYQSAGAAENGAATNEMGEPVPEQSYADAVLSAEEARLWNAVTANCLDFNAWTALIDETEKTAESNILKLRKVYDAFLAEFPLCFGYWKKYADHEARLDGVTKVIEVYERAVLAVTYSVDIWYNYCQFAISTYEDPDIIRRLFERGLAYVGTDYRSNILWDEYIKYEESLQAWSHLAIIYTRILEHPITQLDRLKELAATRSLSEILTSEEAAMYSVTAENTAQTLDGETQPGDVDMSAQPEISGSTEADNLAKYVSVREEMYNKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDLIEKEEDINKVIKLYERCVIACASYSEFWIRYVLCMEARGSIELANNALARATHVFVKKQAEIHLFSARFKELSGDVSGARVEYQHLYSDLYPGLLEAIVKHSNMEHRLGDKESACSIYEKAIAAEKEKDRSQILPTLLIQYSRFLSLAIGDIEKAKETLTGFLEQCDLTKSIIEAIMQLESILPSEKRIEFLDSLVEKFLTAEPTEGEVTSLADKEDISSIFLEFLDLFGDAQAIKKATNRHLTHFSRKRSMLSSKKRRADDVIMSDRDKLARIGDGTQPVVGTDPNAHNPPVWPATSEASGQQWGAAYAPQATYPAYGTYDYSHQMPQSAPQAAAYGAYPPTYPAQAYTQQTYAQPSAMAVAAPAPAVAPAAAYPQQPVAAQQPYYGTGTYY >OGLUM03G08070.1 pep chromosome:ALNU02000000:3:5985386:5989097:1 gene:OGLUM03G08070 transcript:OGLUM03G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT2G03350) TAIR;Acc:AT2G03350] MEKALTKIGSFTISRKAKQELSAIGGDISRLSSTVEEKAKWVFDKLKGKPNKSLSDLLREYNLPPGLFPRNIICYEYDQTSSKLVVHLSKPCEVSFKDSSVIRYAPRVKVTLSRGKLSAIEGMKTKVVVWVKVASISLESFRSDKICFIAGVKKLRQKDAYEVPREGIAVEEF >OGLUM03G08080.1 pep chromosome:ALNU02000000:3:5990622:5991797:-1 gene:OGLUM03G08080 transcript:OGLUM03G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDGGGDGHRGRPPPQQHRPSSGGGGGGGSGDLASSAKLVAEAAKSVFQDHNLEKVDKGRVAGAAADLLHAASQYGKLDGKPAGNYLEKAEEYLHQYGRKEGSAGSSGGGKYQDEGGEGKYKKKPGHGGGRYEEEEEEDYKKKPTSGGGGGYGGGRYEEEDNYKKKPTSGGGGYGGGRYEEEDDYKKKPSSGGGGGYGGGRYEEEDEYRKKPSGGGYGGGRYEEEEDDYRKKPSAGAGGYGGGGRYEDEYKKKPGGGHGGGRYEEDDEYNKKPSGGYGYGASSGGGHGGRYEEDDYKKKPSAHSGGGGGRYEEEEGYKKPSGHGGGRYGKEEEEDDKKKKKHGEGSEGGMGDYLKLAQGLMKKQGGEGESGGGGMGDYLKLAEGFLKKR >OGLUM03G08090.1 pep chromosome:ALNU02000000:3:5993229:5995105:1 gene:OGLUM03G08090 transcript:OGLUM03G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLRGLVAASPVTAPRRRGRCSAATAATSAPEKTAGHVGRLPLAIVPAAAASLSLVLWSSPVHAGIMSGFKGMESVPGPDLPRVEFLEKWNAENQKKYAEFDSRFKSSQVLKDLLEKSKQNKLKNEREIQDKYCLRGAEWGVGDCSTEGMSDQEKEDFIAELKKRTGQE >OGLUM03G08100.1 pep chromosome:ALNU02000000:3:5994460:6004430:-1 gene:OGLUM03G08100 transcript:OGLUM03G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT3G61690) TAIR;Acc:AT3G61690] MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNRSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSSLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRRPPAVVYILPFWANHHKKREGKKNRQSANETHGKNMAAGELQEKQS >OGLUM03G08100.2 pep chromosome:ALNU02000000:3:5995802:6004430:-1 gene:OGLUM03G08100 transcript:OGLUM03G08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT3G61690) TAIR;Acc:AT3G61690] MGMVPNGLLPNASAGVTRRLDGERWAAAEVRTAELIARIQPNADSERRRRAVYDYVRRLITNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSDSEELKDTWANLVRDALEHEEKSENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQVGGLCTLCFLEEVDALISQNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHVFNNCFTGPLEVLYRFLEFFSNFDWEKFCLSLSGPVPISSLPDMTAEPPRMDAAELLLSKSFLDKCSYAYAVTPRIQESQGQQPFVSKHFNVIDPLRTNNNLGRSVSKGNFFRIRSAFSFGAKRLAKLLECPKEDLIAEVNQFFTNTWIRHGSGNRPDAPTLGLVHQHHLKVVPAEASNSQRSAMALKKNAENPNIRANQDNLSENANSYPEATSQPLQRSVLHPRNSLRTVNPSDSHAHHQKVHVTHANTKVSEQLERNRSDGSMQNERNKTVPNSLFVNDRNGQNRSRFARTRSSPELTDPSVEGYSRGRRTGVVEMDKSLKVDYNSRRNNLAPEVSSSHITKSSQDESVSSMNSSSHYSGKAASDSNSVSSSYREDNGFIMNEELPSVSEASDKQQEEQVLVNLMSSAKLHDFNGQVQLPIEMPPHFSVAPSPLLAPAAFPPKHFAGIPPTSLIGAPWSNMHLIHGYVSPPMAHYVQNHTFAPNIEEGNESEKPITPDASRDDGNNWHEYGVGFPRYFNHQGRDPQMRHFNGKEHSSSPNSVSGAPFERQGEIAVEDNGAVEENYTNMFQNQTSRQASINTRIGSGNARIPSSQSSLSRNKAMPENSWGESAGNTTRSLRDKWGKRPAFAAPDTTTHSKNNTGWQTGNASEHIPPEVDDGARNGVIVPNIRHEASDIITGSGSTASRTSQVPNDFEPSQIGMPNPLFAPLFIGSPQQRQSGNGGLTFIQTGPAVPFLMLPYAPGNDGSVPQFERNEGVDQLPVNIAVQNFSSLNNVHHPDINATSTASSSTAGDPSEEQKPDILNSDFDSHWCNLQYGRSCQNPRPMNPVLYPFAVPPMYLQGHVPWDGPGRPASTNVNWTQMVPPSQRIYPMMPLQPSSERITGGPQHHTEDAPRYRGGTGTYLPNPKVPFKDRHSGSRNHRGNYNTDKGDHNDKEGNWINSKQRNPGRSYGRSHSERSGIRSDRQAADENQYDRQRRSYRNDSYRHETGAQSSGSTNYIRRPGNMTHGDPSPSASNGIGALSGSSAPYFMYYSCEPGTNHGSSSEPLEFGSLGPVPTADGGDMPRPTRQAMPNEFYGQRHVAFRGGSSHSSPDQPSSPQTRSPF >OGLUM03G08110.1 pep chromosome:ALNU02000000:3:6010827:6012441:1 gene:OGLUM03G08110 transcript:OGLUM03G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWPAAAVAKAVPSPSTPPPPHSRGAGRRRLRPCGAKKGPGTDERGATAGGGGVVTRGALLRSGAALFALGFVDAGYSGDWSRIGAISKDTEEALKLAAYAVVPLCLAVVFSPSSEDGSNNT >OGLUM03G08120.1 pep chromosome:ALNU02000000:3:6013327:6016278:1 gene:OGLUM03G08120 transcript:OGLUM03G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFASNAIAGSIRKKAQPSKCSQSNPDCSDDDVSSCASREEGLECPICWESFNLVENVPYVLWCGHTMCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYKGNLKFPRKNYFLLWMVESMNGERAKFHSPSHEERHSLCPSGGGPSSSQHHRRPAPRTESASGRERSVAGNVFNTYSISASLQKIMLSFVQMTAKFPLVIIFLLIVLYAVPASVAVLVLYVLVTVLFALPSFLILYFAYPSLDWLVREIFN >OGLUM03G08130.1 pep chromosome:ALNU02000000:3:6016872:6017996:-1 gene:OGLUM03G08130 transcript:OGLUM03G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHRSDDDGGELESRRPHSRHRRRRRRRHLYVVLDDWSKGYSVYKVDVDGFDGDPDADLDDEAVRLPEPPVFRLETADYGRFGIFVAVGSRIFATHYSEDTNARAPVLMFDTVTGGLAVCPGVPAELCNQPMIFPAGDKVYAMGRSKIKMDARGESRKYLEELAADGEGSWAWSSSVDDRAPPPPFDVGRARCHAAHPDGRTVFFSAHGDGTYSFDAGTRGWTRHGEWMLPFDGQAYYDGELDAWVGLWSGHSGRRGRVCSCDVVDPRGGGGGEQPPPAWKLAVRSHPAWRARSRFLSVALARMGGGEFCVVEWRSRRGVSEEELHERCLLYATTFRLRYDRDGSLEATDRRARAFTARKKSDEFEWCAFGI >OGLUM03G08140.1 pep chromosome:ALNU02000000:3:6021098:6021592:-1 gene:OGLUM03G08140 transcript:OGLUM03G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPPQPAVDDDVLGALLLPAMEVFITDAVVDLLAAGHGGCRRNRTPFRLHRTPLPLSLSLTALATELLAVAASLAAAAAGSGEQWAMATRRAAVPCDLGEEGEVGGEDLDDFGERSEV >OGLUM03G08150.1 pep chromosome:ALNU02000000:3:6022566:6027429:1 gene:OGLUM03G08150 transcript:OGLUM03G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVLERRNSFGGSTPTSSASGSRKDEKTTPHYLRASTGSCHNLCKYGHKNPFEEEKKLSTSGGRRKKLPSHLNNLTLHRSAILDRSKDVRQRNLSLAKSSISLGESDRIAPKKTQANLKGSSDHLITRTSSSANHKNVNLDGRKKHPTVAQKTSADSGSSNGVPKFDKKSAMPVKGTIVPAKLKLAEMPQLEESRTMEKVTTVKQSSIKRPTSLPTKLNLIKQVPVPSQVSSHLLSSKAKRTVKGELTSSPATVTGVRRSNSGKTGRSSMNSSKPSINGKEGLHMARSSFSVESKMDVSVVIQEHDVQDSFIRGLHVESTLAGVSSDTTECVDESRSAPEEIIRPVSGDDGMESNRKNEASGGNEEPLQSSIVTGLLQSSDDQQLKNALSNLEAEENQTDDASPCQLSKNSIAVENAVLGDSLSTENSSEIEADGVKANASMESQDAEGNEEEEAHEGLQESIEQLALGEKHAKEPGSFLGSTSGNTVEDVKADEIFEGWTNNSPSHCQPISETSSDGELLGEPKSVQIQPSDSTLQTDGLVISSTGNTFEQDELKPGFFLQQSPEELSEDEFYEEYDFEFSELDESGTEDEGPTINKNSYDHLKADGQRPKRISALEQDDDSATPYKLKFKRGKIVELQPDSNGPRKLKFRRRSASEFSISEGLSARRIYKMNSTIDAGPYNLDVESPGVKLRHQDTQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVILLQDGKPASTPHAGNSPHLFHDDEGNASEQAA >OGLUM03G08160.1 pep chromosome:ALNU02000000:3:6029203:6031266:-1 gene:OGLUM03G08160 transcript:OGLUM03G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLKKLRVRFPGLGKGNKGGRQAPTILQEEDTSLQRAPMNSSDGYDAAFAATIAAAAYAIAAQEEKLAAQKKHVPIQGQSTTPPVQSPVKRGESMKKPTGGSKISRWFSGKEPAEDNDDGPANVSVRRPLKPAQRKQEDIASDQKVPPKMVDSSLSAKKGSGSSSKLQDKKGSKKFEQEQAIQKTPSTTRPATSYHSRRNGDGTVGLTAVGPADTKTNEWEKAKLASITEEYKNMMDTIAEWENEKKVKAKRQKEQKEKVLDQKRAKALEEYSQEITRINKIAGGARTMAEERKYNDEKKIKEKANKRRSSEKAPRACACF >OGLUM03G08170.1 pep chromosome:ALNU02000000:3:6032667:6035561:1 gene:OGLUM03G08170 transcript:OGLUM03G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29290) TAIR;Acc:AT3G29290] MAAVWSGCSTSFSQELPPHPRGRRGGDGARIHPWSGGAGIDATTRHAEAARTVVVFARGRVRVCRAAAPCVLEPDVAGKEEVGVAVWGMDDEPPVADGHRRHGLRRRPVRPAAVEEGPVAAARSAASASASAAGSKSEVGGSRLHFLEERDEEMLSRRLIKLSQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRGSFADAMKVFEFMKGKGMATGHSYTLILKAVATTEGYFAALKMFDEIEESDKKNVDVIVYNTVISVCGRAKDWRQVERLWRRLGENSLSGTLMTYDLLVSTFVQCGQSELAIDAYQEMFKSGIDPSEDILKAIIASCTKEGKWEFALTTFRRMLSAGMKPSIIVFNSIINSLGKAGEDELAFRMYHLLTSSGLKPDQYTWSALLSALYRSGRCWDVLDLFQGIKTKHSALLNNHLYNIALMSCERLGQWEHGLQLLWMMERGGLQISAVSYNHVIGACEVARMPKVALKVYRRMTHRGCSPDTFTHLSVIRACIWGSLWNEVDDILEEVAPDSSVYNTVIHGLCLRGKIRLARKVYTKMRSIGLKPDGKTRSFMLQNFATDY >OGLUM03G08180.1 pep chromosome:ALNU02000000:3:6032777:6035826:-1 gene:OGLUM03G08180 transcript:OGLUM03G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCHHIVSHNHHDNPSVVATALSIKVYECPVAIPFPFMNSNTFIASAKEPLRTKQARRELQACALGCKPEARIESNSSVALLTLLDWLSLINLLDSISSSLSSKKCSLDPPTSDLLPAADADADAALLAAATGPSSTAAGLTGRRRSPCLRDRRLIVHAPNRHTDLLLAGDVGFKNAWSRRPAHAHAPSGEDNHGPCSFRMAGGGVYARSSAPWMDSCPITAPSSTRVWGKFLAEARAAAAPHCSHICPPRKCKRNLQKSLNKTKGFWKSRWKRDSAGS >OGLUM03G08190.1 pep chromosome:ALNU02000000:3:6038401:6042892:1 gene:OGLUM03G08190 transcript:OGLUM03G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLLLVFICLHALHWAASAQQPEEATVIVKGSTKIAETNKNYICATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGTNSPCTPFTNMSNGLFGFSDGCLSMDRWDKLNALFQKTGAIITFGLNALYGRYNVRRSFWAGKWNSTNAYNFVKYTISKGYPVDSWEYGNELSGHGIGARVDATLYGKDAIELKSIFQQLYKAPLSQPSLLAPGGFFDQQWYTQLLQTSGHGVVSALTHHIYNLGGGNDAHLIRKILDPKYLDRSEDTYRDMQLTLQRHGTWASAWVSESGGVFNNGGELVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGHYGLLDTQTFLPNPDYYSALLWHRLMGREVLSVDINAPRKLRAYAHCRKQQQGITLLLINLSNTTGYNVTLQNDINVSLDKTASLHKHNSFSHSLRRAVSWLGRKPSSDVARREEYHLTAKDGDLQSKTMLLNGAPLELSDDGGVPAMSPALVAVNSPVYLAPTSIAFVVLPMFEAKACS >OGLUM03G08200.1 pep chromosome:ALNU02000000:3:6043847:6049602:-1 gene:OGLUM03G08200 transcript:OGLUM03G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3U1] MPPPRRRRRNPRPPPPPSPSPEPESELPSSAGEEEDAAEDLAEHAQHHHLEQQWRRRLDEDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEESPDDKRIPESFRDFLLEMKDSHYDARTFAVRLKATMENMDKEVKKLRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPHKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGAVSSASDSPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVIQRDLSPLWKINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVIARSLDPDECAWAYGMNIFDLAAWRKTNIRETYHFWLKENLKSGLTLWKFGTLPPALIAFRGHLHGIDPSWHMLGLGYQENTDIEGVRRSAVIHYNGQCKPWLDIAFKNLQPFWTKHVGDDCSRDAIVCE >OGLUM03G08210.1 pep chromosome:ALNU02000000:3:6055856:6059475:-1 gene:OGLUM03G08210 transcript:OGLUM03G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPRFLPVRPLFLLLLLLVLAGVASGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGDLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLKRFNPLNHVNNDYSNYRDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLIGTLRDLIGNGNGFPSLRNLYLNNNDLTGVLPDQIANLTNLEILYLDNNNFIGRIPEGLYKHPFLKELYIEGNQFRPGSKSKGTHKVLELPDADILV >OGLUM03G08220.1 pep chromosome:ALNU02000000:3:6062755:6064251:1 gene:OGLUM03G08220 transcript:OGLUM03G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3U3] MHRELAGPFAVAVDLLAPLFADLLRRRPADAVVFDGVLPWAATAAAELRVPRYAFTGTGCFALSVQRALLLHAPQDGVASDDEPFLVPGLPDAVRLTKSRLAEATLPGAHSREFLNRMFDGERATTGWVVNSFADLEQRYIEHYEKETGKPVFAVGPVCLVNGDGDDVMERGRGGEPCAATDAARALAWLDAKPARSVVYVCFGSLTRFPDEQVAELGAGLAGSGVNFVWVVGGKNASAVPLLPDVVHAAVSSGRGHVIAGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPLLAWPVFAEQFYNEALVVGLAGTGAGVGAERGYVWGGEESGGVVVGREKVAERVRAAMADEAMRRRAEEVGERARRAVEVGGSSYDAVGALLEDVRRRRRRREMAADPRNVKEV >OGLUM03G08230.1 pep chromosome:ALNU02000000:3:6065668:6073842:1 gene:OGLUM03G08230 transcript:OGLUM03G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLNYQKTNSLAHSACCPDLLQILAQRMEDQMANVRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETMCRRDYRRHHDAAGTGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRRGAADDHVLKVAVRKADGTTLE >OGLUM03G08230.2 pep chromosome:ALNU02000000:3:6065668:6073842:1 gene:OGLUM03G08230 transcript:OGLUM03G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLNYQKTNSLAHSACCPDLLQILAQRMEDQMANVRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETIGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRRGAADDHVLKVAVRKADGTTLE >OGLUM03G08230.3 pep chromosome:ALNU02000000:3:6065668:6071312:1 gene:OGLUM03G08230 transcript:OGLUM03G08230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSRSADSPASRVTRWRSTGIVALRDARLKVVPNEVLQVGNSLRILDLTNNKIAEIPQEVGTLVNMQRLVLAGNLVESIPANIGYLRNLKILTLDRNKISVLPEELGSLSNLQQLSISQNSLSRLPKSVGDLRNMLLLNVSDNKLIALPESIGGCSSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPQNLLKDCKALQNISLHDNPISMDQFQQMDGFTEFEARRRKKFDKQIDSNVMMSSTALDEGIDLN >OGLUM03G08230.4 pep chromosome:ALNU02000000:3:6071554:6073842:1 gene:OGLUM03G08230 transcript:OGLUM03G08230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMANVRLTDFEFFRIILPGSSKTKLKLPYKFARELGDRELREARLRVAGEGRRPWDVKVFDDDVSGDVYLGRGWQEFARAHDLRDGHFLVFRYDGAAAFTVTVFDETMCRRDYRRHHDAAGTGSSSSSDSSDAAAAAAATAAAEGVGDVALSQFAVTLRQCNLEDKQAQYLNVPMEFQEAHEYARREKVVLRMRGEAWTVRLKHSRRERGQRTAFRYGWHRFCVDNGLAVGDTCFFRVLREGDLRRRGAADDHVLKVAVRKADGTTLE >OGLUM03G08240.1 pep chromosome:ALNU02000000:3:6074555:6077788:1 gene:OGLUM03G08240 transcript:OGLUM03G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQTSLGTPVYRTNPFDSDSDSEVPSRPSRAQSVPVRRTDQSIQELEDYAVDKVEETSRKVNDCVRAAEAIREDATKTLVTLHRQGEQITRTHRVAADIEHDLSMSEKLLGSLGGLFSKTWKPKRNQQIKGPISQNTFTSSANHMEQRQRLGISSTRQPSPNQVHRSPATAIEKVQVEIAKQDDALSDLSNMLGELKGMALDMGTEIERQNKSLDAFGDDVDELNFRVKGANQRGRRLLGK >OGLUM03G08250.1 pep chromosome:ALNU02000000:3:6077901:6078230:1 gene:OGLUM03G08250 transcript:OGLUM03G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTTKPCGADRDVGVISPPGPVDGRGGGGRWRRSALYDSFELNAMVVRLNRLLASGSGDGGGAGGGGGAAAAARARRAGSWVAAVPKAVLSMVKRALRGRGRQGDGW >OGLUM03G08260.1 pep chromosome:ALNU02000000:3:6078949:6083309:1 gene:OGLUM03G08260 transcript:OGLUM03G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATATARTPTKAAAAAAAAGGRAAGSTTPSSKPASRARLSHASSENAHPNIPAAAAAAAGEAGTPSKNPTLARLSHASSENAHPNILGSPPPSKPAKSPTTASKSASASASARKKISTPAPPPPPRERRFLVAKKRARRRRNGANGGGGGGGGGDFDFDKCREAAREALRASHEEFFRKERAASAAAAEEQLQKEEEEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKSNSSKGTKISSSAGKTVMRCMDTGMLSSSCLHDQSTVLAEKSKALPRMF >OGLUM03G08260.2 pep chromosome:ALNU02000000:3:6078949:6083035:1 gene:OGLUM03G08260 transcript:OGLUM03G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTATATARTPTKAAAAAAAAGGRAAGSTTPSSKPASRARLSHASSENAHPNIPAAAAAAAGEAGTPSKNPTLARLSHASSENAHPNILGSPPPSKPAKSPTTASKSASASASARKKISTPAPPPPPRERRFLVAKKRARRRRNGANGGGGGGGGGDFDFDKCREAAREALRASHEEFFRKERAASAAAAEEQLQKEEEEEEEEEKAAAQEAKKGALETLEEEDVAELEGSSKVRALRTKVMTKALSSVPDSGAGRVKHLVQAFESILSISGATSDADRAGEGSWALPGLQAWKEDCEGKIGMPPVSVSSSAEFLNAGPNRLCSSLDGKSDRLSWDSRTSAGGCRSRRNTSESLRSSWNKKLKVTSQHPFKLRTEQRGRVKEQQFIQKVQEMLMEEEQQRIHIAQGLPWTTDEPECLIKPPVKETTEPVDLVLHSDVRAIERAEFDQYVSERNKFAEQLRLERERQQKLEEEEMIKQLRKELVPKAQPMPYFDRPFIPKRSAKPATVPKEPKFHPRPEKQSCLCRQRCMDTGMLSSSCLHDQSTVLAEKSKALPRMF >OGLUM03G08270.1 pep chromosome:ALNU02000000:3:6083803:6088736:1 gene:OGLUM03G08270 transcript:OGLUM03G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) protein [Source:Projected from Arabidopsis thaliana (AT3G02090) TAIR;Acc:AT3G02090] MGSIRPNKSPWARNEVNQWPSDPAVQVQPPFLTDRWDLRKLSFAHPSPLFLPLGRHPTLQPPRDNALLSLSLSISPHLPDPPVSLQTLAELDLHLRRRRRRLRRGPTATDPPAMAFRRLLSAAVRRRSAAAAAAAGPWNAREASTAVAAAGPGVIAPDAAPVRPPMMVYDRIAEAVNARLRRLEHPDPRFLRYASPVPAHADHTAILAAPETRVTTLPNGLRVATESSLASRTATVGVWIDAGSRYETEDSAGVAHFVEHMLFKGTGDRNAAQLEEEIENIGGHLNAYTSREQTTYYAKVLDKDVPRALNILADILQRSKLEESRIERERDVILREMEEVEGQYEEVIFDHLHATAFQYTSLGRPILGSAENVKSITQEDLQKYIETHYTAPRMVITAAGAVKHDDIVEMATKLFNDLPTDPTTTSMLVSTQPACFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSIALMVMQSMLGSWNKSAGGGKHMGSELVQRVAINDIAESIMAFNTNYKDTGLFGVYAVAKPDCLDDLAFAIMQEISKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLIYGRRIPIPELFARIDAVDASTVKRVANRFIFDQDIAIAAMGPIQGLPDYNWFRRRTYMLRY >OGLUM03G08280.1 pep chromosome:ALNU02000000:3:6091270:6097814:-1 gene:OGLUM03G08280 transcript:OGLUM03G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIKSSSGRCSTARLEAVAVLVVVFGVASSSLRGCIAQQSGGGLTRGSFPEGFVFGTASAAYQYEGAVKEDGRGQTIWDTFAHTFGKITDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIYPNGVGQVNQAGIDHYNKLIDALLAKGIQPYVTLYHWDLPQALEDKYKGWLDRQIVDDFAAYAETCFREFGDRVKHWITLNEPHTVAIQGYDAGLQAPGRCSVLLHLYCKAGNSGTEPYVVAHHFILAHAAAASIYRTKYKWESQATQNGQLGIAFDVMWFEPMSNTTIDIEAAKRAQEFQLGWFADPFFFGDYPATMRARVGERLPRFTADEAAVVKGALDFVGINHYTTYYTRHNNTNIIGTLLNNTLADTGTVSLPFKNGKPIGDRANSIWLYIVPRGMRSLMNYVKERYNSPPVYITENGMDDSNNPFISIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYSSRFGLYFVDYKDNLKRYPKNSVQWFKALLKT >OGLUM03G08290.1 pep chromosome:ALNU02000000:3:6114097:6117843:1 gene:OGLUM03G08290 transcript:OGLUM03G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRRYSGNFLVNLIGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OGLUM03G08300.1 pep chromosome:ALNU02000000:3:6118356:6121343:1 gene:OGLUM03G08300 transcript:OGLUM03G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >OGLUM03G08300.2 pep chromosome:ALNU02000000:3:6118356:6121111:1 gene:OGLUM03G08300 transcript:OGLUM03G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDW >OGLUM03G08300.3 pep chromosome:ALNU02000000:3:6118356:6121351:1 gene:OGLUM03G08300 transcript:OGLUM03G08300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATPTRPPHAAPSSSPSPSPASLRQWRPAAQRNLRNQWSRLLAAKARWLSAAADGRSHASALDMPGIREKASGKLARREEQCQSMLLSAYREMVLATAELVRASHSMRCFSKVAANSPLIRFTERQDDMNDSGDGGGSPVFKWFSVLEFENLAQELVDMFISELQLKRLLVLELLSVTFKEGVQHDASLEWSNELFDGEFNEFQSIGLLSGDSYALPKNWSAGVSKAWQPDQTPSHEVLQVYLTSWLANVNIKTSRIDEIFELVGEEMQIKLS >OGLUM03G08310.1 pep chromosome:ALNU02000000:3:6126045:6135530:1 gene:OGLUM03G08310 transcript:OGLUM03G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTGIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEPANASSDLIERSERPRINLQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSTEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNLALFGSSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >OGLUM03G08310.2 pep chromosome:ALNU02000000:3:6126045:6135530:1 gene:OGLUM03G08310 transcript:OGLUM03G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFHPTQHGGDSDFQVWQQQMMYKQLQEFQRQQQVQQLDHGARMQPSFGQFQAPAKPLPTDQLSAMTNEMPNNESTAYAWSHQLHGSSDPGLASNSQMLNPSSNTNWEQYGGAPGVANFVNGSMFSNTPIQPMRPMGLAAHQMNPSLYPINTTSRDGSGNQHSQFLGIPTDPRNAMARAAAHQSEKASMQFSSLMSEQGPSSSMQNFLGKVGDNIKVGTPVPVNHLQHGVQHQDFHGRPNQVDFQAGLREKSTMQVESGNGGASLDPTEEKFLFGNDEDSNWGALLRGGNDHGSSMDNDNFGGALPSLQSGSWSALMQEALQSTTSDNSPKEEWSGLSFQKQEQIIANNSTLQSHDQNKFSALSGANLENQRPSSASSYGDGTMHNPNFAGFQHAARTPYEQRDRMQHDSSNATGTNHQSTAGVNNGYFQQSMKQKQSDDYSRQEQMNASNGIWAHQKPEMPRNNSHSSGGHATPPSAHGFWMSQQNSIDHNISRESGSTQNDWKSKGPLVQDINSTPNVFNNDGSFWKSSGGNANSVHRPQQMKPDISTMQMPNDSSDGKSTSAMGSNMPTLNQDQYQSIIGRTGEHVGQNHNMGRKGPEITGSLGRGAEQKSNDHNQDYLNVLPTERQGHGSNHGQHVSSDFATRRHPFFAGKESQNLGQSGQQAMGSYMLQNHAMDNSGMNIRHSSGNPVPNQFPSQSHQLHNNLKPRFIPSSQASSNMASVNEKMLMREEQFKSRHVPNSSSSPPFGGSDAGLPQNRAVQNSQHMLQLLHKVDNSTDSNAAADMPNSSPDNTGTVQQQLNQSSLQGFGLRLAPPSQRQLTPGHVWSTRADVDGKQPEHSTKGEDQTQPSAASQSLPPGHPSSQPTPFNSSEIDSTGQQTGQFHQFGSGQQYPVSESRSGSVAMPQQGSSATVFKNVWTNISAQRLAGVQPNKITPNILQSMMFPNSAADTNLWGSQKADDQGQRASTPSDVATSSTNSQNQETKQGGDSDAGLASSEMVNLDSTGATMSRESIQKHSSDGNFAMHVSSLARLHQQGIMNPKQGENPAANFQAMKTSQNTGIGLHGSPTPSNIQQQNYSLLHQMQAMRHVDVDPANIAGKKLKSPETGSDASQVDWKSGQRFAHGTNNSVRSSVDNIGNANVPGPFPADMKMLSFAPRNNEDRGPSIPSQFPSREPPSQGMAVAAQTEPANASSDLIERSERPRINLQMAPSWFERYGNHRNGQNLSMFNLQKTPVPPYNVQKPSWNMDNNSTEQRIDSGQSVKPGHYISSKKMEVSVPSSIMQRRPKKRKSAESDLVSWHKLIEHPKTLRNMSTTEMDWAWAANRLMEKAEDDAENLEDVPVNYLWRKRLRLTTRLIQQILPAIPAKVLRAQAASAYEGVTYNIAMFTLGDACNMASYNSRTLTDHENNSSEQTNAKKMEDRLSKVVEVFTGRIKKMENDFVSLNKRSSMLDVQLECQDLERISIVNRLGRFHGRNHAAGVEASSASEMIPRRIFPERHVMSFAVPGNLPEGVYCLSL >OGLUM03G08320.1 pep chromosome:ALNU02000000:3:6138846:6157953:-1 gene:OGLUM03G08320 transcript:OGLUM03G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U5 small nuclear ribonucleoprotein helicase [Source:Projected from Arabidopsis thaliana (AT5G61140) TAIR;Acc:AT5G61140] MLAPLPRLTSALRGHYDADQAYLLRKSALQSLKLPRPRDEWELARKIVPDWDDAPSDVRQAYKQFLGAVVELLNGEVVSEELQEVAPAVYALFAGDDTQSNLADNVLRRRNELENLVGYSVQDSVLKKLAQLAQMLCSLQRARGHELVQINADESITSEFGANFDFKPPSRFIVDVSLDDDLPLGSGVLSSKPAEHDQYDASSASVSHNSVSPGGYVNLRWLKDQCDLITRSGGSVLSGDELAKALCRVLLSNKAGDEIAGELLDLVGDAAFETVQDLLSHRKELVDAIQHGLMILKSEKMSSSNQPKMPTYGTQVTVQTEYERQLDKIRRKEEKRGKRGTETATNDIFSDDFSSFLLASERKQPFDDVVGTGEGENSFTITSLPQGTTRKHMKGYEEVKIPPTPTAPLKANEKLIEIGELDELAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTSTFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPDTGLFFFDSSYRPVPLAQQYIGISERDYAKKIELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAANAGELELFSCADHPQYALIKKDVSKAKSREVAEFFESGFGIHNAGMIRSDRSLMERLFADGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGIAWEEVIGDPSLGSKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEAYNEMLRRHMNESEVINMVAHSSEFENIVVREEEQDELESLFKNACPHDIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSQMTSLLLEFCKGVDRKIWPEQHPLRQFDRDLSHEICKRLEEKHVDLDRLYEMEENDIGALIRFSHLGKVVKQYVGYFPYVNLSATVSPITRTVLRVDLLITPEFLWRDRHHGMSLRWWIIVEDSENDTIYHSELFTLMKKARGAPTKISFNVPIFEPHPPQYYIRAISDSWLGAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYQDLYRFSHFNPIQTQAFHVLYHSDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRQRLVTQLGKKMVEMTGDFTPDMMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVRDDGLFNFKPSVRPVPLEVHIQLAASEEKPRQFLSMADNSLDMILSQVSDTNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETYTLNCYLSRLVETTFEDLEDSGCIKVDDHSVKYLILGKIASQYYLSYLTVSMFGTNIGPNTSLEAFVHILSASAEFDELPVRHNECSLLIQDNLNRTLCGKVPYSVDQQHLDDPHVKANLLFQAHFSRAELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSSALTCMHLLQMIIQGLWFERDSSLWMLPSMNDNLLDHLKGRGVSTIPALLGLSREELHRLLQPFSASELYQDLQHFPCVDVKLKLQNEDKDQSRPPILSIRLQMKNARRSTSRAFSPRFPKAKQEAWWLVLGNIRSSELYGLKRINFMDRVVNTRMELPAMFDIQETKLILVSDSYLGFDQEYSLGHLAEGV >OGLUM03G08330.1 pep chromosome:ALNU02000000:3:6160052:6161564:1 gene:OGLUM03G08330 transcript:OGLUM03G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVLECGDISASQEELLAHSSFLNGGDDGEVFSTPPTTQEDAITMCTLPFTQSQSPAPAPAPLPSPAAVSRTTPGCSSSEDNRDDEMSDIVKQRRRPRVCTRKVRWGAKIRTPTPSPDRTTSEVENKDGDPLYKAVLMIPTRDSTPAIPMDLIALARQRGLF >OGLUM03G08340.1 pep chromosome:ALNU02000000:3:6166848:6172440:1 gene:OGLUM03G08340 transcript:OGLUM03G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQTNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRKCDKFYFWGVFRALHRSYNQTSMSFDATGCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSDFTCQSAPRVPAGSDLVLDTPPGFPHDDPPGLTKAHCLLHTGETTEPYIDSSPSLNLGVPPVLSLDIPPGFMKAHHLPHTGETTESHINPSHSHSLSWDTPLGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKEDEIKSKQDEDRKRDHPESPEPSPADTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPTG >OGLUM03G08340.2 pep chromosome:ALNU02000000:3:6166848:6172440:1 gene:OGLUM03G08340 transcript:OGLUM03G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQTNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSDFTCQSAPRVPAGSDLVLDTPPGFPHDDPPGLTKAHCLLHTGETTEPYIDSSPSLNLGVPPVLSLDIPPGFMKAHHLPHTGETTESHINPSHSHSLSWDTPLGFSLDVPPGFTKAHRLPIVSTAGSETVVSEKKPLIKFTLNVPRVAQTEAIPGFIKLLAVKQEPGLPAICMATEKASTGKEDEIKSKQDEDRKRDHPESPEPSPADTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPTG >OGLUM03G08340.3 pep chromosome:ALNU02000000:3:6166848:6172440:1 gene:OGLUM03G08340 transcript:OGLUM03G08340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPETAGNGHSLVGGYITNSFTTQIASLSQRPSPFCRAVLSQPSGTPLGTDATAPKNLSRSGNREAYVKSCSSRTRTFSSAHAHSTVVPPGTNAEPSAESFCAPGNEKSSPMSCKLGTLQCQGTRTAVAPSVQKKLTMEPALPSPKSVLSEKSNEAYPDTAPRPSSRPNLFDTKCKVGSPQSETIIPPSQSPQSTSHARCVEPPDDFEAVPSTKSHIITEKQTNQEAPINCNVSSGIPVILHTKLHKKHYQPEACWKGKFEVTGELTHICDGLEAHFPFEISAQVYEASKQMPEILKLEARPLSHLWPKTFKMKPPEGQDIGLCFISSLQRPNGSSDHLLKNISSHIGLRTKIGATELLIFSSKLLTQEYQRCKEIERHKNKETGKILETQDKKTEKEKCGEIGNKLDSAVSRERDRINECMRMLTPDPNAAASSSDTLKRLRVNGRIALNRVMDRRTLSSQPISREGLVDIQVSGPTVLTREANAVVGNISGDECACFVCSEEFPTG >OGLUM03G08350.1 pep chromosome:ALNU02000000:3:6172796:6177711:-1 gene:OGLUM03G08350 transcript:OGLUM03G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MHTSPIVISTRESDKKKQKLHQSPQARDVHCTRKQSSEPWRRRARGGVRSRSAPPAWAAGLRGGGRLVGIMDSSRSRSAAATEAAEAEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSIMLQYFMDNGEVLTPEQVSKQEHILPFWSSWRKLLRIKLPHEHIAKTRPYYRNENYFLLDKGGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSRSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >OGLUM03G08350.2 pep chromosome:ALNU02000000:3:6172796:6177711:-1 gene:OGLUM03G08350 transcript:OGLUM03G08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MHTSPIVISTRESDKKKQKLHQSPQARDVHCTRKQSSEPWRRRARGGVRSRSAPPAWAAGLRGGGRLVGIMDSSRSRSAAATEAAEAEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQVSNSLANSNRQCHLIAIDSLFTDEITCLGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSIMLQYFMDNGEVLTPEQVSKQEHILPFWSSWRKLLRIKLPHEHIAKTRPYYRNENYFLLDKGGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSRSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >OGLUM03G08350.3 pep chromosome:ALNU02000000:3:6172796:6177711:-1 gene:OGLUM03G08350 transcript:OGLUM03G08350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT1G13770) TAIR;Acc:AT1G13770] MHTSPIVISTRESDKKKQKLHQSPQARDVHCTRKQSSEPWRRRARGGVRSRSAPPAWAAGLRGGGRLVGIMDSSRSRSAAATEAAEAEAAGWVTVEEWAGSSAAALSRTAVLTASPSSSLASRRFGSRWGRVGGRLLGAFVPEGFPGSVTPDYVPFQMWDTLQGLSTYIRAMLSTQALLGAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQVSNSLANSNRQCHLIAIDSLFTDEITCLGSNLDSNAKMWRLVADFMNDLAGVASGATRAALTQHFALANNAADISAKEGSQETLATMLGMGLGMLLAHVTRGHALGVWVSFLSLTIFHMYANYKAVQSLSLTTLNYERSSIMLQYFMDNGEENYFLLDKGGSVHIFIHKQAAATDILMSFIHGLVLAHLMQKSRSGHAEARQWIDEKYNTFISKLQVEGYSTERLLSHSIVWRAHWVHGPSEEKLE >OGLUM03G08360.1 pep chromosome:ALNU02000000:3:6183278:6185109:1 gene:OGLUM03G08360 transcript:OGLUM03G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKGFAKPNSSNKYGMHKSSGGTYSKPDARVKIIPAEEITYVRHGKLCGKTVGSDGLQKRQRRRSVTPPPSSRKVSLVTPTVVNQRPTPPVSPAASRISPNRPGTAKNVHSVVTSCISPNLTGKAENGHSLATSGISPNCPGAVKKIHSLATSPI >OGLUM03G08370.1 pep chromosome:ALNU02000000:3:6196890:6200276:-1 gene:OGLUM03G08370 transcript:OGLUM03G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G74240) TAIR;Acc:AT1G74240] MAASPSAPPPPAAAAGVGVWSPAPQSPSPNLANFFVWREFVWGAIAGAFGEGMMHPVDTLKTRLQSQAIITGAKAQKNIFQMIRTVWVSDGLKGFYRGISPGVTGSLATGATYFGVIESTKTWLEHSNPNLSGHWSHFIAGGIGDTLGSFIYVPCEVMKQRMQVQGTKKSWALTATKGNISQTPGAPMYNYYNGMFHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKRKYLPESNLHASNSFEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSTTSYNGWLDAITKTWANEGMSGLFKGSIPRIIWYIPASAFTFMAVEFLRDHFNEKIDTDARELTGLSMDTRSEVEEAA >OGLUM03G08380.1 pep chromosome:ALNU02000000:3:6202667:6222115:1 gene:OGLUM03G08380 transcript:OGLUM03G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MAAAAAVLHALVALSLAGAVAAAGRGGEQPLSRIGIHRTTFAIQPGASVDASPLLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFTNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHISFLKDLWPNFKYTYRIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESLQPLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPTTTLAS >OGLUM03G08380.2 pep chromosome:ALNU02000000:3:6202667:6222115:1 gene:OGLUM03G08380 transcript:OGLUM03G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MTEDRAPKVPDEPAAAAAKQRKKRKWDQPAEDVVAAAAAAAAVAGLPVVNIGALSGVSIPGAAGPLGNIVAVPYTLPVHLAPSVLQTAAAAVQKLSQAKMPDELIAREIVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGRYHPPNGQTDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGPNPEGTIQSNGQAVHPFSASIFLGFHADPSLNVAARVRGPNDQYINHIMNETGVTVVLRGKGSGTPVNCHAEASQQPLHLYISSMHVKNLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLDGVQTSGTIPDVHPTLGPNVLTGASHSFASTGANASLVAPSVTSQSGAPSYSVVPPPSNLICPSQPANGGTFYGGYGGIYPQATPLQQVALTLKHASSSSTQVVSATSTSTSTVAMVNPCSHAEADKRSQRRKFQELPVSQGATTEVQVFSLTLSEAHRVNSQQRSKFVKTGLDGLGNMTNSSIEPPIKVQPGSNGMLLQDQPHVSAHPSASKNMLPPPPPPPRNMLPPPPKSMPPPPPKFPSNEMSRNEDRCADLNKPMAPPKSMPPPPPKSMPPPPPKFPSNEMSRNEDRRSDLNKPMAPPRSLDVSSVSPPNLYSAQLPSKEPRVVKPGGASVSDTLLKLMDYGDDDEEDNIDETNSVLGGNPTSISGQKPFWAV >OGLUM03G08380.3 pep chromosome:ALNU02000000:3:6202667:6222115:1 gene:OGLUM03G08380 transcript:OGLUM03G08380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RS2-interacting KH protein [Source:Projected from Arabidopsis thaliana (AT3G29390) TAIR;Acc:AT3G29390] MAAAAAVLHALVALSLAGAVAAAGRGGEQPLSRIGIHRTTFAIQPGASVDASPLLLGLEGQDREWVTLTYNNPKPSKDDWIGVFSPANFSDSTCPSESQWVEPPLLCTAPIKFIFANYKNLDYEKTGKGSMKLQLINQREDFSFALFSGGLSNPKLIAHSKRVTFTNPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVKWGLQGQIQSLSPAGTLTFSRSTMCGPPARTVGWRDPGFIHISFLKDLWPNFKYTYRIGHRLSDGSIIWGHEYSFQAPPYPGEDSLQRVVIFGDMGKAEADGSNEFNDFEPGSLNTTYQLIKDLKNIDMVIHIGDICYANGYLSQWDQFTAQVEPIASSVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSIDYGMFRFCIANTELDWRPGTEQYKFIEHCFSSVDRQKQPWLIFLAHRVLGYSSASFYVEEGTTEEPMGRESLQPLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKAASHYSGAFTATTHVVVGGGGASLADYAGVRARWSHVQDRDYGFAKLTAFNHTALLFEYVRSRDGSVHDSFTVSRDYRDILACGVDNCPTTTLAS >OGLUM03G08390.1 pep chromosome:ALNU02000000:3:6219260:6224021:-1 gene:OGLUM03G08390 transcript:OGLUM03G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSRDLLCGIGKGGDGPRGEVRPRVDMEAEEVELNLGLSLGGRFGLDRRGEKLARSSSVAAILAAPTEPSAPPSGLFRTSSLPTVAAAEAAKKQGVDELSCRRPSGGAEAEPAAARLPASGSPSSGSSDGEGRRLEVNMTDTLMRTSSLPAGIEDEWRKRKEAQSLKRLEVKRKRIERRNSLTSNISKEAVGQILEEMNAGAEKVESCDDVATGNKKTGGNVNHSSDRNRCTGLPPVHRATYTQQRGSLSGIPTKHIPAMKGSADAEEHNVPSAATEHRNGAAIATPPFSALAVRAVALASRGEQLRATGRVAARAKSMGDVERIMMQEMPCVCTKGLPNGKRVEGFLYKYRKGEEVRIVCVCHGSFLTPAEFVKHAGGGDVANPLRHIVVNPIPPSLY >OGLUM03G08400.1 pep chromosome:ALNU02000000:3:6224046:6224551:-1 gene:OGLUM03G08400 transcript:OGLUM03G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLGSARAVSPSCDVCPAAAWSASAFGSSSRGGARAAPRVVDGSTRVARRVRGCLPFRRNPTPQKRKRADPEKEDFFFLPLSLSLLLPSHLRRGEAQARARVPRNGGHLVPQGILALLLLLLGCESLL >OGLUM03G08410.1 pep chromosome:ALNU02000000:3:6228500:6230971:-1 gene:OGLUM03G08410 transcript:OGLUM03G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAMKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >OGLUM03G08410.2 pep chromosome:ALNU02000000:3:6228500:6230971:-1 gene:OGLUM03G08410 transcript:OGLUM03G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRHLAVFTTASLPWMTGTAINPLFRAAYLAKDGDREVTLVIPWLSLRDQELVYPNKIVFGSPLEHEAYVRRWIEERISFRPSFSIKFYPAKFSKELRSILPVGDITECIPDEVADVAVLEEPEHLNWYHHGRKWKNKFRRVIGVVHTNYIAYVKREKNGQAIACFMKYMNTWVTRIYCHKVTSTPYMTTVKIPRIMIIRLSGATQDLPRSVVCNVHGVNPKFLEVGKLKLKQLRNGEIAFTKGAYYIGKMVWSKGYRELLDLLSKYQSKLVGLEVDLYGSGEDSDEVRKSAELLSLAMKVHPGRDHADPLFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRTYDSGEEFVQLTLDALAEQPAPLTDMQSHDLSWEAATERFMEAAELNLPISAEPRIHQTSKASLPAFMRTRKLKQSLEDASVYLHQALSGLEVTRRAFGAVPKTLQPDEQLCNDLGLAPAKKKRLKLKLMT >OGLUM03G08420.1 pep chromosome:ALNU02000000:3:6233892:6237896:1 gene:OGLUM03G08420 transcript:OGLUM03G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPINCKASKINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >OGLUM03G08420.2 pep chromosome:ALNU02000000:3:6233892:6237896:1 gene:OGLUM03G08420 transcript:OGLUM03G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFVESQREARPELADPYADLADLYQRKLWHQLTLKLDHFLQLPAAQTGDTLIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAISFLEGVITKLRETKDLRINEPILYVKMQIAAINLEKGNQKECKKLLDEGKSTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALSAQPALVQNERKLLEKINILCLMEIIFSRASEDRTIPLSVIAERTKLSISDVEYLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >OGLUM03G08430.1 pep chromosome:ALNU02000000:3:6241355:6244951:-1 gene:OGLUM03G08430 transcript:OGLUM03G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPGPYQHGMPLVQNQAYPFAQMHQMPMLPQQRGYAQMPMPGPPSQPPPPQAMYQAHPQYPMPGSLPPPPPRPPSFAPENALPPSSPPPPPPPPPPPSSPPPVPPSPTAAPTTGQSWNSEPERKEGATATDVGHDVKTEKVTNQLIVSDDSDMDMDADEDSPSREHVSPINSSFATAECTGNVNTRKPACDVSNLGKDSGGKAKTTNVTDEGRSTFQLIQGYASDDSEDEDGAGAVSNLVPLTENNEPVHSIGTNPDIGHQLLTEAAPCTERSLEDREHQLMSKSNPVKHDSDELGHPVKEDLSGNDSDRGQQTRRHDRSQWKRSRGQSPQGRRSCSPLSQSLSSGRQSNSPLAKRANLLESKSPDGVGQTFRAQPGVKLGISKDGFYNDKHDSPVKVATPFDIHPAGGHISGDRISEQDGLMGTKKFNGSPDDIDCNEKTNDASVGSFGPHGHGAVLTCGPSQSVASSANGSDPHKMQRSGRASIPQSDMDKSSLGAHQSLSSQPPGISFATVHATEKNMMCDVLQPHSQNLCPPGQMPSGLRPAHIPSSNITPLPGQQLLSTPEFPQMHFQPNVMAPANEFLQSQMQTYPAPDLPHPRPLDFHPHTLQPVVPPHQQPAAMVHSSFQRFTPNLPGSTEFGAISDTDLPKSSIKPHYNPFASTFEQTDPTLNIGCDVIPNPVGSASTKAAEHANALSPFGLSVPGSGTHVRENSAEIVSSRQKQPHREFTSSAPYDPLLDSIEPSSSSINKMDLGREANLSASNHNASKIVNIEVESKNMHGLGLVAESEVEEFGEVAADTEAGVVDNLSPEPLGAKDWSSDMPGDIDNDESVDKNKRTKDSRSMKLFKVAIADFVKEVLKPSWRQGNISREAFKTIVKKTVDKVSSSVPNNHIPKTPAKIKQYVQSSQKKVTKLVMMSWYLCARSLPATW >OGLUM03G08440.1 pep chromosome:ALNU02000000:3:6245166:6245594:-1 gene:OGLUM03G08440 transcript:OGLUM03G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPEKVSRRRRSIPAASRGVTGGEPPNKPLASPLGGFCSSRKESAVEGRLRTRGEHIVAD >OGLUM03G08450.1 pep chromosome:ALNU02000000:3:6247545:6249802:-1 gene:OGLUM03G08450 transcript:OGLUM03G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSRASRFKMSNLSEPSKEAASADDSSAVQKTGAWSNTLNILLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVVGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLASMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLEMLLMGELNQMKGDSAKVTNWLSSDVILPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKAEPKEENDEEQQKLLEMQQGLESSSTQKQASS >OGLUM03G08460.1 pep chromosome:ALNU02000000:3:6280510:6288132:1 gene:OGLUM03G08460 transcript:OGLUM03G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant-specific transcription factor YABBY family protein [Source:Projected from Arabidopsis thaliana (AT1G69180) TAIR;Acc:AT1G69180] MDLVSPSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSFLSPRPPMVQPLSPTDHPLGPFQGPCTDCRRNQPLPLVSPTSNEGSPRAPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSSTVSTSNSNPEPRVVAAPIPHQERANEQVVESFDIFKQMERSG >OGLUM03G08470.1 pep chromosome:ALNU02000000:3:6292505:6300586:-1 gene:OGLUM03G08470 transcript:OGLUM03G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSLLCDAEVALIIFSGRGRLFEFSSSSCMYKTLERYRSCNYNSQDAAAPENEINYQEYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEVSLKQIRSRKNQALLDQLFDLKSKEQQLQDLNKDLRKKLQETSAENVLHMSWQDGGGHSGSSTVLADQPHHHQGLLHPHPDQGDHSLQIGYHHPHAHHHQAYMDHLSNEAADMVAHHPNEHIPSGWI >OGLUM03G08480.1 pep chromosome:ALNU02000000:3:6305638:6311205:1 gene:OGLUM03G08480 transcript:OGLUM03G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIISMELILMEEFNSLLDGGPKQYLQGKTLPTQNIDMHKYDMLQCKCKCKGERKGKEKGRGRKRREASFMVHLGLEEYLGAAGNGLDGAQQLLCGSIGN >OGLUM03G08490.1 pep chromosome:ALNU02000000:3:6311078:6313274:-1 gene:OGLUM03G08490 transcript:OGLUM03G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSQYPFNSDGLGWSGSIRTDDMRFLEYYDLGHCNNYATSMAAKTRRSSNAQLADAEGMKGASQLKDTNFLNDEKGYKLSAELESSHRNLIRSTWFWTHQGGPYKVWKFEIDNNMIENKTERAIVVPHLAHFQLHPNTPRGPNVPEIKETDGAIFY >OGLUM03G08500.1 pep chromosome:ALNU02000000:3:6314705:6323833:1 gene:OGLUM03G08500 transcript:OGLUM03G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MQQQQQQQSRREAAAPFVSASTALLPPPSNPSIHPLLAPSASFLARARAPAMGCTSRNPTHCASIKSNQTHSRLVVQHCNHCKYSAPGGSRDLCEHSFFHLGQEDIQEEVDRATMGSLGEVVEHGVSKDMLPFDGHPDPVVDELNRLENLLREKDRELGHAYSEIKGLKVTEALKDKAIAEFAAEATLRRIHSSQKDEEVVPFDAIIAPLESDIKAYRHEIALLQDDKKALERHLKLKEAALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKSNRQQVLDIERLTHTIAELEESILSTGDVANAVRFYQNQAAKLNEEKRTLERELARAKVYVNRVASTTANEWKDDADKLMPVKRWLEERRLLQGEIQRLRDKIAMAEKSAKAEAQLNDKLRRKLKALEDDMRNESSNTSASNKDNATSKQATPKRSSSQPRRPIISADGADKRRPASQPRASVSGKVLNKQPGSETEAAEKNRHAAAKRFDSPRSAKSVAAGGRGERPVRSHLWAHRSKVADDAGKENKEQNPNYKAHLGDSHADGDCGVQCSEHEEAMDLRKLDEGKADDSDAVKSTKDSCEI >OGLUM03G08500.2 pep chromosome:ALNU02000000:3:6314748:6318252:1 gene:OGLUM03G08500 transcript:OGLUM03G08500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated proteins 70-5 [Source:Projected from Arabidopsis thaliana (AT4G17220) TAIR;Acc:AT4G17220] MGLTDMWDHLWGQTVIETQSSPPPRPFGRRRRSPSTRLRRRNKAAIGGADLRASFVAEVHRILPRIRVDMLPKLTFGVALVPALDYATAAAAAKPA >OGLUM03G08510.1 pep chromosome:ALNU02000000:3:6324669:6330535:1 gene:OGLUM03G08510 transcript:OGLUM03G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal-5'-phosphate-dependent enzyme family protein [Source:Projected from Arabidopsis thaliana (AT1G55880) TAIR;Acc:AT1G55880] MAAATAAAGAAAAAAAVSLLACYLLLHRDGAKLPWVGPTTRSSRSSGRRTRRKGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLLCGGTVTEGSAGSTAISLAIVAPAYGCKCHVVIPDDAAIEKVSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKSAAAQRESRYKETNGSAHVNTRIMHSKITAGKGESNKALTNCSANSEIQYNGKCDHDSDSKGGFFADQFENMANYRAHYEWTGPEIWQQSKGTLHAFVAAAGTGGTIAGVSRYLKEKNTNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTKNFMVAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVAQDLGPGHTIVTILCDSGMRHLSKFFNDQYLANHGLTPTATGLEFLD >OGLUM03G08520.1 pep chromosome:ALNU02000000:3:6328770:6330800:-1 gene:OGLUM03G08520 transcript:OGLUM03G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G16390) TAIR;Acc:AT4G16390] MASPSSLLSWPHRAISLSFQPKNPSPSPATARVSVQDPPPPPSDANPSPGRSSNTSRYVWVNPNSPRAAGLARARAGSGRRARLAAAAAALAACEAGEAPVAAALEAAFPEPPSEQDAVIVLNTTSARPAAVVLALRWFLRNAEVRKEVILYNVALKALRKRRRWSDAEALWEEMLREGVQPDNATFSTVISCARACGMPGKAVEWFEKMPDFGCSPDMLTYSAVIDAYGRAGDAETALRLYDRARAEKWQLDPVICATVIRVHSSSGNFDGALNVFEEMKAAGVKPNLVVYNTVLDAMGRAMRPWVVKTIHRELVSQEAVPNKATYCCLLHAYTRARYGEDAMAVYRVMKDEVMDIDVVLYNMLLSMCADIGYVEEAEEIFRDMKASMDSRSKPDSWSYSSMVTLYSCTGNVAGAEGILNEMVEAGFKPNIFILTSLIRCYGKAGRTDDVVRSFAMLEDLGITPDDRFCGCLLTVAAGTPADELGKVIGCIDRSSAQLGAVVRLLVDAAAPSEPLREAAGELLGGARGVVRMPYCNCLMDLAVNLSQMEKACALLDVALRLGIYSNVQTRTQTQWSLHLRGLSVGAALTTLHVWMSDLYAALQAGDELPPLLGIHTGQGKNTYSDKGLAAVFESHLKELDAPFHEAPDKAGWFLTTSVAARHWLETKKSAELVAV >OGLUM03G08530.1 pep chromosome:ALNU02000000:3:6338607:6339500:-1 gene:OGLUM03G08530 transcript:OGLUM03G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEGGGGAASVRDQPPHDFANVASFSELPFLRPAPAPRESPNNSAIRLFGIDVPHASSSPDGKASKESVVVSTAAAAASTHGAAAAAIAAAADSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQTAIAAAAAAASGAHHHHHHHQLLGYPQHHHRFGLAGPTVAALYQHPSWPTMSGGGGGISSIGPQFYSGIGSVTQPINGSPLPAGLWRPGPMAAPPLLAGERRPVAVSTSSVFRGDQPRASASLVASQTASSSLLLSPQGQFACEQPPATTAAEGVSLDLHL >OGLUM03G08540.1 pep chromosome:ALNU02000000:3:6354515:6355945:1 gene:OGLUM03G08540 transcript:OGLUM03G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSRAGRHGEVLRLFRSLPFAPTAPLFTTLISSLAASGRPLAARAAFASLLKSGVPPTASAFTALLRSSSDALDFVDSVFRAMEALGCSPDAAVYNWVISMLCDFQLVQEALGFLDHMLENGPRPTARSFTAILRAYCEQGRFFDAGRLVDTMIQNGCPPDVVSYTVLIEGLCRVGEFSTVEMILGESESQGWMPTAVTYNIYMSGLCRMGFLDEAFRQVDIMRGRGLSVTAETVHILFDCLCRNAMFSEAVCLLEHSEELGWDVDVFCYNTLMSRLCDVDDFARVLKLLVDLLKKGIGPDKFSFTIAIRSLCRAGKLRLAKCLVENKGIKYDVVAFNTLIHGFCIAGDLDRVQQTRTDMINRDVIPNNFTDAMLIDSLCKERKFGEAKRFVLESLVNGLVPDHLIRLNNWLVKAKKMTLLLKLLYEIRCKGIVVDTSIISPLVRVFCWEGYCRRDNFYQISPILDIIVTYVEQ >OGLUM03G08550.1 pep chromosome:ALNU02000000:3:6357931:6359010:-1 gene:OGLUM03G08550 transcript:OGLUM03G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPNALTLASVLPACARLGDLALGRAIHGLWLRRGGGHGANPIVDNAVLDVYAKCGALASARRLFDEMPERDVFAWTTMVWGLARSGSPQDAVAMFRGMLSDGDAAPNDATVVSALHAVATSGSLVSCKLLHSYAVKQGLGGELVVGNALIDAYAKCGDAWLAFKVFVELPETDMVSWGTITRAMAVHGRCREALQLFSLMLRRGVRPDGAVFLALLTACCHAGRVDQALLFLGAMARVYGISPRREHYTCVLDACGRAGQLDRAGEIFRQMPAEYDAEKALGVYCSYAVSNGVAGVAGERLPELFLDAEVDAGGGTYAVVSKSLADAGRWEDACAVRERMAERRIEKEAACTWIEV >OGLUM03G08560.1 pep chromosome:ALNU02000000:3:6360262:6361314:-1 gene:OGLUM03G08560 transcript:OGLUM03G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGTGIGADEQELPLFHPSPCAYYVQSPSAASHTLSHPASESTAIILSPFPDAAFAAPRHSRVVDDATAHDHDVDQEASRLTLSRYSSSRGSNNSFLAADKKLPAGGGHRGRQVLSGRSGGAVDDEEEDDGEGARSGAWRYVKLDPDAPCCCIVFQVAWRVAVSVAFALLVFFVATKPRDPGVTFKVGKIQQFSLGEGLDGSGVITSFLSCNLTVAMAVDNHSRVFTLHVRPPRLDMSFGRFTFATSQGVEEEGSSYDVGARGKRTVRLFVAAEGKPMYAAGRGMQDLLESGGGLPLAVTVRARSRYWMVGSLVRLSYRHDAQCVVRLRRTPRRNNAIDASGYTCSAIR >OGLUM03G08570.1 pep chromosome:ALNU02000000:3:6363809:6364081:1 gene:OGLUM03G08570 transcript:OGLUM03G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEETRLCEEKGKRRRCARRCSEHRRQQEKDEPAVLVKREHPSGAVAVDAARHERLDRAVASDSAAATCDLWSAFDSMTRRKDTLDGLKL >OGLUM03G08580.1 pep chromosome:ALNU02000000:3:6378165:6382693:1 gene:OGLUM03G08580 transcript:OGLUM03G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolases family 31 protein [Source:Projected from Arabidopsis thaliana (AT5G63840) TAIR;Acc:AT5G63840] MDPPPRPRPHRVAVLLLLLLASSPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLDATSLAVATDGSLTASLSHPSRLRPLLLRLSALPPHALRLQIDEDYSSNTPPHRRFQVPDVLLPDVEARTLHLPQPKTSAAGVSTFALSSDVDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQESKQEGETWEEQFRSHTDTRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIAHGDGPSSGFFWLNAAEMQIDVLAPGWDGASSTENGRIDTLWMAEAGVVDAFFFVGSEPKDVIKQYISVTGTPSMPQQFAVAYHQCRWNYRDEEDVAGVDSGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEVMQGKIADKGRKMVTIVDPHIKRDSSFHLHEEATAKGYYVKDATGKDFDGWCWPGASSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDAVHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAIWTGDNSADWDHLKSSIPMVLTLGLTGMTFSVGALTGADIGGFFGSPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTALMREAIHMRYSLLPYYYTLFREASVTGVPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGEELWYDLRNGSPYKGGVSHKLEVSEDSIPSFQRAGAIVPRKDRFRRSSTQMVNDPYTLVIALNSSSAAEGELYVDDGKSYDYQQGAFIHRRFVFADNKLTSMNIAPKNLGNKKFSTECVIERIIILGVSSGSKKAIVEPGNHEVDIELGPISLRSGSSSVAPTVRKPNVRVVDDWTIRIA >OGLUM03G08590.1 pep chromosome:ALNU02000000:3:6383494:6394222:-1 gene:OGLUM03G08590 transcript:OGLUM03G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3Z6] MDGYSTGSGPLSRQRARRERFYGLFIREIGKPREAAAAEAAAYSSIGAAADVVISGASASLPLREAGISTGASGSLLGCEHREHVQLRLRQEQNTYVSPPGTGMAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAAPEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPCTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVSHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >OGLUM03G08590.2 pep chromosome:ALNU02000000:3:6383496:6387743:-1 gene:OGLUM03G08590 transcript:OGLUM03G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3Z6] MAGLKKMEEVTAAAAAVAASSTAEKRAAAVVVPDAALTMNGAAGAEEKTAAAAAAPEDLPAPAALSGWPRRVGLYLFVMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLVDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKCIEENSSQDLEKASPCTNPSDQGCKRKYIPSVTSALIVGSFLGLLQAVFLVFSAKFVLNIMGVKNDSPMLRPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDAANIILDPILMFVCHMGVTGAAVSHVISQYLITMILLCRLIRQVDVIPPSLKSLKFGRFLGCGFLLLARVVAVTFCVTLASSLAARHGPTIMAAFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDKGKVVVATSRVLQLSIVLGMGLTVVLGVGMKFGAGIFTKDIDVIDVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVGVAAISIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWVFLRK >OGLUM03G08590.3 pep chromosome:ALNU02000000:3:6388182:6394222:-1 gene:OGLUM03G08590 transcript:OGLUM03G08590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z3Z6] MDGYSTGSGPLSRQRARRERFYGLFIREIGKPREAAAAEAAAYSSIGADFDGRRPEDARAVI >OGLUM03G08600.1 pep chromosome:ALNU02000000:3:6407122:6409840:1 gene:OGLUM03G08600 transcript:OGLUM03G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKKLHLASISALSIFLYVFAHTNSAQAFPVADGTYPPEAEGPAAESSDMDEQLELTPGPQPRVVDVDDYRARADAGDHTEAFLQAWKEACNSSDYPSVLLVPEGKTYLLMPVSFNGPCRATTITATIRGTLEAPSNRSVWLDRDLQEWITFDNIDHLRVLGGGTLNGNGHQWWINSCKTNRSMRCVTGPTALYFRRCNHLVVEGLQIRDSMQMHVVIAYSWRVLVSRLLITAPGWSPNTDGIHVSNSREVLMSGCIISTGDDCISIVTGSMFIRATGIFCGPGHGISIGSLGANKSWAHVSDVLVEKATLVGTTNGVRIKTWQGGDGHAERITFQDITMHNVTNPVIIDQNYCDSMTPCHEQGSAVAINNIRYRNIRGTSSSKVAINFVCSNSVHCDGIVMQDVSLVGEGSYVSCSYMNARVVELGYNFPYCRAEM >OGLUM03G08610.1 pep chromosome:ALNU02000000:3:6413804:6419407:1 gene:OGLUM03G08610 transcript:OGLUM03G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRAAAKCQHEPATPPAPRILNMPRRPAGGGRPTRSAGRAQQQQRMAAPGAVNLGALFEMERRVRGLESAPASPPPYSRAARSQEDAGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGRGDVGVAAALDEGIEDLEEMMEDLRVEKESGRRAVSSRRELQRSNGRNFDRQASSLRRRLEKMPPADAEPCVKDIREIALPVAPQSPPPPAEHSDVDDDHSNSPNLSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAGGDNNNAADDCQSRKCGSRHSRKPSASSQRSWSGGSTNAGASRDTAASHGRSRHTVAPEKHHHHHQQHKIMSEECKMVGSGSCCDCREIVGKIMEQVRTESEQWTEMQDMLEQVRLEMQELQSSRDTWQRRAIASDISLRSLNSQVLEWKHRAQVSEQHVEDLQKKISELESKLHTFKAHFPSPGQPNQEWSEACKMEKPIRSNKAQHPPRPSHEPGGGREKEKHVLICRVKHSPSVAAKRQPFQEIGNISLPRHAPMKR >OGLUM03G08620.1 pep chromosome:ALNU02000000:3:6418782:6419222:-1 gene:OGLUM03G08620 transcript:OGLUM03G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLRLLAIGAAAVPSMRGSRHPRGRRADAVSRPPRSSSFLCQSSSPSEPPGSPAGGGGGGGGGGGGGGGGGGGKKSAWWAAAMAERVFGDAAKAGRAVRESLSPKQKGDWKDVTLMSFSFAVYVYISQKIVCTYCAWISMINH >OGLUM03G08630.1 pep chromosome:ALNU02000000:3:6421532:6430014:1 gene:OGLUM03G08630 transcript:OGLUM03G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGLNRLMSVQREQRCRQIEANRLLASTDKRKGSPCKQDNSRCSKRERYSQPDLPEDIWRLIHSLLSLQDAARAACVSRAFLCLWRCRPHLTFSLQTLGLSKMACKKGGIARDYNKKVDRILKKHSGTGMKKFEIEFYGPSNANTYYHLNNWLEIAITSGIEELTLRLTPDVTKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTSLDLCLVRITDRELGILLSNSLVLEKLGIKYCDKINCLKISCVLERLSSLEVFECYSLQMVESKSPNLCSFCFGGEQVQFSIGEPLQMKNLQVIFPNSISFGRAELPFSMPNLETLNISSRCEMSHTPTAPGKFLHLRYLSITFAGWRFSRAYDYFSLVSFLDASPLLETFILCILQKGKHDLTLRDPIYPRQMSERQHDSLKNVKINGFSSTKSLVELTCHILQNTTSLECLTLDTTRIEFRCSDSSVDVCLPSDRDAIKGAHKALLAIRTYIEGIVPATVKFSVLEPCRRCYAW >OGLUM03G08630.2 pep chromosome:ALNU02000000:3:6427285:6430014:1 gene:OGLUM03G08630 transcript:OGLUM03G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIWRLIHSLLSLQDAARAACVSRAFLCLWRCRPHLTFSLQTLGLSKMACKKGGIARDYNKKVDRILKKHSGTGMKKFEIEFYGPSNANTYYHLNNWLEIAITSGIEELTLRLTPDVTKYNFPCSLLSDGRGDLIQSLHLSHCSFRPTVEVVSLRSLTSLDLCLVRITDRELGILLSNSLVLEKLGIKYCDKINCLKISCVLERLSSLEVFECYSLQMVESKSPNLCSFCFGGEQVQFSIGEPLQMKNLQVIFPNSISFGRAELPFSMPNLETLNISSRCEMSHTPTAPGKFLHLRYLSITFAGWRFSRAYDYFSLVSFLDASPLLETFILCILQKGKHDLTLRDPIYPRQMSERQHDSLKNVKINGFSSTKSLVELTCHILQNTTSLECLTLDTTRIEFRCSDSSVDVCLPSDRDAIKGAHKALLAIRTYIEGIVPATVKFSVLEPCRRCYAW >OGLUM03G08640.1 pep chromosome:ALNU02000000:3:6433387:6435344:-1 gene:OGLUM03G08640 transcript:OGLUM03G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNMLSS >OGLUM03G08650.1 pep chromosome:ALNU02000000:3:6443887:6447547:1 gene:OGLUM03G08650 transcript:OGLUM03G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLFEICLCQRLEDENVYLDRLYGIEENDIGALIHFSHPGKVFPYVNLSSCVSPITRTVLKVIYKTFRISYMETINDLLTILRGAEGTRWWMTMASSEATVMAKDRFAVRGVLGLGDCNYGVHVEVPATTRQSSMMALTVANSPCSPAVHHHRWLLLALCVFVCIPLRRNEAYGDGRCGIQRVHKVYGAMKL >OGLUM03G08660.1 pep chromosome:ALNU02000000:3:6448607:6452038:-1 gene:OGLUM03G08660 transcript:OGLUM03G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFSWKTMGLNKVPHKKGGIVRDYNNKVDRIMKNHSGVSVMLVLSFRLVCQILKLLMSFRLVRWWIHQLALANSSTLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >OGLUM03G08660.2 pep chromosome:ALNU02000000:3:6448607:6452038:-1 gene:OGLUM03G08660 transcript:OGLUM03G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFSWKTMGLNKVPHKKGGIVRDYNNKVDRIMKNHSGGIKTFSLELHPFSNANTYYHLNSWLEIAITSGIKELTLVLTSNEEKYNFPCSLLSNGSGDSIQSLRLVHCSFCPTVGLVSLRSLTRLHLSCVRIIDTDIEYLLSNALALERLEIKRCGEINRLTIPCLLQRLSFLGVFGCFGLQMLESKAPNLCSFHFEVSVMLVLSFRLVCQILKLLMSFRLVRWWIHQLALANSSTLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >OGLUM03G08660.3 pep chromosome:ALNU02000000:3:6448607:6452038:-1 gene:OGLUM03G08660 transcript:OGLUM03G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRWWIHQLALANSSTLRYLRITFATWRFSWAYDYFSLASFLDASPSLETFILCISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >OGLUM03G08660.4 pep chromosome:ALNU02000000:3:6448607:6452038:-1 gene:OGLUM03G08660 transcript:OGLUM03G08660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISRLDPRYHRARGLHELNRLMSLRREQRRLQIETYQFLAQCAKRKESPCQQDNSQCVKRMRYSGPDLPEDIWHHIHSLLPLQDAARAACVSQAFLRFWRCHPNLIFSWKTMGLNKVPHKKGGIVRDYNNKVDRIMKNHSGGIKTFSLELHPFSNANTYYHLNSWLEIAITSGIKELTLVLTSNEEKYNFPCSLLSNGSGDSIQSLRLVHCSFCPTVGLVSLRSLTRLHLSCVRIIDTDIEYLLSNALALERLEIKRCGEINRLTIPCLLQRLSFLGVFGCFGLQMLESKAPNLCSFHFEGKQAQFSLEEPVRLKNLKVVFPNSVCYARVELPFSMPDIETLNVISSCEISQKEKHDLTFKDPICLRQIPEHRHDKLKNVKITGFSATRSLVELICHIMENTTSLECLTLDTLCTQLRCSDGNIDVCLPLDQDVIKGVHNSLLAIRTYIEGKIPSTVKFNVREPCSRCHGWIGARYTGWLRPFIGARREQGTVVIGDRVG >OGLUM03G08670.1 pep chromosome:ALNU02000000:3:6454159:6461594:1 gene:OGLUM03G08670 transcript:OGLUM03G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRSYGDDMDDDRRRFYDRGPPPPPPPPRRRPGDYDGDGFDRRKGFSGGGGGGGGFYDHRYRESPSPRGYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGGGSSAWRRQSGGWRDSEGLDGYRAAPRRSGASPPTPPLRLPSESSKRFDGARVEKSRKQSFGISEMEEGEVAPDPETKVRAAAVDHRKQIESSGHAKEKGPERGEVKKVESGVRGDLGAHGKGVAGSSAARNAGREEGKIKDSMIAEAGTVTHTRHEKSTSDAAVSTGRGHEVQGQDEAANALNQVGQCVSSSGSHKVPQEETMIRDEAANVADAIGQSTSSDIQQEAVCEKAAVRDETANAAEEAGQRTSSSVHEEAIHEQGTIRNETASDVDEAGQSTSSNIRKDAIQQKATIRDETTNAVDEAGNGTSSSNQEAAIQEKVPVQDETTNAVDESGLGTSYSIHQEGLQEEAMALDEAVDAADVVRKVSLCGMHQEALLGKTRDQTANDVDRVECSTSSGLLQVTPQEGMASIDGTANAVEPEKIDSDMLKETIEGELVLDGTADVVGEGNSPSTVKEAMHGKITAEDGCPSTALEIAEKCKQSAITEELVHEKVATSPSQGAPEMKKNEKGTISSKKMSESFEPDASQHVEEALPRDGRENIVALSDTEVPEQEAAAENKTIEKEVKGFCLEANSVGANVFLQPSKECNGDRKEEGTALNLIMGKPSAEDKGKGIAFDVLSKEEDIGVGSSVGRSFDLALQPDIDRTEVLKSSGTVSVKQEDDTPKIGRLDLSLSLSGCLQNPEFKCSVPRSESLDLATCSQMLPSSSFRTNSEGFTGSVSLTNSQTFVHNPSCSLTQQSLDNYEHSVGSKPLFKGVDKLSDSTRWQAQLSNESTKREPTAVLQNTVKYGNLPDKTFLGVNVQNNGISKDIHRRAGISGVLSPTHSRDSHDSGFEQSRHRRQLTRERSSSSLTRGERQDGQQLVLNGAGVIERIISKIVSEPLHHTGRMLDEMTSNSVTYLREAISDIIADADKRGQVVALQEALKKRSDLNSEMLQRCPRVLLEILVAIRTGLPDFMKKSNSIGTCDLVDIFLYLKCRNLSCKSILPVDDCDCKVCQRKTGFCSSCMCIVCSNFDMASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGGSGSRAYSTNELQFHCAACGHPSEMFGFVKEVFRTCAMQWRMETLVRELQYVERIFSSSDDARGKRVRDFVKQMIIKLENRAYHPEVVKYIMAFFSDDNSNSGSGTSVPLKGIPCNIAERIDGIPSSSRKAPSWLPSVTLEGVPFLEKQGVISTTGSPSTLRKFGGTDFQTVDNKPTVDELDALIRLKQAEANMYQQRANDARKEAETLKHVTMVKHAQIEEHYATQIGELHINELQEQRKRKIEELQRLYVGHVRAWECAGME >OGLUM03G08670.2 pep chromosome:ALNU02000000:3:6454279:6455164:1 gene:OGLUM03G08670 transcript:OGLUM03G08670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTARLSRQTPVKKLTHPRHHHAAPLRLLPPPPPPPVIKRVGVSNIQTHHRIPNSPPTTTTAARTPERPNPTPHHAPRRCGPVPASPVPPPRSGSASPSRGAGVPGLPHRRRPGPAARRRPRVCPAAPLPLVVVGEKGKEKQRGGGVLTAVLSGVVKKSPTRVQIQVTAVDRDRGGSEVVAGSEREEEGDIEGRSDPEASGGRAAKPAADGGVGAVLQPPPSSADRRGS >OGLUM03G08680.1 pep chromosome:ALNU02000000:3:6461065:6465369:-1 gene:OGLUM03G08680 transcript:OGLUM03G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G26340) TAIR;Acc:AT5G26340] MAGGFSVSGSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLREFFPTVLKKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQNLAMLIVGRILLGCGVGFANQASNFPIILSLQAYSIISFHIISYGVKQKIRTGNLLAELVPLGEYVQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIHPWGWRLSLSLAGIPAALLTLGALFVVDTPNSLIERGRLEEGKAVLRKIRGTDNVEPEFNEIVEASRVAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKTDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDRSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFAFFSAWVVVMSLFVLFFLPETKNIPIEEMTERVWKQHWFWKRFMDGADKHHVVPNGGKSNGATV >OGLUM03G08690.1 pep chromosome:ALNU02000000:3:6470990:6472011:-1 gene:OGLUM03G08690 transcript:OGLUM03G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRQRRHLPHLTLPLDHFALRPPPAPPQQQQPAVAPSTSSDVRLSDFERISVLGHGNGGTVYKARHRRGCPAQQPLALKLFAAGDLSAAREAEILRLAADAPHVVRLHAVVPSAAGGVEEPAALALELMPGGSLAGLLRRLGRPMGERPIATVARQALLGLEALHALRIVHRDLKPSNLLLGADGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVAILELYLGHFPLLPVGQRPDWAALMCAICFGEAPEMPAAASEEFRDFVSRCLEKKAGRRASVGELLEHPFIAERDAADAQRSLAALVAEAEQSGDL >OGLUM03G08700.1 pep chromosome:ALNU02000000:3:6478616:6480352:-1 gene:OGLUM03G08700 transcript:OGLUM03G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat-shock protein 70T-2 [Source:Projected from Arabidopsis thaliana (AT2G32120) TAIR;Acc:AT2G32120] MTEQLYTVASDSETTGEDKSQMSFPDVAIGIDIGTSNCSVAVWTGHQVELLKNTRNQKGMRSYVMFKDDSLSAGVTGGATQEHGHEERDILSGSAIFNMKRLIGRMDTDEVVQASKSLPFLVQTLGIGVRPFIAALVNNMWQSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAIALLYAQQQQQLLHDNMGSGIEKIALIFNMGAGYCDAAVAATAGGVSQIRALSGSTVGGEDILQNVMRHLMPDFDSLYAGQTMDRIKSIGLLRMATQDAIHKLATQEHVEINVDLGGGHKVSKILDRGEFEKVNQSIFEKCEGIIKQCLADAKLTPEDINDVILVGGCSRIPKIRSVVLGLCKKDDSYSGIDPLEAAVSGAALEGAIASGVSDPSGSLDLLTIQATPMNLGIRADGGNFAAIIPRNTAVPARRDMLFTTTHDNQTEALIAVYEGEGNQAEDNHLLGYFKITGIPAAAKGTVEINVCMDIDAGNVLRVFAGVVKPQGQAVPPFIEVRMPTLDDGHGWCGQALAKMYGSTLDLATIPKKLHP >OGLUM03G08710.1 pep chromosome:ALNU02000000:3:6484760:6485951:-1 gene:OGLUM03G08710 transcript:OGLUM03G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGCHGASQGAAAVGTARHKRGKDGAVSVLEPAAGMAMAAGAKAAAVVPTRRRRDARRRSPSDLRLLAGSGGWPAVV >OGLUM03G08720.1 pep chromosome:ALNU02000000:3:6492755:6492925:1 gene:OGLUM03G08720 transcript:OGLUM03G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGAIVGVDRRRARRTRPPATSSDVVWTMEDAAVADELRRGAWMTEDAAAGDEL >OGLUM03G08730.1 pep chromosome:ALNU02000000:3:6499149:6502252:1 gene:OGLUM03G08730 transcript:OGLUM03G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKVDAKEREKIEAVRKTLRKQAPLTAKQAMYCNDACVERFLRARGESVKKAAKHLRTVLSWRDTIGADHIIADEFSAELADGVAYVAGHDDEARPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVSCMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAVVCSLDFEDSLEDASFTAYPRTASLRFEPAAALLATGTKGIGVGSASSRFSVTVSHDNTLKPWYLSTTTPSSVGPRSVVPTSSPSLIGASPLSARSFSFASPAARSTTTPPVHRGAPLTPFSTKGGQKAPALAQQFPRTPRPSFLQSPSMLFAFKKDGQASRGERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLIAIVDEKSKQHKTVQPPLRRHAGLHHQQLFQLHHHQRI >OGLUM03G08740.1 pep chromosome:ALNU02000000:3:6504735:6509791:-1 gene:OGLUM03G08740 transcript:OGLUM03G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAGGLKGVALIGGAGGNSAVAGALHFFQDPSTGYTEVRGRVTGLAPGLHGFHIHSFGDTTNGCNSTVKQQEMQEQELDAAIK >OGLUM03G08750.1 pep chromosome:ALNU02000000:3:6510446:6513878:-1 gene:OGLUM03G08750 transcript:OGLUM03G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1 tubulin [Source:Projected from Arabidopsis thaliana (AT1G64740) TAIR;Acc:AT1G64740] MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTTPGIARDAFNTFFSETSSGKHVPRALFVDLEPTVIDEVKTGPYRQLFHPEQLISYKEDAANNFARGHYTVGREVVDLCLDRLRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAFHEQHSVPEITNSVFEPASVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTRRTVQFVDWCPTGFKCGINYQPPTAVPGGDLAKVRRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDDEEQGEY >OGLUM03G08760.1 pep chromosome:ALNU02000000:3:6515214:6517136:-1 gene:OGLUM03G08760 transcript:OGLUM03G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z419] MAALPAYLLLLLLVLVILRPAAAPGAASQPPTSEPHLPPPLLAQKVQVWPKPTSISWPYAVYAPLSPSFSVRAVLSHPSLRQAVAFYTRLIRAERHAPLVPPANYTLSRVPVRTLTLSVSDPDVPLGPAVDESYTLSVLPDSGSADISAATPWGAIRGLETFSQLAWAGGGAASGGQPIVPSGIEIFDRPHFTHRGILLDTARNFYPVRDILHTLRAMAFNKLNVFHWHITDAQSFPIVLPTVPNLANSGSYSPTMRYTENDVRHIVSFAASFGIRVIPEIDMPVYSRLSVARFGSGHTGSWAGAYPEIVTCANRFWAPHAEPALAAEPGTGQLNPLNPKTYRVAQDVLRDMVALFPDPYLHGGADEVNTACWEDDPVVRRFLAEGGTHDHLLELFINATRPFVAQELNRTVVYWEDVLLGPKVTVGPTILPRETTILQTWNDGPENTKRVVAAGYRAIVSSASYYYLDCGHGGWVGNDSRYDKQEKEREGTPLFNDPGGTGGSWCAPFKTWQRVYDYDILHGLTDDEAQLVLGGEVALWSEQSDETVLDARLWPRAAAAAETLWSGNKGSNGKKRYANATDRLNDWRHRMVERGIRAEPIQPLWCSLHPGMCNLSQ >OGLUM03G08770.1 pep chromosome:ALNU02000000:3:6517298:6518721:1 gene:OGLUM03G08770 transcript:OGLUM03G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRPVKAAHSPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >OGLUM03G08770.2 pep chromosome:ALNU02000000:3:6517298:6519502:1 gene:OGLUM03G08770 transcript:OGLUM03G08770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSRPVKAAHSPSLRLLLPRSGREYAGAGKRIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >OGLUM03G08770.3 pep chromosome:ALNU02000000:3:6517982:6518721:1 gene:OGLUM03G08770 transcript:OGLUM03G08770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNGIAAVRRTVSMMSSRGTSAMLSRAARMRQKLQSALEASALDIEDVSYQHAGHAAVKDNANETHFNIRVISPKFEGQSLVKRHRMVYDLLTDELNSGLHAISIVAKTPKESGS >OGLUM03G08780.1 pep chromosome:ALNU02000000:3:6520658:6522379:-1 gene:OGLUM03G08780 transcript:OGLUM03G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box ATP-dependent RNA helicase 47, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G12770) UniProtKB/Swiss-Prot;Acc:Q8W4E1] MRLTVGQVHRHVLALASSRSCFVLGDNLPLRMLSLPRAVRFHQTAWLGTETVQDKSASLTLASLEGQNKVEYGKKEKATRIGGPKPSSRASALKVKPKVSSFNSKPAKSTLPKSAVVKKTLKIDESLFSAKSFEELGLPPLLIDRLNKEGLSTPTEVQSAAIPIISQKHDAVIQSYTGSGKTLAYLLPILSEIGPLKRPTEQDGSDKRSGVEAVIVAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPLIVVGTPGRISEISAGGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGRKSGTSSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLVRAMSVVPLDSITVPRPVLSQTDANPNSPSNSVNQAAVDSLPPSLEHYYCISKAQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGMKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVINLDLPTDSTHYAHRAGRTGRLGRKGTVVTICEETETFVVRKMRKQLAVPIKPCEFTEGKLLVHNEEDVE >OGLUM03G08790.1 pep chromosome:ALNU02000000:3:6525168:6532264:1 gene:OGLUM03G08790 transcript:OGLUM03G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDPDRGGGEPDISKLFCHYNALYFHDSLGTCAVSWATDEDPLPNREVGGCEYYPGGGGCIILLSRSLYGHHTDLDLKNALLHEMIHAYMCIKDSNDNHSDHGPKFQKLMNTINLNSVADPHRPLGGYSITVFHEIRKKFYIHKCESCGDLIKSTKIKGPSQDDCIEAMGANDSHKKRCTGSYHRVQGSSSGCVEGSKEAPDCKVEESAPGSWHNAHTSIKGGKGNKHELEETSAGFPPDDSIGISGMESSSRDTANKKIKLSKDIGLDRLTATTVQEAPKRPRTTSLKKNQECSRQKKRKISKWDGSYSVIIEWLNYYSVDESDEDEVPLINKRTERRKRQKLLKLVLARESNSGSEGASSTSFVENGRNSSSAGSYPLSQGDNDKSENVQANRVDGSSLPDHPVSSHVAAEDQAGQAASSPLNSPTRGIVVDISDG >OGLUM03G08790.2 pep chromosome:ALNU02000000:3:6525168:6532264:1 gene:OGLUM03G08790 transcript:OGLUM03G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >OGLUM03G08790.3 pep chromosome:ALNU02000000:3:6525168:6532264:1 gene:OGLUM03G08790 transcript:OGLUM03G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSNRLISLVSFPNYPLLSTHTSKPLRRALRSTAMASITLLSLAPAATLLHLPASTSLSTSFVAAPGPISGRRSVVLRARPRRCVTVVCSAAAAEAAEAEPAEKFRLDNLGPQKGSRRRPKRKGRGIAAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLRDLARGGFKDGDEISLESLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSASAKEKLEAAGCTLSLVPKRKKWLPQSYLKNQARAEEYFSKKNGGAGESDEASA >OGLUM03G08800.1 pep chromosome:ALNU02000000:3:6537693:6539270:-1 gene:OGLUM03G08800 transcript:OGLUM03G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z427] MPGAAGYSGSVKLKYVKLGYQYLVNHFLTLLLVPVMAATALELARMGPGELLSLWRSLQLDLVHILCSVFLVVFVGTVYFMSRPRPVYLVDYSCYKPPPSCRVPFATFMEHTRLITDDEKSVRFQTRILERSGLGEETCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISLDLARDMLQVHPNSNALVVSTEIITPNFYWGTRRDMMLPNCLFRMGAAAILLSNRRREARRAKYRLMHVVRTHKGADDRAYRCVYEEEDEQGHSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLDLSAQHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRTVKTPADGPWDDCIHRYPVDIPEVVKL >OGLUM03G08810.1 pep chromosome:ALNU02000000:3:6563305:6570973:1 gene:OGLUM03G08810 transcript:OGLUM03G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDNFSNALERWAYRNCVSSIVCVELRPEPNLLLDWQNHLFPIPDGPEADDQLAQRVADCLVPVHCTGFVIEPLLQDPLHIHVLTCAHALGPVFNAEQPIDVDDINILYQPHIICDHMEQAYLNELQHNNQIAREYVIGTVLAIDCASDLLLIQSTRVHFMLRCGMPHPPLPIASQFPKPLSKVVMVSWPHPTRNRTAVTGEISHPGRQFDELSPVNPLGFRTMLSEVQIRSEQGSSGGPLLNGQVQVTGLLHGGNGSFSFFISLHNLRQTLAEWGKAQERPTF >OGLUM03G08820.1 pep chromosome:ALNU02000000:3:6595078:6601908:-1 gene:OGLUM03G08820 transcript:OGLUM03G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRRSSTAERSYTAAQQKSLVKELVSCLHSTYRVQMKAMCRYFWCPDFNL >OGLUM03G08830.1 pep chromosome:ALNU02000000:3:6602715:6613596:1 gene:OGLUM03G08830 transcript:OGLUM03G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTMEKVGEVSGSRSRAERRRRQRQRQRQRRRHSPTNRAGVAQSEALASSQQGERKIPLERGTSDPVEAAAATSPGAWHASSSTEASSVVSSPMCWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRERQLKLVTLDPHVPRSCMGNQNLLPVRDSSTKTILEGAKVVISLSSYVDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDDLNLTINHGCVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMNGKCIATTVELEIELMQICEDHLDKGRGIGSSVDIQIGIFRMCKGSTCTISLRLNISNDVEVFARGKYIFSARDCTWVFDDVGTDLQS >OGLUM03G08830.2 pep chromosome:ALNU02000000:3:6602719:6613596:1 gene:OGLUM03G08830 transcript:OGLUM03G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTMEKVGEVSGSRSRAERRRRQRQRQRQRRRHSPTNRAGVAQSEALASSQQGERKIPLERGTSDPVEAAAATSPGAWHASSSTEASSVVSSPMCWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDDLNLTINHGCVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMNGKCIATTVELEIELMQICEDHLDKGRGIGSSVDIQIGIFRMCKGSTCTISLRLNISNDVEIFNLEGKQQSDGVLVRKTTDSS >OGLUM03G08830.3 pep chromosome:ALNU02000000:3:6603043:6613596:1 gene:OGLUM03G08830 transcript:OGLUM03G08830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTMEKVGEVSGSRSRAERRRRQRQRQRQRRRHSPTNRAGVAQSEALASSQQGERKIPLERGTSDPVEAAAATSPGAWHASSSTEASSVVSSPMCWPSVPVITKRPGCQILERFNDSAMEDAYEDLKMKYRAKRDGTLMARSSGFFINWDEESKVCTVLTSARLICSKYSSMDQWLGSDEYSPDATVYAHLLDKDETTVPAALLHYDRHFNIALFKVDVDSCAKIPSFSSEVTCGQDIFALGRDDDLNLTINHGCVQFKGPSMFERHYYMYTGFTTGQSGIGGPIINFNGQVLGMTNVLGMGFIPSSVILHCLDMWKKFGYIPRLHIGMKLSAMKFLDPIHVEKISRKCNIDSGLIVKEVSYGSNAEKRGVRAGDIIQSMNGKCIATTVELEIELMQICEDHLDKGRGIGSSVDIQIGIFRMCKGSTCTISLRLNISNDVEIFNLEGKQQSDGVLVRKTTDSS >OGLUM03G08840.1 pep chromosome:ALNU02000000:3:6614455:6619443:1 gene:OGLUM03G08840 transcript:OGLUM03G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G25900) TAIR;Acc:AT3G25900] MAVAVEEIVRRAGGCAVIDGGFATQLEALGADINDPLWSAACLITKPHLIKEVHMQYLEAGADVIISSSYQATIPGFLARGMLLEEAEGLLRRSIELALEARDEFWKSTLRKSKPVYNRALVAASIGSYGAYLADGSEYSGSYGEDITAEKLKDFHRRRLQVLASAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKNLCSGESFAECLQFLNASNKVTIVGVNCTPPQFIEGIIRELKKQTKKAIAVYPNSGEIWDGRAKRWLPAQCFGHKSFDALAKRWQEAGASLVGGCCRTTPSTIRAVSKVLKGKTAYSATQI >OGLUM03G08850.1 pep chromosome:ALNU02000000:3:6618024:6625210:-1 gene:OGLUM03G08850 transcript:OGLUM03G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDKEVGPPPPPCVDSDHEDLPLAERRRRLLRPPAESKPPAPERREASAAAAAAAAEDSGGAAQQGWPGLPRGVEFNPTDSDLLWHLAAEVGNGQARRHPFINEFIKSVDETIGFGYTHPQDIPGIRQDGCASYFFHKNFKECANENSKCIRWQKSGNPISITLDGNLQGCKEVFVLYAYETDGNNPQITDWRLHQYHIESTEKDEGELVVSKIFYELEKNQFKWAEKSHAQSAQGASAIDDDSKEELQLDNHSFNMITENSSVQGNENKRKQTQTGTCPNLDKLSYFNVVSNMHIENQINDHDEIEELDHMSLQERYRILMAENHSSSAVVSSEQCAIDGLENSCKPGTNGMIPKRIHEGTAFRDGMYSMLQGISSAPAIIGSIDNDNNRRLLTEGLSNNQQSHEAGCESGFLSTSSSAAPPQCQVVCSHDLLVNSKTLIYSRDPSSSSTPTFGDKNIQLEGTDDRTLLVDVKLEPALEGDFTEKITSSVQRKDPNHGTEGSNLVGSINSVSSAISKRISEAARSNPENSHVEGLLPSSRIKSEVTGSELPLVVCGLTSISIAELTAKKTNTLNHDGVLAYCSRKRKRRKTLRDPSEKTLEEDSLRNDEGTAYFSRQRRRRKTATDSIETALEEDAPGLLQILLDKGILVKEIKLYGVEEEDDMVPDCTESDFQDLENVITKLFPQRTSLLKSALRHEKGEKAIYCLTCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFIFKSHNRIVLERPEYGYATYFFEIVKSLPIQWQIQRMVTAMKLSGCGRTALIENRPLLIGEDLTEGEARVLEEYGWVPNSGLGTMLNYRDRVVHDRWNERSGTDWKTKIGKLLMNGYSEGHLVLSHFPTKVGKIEDDTEIKQEDPL >OGLUM03G08860.1 pep chromosome:ALNU02000000:3:6629109:6633365:1 gene:OGLUM03G08860 transcript:OGLUM03G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFFFLFLLILAASVVHGEDGAYIGVNIGTAMTSVPAPTQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHYPSVNITAIAVGSEVLSTLPNAAPLLMPAIRYLQNALVAAALDRYIKISTPHSSSIILDSFPPSQAFFNRSLDPVLVPLLKFLQSTGSPLMLNVYPYYDYMRSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMAYLNVTNVPVMVTETGWPHKGDPSNEPDATSDNADTYNSNLIRHVMNTTGTPKHPGVAVPTYIYELYDEDTRPGSTSEKYWGLFDMNGIPAYTLHLTGSGVLLANDTTNQTYCVAREGADEKMLQAALDWACGPGKVDCSALMQGQPCYDPDNVEAHATYAFNAYYHGMGMGSGTCYFSGVAVITTTDPSHGSCVYAGSGGKNGTSLLNGTSLAPSSNSTAGDSGAHRAIGDVSSFVRAVVAALLLSVVLLL >OGLUM03G08870.1 pep chromosome:ALNU02000000:3:6637171:6639708:1 gene:OGLUM03G08870 transcript:OGLUM03G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z436] MLLVGVLLLLFFNLHLPTAAIDTLTLGQSLLWNQTLVSNGGNFELGLFSPGKSNKHYLGIWYKKISKKTVVWVANRERPILEPSSCHLELSVHGDLRLFSTAPSNTLLWSSNASASSSPSPPRTTVATLQDDGNLVVKSNATRSRSRSRSPSSTTTTHVAWQSFDHPTDTWLPGARLGYDRGRGVHSFLTSWTDSENPAPGAFSMVIDARGLAKFDLLAGGEHRYWTTGLWDGEIFANVPEMRSGYFTGVPYAPNASVNFFSYRDRLPGAVGNFMLDVNGQMRRRQWSETAGKWILFCSLPHDACDVYGSCGPFGVCSNATNPECRCPAGFEPRSSEEWRLENAAGGCVRRHPLECHGDGFLALPYTVRLPNGSVEAPAGAGNDKACAHTCLVDCSCTAYVHDGAKCLVWNGELVNMKAYAANENGQGDPGLAGAVLHLRVAHSEVPASSTEHSWKKSMVILGSVVAAVVLLLASLVTVVAVAAVLRMRRRRGKVTAVQGSLLLLDYRAVKTATRDFSEKLGSGSFGTVFKGALPDGTPVAVKKLDGLRQGEKQFRTEVVTLGMIQHVNLVRLRGFCCEGNKRALVYDYMANGSLDSHLFVMSGSSSGPDSKQVTLTWSQRYNVAVGVARGLAYLHEKCRECIIHCDVKPENILLDQEMAARLADFGMAKLVGRDFSSVLTTMRGTVGYLAPEWLAGTPVTAKADVYSFGLLLFELVSGRRNSTAPSSSSEGGPGIYFPVHAVVKLNEGDVAGLVDERVAKDADPKEVERLCKVAGWCIQDEEGDRPTMGLVVQQLEGIADVMLPPIPSRLHILAIENEWVRGVPEDERCSKSGSKPETEAIEEM >OGLUM03G08880.1 pep chromosome:ALNU02000000:3:6640205:6648930:-1 gene:OGLUM03G08880 transcript:OGLUM03G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHLTRSREDGARSGESDPPPHAAAKTRTNASVAHGSTDQCHNPSLRQSVRLEPTQSLHGKLSRFRPFWPPQTGSFSEGDHSTAIREVPAETCQLPTGNRAAWLHGRTPSPSVPSPTSPRVPNHTSAAVSVSSSVAATTTTTTTTSSLPGVRSAPIRRCGGSPNPSPIPTAAMAAGRARGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAAADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQFPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSLNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLNNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQREVALLRCSQNKLHVVLVDMAQDGQDTMLRVLGSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCLINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >OGLUM03G08880.2 pep chromosome:ALNU02000000:3:6640205:6648930:-1 gene:OGLUM03G08880 transcript:OGLUM03G08880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHLTRSREDGARSGESDPPPHAAAKTRTNASVAHGSTDQCHNPSLRQSVRLEPTQSLHGKLSRFRPFWPPQTGSFSEGDHSTAIREVPAETCQLPTGNRAAWLHGRTPSPSVPSPTSPRVPNHTSAAVSVSSSVAATTTTTTTTSSLPGVRSAPIRRCGGSPNPSPIPTAAMAAGRARGAPPVTGDRYLDLLVRFVGRNAGALLDGSVTLRLHPVGLHYVASRLEALRELEAVGAGAPVDYLRAYVADLGDHRALEQLRRILRLLTSLKVVAPGPGRDPAPLSLVPFARLRVLELRGCDLSTSAARGLLDLRHTLEKLICYNSTDALRHIFTSRIMDIKDSPVWGRLLYVSCASNGLVLMDESLQLLPAVETLDLSRNQFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEACGRIVQLVLRNNALTTLHGIKNLKSLMDLDLSYNIISNFSELEILGSLFLLQNLWLEGNPICCARWYRARVFSFLHNSESLKLDDKGMNTQEYWEKQVLFSSRQKQPAGYGFYFPAKDDHEDEDTSNSKMKKISRLALIVEEERSLCDEGVDQQTTPHESDSSKKDEVAAADNDIKITSLINTAELLKKEKSTDWLREFKEWMDENMENTEPDNLYIEFNSSNGRYEEQKKMQKAQKNSKDISDLVQTSEGGSSSNILESDLSFTDGACYSANGVTTESSHEGNIYQAPLKLHLNSSQQFPPLNFVAISHADSFCEMEDGTGNLHTNGVSSNLMNKLVEPSLSFTNSSPQSPPQYKEDILHRRLCMEEEVLQTSGDFNCAGSLGSGSSCSDDSSGDLCSCNSEDDCVAIRTKMELSLNGQIARFSSVGDYEEKDGMEYFSGKKGLPDYSAEDVPNFTDSVEFGIKQLHDRYKSNGHLGEGSDHLVRQQSNQKFKMRIPPLFKNHNGTKLVFPKVNGDEMDNGVSVAGNGHLGCNLNNCTLCREHSLENHNSSILHKDNLCASANTVSCNTEKYKLIEDFFNLEIASDASEICEKTAFCGYIFQNGTGSDLVQSYWMEDLENILIGLGLQALRVHMADNTTHLFLTRTSKEAEDILWLLTASNFPQLTSSISLQSWEKVQLKLLENCIHPSLEMGIFLYSLLMFWKNDTEEGSFVIRSLAVTEGSLFVCIENIHQFGSLPDDPDTPYFSLDACCLINDIQEVVVDHCDKRCLTLVLDNHAHEGRFCSNGSITNSQSKQPDEIYTVHTWKLKWFSEETVVKFISLLKALYSVSSSSSLPVKCTS >OGLUM03G08890.1 pep chromosome:ALNU02000000:3:6649898:6651463:-1 gene:OGLUM03G08890 transcript:OGLUM03G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVATGITGSALAQAALTKAAKPIKLGLLPLPSGEGERRRRRGQRRRGGSGRGLLAARDEPRSAPGMGAEAGSGGGRGAEASSRRRVRDQRRQPGGAEAGAGGGRGAEDGNGDGCERWAGEERTPTGGGRGKRLFRGCVAVRHLPIPDAGDEHVGLRRRRRQEALPRGVVLVAVVPLLDTGGGAHGERELLLRRRLLVVVGLLRVLLLGALRVVDCRHEPRATGRPRATGLRLRRCRLASRLRPLLASSSSTRAIRKPCCSHVTDDEHELILSELHTHPRHAFLLWSLGVGRRESEGMT >OGLUM03G08900.1 pep chromosome:ALNU02000000:3:6650532:6650903:1 gene:OGLUM03G08900 transcript:OGLUM03G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPSSSLFTLSLGHGAVQGWMWAAAQAAAADAAGGKRRRRAAVPRGGGRRCTGADRTEARGEAASPEAEACGSRSARGPWLVPAVDYTQSPEEEDAEETDDDEEAAAEQELTLAVGTAASVK >OGLUM03G08910.1 pep chromosome:ALNU02000000:3:6652959:6654947:-1 gene:OGLUM03G08910 transcript:OGLUM03G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G22730) TAIR;Acc:AT1G22730] MESRPDDLVPPAMHKPAIQCAAAEDASLLRSPTVSSEEFMQFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVIDRPQVYKGFGKLAESCDDLSVDTPDAVDILAVFVARAIIDDILPPAFLAKQLSCLPEGCKGAEVLHRAEKSYLSVPHHGEIILQRWGGSKSITVEEAKAKIADILEEYLAAGDIGEACRCIRGLKISFFHHDIVKRALTLAMERGGGTEGHILDLLKSASDEGIINESQITKGFNRLIDSVDDLTLDVPNARRLLKSMILKASSEGWLCASSLKPLGPEPKKAVVEDDAAVRQFKAKTLSIIKEYFLTGDIIEVMSSLEAENYACSSSYNAIFVKKLITSAMDRKSREKEMASVLLSSLGMPPEDVVSGFHLLIESAEDAALDHPAIVEDLTMFFARSVVDEVIAPSNLEKMEEEAGRGKPGGSSTGLLALRNARALLGAKLSAERILRCWGGGATGKAGWELDDVKDKIGRLLQEYDCGGDIREACQCIKELGMPFFHHEVVKKALVAIMEKRGKDERLWGLLAECYGRGLITPNQMTKGFERVAGCVDDLALDVPDAGKQFCCYVERAKKGGWLDASFPNGVRS >OGLUM03G08920.1 pep chromosome:ALNU02000000:3:6655737:6655958:1 gene:OGLUM03G08920 transcript:OGLUM03G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTRSYPMDVDDELADDLDRISALPDDLLHVILSILGDATMVTRTAVLSRRWRRVWTHAQKLSFVDTDPKI >OGLUM03G08930.1 pep chromosome:ALNU02000000:3:6656043:6661318:1 gene:OGLUM03G08930 transcript:OGLUM03G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSDSATPEQINDWLRYAMQHTIKTFKLCSPYHSSYETDDDHPLPILELPSNARTTSIELRLSSFRLRLPVSPSARYEALTELNLRSMCFDEEEAGARTLGDFVSTCCPRLRKLEIWGLKKLTQLVLCTEALEELDVNYSNDLQKLDVNAPNLRVLGIKLFIISLPLIDENSNKHLVVGIVAPMLEEIDMHIWADRLDMHIHDRTSVRHLRNLGLRMRGQYSCNTDYGLWLLKNCPNIEHLDIYLRHMFSMNGLIDLMDKGAPRLHKVRSMVVKTSYLWPEHRFVTCVRPLLLMCPGLRSFCVKISGRDKIPLFEDPNTLASQPNITMDFLHEASIIGFTGTDQEMHLVSFLFGCSTSITNFALMATFARADTMDDHDDPADDLDRISALPDDLLHIILSNLNNATTVTRTAVLSRRWRRVWTNAQALYFADMNPKRRRAIKPGQFGSFVDWAFAERGDADIQSLTIHMSYRKSATQDQINDWLRYAMRRAVKAFRFYYFSNARDGQDLQLLPIVELPSHARTASIVLFLGSSRLRLPASPAACYEALTELNLRWASFDEEEGASAGGRTLGDFLSTCCPRLRKLEMSSLKLLSRLVLRTESLEELRISYANDLQSLDVTAPNLRVFTHTFCWYGLTLDDFNTRSVIKIVAPRLEEIAMDNQVFMNTPDLHIHGLTSVRRLCDLNLTVHGQYCSNRGYGLWLLKNCPNVKHVDLLLKSSVFTTDEELADLTDESAPRLHKVRSMVLKTSKLPHHHFTASVRSFLLMCPGLRSLCINITERGQGAWWGVDGGTRLWVPDPMSIAAVDSEVRCGASIDGRRGLGPALMAAAASCGVQGFEVACSLRDPAPASTAVASIGISLFKDRDTLANHPKLTLELLQEVTVTGFTRTDEEIDLVSLLFGSSSSIMSVTIHATEKEDTEKVSLKNIMAEDDDNDDDTTTHQ >OGLUM03G08940.1 pep chromosome:ALNU02000000:3:6662256:6665203:-1 gene:OGLUM03G08940 transcript:OGLUM03G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYVRVSRSAPLFSPFLLSLTTLRKLVSGWGGRRRERRASRPATGDAAAVMAALPPPAPGHGVGVLTPGLSDWNPLKNESPMTVLDPPCHSVLQISRLCGAAGELPRLASLCLREVLLVQGDRRRLLGAKPDAAAAGVRRDGGGATGAQPRPGHAARPLAGRRAGRLGLADDVLDVLALASRQCRRCSPAPEAEQALKASVLSLIQEIEPRHRRRTSEWSEDDGTNSREDCSIILGNLEPNSNMKNLKISGYAGAKIPYWIAKAYVKNLISLDLGIGKLYKLEETSISCRIPTAQASSIGQPSASFRIPTAQASSRDGGLDARQQPHIRATAAGGGGLDATAARRRQQARTT >OGLUM03G08950.1 pep chromosome:ALNU02000000:3:6664709:6665296:1 gene:OGLUM03G08950 transcript:OGLUM03G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCRRRTGRVPGGAATGAAPCRTAARTPAAAARPLAAELVRCALAAAAALLASPPLPLRSLARHCRSAQPAAHSLAAAPLPSVGRRVLIRLLGSSPSTSYAMSRRRRRQRRHHRRRVARRRPRRPPLPPASSPPADQLAQSGEREKEGREEGCRPRHPDIWGHMSPTLTKQPRRIKSGLKSATTSDKIRVKIT >OGLUM03G08960.1 pep chromosome:ALNU02000000:3:6665621:6666901:1 gene:OGLUM03G08960 transcript:OGLUM03G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSAGNPMAGGSSAGDVDRISDLPDDLLHLILSYVSDDAAEVTRTSVLSRRWRRVWIHAQKLCFGDDRQSRWRRLANFGGFVDWAFAQRGDADIQSVIIFMSRLDSATPEQVNEWLRYAVRRVVKTFWFNACDSTLIGAWWAPPPRDHGHQLPTVELPSHGRTASINLNLSSYPFRLKLPASPAARYEALTDLSLSSAWFREDEAVAGRRTLADFISSCCPRLRKLEIIDPMRLPQLVLRAEALEELIVASTRDTQTMDVTAPNLRIFELHYFNSMTSVTSYGESIDLVVRITAPRLEEIAINNSTLEIEDNLDLRIHGLASVRRLKNLTLAMHGHNCCNTDYGLWLLNNCPNVEHIDLRLRYEMLATHEVDDLTDNRAPRLYKARSMVIDACGLDQYLVTSVWSLLLMCPDLISLRICLRGWG >OGLUM03G08970.1 pep chromosome:ALNU02000000:3:6678674:6681405:1 gene:OGLUM03G08970 transcript:OGLUM03G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLIARLPTRRPHLSLRQRSYKLAPRITMASSSSSSPPPSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >OGLUM03G08970.2 pep chromosome:ALNU02000000:3:6678883:6681405:1 gene:OGLUM03G08970 transcript:OGLUM03G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSPPPSDPSLETVAPHAAVTGERKLNPNLQEQLPKPYLARALAAVDPSHPQGTRGRDARGMSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGYPVSIAGAILINLVLSYPTQPGWMPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMIKANRNMYDFIGWITSAGEWMLLYSVAKDKEGLLQRETVRGAFDGSLFERLQDSKKSA >OGLUM03G08980.1 pep chromosome:ALNU02000000:3:6682593:6687829:1 gene:OGLUM03G08980 transcript:OGLUM03G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRSPSRAASSVLRFPRRDRARTHLIAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSISNQERTLVQEIAALKETGCISVPGEETKNRENLGERNSHSSTGKRRSLWRSIRNLFRGDDGDTRFASISAQSVTPLWTPRRGSHPAVLLLEGFLMITVLLFVISRTRIIRSTPKRYDRPTEAKEADGET >OGLUM03G08980.2 pep chromosome:ALNU02000000:3:6682593:6687829:1 gene:OGLUM03G08980 transcript:OGLUM03G08980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRSPSRAASSVLRFPRRDRARTHLIAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVAAELWLQLYLERVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSIRFELRRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >OGLUM03G08980.3 pep chromosome:ALNU02000000:3:6682593:6687829:1 gene:OGLUM03G08980 transcript:OGLUM03G08980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp40/DnaJ family protein [Source:Projected from Arabidopsis thaliana (AT3G17830) TAIR;Acc:AT3G17830] MAAALIVPANPNPTPNPTSSLRSPSRAASSVLRFPRRDRARTHLIAAFGRGSPAAAAERGGKDYYATLNLRRDATLQEVKTAYRTLARKYHPDMNKDPGAEEKFKEISAAYEILSDEEKRSLYDRFGEAGLTGDYGGGDIGSNGIDPYELFNAFFGGPDKLFRDSMGAGRFHYGTKVTDNRGLDIRYDLLLSFEESIIGGKREVSIFRYETCGTCHGTGAKSSNDITECTQCRGQGRLMKTQRTPFGIVSQISTCLNCDGKGKAKSKLNAISGRATGDLYIFVHVNKKEGIHREGLDLFSDVTIDYTDAILGTTVKVETIEGFKDLYIPPGTQPGERLKFAQLGAPDIKNPTIRGDHNFVINVKIPKSIRFELRRKFGREKLPFIHREEKIPLAIYQEFVQGRRWGYEICFNQRTICYSIMDSATRISSSCSVARRIPDDHSALIRDKQNSYNQVDSKAV >OGLUM03G08990.1 pep chromosome:ALNU02000000:3:6689038:6689853:1 gene:OGLUM03G08990 transcript:OGLUM03G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPRPPHRSHRRVPAACCLFLLLLALVLLPSAAAKSSRRPITDNEIREKKSACYTDVENGLWGWACKSSATEKENCVLRCLSPECYDLIYGGDPLEEGELDYIRGHEYKYCMHK >OGLUM03G09000.1 pep chromosome:ALNU02000000:3:6695243:6699068:1 gene:OGLUM03G09000 transcript:OGLUM03G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPAPAVALAVLVLLSAVPGYFSDDLNTDAQALQALRSAVGKSALPSWNSSTPTCNWQGVTCESGRVTELRLPGAGLMGTLPSNVLGNLSALRTLSLRYNALTGPIPDDLSRLPELRAIYFQHNSFSGEVPASVFTLKNLVRLDLAGNKFSGEISPDFNKLNRLGTLFLDGNSFTGEIPKLDLPTLSQFNVSYNKLNGSIPRSLRKMPKDSFLGTGLCGGPLGLCPGETALTPAGSPEVQPAGGGAADAGGASSGTKKKLSGGAIAGIAIGCVFGVLLLLALIFLLCRKKSSSSTPATAVEKGRDLQMAPMDMEPKGQNGSAAGNGAHIGAAAAAPAAATSAAVAAAAAAAKTGGATGGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMESGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPSMSEVAARIDEIRRSSLGDRPATDSAGEGEEPSL >OGLUM03G09010.1 pep chromosome:ALNU02000000:3:6705043:6706629:-1 gene:OGLUM03G09010 transcript:OGLUM03G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLLVFLVSVALVVRTWSASRRSHEKARYATAELRPYPLVGHLPQFLANRHRILDWMTEVLSRQPTRTFVLRRPGGVRGVITANPANVERFLRSGFDNYPKGERFASLLHDFLGRGIFNADGEAWRSQRKAASYEFNTRSLRAFVARCVHGELHGRLLPLLRRAAAEGRAIDLQDALERFAFDNICRVAFDHDPGQLPDASGGGALAEADDGSTASGRFADAFRDAANLSAGRFRYAVPWFWRVKKALHIGSERRLRESIAIVHDFADRIIRSRREEIRTGLENHDLLSRFMASHDESYTEVALRDVVISFLLAGRETTSSALTWFFWLLSSRPDVERRIREEVATVRARRGDGDVDRVGFDLDELREMQYVHAAITESMRLYPPVPVDSLHAQEDDVLPDGTAVEAGWFVAYNSYAMGRMESVWGKDAAEFRAERWLEDAAADDGTAATFRPESPFRYVSFHGGPRVCLGKEMAYIQMKSIIACVLQELELAVDGAYRPRQVTSLTLRMADGLPTRVKVRGN >OGLUM03G09020.1 pep chromosome:ALNU02000000:3:6715614:6718665:1 gene:OGLUM03G09020 transcript:OGLUM03G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPKMVTGWAARDANGLLSPFSYPLRAKGDEDVVVKILFCGICHSDLSTIKNEWGNAKYPVVPGHEIVGVVAEVGSSVARFAAGDTVGVGYIASTCRACANCRDGFENYCAGLVPSFNAALPDGATVHGGFSELAVVNQRYVVRIPGGGGGASPAPLDRLAPLLCAGVTVYCPMRRLGLDRPGVHLGVAGLGGLGHLAVKFGKAFGVKVTVISTSPWKESEAVERLGADAFLLSTNAEQMKAAAGTMDGIIDTVSAVHDLTPLITLLRTHGQLVPVGSPGKPVQLALYPLQSDGKSVAGSMIGGMRDTQEMVDFAVEHGVAAEVEVIGMEDVNGAMERLQKGDVRYRFVIDVANTMARAR >OGLUM03G09030.1 pep chromosome:ALNU02000000:3:6719227:6719843:1 gene:OGLUM03G09030 transcript:OGLUM03G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVRLWRCCARSKPAVVTGSSGGWLPLVTSVAFGSTQEALDLRGGRLSLGVKGMAWWSGDGRCVGWLPWAGMTTATPFGAVPLLGDVVLALTPHNTKNPLRAMVALVDSCNVPEALQVFITLIWLLWGRVGAALLGATKLGNDDTLQSLYRIVDASCV >OGLUM03G09040.1 pep chromosome:ALNU02000000:3:6720802:6724666:-1 gene:OGLUM03G09040 transcript:OGLUM03G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z457] MANLTDLVNLNLSDCSDKIIAEYIWVGGSGIDLRSKARTVKGPITDVSQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILRHSAAKIFSHPDVVAEVPWYGIEQEYTLLQKDVNWPLGWPGPYYCAAGAEKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIAAADQVWVARYILERVTEVAGVVLSLDPKPIPGDWNGAGAHTNFSTKSMREPGGYEVIKKAIDKLALRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTLLWKQN >OGLUM03G09050.1 pep chromosome:ALNU02000000:3:6728188:6730065:1 gene:OGLUM03G09050 transcript:OGLUM03G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEWLTTTRLTMHMVVQQDWIEVCPMDELGPAMLGLRLALGFRFYPTEEKLICFYLRNKLDGLRDDIECVIPIFDIYSIDPLQLSEIHHEMLGSSGEEGEPWFYFCPRQEREVRGGRPSWTTPSGYWKAVGTPGVV >OGLUM03G09060.1 pep chromosome:ALNU02000000:3:6731436:6738729:-1 gene:OGLUM03G09060 transcript:OGLUM03G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01690) TAIR;Acc:AT2G01690] MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATSGEHDKISAVIALLTNDFTMSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLTSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPINEFVKLGGEQLVPYYADILGAILPCISDQEEKIRVVARETNEELRAIKADPTEGFDIGAILSIAKRELNSEHEATRIESLHWFSTLLVRYRAEFLAYLNDIFDPLLNALSDPSDAVVLLVLEVHARIAEESHHFHHLVSYLIHTFHNNHVLLEKRGALIVRRLCILLGAEKVYREFSTILETEGDLEFASTMVQALNLILLTSTELAELRSLLKKTLVDSCGKDLFQSLYASWCHSPMATISLCLLAQAYNHAISVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLLMLLPQQSAAFKILRTRLKTVPFSENLKRTSSTNPYSQILQVTEDGNRNQDTQSYNAINFPSRLHQFESMQQQHRVHLKNQLQSQKSASAIVLSQEIQRYEEAHSSSTSEIGRPPSRTSRGIS >OGLUM03G09070.1 pep chromosome:ALNU02000000:3:6754519:6759975:1 gene:OGLUM03G09070 transcript:OGLUM03G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAVAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEVRRPFGISRSLIDVDIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKFTTGHPVPRLDLINQWLVMPCILISYGICWEGALWAKKTLLSNVESIWIPLLRR >OGLUM03G09070.2 pep chromosome:ALNU02000000:3:6754519:6759975:1 gene:OGLUM03G09070 transcript:OGLUM03G09070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAVAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKFTTGHPVPRLDLINQWLVMPCILISYGICWEGALWAKKTLLSNVESIWIPLLRR >OGLUM03G09070.3 pep chromosome:ALNU02000000:3:6754519:6759975:1 gene:OGLUM03G09070 transcript:OGLUM03G09070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSAARALNSVSRAAFSWKPTGLPQQTLAVAVSRSGVGLHSGAKTTATLLPARAGEGRYFVVEGEETRVAAEVANAEAHSPLCTALRRGGARVRTVEHLLSAMEALGVDNCRVEVSGGDEIPLLDGSAQEWVMAIRGAGQCAAKDSSGQKLEKLAPEIHEPVYLQKSDCFIAALPSSRIRITYGIDFPKVPGIGCQRFATVLDANVYSSKIAPARTFCIFEEVEKLRSAGLIRGGSLENATVCSISGGWLNPPLRFEDEPCRHKILDLIGDFSLLAQNGNQGFPLAHVVAYKAGHALHTDFLRHLLGRSAVGQENLAEQC >OGLUM03G09080.1 pep chromosome:ALNU02000000:3:6772809:6783649:1 gene:OGLUM03G09080 transcript:OGLUM03G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVEDGGGVEFPVGMKVLVVDDDPTCLAVLKRMLLECRYDATTCSQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLELVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVIRKKFNENKEHEHSGSLDDTDRTRPTNNDNEYASSANDGAEGSWKSQKKKRDKDDDDGELESGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIANPFCPPASSGKVGSLGGLDFQALAASGQIPPQALAALQDELLGRPTNSLVLPGRDQSSLRLAAVKGNKPHGEREIAFGQPIYKCQNNAYGAFPQSSPAVGGMPSFSAWPNNKLGMADSTGTLGGMSNSQNSNIVLHELQQQPDAMLSGTLHSLDVKPSGIVMPSQSLNTFSASEGLSPNQNTLMIPAQSSGFLAAMPPSMKHEPVLATSQPSSSLLGGIDLVNQASTSQPLISAHGGGNLSGLVNRNPNVVPSQGISTFHTPNNPYLVSPNSMGVGSKQPPGVLKTENSDALNHSYGYLGGSNPPMDSGLLSSQSKNTQFGLLGQDDITGSWSPLPNVDSYGNTVGLSHPGSSSSSFQSSNVALGKLPDQGRGKNHGFVGKGTCIPSRFAVDEIESPTNNLSHSIGSSGDIMSPDIFGFSGQM >OGLUM03G09090.1 pep chromosome:ALNU02000000:3:6779104:6782142:-1 gene:OGLUM03G09090 transcript:OGLUM03G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLATGAADQAPAPAALGALRRRLPRVVTTAGLIDDSPLSPSTPSPSPRPRTIVVANHLPIRAHRPASPSEPWTFSWDEDSLLRHLQHSSSSPAMEFIYIGCLRDDIPLADQDAVAQALLESYNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRALWQSYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVNMGQLKTVLALPETEAKVAELMATYSGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVDEVKGETYAMVRRINEAYGAPGYEPVVLIDEPLQFYERVAYYVVAEVCLVTAVRDGMNLIPYEYIVSRQGNEVLDRMLQPSKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPEKEKRMRHDKHYRYVDTHDVGYWATSFLQDLERTCKDHAQRRCWGIGFGLRFRVVSLDLSFRKLAMEHIVMAYRRAKTRAILLDYDGTLMPQAINKSPSANSVETLTSLCRDKSNKVFLCSGFEKGTLHDWFPCENLGLAAEHGYFLRSSRDAEWEISIPPADCSWKQIAEPVMCLYRETTDGSIIENRETVLVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVKSTGHSVEVKPQGVSKGLVARRLLASMQERGMCTDFVLCIGDDRSDEEMFQMITSSTCGESLAATAEVFACTVGRKPSKAKYYLDDTAEVVRLMQGLASVSNELARAASPPEDDDE >OGLUM03G09100.1 pep chromosome:ALNU02000000:3:6783055:6791241:-1 gene:OGLUM03G09100 transcript:OGLUM03G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCSKRRGRGTRGRPAMVGTGGAQGVYGMDGATNEKSGSTGSCVAVTVSHRKIQTPPPKPNQAKPLSISE >OGLUM03G09110.1 pep chromosome:ALNU02000000:3:6799505:6803681:1 gene:OGLUM03G09110 transcript:OGLUM03G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRSPQHPAAAAPPPAVGGGGEVSGDGGASTANGPVVPKPSEVAPFLTKVYDMVSDPATDNVISWAEGGGSFVIWDSHAFERDLHRHFKHSNFTSFIRQLNTYGFRKVHPDRWEWANEGFIMGQKHLLKTIKRRKKSSQEAPSEIQKAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRHYQQTSNLEVQNLIERLQVMEQNQQQMMALLAIVVQNPSFLNQLVQQQQQQRRSNWWSPDGSKKRRFHALEQGPVTDQETSGRGAHIVEYLPPVPETSGQVNPVEGAICSANSQPVPSPAVATPMDMQTSNVADTLGSSEEPFTDNSTLHEWDDNDMQLLFDDNLDPILPPFENDGQMGPPLSVQDYDFPQLEQDCLMEAQYNSNNPQYVLR >OGLUM03G09120.1 pep chromosome:ALNU02000000:3:6803693:6803995:-1 gene:OGLUM03G09120 transcript:OGLUM03G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRRTSRDLHFMCDKLRIEARAAIQNMDVCSGYAALQRHRQAFVRGKKMTQNMVKDIEPRAITKKCASGESNPVSTVGGYYDTTTPDALLWCWKLVPL >OGLUM03G09130.1 pep chromosome:ALNU02000000:3:6814942:6820233:-1 gene:OGLUM03G09130 transcript:OGLUM03G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSEVISATSTTCPTSLAAAQRPEKRPRYQDVDEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVSWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPEYHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDREKGRAFAYTPLSAPLAPALSLSRLPFGVLE >OGLUM03G09130.2 pep chromosome:ALNU02000000:3:6815158:6820233:-1 gene:OGLUM03G09130 transcript:OGLUM03G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSELANDAETTAYSSAREDLTLSETEEEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVSWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPEYHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRESSCTP >OGLUM03G09130.3 pep chromosome:ALNU02000000:3:6815158:6820233:-1 gene:OGLUM03G09130 transcript:OGLUM03G09130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTMLAPVPTRPRSHPFRRRRGAAAAAPPLLPDQIAAAAAAAAKRPAESSTSASSCFHSEVISATSTTCPTSLAAAQRPEKRPRYQDVDEEQPAASECSEIIGGARPRAAEVEVSESSCLASVLESYLACPEQLANDAETTAYSSAREDLTLSETEEEEEEEEVRSGPCICTDCSFSPLHESSSSSDDDNAVPSPTFSLFLALAEQFVPFTHPKTPTATDVALQAGEGKRFEDLDNEVSYERFRRRERRGVVARDYIEVYSSMLGSYGRAVVEQRVVMVSWIMEHSQAMKLQPETVFMGIGLMDRFLTRGYVKGSRNLQLLGIACTTLATRIEENQPYNCRSEVVAMEWLVQEVLDFQCFVTTTHHFLWFYLKAANADDRVEDLAKYLALLSLLDHKHLSFWPSTVAAAVVALACLATNNESSCHLVMELAEDPEYHLVCSGCNRKGLHPGGLPEVDEAVIFVPLLEWPSGDPGCARCLGHFKNSDRESSCTP >OGLUM03G09140.1 pep chromosome:ALNU02000000:3:6822038:6823450:-1 gene:OGLUM03G09140 transcript:OGLUM03G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRRPRLASLTRRLSTCRDGDLAALLSVLRSPPASSTSLPRALSSAFPSPSDSFPLGKLPSLLPLLPSPLLSLRFLLWRLPPSSPLPSSYALSSLAASLPDLPSAVPLLLSSSPQPLPLRHYALLLGISAHAGLFPASLALLRHMRSFGLAPDAACFRSALRSAGSPGDVCAVLGIMSACGVSPSVPLVVTSVHKLATAGDFVGARQLIEKMPEFGCVTNVAVYTALLDGMCSFGDVDAALGLVEEMEGWSLGAGCVPNVVSYTCLVKCLCGKKRMGEALSLLDRMTGRGVMPNRVFVRTLVGGFCSEEMVADAYAVVERVVSDGSVSSDQCYNVLLICLWRVGMDGEAEGLVQRMMKKGVRLSPLAASVMVRELCNRNRLLDACYWIGVMEENGVLCDTDVYNGLLLRLCVEGHVGEALALAKKVAERGILIEASCADRLMDLLKQYGDEELAPKISELRRCSEVLSH >OGLUM03G09150.1 pep chromosome:ALNU02000000:3:6824634:6828741:1 gene:OGLUM03G09150 transcript:OGLUM03G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIIELCKLKGKETRAEPEVSSVSKASGGSSEHINKLPPPVPVQEEEEEEEAPEWLDVLLRTKFWGQCKQHWDASRAEVCIFCLRCRQVLCPRCSHDEPGHRLLKVRRYMYRSVVLARDLQDLNVDVSRVQTYIVNGQKGVHLRPMRRSPQFKPHVGVDISQDDFSGPEAERRHKQTLGIVVESSPQQSIPQPFDASPVRNEDATMVEAECGQVQTNATESESSAVGDADEVIPKVTKFNVDIHSLRRRVRKQAAPQRAPFF >OGLUM03G09160.1 pep chromosome:ALNU02000000:3:6831384:6839055:1 gene:OGLUM03G09160 transcript:OGLUM03G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MNISKREVAPNDIPVILTARRGQSAKTENWGAQNTRKTLAYRSERRRRDAESPRPASRRVRPRAGGCCAARTPPPPKMFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVLDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNQFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHIDADIRILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDVHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >OGLUM03G09160.2 pep chromosome:ALNU02000000:3:6831384:6839055:1 gene:OGLUM03G09160 transcript:OGLUM03G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin, Nup133/Nup155-like [Source:Projected from Arabidopsis thaliana (AT2G05120) TAIR;Acc:AT2G05120] MNISKREVAPNDIPVILTARRGQSAKTENWGAQNTRKTLAYRSERRRRDAESPRPASRRVRPRAGGCCAARTPPPPKMFSPAIKKPHLLHRRDKEEASPSPPPAPAHTPAPRGFAVLDRPATGTPAPWTSSSLLARISTSTRTDRTGDSGQIQPVHVSEFPQIVRNAQANLLQKSFSGKNMLAGGIDKETSLAWMLCGNELFIWSYLASVAKDCLVLEVPSSLMGNKEEKSLCGNQWAVCIVRWGSSGASTRSSGDILHRRSSTGFILCNKRTQAIAYWSDIYAESSKSPVLDLIGYGDTSSGDGTSGNFRINSLIAVAVPGGINECIVIASQPTGTLWMFWCSPAAVRRREIHKGTLGVYNADHSQKNSGGRSLAWLPSKASSKAAERTFFLLTSHELQCWSISFGHDINCKKIGSQEIVGSDGDMGIKKDIAGQKNIWLLDMQIDDHGKEIIILVATFCKDRVSGSNYTQYSLLTMLYRPNQKFSSEDNVIKTERFLEKKAPSQVIIPKARVEDEEFLFSMRLKTGGKPSGSVIILSGDGTATIAIYWRGSTRLYQFDLPWDAGKVLDASVIPSSEDRDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRNQAFNASVVPRRASSEAWSAGERQRPALTGIAQQAVVDEESEMLLNRLFHDFVLSGTVHEALQKLRAAGAFDKEGEMNIFVRISKSIVNTLSKHWTTTREAEFLASTIVSSLTEKQQKHKKFLQFLVLSKCHEELSSKQRTAMLTVMEHGEKLSGMIQLRELQNALSHQRSSINLSPQSKNQTTGALWNLIQLVGEQSRRNTVLLMDRDNAEVFYSRVSDIEDLFNCISHQLQYIITGEENPSVQMQRALELSNACMTLVQAALRYREEHKDWYPSPEGLITWNSQPVVRSGIWRVASFVMELLREPGAADMSMKSNLWSQLERLTDILLDAYIGLLTAKFERGDEHGVLIQEYCDRRDELLGSLYDLAKQIVDAKYQETTEVTDNLELKESIFREVTSPILATAKRHEGYQTLWQICYDLSDTGLLRSLMHDSVGPHGGFSFFVFKQLVNRRQHAKLLRLGEEFPEELANFLKERDDLLWLHEICLNQFSSASKTLHTLVSPEEDANLTSNRKSLSFVERRRFLYLSKIAAAAGKDVDYEVKVAHIDADIRILNLQEEIVQHDPEYAPDKYTTKPFRPLELIEMCLKGDRELSLKAFEVFAWTRASFRSSNKGLLEACWMNAADQDDWVSLQEESSGGWSDEVIQESLQGTVLFNASRLCYSPDAVVYDGSFEDVLPVKKEDVHLRGLEGRCLSVEEVLMQHKDFPDAGKLMMTAVIMGKELPYTVSTAEPVDMDS >OGLUM03G09170.1 pep chromosome:ALNU02000000:3:6839403:6846206:1 gene:OGLUM03G09170 transcript:OGLUM03G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Conserved oligomeric complex COG6 (InterPro:IPR010490); Has 384 Blast hits to 379 proteins in 190 species: Archae - 0; Bacteria - 4; Metazoa - 151; Fungi - 156; Plants - 42; Viruses - 0; Other Eukaryotes - 31 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G31780) TAIR;Acc:AT1G31780] MAAALAPGVSRKLKKVLETRTDNPDLLASLGALSTFYVQNTPQARRNLKSSVEQRGLTINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALGSCSASTGDIISTTERLQQELEVITQRQEIVSCFLRDYQLSNEEIHALRDEEIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERWVQVECKRLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELIVVLLDPDAMTDSGPTTRRYSVREGDPSKGEHDITFVLDRIFEGACRPFKVRVEQVLQSQPSLIISYKLSNTLEFYGYTISDLLGGDAALCNTIWSLRDATQQTFFNILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLELINTYNSMMVPASGKRPNFDPVISAILDPIIQMCEQAAEAQKSKGTIARRGRTSSDPTGNNRDSISVDAILSKNSSTSFLSGESSSKIYLINCLSAIQEPLMGQDVATSYINNLRSMIETHLHTLVDKEADSILRKCGLSNKMPYIKDYSSTGSKDDARPLADIVETSPQMLLECLKAFYGLVTGTEGSLPEFEQLQVPRLRSDACYGLARALAEAYELIYKAVVDPKNCYPDPRSLVKHSPEQIRTILEI >OGLUM03G09180.1 pep chromosome:ALNU02000000:3:6847821:6852555:1 gene:OGLUM03G09180 transcript:OGLUM03G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAARLLLPLVVLLLHAARGSAGSTGGGGNGSCTQSCGRMRVPYPFGFSRGCTVQLGCDEASGTAWLGGTRGLGLLVSNVTPRAIVLTLPPNCSRPLNESLDALFTDNYAPTAQNALVVSSCDPQAAARLSNCSIPPEAYLEKSCNSIRCVLPSTKANVDGTNVTDPFLNRSEMRRLGSDCRGLVSASIYSNTAGPALQLTALELDWWVQGRCGCSSHAICDGFTPPSTQKEAFRCECQEGFEGDGYTAGAGCRRVPKCNPSKYLSGSCGKLVQIGLLVAGVFFGAMVMGITCLVYHLLRRRSAALRSQQSTKRLLSEASCTVPFYTYREIDRATNGFAEDQRLGTGAYGTVYAGRLSNNRLVAVKRIKQRDNAGLDRVMNEVKLVSSVSHRNLVRLLGCCIEHGQQILVYEFMPNGTLAQHLQRERGPAVPWTVRLRIAVETAKAIAYLHSEVHPPIYHRDIKSSNILLDHEYNSKVADFGLSRMGMTSVDSSHISTAPQGTPGYVDPQYHQNFHLSDKSDVYSFGVVLVEIITAMKAVDFSRVGSEVNLAQLAVDRIGKGSLDDIVDPYLDPHRDAWTLTSIHKVAELAFRCLAFHSEMRPSMAEVADELEQIQVSGWAPSTDDATFMSTTSSLCSSAPSRCTDKSWGTAKSKRQAAANAVVKQETTKGAVADSPVSVQERWFSDRSSPSSNSLLRNSSLN >OGLUM03G09190.1 pep chromosome:ALNU02000000:3:6857266:6858702:1 gene:OGLUM03G09190 transcript:OGLUM03G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVPLPRRPVPGSYGVPFVSAVRDRLDFYYLQGQDKYFESRAERYGSTVVRINVPPGPFMARDPRVVALLDAKSFPVLFDVAKVEKRDVFTGTFMPSTSLTGGYRVCAYLDPSEPNHAKIKQLLLSLLVSRKDAFVPVFRSNFGALLDTVESQLASGGGKSDFTALNDATSFEFIGEAYFGVRPSASSSLGTGGPTKAALWLLWQLAPLTTLGLPMIIEDPLLHTLPLPPFLISSDYKALYAYFAAAASQALDAAEGLGLSREEACHNLLFATVFNSYGGFKLLLPQILSRVAQAGEKLHERLAAEIRSAVADAGGNVTLAALEKMELTRSVVWEALRLDPPVRFQYGRAKADLEIESHDASFAIKKGEMLFGYQPCATRDPRVFGATAREFVGDRFVGEEGRKLLQYVYWSNGRETENPSVDNKQCPGKNLVVLVGRLLLVELFLRYDTFIAEAGKKVVITGVTKASTSAVNRTA >OGLUM03G09200.1 pep chromosome:ALNU02000000:3:6868956:6869788:-1 gene:OGLUM03G09200 transcript:OGLUM03G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEGNNGVSGGAVSFSEEQEALVLKSWAIMKKDSANIGLRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHFKYGVGDAHFEVTRFALLETIKEAVPVDMWSPAMKSAWSEAYNQLVAAIKQEMKPAE >OGLUM03G09210.1 pep chromosome:ALNU02000000:3:6870754:6874034:1 gene:OGLUM03G09210 transcript:OGLUM03G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCGGEEEETYAPRAASRSRSRRPTPATQYNAGPRKPRGPNAPRSGGPPPKVLSIDVPAISMGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDASRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >OGLUM03G09210.2 pep chromosome:ALNU02000000:3:6871699:6874034:1 gene:OGLUM03G09210 transcript:OGLUM03G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNNITGHFGQSALIGEGSYGRIYRAVLTSGEPVAIKKLDPSVSSDSEADFSAQLSMVSRLKNEYFIRLMGYYLDASRRILVYQFATHGSLHDILHGKKGVRDAAPGPALNWSQRVKVAYGAARGLEYLHEKAQPPIVHRDVRSSNVLLFDGYESKLADFNLTTQPPDGAARLHSTRVLGTFGYHAPEYAMTGQLNQKSDVYSFGVILLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVRQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLLSKPAGAGGP >OGLUM03G09220.1 pep chromosome:ALNU02000000:3:6879946:6884973:1 gene:OGLUM03G09220 transcript:OGLUM03G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEPGLPLFHSLFPPPEQYFFSGPGQREKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >OGLUM03G09220.2 pep chromosome:ALNU02000000:3:6879946:6884944:1 gene:OGLUM03G09220 transcript:OGLUM03G09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >OGLUM03G09220.3 pep chromosome:ALNU02000000:3:6879946:6884944:1 gene:OGLUM03G09220 transcript:OGLUM03G09220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSNPTSGPALFPN >OGLUM03G09220.4 pep chromosome:ALNU02000000:3:6879946:6884973:1 gene:OGLUM03G09220 transcript:OGLUM03G09220.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT3G58060) TAIR;Acc:AT3G58060] MEAKGENDARAPLLAERRRNSVGSMRGEFVSRLPKKVLDAVDPERPSHVDFSRSKGLREGEKEYYEKQFATLRSFEEVDSIEESNVMSEEDDIAEQKQSEFAMKISNYANMILLALKVIYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFVQAVEKLIVNETPDKLTPVQLTWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDMFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHNILSKLPSSQP >OGLUM03G09230.1 pep chromosome:ALNU02000000:3:6884793:6885493:-1 gene:OGLUM03G09230 transcript:OGLUM03G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRRGEPNNGSGPPPPSLKHVIFHVIINGEVEGIGPNSPRLSYISGTSIHGTWSISEGVNVFTGRQSHGRTTDCNGTTTSDKGYNCRRIILQS >OGLUM03G09240.1 pep chromosome:ALNU02000000:3:6889804:6897392:1 gene:OGLUM03G09240 transcript:OGLUM03G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVAAETAVASASGSGIWSRRRDEITLDRLQKFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASDINRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSIFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKEILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMDYCNTLTEFAQTNSSDLFTSGQSKSSRWNLRLRKDFPQDQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNFLDNKNNGSGAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSTSSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSATMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNGLHQSQTVGHPHGDPTLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDTSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >OGLUM03G09240.2 pep chromosome:ALNU02000000:3:6890199:6897392:1 gene:OGLUM03G09240 transcript:OGLUM03G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQTSQLAPRGLTVAVKKRTASSRSLPHFIPPLLPPLLLAFYPHTSPRLISPRRSAPLLPSRSPSEPKPTRLATGGGRSDPGPSCGEAAGHLRLRRRWRRPLGWAVDRGRARRCVEGVCGRLEGCDAGCGGGDGGGVGVGIGDLVAAAGRDHVGSPPEGRLAWATTLVWSGGWFWNGLPPQARQELLKLDKQTLIEQARKNLYCSRCNGLLLESFMQIVMYGKTLQRDASDINRLNTTGETRIRQGEQEDPSVHPWGGLVATKDGILTLLDCFVNAKSLRVLQNVFDNARAREREREMLYPDACGGSGRGWISQRLASYSRGYGTRETCALHTARLSCDTLVDFWSALSEETRLSLLRMKEEDFMERLMRRFESKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTDFQCEVFEDAVIIDWRQTLSEADGSYHHFEWAIGTDEGQSDVFGFEDVGMNVQVHRDGINLDQFEDYFITLRAWKLDGTYTELCVKAHALKGQSCVHHRLVVGNGFVTITKGESIRSFFEHAEEAEEEDEEDAMDRDGNDLDGDGLHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSIFVSLALELLEERVHVACKEIITLEKQNKLLEEEEKEKQDEQERRMRRRTKEREKKHRRKERLKEKERDKGKEILGLKSSDDNSCSTLRNSTSTNDESTNTPDSRDSASEEEDNSTVVDLCSPDTFVDQTACREISVQNNMDYCNTLTEFAQTNSSDLFTSGQSKSSRWNLRLRKDFPQDQSSCCYDECGDENGSIGDFQWQSKERTRHSARSCNSVFTTNNRTRDRHNYISFSCDPRDDYVINDSCSSSSTGSGRETKMARKTGVERPRVQYRRCYPLDNFIVSKESRTGNTQQKNVAPKQVWEPMDSQKKNFLDNKNNGSGAVCNVDPTKLVEQDSSECPNFDAGHEPLSQSSERSRDICKSETDQPCENNEKNQATSCGGTIMVDKQDCYSTKDEGSGHDEELMMNSTSSDGLSSCTSEADRESSTSSVTSLSAQHQESSSSDSEESPERVNSIEEAPSTKTVSRSLLEACAGKGFREYQPKAMHRPHNDRLGFNIPPFQDQLLHHQSMHVPTHSSATMGLHNHPWAAPASGYMQYAQPSHFYSNPLGFGVPGKQSPDFPVQYSNVHHFPAPAFSYAPPEPIRKTTPSFRVMHTSPPYRNGLHQSQTVGHPHGDPTLERHPSQPKPLDLKDAPGENKSSPEGNASFSLFQFNLPIAPPAPPSSKDDTSGESATRTPLAQVQVQPCSREQTDVKEYNLFCSKNGSMFSFISR >OGLUM03G09250.1 pep chromosome:ALNU02000000:3:6898178:6899955:-1 gene:OGLUM03G09250 transcript:OGLUM03G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASLSLAFSPLLLPTPRPRPYSRPINPGFPTPLRLSLACSPPSRRAGPVAAVPDGVAVADVVEKDWSFLDAAAAAAAAGGSLPRALAAGALSPASRVLAVTPAPSFVDALLSGHPCELLVAAHESLYVLAGIKEGHDEVRCFHLEGGGGGRGGGVVEAVPERFDAFDAVFVCYFPGMGVSAAALLKSLAKRCSKGGRVVIFLDQGRQSLEQHRREHPEVVTADLPTRPSLEKATAGSKFEILEFVDEPTLYLAVLQFQ >OGLUM03G09260.1 pep chromosome:ALNU02000000:3:6901058:6911916:1 gene:OGLUM03G09260 transcript:OGLUM03G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAMEAEAEAVADLDEIDREMSRAESRKRQRRTAKEKPGARKGATEWKPEDVEKAAAQEPEGAELDSGLSPAESRGKRQRGVEKVKRRTRKKTAKEKTKETTEKSAAQAPEKMKVNDAGGALAEDVCADEPDAEQMAMEEEEEAADVLEAEERMGKCVGEGSAEKAATRKRVARPSTARRVEDSDDHFVGDPVPDDEARQRWPVRYSRKGSDSLLKQEPDEDEEMKARCHYLAANVDDEIYHLDDDVYVKAGPDEENYIGRITEFFEGVDRGSYFSCQWFFRTADTVISSKLLKVHDHRHNHKRVFLSKEKNDNLIECIVSKVKIAHVDPNMTPQARAHAISDCDLYYDMSYSVAYSTFANLPADNDGALGSEATPNISCDDADNSSKGKLSADIVAPYSEQTETASLLDLYSGCGAMSTGLCLGFAFSGINLETRWAVDINKYACASLKHNHSYSQVRNEKTEDFLALIQQWDALCRKYVVHKNDTLEPGIDMPLNDADDVNEPLPEDIFDVEELLEICYGDPSNTGKNGLWFKVRWKGYDPSYDTWEPIDGLSDCPERIKEFVEKGHKENILPLPGAVDVICGGPPCQGISGFNRFRKHNDPLEDEKNKQLVVFMDIVKYLRPKYVLMENVVDILKFADGFLGRYATSCLVAMNYQARLGMMAAGYYGLPQFRMRAFLWGALPSMVLPKFPLPTHDAVVRGIVPTTFSQSVVAYNEVDTRCLRKALLLADAISDLPKVGNDQPKDVIEYSVAPKTEFQRYIRNNRKDIQDYSFRGDDPSEEGKLFDHQPLKLNKDDYERVQRIPVKKGANFRDLKGVIVGPDNTVRLDPNISRERLSSGKPLVPDYAISFVKGKSTKPFGRLWWDETVPTVVTRAEPHNQIILHPSQDRVLTIRENARLQGFPDYYRLIGPLKEKYIQVGNAVAIPVARALGYALGLAYRGESDGDRAVLKLPESFIYADQETVVKSSAGTPGSEIDDSEQLLNIGMETKNRKYKEIVSQKCARGGAVEVGQCDETSALKPTSFSLPNSASTPSVLFQKEQGKRKDSKMGSSRRGAEEGEARRAVPFQNILSWEGWNRLDHRRGEIRLDVRREMDDSPLDNLFDGNGLDSPAGADSSSSTGSPPSSTSSSPPSSQSPPPGSSPPPASPPPSTPSAPPTNSSGSAPSPPSPSQSAPPANTGGGGSPPPSHGSPPAPKAVQSQPAPKRSGDGGSSSDSGSSKEGGSSSDRGKSESNGNRPGPEAAIIAGMVIGFFTFALLLAIVACVCCSKKKKRPPHMHMPYYTDENGKVYYANSMPRWQNSVDQGGGWHAQYSPGQAPPSSEMSGSHGAGPLPPPSPGMALGFSKSSFSYDELALATGGFSSANLLGQGGFGYVYRGVLAGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSSQRLLVYEFVPNDTLEHHLHGLHIFPALFPAIVTRHSYVRFLFDSDTRVCRMRAGKGVPVMAWPTRLAIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDENFDAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDPTNYMEDSLVDWARPLLARALSEDGSFDELIDQRLENKFDRLEMERMAACAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSTGSEYDSGNYASDINRLRKVAFESSIEDSSEYGTHSSADSGEPPRRQQHR >OGLUM03G09270.1 pep chromosome:ALNU02000000:3:6916419:6923854:1 gene:OGLUM03G09270 transcript:OGLUM03G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z490] MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCQLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >OGLUM03G09270.2 pep chromosome:ALNU02000000:3:6916419:6924189:1 gene:OGLUM03G09270 transcript:OGLUM03G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z490] MPRSLAGDLTDPEMAQPYMKKDDDDEDVEYSPFYGIEKGAVLQEARAFHDPQLDARKCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSKDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQANPEIVKRWSNEVQEAVQSRFALVQFHGLALLHQIRQNDRLAISKMVSGLTRGSVRSPLAQCLLIRYTSQVIRESSMNTQTSDRPFFDYLESCLRHKSEMVILEAARKIAEMDVTSRELAPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLMSDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMMNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLYLCEFIEDCEFTYLSSQILHLLGNEGPRTSDPSRYIRYIYNRVILENATVRASAVSTLAKFGALVDALKPRIFVLLRRCLFDTDDEVRDRATLYLQTLDGEVAVGSTEKDVKEFLFGSFDVPLANLEASLKTYEPSEEPFDISLVSREVKSQPLQEKKAPGKKPPAGAPAPAPVPAVDAYQKILSSIPEFSGFGRLFKSSEPVELTEAETEYAINVVKHIYSSHVVLQYNCTNTIPEQLLENVTVYVDATDAEEFSEVCSKPLRSLPYDSPGQIFVAFEKPEHVPATGKFSNVLKFIVKEVDTSTGEVDEDGVEDEYQIEDLEIVSADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVISILGMQPCEGTEVVPKNARSHTCQLSGVFIGDAKVLVRLSFGLSGPKEVAMKLAVRSDDPEVSDKIHEIVASG >OGLUM03G09280.1 pep chromosome:ALNU02000000:3:6926660:6938794:1 gene:OGLUM03G09280 transcript:OGLUM03G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENTPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGVPYGHYTAMPSKECHMAKGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >OGLUM03G09280.2 pep chromosome:ALNU02000000:3:6926660:6938794:1 gene:OGLUM03G09280 transcript:OGLUM03G09280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G52050) TAIR;Acc:AT3G52050] MACCCLRASTAPRFLLFRAAARRAPLPVAVSRKGFSEQSVLPITDMIENFQGPSMENTPRIPLYDDSLPSSLLTTSPNPSDSVAHADPSKSRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLVLDMLEFIPSHAAVVFDHDGMTFRHMLYPSYKSNRIPTPDTIVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVSSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVEGIGDINAVKLITKFGSLENLLKSVDEVEEERIKQALISQSEQAMLCKSLATLRSDLPSYMVPFKTSDLVFQKPKDDGAKFIKLLRALEAYAEGSSADLIIRRAAYLWNKLNS >OGLUM03G09290.1 pep chromosome:ALNU02000000:3:6933420:6938557:-1 gene:OGLUM03G09290 transcript:OGLUM03G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQALLFHLATSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKARISSQHLYVRIAVISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEGGATVDNARAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTVAPATVTPVTVQNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLDYQDS >OGLUM03G09290.2 pep chromosome:ALNU02000000:3:6933420:6938557:-1 gene:OGLUM03G09290 transcript:OGLUM03G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRWLDAAVISGLLQALLFHLATSQSFIGVNYGTIADNLPPPASTANLLKSTSIGKVRLYEPQPDLVAALAGSNISILLGVPNGDVPNLASSPAAASAWAAANIPTTVPVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKARISSQHLYVRIAVISTVHSMAVLSSSDPPSSGAFHADLAGSLDPVLDFLKQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNPGRVDAGSGLTYTNMFDAQLDAIRAALDAKGYSGVDIVIAETGWPYKGDADEGGATVDNARAYNGNLVAHLKSQVGTPRTPGKSVDTYLFALYDEDLKGGPESERSFGLYRTDLTANYDIGLAAAPGTVAPATVTPNTPQPSRGMTPTGYCVTAAGVPGTTQGQQVPQTSSCYIPAGAVSRRADAAVRRLDYQDS >OGLUM03G09300.1 pep chromosome:ALNU02000000:3:6944757:6948756:1 gene:OGLUM03G09300 transcript:OGLUM03G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRAKLVEQLREHQIRSAQSYSAALAVFSPSPHIASRRDLKVALFYAILFCFVMVSCYVALYLRWFRLSAIFVVFGILLPVGLKISRHRRLKRKRERRLLLPLSM >OGLUM03G09310.1 pep chromosome:ALNU02000000:3:6949233:6949566:-1 gene:OGLUM03G09310 transcript:OGLUM03G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAPAADKRIRQPASNVPIMAQSFAPTRAKLGASNTVSVALCRTMDNDDGLIPSGNQTWCLCLFLQGTQALEERCQQSVLQKQCIWEVY >OGLUM03G09320.1 pep chromosome:ALNU02000000:3:6965166:6969574:-1 gene:OGLUM03G09320 transcript:OGLUM03G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQIPSWGQAVLLEAYNNSSWTALGQPSRKKMARASAMDDVSCPAKSKLSKRSRICSFERLSGAETTPFMKPNVSPTSGHPAAPGGRFIRFLFAGVVPPRHQCPPWHLATVVV >OGLUM03G09320.2 pep chromosome:ALNU02000000:3:6962334:6969574:-1 gene:OGLUM03G09320 transcript:OGLUM03G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQIPSWGQAVLLEAYNNSSWTALGQPSRKKMARASAMDDVSCPAKSKLSKRSRICSFERGLEVAK >OGLUM03G09330.1 pep chromosome:ALNU02000000:3:6964574:6971718:1 gene:OGLUM03G09330 transcript:OGLUM03G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50660) TAIR;Acc:AT3G50660] MAAMMASITSELLFFLPFILLALLTFYTTTVAKCHGGHWWRGGTTPAKRKRMNLPPGAAGWPLVGETFGYLRAHPATSVGRFMEQHIARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDPHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRAWPPSSTFSAQHQAKKFTFNLMAKNIMSMDPGEEETERLRREYITFMKGVVSAPLNLPGTPYWKALKSRAAILGVIERKMEERVEKLSKEDASVEQDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLGIARRQRLRGECKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIKDVHYKGYDIPSGWKILPVLAAVHLDSSLYEDPQRFNPWRWKSSGSSGGLAQSSSFMPYGGGTRLCAGSELAKLEMAVFLHHLVLNFRWELAEPDQAFVFPFVDFPKGLPIRVHRIAQDDEQE >OGLUM03G09340.1 pep chromosome:ALNU02000000:3:6973178:6975534:1 gene:OGLUM03G09340 transcript:OGLUM03G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTRLFFLLRRFQILDGLAHPSAAMDLSAEAAAAVRPRVRRVRSGADPTATTSFNIARESKRGATAQQPRNDLSNVGNCWCSSILMVIVGPTFRYAGLSCCSFEVWGANLVWTNCQMYHYKFLR >OGLUM03G09350.1 pep chromosome:ALNU02000000:3:6982252:6986744:-1 gene:OGLUM03G09350 transcript:OGLUM03G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34500) TAIR;Acc:AT4G34500] MDAAGPPPPPTAVGSDVDGGTGSGGARTTTFFGLSTSALVAAGAAALVLVVVLVAAGTARLCARRRRGAKHLSMSRVEHAPSSGSLRQASSSSAPKEKDHAEAGAGTGTGTSSSDVASSSAAASYLESPVRRKPERISCAAAMDMGWGRWYDLEELEAATGGFSEENVVGEGGYGTVYRGVLAGGEVVAVKNLLDHKGQAEKEFKVEVEAIGKVRHKHLVGLVGYCAEGPKRMLVYEFVENGNLEQWLHGDVGPVSPLTWDNRMKIAVGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDIYSFGVLLMELISGKRPVDYSKSVGEVNLVEWFKGMVGSRRVEQLVDPRIEDPPGARALNRVLLVCLRCIDSDAHKRPKMGQIVHMLEGDEFPFRTEHRSPRATHRTSPNNTRPLLMSEKVGADDLDRSMWR >OGLUM03G09360.1 pep chromosome:ALNU02000000:3:6993975:6994358:-1 gene:OGLUM03G09360 transcript:OGLUM03G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAWRAAPTAASASSSSYLVAAEAGGVEKISMLSGYERLPSVYGTAFHDDDGGGAWSSSSSPPACPPRAARLLWGALTRAVQRPGRCRCPGDEEAGVTTTKERRRSSSSSWRPDPDRRWPVQGWS >OGLUM03G09370.1 pep chromosome:ALNU02000000:3:6995769:6996769:-1 gene:OGLUM03G09370 transcript:OGLUM03G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPGSAVAMATPPEPSSWLSLKVFFLRVSRCEVNESMLDSVTVTHAPLTPDTVLEVSGGSVASNGHVSLRLDRAGGVGAAATEGGDSCTFVSTADVRVSGSARFDVQCGGERLVVGILDTRDAGAGGGGWVMKCQVAAQRGSGLVRRGSKEAKPPVVEVYVAGLARGAPVVFTRAMQLRFRRRRHVKAFMEPIPEHGEPAEDSKETLPPKHETEVSEYRFYKPEQDAGDADYDGFYVKPAGEEDDDGDFSWFTAGVRVGVGISVGICLGIGIGAGLLARSYHSTSRSLRSRLISSLF >OGLUM03G09380.1 pep chromosome:ALNU02000000:3:7025617:7029348:1 gene:OGLUM03G09380 transcript:OGLUM03G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAVMVMSTHGKPRLLKFYSYQPPEKHQDLVRGVFQLLSARPDSVSNFVKVDAIFGPGAKLVYKHLATLYFVFVFDSSENELAVLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMRSVEEIARLEKQSNTTSLIPKSISERFSR >OGLUM03G09390.1 pep chromosome:ALNU02000000:3:7029880:7034716:1 gene:OGLUM03G09390 transcript:OGLUM03G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLRELGMRREPSRAEVVGRAKDDGDFDALRRAIIRKVKDNEVLRSNIIAEVKQSVVINEDGSEKLKLKDLSDAIYQDIGSKIMGQISDETWGVILSNEIDIRGTSETVYNRMMNPEQQQDPPSKKLKRNAKEEQVSPAKASTSVAVQLEDDDPEEPPGFGFSDHQRSNIMATQQQQSSNTENHNQVKPNEGEPNAVSCPGDDDEDPDVPPGFG >OGLUM03G09400.1 pep chromosome:ALNU02000000:3:7047828:7051265:-1 gene:OGLUM03G09400 transcript:OGLUM03G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGSMAAAAATSTLLLLLLLATATHGAAADTVSSPASPEAAALLSLSAALGDPSGYLSTHWTHDTAFCSWPRLSCDADGSRVLSLDLSGLNLSGPIPAAVLSSLSHLQSLNLSNNILNSTFPEGLIASLKNLRVLDFYNNNLTGALPATLPNLTNLVHLHLGGNFFSGSIPRSYGQWSRIKYLALSGNELTGEIPPELGNLTTLRELYLGYFNSFTGGIPPELGRLKELVRLDMANCGISGVVPPEVANLTSLDTLFLQINALSGRLPPEIGAMGALKSLDLSNNLFVGEIPASFASLKNLTLLNLFRNRLAGEIPEFVGDLPNLEVLQLWENNFTGGVPAQLGVAATRLRIVDVSTNRLTGVLPTELCAGKRLETFIALGNSLFGSIPDGLAGCPSLSRLRLGENYLNGTIPAKMFTLQNLTQIELHDNLLSGELRLDAGVVSPSIGELSLYNNRLSGPVPVGIGGLVGLQKLLVAGNRLSGELPREIGKLQQLSKADLSGNLISGEIPPAIAGCRLLTFLDLSGNRLSGRIPPALAGLRILNYLNLSHNALDGEIPPAIAGMQSLTAVDFSDNNLSGEVPATGQFAYFNATSFAGNPGLCGAFLSPCRSHGVATTSTFGSLSSASKLLLVLGLLALSIVFAGAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGVVYKGAMPGGAVVAVKRLPAMGRSGTAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRYKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDAEFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVHWVRMVTGSSKEGVTKIADPRLSTVPLHELTHVFYVAMLCVAEQSVERPTMREVVQILTDLPGTAAAAAATAMDAPSHGSGKEQDRSAEMQQQDGSRESPPQQDLLSI >OGLUM03G09410.1 pep chromosome:ALNU02000000:3:7065711:7066984:-1 gene:OGLUM03G09410 transcript:OGLUM03G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQNQWLTEPEPEELTYMYHQEEHARMQEQFAGTPLVEQPVRFDQFYPASMAPNQFHASHCSSFPAFGGSSALPSLAFGAVATTKKEQVQQPSPSSSNVLSFAGQVQGSTTTLDFSGRGWQQDDGVGVFQQPPERRSRPPANAQEHVIAERKRREKLQQQFVALATIVPGLKKTDKISLLGSTIDYVKQLEEKVKALEEGSRRTAEPTTAFESKCRITVDDDDGGSASSGTDDGSSSSSSPTVEASIHGSTVLLKICCKERRGLLVMILSELEKQGLSIINTSVVPFTDSCLNITITAKARLALPIEEGFSTAVELVKNLTVAVRGFK >OGLUM03G09420.1 pep chromosome:ALNU02000000:3:7096678:7097292:1 gene:OGLUM03G09420 transcript:OGLUM03G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVGNEENQNNENVANNDVDPIERETAKASSSGEHDREERKTEKNQLTLFIVFPNGEADVLHEDAPGRREESQG >OGLUM03G09430.1 pep chromosome:ALNU02000000:3:7106140:7108405:-1 gene:OGLUM03G09430 transcript:OGLUM03G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4B0] MCNSGTSSSPSAPAPPPPPLTSFKHSSHLLRLVDDDADDGHALLLSKVAGEAQAIGRVSVPMAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILKQLGQDEGVADAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVLLHGPINYLLVVRLRMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRATVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGLVVGAAAATFMVSVRSHWGRMFTSDGEILGLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAATDWDVEVARAKELTKASTTGSGTNHQHEGNNSNTNTANAKANTKTTTSPAASNINAGGGDNRGYVPISESGHNDGSDDLEKLEEGLMVATSGGCCGCGDALGVDTKAGDKQQCSNGGAGTAEGNAGQRRGSASSERAPLISVGDDEEAGEEHDGDGDGGGGGHV >OGLUM03G09440.1 pep chromosome:ALNU02000000:3:7109704:7123361:-1 gene:OGLUM03G09440 transcript:OGLUM03G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFRFERSMTGGVHPLDETLENLESFSRSPPHIAGTANHRGHRIAARRGRRRARALDRPEQLHRASTVKAGQEDNQGAHNSSSTGGFLLNAAKKATWIKKGNGGTTISCCARVAVNNGARDKAIGSSEE >OGLUM03G09450.1 pep chromosome:ALNU02000000:3:7145103:7148362:-1 gene:OGLUM03G09450 transcript:OGLUM03G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLRLILGSSSASRRQILAEMGYSFTLLSADIDEKEIRKEKPEELVVALAHAKADAIMEKLRDNGMMKEILDSQETTLLITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKSGARKEGWDKAEVYFHKIPDEVVESLIEEGDVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKSLTEKLIKESLEEP >OGLUM03G09460.1 pep chromosome:ALNU02000000:3:7153147:7156762:1 gene:OGLUM03G09460 transcript:OGLUM03G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4B3] MDFSGDVKPAIHRPSVAAARGGGNSGAIPLLRGWQAFRRSGAPARLLCFEGGAWADVAGEVVGLLRRAFMEGKAVCEAACGGRVFLFDFMRMVRIDEATAEEAALGWIDDRGACFFPAPEGGRKRKRERDEAGSEVKGEDRRRRQPAAEEEDGDEASSGVEERSGESRPEADEPDRKKARGTLWGKAVRLDEADKFYKVVEKLFVSRMAPVAAARGVAITAVHKVAQGPRARAFHLQGQLLAAARGVGDGSNAKFAWYGAPAADVAAAVEHGFGRTNGQFLGGRAHGDGVHLSPPQYPHASAMLTKPDENGEAHIVLCRVLMGRPEAVPASSPQFHPSSDEYDSAVDNLENPRWYVVWSTDMNTRILPEYVVSFRWPNLPQMEGSSGLGSKLKKPSPATTRDMFPMLLTEIQRFVPSPKLQTLQRTYNCFKRGQMKKDQFIRFLRSHIGDNVLTTVAKKLRGY >OGLUM03G09470.1 pep chromosome:ALNU02000000:3:7160205:7166054:1 gene:OGLUM03G09470 transcript:OGLUM03G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIDETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >OGLUM03G09470.2 pep chromosome:ALNU02000000:3:7160464:7166054:1 gene:OGLUM03G09470 transcript:OGLUM03G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLVAYKYPLALFLLPFLPLFLGANSRRGEESFFGGELLRPCGRGMRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIDETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >OGLUM03G09470.3 pep chromosome:ALNU02000000:3:7160205:7166054:1 gene:OGLUM03G09470 transcript:OGLUM03G09470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHGEVSFDEDEEEVVMVPAAALSSSPLNGGAVPVTRLVVGYALTKKKVKSFLQPKLLLLARKKGINLVAIDDTRPLAEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAINHLNNRQSMLAEVSDLNLSSFYGEVCTPRQLVIMRDPSSIPTAVAMAGLTLPLVAKPLVVDGTSKSHELSLAYDEASLSMLDPPLVLQEFVNHGGILFKVYIIDETIQVVRRFSLPDVNTYDLLNNVGVYRFPRVSCAAASADHADLDPHISELPPRPLLEKLGKELRGRLGLRLFNIDMIRELGTKDRYYIIDINYFPGFGKMPGYEHIFTDFLLNLAQSKYKKCLSGG >OGLUM03G09480.1 pep chromosome:ALNU02000000:3:7166547:7167544:1 gene:OGLUM03G09480 transcript:OGLUM03G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPSGARLTPIHNVDKDGHCSARGSSGPAAGGARGGRVSLMALLEQAKRQWVTVAGDANRRHVVEDHAIAAMAEEEWMNKGVLAADEVAAAEAGPEDVEQREGSLHGGCGSVVPVVARLGGHGGVKRKEEKKGEEEGL >OGLUM03G09490.1 pep chromosome:ALNU02000000:3:7183034:7192463:-1 gene:OGLUM03G09490 transcript:OGLUM03G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVYLRSRAVSRSPSSSRSSRRLERSGSPPRLLSRQSSSSLSWSAVADLIPLRHRPLFPRRPSSHPTASLTSSPLFSHGERGDATHIVARPSARAAPSGQIGRIPPSGIWREYSPPGRPIPLPPTNQTPKKNRPPCRGCKSTHLPLSHSPHGTLDRAGTRVQVPNSTINSMKEAYLDTTDRGDEL >OGLUM03G09500.1 pep chromosome:ALNU02000000:3:7192925:7195482:1 gene:OGLUM03G09500 transcript:OGLUM03G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEDVGHLSDAGLALGLSLGGGGGGTTDAAAAHRGGCRRPSPSSQCPPLEPSLTLSLPDDAAAGAAATATATASGGGGPAHSVSSLSVGAAAAAAVKRERAEEADGERVSSTAAGRDDDDDGSTRKKLRLTKEQSALLEDRFREHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQRELQELRALKFAPPPPSSAAHQPSPAPPAPFYMQLPAATLTICPSCERVGGPASAAKVVAADGTKAGPGRTTTHHFFNPFTHSAAC >OGLUM03G09510.1 pep chromosome:ALNU02000000:3:7212147:7215261:1 gene:OGLUM03G09510 transcript:OGLUM03G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4C0] MAAAAAAASSAKRALLPWARDAHHALARALQGCGGGGLGLRGALPTAGGRWSLLQCRWRSSLPQLDSADRSDEESGGEIDWDNLGFGLTPTDYMYVMRCSLEDGVFSRGELSRYGNIELSPSSGVINYGQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQALHTTLTSIQMGLAEDKKGWTVAID >OGLUM03G09510.2 pep chromosome:ALNU02000000:3:7212147:7215261:1 gene:OGLUM03G09510 transcript:OGLUM03G09510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4C0] MAACVRTYVLDDQGLFEGLKAYRAANQQGSYMLFRPEENARRMQHGAERMCMPSPSVEQFVHAVKQTVLANRRWVPPQGKGALYIRPLLIGSGPILGLAPAPEYTFLIYAAPVGTYFKEGLAPINLVVEDSIHRAMPGGTGGVKTITNYAPVLKAQMDAKSRGFTDVLYLDAVHKTYLEEASSCNLFIVKDGVVATPATVGTILPGITRKSVIELARDRGYQVEERLVSIDDLVGADEVFCTGTAVVVAPVSSVTYHGQRYEFRTGHDTLSQALHTTLTSIQMGLAEDKKGWTVAID >OGLUM03G09520.1 pep chromosome:ALNU02000000:3:7215548:7226852:-1 gene:OGLUM03G09520 transcript:OGLUM03G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRAEPAMKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQISPPPRAERPRRPHHHWCGMAEVAAGAGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAALGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLDQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPMDVHLEQGTVTSLLEEGGTVKGVQYKTKSGEELKAYAPLTIVCDGCFSNLRRVLCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILCYPISSTEIRCLVDIPGQKVPSMATGEMAKYLKTVVAPQIPPELHDSFIAAIDKGSIRTMPNRSMPAAPLPTPGALLMGDAFNMRHPLTGGGMTVAFSDIVVLRNLLKPLGNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFCASTDQAKNEMREACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPVPSPKRMWIGARLVSGACGIIFPIIKAEGVRQMFFPATVPAYYRAPPPMDCWKQKKEQKNRVCFGIELFPSNV >OGLUM03G09520.2 pep chromosome:ALNU02000000:3:7215431:7226852:-1 gene:OGLUM03G09520 transcript:OGLUM03G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRAEPAMKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQISPPPRAERPRRPHHHWCGMAEVAAGAGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAALGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLDQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGSACGIIFPIIKAEGVRQMFFPATVPAYYRAPPPMEKRNRRTVFVSASNSSLQTSKCMHRRYDVHIHAVVKRRRVLDIWISIPTWRSSKKTTT >OGLUM03G09520.3 pep chromosome:ALNU02000000:3:7222161:7226852:-1 gene:OGLUM03G09520 transcript:OGLUM03G09520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRAEPAMKTGGRSPPLLPPSPPLPINRNNLEASARLRLLLPPPPPPLPFSPLARRRLAATRIGAAPRPQQISPPPRAERPRRPHHHWCGMAEVAAGAGQLIGVAVATLLAAIFLAAALLGSRRRRRRAPLAGKPAALGGCGVADGEGCGGDGRTDVIVVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVQEIDAQRVLGYALFKDGKDTKLSYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLDQGTVTSLVEEDGTVKGVKYKTKSGEELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHANHGHVVLANPSPILFYPISSTEVRCLVDVPGQKVPSIANGEMAKYLKTVVAPQIPPEIYDSFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASALCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGSACGIIFPIIKAEGVRQMFFPATVPAYYRAPRPME >OGLUM03G09530.1 pep chromosome:ALNU02000000:3:7227408:7237819:-1 gene:OGLUM03G09530 transcript:OGLUM03G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAEDWGGGVGDEEVKVVGSCCHIVPRARSQLSIDHNILGTHPVGAWDGGWVCRGGMMGPERCMALAETDQARYDTLQYWMPSGGGVARQRPDLRGAAAAALAETSPKIAYQMVKRVSVTEYYSKTYNGGIRERVGELSCLVYVRPGLEPLMVSQPGHQIL >OGLUM03G09540.1 pep chromosome:ALNU02000000:3:7230020:7231239:1 gene:OGLUM03G09540 transcript:OGLUM03G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVHLKSLKNVLVPGEEYSSKIRDQLIRKKLADELVPPGTSERRHRAIRHLCELRRASASVVASLSAAAGRRRGVAVVAGLAAAGSSGGGGSGGGELTGCSPPLSGASSAAGSTGGAGSGGDDDHDDDHGGCADLAATTTTAVAADLVATTTTTRWGFGAVARGPDEATTGAPTPPTRGFGSWLRGSRAQARCFFRFFCKLAARLRDHERACQLGSAPT >OGLUM03G09550.1 pep chromosome:ALNU02000000:3:7240524:7244149:1 gene:OGLUM03G09550 transcript:OGLUM03G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGGGDDDGFDPSAAEHKRHEQIGNLAVELKHQRLASKPSAMECELKYVCMHPEQRYTLTRVKNCGYWEPLDDPSTRKKPADESSGSIRFVNDVVAADVLSKNMGLAPASCSCDASDGGIMLLFGIAPRSGVDAGA >OGLUM03G09560.1 pep chromosome:ALNU02000000:3:7253651:7262358:-1 gene:OGLUM03G09560 transcript:OGLUM03G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGAAAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAAGPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAAIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTRGNYMQKPVTQPGSGGPEAILHPSDPAQFTHPVLQPQRSKYKNPIRCQHTSACRWQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNVLQIPKPLEMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAAHLASFPQQRGLIWDEELRNIAPAGFASDAAGTSSLENSDSMKVE >OGLUM03G09560.2 pep chromosome:ALNU02000000:3:7253651:7262358:-1 gene:OGLUM03G09560 transcript:OGLUM03G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGAAAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAAGPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAAIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTRGNYMQKPVTQPGRWLIILVFHSLLCQYITIGLSLLWYHLVDLVQDAPAAGIHFDCIIPLPINPYQLPPSAGACCSTTQASASAKDGGNVLQIPKPLEMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAAHLASFPQQRGLIWDEELRNIAPAGFASDAAGTSSLENSDSMKVE >OGLUM03G09560.3 pep chromosome:ALNU02000000:3:7253651:7262358:-1 gene:OGLUM03G09560 transcript:OGLUM03G09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRLRSMDQRGGAGAAAAETHRVQLPDTATLSDVKAFLATKLSAAQPVPAESVRLTLNRSEELLTPDPSATLPALGLASGDLLYFTLSPLPSPSPPPQPQPQAQPLPRNPNPDVPSIAGAAGPTKSPVESGSSSSMPQALCTNPGLPVASDPHHPPPDVVMAEAFAAIKSKSSLVVGATKREMENVGGADGTVICRLVVALHAALLDAGFLYANPVGSCLQLPQNWASGSFVPVSMKYTLPELVEALPAVEEGMVAVLNYSLMGNFMMVYGHVPGATSGVRRLCLELPELAPLLYLDSDEVSTAEEREIHELWRVLKDEMCLPLMISLCQLNNLSLPPCLMALPGDVKAKVLEFVPGVDLARVQCTCKELRDLAADDNLWKKKCEMEFNTQDTCGCMMCKCIYSDQRKDIVLADKYTRGNYMQKPVTQPGRWLIILVFHSLLCQYITIGLSLLWYHLVDLVQMYSPPCSAAASSQGHCFAVGANQLASLDLAMDFDEPILFPVHNASLQEGIQFYNPTGDTQLSRNMSIDKCLKGSKRKGSGEGSSSLHSQEETGEMPQRELSMEHAGEKAGDADASREEYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSTISPELNSDLDLQDILCSQDARSAFLGCSPQLSNAHPNLYRAAQQCLSPPGLYGSVCVPNPADVHLARAAHLASFPQQRGLIWDEELRNIAPAGFASDAAGTSSLENSDSMKVE >OGLUM03G09570.1 pep chromosome:ALNU02000000:3:7266110:7269834:-1 gene:OGLUM03G09570 transcript:OGLUM03G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGSSNWLGFSLSPHMPAMEVPSSSEPSTAIHHHHHHHPPAAAAAAGAMSSPPDSATTCNFLFSPPAAQMVAPSPGYYYVGGAYGDGTSTAGVYYSHLPVMPIKSDGSLCIMEVGMMPSSSPKLEDFLGCGNGSGHDPATYYSQGQEAEDASRAAYQHHQLVPYNYQPLTEAEMLQEAAAAPMEDAMAAAKNFLVTSYGACYGNQEMPQPLSLSMSPGSQSSSCVSAAPQQHQQMAVVAAAAAAGDGQGSNSNDGGEQRVGKKRGTGKGGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKDGQTRKGRQGGYDTEDKAARAYDLAALKYWGLSTHINFPLENYRDEIEEMERMTRQEYVAHLRRRSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMESSSLLPGEAARKVKAIEAAPDHVPIGRELGATEEASAATVTGTDWRMVLHGSQQQQAAACTEATADLQKGFMGDAHSALHGIVGFDVESAAADEIDVPGGKISGINFSNSSSLVTSLSNSREGSPERLGLAMLYAKHHPTAVSLAAMNPWMPTPAPAAAHVMRPPSAIAHLPVFAAWTDA >OGLUM03G09580.1 pep chromosome:ALNU02000000:3:7279838:7280071:1 gene:OGLUM03G09580 transcript:OGLUM03G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPSSTAADCTMARIAFPCDGGRQATSRTPTRQRRRRSSDCFLLGWEPPFGCLGVVASIGAAGTNVYGVVHLRAS >OGLUM03G09590.1 pep chromosome:ALNU02000000:3:7282933:7283791:-1 gene:OGLUM03G09590 transcript:OGLUM03G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREILLRTGTREVLIRRRLLRCGPRGSNTRGRHAGLAKRAASCMHCGSPVRR >OGLUM03G09600.1 pep chromosome:ALNU02000000:3:7285391:7286214:-1 gene:OGLUM03G09600 transcript:OGLUM03G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWYCSGRIAGYRALQFGHLRRLSMQLFPLGSGIGERQEVDSRHLCGAGDPGNTGISQALGPSSIGSAWGGTGHDLLALGEFGDLLRTLGVSSSLLGKNQPRAQANLEVSSASSLSRIAFGVEGCHTQLAAFFVINVMRRLITYWTLARSLAGYAIGHSECLPLNEHSFHSRLCDSHKRMAKEHRRGFDTIATLVAWTIWKERNNRVFNQKSRTWAEIARAITGEADLWRLARAAIPAMAIPMSGES >OGLUM03G09610.1 pep chromosome:ALNU02000000:3:7291862:7298356:-1 gene:OGLUM03G09610 transcript:OGLUM03G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDYRPENYPHSSDGQYWRQQHPRNTKKNARPGAATLACRLPAPTPPLPRPRPAVAPPVASPPVTDRRPPWTKRLRCAACSGEPRRPRPPRRLLRGAAPPRTADLAAPPALLPLGASHAISG >OGLUM03G09620.1 pep chromosome:ALNU02000000:3:7294031:7295125:1 gene:OGLUM03G09620 transcript:OGLUM03G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLSALFLTAILLCLICTRSQAARPEPGSSGHKSQGVVASSIAHQKSVGSSGIGVEMHQGEPDQAVECKGGEAEEECLMRRTLVAHTDYIYTQGNHN >OGLUM03G09630.1 pep chromosome:ALNU02000000:3:7298511:7302038:1 gene:OGLUM03G09630 transcript:OGLUM03G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLLRPRLSLLRAVAPRPVPPPPRASLPVRRTLSSPAGAARTASAGDAPPPAQRTGPKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSSAAAGGAIWN >OGLUM03G09630.2 pep chromosome:ALNU02000000:3:7298511:7302038:1 gene:OGLUM03G09630 transcript:OGLUM03G09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22870) TAIR;Acc:AT2G22870] MLLRPRLSLLRAVAPRPVPPPPRASLPVRRTLSSPAGAARTASAGDAPPPAQRTGPKGGRKSAPPPPPPRGSSVNPALFFPPGVDRDAAVAAEMVIPASNIVVGPYAGDSRVKEAEFVKSSARARDCPKDDRPEFAVLGRSNVGKSSLINALIRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARTDWSSFTKGYFLNRDTLVGVLLLVDASIPPQKIDIDCANWLGRNNIGLTYVFTKCDKSKKGKGGRPEENIKEFQETISSLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEATMSP >OGLUM03G09640.1 pep chromosome:ALNU02000000:3:7305467:7306846:-1 gene:OGLUM03G09640 transcript:OGLUM03G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4D9] MPQYQELPCGGQVLDIDTALKDGILGGGPELGDAAAGDGGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYESANISRWLALGHRTCPTTMQELWDVTPIPNTTLRQLIAAWFSRRYTRFKKRSADFHGRAAELVHALRGTAVPKRQPLKGQARVAALRELRSLAAAHQSVTKAIAEAGGVGLLTSLLGPFTSHAVGSEAVAILVSGVPLDADAKAALMQPAKVSLLVDMLNEGAVDTKINCVRLIRILMEEKGFRPDTVASLSLLVGVMRLVRDKRHPDGVAAGLELLNSICAVHKPARSLIVSIGAVPQLVELLPELTTECVEPALDILDALAAVPEGRIALKDCPRTITNAVRLLMRVSEACTRRALSMLWVVCRMAPEECAPAALDAGLGAKLLLVIQSGCGPELKQQASELLKLCTMNCTSTVFISKCKLTKTIQ >OGLUM03G09650.1 pep chromosome:ALNU02000000:3:7306865:7312003:-1 gene:OGLUM03G09650 transcript:OGLUM03G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNRAWTCFGTASTSGHFLEQRPKMDVVSKGVQIWTPFETTRAKRKALLPALARWVSQSARQSLPTSVHPSVSPRHAHALSCVLRPPPLPSPYVAATVVPAFSRPRCSHPGAVSSPAASHAYATPGRRQSQRPVGGCAKRKTTRLHPSIDRPTHGSLRIDLSSLLFLPRASYGAAVHSVWPVKALALDMSEKKSVLSWSLVLVIKTSFRKKEGLQPGINARGSKANVVRKPKIPRKATGQERGKAKCAGKRASPSVRPAGRAARVEEVVVGAEVRA >OGLUM03G09660.1 pep chromosome:ALNU02000000:3:7319343:7326325:-1 gene:OGLUM03G09660 transcript:OGLUM03G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEEVEAARWAEAEDERKEGLRRRRRYGLVEYRALPGYMRDNEYILRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLVLTIYTATQVPNVVDLQSLQHLPDVLRNADLHKIQTELVACLPSLPHLSDLQKLKDELKSSWNSIEVLPSLSRWHLLELLSSCLPHRFTHSNETSLSVLQSMKEDIANMIAPQLIRPIPRWPFYAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSCITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVIHKLILFWHQPEALHTTAYEVLMGLFYGIGALVYATRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHSGLGATHLINQALLFPENNYSVIPRPLLCILCRYLSACSSSMHYINCVYNRSLGSEMNVQVARILASKQTHPHMDLLRRVAVVAVLLSLPSRGRSGGGGGDLHPVVLVPGYGSNRLYARLTAAYEPAAPRCGAREGKDEWFQLWPIDAAASDPAQVPCLAEQMSLVYDPVADDYRNVAGVVTRVPSFASTRALVGWDPLVRQLEAMGYRDGGSLFAAPYDFRYAVAPRGHPSAVGERYFARLTRLIERASRLNGGRPAVVVAHSFGCALTYQFLRARPLAWRQRFVKHAVLLAAALGGFAEGMDGLASGAGSGLPNLAPPARTRLARSQQSALWRLPTPMVFGDRPVVVTKNSTYSANNITEFLDAIGFTEGVQPYVTRVLPMWRALPAPMVPVTSMYGVGVRTPETFVYGEAGFEGTPEVVYGDGDGNMNIVSLMAAEKEWSGVEGQILKVVRLPGVSHVGFFSDLALKKVVAEIQKAVSSIEVHRKEKIFSFLNNFEFTIPVPLGW >OGLUM03G09670.1 pep chromosome:ALNU02000000:3:7337184:7338706:1 gene:OGLUM03G09670 transcript:OGLUM03G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRTLARGIWAGKNANAAAACPAAAAPKPPARRPLPAVDDCPTLAYLRPRPGTIRYTTASVPLPAHCFPALPVGDQLFNRLRLDGLVPPTTAMTRPPEEEGVGVTVEEARKVARAAEMEVARARLRSNAQSVVSGSEFAALCVDIAGGAEGGRRLARALDDSGVVIVLGDAVFLRPDMIAKAIGSMIPATEHATRAAASVVEVRKKREEEEELRAMEEEKAGIDAAAAAQVRRELWCGLGLLAAQTLGFMRLTFWELSWDVMEPVCFYVTSLYFMSGYAFFMRTSTEPSFEGFYRSRLASRQRRLMRARSFDVARYEALKEQVGGGARYGAATPSC >OGLUM03G09680.1 pep chromosome:ALNU02000000:3:7338904:7339165:1 gene:OGLUM03G09680 transcript:OGLUM03G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTRYQMICDSYHVVGDACDVSCPDTPLPGIMRFYNVSSDTCEISDDTYQVSCDSYDVENDTYQKMIPIRYHAILIT >OGLUM03G09690.1 pep chromosome:ALNU02000000:3:7341803:7345734:-1 gene:OGLUM03G09690 transcript:OGLUM03G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKGLCILAVVIAFQLAGGQAVTDATARARRFACNVSAPCDTFVVYRTQSPGFLDLGNISDLFGVSRALIASANKLTTEDGVLLPGQPLLVPVKCGCTGARSFANVTYPIRPRDTFFGLAVTAFENLTDFVLVEELNPAAEATRLEPWQEVVVPLFCRCPTGEELSAGSRLLVTYVWQPGDDVSVVSALMNASAANISASNGVAGNSTFATGQPVLIPVSQPPRFPPLTYGAIAADPGAGKHRHGIIVATSIAGSFVACAVLCTAILAYRRYRKKAPVPKHVSPKLSWTKSLNRFDSNSSIARMINGGDKLLTSVSQFIDKPIIFREEEIMEATMNLDEQCKLGSSYYRANLEREVFAVKPAKGNVAGELRMMQMVNHANLTKLAGISIGADGDYAFLVYEFAEKGSLDKWLYQKPPCSQPSSSSVATLSWDQRLGIALDVANGLLYLHEHTQPSMVHGDVRARNILLTAGFRAKLSNFSLAKPAATVDAAATSSDVFAFGLLLLELLSGRRAVEARVGVEIGMLRTEIRAVLDAGGDKRAAKLRKWMDPTLGGEYGVDAALSLAGMAMACTEEDAARRPKMAEIAFSLSVLGQPLSVADAFERLWQPSSEDSIGIGNELNHFNPWNSDELQPFYSSLLQFGAEAVGTTVFTLRNNCTYTVWPATLSGNTAVAVGGGGFELSPGANVSFPAPAGWSGRLWARTDCAPSGTASLACATGDFGGAVSCSLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNRSTCGYAGCVGDVNALCPAELQVAATTTTVACRSACEAFGTAEYCCTGSHGGPDSCGPTRYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYVITFCPAQQQ >OGLUM03G09700.1 pep chromosome:ALNU02000000:3:7349563:7355576:1 gene:OGLUM03G09700 transcript:OGLUM03G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAEEVSPTASSSELEQEDDDDDCYLSDQEDDALEESVLQVLEDERDEDCHWSSTSVITKESLLAAQREDLRKVMELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQPANNAGSPSSTEVTCNVCYDDVPLSDASKMDCGHNYCNECWTGYFIVKINEGQSRRIKCMAPKCNTICDEAIVRKLVNAKRPDIAERFERFLLESYIEDNDTVKWCPSTPHCGNAIRVKGDIHCEVECTCGRQFCFNCSSEAHSPCSCVMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTEDQSKKTEQARRNLYRYMHYHNRYKAHTDSLKQEAKLKGDIQGKISISENKDSKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTSDERELKQNLFEDQQQQLEFNVERLSGFLERDFQNFSDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELNVCWDSSEQGSSIFGKRQLGSSSNNNGRPHKRERNDAHGGAALFDLNVPAEVADKI >OGLUM03G09720.1 pep chromosome:ALNU02000000:3:7362743:7366275:-1 gene:OGLUM03G09720 transcript:OGLUM03G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVGQTCAGECTRQHRIWYSINSGFTLWPLMRSLQVNNSAASLHCTSELKKGSSSLIFAQGRDCMEWGSISPLQIKSSKGSGSLGVVAVELIT >OGLUM03G09720.2 pep chromosome:ALNU02000000:3:7363279:7366275:-1 gene:OGLUM03G09720 transcript:OGLUM03G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVGQTCAGECTRQHRIWYSINSGFTLWPLMRSLQVNNSAASLHCTSELKKGSSSLIFAQIKSSKGSGSLGVVAVELIT >OGLUM03G09730.1 pep chromosome:ALNU02000000:3:7363151:7367478:1 gene:OGLUM03G09730 transcript:OGLUM03G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEVQDDEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRVHSPAQWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEAARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGVEWRPHNPAFRYLLARELVGPPAPTAHLSST >OGLUM03G09730.2 pep chromosome:ALNU02000000:3:7363151:7367478:1 gene:OGLUM03G09730 transcript:OGLUM03G09730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRMDGTDLSSPRCNVQHLQNAEELKDQNSTNKRLPRTTELPCSLIQEVQHLEKRLNDQFAMRRALEKALGYKPCAIHSSNESCIPKPTEELIKEIAVLELEVICLEQHLLALYRKAFDQQICSVSSSCDMEINKQPARSFSGILTGSSELDFSTPRKHQLLQSSGMVMARKSTPTTLTSETSTSHYNDKTGIGRSHSSLLQRSICSARVSPSANNLARALKPCHTLPLSFVEEGKCMDPGIVSLADILGTRIADHVPQTPNKISEDMIKCIASIYIRIRDFNAVQHPFFPSPCSSFSSASGLSSKYTGDIWSPRCRKEGYIEAWQDDALGTGESRYFSQQYDSVIEVSALCKGAQRSADVKDMLHKYKSLVQLLESADLNGMKNEEKIAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKLSYLISGQRVNPELIEYHILCCRVHSPAQWLRLLLYPKWKSKEKEDLQGFAVDRPEPLVHFALSSGSHSDPVVRLYRPERLLQQLEAARDEFVRANVGVRGGRRGRGRRVLLLLPKLLEPYSRDAGLGAHDLLRAVESCLPEPLRPAAQQAARSRGGGGGVEWRPHNPAFRYLLARELVGPPAPTAHLSST >OGLUM03G09740.1 pep chromosome:ALNU02000000:3:7367787:7376136:1 gene:OGLUM03G09740 transcript:OGLUM03G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEDNNAVAVSFSEEQEALVLKSWAILKKDSANIALRFFLKIFEVAPSASQMFSFLRNSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRDTTLKRLGATHLKYGVGDAHFEVVKFALLDTIKEEVPADMWSPAMKSAWSEAYDHLVAAIKQEMKPAEVTTSKQEFCQEAMAANGSNVVSRGAVRFTEEQEALVLKSWAIMKNDSAHIGHRFFLKIFEVAPSARQLFSFLRNSDVPLEKNPKLKIHAMAVFVMTCEAAAQLRKTGRVTVRDTTIKRLGSTHFKNGVSDAHFEVAKFALLETIKEAVPASMWSPAMKGAWGEAYDHLVAAIKQGMKPAAAASNGAVRFTEEQEALVLKSWAIMKDDSANIGHRFFLKIFEVAPSARHLFSFLRNSDVPLEKNPNLKKHAMAVFVMTCEAAAQLRKTGRVTVRDTTIKRLGSTHFKNGVSDTHFEVARFALLETIKDGIPASMWNPEMKNAWGEAYEHLVAAIKEGMKPVALL >OGLUM03G09750.1 pep chromosome:ALNU02000000:3:7377070:7379321:-1 gene:OGLUM03G09750 transcript:OGLUM03G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >OGLUM03G09770.1 pep chromosome:ALNU02000000:3:7385949:7389807:-1 gene:OGLUM03G09770 transcript:OGLUM03G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPQRPPATHHATAAHGILYPVATSSAAAAAAAATANHRRAPAVAVGYPRAHAVAVPIVQPQHPLAPTHGRSFPAAPRAVVAGVSSRPEQPPRGVPIAQQAQPKVIPLPAVTPSPQEINNSKDSERSKEDSTTVVINDRKVNLMDSESGSLYALCRSWVRNGVPHESQPSFGTGAPILPRPLPASVVDSRISEKDNDAEKENSEEEKNETGEYTASDLLKQHVKRAKKIRAGLQKERLRRIERYKQRLALLLPPPSELGKHDGHS >OGLUM03G09800.1 pep chromosome:ALNU02000000:3:7406750:7410321:1 gene:OGLUM03G09800 transcript:OGLUM03G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSRIVLRDLDSIDSPAASMASSKKLVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRVESGTQPDIDLATITDRMEVKRAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKINEALEFAQEELAPRGEENQVFLEEIEKTVALLVFEDIKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWTQNQLDEKAAYPRINNFSTAALEDPAI >OGLUM03G09810.1 pep chromosome:ALNU02000000:3:7411056:7413289:1 gene:OGLUM03G09810 transcript:OGLUM03G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37170) TAIR;Acc:AT4G37170] MASRSRAVSSGFTKAPTFSVTTASQLHDAIDRLLPRLRGDPSLAPAARALAAAATASPPPPSTVLSNRLLHLLSSHPATLPDALALFSSIAAPDICSHNTLISALSRSPRHLHSARELFDRMPQRDHFSWSALVSGYARHGQPGDALALYRRMQEEPGNDGADDEFTASSALAAAAAARCGRAGRELHCHVVRRGIDAAGGDAVLWSALADMYAKCGRVDDARRVFDRMPVRDAVSWTAMVERYFDGGRGGEGFRLFLHMLRTRGVRPNEFTYAGVLRACAEFAVESFGRQVHGRMAKSGTGDSCFAESALLRMYSKCGDMGSAVHVFEAMAKPDLVSWTAVISGYAQNGHPEEALRYFDMFLRSGIKPDHVTFVGVLSACAHAGLVDKGLEIFHSIKEQYCIEHTADHYACVIDLLSRSGRFERAEEMINKMAVKPNKFLWASLLGGCRIHKNVGLARRAAEALLEIEPENPATYVTLANIYASVGLFDEVEDVRRIMESKGISKMPASSWIEVGRRVHVFLVGDKSHPQADEIYALLKKLYVKMVEEGYVADTEFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPDSAPVKVFKNLRICGDCHTAIKLISRIVQREIIVRDSNRFHHFKNGICSCRDYW >OGLUM03G09820.1 pep chromosome:ALNU02000000:3:7413149:7432824:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09820.2 pep chromosome:ALNU02000000:3:7413149:7431244:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09820.3 pep chromosome:ALNU02000000:3:7413149:7431244:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09820.4 pep chromosome:ALNU02000000:3:7413149:7431244:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09820.5 pep chromosome:ALNU02000000:3:7413149:7431244:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09820.6 pep chromosome:ALNU02000000:3:7413149:7431244:-1 gene:OGLUM03G09820 transcript:OGLUM03G09820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQHDKPLLDEENSSQVNTLEYTGDGSVCIRGHPALRKHTGNWKGSSLAIVFSFCSYLAFTSIVKNLVSYLTKVLHETNVAAARDVATWSGTSYLAPLVGAFLADSYLGKYCTILIFCTIFIIGLMMLLLSAAVPLISTGPHSWIIWTDPVSSQNIIFFVGLYMVALGYGAQCPCISSFGADQFDDTDENERTKKSSFFNWTYFVANAGSLISGTVIVWVQDHKGWIWGFTISALFVYLGFGTFIFGSSMYRFQKPGGSPLARICQVVVAAIHKRDKDLPCDSSVLYEFLGQSSAIEGSRKLEHTTGLKFFDRAAMVTPSDFESDGLLNTWKICTVTQVEELKILIRMFPVWATMILFAAVLDNMFSTFIEQGMVMEKHIGSFEIPAASFQSIDVIAVLILVPVYERVLVPVFRKFTGRANGITPLQRMGIGLFFSMLSMVSAALVESNRLRIAQDEGLVHRKVAVPMSILWQGPQYFLIGVGEVFSNIGLTEFFYQESPDAMRSLCLAFSLANVSAGSYLSSFIVSLVPVFTAREGSPGWIPDNLNEGHLDRFFWMMAGLCFLNILAFVFCAMRYKCAFMGSSLEAEQQSLIVRTTEPEDVDDYTGDGSVGFSGQPILKHETGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYKTIAVFSTIYFLGMAALTFSALVPSLQPPQCFGSFCPQPTVPQYLIYFVGLYMIALGSGGIKPCVSSFGADQFDDTDPVERTKKGAFFNWFYFAINIGSLISGTVLIWVQQNCGYGIGFGIPTIFIALAIGSFFIGSQRYRYQIPGGSPLIRVCQVVIAAIHKRNVDLPVDSSVLYELHGKTSAIEGSRKLEHSSEFSFLDKAAVILSNERGGSHDPWRLCTITQVEELKILMRMFPIWATGIVFFTVCAQNSSMFIEQGMALNNQIESFKIPPATLSSLDVISIVVWVPIYETFVVPIASRLTGKERGFSELQRMGIGLFVATTAVATAALVEIKRLEIARSEDLIHSKVPVPMSILWQAPQYLLVGIGEVFTAIGQAEFFYNQSPDSMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRDDNPGWIPDNLNEGHLDRFFWLIAGLSFLNLLLFIYYAQQYKIAHDFSLPSTEASIVRRLLCIPANPPRNHAPPRFLPPDANLYTGDGSVDIKGRPALKHATGNWRACFFILGDECCERLAYYGIAKNLVTYLKTNLHQGNLEAARNVTTWQGTCYLTPLIGALLADSYWGKYWTIAAFSAIYFIGLVALTLSASVPALQPPKCSGSICPEASLLQYGVFFSGLYMIALGTGGIKPCVSSFGADQFDDSDPADTVKKGSFFNWFYFCINIGAFVSGTVIVWIQDNSGWGIGFAIPTIFMALAIASFFVASNMYRFQKPGGSPLTRVCQVVVAAFRKWHTEVPHDTSLLYEVDGQTSAIEGSRKLEHTSELEFFDKAAIISSDDAKSDSFTNPWRLCTVTQVEELKILIRMFPIWATTIIFNAVYAQNSSMFIEQGMVLDKRVGSFIVPPASLSTFDVISVIIWIPFYDRVLVPIARKFTGREKGFSELQRIGIGLALSILAMVSAAFVELRRLEIARSEGLIHEDVAVPMSILWQIPQYFLVGAAEVFAAIGQVEFFYNEAPDAMRSLCSAFALVTVSLGSYLSSIILILVSYFTTQGGDPGWIPDNLNEGHLDRFFSLIAGINFIRSYE >OGLUM03G09830.1 pep chromosome:ALNU02000000:3:7433937:7435058:-1 gene:OGLUM03G09830 transcript:OGLUM03G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHAVCPHRVDNTGLSRRIFAGPVPRRRRVFEGRSGGSQREGGRCLETKRRRGALEAELWLVILKAFRWLPPPMHVATPVPPSPPPAPGLCSTLASAPPAHARAHMVFVAITTYPGGKDLDAGVLDVPAELGDGPAGAAKLVRVVDDVVEVGGGGGKGIAMCVGAAGAVVTP >OGLUM03G09840.1 pep chromosome:ALNU02000000:3:7444950:7447915:1 gene:OGLUM03G09840 transcript:OGLUM03G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4G2] MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFHAPLGEDETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIITGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVDMVDENTICVAAILGSTLNGEFEDVKLLNDLLDKKNKETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLVKTGRFDIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLMPPVPPAPLLVVAKKSELETQRSVTEAWKKFVLAKRTNGVC >OGLUM03G09850.1 pep chromosome:ALNU02000000:3:7448251:7448978:1 gene:OGLUM03G09850 transcript:OGLUM03G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGAAGGGGVGGGGGPPGQEAGKKVGWSKEEDKLLRELVRKQGGKDWGVIATAFPGRTDKSCRLRWRQHLDPSVDVALPFSAGEDRKIVELHRVHGNRWATIAAFLPGRSDNAIKNRWNTHLRKRHAQDVQQRPSGGLALGLGGGGGAGQAAGGKLTPVCLQLFPPTQAPPMGRTYRGRQEALCRSSSSSSPWLPDNASAAAAMDVGNEAVRALPELRLSPAAVVFDAMPLQAIRM >OGLUM03G09860.1 pep chromosome:ALNU02000000:3:7462702:7463844:-1 gene:OGLUM03G09860 transcript:OGLUM03G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRSRSESDADHRSGACAGGEAKRPRPEQKHLYLALDDWEKGYNLYKVDVHAIGSADTEAESQLPEPPVLQLEAAKGARDVLFAAVGTDILALWQPRYETTRTAVYDTATGRLDAAGLRHPRALQPMRFVVASSAGARGLGKHHGTFSSSLDDDNEWTRRGDWLLPFHGQGHYDAKLRAWVGLHSPGHVCTCEVPSTTFSSVAAATSQPPAWQLVNAEHLFQEDHPERGGTSLVSTGDAEFCIVESVTPKWMDPVWDRDEIDEYVLRVTRFRLKHDRHGQLRASSRCRRASYRVRKHDSVFAPQAFWM >OGLUM03G09870.1 pep chromosome:ALNU02000000:3:7469810:7470439:1 gene:OGLUM03G09870 transcript:OGLUM03G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSASIFSFYSFAVAAVLLVGTAAARPATSAVVARGTNATAAAANATVTARRGRSSPLSTATTEEEEQHWVVVDVVSCQASAGCYLVCSYGDALPSSSSSGAASGEITPAAIGSPLPPGLTEFERCGDQR >OGLUM03G09880.1 pep chromosome:ALNU02000000:3:7471843:7481874:1 gene:OGLUM03G09880 transcript:OGLUM03G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGDATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWDYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLVNLGHALRKLKKYQKAISYYEKALTFQTKSLSAFAGLAYTYHLMDKFEAAITYYHKALWLKPDDQFSTDMLTLALESSCQITARTR >OGLUM03G09880.2 pep chromosome:ALNU02000000:3:7471843:7481620:1 gene:OGLUM03G09880 transcript:OGLUM03G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 6 [Source:Projected from Arabidopsis thaliana (AT1G78770) TAIR;Acc:AT1G78770] MPLSAASINRASYQVLLLLAAAAVSTTGGDGNTAPGDATATATTGGDDTEMYICYLCTGRNPILIRRCPIYWDYCHLNCFDDAPSTAAAADDVAAVPVASPAAPARRVGGVPRETLEDEECYVMKLYENGSYVIVTTLGCSQTASCLLSCGGGDLAADGEEALAAAHPAGAVGVSPPWRMWDTKFGFPPAAPPTTAAAAQKNPKRRREAEAEGEVAAEMREEAVERLRGVVRDSVGKHLYASAIFLADKVAAATGDPADVYMLAQALFLGRHFRRALHILNSSKLLRDLRFRFLAAKCLEELKEWHQCLIILGDAKIDEHGNVVDQDDGSDIYFDKDAEDHEINIKAAICFLRGKAYEALDNCDLARQWYKAAVKADPLCYEALECLVDNYMLTCEEESELLSSLKFGKEDGWLSAFYSCLIRKHEKEYIVEAKFKEFERESCSISSLSSGLTLKNNIDVLACKAEYYHQSGEYQKCFELTSALLERDPFHLKCTLVHLAAAMELGHSNDLYILACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYLRMHNFKLAEQFFTQAKSICPSDPLIYNEMGVVAYNMKEYQKAVQWFELTLEHTSSSLNEMWEPTLFCMFRKVKVSCNCEVVLLHSYDN >OGLUM03G09890.1 pep chromosome:ALNU02000000:3:7483709:7488040:1 gene:OGLUM03G09890 transcript:OGLUM03G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4G8] MASPAAAAPAALLVLLAAALAATGVVADGSDHRYKANELVPLYANKVGPFHNPSETYRYFDLPFCSPEKVKEKSEALGEVLNGDRLVDAPYKLDFRVDYDSKPVCSKKLTKEEVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVEKGGKTDPKEWKYYLYRHIIFDILYNNDRVIEINVHTDQSALVDLTEDKEADVQFLYSVKWKETPTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSFFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMIGFRAALFFVRHIYKSIKCE >OGLUM03G09900.1 pep chromosome:ALNU02000000:3:7489111:7490917:1 gene:OGLUM03G09900 transcript:OGLUM03G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGAGAKGAGFGMPRVGMGTAVQGPRPEPIRRAVLKAIEAGYRHFDTAAHYETEAPIGEAAAEAVRSGAIASRADLFITSKLWCSDAHRDRVLPALRQTLRNLQMEYVDLYLVHWPVSMKPGRYKAPFTADDFVPFDMRAVWEAMEECHRLGLAKAIGVCNFSCKKLDTLLSFATIPPAVNQVEVNPVWQQRKLRELCREKGVQICAYSPLGASGTHWGSDSVMASAVLRDIAQSKGKTVAQARHVYICTRVCLRWVYEQGDCLIVKSFDEARMRENLDIVGWELTEEERQRIAGIPQRKINRALRFVSDHGPYKSLDDLWDGEI >OGLUM03G09910.1 pep chromosome:ALNU02000000:3:7491778:7496534:-1 gene:OGLUM03G09910 transcript:OGLUM03G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLYVHLCTSPPSPLRVSLQMGRVGPLDRWPDPQNSAPEGLWVDPYNFRVKRVQEPVHPGSTRNRLITALRRGDALLSWIEAAAAASGWGDASRLERRRRLRVRGDAVPGSGPAGSRRFSSDDAGDHQDYEHGEPNGATSATREGRANHQCVTEKRLQRRQEEDSEDARDEGICPDFIHGWAGLWGHVIDASRFLVARIGVSTLCQGPLHRHDAVTAARDETRRGSVGWAVDSAEIDEDDATTQKLATFGSYVPVADGTAGVHNAYNWHSTLGSPSQDSTPRRMFPLPSEEHGA >OGLUM03G09920.1 pep chromosome:ALNU02000000:3:7496556:7500414:-1 gene:OGLUM03G09920 transcript:OGLUM03G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVKSHHQMLASSSTSSSSPSSQQQQPPPPASNSSSLAAAAADQPSPAKRKRRPPGTPDPDAEVVALSPRTLLESDRYVCEICGQGFQREQNLQMHRRRHKVPWRLVKRPAAATAAEDGGAAGGGGGAGGGGARKRVFVCPEPSCLHHDPAHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRVRGEVVPVATTLPVIRPAALRHHHHHPPPPPPELQLLPASTTAPLAAAFSSNSTTTGSSSHEQHATTMTTTKLQLSIGPAAVVAAASGGGGACAAAAGGEEEEQQREEVRRALEEKIAADAARERAREEAAAAERALEDARRARHRARGELEKALALRDHAARLIAQVTCHACRQRSLAVMSMAAIDGHGASAVAREHLRGGGVGAGI >OGLUM03G09930.1 pep chromosome:ALNU02000000:3:7543571:7543801:1 gene:OGLUM03G09930 transcript:OGLUM03G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWIRHDCRCSGDGRLRAGGVEAQRRQAGGEASADPAAAPHPTRMVLATAARLRAVRPWIRLRRRAPHMVHSLSS >OGLUM03G09940.1 pep chromosome:ALNU02000000:3:7551929:7554268:-1 gene:OGLUM03G09940 transcript:OGLUM03G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilisin-like serine protease 2 [Source:Projected from Arabidopsis thaliana (AT4G34980) TAIR;Acc:AT4G34980] MAAAPHCLLLLLVLIPTLSRGVVGGSGAGGEARKTYIFRVDHSAKPSVFPSHAHWYSSAAFASGADGAPLEPLHVYDTVFHGFAASVPASRADALRRHPAVLAAFEDQVRTLHTTRSPQFLGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPSRWRGGCDAGPGFPASSCNRKLVGARFFSQGHAAHYGLAATASNGSVEFMSPRDADGHGTHTATTAAGSVAYDASMEGYAPGVAKGVAPKARVAAYKVCWKGAGCLDSDILAGFDRAVADGVDVISVSIGGGNGVASPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTAMSVTNLAPWLATVGAGTIDRNFPAEIVLGDGRRMSGVSLYSGKPLTNTMLPLFYPGRSGGLSASLCMENSIDPSVVSGKIVICDRGSSPRVAKGMVVKDAGGVAMVLANGAANGEGLVGDAHVLPACSVGENEGDTLKAYAANTNPTATINFKGTVIGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLESDPRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVATDNRGEAVGDEAEPGRVATPFDYGAGHINLGKALDPGLVYDIGDDDYVAFMCSIGYEANAIEVITHKPVACPATSRNPSGSDLNYPSISVVFYGGNQSKTVIRTATNVGAAASATYKPRVEMASSAVSVTIKPEKLVFSPTAKTQRFAVTVASSSSSPPASAPVYGHLVWSDGGGHDVRSPIVVTWLQSM >OGLUM03G09950.1 pep chromosome:ALNU02000000:3:7558095:7560382:-1 gene:OGLUM03G09950 transcript:OGLUM03G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVMIVTVKFLRVHPTSPNVKRNQRQEEGDTSRKPQHPKNKKGDTPSPTTTVSVHLHPHRRRRSPPTTDRRPASAMAMAYKMATEGMKVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPTDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRVLDGVHYEVQATDSSEMGYDVIRGRAQ >OGLUM03G09960.1 pep chromosome:ALNU02000000:3:7565518:7569619:-1 gene:OGLUM03G09960 transcript:OGLUM03G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Beta-Casp domain (InterPro:IPR022712); BEST Arabidopsis thaliana protein match is: cleavage and polyadenylation specificity factor 73 kDa subunit-II (TAIR:AT2G01730.1); Has 624 Blast hits to 615 proteins in 160 species: A /.../- 54; Bacteria - 6; Metazoa - 333; Fungi - 44; Plants - 93; Viruses - 0; Other Eukaryotes - 94 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G07530) TAIR;Acc:AT3G07530] MKLVSAKLLPSPSLSRAPQAPDANAHVTSQTCLDTGVDGGYYTPASHLLEVEGLRILLDCPIDLSALTAFSAVPLGASSSSGDAEDLIRGVPYYRSPTAVAAAKAGRIDAVLVSSATGLLGLPFLTRLPGFANTKVYVTEVAARMGSLMMRELVEMHREFVRCYGPDRDQSPVWMEGEKLKKLMSVLQKITTEDEENNNLAALVSLYSLDNIEECMQKTQYVKYGEEVCFNGMLMLKASSSGLELGNCVWTIKGPRASMTYLPSSIFVSAHALDFDYSSLKGNDVILFSDFSSLNGMYDDNKKMGEHIVDETDILLASNSVFSTEKSFRDDGMDEDETIKFLCSNDDIAEEIERISFICSCIIDAINSGGSVLIPIGRIGIILLLLEHMSETLHSSNMKVPIFMISETAEEIITFTNALPEWLCKSRQEKLFSCEPLFGHVELLKEGKLSLFSHLYSKGLLAAWKEPCIVFCPHWSLRHGPAVHLLHRWRADKRCLLVLEQGVDAELTLKPFMPLAIQVLGCSFLSGIKVGKIDPLLGLLKPKLILFPEGQKSLCPATDKQPWSFLYYSKGKTIEVPNMREEFEVRMTTEVAFGLQPRQLDKTTAVARLKAKLLLSNGQYVLAAAKSELDRSERHLLHWGTVDASCIPSALQEKGIVCSFSADADYSAPSDRERVISITSPGEALVKVTSERTTIYCDDEETAERVYDALRSICNGI >OGLUM03G09970.1 pep chromosome:ALNU02000000:3:7571811:7575427:-1 gene:OGLUM03G09970 transcript:OGLUM03G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPAPNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKPEDEKK >OGLUM03G09970.2 pep chromosome:ALNU02000000:3:7572417:7575427:-1 gene:OGLUM03G09970 transcript:OGLUM03G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGETDDDKPEKLEALISAVNSSDSSHIVHVPPGENALSDVLISTPIFTGEEGGSGFAASAAAAAATGAAGFEFDVDPNVDPELALALRLSMEEERARQEAIAKKAAEESSGAENKDHASSSNADSVMAEAEPAPNAADDKKDQPKEDDDAQLLQQALAMSMEEGSSGAAAADAAMAEAAVDDQDLALALQMSVQDAGGSSQSDMSKVFEDRSFVTSILNSLPGVDPNDPSVKDLLASLHGQGEVCFSLSF >OGLUM03G09980.1 pep chromosome:ALNU02000000:3:7577665:7579372:-1 gene:OGLUM03G09980 transcript:OGLUM03G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGRQQRGRNDAGIVGGCWVAELEYGEGADAPCPVASRPGGHVVDGEPAMSRFIESRVAEDECTLAWVVDGRGGVDLARSKSTAAASPVSTAVALLLDLRRRHHHRCWSTLGATAARCLLHSPLLSPESPPLQPRSEPAPPRQSYLRPWFIWISNDGDGTYAYDISRRAWAKHAEWALPFSGRAEYVSEHKLWFGLARNSTGNPMCACDLAAAAEQGSPPVQRNIWQQDVRPRKGWVPRYSNLLHLGSARFCIVRIFAKPSPETEYQSEWDGPKREEVFAVLTAVEVVRSGELGKGLRMVKHKSVRYSLGDGYCKVQPLMVY >OGLUM03G09990.1 pep chromosome:ALNU02000000:3:7607387:7613075:1 gene:OGLUM03G09990 transcript:OGLUM03G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYELLLTAAASLLVAFLLARLLASAATASDPRPRAPDHAAVIAEEEEAVVVEEERIIEVDEVEVKSARARECVVSEGWVEVGRASSAEGKLECLPEEEEAPAKAARELVLDAVLEEREEEGQVGEERCDLAAAVAEVVGVKPHELGVEAAPGEVSDVTLEEGKVQDVGVEQHDLVAEAAPREALDTGLEKQGVPIIEAVEIKRQDDLGAEVAPSNVPEVEFEQQGVRIIEAIDVNQHHRVALAAPAEVVDAGLEERVQAIEAGSSGLTSETVPEEVLDELSEKQEEQVIEEKEHQLAAATAPVAIPGVALAETEELKEEQSSEKAVNVHEEVQSKDEAKCKLHLVDQQEGSASKVELVGRNTDNVEISHGSSSGDKMIAELTEEELTLQGVPADETQTDMEFGEWEGIERTEIEKRFGVAAAFASSDAGMAALSKLDSDVQLQLQGLLKVAIDGPCYDSTQPLTLRPSSRAKWAAWQKLGNMYPETAMERYMNLLSEAIPGWMGDNISGTKEHEAGDDAVGSVLTMTSNTINQHDSQGNEDNTGMYEGHLTSSPNPEKGTGADHNACI >OGLUM03G10000.1 pep chromosome:ALNU02000000:3:7614792:7622770:1 gene:OGLUM03G10000 transcript:OGLUM03G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKAHTDPAIHQCREKTTGETSRPAVQASTIPMARERYEATEQGEKLFTGPELVLLGLPQATASLARSVSHSSHVNHQANRRCWLGPVFEHHKGTAPNRFLEEAASAEDQVHAHGGRPCHGAGQPVNPRHANGIAPIQLAIAKPESFLRSALGSWKMRRFSLHAVRYLVLWMLLRCGRELVAAVPPGQSRNHGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQQFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >OGLUM03G10000.2 pep chromosome:ALNU02000000:3:7614792:7622770:1 gene:OGLUM03G10000 transcript:OGLUM03G10000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFKAHTDPAIHQCREKTTGETSRPAVQASTIPMARERYEATEQGEKLFTGPELVLLGLPQATASLARSVSHSSHVNHQANRRCWLGPVFEHHKGTAPNRFLEEAASAEDQVHAHGGRPCHGAGQPVNPRHANGIAPIQLAIAKPESFLRSALGSWKMRRFSLHAVRYLVLWMLLRCGRELVAAVPPDGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQQFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >OGLUM03G10000.3 pep chromosome:ALNU02000000:3:7615283:7622955:1 gene:OGLUM03G10000 transcript:OGLUM03G10000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSLHAVRYLVLWMLLRCGRELVAAVPPGQSRNHGWYDYTAYTDCRGQPEPALYNGGILRFGNSDDPTGYRTTETGVFSPAFVVYNLNKTTMYTFSSWVKLEGASSALITARLAPDNAGARCIGTVLARNDCWAFLKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQQFTSDQWSMHQKDTIRKRRKRMATIHVADQQGGRVVGASVSVRQTAKDFPFGSAIASTILGNQAYQKWFVDRFNAAVFEDELKWYSTEPMSGQLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPSWVKGLSPDDLRAAVNGRIQNLMTRYRGEFAHWDVNNEMLHYNFYEQRLGANASVEFFSVAQDADPLATLFMNEFNVIETCDDVSSTVDTYVAKLKDLRAGGAVLEGIGLEGHFLKPNIPLMRAVLDKLATLGLPIWFTEIDISNRYDAQTQAVYLEQVLREAYSHPAVTGVMLWTALHPNGCYQMCLTDWNLNNLPVGDVVDRLLQEWQTGQAAGPTDAHGAYSFSGFLGEYIVSVTYANSTSQATFSLSPGDETRHINIQI >OGLUM03G10010.1 pep chromosome:ALNU02000000:3:7625578:7627997:-1 gene:OGLUM03G10010 transcript:OGLUM03G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSHDCTGHHQSTNTSLPPRARERRNGVRFGRFCCCCRGAGGSGWPCARVVCLPVSCTVWGQRARCVGAIGRGRPIIAATLLQKTRPMATLQVLGHNNPNMTCKITSTLN >OGLUM03G10020.1 pep chromosome:ALNU02000000:3:7628055:7630056:-1 gene:OGLUM03G10020 transcript:OGLUM03G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQRICIVLGMLFILVREGGAVTFTFVNRCTGTVWPGILSNAGSARMDPTGFELPPGAARALRAVGGAACRSACDAFGKPEFCCSGAYANPNTCRPTAYSQVFKSACPRSYSYAYDDPTSTFTCAGGRDYTITFCPVAPPSLKSAGGTTTPTTTVPGVTTDAPPDMARPIGSQGGGGGGGAGQGVMLGDNSWLASLAMGDASSSRRASRLALLAAPLALLTLHLPRL >OGLUM03G10030.1 pep chromosome:ALNU02000000:3:7632051:7635775:1 gene:OGLUM03G10030 transcript:OGLUM03G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75690) TAIR;Acc:AT1G75690] MSSMPVHEADSDFPGDGSVQMGRPHLNLKFFERLLNFHDVTHSGAMATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRREFKDDD >OGLUM03G10030.2 pep chromosome:ALNU02000000:3:7632051:7635285:1 gene:OGLUM03G10030 transcript:OGLUM03G10030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G75690) TAIR;Acc:AT1G75690] MSSMPVHEADSDFPGDGSVQMGRPHLNLKFFERLLNFHDVTHSGAMATSSSPLTALYSSFLSYTPSTPSMAALPRRRRAGCRYPRIQAVDLDQNTIVAISVGVVSVAIGIGIPVFYETQIDNAAKRDNTQPCFPCSGSGAQVCRFCTGKGTVTVVIGGGETEVSNCVNCDGVGSLTCTTCQGSGIQPRYLDRREFKDDD >OGLUM03G10040.1 pep chromosome:ALNU02000000:3:7641992:7646304:1 gene:OGLUM03G10040 transcript:OGLUM03G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCPTHVALRLLALLFLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLSTTGFALAHGASATVDAPASWSGRMWARTLCAEDATGKFTCATGDCGSGGIQCNGGGAAPPATLVEFTLDGSGGMDFFDVSLVDGYNLPMIIVPQGGGAAAPAGSGGGSGGKCMVTGCLVDLNGACPADLRVMAASTGTGAAAPGGGPVACRSACEAFGSPQYCCSGAYGNPNTCRPSTYSQFFKNACPRAYSYAYDDSTSTFTCTAGTNYAITFCPSTTSGKYSGGENPQAAGVPSTNDTMVVLGAEQLSAAAHAAPQLTLPLLPLVVAAAFAAMI >OGLUM03G10050.1 pep chromosome:ALNU02000000:3:7661896:7665767:1 gene:OGLUM03G10050 transcript:OGLUM03G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAADGSLANEKAPAETVGVGRYVEMEQDGGGPSTAKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAVASLIHGQVDGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLMATLYVLTLTLPSAASVYWAFGDELLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSAHARENAVEPPPRFVGRWTGTFIINAFVVAWVLVVGFGFGGWASMTNFVRQIDTFGLFTKCYQCPPPPLPPAGAAPNATWPPFPATPFNATTAGLAPAPAPSPAHFFGRHHRHHSHGL >OGLUM03G10060.1 pep chromosome:ALNU02000000:3:7673247:7675187:-1 gene:OGLUM03G10060 transcript:OGLUM03G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLAKPIQLADQVAKQAGWQCFRAECMDLRSRAEKLAALLRQAARAELYERPAARIMADTVRALNKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDVAWLLRISSPHADAGGGGDDDDYDLHGLPNIAQNEPILFLIWDHIARLHTGNLAARADAAHNLASLARDNPHFAKLIIEEDGVAPLVKLLKDGTDDGQEAAATALGLLARDEESVDKLLLAGVCSVFAASLKVPPMRVQAAVAESVAALAHHNQKCQDLLAQTNAVRHLVGHLAAGTIQEHSRYYVAGTGSRNMNMTSLHSVVLAKTLSVHQGGSGSPVNEPPSSSEYPGSQQQAGKNQMQSVVQSAMAAKTTANGATVPPGCRHQLTPNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCKSITESRALLCFAKLLEQGDGGAGTDLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPAAKAVVEQLLRIVCKGDDDDLLRPCITSLGCLSRTFTASETRVVRPLVELLDERELPVTKEAVVALTKFACTENHLHVSHCKAIVDGGGARHLVQLVYLGDQVQIEALILLCYIALHVPENEELAQAGVLAVLLWASKQPHMVQDLRVDALLPDAKGRLELFQSRASR >OGLUM03G10070.1 pep chromosome:ALNU02000000:3:7686536:7690077:-1 gene:OGLUM03G10070 transcript:OGLUM03G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRPALSSSSFFAFRPAAASPTTNPSPPPSPRLHAAPPPLSASFSPSASVVAPDHVAAAAAASFYDVLGLHAGASAREIKDAYRRLARAVHPDASPHPAAASSDDFIRVHAAYSTLSDPDKRADYDRRLLLLAAGRRRATPSLGRSPTFPARRRRTWETDQCCCIEGNDFD >OGLUM03G10080.1 pep chromosome:ALNU02000000:3:7687638:7690556:1 gene:OGLUM03G10080 transcript:OGLUM03G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGDEQLQPRVGQGIPLLRVRYRGPGAGSLSHIAHVSIRVCDGVSLSSTSWITARAAAAPQLQREGSEVVDSWLEGKGVCPCFQLLYGVKSGITRNYWYHVWPVMYNSSTTTEPQYYQHAQRYLFVIVIDRTIQSPQTAHTICIHHTLKKKKKKKKTRRNTGGWLFTSPALVGLPRAAAARGERRRAAEGRGGAAAPGGEEEEAAVVVGALVGVGEGGVGGVYADEVVGGRGGGVRGGVRVDGACEAAVRVLDLPRAGPRVEAEDVVERGGGGGGHVVRRDDGGRRGEGGRERRRRGVEARGGRRRGVRRGRRRRGTEREEAGGGQRGARGDHGCCLPRRETETRDARPLARLQLWLHLGWLLHWDDSVLLGEWRGLNTGIMKMGHVLNFSSSLVHRSLVQ >OGLUM03G10090.1 pep chromosome:ALNU02000000:3:7691198:7696532:1 gene:OGLUM03G10090 transcript:OGLUM03G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWPRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDMLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >OGLUM03G10090.2 pep chromosome:ALNU02000000:3:7691198:7695816:1 gene:OGLUM03G10090 transcript:OGLUM03G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWPRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDMLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMRPYRTF >OGLUM03G10090.3 pep chromosome:ALNU02000000:3:7691198:7695468:1 gene:OGLUM03G10090 transcript:OGLUM03G10090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWPRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDMLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMRATSFPINHEYM >OGLUM03G10090.4 pep chromosome:ALNU02000000:3:7691198:7695778:1 gene:OGLUM03G10090 transcript:OGLUM03G10090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWPRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDMLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVVAFLAAMEIMAEQFPGAFSGYHLEVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >OGLUM03G10090.5 pep chromosome:ALNU02000000:3:7691198:7695778:1 gene:OGLUM03G10090 transcript:OGLUM03G10090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLRPPCTLSPAPWPRRRTLHGAAGTPQRVSAAAPSAIVEAVSPPARLSFPILVNGCTGKMGLSVAEAATSSGLHLVPISFSSRDMLDRTVRVGHTDVRIYGPSAREDVLSSVIDEFPDVVVVDYTAPDSVNANAELYCKLGLPFVMGTTGGDRQLLYKSVQDSNNYALISPQMGKQVLESHQAGKLDISGTAKAVIACFEKLGVSYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGSVDAAMFLHRKVRSNDSKRIYDMIDVLREGSMR >OGLUM03G10100.1 pep chromosome:ALNU02000000:3:7697710:7698878:-1 gene:OGLUM03G10100 transcript:OGLUM03G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRLVPRETAALLGAFVALLAVSFGAVAAPAPLVVGSIKCLDCSPDDVKAEDAFRGLQVGIMCNSGAGEAYETKMLSGLDENGGFSIPLAADLLRDDGELDKDCFAQLHSAPETPCAGQTPPRIAKAGPGNDTIAAAAADAAPTYLAVSDDTLFSPVACKCGKYKKKFMFAPPPPPPPRPPAPEYKPPTPTPTPIPTPEPSYGPPAPKPPAPPVEDEPQPFFHKHPKLKFMHKKKPCPPLVDVDIPRPNN >OGLUM03G10110.1 pep chromosome:ALNU02000000:3:7701179:7702123:-1 gene:OGLUM03G10110 transcript:OGLUM03G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASCVAVCLAFAVLLPWHATATSPTGTIQRETKQQILASIPPHWQENPVLFLTSPSGKYTAYFLRSQTAPGAGGLGADFCYVEVLDTSDPGAEGRSVWESECLAVSTVNTCSLVFSWKGLEVFDGSNSVWHTHDTQSDSQNFLETLELVDEGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASAFPPFAQPIGHGSSDLPFGFGNGDHVAGNGIGGGAVAQPELPVAPVPQPELPLAPVPQEADLGGAAGVEPQGQGVGQTSFGFGAQPLVDNSPYDSGAWKQVGGCSLTAIGVGFILNVAIAMGLGH >OGLUM03G10120.1 pep chromosome:ALNU02000000:3:7706492:7708090:-1 gene:OGLUM03G10120 transcript:OGLUM03G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4J9] MEAAVMERERLTAEMAFRDDARAAGGEWAPSIVIKIRRRLPDFARSVNLKYVKLGIRHGGSVTSYLPMLCVPLLASAAYSFVRLDVIYRSIDLLTCVAWLGTAVLLLTVYYFKRPRPVYLVEFACYKPDDQHKISKEGFLEMTESTGCFNDAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFESTGINPKRDVGILIVNCSLFNPTPSLSSMIINHYEMRADVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNRRADAGRAKYRLLHTVRTHKGATDECFNCVYQREDEDGKVGVSLARELMAVAGDALKTNITTLGPLVLPLTEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLEDRDMEPSKCSLHRFGNTSSSSLWYELAYAEAKGRVQRGNRVWQIGFGSGFKCNSAVWRALRDVPAVSPPPKGKKSCNPWVDCVAKYPPKAYV >OGLUM03G10130.1 pep chromosome:ALNU02000000:3:7716368:7717090:1 gene:OGLUM03G10130 transcript:OGLUM03G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFALVSRVSPAARLPIRAAWRSARPTVGLPSSGRARQLAVASAAQENRDNTAVDVHVNQDGGNQQGNAVQRRPRRSSALDGISPFGLVDPMSPMRTMRQMLDTMDRMFDDVALGFPATPRRSPATGEVRMPWDVMEDDKEVRMRFDMPGLSREEVKVMVEDDALVIRGEHKKEEGEGAEGSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVQVQ >OGLUM03G10140.1 pep chromosome:ALNU02000000:3:7717119:7718742:-1 gene:OGLUM03G10140 transcript:OGLUM03G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTGRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYGYHGGYSPEITHQAHMGYYGGYGVSAAQAQQQQAQLHAYYAAGRPAGAYQFQAAGEQTRSALAPTVQYLQMCEKSGMTTAGSVSAVESGSHPPE >OGLUM03G10140.2 pep chromosome:ALNU02000000:3:7717603:7718742:-1 gene:OGLUM03G10140 transcript:OGLUM03G10140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTTFTKLFVGGLPWETRGDAVRRHFEQFGEIVEAVVIADKHTGRSKGYGFVTFRDPDGAARALQDPTPVIDGRRANCNLAAFGAARRVHPVAAPFGMARLRPAMIASSSSYQGSAPSYFPQVLYAYPYCYGYHGGYSPEITHQAHMGYYGGYGVSAAQAQQQQAQLHAYYAAGRPAGAYQFQAAGEQTRSALAPTVQYLQMCEKSGMTTAGSVSAVESGASEGSSDRRPAS >OGLUM03G10150.1 pep chromosome:ALNU02000000:3:7720132:7721745:1 gene:OGLUM03G10150 transcript:OGLUM03G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICPHTHQVPNISNSPSRCANPGHYIALVTLRVAEEMRPPPPPPARAERHGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAVQHHLESKPAGAAGMQLVVIQVLEICQVRCQHLNSSSPAMNKISVGRMPECERKSPKFYGREPHKSTHVHHGGVVLLLELGDDGHVQVAHAARRW >OGLUM03G10160.1 pep chromosome:ALNU02000000:3:7731576:7732875:-1 gene:OGLUM03G10160 transcript:OGLUM03G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSCLLRVGWLPAALCALALALAPASDATSASLVGINYGRVGSNLPPPQAVLPLLEGLGIGRVRLYDADPAVLHAFAKTGVELFVGVPDQSLAGLADPGGADSWLRSNVMPFLPDTKIAALTVGNEVLTGNNSALTRALLPAMQSLHGALAKLGLDKQIAVTTAHNLGVLGTSYPPSSGAFRRDLLPYICPILDYHARTGSPFLVNAYPYFAYSGDPKGIHLEYALLEAGYAGVPDPNSGLRYPNLLVAQVDAVYHAIAAANTAAARVVEVRISETGWPSSGDPGETAATPQNAARYNSNAMRLVAEGKGTPLKPTVAMRAYVFALFNENLKPGLASERNYGLFKPDGTPVYELSYKLPRDNSTFGGAGNSGWRFPGGGGGNVSGGYDNNGVNSGYYDISAASPDSAALTCR >OGLUM03G10170.1 pep chromosome:ALNU02000000:3:7736870:7738433:1 gene:OGLUM03G10170 transcript:OGLUM03G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQADRRRCRRRHQELEHAAADDYGGAFSCHLPLHSGFKIPRLPTTACALMRRKKTHRTKLSLENLPGDLLCKVVSQLTVKEAGQTSILSSRWRDRWIYHSNLCFDHSEFPRYTADRFINYVNHVLQQHSFLAVDRFEIRFPLQKQQTKHVDSWVAFASASRAKHFVLDLSPAVHTNHQTEEHKYEFPVDLLNGQNGSPIISLRLSLVCLKLPSDFLGFKDLKELELHLISDLGNLINLFLTKCPALERLSLSHCSMTDLNIPDALCHLQYLKVVNCCVQSIESHAMSLTTFEYAGVPVPIKLDDSLKLSQANITLNKGSGNMNYIVNQLTCSLAHVGYLLIKLSTFDTKVSSTTVILDSLPLFPSF >OGLUM03G10180.1 pep chromosome:ALNU02000000:3:7739482:7740497:1 gene:OGLUM03G10180 transcript:OGLUM03G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPPPPPARAERHGTGTVWVTRVKLLKPRDTLLLGQAYRLITVDEVTRVLQAKKEEKSRRAAAQHHLESKPAGAAGVGINSVRQVLEICQVRCQHLNSSSPTMNKISVGRMPECERKSPKFHGREPHKSTHVHHGGVVFLLELGDDGHVQVVHAARHW >OGLUM03G10190.1 pep chromosome:ALNU02000000:3:7741581:7743795:1 gene:OGLUM03G10190 transcript:OGLUM03G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIALRRHASVRRRCIHPSIRGGIMKASMAFTVLALAVVAVSGAAAAWTTTFTMHNLCPYTVWPLVTPNAGQPAIVTGGATIRLDPNGLASLAFPASAGWSGRVVPRTGCTGAATCATGDAPPATVAQVSVNAAGGLAEYSVSLVDGFNVPATITPHAFDGSQTCPVLGCAADINAACPADARVGAGCRASPQFFKEMCPEARTTATDVEATPQKCFGPGELKVVFCPTN >OGLUM03G10200.1 pep chromosome:ALNU02000000:3:7744138:7744674:1 gene:OGLUM03G10200 transcript:OGLUM03G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGVSASTFKSPVFIGLLAVMCVAVVLLLHHCVLVTFCDTRRRRRRRRRWGVTAQQQQHVQQGEEGDEGDDEDDDEDDDDEMMSSSSQAKLVVCPYKKAEEWGEAMCPVCLSEFGDGEAVRVLPECMHYFHVDCIGTWLRANTSCPLCRADTTPSSGDLHHHLSISVSLEEIIVRT >OGLUM03G10210.1 pep chromosome:ALNU02000000:3:7745305:7745796:1 gene:OGLUM03G10210 transcript:OGLUM03G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNGNPGYYNNNRNAGDQSDYKATIAILFSVFFVVILIRLIHFIINQSNNLAPANGGAAAATSDRRLGGSGGVSRAPARLPRPRPAMAWQPPPGTTSKYRRDDGWKETACPVCLSEFSDGEVIRLLPECMHYFHAACIDEWLRTRATCPLCRAAPAADSTA >OGLUM03G10220.1 pep chromosome:ALNU02000000:3:7746275:7748122:-1 gene:OGLUM03G10220 transcript:OGLUM03G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIFGKRKTPAELLRENKRMLDKSIREIERERQGLQAQEKKLIAEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMRQMNRQMNLPALQKIMREFEIQNEKMEIVSSTMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVGAPSTAVAAPVGAGKVAAQAEAAGGGDGGIDDDLQARLDNLRKM >OGLUM03G10230.1 pep chromosome:ALNU02000000:3:7752498:7754275:1 gene:OGLUM03G10230 transcript:OGLUM03G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLMARYYSTLGEEWNLIMHGSSLPFIQPYQSVLLFMWSYVSVICMLLVIVWGGKRKEAAHLSGEKTH >OGLUM03G10230.2 pep chromosome:ALNU02000000:3:7752498:7754021:1 gene:OGLUM03G10230 transcript:OGLUM03G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQLSISIGDSSELSEIIFTDFWLVRSFLTADTVHLVFSHLQSNHKQQQLPGKNKQQQQLVIDEEENRGWLDFSISLLIAAYICLTNRPSKPAMWPTRLAGPTCQRDTLASVVPRDLKICNFVSSSGKVLLYIRRRMELNYAWIFSTLHPALPICFVVHVVLCICDMHATGNSVGGKKKRSCSSQW >OGLUM03G10230.3 pep chromosome:ALNU02000000:3:7752498:7754275:1 gene:OGLUM03G10230 transcript:OGLUM03G10230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQISFLFFSQLASVVPRDLKICNFVSSSGKVLLYIRRRMELNYAWIFSTLHPALPICFVVHVVLCICDMHATGNSVGGKKKRSCSSQW >OGLUM03G10240.1 pep chromosome:ALNU02000000:3:7756083:7756826:1 gene:OGLUM03G10240 transcript:OGLUM03G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSRVGVGLAVVSALLLLALAAELYYLFVYKRRRSAAISDAASSPSSSSRELLQLFCFKKPPALASTYAQEPHAGEAVVAVAVDDDDDTVEAQLMRLGSLVGPTRLLFTIKEETKEDLESEDGRSRCGRSRSLAELLHSSETPFMTPASSPLPMDKSFNPLFEPTVAAAVTVSPPPKFQFLKDAEEKMYRRALAEEAMRARRSPQTRSPAAAGEEDGGYITIMVGKNNKVIPLPSPPSNGDGDLQ >OGLUM03G10250.1 pep chromosome:ALNU02000000:3:7763587:7765701:-1 gene:OGLUM03G10250 transcript:OGLUM03G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEATGAGDVAASDGASPPVVKMMTEGGNAAAGDRGGAARGRRERSWAAGDERQGGMATRQRRAGRRGGLTSLAAALGGLEAVLIDRLVTNKPPGMRFTARRNMRGPDGLSITFTIPTALMPFRCQVHMGAQGDQLHRVGQPPLLHLLQHADQLHRVGQSEGGEAAGRRKVAGGPADGIGDEIGIGKGGEVQRHAVDDITVGALNAAMHNTTANHLESFFLLSTARAQAASSS >OGLUM03G10260.1 pep chromosome:ALNU02000000:3:7763666:7765032:1 gene:OGLUM03G10260 transcript:OGLUM03G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRAPTVMSSTACRCTCNRRSTTRSSFIFVEPEPEERPKVGGAAAAHGEAAEEEVVFPMAWTDDDELCPEGTVPVRQTTKRDVLRSSSSPCLGMKQPPEPAWDSCGPHMSVCHVSRSSPLLLSLTHTLFSLGKPAGGGEEVAGRGGPTAGRRCGGRRARLLELLLLLPGYSHDADYLTALPNPYLIADAVRRAPRHLPPPCSLATLTLPDAVELVCVLKQVEERRLPDAVELVSLSSHMNLTPKWHQSCWNCEGDRQAVRPTHVSSRCKPHPRRFVCHQSIL >OGLUM03G10270.1 pep chromosome:ALNU02000000:3:7770156:7774784:1 gene:OGLUM03G10270 transcript:OGLUM03G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIAGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVESKNALENYAYNMRNTIKDEKIASKLPAADKKKIEDAIDQAIQWLDGNQLAEADEFDDKMKELEGICNPIIAKMYQGAGADMAGGMDEDDAPPAGGSGAGPKIEEVD >OGLUM03G10280.1 pep chromosome:ALNU02000000:3:7780521:7781634:-1 gene:OGLUM03G10280 transcript:OGLUM03G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSCPAMVTVSLSHGRMADGQSPSLSTLAIAARLIETKARNDQGPVADHVVHRTTWRTIQKTSEHKASMIFSIEFAAPIAFWSFSSKLFIRSERRPQQASVNISIQYEISRKPTKMIVGNNLAKRT >OGLUM03G10290.1 pep chromosome:ALNU02000000:3:7800458:7809298:1 gene:OGLUM03G10290 transcript:OGLUM03G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYGAVSWPWKKKSSDKSSSADASQNSNQAEQDDKAPKYVQISPETYAHLTESEEQVKTLNEKVKALNEDLSAAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLAKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQFKIEALTAELDDERQNHQEDITRYKDLEEKIERYENERNSMCEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >OGLUM03G10290.2 pep chromosome:ALNU02000000:3:7800458:7809298:1 gene:OGLUM03G10290 transcript:OGLUM03G10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQELIRAGAENDALSRSLEERGDLLMKIDEEKARAEAEIEVLKNTIQSGEREINSLKYEIHVVSKELEIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGRDYGESRLRRSPAKNSSFHRPMSPMSPVPDYAFENLQHMQKENEFLTARLLSMEDETKMLKEALAKRNSELQTSRNMYAKTAGKLRGLEVQMLTGSQRKSTSNPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHIKKEKGAKSSVTEGSNRLELMDDFLEMEKLACLSSEANGHVSTVEKMKIDDTEASLSGITERDGVKDSQSVLALPGTPSNKLQLSDSSPLLKLQSRISSLLDSESPQNNAGNILDSIRNILKDIEDEADSSNDSKTHHGDMVEVADNGSLMKHSSSGSKHAMDQELVNAILKIQDFVKSLDQEVSKFQGQSSDCDGLCDKIQQFSALVEKALSNENVLNDIVMTLSLILSGTSEIKFMMLKENTKEADNNNLDYVDKVTLLENKVQLEPLKDSISGPCLPRSSSDPEIEGPTDSGCDVKTAVQICSSEEFEQLKSEKLNLEAELSKCNEVIEETKFRFKELEKSLEELTSKLVASEKSNSLAETQLKCMAESYKSLESRKAELENEIKVLQFKIEALTAELDDERQNHQEDITRYKDLEEKIERYENERNSMCEKEIAAAAEKLAECQETILILGRQLQSMRPPAESMGSSPNQRMEDFLQDAAGTTEGVEYSQKPTGQLDTDQEMHASGNESPVNGYKTHNAPSEADGSPFLSPNGSKRPKHRSRSSSSISNQLPEKQNRGFSRFFAKEKI >OGLUM03G10300.1 pep chromosome:ALNU02000000:3:7810797:7811381:1 gene:OGLUM03G10300 transcript:OGLUM03G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNGTGQPTRRRGRWWWPTAESTPPPPPPPRRCVQWVRRLLAPSSPRHGRRGGATDWEEERRRGRWWPPPPQQPGTSPAGAPATVAGDASRRREGLERGRAGSAAAEDEPPAGSTPPPPPPPGRCLGQVDAELVDVGDAAATTSSRTTPPLQSRPPPPLGGLRHQGHHAGGGRRRAGASGEEWIWGFGGGGAG >OGLUM03G10310.1 pep chromosome:ALNU02000000:3:7812196:7812672:-1 gene:OGLUM03G10310 transcript:OGLUM03G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRVPNRWDPPTDSTMHDFTKSIPKLLPHHALSGSFLPPVAASPLSLSAASPLSLSSRRRRRRRRLGTRRRIEKAKAVARDELGVVRAVEGRRRQIHGVVEAGDAAD >OGLUM03G10320.1 pep chromosome:ALNU02000000:3:7814837:7823459:-1 gene:OGLUM03G10320 transcript:OGLUM03G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAAPPPTPPESDPRLVEAFVPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQNPPQAAAAAQAPSTPTSPTTPTSSSSQPGPLRSLSLADSELLLGPINSALGSGSAKLAEAGLELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALHLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSVVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADVIELPEAASGASPAADANFVQGFISKIIGDIDGAITPLARTTSSAAAGAGGAAAHDGAFETTAAEEGAHPADLLDSTDKDMLDAKYWEINMYKSALEGRKDEIGVEGAVVGALDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASAHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKLIVLRFLEKLCADSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVSTTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPDSPKVESEQNDNDGGHEISHTEDNGDECSEASDSHSEMSNGVSEAASLEQRRAYKMELQEGISLFNRKPRKGIEFLINANKVGESPEEIAAFLKSSSGLNKTMIGDYLGEREDLSLKVMHSYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEEEFVPQQQKSTSSNKILGLDNILNIVVRKRDSRMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFVTSLAKFTSLHSAADIKQKNIEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDLDKSKQAKSSILPVLKKKSPNTVPASKRGSYDSAGVGGKASGVDQMNNVVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLAMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVSHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFTDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSSRLKENPPSPRLTKDGKQESAVLVDKDDTIHFWFPLLADSTNNVSPTFQNLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSSGSPQGQNVESDPSELDQDAWMYETCTLALQLVVDLFVKFYDTVNPLLRKILLLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSVFVDEKWLEVVLSLKEAATETLPDFSYIASGAYLENVPIENGGSSEKTEDESRPLEDGTGEASRSRNLYFAIGDAKCRAAVQLLLIQAVMEIYNMYRARLSSQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNICLDRSPNERSVEVESHLVGLCKEVLEVYLSTANPSQLSGAPQPLGHWLIPVGSSKRRELAARAPLVVSTLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFSTWVGPVVLQTC >OGLUM03G10330.1 pep chromosome:ALNU02000000:3:7823856:7826886:-1 gene:OGLUM03G10330 transcript:OGLUM03G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRDDEEESKQRDWNGPVMASRSARSRLQERKVQPPAASPGLSIDATTCIILHLNWTWFYWSQFMMAH >OGLUM03G10340.1 pep chromosome:ALNU02000000:3:7826941:7828580:-1 gene:OGLUM03G10340 transcript:OGLUM03G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGASSALGGGGGGGGSGGGGGGPSGGGGGGGGPCGACKFLRRKCVSGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLQIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELTYLQAHLATLELPSPPPLMPAPPQMPMPAPFSISDLPSSTSVPTTVDLSALFDPPPQPQWASPLQQQQQHHHQHHHHQQQQHQLRQPSYATLARAPSGMTAAAESSGGGGGGGGGDLQALARELLDRHRSAVKLEQPPPPHSRS >OGLUM03G10350.1 pep chromosome:ALNU02000000:3:7832532:7839923:-1 gene:OGLUM03G10350 transcript:OGLUM03G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDGEVTANHLLLGIWSDKESAGHKVLASLGFDDERANSLAKTAVDFGLFNGAISLWEL >OGLUM03G10350.2 pep chromosome:ALNU02000000:3:7833005:7839923:-1 gene:OGLUM03G10350 transcript:OGLUM03G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAQAAAAAFLSFLSSSPHHTAPSSSVSFLAARVLPASLRAAAAGSPTSASRSRGRGVAAVVAQLPTANPEVASGEKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSDAAKLLRANGITLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAINEKLKSDGEVTANHLLLGIWSDKESAGHKVLASLGFDDERANSLAKTAVDFGLFNGAIVSTIHSLR >OGLUM03G10360.1 pep chromosome:ALNU02000000:3:7842203:7849110:1 gene:OGLUM03G10360 transcript:OGLUM03G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGDRSEIGRGGEFLIFFSLPPPKIPEMPKRTSSHSPTVSAMNPLLPSSSFPKFPHPPDPNLSSPNPSPCSYLLHADADDEALIQIPCPNPSSGAASSSSVVLPPIDPAPHISSQFYTFTAESHALMLRCVLAGRPAAADEVRAATPPSVLASWRAVWKDRNEDTAYLTAWKRIQDKLGASADGRSLHFKSNPGQRVSHVGQWRDIVSEAHADPDLLRHLGLKDTVDRIKQSWTVGAKFYGIPESFVRVCVSACAVCKAAPAGQPDFAMSSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRHKPFMAEVKDYACHRAGVPTSSGVNATSSSGSVPDGKKTRVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKSFVYEEEGTAVFKLYAVHSGHEPGPLDGNARIVHRIRKFNFDGNVCQPEETLMIGNEEVPGWGPADVSHHLDQHDGAFCRDDEMLDDDDTDFGSSLGPIVSWDGMTAECEDRKMLMSDSPKCDKWMLKEDVGDFVEKSILNCGDDDGVEDSKIIKPLMHDETMVADPGLDCNE >OGLUM03G10370.1 pep chromosome:ALNU02000000:3:7852169:7852597:1 gene:OGLUM03G10370 transcript:OGLUM03G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAKSGVIAVSMVLVMCAASAAAGDNDMLPAAFDILQQPAKEAANLGHGCYTRCFAGCFAAGFDGDYCSDFCSKECGDDVRKFLSRLSPENSAIVGDICNIPRCISSCVEAKIDPPYCKIWCEDMCGDDVRKNQIGLSP >OGLUM03G10380.1 pep chromosome:ALNU02000000:3:7853853:7854296:1 gene:OGLUM03G10380 transcript:OGLUM03G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGHTVAEDLKLAIWILSLDSGGEWRKGPECRVGDIWASEKYVVMGVPRISPPPVCPVLRTVNDNVVCVIVNDADETVYGSVDVIAQHFLAIDVERKDRGGCSAVRRYQASRLHPDGGVEPVRLYPNHMACEFSEYMEH >OGLUM03G10390.1 pep chromosome:ALNU02000000:3:7855943:7858977:1 gene:OGLUM03G10390 transcript:OGLUM03G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAWVLLDRVVKPAVFDEEESKGKGESTGAPVKYLPARLRQEVPAGMRDVKPYPEVADPPIVSRFSMLISRKAIRVVETIHVECADKSLVLFYAGTGFPGFSHGCHLIYDAIDGSLTAVHTFPFPVSGVVWVGTAAVLRHAGGGGGGDGTTAYVIAELLRPFHGSLPDATLVMWLSNSPASTSGSNGQWVKEDVRLPGEVCTGTDPFTTDLVFSFGESCLCWADLFMGILFCDLATLRAPRFRFIPLPKACSFDPVGKYGRPHMPEFRSMGRVNGVIRLIDMEGFTNEYLAVDEVKLTIWTLSADLSEWEKGPVCTVGDIWASEEFVAMGLPQLRPMCPVLSMVDEDVVCVVMTEVEIEESDVTDFDDEGNKLKFKAQYVLDIDVRRKRVLSITQHHIESMGDLIPDLIACEFTAYSELSKGMQAMVEGNEGEESTKRMKVK >OGLUM03G10400.1 pep chromosome:ALNU02000000:3:7859768:7860031:1 gene:OGLUM03G10400 transcript:OGLUM03G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIRGKFGIIMVAMILVVRIVAFASASDNDELPALFNILQQKPGKAAQVGSDCFDECWRDCILGTPFTSLCDQLCYQKCLVGSRKI >OGLUM03G10410.1 pep chromosome:ALNU02000000:3:7862738:7864644:1 gene:OGLUM03G10410 transcript:OGLUM03G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEAPDLPAPPRIEVENCFSLSENTGSMVDMINVAARCPSESEKKAFQKTRSSDWTVTMRANSGLIFAVMILIMCGASAASSDNDELVAPFDTSNGLRTL >OGLUM03G10410.2 pep chromosome:ALNU02000000:3:7863654:7864644:1 gene:OGLUM03G10410 transcript:OGLUM03G10410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMINVAARCAFLLCASPSESEKKAFQKTRSSDWTVTMRANSGLIFAVMILIMCGASAASSDNDELVAPFDTSNGLRTL >OGLUM03G10420.1 pep chromosome:ALNU02000000:3:7873687:7877174:1 gene:OGLUM03G10420 transcript:OGLUM03G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHPSEVYELFVRHMNTPRVVVDSGVCATATLVQVHSARKHGMLLEAVAALSEHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLARLESSLSAEALPRAAAGGPAAEGLTLLELVGADRPGLLSEVFAVLHDLRCNTVEARAWTHGGRVAALVFVRDEETGAPIDDAARVRRIESRLRHVLRGGARCARTVLADPSAAGNLDRRLHQLLNEDGEADSRGAAPMTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRSDGRPISSEAERQHVIRCLQAAIERRASEGVRLELRISDRRGLLAYVTRVFRENGLSVTHAEITTRDDMAMNVFHVTDVAGRPADPKTIDEVIQRIGTESLRVDEERWPRLCSAEGDAAGRGGGGGLFSLGSLVKKNLFSLGLIRSCS >OGLUM03G10430.1 pep chromosome:ALNU02000000:3:7878846:7885576:-1 gene:OGLUM03G10430 transcript:OGLUM03G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4N6] MSFRSKDRNVQPPFNWPWRSESPLSAQLLIDIPPEIELSDYRRLPGSGSESPSGLLHGEGFKDEPIADLDIFFERLYEYFCAKGLRCIVTKWIIEMLNVLFMVCCIGFFFLIVDWNALGHLKCGVEALESGEKPCDLMQVVKHNPLVPFTFPKMITIGSMVILTTYGLINFLKFFVQLRSTLNIRDFYCNSLKITDLEIQTISWPKIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGIISFPIRPWVPGAGPTVKSHLQNRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKDFLTSPAVLKKRLVFVGISMLILSPCLVIFPLVYLILRHAEEIYNHPSTASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLISIMAKFISFVSGGLAGALIIIGFLGESVLEGHIFGRNLFWYTIVFGTIAAISRKVVADELQVIDPEGAMCNVVQQTHYMPKRWRGKEDSEVVRREFETLFQFTIVMLLEEMASIFISPYLLIFEVPKRVDDILRFISDFTIYVDGVGDVCSLSLFDFRRHGNRNYASPFDALKTLRSSQGKMEKSFLSFQSVYPSWEPNAEGKQFLTNLQKFKEKQIRQQALAQYQAMEASGFVASTRGHRDDIFHQLLPSDIHNRAEAVSPAVYNLGPLGLLDTDQRSHPYILDWYYVCHPPHLDRTEAPYFNEVFPETSENTGSAAFKASEIEEARGWDSDTVPPPRADRDEWNFNHERVRSHMDASTSSNLFHHAPVEHRDTKGNIIDWWDQAPEHSTGQQGSFLEPPEFGNRYVAGNRSSYHSGDVSDGSVEELERSYNRSSSSWRRPQDLSTTRYMDDSDIEEGLNLPFADLPQKDEDARHGTSDTNDPTPVGLPEYSIKQSGHAVDPVIDQQPKLYEGIRCWGPLPWSSRRARVEAEGSV >OGLUM03G10440.1 pep chromosome:ALNU02000000:3:7887617:7888543:-1 gene:OGLUM03G10440 transcript:OGLUM03G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDGEAGPRKRIPSPSSSLLDLPRVHVGYKGPVASSSVLRLKLLRHGHPLHLGSHGGRGQPGSREAVGKDVDQRGLLGLLDALLMVMEGRDHEASRSRAFIGGDAMVSNLIPGTCEVSSIMASCEASGIMADYEVSGIMAGCEVWLTTRYQVHALFPLLLSPRLDPCSLLLLFSLPLGSILVPYYCRNRCHSLYRKHGSRRGGSDTKDLGGRGHWCSGLLRQCSAHEVSRARLRRIWLRKATRNHVDNR >OGLUM03G10450.1 pep chromosome:ALNU02000000:3:7890674:7892986:-1 gene:OGLUM03G10450 transcript:OGLUM03G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCTPCVILLLSSLYLLRLFVDARRNLPPGPRPQPLIGNILDLGSQPHRSLARLAGRYGPLMTLRLGTVTTVVASSPGAARDILQRHDAAFSARSVPDAARACGHDGFSMGMLPPSSALWRALRRVCAAELFAPRSLDAHQRLRRDKVRQLVSHVARLARDGAAVDVGRAAFTASLNLLSSTIFSADLADFGDARAESSVGDLRDLISEFTIVVGVPNVSDFFPAVAPLDPQRLRRRVARVFERLQAVFDGHIERRLRDRAAGEPPKNDFLDALLDYRSPEDGRGFDRPTLQFLFTDLFSAGSDTSAVTVEWAMAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVSKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILELATPLRAVAIPGDSPWDAIN >OGLUM03G10450.2 pep chromosome:ALNU02000000:3:7891353:7892986:-1 gene:OGLUM03G10450 transcript:OGLUM03G10450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCTPCVILLLSSLYLLRLFVDARRNLPPGPRPQPLIGNILDLGSQPHRSLARLAGRYGPLMTLRLGTVTTVVASSPGAARDILQRHDAAFSARSVPDAARACGHDGFSMGMLPPSSALWRALRRVCAAELFAPRSLDAHQRLRRDKVRQLVSHVARLARDGAAVDVGRAAFTASLNLLSSTIFSADLADFGDARAESSVGDLRDLISEFTIVVGVPNVSDFFPAVAPLDPQRLRRRVARVFERLQAVFDGHIERRLRDRAAGEPPKNDFLDALLDYRSPEDGRGFDRPTLQFLFTDLFSAGSDTSAVTVEWAMAQLLQNPPAMAKAREELARVIGSKQEIEESDISQLKYLEAVVKETLRLHPPAPFLLPHQAETTTQVGGYTVSKGTRVLVNVWAIGRDSKVWSDPDKFMPERFLQSEVDLRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLLPEVEKNGVDMAEKFGMILELATPLRAVAIPV >OGLUM03G10460.1 pep chromosome:ALNU02000000:3:7900265:7902491:-1 gene:OGLUM03G10460 transcript:OGLUM03G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLYAPCVILLVSSLYLLRLFSDARRNLPPGPRPLPLVGNLLELGAKPHRSLVRLAERHGPLMTLRLGAVTTIVASSPDAARDILQRHDAAFSTRPVPDIVRACGHDRFAMPWLPPSSPQWRALRKVCSAELFAPRRLDAQQRLRREKARRLVSHVARMAREGAAVDVRRVVFTTLLNMLSCTLFSADLADLDEGRAGSAGELADTVAEFAGTVGVPNVVDYFPAVAAFDPQRLRRRLSRVFTRLFAEFDEQIERRMRERDAGEPPKNDFLDVLLDYRTTEDGRQFDRQTLRSRFTDLFSAGSDTSAVTVEWAMAQLLQSPSSMMKAREELTRVIGSKPEIDESDIDSLEYLQAVVKETFRLHPPAPLLLSHRAETDTEIGGYTVPKGATVMVNIWAIGRDSKVWFEPDKFIPERFLQKEVDFRGRDFELIPFGSGRRICPGLPLAVRMVHLMLASLLHRFEWRLPPEVERNGVNMEEKFGIVMTLATPLQAIATPI >OGLUM03G10470.1 pep chromosome:ALNU02000000:3:7903049:7903836:1 gene:OGLUM03G10470 transcript:OGLUM03G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGKTDREGCKWRRPTLIPVYRLPAECRFLSSATPSLCGKKNNQQQCCRLRKEREKRLIKWVFEGSYLEMETF >OGLUM03G10480.1 pep chromosome:ALNU02000000:3:7903969:7904442:-1 gene:OGLUM03G10480 transcript:OGLUM03G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSTVELIALWASSSSPSLLAFCVSHLIIALLLLAGSGAGAAPEISSRADGDRSLEADAVVQGREMNPGGHKGPVAGVNGRAEEWLVRAGDGDNIETLASENGRSAAREEEPAATDASQEKHGDDVELEDELMLRAEEFIRRMNRVWMAENLRVLC >OGLUM03G10490.1 pep chromosome:ALNU02000000:3:7907103:7911708:-1 gene:OGLUM03G10490 transcript:OGLUM03G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIQSVKARQIFDSRGNPTVEVDICCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVDNVNSIIGPALIGKDPTEQTVIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLALCKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGASSFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYTEDQTYDLNFKEENNDGSQKISGDSLKNVYKSFVSKYPIVSIEDPFDQDDWVHYAKMTEEIGDQVQIVGDDLLVTNPTRVAKAIKDKACNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKIEEELGAAAVYAGAKFRAPVEPY >OGLUM03G10500.1 pep chromosome:ALNU02000000:3:7933321:7935304:1 gene:OGLUM03G10500 transcript:OGLUM03G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSPSPSSPFPAAAVSDARRAGGGRTTASEVDEKYAHVATPLHNHRGGSGGAKKTPRRAKSEGGGGADPAAYVAAVSCSDCRFKQRLHAPASPGPGAVIRSLFVSLTRRSTPRSSPSPTSASGGDGGESEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLTELEMKLARLEARVLPTPTAAAFPVESFLRAVSTARAAVRSLTRALSNHLRSPANPGPNLESFLNRAFHADFELDTEGDVHTADPAGRCEANLAAYHSIAALTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSSLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRVDRGARFDSRFMEDAAAGRAGKLEPASVKMMVAPGFHVYVACAGVVKCKVVCFYSSSSSSRTGGHRDGGSIANGSVGLGSSCSDVNGSATDAVDGCNNQSSSVVT >OGLUM03G10510.1 pep chromosome:ALNU02000000:3:7936086:7940510:1 gene:OGLUM03G10510 transcript:OGLUM03G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G62850) TAIR;Acc:AT1G62850] MTAESPHRPKSISTSPRPLSLPCFELRRGAVAPNFRRSASSTRRLMATAMRSTTFLRLGFRQVSSLLFHGPSSPAPTLGLALGVGRGALVRLRCSAAEAGDDGGRKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEAQWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKVEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >OGLUM03G10510.2 pep chromosome:ALNU02000000:3:7936086:7940318:1 gene:OGLUM03G10510 transcript:OGLUM03G10510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I peptide chain release factor [Source:Projected from Arabidopsis thaliana (AT1G62850) TAIR;Acc:AT1G62850] MTAESPHRPKSISTSPRPLSLPCFELRRGAVAPNFRRSASSTRRLMATAMRSTTFLRLGFRQVSSLLFHGPSSPAPTLGLALGVGRGALVRLRCSAAEAGDDGGRKVSARLALTQQVLRDAEERAASAGSDPAPKITLDHVTLNFARSGGAGGQNVNKVNTKVDMRFNVKEAQWLGERIKERILQTEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPSEEQKKKVEKIAAAAERKRLQKKKVLSQKKEFRRNRTSWD >OGLUM03G10520.1 pep chromosome:ALNU02000000:3:7943074:7946388:1 gene:OGLUM03G10520 transcript:OGLUM03G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGLQRFTDIAGDGGPRLDAASGEELLRMDRAASVALGRRAPEPPGTLFVTTRRVIWLSETEKGQGYAVDFLAITLHAVSRDLEAYPSPCIYTQIDAEDGSDEEAGGSDFEANGDLQLAKVSEMRIILSDPGQLDALFDVFCHCAELNPDPNAVRNEENGWSGGENMAEGGWIHGDEDMIDGNDLEAHMFFTNLIGQNGVHDLGRSVRELQIDDQRFEDAEEEDEIQENGH >OGLUM03G10530.1 pep chromosome:ALNU02000000:3:7946530:7952718:1 gene:OGLUM03G10530 transcript:OGLUM03G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGGMKGGKLGVEEAHELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADVRLECHGNRNGKSATAPAHREMAPQLTGAPGTAGAAGGAASVKPQFHHFHHHRLATRHHHPSPTSLLSKLAFWSVCSLSLLLAFLLLSPSAAPAPRAAPDSPRRSLHTSSPSAAATWGGAAWEKKVRASARVRRANGRGLTVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDPALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVGGFVALLEAARMANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHAYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGTHQTTISRDFTYIDDIVKGCVGALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKIVKMPRNGDVPYTHANISLAQRELGYRPSTDLQTGLKKFVRWYLEYYMPGFAGKQKQHGSSNSKSSRGRSGNTSSAR >OGLUM03G10540.1 pep chromosome:ALNU02000000:3:7958828:7963573:-1 gene:OGLUM03G10540 transcript:OGLUM03G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLVACLPWVCFILLSLYVFQLFADARRRLPPGPWLPKPLIGDLLALGKGDQQHRSLARLADRYGPVMSLRLGTVLTVVVSTPDAMREIFHKNKDNLAGRPTADAFNAMGHSANSLLGLEHPGVRWRAIRRFSTAELLAPRRLAALQPLCRDKVRGLVRGVSELAARGEPVHVRRVALDMALSLMLSAIYSVDLDPESTAVFRSVVEEAMLLIGTANLSDLFPAIAALDLQGVRRRVAELFTITYRQYDEQVARRRPERDAGEAGKNDLLNVVLDMEREWQQKGSVLSHDAMRVLFTDLYGAGASTTSVLIEWAIADLLQNPESMRKIKEEITNVIGTNAQIQESDIARLPYLQAVVKETLRLRAVAPLVPRRAEATIEVQGFTIPKGTNVILNLWAINRDARAWNDPDKFMPERFIGNDINYLGQNFQFVPFGVGRRICLGLPLAQKVMYLVLGTLVHQFEWTLPEELKETGIDMTEKCGMVLCLANPLKVMAKKM >OGLUM03G10550.1 pep chromosome:ALNU02000000:3:7969390:7969782:-1 gene:OGLUM03G10550 transcript:OGLUM03G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRGETSSVVEAFTLSPLPYPVILILLMVMLLLGVSWFFTYEDFMEEAAEQLSWALLLVPVALVLLIRWISSVDTFDGYFSFYPTERRWNRYDPGPAEGSSPWGVAMVVLLLLVLASFHSTFQDMWKP >OGLUM03G10560.1 pep chromosome:ALNU02000000:3:7973802:7976542:1 gene:OGLUM03G10560 transcript:OGLUM03G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDAAAAASAGAAAVQRRPKVVEEDDDDDDFVPLSHARGRKKASGAAAPKYKEEEEEDEDDDEEDNVPLAVSRAKKAGNAGASKAKKDEDDSGDDDDDYHVPLSRSKKGKEKQKSTVKAKVKKEETDSDDERKPKAQKKSSAVTKTSKVKKIKDEDLEIDTKKIKKGAATRKGDAEKVKKEKKVYDLPGQKHDPPQERDPLRIFYESLYEQVPTSEMAAIWLMEWGLLPVDVAQKVFEKKQGQKLKSPVKTTSAKRRPDTPTKKPQLSSATKTNSAVKDSGKTTAQKKRRASSDTDDDDDDFIVSKTKTKMQKMNS >OGLUM03G10570.1 pep chromosome:ALNU02000000:3:7976652:7978162:-1 gene:OGLUM03G10570 transcript:OGLUM03G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLKRDPIVILRIDGEDLRDFVDSPRYEPEAAAIFSKIGSEDMSLRQCLLAALQLLNVDNGMPPASDPWVAENIIEPALQKLPAGQLEQPASQDVFLEQLKKLLSNIAERLQEQPVIVAHTENTFDGSGVKRLLDNKFELDKLLDSVWKDVLIEHKNKGSRECLIAALDKMADAAGLPPYGAVNQVDAVVNEALKTVNADERTAVDEAGFKKLLTDILRAVMMQLNRQPIFVSNSTVVHEPLFSSSAILSSPPVKSSPICIHWGTVYSPGTVQLSNDKVYYLVTQVLLFHQFK >OGLUM03G10580.1 pep chromosome:ALNU02000000:3:7988182:7993167:-1 gene:OGLUM03G10580 transcript:OGLUM03G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRQSLQHNHTEGEEKPKPDDDSTVASGGKEGVRLVGARADGGRARLFSGSLSSPPPHLGKTTTALPLSRDRGGRDSVEGEGTGEGGELLTISLRPLRFAAPRRIHLQKRTSEKMIGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTKNEAAPASLQVPTPATKADVPASEPSAPVPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >OGLUM03G10580.2 pep chromosome:ALNU02000000:3:7988182:7993167:-1 gene:OGLUM03G10580 transcript:OGLUM03G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRQSLQHNHTEGEEKPKPDDDSTVASGGKEGVRLVGARADGGRARLFSGSLSSPPPHLGKTTTALPLSRDRGGRDSVEGEGTGEGGELLTISLRPLRFAAPRRIHLQKRTSEKMIGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDVVVLYFQKAATVGQNTFFDVLKYVASQSPSQRSRQQPSQEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPVPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >OGLUM03G10580.3 pep chromosome:ALNU02000000:3:7988182:7993167:-1 gene:OGLUM03G10580 transcript:OGLUM03G10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHRQSLQHNHTEGEEKPKPDDDSTVASGGKEGVRLVGARADGGRARLFSGSLSSPPPHLGKTTTALPLSRDRGGRDSVEGEGTGEGGELLTISLRPLRFAAPRRIHLQKRTSEKMIGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALMTVMERFGDFTISWLPFYSEAKLMFFIYLWYPKTKEPQQPKQQQAPVQQQPTQKQAPTVLRRSASIAARQAAMAQQSQDAKTVPSSPKIKRQASTKAAPVASTKLTGAAAPSTPKSDADAPKKNEAAPASLQVPTPATKADVPASEPSAPVPEAEEADKMAIDEADDAVEGTEEGDPVPGETVEERPMEETIRVTRAKLRRRTASEDPAGN >OGLUM03G10590.1 pep chromosome:ALNU02000000:3:7996746:7997570:-1 gene:OGLUM03G10590 transcript:OGLUM03G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASMASAAFVLVAIVLPISPFAHAQRPTQPPIVAPTMPPTPPPTQPPSPGPMAPMPTHAADATTTSADAVATAANTATVPRTHAADATTSGTDAVTASANTTAVPRTDAANATTSGADAVTASAKPTTATRPLPTHQNKCTKLSLFDLVLNPSKARQQCCPPLEDLSSSGATDCLCRAFKGPIGVLPPPIRVILGLCGKTVELNLFCH >OGLUM03G10600.1 pep chromosome:ALNU02000000:3:8004174:8004560:-1 gene:OGLUM03G10600 transcript:OGLUM03G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLSIASFFLATALLLLAFASGQTTNAPPPPPPPNTQCPGGVISDLANYTRCITAILFGRPNPEQFCCPAISELPNNVAARCVCAALRATGLSIGITASNNVTGSILKICNKAPLDLLTVNCSRA >OGLUM03G10610.1 pep chromosome:ALNU02000000:3:8020767:8021213:-1 gene:OGLUM03G10610 transcript:OGLUM03G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRAMNSALFFASVILVLASAVNGGLVLHGHSPCLATAPTPTETPAPAPALVVPPTPAPTPVPTPSPAPPPKCPLPLADLGVCLNVALGNQLLNQQCCSQLSSLPSDTAAFCLCEAIKVKALVNLKVNVPNILKACGKVSALTCVN >OGLUM03G10620.1 pep chromosome:ALNU02000000:3:8029652:8030602:-1 gene:OGLUM03G10620 transcript:OGLUM03G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQAMNSALFSALVVVVLASAVNGQLNPCQAPAPTPTGTPAPAPAPVVTPTPAPTPVPTPSPAPTPSPTVAPVNPPSPTPPPKCPLALINLNACISVGLGNPLFNQACCSQLSSLPSDTAAVCLCEAIKVNALVNLKVKIPDILKFDQV >OGLUM03G10630.1 pep chromosome:ALNU02000000:3:8030214:8030669:1 gene:OGLUM03G10630 transcript:OGLUM03G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRQTAAVSLGRLESCEQHAWLKSGLPRPTLMHALRLINASGHLGGGVGDGGLTGATVGEGVGAGDGVGTGVGAGVGVTTGAGAGAGVPVGVGAGAWHGFSCPFTAEAKTTTTNAENKAEFIACLLAIVAYVCVFDAFRVCSLVVFIGM >OGLUM03G10640.1 pep chromosome:ALNU02000000:3:8051453:8054139:-1 gene:OGLUM03G10640 transcript:OGLUM03G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPHPAVAGAVVPKSKQRIISISEKTKPGHECALSRISPSSFLILRHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGAPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >OGLUM03G10640.2 pep chromosome:ALNU02000000:3:8051453:8053618:-1 gene:OGLUM03G10640 transcript:OGLUM03G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGAPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >OGLUM03G10640.3 pep chromosome:ALNU02000000:3:8051453:8052475:-1 gene:OGLUM03G10640 transcript:OGLUM03G10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNEALEHSTHSYKSTVIKVTMDNQQLPYAGQPAAAGAGAPVPGVPGAGGAPAVPHHHLLQQQQAQLQAFWAYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAGGPAGAVGAAGPAAGLPYYYPPMGQPAPMMPAWHVPAWDPAWQQGAAPDVDQGAAGSFSEEGQQGFAGHGGAAAGFPPAPPSSE >OGLUM03G10650.1 pep chromosome:ALNU02000000:3:8055334:8063824:1 gene:OGLUM03G10650 transcript:OGLUM03G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4R4] MVDNPPPVSPFSEPERKRTDRSGRRSARRRATRRDATRRRRTTSALPSPRARARTEADAGRFGRVRPGTAAMARGGGGGCCPPMDLMRSEAMQLVQVIIPTESAHLTVSYLGELGLLQLKDLNADKSPFQRTYAAQIKRCGEMARKLRFFKEQMSKAGISTSAQLTEISLDFDDLEIKLGELEAELAEVNANNEKLKRTYNELLEYSTVLQKAGEFFYSAQRSAAAQQREMEANQSGESSLESPLLEQDTLTDASKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQESVDEPVTDPVSGEKVAKNAFVIFYSGDRAKAKILKICDAFNANRYPFPEDVARQLHAVQEVSAKISELKATIDMGLAHRDNILKNIASEFENWNRLANKEKIIYHTLNMLSVDVTKKCLVGEGWSPVFATTQIQDALQRATLDSKSQVGSIFQVLNTTESPPTYFQTNKFTSAFQEIVDAYGIAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICILVSTLYLIIREKKFASQKLGDIMEMMFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCGDAATEGLLKVRRTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVISYFNAKFFRNSVNVWYQFIPQLIFLNSLFGYLSMLIIIKWSTGAKADLYHTMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLIPKPFFLKMEHERRHQGQQYAMLQSTDDSVVAEMGHHNGSNHHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAFGYNNILIRIAGITIFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALISEEED >OGLUM03G10660.1 pep chromosome:ALNU02000000:3:8062821:8065338:-1 gene:OGLUM03G10660 transcript:OGLUM03G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRDSVEPILDDYRPAGISSLKFSKLSLGTVPPKIEGIRIQSFKKGQITMDVDFRWGGDPNIVLAVDTLVASLPIQFKNLQVYTIIRVVFQLCDEIPCISAVVVALLAEPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLIADMLQWPHRIVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLYIRPMFKEKTSVIDDNLNPEWNETFSLIAEDKETQHLILQVFDEDKLKQDKRLGIAKLPLNDLEMESVQEINLQLLSSLDTTKVKDKKDRGVLTIKVSSCPHGGASWVLGTRDAKVFDADRRDNTQVLYHPFTKAEALEALELEKKTVEERRKTKEETAAVSGAADAASGVTSTVTPAAGAGVAAGAAAPGAGATAAGSGVGLVGTGIGAVGSGIGAFGTGLSKAGKFVGRTVTGPFSSARRSASSVPTIDE >OGLUM03G10670.1 pep chromosome:ALNU02000000:3:8096347:8098128:1 gene:OGLUM03G10670 transcript:OGLUM03G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVGARTQPAPALRRLSCQQLRRATGGFAAGSKLGQGGFGPVFRGALPRSGQAVAVKVMDAAGSLQGEREFHNELSLASHLLGCGHGHGSPSILLPFAYSLSAQPRRRRMMLVYELMPNGSLQDALLGKKCPELVSEWPRRLAVARDVAAALHYLHSVAQPPVIHGDVKPSNVLLDGELRARLSDFGLAQIKSEEGDELESAAIEGNGNESSNPCGGCDDDMSVADENATAVAVNGEDNAAKSPEDDEGFTMASPAEAASTSGCDKTSVGSGLNGRSCNGGGAAASGAGNDWWWRQDNGGGSGGVKDYVMEWIRSEIKKERPKNDWIAGASATTPATSTERKKTKRRAREWWREEYADELTKKQKRRALAKSRSEIGPMASMQWWERDCDLEEKGRSRWRMMKSWSRRSSNGNGSIDWWIDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQVTASPMSEFEKASLISWARHLARVGRLLDLVDPALRDVNRDQALRCITVALLCIQRSPSRRPSSEEVLEMLSGEGEPLNLPVEFSPSPPGGFRFRSRKKGR >OGLUM03G10680.1 pep chromosome:ALNU02000000:3:8101200:8105643:-1 gene:OGLUM03G10680 transcript:OGLUM03G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRPTERSWTRKAGAAGVGGGRRGGAGWWCSGEGGGVTELDRPSPSSRRRRMERRAGRLVRGRGRRCHRAQSVARQRGAGRGRPVRRAREERRDEERKEEEEADGWGSHGSHSKSAATLDKITEDLRTFSTAKWKPVYGTRSGPAQHGPLPCRRAGHRSDQFDSVSVPTKLRQTRSPSPLLANRRHVTPVLHRASASPSLSSPPPLRPKRNRTKPKPYEEGESRAAAAAAAKAERARSAHGMGVSADGLVLKAACERCGSSSDLHGTGCRHATLCVSCGSAMARSGDCCPVCAAPIASLIREYNVLVDTTGEKQYTIGKFTTGVPPFSDRENAGSRSWSLHPEGQQGRQPTGNIWENCSNRKSCILEDDTGDYQYQGQIQGLQSAASTYYLLMMHGKDVHAVPADSWYNFSKISQYKQLTLEEAEEKMSRRRSTATGYGRWMMKAATNGAAAFSSDVTQLDDANEGETDQVHLKKGNKNGDENKSDKGSGEERAHVPMTKGREEEGSKDRDFDLDDEIEKGDDWEHEEIFTDDDEAVDVDPEEGGDLADPEIPAPPEIKQDDNEKHGGVGLSKSCKELKKLLRRAAGQNESDDDDEDTDEDESPSPVLAPKQQDQLKSEPQEDNHSKPTVPGHPYSTPHVSKSNQKRRQRGDDSKTCATPKKPKIEPDTKKIVVKEETSCSLEPTSEPFASARSDTNVSPITVEEIRVVLRLYAPIAMRDFSKDFMPRFSPRLRSPEDREVFLANLRKISHLQKINGQKYIILLEEYK >OGLUM03G10690.1 pep chromosome:ALNU02000000:3:8109066:8110046:-1 gene:OGLUM03G10690 transcript:OGLUM03G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYKYLNIRFNPDGSLTRNGAARLLPPAPAGEPVDGVNGPARRIVHSNDAPLNDANGTTVRLFVPSGPCVGADGGGRLPLVLYFHGGGYVLFRAASEPFHNTCTALAATIPAVVASVDYRLAPEHRLPAAFEDAADAVRWVRSYAAGCRPLFLMGSHAGASIAFRAALAAVDEGVELRGLILNQPHHGGVKRTAAEESSVDDRVLPLPANDLLWELALPLGADRDHEYCNPETMLASVDAARLRRLPPCLVLGRMKDPPRDRQRTLVEALQKAGVTVEAKLDGAGYHAMELFKEDRAAEFIAQVTDFVRRHTGAGSDVHAGRSRL >OGLUM03G10700.1 pep chromosome:ALNU02000000:3:8117091:8117624:-1 gene:OGLUM03G10700 transcript:OGLUM03G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIRTTKGLTSNYYPVMSSCQLQSICITPASRSGTATDRRGEGPQYGRAQGGVRGGRRPSGGARGGRRPSHGARGGRAGRASARGEGAGRDAARGETSSAPGKSRGVRGGRRPHRCEGRRAASSRGEASARGEDTAERLLEGRAGRRGGAREVARRRPDRRGGRNPNPMRLALPAD >OGLUM03G10710.1 pep chromosome:ALNU02000000:3:8120453:8121941:-1 gene:OGLUM03G10710 transcript:OGLUM03G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHCFPHCHRLSLDPASHGPDLESSVKKEAGGGEGTTEGEGEGELLRPGEVRDAAVGLGRSGAPVGEERRGASQGCEASALEKVATE >OGLUM03G10720.1 pep chromosome:ALNU02000000:3:8151285:8157541:1 gene:OGLUM03G10720 transcript:OGLUM03G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFHSIHQHKPKTLQEHRLDTRRTQPYSLLSPPGALLLVPGYLEAGLGAQAMDEKDGNNGRCNFSTEDPGEDKAFAASLVDQDQDPDPTDAALLDNECSRVVDQDPPPDAAALLYDECSAAYGAHVAKKNKSEAMHAGVGLAMKIDLPLELQSYNLVKGANVENWACVNFSLMGTTETQKFASELKKACVAIGMDLKSVHAVFVDLNSPNKLESTLHDVQELFGGQGVEVNQINLLIVILLEFRRSDAKVKDICKNLGFLYQCCLPEHARTPSKLYLNNVAHNIRSKEKRVNGDSEKVYGSDEMREDSESDLSDLEDMGDADSDIIYLEAFPTSDEEMDDDDDYGDDDEYVPVSPDEEMDDDGSVQQMRENDELCKQLQRLEENDGHEQAANSAEGCLKTYKEIETHTALAGSTYSELSEPPSFEAFLCPGEREHILKTGWETQHPYKKPSGVSWDEYSKVFDTNGYYKLSNMPVEDKDLVAAECNTPEVRRWENHISHDYDELQVERGIVRPWACVNFSPKRKHAARVVSDIIEKCIDMGMEFGTLPKMDVDVPLTSLHIRRTLLDVPKKIDILIVIMADDRSYDGEIEEMCESLRIDYVFCLPCLSKRNLKRVARQIQLKVVKSYVRRQRLHLPLVSEVPTIVFGAHTIHYDLGEDSEAGVDSEGIASQVLSVLLQNDKRKTHEDNLLQCNILHRGGLMEGEMERICLQEIDAIKQACAYSYKEDQPSLTYVVVVPTASIGTEGDTAKYKFFCRHTTHKSTSRVVRYHVVHDDNNFLAGELQSLTLKLCTFRHRREYPKIDAVVPAYYAERAAFKAYRAECAAFKAYRDKCAASKAS >OGLUM03G10730.1 pep chromosome:ALNU02000000:3:8158317:8162297:-1 gene:OGLUM03G10730 transcript:OGLUM03G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMALPVVNATAAVLARVSAAFNAPFARAVVFGVHIDGHLVVEGLLIAVIVFQLSRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKDGARIDTPMLESAAAPHTTIDGKEVINFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLANTLEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGQSGRGLAEHYGVPIDKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVSYLEGNPSVLADLRSNISFLHKELSGTPGLEISSHVLSPIVFLKLKKSTGSSNTDIDLLETIAERVLKEDSVFIVASKRSPLDRCKLPVGIRLFMSAGHTDSDISKVTSSLKRVSASVLSDYI >OGLUM03G10740.1 pep chromosome:ALNU02000000:3:8172009:8172603:-1 gene:OGLUM03G10740 transcript:OGLUM03G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSRAENARFEQALAMYDRDTPGRWERVASVVGGGKTADDVRRHFDLLVDDCGSIESGNYGYPGTGAGAGRGSGNGNGNGRDKNNDGNTNRRQSRANGPQT >OGLUM03G10750.1 pep chromosome:ALNU02000000:3:8183802:8188072:-1 gene:OGLUM03G10750 transcript:OGLUM03G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFVCTPSHLHARRSGGHARDPPRFLRLRQRPRKAAARVVVLLSAARHPAFPQLRIASPQLSMEVVASAPGKVLVAGGYLVLERPNAGLVLSTSARFYAIVRPLRDELSHDSWAWAWTDVKVTSPQLSREATYKLSLKKSTLQLTESANPFVEQAVQFSVAAAKVTVTDKEGKEALDKLLLQGLHITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFCSITFNSEVANGTMTGENCKPEVAKTGLGSSAAMTTSVVAALLHYLGTVNLSCLGQSSSGNAAGRDLDLLHAIAQSAHCIAQGKIGSGFDVSAAVYGSERYTRFSPEILSSAQVTGGHCMADVVADIVTQSWDHEKAKFSLPPLMSLLLGEPGTGGSSTPSMVGSVKQWQKSDPQKSKETWSKLGIANSVLENQLRNLNKLAEDHWEAYESVLRSCSRLTCSKWTEVATNQHQELIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVILGEASDAVAKAWSSAGVLPLLVREDPRGVSLEAGDPRTREVSTAVSSIQIN >OGLUM03G10760.1 pep chromosome:ALNU02000000:3:8196864:8197482:-1 gene:OGLUM03G10760 transcript:OGLUM03G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVVGTLHSCLFLSMGTGYRCPNGIASHPPPSAARAQGTRAEPAAGGERWRWPELESRGRERRWWPEPRERAAAVAGAGGGSGGGGRSRIQGMGGGGGGASPEPEEGTAAVAGAREEGALGRQWSAALMSGVYRVILGRYCVIPGWYRMIPDRYHLIHHKYHLIGIT >OGLUM03G10770.1 pep chromosome:ALNU02000000:3:8203009:8204505:1 gene:OGLUM03G10770 transcript:OGLUM03G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLDHAPPSPLSSDILPHFPPSLADAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSSSSPHWALLAAARAATPDGVLRLAHLHLIRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVTHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPIAAARFYAAEVLLALEYLHALGFVYRDLKPENVLLRGDGHVVLSDFDLALPASVEPAVRRRQVRKLSRRKNRIVPSCFSANGGSGDDGDEVNAKEQFEFVAEPTTANSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHAKDATLKNILAKQVTYPQLDGEADAAQLRDLIGRLLERDPRRRMGSARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKDAAAAGAGDKKAKLGSWNSMGGKKRSSFGRKSNYEERQGVFRKLMSWSQESRSKKAKTNKVKL >OGLUM03G10780.1 pep chromosome:ALNU02000000:3:8209584:8219064:-1 gene:OGLUM03G10780 transcript:OGLUM03G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLSFSIPSKARPPRPASRPAATAAAAAASDDGEYSARHPAPQFVTEFDPTQTLATGAAPSVIAPLQNSGHFLNHRSRKPSSLPTPEEEAALAASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAESEKAAEPEKTPPPPPAAGAPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGERRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEWQLCGCGSAILLLALGLIGCAGCCSKYSLVSALIITALHIEGSSHPSGTALQPLPVLGEEKPWLEISLPAPRRRWPSHGYTLPPAPGLAWRVAASALPRGPSAVAPALRHAKHKDSPVRARLVAGGVADGKRRDPFSASRFHMPDRTGLWPIS >OGLUM03G10780.2 pep chromosome:ALNU02000000:3:8213047:8219064:-1 gene:OGLUM03G10780 transcript:OGLUM03G10780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLSFSIPSKARPPRPASRPAATAAAAAASDDGEYSARHPAPQFVTEFDPTQTLATGAAPSVIAPLQNSGHFLNHRSRKPSSLPTPEEEAALAASAAGGPSFVLDTSTAPDNPSSHIAYGLTVRNAAAESEKAAEPEKTPPPPPAAGAPSGDLMLRRYKEDMASLPDHRGLDEFRDVPVEGFGAALLAGYGWSEGKGIGRNNKGDTKVVEYDRRAGTQGLGYNPSEADPKKTRAGEWVVGGNKETQNGNAKKRDRDSRGRTEDRDSSSRQKRSGERRAEREVQEKDRNSRHTKQVKIGGGGGDKMRWLHSDIKVRVVSERLSKKLYLKKGRVLDVVGPTTCDIIMDDQSELVQGVEQDMLETVLPRTNGLVLLLAGEHKGLCGHLVEKNSEEETGVVELSNTKDMIRVKYDQIAEYIGDPESLEYYIHNSLGTKVAVVRLWFRNSLVGIGLDRLCWLLQQILFGLDWG >OGLUM03G10790.1 pep chromosome:ALNU02000000:3:8223427:8224434:-1 gene:OGLUM03G10790 transcript:OGLUM03G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYDQMLAPLLGAGRSAWTAHDGGGGGGEAVVRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYHAAVGVLVAAFAAYCFLSTLAFTVLDLARSGGGGGGAGGVRGIRRKYLLPSGPFLLPLVLLVLAKGQRINAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSQFSGECATCLCRMEPLVVGGTAVRYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAAGWVFVAADAVYLVGWVAIEGGAVAVLAYSLVAGLVFLSVFGKVYRFLAWLETRQSQWKSSLCHSAV >OGLUM03G10800.1 pep chromosome:ALNU02000000:3:8228178:8233734:-1 gene:OGLUM03G10800 transcript:OGLUM03G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRIARLLQHQNRRLLSTAAEASSRRRPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLIQSQR >OGLUM03G10800.2 pep chromosome:ALNU02000000:3:8228613:8233734:-1 gene:OGLUM03G10800 transcript:OGLUM03G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane translocase complex, subunit Tim21 (InterPro:IPR013261) /.../35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G00026) TAIR;Acc:AT4G00026] MASRIARLLQHQNRRLLSTAAEASSRRRPRAPLGGAIPKHEVAKAEASSLKKSRWYMIKSNPSSPLTTQRESHKVSTHLVRPSASYSTQASEQNPKEGTKDLKTVEDPFDSPTYNIPEKPVTFAEGASYSLVIVAGLGIAAVAGYAVFKELIFEPKEYKIFGKALARVQNDSQVTAKIGYPVTGYGNESRNRAARQRIPNKVWTDEDGVEHVEVNFYIRGPHGAGKVYSEMFKDNNDRSWKFTYLIVEIVSPHRAQLMLESYVPA >OGLUM03G10810.1 pep chromosome:ALNU02000000:3:8238026:8241410:-1 gene:OGLUM03G10810 transcript:OGLUM03G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQLAAVLASLALGGALLVLVFGKWWQPLADADRRVKELADAVEALLQLRSELLKVEPAPPESDQLARAWLRRVQEAQDEVASLKARHDGGQLYVLRLVQYFVSTAPVAGSAEKQLKAVRALREQGEALLEAALSTPQAPPPLLRQPEELELPPGTSLTRPYLNEALRFLGDCDAALGVWGAGGVGKTTVLTHVRDACGLVAPFDHVLLVAASRDCTVAKLQREVVGVLGLRDAPTEQAQAAGILSFLRDKSFLLLLDGVWERLDLERVGIPQPLGMVAGRVRKVVVASRGEAVCADMGCRKKIKMECLSEEDAWNLFEANAREETIHRHPRIPALARQVASECKGLPLSLVTVGRAMSSKRTPEEWGDALDALKKTKLSSAPGPDKIAHPLVKFCYDNLENDMARECFLACALWPEDHNISKDELVQCWTGLGLLPELADVDEAHRLAHSVISVLEASRLVERGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGKWLVRAGAGLREPPREEALWRDARRVSLMHNGIEDVPAKTGGALADAQPETLMLQCNRALPKRMIQAIQHFTRLTYLDMEETGIVDAFPMEICCLVNLEYLNLSKNRILSLPMELSNLSQLKYLYLRDNYYIQITIPAGLISRLGKLQVLELFTASIVSIADDYIAPVIDDLESSGAQLTALGLWLDSTRDVARLARLAPGVRARSLHLRKLQDGTRSLPLLSAQHAAEFGGVQESIREMTIYSSDVEEIVADARAPRLEVIKFGFLTKLHTVAWSHGAASNLREVAIGACHAVAHLTWVQHLPHLESLNLSGCNGMTTLLGGAADGGSAVGELVTFPRLRLLALLGLPKLEAIRGDGGDCAFPELRRVQTRGCPRLRRIPMRPAASGQCKVRVECDKHWWGALQWASDDVKSYFAPVLI >OGLUM03G10820.1 pep chromosome:ALNU02000000:3:8245373:8249663:1 gene:OGLUM03G10820 transcript:OGLUM03G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAIARARLQHRPARFHRAPYRCIPVTTTTCLLERRVHRGGGGGGGVQWCGSSAATGERARAVSEVRAAGARGGDARPPPQGEGDVAAGVAEAPSSGGGAKRGSVVGAAALVVGTSIGSGILAVPQRTAPAGFVPSAACMVTCWAFLVIEALLLVEINVHLRRKKGKDAGGDGDGDGGGGGGGQLEVISLKSMAQETLGEWGGNLAAAAYLFLSYTSMVAYTSKSGEVLSRVVAGVPEPVSGGAFTAALALLIAAGGTGVTDKVNQLLTFVMIGLLLTIEVSAVAFGAGLTLPANTNWEQVPATLPVIIFTLVFHDIAPVICAYLGGDLVRIRLSILVGSIVPLLSLLVWDDIALSISTDLDGFDILDMLNTEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTNLVSSSAQGHEEEALRHRGAKTAVDNNRLSYIAAGAVVAPTVLIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIASRMSDSRAGSVEAESSVAGGSGNVDLTSAKPVLVGMGVFSVLMVFEQMSQDFVSFQSYLLAWTG >OGLUM03G10830.1 pep chromosome:ALNU02000000:3:8250404:8250598:-1 gene:OGLUM03G10830 transcript:OGLUM03G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRCTGGVGCRNAEGADADASDAAAGEERGEVGGEAHEDGAHEEDTGGEMEEGGEGGGRRDKR >OGLUM03G10840.1 pep chromosome:ALNU02000000:3:8250623:8250912:-1 gene:OGLUM03G10840 transcript:OGLUM03G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSKDTASDVDKDGRCSMHGSGDDGRVVGGAVATAAGDASLRRMMNAVLVTPMLIVAASTELRLAMPAALKMLGPYNTTASIPVRC >OGLUM03G10850.1 pep chromosome:ALNU02000000:3:8251230:8251610:-1 gene:OGLUM03G10850 transcript:OGLUM03G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTAAGGQPLELRIRRPDLSLASFLLPIDCAMAVAAALPTVAGELAMDETKHSQISPGLAAWAQGRAGRLLGGCGSSCPSAAVQALQLWRRGWLALEGDREEDKYCPLGSSQKQRSTGAHLYTS >OGLUM03G10860.1 pep chromosome:ALNU02000000:3:8252776:8253194:1 gene:OGLUM03G10860 transcript:OGLUM03G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLFALCTHGPMFESHRSLKRASIHQGKLKTKFAWQGNRTQDAMHAKAHHQPPGADPETKS >OGLUM03G10870.1 pep chromosome:ALNU02000000:3:8279654:8281522:1 gene:OGLUM03G10870 transcript:OGLUM03G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z4T8] MEEAEEMQVERMQEEVEGGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPAAQASAATAVPSGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLVAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVADHAPRLFRKAVGGSTSLFCRPKKRPLAAPTAALDAAMKTAFGEELTLRDTIKPVLISCYDLKSSAPLVFSRADALESESYDFRLCEVGRAAWSEAGRFEPAEVASVDGATSCAAVDGGPIMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSAGGTGAAADADLRRLRRWGPKDWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYDPTPANVHALLAAADEMLKHRNVESVLFEGRRVGEQTNAERLDCFATELVAEHRSRGSRIGPTVAFKQPPRKQPPAAAAAAMG >OGLUM03G10880.1 pep chromosome:ALNU02000000:3:8291576:8299926:-1 gene:OGLUM03G10880 transcript:OGLUM03G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHAPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAAILKHPRTPTTANPSMDYPSGDSDHVSKRTRPVGMSEEVNLPVNMLPVTYPQSHSYPQDDFHKNVARTLSQGSTPMSMDFHPVQQTLLLVGTNVGDIGLWDVGTKERLVLRNFKVWDLTKCSMALQASLVKDPTVSVNRIIWSPDGTLFGVAYSRHIVQIYSYHGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFTFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKDGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFLIKIWDMDNTSLLTTIDADGGLPASPRVRFNKEGTLLAVSTHENGIKILANADGVRLLRTLENRSFDASRSASETVTKPLMNPLTAAAAAAASAAAAGTSSGNAAPPAITALNGDSRSLVDVKPRIADEPLDKSKVWKLMEITESSQCRSLKLTDNMRTSKISRLIYTNSGVAILALASNAVHLLWKWPRNDRNSSGKATASVSPQLWQPPSGILMTNDITDNPEEAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKKITGLAFSNVLNVLVSSGADAQICVWSTDGWDKLKSRMLQIPSSRPSSIILDTRVQFHQDQLHFLVVHETQIAIYETTKLEPVKQWPVRENSSPITHAMFSCDSQLIYASFLDATVCIFNASSLRLQCRILPASYLPQNISSNVYPVVVAAHPSEANQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSALSTPPNGASSSDQPER >OGLUM03G10890.1 pep chromosome:ALNU02000000:3:8303438:8307116:-1 gene:OGLUM03G10890 transcript:OGLUM03G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G48850) UniProtKB/Swiss-Prot;Acc:P57720] MAAPTSSQPVARVLPRGGGGGFRAFPESAPASLRFSVGRRRAARLEVKASANVFGNYFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGMTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKILKLKSGVEILAFVSKVHQVVLPEDAVDYDTVTMEQIESNIVRCPDPEYAQKMIDAIDKVRVRGDSIGGVVTCIARNVPRGIGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDYTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQHTVSREHEDVELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPVGSASSVPAFAPDLS >OGLUM03G10900.1 pep chromosome:ALNU02000000:3:8307204:8311784:-1 gene:OGLUM03G10900 transcript:OGLUM03G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSNGGGGSPGHRRRGSAAQGHGHHQVHGHHHQPSSPPPPPPPESSPSHYVFAAATPYPPPQYTNPNLPRYYPQYGNYYPPPPSLQVPLPAPYDHHHRGGGAGVPAGGEFPPSAHPQHYPGWPGVSGRPHPCGLQPAMPTPYVEHQKAITIRNDVNLKKETLRIEPDEECPGRFLVAFTFDATLAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEDSELLKQGDMDVYPLAVKAETTMPVDQKLEGEDQKMKTPNSQITQALFEKKESGDYQEIYGIGNSVEGDADANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVNNKAEEQQQPSPDSPIKVNSKAEEHQQQPSQSLPIPHREEVLPDSITPSEWRGQITSQNLTTSVRFKHRSSESAGRFKLVRLVNRTNPIPVELTGPVVVGEEPGGRSGERGRWRGDTPVAY >OGLUM03G10910.1 pep chromosome:ALNU02000000:3:8316969:8318030:-1 gene:OGLUM03G10910 transcript:OGLUM03G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQLNELHLPLIICSPVTDDQHRLASCLLSRTATSPLLLGVGRTLAAAERAGASPGLATVSAGKGRAVAEPLVVVAGPAHRVEACAVGVGLVEREGLVHRVEHRTHARNEGLLQRARLEGHSHFAAAVRLPVAALLVGGDNHLLVLLHHHLLLVVILLLRGLPVQVIRDLPLDTPSRSHSRFLLILLLLLHHHLHLKARVSRTRGAGGARPLRTLPHGSLPPSLLFTRPRAHHHHHHNATRLPKALTLEVQGGGYLAGRNGEGSARAAVRWRGGGGRGRRGSGGGAPEAADLGGAGELGDVAGGEEGNWGRRWGRLWGVWRAGRGGGEFGSLPFRVSLRICD >OGLUM03G10920.1 pep chromosome:ALNU02000000:3:8321894:8327432:-1 gene:OGLUM03G10920 transcript:OGLUM03G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFSFASPTEQLCSSFLLLLHVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >OGLUM03G10920.2 pep chromosome:ALNU02000000:3:8321894:8327432:-1 gene:OGLUM03G10920 transcript:OGLUM03G10920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFSFASPTEQLCSSFLLLLHVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDLTLCCHGGGGGGGRRLQMWPGLIQKSKDGGLDVIETYVFWDIHEPYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >OGLUM03G10920.3 pep chromosome:ALNU02000000:3:8321894:8326186:-1 gene:OGLUM03G10920 transcript:OGLUM03G10920.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MNLQRKKKKQYDFEGRKDLVRFVKAVADAGLYVHLRIGPYVCAEWNYGGFPVWLHFVPGIKFRTDNEAFKAEMQRFTEKVVDTMKGAGLYASQGGPIILSQIENEYGNIDSAYGAAGKAYMRWAAGMAVSLDTGVPWVMCQQSDAPDPLINTCNGFYCDQFTPNSKSKPKMWTENWSGWFLSFGGAVPYRPAEDLAFAVARFYQRGGTFQNYYMYHGGTNFGRSTGGPFIATSYDYDAPIDEYGMVRQPKWGHLRDVHKAIKLCEPALIAAEPSYSSLGQNTEATVYQTADNSICAAFLANVDAQSDKTVKFNGNTYKLPAWSVSILPDCKNVVLNTAQINSQVTTSEMRSLGSSIQDTDDSLITPELATAGWSYAIEPVGITKENALTKPGLMEQINTTADASDFLWYSTSIVVKGDEPYLNGSQSNLLVNSLGHVLQVYINGKLAGSAKGSASSSLISLQTPVTLVPGKNKIDLLSTTVGLSNYGAFFDLVGAGVTGPVKLSGPNGALNLSSTDWTYQIGLRGEDLHLYNPSEASPEWVSDNAYPTNQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGAYSSNKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSMISFTTRQTSSICAHVSEMHPAQIDSWISPQQTSQTPGPALRLECPREGQVISNIKFASFGTPSGTCGNYNHGECSSSQALAVVQEACVGMTNCSVPVSSNNFGDPCSGVTKSLVVEAACS >OGLUM03G10920.4 pep chromosome:ALNU02000000:3:8326690:8327432:-1 gene:OGLUM03G10920 transcript:OGLUM03G10920.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFSFASPTEQLCSSFLLLLHVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDLTLCCHGGGGGGGRRLQMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQARTPLSTAT >OGLUM03G10920.5 pep chromosome:ALNU02000000:3:8326690:8327432:-1 gene:OGLUM03G10920 transcript:OGLUM03G10920.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 8 [Source:Projected from Arabidopsis thaliana (AT2G28470) TAIR;Acc:AT2G28470] MHHHPHPRERDTAAFAPAAPLASLVAAAECVPFISVPPRHSNQQLLFFFFFFFFFSFASPTEQLCSSFLLLLHVIVRTSAGDNRTTPNGAAAAVMAAATVGVLLRLLLLPVVVVVSLLVGASRAANVTYDHRAVVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKSKDGGLDVIETYVFWDIHEPVRGQARTPLSTAT >OGLUM03G10930.1 pep chromosome:ALNU02000000:3:8331674:8337437:-1 gene:OGLUM03G10930 transcript:OGLUM03G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3353) [Source:Projected from Arabidopsis thaliana (AT3G51140) TAIR;Acc:AT3G51140] MSGYFEGVECTLGTCLFVGLQQGTCTKYSTPFCYAPRNTRITPLATASFGDTADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNFLTNKYAGHKPSVDAIESAHDRIIMQSFSDRKKPKVDLKKKYRELTQSRPVKAIQGRFQTPSSKVIWQTAITFVLLGVLTLVFPTEEGPTLQVAISCAANIYFIYQRLKSGWRTFFYGFGSFFASWFLATFLMVSVIPPILPGPRNLEIPY >OGLUM03G10940.1 pep chromosome:ALNU02000000:3:8337798:8342869:1 gene:OGLUM03G10940 transcript:OGLUM03G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVTSSVGEPNQDNEPRDSSIELPRVITDMETSAMHDPEAQKLIGHEADTELTPYEGMEFESEDAARDFYSKYARHAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRDCENLHVDQKQQARVGTRVGCKAMLMIKKFGPDKWVVTKFIKIHNHGPVPPRKPHAGEHHDCDLMENPHSIEVDPIDEPVEGMEFESEEAAKLFYINYARVNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRARKDITDGGKTTKRPRMITRVGCKAMIVVKRMNSGKWMVSKFEKEHNHSLLSSRAVPITSNDASREVIDFAATSNDPNEVKAEGCSTGIQCNSTDSLTVLYNHLCQEAIKFAKEGSVTEEIYHVAMNALKEAAEKVFEVKRSHPTMSQSKHEVMQMETMSASQCSNDDKQKTMTPQLKFLQEPSPSLVLIPTNLLTHSSSNCADNIPLSCDLTINESNWRFCSRFPYLITIYQWILIDCYLPLECSPDIFPFSWNADGQYRLLAAPIEAVPISYRPAEPIQQPHGSFPNSGPLPGFLPKLYKRGKGPNSLVHATALACGARVVPPEEAASLIKAIESKIRSGGATIAKLPSSSLTPLIPEVASMSSSSEDDEENDHSEPLMASVEHNCHDQSSEEMKLEADPPSELETEAENCSAQPENENNGPTHC >OGLUM03G10950.1 pep chromosome:ALNU02000000:3:8338537:8344567:-1 gene:OGLUM03G10950 transcript:OGLUM03G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRRYEIGTASIGAANNLYWPSAFQENGKMSGEHSNGSPINEINKILERNFPTINLIPLQA >OGLUM03G10960.1 pep chromosome:ALNU02000000:3:8344606:8349326:-1 gene:OGLUM03G10960 transcript:OGLUM03G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPNGLARIETHGAKTKKHENGICHDDSSAPVRAQTIDELHSLQRKRSAPTTPIKDGASSPFAAALSEEERQRQQLQSISASLASLTRETGPKVVRGDPARKGEAAAKGAPSPHPQPVHHHHPHVTPTIAVSDSSLKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRIVKDEASAQELWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDTENRIKVRIISARAYHSLFMHNMCIRPTEEELEEFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPRRGILSLHSGCNMGKQGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDTGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLPQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIIDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKGAYKETLLKLAGLFKKNFEVFANYKIGGDSSLTDKILAAGPNF >OGLUM03G10970.1 pep chromosome:ALNU02000000:3:8355104:8360684:-1 gene:OGLUM03G10970 transcript:OGLUM03G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRRIQSKEDKSGTSDPEATKQKGLRTLFLLIAWEIWKERIARIFRAKKATGNRWSSRLKSGAITTIKYKHHKPSKKDDKYRANLALVSRRLAIRQHHLDLMGTEEYSFTLSSTQTSEQVSKAVTHFTSPRLKGPARGRHHRTRPAIEKKAGLILASLQFTTA >OGLUM03G10980.1 pep chromosome:ALNU02000000:3:8363038:8363712:-1 gene:OGLUM03G10980 transcript:OGLUM03G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQHPCAHRDPGGVEMGVPAVYPPLPNPNGQAMDQERLGGGGPNHWVGNDANTLLVVATLITTLTYQLGTNIPGGYWQDTKDDHRAGDPIMRDLHRPRYWVFMGASWMGFASSMVMTLSLLVRMPVDSRNVRWSFAVAYSTLVLTFIVSQPRTHLSLDILVWVAVLAFLWFTISLRPERRAKIAQAICCGHNS >OGLUM03G10990.1 pep chromosome:ALNU02000000:3:8368960:8369595:1 gene:OGLUM03G10990 transcript:OGLUM03G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVEDDGARSFNLHVRIERPKYERPIYEGSEIRVSSDVWPSRCVLHAYVGGEHLGWCRLPEGRDHPLRVEGWSAAFELPLQREHWGRLSLDLEVERRDVYYDEDDGVVRVFHREDPQTSSRTAVIGRARVALVDALLRDGDEDEDEDRGRKRREKDGLPALVKGTREFGEWVKLQGWRFPARGPPANVVRGRLAVHMSLEARRGRGAFVV >OGLUM03G11000.1 pep chromosome:ALNU02000000:3:8373989:8378997:-1 gene:OGLUM03G11000 transcript:OGLUM03G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIS HF [Source:Projected from Arabidopsis thaliana (AT4G26900) TAIR;Acc:AT4G26900] MAAATSINAVPCSAGRPKRRSQRRGASTVAVRASGDASTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLTRSGMADALREYIRRDRPFLGICLGLQLLFDSSEENGLISGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLKGAEGHHVYFVHSYHALPVRNSSFLDALSLVDLWNSDENKEWISSVCNYGESFISSISMGNIQAVQFHPEKSGATGLSILKNFLSPNSSGSKVPSRRKASNLAKRVIACLDVRSNDSGDLVVTKGDQYDVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSNAVFAAEAYLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKNPEEVQFKTVKVSNKGPLGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDDAVTIPVIASSGAGIVEHFSEVFEKTNASAALAAGIFHRKEVPISAVKEHLVDAGVEVRV >OGLUM03G11010.1 pep chromosome:ALNU02000000:3:8383908:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDAT >OGLUM03G11010.2 pep chromosome:ALNU02000000:3:8383908:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDAT >OGLUM03G11010.3 pep chromosome:ALNU02000000:3:8384008:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQVLKSGHLLLSSKGIGWTTWKKRWFILTRASLVFFRSDPNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKYVLREMPSSPVPAPCCTALVGAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRMSQSALAACMAPLLLRPLLLGECEIDSDFSMAGDGSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAVREDTCQIESNDPSNRKQELYESNGSTDQIEKLNVRSSSARAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILASEMQNLDLARPPGPPAPAPKRDST >OGLUM03G11010.4 pep chromosome:ALNU02000000:3:8383908:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGTRAKFMEKSSSSRNKSKKTLWGRTSARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDAT >OGLUM03G11010.5 pep chromosome:ALNU02000000:3:8383908:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDAT >OGLUM03G11010.6 pep chromosome:ALNU02000000:3:8383908:8395475:-1 gene:OGLUM03G11010 transcript:OGLUM03G11010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVLPHLEKTMSASEFRIPYQQVSSSQTTENAGQFKICRCGEGDPNTNTSETGDKSPTSCPNCQNAPPRGNEPIVTLGGIDLNNSGSVVVKEDKKLLTVLFPDGRDGRTFTLKAETTEELNEWRSALENALAQAPVVANTVGQNPIFSTDIAEPAEAPAEQSDNKSIIGRPAEFALVDADGSPAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRVRDYEKGKNEFSPEEDAHVIGDCIKDLEDGSYSSDAYTESEDGDFDKEYSTDNDGPEEDDSYDSGEDNIEEDMDDDTEHSSGGSECDNNIKTSVSDDKVKSNNSGTASNGNDQGLQPPKKAARTGHGAARKDLSAEEIDYCSDDETLIEKLENSKTDLQSKITKEVENLRDQLQKERNLRVSLESGLMNLRRGQASFPSTIDNKTKADLEEVATAEADILNLKQKGSDLRGQLNNQVQMSSASLCDSCNKRLLNTDKLTEGEQSTTSSNVGPNSISDMVSATHMDSGAPSALAKLTNRLNFLKERRAILAKLRFGSPARASSSSSEERLHMRSENFNMASLSGYGTLHCLVSNVSNAHMMDAT >OGLUM03G11020.1 pep chromosome:ALNU02000000:3:8396963:8400781:-1 gene:OGLUM03G11020 transcript:OGLUM03G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSDPQANGDHRPPAAEMEDTGVKVEEADAGETMEGVASIALLPSGAISGHFIRLPDSVCYGLHGTPISCERECSRGEDYRLIKLSIIDFKNKREKVAVVECRGHDAARLQNIDHLHGWEDDIVGLVEKKHGNRKFSISFECETLKADKAADEHISKYMPNLSGMDAIVNIGKMSISGINLDEDDEPSGDN >OGLUM03G11030.1 pep chromosome:ALNU02000000:3:8403018:8403218:1 gene:OGLUM03G11030 transcript:OGLUM03G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQLSNYNIHEYTRCRVQRMPSARTVPLATAFADGKKQLDVAKRHAVVYSLYAPKAKSIMEMKLQ >OGLUM03G11040.1 pep chromosome:ALNU02000000:3:8404168:8405317:-1 gene:OGLUM03G11040 transcript:OGLUM03G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTGKLKTLLVLAISRIAAVRRPRLARKSIATDDVRQLLTLGHLDRAIHRAEQVIGEGNMLEAFEMIEMYYKRLIENAAKLDKPGECTEEIREAAAAVMLVAGWCGELPELPFARTILADKFGSDFAEAAKDGTGIVDPMLVWRFSGN >OGLUM03G11050.1 pep chromosome:ALNU02000000:3:8406039:8407240:-1 gene:OGLUM03G11050 transcript:OGLUM03G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAATANIVSASPLQVRQHNDGNDVPAHLQPEGTDDPWSDLVVYLPSWPVSTTAPPSLALSARRPSSSLGQVAQALHGLVTQGEMGVVFDESDEEV >OGLUM03G11060.1 pep chromosome:ALNU02000000:3:8412928:8413790:-1 gene:OGLUM03G11060 transcript:OGLUM03G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHRKTSKQTSKLKTLLGLAVLRIATARRPHLARKSIATDDVRQLLTLDHLDRAIHRAEQVIAEDNMLEAFEMIEMYCKRLIEHAAKLDKPGECTDEIREAAASVMFAAGWCSELPELLFARTILADKFGSDFTEAAKDGTGIVDPMLVWKLSSDAKSMELKRKVTKEIAMENNIIVDFSELQDAIKDEED >OGLUM03G11070.1 pep chromosome:ALNU02000000:3:8414951:8417116:-1 gene:OGLUM03G11070 transcript:OGLUM03G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGGKSASSKQTAKLKSLIKLAAARVAVARRPRLGRRSIARGDVAQLLSIGHLDRALLRAEQVIDEDNMLEVLDIVELYCKILIEQATQLDKPKYQAFVIFGYRECGEEIKEAAAGLMFASARCGDLPELLDARAILADKFGRDFAAAAKDGAHGVVDPTLVRKLSGAPASTEQKQRLTKVIAAENDILLEFPENTGDTDQGKQNEQAKNVRAEQFVEQNEVKREHHEVQGRQRFVDEEVNPRLARLSVQEQYADARMAAEAAFKSASFAAMAARAAVELSRSESQGKGSRGGGGGGYEKVHPVQNSVAGEKEAPPSWKPHKPPSPSPSPSLSDRSTATSVGSEGTQKGKGVVFDGSDEEVDDVAWTPQLRRPPYRRASTMGIGGGAWNGEAGRVGADPQPFQDGVYNNSQHPRPPHRRHASELGAGAGAPREPLVSLAPQRGQYRDPPYRRDPAAYRDINAGAAQRRQPDGAGARPYESSDYVHPPYARIVSALERSNEHIARHEEVRRIGTGERVLQERVYGAGGAPGRAPLNQEQGRLNSVRTRR >OGLUM03G11080.1 pep chromosome:ALNU02000000:3:8418612:8419067:1 gene:OGLUM03G11080 transcript:OGLUM03G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXGRWWPEHAGTLRLPLASSSDPLGVGRRWWRGRRPDLAPCPDPARPRVGTESDRRAGGVNIAGILGVQVTFGGSRRGCYGESLAPLSVLATATPLGAVHFLEGVATGALVQLHFKSFLRVKT >OGLUM03G11090.1 pep chromosome:ALNU02000000:3:8422049:8427146:1 gene:OGLUM03G11090 transcript:OGLUM03G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDDGEHEGGREGCSSAAVRRQVETDRSRIEGVAFDVLVVGELEQATRRHGGGAVEAEVCSGEAFEGELVFASRWCHQLDAVEDSEYKEAGDGPDDHHGYRDA >OGLUM03G11090.2 pep chromosome:ALNU02000000:3:8422049:8424621:1 gene:OGLUM03G11090 transcript:OGLUM03G11090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNDCLHQFTNDAVLEEMKKAYMVEQESKEKNQ >OGLUM03G11100.1 pep chromosome:ALNU02000000:3:8422934:8429306:-1 gene:OGLUM03G11100 transcript:OGLUM03G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNSASSLLLLLKLSHLAIVAALADGAGFTFNGFSAANLSLDGMAAVAPGGLLMLTNGFTVMKGHASYPTPLRFHGSHDGRSAAVMSFSTAFVFAIVGQYADVSSQGMAFFISPSKNLSTALPGHFLGLVNAEDNGNASNHLFAVELDTVLNGEFQDIDDNHVGVDINSLTSIEAATAGYYDDEDMGLFRNLSLISRKAMQVWIDYDGLTMELNVTMAPVEITKPKKPLISTIVNLSAVVTEPAYVGFSSSTGIIFSHHYVLGWSFKMNGTAPPLNISILPALPLMISERRSQVLVIVLPIVSLVLVLASAAMAIAVAKQRAKFAELREDWEVPFGTHRFSYKDLFYATEGFKESQLLGMGGFGKVYMGMLPKSKMMVGVKRISHESRQGMKEFVAEVVSLGCLRHRNAVQLLGYCRRKGELLLVYDYMPMGSLDKLQIIKGVASGLLYLHEDWEKVVIHRDIKASNVLFDAEMNGRLGDFGLARLYDHGTDPNTTHVVGTMGYLAPELGHRAKATPYTDVFAFGAFLLEVTCGRRPVEQEAPMVLVDWVLDYWRSGSIMETVDPRLRNGYAEEEVELVLKLGLLCSHPLASARPSMRQVVQYLNGDSDFPELRAAQMGFSMATLLKNKGLNPDAMSYAMTSSSSIGTMSSTLSGGRPISSFLVLTVFHCIKLVAPSASENQFAFEGFAGANLSLDGAAAVTPSGLLKLTNDKHIKGHAFYPTPVSFHLTPNSSATASFSATFVFAIVSEHAELSDHGLAFLVAPSKNLSATTGAQYLGLMNISDNGKASNHAFAVELNTVLSPELHDIDSNHVGIDVNSLQFIQSHTAGYYDDSTGAFMNLTLISHKAMQVWVDYNGQAMVLNVTLAPLGVSKPKKPLLPTGLDLSRVVEDIAYIGFSSATGLSIAYHYVLGWSFSLNGAAPALNPSKLPVLPKLEQRHHRSEILVVVLPIATAALVIGLLLVGFMIVKRWFRHAELREDWEVEFGPQRFSYKDLFDATQGFGSKRLLGIGGFGRVYRGVLSVSNSNSEIAVKRVSHDSRQEVKEFIAEVVSMGHLRHKNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLHDKNNPVLDWNLRFHIIKGIASGLLYLHEEWEQVVVHRDIKANNVLLNNEMNGCLGDFGLARLYDHGTNPRTTHIVACGRRPLEHDLQDNQVVLLDWVLENWNRGQILDVVDPRLSSEYVAEEANLVLKLGLLCLQPLPSARPSMRQVLQYLNGTVLAPEMLPTDLDYDTLIMKGLSHTPCWKHPHWQQQLALGLIFQEEDDEDLWFTIASVFSSS >OGLUM03G11110.1 pep chromosome:ALNU02000000:3:8427322:8427746:1 gene:OGLUM03G11110 transcript:OGLUM03G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDDSRHAEAHLRCPQFREIAVAVEVLHNLTHARPCTSQWMRAKQAKLEHKLNLLLGIAISEPWINFEHPIDKHHRSFLLNRPTPTCNLEKECAKCEYICIWCSFGAVSKLRS >OGLUM03G11120.1 pep chromosome:ALNU02000000:3:8437572:8438660:1 gene:OGLUM03G11120 transcript:OGLUM03G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALHVGEPRVSFQQQQQQQVGKNGQQGHGHGAVVEEIHGLIKVYRDGFVERIPAIPDVPCTWGTTASVPGVVIARDAVVDRATGVWARLYAPAAAAAAGRVPVVVYFHGGGFCVGSAAWSCYHEFLAKLAARAGCAVMSVDYRLAPENRLPAAFDDGVTAVRWLRQQAAISSAADELSWWRGRCRFDRVFLAGDSAGATIAFHVAARLGHGQLGALTPLDVKGAILIQPFFGGETRTASEKTMPQPPGSALTLSTSDTYWRMSLPAGATRDHPWCNPVTGRGAPRLDSLPLPDFLVCISEQDILRDRNLELCRALRRAGHSVEQATYGGVGHAFQVLNNYHLSQPRTQEMLAHIKAFVRAR >OGLUM03G11130.1 pep chromosome:ALNU02000000:3:8506229:8507184:1 gene:OGLUM03G11130 transcript:OGLUM03G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAQGLALGLLLVCLLVGSDVAAAATYNVDWSFGADSWSKGKNFRAGDVLVFSYDPSVHNVVAVDAGGYSGCRESGTKYSSGNDRITLGRGTSYFICSFSGHCGAGMKMAVTAS >OGLUM03G11140.1 pep chromosome:ALNU02000000:3:8517295:8518257:1 gene:OGLUM03G11140 transcript:OGLUM03G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVCSRDDESVPTSFHRLRLRFDLRGRHEIGASGRRKSREVEREDHSVGERVGVIDSGDMKPPAAAAAGNRAGGVDPSIPRFKCQECHRALVVVGVDSFAADKLPAQATSGHVSSVHGSIMGASRMDNSYVVLSKQNKSHGHGIPPRPPSAAAPHIEPNQPTRAMEGSYIVLPPAAASIYKTSTSEGGGAQLPPPSINSSSLLTGNSFHSNVTVLKRAFEIATSQTQVEQPMCLGCMRLLSDKMDKEIEDVNADIKAYEVCLQHLEQESYNVLSDAGFQEEKLKIEEEEKKLNAAIEEAEKQYSEISSEMKDLEIKSK >OGLUM03G11150.1 pep chromosome:ALNU02000000:3:8519427:8520715:-1 gene:OGLUM03G11150 transcript:OGLUM03G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKANLADLKSHGRRERSRGREAAVSQACELQNNGGHDCSLHSEATCWSLRLQGFGSEGGWSHSHAMSRGPWTRSYATCTAPGAAALVLVPFDGVAKAVDRSETVFCRRPTIRTHPQVFKWTFTAKNTAHLYSEVSLTVKKCFQNGRTVRLHIENENYKHMYIQLY >OGLUM03G11160.1 pep chromosome:ALNU02000000:3:8527047:8528738:1 gene:OGLUM03G11160 transcript:OGLUM03G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLGNCIHHPVLLLVILACAGIAPASGAGGRWDVLQRSIGVSAMHMQLLHNDRVIIFDRTDFGLSNLSLPDGRCRRNPRERVVPMDCTAHSAEYDVASNTFRPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNVRTMAACEAGDDTCDWDETQDALAANRWYATNQILPDGRAFIVGGRRQFTYEFYPTADSSGASAISLPFLVQTKDPEENNLYPFVHLNIDGNLFIFAKNRAILFDYKKNKVVRTYPELAGGDPRNYPSSGSSVLLPLKPSPTEAEVLVCGGAPAGSYTSTKDGTFSSALVTCGRIKITDTAPAWVIETMPSPRVMGDMILLPNGAEVVIINGAMDGTAGWESAKTPAYAPVIYRPDHSPGDRFEEQSSTDIARLYHSSAVLLRDGRLLVGGSNPHIYYNFSNVQYPTELSLEAYSPEYLDPSNDALRPTIVDPSPNGAAVSVTYGASLTLQFAVPAARRARGGGGGGIGLVSVTMVAPSFTTHSFAMNQRLLLLDAVKTAALARASTYQTSVVMPATAALAPPGYYMVFVVNGHIPSEGIWVHIQ >OGLUM03G11170.1 pep chromosome:ALNU02000000:3:8529323:8529859:-1 gene:OGLUM03G11170 transcript:OGLUM03G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAPACLTASHSSSFTSLPALSWWLRRPEVEEAGQWRAAATVEEEGDSGEEAERQWRRRSSGGGGGRGGEAASNGFLAAAEEAEWRRWRPSNSEGAPRHGGYEGGGPHDGERRTGGRDEEQTRGGGLGRRGEKIERRWRRKKMTGQPCWRAIKWQKNKKLSISNGKKLNTILRGVFG >OGLUM03G11180.1 pep chromosome:ALNU02000000:3:8542009:8549216:1 gene:OGLUM03G11180 transcript:OGLUM03G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRHRHLLLLVAAVAAAASALLPCASAVRPFVLVLSRDDFLKDTAGAHPSLPSADADSDEWDDFDDESPATDPLLSPSSWVPLLDPTSASPSGDEPDSPSDALFVAGVRAMLSAASAGDDAAFATAAAQIEAAATGGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMALAYSFFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPVRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNTVAMHKLGLLYYYGLRGVRRDYGKAYHWFSKAVEKGDTRAMELLGEIYARGAGVERNYTEAYKWLTLAAKQQQYSAYNGLGYLYVKGYGVEKKNLTKAKEFFEIAAEHKEHGGYYNLGVLYLKGIGVKRDVMTACNFFLRAVNAGQPKAIYQVAKLFQKGVGLKRNLQMAAVMYKSVAERGPWSSLSRWALESYLKGDIGKALLLYSRMADLGYEVAQSNAAWILDRYGDESICMGESGFCTDMERHLRAHALWWQASEQGNEHAALLIGDAYYYGRGVGRDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVEVDPAAKLPVMLALTSLWIRKNYDGSFLVHFIDSLPEVYPVVEEWVEDVLMDEGNATIFTLFACLVTVLYLRERQRRQAAAANPQQPDGAPN >OGLUM03G11190.1 pep chromosome:ALNU02000000:3:8550134:8551577:-1 gene:OGLUM03G11190 transcript:OGLUM03G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQELLLQEVPQPRRTGAALIVGATGYIGRFVAEACLDSGRDTFILVRPGNACPARAASVDALRQKGAVVIEGCVGGKEGRKSVEAALRARGVEVVISVMGGASILDQLGLIEAIRAAGTVKRFLPSEFGHDVDRARPVGAGLRFYEEKRLVRRAAEASGVPYTFICCNSIAGWPYHDSTHPSELPPPLDRFQIYGDGDVRAFFVAGSDIGKFTIRAAYDARSINKIVHFRPACNLLSTNEMASLWESKIGRTLPRVTLTEEDLIAMAADDIIPESIVASLTHDIFINGCQTHFYIDGPRDIEISSLYPDIPFRTIDECFDDYIHVLNLAEEAKEEEEKKNAPTVGRLAIPPTCA >OGLUM03G11200.1 pep chromosome:ALNU02000000:3:8552359:8554700:1 gene:OGLUM03G11200 transcript:OGLUM03G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPTPPPFPLAREHQISGGERGPRLARERKASGVEDADLREDADGEDHHPRGGEQRRRRQRQGQDPGQGRYPAGPAAPHLRREAAGGWPHAGRLQHPEGVHASPGASPTRRPHLDRALAQGPRLQAQHQQDGAQGHWHHKGTKSSLTVLCNSASQGYKLPQEEVWPQQSAEAKEGEVVQVLQLLKSHLIHHSISIVRVTSVQALSKLKFLLDAESRLLL >OGLUM03G11210.1 pep chromosome:ALNU02000000:3:8557196:8558382:1 gene:OGLUM03G11210 transcript:OGLUM03G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIHPRDQRFVFNARQLDDNQSLADCNITHNSTIHFVFGIPCFYATPAYEQFNRLPRSESSDSSSTSKGDRSDSSSTSKRDIAPANVKTVHCPECQVQANVYYCNTEEDNEGCVFYRCPYFSVSDFPIVLLMLMFIIKPNLKLN >OGLUM03G11220.1 pep chromosome:ALNU02000000:3:8559820:8562930:-1 gene:OGLUM03G11220 transcript:OGLUM03G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPSVSLRRDGAAGEEEAAPDPAALARWARAFCVIRFDLERGQLVEACYPPGALASATGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRVPDPSPSASVPGSRRGFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALSMVASHVAAWPAPVPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPPQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVSVGSPNPNSTRVLPVGGQSHGSGNGINGTPGKLKLDKLAINKFSPTGLLNSIKLRREGPLCLMTEHKEALWSTYVSTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGAGKFLSKRMKSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDIEKLMSKLSELERIDSFNAIERYLLREMENSRTGATESVTVCEKLKGDLRAAFSVLPKDMQQLLLSNPKRALLLQGSNEKIPGLDGIVSQTSL >OGLUM03G11230.1 pep chromosome:ALNU02000000:3:8568581:8572804:1 gene:OGLUM03G11230 transcript:OGLUM03G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAASRFAAALFHRRTRRVTSALAYAVLEWTLIALLLINGLFSYAIARFAAYFGLRPSCLLCSRVDRLFEKATEEEDGARWLRSVLCGAHAAEISGLGYCLHHGRLADAGDMCEACLSSSKEESIKDAGEESATLCSCCYAVVKTSSRELPDKGQGQSEEKTTEEDRNQGYVPLAQEEHGEEEDQGYVILAQEEHDEEDEEEHGEFQEEERQEEVDDKDEQEEKMAAVQDESLEVMAVGEEIELDGERLVSVAAIDEMTIADKSGLHQACCEKEKEMDHIDGEHELRDLDIGVVLEEKRMLDSSAATADVAIEDDFVVPVPCAEPVTSPPDPHENIIPHDDELVIEDVAQIGDATAEEETVEEEEVETAEEEEIVVPEVIEEVSEDDNQLSSLVIWYASLIVFLSVTEAVPEDDNRSAEVDTNCEVSIGSEICEREQDDHVVPFHESAEFEEPTDPLAYPDEQPLPLESLHETDPTAQEASETEQEEEATASQRLDQPPNEQNEVEDDKAPETPTYSVATQISDKKFLLERKRSLSLSLDGSVASEMELSEPSTVDQLKSALQAERKALSALYSELEEERSAAAIATNQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELDMCRQKVLHYEDKERRRMASFKANGHSPNGNGTSVSSSGEDSDGHSNEYCELGESPDGSNLQSPSDAAFSPRTDQENKKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDINDTSAAVGRSSDEYELSADGLHSPGNGDITSDKAKFEGRNSICRGKSLLPLFDAAGDESSDQTPSARVGDVQVDNSTKPVSVLVKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDRGMDLLQEILQHLRELRSVELHVKHAGDALAANSA >OGLUM03G11240.1 pep chromosome:ALNU02000000:3:8572740:8573438:-1 gene:OGLUM03G11240 transcript:OGLUM03G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRKRPTQDPGGGGGIKYAGDEGRGEQWWARSSSIRGLRAAWYPNVDAARFAGMDAWEEKGRLLKLDGSRGFNSVQQKHKDQRIVANAIAIHHFRKDCFLHQIWL >OGLUM03G11240.2 pep chromosome:ALNU02000000:3:8573023:8573438:-1 gene:OGLUM03G11240 transcript:OGLUM03G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRKRPTQDPGGGGGIKYAGDEGRGEQWWARSSSIRGLRAAWYPNVDAARFAGMDAWFPRAEE >OGLUM03G11250.1 pep chromosome:ALNU02000000:3:8574138:8576174:1 gene:OGLUM03G11250 transcript:OGLUM03G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLLPIMATKSAKDLVGDSKALVAASDVVTGSAIAESYNDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQDDPSADSPKLQLEYSNGRVVTTSEAKVADAINAATAEIAGSGKGISDAPITLVVRKRGVPDLTLVDLPGITRVPVQGQPDDIYDQIAKIIKEYIAPKESIILNVLSATVDFPTCESIRMSQQVDRTGERTLAVVTKADKAPEGLLEKVTMDDVNIGLGYVCVRNRIGEETYDQARVEEERLFKYHPLLSKIDKSMVGIPVLAQRLMQIQATIIAKCLPDIVKQINDRLSRHSSELDQMPPDLNNVADAVRAFFHIVKQVCASLEKVLVRGEFDEFPDDRHFHGTARIAEKMDGYKRRLPAECPKSSDDDAMFLMEEVRVLEETKGINLPNFLPRSAFLVLLKKKVETVMHVPHELVNEVWGYVEDVVMNILLKHSENFPQVQPSCRRAVQTLMDKARARSAQHVKELIEMELVSDYTANQDYMRTWTEIMEGHDSFMEAVEDGSKPARITLEGFGEVDVSHLRAHAGLAGQAFDLRARLTAYWRSIVLRLVDGLALHVLRGVKRLVEHELEAELADELLGNKMAGVERMLAPSPSTGTKRERLKKSIVLLRQSKEVVANIMDRISAAGEV >OGLUM03G11260.1 pep chromosome:ALNU02000000:3:8582808:8587405:-1 gene:OGLUM03G11260 transcript:OGLUM03G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLRSPLVLLLLLALHRLCAPWLVVADDGASVARSAFSMDGDVAWVVQVSDLHISAYHPDRADDLASILGPALRAIRPHLLLVTGDITDAKNRRKTTSRQDEREWITYKKAIDAIVGIGGIDKSRIFDIRGNHDTYGVPYRGGKLDFFSTYSVNSQLDRLSTISSILLQGRRNYVFLGIDDTMSIGIRYPVNLFGHPTDKRIDAVNSELQYWSNHSNVPITKVVFGHYPMSFTTSSQKGQRYESIFAKQSISAYLCGHLHAKISKQLWRFHEMSATTQEQKSSYWEWELGDWKESRLMRILAIDGGAISFIDHTLKQAFQTSILITYPTDSRSMNTLESMNWSTRNDINVIRNVSARVFDSHNEFKIVEEIPLQLVATPSVHKPLFHAEWNAENYKSPSPTRYWLQVFAQDSQGGKTSSERRPFSVEGKVQIQSRPCLNYLIFEVQWEDMYQVLLWSNFAFIIVLLFAPKLLYHFMKKSLTYQRWTVSVMLSPIQPRKAYFWLVWFLMEGAWSRPIWFSLLIYVIWLIEMPWFWGHATSEKGEIAQMYLSGWSIPSLGEDLTWNKSSNPDVLVITLPFLYLVVVPVVVVIYSLFAEKAVACLRHRRRTENTVNPTNSNPESGYLLPSASVEPLRQENSLNGNEILWRLDKEGAPIVVLYHCGDTFEAFLKANVSLWSQTSSLFSSSNVDAVVIIKCYCLLYNAQHILVLSAIFEARIY >OGLUM03G11270.1 pep chromosome:ALNU02000000:3:8590687:8590947:-1 gene:OGLUM03G11270 transcript:OGLUM03G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRRQRPAAAAAGGLLGPWLLVAVLVYSSFLGSTVFLAVDAARTSAFVAVAPLPMAPSLSPTAAELVGDSKRKVPTGANPLHNR >OGLUM03G11280.1 pep chromosome:ALNU02000000:3:8606894:8609834:1 gene:OGLUM03G11280 transcript:OGLUM03G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHGDHRHHHHHQEAGVLVDEEEEEVIEQACGGPTSGVVEQEVGGDGGGVCQDAAGMVFEATSSVGSVSATMGPPPIMCWPPPAQPVHGAIHHHHNLGGGGGQQSPFFPLLPPLPPQPPPPPPFFADFYARRALQYAYDHSGGASSSSDPLGLGGLYMGHHGSHVAGMMMPPPFAPSPFGDLGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRTDLLPDLIRALKALRLRALKAEITTLGGRVKNVLVVTGDDSAAAAACAGTDGDGEQQEEAMQAPMSPQHTVASIQDALRAVMERTASATEESGGSGAGGGLKRQRTTSLSAILENRSI >OGLUM03G11290.1 pep chromosome:ALNU02000000:3:8649430:8651937:1 gene:OGLUM03G11290 transcript:OGLUM03G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRARRLLHGARPLRRHPQRRLPEHDVQPEPAELHRPGERGDVPGEQVQRRPDGVRHQGGHRDGRVRRQDPPQARRRPIASHHVSHIHTRYSESVIVQRSLEVIKPRGGVHRWPWRGGAATRTRHARACWQLDHLWFVGVGIGPRLLVRQWRWPLATRRSNIMLC >OGLUM03G11290.2 pep chromosome:ALNU02000000:3:8649430:8650628:1 gene:OGLUM03G11290 transcript:OGLUM03G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFLKLAPRCSVLLLLLLVTASRGLNIGDLLGSTPAKDQGCSRTCESQFCTIAPLLRYGKYCGILYSGCPGERPCDALDACCMVHDHCVDTHNGIISLSLSSLSEL >OGLUM03G11300.1 pep chromosome:ALNU02000000:3:8661769:8666195:1 gene:OGLUM03G11300 transcript:OGLUM03G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22080) TAIR;Acc:AT5G22080] MASTDADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSADEVKKQYRKLSLLVHPDKCKHPKAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSQVDEGKYEEQYERSEEFQKQLIIKVREILTDKEWRRRKMQMRISEEEGRLKKDEEETKEMWKKKREHEEKWEETRDQRVSSWRDFMKTGKKARKGEIKPPKLKTEDPNKSYVQRPVKRA >OGLUM03G11310.1 pep chromosome:ALNU02000000:3:8667486:8668070:-1 gene:OGLUM03G11310 transcript:OGLUM03G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGQVVTGCGHGPGGRPFGAVKGTPGWSLVMLVKPGFFQDETMPLLDVWQCDTMTAAKGRTAQRESSDTACRREQLEPLFFDEPFPTEIDGGSTMRVLENFRISQGAAATPD >OGLUM03G11320.1 pep chromosome:ALNU02000000:3:8677808:8678992:-1 gene:OGLUM03G11320 transcript:OGLUM03G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVFETAEQRLPCHATAAELGADGGKKVAVDDDASGGGPGQAVLLLQETDHGGDDDDRPERDDVWNMIQSQRPPVAAGKQQQAAAPYVHPLVRRSSSLLSQKSLEICTESLGSETGSDGFSDADGSTDRSCPASDDDSDGGAEEVAARTSPPRAFPPPLPSLARRTVGAVQMTQDRRDGRLVVKAVPVPSATLFRAQRCGGRLLLSFADTAAPSSDEDDENNDQEEPEQQADEVAHDEEEEEDDEDDEEEVEVVDRGTIVEVKVSTQPQARSNGGGSVGPRVHRSSLVINKFVNAEPAIAASDISDTAATAPNPPRRPTGSTTTAAAALVAASSLSATSAPSGGDNPGGESKLLMTTCRRRRSKEELMNHMRRCGQLSGKLFIWEPRIATSS >OGLUM03G11330.1 pep chromosome:ALNU02000000:3:8694385:8703244:-1 gene:OGLUM03G11330 transcript:OGLUM03G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHIFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >OGLUM03G11330.2 pep chromosome:ALNU02000000:3:8694385:8703244:-1 gene:OGLUM03G11330 transcript:OGLUM03G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHIFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDVRLQAIISLKHILTAIRAIPPAHADVLEKQKDILDTVMNIYIKTMREDDDKEVVAQACTSLADIVRDCGFAIIEPYITRLAEATLILLRQESCCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFTKLFDSLMKFAKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >OGLUM03G11330.3 pep chromosome:ALNU02000000:3:8694385:8703244:-1 gene:OGLUM03G11330 transcript:OGLUM03G11330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27640) TAIR;Acc:AT4G27640] MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLPPHAKASLKQALIDSITIDHSHLVRRASANVVSIIAKYAVPAGEWPELLPFIFQCSQSPQEDHREVALILFSSLTETIGTTFQSHLNDLQPILLKCLQDEASSRVRIAALKAVGSFIEYVNDGGDVVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVCSNQELEINIRQQAIQIISWLVKFKASFLKKHKLVIPILQVMCPLLTETADEDGDSDLAADRSAAEVIDTMAINLPRHIFPPVLEFASVSFRHINPKYREAAVTSLGVVSEGCCEHLKDKLEDCLKVVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNALEDPSDEVKEKSYYALAAFCEDMGENILPYLDPLMCRLVMSLQGSPRNLQETCMSAIGSVAAAAEQAFMPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARMETILPPFIEAAISGFVLDYSELREYTHGFFSNVAEILDDSFAQYLPHVVPLAFSSCNLDDGSAVDIDDADSVDNGFSGVSSDDDVNDEPRKSPHPPQDKTMVVATLAEVAQGMGAPISAYVDKIMPLVLKELASSEATNRRNAAFCVGEMCKNGGAAALKYYGDILHGLHRLFADSEPDDAVRDNAAGAIARMIMVQPQSIPLNQVLPVFIKALPLKEDHEESMVVYSCVCNLLLSSHPQILPLVPDVINAFAQVVVSPNESDEVKTVVAKAVSHLISVYGQQMQPILSALPPAHANALASFANRR >OGLUM03G11340.1 pep chromosome:ALNU02000000:3:8705774:8709843:1 gene:OGLUM03G11340 transcript:OGLUM03G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQQGECCRHGWLGVGEATVGGGGEEPFYVPLRKRLSVDGKASTAPRICIWECDGEAGDITCDIVAAPLRRSCSAKAMPPPAPLFRMMTPPPPRPQRGDGEEARRPGEAIRKGHRSYSLMLNLQLGISYSVGKSSALPFQKLAASDFDPREKVWTRFPPEGSKFTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPAEYMLAICGNDTLRELASPGKSGSCFFITQDDRFMIKTVKKSEVKVLIRMLRSYYEHVRQYKSTLLTRFYGTHCIKQAGCPKIHRRFDLKGSSHGRTIDKTERKIDETTTLKDLDLQYAFRLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNDYSVSKIGISQHIAFPKSTGKRKSFEGGSSFCELCFVESGCKDRDLIDSRKPFIQLGINMPAQAERSSKKILDNFLLNERHLFITPPSGGSCDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPDYISAVDPKLYSRRFQDFIRRVFIKEQ >OGLUM03G11350.1 pep chromosome:ALNU02000000:3:8715298:8719059:1 gene:OGLUM03G11350 transcript:OGLUM03G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of Y14-MAGO [Source:Projected from Arabidopsis thaliana (AT1G11400) TAIR;Acc:AT1G11400] MATASDGSGSGSGGEQRRLLSIPKEGERIIAPTRRPDGTLRKAIRIRAGYVPQEEVAIYQSKGAQMRKSGPDVPPGYDPALDAKPKTKAAKRNERRKEKRQQASTTNDKGKGLHIEDDAGETDNPKDAVDSVTKQISGIAISESPVVATSSTDATDNSKSESSAPDIDKKIRALKKKIRLAEAQVQGDPENLKPEQLEKMKKIEGWKEELKLLENKSSPAAS >OGLUM03G11360.1 pep chromosome:ALNU02000000:3:8720258:8724269:-1 gene:OGLUM03G11360 transcript:OGLUM03G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPAAPPSPSSSSGGSSRRRRRLERRNAAKHIGYDASNFCAYPQSPPAASAPASGSPSLACSPACSLDLTSFRIGGSGDGCRDVQLLCSSLGLSGVDDFAVPVADWEAHKAGRSSFSSSASTPKPREEPPARDSPVRREVAAEEEPPSLPAPAAAPVLPAKETPRSVAIEAPAPLLRVDPWEPARPDVRKASGEGGIKGVRPPPVVLKPPPSMVRPAVCVVESTWDILRSFAPEEDSHAHAPASRSGGDSACQDAGEEEDDAAAVLTLEELRLGETSEEFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGMLLGSGSFGTVFEGISDEGVFFAVKEVCLCDQGSNAQQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHERNIVHRDIKCANILVHANGSVKLADFGLAKEITKFNVLKSCKGTVYWMAPEVVNPKTTYGPEADIWSLGCTVLEMLTRQLPYPGLEWTQALYRIGKGEPPAIPNCLSRDARDFISQCVKPNPQDRPSAAKLLEHPFVNRSMRSIRSMRTSSRSNSSILVLLIMWSWPGRTIRYREAILSTAQSSTPTIAFHLLILSHSLLRGDMSWFSQRLIFSPENRLNVHSSA >OGLUM03G11370.1 pep chromosome:ALNU02000000:3:8728724:8742954:-1 gene:OGLUM03G11370 transcript:OGLUM03G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSADPEAPTPTPSPSPSPSPSPAKATPSPASADGNRLRRCVQSKLSWGPPKAGGGGGEAGGAGLPPLAAGDGTPEKVKKRGRPRKSEAGKKPSSNRDTTGLEQDSKDEVILVDESPQKKQRKGRGKNQGAALKVPNRKHCKALESTDGHESCQQLRSSQTQAVLPQKSPTSVDIDLVTGPSEASPVNDNVDALDNEDKPQLIVDLRSEANIAAEENRRLSSGKKMHPFFASRKIHKGAGQDILNVEDEDMDSLCAFERDPPLCPVHVLYELEVTMPIHWSNKWLIADKSFLGTSTTEQNSAEHADPGKHLANFHDKQNKSKFSSQDVIDVDDECLLASSSCFHASLFESKQHERVQHELPEVTPKGCQTANLWTDKYRPETAAQVCGNSEHVKFLNEWLKGWDERGHRNKQNIVTNGSMNGRSCQDGSDTDYSEDASDYENVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEIIGLPISNSLDPASGTPGTAEYKQVINKTLILFEDVDTVFDEDRGFISTILKMVETTKWPIILTSNKKDPPLPHLLAQLVLDFTYPSSAELLSHVDMICKSEGVEITVPQQKHIIDAFLGRLNKCLSCPSLLDLDAVHSTVPRIMPWDFPCKLSETIYMEIDKTIVTAEQKKKQMEVSEFEGLELQIMTPLTKGRSAGKTRKPKKSKLKHGRSADCNDASPCKNDLDDFHDSPDIPLPSNHQRMRNRRGVVLFAESDDDLADAHAAKDATFTVQEGRLLPQSSELPCLYGHGISNIVPESVFFQQSSVPHLHREVISNQLCFPSESRAFEPASSFQNQLESNMPGSISQICDTFMSQGISCVPESSFMVGGTSASISSDDLLSSLVSNGLSALRNESTYTASVVALEDTNKVENQMTDEPQKCMEDEVGETCEAYVELADRNDHASCSITGYQLMDECSRAESVWLLSGKKNNDSCKVEHVQDTWNRLRRCHPVLPCDMNHNRSISGALKRVSRVSDLISESDLMLISCHPFSNDISDPSLTPYTESDGFSYSKQLEMGSIYAQHGLCIFLQDSQATDDGFVDLLQELLFSGTTTTSLGKFVSSGISCGDGSGNISHVKYPTSCISKRRERQARLREVLLPVVPPKLSQSLRGPAFVDYLSSMSQISQLENMQLSECKASSKQRRCRQPRHYLSSAALSLSAEDIGLLAQCSTFSDRRESETIIEQAIS >OGLUM03G11380.1 pep chromosome:ALNU02000000:3:8743673:8746855:-1 gene:OGLUM03G11380 transcript:OGLUM03G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel cap-binding protein [Source:Projected from Arabidopsis thaliana (AT5G18110) TAIR;Acc:AT5G18110] MEPAAEKREAEQEELQQQHDEPAVPSADDDEAEAEENERRNRELKAGFHPLRRRFVLWYTRRTPGARSQSYEDNIKKIVDFSTVESFWVCYCHLTRPVSLPSPTDLHLFKEGIRPLWEDPANRSGGKWIIRFKKTVSGRFWEDLVLVLVGDQLDYSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASLRDNSSYRNTWLRG >OGLUM03G11390.1 pep chromosome:ALNU02000000:3:8752454:8755592:-1 gene:OGLUM03G11390 transcript:OGLUM03G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSLPGRRIDERSPPHVALPDPLSLPLPIGDLAAALEPSPVSPLPPSSPFPHGGVGADGAFELTPMSSLPFFLPLLSLLPHLVAAPPRAGRARRPSEPAQVLRSSFSLHLCRRRRLRRGRTDAAPVLAVVAVFPPFFSLPFCQRRQPSPFVSLLSVSQRRRPPATAVLCQHLLRPPPLCPSAPLFPPTQIYGAAPWSAAQFLAGSDSLTGGERRSGRPARMEEADWQKLAVEISIKPVPFKHPGPTSSAHVGSSAPGKADKKCALFYGVTISEEQARSGIVVRVNSATQSKFKLLFFEQEFDGGIQAEVNHMRQNGSLAFNESLVADSVTRHIVPGDETRENRLHQASVKVHSA >OGLUM03G11400.1 pep chromosome:ALNU02000000:3:8756286:8757353:1 gene:OGLUM03G11400 transcript:OGLUM03G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant (LEA) hydroxyproline-rich glycoprotein family [Source:Projected from Arabidopsis thaliana (AT2G01080) TAIR;Acc:AT2G01080] MTTRSNGEHHHGPPSYQQRRPHYGGGYGGGSASFRGCCCCIFLLLTFLVLLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVTPPSSAASAVGGTVAAAVPAAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAISDRVDLRITGDVGAKILVLGFSSPKVQSEEAVTDIQAMWRGWPKRLAAATNHHTIAATAAAAEEEEGCRRLNLMEIDKWM >OGLUM03G11410.1 pep chromosome:ALNU02000000:3:8760911:8761218:-1 gene:OGLUM03G11410 transcript:OGLUM03G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGAFDMWGKAADRKVSLSALKNTNSQHSLSWSHHKLQKAKGLQVMQIIGYAARIREQ >OGLUM03G11420.1 pep chromosome:ALNU02000000:3:8762672:8767580:1 gene:OGLUM03G11420 transcript:OGLUM03G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLFNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >OGLUM03G11420.2 pep chromosome:ALNU02000000:3:8762672:8767580:1 gene:OGLUM03G11420 transcript:OGLUM03G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGDAFGSATAPLAWHDFLERMRQPSAADFVKSIKGFIVTFSNRAPDPEHDSAAVQEFLENMEGAFRAHTPWAGSSEEELESADVKSDEELFEKMSLLQQFIRPENLDIKPEYQSETSWLLAQKELQKINMYKAPRDKLACILNCCKVINNLLLNASIVSNENPPGADEFLPVLIYVTIKRYRRQSRLVSEAQYFFTNILSAESFIWNIDGESLSMDERDFQKKMDLARERLLGLSASSENQDNQNNLDVREQKSQTLKASRDSDVNLSLKDNFQGPGLEMRRDSDASSNPVERVQSISDLEKKGAAELLKDDDLNKKIQEYPFLFARSGDLTVADVENLLNSYKQLVLKYVALSQGMGINLENPPVQSMQTVSDLVESEEPKNVKNAVNFSEGSSKTSDDIKNDTLYSEVDNTGTQQTAVDPSYQKAQQDEASDQPEHA >OGLUM03G11430.1 pep chromosome:ALNU02000000:3:8769246:8770022:-1 gene:OGLUM03G11430 transcript:OGLUM03G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNTSNKCIAAAGATAAAGLGGGTASCSGGGGDGKVTTAAAAALAVRPYKGVRMRSWGSWVSEIRAPHQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPSSASSRRRLDIHRGGTDSAAGDMSPRSIQRVAAAAAAAFDAAAAGVVVDESCSCSAEAMSSTPTSGATSLSTLGSSGGGDVLDHATTPSSSSSAAANVCSPPLEGDHELWTELDAFASPKFMDLMAAGGTAFSSPWEEPEEDGELMRLWSFC >OGLUM03G11440.1 pep chromosome:ALNU02000000:3:8774746:8775126:1 gene:OGLUM03G11440 transcript:OGLUM03G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERVVDDVPHQQHRALTDDVDTSSMSGRERESSWSGWRMLMDEAEESERPSMPIRRRRPVALEAPLTQQQGRGVAKQVCGTAKRCAATTNWLVGMVAGNNVALEKVAREAGVAMDALDRGLLLS >OGLUM03G11450.1 pep chromosome:ALNU02000000:3:8784422:8786161:1 gene:OGLUM03G11450 transcript:OGLUM03G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT4G08250) TAIR;Acc:AT4G08250] MEVTMEDVAGDFEFSGCGSTTTTSSASSLDDGTGMCYAWGELSPVADWANFCCSDDDGGHDLHGLIESMLCDDTLVGVDDDGQAGLHHADMFRDDLYCYGNGSNPSSTTTTNPGSPVFDDPTQGCPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKEMVSHTAGANAAASNMERLAAHFTDALQGLLDGSHPVGGSGRQAAAAASHHHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVSGDRRVHIVDYDIAEGIQWASLMQAMTSRADGVPAPHLRITAVSRSGGGGARAVQEAGRRLSAFAASIGQPFSFGQCRLDSDERFRPATVRMVKGEALVANCVLHQAAATTTIRRPTGSVASFLSGMAALGAKLVTVVEEEGEAEKDDDGDSAGDAAAAAAAGGFVWRFMEELHRYSAVWDSLEAGFPTQSRVRGLVERVILAPNIAGAVSRAYRGVDGEGRCGWGQWMRGSGFTAVPLSCFNHSQARLLLGLFNDGYTVEETGPNKIVLGWKARRLMSASVWAPPPLPVPSSPPEGVCQPVVGMAPVATGGFARTEFDYIDSFLVEPAYALV >OGLUM03G11460.1 pep chromosome:ALNU02000000:3:8789187:8796013:1 gene:OGLUM03G11460 transcript:OGLUM03G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDKVGCSPEPLPLDRLLAELAANAERLGRRWEAALRKRGREGARAAGVGLEEGRKADSAAMQLHTPLFYATCALGGLLSTGLTHLAVTPLDLVKCNMQVDPGKYRDISSGFGVLLQEQGLGGFFKGWMATLVGYSSQGACKFGFYEFFKKCYSDIAGPEHAEKWKTFIYLAASASAEMIADVALCPMEAVKVRVQTQPGFARCLTDGFPKIVQSEGFIKDYFLSGVAKFLIGPHLLKYTMVKFACFETIVELVYKHAVPKPKDECSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAKGGTMADAVRTLGVWGLLTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGFIPSPAATDLRQVDHEKRS >OGLUM03G11470.1 pep chromosome:ALNU02000000:3:8793405:8795936:-1 gene:OGLUM03G11470 transcript:OGLUM03G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G32600) TAIR;Acc:AT2G32600] MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGRYCLPPAKTLAFFFLDSVCSLALIPLDFVSSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKFAPRKSVKIGRPGYQVTKQYDPDMKQHSFLFEIGYPEIEENSKPRHRFMASYEQKVESWDKKYQYLLFAAEPYEIIGFKIPSAEIDKSADKFFNYWDPDKKQYILQLYFKTRQPEANKPPAAPGTLPNGSGGPPRPPPPQVPPPPPQAPPPPPPNAPMGMPPRIPPPPVGGTQPPPPPPPLANGPPRSIPPPPMTGGAMANFTPGAPPPRPPMQGFPGPQQ >OGLUM03G11480.1 pep chromosome:ALNU02000000:3:8797499:8799068:-1 gene:OGLUM03G11480 transcript:OGLUM03G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phosphotriesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59530) TAIR;Acc:AT3G59530] MEEKKQQQLRPQRGRDGILQYPHLFFAALALALLLTDPFHLGPLAGVDYRPVRHELAPYREVMARWPRDNGSRLRHGRLEFVGEVFGPESIEFDRHGRGPYAGLADGRVVRWMGEDAGWETFAVMSPDWSEKVCANGVESTTKKQHEMERRCGRPLGLRFHGETGELYVADAYYGLMSVGPNGGVATSLAREVGGSPVNFANDLDIHRNGSVFFTDTSTRYNRKDHLNVLLEGEGTGRLLRYDPETKAAHVVLSGLVFPNGVQISDDQQFLLFSETTNCRIMRYWLEGPRAGQVEVFADLPGFPDNVRLSSGGGGGRFWVAIDCCRTAAQEVFAKRPWLRTLYFKLPLTMRTLGKMVSMRMHTLVALLDGEGDVVEVLEDRGGEVMRLVSEVREVGRKLWIGTVAHNHIATIPYPLEEQSSSSSSNVLGD >OGLUM03G11490.1 pep chromosome:ALNU02000000:3:8800958:8804133:1 gene:OGLUM03G11490 transcript:OGLUM03G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVAHMGEAAAVEAAPEVLAHYLTSDLTIRSVKDVSFNGPARIREANAR >OGLUM03G11490.2 pep chromosome:ALNU02000000:3:8800998:8804133:1 gene:OGLUM03G11490 transcript:OGLUM03G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKRRKARDPLTGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVAHMGEAAAVEAAPEVLAHYLTSDLTIRSVKDVSFNGPARIREANAR >OGLUM03G11490.3 pep chromosome:ALNU02000000:3:8801073:8804133:1 gene:OGLUM03G11490 transcript:OGLUM03G11490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKDKRTIWRLSIISDFFRAIVNFIRMFFLTMFSTAIGKGMALVRNGMVGLVGEVLVVAHMGEAAAVEAAPEVLAHYLTSDLTIRSVKDVSFNGPARIREANAR >OGLUM03G11500.1 pep chromosome:ALNU02000000:3:8806395:8809811:1 gene:OGLUM03G11500 transcript:OGLUM03G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein 1 [Source:Projected from Arabidopsis thaliana (AT1G45976) TAIR;Acc:AT1G45976] MAFFSHHHLQQPHPQAPPPPPPQQQQQPVPPSFRNALPVPVDGQIPAPLPFFNPPPAFQDQPAQPPLVDAMGLTAAAGLGWRQPREQELLGENSQMSSIDFLQTGSAVSTGLALSLEDRRHGGGSGAGAGNSSGDSPLLLLPMLDDDISREVQRLDADMDRFIKAQSERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVENINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCVHQSKDFKEGCGDSEVDDTASCCNGGAANLQLMPKENGHSKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >OGLUM03G11510.1 pep chromosome:ALNU02000000:3:8812350:8814896:1 gene:OGLUM03G11510 transcript:OGLUM03G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASAAASAGRGARAEKVGRIFERFDANGDGGLDRDEMAALVVAVNPRVKFSEDQISAILDEVFRTYAEFILPGGRGLSLPGLLRTYDDGAGDVDRDFLALSLPPLESGESSPEIAAGDPAAASSPPSGAAAAASLLDDHIKPLGAAGGAPSSSSRAAAAAPAWATSPSHGIAFDSSWALLDDLEILVKRLRSKQLRRTSSIDTINGSGGAGNNNFDSFSEAGWSREISGQADSASTAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALSSFRRACELQPTDVRPHFRAGNCLYALGRHSEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAIGDDDRAVQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKPPKGKDDSQGEEAFVVVEPSKFKRVGRKTTLRQDLANALDIRAFERTTKLGRCDAELLRKEMNETDVPISYSGAGNPEKSIRKAALEVILHRLLSFLKPDTFQGSVKAINERILSVLDASGSGRVDLGMFFAIIAPICSGPVDKRKRVVFDALLWRPASEGSRGQIRRSDALSYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEDSDHIRHGRHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLDEYRFKEYGNESEALIDKCMCFNLHSKKLEADT >OGLUM03G11520.1 pep chromosome:ALNU02000000:3:8816311:8820562:-1 gene:OGLUM03G11520 transcript:OGLUM03G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAG1 homologue 2 [Source:Projected from Arabidopsis thaliana (AT3G19260) TAIR;Acc:AT3G19260] MAIRGPEASSFFPLTLVFSVGFFCARFFLDRLVYKPLAAYCFSSKASKLMNDEVRQAKIVKFSESIWKLTYYGSVQAWVLLIIKQEPWSLDTMQYFEGWPNQYMTSSLMLFYMCQCGFYIYSIFALVAWETRRKDFAVMMSHHVVTSVLIGYAYLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFALSWLLLRLIYFPFWIIKTSSYQSIISLRKLEKFPTTFYYIFNTMLLTLLVFHIYWWKLICLMIMKQLNNKGQVGEDVRSVYC >OGLUM03G11530.1 pep chromosome:ALNU02000000:3:8841090:8847544:1 gene:OGLUM03G11530 transcript:OGLUM03G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09010) TAIR;Acc:AT3G09010] MGVSASCLWGGSESRGNQNGSAAVTSPRSGQVISRAGSNVRVFSLKELKLATRNFHMMNCVGRGGFGAVYKGNLKDGTQIAIKKLSAESKQGANEFLTEINVISNVRHPNLVKLIGCCVEGTNRLLVYEYAENNSLAHALLGPRSRCIPLNWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDTITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLVLEVISGESSSKSTWGQDMNVLVEWTWKLREQGRLLEIVDPELEEYPEEEMLRFIKVALVCTQATSQQRPSMKQVVDMLSNPTEISLENLVAPGVLKEPRHHSSSSGLTPDTTSNRSTKANPADSYSTQTRDMNSYQLSTIEVSPR >OGLUM03G11540.1 pep chromosome:ALNU02000000:3:8846084:8850356:-1 gene:OGLUM03G11540 transcript:OGLUM03G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAAATFTPSRLAARPATPAAAAAAPVRARAAVAAGGRRRTSRRGGVRCSAGKPEASAVINGSAAARAAEEDRRRFFEAAERGSGKGNLVPMWECIVSDHLTPVLAYRCLVPEDNMETPSFLFESVEQGPEGTTNVGRYSMVGAHPVMEVVAKEHKVTIMDHEKGKVTEQVVDDPMQIPRSMMEGWHPQQIDQLPDSFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNLDRHATTEDAFQDGKSRLNLLLSKVHNSNVFYILFHFHYRPKLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQGKIINRPLAGTVRRGKTEKEDEMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGELDDHLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGDMLIALALRTIVFSTAPSHNTMYSYKDTERRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >OGLUM03G11550.1 pep chromosome:ALNU02000000:3:8850602:8852914:-1 gene:OGLUM03G11550 transcript:OGLUM03G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAIAFFPVIVLFISRRERDRERGWRTTKRTRAGREREVGDPPTVAVAVVPRARAREYASAQLRARWHGHRRGDGDETATAVHNALWCVVLCVSNVRCTGKFRREREWSGPVCPAPRAEPIAVPARPTRATDSANSPALWFDLKLNGLVYSGVFPRIFPVYNGTVSLSPSRKSKPKNPSR >OGLUM03G11560.1 pep chromosome:ALNU02000000:3:8856307:8857671:-1 gene:OGLUM03G11560 transcript:OGLUM03G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C2H2 type) family protein [Source:Projected from Arabidopsis thaliana (AT2G29660) TAIR;Acc:AT2G29660] MAGGGRCSSLLRGFVSLFFLLFIHIGHAGCCFSTGSSTQTLEEDDTGHGADGRGGGGGGSKRRKISPLAFSPSVLSSTVADERSRARRRQVSSLATSVRFYLHRIFSYSSGAKNGAGAPAAEEEDEAVTTTVSSPLAQSSSCLPQRQASSSVVLSTPSSPCASPFLSPLSPQSLSITPAVPSSPHNRQIPQATTRQSSFRSFAARGDVFPCKVCGEVLSKPQQLELHQAMKHSLSELSSLDSSMNIIRMIFLAGWKPAVMPGSGEPPSVRRILRIHHNPRVLTRFEEYRDLVRARAARRCEGAGAAAVEERCVADGNERLRFYCSTMLCTLGAGVCGSPYCCTCSILRHGFAGKQADVDGIATYSSGRAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGAADDKVAYDSMVPLLPTSSFAAATRGDDDVELLVFNPRAVLPCFVIIYSC >OGLUM03G11570.1 pep chromosome:ALNU02000000:3:8861540:8862985:-1 gene:OGLUM03G11570 transcript:OGLUM03G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09030) TAIR;Acc:AT3G09030] MEAAGAGGRRGTVKQLNVGGKLFALEASSLPISLSLSPSPNPIFVDRDPALLSAILSAIRAPSAAPAFPAGVLLDEAHSYGLHDQLLAALSPPPLLGFSASRASTLSPPSEPFPTALAPRHDGSLCLAHGAGLLTHYSPALEHLTTFRTHLHRITSLRQLPPGLAVAGSSLSPGLHVYDLLKGRHVASVQWSDPTDLRVQKAKVIAIAARPAADAADKNSPILATFECPHRENCILVFDPVTLKPIQEIGRQTGSAAKSSAPGRVVHLQELGLVFAASVSSGAFGYSGYMRLWDIRSGDVVWETSEPGGVGRSSRFGDPFADVDVDVKQQILYKVCSKSGDIGAADLRCLGKDPWVYMSSGPRGSGGGHGSVLHCYKSQVFVSRKDGLEVWSRLEEQSNGTANLAEQTRAKENINNEGINENCFRSCYVDTEEDADRGMIHIMEGGGDRLFVTREEMQGVEVWETSQLAGAISLSLSSLLV >OGLUM03G11580.1 pep chromosome:ALNU02000000:3:8863358:8871217:-1 gene:OGLUM03G11580 transcript:OGLUM03G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNRKIRFHKEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKFYKRQTP >OGLUM03G11580.2 pep chromosome:ALNU02000000:3:8863555:8871217:-1 gene:OGLUM03G11580 transcript:OGLUM03G11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNRKIRFHKEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKGYQSEHIGLSLAMKQVLVHEVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >OGLUM03G11580.3 pep chromosome:ALNU02000000:3:8863555:8871217:-1 gene:OGLUM03G11580 transcript:OGLUM03G11580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTGMVSFAPFTIVRSSAYTVVTSNLSNRKIRFHKEKIDLYIAQSMPSFILLNMRRLIEQSLPAECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >OGLUM03G11580.4 pep chromosome:ALNU02000000:3:8863555:8871217:-1 gene:OGLUM03G11580 transcript:OGLUM03G11580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04730) TAIR;Acc:AT1G04730] MEADMDMPDPEELEWMESHGLLPEEEEEYAYFDDPEDEGFLPAAAGADQPRRSPQETTAAPAKPADEVSEGNLKRPPPPPPPEQGEERSKRRNVDREDSGDGDEDWLRYSPPPVVEVVAEKTISRFASDIRGDCMSVTAPNGERVYAKVATDGLDGGGIGGTRQRTRISKPNFNYKGLLSESFHSLTSRAEQEALAKALQESAETQNLESCPVTPLVTEQLWVEKYSPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHVRATGDDVLSALRRHSSAIQKNSSNRNFFSKSKGGPGMSQDNMLQNAHGSNSEDLTSSFNKRPTTDNAPEQKVLLLCGPPGLGKTTLAHVAAKHCGYHVVEINASDDRSASSIEPKILDVIQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEINAEKNNSSNSSTSAEDTQVRKSSKKGHKIPKLMRPVICICNDLYAPALRQLRQVAKVHMFVQPTISRVVNRLKYICKKERFKTSPIALSALAEYTECDIRSCLNTLQFLNKKREALNISGFDSQVIGRKDMSKSIIDVWKQVLQKKKLKRIEKVDSNFSRGKDIDSLFSLISNRGDYDVTMDGIHENFLKLNYHDPMLQKTPPVAITISQLVAQVEKPNIEWPKSLQRCRTMLLEKKDKLKTWQNQMSPLISRHLSVESFVEDIASPFLHIISPSSLRPVALNLLSEREKDELVQLVDTMVSYSITYRNTKLEPQERISGSMVSPDVPSLSLDPAINDIINFKVEKQKIMKDSAGKLLNQANEGDKRNEVSVSEKKSALVSTKSTSKSNPTTLKMQLSSASSMSGKDPAPAKKHSNHGINFFDRFRKERPVDAKARNDAGQQVATTLRDSRPLIFKYNEGFTNAVKRPVKVRDLLL >OGLUM03G11590.1 pep chromosome:ALNU02000000:3:8871829:8872260:1 gene:OGLUM03G11590 transcript:OGLUM03G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVVEVGSNEWLEEHHGLQLLVLLPHRHVVDLWRHRPAPPPRSLPSCDYVAVAMAATHPKNERCVVPAAALDCAMELLSCSK >OGLUM03G11600.1 pep chromosome:ALNU02000000:3:8877102:8883123:1 gene:OGLUM03G11600 transcript:OGLUM03G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAAEAQPLLLQGDQVDAEWGCRPHRIVLFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSAVAKFKPDIIHATSPGVMVFGARFIAKMLSVPMVMSYHTHLPAYIPRYNLNWLLGPTWSLIRCLHRSADLTLVPSVAIAEDFETAKVVSANRVRLWNKGVDSESFHPKFRKHEMRIKLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGVRIAFVGDGPYRAELERMFTGMPAVFTGMLQGEELSQAYASGDLFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLSSKVLRESIGRAAREEMEKCDWRAASKTIRNEHYCTATLYWRKKMGRTN >OGLUM03G11610.1 pep chromosome:ALNU02000000:3:8882607:8884158:-1 gene:OGLUM03G11610 transcript:OGLUM03G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPKSEAKQDEEGSSAMGGGGGSSRSSKVYHERQRLQFCLLHALNNLMQEKESFTRAELDGIAGNLVQIDPNKEHWTPMSLIFKPHHNVFTGNYDVNVLITALEARKKKVIWHDHRKGASSIDLDADALFGLMINVPVRRFRGLWTGRHWVAIRSINGTWFNLDSDFSAPKEFQDKEKLIAFLDSILSQGGEVMIVLQDE >OGLUM03G11620.1 pep chromosome:ALNU02000000:3:8889640:8893106:1 gene:OGLUM03G11620 transcript:OGLUM03G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT2G39970) TAIR;Acc:AT2G39970] MSDALINGVAGAGGGIIAQLLTYPLQTVNARQQTERDPSKPAFKDGAVRQMCLVVKHEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRSRAEAAALQRSRRGIGDGSVGMLQSLTVAALSGCVNVLLTNPIWVIVTRMQTHRKANKQQSPLDLTCVLDKALQAPAVENIPHKTIHVIQDLYKEAGFLGFWKGVVPALIMVSNPAIQFMLYETLLKKLKKRRASNLKGADGLTALEIFLLGAVAKLGATVVTYPLLVVKARLQVKQIIDDDKRHRYKGTFDAITKMIRYEGLSGLYKGMSTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPLR >OGLUM03G11630.1 pep chromosome:ALNU02000000:3:8893415:8896130:1 gene:OGLUM03G11630 transcript:OGLUM03G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L4 [Source:Projected from Arabidopsis thaliana (AT1G07320) TAIR;Acc:AT1G07320] MAASSVASTLLLSLSSSSSPFLSPTSVSFLPSAAATASSSSPRVAVAAGKQKAAVSVLRALRAEAATLPVLSFTGEKVGEVALDLKSAPPSTARAVVHRAIITDRQNKRRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSMRTPLRPGGGVIFGPKPRDWSIKINRKEKRLAISTALASAAVADDAFVVEEFDEEFAAGPKTRDFVAALQRWGLDPKEKAMFFATDFADNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAINYLNSRYGATVFDEYEDDTNGEDDGEEEAEELQEGEGSAEEAAQDEAAETEADSNS >OGLUM03G11640.1 pep chromosome:ALNU02000000:3:8901955:8907839:1 gene:OGLUM03G11640 transcript:OGLUM03G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAGERRLGRAMSFGIPDVALGLVMGFVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPDRLFRRFPCLESLKLKAKPRAAMFNLIPEDWGGSASPWIRQLSASFHFLKALHLRRMIVSDDDLDVLVRAKAHMLSSFKLDRCSGFSTSSLALVARTCKKLETLFLEDSIIAEKENDEWIRELATNNNVLETLNFFLTDLRASPAYLTLLVRNCRRLKVLKISECFMLDLVDLFRTAEILQDFAGGSFDDQGQVEESRNYENYYFPPSLLRLSLLYMGTKEMQVLFPYGAALKKLDLQFTFLSTEDHCQLVQRCPNLEILEVRDVIGDRGLEVVAQTCKKLQRLRVERGDDDQGGLEDEHGMVTQVGLMAVAQGCPHLEYWAVHVTDITNAALEAIGTYSSSLNDFRLVLLDREANITESPLDNGVRALLRGCTKLRRFAFYVRPGALSDVGLGYIGEFSKTIRYMLLGNVGESDQGLLQLSTGCPSLQKLELRGCFFSERALAVAVLQLKSLRYLWVQGYKASPNGTDLMAMVRPFWNIEIIAPNQDEVCPDGQAQILAYYSLAGMRSDYPHSVIPLYPSV >OGLUM03G11650.1 pep chromosome:ALNU02000000:3:8908356:8911143:-1 gene:OGLUM03G11650 transcript:OGLUM03G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKEADLCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGRYLGTKSSCVTPARRYSPSYSPVERDRYSSRYSPERERSYSPYGRRRSYSPYNRRRSYSPYEKRRSYSPHERRRSYSPYGRSPSPYGRRRSYSPYDTRGSRRRSYSSYRGSRYRSRSPYCYRRERSCSCDHSVSPYYRRCYSPSARGRSYSRSVSPQRSYSHSCSPDSQSSGSYSPRKRYSERKPSRSRSSGKRHSRESYSHSRSSYSRSVSRERSE >OGLUM03G11660.1 pep chromosome:ALNU02000000:3:8915966:8926281:1 gene:OGLUM03G11660 transcript:OGLUM03G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G07360) TAIR;Acc:AT2G07360] MASGQDSSSTTLMDLITSDPSAVPAGGASSHQQSSSSAAAAAAAGGALGRPAPAPADRKSKRGTLSQIQNETISAAKALNKALPQRNRKKKATRLKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSAGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >OGLUM03G11660.2 pep chromosome:ALNU02000000:3:8915966:8926413:1 gene:OGLUM03G11660 transcript:OGLUM03G11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G07360) TAIR;Acc:AT2G07360] MASGQDSSSTTLMDLITSDPSAVPAGGASSHQQSSSSAAAAAAAGGALGRPAPAPADRKSKRGTLSQIQNETISAAKALNKALPQRNRKKKATRLKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGAQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESTSDDVEFHARRLAALKALTSSSTSNSEMLEKLYEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRSNLQYASLSALRRLPLDPGNPAFLHRAVQGVEFSDPVAVRHALSIASEIAVRDPYSVAMALGKNAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDERPDIKSQYSSLLYQLLLDPSDRVCFEAILCVLGKVDNTESTEERAGGWIRLTREILKLPEAPSVASKGILSKSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYSLGAYDEAANLQSYSDNVESLDSDLNENSQPEATRKANPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELADPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFASVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQSNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWNDDELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSAKLIDIYRNRHNISTSAGLSDPAVATGISDLMYEPKDVPKEATLIQTGIDPDLAMAWAAGLEDDVWENNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSIREEPPSYSTSVLQKRESFENPLAGRGGRSFGSHEDEDRSSGNPQSGKALYDFTAGGDDELSLTAGEDVEIEYEVDGWYYVKKKRPGRDGKTAGLVPVLYVNS >OGLUM03G11670.1 pep chromosome:ALNU02000000:3:8928285:8928752:-1 gene:OGLUM03G11670 transcript:OGLUM03G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEKIASARLMRQQAQVEFGRARSLRLHAVLMRRRAQVELVRVRALRDAAVRIRQEAQMDIAHARTLRVHALRMRLRAQAELVRCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAEEKNN >OGLUM03G11680.1 pep chromosome:ALNU02000000:3:8930450:8930845:1 gene:OGLUM03G11680 transcript:OGLUM03G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF962) [Source:Projected from Arabidopsis thaliana (AT3G09085) TAIR;Acc:AT3G09085] MGRGEQEAAASHGFGSMEEFWGFYLTQHSKPGTRRWHFLGTLAALACALLAAVSGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDLRMFALILTGRIDAELARLRLQPPHDAAAASAHRD >OGLUM03G11690.1 pep chromosome:ALNU02000000:3:8931293:8932114:-1 gene:OGLUM03G11690 transcript:OGLUM03G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVYPASDKPNRSDS >OGLUM03G11690.2 pep chromosome:ALNU02000000:3:8931441:8932114:-1 gene:OGLUM03G11690 transcript:OGLUM03G11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPARSDAHLSPEGEAAMEAEVREYYDEAAPKRHSKPSRSEPSAVYTDALVPDDSHPELDRFQQLEAHTEKLVCEGGKAGDEFVETEYYKDLGCVGKQHHTTGTGFIKMDKPSADASFHLSDDPDASERHASCKGNPATNEWIPSADTVTVLV >OGLUM03G11700.1 pep chromosome:ALNU02000000:3:8932620:8937359:1 gene:OGLUM03G11700 transcript:OGLUM03G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38025) TAIR;Acc:AT2G38025] MVGASVARLSLLDATLLARLRDGDARFELLEDSAAAAAASPAPAPVWPGLSCFSRVATSLRGGWSGALNKVEHYGVQRVTGDGRCMFRALETLVCLLVGERNGKEQGDFIDLKGGDDLRMAVKEVICDDETERQKYEEAVIAITVDESLRRYCHRIRQSDFWGGESELLVLSKLCRQPIIIYIPEREYHGRGNGFIPIAEYGLEFSKDSKQWKEKVPVRLLYSGRNHYDLLV >OGLUM03G11710.1 pep chromosome:ALNU02000000:3:8943518:8947721:-1 gene:OGLUM03G11710 transcript:OGLUM03G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytosolic enolase [Source:Projected from Arabidopsis thaliana (AT2G29560) TAIR;Acc:AT2G29560] MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFISNHMRRAAPAVITSVRARQILDGRGEPAVEVSLHTNKAVHRASAAAADAPEGAAADAVRDAEKRKLLARAVADAVRVINDKVSEALVGMDPQQQSQIDQAIMDLDKAHHKAEIGVNSMLAVSIAACKAGAAEKEVPLYKHIAELVGKSATTLPIPAITVINGGTHAGNSLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGSNSCNIGLDLVIEAINRAGYNGRIKLAIDVAATDFCMGNKYDMEFKFAEKSGQGFKTADDLIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKFTTLELCQVVGDDLLMSDPERIKRAVNEYTCNALVLKANQVGTVTEAIEVVRQAKDAHWGVMVSHRSGDTDDSFIADLAVGAAAGQIKAGAPCRGECLSNYNQLLRIEEELGSDGVYAGENWRTTASTS >OGLUM03G11720.1 pep chromosome:ALNU02000000:3:8959221:8961652:1 gene:OGLUM03G11720 transcript:OGLUM03G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGHICAICDDGGNLIRCEGACRRYFHRTISNDADFNCETLNMSQEQVESSKFICKNCVYMQHQCFGCGELGSSDMSSGSAEVYQCSKSRCRRFYHPKCLAEFDSSKNPPVFECPLHECFACKNKGEKYNEETCKNKGQESIKKKQGAENNKKMHLALCRRCPIAYHRKCLPRCDMYLALFASDSALLKGYFVDTVFSFLGIFPLFPKVVFQGNGKLIRAKKHTMVEHLRSATRDHLKFPKVMEEHMQKYVPKREVENKKLIVYVRKRHRGASKKQGASMVEEVDHGTMESDHVQRSRDINLRSHEQTEAPRNYMSDRNTSTGFVLSFAPKSLFPLPYPGNCGWLDD >OGLUM03G11730.1 pep chromosome:ALNU02000000:3:8962722:8968444:1 gene:OGLUM03G11730 transcript:OGLUM03G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G31440) TAIR;Acc:AT1G31440] METLRKQASKLREHVAKQQQAVRKQFSARYNQDPSLVDEAELECHQNLQRLYNSTRAAKHFQRSIVRGVEGFIAVSTKQMEIVKKLAEDCCRYGNDNQNFGFILARASVEFGNSHSQMEKERENLLKFLGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSVKLQHAESKLSELRTTLSALGREATAAMEAVEVQQQQVTFDRLLAMVDAERAYHQNAADILNKLHDEMVQAKHHDEPENHYDETSSDPKTAATHEHSRSTSEDHIFTNTSEPTRTETSEPTRTETSEPTRNGQEVHYVGEVIHPFDAQADGELSISVGDYVVVRQVAPNGWSEGECKGKAGWFPSAYVEQRDKAPASKVIEPGLLTT >OGLUM03G11740.1 pep chromosome:ALNU02000000:3:8969752:8976141:1 gene:OGLUM03G11740 transcript:OGLUM03G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLRKQPSFLLILLILHLGAREARALSSDGEALLAFKKAVTTSDGIFLNWREQDVDPCNWKGVGCDSHTKRVVYLILAYHKLVGPIPPEIGRLNQLQALSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGHIPSEFGDLVELGTLDLSSNTLSGSIPPSLDKLAKLTSFFIGNRGLCGKQINSVCKDALQSPSNGPLPPSADDFINRRNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDIHGFRVELCGGSSIVMFHGDLPYSTKEILKKLETMDDENIIGVGGFGTVYKLAMDDGNVFALKRIMKTNEGLGQFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLPGGNLDEVLHEKSEQLDWDARINIILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDDKSHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLLLEILSGKRPTDASFIEKGLNIVGWLNFLVGENREREIVDPYCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >OGLUM03G11750.1 pep chromosome:ALNU02000000:3:8976424:8977925:-1 gene:OGLUM03G11750 transcript:OGLUM03G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSGFLGSSLGTVTVSTPFSMDALICSGLVFSGSRNLRRNLPLLRSTRCHFPGTSALNTCASGVSFQSMRAPAKAAVSEEVPRGKDGKMLPLLLLPEPKGKPSKGSQRSREKGSNMLLRRIIDIVGIAANLEVERSDFSEKSAHRSKDQQALLRIEITLFPSRTQTRQPKNSKTQYTMSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSLFPRANSDAAASAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERIKEQEEKTDKFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQITG >OGLUM03G11750.2 pep chromosome:ALNU02000000:3:8976424:8977925:-1 gene:OGLUM03G11750 transcript:OGLUM03G11750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSGFLGSSLGTVTVSTPFSMDALICSGLVFSGSRNLRRNLPLLRSTRCHLSVFSSCSLLRSPLICRMLPSSTSTLTSSFFSPGTSALNTCASGVSFQSMRAPAKAAVSEEVPRGKDGKMLPLLLLPEPKGKPSKGSQRSREKGSNMLLRRIIDIVGIAANLEVERSDFSEKSAHRSKDQQALLRIEITLFPSRTQTRQPKNSKTQYTMSLIRRSNVFDPFSLDLWDPFDGFPFGSGSGSLFPRANSDAAASAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERIKEQEEKTDKFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQITG >OGLUM03G11750.3 pep chromosome:ALNU02000000:3:8976424:8981022:-1 gene:OGLUM03G11750 transcript:OGLUM03G11750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRGNAFDPFSLDLWDPFDGFPFGSGGSSSSSGSLFPRANSDAAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNILQISGERSREQEEKSDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQITG >OGLUM03G11760.1 pep chromosome:ALNU02000000:3:8977456:8978003:1 gene:OGLUM03G11760 transcript:OGLUM03G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIRRSNMFDPFSLDLWDPFDGFPFGSGSSSSGSIFPSFPRGTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNILQISGERSKEQEEKTDKWHRVERSSGKFLRRFRLPENTKPEQIKASMENGVLTVTVPKEEPKKPDVKSIQVTG >OGLUM03G11770.1 pep chromosome:ALNU02000000:3:8983946:8985471:-1 gene:OGLUM03G11770 transcript:OGLUM03G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G54050) TAIR;Acc:AT3G54050] MAAAATTSSHLLLLSRQQAAASLQCGLSFRRQPGRLAGGSSAPSVRCMAAVDTASAPAATEASKKSSYEITTLTTWLLKQEQAGTIDGEMTIVLASISTACKQIASLVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIADDQNLDQVEQRCIVSVCQPGSNLLAAGYCMYSSSVIFVLTIGTGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKSYMDSLKEPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDQKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDIMPTEIHQRVPLYIGSVEEVEKVEKFLA >OGLUM03G11780.1 pep chromosome:ALNU02000000:3:8986325:8987336:1 gene:OGLUM03G11780 transcript:OGLUM03G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGGEAHVVVLGGSCQQDEATESSPPSLFQLPHEFMLSPITALSLAARYAAIERSVRPLPPTAPSSHYYAAGDDPIQLDVDVDGNAAFCHTRPSILSPVPSALSPVASSPSGLFFPLDQASVSWLSDLSPFVHSASATPQPMPPFAPSTHSLLLSTPTVADDLLHQGILQ >OGLUM03G11790.1 pep chromosome:ALNU02000000:3:8993647:9000749:1 gene:OGLUM03G11790 transcript:OGLUM03G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLCPNLERDDALDTVLEVPIPEEMFSRGSGGGGSRGSRFGCTNVKSWVRPNASDRSGGAGEPCSMSRGELQLMLGVIGAPLIPLPVDHAKQSPCSVLCEQLKADPIESSTAKYIIQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDADGGGGGGGGGHRGGKKSSKNGGEVGGFVLWQKKPELWSLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQDRTDRSSTRTAERHDYAFAALIGPLALAVVKGLDPMLTASLFADAVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIKSSGHGSVFWETTMESHLHDYRAVDGVNIAHAGRTAVSLVRFGDSSDGNTRTRMEEVWNIEEVDFNIWGLSMDCFLPPSDLKDSKEDKDVSAAVKPARPPPIRIPAVAVRVGPSQVAAVNMDDSDSLIARS >OGLUM03G11800.1 pep chromosome:ALNU02000000:3:8997441:9000236:-1 gene:OGLUM03G11800 transcript:OGLUM03G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKGQFSRERNVKATLGRDGRQRGNSQDQSVQVPKEKIAVVGGNIDGKFEDRIRVVKNEKFRRQREPRSADAGGSLKGSKPWPGRKATTVDELVKHMSNVPSYLQRKETSGHLQDKALNVGVLEWGLLARWSHQQKHEFSSSHGASPSNTSRSLIFSSPSQSSASPSSKSLESNQSPTLNDHQHCSMEFQQSDLEDKYRRKARYSPSPNSAVLNLLPVHGKHFPENTGKYGDLNLRNISPLSDPLLTATGSSMRHEMVDDEETTRNIEEAVHHCSRRLFTDDDNIGQSFFTSHNNDSACGDFQQSSGVTGEGFETLVSSAVMEMERNASLSPVGFSKDIGQHHEFPRIPYSCPLPIMDSSEELGTSRTGTQGDSVGAAVTIGENRNQKQISRGASERTPRISAKFSDMDASPHRHLVSGLNRVNRCSSLKDGPCPRQPEASTSVDKINGDKSSGNKGSRRSPLRRMLDPILKPRQSSTSGPIQPSFVPKCHLPGHIDKQSLSLGGSALQNVQRRSVDSVVNSNCRTETNTNQPPQVLNSERYLQQDIDSTTTRHALLQLAWKNGLPFFMLSCGSDILVATVRRKGISDNNDLESTYTLFGVEEPKKRGGAWIKAGNKNKKDQLVYNIVGEMRVSHRKSRCYQAEKNHLHREFVLVGSEQLPSSEESGDSHVSREFAAFISAVPQQEPETSRHSSSQHSSRSMSTPTDCSCPLGNFHPNTRDDSCASSSVLAVLPNGFHGTSTSGQPLPLIERWKSGGACDCGGWDEGCMLSVLSDDARENKGDKSTQANQTTDGSQRFDLFVQGRSREDKHAFSMVSFREGLYTVEFRSSIALLQAFAMCIVMLHGRRPTRTQAGVHASQEHASLADHKLNKIMAASQGRAQASYVPHRPPLSPVGRA >OGLUM03G11810.1 pep chromosome:ALNU02000000:3:9008548:9012076:1 gene:OGLUM03G11810 transcript:OGLUM03G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEARVGMAAATMDSGAAAAAARRYSTQQQQQPPPPQLHHHQPQLGTVPHLLAGGVAGAVSKTCTAPLARLTILFQVQGMHSDVATMRKTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYTYERYKNLLQMIPGLDRNGGFGADVGVRLIGGGLSGITAASMTYPLDLVRTRLAAQTNTAYYRGISHALYAICRDEGVKGLYKGLGATLLGVGPSIAISFCVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTITFPLDLVRRRMQLEGAAGRARVYQTGLFGTFGHIIRTESLRGLYRGILPEYCKVVPSVGIVFMTYETLKSILTELASDD >OGLUM03G11820.1 pep chromosome:ALNU02000000:3:9013236:9017960:-1 gene:OGLUM03G11820 transcript:OGLUM03G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DA1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G39830) TAIR;Acc:AT2G39830] MAYSSRSCDQYSHERRSGFMKWLCAFLKGTKDGEANRRRPRVTAGEETTLWEEPVRPKKEEPPRHNNEEMDHALALALADDAKNTKERNHDKGENDEELARAIQDSLNMNPYQPYNPCAPSQTQARSRGYRVCGGCKHEIGHGHYLSCMGMYWHPQCFRCSSCRHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRTGLIEYRAHPFWGQKYCPLHEHDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLDSAIMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESKGPHHMPETRGLCLSEEQTVTSILRRPRIGANRLLDMRTQPQKLTRRCEVTAILVLFGLPRLLTGSILAHELMHGWLRLKGYRNLKAEIEEGICQVMSYLWLESEILPSTSRYGQASTSYASSSSSSYRPPPSKKGGISHTEKKLGEFFLHQIANDTSSAYGDGFRAAYAAVNKYGLRQSLNHIRLTGGFPV >OGLUM03G11830.1 pep chromosome:ALNU02000000:3:9026898:9032552:1 gene:OGLUM03G11830 transcript:OGLUM03G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z558] MAAAPGAGGQGGGGMDAVLLDDIIRRLLEVRTARPGKQVQLSESEIRQLCTVSREIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTHLDEIKSLPRPTDVPDTGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKGKFMASNKILTGAA >OGLUM03G11840.1 pep chromosome:ALNU02000000:3:9034964:9038036:-1 gene:OGLUM03G11840 transcript:OGLUM03G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT5G59210) TAIR;Acc:AT5G59210] MEANHASRGRRTLEEIRQKRAAERMQQQHNPAAAASLVDPYGNPGAGAELLGRVRELENGNIALERENQMLLSKIAEKEVEKDSLVNRLNDLERNIVPSLKKAVNDISLEKDAAAVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMSNSYTGFPVGVSNEHILAMEKEVENLQAQLKQESLRRQQEQQKLSEESLLRQQEQQKLTGEQSRAASLVAEKKELEEKIAALTKKASDEASEFAARKAFSMEDREKLESQLHDMALMVERLEGSRQKLLMEIDSQSSEIEKLFEENSALSTSYQEAVAVTMQWENQVKDCLKQNEELRSHLEKLRLEQATLLKTSNTTIQPDGQNETSISVPPEFVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLARLYRPVLRDIESNLMKMKQETYATIQ >OGLUM03G11850.1 pep chromosome:ALNU02000000:3:9039146:9044387:-1 gene:OGLUM03G11850 transcript:OGLUM03G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMHQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLSRDDRRRLRTYIEAVNARLVPLQYQRH >OGLUM03G11850.2 pep chromosome:ALNU02000000:3:9039146:9044387:-1 gene:OGLUM03G11850 transcript:OGLUM03G11850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMHQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRTSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLSRDDRRRLRTYIEAVNARLVPLQYQRH >OGLUM03G11850.3 pep chromosome:ALNU02000000:3:9039146:9044387:-1 gene:OGLUM03G11850 transcript:OGLUM03G11850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQLLRNILNTREIRFPSDCDLSHGCIDLCRKLLRINSVERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLSRDDRRRLRTYIEAVNARLVPLQYQRH >OGLUM03G11850.4 pep chromosome:ALNU02000000:3:9039146:9044387:-1 gene:OGLUM03G11850 transcript:OGLUM03G11850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRDGGKIYLVLEYCRGGDLHSYLQQHKRMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKADLWSVGIILYQLVTGSPPFTGDSQIQWNALPLKTSRDMFDILYCIDSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLSRDDRRRLRTYIEAVNARLVPLQYQRH >OGLUM03G11850.5 pep chromosome:ALNU02000000:3:9039146:9044387:-1 gene:OGLUM03G11850 transcript:OGLUM03G11850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAGSGGGGGGGSGVRRVGDYVLVRQIGSGAYARVWLGKHRTRGTEVALKEIAVERLSSKLRESLLSEVDILRRIRHPNVIALHESIRMHQAKENSLGLTFIWVDGGKIYLVLEYCRGGDLHSYLQQHKRVSETVAKHFIQQLGKHFLRTSMLRENNVVHRDLKPQNILLVANNENSLLKIADFGFAKFLEPSSLAETLCGSPLYMAPEVMQAQKYDAKVRAFFCFLVSFIMYFYLFLTLERLTVEEFVNHPFLAEHALERTLSRTPSDIRDGFPFINSSPTRPSSQSSQEDCMPFPLDDESTGQDEGPVSDSKSAIKSYGFATSKRLDKTSGQSPTKHASLVSKYIRGNNYASSSQRLDHPRRIKENKGDEGHNPKGGYPEDSPIIDSLEFVDQEYVFVHPEGSSSSMNDSRQRTMPSKLDSSSLSPPKLLTAVSAPRPIHGMAINRQQSGGTGSLDSHCSPVSGTSQGSADLNDAMDQPPSDCLTRVRLLEQYASTIAELVKEKIKDAKHLEGFSIQLVVLATWKQAIYICTSYASSATRESPSHDVTAKGFGSNAPHLLANSQLLYDTCMEIESQFLVQMEYAEELANTIGQTIDATEMPDAIEIIFQTALNLGRHGGVDEMMGKSASAMVLYSKAVSMLRFLLTEAPSLALNPALSLSRDDRRRLRTYIEAVNARLVPLQYQRH >OGLUM03G11860.1 pep chromosome:ALNU02000000:3:9045717:9048936:-1 gene:OGLUM03G11860 transcript:OGLUM03G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGDGNLHTQRPNLKRHPLHGTRLPLLPPLRLLPSTSAAAPIAQLCAVGVVSVPMARKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQLLVYPNKMKFSVPGEQEGYVRRWLEERIGLLPKFEIKFYPGKFSTEKRSILPAGDITQTVSDDKADIAVLEEPEHLTWYHHGRRWKNKFRKVIGVVHTNYLEYVKRERNGYIHAFLLKHINSWVTDIYCHKVIRLSAATQEVPRSIVCNVHGVNPKFIEIGKLKHQQISQREQAFFKGAYYIGKMVWSKGYTELLQLLQKHQKELSGLKMELYGSGEDSDEVKASAEKLNLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSEELRHELSWEAATERFVRVADIAPIMSIKQHSPSPQYFMYISPDELKKNMEEASAFFHNAISGFETARCVFGAIPNTLQPDEQQCKELGWRLQE >OGLUM03G11870.1 pep chromosome:ALNU02000000:3:9052326:9054330:-1 gene:OGLUM03G11870 transcript:OGLUM03G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLADGSGDPFFVLNSDVISEYPFAELIQFHKSHGGEATIMVTKVDEPSKYGVVVMEEETDKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADNGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPAKLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKKHACISSSIIGWHSTVGMWARVENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >OGLUM03G11880.1 pep chromosome:ALNU02000000:3:9054344:9055771:-1 gene:OGLUM03G11880 transcript:OGLUM03G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWQVATSQGLIRIETCVKHVNFMHLLEGREAIGGGIKSARVAGSRSATRPTLSSSSSSIIFPPLSLSFSDFFFLNSRHPGTPALLCSAQGEEELS >OGLUM03G11890.1 pep chromosome:ALNU02000000:3:9059430:9059966:-1 gene:OGLUM03G11890 transcript:OGLUM03G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLLPFVYRAIVHKRGGHRAIGNPFLNDDPAAAATAYKRLATCDSGTYSRPATTVDAPFLGGAVVTLLGLVFPKGKIYCVKVVYWLLCGDCAPCATGKPYRSSFQISW >OGLUM03G11900.1 pep chromosome:ALNU02000000:3:9061157:9062447:1 gene:OGLUM03G11900 transcript:OGLUM03G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAGEPGRVDFHILGLEQFSLWVARLCSRGAATGSPPPFPLATAAAWTLERLPPPADKTKRNGRKRKREKGEKGRKETGMTCGPHISVGLTLFLCE >OGLUM03G11910.1 pep chromosome:ALNU02000000:3:9064945:9066511:-1 gene:OGLUM03G11910 transcript:OGLUM03G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEICCEVVAGSSSEGKGPECDTGSRAARRRRMEIRRLRVVAERGAEEETSGKRRRLDGGGGEASTDEEDREVERARYGFTSVCGRRRDMEDSVSARPGFLPGHHFFGVFDGHGCSHVATSCGQRMHEIVVDEAGAAAGSAGLDEEARWRGVMERSFARMDAEAVASSRGSVAPAPTCRCEMQLPKCDHVGSTAVVAVLGPRHVVVANCGDSRAVLCRGGAAVPLSCDHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPYVICDPEVRVMERKDGEDEFLILASDGLWDVVSNEVACNVVRACLRSSGRRERNRSSPTSNLSPRQSSSSGDEAPNDGAPSAAAAGSESDEESAAEEDKACAEAAVLLTKLALARQTSDNVSVVVVNLRRRKL >OGLUM03G11920.1 pep chromosome:ALNU02000000:3:9069228:9069699:-1 gene:OGLUM03G11920 transcript:OGLUM03G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATRSVVLELSGDERELGGGFANERRRDHNGGDGSSPPPFFASVGGGASPAMSLPDLAVGSSNGGGSGKWQRDHNGGGATLQAFPLQAHFQPAEPRIRLPARLGSDMEP >OGLUM03G11930.1 pep chromosome:ALNU02000000:3:9076128:9079167:-1 gene:OGLUM03G11930 transcript:OGLUM03G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETSGTVAAPGRWSLHGKMALVTGGTRGIGRAVVEELAALGAAVHTCSRNEAELGERLKEWEARGFRVTISVCDLSARDQRERLIGDVADRFGGKLDILVNNVGTNIRKPTTEYSADEYSFLMATNLESAYHLCQLGHPLLKASGSGSIVFISSVAGIVALFSGTIYAMTKGAMNQLTKNLACEWAKDNIRTNCVAPGYILTSLSEGILANKEFEGSVKSRTPLRRVGEPAEISSLVAFLCMPGSTYITGQTIAVDGDAKKASPRTLQQNGVSNCPLRDAAAFCLDGHRP >OGLUM03G11940.1 pep chromosome:ALNU02000000:3:9083912:9086309:-1 gene:OGLUM03G11940 transcript:OGLUM03G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAETSAKVGAPRRWSLQRKTALVTGGTRGIGRAVVEELAALGATVHTCSRKEEELSERLKEWEARGFRVTTSVCDLSVRDQRERLLRQVADLFGGKLDILVNNVGTNIRKPTTEFSAEEYSFMMATNLESAYHLCQLSHPLLKASGSGSIVFISSVCGLVAVFSGSLYAMTKGAINQLTKNLACEWARDNIRSNSIAPWYIRTSLTEGLLANKDFEGAVVSRTPLRRVGEPEEVSSLVAFLCMPGSSYITGQTISVDGGMTINGLYPS >OGLUM03G11950.1 pep chromosome:ALNU02000000:3:9088769:9090468:-1 gene:OGLUM03G11950 transcript:OGLUM03G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASGAAGRWTLRGKTALVTGGTRGIGYSPQHPSLVLPSRYPVRSETSTAEPLLLFHDQRGEFMRRRAVVDELAALGAAVHTCSRKEAELGERLREWEGKGFRVTGSVCDVSVREQRERMLREVAGLYGGKLDILVNNVGTNFSKQTTEYSADDYSFIMATNLESAYHLCQLAHPLLKSSGSGSVVFISSVSGVVAVSSGSVYAMTKGAMNQLAKNLACEWAKDNIRTNSVAPWYIKTSLVEDELARKDFADSVVRRTALKRVGEPEEVSSLVAFLCMPGASYITGQTISVDGGMTINGLYPTQD >OGLUM03G11960.1 pep chromosome:ALNU02000000:3:9092638:9093123:-1 gene:OGLUM03G11960 transcript:OGLUM03G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSKKASSFVVMASMSAVCAEEALKDQAGLCRLNDLVWRCRRRGGNRERAAAPPPPPPRSFPTDVARATVGGTAAGGRAGAGEWWRRWTSGGGGRLCRLNDLMQRRRRRGGNRERAAAPPPPPPPSSPADLATAWRVRRWELGRASGGGGWSCGWRRS >OGLUM03G11970.1 pep chromosome:ALNU02000000:3:9095517:9098129:1 gene:OGLUM03G11970 transcript:OGLUM03G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGVSRNVAAMANVFPDADGKNERCCWLKRSLCALVLFSASYFAYFSFFSGNNVVRDLRQCPFCEPSPPSPPVVAGAAARSPTTLAHIVFVIGASNATWAKRRVYTGLWWRPGAMRGHVWLDDEPSGQWRPSWPPYRVLRPDEARFGKEHAAAARMARAVAEAFQAAEAGREGDGEVRWLVMGDDDTVFFPENLVAVLDKYDHREMYYVGSTSESVGQNVVHSYSMAFGGGGYAISYPAAAALAGIMDGCLDRYNEFHGSDHRVQACLAELGVPLTTQPGFHQLDLKGHVYGLLAAHPVAPLVSLHHLDRLNPISPNWLKRLPAVRSLVGASRHDPSRTLQQAICYHHDARGGGRRRRRRRQFTLSVSVSWGYMVHLYPAAVPPHELQTPLRTFRAWSGSPAGPFTVNMRPEATPNATALPCHRKPIMFYLDRVTAMSTSTTNWTLTEYVPEVLSGERCNTTGFDAATKVQMIQVIALKMDPAIWKRAPRRQCCKVQNANEGDKLIVKIHECKPDEATTSV >OGLUM03G11980.1 pep chromosome:ALNU02000000:3:9106777:9109945:-1 gene:OGLUM03G11980 transcript:OGLUM03G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARFWYSKPAERARPAAFVPPPPPPTPPQYVPPEEPSAFAKLYAVAGDVVGRAKALLTTGGPVTPSDDGQRVRRALAQLTAPPSDPAPAAPQKDSSSGLSSTAVVWIIVAAGVVGAVLALCVLTLWIRRCRRQRRRRRQAQPFPLPPPIYNPNPYYKGDLPPQPFVAQQPPSDHYFMQHQHPTPPQTSGTFSDAGSERPHSIDILTELPTGGSLSYDQLAAATDGFSPDNVIGQGGFGCVYRGTLQDGTEVAIKKLKTESKQGDREFRAEVEIITRVHHRNLVSLVGFCISGNERLLVYEFVPNKTLDTHLHGNKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDKADVFAFGVVLLELITGRLPVQSSESYMDSTLVAWAKPLLSEATEEGNFDILVDPDIGDDYDENIMMRMIECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRITYAEDTYSSIMESGESIGPRSRRAPRSQRNTSSDYSSEQALTDKANRSPAKGSRPRGARAGAVASGDKTGSGRRELKQ >OGLUM03G11990.1 pep chromosome:ALNU02000000:3:9129448:9134674:1 gene:OGLUM03G11990 transcript:OGLUM03G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKWKVVEGGAGAGAGSGGGDQRRRCVTASLSMLIAATLAFLAYVAFFPYDGAGGLYRWWRCEGCGDAAGGFAFDEAAMAQGPTAGGARRRSPTTLSHIVFGVGASARTWDKRRGYAELWWRPGEMRGHVWLDEQPVGPWPAATCPPYRVSADASRFGDRASASRMARIVADSFLAVTAEMANGTTDSPEARWFVMGDDDTVFFPDNLVAVLNKYDHEEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAELAKAIDGCLERYRLFYGSDQRVQACLSELGVPLTREPGFHQVDVRGDAYGMLAAHPLAPLVSLHHLDHIEPISPGGQHGSPLDAARRLVRASRLDPARSLQQAFCYQRGPRYTWSVSVSWGYTVQLYPWAVAPHELEVPLRTFKTWRSWADGPFVFNTRPLSRDDACAQPAVFFLSAARNDTSSRGRGRSRATMTEYTRRVAKPGAKECDRPSFLAASTVHTVRVFAPKMSPNEWTRAPRRHCCSTKRTRFGTELKVRIRYCGRGELTTP >OGLUM03G12000.1 pep chromosome:ALNU02000000:3:9139983:9140759:1 gene:OGLUM03G12000 transcript:OGLUM03G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook protein of GA feedback 2 [Source:Projected from Arabidopsis thaliana (AT3G55560) TAIR;Acc:AT3G55560] MGSIDGHSLQQHQGYSHGGGAGGSNEEEEASPPPGGGSATGSAGRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVEAIAGFSRRRQRGVSVLSGSGAVTNVTLRQPAGTGAAAVALRGRFEILSMSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQEGEEGAVLSGSEGAAAQMEQQSSGGAVVPPPMYAAVQQTPPHDMFGQWGHAAVARPPPTSF >OGLUM03G12010.1 pep chromosome:ALNU02000000:3:9146779:9152142:-1 gene:OGLUM03G12010 transcript:OGLUM03G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMVLIVLMLRRKKNWILSIAQEQRAGKALGPGASPCRLAVGGDLFLLGGVLHLLPEIPFLLGDSNDLQGAQFHLGVLFLHESVLQEVPLRCRGGDHRIPEDHLQYQDIDLRHLIVDLIFAGNLHLLCVGDHHLHTIAGLQVVHQDHHLLHVIDLLGAGIGLHLLEDVGLGPLHPDDTQAKVTISRKTEIPLSSRLSAVEEIANFTQKSFCKPSTSPHDRSPIHYRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHDDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNSTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHKSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADVRKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >OGLUM03G12010.2 pep chromosome:ALNU02000000:3:9146779:9152142:-1 gene:OGLUM03G12010 transcript:OGLUM03G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMDGDAGNSRLGPDRADAEEEKELDSKHSSRTKSRESLRSRSISLSPRGRRRSVSPRRRSPSPSRNSISFGRQQRSSRRSTQAKVTISRKTEIPLSSRLSAVEEIANFTQKSFCKPSTSPHDRSPIHYRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHDDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNSTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHKSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADVRKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >OGLUM03G12010.3 pep chromosome:ALNU02000000:3:9146779:9152142:-1 gene:OGLUM03G12010 transcript:OGLUM03G12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELEHLVDMTKVKMDVMKPWIATRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWSLLLSAQQNASGVPQQFLDAKEAEIQQKKAEESRIAQEIQKKREKDGREQELEKQKLMDGDAGNSRLGPDRADAEEEKELDSKHSSRTKSRESLRSRSISLSPRGRRRSVSPRRRSPSPSRNSISFGRQQRSSRRSAFFFSTKAFSKKYPFDVEAEITVFQKITFSTKTSISVTSSSTSPHDRSPIHYRRSLSRDIEKGTNGIPSSNDVDVLQRNKERSHDDNRNDTEVSGHLSSDSEHRKLTKSLNSPNKPERNSTRDSSLKGTDKHLPSQVRTDSSGEEEGSRARENARKANSSRRKIKDFSADLELKKAHDDLSPGEKSPSQHSGKETRRKQNNQLSESSEDERDGRRMKHTVDSPDDSQQKQHTPSRVGMHNSYSKDGMNSEDAIKGLRDGMASKKYPAKIDDDSESEDGSPFRKDKRKAHGNNNIDSGSSGSEESGKHKSHSEKRKHKKSRKHKRRYDDSSDESNSESDDKESKRRRKEEKRLRKEERRRRREERHRRKADRQASKLKLKHAETVDMASDLEKDRESDSDADVRKKGSYNGRDESDQQKLEIELREKALESLRAKKAINH >OGLUM03G12020.1 pep chromosome:ALNU02000000:3:9155054:9155348:-1 gene:OGLUM03G12020 transcript:OGLUM03G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESAALTPEKVGAVGSGSGLAPGARGSGGGREIGAAAGSGEPSAAVFLREVVGAEEDADGGCDRELRQRRTLTTSPLHGEGGSDGGGS >OGLUM03G12030.1 pep chromosome:ALNU02000000:3:9156533:9157945:-1 gene:OGLUM03G12030 transcript:OGLUM03G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARSWLADLRARFGGGAREEAGLGILAFEAAAAMSRLVSLHRSLSDVEVRRLRADALRAEGVARVTSTDQSLLLRLACGEFMADLDHAAGTAARLGARCCAGAPFLHDFDRVYAEAKRGNGLARLDATVGFYRGAAKRFRKMERHVAATAKLYAEMDALSELEASERRMEQWMRHSGPIPAQPGPSAKRQVPEPGEKLIRELNSQRQKVRRLMESSLWSVAAHKVSKLMAKSVLAVLVRISITFGAYVPGLPLLTVGRAWALRRTSGPLQQAASPAAAIRHSAPIFRQKDTAFSASESIKPPASTVGGSGMELRYANMIVCAEMLLRQLWPTIHSNEVDAGMDLSKRDELYKMLPVTIRTAVKAKLRESWRGQPVDEAAAAASMDAVDRMLRWLGPMAHDTVRWHDERSMERAQRFSMRPRVLMVQTLHFADRHKAEDAIVEVLIGLSCVCWYDDERRRPADWDDDD >OGLUM03G12040.1 pep chromosome:ALNU02000000:3:9158244:9158423:-1 gene:OGLUM03G12040 transcript:OGLUM03G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFPEASLRPCSARPVGSRGWEEFHGTLTCLASGGGGQWEIEVDGWLGGRVDRAHGLS >OGLUM03G12050.1 pep chromosome:ALNU02000000:3:9163950:9168280:1 gene:OGLUM03G12050 transcript:OGLUM03G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGSFPPVTSKSWRPRKEKGKKRKEKRKETRKKKKAKGEKAPAGILGSVGETLLGFRRMGATGAVVARGSSSTRPANGAVAINAAAPAVGSAPAEVARFHSQPPQQDRKGGKRIVPAARIPDGNASTSRGNAHQSGSNSNQNVALNLSLLAPPSSPASFSNSAIPSTAQSPNRFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELTHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >OGLUM03G12050.2 pep chromosome:ALNU02000000:3:9163950:9168280:1 gene:OGLUM03G12050 transcript:OGLUM03G12050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSGSFPPVTSKSWRPRKEKGKKRKEKRKETRKKKKAKGEKAPKGGKRIVPAARIPDGNASTSRGNAHQSGSNSNQNVALNLSLLAPPSSPASFSNSAIPSTAQSPNRFLSISANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELTHATTPSSPDVPYARFLFSAMDLKTAGKDHNMPYLSTAYSGGSGLQASYPLYPESPSSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYSRASPIPEQEVPTAQWKTSRSACDTPYSRNSPSNIFGLDSAASRNYMLDNNFFRPAASAQFYLDQAQQSFPYNNGGRISVSRDKQDAEEVEAYRASFGFSADEIVTTQAYVEIPDALDEGFSISPFGNNAPATEVDKPLFNVKVTTSPKKSADQLSNDSPHNVVHLDIFKGTKGGDLSEDEGVVKDCHPFRKAMDEISLKPIEVRKKVQPGQSSSSDAEIEYRRARSLREANGVLSWRSTLARQLQ >OGLUM03G12060.1 pep chromosome:ALNU02000000:3:9168158:9168937:-1 gene:OGLUM03G12060 transcript:OGLUM03G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKPSASDKKVKRPPSRLQKHAPATLRLEPPSTPSPTGAWGDGRMPIPLLSPLVVSPSAAWEPDDQAAAAAGAPRREGGVQGGAGREGSSGAAALSPVCGSDRQAADDAAKSPAPAPCGGGWLHPALSTPVAEPASLVSFFQSQCALESSPLPSSSMLQACKAAMS >OGLUM03G12070.1 pep chromosome:ALNU02000000:3:9172668:9184835:1 gene:OGLUM03G12070 transcript:OGLUM03G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSQSLFFSPLAAGPSRRVGGRSRSTSVSAAASASSHNLQPHGHPQQPLAVASSSSKSESKGSKTFALASAITAAASGAFLLASSGGGFGGGAGGPLGGGGGGWGAGGGGGGGGGGGGGGFWSRIFSGGAAHADEKSSGDWDPHGLPANINVPLTKLSGLKRYKISELKFFDRAAGGGGAFTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEGKAKPDGTLGLTVSFVESVWSAAKQFKCINVGLMSQSGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPDNVRGEVLGMMKKQEKVSARLLQRIRDHVQKWYHNEGFVCAQVVNFGNLNTSEVVCEVVEGDITKVEYQFQDKLGNFVEGNTQIPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRNKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWVDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGIDRMAFLQANITRDNTEFVNGAVIGDRCIFQLDQGLGIGSKNPFFNRHQLTLTKFVNLNKQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFTLGGPYSVRGYGMGELGASRNVLEVASELRIPVRNTYVYGFVEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGLGVKLGLPQRYTGSDQRQMCPAKTSSWMRQLTSSSRQGHHGDVLRLFFTGVRLQAGSRGTVDPWPGAVPTALRACAHLADVASGRLIHALVLTRPALASDAVAATALLDMYSKCGLVASARKVFDEMASRGDPVVWNALLACYARHGLPEHALALAVKMRGIGLCPDLVTWNIVVSGFALAGDDLMAGDLVGAMKDDGFQPDVVTWTSRVSGSVLNFQYGRARALFRAMMVAGGRVLPSSATISTILPAFANVADVKHGKEVHGYSVVAGVEQELTVSSALVDMYAKCGLVLEARRLFDKMPQRSTVTWNSMIFGLANSGHCQEAVGLFDRMLRDGAKPDHLTFTAVLTACSYGGMVEVGKILYRAMQVEYGVEPRLEHYACMVHLLGRAGRLDEAYGFIRAMPLEPDRFVWGALLGACRSHGNIELAELAASRLLAVEPDNAASCLLLSSALANAGKQDDVFKIKRLVKRRRLKKLDSCSWVETSL >OGLUM03G12080.1 pep chromosome:ALNU02000000:3:9185337:9188248:-1 gene:OGLUM03G12080 transcript:OGLUM03G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fes1B [Source:Projected from Arabidopsis thaliana (AT3G53800) TAIR;Acc:AT3G53800] MAGDRLSWSGLLKWSLSYADGTRPSRAISCVADGGELVGGVWVVVRGSEEERRWLAEAVERHMMVDVVSRMREIALLMSTPLSVLEAHGITPDDIEGLLAELQVHVESIDMANDLHSVGGLVPVIKYLRNSNARIRARAADVVTTVVQNNPTSQQLVMEASGFDPLLSNFTSDPDLTARIKALGALSSLIRNNKPGVSAFRLANGYAGLRDALTSESARFQRKALNLTNYLLSESHSDCSVFAQLGFPRLMMHLVSSDDLGVREAALGGLLELARDTTLGSRSLLADHDRLRRLLQARIERIRMMAPEDLDAAREERQLVDSLWITCYHEPSTLHVEGLLVLPGEECFEQPPDVAGRFFEPLRRSSARRAPSNERSDPGDGTGGGMMLLLGPSPGSRSNSGSN >OGLUM03G12090.1 pep chromosome:ALNU02000000:3:9188584:9190530:1 gene:OGLUM03G12090 transcript:OGLUM03G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHAHPLSLAVLPSSPAQATPLPLFLRYAALRRGGRCGGATRPLRLTRLCRGRAAVAAAGEVDAPMEQTEAMMRVAADDDSVTATVVSVLLTVAFVGLSILTIGVIYLSVTDFLQKREREKFEREEAERQKEEARKKRAKARGRKRNMEHHRS >OGLUM03G12100.1 pep chromosome:ALNU02000000:3:9193721:9194560:1 gene:OGLUM03G12100 transcript:OGLUM03G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVSYWCYHCSRFVRVSPSTVVCPECDGGFLEQFPQPPPRGGGGSGRRGAMNPVIVLRGGSLSGFELYYDDGSGDGLRPLPGDVSHLLMGSGFHRLLDQFSRLEAAAPRPPASKAAVESMPSVTVAGSGAHCAVCQEAFEPGASAREMPCKHVYHQDCILPWLSLRNSCPVCRRELPAAAAPESEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGDGHVDGGEGRIRRVFRNLFGCFGRSSRPESSSSQSRSG >OGLUM03G12110.1 pep chromosome:ALNU02000000:3:9205926:9207269:1 gene:OGLUM03G12110 transcript:OGLUM03G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLVLLCLCVFLASGGEGRSPAGTVLPLQVRVQEVELEAPAANRLRFRHNVSLTVPVAVGTPPQNVTMVLDTGSELSWLLCNGSYAPPLTPAFNASGSSSYGAVPCPSTACEWRGRDLPVPPFCDTPPSNACRVSLSYADASSADGVLATDTFLLTGGAPPVAVGAYFGCITSYSSTTATNSNGTGTDVSEAATGLLGMNRGTLSFVTQTGTRRFAYCIAPGEGPGVLLLGDDGGVAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGCALLPIPKSVLTPDHTGAGQTMVDSGTQFTFLLADAYAALKAEFTSQARLLLAPLGEPGFVFQGAFDACFRGPEARVAAASGLLPEVGLVLRGAEVAVSGEKLLYMVPGERRGEGDAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLQNGRVGFAPARCDLATQRLGAGA >OGLUM03G12120.1 pep chromosome:ALNU02000000:3:9241199:9241519:-1 gene:OGLUM03G12120 transcript:OGLUM03G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSAAARRLPRRRRERSGLPRKTASTSPSSPSLGPMSSPTRELPGVVILDNDGFHSGGGGVKLFTTTHVGGIARAEQKREKGQPLLPSPDRHGKEATSMAAPP >OGLUM03G12130.1 pep chromosome:ALNU02000000:3:9243096:9243335:-1 gene:OGLUM03G12130 transcript:OGLUM03G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSFAQATASTLSRSSPRLGHDLAIGGEELHEGGFFQPAGKKRRLRRQPLPRAQARERQQLMHGPQAAATVVQVLVL >OGLUM03G12140.1 pep chromosome:ALNU02000000:3:9244310:9248462:1 gene:OGLUM03G12140 transcript:OGLUM03G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55530) TAIR;Acc:AT3G55530] MSFVFRGSRGDIEAGGFPGFAPERRAMVWLVLGVFLMATSLRMYATCQQLQAQAQAHAAAANGFLGHTELRVHVPPTIALATRGRLQSLRLQLALLDREFDDLDYDALRALDADNSPHAPSMSEEEINALPVFKYKVQAHQGSASFRKSDGPSQPSVSSTESGNEKKQDRFKADATDNTLEDELTCSVCLEQVVVGDLLRSLPCLHQFHANCIDPWLRQQGTCPVCKHRVSDGWHGEADASNMV >OGLUM03G12150.1 pep chromosome:ALNU02000000:3:9250136:9255526:1 gene:OGLUM03G12150 transcript:OGLUM03G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCFPMDHSGSVSGGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGVIDEKLYPNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >OGLUM03G12150.2 pep chromosome:ALNU02000000:3:9250173:9255526:1 gene:OGLUM03G12150 transcript:OGLUM03G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSGSVSGGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGVIDEKLYPNGIEDLLILPRGQNSIHDEKNKLTIDQEFEQYFTRLML >OGLUM03G12150.3 pep chromosome:ALNU02000000:3:9250173:9255035:1 gene:OGLUM03G12150 transcript:OGLUM03G12150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSGSVSGGGKMMVKAAAAAESASTNERTQSFSGCNSEKFYHIESPHKKRKSQYELSDTRYKFRNRLAWQEDESSRTESLGCNSIFVNRNYDMDMVNRVEELESCDNTQSLIGGCIEVDSINGIESHKMLKVQAFSSSSSSNNISSDAFTSSRSNGTKDTDSWDMQHLEYDHPGLMLLPYDDDIEEAYDVLGQYDVVMKNDLASGDVDGSAAGVIDEKLYPNGIEDLLILPRGQNSIHDTNILCVPPSGRESIEQTRRIS >OGLUM03G12160.1 pep chromosome:ALNU02000000:3:9257990:9258855:-1 gene:OGLUM03G12160 transcript:OGLUM03G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGCGDEREVGGLLGGCRLSVRTFGTGWGRGPAWREEDAVAGDEPRAMSSRDVEDLVYVASRPREPAARDISTKRGGASVAGARERSDVGGRS >OGLUM03G12170.1 pep chromosome:ALNU02000000:3:9268389:9268685:-1 gene:OGLUM03G12170 transcript:OGLUM03G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFYVDDKWKFSKKSRNNGSRRVPGGSGAGGDPFLKRSASSRDQVIGRGRVGSGGGGGAAAAPSSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >OGLUM03G12180.1 pep chromosome:ALNU02000000:3:9278753:9282028:-1 gene:OGLUM03G12180 transcript:OGLUM03G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5A2] MKQVDQAQMNGIKMGARCLALLLLHGTLLLLLLLPQLPLAGAATRYYTFNVKLQNVTRLCNTRAIPTVNGKFPGPKIVTREGDRVVVKVVNNIKDNITIHWHGVRQMRTGWSDGPAYVTQCPIQTGQSYVYNFTINGQRGTLFWHAHVSWLRSTLYGPIIILPKAGLPLPFTEPYKDVPIVFGEWFNADPEAIVAQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFRLKVQPGKMYLLRLINAALNDELFFSVANHTLTVVDVDASYVKPFDTDVVLITPGQTTNVLLRAKPTAEAAGATHLMMARPYATGRPGTYDNTTVAAVLEYAPPGHIKSLPLLRPSLPALNDTAFAAGFAAKLRSLACPDYPSNVPRRVDKPFFFAVGLGTTPCPGSNNQTCQGPTNTTKFTASINNVSFDMPTTALLQAHYTGQSAGVYTADFPASPLEPFNYTGTPPNNTNVSNGTRVVVLPYNASVEVVLQDTSILGAESHPLHLHGFDFFVVGQGTGNYDPSKHPAEFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTTWGLKMAWVVNDGPLPEQKLMPPPSDLPMC >OGLUM03G12190.1 pep chromosome:ALNU02000000:3:9283551:9301839:-1 gene:OGLUM03G12190 transcript:OGLUM03G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARIASELPLSGRAETRHYKDYDDLDRKLKGSSDLVTNCKVSEVQAGKNSLRPKPGIGRARRKCRRREAAPEHGAAATCTAAWTRAPRQEQAAEIPIVLFLSMVFSGATVQLQAFEFRYRIMTAAWNHRAGVVGAVWSHGSTIGDNGVVEWHGGCQVARSAACIWGGKEFDGNDYRWSSLLVE >OGLUM03G12200.1 pep chromosome:ALNU02000000:3:9304363:9306637:1 gene:OGLUM03G12200 transcript:OGLUM03G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDRCHKVQEPKFDCLLFDLDDTLYPLSSGIASHVKKNIGDYMVEKLGIEESKIENLGNLLYKNYGTTMAGLRAIGYSFDYDEYHSFVHGRLPYENIKPDPVLKHILKNLRIRKLIFTNGDKDHAVRALKRLGLEDCFEGIICFETLNPPCPSPPCDGEASIFDIAGHFSMPGAAADELPRTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKRIGLHTVLVGTPQRVKGADHALESIHNIREALPELWEEAEKAEDVLIYSDRVAIETSVTA >OGLUM03G12210.1 pep chromosome:ALNU02000000:3:9319154:9324707:1 gene:OGLUM03G12210 transcript:OGLUM03G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRTRKKPKKYRTRRWEVGGHRGHLAYPATRPATTRLALLDLPRLPFFSRGRLRDFSSSSSSSTRLDSPPTEIFLPPPRRDIARAMGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >OGLUM03G12210.2 pep chromosome:ALNU02000000:3:9319289:9324707:1 gene:OGLUM03G12210 transcript:OGLUM03G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >OGLUM03G12210.3 pep chromosome:ALNU02000000:3:9319152:9324707:1 gene:OGLUM03G12210 transcript:OGLUM03G12210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDGSGAASSGGGGGFFSSIAAGVRSLGTAVHKSVNGLVGYEGLEVINPDGGTEDAEAEALRGRWKQEDRDSYWKMMHKYIGADVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADECEDPYMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGISFIAEQVSHHPPMGAAHCENAHFTYDITSKLKTKFLGNSLEVYPLGRTRVSLKKSGVKLELVPPLTKVNNLIFGRTWVDSPGEMVLTNLTTGDKVVLLFQPCGWFGAGRYEVDGYVYSAAEEPKIMITGKWNQSMSCQPCDQEGDPLPGTELKEIWRVAPTPPNDKYQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEKGDMSKSGSEKSRLEEQQRAEKRTREAKGEQFTPRWFNRTDEIAPTPWGELEVYEYNGKYTEHRAAIDSSSVADDDTDVTSIEFNPWQYSSSSSQ >OGLUM03G12220.1 pep chromosome:ALNU02000000:3:9347135:9348091:1 gene:OGLUM03G12220 transcript:OGLUM03G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTDKRHLQQEVEDCRARLEEERTGFEAARKEMVAVREIVQDNLAEMTALKARISKVEVERNVSVLCSVACLFALAVVLCAKN >OGLUM03G12230.1 pep chromosome:ALNU02000000:3:9350820:9356241:1 gene:OGLUM03G12230 transcript:OGLUM03G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHIAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSGEHCEIPFTFSFFEEHCIDGTHIQTCLLYSYLSSLILLDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHTVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >OGLUM03G12230.2 pep chromosome:ALNU02000000:3:9352095:9356241:1 gene:OGLUM03G12230 transcript:OGLUM03G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQKRSSLEMESGGGSHIAEMPRVPKSARGKRSIRKKESQGQVMCAFDLLATVAGKLLDEGEGSLGNASSGTPAVDASPKDVRVKQEQCDEEAKHFKNEVMDQDSCNESALVSHIAFQRPVNHHGRKGEDPEGSHAVIEDPKAKSEALDKESSMISCTKAELGCNFAAIADRWSPESVESGAFTGDAAAIAMPATTSGFHKNAPDMYNLLDPMDVDVKPPPLVSSDSTGEMPLYGNKIRRSTSFPRVPKGGAGFTVDRDEDDDDKSSGCTHPSTATNRGFRPNCTAGHSRVKKLLACKHRKVAPARMHKGDLSYSGEHCEIPFTFSFFEEHCIDGTHIQTCLLYSYLSSLILLDVDRKPSFRNKKMYYTRQRTQRSTFKRRKMFDRHSAQVSEEYAKANTKFAARDSHTVSLEANKGTNSTAFQKSQESSDCHVKLRIKSFKVPELLIEIPETATVGSLKKTVLEAVNAILGGGLRVGVLHHGKKVRDDNKTLMQAGISHDEVLDNLGFSLEPNCAPHPSQLSPPEDNEFMETVDTTEPLARIAPADSSSKHGEVDASQELALAPLSANYQGSDHDFVHSPGGMSSPDKASTNSRAIVPVTPADSNAGAIVPANKAKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEALLLT >OGLUM03G12240.1 pep chromosome:ALNU02000000:3:9371449:9379400:1 gene:OGLUM03G12240 transcript:OGLUM03G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGQGQYGGGADINSMVAAQLHHYQTQQRVQQHPDNNYPGRDPGKAAEEQQYSAPKVRQSQWDRGGPNAPNQIPAYAYNEGQSAQGAQTFYDGQRSDLKVGLEKQPNKESRDRPRNDRFEARREDYNLPRTFEGLEQNFHEDIVILSKELHDAEDAENARHRERLNEINAQYQEKLLALRARQATYREEFLRKESQARQQQYQQASMSSYANNVRPGETHGYTPIAAKPPPPPPAAAATAGGTYGEAHRGYTSAQYDNFRERPDYPEFRGRGRGEDHGLEHRGQFPGGRAYNSGGRRF >OGLUM03G12250.1 pep chromosome:ALNU02000000:3:9376033:9378700:-1 gene:OGLUM03G12250 transcript:OGLUM03G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAGVQGNAEINPSFSAPNSSGTGSKNSSKNGADSSTFGTKASASSSVPPTPRSETEILQSSNLRKFTFGELKGSTRNFRPDSLLGEGGFGSVFKGWIDERTLTPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCFEDEQRLLVYEFMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHSDQAKVIYRDFKTSNILLDSDYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKIAGLAVQCLSMDARCRPGMDQVVTALEQLQGAKKAAK >OGLUM03G12260.1 pep chromosome:ALNU02000000:3:9390842:9394369:1 gene:OGLUM03G12260 transcript:OGLUM03G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGITKNPCFSGDPYAAAVASDPLPDDSHGHSFTYVPSSAAAFDHSPRSAAASSETSFFSLSGAAISANPATSASMPSFRLYNELTWPPSTACTFESSRSFAAAPLIQAAPPRLSMSGPLHATSGRFSEASGSASTASDRFSDHPFMDGMLDRASSASSTARLMPSFSHLMSEPRVAQSGLSNERSLIRSLVRVASKLRFGVPLSGRRSNGPAEPTTKSDGDYRSTPKGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHRELKGVLWDDIQGVDVVTDNLPDPALANATHLCFLDAGGVGGGGDDDPDAERKAKRGRIERNADDDGASSVHRDVLKALARALARTEEAFFAAAEERAAQSPELGLVGSCVLVMLMKGKDVYLMNVGDSRAVLARRREPDFKDIFFRPDQDLQLLKAEVIRELEAHDRNGLQCVQLTPEHSAAAEEEVRRIRSQHLTDRQAVVNGRVKGKLSVTRAFGAGYLKQPKWNDRLLEAFKVDYIGAEPYISCTPSLRHHRISSNDRFLVLSSDGLYQYFTNKEVVDQVAMFTAEQPDGDPAKHLVGELVLRAARKAGMDCRRLLEIPHGDRRNYHDDVSIIVMSFEGRIWRSSV >OGLUM03G12270.1 pep chromosome:ALNU02000000:3:9399302:9400849:-1 gene:OGLUM03G12270 transcript:OGLUM03G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNRQSERKKGETKETCNIVKLWSYYFRPHACTIHSHLHHHEKLALQSFIYDIPSRIPDPEKRDRASGLGLGGAYLRLLSDDLRANSAAADSRAERTMLPRLRSHPCAGLAPSKATGRTTISGGDRRSQTERHGEGTPLHWETPEPNRAFHETGWTVVLSAH >OGLUM03G12280.1 pep chromosome:ALNU02000000:3:9402915:9405606:-1 gene:OGLUM03G12280 transcript:OGLUM03G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGASCGRPSEEVDFFGAAQSGDLARLAAAVRSRPSLLGRTTLFDRLSALHIAAAHGHLQVVSMALDLCVHPDVVNRHKQTALMLAAMHGRTECVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRAILSAAQSAPVSQSWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSSAFGFPGSTPLHLAARGGSLDCVRQLLSWGADRLQRDSVGRIPYEVAMKRGHVACAALLNPSSAEPLVWPSPLKFISELEPDAKALLEAALMEANREREKRTLKGARSASPLALPSPSRSDDGAHDAAISEEEAAAGGGEVCSICFEQACTIEVRECGHQMCAACTLALCCHAKPSAAAATPCQQPLPTCPFCRGGISRLVVATTKTRAGGDDEEDDEEAGSRLASPLHRRSRRAVNHPSGDGGSTSSIMGSIASSIGKMGRRRTDSSEHVDVDKP >OGLUM03G12290.1 pep chromosome:ALNU02000000:3:9413124:9418020:1 gene:OGLUM03G12290 transcript:OGLUM03G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLASASGLHRLRRSPWEVLWSGLASCGLTLLSQLAVAMVPRLFPSLSLLAMLPVAGVVFLAVIVVGRFWRRFIGVAASAPLFVLFNILFLWGVYVFVIRGGTSSLLDMNPMSVNMVIGTMLFSVLQVLWQVCPNH >OGLUM03G12300.1 pep chromosome:ALNU02000000:3:9419707:9421662:-1 gene:OGLUM03G12300 transcript:OGLUM03G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVAGCSRRLSRTRDYVVALKSLMLVHRLLADGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSGSNASSSVNGPSPRDRWGSPDPYGRRSPSYSSPPGNGNGYGYGGYDDYRERNGNNNADDKKPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYGWCKETGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEEPEPDMNEIKALPAPEDYKEPEPEKVEEEVKPEPPPQPQGDLVDLREETVTADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKATMTGGMDPLLLNGMYDQGAVRQHVNAQVTTGSASSVALPPAGQKTQVLALPAPDGSMQNVGGDPFAASLSFPPPSYVQMAEMEKKQQFLTQEQMMWQQYQRDGMQGQSSLAKLDRAYNNGFAPNPAMPYGMPAAYNTNPMPMAYTANTGYYYPTY >OGLUM03G12310.1 pep chromosome:ALNU02000000:3:9421698:9422461:-1 gene:OGLUM03G12310 transcript:OGLUM03G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGTKRPPTQKHATTTHAVCLASSSLVSLASSADRSFRRAPELPVSHPKRPETELTEREREREREREREREGRGGRVLARHRPDLELPDLPQRSKPSKRRRLLLLRPRLLVVCG >OGLUM03G12320.1 pep chromosome:ALNU02000000:3:9426304:9426730:1 gene:OGLUM03G12320 transcript:OGLUM03G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSSRPHPPEFQINPGMPLSSSLATNENESLMTMQRVERERRTRSGSSHRPLAPLHARQVPPTGSLKPSTNV >OGLUM03G12330.1 pep chromosome:ALNU02000000:3:9430404:9430903:1 gene:OGLUM03G12330 transcript:OGLUM03G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGDGGALLRPQPFPTRHWWQNGRASRDWSDMQLPRQKSLMGRGGGRKLRQVDSLPRSPMALASRASLAKTESIVDMQELGRKEEGRGAGGVPHAWRQSSRGLRHGAEVSDHDWGDAPCGGPVAWPPRASRSGVAVVLGNGPGIYCRTCVA >OGLUM03G12340.1 pep chromosome:ALNU02000000:3:9431980:9432421:1 gene:OGLUM03G12340 transcript:OGLUM03G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMREAADHAHKCRGHGAVSRLFPTVVHAARERVPSIHMRRGEERPDFPPHRRISQGPSRRRSPRRRLAYSWPAAAAARKTGEYSR >OGLUM03G12350.1 pep chromosome:ALNU02000000:3:9434100:9440054:1 gene:OGLUM03G12350 transcript:OGLUM03G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5C2] MDEEAKPNPNADAAPAAAEAAASSPPPLSSSESAEGKDKEEEKEEEEEEAGDLVEKLVELVEEIAAISDFRNAYRRQFCNLSRRIRLLAPMLEEAKEGPRPLPEVSYSALRRLREALADSRELLRLGVSGSKISLVLEREKIMKSFQDITARLEQALGLISFDELDISDEVREQVELVHAQFKRAKERSDPSDDDLFNDLVSVYNSSTSANVDPDILQRLSDKLQLATISDLNQESLILHEMASGGDPGAVVEKMSMLLKRIKDFVQSQDPEMGTPVNTTELSGKDNMASPIVPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLIAQWCEANGMEPPKRAAQHHNAPASCTAAEHSNVVELLQKLSSQNLEDQREAAGMLRQLAKRSPENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGVVHVLKRGSMEARENSAATLFSLSLVDENKITIGASGAIPALVLLLSNGSQRGKRDAATALFNLCIYQGNKGKAVRAGLIPVLLGLVTETESGMMDEALAILAILSSHPEGKTAISSANAIPMLVGVIRNGSARNKENAAAVLVHLCNGEQQQQHLAEAQEQGIVTLLEELAKSGTDRGKRKAIQLLERMNRFLMQQSQAQAQAEAMAQAHAHAQSQAQVQALNEAQSQVEMQVEQLLLPTTSHLSDRRDG >OGLUM03G12360.1 pep chromosome:ALNU02000000:3:9451653:9452309:-1 gene:OGLUM03G12360 transcript:OGLUM03G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQEGLVALLEEKAHVRVIRIGIRGIFMLCLAKENQLRAVAAGATAAFARRVAEGGGVGELERALAAVERLCRTEGGHDAVVADAGGGAAALANHAYGVSERRRQTIAMAGGGGWGYATASAGWNVVRITTENNKIRPRVSKS >OGLUM03G12370.1 pep chromosome:ALNU02000000:3:9469593:9471050:-1 gene:OGLUM03G12370 transcript:OGLUM03G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSVPAYLDPPNWNNQQGQPPRPANVGGGDAQHLPVGPTAAAAAPDEIGGLPTSSSSASAAAAAVQQARPNSMAERARLARAPQPEPALKCPRCDSTNTKFCYYNNYSLSQPRHFCKTCRRYWTRGGSLRNVPVGGGCRRNKRSGKSSSSAAAGASSSSSKPSSSAARQLPGGGASPMPSAAASTQPGGAAAGAIIPPSGLSSMSHHLPFLGAMHPPGSNLGLTFSAGFQPLGGMHHHVDTADQFPVASGGGATIGASLEQWRVQQQPQQHQFPFLGGALELPPPPPMYQLGLEATRAAGTGATAAAAFTLGQTSATATTSRQEGSMKLEDSKGLEMSLQRQYMAALRQGDGVWGNNNGGNGGSDGGGNGGGGSWTMNFPGFHSSSGGGGDDGGGVL >OGLUM03G12380.1 pep chromosome:ALNU02000000:3:9480728:9484307:1 gene:OGLUM03G12380 transcript:OGLUM03G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable mediator of RNA polymerase II transcription subunit 37c [Source:Projected from Arabidopsis thaliana (AT3G12580) UniProtKB/Swiss-Prot;Acc:Q9LHA8] MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDTSVQSDAKLWPFKVLPGPGDKPMIGVQYKGEKKQFSAEEISSMVLNKMKETAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITDNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSQGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIKDDKIASKLPEADKKKIEDAIDGAISWLDSNQLAEAEEFEDKMKELEGVCNPIIAKMYQGAGADMAGGMDEDAPAAAGGSSGPGPKIEEVD >OGLUM03G12390.1 pep chromosome:ALNU02000000:3:9484867:9486818:-1 gene:OGLUM03G12390 transcript:OGLUM03G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKAKSISLSFADKCRNILCANWEAHLNTIKADIKGSKGEIYTSRVHYMVERGTTYLIVPEDDRHTINIVIDERGSLSVCSPIPGRLTTLLRSLGKLPPRIAMTGDVLFMKRSKVPVIADSLKKAILKEHKAASEASHSVSAILSSASAACRSRSEGLLSLLDQGSSYNILKFEIGSCVYIDSLGSSHKVELDNFEPPKADLLLPFSARIIDGINRSDPRRRALIFFCFEYFNVTATDALLLSIDHHGFDVLAKVPEKAVLLDVPRQYVWREFRFSFKEAAKDIEDFCRMLVELEEEALQSMKSYSGL >OGLUM03G12400.1 pep chromosome:ALNU02000000:3:9486946:9489663:1 gene:OGLUM03G12400 transcript:OGLUM03G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASRRGGEHRRFKPGLAGAGDGGGGEVGERCGGEWGGRDLQLGLSGSQKRPQLLQKLLVHPPAAASPPTRAGCATATLSPGYLEVYFRIVLARMGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >OGLUM03G12400.2 pep chromosome:ALNU02000000:3:9486946:9489663:1 gene:OGLUM03G12400 transcript:OGLUM03G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVASRRGGEHRRFKPGLAGAGDGGGGEVGERCGGEWGGRDLQLGLSGTFYEKSAALADPRKGLNFSRNYSCILPPPLRHQRAPAARRRRSPPAISSARMGRKAGALYINPKKFGGVTKPCMIEMVSFLNCLALNKQNDDKCVRQKDLLVACAQAQKGRPKNAAKTINYHLQRLARDKGI >OGLUM03G12410.1 pep chromosome:ALNU02000000:3:9489448:9491634:-1 gene:OGLUM03G12410 transcript:OGLUM03G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLPCDDDGPAVGIDLGTTYSCVAVWRRGRVEVIPNDQGNLTTPSCVAFTDSWRLIGDAALNQAAMNPLNTIFDAKRLIGRRFSDVSVQGDIKLWPFKVASGKDDRPMIVVQYRGEEKEFSAEEISAMVLFKMKETAEAYLDKTVEKAVITVPVYFNDSQRQATMDAGAIAGLDVLRIINEPTAAAVAYGLGKVVGSSDKKKRVLIFDLGGGTLDVCVLNIDPGVDIDMGIFEVKATAGDTHLGGEDFNGRMVKHLVREFLRKYKRPEIRGDQRALRRLRTACEKAKRMLSSTAQTTVEIDSLHGGVDFYATVSRAKFEELNMDLFRRCMDTVDKCLRDADVDKSSVDDVVLVGGSTRIPKVRSLLQDLFHGKALCTSVNPDEAVAHGAAVQAAIITGDADVDELRDVLLLDVTPLSLGVETRGVFMTVLIPRNTTIPVRKKDNFTTCSDNQTTALIKVYEGEGERTKDNNLLGKFELTGIPPAPRGVPKIKITYDIDANCVLKVTAKDKTTGRSNSITITSDKGRLSKEEIERMVKKAEKYKAEDEEEMKKAEGYVTP >OGLUM03G12420.1 pep chromosome:ALNU02000000:3:9492220:9496664:-1 gene:OGLUM03G12420 transcript:OGLUM03G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G37585) TAIR;Acc:AT2G37585] MRKQPQPQPQGNRRLRWLRALLLALPLLSVPVLYAALGAARPLVPPRRGAAPHQLPPRLAYLVSGGAGDGPRIRRMLRALYHPWNFYLVGVAGEEERADLEAFVRGEEAPRRYGNVRVAAAGEWGPVSRHGPTELAATLHAAAVMLREFDGWSWFINLSASDYPLMPQDDILHIFSYLPRDLNFIEHTSNIGWREYQRARPIIVDPALQISNKTEVVTTKEKRSLPSAFKIFVGSSWVILSRSFLEFCLLGWDNLPRTLLMYFANFLASSEGYFHTVICNSKYYQNTTVNNDLRFMAWDNPPRTLPVNLTTEHFDAIASSGAPFAHSFANDNPVLDMIDTKLLRRAPERFTPGGWCLGSSVFCSEADEKFGKVREIAVETFGT >OGLUM03G12430.1 pep chromosome:ALNU02000000:3:9501591:9504692:-1 gene:OGLUM03G12430 transcript:OGLUM03G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor family protein / Rab GTPase activator family protein [Source:Projected from Arabidopsis thaliana (AT5G09550) TAIR;Acc:AT5G09550] MDEEYDVIVLGTGLKECIISGLLSVDGLKVLHMDRNDYYGGESTSLNLTKLWKRFKGNETAPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYEEDDPKSHEGLDLHKVTTREVISKYGLEDDTVDFIGHALALHRDDNYLDEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLAELPQAFARLSAVYGGTYMLNKAECKVEFDENGKAYGVTSEGETAKCKKVVCDPSYLPDKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPTNTADEDNCFVTNSYDATTHFETTVKDVLALYSKITGKELDLSVDLNAASAAESEAA >OGLUM03G12440.1 pep chromosome:ALNU02000000:3:9510945:9514270:1 gene:OGLUM03G12440 transcript:OGLUM03G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESLLTALSMDTTTAHHPHQGPSTFLSMDTASHDDFDLFLPPPPGPFRRCLHAAAAAPPDINLPLDADPSPPPPALQSGALHDPNVDMLDVGLGGPQLYDSDSPAATTGVSPAPAAATTTVAVSHAKGSNSSAARKCVKRNDTIWGAWFFFTHYFKPVMSADKNGKAKAPTAGGNGNNATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSAEKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNLAGVPEADLKRWTELTGRDANFSIDAEASDYESWRNLPSTDFELERPATTASAKTSSHGHHKKLLNGSGLNLSTQPSNHSSGDGLDIPNICNKRRKDSSPTAMEEDCSNSNSDKVQDMEVSHTFEPSWMNDFTGVMRHASGPVTASKTIYEDSKGYLIIISLPFADIQRVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLVDPTPEHCPPGEFIREIPLPTRIPEDATLEAYCDESGTGLEIIVPKYRVGPEEHEVHVSMRPPSSWCQS >OGLUM03G12450.1 pep chromosome:ALNU02000000:3:9515511:9520409:-1 gene:OGLUM03G12450 transcript:OGLUM03G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGTKKERCILGQLRARPSRGIRRGGGKEAVGLATDPPFARRHVNGSKADKAPRTFMVGW >OGLUM03G12460.1 pep chromosome:ALNU02000000:3:9520432:9524473:-1 gene:OGLUM03G12460 transcript:OGLUM03G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAFLSTTIKNAVITVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRRCMEPVEKCLRDAKMDKAQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQRVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEQVRHKVEARNALENYAYNMRNTVRDEKIASKLPADDKKKIEDAIEDAIKWLDGNQLAEADEFEDKMKELESLCNPIISKMYQGGAGGPAGMDEDAPNGGAGTGGGSGAGPKIEEVD >OGLUM03G12470.1 pep chromosome:ALNU02000000:3:9525897:9528010:1 gene:OGLUM03G12470 transcript:OGLUM03G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRLDFGVQTTTNEITVLFHISTDQWRTVHIIEHTRLKSPNRAGAWPLRADVAAHAHDDPVDVPDVPDLLAPALLLRRAHRHGAAVHGPPVRRVDVRVVEADLHGAPLAGRVRQLHRSRQRVRLPLVQRRERDRRRPALQLRPPYNSLHVTRTHQEVVSSSQLKI >OGLUM03G12480.1 pep chromosome:ALNU02000000:3:9526972:9528171:-1 gene:OGLUM03G12480 transcript:OGLUM03G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dessication-induced 1VOC superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07645) TAIR;Acc:AT1G07645] MASEGAVSPAFAYTVVYVKDVAKSAAFYSAAFGYTVRRLDQSHKWAELESGTTTIAFTPLHQRETDALTGAVQLPDSSGERGPVEICFDYADVDAAYRRAVDSGAVPVSPPEQKSWGQKVGYVRDIDGIIVRMGSHRHLTAIAAVVVVVIPVPTVSDDDGGRSMPAEQRRQR >OGLUM03G12490.1 pep chromosome:ALNU02000000:3:9530607:9535738:-1 gene:OGLUM03G12490 transcript:OGLUM03G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLLLVSFALLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFFGQADTDNGVIMENVQAMDNARAFQKAVGKVMSKATAQVSQAGSGGLGRVKDQYTPFINIYGFAQCTRDLSPLTCAQCLSTAVSRFDQYCGAQQGCRILYSSCMVRYEIYPFYFPLATSSTATTDMTKYTKTISESESELERSKPMAFSSKACRCLVLMSFALLPLSMAMDSIGSYCSGNSLAGNSKAVASINSVLTDLVAKGSTGGGFATSSAGKGNNVIYSLAQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNGKAFQKAVGKVMGKATSQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMTKYTKIVVHR >OGLUM03G12490.2 pep chromosome:ALNU02000000:3:9530607:9535738:-1 gene:OGLUM03G12490 transcript:OGLUM03G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISSKACRCLLLVSFALLPLSMAMDPLGSYCSGNSLAGSSKAVASINSVLTDLVTKGSTGVGFATSTAGKGNNVIYGLVQCRGDVSTSDCQACLASAANQILTSCNYQSDSRIWYDYCFMRFENENFIGQTDTDAGVILVNVQAMDNGKAFQKAVGKVMGKATSQAGSGGLGRTKDQYTPFINIYGLAQCTQDLSPLACAQCLSTAVSRFGQYCGAQQGCQINYSSCRVRYEIYPFYFPLATSARSATTDMTKYTKIVVHR >OGLUM03G12500.1 pep chromosome:ALNU02000000:3:9536482:9543215:-1 gene:OGLUM03G12500 transcript:OGLUM03G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGTVKGFDATNGFGFITPDDDDEDLFIHQSSLKFDGYRSLNDSDVIELSVGSGNDGRNKAVDVTVLGGDTHTGGFCPSCGPIPAAGCPLVVSWPLMCYYFLLCVVHHRSSSPRCLPASCVWTTRSSSTRVTSGELTRVVPELSRCRTGLPPPHSELSPTSSRQWRRTSSGRRVAEVDVTSRSRA >OGLUM03G12510.1 pep chromosome:ALNU02000000:3:9547348:9547965:-1 gene:OGLUM03G12510 transcript:OGLUM03G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLPVSPSPLLFLLRPSQPPSPNLSNGISQRRGNVNRGIRASEADFAGIKKKGDKGETNLTMATALARPPCVSVEVWPVVMRSTAVSVLTSPLPLLALSERKAVPHAAATREEAMTRTSTRTTQCAIAAMDRVLAHLTAGRSSPCTSSPSRAARGPPPTAPPMPACFRCQDLEKDETWQPEEEQNEREGADGWGPCCFPRGHAT >OGLUM03G12520.1 pep chromosome:ALNU02000000:3:9549565:9553878:1 gene:OGLUM03G12520 transcript:OGLUM03G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNQA >OGLUM03G12520.2 pep chromosome:ALNU02000000:3:9550276:9553878:1 gene:OGLUM03G12520 transcript:OGLUM03G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEANGSSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVNGLIKLMNGDNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKIVLRDGLVLMEDDFRERLQVPKKNQA >OGLUM03G12530.1 pep chromosome:ALNU02000000:3:9554201:9554970:-1 gene:OGLUM03G12530 transcript:OGLUM03G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVAFIKVSRRTKIRFSPDNTTRERGTSRQRSQKVSCRKPLRHTTFTGLPDATTSSHVVGWATSLLSPALTSQCYRLDCA >OGLUM03G12540.1 pep chromosome:ALNU02000000:3:9556529:9561789:1 gene:OGLUM03G12540 transcript:OGLUM03G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATIPGSSPTRRRRGPPDPVVRARDLLSAKMAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVNYIPFDT >OGLUM03G12540.2 pep chromosome:ALNU02000000:3:9556529:9565140:1 gene:OGLUM03G12540 transcript:OGLUM03G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATIPGSSPTRRRRGPPDPVVRARDLLSAKMAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >OGLUM03G12540.3 pep chromosome:ALNU02000000:3:9558275:9561953:1 gene:OGLUM03G12540 transcript:OGLUM03G12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >OGLUM03G12540.4 pep chromosome:ALNU02000000:3:9556529:9559444:1 gene:OGLUM03G12540 transcript:OGLUM03G12540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATIRPSSTVKLPATCLPTRGPAASTTHPTYPATHASSSGGGLAAGRCAVDSGTTARGSPTRGPHHHAGPVGGVYRTRGETARPAFKAHPLPSSPSPSRITAIRHFTTTTAIIRLAPIQPARHRRDAAAAPQIPSCARAICSRSAFYNFNSVLGCLL >OGLUM03G12540.5 pep chromosome:ALNU02000000:3:9558275:9565140:1 gene:OGLUM03G12540 transcript:OGLUM03G12540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSSLSNLNPSRPSPNFKFVKGDIASADLVNYLLTTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTLWEEGLKKTIEWYTNNPDYWGDVAGALLPHPRMLMTPGVERHNWTDEIKSLSTSPDEAKESSTAVPAATAKSTSSAPQKASYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLQDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDKPNFTGSYYSKTKAMVEELLQEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKSEFPELLSIKDSLVKYVFEPNRKVPAN >OGLUM03G12550.1 pep chromosome:ALNU02000000:3:9564048:9566087:-1 gene:OGLUM03G12550 transcript:OGLUM03G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MAETLDMTLDDIIKNNKKANPSSGRGRREGRRGSAAGGGGGGGGGAPESAWQHDMYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >OGLUM03G12550.2 pep chromosome:ALNU02000000:3:9564048:9566087:-1 gene:OGLUM03G12550 transcript:OGLUM03G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MAETLDMTLDDIIKNNKKANPSSGRGRREGRRGSAAGGGGGGGGGVGRGPTSRPRYEQQRIERGARVCCAPSAEELGFRFSTARRGRMWMDGRVVFSLWYDAIQAPESAWQHDMYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >OGLUM03G12550.3 pep chromosome:ALNU02000000:3:9564048:9565688:-1 gene:OGLUM03G12550 transcript:OGLUM03G12550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G02530) TAIR;Acc:AT5G02530] MYSDVAAGGGGGSGGGGRVSAIETGTKLYISNLDFGVSTEDIKELFSELGDLKKYVIHYDRSGRSKGTAEVVFARRGDAVAAVKKYNNVQLDGKPMKIEILGTNTPTAAAALPANNGGYVRNVAKSAPRGGPAGLPQGRPRPRGGGRRRGGGGGSGGPGGSGGRRGKERSQPKSAEELDADLEKYHADAMQTN >OGLUM03G12560.1 pep chromosome:ALNU02000000:3:9568785:9571527:-1 gene:OGLUM03G12560 transcript:OGLUM03G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAARRLRELQGQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLAARGVPKETPHVAKYNSNAAAAYRDRIAAVAEGRPWTDPPVVKETPGSGAPAPTRKPPLPAGGGGGGGGGGWDDWDDDFRPDMRRNQSVGSFGESGAESGRQPPRSKSTQDMYTRQQLEASAANKDSFFARRMAENESKPEGIPPSQGGKYVGFGSSPAPSANRNGAAAQGDVMQVVSQGIGRLSLVAASAAQSAASVVQVGTKEFQSKMREGGYDQKVNETVNVVANKTAEIGSRTWGIMKGVMALASQKVEEYAKEGGNGWGDDWQRREQGSEPYHRFERETNGNGWNSSSHDGSSKNYNSNSWDDWDEPVKKDEPAKERQSSDSWAGWDDGKDDNFDSYNHSTPSKGSNQNGTTGGSYWTEGGFR >OGLUM03G12570.1 pep chromosome:ALNU02000000:3:9571686:9573505:-1 gene:OGLUM03G12570 transcript:OGLUM03G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHATNGVVVVQSDMWVNYVRSLAKYRTFDVKQPPLPPARWARGIGDTGEDTHGLPNSQTYPCSSERYYRDCLATARGQ >OGLUM03G12580.1 pep chromosome:ALNU02000000:3:9573602:9578090:1 gene:OGLUM03G12580 transcript:OGLUM03G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) binding protein 7 [Source:Projected from Arabidopsis thaliana (AT2G36660) TAIR;Acc:AT2G36660] MNEWVIDSVRASQRASARGAGGHRTRRCFGATHGWAGGWPPSTTRPAKHSHPTGADAPLPHLLSRITKRPRRLTIYEFLFFVNQITPRLQCLVSQNTIAFFFARLVSISLVSCTPLPTFGLAGGGSQGPGLLLMAAQEMEVMMVAMAAEAPVAVEEEAVPAAAGGLNATVPALYVGDLHESVREEHLLEVFGKIGTLTSVRVCRDNATSNSLRYGYVNYLSQADAAIALEKLNHSLILDKPIRVMWSNRDPDARSGVGNVFVKNLNDLVDNVSLQELFCKFGDILSCKVAKNEDGTSRGYGFVQFALQESADASIQNLNNSHFCGRQLHVATFIKKSERSTNNDDKYTNLYMKNLDDDITEELIKLKFSQYGLVISVKIMKRDDGTSKGFGFVSFQNPESAKRAKESMNGMLLGSKTLYVARAQKKAERKQYLQRLHEEKRNEIITKSNGSNVYIKNINDEVGDDALRERFNEFGNITSAKIMRDEKGISKGFGFVCYNTPEEAKCAVSNMRGVMFYGKPLYVAIAQRKEERRAKLEQRFAELATMVGATSPVIPTGYPQFYFAHPSTHLPQSPGRQGFMYPPIGISQEWRHNMFPSSHNIQQIHSPIMRNTPWQYRNNRGRMNGNMMHFHHTVNYVPHAQPAKEFMSMSRQRFSHAKYIPNDVMANGLAIHHGDSISSMNDAFNNLLATAPPEEQKNMLGNRLYPLVERHHPDLASKITGMLLELGNSEVVMLLYSSNMLSAKIEECVKLLQAVKPKPEDQEALHPGFLLDSAGVNAN >OGLUM03G12590.1 pep chromosome:ALNU02000000:3:9588202:9591285:-1 gene:OGLUM03G12590 transcript:OGLUM03G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSCGFVPLDDGFIYAIVWGYGQSVLEYRYMSALYILRSIKIIDTSQSLGKKIIYCGGGDGGFIVSPLSSFDDPVHRQAISGRETRGRGAHGGRSRKKTENGLLKKPRAVVAAVCCGGGDGGFIVSPLSSFDDPVHRQAISGRETRGRGAHGGRSMKKTENISGGKTNLDFLMKRYLP >OGLUM03G12590.2 pep chromosome:ALNU02000000:3:9587488:9591285:-1 gene:OGLUM03G12590 transcript:OGLUM03G12590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSCGFVPLDDGFIYAIVWGYGQSVLEYRYMSALYILRSIKIIDTSQSLGKKIIYCGGGDGGFIVSPLSSFDDPVHRQAISGRETRGRGAHGGRSRKKTENGLLKKPRAVVAAVCCGGGDGGFIVSPLSSFDDPVHRQAISGRETRGRGAHGGRSMKKTENISGGKYSL >OGLUM03G12590.3 pep chromosome:ALNU02000000:3:9588222:9591285:-1 gene:OGLUM03G12590 transcript:OGLUM03G12590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSCGFVPLDDGFIYAIVWGYGQSVLEYRYMSALYILRSIKIIDTSQSLGKKIIYCGGGDGGFIVSPLSSFDDPVHRQAISGRETRGRGAHGGRSRKKTENVSGGKYIKELILIS >OGLUM03G12600.1 pep chromosome:ALNU02000000:3:9591355:9591741:1 gene:OGLUM03G12600 transcript:OGLUM03G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGCGAGLGIWETSKLVIGCGDAERGGCEVRSPVDGDAGVMPRRVSYPTCCFVLSRSGHPGVHGGEPRAAERVVQYHPWRPRGRVPLWLPCSRGLRRRWGGAAAQQRLGAGVPGMGARDGEAMRCP >OGLUM03G12610.1 pep chromosome:ALNU02000000:3:9591828:9592094:1 gene:OGLUM03G12610 transcript:OGLUM03G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQDEDGRRRECLGCGKGRGSAIAREVEVERRRGIDGGWALGIGAATGNGGRGTWRRGSERGGAVALWRAMGGDGVGWMGAGTGSE >OGLUM03G12620.1 pep chromosome:ALNU02000000:3:9592519:9601695:1 gene:OGLUM03G12620 transcript:OGLUM03G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTATVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDVKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWNSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPRTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >OGLUM03G12620.2 pep chromosome:ALNU02000000:3:9592519:9601695:1 gene:OGLUM03G12620 transcript:OGLUM03G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPPSPPPQQTAATTARGFEVTCVKDLLPLLQGVPVTYRFEKHNAKLEGTVAAGGYACACPAYAGCDYRGKVLSALQFEKHAGVTSKNQNGHIFLRNGRSLYELFHKLREVPAEKFPEAFRMAAGVPMTVLAAAAAAAAEEAPRERGLGPGPVAAEQPPASATPRPRPTMEMLTEEEKAGLSLLGLRASGSRTEINSMDGIEGLATEAIGNAPSSDHAMLDAEEMGNAAAQRPRNSGLSTTATVKVPVTARNNHAMPDANEVRNADGGRSATLAVKLEVTSGSDHAMSDVKELKNADYEQPRDSVLATTSAVKVRIGAANDHAMPDAEQTRNPVLEQPWDSSMLITAPVKVRVTETKYRPESILKDVRGLLSTGLLEGFRVTYKKNEVERIGRINGQGYSCGCSECGYRNIMNACEFEQHSGESSNNQNNHIFLDSGISLYMVIQGLKYTKLDMLGDVIGKVISLPPNMIQYEKWKASFQLEKDYFDDAPSDPCSTQSSQESNIALTDSLKDSTSNASSILNWNSFRRRSDRQFKRGGTETSTPILSRSPEKEISDLSTSTSMKSEETPSENTAGLLTTDGIKHNSAGPVALRSTSSECDPINMTFPLSISVTVIQDPPPDHNVDSNSKDLGQPKVRDNTLHPMLFKEGGLPDYTLLTYKLKNGEYTPSQFEKHVGMGRRRQPYRSIYTSDGLTLHELALKLQDGLSSNVNIDELPTLTSGSGKEYSTTSRPIIVPLKRTLQERVLTVESCYMCRKPRTVLGVISVDMIVFCNQCERALHVKCYNNGLQKPKAPLKVLGEYTQFNFMCCEKCQLLRASLHEGLKKREDIAFLRRIRYNICWQLLNGTNMRSDVQHQVIEIFKDAFAETAPQDIDDIRNMVNSKDTTGEKDFRGIYCAVLTTSTFVVSAAILKVRTEEVAELVLIATHNECRKKGYFSLLLSLIEAHLKAWNVRLLTAPVDPEMAPIWSEKLGYTILSDEQKHSMLMAHPLVMFANLSLVQKSLA >OGLUM03G12630.1 pep chromosome:ALNU02000000:3:9606014:9609491:1 gene:OGLUM03G12630 transcript:OGLUM03G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLGGLASRMVGAKPFSTELKHPFTLWDFVGLSFYTTEEELKNVFSPFGAVEEARLVRDNQTGRPKGFGFVKYSSQADGEKAVKEMDGRVIGDGGDAVIGDGGGGLQRLPRRRPWSRGGGRAAPESTPPAKYARGRRPRRGSGGHAPHPESGGGGGGGGGAREWRDVGTTMRGGDGRGRGKSAQAADRCCRRMPMDGGPPTPTLAEVLSLSPPTTPRSRAPSSSRRRKVAAQTLATTAAGGQTAAEVARPPDSTPPAESGEEGGVGVHTGEEGNAAAAGEGSGPFFPIIAAPSLPCSCLVIAELSWQRRKSDGNDGAGGRRRQRGTAMARYDDGGGD >OGLUM03G12630.2 pep chromosome:ALNU02000000:3:9601798:9609491:1 gene:OGLUM03G12630 transcript:OGLUM03G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRGGRLGGLASRMVGAKPFSTEVFVSRLSFYTTEEELKNVFSPFGAVEEARLVRDNQTGRPKGFGFVKYSSQADGEKAVKEMDGRVIGDGGDAVIGDGGGGLQRLPRRRPWSRGGGRAAPESTPPAKYARGRRPRRGSGGHAPHPESGGGGGGGGGAREWRDVGTTMRGGDGRGRGKSAQAADRCCRRMPMDGGPPTPTLAEVLSLSPPTTPRSRAPSSSRRRKVAAQTLATTAAGGQTAAEVARPPDSTPPAESGEEGGVGVHTGEEGNAAAAGEGSGPFFPIIAAPSLPCSCLVIAELSWQRRKSDGNDGAGGRRRQRGTAMARYDDGGGD >OGLUM03G12640.1 pep chromosome:ALNU02000000:3:9602071:9603178:-1 gene:OGLUM03G12640 transcript:OGLUM03G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G37510) TAIR;Acc:AT2G37510] MALSSSLLHRLLRGSTPVSSSSAAASSILRATFCSSSSSAPSPTESPLSSVFGDGAEVSNVPPLTAPKLFISGLSRLTTDEKLKNAFAPFGQLLEAKVITDRISGRSKGFGFVRYATLEEAENARQEMNAKFLDGWVIFVDPAKPRQQKPAPRPDTDSSHTGFTTNKTVGWCG >OGLUM03G12650.1 pep chromosome:ALNU02000000:3:9604121:9604756:-1 gene:OGLUM03G12650 transcript:OGLUM03G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit B/B', bacterial/chloroplast [Source:Projected from Arabidopsis thaliana (AT4G32260) TAIR;Acc:AT4G32260] MATAMMAATATSCSPRRAPVVASSSAQPPRRQQQQQPRRGLKQLPGLVATAAVAVAAAPLPALAEQMEKAALFDFNLTLPLIATEFLLLMVALDKLYFTPLGKFMDERDAKIRAELGGVKDASEEVRQLEEQAAAVLKAARAEIAAALNKMKKETTQELEAKLDEGRRRVEAELVEALANLEAQKEGAVKALDAQIASLSDEIVKKVLPSA >OGLUM03G12660.1 pep chromosome:ALNU02000000:3:9608783:9611481:-1 gene:OGLUM03G12660 transcript:OGLUM03G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVWGNGGKPDQSPPPSSYRAIAVPRCRRLPPAPSLPSDFLRCQESSAMTRQEQGRLCWRRQRGSSDDGEEGAGSLSGGSRVAFFPRVDSYASFLAGFGWRRGIGGPRDLCRRLAASCCRRQGLGRHLSPSGARRRSTTGSSWRGEGEHLGERRRWGAAVHRHASATAVRCLRRFAASASVASPHRRPNVAPLPSAASAASTAAGFRVGGVTSTASARPPAPRVFGRRGGFGGRAASTSAPWPSSR >OGLUM03G12670.1 pep chromosome:ALNU02000000:3:9611529:9612109:-1 gene:OGLUM03G12670 transcript:OGLUM03G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5G7] MDAAGAGAGGKLKKGAAGRKAGGPRKKAVSRSVKAGLQFPVGRIGRYLKKGRYAQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKAAAAGKEAKSPKKAAGKSPKKA >OGLUM03G12680.1 pep chromosome:ALNU02000000:3:9621168:9624992:-1 gene:OGLUM03G12680 transcript:OGLUM03G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginine biosynthesis protein ArgJ family [Source:Projected from Arabidopsis thaliana (AT2G37500) TAIR;Acc:AT2G37500] MPPPSLLLLHPRTPLPHHHRSSFRTSSPRPSRVVCAAAEGFISAAPILLPDGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKRVLNSSKTARAVLINAGQANAATGDAGYQDTVDSADAVAKLLNVSTNDILIQSTGVIGQRIKKEALVNSLHRLVGSLSSSIEGANSAAVAITTTDLVSKSIAVQTEIGGVPIKIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIALASGLSGLSSILTHDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVAGANNEAEAAKIARSVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDADQLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDIHGTVNIDVSVGRGGGSGKAWGCDLSYKYVEINAEYTT >OGLUM03G12690.1 pep chromosome:ALNU02000000:3:9627834:9631903:-1 gene:OGLUM03G12690 transcript:OGLUM03G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDELERKRYRTLILVADTFLANDLRQFPSAKLKASLYTSTLANIFILMLKGSTGIQLLSLFSGYMKDDVSSAVETQLAESCRLESGVETCRLLVSSVAVKCEASNSPSTTVGFDRMIAADYDLFHHMSFSPSLQNLQSPTFFTTRSSESYLGESSIYGGGARPALAQFSYSQPIAATSAAHLVRWTAAGEPMTGDGGFRSSKRLKTATTATTQPPRHGVKCHAKPRNQTTKATCKKRSQKLGDRITALQQLVSPYGKTDTASVLHEAAACIRQLHQQIQILTAPYPGTSSSSASSQQQVVFSVLESCSHVMSFFTAIATVLWLQDAGGGGGTATELRRRGLCVAALSPAVVSLAAEGGRRRTDVEDQKRIWFSNQ >OGLUM03G12700.1 pep chromosome:ALNU02000000:3:9639204:9639707:-1 gene:OGLUM03G12700 transcript:OGLUM03G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARILLLFSGAHGGGGGAAAASPPERMFECKTCNRQFPSFQALGGHRASHKKPRLADGDPAAEAPAKPKVHGCSICGLEFAVGQALGGHMRRHRAVMADGLGLGLSLGLGIGVVGQSDDGGGKKKAAAAAAAELVFDLNAPAIEEEPDRARPAGLAVEFPVVVDFPC >OGLUM03G12710.1 pep chromosome:ALNU02000000:3:9657622:9664042:1 gene:OGLUM03G12710 transcript:OGLUM03G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT2G37420) TAIR;Acc:AT2G37420] MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQGSQVYITKLSDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMLKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNRKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLCDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >OGLUM03G12710.2 pep chromosome:ALNU02000000:3:9657622:9664042:1 gene:OGLUM03G12710 transcript:OGLUM03G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT2G37420) TAIR;Acc:AT2G37420] MAHHPESPEAFHSYDATCPISAGACTTGAHSLSLIESPEFKFLRHEEKAANSISISPNPPPNRRPNHSPTAAKKPRDLPAPAAATTCGMAQTPNPSRRSLVGPPPHPFLTPRPERRQLELRWADGGSQSSARRSGVGLTGGGGGGGGGSEMKDCEANVQVVLRCRPLSEEEQRANVQSAISCDDLKREVTVLHSLFKQADKTFTFDKVFGPKAQQRSIYDRAVKPIVKDVLEGYNCTVFAFGQTGTGKTYTMEGEMRQKASELSATAGVIPRAVRDIFDILEERKADYSMKVTFLELYNEEITDLLALEDQSRFPEDRQKRAISLMEDRKGGAVIRGLEEVVVYSASEIYNLLEHGSARRRTADTALNKQSSRSHSVFSIYIHVKETTVGNQELLKCGRLNLVDLAGSENIARSGAREGRAREAGEMNKSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKTCIIATVSPSIHCLEETVVTLDYAYRAKSIKNKPEANQKVCKSVMLKDLYQEMERMKQDVKAAREKNGIYIPQERFALEEAEKKTMRDKIEYLETQNKELKMNIESCKKEYLDLEEAHSRANISLKEKEFIISNLLHAEQSIVERAKDIRGALENASGDISALVDKLGRQSNTEAENKGLLFDFRSQLDHGLDLLHDTVVGCVCEQRQFLESMNEQNKIYFSAKSESTSQLERRIAKAKDIYASGVQCMNQLANTLHQRSIAHSEQMGLNILSHATRAANFLAVMVSEAEQVSNDVFKSISELKELLAFSADQQEVMLKRDLVSAQVMSKTSIDFFEDIRGHASRLIEHMEQSQAESSSQLLKFEEDFKELSVREEQAALDKIAGILAGLTAKKSTMVLDCVGQLNRKCREEQKHLKLQISNLQKVSDSGGKEAAAYAAKVESQFSEDKETRKENESILQKMLIVSTQNDAKFAAITSDMLTAVKDSHLCDSESRMRIETVFATSSDHLEMLDTKHSQGTESIRSMTAKCLERDYKANSPVRRRPGELMTNAYSLESIEQLRTPVPDLVVKFRSENNLDEVDKGKRYVDQGTRTPRSPLMPVNHYNK >OGLUM03G12720.1 pep chromosome:ALNU02000000:3:9668761:9670774:1 gene:OGLUM03G12720 transcript:OGLUM03G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MASLQNLICSVSKQLVAPNCAVTSKLNASPLSVVNASSSEATSDEKNVTRRRLALLGAGALATEVPKNYKSYVDSKDGYSYLYPADWRDFDFLGHDSAFKDRNVALQCVRVGFIPTTKTDIRDLGPMDEAIFNLVNNVYAAPNQIPTVYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKLSDLTA >OGLUM03G12720.2 pep chromosome:ALNU02000000:3:9666438:9668783:1 gene:OGLUM03G12720 transcript:OGLUM03G12720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61620) TAIR;Acc:AT1G61620] MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIHPLCCPKGHTFCKECILECLLAQKKDIKRKLAAHEAQKKLEKEEEGEKRMLQKSKELDAFDQQNHGAVPQYHDRSGSDDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATAKVEAPSTDTICPEGQEKLKLKSLFPISFTEESTDQKNKKSVEKSYMCPSCKSTLTNTMSLVTISTCGHVFCKKCSDKFLVTDKVCLVCNKPCKERNFVPLEKGGTGFAAHDERLEARDFKHLGSGSGLGLVKPAPKNY >OGLUM03G12730.1 pep chromosome:ALNU02000000:3:9671205:9679323:-1 gene:OGLUM03G12730 transcript:OGLUM03G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter B family member 20 [Source:Projected from Arabidopsis thaliana (AT3G55320) UniProtKB/Swiss-Prot;Acc:Q9M3B9] MPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEGLGPGEEEVEDDEVEPPPSAVSFWRLFEFADGIDWALMVAGALAAAAHGAALVIYLHYFGRSLNLLDSERVESALHGRSDELLHRFKEHALYIVYIAGGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLVVGLINCWQITLLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAIAYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIARGKADGGQVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSTNQEGSTLPLVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEAAKTAHAHGFISSLEKGYETQVGRAGMALSDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNGKERKSLQIEDLSASQSFQESSSPKMAKSPSLQRTHGMLQFWRSDTNKNSHDSPKDQSPPSEQTIDNGIPLVATERVPSIKRQDSFEMKLPDLPKVDIHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEQSEPEELQHHKPPSFWRLAALSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIDVSDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKEENSADTLSMRLANDATFVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLLQGLAIGFGFGFSQFLLFACNALLLWYTAISVDKQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLISVFQIIDREPKIDPDDNTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVTGQVLLDGRDIKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTAGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGTHDSLMDLNGLYVRLMQPHFGKGLRQHRLM >OGLUM03G12740.1 pep chromosome:ALNU02000000:3:9685629:9685943:1 gene:OGLUM03G12740 transcript:OGLUM03G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDLCRRAPPPPAPSAEPASGSSTTGSRAASPPRPTSGSSAASTLCRARLGVVHRRLPCGVAAEARLLVVRRRCPPPSHLGVIRRRLPCCFAAEARLRVAAG >OGLUM03G12750.1 pep chromosome:ALNU02000000:3:9688094:9690996:1 gene:OGLUM03G12750 transcript:OGLUM03G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQGKPDGILLGGKPRDLHQTETPLFLVSLVIARDSGGSIACRYHAALAVDCARAARSEDGSCVRPRPAIESVVVVVVVVSAGLAQPSIRPVRPIWNLYRSCSTPSMG >OGLUM03G12760.1 pep chromosome:ALNU02000000:3:9703131:9712136:1 gene:OGLUM03G12760 transcript:OGLUM03G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGLVAAASSSRGLQTTPGMAGIGRGRSRRRGEAGEGWMERNAAAGSTSPAAVDHRGTVLRKQTSNPGMLSDSMLAAENWRSKSKKASGTPMKTLIDEEFSKDVNARHTSPGVVGRLMGLDSLPSFGANNQHRYAQSHAEKSSPCCAHERRSFSEYIPHRRSTDEMPEVKDVFEVMEATRMKIHRSPRSKNGNVTSTFGKTGSPDLDQMRQKLMDAKRLSTDESLKISEELSETLDVLASNKDLLLQFLQKLDPIVKRDLHDHDSPSSTANCITILKPSRRNQFTDTDNIYSQDKGAESYFYKQKEVEHSQSRPYTKLPNQSPKEDSGSLRQKLSRSSHQEISDKRVCSTRIVVLKPSLDKAQDIEGAFALRNELSRFDFRRHKPCHGDAMWSPCTEEYIGPLRDSETFNDVAKGSKEIARGVMKQMRAARGVGTRRHIFKPETSTLVSDERSQPLSSRSNVKSSEVFHRSSELHDGYASSSFTSSPSYSTETKVSREAKKHLSNRWKATHRYQHQADKNNGFSMLGDMLALSDQEASKVATQKISNRKYPKGESQKDRMTSTCNSPLGISSNDGWRDVATGSLPRSKSLPTPFNRGVQKSNNRKRTGRHNEFSMLKDVLKVGPYDSEHACNSRNRKSLFQDATFHSDGADRVSSDNEERAIIEREIHVNSEEPINGIALANSSKGTLLHPSNPDNELDTVYYLDTSPVVPGQKKELCSPDRQNQQIHQRSPIESDDHLLVPRLNISMTQAEGIEQHQCDDNPVCNFEEKSVSAMRIDDHQSDGNQVPWMIPQTGSESPVSSDKDDQQSPVSVLESSLDAEDIYSGLRMQLRLLKMEATDSADDTELISSDDELTTESQPLPDKEISPTFRDEEERDFSYVLDMLIVLGINTANRDQLLDMCYLSECPAGSDVFDVLENKYNSLILWPSPERKLLFDLTNDVIADIITSVMQHSSKGLSWSCSSRLDQEGFVEVVWQRVVELQQEMEYAHEGLFMDLGWVGSEDGIDLVASEVGKMVHEDLLQETISEFLGVTKSAMICGWNEP >OGLUM03G12780.1 pep chromosome:ALNU02000000:3:9731006:9732745:-1 gene:OGLUM03G12780 transcript:OGLUM03G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLKYELQEKTLQVERLQRDIEKCSLELEEKDSKLTLSEQNRLELHQLLSRCQNSLKFWKCAVLFFIFLSTGKPTPASIFSSSPRSDLRHHQSYSSYVFFHPPSRSVFFHPRNPVIQDEDFLDSKFVRDCFVGDDLSYDVENCRMIIVPVYNNNTWACYCWDFLKKMISVLDPNLMSGKSENVYLNHSHALDTLHNGMVQCIQKFFNGWEVDYSWRHRYTALLTKHCKKYVH >OGLUM03G12790.1 pep chromosome:ALNU02000000:3:9733564:9734631:1 gene:OGLUM03G12790 transcript:OGLUM03G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5I0] MQCTTAAAAAAAASDLGTVRSCDSCPVSSGSGERAASMVDKAAVWLVVIALAAAANGAFAGRVLEEQPAAAPAPAEAPLAPVDPLPAPTDPPADTVVAPAAVPAGGAAATGNAGVGAAGGGAGAGDHHQLTFFMHDILGGSQPSARIVTGVVASAAANGQLPFARPNDNIFPIQGAMPLPQGASNLVNGNNVPYVAGLGGTSSAAIVQGNGNGNGGNKNIPFVNAGDLPSGATLQNLLFGTTTVIDDELTEGHELGAAVVGRAQGFYVASSQDGTSKTLVLTAMFDGGGVEAHGDTLSFFGVHRMAAPESHVAVIGGTGKYENAKGFAVIQTLHPGDEHTTDGVETLLQFSIHLI >OGLUM03G12800.1 pep chromosome:ALNU02000000:3:9735137:9738898:-1 gene:OGLUM03G12800 transcript:OGLUM03G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGCECDGTNAHTSGKEHRLLFVLLGFLLASSCFLIYPSFTPLSSSSAAAAASRRLPVGVRKPPLRVVVTGGAGFVGSHLVDELLARGDSVIVVDNFFTGRKENVARHLADPRFELIRHDVVEPILLEVDQIYHLACPASPYKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQTLRKQPMTVYGDGKQTRSFQYVSDLVDGLITLMESEHIGPFNLGNPGEFTMLELAQVVKETIDPSARVEFKPNTADDPHMRKPDISKAKSLLHWEPKISLKQGLPRMVSDFQKRIMDEKR >OGLUM03G12810.1 pep chromosome:ALNU02000000:3:9738966:9743711:1 gene:OGLUM03G12810 transcript:OGLUM03G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSDKGEGDLEIGLASPEAEGGGPGGPALDLSPPRAVRRPGLVMSHSGKRLDQSPAASPSPSRPVLVMSHSSNRLDQSPARPVLVMSRSSNRLDQSPASSPASSRGPVLVMSGSGNRLDSSGPSPSPSPTAAAAAAPVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEINAQMTGTGEEFDSEVAEIRAAVVNEPNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDVLHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHIEVVNLLLERVSGLVELSKGNGKNALHFAGRQGHVEIVKALLDADPQLARRTDKKGQTALHMAVKGTSAAVVRALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNELLLLPDMNVNALTRDRKTAFDIAEGLPLSEESAEIKDCLSRAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVSGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDNNGMAIAVHAVSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEIINKLMWLASVCTTVAFISSAYIVVGKHFQWAALLVTLIGGVIMAGVLGTMTYYVVRSKRTRSIRKKVKSTRRSGSNSWQQNSEFSDSEIDRIYAI >OGLUM03G12820.1 pep chromosome:ALNU02000000:3:9744159:9747131:1 gene:OGLUM03G12820 transcript:OGLUM03G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATRCRAHAVLMDAKNQQSGDGQQRPAQQAAGMDPAKAAAQAATRRKKMTKQLTGKRDDTALHGAARAGQLVAVQQTLSGAPPDELRALLSKQNQAGETPLFVAAEYGYVALVAEMIKYHDVATACIKARSGYDALHIAAKQGDVEVVNELLKALPELSMTVDASNTTALNTAATQGHMEVVRLLLEADASLAVIARSNGKTALHSAARNGHVEVVRALMEAEPSIAARVDKKGQTALHMAAKGTRLDIVDALLAGEPTLLNLADSKGNTALHIAARKARTPIVKRLLELPDTDLKAINRSRETAFDTAEKMGNTESVAVLAEHGVPSARAMSPTGGGGGNPGRELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKQINKLHDEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDAGSLSPGQALGEANISHQTAFLIFFVFDSVALFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLVSVAFLALSFVVVGKAERWLAVGVTIMGATILVTTIGTMLYWVIAHRIEAKRMRSIKRSSLSRSRSFSASGMSEAEWVEEEFKRMYAI >OGLUM03G12830.1 pep chromosome:ALNU02000000:3:9751774:9752712:-1 gene:OGLUM03G12830 transcript:OGLUM03G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASAQCFGEEEYIDLDLSSCRGFEFRVCRSAAAPPPCGDEMLFRGSRLHKAKHQQEADAGGGGGGGGGCGGGRRSTATVAPWHAAAAVAGIRNAQPAARMQRQPDGGATGRRKKGAAGSVHAKLQASRAFFRSLFARTSCSDEQCHGVGVRATTRSSRAATAASGAAGSVKPAPFGQIRNSYGSCSGRGAAAAPTTLRSSIEQEKLMDEEEHAASVRQRKSFSGVIKWRPPPAPAAAAARPPPPPAFPTRRSSSAASDPPLKRCSSARSESEGLIQGAIAYCKRSQQQLVLARKSVSDAALCSLQFQTQL >OGLUM03G12840.1 pep chromosome:ALNU02000000:3:9754172:9762820:-1 gene:OGLUM03G12840 transcript:OGLUM03G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRNAVHRAVEASGGPLLTRTVRSSLGTVVHHAGQAVAGGARLINDRIGSRSYKSMRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAAEKEAKRAGHPDQHLPVLDLYMDYETGAEPMNFIHVFLYSQALECLVLSMKKPRFSQKLCLPGGKDVHNAILSSIKELAKLFSTYHDEVLAKRAELLQFAQCAISGLKINPEISRLDDEILQLQQRINGMDALRSNSTSRRSKASQTVAEGFRTAVNEIRLCSRMEELVNKLKVLSESLANSAAKAERRIMENRLQKEESLIFRVTKTNEVSVTEKVNNILNAAVMKLKKTREERDQFDEASNQIVLHLKAKEEELSRSIASCKVESSTVGAWIIFLEDTWKLQSLYEELRKKQANDELDKCATCFAKLINHHLYARVEELSTCIDSIKTFVDNLKIFDNRSVSAEDGNNGSSKQSNPRKYLEEEYLEAEKKVVAAFSLVDNIRAIYLSNQDYQARRDDPDVKKLFANIDKLRVEFESVPRPLLQIEIKEREERAKQSRSLQAARSSRQAGHESPIPAQLRTRLPSESDSELAKSDPEYREYSADDISGWEFDDLEDDGARLSVKSI >OGLUM03G12850.1 pep chromosome:ALNU02000000:3:9763600:9764085:1 gene:OGLUM03G12850 transcript:OGLUM03G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAADAIGVVYSSGSTTRLLISDTLRTPGRTIRNFVVGCSLMSVYQQSSGLTGFSCGVPSVPSQLGLTKFFYFLLARRFDDNATASDELILGGAGGKDDDVRMQYIPLARSASTRPLCSVYYYLALIAITVRRKSVQLPKRAFVAEELEEAPLSRRRDSA >OGLUM03G12860.1 pep chromosome:ALNU02000000:3:9766522:9767717:-1 gene:OGLUM03G12860 transcript:OGLUM03G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDLLFRNLQLDDDDVKLGTGKATATNRRTEPLGNPKVSFSEDPKYSTTWGKEGRKEGRKEGRAEERVTNYQAAEQVISCCTE >OGLUM03G12870.1 pep chromosome:ALNU02000000:3:9767458:9771070:1 gene:OGLUM03G12870 transcript:OGLUM03G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLEMLVQLAVVVTVLCAAVRAYTPADSYLFLCGTSGNATVDGRTFFGDAGLPASVLMVPHSTEANMPANQVTGAGDDSPALYQSARVFTAPANYAFSAKPGRHFVRLRFFPFRYQSYDLAADAAFNVSVQGVVFVDGYTPKNGTAVVREFSVNITGRALVITFTPTGKKVAFVNAIEVVSHPDELIGDTAPMVNPRNQSQYTGLTAKALETVHRINMGEPKVTPNNDTLWRTWLPDWTFLHESSFAAHNQVSPAMIKYQSGYATSLTAPSAVYTTVTELNTTAAMVGNTQAQLNLTWKFDAPAVSDYLLRLHLCDIVSKATLGVVFNVYVGQWRVLQDYESSGDTFSLLATPLYKDFVLAASDAAKGTITVSIGSSTATNALPGGFLNGLEIMRIVGSTGSIDGATSPRGSKIKTGIIAGSAVGGAVLAIALGCVAVRMLRRKKKPVKQPSNTWVPFSASALGARSRTSFGRSSIVNVVTLGQNGAGAGAGYRFPFAALQEATGGFEEEMVIGVGGFGKVYRGTLRDGTQVAVKRGNRLSQQGLNEFRTEIDLLSQLRHRHLVSLIGYCDERGEMILVYEYMAKGTLRSHLYGSDLPPLPWKQRLEACIGAARGLHYLHTGSAKAIIHRDVKSANILLDDGFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARAVIDPTLPREMVNLAEWATRRLRDGELDRIVDQKIAGTIRPDSLKKFADTAEKCLAEYGVERPSMGDVLWCLEYALQLQVASPDSSVTTLQRSSSISSVVTDATVSANLGDLDGMSMKRVFSKMLKSEEEGRRKMH >OGLUM03G12880.1 pep chromosome:ALNU02000000:3:9771022:9776709:-1 gene:OGLUM03G12880 transcript:OGLUM03G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGQEEGKRRDGSDASGSEPAAAAFPAWARTPSECLAELGVAADRGLSSEEAAARLRRYGPNELERHAAPSVWKLVLEQFDDTLVRILLAAAVVSFVLALYDGAEGGEVGATAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRVEQGSLTGETASVNKTSHKIELEDTDIQGKECMVFAGTTIVNGSAVCVVTGTGMDTEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLTWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRSFKVDGTTYDPSDGKINEWPSLSMDENLQMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSLDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKKADSGKNLLLVKGAVENLLERSGYIQLLDGSVVLLDEGAKALILSTLREMSASALRCLGFAYKEDLAEFATYDGEEHAAHKYLLDPSYYSSIESNLIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGSTEDISSKSFTGKEFMSLSDKKKLLRQTGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYDNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGMYVGIATVGVFIIWYTHGSFLGIDLAGDGHSLVSYSQLSNWGQCSSWEGFKVSPFTAGARTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKED >OGLUM03G12890.1 pep chromosome:ALNU02000000:3:9780353:9781354:1 gene:OGLUM03G12890 transcript:OGLUM03G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCCTRSARGPSPPAEEAYDEVDKSRRTTATIKRQRRPVTEVSSRQTWNPFSGAVSRYKVHVALTEAFVYEFGRRRWFGFGQTRRLLVAETTTTTTAKGRVRRAGAPTGHRGRIRSKPSDGGGTAAAVVDGREEESTNVHLHLLVEDEIRMSSSPPALPAVDQKEKTWFPPGGYNEQCKPPARITYADRCGPESLEAFLESVVAARGGGGSASSHGVHGNRWAEIAEAKARRQRYLRDYCPFQRDEEETTEAAGYDHATVKQPANCPGSEGGDDTTTGVREKDARAVRGTAEYHVMRQEFLKSYQIRTFGEKETRVPALRRLLPRRKTARIL >OGLUM03G12900.1 pep chromosome:ALNU02000000:3:9781746:9786414:-1 gene:OGLUM03G12900 transcript:OGLUM03G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPLLASTSRATASVASSSSANCPDPMDEGPSVSVPGLPFAEQLRAAGRGASSGSPGGPEAQVQALASMSRGIYPLARAEALRGLAAVLETADAPGGVVELCHGCAVGLMRDEDEGVRLASVRLIALCADKLNTREGSDGNGDNQTDIMFLQRVSEGVLLQSLSKKVIKPNTGSGSIIKGEKVPPKLIYPCAAGIFAHGIEDEFHQVRTAACKSLGALSKLSAQYAQKALDLLMGMMNDDTEAVRLQTLQALFHMATYGCLTVQEMHMHMFLGLLVDMNASIRDATRKILGLVNLPKLQMFKSAMDVLITSLEKHQEEQEIYSVLFSVGKNHGNFSANIAKHLAKEISMPSDGELILDKPRIKALLIVSISVAFSDDKHNKRDIPEVIFSHAISLLGKISCAIGEVVDQNSLLSYFCQRTGIPFWETKLPSRESEGCSVETVADIRPRIEKTVKSTKCLDEVLTMQSVKSIIETVERTWTIRKSCNIRDVRNILRTCKEELRILASNSSGSTGAFLSFLCEYLDAVQFIVEILRSFQLDNSYDLGPTSPDILLEKLDTSIRRMKCCYAGFNRGMEIQVCELALLANLFGLSKVGIQSKLVLDKLHWVINRLDCLCADGSCELSYFSREIKKAFDANFVGHDIFTLLELFYPKPTTDYGMLKTISADLQVRDNDPENSSTYVCGLPVAVSLYISLCNISSQDRLWLRMIVGESIQHTFLELSSFGGNDEVKSCSTIIPFYATPMACSFVLRACLVMECPYGSVSIRQECNRGPSGSIIELSDELDVYFVCTKRR >OGLUM03G12910.1 pep chromosome:ALNU02000000:3:9793126:9793452:-1 gene:OGLUM03G12910 transcript:OGLUM03G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGASGDLVVASHAPPRRDTGGGCRGEWPGWTRMPMGELGEGSTASRRMGRPPMLRRALVRRRRRFTCLWNAPRNPLRNARLSNQRIASGVRSFGGTVCLRPSSSS >OGLUM03G12920.1 pep chromosome:ALNU02000000:3:9793522:9794422:-1 gene:OGLUM03G12920 transcript:OGLUM03G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTQVREKSTPDVSCLHRAHGDMGMSAKSARARMLDPDDIVVMFSRAGGQITADGGVSAAAGAAGAAARGEKAGEGEKRRPAPEKGKGGRPQRRG >OGLUM03G12930.1 pep chromosome:ALNU02000000:3:9817048:9822482:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSRRGGRAKVRKRIALQRRGREGRKSGEEEGKQGISQCRRAWWEGGGRGRIGSSWRVAVARHHPHPAPRAAALAFITPPPRRARLGERAGRRLSSSAHTGAASGADLSALGFVQDTEYWLVVRAKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASHLNGKINYSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVAPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAKEPEEQSQRHVQNDVEKEQPLESQSVGLANNRAEIEKPDGAHRVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASCAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNAVEVCGSLQSSSNPIPEPSISNSRNRFGYDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSDSMGSGRNLKKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQVDDPHWRGMGHPYVNVNSKRDWVSSSIWNERWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSLPMNSQLQGGLMRDMDIDGFSRRVVPDPRLLAHEHMEDLSDDMMERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGRSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHRGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRRY >OGLUM03G12930.2 pep chromosome:ALNU02000000:3:9817048:9821752:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDECCCEDTEYWLVVRAKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASHLNGKINYSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVAPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAKEPEEQSQRHVQNDVEKEQPLESQSVGLANNRAEIEKPDGAHRVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASCAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNAVEVCGSLQSSSNPIPEPSISNSRNRFGYDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSDSMGSGRNLKKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQVDDPHWRGMGHPYVNVNSKRDWVSSSIWNERWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSLPMNSQLQGGLMRDMDIDGFSRRVVPDPRLLAHEHMEDLSDDMMERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGRSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHRGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRRY >OGLUM03G12930.3 pep chromosome:ALNU02000000:3:9817048:9821752:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDECCCEDTEYWLVVRAKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASHLNGKINYSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVAPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAKEPEEQSQRHVQNDVEKEQPLESQSVGLANNRAEIEKPDGAHRVPGKAALDLNSGIFPNVATANVPLSTERLRDAIRTEAMHADHEVKKSIKCEETTAAIPSPATASVSSRCSPLMATKQLPLGDRDASCAGLRVSASQPSLPTEPACCNPDEANVDCKPTMSHVNSRNAVEVCGSLQSSSNPIPEPSISNSRNRFGYDGMSQGSAEMDCSEDDDNIVSHLSTTNKPHGGTLGNNQTSDSMGSGRNLKKEHDSNTHQNCSFVTNKIDMQGISDDKRINVKDGVFPHSCQNSHQSGNVVNEESKNKQLLGSDKNTPMNNNDSTIRVKTITGSSTADTRRTTSVQNERDGQVDDPHWRGMGHPYVNVNRNERWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSLPMNSQLQGGLMRDMDIDGFSRRVVPDPRLLAHEHMEDLSDDMMERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGRSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHRGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRRY >OGLUM03G12930.4 pep chromosome:ALNU02000000:3:9819746:9822482:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSRRGGRAKVRKRIALQRRGREGRKSGEEEGKQGISQCRRAWWEGGGRGRIGSSWRVAVARHHPHPAPRAAALAFITPPPRRARLGERAGRRLSSSAHTGAASGADLSALGFVQDTEYWLVVRAKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASHLNGKINYSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVAPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAVSAKKITREVGIPRTDKSPVEEVVKLVPLNENPHKTISSSVARI >OGLUM03G12930.5 pep chromosome:ALNU02000000:3:9812247:9822482:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTSRRGGRAKVRKRIALQRRGREGRKSGEEEGKQGISQCRRAWWEGGGRGRIGSSWRVAVARHHPHPAPRAAALAFITPPPRRARLGERAGRRLSSSAHTGAASGADLSALGFVQATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIYRFKTLGGGGGEDGSSTTVTSTLIGSSQSNDTRLLDDDEAELLATSLTLLPSPPSAPPTFLPSTETSGLQHNARQCLAMMVMKKKMREGRNLRRPQRRPES >OGLUM03G12930.6 pep chromosome:ALNU02000000:3:9819746:9821752:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRDECCCEDTEYWLVVRAKAKMSVAKKEEVCSHRLGPRLDEPAVGVPIKKRPVLLSDRLLPSGMPPSMRPSSPATGMAVSVAEAGCSKDTFLNRSVSEETSAIAKGNGMFNPQDQRHAKRSFIQSLTEKKGLSLDGSSGIPSRIESGTGNVAPVDDTQSQKFLSLGLCSASHLNGKINYSSNVKEEKVDQGLSSFPSADFQKDAGATNEPKSSSDSSFGRLPNLDLNVPLDPHDPAESLPIVQDSSNILYHETVQLQKAHVPPVAPVSTVSNGLRRNIDSTLNLSNAYGLSNKRGAADVTLDLQLKPPARPELGINWKGLAPVPGLSLSLSGKHVEESENNAGLNLSLSGKHVNESENNAPNVAVRSEPAVSAKKITREVGIPRTDKSPVEEVVKLVPLNENPHKTISSSVARI >OGLUM03G12930.7 pep chromosome:ALNU02000000:3:9817048:9818433:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAVNCTMSVEWTTSVQNERDGQVDDPHWRGMGHPYVNVNRNERWERLMQSKREKNKGEYHGGRHAPDTFNQRRPDYRYGGRGVGSRGNPRNFRGPRMNESELYFDDEPMARRRRPFEDYLGHMQRIPHRRHRSLPMNSQLQGGLMRDMDIDGFSRRVVPDPRLLAHEHMEDLSDDMMERFYVPHSRRHHIQGDHAFIHRNRSHSPGQRRGAPMHLHRGRSPETMGRSPPLIRTDRPYLPHRHHTRRHGSPFDRIEHDDRGMQRNMRRCGMHHGGVEGDSFEPHLHPAQLAELHAEAELTERRKFGERRGHHLRPFEGSPDDDEVILSYGADGDMDFAEGGSGGLPPGELDGRFRRHRGRDEEEEDHMCHGPHGWRDGSSNGSRAKRRRY >OGLUM03G12930.8 pep chromosome:ALNU02000000:3:9812247:9815420:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGWQATHTYQRSLLQTNRPPYLIIRYCMATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIYRFKTLGGGGGEDGSSTTVTSTLIGSSQSNDTRLLDDDEAELLATSLTLLPSPPSAPPTFLPSTETSGLQHNARQCLAMMVMKKKMREGRNLRRPQRRPES >OGLUM03G12930.9 pep chromosome:ALNU02000000:3:9812247:9816049:-1 gene:OGLUM03G12930 transcript:OGLUM03G12930.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRLCELQHEESIVATTTTVDGGDDGMVEDPSKAGSPVPIAAVRSGAIYRFKTLGGGGGEDGSSTTVTSTLIGSSQSNDTRLLDDDEAELLATSLTLLPSPPSAPPTFLPSTETSGLQHNARQCLAMMVMKKKMREGRNLRRPQRRPES >OGLUM03G12940.1 pep chromosome:ALNU02000000:3:9814839:9818987:1 gene:OGLUM03G12940 transcript:OGLUM03G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSLRGILAFGRVVSFSSSYGTVSTNRAVKYSTEIRLTMCEKEKSFLYSSYYALVCDKNCLPLYIIEEPNSQILRCRDVNSRGVSIRMRSRPSYAITCLVSSYQPRLIPRAGARTTSLPPSITYTSGRYTAIRTGRKLFTFADFCISLDQHEEGGKKAHQAAPTQTSQ >OGLUM03G12950.1 pep chromosome:ALNU02000000:3:9822710:9831144:-1 gene:OGLUM03G12950 transcript:OGLUM03G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYKEEHLVAGAPCSNISVHYNSHKLREHITKQTSLNSCEEVQRIQVHCSECHQSERGPVSRLPMLDRQVTGQGPNFRRDPTRPLPRPPPVPVGAISAHTFAAESSIHHHAFPFQIPHLPHAPKPTNQNQPLCLLTTAA >OGLUM03G12960.1 pep chromosome:ALNU02000000:3:9831277:9831996:1 gene:OGLUM03G12960 transcript:OGLUM03G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRLPVVPAIRVGSQLHLAAAYTAPGAGRRGSSGAAGPPPALMADVRVVVRRHFPVGPNPTKGAGGAVIVRKVAEDIAVRRQPSRGLRSPESVERVLAERVLPLVGHPFDRRAVAVASKQICAYMSAACADPRVAHGGVRVLVLVDTFACGTLFHPAPPRKQCSGDASSLEVGAVVRTCPCMEIVGPGSKKDPQLACPCMDIGARMPSKKPRSVGVIGDGRPAKDGGEDRLKGWLPW >OGLUM03G12970.1 pep chromosome:ALNU02000000:3:9835452:9836666:1 gene:OGLUM03G12970 transcript:OGLUM03G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQEHEAESWPPAIYHQMPAILEYLEDHRVVVVSAAPGSGKSSVLPRCLAESGYGPVLCAQPRHLAAFVAMAKVGEEWDSDIEFTTTRQLLDRFSSPAPVLAGYGAVVIDEAHDRTLGTDVLLGMVKAALATGTTMGGRCKVVVCTAGGPADGMLSAFFGGAPVVSIPRAAHQVEVRYSRGPVLDMAAAVADEVAAIHASQPPGDVLVFLPENADIIGVHARLLGLPVRGLAVRYVHDNLPAELIDIMLINSPVPDGRRRVVLATDVAETAVLVHGITYVVDTGLVSEQPPVRISKEAAAARAAIAGFSGPGRCHRLYQPEEYDDLDEHTIPHIRQDGAAVRFALMVKRHAADGIPGFEIFDPALEPAVLKNVFGQLVNGGYLDKLGNLSDKGEREAYDED >OGLUM03G12980.1 pep chromosome:ALNU02000000:3:9836896:9837102:1 gene:OGLUM03G12980 transcript:OGLUM03G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSEKVPGQTVRDVLERVPCQGQLIFSVTSLSTELQEPNYMCCSEFIAKAQFVFNVVSLFEEFEES >OGLUM03G12990.1 pep chromosome:ALNU02000000:3:9837031:9839542:-1 gene:OGLUM03G12990 transcript:OGLUM03G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPRPISPGRRLLPVVVLFVALCSIPGIFSQRLVTLDSIDIFTTHEWFPSKPTVYFLCNGEDKVYLPDVKDANNIYTFKGEESWQPLTELPEKKCKRCGLYEEDTFKHDLYDEWELCSSDFKKGKYTHFKEGQFNATFLCPNCTASAGDSANHDSSSEVETKKSSVTVIIIVSVLSSVLVIIALFGGYKYWLKKKRERDQLRFLKLFEEGDDIEDELGLGNEL >OGLUM03G13000.1 pep chromosome:ALNU02000000:3:9839816:9843503:1 gene:OGLUM03G13000 transcript:OGLUM03G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e family protein [Source:Projected from Arabidopsis thaliana (AT5G02740) TAIR;Acc:AT5G02740] MSRLAAAALRRATAASGIPSSSSRSSALAPFAPRLFSTEASGETPAAGAAAQGSQDEPFFKPSDEGVAYGRFYSVIPGGSRLPKSMLKTDIIHHLDKSELSLDDVKIDYNRGYYPVGALLRFSSVPLFNTAVRQTREGRQYRLEMISREEFDLKQSYDGKAILLQGVPRNAVPEDIERFLCGTNVEPPPFESFLRPGVPDPIRVVLVKFRSRTDAANAFITKNRGFCLNNPVSMRVLQ >OGLUM03G13010.1 pep chromosome:ALNU02000000:3:9844646:9846211:-1 gene:OGLUM03G13010 transcript:OGLUM03G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKELQANCTSVVLNFDCFGHQGLQEKIKLVPTDTNDRPAWYKEVYPKNTLPSLEHNNKIIGESLDLIKYIDINFAGPRLTPDVDIAYAPFIDGFQTLFAGIKNYDITEGRANIQIFIKELNKIDAYMHTKQDPSEVIALTKKKLGGRIHRWGLSPSSISAEPPALHAEQQPHGFGREAAYCISADQPGRKEEGANKDNISRSMGD >OGLUM03G13020.1 pep chromosome:ALNU02000000:3:9851780:9854157:-1 gene:OGLUM03G13020 transcript:OGLUM03G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPASSEKEVLPPSLTSSSEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKVPSLEHNNQVKGESLDLLKYIDTNFEGPALLPDDSEKHQFAEELLAYTDAFNKASYSSIVAKGDVSDEAVAALDKIEAALSKFNDGPFFLGQFSLVDIAYVPFIERFQIFFSGIKNYDITKGRPNLQKFIEEVNKIHAYTETKQDPQFLLEHTKKRLGLLM >OGLUM03G13030.1 pep chromosome:ALNU02000000:3:9860509:9862745:-1 gene:OGLUM03G13030 transcript:OGLUM03G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPRSSGKEALPAALGSASEPPRLFDGTTRLYICYFCPFAQRAWITRNFKGLQDKIELVGIDLQDKPAWYKEKVYEQGTVPSLEHNGKIMGESLDLIKYIDSHFEGPALLPEDPEKRQFADELIAYANAFTKALYSPLISKADLSAETVAALDKIEAALSKFGDGPFFLGQFSLVDIAYVTIIERIQIYYSHIRKYEITNGRPNLEKFIEEINRIEAYTQTKNDPLYLLDLAKTHLKARPLPETNAQPPQL >OGLUM03G13040.1 pep chromosome:ALNU02000000:3:9864698:9870434:1 gene:OGLUM03G13040 transcript:OGLUM03G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSFTYKLPFSSKEPTDGYPGNLQWIVKIHEMLSFQQTYQTIIYNIDRWRTNCTRLNYLSLSPVYVTQPREQNLIPISLQILYYTITVKNSSSIVVNTSKSRCSKLTRCSAVNSGRKAPGGRRAPTSSRSCTAAAPSPAAARGARTARRCAASPSPQAPPWARVAGPRARSRAHSPPPFRRLPLWARPEPSVLGYRYAYRLRCLFLHSAAGLPAPALACRRPRRVGYLGILMSRTRMAHEQVHHPCYMGAFHVFCISNTGC >OGLUM03G13050.1 pep chromosome:ALNU02000000:3:9868399:9880296:-1 gene:OGLUM03G13050 transcript:OGLUM03G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVATHASLLLKAAAAAAHLHPKPFFSPRAAPPRIPSAPAPPAAGGSRYRPTTTTTTATSATAACRWFRWPPPAQAPVRGLCSLPHSGGGGGGGEGMGSEGVGRRRRVVAPAVNGVAKDGAPQPPPPKLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFLAAAVTDWLDGYIARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEISLLRDGPWLLTVPAIAIIGREAVAVNNLGKWKTATQMTALTILLASRDKSLPAQDALVTSGVALLYVSAGLAIWSLVKIVTVYEYKTMRFECVTLGFLLFLPLAYGHLIQLCAESGHLAAGRQIHARLVAASVTPSNFLASKLISLYSRADRLRDARRVFDSIPQPSLFAWNAILISLSLHSPDPSAAVRLFASSAVSPDEITLSTLLRSLAASGPALSPLVTGELHAVAFLRGFGSDLFVSNALITAYANAGDMRSARAVFDEMPRRDVVSWNSLISACARAGWYRECLDLFQEFVRVRCSDGDGVGPNGVTVTSVLHACAQLKVVDFGIGVHRFAAESGLDMDMAVWNSIIGFYAKCGRLQYARQLLDGMTRKDSISYSAMITGYMNNGHVEEGMQLFRQASARGISMWNSVIAGLVQNGRQSDVLRLLQEMIASKVLPNSATLSIVMPSVPSFSTLLGAKQAHGYAIRNDYDQSIKLVSALIDAYAKAGFLDTARKVFKLTEHRSTIVWTSIISAVAAHGEAVEALSLFNQMITAGAKPDTVTFTAVLSACAHSGKVAEARKVFNSMQAVFGISPVIEQYACMVSALSRAGMLKEAVKLVNKMPFEPNAKVWGALLNGAAVVGDVEFGRYAFDRLFIIEPKNTGNYIVMANLYSNAGKWEEAETIRSMLWGVGLEKEVPPQVKSQCLMKHQKSSIQKLKVAAISTCTDRPNEAGDSITSGNIDVNSNVQYTSPYRDEAPHLTPQPSDIRRTPSASSLHSYYLPPIQQPSAPQAQKQRRMQRQKQPQPSHIVRPSLTPNLGPLDTTTTTRNVDERREAKLGEMAATAMQCRGGERSEDGGGGGMRTVECLRGRLLAERVASKAAKEEADSLAKRVSSLSHIPYCYNLLDRKCVILFLSFFWWLVMIWSQLDELEKKLSDEVKIRNKAERRLRRAIKKLESLKILDVELSDSSIGSLSSNSCSGHRAPETEADVNNPGSSAGSCTQVNSSQEGSWCSVVSEQSPSVHCKEEEENGLDPEDAKNCGSGEEAGDHDSERTHGTLPCSRDDEPVHVPSEFGSSKSQDNQRDEDDDRLALVLVDPQPNAETGNEDDMRIDIQARKAQAEPREGDGEMEEANELAIVLVDPQPEPKAEPAATARPRNDVQSVLLALRQVKEQLRYTIERRSELVAHQELCGHC >OGLUM03G13060.1 pep chromosome:ALNU02000000:3:9882066:9882464:1 gene:OGLUM03G13060 transcript:OGLUM03G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLDEPPLLVTEETELPLPQPSMALSLPMALAHVADKTMRQGLIPMPGDSECQRGGVIATSLSKKLQREEEAPTEGINEAFTVPWCRMGCGPTKERMSSFNRVASCASSSLLVCSDKDVAAMKKAREGCRQ >OGLUM03G13070.1 pep chromosome:ALNU02000000:3:9885474:9893296:1 gene:OGLUM03G13070 transcript:OGLUM03G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTRISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEGLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTMVSFNFHQYASMGKWSVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDGLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVEKLEAEVSELRKSFADKQEQEQAMLQVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >OGLUM03G13070.2 pep chromosome:ALNU02000000:3:9885474:9893296:1 gene:OGLUM03G13070 transcript:OGLUM03G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMGAAAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERADRWKDFLDRLAESADDITTRISPSKEDSAAGDVNGGEHLDGAENLENSNRGGIKCNNEEEEGEEDAEKSDTPENSKEIDGNNQSQEANGEADDINDVSANSENLKQESIANSVESDKAPEELKEVSGCSEELLNDENGDSEGLKDSHGALEGLGEANNDNSEKLEELFLDKGLLDELKPIRVESGKRVRASIRIIEKMMSSRVGKIRNTANDMCGNGEAQLASIEEEERAADKSCRGDPAEESSNPDKVEQAQDREQGDSASAALEGGNGESYFPWREELESLVRGGVPMALRGEMWQAFVGVGARKITGYYNKLLDEGTEELDEKNPEDQELKDQTNAQKKPPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRALVGVIDEYFDGYYTEEMIESQVDQLVLEEVVRERFPKLGGMGDWTMVSFNFHQYASMGKWSVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQAVREIGLQELRKKHRPDIISAMEERSKDRHSWKDKKGLATKLYSFKHDPLCPQVNSKEGEDGLQVNGEMQFLDSGSANLETYLTSSALDNELEEGIDLQDQVTWLKVELCKLLEEKRSAELRSEELETALMEMVKQDNRHMLSAKVLIRMEQEQKVAEDARIAAERDAADKKYAAQLLQEKYDAAMAALRQMEKRAVMAETMLEATKQYQAGQFKANQSFNPSSPRAAPQSGKPNQDPNQDAPNRRLGLLSRGLGWLEKSKGKSSSTETPEG >OGLUM03G13080.1 pep chromosome:ALNU02000000:3:9894174:9897553:-1 gene:OGLUM03G13080 transcript:OGLUM03G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26940) TAIR;Acc:AT3G26940] MGSCFSSEGGNESRKESAERPQITPDETAASEMDVNLNTTNADSISNHGMERLIHGQSFTYGELYAATGGFRDDRFLGEGGFGQVYRGVLDNSQEVAIKILNLQGNQGDREFITEASVLSKLHHTNLVKLIGCCQDGDQRLLVYEYMPLGSLKSHLHDLSPDKKPLDWNTRIKILVGAAKGLQHLHVNVDPPVINRDVKSENILLGDGYHPKLSDFGLAKMGPTGDDTHISTRVMGTLGYCAPDYLESGKLTVQSDIYSFGVVMLEVITGQKVIDDSRAKPERNIVEWAIPKINKKDFPKLADPVLNGQYHVRSLFRALTVAALCVDRTANRRPDITAVVDALTQISESQSSRKRWSSRLQSSVGSSASTEPRIEDWNQAKDQGEGS >OGLUM03G13090.1 pep chromosome:ALNU02000000:3:9897819:9910632:1 gene:OGLUM03G13090 transcript:OGLUM03G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 6 [Source:Projected from Arabidopsis thaliana (AT4G10090) TAIR;Acc:AT4G10090] MTYYINNSIRRHGPAGEALGPCLGCLPSTWAGTARLLRRARLVPGPSCWRRGVLALAVGFPLSHSAAAADRRRAQRDGEGSREGAAGPLKTAAEASEEAAIRVQPSKAPMEEYGGGDLLSEAMGSGARVVVVEDRVEAPGAFSLHLLLKRALAGGGAAALLALAQPFSHYDRVLRGAKGGAISDSFVRLYGDIQRAIDASRTGDNTGRFTLMIDDVSLLEVAAGGSVDDVLDFLYYCVTLTSEMNCSLVFLIHEDIYSSEEGVGVLLHLRYIADLVIRAAPLSTGLAADVHGQLSVVNKCTFREQRLKAQRIWNFHFRVKENGADFFYPGSRH >OGLUM03G13100.1 pep chromosome:ALNU02000000:3:9901988:9909598:-1 gene:OGLUM03G13100 transcript:OGLUM03G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACEAGTDEPSRDDVKGTGNGILENGHSHKPEEEEWRNGMGEDLPNGHSTPPEPQQTDEQKEHQVRIVRWERFLPVKTLRVLLVENDDSTRQVVSALLRKCCYEVIPAENGLHAWQCLEDLQNHIDLVLTEVVMPCLSGIGLLSKITSHKICKDIPVIMMSSNDSMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSWTKRAVEIDSPRQMSPDQPSDLPDSTCAQVIHPTSEICSNRWLPTANKRSGKKHKENNDDSMGKYLEIGAPRNSSMEYQSSPREMSVNPTEKQHETLMPQSKTTRETDSRNTQNEPTTQTVDLISSIARSTDDKQVVRINNAPDCSSKVPDGNDKSRDSLIDMTSEELGLKRLKTTGSATEIHDERNILKRSDLSAFTRYHTTVASNQGGAGFGGSCSPQDNSSEALKTDSNCKVKSNSDAAEIKQGSNGSSNNNDMGSSTKNAITKPSSNRGKVISPSAVKATQHTSAFHPVQRQTSPANVVGKDKVDEGIANGVNVGHPGDVQNSFMQHHHHVHYYVHVMTQQQQQPSIERGSSDAQCGSSNVFDPPIEGHAANYSVNGSISGGHNGNNGQRGPSTAPNVGRPNMETVNGIVDENGAGGGNGSGSGSGNDMYQNGVCYREAALNKFRQKRKVRNFGKKVRYQSRKRLAEQRPRIRGQFVRQSGQEDQAGQDEDR >OGLUM03G13110.1 pep chromosome:ALNU02000000:3:9909827:9910956:-1 gene:OGLUM03G13110 transcript:OGLUM03G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSSGRRKNAVISSDLGRSGPHPHTLPTSTCSTSPPPVSSPVIYRLLLRLPPLTTTASSSSASSSSSSSRLPALAVAAAARARVPVLGAHGSSPPACASDTGCEGVWKPADLGRSPPESGTGGGDSEAIDCEVFLSVRLEVVLSDHYRI >OGLUM03G13110.2 pep chromosome:ALNU02000000:3:9909672:9910956:-1 gene:OGLUM03G13110 transcript:OGLUM03G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSSSGRRKNAVISSDLGRSGPHPHTLPTSTCSTSPPPVSSPVIYRLLLRLPPLTTTASSSSASSSSSSSRLPALAVAAAARARVPVLGAHGSSPPACASDTGCEGVWKPADLGRSPPESGTGGGIQVADWVQHMLFLTLGV >OGLUM03G13130.1 pep chromosome:ALNU02000000:3:9926616:9927278:-1 gene:OGLUM03G13130 transcript:OGLUM03G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSFLRTTLPTAKPLPAFQTLAPRPRPRPLRRSTIRAAITRGRKEDTVAAVREQLEGCYLLAGIRYEGLTVKQLQGIRDTLPETCRLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPAALKPYRAFQKEERLEETNDFVGAVFEGKFYAPGDFKALETMPSRAEVYAKLLGALQGPATSVVTTLQAPARDVVSVLSAYVRKLEQEVGAA >OGLUM03G13140.1 pep chromosome:ALNU02000000:3:9929672:9933820:-1 gene:OGLUM03G13140 transcript:OGLUM03G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolase family protein / HAD-superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45740) TAIR;Acc:AT3G45740] MKGFRAALARASARSRAELQVARRRRHPSDPARRLSHSGTAPARPSFGIAFDIDGVILRGRSPIGGSPQAIRRLYSEDGGGVPEHKRAQELSELLGVNISPAQVVHGSSPYKELVNRFENDLIIAVGKGEPAAVMVDYGFRKVLSIDEYSSYFRDIDPLAPFKKWIVQQPDNKNLMSEKVHPSYDVFEERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGRGDQPPLYFASDDLEYQAAFPSERLGMGAFRIALESIFNQVNDHRLKYISYGKPNPFVFKNAANILEKLAICMHPSSLPTKEVEEHRFSTIYMVGDNPKVDINGALKAGPPWSPVLTRTGVFRGKDNDPQYPADLVVDTVEDAINCILEKECIQ >OGLUM03G13150.1 pep chromosome:ALNU02000000:3:9933211:9938284:1 gene:OGLUM03G13150 transcript:OGLUM03G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37240) TAIR;Acc:AT2G37240] MAARAPLPVPHAAATSPRPAAASSLLRARGPCASLLYPRRLRFSVAPAAAAKPEAVGRAGEAAAAPVEGLAKSLQGVEVFDLSGKAVPVVDLWKDRKAIVAFARHFGCVLCRKRADLLAAKQDAMEAAGVALVLIGPGTVEQAKAFYDQTKFKGEVYADPSHSSYNALEFAFGLFSTFTPSLYPQAGLKIIQLYMEGYRQDWELSFEKTTRTKGGWYQGGLLVAGPGIDNILYIHKDKEAGDDPDMDDVLKACCS >OGLUM03G13160.1 pep chromosome:ALNU02000000:3:9939519:9941534:1 gene:OGLUM03G13160 transcript:OGLUM03G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALNRLLPLVLLAAVVGRHGASGDGGFPTVFTETKCTPAPKWSRANDSAYRANVRALLGGLPSAAAPTGFASTHRSGGAGRDRAFARGICFGEPPPALSPQYCLRCLSVAAKELADGCPANRRAAVWTDGCFASFADTSALSPDEAAFHYKMAVGALVEDDESSARFTATLAALAERLAPRAAANASRMLATATVDVPRVVAGSSRTVQVHALAQCMPDRPAASCARCVQESARELGKCCWNMQSGGVATVIGYNCHAVRHVRGNVAAVKAAQIVPTQYADQRIAV >OGLUM03G13170.1 pep chromosome:ALNU02000000:3:9942762:9944087:1 gene:OGLUM03G13170 transcript:OGLUM03G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A protein [Source:Projected from Arabidopsis thaliana (AT5G02820) TAIR;Acc:AT5G02820] MSEKKRRGGAGAGAASGSASKKPRVSTAASYAESLRSKLRPDASILATLRSLASACSKSKPAGSSSSSSASKALAAEDDPAASYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLVRRESARPFANVATARKATITARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQAQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLTFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >OGLUM03G13180.1 pep chromosome:ALNU02000000:3:9944138:9962159:-1 gene:OGLUM03G13180 transcript:OGLUM03G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02830) TAIR;Acc:AT5G02830] MALAMTSTSPQPPPPSPRRRWRRRLLLRPGVSSPPSRCRPSPSPKAKAALPLLSDVGRDPTAIKYYSRVASNLVGAGRLREFLLAAEGLRAASGDAGFEGRISRRLLSRGVAAALRDQGLPHVLEFLRDAGRVGIRAAVMLDADAYDTVAAACRLLLAERSMTEFVEAVEALAQCGFFVQGIVDPMDVLKIFVKKRDPNMAIRYARIFPQSQLLLCNTMEAFGKRKELKHALTVFGALKDQFGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNVYVFNSLMNVNAHSFSYNFSVYKHMQNLGVPPDLTSYNILLKTCCNAKEYKLAQEIYEEIKKKEQNGLLKLDVFTYSTMMKVFADAKMWKLASDIKQDMQSAGVRLNLVTWSSLINAYANSGLVDRAIEILEEMTRDGCQPTAPCFNIILTGCVKSCQYDRAFRLFYDWKEYGVKISLSPEQKGCFGDNFSYCEEHTSNSSTLLVVPFRPTVTTYNILMKACGTNGERAKSVMNEMRRNGLCPDLISWSILMDIYGSSQNRDGAIQALRRMQRVGMKLNVTAYTVAIKACVENKDLKLALHLFEEMKAQQLKPNLVTYKTLLTARNKYGSLQEVQQCLAIYQEMRKAGYQANDYYLKNLIVEWCEGVLSSGNGNREYYQLDQRKESFKLVLEKVTTFLQKDVDQNQTVDVRGLSKVESRVVVLSVLRKIKEKYLLGRAVQDDVVIITGHGKASSAKAETSVVEVEHAIVAVLTDELGLECTAQ >OGLUM03G13190.1 pep chromosome:ALNU02000000:3:9962501:9967165:1 gene:OGLUM03G13190 transcript:OGLUM03G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPNWNVEP >OGLUM03G13190.2 pep chromosome:ALNU02000000:3:9962501:9967166:1 gene:OGLUM03G13190 transcript:OGLUM03G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLGSGRFLARRPPLALAPRCSRGSPEKGGGSDKGDTSSTDWDKAWSTFKKKGKKTLFSEFSPNKYVSWNPRRSEYPLSEEVDPIRRTERSNLMLWTSPKFTLVMAIVIVSTLLIYTIVVPPK >OGLUM03G13200.1 pep chromosome:ALNU02000000:3:9967878:9968057:1 gene:OGLUM03G13200 transcript:OGLUM03G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQQDTRRPLPRRGQVKAGIFASLFRCIFPGEKEASQKLKECNSGGGGGGGRRVVPRG >OGLUM03G13210.1 pep chromosome:ALNU02000000:3:9972565:9972768:1 gene:OGLUM03G13210 transcript:OGLUM03G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGQDHDERKKSHGNPYPRRGDIKRKIVQDVFGKSSDPPATSKPAGAGNGDGGDDAAAAGSYYGHY >OGLUM03G13220.1 pep chromosome:ALNU02000000:3:9984916:9992316:1 gene:OGLUM03G13220 transcript:OGLUM03G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 1 [Source:Projected from Arabidopsis thaliana (AT1G07890) TAIR;Acc:AT1G07890] MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >OGLUM03G13220.2 pep chromosome:ALNU02000000:3:9984916:9988669:1 gene:OGLUM03G13220 transcript:OGLUM03G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 1 [Source:Projected from Arabidopsis thaliana (AT1G07890) TAIR;Acc:AT1G07890] MAKNYPVVSAEYQEAVEKARQKLRALIAEKSCAPLMLRLAWHSAGTFDVSSKTGGPFGTMKTPAELSHAANAGLDIAVRMLEPIKEEIPTISYADFYQLAGVVAVEVSGGPAVPFHPGREDKPAPPPEGRLPDATKGSDHLRQVFGAQMGLSDQDIVALSGGHTLGRCHKERSGFEGPWTRNPLQFDNSYFTELLSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFEDYKEAHLKLSELGFADA >OGLUM03G13230.1 pep chromosome:ALNU02000000:3:9989567:9991850:-1 gene:OGLUM03G13230 transcript:OGLUM03G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIANAFNNDMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIATELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >OGLUM03G13230.2 pep chromosome:ALNU02000000:3:9989567:9991850:-1 gene:OGLUM03G13230 transcript:OGLUM03G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G45640) TAIR;Acc:AT3G45640] MDGAPVAEFRPTMTHGGRYLLYDIFGNKFEVTNKYQPPIMPIGRGAYGIVCSVMNFETREMICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDDELGFIRNEDARKYMRHLPQYPRRTFASMFPRVQPAALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICLEPFSFDFEQKALNEDQMKQLIFNEAIEMNPNFRY >OGLUM03G13240.1 pep chromosome:ALNU02000000:3:9994670:9998080:-1 gene:OGLUM03G13240 transcript:OGLUM03G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRARLPPPTPLLRLPPAVRRCRSPSAAKKKDATSVKSGKNTAEGPQRINWPPTLASASTDSPVSSRVHPPAACGDLHPASSGAGAGVPLLPPHPLSLEMPRYDDHYGSTRLYVGRLSSRTRSRNLEYLFGRYGRIREVELKRDYAFIEFSDPRDADEARYNLDGRDVDGSRILVEFAKGVPRGAAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGHGRSRTYSRSRSRSYSRSRSRSLSGSPRGRRDRDDRRSRSLSYSRSPRRSISPAANGKERSPSPNGRRSPRSPQDRVSPPPKDNDERNGDSPRGRENSRSPSDGYRSPVAANGRSPSPRNNGSPSPMDNNSRSPRDNGSPSPRDGNGDGGSRGGSRSPRASESPEA >OGLUM03G13250.1 pep chromosome:ALNU02000000:3:10022409:10022675:1 gene:OGLUM03G13250 transcript:OGLUM03G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALADYFFGPELDDLMQWLGDGDVGRKGTLPTKKEAREAMPTVEVTAGHSASAFATASTVCREDYAAGEHATGTPCRHRFHASALCHG >OGLUM03G13260.1 pep chromosome:ALNU02000000:3:10025473:10030724:1 gene:OGLUM03G13260 transcript:OGLUM03G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5P0] MDSTACLRLPFLPARTRPSSSSSSSPRRAARASSIKCCAAASDAGASSASISSASPRRPDVVNGVGPAGVEGLAGPPVPVPDSPAPASRDLHWLPRPLTSADLMGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLQDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFIMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEA >OGLUM03G13260.2 pep chromosome:ALNU02000000:3:10025473:10030701:1 gene:OGLUM03G13260 transcript:OGLUM03G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5P0] MDSTACLRLPFLPARTRPSSSSSSSPRRAARASSIKCCAAASDAGASSASISSASPRRPDVVNGVGPAGVEGLAGPPVPVPDSPAPASRDLHWLPRPLTSADLMGVSGEGLKVAYQGCPGAYSEAAAKKAYPNCQTVPCEHFDTAFKAVENWLADRAVLPLENSLGGSIHRNFDLLLRHRLHIVGEVRLAVRHCLLANPGVKIENLKSAMSHPQALAQCEHTLTEFGIEHREAVDDTAGAAKTVAEQNLQDTGAIASSLAAELYGLNVLAENIQDDKDNVTRFIMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALGVFALREINLTKIESRPHKKRPLRITDDSFSTPSKQFDYLFYMDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDDEYAC >OGLUM03G13270.1 pep chromosome:ALNU02000000:3:10033775:10038445:1 gene:OGLUM03G13270 transcript:OGLUM03G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGGAAPSPGGAGGLPNGRFFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVMIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATIFMEDNVVGITIELAKKDTTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYTLTVIGVILYSESKKRNKP >OGLUM03G13280.1 pep chromosome:ALNU02000000:3:10040653:10042484:-1 gene:OGLUM03G13280 transcript:OGLUM03G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable RNA-binding protein ARP1 [Source:Projected from Arabidopsis thaliana (AT3G54770) UniProtKB/Swiss-Prot;Acc:Q9M1S3] MTMMGGQPQQTPPPPQVVQVQQPAAAFGDTTLTKVFVGGLAWETHKDTLREHFERFGDILEAVIISDKLTGRSKGYGFVSSTTPRSHSRFRVATCARFGFLTVVWLQVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRAQPPHLLRPSPPATPGPHAPALPSPHHHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPPPAAHYAAHGGHHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAHGTNGAYLQGHFSYPAAAQGGMLAANGMMPVYPYYQYHYHGAQGLGVPAAHFFPPVSAAAVTTVPAIISKPTVMAPPKGKTCY >OGLUM03G13290.1 pep chromosome:ALNU02000000:3:10047879:10048258:-1 gene:OGLUM03G13290 transcript:OGLUM03G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRCAWSPATEVGTAVVARQGSATSTAGILRVEDGRIIEVTDGEIKRQPDERRLIEMGATTGIELCRNGRRMDAARRSEQYETKRKGKRKYPNYP >OGLUM03G13300.1 pep chromosome:ALNU02000000:3:10055637:10056539:-1 gene:OGLUM03G13300 transcript:OGLUM03G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLQDNFGWLVGFGVPALCTVLAMASFLLGSAMYRYHQAPASWQNCGAILPGRRRRHQEEERRAASRQLRPLRRSAKVRAEAGAHRPVQVLGQRGEAAAAVAVSSLVGGEAAAAAAQPWRLCTVTQVDELKVIVRMLPVWARATGIVYCMVLVQQPLFTVQGRAMRRRLGFGAGAFAIPAASLHSVYAAAMLILVPLYDAAVVPAVRRLTSNERGLTEMQRIGAGMTLSVAAMAAAATVEGRRLAVGKAAARLGSGKRRAEQQFGGGGDGAVSATTSSPRRQRGAGCWKRRARPWRRTS >OGLUM03G13310.1 pep chromosome:ALNU02000000:3:10058417:10062138:1 gene:OGLUM03G13310 transcript:OGLUM03G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45620) TAIR;Acc:AT3G45620] MAVASEAACRRAASCFFEVGRQEIGSSTPRASSRRISGSEGLVMRMHQYGKLRGHDGCVNTVSFNPAGNLLVSGSDDMDIILWDWLAKTQRLIYPSGHQENVFHARVMPFTDDSAIVTVAADGQVRVGQLNEGGEVTTKQIGVHDDRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRNDSPMKIFSCYSFSNSRRRVRLNTIAIDPWNPNYLSIGGSDEYVRVYDLRRIQLGASNDMNQPVDTFCPKHLMGGKVHITGIAYSYAREILVSYNDEHIYLFQNNMGLGPNPESAQAEFLDRLEQPQAYTGHRNFRTVKGVSFFGPNGEYVLSGSDCGNVFVWRKKGGELLRMMHGDKSVVNCIEPHPHFPFLATSGIDKTVKIWTPSANKVMSLPKNAKQIIASNKRAREIDASRPELTLSSDLIMHVLRLQRRQSELYREHEPATADLASDDDESFFAGFDDANRNGLANDATRGTQILMIRAGKIKRLGLKSVTTYLDSVVNQECYWVFSSHV >OGLUM03G13320.1 pep chromosome:ALNU02000000:3:10065208:10065496:-1 gene:OGLUM03G13320 transcript:OGLUM03G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATFLEVLLAIFLPPVGVFLRYGLGIEFWIDLLLTILGYIPGIIYAVYVLVA >OGLUM03G13330.1 pep chromosome:ALNU02000000:3:10065635:10068405:-1 gene:OGLUM03G13330 transcript:OGLUM03G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRDAHTVLPPAVTAVLTTGDFSPSSRPYISSCRSPPSTPHPLLLLCAQGEGGYHPPKQNLVTRGRSTTMIAHLGCRGTTMIVHLGRLLPRQLVTNAASRRRLQIKEQNKETIRNEPPCVACNRDGLMPSPDTYARSPEPHGY >OGLUM03G13340.1 pep chromosome:ALNU02000000:3:10069834:10071931:-1 gene:OGLUM03G13340 transcript:OGLUM03G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLDAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLNKPAKAGSKHDGKRKTLKEEIVAVGSCT >OGLUM03G13340.2 pep chromosome:ALNU02000000:3:10069834:10071931:-1 gene:OGLUM03G13340 transcript:OGLUM03G13340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKEFRIVMPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLDAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLNKPAKAGSKHDGKRKTLKEEIVAVGSCT >OGLUM03G13340.3 pep chromosome:ALNU02000000:3:10069834:10071770:-1 gene:OGLUM03G13340 transcript:OGLUM03G13340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTVIKCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLDAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLNKPAKAGSKHDGKRKTLKEEIVAVGSCT >OGLUM03G13340.4 pep chromosome:ALNU02000000:3:10069834:10071770:-1 gene:OGLUM03G13340 transcript:OGLUM03G13340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLDAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLNKPAKAGSKHDGKRKTLKEEIVAVGSCT >OGLUM03G13340.5 pep chromosome:ALNU02000000:3:10069834:10071770:-1 gene:OGLUM03G13340 transcript:OGLUM03G13340.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSMEEYEIGISYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQYTSKNYHLQSKIPSWMKGFAPASALTVHEESWCAYPNSRTGFLIICYQCPLFSKCSLTIDTVIRPDNGCSENAHNLTSEQLDAREVEVVDIASQSRDYWSKVISAPNVDLTAFRSQRTSRGPLLKGWMDSCRPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTLDQIREMERQTDLLLKKTLNKPAKAGSKHDGKRKTLKEEIVAVGSCT >OGLUM03G13350.1 pep chromosome:ALNU02000000:3:10081296:10082110:-1 gene:OGLUM03G13350 transcript:OGLUM03G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAGNTVANVTVAQQPHYGRSVSPPSRVSSCSPPPPPVGTASLLVGNTSSSSSPTTTVVLSPCAACKVLRRRCADGCVLAPYFPPTEPTKFTTAHRVFGASNIIKLLQELPESARADAVSSMVYEAEARLRDPVYGCAGAVCRLQKEANELKVQLARAQADLLNARAQHDNLVALVCVELAHRRRDDDQQLEYQAPAPPLPHPAEYCIGGAGFGATVYQPFYDSDLDSAAWGEPQLWT >OGLUM03G13360.1 pep chromosome:ALNU02000000:3:10090073:10090252:-1 gene:OGLUM03G13360 transcript:OGLUM03G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDERRPRRSCRGRRVGDRSASVRGDAEPSANATRSHRRPSKGSDAAFQALGIAGRN >OGLUM03G13370.1 pep chromosome:ALNU02000000:3:10098952:10105626:1 gene:OGLUM03G13370 transcript:OGLUM03G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLGHRYKECRPRRNSSPTKIFVGKDLLKELEHRRSSPSVIAKLMGIDVLPPAYVTHNRHQDFKDVFEVSEEPQEAIVKERSHHFPKGLPSLKRSALKLKKLMPSMSPYGDGPFDNNVVCRDGFDRLNSLEINNPLFEKRPHDMNYSAKHQYEKETSSTFRKYPAGLGNTSLKYIRNSSRGKLEDFNSIVVLEPGLGKVQELGKAFCTPDPSHINKNFRREMQQADFSMQNRGRVSPNLLDTEDVDVSRIKRERYLSRNAVDSLLEGKESSFDHHNVPDTSSSGSSQNCVSGEVNSRQSNRPSSNSSPRKNRQKYEEGSVGSKTLAEMFALSDSERLKRDSDSHAQIRHNKLNRGNSNGKEGCFIVLPKHAPRLHPHSSLDKNSPHSNFIPNTSNTYNSGQSHFNSFCDISRLQQIGSPSQDNLRNACAKHQTLRQHRSASPSHDNRNHSRCSTDNFSTFDCINEKILFTTDEDLVKKPAETVHSSFESCGEEKVSASPFNCRDYESITVSDHSYVAKSHKSLKEVGQPSPVSILEPPTDEDSCCSGYFKCNLQDMPNVEKLIDDCELRYEQVSLSSDDDSGSSYRSLEAFQVEEESGFSYLLDMLINSGMIVADSQLLCKSWHSPGCPVGPQVFDRLERKYNKISAWPRPERRLLFDLANTVLSEILAPWKSSRRCCPVWGPEGPVEVVWQTMVRRQEELAVGHPDDKVLDPEWLEVGEDINTVGKQIAKMLHGDLLDEIILEFLSGCVAS >OGLUM03G13380.1 pep chromosome:ALNU02000000:3:10111530:10117334:1 gene:OGLUM03G13380 transcript:OGLUM03G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5Q6] MGSSTDHGGAGGRGKKGSGSQLWKKALLHSSLCFVMGFFTGFAPSSVSDWTSAAVSAGGVGSSHVVRSLHATGGAAVNRSLLAQAAAGAVDAGPQPLLVVVTTTESTPSAAGQRAAALTRMAHTLRLVPPPVLWVVVEANPDVAATARLLRTTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVLFAGLGDTFDLRFFDQLRQIRTFGAWPVATMSQNERKVVVQGPACSSSSVAGWFSMDLSNATSPVAVAGAGYGAAAARPRELDVHGFAFNSSVLWDPERWGRYPTSEPDKSQDSVKFVQQVVLEDYSKVRGIPSDCSEVMVWHVNTTPLPSSQPSPQNKSLYRSDFPQTEPETLICLIHDHASHYIYGGRFLSGDFC >OGLUM03G13390.1 pep chromosome:ALNU02000000:3:10112631:10113370:-1 gene:OGLUM03G13390 transcript:OGLUM03G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQEPRARTETAFPFPSQQTEQRKPYADSPCGSCNGPQLAEIDARTLAAAAAELVIQVDSTRRSDHQVVHEL >OGLUM03G13400.1 pep chromosome:ALNU02000000:3:10117572:10119980:-1 gene:OGLUM03G13400 transcript:OGLUM03G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKIKEKDTVCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVSDEAEKAGEAKLQDINA >OGLUM03G13400.2 pep chromosome:ALNU02000000:3:10117962:10119980:-1 gene:OGLUM03G13400 transcript:OGLUM03G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDI-like 5-1 [Source:Projected from Arabidopsis thaliana (AT1G07960) TAIR;Acc:AT1G07960] MDLAPGRRARLLVALALVVLVALAARSGAEVITLTEETFSDKIKEKDTVCKNLGTLWEDLGKVMEGADEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRNVESLKNFVSDEAEKAGEAKLQDS >OGLUM03G13410.1 pep chromosome:ALNU02000000:3:10121823:10123266:1 gene:OGLUM03G13410 transcript:OGLUM03G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCGCGSNCTCGKMYPDLEEKSSSAQATVVLGVAPEKAHFEAAAESGETAHGCGCGSSCKCNPCNC >OGLUM03G13420.1 pep chromosome:ALNU02000000:3:10130154:10130562:1 gene:OGLUM03G13420 transcript:OGLUM03G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVVQLQQLVVVVGGVALNARMLLPRRLFSIRIFRYSDIPLVDHKRYLLITVVLRASEFRKGTKLPMTPTPTNKVLRMYT >OGLUM03G13430.1 pep chromosome:ALNU02000000:3:10135616:10141439:1 gene:OGLUM03G13430 transcript:OGLUM03G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATPEQPLPRPLQRSPRKSIPVVLVGPTQQPLIYFPVPPPFQPSLPLPQPGKLPFTPTKRERTHRATREWGYSRRSDRRRGGVMAAAATMTWHEELATLVGDTGVRFPGAGGGSAANVAAAVGGGWYRGEEEDGEGRAVEEEGWAQQARGFLESTAEMLRVLGRGLWDIAAQSLAGAEDSELARRLRGPAVAVRERLSFMNEYLPEDRDPVWCWVVVAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHSFLSSRLAGIPGIKPSLLEEFGARLVTYDLPGFGESDPHPGRDLNSSAHDMLHLAGALRIVDKFWVVGYSAGSIHAWSALRHIPDRVAGAAMFAPMANPYDSKMTKEERHKTWERWSTKRKLMHILARRFPALLPLFYHRSFLSGKQGQPESWLSLSLGKKDKTSLESPMFNAFWEKDVAESVRQGDAQPFVEEAVLQVSDWGFSLSDIQMQKREDLSFFELIKSLFRQAEREWVGFLGPIHIWQGMDDRVVPPSVTEYVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPVPEPIEVASELTEETTVPDKAKEEEQDISDLA >OGLUM03G13440.1 pep chromosome:ALNU02000000:3:10142390:10144574:1 gene:OGLUM03G13440 transcript:OGLUM03G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHLSL >OGLUM03G13450.1 pep chromosome:ALNU02000000:3:10144786:10156892:1 gene:OGLUM03G13450 transcript:OGLUM03G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGDAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKVVAERDAGKLLSSIAVKEKLESLCREFQRQNKMLKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGRTPDATSNETNLATIES >OGLUM03G13450.2 pep chromosome:ALNU02000000:3:10144786:10156892:1 gene:OGLUM03G13450 transcript:OGLUM03G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MEGSPATRLPEADSLPDGFVESSAADQAPPPPADAGDPASRSLGLDQADATVGGGGGDETLGAPPSTLASVAQDTLDAYSAADALQSLTVGGSAAEPERALGEPAVDAGDAKESSKESSVVEQVESMADQKVVIAEGSGEQKRKVVKKSKVEKDRELFELAQAYHKEECRRVSTEGQNMRMELSDKFNNAIKDVSVKLDEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKMQQHQEKTAQEQTQMQLYADQVSQLMSTEKNLRLQLAADGERFQQFQDALTKSNEVFETYKKEMEKMVKLIKDLKKDNEFMKSKCENSDVALVKLIEERELMKKQVDKFKNQKEKLESLCRSLQAERKQSPSGRTPDATSNETNLATIES >OGLUM03G13450.3 pep chromosome:ALNU02000000:3:10144786:10156892:1 gene:OGLUM03G13450 transcript:OGLUM03G13450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MTAAAAAAAAGEIPAEGAPPPGALYSFGTPWPEFNEGISYIDTFRCADAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVDGEVATDPDMILREGSKLVYHRLPWQEPFAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLAAYFAEGATNAGKSRDETDICKARKISKFYRALVTGILENDEVMITQPIGLVCYPGVAEGLYAACSSGKPAMSKVRVLERLKIHNHTLIQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGQPKFDEPEPTSTADSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPTTNEMIKITAPLPHILQTREEQQDTAKLLGG >OGLUM03G13450.4 pep chromosome:ALNU02000000:3:10144786:10149971:1 gene:OGLUM03G13450 transcript:OGLUM03G13450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Taxilin (InterPro:IPR019132); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G50840) TAIR;Acc:AT5G50840] MTAAAAAAAAGEIPAEGAPPPGALYSFGTPWPEFNEGISYIDTFRCAGATTTLIEFYSTSYKSSAPLPGWIKRIRDGQITVDGEVATDPDMILREGSKLVYHRLPWQEPFAPHLLDVLYEDDDMIALNKPSGLQVLPKGLFQQRTVLAQLQLKDWKMPSSFCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKLAKAQLAAYFAEGATNAGKSRDETDICKARKISKFYRALVTGILENDEVMITQPIGLVCYPGVAEGLYAACSSGKPAMSKVRVLERLKIHNHTLIQVEIHSGRPHQIRIHLAYIGHPLVGYERPLQPVPGDCGYHLHAHWLVLCHPTTNELLCHISYRLERNSKIQLSYSVVEPFNFHGQLEFTGSSEAGHPIQQKKPSENFRFCTGQWRSIAAKPMEMDAD >OGLUM03G13460.1 pep chromosome:ALNU02000000:3:10154756:10156674:-1 gene:OGLUM03G13460 transcript:OGLUM03G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT5G03080) TAIR;Acc:AT5G03080] MAEFQEMAAEVPPSLKAITLTHVRYRRGDTLGLFLAWVSLVPVFISLGGFVSHFLFRRELQGICFAAGLLASQLLNELIKHSVAQSRPVYCELLEACDSHGWPSSHSQYTFFFATYLSLLTLRRSPSSRVVASLAWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVEYFPMIEESAIARWLYIKDTSHIPDVLKFEYDNARAARRKVATD >OGLUM03G13470.1 pep chromosome:ALNU02000000:3:10158463:10162882:1 gene:OGLUM03G13470 transcript:OGLUM03G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Cytochrome B561-related, N-terminal (InterPro:IPR019176); Has 215 Blast hits to 213 proteins in 79 species: Archae - 0; Bacteria - 6; Metazoa - 131; Fungi - 22; Plants - 42; Viruses - 0; Other Eukaryotes - 14 (source: NCB /.../k). [Source:Projected from Arabidopsis thaliana (AT1G07970) TAIR;Acc:AT1G07970] MEFQQGGGGGCGGGKARDKFSVYRNPSLARALASRSVRPSLPVLVLLALSPIASASSILVLSSWEGQLVKVAGRAGLSMAAAVLVFRLIEAALGLVALFTLPAFFRAVMLYNGKRALAKEDKVVLSERQLGLLGLKMTGSEAGGTSEKTKRPPKAKPSTPSEPIVPIRRSSFSYTPSRSLGQSRIGSSNLSPGGERLTTSVQISPSTPLQKPISSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDTITDSASKIATPPATITSFGAASPVSVITSTTPSGAARSTPLRAVRMSPGSHQKYSTPPKKGEGELPPPMSLEQAVNAFENLGVYPEIEQWRDNLRQWFSSVLMNPLVQKIKTSHIQVKQTTATVGASVTVSQVGSDLPSTTAPVGLSPLGGTKDWQPTITVDEDGILNQLRTALLHSRDTPVAQTFGSPQQPQQNPLLPSIQACIDAITEHQRLNTLMKGELIKGLLPQSSVRADYTVKRVQELAEGTCLKNYDYMGHGDGCGKLEKKWISELPTDSLLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAVIHPGALILAVGKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINVGYGGVVRGIHIGSSALNILSVLDSDMES >OGLUM03G13480.1 pep chromosome:ALNU02000000:3:10163074:10166433:1 gene:OGLUM03G13480 transcript:OGLUM03G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPTPFAGKRRSVAAAPAKIAAPKPKSIASTRTKTTRKSPPAPPPPRPRRAFGTVRSSNAHDAPEKPPPLQKAPKVSPPPPQKPDKVSPPPAQKPSKVSPPPPQKSVKVSPPPAAKPPKLSPPNLAKATKPSRLAAKPPKKAAPGPELDPKPRKKAQRVSFQEDAAMSVAPGSGEKVKVSTDDAAGHTPMVAVRALEKKVSVVASAETPFFSAQNCSNCSHDPLEESTYWLAHIHLAESVGKHRVAAAFFQLAFECQAQPIHRIQSELRNYTVRHESASTLTTLFDELLLAHGGIPVNQPKFETDGFEVVDTPLTTDSDDKRLDSTTTQVDERCSECDCGGDIVDVAVPSIVKPLEEGMDQPSFERKLNDGFEFDDCEAVIVDKLVGGHSDLEKIVDVNGPSDSETMQSACRSSIDRLSLKGSPVVSGLSQRQLSSDSPLDKLSPSARSLSAKRLSSVSPLDKKSPFGSSSSKRLTSSCPSSKKSFSSKALSSKRMSSGNASAGVGDLNEVIADMEFDCPASDDQLELKEHGDSEIN >OGLUM03G13490.1 pep chromosome:ALNU02000000:3:10165095:10167179:-1 gene:OGLUM03G13490 transcript:OGLUM03G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADELTIRFEVLLRFLESTYVTFKERAYKREQPTSFYSLWWQTMECFIVQQSSSYQRVKCCKAKEVWRENKDGGEVQCNGLLYRCRQSNSISAITSLRSPTPAEALPDDIRLDDKALLEKDFLEEGQLDVSLFDELLPNGDFLSSGLTDDKRFADKLRADGDNLSNGLSEESCL >OGLUM03G13500.1 pep chromosome:ALNU02000000:3:10170356:10176141:1 gene:OGLUM03G13500 transcript:OGLUM03G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5S1] MLTRTITYCMVSVTHRIHHPSIMNKLFSTAWILRHVLRWFKVKMDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEERNFNCFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >OGLUM03G13500.2 pep chromosome:ALNU02000000:3:10169662:10176141:1 gene:OGLUM03G13500 transcript:OGLUM03G13500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5S1] MDGNAKGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYGKDHVCESLRNRLQNEERNFNCFASSESASSNTRHYLPGSSDPHASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALQDLNVSWKKNGQYNMKCRWSVGTQATDMLDVNNSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCADFLTKLRVL >OGLUM03G13510.1 pep chromosome:ALNU02000000:3:10180173:10183806:1 gene:OGLUM03G13510 transcript:OGLUM03G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5S3] MAKEFPVPPVVFTPSTPTHRRHPPPGTGPSPPPAFAPPRPSTSSGANPLPFMSFDVGNAATSSSPPLFAGPIGVGGSGASFEDEPPLLEELGINTRQIWRKTLSILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAISLFLPRGGGLIFGVGMGFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIMFRILLPYEVESCQSTSRWSGLGVFCWTTDYHASWEILCNDLFLFHPILLHVKFGVEIN >OGLUM03G13520.1 pep chromosome:ALNU02000000:3:10187601:10191582:1 gene:OGLUM03G13520 transcript:OGLUM03G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z5S4] MHARCAFRLTRLRREPDAEPGRAGKQGKSVCAAKVHEGGAGAGDAQMGTYKCCIFFTRRFALSDASTPGDVRMLFTRHAGGAPYMGIDELRRYLAASGEAHVDADTAERIIDRVLQERSRTPRFGKPSLTIDDFQYFLFSEDLNPPICHSKEVHHDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDIPIIKALQIGVRVIELDMWPNSSKDDVDILHGRTLTAPVSLIKCLKSIKEYAFVASPYPVIITLEDHLTSDLQAKVAKMVLEVFGDTLYYPESKHLQEFPSPEALRGRVILSTKPPKEYLESKGGTMKDRDIEPQFSKGQNEEAVWGTEVPDIQDEMQAADKQHENDILYTQRDVEEDDEKKMCQHHPLEYKHLITIKAGKPKGAVVDALKGDPDKVRRLSLSEQELAKVAAHHGRNIVSFTHKNLLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRSLWLMHGFYKANGGCGYVKKPDFMMQTCPDGNVFDPKADLPVKKTLKVKVYMGEGWQSDFKQTYFDTYSPPDFYAKVGIAGVPSDSVMQKTKAVEDSWVPVWEEEFVFPLTVPEIALLRVEVHEYDVSEDDFGGQTALPVSELRPGIRTVPLFDHKGLKFKSVKLLMRFEFV >OGLUM03G13530.1 pep chromosome:ALNU02000000:3:10191641:10193047:1 gene:OGLUM03G13530 transcript:OGLUM03G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G08920) TAIR;Acc:AT3G08920] MAMGAAAAPWYGAIGGGGSRRARVRAQAAAPWAGGAEELVRSGAVRAVRAREAAGAMSAEGFRLLDVRPEWERARAAVRGSAHAPLFVGDDDTGPVTLLKKWVHFGYIGLWTGQSFTKMNDRFLDDVAAATGEGKDAKLLVACGEGLRKCVDGDFADVEGESKLQYATVGGVSYIFLQILLLLRVVK >OGLUM03G13540.1 pep chromosome:ALNU02000000:3:10203660:10208245:-1 gene:OGLUM03G13540 transcript:OGLUM03G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCFNGGAGWPEPVVRVQAVSDSCGATIPERYVKAPSERPSSLGGGGGLNNIPVVDMSMPDGEETARAVAAACREWGFFQAVNHGVRPELLRRARAAWRGFFARPAEAREAYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPAALKSHDKWPTSSVPAGGGGLREATEEYAEEVVRLCRRVMRLLSRGLGLDDGRLQAAFGGVGGEGACLRVNFYPRCPQPELTLGVASHSDPGGMTMLLVDDQVRGLQVKNAGHWITVDPVPDAFIVNIGDQIQVLSNAVYKSVEHRVTVSAAEERLSLAFFYNPRSDLPLAPMPELVAPPARPALYPPMTFDEYREHIRRRGLSGKAQLQSQQMATAICGAPPAATASSSSSSSASTLAR >OGLUM03G13550.1 pep chromosome:ALNU02000000:3:10221839:10222348:1 gene:OGLUM03G13550 transcript:OGLUM03G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFVQFTSQQVRWTGTAGTTGDCCSTASSSLAGKGHSAVYTADGARFEVPLVYLGMMVFGEHLAMSQEEFGFAGDDGRITLTCDASVMEYVMCLIRIDASEEVERVFLSCMASSCHCVGGISHQFYGVGERRAVRDRLPRLAEVGLHAAAGRGAAEEVIVGVDAAVLE >OGLUM03G13560.1 pep chromosome:ALNU02000000:3:10221853:10222035:-1 gene:OGLUM03G13560 transcript:OGLUM03G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSPKTIMPRYTNGTSNRAPSAVYTALWPLPARDDDAVLQQSPVVPAVPVHRTCCDVN >OGLUM03G13570.1 pep chromosome:ALNU02000000:3:10237394:10239940:-1 gene:OGLUM03G13570 transcript:OGLUM03G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-sensitive sn-2 acyl-lipid omega-3 desaturase (ferredoxin), chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G05580) UniProtKB/Swiss-Prot;Acc:P48622] MSYVLRDVVVVLGLAAAAARVDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSSNAKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWQPLSERLYNSLDYMTKKLRFTMPFPMLAFPLYLFARSPGKKGSHFNPSSDLFQPNEKKDVITSTASWLAMVGILAGLTFVMGPLKMLKLYAVPYVIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNVHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPEKSAPLPFHLLGVLAKSLNSDHYVSDTGDVVYYQTDLKTSSSAQSSD >OGLUM03G13580.1 pep chromosome:ALNU02000000:3:10245559:10254708:-1 gene:OGLUM03G13580 transcript:OGLUM03G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT3G51830) TAIR;Acc:AT3G51830] MATVAADEAPLLAEEPLRPGACSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEVAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKFPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIIPLTAQFSLKEAPVRITLFSRTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSIFQSEDISDTFKKMWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPHDIKIDDEARPAPASTPREKLFDGSSDVEKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLKERGEKLARISQESAELQNESENFASLAHQIAKSMENKKWWKP >OGLUM03G13580.2 pep chromosome:ALNU02000000:3:10245559:10254708:-1 gene:OGLUM03G13580 transcript:OGLUM03G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT3G51830) TAIR;Acc:AT3G51830] MATVAADEAPLLAEEPLRPGACSRELELREFRDRYVIRSVDGGGAFAVSRSNGSLRPLSAEEVAAGSDCRVSKIYGVAGVIRLLAGSYVLVITSQRDAGSYQGSPVYNVNSMKFLCCNEAIKHLTAQEKRDEAYFMSLLKIAETTHGLYYSYDRDLTLNLQRASKFPAGRVHKPLWKQADPRFVWNKNLLEEFIEAKLDEFIIPLTAQFSLKEAPVRITLFSRTRMWRRGANLEGATANFVETEQLAEYEGLMSSFIQVRGSIPLLWEQIVDLSYKPCLNIIEHEETPKVVQRHFHDLSQRYGDTVVVDLTDKQGDEGNLSNAFAAEMERIPNIRYVHFDFHHICRGGNFDNLQVLYNQIEEAIQKQGYFLINSKGEIFLEQSGIVRSNCIDCLDRTNVTQSFLARKSLDLQLQRMGALSSSGSIFQSEDISDTFKKMWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSKGISSPFQNGGFESATYLPVASAIIVGGITATTFTLSQAVGRNAQHFITSIICAGLTAGVVALVKANGKQFCSKPQTEREKLFDGSSDVEKPRMKSTQEILTKYKFGGDAAAAAAHAKDKLKERGEKLARISQESAELQNESENFASLAHQIAKSMENKKWWKP >OGLUM03G13590.1 pep chromosome:ALNU02000000:3:10254899:10258014:-1 gene:OGLUM03G13590 transcript:OGLUM03G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGVAAAGARGEIEEDRGSTAAAAIIDEPGDGSVRYETLQSARFPNRWGRGYHASTVTVIFILTYPTISTVILTVM >OGLUM03G13600.1 pep chromosome:ALNU02000000:3:10258587:10261353:-1 gene:OGLUM03G13600 transcript:OGLUM03G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQGHQNIEGGGIVEAAKAADAAKEVRAADEARTLEQARSAEAAMAGEGRSHEAGSLGVGTGCDLGLLFVRRREEQTTEERALLGRAPIHYDKPKLQSEAVKYGVEFD >OGLUM03G13610.1 pep chromosome:ALNU02000000:3:10263832:10265869:1 gene:OGLUM03G13610 transcript:OGLUM03G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFCKHGAGFLAVAVSTSIVFLLLLHAFSLPASALSFGLARRHRKDAAVSCDVFSGSWVRDDGGGGAAAYTGYNCPVIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADYLTRMKGKTVMFVGDSLGRNQWESLICLLHAAAPQSPAQLVSVDPLYTYKFLEYGLVVSFYRAPYLVDIGVAQGKKVLWLDGISENSEAWRGADVLSFNSGHWWTHTGTLQGYVLWDYMGADGRYYEDMDRMVAFQRGMATWANWVDANVDPAKTRVFFQSMSPTHYSSKEWANPVSKNCYGETAPAAAAAAGLNATTAQATSGQDQVIQATLRSMKSPVRLLDISALSELRKDAHPSVYSGDLSPAQRANPGGGSADCSHWCLPGLPDTWNQLFYALLFYQ >OGLUM03G13620.1 pep chromosome:ALNU02000000:3:10275680:10279222:1 gene:OGLUM03G13620 transcript:OGLUM03G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G01360) TAIR;Acc:AT5G01360] MVQLPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRTVMRTSALLSADQPPPPAVLSVEPATATPPPAPKMAFNATRCSVTDGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRFDPVSMLEKLRGKRIMFVGDSLQLGQWLSFVCLVNSAVPDTPGAKSMERSRTLSVYTVKEYNASIEFYWAPFLVESNSDRNMALGAGGRVLHVDAIEEHGKHWRRADILVFDSYVWWMTGYRIKSVWGSFGDDGYEELDAWVAYRLGLKTWANWVDSNVDPATTRVFFMSEDWGREGGIRCYNETWPITQRGYRGSGSDRRMMEVMSDVLGRMRTPVTMLNITQLTEHRVDAHVSVYTETGGLLVTDEEKADPQRYTDCIHWCIPGVPDTWNRLLYAHL >OGLUM03G13620.2 pep chromosome:ALNU02000000:3:10275680:10276394:1 gene:OGLUM03G13620 transcript:OGLUM03G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT5G01360) TAIR;Acc:AT5G01360] MVQLPAMKRVKGRAPLSVVVAIIGGLALAGIIFTEDLRGLTEVKEKVTDKEKKRTSLRTVMRTSALLSADQPPPPAVLSVEPATATPPPAPKMAFNATRCSVTDGYWAYDRSKKLPYTDQTCPYVDRQDSCQRNGRPDSDYLYWDWHLDDCLLPRSASNRMR >OGLUM03G13630.1 pep chromosome:ALNU02000000:3:10279464:10283144:-1 gene:OGLUM03G13630 transcript:OGLUM03G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamine-dependent asparagine synthase 1 [Source:Projected from Arabidopsis thaliana (AT3G47340) TAIR;Acc:AT3G47340] MCGILAVLGAADWLKHRGPDWSGLYQCEGNFLAQQRLAIVSPLSGDQPLYNADRTIVVVANGEIYNHKKIRKQFASKHTFSTGSDCEVIIPLYEEYGEDFVDMLDGVFAFVLYDTRTKTYMAARDAIGVNPLYIGRGSDGAVWISSEMKALNEDCVEFEIFPPGHLYSSAAGGLRRWYKPQWFAENVPATPYQPLLLREAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTKRHLIKTEAAEKFGAELHSFVVGLEGSPDLIAAREVADHLGTIHHEFHFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKALGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEVRVPFLDKEFINVAMSMDPEWKMYNADLGRIEKWVMRKAFDDEEHPYLPKHILYRQKEQFSDGVGYNWIDGLKAFTEQQVSDEMMKNAAKVYPHNTPVNKEAYYYRMIFERLFPQESARETVPWGPSIACSTPAAIEWVEQWKASHDPSGRLIASHNSASANHTNHANANANGNSNGKANGNCAMAANGTNGVGLVVANGTANGKMEA >OGLUM03G13640.1 pep chromosome:ALNU02000000:3:10312575:10318489:1 gene:OGLUM03G13640 transcript:OGLUM03G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRKSVFASAPFAMKQAALGAGVAARRNGAPLSLAAVVFALFVFATFLYNEDIKSIADFPFGAGALRAKSPDLHVLQETVGTAHLAAGSIAKRGEEVIVRVLDAPASTAMAAAAGSSSNNSTIEVAKANANANANAADAGVKVDEGQERERDVTLPSVKEGGADEARRREDEEAAEKESSAKAAAATAALRTVVSVPDTCDLYRGNWVYDEVNAPVYKESQCEFLTEQVTCMRNGRRDDSYQKWRWQPTDCDLPRFDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGKKTLTKFVNGGNSNIFYAHEYNATVEFYWAPFLVESNSDNPQVHSVPDRVIQWHSIAKHAHNWLGVDYLIFNTYIWWLNTLDMKVLKGSFDQGATEYVEVDRPVAYKEVLKTWAKWVDRNIDPNRTTVFFMSMSPNHITPEAWGNYGGIKCAMETLPITNRTTSLDVGTDWRLYAGAQEVLQTFRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQSDPKTYADCIHWCLPGLPDTWNQFLYARIASAPWSSDQ >OGLUM03G13650.1 pep chromosome:ALNU02000000:3:10339415:10341639:1 gene:OGLUM03G13650 transcript:OGLUM03G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPSSPVFSPSRRPLSCKAASASASPESVSVAASSPAQAAPPAGSPLRPFARRAHLREEATPSPQPSAAAAAAVSAPAGSVLKRRRPAPLVVPVCGGAAAAAAAAAVAAVESDPRNEVEEDGEEFAVYCRRGKGRRRVEMEDRHVAKVALGGDPKVAFFGVFDGHGGKSAAEFVAENMPKFMAEEMCKVDGGDSGETEQAVKRCYLKTDEEFLKREESGGACCVTALLQKGGLVVSNAGDCRAVLSRAGKAEALTSDHRASREDERERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWVVSDPDTTTLGVDSQCEFLILASDGLWDKVENQEAVDIARPLCISNDKASRMTACRRLVETAVTRGSTDDISIVIIQLQQFSR >OGLUM03G13660.1 pep chromosome:ALNU02000000:3:10347927:10350220:1 gene:OGLUM03G13660 transcript:OGLUM03G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G01340) TAIR;Acc:AT5G01340] MAAAAAAPSSSPPPPPPPMSPAPQAASPAEDRRGGRAPVPPYVKAAAGSVGGVMEACCLQPIDVIKTRLQLDRSGAYRGIAHCGTTVVRSEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPGTGKVSAHGRLASGFGAGVLEALLIVTPFEARSPLHLLQQQKGLSPDLLRYKGPIHCARTIVTEEGLFGLWAGALPTVMRNGTNQAAMFTAKNTFDIVLWKKHEGDGKVLQPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQGRTGDIKYKGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERSYLQPAHM >OGLUM03G13670.1 pep chromosome:ALNU02000000:3:10360731:10363631:1 gene:OGLUM03G13670 transcript:OGLUM03G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVRGKCVGRGAFGAVHVAVDRATGRAFAVKSVEAKGGAPAAAMACLESEIRILRRLSSPYVVEYLGDDGDAATTRNLHMELVPGGSAAEAAAAAAMGGLGERGARGVVRRVAAALRYLHDVAGVVHGDVKGRNVLVGCDGDGRGAKLADFGAARLVSDAAVSRGPRGTPAWMAPEVARGGAPTPASDVWSLGCTAVELITGKRPWSELGGASEVGELLFLIGFGGKRPELPACASDSCRDFLDKCLRRDAGERWTCDQLLRHPFLSAADVHDGGEPSPFPSPRAVLDWAAASMSDSDSDDSGGAEARSEHEVMARAKGRLAELASNASWGREWGAGPTWEAADTWAPPSSPDTTATNAPVPSNPAAVADAGGPPAVIAGGRDSVLAVATAGAGRDRCDSQHGHYKCELARTRRARLAVASVAAVITTGNHHEQTSSNEYEYIAIPAIGKIRRSMGAYHDLRSAYASMLGVNPDPRNMGTDSMCVTFAGCSSKNAHIQLDNSSPKRRRASHRI >OGLUM03G13680.1 pep chromosome:ALNU02000000:3:10363982:10366360:-1 gene:OGLUM03G13680 transcript:OGLUM03G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPAEHREEEEEAAAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEEVLLDMKSKLYRFDKEGNQWKERGTGTVKLLKHKETGKVRLVMRQAKTLKICANHLVATTTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVENCKKFREMVEEIAEQQGKNEEKENEEVSSTAGLVEKLSVTETKKEENAEKEETPAEEDKKDAKE >OGLUM03G13690.1 pep chromosome:ALNU02000000:3:10368293:10378320:-1 gene:OGLUM03G13690 transcript:OGLUM03G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSAGDVQFIYSVLANSLSADAATRQPAEALLAQCEARQGFCSCLLAIITSRGEESDDDVRLLAAVHLKNCVTRCWRNSVDSPAIDNKEKVYIRKSLLLNMREENGKIALQLAALIARIVCFDYQKEWSDVFSVLAQQLQTSDVFTSYQVSTVLFRSLKKLSKKRLAFDQRNYSQISVYLFDYIWNLWKSNAQIVLQNFSVLSQHNSSLDQSNDLLLIYERWLVCLKIIRELICSGYASDSTTMQEVCQIKEVCPVLLGAIQSILPYYPFFKERQAKPWSHAKRACIKLMKVLIILQDKYPYSFAHETVLPAAVDFCLTMITNPEQADTSFEEFLVQCMVLVKLVLECQEYKPGQIGFEAVGSSEHAIFDQRKNNLSATASSMVMSVLPADRIMLLCDILIRRHFIYTATDMNEWHSNPESFHHEQNLLQCTEKRRPCAEALFIILFDNYGVQLAPFVASIIHDVKAVSPPLEIEITAGMLLKEAAYTAAGHVFDELSKYLSFDEWFCGYLSIDLSNGNPNMCIIRRRIALLLGQCAFEIKGVIQKEVCDALVGLLGDQDMAVRLAACSSLCYAFRVFGIWEVDLLECIPTCWAMCFKLIGAVQEFDSKVQVLSFILVLLNYVGDDRIIPFVSELSQFFLKMTYHNDQALVRKHTSPAYKTWEESSGECLLQIELLDAIRTFISSLGYNSPLCYGMVLPILQYGMDVDSPNALNLLEDTVLLLEATLSNAPSIVPQLLDCFPYLVGIMNGSFNHLEIMIKIIEHYIVFAGSDLLQSHATSLESILDTIVGNADDKGLLTTLPIIDLLVLMFPQEVPPLISSALQKLVFISLSGFISLSGGDEHYPSRTAVCVTSAAILARLLLLNRDFLAQLLSEPALIARFQQAGINQNLLLLLVDWWINKVDDASSIEKKVYAMALSVILTANIPGVIEKLGDILRLCTSVIIGGHGRTTSDDSSDDTISSLPLSDDPEYSNTSKEFKKAQIRELDPIRKASLVDMLRENLKECAALHGDAVFNAAISRIDPLVIAQLRQALEIG >OGLUM03G13700.1 pep chromosome:ALNU02000000:3:10392161:10397818:1 gene:OGLUM03G13700 transcript:OGLUM03G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGNTKTFSFSFGGGNPGASGGNPFNFDFGDVFSNIFSGGSMGGSQHTGSAGKARRGTKSSGHDSSSVNIQEVTMQIFNKETADQGITWLLLFYTPNTKGQFVLESVVEDVARSLDGALRAGKVNCDHEKALCKKAGVSIGKSARLFIYSYTTTEKGSLHEYSGDYDSKSLKTFCQEHLPRFSKRVDINQFSFPSNIIPNLPQVLLLSAKKDTPAMWRAVSGMFRSRLIFYDAEVQDVSHPLLKSLGVKNIPALIGRSVNGEEQLLKDGISVKDLRSGIKELKNLLENFEKKNKKLASNQAKKPAHTDQPKENKIPLLTASNFEEICGEKTSVCILGIFKSSKAKENLEAVLSEISQKTLIRGQNYNSGNAVAYALLDGNKQSAFLSTFDKSAFKSSDKLLLAYKPRRGRYAVYDNEVTMEEAESLAGTEYMLLVRDRTLVESLLLQQAGLCRLLAHTFGPAQRIVGSKHSSPGPDLRMASRSLSPLSSASKLCSRCGQADEPKMAATTFSSSPSKVTTAKLGGGVTRSSSPYTQLTFCSRHHFQKAATTAAAFHHKPPPAELSLLLSPARSKHARTCPAADNDRAAPAAQEATNTPPPPAAPSANGSPPQEPPKRAPLTARERLRAARVLGKYAEPGGSSPKGKASAASAAAGAAGKKPEFGSRVLDALRETDGKAGKKRSSRLPEAPSNMFDDSKRGLPKEGWTFEALPFGTDVIVIAASFTLITVVMFGTTYLVWKLGAIHFNEF >OGLUM03G13710.1 pep chromosome:ALNU02000000:3:10399672:10403149:1 gene:OGLUM03G13710 transcript:OGLUM03G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRATAXIN-like [Source:Projected from Arabidopsis thaliana (AT5G01310) TAIR;Acc:AT5G01310] MDPDAGGSTAATLPPASTAGASAASATVAEEGGGKQVMVVLVGPPGSGKSTFAEAVLGGSAAGRTWARVCQDTIGNGKAGTKIQCLKAAADALKEGKSVLLDRCNLEREQRADFMKLGSHVHVDVHAVVLDLPAKVCISRSVSRTGHEGNLQGGRAAMVVNRMLKNKETPLLTEGFSRIMFCKDNNEIKKAVDMYSALGPSDSLDSGVFGQNSKGPVQVGIMKFLKKPGSSAEKSGGHKVTPNESIPQMQNHISEQQNLEVGGTCTVESVKELSNSKKIEDQSRESVLSDISSRTLVFPSISTADFQFDLDRASDIIVDAVADILQKYDNIRLVLVDLSHKSRILSLVKEKAAKKNINSSRFFTFVGDITQLQSKGGLRCNVIANAANWRLKPGGGGVNAAIYNAAGEDLQRATKECADTLRPGSSVAVPLPSTSPLHQREGVTHIIHVLGPNMNPMRPDCLKNDYTKGSKILHEAYTSLFENFVAIVQSCMGKQNTEPALEKPATAVTSPNDSKTKRECNHDSERTKKHKLVQPNTSSNQAREGDSKRSGVTTTKTWGSWAQALYELAMHPENYKNSDSLLEISDDFVVLNDLYPKAKRHVLVVSRKDGLDSLADVKKEHLPLLRRMHSAGVKWAQKFLEEDSSLVPSMRQLHLHIISQDFNSASLKNKKHWNSFTTTFFLDSVDVIEEIDQRGSATISSDDRVLAMELRCHRCRSAHPNIPKLKSHIASCKSSLPSHLLQKDRLLSSSTMHMDCT >OGLUM03G13720.1 pep chromosome:ALNU02000000:3:10405403:10407993:-1 gene:OGLUM03G13720 transcript:OGLUM03G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHIGSVDGAAAAADNGAVGCPASAVGCPMTSVRPAPVSAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLEDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLAPRLSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSELAKRVNKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLNSDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGAKDKRVIACIGDGSFQVTAQDVSTMIRCAQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTEAIGMALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >OGLUM03G13730.1 pep chromosome:ALNU02000000:3:10411867:10412370:1 gene:OGLUM03G13730 transcript:OGLUM03G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLGEEEREATERERTLPRSAARRRHRSAAPLYSVVLLSSTVSAPTRRSGSVDFVLTSSTSIFVSLTRGVVLAGSPDRRRRRRSGHPARRLRHRSGRPVSSPLGPGCPATRRRRRATPPAAFATVGPPDVVAARTASPAAATQLGFSDLTWGKNEWRERLGTGR >OGLUM03G13740.1 pep chromosome:ALNU02000000:3:10417985:10418735:-1 gene:OGLUM03G13740 transcript:OGLUM03G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATSSSTQEREEDRQPEAAGADGDDGDYSATDVTVRLLCDLFYPGGESELARVVRRYTELEAQHRQDMERCRQAHDELLEFQATLRPGRLGRELVDMAAAVEVATAALEFDGGQEDDDGAAVDHKDTVTIELAPATTTAIDDVDGDQPPTTMATAVSIRSTSALQEKRTEKKGQVAICMWMRSGKSH >OGLUM03G13750.1 pep chromosome:ALNU02000000:3:10420819:10421293:-1 gene:OGLUM03G13750 transcript:OGLUM03G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRGRCGRRHHRGGGDWERHGAVDAVAGMALADGDVPRENDDALAPGEGDRGAANVGGLYELYTTFPPCGEGGGGWRGPYSSYAVAGRAVLVDAVLRVVGELAELLHPL >OGLUM03G13760.1 pep chromosome:ALNU02000000:3:10422310:10427126:-1 gene:OGLUM03G13760 transcript:OGLUM03G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLVTSMRPRPKLIFRTSIRPWKKLGQLRPSNAGKDGKEWLLLEELQGQIARFGTLMTE >OGLUM03G13770.1 pep chromosome:ALNU02000000:3:10427788:10432929:-1 gene:OGLUM03G13770 transcript:OGLUM03G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPVAPKDCLRPQPPVAVLSSLEFSLYGYNKMLVVALSIRSHDLPKELNSSSVLITRGDNEVKKIEDSECKVSYNAMNIKYENSIKASVINPAKAIVIEQPKPKAPVYQLTEGTNTLFQDKPHDMLPKTWSCQGQILTPGHYKIFDIAGAK >OGLUM03G13780.1 pep chromosome:ALNU02000000:3:10447008:10452837:-1 gene:OGLUM03G13780 transcript:OGLUM03G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGTKPRKRRRKQKSANGGGGGKMLSNGAIRVDSLLRIRGSSRGRVPGRERPNDPAKKTQYHFKIGMRNVPEGTGCFARQDGVNTHTAWHVFLVNKSFTKHNYIGEGNLIPATEKVSFTPAKHRCVAVTGMATRVEMWALCASASGFSSEVWAVLDLKIVLTWLLRHRIYEISSRKVTPLYCISSPFIFRLVQIVNVGAPITYDTTGPYRQRGPEEKSFGDD >OGLUM03G13780.2 pep chromosome:ALNU02000000:3:10447008:10452837:-1 gene:OGLUM03G13780 transcript:OGLUM03G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWARGTKPRKRRRKQKSANGGGGGKMLSNGAIRVDSLLRIRGSSRGRVPGRERPNDPGNLIPATEKVSFTPAKHRCVAVTGMATRVEMWALCASASGFSSEVWAVLDLKIVLTWLLRHRIYEISSRKVTPLYCISSPFIFRLVQIVNVGAPITYDTTGPYRQRGPEEKSFGDD >OGLUM03G13790.1 pep chromosome:ALNU02000000:3:10449648:10452586:1 gene:OGLUM03G13790 transcript:OGLUM03G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast envelope; EXPRESSED IN: inflorescence meristem, petal, leaf whorl, flower; EXPRESSED DURING: 4 anthesis, petal differentiation and expansion s /.../BEST Arabidopsis thaliana protein match is: Tetratricopeptide repeat (TPR)-like superfamily protein (TAIR:AT4G18570.1); Has 288 Blast hits to 260 proteins in 50 species: Archae - 0; Bacteria - 8; Metazoa - 27; Fungi - 15; Plants - 163; Viruses - 0; Other Eukaryotes - 75 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G07120) TAIR;Acc:AT1G07120] MMREGDACVALLRSKLHGLVERNRSLEEENKQLRHQVSRLKGQVSSLEGQDTDRKMLWKKLDNSSTGNSYLKEKQFVPNNDAKEAMDLNSTSCYSRQQFSRAPLVRSRAPRVPNPPPSPTYTQPIVNARKEGGMAPPPPPPPLPSRLLKSTKAVQRVPDVVELYRLLVRREGKNDAKSGSMGIPAATNSREMIGEIENKSAYVLAIKSDVENQSEFINFLAVEVKNAAYKEIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADTMREAAFTYRDLKNLESEASSFHDDKRVATPMALKRMQALQDKIEQGIHNTERARDSASGRYKDLKIPWEWMLDSGIISQLKMASLKLAREFMNRVVNALKSDPFTNDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKMYASKSD >OGLUM03G13800.1 pep chromosome:ALNU02000000:3:10452930:10465283:1 gene:OGLUM03G13800 transcript:OGLUM03G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSSGGMSDQLFVSIKLECPRLAEMGLVPHVFGSHPVAGAWDPSKALLMEQEEAAVWGLSCIVPSHRETLDFKFLLKPKDNSSHCIVEEGPDRSLVCGSNEVEIRNALFKFNDETGVVECKIFVETEILSPFDLAASWKAHQEHLQPRVRGAHDVIMNADSESRAKNGFASGLELDLEKYVVPTPNMGSDVVYAANLTENPRLLLTTGISSNNDTTKGFLHNSIKGDASPNLYASENKGADGRHVPPQEEQRAIFVDRGVGSPEFARPTNETISMSNIKFDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGINQTSLSASNSVEQTADFFRGDNKEGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRNRRNMLMKMAEGKCKSPKLIIVPIMNINRKRRTYKAYSCTIIFLETICNDQNVLERNMRLKVQRSPDYAEQTDFEAGVRDFKERLAYYEKVYEPVEEGSYVKMIDMVSGTGGQLQINDISGYLPGRIVFFLIWTSTLQRSILTAQPIIGFPKIQWRALDEINAGICDGMTYDEIKKIKPEEYESRSKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQIPLHTIIEIQMGVAGVQEKRYKLMDAVNSTAGI >OGLUM03G13810.1 pep chromosome:ALNU02000000:3:10465790:10466275:-1 gene:OGLUM03G13810 transcript:OGLUM03G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRLAVCGGASHGMHACGDGSGCGWLMDLISDGSGCMGMAASMGVEVVMAMDGDGGDFWKRIARAMFALL >OGLUM03G13820.1 pep chromosome:ALNU02000000:3:10469402:10470055:1 gene:OGLUM03G13820 transcript:OGLUM03G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPSPQQQQQQQRANEWWSDGETAALIDAWGPLHVARSRGPLPAKDWRAAASAVNARRAAAGRRHNRTRAQCRARVQTLKERYKRELAKPPPSGWRHFSRLQEFLLAGPPPGFPPKTMPPASVKKEEEEEEECQDEAVGGGGGSGGLLGRWVVPTRPRNGAAAWCPVGVVVTKLAEVYERVELARLEVEKEKVAMEMEKAMQEAVKLKEEKLDT >OGLUM03G13830.1 pep chromosome:ALNU02000000:3:10471347:10473096:1 gene:OGLUM03G13830 transcript:OGLUM03G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRRAAAPPQPPAWTPEPWSDGETSALLDAWGPRHIRAAGGPLRTADWRACAAAVTARRAAAGRAPRTVDQCKNRLDYLKKRLKAERSRSKGAPAPPPPPPSVDRLRALLRLAPSVPPGFTSRGGAMPKVGEEEQEEEEEKAESFAAPLPRSWPSVPKRPRTAVALLPLSSSSGHQHGDGGVTPCTEVAAALDRLAGTYERVEVAKQKEATRLEERRLEAMRDLEIERMRILVDVAISASAVADTATAASSSWLAAMQDERLDCLN >OGLUM03G13840.1 pep chromosome:ALNU02000000:3:10473034:10478329:-1 gene:OGLUM03G13840 transcript:OGLUM03G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITQVLLAAQSHDGQLRTVAEENLKQFQQQNFPHFLQTLSVELSNDEKPPESRRLAGILLKNSLDAKESTRKEEFVLRWMNVDPAIKSQVKESLLITLGSPVFEARRSSSQVIAKVAAIEIPHQGWPELIVNLLTNMTKPDAPPCLKQATLDCLGYVCEEISPEDLEQDQVNAVLTAVVQGMNHVENNSDVRLAAVKALYNALDFAETNFQNELERNYIMKVVCETAMCKEADIRKAAFECLVSIASIYYDLLEPYMQTIFELTSNAARVDEEPVALQAVEFWSTICDEEIARQEESKESGVFSSSCHFHFIEKALPSLVPMLLETLMKQEEDQDQDDGIWNISMSGGTCLGLVAITVQDAIVPLVMPFIEGNITKPDWHSREAATFAFGSILEGPSVQKLTPLVHAGFDFLLNATKDQNNHVKDTTAWTLSRIFEFLHSPTSGFSVVTDANVPYVIQILLTSIKDSPNVSEKICGAVYFLAQGYEDAGSISSVLTPYLGEIISALLATADRSDSNNSRLCASAYETLNEIVRCSHISENLNMIVQLLQEILKRLNQTFEIQITSSDDKEKQSDLQALLCGVAQVILQKFSSCHEKSAILHFADQMMVLFLRVFSCNSSNVHEEAILAIGALAYATGPEFVKYMPEFHKYLEMGLQNFGAYQVCCVSVGVVGDICHALDDKVLPYCDGIMSALLKDLSSPELHRSVKPPILSCIGDIALTIGEHFEKYVPYTMPMLQGAAELCFRMDAPDDDSIEYQNELSRSIFEAYSGILQGFKNSKSELMVPYAGNIFQFVELVLKDNLLRNESVTKAGVAMVGDLADALGPNIKLLFKDSKFHSELLGQCCQSDDEQLRETASWVHGVITRVLLRQSSLSSCIAANLLSVN >OGLUM03G13850.1 pep chromosome:ALNU02000000:3:10480529:10481059:-1 gene:OGLUM03G13850 transcript:OGLUM03G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSPLPPSSRAAPHLPTTTTPAIIPQRRRRRNGPCGVRARASASAPTSPRGRALRSPAVRACASIACVARLRS >OGLUM03G13860.1 pep chromosome:ALNU02000000:3:10481061:10485543:1 gene:OGLUM03G13860 transcript:OGLUM03G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNFLTTIRSLKLIEGCKAAQIYAFSSAGGASTSGSGDGAGKPLPPPQPRSLSVRSASVCYPHAPSTSGAFVADSPLPCGLPVAAALEPALDACLRPVDHVKALAASFRRMSSAEAEGDDLCDVFLEQHALFHALGDARLLRRALRAARVHATDPHRRVVLAAWIRYERREDEFDPMPPPLAPCTPTTPLLECPRAAVFAGESPGVDPICPCRRPPPPPPTPPSSRFRRNTSSIDQMVEDDGDVETNDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAQRDRIDFTRDGITPCGMRAVSAYSRHGRLDDFSTDTILELLAFSNKFCCEGLKSACDNKLATMVSGVEDALSLVDLGLEEAAHLLVAACLQAFLRELPKSLSNPDVARLLCSPDGRERLDIAGNASFALYYFLSSVAMEEDIRSNTTVMLLERLCESAERPWQKQLALHQFGCVMLERGEFKDAQGWFEDAIAEGHMYSLAGVARSKFKRGHKYSAYKMMNSIMEDYEPAGWMYQERSLYCVGKEKMADLHIATELDPTLTFPYKYRAVVFLEEDMVESAVAEISKVLGFKLVTDCLELRAWFYLALEEYEAAVRDIRAILTLDPSYMMFHGKVHGEQLIEILRGYVQQWDMADCWMQLYDRWSEVDDIGSLAVVQQMLTREPGNSSLRFRQSLLLLRHAAMRSLRFARNCSAHEHERLVYEGWILYDTGHRDEALAKAEQSIKIQRSFEAFFLKAYALGDSSLDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDLLDEAAECYNIALNIKHTRAHQGLARVHYLKNRKKAAYGEMSELIKVAKDSASAYEKRSEYGERDEARGDLNMATLLDPTRTYPYRYRAAVLMDESKEDEAIGELSQAIAFRADLQLLHLRAAFFDSMGDNANTLRDCEAALCLDPTHGDTLELYRKASTKAEPQS >OGLUM03G13870.1 pep chromosome:ALNU02000000:3:10483169:10486802:-1 gene:OGLUM03G13870 transcript:OGLUM03G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSDVSSFSVQLQGVTVCRVQAKGSLAVPQGVGVVAHGVEECCPEMEQLEVMDPCQTLMRPWWD >OGLUM03G13880.1 pep chromosome:ALNU02000000:3:10486855:10489718:-1 gene:OGLUM03G13880 transcript:OGLUM03G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLCGAGTEGLGVGEEGLSSIGASSGSSIRTGVFSITLAMGIPTERLTITITIFKNHSTQISTPSIHNPSTIAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWSGAKEPNPATSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLAFRYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >OGLUM03G13880.2 pep chromosome:ALNU02000000:3:10486855:10489718:-1 gene:OGLUM03G13880 transcript:OGLUM03G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPCPRLLLLLRLLVFLVAVSWPLCGAGTEGLGVGEEGLSSIGASSGSRNVRRLLQTGGVNQGAPAPLPLEQSPSASGPVSSPSPSPWVSPPKGSPSPSPSSKIIAHRSPHHPFTTPPQLVRPKPTTRRAEHDHSVETTGRSWFKRSWTTYGFIAAGIAALLIISAAGAFYCRAKKMGTVRPWATGLSGQLQKAFVTGVPALKRSELETACEDFSNIIGSTSSCTLYKGTLSSGVEIAVASSLVTSADDWSKECESRYRRKITSLSKVSHKNFMNLLGYCEEEQPFTRVMVFEYAPNGTLFEYLHVREAEKLDWMTRLRISMGIAYCLEHMHQLKPPVVPRNFDSTTIYLTDDFAAKVSDLEFWSGAKEPNPATSNSSSSSDLENTVRKYGMVLLEMLTGRVPDSEEDGPLERLAFRYFDGETRLAELIDPSIGSFSEEAARSLCEVVRSCIDPDPKRRPTMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSES >OGLUM03G13890.1 pep chromosome:ALNU02000000:3:10493496:10499634:-1 gene:OGLUM03G13890 transcript:OGLUM03G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTKRADGAEAESSSDAPAKKARVGGSASEAEAMVAGEAGGGGGGVSGNGSEVAEIDEDLHSRQLAVYGRETMRRLFASNVLVSGLNGLGAEIAKNLALAGVKSITLHDMGNVEMWDLSGNFFLSEDDIGKNRAVACTAKLQELNNAVLISTLTEDLTNEHLSKFQAVVFTDISLDKAFEFDDYCRNHQPSISFIKAEVCGLFGSVFCDFGPKFTVLDVDGEEPHTGIIASISNDNPAMISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKIINARPYSFCIQEDTSKFGIYAKGGIVTQVKEPINLEFKSLRDSIREPGNFLLSDFSKFDRPPLLHFAFLALDKFRKEFGRFPGAGCDQDAQRFIEFVASVNEATIDYKMDELDGKLLRNFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPQYQFFYFDSAESLPTYPLDSKDLKPLNSRYDAQISVFGSKLQKKMRDANVFVVGSGALGCEFLKNLALMGVSCGLKGKLTITDDDIIEKSNLSRQFLFRDWNIGQAKSTVAAAAASAINSSLHINALQNRACPETEHVFHDKFWEGLDVIINALDNVNARMYMDMRCLYFQKPLLESGTLGPKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFISNPAQYAAAMRKAGDAQARELLERVCECLDKERCDGFEDCIAWARLKFEDYFANRVKQLTFTFPEDAVTSTGAFFWSAPKRFPRPLQFSTVDSSHIHFILAASILRAVSFGISIPDWAKNTSNLVDAVSKVVVPEFEPKSGVKIETDEKASNISSASVDDASVIEDLLTKLEASAKKLPPGFQMKAIQFEKDDDTNFHMDLIAGLANMRARNYGIQEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYRNSFANLAIPMFSMAEPLPPKVIKHQDMRWTVWDRWSIEGNITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRVNKKLVDVAKEVAKVDVPAYRRHLDVVVACEDDDGNDVDIPLISIYFR >OGLUM03G13900.1 pep chromosome:ALNU02000000:3:10506414:10507563:1 gene:OGLUM03G13900 transcript:OGLUM03G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFRRLRDSPWTAHFYGAHAAVESFESRARIKLAKRGIGGFPTLSIQHLIDILRDQLDDAPNLNQKRLVMMEENAFRILKNIGVTTKEAYDAAPPGTGFVKNVEGLPNYKIENYRLLYLKSNCWHEGASSEIIDAIHCGGPVYGWFAYDDSFQDAKGEIYRVPSAPSTMISPIVRTHALLIYGYGAQGRTGLFDYQNNWGPEYHNGGRGIMESANIIGTIVPDVTFVGIAF >OGLUM03G13910.1 pep chromosome:ALNU02000000:3:10508713:10511062:-1 gene:OGLUM03G13910 transcript:OGLUM03G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKSPRDEHPPWKGQAQAPRTAGAGGGGGGGGAQLDLAPQDGDGVHKYAVAVAALEDKDVGVAADICKRNSHLKLCYNLRNRNVFVPNTNKPQLGPLHTKKPQLGSLQYKKGKEHVYDVSGQTPTCAKVALEHYNRSNEDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEVKRGPDGMSCVSCVKIDPGSPEATPIRGCGICLNNAIYHPAAGGHRGDRKNVCQMHTLWRSL >OGLUM03G13920.1 pep chromosome:ALNU02000000:3:10513887:10518472:-1 gene:OGLUM03G13920 transcript:OGLUM03G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMERTVEEEDGGREEEEEEQSYAKYYAFSPHAHFTATPAARRRRRRRSRAASVVLASAAPPRPAPPVPTSCCGWRHAPPDFLSFSGASPQALLRTGGVRGKMPKKGTKSRKGTDDEPKQEEKNKLPEHLEVQRTRVVCKGDAPTEGFQYAGAFAAMGIDNSVSADKFCKNFKVVVNRLTEDDMEFDMIGIDASMANAFRRILIAEVPTMAIEKVLMADNTSVIADEVLSHRLGLIPLDADPRLFEYISENDVPTERNTIVYKLHVSCKKGSPRLTVKSGDLEWLPEGSQLPLASPAQSGDKQKTYTSFSQSQKDILEKPLGVKFKDITIARLGPGQAIELEVHAVKGIGKVHAKWSPVATAWYRMLPEVVLREEIKDADAEMLVAKCPVNVFDIEDLGNGEKRAVVARPRACTLCRQCVMGPTGEVMGPTVEQVELRRVRDHFIFTIESTGALPPEMLFTEAVRILEEKCERVISELS >OGLUM03G13930.1 pep chromosome:ALNU02000000:3:10518325:10524629:1 gene:OGLUM03G13930 transcript:OGLUM03G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSAPVDASGDPIPTSSVLMAASKHIAVRCRPENVAFLNCKKKDPNPQKCLEKGRQVKRCVFDLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQDFESACPVSE >OGLUM03G13940.1 pep chromosome:ALNU02000000:3:10526881:10529571:-1 gene:OGLUM03G13940 transcript:OGLUM03G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSGRPSTDEEMVEQVLMKPHVRSDDSLPTCADAAFAAGEPTTAQAAPKKWQRLGRNFAGAIAAFKSSLNLDNGGLPRDPSPRAVGERPPLLVRGFQQLYSRGGATQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQAAGEDQPAVSIEEVPGSNGRGGANEGTVFQLTFACSAPLSWQSMSGSLDSPSFCCKRIQIFEKRGLTLGVVMILVQPGNEVLFKNRVDAALKSAIKKQRKNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPTRKPQLPTPLPQSSVFVSIDEWQTIRSGGEELGRWMLSSEEIEFIDWVGPNSFKGVFRGRKVWVNKMRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRSKRLSLRDILKIAIDVAEGLAFMNSYAITYRDLNARRILLDRQGNACLGDMGIVTPCNNAGEVTEYETSGYRWLAPEIIAGDPESVSETWMSNVYSYGMVLWEMVTGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPHFLRSLMTRCWDNNPLKRPQFSEIISMLQRQNVR >OGLUM03G13950.1 pep chromosome:ALNU02000000:3:10544787:10556698:1 gene:OGLUM03G13950 transcript:OGLUM03G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHCTRAYTIVRGSVQQRRDVLPEPAGDGGVHHVGVVQRPLRLVAVPGDEVHVGEQELEAVVVERDHHPVRGLVASVDDAASAFGTPHLLHFSRLPSFLPHHATDLTRRRRTGGFLATPPSPPAASASLEERIADAAAAAAAMASTSAASSSSSKYAKHRRIGEEEEEEEEQEAEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAYIGIQNLGTVFTRRFEADRIGSQVPIRLIHLEGLHELFLSKFVLSSTDFPARVKVNFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADHWLLHPIVSPYMVDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVAQNKTRKGSAGVVPSMMLLNTFQEMHAPYTQDAFLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDRAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >OGLUM03G13950.2 pep chromosome:ALNU02000000:3:10544787:10556696:1 gene:OGLUM03G13950 transcript:OGLUM03G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHCTRAYTIVRGSVQQRRDVLPEPAGDGGVHHVGVVQRPLRLVAVPGDEVHVGEQELEAVVVERDHHPVRGLVASVDDAASAFGTPHLLHFSRLPSFLPHHATDLTRRRRTGGFLATPPSPPAASASLEERIADAAAAAAAMASTSAASSSSSKYAKHRRIGEEEEEEEEQEAEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPNILTQKGAESVPSFDNLYVVKRELKHGKRVYCMEYHFMKSAKGKHSYWDDDTHSMQLSFGVYEFLIIAPLSASGVVLDDPESTKLLSSVAIALSNCGRFEADRIGSQVPIRLIHLEGLHELFLSKFVLSSTDFPARVKVNFSMKLTYRTPEYDYYNEETLVSEANESIAESEVANHPKKQWDDDCSWAEWYSAEDPVKGFELTAIWGERVFEETLEMAEVENASSFDADHWLLHPIVSPYMVDDSIGKFVGFASQLQLLVKAFESSAEAQFLEDFVADTSGQENSKSTVTVPPPSVVDRVMKDLFNDEVGNSNYVEAENKYGRAMKGAPSDSLFAQFCLHALWFGNCHIRAIAVLWIDFVREIRWCWEESERLPRMKSTSSIDLCTCLIHQKLHMLAICIERKKSLSREKGTDHAHEDGISNSVAQNKTRKGSAGVVPSMMLLNTFQEMHAPYTQDAFLMTEDMHEERLHAAEAFGNAVGLSGQLERDVLSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDRAEGSSGWPPKGRLSQRMSEHGNMWRKIWNDAPALPVSEQKFLLDPIREGEKVIHYLETLRPQQLLEQMVCTAFKSSADILNRTTYGGFKLMKTKMDQLYATLASTLKSLQGKSDISDLSDDLKRLCQVFEHIEKLLILAASVHRKLIDAPRLSQAIFADYFNYYLPKMGTSLESVCYEKEFTAKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPIQREIVFSVIERISNGHYSSPTPLSTDEQIETHRMYISGTSNDLWVALSVTSWD >OGLUM03G13960.1 pep chromosome:ALNU02000000:3:10556481:10559611:-1 gene:OGLUM03G13960 transcript:OGLUM03G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07080) TAIR;Acc:AT1G07080] MAAGPRGRLLLVALMSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKGCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGQAKIKKVVPDDDD >OGLUM03G13960.2 pep chromosome:ALNU02000000:3:10556481:10557917:-1 gene:OGLUM03G13960 transcript:OGLUM03G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07080) TAIR;Acc:AT1G07080] MAAIRRFRPALLLAAILLLAAGAVEGKKGRKVDVALYYESLCPYSAMFVVGSLAKVFRDGLLDAVDLSLVPYGNARVKDGKISCQHGSEECFLNTVEACAIDAWPDLRVHFRFIYCVEDLVVNHKQREWESCFGKLNLDPKPVTDCYKGERGHQLSLKYGRQTDALQPPHKYVPWVVVDGQPLYEDYENFEAYICKAYKGHPPKGCEGLARPPTPTVLEVAEAGNRVSYYDSGDIRLKPDEDGQAKIKKVVPDDDD >OGLUM03G13960.3 pep chromosome:ALNU02000000:3:10558121:10559611:-1 gene:OGLUM03G13960 transcript:OGLUM03G13960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07080) TAIR;Acc:AT1G07080] MAAGPRGRLLLVALMSAAAVVAGALLPGCAAAAAAGEKVPLALYYETLCPYCSRFIVNHLAGIFEDGIVDAVDLRLVPYGNAHVVGANNTISCQSWQRGMTAPMVGGAQNQSRTVPCACGHMVVAARGPN >OGLUM03G13970.1 pep chromosome:ALNU02000000:3:10560258:10561862:-1 gene:OGLUM03G13970 transcript:OGLUM03G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDGAIEAADPGGLSRDEFRRARGRIVEMLRGAEGEAEAEGLCLVLDDVMAESLLTLRLVPVTARTLATTDLAGIVGALRRHESERIRGLATDIVRGWRVAVRRELVRIGIAMEKLSQTPERIEADRRVRASSDLDTKVKHATPTSLPKRIVIEADQRVRASPDLDMKVKHASPAPPFKKKATADCSSRVDLAKTSQPSLTKTSAPPVVAGARVKAPDMGSATKANPPKKLPAVTGRAGGRRDVIKPYHIDGEKLTVAAKRLDVYQEAEEAQKRHKSADMGAAAKPKDPALPPKKSPAVVACAGRRESIELRNDDEKIAAAKWKLHEGYREAEEAKKRRKMADMGAAAKPKEPALPPKKLPAVVASAGRREGIELRNDDEEKIAAAKRKLHEGYREAEKAKKRRKMADMGAAAKPKEPALPPKKSPAVVASAGRREGIELRNEDEKIAAAKRKLREGYQEAEEAKKRRKIHVIEDPEILKQRQKKMHPILSLRSRASHASSMAEKSSLMSSLGRL >OGLUM03G13980.1 pep chromosome:ALNU02000000:3:10563411:10565681:-1 gene:OGLUM03G13980 transcript:OGLUM03G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G28470) TAIR;Acc:AT3G28470] MGRPPCCDKANVKKGPWTAEEDAKLLAYTSTHGTGNWTSVPQRAGRLKRCGKSCRLRYTNYLRPNLKHENFTQEEEELIVTLHAMLGSRWSLIANQLPGRTDNDVKNYWNTKLSKKLRQRGIDPITHRPIADLMQSIGTLAIRPPPAAGAAPPPCLPVFHDAPYFAALQQQQVVTHVDADAPASPDSQHLQLNWSDFLADDAAGHGADAPAPQAALGQYQEGSAPAATAVVGGGRAFGDVDGASAGVGDGTDDGAGAASAFIDAILDCDKEMGVDQLIAEMLADPAYYGGGGGSFSSELGWGC >OGLUM03G13990.1 pep chromosome:ALNU02000000:3:10567318:10569029:1 gene:OGLUM03G13990 transcript:OGLUM03G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAQGKERGGEEDAGGGGESGFLTTMASKIGAAVSGADGSGGAEEEGREGDGDVNVGGGVETDGDGGFLTTMASKIGAAMSGGNGNGRAEEEEGGERNGDENVVAASGGGEEERKRKRGGSGGGGIFSKLMSGSPDSLPASVEAEENEREGGDQGGEKAGILSTVASKIGIAMSGADGRENHGNEDDAKIRNGNAADHGKAEEKGDEPNGGGIVKQIMSNLPADDQAPDAEEASLLIAIIDD >OGLUM03G14000.1 pep chromosome:ALNU02000000:3:10569385:10572807:-1 gene:OGLUM03G14000 transcript:OGLUM03G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAGADGASASQAAVVEPIRLPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNSAVAGCVTGGALAAKGGPKATCVGCVGFATFSWHDIWDCSEH >OGLUM03G14000.2 pep chromosome:ALNU02000000:3:10569814:10572807:-1 gene:OGLUM03G14000 transcript:OGLUM03G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEPAAGADGASASQAAVVEPIRLPTPEEIKGQDIWNNCAVRSVVSGVMGGGLGVLMGLFFGALENPITAEEMTARQQIVYQAKQMGRRSISNAKTFAVMGLIFSAAECVIEKARAKHDTTNSAVAGCVTGGALAAKGGPKATCVGCVGFATFSVMIEKFLDRHS >OGLUM03G14010.1 pep chromosome:ALNU02000000:3:10573259:10576525:1 gene:OGLUM03G14010 transcript:OGLUM03G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHACEDRYNKSKLVHSIMRHVAETLGIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDAILDSLTYEEKETGADGQEVTKVVPAVTPEVKDALVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMRNAEAAGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKHKGKLIVKEAPRAVSEREDKLFNEHIETLNEQNAEVDGDADSEEEEDTGMGDIDLTNSGVNAD >OGLUM03G14020.1 pep chromosome:ALNU02000000:3:10577146:10580024:1 gene:OGLUM03G14020 transcript:OGLUM03G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G58480) TAIR;Acc:AT5G58480] MRPSRRRHPLPPLAPGLLLLLLLHALAPPPAAAAVGVNWGFSSSHPLPAAQVVRGLLLPNSVPRVRLAAASPDALAALSGTGVAVTVGVPNELLRPLATSRKAAAAWVHDNVTRYASGVRYVAVGDESFLLNHGQQNQSFLVSAAANIQRALVDAKLSNKMKVVVPCSSDVYLNTSALPSKAYFRPEVNETIAELLSFLANHSSPFMVELNPFSSFQHKKNLSLDYYLFQLMSHPVSDGHIKYDNYFDASIDALVTSLTKAGFSNMDIVVGRVGWPSDGAVNATPAIAQSFMTGLVNHLARKSGTPLRPKVPPIETYLFSLLDEDQRSIASGSYERHHGIFTFDGQAKYYVNLGQGSKALENAPDVQYLPSKWCVLDNNKDISNVSSSFSAACSNADCTALSPGGSCSGIGWPGNVSYAFNNFYQQHDQSEEGCSFNGLGLITTVDPSVDNCMFNLAIDTSTAASFHPILAMLQILVLFFCTYNLL >OGLUM03G14030.1 pep chromosome:ALNU02000000:3:10580599:10581054:1 gene:OGLUM03G14030 transcript:OGLUM03G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1278) [Source:Projected from Arabidopsis thaliana (AT1G76750) TAIR;Acc:AT1G76750] MACSGSFLPIMLLPLLLAGAAVAGGAPPGLGLAQRLADGVGQQQQQCWEVLMEIKSCTGEILLFFINGEAYLGPGCCRAIRVIEQSCWATDAMLSVIGFTPEEGDMLKGYCDAGDEHKPSPPPASPAVGYVAVGENAAAPAGRKTLALQHR >OGLUM03G14040.1 pep chromosome:ALNU02000000:3:10585847:10586394:-1 gene:OGLUM03G14040 transcript:OGLUM03G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSSAATRRSQAVPALVATMRDTSIVGIDGADNRTLQHVRSSGDGAESVIPLIQQADT >OGLUM03G14050.1 pep chromosome:ALNU02000000:3:10590523:10600128:1 gene:OGLUM03G14050 transcript:OGLUM03G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHVSDQFVLSGSCDYATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEAIPNLEFWISLPQTIKSMLLPAARGRNRQSRDTYAPVDH >OGLUM03G14050.2 pep chromosome:ALNU02000000:3:10590523:10596168:1 gene:OGLUM03G14050 transcript:OGLUM03G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHVSDQFVLSGSCDYATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEISEWLEIYWRPRADNICS >OGLUM03G14050.3 pep chromosome:ALNU02000000:3:10590523:10596812:1 gene:OGLUM03G14050 transcript:OGLUM03G14050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHVSDQFVLSGSCDYATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEIRT >OGLUM03G14050.4 pep chromosome:ALNU02000000:3:10590523:10596168:1 gene:OGLUM03G14050 transcript:OGLUM03G14050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Autophagy-related protein 27 (InterPro:IPR018939); Has 138 Blast hits to 13 /.../eins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 32; Fungi - 62; Plants - 33; Viruses - 0; Other Eukaryotes - 11 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40316) TAIR;Acc:AT2G40316] MPSSRGQRPPPLQLAVACGFVHLICLAAVAGGGGPCEFSVARGGKLYSFSLASPTTAHRHGVLSEDGFYKVAVNNSVLWFQLCDQMIFNFDPPVCLNCEDCGGPQRCGTQCSALVSNNRGGYDVCTTIGRVSKSHISLIDDINPQKGVVVKMFSSKCSISVYIYCDSTVAHATTLRHPSGCAQSMSASGNGWGWLATSFVTILCLLGGYILIGSIYRYYFLGIHSVEISEWLEIYWRPRADNICS >OGLUM03G14060.1 pep chromosome:ALNU02000000:3:10601100:10601702:-1 gene:OGLUM03G14060 transcript:OGLUM03G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIMNKLGGYWLGQKANKEISSAGDDIESLSTSVGEGAKWLVNKLKGKMQKPLQELLREHDLPEGLFPREATNYEFSPETRRLTVHIPAACEVGYRDGSVLRFDATVSGTLEKGRLAEVEGLKTKVLVWARVTAVRADAAKVHFTAGIRKSRSRDAYEVVRGGIIVEEF >OGLUM03G14070.1 pep chromosome:ALNU02000000:3:10603303:10609761:1 gene:OGLUM03G14070 transcript:OGLUM03G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >OGLUM03G14070.2 pep chromosome:ALNU02000000:3:10603303:10609761:1 gene:OGLUM03G14070 transcript:OGLUM03G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >OGLUM03G14070.3 pep chromosome:ALNU02000000:3:10603303:10609761:1 gene:OGLUM03G14070 transcript:OGLUM03G14070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDMYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >OGLUM03G14070.4 pep chromosome:ALNU02000000:3:10603303:10609761:1 gene:OGLUM03G14070 transcript:OGLUM03G14070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >OGLUM03G14070.5 pep chromosome:ALNU02000000:3:10603303:10609761:1 gene:OGLUM03G14070 transcript:OGLUM03G14070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENGNQELKSELKDLYINNAVQMDIAGNRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDCILEDVVYPAEIVGKRIRYRLDGAKVIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVAFEYPMTETA >OGLUM03G14080.1 pep chromosome:ALNU02000000:3:10610747:10614074:-1 gene:OGLUM03G14080 transcript:OGLUM03G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;transferases;[acyl-carrier-protein] S-malonyltransferases;binding [Source:Projected from Arabidopsis thaliana (AT2G30200) TAIR;Acc:AT2G30200] MTTSPPAGCSFLKGPNTGIQPNAATSRRSPPPPTEFHLQPRELRLHLAAAPPASSRHPPRLQSSRPRRCMLRCPPPRRLCLRLRLGSPVSTMASTLAFLRPSAPAPLAALCGAARGVPAAVRVPCRSRVSAAGVSLGSEVAVGSDALFADYKPTTAFLFPGQGAQTVGMGAEAVNVPAAAKLFDKANDILGYDLLDFCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRSRDGGQNVIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAASSAMVSVIGLDSEKVQQLCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAETEIKTPRIPVISNVDASPHSDPDTIKKILARQVTSPVQWESTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >OGLUM03G14090.1 pep chromosome:ALNU02000000:3:10630228:10630917:-1 gene:OGLUM03G14090 transcript:OGLUM03G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIPASSPGIPHQHQHQHHRALAGVGMAVGCAAEAAVAAAGVAGTRCGAHDGEVPVEVARHHEHAEPGSGRCCSAVVQHVAAPAAAVWSVVRRFDQPQAYKRFVRSCALLAGDGGVGTLREVRVVSGLPAASSRERLEILDDESHVLSFRVVGGEHRLKNYLSVTTVHPSPSAPTAATVVVESYVVDVPPGNTPEDTRVFVDTIVKCNLQSLAKTAEKLAAGARAAGS >OGLUM03G14100.1 pep chromosome:ALNU02000000:3:10639734:10644911:1 gene:OGLUM03G14100 transcript:OGLUM03G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62350) TAIR;Acc:AT1G62350] MLRRLLPSRHHCVLLQTLPPAATAAREILRRRQCSSVVSSSPSLSIWRRKKEMGKEGLMVVGQLKRLAALHPAGGSPRLEQFMRSHVSRLLRNDLLAVLAELLRQDHVLLSMKIYSVVRKEIWYRPDMYFYRDMLYMLARNKKIEETRQVWADLKSENVLFDQHTYGDIVRAFCDAGLIDLAMEFYEDMRSSPDPPLSLPFRVILKGLVPYPDLREKIKQDFLELFPDMIVYDPPDSLSDVDDEFKF >OGLUM03G14110.1 pep chromosome:ALNU02000000:3:10647293:10650184:1 gene:OGLUM03G14110 transcript:OGLUM03G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inflorescence meristem receptor-like kinase 2 [Source:Projected from Arabidopsis thaliana (AT3G51740) TAIR;Acc:AT3G51740] MDVCRSRNQCDVGSRGGFVKMLMVMLVAAAMAAVPAGGQPSDGVVIAQADLQGLQAIRQALVDPRGFLRGWNGTGLDACSGGWAGIKCAQGKVVAIQLPFKGLAGALSDKVGQLTALRKLSLHDNALGGQLPASLGFLPELRGVYLFNNRFAGAVPPQLGGCALLQTLDLSGNFLSGAVPASLANATRLLRLNLAYNNLTGAVPSSLTSLPLLVSLQLSSNNLSGEVPPTIGNLRMLHELSLSYNLISGSIPDGIGSLSRLHSLDLSNNLLSGSLPASLCNLTSLVELKLDGNDIGGHIPDAIDGLKNLTKLSLRRNVLDGEIPATVGNISALSLLDVSENNLTGGIPESLSGLNNLTSFNVSYNNLSGPVPVALSSKFNASSFAGNIQLCGYNGSAICTSISSPATMASPPVPLSQRPTRKLNKRELIFAVGGICLLFLLLFCCVLLFWRKDKQESESPKKGAKDATAKAAAGKSGGGGGGSGGAGGDGGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMENGTFVAVKRLREKIAKNQKEFEAEVNALGKLRHPNLLALRAYYLGPKGEKLLVFDFMTKGNLTSFLHARAPDSPVNWPTRMNIAMGVARGLHHLHAEASIVHGNLTSNNILLDEGNDARIADCGLSRLMNATANSNVIAAAGALGYRAPELSKLKKANAKTDIYSLGMIMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLELMKDAAAAGSETGEELVKTLKLALHCVDPSPAARPEAQQVLRQLEQIKPSVAVSASSSFTGEPSQTTATATTITDDTKSTITE >OGLUM03G14120.1 pep chromosome:ALNU02000000:3:10653017:10655598:1 gene:OGLUM03G14120 transcript:OGLUM03G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCFFSAAALFLLCFLLPAAVAEERFYEFVVQETLVKRLCNTQKIITVNGQFPGPTIEVYDGDTVAIRAVNMARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFAIQGQEGTLWWHAHSSWLRATVHGALLIRPRPGVPYPFPKPHSEFPIILAEWWRRDPIAVLRQSMITGAPPNVSDAILINGQPGDFLECSAQVPDLVKPTKATFGLEKSIPTVVYSIGRRDERCIVLFRCLQRDKMKRQRFSRLLTMHAVAVTETSIIPVAAGETTLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFETTVVLLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKGGAGCPTTAGGAGAAGAVAGAGVGAGAAGGAGAVAGAGAGTFNGSLGRSKYSGGNPGRAGPAPMLPYLPAYNDTNTATAFSNSIRSPAPVKVPGPVTQEVLTTVGFGLFNCMPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPPMPPVFFDFTSQNVPRALWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPVRDAHKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLGMALLVEDGETELEATMAPPLDLPLCAL >OGLUM03G14130.1 pep chromosome:ALNU02000000:3:10660069:10660605:1 gene:OGLUM03G14130 transcript:OGLUM03G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGSFDVVRIVHLNGHIEEYARPVTAGEVVAAHPSHVLSRPCSQGGAQRILIVSPESELKRGCFYFLVPASSVPEKKIKRRPSSSSAPPRPRPQQKKAPRPPPTPETSVLVRDATVAAKNGGDSYLAEVLAEGKATGCKRCRSVRAAVWRPHLQIIAEEEDAAHE >OGLUM03G14140.1 pep chromosome:ALNU02000000:3:10661354:10662706:-1 gene:OGLUM03G14140 transcript:OGLUM03G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTAAASSPSSLSSSQLVGAERSGLGGRGRRPASPSELARFCPAPPHSPAAPPPTAAPPAILTRRACAHLSPSRWFKLNFDGCVYHDGSGSASIGGPIRGPASVAFAETTDHWSIGVRGGGPRGALIRGLRLVSLSPRLVVEGDDLLLVSSCCVATGAETQTRIPAALHDEIVTLLGCFAEVGVQHVYRESNHRSPMTHTVWTPSSPVAEKADNDRCGVAHDAHALQRQSRRLLGDGPQLRVFEEMHAQTPSSGCAAAGAKNVETEIVAMAKVGAWTRGRIDQLDRHGELKSFASVCISVVVSRCKHVKH >OGLUM03G14150.1 pep chromosome:ALNU02000000:3:10665211:10667511:1 gene:OGLUM03G14150 transcript:OGLUM03G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z602] MRRGVDPVPTASGRLLDFASDQVVAYLLMSALSAATPITNRMRSAVINRFTDTTAAAISMAFLAFVSLALSAIVSGYKLSKQTYM >OGLUM03G14160.1 pep chromosome:ALNU02000000:3:10670408:10674249:1 gene:OGLUM03G14160 transcript:OGLUM03G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKAIRSDGAHSVPANDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OGLUM03G14160.2 pep chromosome:ALNU02000000:3:10670408:10674249:1 gene:OGLUM03G14160 transcript:OGLUM03G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGLPGDRKPGDGGAGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVVSQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OGLUM03G14170.1 pep chromosome:ALNU02000000:3:10675584:10686980:1 gene:OGLUM03G14170 transcript:OGLUM03G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPADADAAAKPPPDVEKPDYSSQNGAPNSAAAAAGGGGGGVVDSVVARWRREDMLDKSPLALHAAAAAFAFVALVLVASNQHGDWMEFDRYQEYSPRYLKRTEKQKQETNASRRYQKNRILKGP >OGLUM03G14180.1 pep chromosome:ALNU02000000:3:10686005:10688419:-1 gene:OGLUM03G14180 transcript:OGLUM03G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLPADGLAAIRAALRPSARGLRSRPSQGGKAGKPSAAPLDTPRNAAGAGASAGRAEVRDLAAACGLQEDDRVPLSEVVLDCTRRWFQDTLKEARAGDAAMQVLVGQMYRSGYGVNKNEHKAQIWTEKASRYRSTVWKVSNKRPGYNASDSDSDDSKETGKQSHVTGKDGFH >OGLUM03G14190.1 pep chromosome:ALNU02000000:3:10689119:10695377:-1 gene:OGLUM03G14190 transcript:OGLUM03G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYPYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNVYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKTRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAISGSISFRNNGSMVPNSSDVVPAEEENNDHHQEYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMESAMQSVPPDSPQDNVDSRACQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSINEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAVQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWIAITSE >OGLUM03G14190.2 pep chromosome:ALNU02000000:3:10689119:10695377:-1 gene:OGLUM03G14190 transcript:OGLUM03G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYPYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNVYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKTRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAISGSISFRNNGSMVPNSSDVVPAEEENNDHHQEYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMESAMQSVPPDSPQDNVDSRACQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSINEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGKNVDNDISEMHITLSGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAVQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWIAITSE >OGLUM03G14190.3 pep chromosome:ALNU02000000:3:10689764:10695377:-1 gene:OGLUM03G14190 transcript:OGLUM03G14190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYPYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNVYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKTRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAISGSISFRNNGSMVPNSSDVVPAEEENNDHHQEYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMESAMQSVPPDSPQDNVDSRACQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSINEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAVQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSE >OGLUM03G14190.4 pep chromosome:ALNU02000000:3:10689764:10695377:-1 gene:OGLUM03G14190 transcript:OGLUM03G14190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRHEVGNEYSLGGRELYRRANQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMTASARGHGLMLRVQQLEAELPLVEKDSCHTDYPYVASNRGIDWHSNPRLESGVVTKGDTPRFIMDSIKKCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKTDSACSSMLEQGIQRERRPLRAMEIRPTLQNSEIFRPPNAANNDSKLETDLSGEALDEVPTRRRQLKYRQLNGSVFRSFRPQMQNVYGETSPDEKPCSMNHSEVQISFTDSPDTNTEERDIMVDTFSSMDKGKENNYVMAGKTRLISEEALSRSSDARSAGSSKGYNSEVDIYVDALTTMDSEVETDTEHRDHGHRALVPVESSKPCSDVHGAAISGSISFRNNGSMVPNSSDVVPAEEENNDHHQEYVCVPSPQAKPVAGEHERSSSLEELFAQEKPVSCEHERTSSLEELLLGDVHPSGHNMRSSATESNTNGVVSTVESNGTVGTTKKEKDNPIIAGISFKKTASKKSKYVGSMELIVSKVGILPRKLSKKHDPFSDSLRNMAKQLLELKIDGTKDTELYEFEANGEGCDMKCLEMSHPPIEIMESAMQSVPPDSPQDNVDSRACQPEEVNQEYDHDVPPSDSPQDSVDGNVFQDIALLRSQEEQQCAGPVTVDNLLDHTPENTQDQIGEHFYREVTEDIHTEVVPENGPDIGEELEEGSINEEKVNEEDVEESNESDAYTLDENTEYIEEQVVSDDLISSPISSKQSDDPCQMAPLTLTDADDALAGKNVDNDISEMHITLSGTITESNVSTVVVESATTNDVAVQYNEQCCLHPETSFAQDLTHVSSCEVEGQNEPLPLCSSSMVGTTPDLSVDTEEIHENPILCIDSSSDLFRDALAPDSRDVPLPNISSFDWMLNGAVQKSLNVLPAKPPNGILQENNSSKDTEDAPPPLPPLPPMQWRTIKLQPGPAVLSAKFGRPPRPKPPVKYQENESYSSQDERNREPEILQEASLQNGSTSVTPEKEMVVAKVSNEIQTNIMFGRDSQESHLKGLNEYDVQASDSFSTSEFKSVGGVASVEGDNLETSQLSELIVIPEEAWSELVDIKSISGQEKGGKRQLSTGVFDCNGMHTTGLSAEKRDDYKIYDQNEKNLLAGKGNAIADSEEKEPNGVTCQDDTKNSDLSVQQEDGQHDSSAGTARESSSSFEEEVAKFSPPRVPTPPKYPLFQVTAHDRSMLKKAPTLVQPSVKLSDENNTILEQIKNKSFNLKPVLAKRPNMMGAPRTNLQVVAILERANAIRQAVADDDDEDSWSE >OGLUM03G14200.1 pep chromosome:ALNU02000000:3:10697221:10701123:-1 gene:OGLUM03G14200 transcript:OGLUM03G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGDIPPNQTVYLRNLNEKVKKEELKRSLYALCSQYGRILDVVALKTPKLRGQAWVVFSEITAATNAFRGLQEFDFYGKRMRVQYAKTRSDCLATEDGSTAPKEKRKKQEEKAEKKRRAEEAQQSGPNAAAQSNGTGYQASRLGKTSQEPPAPPNNILFIQNLPAETTSMMLQILFQQYPGFREVRMIEAKPGIAFVEYEDDSQSMVAMQALQGFKITPYNPMAISYAKK >OGLUM03G14210.1 pep chromosome:ALNU02000000:3:10718499:10719935:1 gene:OGLUM03G14210 transcript:OGLUM03G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTLASYAQDLAMPAAALDLLPDKAHQPSMAPSLHAWDSPNGAPTPMPKRLEGKVAIVTGGARGIGEAIVRLFVKHGAKVVIADIDDAAGEALAAALGPHVGFVRCDVSVEEDVERAVERAVARYGRLDVLCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMTQRRAGSIISVASVAGVLGGLGPHAYTASKHAIVGLTKNAACELGAHGIRVNCISPFGVATPMLINAWRQGHDASTADDADADIDLDIAVPSDQEVEKMEEVVRGLATLKGATLRPRDIAEAALFLASDDSRYISGHNLVVDGGVTTSRNLIGL >OGLUM03G14220.1 pep chromosome:ALNU02000000:3:10726054:10732609:-1 gene:OGLUM03G14220 transcript:OGLUM03G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYTGARFLDFVSNTGRSGGRSEPKRGSRQELANHRQGTAAAAREASASVSELAELDGARDQPEEQDAKFLVLRLYEALNSGDARRAQELLAPDLEWWFHGPPAHQHMMRLLTGADHGESRFLFSPRSVDAFGSTVIAEGTDDTRQLYWVHAWTVGPDGVITQLREYFNTDLTVTRLSASAAKTTAAISSSNSNHASSSAPPPPPSKPKCLWQSRRADRAHKSLPGLRRKRCLGFTGHHKSHQNLAGVLVSELQASHMEPPML >OGLUM03G14230.1 pep chromosome:ALNU02000000:3:10732907:10734094:1 gene:OGLUM03G14230 transcript:OGLUM03G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLVTFKRRALIAGGDDYRSWSCDLKDRIFFLCWCRTRWSAPCADGGCLGSPGGAASYSGGGGPGSLGAGVLCGGSGLEISGDVALSDNLGSPSVAALCGSLQTPGAVASCDSLGALRTTPSCADQQEEGKPKVTRSGPHHGKWPSGKVALGPVPSGRCVTAGTRV >OGLUM03G14240.1 pep chromosome:ALNU02000000:3:10763623:10768430:-1 gene:OGLUM03G14240 transcript:OGLUM03G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGAQALVLSARKPHAVLKSPSARCCLEQEPGADMVPGGGEGHEIVEVAGEPGAPSSTMRLMDFIPIYIPTVETGALSRSVRKRRFLDFLRAHPSRDWFLRSTFVGRLRRRGQGQAASGDDEEVDSGGGRRRPRRRFRVPFVRKIKWGKLWSYAVSWCRKPENFAMIIWLAFVAAGLLMLFMLMTGMLDSAIPDDEQRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGAGADREEIRKVYCKDGAPRPHDRAHMLVVVVLLHATCLAQYFCCALFWSYARKGRPDWALNIGYGLGTGCPVIAGLYAAYGPLGRKQHEDSDEESAAAQAGGGNRPAENDREVEIKIYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLCVAPFLIFSVTALNVHDDDIRDTVVSVGVLLGLCGFLYGGFWRTQMRKRYKLPASGCGCECGGGGGRGQGHACRAAVSDCAKWLFCWSCALAQEVRTANFYDVEDDRFVFHGARNEDGRAVLVPLPREASTATAHSRSMSCPPKIDAVASLSGASPLGVQMAAINMERSATYSGDHHPAAMRPPVPPLMQMDQE >OGLUM03G14250.1 pep chromosome:ALNU02000000:3:10780038:10783871:1 gene:OGLUM03G14250 transcript:OGLUM03G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33680) TAIR;Acc:AT4G33680] MAASPAAAAAAATVSSFVSPSSFSSVKASKPDRLRPARRAAAVNVRCVSSPPATETSFKTKVPRNANMAKLQAGYLFPEIARRRAAHLLKFPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTVDGYSGYGAEQGEKKLRAAIAATYYADLGIEETDIFVSDGAKCDISRLQVLFGSNVKIAVQDPSYPAYVDSSVIMGQTGLYQEDVQKYGNIEYMKCSPENGFFPDLSSVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGQPVAKDFNRIVCTCFNGASNISQAGGLGCLSPEGLKAMSDVVGFYKENTKIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKAHVVTTPGSGFGPGGEGFVRVSAFGHRENIIEAARRLKQLYK >OGLUM03G14260.1 pep chromosome:ALNU02000000:3:10785082:10786428:-1 gene:OGLUM03G14260 transcript:OGLUM03G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAERVVGERRMREIQRFARNAKLTVVCLLLTVVVLRGTVGAGKFGTPQQDLIELRHRFISHPHRALAEHHDALSRGGGSSSSSGRAAQRDDEPDPPPRTLRDPPYTLGPKISDWDEQRAAWHRRHPETPPFVNDVKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKMDYCRVHGLEIFYNMALLDAEMAGFWAKLPLLRALLLAHPEIEFLWWMDSDAMFSDMAFELPWERYGPYNLIMHGWDEMVYDDKNWIGLNTGSFLLRNCQWSLDFLDTWAPMGPKGPVRIEAGKVLTKYLKDRPVFEADDQSAMVYILATEREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMERAFNFGDNQILQMYGFTHKSLGSRKVKRIRNETSNPLDVKDELGLLHPAFKAMKTTST >OGLUM03G14270.1 pep chromosome:ALNU02000000:3:10786537:10786932:1 gene:OGLUM03G14270 transcript:OGLUM03G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARNHRYTASTATAAASAARIGGGGGGGGGGGRSRGGFEPRSWRRINKRKGEAEWCRDPRASEARTLASESGLRWLSERSGGGMEGEIWGDAKSESKSNQPPDPAAARRRKKEEKEKAEGEGGGGKRGRE >OGLUM03G14280.1 pep chromosome:ALNU02000000:3:10789450:10793479:-1 gene:OGLUM03G14280 transcript:OGLUM03G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAEKLRGLTITSLDEEDDEPELPHRSPPASGGAGYEDDDEEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFVLQIYAPIEDNAASFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSVKVFRCQLPRSNAFYSSEPPKHNDSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSIFPAVGKVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRSPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTRSVGRAMLSSVGPWSQMLCARYIGFDLLDH >OGLUM03G14280.2 pep chromosome:ALNU02000000:3:10789087:10793479:-1 gene:OGLUM03G14280 transcript:OGLUM03G14280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNAEKLRGLTITSLDEEDDEPELPHRSPPASGGAGYEDDDEEEEEEAEVTLGFLEKPKHPGLLLRHLFPSKAGGIPAWLDPVNLPSGNSRCCGFCGEPLQFVLQIYAPIEDNAASFHRTLFMFMCPSMACLLRDQHDQWKHRQGNPCRSVKVFRCQLPRSNAFYSSEPPKHNDSDKPLCPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQTLHWRSGHKSDCLQLISSSEASSSIFPAVGKVPASKSWPEYEIAIDYEGAFDSDSCDESNSKSLVMQRPGKPDDMMQSWMDQFEADADNKCWASFQERVSRSPKQVLRYCREENAKPLWALSAGCPSNADIPSCSYCRGPLCYEFQIMPQLLYYFGVKNEPDSLDWATIVVYTCKGSCDQNVSYKEEFAWVQLYPTTTTSIIAMIQY >OGLUM03G14290.1 pep chromosome:ALNU02000000:3:10793832:10801026:1 gene:OGLUM03G14290 transcript:OGLUM03G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G11240) TAIR;Acc:AT5G11240] MELEAFRPPILQTAGSCTVWDAVRGHLQTEFADIPPVEVGGGARAPGAKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWRVTDCHPGGVTAVAYSKHGRSLYTGGTDGMVCRINASDGSVVEKFKSSSKAISALAVSPDGEILATAAGQLRTFDASDNKKIQKFSGHPVAVRSMVFSGDSQYVLSSGVGERYVAIWKLGSGKTQSSSCILSMEHPAIFVDCKCSDINDTEGEIHVLAISEIGVCYFWSGTNMDDLRNKKPTKIALSDSSLSRSKQGFAIFAAKLQGIDCPNSAHVLLAYGSVVKPSFDKLLVRYGMDISLGVSDDGVLLPMIQPTKPQKGQSAKKQGIITALDRANAEDAILPLPQLHTQEKKRKHNATESSGDIQSAPHSDLSSTKLIEKRAPVQRIEDDSVCIEDMMRKCGVIDSRVDQGMEGHPSIPTSILSDLFGSSSKIDANLPNKKIRAHLRSLKPGDACELLEKLVSSWKTRSGSAEVVLRWIYCLLIIHGRFIPFEKSKKIISDLEKWSWGVKLLATIALNDCRMWITYSDSNLKTTNAGRMCGERYKAAEDLLKLSGRLRLLMAQIVKDPNDVSELPSEEMQDSAAAQSDEEEEDDEIDETLLVTAMASTNSWTHEIESPVAAPRLFRAAVMDWHTLAPKIASHIVASAHPVDGDGSVGSVRQFNFTSAMPFSHMKERLEFLDVDKCECKSTLVEGGGIGKAIETATSHIKVEPAANGGSVVKVESTYKLLPGVEVKDEITKAKESLTGIFKTAEAYLIANPDAYN >OGLUM03G14300.1 pep chromosome:ALNU02000000:3:10802413:10804110:-1 gene:OGLUM03G14300 transcript:OGLUM03G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z622] MSKGAIIGASTVLVVAVVAAVCVVSFKNGSSNAKEDGELSTSVKSIKSFCQPVDYRETCETTLEQTAGNATNPTDLAKAIFKATSERIEKAVRESAVLNDLKNDPRTSDALKDCEELLDYAIDDLKTTFDKLGGFQTSNFKRAVDDVKTWLSSALTYQETCLDGFENSTSTEASEKMRKALKSSQELTENILAIVDQFADTLANLDITGFSRRLLGDDGVPVWMSNAKRRQLEATPGSKEFKPDVTVAADGSGDFKTINEALAKVPVKSTGTYVMYVKAGTYKEYVSVARNVTNLVMIGDGATKTIITGNKSFMLNITTKDTATMEAIGNGFFMRGIGVENTAGSKNHQAVALRVQSDQSAFYECQFDGHQDTLYTHTSRQYYRDCTITGTIDFIFGNAQVVLQNCRIQVRRCMDNQQNIVTAQGRKEKHSAGGTVIHNCTIEPHEDFKADAAKFKTFLGRPWKEYSRTLYIQSDIGGFIDPQGWLPWLGDFGLNTCYYAEVENRGDGADMSKRAKWRGVKTVTYQQAQQKYTVERFIQGQTWLPKFGVPFIPGLLPQEQSGRIH >OGLUM03G14310.1 pep chromosome:ALNU02000000:3:10806093:10808657:-1 gene:OGLUM03G14310 transcript:OGLUM03G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASARSGNASGGAGAGASACCYYSLLGIRKNASATDVRAAYRRLAMKWHPDRCVSDPGEANRRFQRIQEAYSGKAHPLGRPQFALATFLSDKGKRAMYDAGLFDPLDDDDQDFSDFMQEMLVMMDNVKNEKPDTLEDLQKMLQDIVSGDGGSRGGGVGGRVPSDGTRRTRVAPYPAQSRSRTTENDTPLPPSTLANKTPLDWTIARSRLCGGVVGLPRSWLVGRAPFPASKVAVAAGPAGSPGPEDFFGDFCWLRRDGNPEWPVGGAIMRAGASRAWQINDIVKRRKYHQTDVMLDV >OGLUM03G14330.1 pep chromosome:ALNU02000000:3:10818367:10836750:1 gene:OGLUM03G14330 transcript:OGLUM03G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z624] MLWAARVSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDSHVRFPGQIAGGARGLAFRRAPAFRNAADCGNATGNVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHATCPESVFFHFLVSDPALGDLVRAVFPQLQFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTDRFWSDKQFAGTFAGRRPCYFNTGVMVLDLARWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLLHYFSVGAGVYRMDDEGWLTGQLLRLEQY >OGLUM03G14340.1 pep chromosome:ALNU02000000:3:10867179:10869194:1 gene:OGLUM03G14340 transcript:OGLUM03G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCIVVVFGVLVLVAGMAAAQGQVPSPTPAAAAAAPAPTPDCNGILLTYNFEGRAKIRPYVADRNKQPYSFRANATVLNSGTRPLKSWAMLVTFGYGEILVGVDGAVLTGGGEMPYNTTQDAGNATSFSGYPQTDLLTPIATAGDISQIQASVGIVGTLFAGPGPFVPLPTALSLDDPAYRCPQETNVSSGVLSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLDNNAKLGRLDNWRLSWEWRRGEFIYSMKGAYPSEKDTTGCIYGAAGQYYQSLDFSQVLNCDKKPVILDLPLSRYNDTQIGKIDHCCRNGTILPKSMDETQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTEFPDPSGLDSTTLAIATWQVVCNITTSKGAKPKCCVTFSAYYNDSVIPCNTCACGCPSNQRGPTCSTTAQSMLLPPEALLVPFDNRTQKALAWAELKHYNVPKPMPCGDYCGVSINWHISTDYNKGWSARMTLFNWDNVDLANWFAAIVMDKAYDGFEKAYSFNSTSVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINVVAGDGFPSKVFFNGDECAMPQRIPMSNSGFRTHLSSVLSLVLVLAASAFVLLQQ >OGLUM03G14350.1 pep chromosome:ALNU02000000:3:10870212:10874163:-1 gene:OGLUM03G14350 transcript:OGLUM03G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVPLDPITPNLVNPNFFDLVMAKKMPLTVDHLMGMDRGRHPDQLAKPRGDDGLLCAGQRKAPSIVSKSRKVAAGGAMEERMAAGEAKTVATDTERKPTRTAPTVRRTTDDNTLLLLSCNSEQVWTLWMEEMSAISLYSSASSDVEERGKMRERGSGAYVIASLGTGA >OGLUM03G14360.1 pep chromosome:ALNU02000000:3:10875455:10880915:1 gene:OGLUM03G14360 transcript:OGLUM03G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50990) TAIR;Acc:AT5G50990] MVAFPSLASSCLPLSSVIPEPGGRRRLGGSCSALRGAQIDKAYQIFKRMPVKDLVSWNSMIAGTARNSCLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYAKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGDYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHPDTDGIYKVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKD >OGLUM03G14360.2 pep chromosome:ALNU02000000:3:10876671:10880915:1 gene:OGLUM03G14360 transcript:OGLUM03G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50990) TAIR;Acc:AT5G50990] MPVKDLVSWNSMIAGTARNSCLKDAMNIFSKLVNSGLVPDGFSFSSVLSACAQAGARCYGMWVHQLMAELGLEMNHILSSSLVDMYAKCGRIDVAIGIFKTIKRNHVSVWNTMIGGLAAHGLGSDAVMFFREMESEGLVPDGVTFVALLTACSHSGMVEEARQYFEAMTTKYSITPRIEHYGAMVDTLSRAGLLDEAYDLVKTMNVKPDAVIWRALLSACCRYRQTKLGEITVKEIAFQGSGDYTLLSNIYSSANRWEDSEEVWKERKKKGIRKSKGLSWVELGRSTHEFKAGDRSHPDTDGIYKVLHRLSNKAKSEGYIPLTELVSKDVSEEEREENLTVHSEKLAVAYSILKTMPRTEIMVSKNLQTCSDCHEWMKIVSKVLCRVIIMRDRVRFHRFEGGCCSCKDYW >OGLUM03G14360.3 pep chromosome:ALNU02000000:3:10875274:10880915:1 gene:OGLUM03G14360 transcript:OGLUM03G14360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50990) TAIR;Acc:AT5G50990] MSLYSIFCGNFHQLRNSIGSCSSTGLLKCGLLYRTVLQNRGNKTRPISTTILARSTRKESKQSFKTSRHLHSESVESSIEVLKQSDLEHLKSLQCYNIPQKVSGVKTDWPATILVFDIETTGFSRRYERIIEFAVRDLMGGKNSTIQTLINPEREIKNAYVHGISSSMVCKPDIPRFREFIPILLQYVLSRQMADKPVLWVAHNGRSFDVPFLMYEFQRSKIEMPGDWLFVDTLPIARQLIGSDGEKLKSVSLDNLREHYKIPLAGSAHRAMQDVITLCYVLQKLTFELKLTVPQLLERSFRVSDLSTPRPGK >OGLUM03G14370.1 pep chromosome:ALNU02000000:3:10881484:10883414:1 gene:OGLUM03G14370 transcript:OGLUM03G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELSFVSPARSSCFSFEGGGSGSPTWVSTVEALLRSPTSSVSDGGGGGGGGYNSPGRASSPLQKQIPDCRDAGDFSPLTWASTLEKPLESPSSCISDGRGGGFGSPTSAFPPEKLLISPPTCASDNRGVGNVGGFPSLPWASSLERLLTSPSSCVSDSRGVGNADGFPSLPWASSLEKPLTSPSSCVSDGRSGGYSSPLGASAEREREVREAEMLLRAIAERYDDCFLRLRDAAAELSDLHRERLRLAAENLHLSLLLEELESEQRKQASAMAPPKLEEDEAAQGGAPKSISIRSPGYLSQKPPQGQARPQRLRVRASQAMEISHPNCLIFVMGNQCSPKEAAAAGDEEDEEDKGGGEVEVEAYRQGAAKTELCNKWERGACPYGARCRFAHGLQELRPVIRHPRYKTLPCQMFAAASGCPYGHRCHFRHSPLRAAAAESFCY >OGLUM03G14380.1 pep chromosome:ALNU02000000:3:10885713:10888912:1 gene:OGLUM03G14380 transcript:OGLUM03G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRVLEVEEEVVDGDEDELASPEAKRRRTFINNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVQNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPLTLFTGNRVEGENKQPLRIVLTDAVSNQTITSGPLSSMKVELLVLDGDFNADERLEYTEKEFSESIVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARISRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGSGMSNKMWEATVEHARECVLDDKLYSYCSGHGIILLFNCIHEVVGVIVGSHCFTLNALTPTQKALVVKLQQDAYKFPNRIVEFKVQSQCTSQSSPTTIQSQSVQMPASENAQILNLPQGAHCFPSGELPSSSQDCLLNPLQYQPLNEALEDVLQTAGGSHHQHQGGSGELPWIASSFGAGGFVDARDPFDVQFSGSQPCGLLLSSSGARL >OGLUM03G14390.1 pep chromosome:ALNU02000000:3:10889946:10893024:-1 gene:OGLUM03G14390 transcript:OGLUM03G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFRRTRSAAARGEAAMESAAGEEGKAAPSLPLATLIGRELRGGGSERPLVRYGHFGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEHLLEHVMSAVPQGIGRDDWLQALPRALVAGFVKTDIDFQRKGEASGTTATLVVVDGFTVTVASVGDSRCILDTQGGVISLLTVDHRLEENVEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSEAAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHSSTPPSLSPKKNQNKLRSLLFGRRSHSSVGKLGNKSASFDSVEELFEEGSAMLGRNFPSKANSSPSRCAICQVDQAPFEDLVTDNGGGCCSAPSTPWVGPYLCSDCRKKKDAMEGKRSSRSTACR >OGLUM03G14400.1 pep chromosome:ALNU02000000:3:10897871:10903606:-1 gene:OGLUM03G14400 transcript:OGLUM03G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKACAHVVFVNLIRSRCAVCHCSVMVGCCDVARARAPQVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEESGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLTSQHELVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDRQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSMSDNKPN >OGLUM03G14400.2 pep chromosome:ALNU02000000:3:10897871:10903606:-1 gene:OGLUM03G14400 transcript:OGLUM03G14400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAALFSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAAARRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCGALNRVHPGAVPKACAHVVFVNLIRSRCAVCHCSVMVGCCDVARARAPQVVVNTAADSVLQPDGAALSAFQYFENVRNFLVAAQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFVRKNSEPFRRCQSMNEGEVPYEESGFSGDYHLDSGDMSTSRPLKMLVSAVLSDKRPDEVPQVKAALKNGTDGTKSFSKSKMDTIEVYSKHRQTKKEAYGEVTLKQYSMLQLQSKHVEELKADIRATKAGMEFMQMKYSEDINILGRHLFSLAHAASGYHIVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQVSSCAVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTEQTQGVNYRALSDLFKLAEQRKGAFIYDIAVQMIEIYNEQVRDLLNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKSAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDALGESISTLKFAERVSTVELGAARLNKESGEVKELKEQIARLKSSLAMKDSGSEQNINRDPEAFNMKMPSPGFSNRRQGSCELVSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLASNDSPSWPDSISRANFQMGEERETIGGEWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGTRDRQYLRNNSRKKDGNEFEQQRPRFYSTNTDDSDDIDIATSDSSESDALWQFNVQSINSSISENGSKIKKPQTKLRESSDTRTPLHSQIPSASRKTSNGNRSGRQPLSGSDSRRLSSNGRHAVFVMRDCEVFLEYSMSDNKPN >OGLUM03G14410.1 pep chromosome:ALNU02000000:3:10913196:10913960:1 gene:OGLUM03G14410 transcript:OGLUM03G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11A [Source:Projected from Arabidopsis thaliana (AT1G47750) TAIR;Acc:AT1G47750] MVTAAGSPSSSSARKPASRPRLPRRDILVHVEAYLSRRDGVDNLLKVSLYAARLALALAAGQPPLPHAATARLRSFESSVGLSRKAFRLGKFVQSINALRAAAYHPHPHVHPLLVLLAYGGQGVYNFLEQFAWLAKAGLLPARLLPRRLHRIGVWAQLLAHVGSIAIKLEEVAELECGVEARLEEGCGEESEVVRTLSRKLLLKRMSLVQDMVDSAMTVGDVTGRKGLLGSSTLMASAGLLSALISVHKNWNSC >OGLUM03G14420.1 pep chromosome:ALNU02000000:3:10915070:10923142:1 gene:OGLUM03G14420 transcript:OGLUM03G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPVARSRRRKYEDDDDYSEEEDDGVDEYGEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSALNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >OGLUM03G14420.2 pep chromosome:ALNU02000000:3:10918445:10923142:1 gene:OGLUM03G14420 transcript:OGLUM03G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGEGAVPVGESGGRRRRRPGEDGGDDDDEEYVVEEDEEEECDEDLSASSAGEGGEGTDEEYEEGDEDEEEDETPRPRQPVKSRENGRKGKADPPVARSRRRKYEDDDDYSEEEDDGVDEYGEDLEEEEEEDDEAPRSKRMKKRGGRNVEGKLPLERSNRRRYEEDMDFDPDMDEEEEEEDVDFDPEVEDEEEEDFEDEEDDELEATKVRVKNMGRRKSALNQRRGKMKSSSKVASRKVGSVKARNAASIRRRQKKRSMLDRYEDDDFIVEDEVTADWQPRKKARIRKQMEVDPPTPVFEAEIWPTIDSDTTDFEFVTSDEEAAIAEPTRVIKKGRKKRVFVSDSSSDSEFVVSDKELGNLKESEPPESLKVLPSSPRKISVTGNGEHKGKEKKEPQEAGRATCGICLSEEQRVTVQGVLDCCSHYFCFACIMQWSKVESRCPLCKRRFTTITKSSKEDTGLELTNSVIRVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLDGEAHSYHNHVNGNSGMFGAISPIGTFERQGIDLNVSPREIPRGNHSVESQASTAGASTPSGRQTNATNFRRRQMHDWIRSLLSRPRTTLGPVMHHNGVHQSGFVPSTEPDHMNFCAPLESDTLHNTGSVPRSEPSQNFHVMSEANTSETSFGRHAALSERRQIYERFFMLLSRPSPTIRPDLCHNASEHGSSIPRVEPNHMNFHAPPVANSPQTLLDGIPNRSNGFSFTQAHSNFVDGNNFQGTEGV >OGLUM03G14430.1 pep chromosome:ALNU02000000:3:10929761:10931332:1 gene:OGLUM03G14430 transcript:OGLUM03G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAADYEYRAYGAPADHDRPYHGREVVPYGDRRIDVVVKPPGTTTTTTTRSPPPPLPVTKVGGGGGGMGSAWCFSDPEMKRRRRVASYKAYSVEGKVKSSLRRGFRWIKAKCSEAVGSWWSSVWVGTRYKPATMCKECTRYY >OGLUM03G14440.1 pep chromosome:ALNU02000000:3:10931964:10935952:1 gene:OGLUM03G14440 transcript:OGLUM03G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKILQWHSVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >OGLUM03G14440.2 pep chromosome:ALNU02000000:3:10932835:10935952:1 gene:OGLUM03G14440 transcript:OGLUM03G14440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMAGSCTSSMLCCPGSRLQARLQLWANLVVNCSFPMEPWHESDLDFARLPLRDNNCDRQFGGGRMQLLALTEN >OGLUM03G14450.1 pep chromosome:ALNU02000000:3:10937362:10938006:1 gene:OGLUM03G14450 transcript:OGLUM03G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAAAAANPKQKQKQQKASIGRRAWRLLRLAVLWARKGSAVHSLRLLSNLRRAGVGLGVVGRGDRLGYGEREYSIEETPAFRFRTPSARVLRLIPCIAPAVPDTPGLYGDEDRYFFARRDTEPECGGGVGYYDYNGEPGECGGVDDESFRDGAMEEQLLELSMLEASAAAVTEDAGVDAKAEEFIAKFHAQMKLQRQISWLQYNEMMERSLR >OGLUM03G14460.1 pep chromosome:ALNU02000000:3:10944165:10948615:1 gene:OGLUM03G14460 transcript:OGLUM03G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKGGAKIGGGGGGGGGGGGGGLFNLFDWKRKSRKKLFSNSPEGAKLVKRGEETLPSGRLHLIDDDEGIGVSSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDAMPSTGVPEPYCTPFRDTRSFRDSQSLKRSPEYSGSDQFSYVPRRVDGYMRKPLDLRAQKMPSSPIERFQIETLPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEAAAKILEPRPQVSSREKICSYSPARIPLRISETRENIPASQRAVSRQLQSSRTNLELPDVRFSRGQQMNRSWNSEDDIVIFRTSSDSYEINNPGFSKNNKGKSISLALQAKVNVQKREGLGSSGKNSGGQKDRDECRTSQPFRSQSNAQKNKQQKKPSSSGTSSPVLRQNNQKQNSMVSRGKSAPNKSVSSQQGRKMAGDSSTGKLKNASKISKGGSRKDIVESISCDKEGSSSNNKDFPQKKRLIERNSTNEKGMFVPEKSAARLQKQVQPNVVMDEHIKWNNDSKDSTDVVSFTFTSPLVKPSAGPSRLSGKWDTRSNFNLDAINEKDDSDKKSEGLSSVGLNFVNGDALSLLLEKKLKELTSKIEPSINFTRGDTFVPANFSLEEPVVSSSSNWDMESGVFDCSPSEGKPSQYVDYCQSAQSSTKGQIFRGSKLQVEEPEECSSISNARKEQEHEDLSPLSVLEPTFLNESCWSSDCCSGSSDGSKGYSSSSEVKNMPKNFLSNPPSVDAEAKTTDSVSSSSIDASDTSASIDASDISDITQCSKKSRNSELEYIGDVLGNVNLTKGGLGSLFISQDDVSVMDPHLFNKLESMNLYTQGKKNLDRRGYRKLLFDCVSECLETRRLTYFRAGYAAWSKGMAAMSRGIETEVCNEISGWRSMGEWVEDELVDKDMSSGLGTWVDFRVEEFETGEELEREILSSLVDEVIGDVFVRRRDGRSVNL >OGLUM03G14470.1 pep chromosome:ALNU02000000:3:10950939:10952105:-1 gene:OGLUM03G14470 transcript:OGLUM03G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGWWGRGGQPLRLLGLGVYNDKKRADAERRGGGGAGAEKRGGAAARGEVGAKHASTVPRLRWRRSDEVELASIVAHSSVVNDVFGFTK >OGLUM03G14480.1 pep chromosome:ALNU02000000:3:10952256:10953326:1 gene:OGLUM03G14480 transcript:OGLUM03G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDIDSSCSTPFASAPSSPGRSPATGGGYFFSAPASPIHHLLLSSSSVASGAVSGAGYGGVGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDDGGGGDFRPGPVRGRELTPRSGSVHRRTRSMSPLRGASPRLKLLNALVPAPDLGSEPTPSHSAGSEEATPPVTASSRSSSSSSTSSSSSSSSSARGSRRWVFLKDMLLHRSKSEPGGAHAHDAPAKPEKAWQFSPSWASSRDRIAAKLRAARSPLPPQPQSEASSDAGGGEEAQATRGRARGGKGRRRSSTVAAAHERLYAARNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGFTKTLNPVFSR >OGLUM03G14490.1 pep chromosome:ALNU02000000:3:10967847:10973670:-1 gene:OGLUM03G14490 transcript:OGLUM03G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCEKVGLKRGRWTTEEDEKLAGYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRAGVKRGNISNQEEDVIIKLHATLGNRKSYVMKRREYVSLGARDYCFQQNTHVRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAPSEAAVTIDVTKLQAAGKRRGGRTAGQSRKGDKKRAEDDPPKETAAADTPLPESSPRRAQSDEARSGSVVVDPEEPSSQPNNGSSGGGGGTPDGPCSEETATGPTSLDPMEMGLWEAESEFAEMEALLCGGVAPDGPGIPGLEPLDVAAQADDLLDMDWDGFAADLWGDPAQRGGLVQDAGEPNGSMGCSSDELESFASWLLSDSC >OGLUM03G14500.1 pep chromosome:ALNU02000000:3:10991178:10992005:-1 gene:OGLUM03G14500 transcript:OGLUM03G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSAARLSRFAVVVLLLCLCAPFCKPEPDGDVPIDPLPGLPSRSLRCFDDGQVYSCCEGAYRLNPSGVIAVPPGEVDSYCGGACVVETEDVLNCVASALDGFRFFNGASVEDARYALRRGCSHTIKRAGSFRAGDFNDLEPQMGDYPDIYGDNSSDGGEDNAASPRLLAFLGAAAAAAWLLLFGR >OGLUM03G14510.1 pep chromosome:ALNU02000000:3:10992661:10993604:-1 gene:OGLUM03G14510 transcript:OGLUM03G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQCPSKHFLLAAAVIFCMTIPSCKAQDAVEIVAKAALCFDNHTVINKCLQQIGIDSNARASTQGAGGAVLDASANSSAALCDTPCFEHMLMMTDCMDDILSNFQGYSAGLIKGYRAVFQMSCRVVTAAAAGGGGSSSSSNGTANATVAGGGDADDRHSPSHGAAKGNSLVSRTGSAVANGAGGRRLRVGNLVWAAILAVTV >OGLUM03G14520.1 pep chromosome:ALNU02000000:3:10995104:11002353:1 gene:OGLUM03G14520 transcript:OGLUM03G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPISGSDDDDEHLFAGVRFFLVGFDPLSESQYRSEMVRRSGVDAGRFGSGCTHVIVCGLVYDDPACVAARAEGKKVVTELWVEASLDRGMLADADRVMYWPVRDLNGIAGSESLRICLTGYQRSDREDIMSMVSLMGAQFSKSLNPDVVTHLICYKFEGEKYEAAKKAKLKFNFNIKLVNHRWLEDCLKCWKILPVDDYSKSSWELEIMEAQAKDSEDEEDVGQRSFRNKIVRSTLNPKGSAGTSANPVVNAPIRSPTISSGNIGMVLEKQPNIPGEIRKAEDAVNRIHDVAAQGTPRTRLAMSANTDFSTPSQIPFIHSDSRGDAAVRDLKNADQIQGNKHKDVGTKTLDVTSGASGTPCSSKMVVSANHNVHSLNKTNFVEDHGDTDASKADLTTPSREILPANVLDSSNVARGRSQEDYGATCTPDAAAGQSTINDNVTNNNIGLKSGSNASLNINNKSSLKPLEKSVLPEQYSANRIGPLQGAEGSMMRADSSISTARKGDKIIADLAGVQVLKGGENIQDENVLDGAYSQKKKCSISPVLFKVQNGDTGKETGALNSPSANRLSDTSEPAIWSSVGTNPSEANNVDLGKQQSGSSKSRSRTALKHGNLVDGIKLPEYSSSETNAQPPPKPKELLATSLSATVHDVKRCPDFSFQNKDGDYAQDSGNALNQDGSPLMRKTENVLAKVRTSDISLHSSRKSKLVPSSGNGDTEMSDALDIEKNKAAVASNCKPEKVVPDENIKADQLKDFPGTSNNVLRQTGYLKKVASRKGMKASTKRPRSASKVVDEPVVDDGKTKTVVSESEPDKMIAHKHIGETAKDGPDSVNAAEHRTNSSDKVLTDGASRISRRLQNVHTMKNDRHAASNLESSKMISEENTGIGITPKKFVSNATTEGHQTNSPKMLPNTSMRNTFAKRSRVSDTKMTGESSADKTETVAGKSLFDDLFPSQNIDHPKKLSSSASADGCGSLSCKNASPARVRNAVAKRKIKALEDKSDSKLGKIGGAIVSAAKAVASRGIEESSCNINKVSSDQNSVKTDGMRDVSGLFSSDTSVIDRSENLNNSKLRCSKRNKSLSLDHEKENMQDNGTLSSKSNGRTAIMNSNLDANSMKHGANMFNEPNRIKGNGPGTLITPEPTCFILSGHRQQRKDYRSILRRLKARVCRDSHHWSYQATHFIAPDPLKRTEKFFAAAAAGKWILKTDYLTSCNEVGKLLDEEPFEWSGTGLNDGETISFEAPKKWRVLRQQMGHGAFYGMQIIVYGQLVSPSLDTVKRAVRSGDGTILATSPPYTRFLNSGVDFAVVSSAMPSADAWVQQFISHDIPCITADYLVEYVCKHGHPLDRHVLFNTNDLANKSLKKLLQNQQEVATDVLKPQEDGDPDDLSCSACGSTDRGEVMLICGNEDGSTGCGVGMHIDCCDPPLEAVPEHDWLCPQCEMPKATKKSASRVASKSRVSKRKR >OGLUM03G14530.1 pep chromosome:ALNU02000000:3:11004089:11014980:-1 gene:OGLUM03G14530 transcript:OGLUM03G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSISRTSPARSDAECTDHAGMDGTEDPGRWRETGEKWEVRSEHQRQRRENPREAENSPLLSTPLQLPVHAGPRVTHGTGSRELQRPRKKRKGEEEEGRERGETWGVLFNGTKIRLPTDLW >OGLUM03G14540.1 pep chromosome:ALNU02000000:3:11014993:11017571:-1 gene:OGLUM03G14540 transcript:OGLUM03G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTSAPEKKRKWLLSNRKVIDKYLREARAILATAPEAGGGDAVAALGLVDAALELSPRMESALELRGRALLSLRRYRDVAEMLRDYIPSCAKTCSGDDTLSSSTSSSLSSSGSGDLGTISRAKLLSPDRHRSDAAAEPGAAAARSFRCFDISELKRRVLASLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCLSEDSFSSSSPAAAVAPIPSGNTTKSGSAFIIPAMESEAVSQLLAHVKLLLRRRTAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRGRVAAGEACNIDYYALLGVRRGCTRSELERAHLLLTLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFIMSAVIDEEAAERQRAREAAAAAAAAAAAAAAAAAAALAVKQEATKQELAAPPMPEKPRQTESAPCAKRSMASKAKPKAKPAATVPSMMSRKAATTTTTAKAAMSKAAVTAPKAAAATAVAATAVAAAATAASTSTAAPVYQGVFCRDMAVVGTLLSRGGFVDRAMPVKCEAMSC >OGLUM03G14550.1 pep chromosome:ALNU02000000:3:11042604:11042831:-1 gene:OGLUM03G14550 transcript:OGLUM03G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTLASYALLRSCGCLLAATAMLTAALQHILVVLDAAGMESAALEGKLASYSQSHWPLLQQNTHRAKLQGTPL >OGLUM03G14560.1 pep chromosome:ALNU02000000:3:11050383:11052615:-1 gene:OGLUM03G14560 transcript:OGLUM03G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cAMP-regulated phosphoprotein 19-related protein [Source:Projected from Arabidopsis thaliana (AT4G16146) TAIR;Acc:AT4G16146] MAGCDEAKSLASMEEEACIEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSNGSKAAVETLKPKLKNASSPAPSSQANLRIKLSREAYQNAAAAGDLEATRGQVD >OGLUM03G14570.1 pep chromosome:ALNU02000000:3:11060820:11075066:-1 gene:OGLUM03G14570 transcript:OGLUM03G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 7 [Source:Projected from Arabidopsis thaliana (AT3G16910) TAIR;Acc:AT3G16910] MGSPGHGDAVERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRIRSQGAGRSGPSGDGTRQSSDGLARSIRRGWGAAASPPSHLIAATPNCARAPVAAAPNRRLAPVDATPNRRRAPILPPDWSSRHIWLGLSSLSAGDLGGSGGEPDKADDILTSLGPLTSPSPGCRVAGAATRKGCPALTTIDEEHGSLLGSVFFVWYQCLAQSATTCNAKSRGLSPRRGADVDSIPSRQHSWKIQSNPSSKEQVASPYYSLKQPAVSALSLSGRKRPGKRS >OGLUM03G14570.2 pep chromosome:ALNU02000000:3:11063201:11067187:-1 gene:OGLUM03G14570 transcript:OGLUM03G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 7 [Source:Projected from Arabidopsis thaliana (AT3G16910) TAIR;Acc:AT3G16910] MAAERDIDDLPRGGANYTALTPLWFLERAALAHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNIPAVYEAHFGVPMAGAVVNCVNIRLNAPTVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIAEQKKGAFKQPLLIVIGDQTCDPVSLKSALSKGAIEYEEFLETGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPMFHCNGWCYTWTLAALCGTSICLRQVTAKAIFSAIANQGVTHFCGAPVVLNTIVNAPPADAILPLPRVVNVMTAGAAPPPSVLASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDELPDDERARLHARQGIRYVGLEGLDVVDPKTMAPVPADGSTLGEIVMRGNGVMKGYLKNPRANAEAFENGWFHSGDLGVKHTDGYIEVKDRAKDIIISGGENISSLEVEKAVYQHPAVLEASVVARADEQWGESPCAFVTPKDGADSSDEAALAGDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >OGLUM03G14570.3 pep chromosome:ALNU02000000:3:11071965:11075066:-1 gene:OGLUM03G14570 transcript:OGLUM03G14570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 7 [Source:Projected from Arabidopsis thaliana (AT3G16910) TAIR;Acc:AT3G16910] MGSPGHGDAVERDIDDLPRNDANYTALTPLWFLERAAVVHPDRAAVVHGPVRYTWAETYRRCRRLASALAQRSVGPGCTVAVIAPNVPALYEAHFGVPMSGAVVNCVNIRLNAETIAFLLDHSVAEVVMVDQEFFTLAEESLKILAEKKKWSFRPPILIVIGDPTCDPKPLQYALGRGAIEYEEFLKTGDPEFAWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYVMALGVAMVWGMPEGAVYLWTLPMFHCNGWCYTWAMAAMCGTNICLRQVSSKAIYSGIVNHGVTHMCAAPVVFNNLINAPASETFLPLPRVVNIMVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCVWKPEWDRLPLEERSRLHCRQGVRYGALEGLDVVDPKTMAPVAADGKSYGEIVMRGNAVMKGYLKNPKANAEAFAGGWYHSGDLGVKHPDGYIEVKDRMKDIIISGGENISSLEVEKVLYAHPAVLEASVVARADEQWGESPCAFVTLKEGADSSDEAAVAGDIMRFCRERMPGYWVPKSVVFGPLPKTATGKIKKHELRTKAKELGPVKKSRM >OGLUM03G14580.1 pep chromosome:ALNU02000000:3:11061859:11062416:1 gene:OGLUM03G14580 transcript:OGLUM03G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVELLPLRHCLRAVAADMLPSPEVDLKPPLSIRATNVISPPATRQPGEGDVRGPREVRMSSALSGSPPDPPRSPAERELSPSQICLELQSGGRIGARRRLGVASTGARRRLGAAATGARAQLGVAAIRCEGGEAAAPQPRRMDLASPSLLCLVPSPLGPLRPAPWLRLPVGKGGEEREGGDEE >OGLUM03G14590.1 pep chromosome:ALNU02000000:3:11079308:11079940:-1 gene:OGLUM03G14590 transcript:OGLUM03G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLISSGAAVGASGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARDLQQQQRRHKGKAGAPPPPGGKVAPLPADHVLGAGGVYVLLPATTRGKVSAEEARRALTASRSLERSRSMPGRLRRKLSSKKMTQEADNDGNASENHAAAAEAERREETAAAARPPAADGFEEHRPEFLSRELSSRGWKPSLITIEERVAPKKVSHWLF >OGLUM03G14600.1 pep chromosome:ALNU02000000:3:11088882:11089751:-1 gene:OGLUM03G14600 transcript:OGLUM03G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62550) TAIR;Acc:AT3G62550] MDRATEEETAATGRRILVAVDEGDESVHALKWCLASFAKRGGGGGAAPSDTIILLYVRPPPPTYSVLDASGYVFSDEVAAAIDGYSKEVAEAVVEKAQKLCTLYGKEVGGDGEAGHEMKVEVKVAVGDARNVICQMVDKLGADVLVMGSHGYGLFKRSLKRSRFQSQKLALLGSVSDYCVRNANCPVLIVKS >OGLUM03G14610.1 pep chromosome:ALNU02000000:3:11090488:11091653:1 gene:OGLUM03G14610 transcript:OGLUM03G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVATPRGRSSQRRTRCKCAGGGGGDRAAACCFNPLRSLFRCPGRGRGRRSRSRSRHTTPSKVRDASVAGGVEQQSEEPSFFVYAMPNQGGGDGVTADHSKKKKKKKHSKPRLPSIRSCFRGKKNKERKANAAAVVARRQALTPAPSLVTHPPHSPSTPEKTQAATPSATQPPSPAVTENGRTNSPATPNRIIPATPRPGKHSTSSSSAPSPFPQQWQQPKQVEGLEIVEVATGERLSAHDVGLIEMVGSSADVSAESSVKSSLDYANDPPQQFTVSSKRKPAVVKATEPTRVWLNGNAGKGKAGERFTGPPVAGEADELWAHDIACSRVHAVMLAETI >OGLUM03G14620.1 pep chromosome:ALNU02000000:3:11092429:11097102:1 gene:OGLUM03G14620 transcript:OGLUM03G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSQSFLSPASNPLLRPRILPFPAGGSVSLRGRRPAFPSVAAASTSMASSESEERKETKLWGGRFEEGVTDAVEGFTESISYDWQLYKYDIMGSKAHASMLAAQGLITAGDKDIILEGLDQIEKLIQDGKFEWRTDREDVHMNIEAALIEKVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILFRIKQFQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERLNFCPLGACALAGTGLPIDRFKTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVFGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAVLGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELGLDDLKSVHPVFEGDVYEYLGVENAVNKFISYGSTGSEQVKKQLEDWRTQLGISS >OGLUM03G14630.1 pep chromosome:ALNU02000000:3:11097707:11099500:1 gene:OGLUM03G14630 transcript:OGLUM03G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAQAQRRIYRRFHGTRRRSRTFQKSATSRAAPRNVSGAPVYVSCLLLPEDATSRIGFSSPLADDLFHGDHDSLSLCIRTRLACCSVSSGIANLSPKLSIAREREREREREQGAAPERRKMSGSINSSLETRTFLDEVRGLEKNWMVDLGHPLLNRVAESFVKAAGGGGTGAVSDSTGARKRSFPDLNGGNSSKSAEAMVKSVSKESLQWGLAAGLHSGLTYGLTEARGTHDWKNSVVAGALTGAAVALTSDRASHERVVQCAIAGAALSTAANVLSGIF >OGLUM03G14640.1 pep chromosome:ALNU02000000:3:11100108:11106352:1 gene:OGLUM03G14640 transcript:OGLUM03G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 2 [Source:Projected from Arabidopsis thaliana (AT1G56350) TAIR;Acc:AT1G56350] MCRLRLSSLLCPCAAFSAASSEPTRMASCLLTRSTAARLLSHLRSSGALSPTHHHHHHLEHGAALASLLGLGRGGLPAAAGPWSPRDPPTRWFSSPATVAEAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSVKLIKKRLKWGWILERSRQLSVVLERPDLWDDPVFAGKVSREHGELMGKIKSVNQFEQELMEHIDMLRLAREEDDNELETETMRALAEMRRSAKEKELNALLSGDNDSCSCFIEVQAGAGGTESMDWAAMVMNMYSSWAQRRGYTVSIIEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVVPILGDGSTRYQIKDSDLRIERFRSGGPGGQHANCTESAVRIVHIPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNADHTQSLSEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLNGDLDDFILNFLSTSLDEAD >OGLUM03G14650.1 pep chromosome:ALNU02000000:3:11103700:11105151:-1 gene:OGLUM03G14650 transcript:OGLUM03G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQERHGGEAAADVGRRARHQRLLLSFPVFPIVLLLLAPCTIFFFTSGDVPLPRIRIEYARRDAPTITAVAADTSPPPPPPPPSSSPPPLSFPPPPPPPSSQPPPALPVVDDSDTQRSLRRLRQLTDSPYTLGPAVTGYDARRAEWLRDHPEFPASVGRGRPRVLMVTGSAPRRCKDPEGDHLLLRALKNKVDYCRVHGFDIFYSNTVLDAEMSGFWTKLPLLRALMLAHPETELLWWVDSDVVFTDMLFEPPWGRYRRHNLVIHGWDGAVYGAKTWLGLNAGSFIIRNCQWSLDLLDAWAPMGPPGPVRDMYGKIFAETLTNRPPYEADDQSALVFLLVTQRHRWGAKVFLENSYNLHGFWADIVDRYEEMRRQWRRPGLGDDRWPLITHFVGCKPCGGDDASYDGERCRRGMDRAFNFADDQILELYGFAHESLDTMAVRRVRNDTGRPLDADNQELGRLLHPTFKARKKKTSRAARPM >OGLUM03G14660.1 pep chromosome:ALNU02000000:3:11114093:11115442:1 gene:OGLUM03G14660 transcript:OGLUM03G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKHGGKVTSDRRAGRRQHGQRCSASDAAPLVVVVILIVGALFLILGPTGSSSFTVPRIRVVFNEPVHVAVAAPPPPPPPAQMQAGANASSEEDSGLPPPRQLTDPPYSLGRTILGYDARRSAWLAAHPEFPARVAPAGRPRVLVVTGSAPARCPDPDGDHLLLRAFKNKVDYCRIHGLDVFYNTAFLDAEMSGFWAKLPLLRMLMVAHPEAELIWWVDSDAVFTDMLFEIPWERYAVHNLVLHGWEAKVFDEKSWIGVNTGSFLIRNCQWSLDLLDAWAPMGPRGPVRDRYGELFAEELSGRPPFEADDQSALIYLLVTQRQRWGDKVFIESSYDLNGFWEGIVDRYEELRRAGHDDGRWPFVTHFVGCKPCRRYADSYPAERCRRGMERAFNFADDQILKLYGFAHESLNTTAVRRVRNETGEPLDAGDEELGRLLHPTFRAARPT >OGLUM03G14670.1 pep chromosome:ALNU02000000:3:11117313:11132459:-1 gene:OGLUM03G14670 transcript:OGLUM03G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRKHQPSGNAASISMVPLDFPSQELEKVNSVNPVVATDVPPEADVDVDMREVYFLIMHFLSHGPFKRTVGELCNELLEHQLLPRRYHAWYSRGGFHNGEENDDGISLPLGYLKLVERYPHIGKDHLVKLLKQLIFSSCHPHGLVGGVSPNAADVPTLLGSSSFSLLASTFDRTGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRIPDGLPISVLKGHTGVVTAIAFSPRPGAAFQLLSSHKCDFHTMKNAYAWKGGDASSSAVQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSVDTTHTIKEENNLKLRNSCSFSYLTYCANCAFLVSTVSLQLKFSAFARFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIQDTNGNVIDQETQLAPYRRNMQDLLCDSGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQIIPVIDPDRWEPLPEITDFIELEPENEVISDDTDSEYDGLDEHSSEGEHEALNGDSSGASYSSGEIDGDNLTDSALRRSRRKKKKSDGGVVTSSGRRVKKRNFDERDVPQVSRPHKSRKSRNGRSSKRKKSPKSKGLRPQRRAARNALSFLSKIGASTEEDEDDSESSFSDSELNTESTEAEHLAWNGQLRLGRESNSRYDLDDVTQPSQFTETHGNSGSNRKLVLRIPRRDLKVQFTMGNRKTECSTQDREGLALSPTNREAVETKPNFEPGSSSALKAELTDGVQTEISDPHDVSALHNNSTIKWGEVKVRSSKRFKFGDSSAGDTWPASNNAVPQNVDQPDSKKMLNGDEIQQTFELNSQEIQHAVNLENHKIDDCSEDNLLDKERIASDNNAHVDEGYEGEHDQQVHSTPQTISLKLKFRSRSFADGAGSSDKSRITTAVGNDMNSEHDKGHMLHDEGSALNQHTNDGILTVSKSLPERTDKSTSLDDSKKWHLDPAKTYSAVYKRSKTNKHKKNLDSDAYGNGESTSVSNDDDGYQPTDYSPVKPDSATLRRSARRSYAYTDDTTQAKNSYSSHEASTSGRLIVTDVRDVMWKSNSKTVGLRSTRNKRESSNFPGTHLLEKRKQVSMKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLKGSRQLDDCPWNRIKGLKDVELCKIQGLDYTTFRGSGESCCKLTIEFIDDTSRGFGRTFMITLPELVNFPDFLVERTRFEASIDRNWTNRDKCKVWWRNELEEGGSWWEGRVSAVKPKSLDFPESPWEKYVIQYKNDGSDHPHSPWELHDTGNLWVPWKHPHIDLGIKDKLLSELDNLLELSHRNQVEFFINFTFFCYPIFVVLTSNNKLLRFYRIAMAF >OGLUM03G14680.1 pep chromosome:ALNU02000000:3:11137146:11148316:-1 gene:OGLUM03G14680 transcript:OGLUM03G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z666] MLRAAAATTAGFLPPRLAAETAPAARVVAAAGAEVRSSPLLRALGVPRGGAGIGSATPGRRMYFASGSDSSGSAADSDSEASSAAGAGEEESEGNRSSSAVAPAIIRPEDCHTVIALPLPHQPLFPGFFMAMSVKDPKLLKALVENHKRSFPYAGAFLVKNEEDTDSNTVTRSDPKKSIHGLKGKELLKHLHEIGTLAKITSIQGDQVLLLGHCRLRITEMVEEDPLTVKVDHLKHMVDFNYQRLADFGAAISVTNKLLCQGVLEELDVSKRLMLTLELVKRELEITKLQQSIAKAIEEKITGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRKRIESRKEKCPSHILQVIEEELTKLQLLEASSSEFSVTSNYLDWLTVLPWGDYSDENFDVLRAQRILDEDHYGLTDVKERILEFIAVGKLRGSSQGKIICLCGPPGVGKTSIGRSVARALNRKFYRFSVGGLSDIAEIKGHRRTYVGAMPGKMVQCLKSVGTSNPLVLIDEIDKLGRGYSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPGPLLDRMEIITIAGYITDEKMHIARDYLEKNTREACGIMPEQVEVTDSALLALIENYCREAGVRNLQKQIEKVYRKIALQLVRQGVPNEPAREITLVEPSEQPTGVSIATEVENKSQRDSLAEDVSVHVIPTDFYCEKINVVSLTTKSEVDHYEHPKGVSKETSADDKATPTNTSGKMEANEHTEEVMEALVDEALVDKTVEKVVVDASNLDNFVGKAVFQPERIYDQTPVGVVMGLAWNAMGGSTLYIETAKVEDGEKKGALVVTGQLGDVMKESAQIAHTICRSILHEKEPNNTFFTKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKLVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSGIKTIIFPAANRRDFDELAPNVKEGLEVHFVDKYSEIYDLAFPSDSQALAS >OGLUM03G14690.1 pep chromosome:ALNU02000000:3:11166141:11166857:-1 gene:OGLUM03G14690 transcript:OGLUM03G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTRRAFHSPLGLGSLRHSHWLGQIKMLRNGNEGMSTIPGFSQIQFEGFCRFINQGLAEELQKFPTIKDPDHGMDTKSRGGR >OGLUM03G14700.1 pep chromosome:ALNU02000000:3:11178166:11178684:1 gene:OGLUM03G14700 transcript:OGLUM03G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAPATEGSARRSAAAAEEEEERQRNRKRSNRLSAQRSRMKKQQYVDGLAVEVEQLRRENDAMRAGAGAVLQRCRLVEQENRVLAAHARELCSALQLRASQLRLLGEVAGMPLDVPDVADHLVQLYGGDLGMTPLSPPPLLPPLPPQIEMLFFQPDSVMDPVSMLQGYENI >OGLUM03G14710.1 pep chromosome:ALNU02000000:3:11185008:11185493:1 gene:OGLUM03G14710 transcript:OGLUM03G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQLTRWGNFGDREEELTSQRLKADRSTVPSRMETVNGCLVARSYIPRCRAIHAAVVPASTPPPSIAAAASLLQLRYNSTSLGGSSVQLISSTPRSFFT >OGLUM03G14710.2 pep chromosome:ALNU02000000:3:11182281:11188664:1 gene:OGLUM03G14710 transcript:OGLUM03G14710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIQKRRVQLLLFIVGVLALSMTAEKFRELVGKEEASKSGQFTFMNCFDMGSGSLACAVKEGIKLYVYNLQTAHTERVRHRAIEKALADAVTEGLSGAEAAKQAQKVGAKAAKVAARQAKRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYVGGFHGEERLGRFGYLTGSHLGSWVGGRIGLMIYDVINGLKYMLQFVKPEYEASAYYSKESTEYAYSYRSGEREEPTYYETSEENQEESQGFSLF >OGLUM03G14720.1 pep chromosome:ALNU02000000:3:11183783:11185390:-1 gene:OGLUM03G14720 transcript:OGLUM03G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT3G16990) TAIR;Acc:AT3G16990] MDGGGVEAGTTAAWMARHRGMYERATRHPFTVSIRDGTVDLSAFRRWLCCRQSDGSDMEIILGGLASLSDELSWFKKEAEKWSVNLAEVSPLKSNTEYCRFLQSFSEPEISYVVAITTFWIIETVYQDSFAFCIEEGNKTPPELLGTCQRWGSPEFKQYCQSLQRIADRCLAEASADAARSAEEAFLRVLELEIGFWDMSSSRS >OGLUM03G14730.1 pep chromosome:ALNU02000000:3:11189884:11197821:1 gene:OGLUM03G14730 transcript:OGLUM03G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTILLQRACLRFALLPVPPLRAPLRPPRRPLGLPRRSAMSSSAASRLSHIVAAAGGAAGESSEPPAAAAAASGLAQEDDDLSSAMMGYRLPPKEIQDIVDAPPLPVLSFSPSKDKILFLKRRALPPLSDLAKPEEKLAGVRIDGYSNTRSRMSFYTGIGIHKLMDDGTLGPEKVVHGYPEGARINFVTWSQDGRHLSFSVRVDEEDNTSGKLRLWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPLSRGAPPQKPSVPSGPKIQSNETSNVVQVRTFQDLLKDEYDADLFDYYATSQLVLASFDGTVKPIGPPAVYTSIDPSPDDKYLMISSIHRPYSYIVPCGRFPKKVELWTVDGEFIRELCDLPLAEDIPIATSSVRKGKRSIYWRPDKPAMLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVISPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTAMGTYVIAKVKKQDENTYILLNGMGATPEGNVPFLDLFDINTGSKERIWQSDKEKYYETVVALMSDKTDGELPLEKLKILTSKESKTENTQYYLQIWPEKKQVQITDFPHPYPQLASLYKEMIRYQRKDGVQLTATLYLPPGYDPSQDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVTSAEAAVEEVVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATNTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQKYCLSGSSKTDSDSVADTENKTVSASGGGAPCEGPEAEGFSSMQRSLLWEDGLTTY >OGLUM03G14740.1 pep chromosome:ALNU02000000:3:11198447:11199445:1 gene:OGLUM03G14740 transcript:OGLUM03G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIAGLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFENYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTGELGAYDVVFLAALVGMAAEEKAGVIAHLGAHMADGAALVVRSAHGARGFLYPIVDPEDVRRGGFDVLAVCHPGDEVINSVIVARKVGAAAAAAAARRDELAESRGVVLPVVGPPSTCCKVEASAVEKAEEFAANKELSV >OGLUM03G14750.1 pep chromosome:ALNU02000000:3:11201681:11202661:-1 gene:OGLUM03G14750 transcript:OGLUM03G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQNQEVAALVEKIADLHAAISKLPSLSPSAEVDALFTDLVTACVPASPVDVAKLGPEAQAMREELIRLCSAAEGHLEAHYADMLAAFDNPLDHLARFPYYGNYVNLSKLEYDLLVRYVPGIAPTRVAFVGSGPLPFSSLVLAAHHLPDAVFDNYDRCGAANERARRLFRGADEGLGARMAFHTADVATLTVELGAYDVVFLAALVGMAAEEKAEVIAHLGAHMADGAALVVRSAHGARGFLYPIVDLEDIRRGGFDVLAVYHPDDEVINSVIVARKADPRRGGGLAGARGAVPVVSPPCKCCKMEAAAGAFQKAEEFAAKRLSV >OGLUM03G14760.1 pep chromosome:ALNU02000000:3:11211032:11262137:1 gene:OGLUM03G14760 transcript:OGLUM03G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGRGAGGAAEAGAAGAGGGRKRGCAGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGRRLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLTVDAPRGRLLIVYADRLPRFAYSAVAAYDLASWRRLFLTRLDGPGSAANDAVETMIKVLNSPKEETQAKSASALAGLFHCRKDLREIHIAVKTLWSVMKLIDGQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLECRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKLPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVGGCSLLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRHSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCGGGRSTSGAAVDVLPGRGRSGSLGEAARRSDAGGFPSAGGVGSAMEVKEAALGSENGGAPANGDSGSAMGAEGAPAGGGDGNSAEGENTTKEVADSAMETNLLVSNASASQGAAAPAEEPKKPKSVQDVDSSMGDSDLGSNGEFLQGESGNSSAGAHTSQRVDQGEHSAHSTVRNSSGAAPLSSSKQKTDLVQETVDSKVDAARSDAALCNVYDGRWVFDESYPLYTSDSCPFIDEGFSCEANGRMDGSYRKWRWQPTHCSIPRFDARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRGAVSDPARIHEARGRRITKERGDYNFKFLDYNCSVEYHVTHFLVHEGKARIGSKRTRTLRIDTVDRTSSKWRGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVYPHLDASTAYLKALTTWASWVDHYINPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLPLSDTRVRPVPEINMILEQVAQQMKTSVTILNITNLSGLRIDGHPSVYGRKAVVGLTASSVQDCSHWCLPGVPDTWNELLFYHLGTARGVSYVGDGWFHDGVRWDAEGGRFLVTTMTDGRVVEVPGGGGAGAGEGKVEARVVVADPEAAGRSATGLTLDAPRRRLLVVYTDLAPWFGYAAVAAYELGSWRRLFLVRLDRPGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVCPKTGSVHVVKVKGSLKTGDGLALLSPTRLVAAGLVSRLVESDDDWETAVVTGRYVGPAHRIGSSATVKDGDVASNHGPTQVHLFSSRCIRFTPLAFTSKSMQFFKV >OGLUM03G14760.2 pep chromosome:ALNU02000000:3:11211032:11262137:1 gene:OGLUM03G14760 transcript:OGLUM03G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGRGAGGAAEAGAAGAGGGRKRGCAGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKWDADGRRLLVSNFFGAGVSELRAEAKGKEKEEERVVLADPDVAGRVALGLTVDAPRGRLLIVYADRLPRFAYSAVAAYDLASWRRLFLTRLDGPGDSTLADDVAVDEEGNAYVTDAKGNKIWKVSPDGEPLSVIKNATFFQRPGWINNFVGLNGIVYHPNGYLLVIHTSGGDLFKVCPKTGSVHVVKVKGSLKTGDGLALLSPTRLVAAGLVSRLVESDDDWETAVVTGRYVGPAHRIGSSATVKDGDVASNHGPTQVHLFSSRCIRFTPLAFTSKSMQFFKV >OGLUM03G14770.1 pep chromosome:ALNU02000000:3:11262598:11269657:-1 gene:OGLUM03G14770 transcript:OGLUM03G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G02020) TAIR;Acc:AT4G02020] MASSSSKASDSSSQRPKRPDQGPSGKDAAGLVALHGKLAQLKRQERVEANRKALQVHTCALFDVAAAAEVASRGAEGGNALSRGAAEGHRRFVGWDSASGSGERELVHVQEENLVAGTLVLSSSGGSGASHRTVVQLVKLPVVDKIPPYTTWIFLDKNQRMADDQSVGRRRIYYDPIVNEALICSESDDDVPEPEEEKHVFTEGEDQLIWKATQDHGLSREVLNVLCQFVDATPSEIEERSEVLFEKYEKQSQSSYKTDLQLFLDKTMDVALDSFDNLFCRRCLVFDCRLHGCSQNLVFPSEKQPYGHELDENKRPCGDQCYLRRREVYQDTCNDDRNACTTYNMDSRSSSLKVSATILSESEDSNRDEDNIKSTSIVETSRSKITNSEYADKSVTPPPGDASETENVSPDMPLRTLGRRKISKHASKSNDHSPDKRQKIYSSPFPFAMSVLNKQSVPEIGETCPDSIESAVDQLPSLDDPNKKISTKDMCAGSTTNTTENTLRDNNNNNLFISNKEHSISHWSALERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVASYMYNNGAAMAKRPLSGKSILGDFAEAEQGYMEQDLVARTRICRRKGRARKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCACVENGTCCEKYCGAAKIGLEDVIAQKVNAEADSARVLLPVVNVIQMFAETAGCGDGSLGEPLARGDGYQCGNMKLLLKQQQRILLGKSDVAGWGAFIKNPVNRNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNEQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKDRIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASVSHHRAHKVAR >OGLUM03G14780.1 pep chromosome:ALNU02000000:3:11270131:11270553:-1 gene:OGLUM03G14780 transcript:OGLUM03G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILRQPSLLCKRHPPQFPIVISAAGKTVASVVVSDRTDSALAILTNDSRASEPGSPAGHQRVPHNGIRRLHSVEHLACVVTSGQHEAYRLQLGQCGRHERVGGVTRACSAPRAGCGVGWRRHAAAMRAMSEVAAKAKEK >OGLUM03G14790.1 pep chromosome:ALNU02000000:3:11270512:11271005:1 gene:OGLUM03G14790 transcript:OGLUM03G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEKRGLAEYEHVLKRGLADQTRALALIPYSLFGNELLDQCLHGPLKSGFTANSPVSTAITILYCRLNDMESARKAFNAMPEKTTESESWKAIIPGYA >OGLUM03G14800.1 pep chromosome:ALNU02000000:3:11271304:11272242:1 gene:OGLUM03G14800 transcript:OGLUM03G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMGQGAEALKLYKDMLDANLIPTNATFLLVLYACSHIRLAEEGRKVFQSMTDHFAIIPTGQLKEVFKLISEFPKTAVGPGLILLGACMVHKDSDLAQIAPPENSGYYVLLSYLLVVKKQISEAAAKGRKLVKLIEIVDKPNFLMAGDCAHPQCLHCRIAAMASSPISP >OGLUM03G14810.1 pep chromosome:ALNU02000000:3:11272637:11276210:1 gene:OGLUM03G14810 transcript:OGLUM03G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 32 [Source:Projected from Arabidopsis thaliana (AT3G17000) TAIR;Acc:AT3G17000] MEATAKYNRGNPAVKRILQEVKEMQSNPSPDFMAMPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTPGGGALGSLDFKKEDRRALAIKSRETPPKFGSAERQKVIDEIHEQMLSRAPPVPQLLTNETNEETNQLPASDASDEHAHKAVGGVNTSGSNSDSVNNDLPRPDSESEIVQHIVEGRTEGVSNHSRANLSRENIPRVAPTPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFFKINGLAGYIEGKF >OGLUM03G14820.1 pep chromosome:ALNU02000000:3:11277071:11279701:-1 gene:OGLUM03G14820 transcript:OGLUM03G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear encoded CLP protease 5 [Source:Projected from Arabidopsis thaliana (AT1G02560) TAIR;Acc:AT1G02560] MATTTTTPSPSLTAPLLRPSSNANPAPRSLPLLRSRRCARAVVTAAAAAGHGAAHQRSGIWSIRDDLVVPRSPYFPVEYASGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAIDPNKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >OGLUM03G14830.1 pep chromosome:ALNU02000000:3:11281131:11287797:-1 gene:OGLUM03G14830 transcript:OGLUM03G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized protein [Source:Projected from Arabidopsis thaliana (AT5G26850) TAIR;Acc:AT5G26850] MGFMSAKLFPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPIAKFLEQRSHKELRSAHVNFIKIITEAYSKLLFICKEQMAYFAISLVNVLTELLESKQENIHILGCQTLAKFIYSQVDNTYARNIESLVRKVCVLSRQQGVEHSLLRAASLQCLSAMIWFMKEHSYIFADFDEIVQSVLENYRVEESAAGDEERHAPQHNWVDEIVRREGRAGLGGGNDVNCNSTAIRLRSARDSSALTREERESPEVWAHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWAPRQGLALLVLSDMSYLEKSSGNEQLILTSVIRHLDHKNVLYDPQIKSDMIQTATLLARQLRSRGIAAELVVAGDLCRHLRKTLEAMESASIEELNLNESLQNFLQDCLLEVVTGINDVRPLYDMMAITLENLPSMPVVARASIGSLLILSHIISLTSMSSNAPMLFPEALLQQILKSMVHPDVDTRVGAHHMFSAVIVRGPSRQRSESDFLYETKKWQSRTTSVFASATALLEKLRREKESLGSDKTGNMDDEKEKSISEEENKHVWARKNSAYFSKLVFSFTDRYAALTSSAEEANIVMLTEDQTNQLLSAFWVQANQTDNTPFNYEAIGHSYCLTAISSRLKDSRNSNNIQFFQLPLSLRSVSLTSNGVLSPSCQRSIFTLATSMLAFAGKVCHITELVDVLRCFTSCNMDPYLRIGEDLQLYVRLQSDLGNYGSDSDQEIARSVLSDCRTKVGINDQRVLDVVACALCNLTEMDKDVLVKELTEMFTPEEVPLFGSNSAFDWANFHVQAFSDESLSFDEECSRTSSVDGGLHESPITNTGSSISKTTMPQSVPRVLGVGQLLESALHVAGQFAGASVSTSPLPYGTMTSQCEALGSGTRKKLSSWLVNGHDSTPDNPAPSLPSAQHFIIPKVNSCGFESSIRTTSEPCSAVKLPPASPFDNFLKAAYRAQ >OGLUM03G14840.1 pep chromosome:ALNU02000000:3:11287837:11289455:-1 gene:OGLUM03G14840 transcript:OGLUM03G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRAAALRSPDLRPPSAGNLSLLPAFAAAATAPPTCMRETGGVCGKRGAGQGQRQRKRLLQLALPPRHVASSVLAPLRCASLPASLPPHPNLPPPSPSRPGPGCFSGAALWTAAAAKRECGRVEV >OGLUM03G14850.1 pep chromosome:ALNU02000000:3:11294320:11300017:1 gene:OGLUM03G14850 transcript:OGLUM03G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47330) TAIR;Acc:AT2G47330] MSKRPKLGGFSIPRPTSYSFERSQPPQRLYVPADDPDLDDIAFSDDAAAPSDAPPAGGGGAAGDEEEIDPLDAFMAEIQEEIRAPPPAPKPEALRRADSDDEDDPVESFLRAKKDSGLALAADAMHAGYDSDEEVYAAAKAVDAGMMEYDSGDNPIVVDKKKIEPIPPLDHSTIEYEPFNKDFYEEKPSVSGMSEQEVADYMKSLAIRVSGFDVPRPIKSFADCGFPVQLMNAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEIVVATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDAEKMPWLLEKLPGMIDDGDVLVFAAKKARVDEIESQLNQRGFRIAALHGDKDQASRMETLQKFKSGVYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEVRFAGELVHCLIAAGQDVPNELMDLAMKDGRFRANRDSRKGGKKSGKGKGGGGGGGGGSSGARGRGRGVRGVDFGLGIGYNAESGSVPAPRSAAVNSLKTGMMQNFKSSFVSASSSNTPSNSAPSRGAPSSFVRPALRGFVSGGTIGGDANQARAVQPAPSFVPASRPAENTVENANPNPERDREGAATAMPKLPMDQRPRLTLEDYILFFTTRSGHGLTMDHLNQIVFMHGFIKFHRQNKVPPQSTSICPHFGSVLPQKPVTRVSQPVIVDALNKFDLMRPRRSTVGINAAAPPRGAAKPSDALLTMDEARDDIEDLGWRECPVGSLLSIRAGGGDAAPSAAHMPIAAIRPGSTAVERVSPPSILSACSPAPPGAVVIRKRCKKGQGKAAMRGRKRRVVQLLTLPSVENLAATA >OGLUM03G14860.1 pep chromosome:ALNU02000000:3:11300475:11302573:1 gene:OGLUM03G14860 transcript:OGLUM03G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRGREMQGGPLTLERYHRFFVDPWGTRLTIDHLNHIISMHGFVKLHRSNKGDIMRRLVGQVDLQPPRRSTLHRAAEGPPSDAVIAADVVSADVDAIGWTECPIGSVAVLAASPGDAPEPVEPDPRPADFVLAGRRARSKRSRSSAYRHRPPETDDGGGEGRWGVVAATAVDSAAVDAFSNSASAASLATTRDAAASSASATTRDGGTAAASSSPTVLRPAHVGAASSSSPTVLRPADVGAASSPTPTLRRVARGGAMAVVGPAVAGGGATGAAAVPGSACVRAASPGGTATFLEPTDGAAVLPGTILGRAVLGCSTSAAAAVAAAALGPHQIAASSSAASATSSHLAAAAAAIAAAAAAAGSFLGQAGVLGRECIAKLVLLQSFEPTQMYVLLILIVVCNHLLQLWDHYG >OGLUM03G14870.1 pep chromosome:ALNU02000000:3:11303224:11307570:-1 gene:OGLUM03G14870 transcript:OGLUM03G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 107 [Source:Projected from Arabidopsis thaliana (AT3G17040) TAIR;Acc:AT3G17040] MAMRLFPSSSPLPPPPPLLPSPSAKAPPSAPFSLSLRLRRARVAASAAAAAGGPERGAGGYEGDAEGEGSSGAFDRGMSEIARKVPLFEPARGDAAAVAGERPLPINLELWLYRAKVHTRKYEFADAEKLLNQCIMYWPEDGRPYVALGKLYSKQSRFDKARAAYERGCQATQGENPYIWQCWAVLERKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNIKKARNLLAKGLKYCGGNEYIYQTLALLEARAERFEQARTLFQQATQCNPKSCASWLAWAQVEIRAENNAMARKLFEKAVQASPKNRFSWHVWALFEAEQGSIDKARKLLKIGHAVNPRDPVILQSLALLEYNYSSPNTARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARTLYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLNINSQSEVTWMTWAALEDEQGDPVRAEEIRNIYFQQRTEVVDDASWVMGFLDIIDPALDSVKKLLNIDQPSGPATRDNPKSTGEPSNTATVRTSADAEFSGGSRAEGSDASDLANADDKESDDAAETPESDFDVDGFIRRRLALDPAELDAVLEGSDPRGVVSRRRTQRLPRKPLPLLPVP >OGLUM03G14880.1 pep chromosome:ALNU02000000:3:11309074:11316701:-1 gene:OGLUM03G14880 transcript:OGLUM03G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEDAAGNEDDVEDLYADLDDQVAAALAAAGESGGSNPATDGEAEAEAPGAHHTEADANEAVDLGDGTAGYISSDEESEDDLHIVLNEDGAAPPPPPPAGRCEEGSEEGEVSGSCVKGLSTDGGRGKLGELHRKGLFEKTTAPITGQGDRSHQHAFQKEFNFFLPRNRTVFDVDIEAFQEKPWRQHGVDLTDYFNFGLDEESWRKYCFDMEHFRHGTRTLANESSGLQQEFHYNLGLSKSVPKSEIYSVLKEGNGIAKPKGRAIHVEGGMHERLPSADMWPPRQRDSDVIQVNMMFPPSNRSSSDDRSTVNDKCITTKRCGPSNNHPGVDEYLKETSSVVDRVVDKEVHKRGSSECTRSKTVLGDSACAGAQSSTPDNSDMLSEESTEDFHFKRKRGKSNSNAFYVETNCKDEHVLSDFCRHASKSDQESSKGESHRYTPSPADDRYHKATKRQRMDEAGACISSRSLNNCQSDHHLHESGHRAKKELKRQSLAGGKHALFERQENTTDNYSSRYARKHKHKRSSSTFLGTNYRVHNQLCEKQEYLPLGRAALRNDEQCSADYNQRHRRSWREINDDEDIVGCYSARRWQQRHDDLHGSHSMLKAEVCDDIDGHMYRERRYEETRKIRHDRNGDDEFFHYTDYRFGKVLDPEDRRRCRSQSAESSDEHFRRSEHLVFDHFTHPDQLMLSHQANDNHRKSEKGWPGPAASLTFMRSRNRFIDNERIQNGKMKYNHDGYYEKKRQHDSVFDVDDIQQPALYTGSVAETGQCIRPVKRRVHADHSMNRKDRFNSSYQKGRRLMHGWSMISDRDLYVAEMHNSPKDIDVEAMCSPNDMRNSNNIPNIYDKIRHEVVNLQPMDTDNMLLIHRKRKFKRQGIEIRRVVESDSEGCLPADSDLHGSKHKNIHQKVRKPRAFGISRNQASEKSEQQKQQHVSNNQEYEEIEEGELIEQDHQDTASRSKSNHQRKVVLKSVIEASSACQGGVINATSKDADCSNGATGECDNKHILEVMKKMQKRSERFKASIAPQKEEDEDRKESLAVTCDVDDIKNQRPARKRLWGCSG >OGLUM03G14890.1 pep chromosome:ALNU02000000:3:11317987:11321770:-1 gene:OGLUM03G14890 transcript:OGLUM03G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme binding [Source:Projected from Arabidopsis thaliana (AT3G62370) TAIR;Acc:AT3G62370] MRILALVVAAAALLAAAATAHEHHGEAPTCAGGGSGRVLAEFRPGEVNLDGHPADWDGVEASEFALLPALDPDEDKAYAGGKVFVKAVHDGVNIFFMLKVDGDYTYTKGCVVLTSEQVTCAFDWLVEHYSENKKCPSVALMFQIGEKAMYYNMGGCKDMPGSCTSKSCRGQEVDIMHFSVGNAIPGRLYGGNHIDNADGNGGDRFGHLVDLYAWNPHCRYLDGIGPKENNSNAQNDWHGAWWHSSLTFHSGFVDDDSPYGKQDEKGTYYFEFSRPLRTMDRLQQDAQFTIGGPNSMAVAFWYPNDGKPWSKSEHYSASCDWLVLDIQPSMEAAHYRPAPNRSWDAATAFALLLSVVAICISVFVGYGASKNRSSVQFTPLEQI >OGLUM03G14900.1 pep chromosome:ALNU02000000:3:11347455:11355552:1 gene:OGLUM03G14900 transcript:OGLUM03G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLADEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSILSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVHLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVDKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >OGLUM03G14900.2 pep chromosome:ALNU02000000:3:11347042:11355552:1 gene:OGLUM03G14900 transcript:OGLUM03G14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSILSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVHLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVDKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >OGLUM03G14900.3 pep chromosome:ALNU02000000:3:11347042:11355035:1 gene:OGLUM03G14900 transcript:OGLUM03G14900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSRATPTRSPSSARPAAPRHQHHHSQSSGGSTSRAGGGGGGGGGGGGGAAAAESVSKAVAQYTLDARLHAVFEQSGASGRSFDYTQSLRASPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAYSENAADLLDLSPHHSVPSLDSSAVPPPVSLGADARLLFAPSSAVLLERAFAAREISLLNPLWIHSRVSSKPFYAILHRIDVGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAESRRSNLEPYIGLHYPATDIPQASRFLFRQNRVRMIADCHAAPVRVIQDPALTQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDDHNIARGSILSAMKLWGLVVCHHTSPRCIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTEVQIKDIIEWLTMCHGDSTGLSTDSLADAGYPGAAALGDAVSGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDSAEGTSNSKAIVNGQVHLGELELRGIDELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKVAELTGLSVEEAMGKSLVNDLIFKESEETVDKLLSRALRGDEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFINIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRGEVVGKLLVGEVFGNCCRLKGPDALTKFMIVLHNAIGGQDCEKFPFSFFDKNGKYVQALLTANTRSRMDGEAIGAFCFLQIASPELQQAFEIQRHHEKKCYARMKELAYIYQEIKNPLNGIRFTNSLLEMTDLKDDQRQFLETSTACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQVMIQLRERDLQLIRDIPDEIKEASAYGDQYRIQQVLCDFLLSMVRFAPAENGWVEIQVRPNIKQNSDGTDTMLFLFSIENLMTRQGGPQTDRLPSIWFCRFACPGEGLPPEIVQDMFSNSRWTTQEGIGLSICRKILKLMGGEVQYIRESERSFFHIVLELPQPQQAASRGTS >OGLUM03G14910.1 pep chromosome:ALNU02000000:3:11358344:11359058:1 gene:OGLUM03G14910 transcript:OGLUM03G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGRRVRALALAALAAVLVLVASTEARLLEKETLGGGGGFGGGGGFGGGGGLGGGGGAGGGFGGGLGHGGGLGGGFGGGKGGGLGGGGGLGGGAGGGGGLGSGGGLGGGAGGGLGGGAGGGGGLGGGAGGGLGGGAGGGGGAGGGLGGGAGGGGGLGG >OGLUM03G14920.1 pep chromosome:ALNU02000000:3:11384383:11386008:-1 gene:OGLUM03G14920 transcript:OGLUM03G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17060) TAIR;Acc:AT3G17060] MARPRLLLLPLLAVAAAVLSSPLGRALAKTAKKSNDIVNGPLLTSKINAKRTLIVGPEDEFKTVQSAIDAVPVGNTEWVIVHLRSGIYREKVMIPETKPFIFVRGNGKGRTSINHESASSHNAESSAFTVHADNVIVFGLSIRNSARAGLPNVPEVRTVAAMVGGDKIAFYHCAFYSPHHTLFDVAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEIKGSITAQNRKQEDGSGFVFIKGKVYGVGQVYLGRANEAYSRVIFADTYLSKTINPAGWTSYGYTGSTDHVMLGEFNCTGPGSEATKREPWSRQLTQEEADKFINIDFINGKEWLPAYYY >OGLUM03G14930.1 pep chromosome:ALNU02000000:3:11387355:11387672:1 gene:OGLUM03G14930 transcript:OGLUM03G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVPLDASTSISGRVTILGVFKPDKINCSPMPTHLILQWMVNDLLTINFISAPVEGDAMGDELVVMIGLVRELTATQRARAMT >OGLUM03G14940.1 pep chromosome:ALNU02000000:3:11390275:11390577:-1 gene:OGLUM03G14940 transcript:OGLUM03G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRSPAQCRDRWRDHLARDVFHRHFTSTDDTELARLCLHLDDAGHRWKHVSRAVYGRSSCAVKRRWRELRKSDAFLSALWRPRTTAPTANAAITTTC >OGLUM03G14950.1 pep chromosome:ALNU02000000:3:11418029:11418241:1 gene:OGLUM03G14950 transcript:OGLUM03G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHAGVSIAFRVMLVMVNEDVELWEALEKGDVTVEAKLDGVEYRTMELSNEDRTVEFIAQVTNLIRGER >OGLUM03G14960.1 pep chromosome:ALNU02000000:3:11419784:11425555:1 gene:OGLUM03G14960 transcript:OGLUM03G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTTERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPQRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFCLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >OGLUM03G14960.2 pep chromosome:ALNU02000000:3:11419784:11422915:1 gene:OGLUM03G14960 transcript:OGLUM03G14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06430) TAIR;Acc:AT3G06430] MGRTLGPSPTCFISSSILSSLLFASAAPRIRNRIAHSISMALAAAATTFRPRLVVTAKADNTDTRRRHWKAGEFPFPTSSDTPRRSARTTERPPDKPRDEDGDSSRRTGRRHWKAGEFPGTPEDSRRPQRSPIKNVKKRLDARAEAKAWACTVTEALADRIDAKNWQEALQVFQMLKEQPFYHPKEGTFMKLLLLLGRSGQPSRARYLFDEMLQQGCQPTPELYTALIAAYCRNGLLDDAFCLLADMKASPVCQPDVYTYSTIIKACVDASRFDIVDAMYKDMADRSIAPNTVTQNIVLSGYGRAGRLDDMEKVLSAMLDSTTSKPDVWTMNIILSLFGNRGQIESMEKWYEKFRGYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATFNNVIEAFADAGDAKNMEHTFNQMRAEGMKPDTKTFCCLINGFGNAGLFHKVVGMVKLAERLGVPMDTTFHNAVLMACVKAEDLMEMERVFMRMKQIQCVPDATTYSILVGAYRKEGMTDKIYVLQQENPTLVPTDLVHV >OGLUM03G14970.1 pep chromosome:ALNU02000000:3:11428222:11428716:1 gene:OGLUM03G14970 transcript:OGLUM03G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPIAAPGIEFTAPPPGRDHTQDCSLTYAQWKEVDASTRHRLSLDARAALGYTAQRIFARFMAITKLTLHCAQGSGTDSLSDDGARHVVAVLPSERLARLKLRGLRQLSDDGFASLAGATPVIRKLSVASISFGPKAFVAVLRSCPLLEDLSVKRLRGLL >OGLUM03G14980.1 pep chromosome:ALNU02000000:3:11431826:11434339:1 gene:OGLUM03G14980 transcript:OGLUM03G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16230) TAIR;Acc:AT4G16230] MVLIRLTMLIFIAILLAGRTCVLVVAGGGMPATFVFGDSLVDAGNNNYLVSLSKANYPPNGIDFDGHQPTGRYTNGRTIVDILGQEMSGGFVPPYLAPETAGDVLLKGVNYASGGGGILNQTGSIFGGRINLDAQIDNYANNRHELIKRHGELEAVTLLRGALFSVTMGSNDFINNYLTPIFGVPERAVTPPEVFVDALISKYREQLIRLYLLDARKIVVANVGPIGCIPYLRDTTPTVGTACAEFPNQLARNFNRKLRGLVDELSANLTGSRFLYADVYRVFSDIIANYKSHGFEVADSACCYVSGRFGGLLPCGPTSQYCADRSKYVFWDPYHPSDAANALIARRIIDGEPADIFPINVRQLITS >OGLUM03G14990.1 pep chromosome:ALNU02000000:3:11440968:11443686:1 gene:OGLUM03G14990 transcript:OGLUM03G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase/isomerase A [Source:Projected from Arabidopsis thaliana (AT4G16210) TAIR;Acc:AT4G16210] MLYFLTPKTNDTSRVTSLININRYLPICPPPPPKVGSTCSIAVSKGLLPKKRDTSTRYSHPHSRRSSVYQTEKLVNPTPPDPMAATSPDSGDLILVEPAKPGSRVAVVTINRPKALNALTRPMMVSLAAAFRRLDADDGVAAVVLAGRGRAFCSGVDLTAAEEVFKGDVKDPAADPVVQMERCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFIDTHAKFGIFPSWGLSQKLSRVIGPNRAREVSLTCMPITAEMAEKWGLVNHIVDDTQVLSKAIEVCEAIARNNRNLVVLYKSVINDGLQLDLEHARALEKERAHDYYNGMTKEQFASMQKFIQGRSSKPPSKL >OGLUM03G15000.1 pep chromosome:ALNU02000000:3:11443975:11453557:1 gene:OGLUM03G15000 transcript:OGLUM03G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVAVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTAREFMALNILSLQSEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >OGLUM03G15000.2 pep chromosome:ALNU02000000:3:11443975:11453557:1 gene:OGLUM03G15000 transcript:OGLUM03G15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLRLLLLLAVAVATSAAARREAFRRDPGHPQWHHGAFHDVEDSVRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHRLEEFLKMSFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMVPAGTARESEYGREFPLFEVDATMVEPVFQRLYSFIFDMEPGYSSTEMDRPAPVAIFVVNFDKVRMDPRNNETDLDSLMYGAIGRLTEQELKKQEADYIYRYRYNGGGATQVWLSSGRFVVIDLSAGPCTYGKIETEEGSVSYRSLPRLLNIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDVRFETVDMAMRLLVPIIVLQNHNRYNILQAGHNYSIDVQAIEREVKRMVHAGQEVIIISGSHALHQHEKLAVAVSKAMRSHSIHETKTDGRFHVRTKPYLDGAILREEMERSADVLSAGLLEVANPSLSSRFFLKQHWLNEQDDTHDSIKHKPIWESYMPRNKKEKRGTGKKKHGDLYRTYGTRVIPVFVLSLADVDAELLMEEENLVWTSKDVVIVLEHNNEKVPLSYVSETTRQFAFPSLAQRHILAGLASAVGGLSAPYERASHIHERPVVNWLWAAGCHPFGPFSNSSKISQILQDVALRTTIYAQVDAALHKIRDTSEFVQSFASEHLKTPLGEPVKGKQNKSNTELWVEKFYKKVTTMPEPFPHELVERLEEYLDRLEGQLVDLSSLLYDHRLVDAYQNSSDILQSTIFTQQYVERVLSAERDKMKCCTIEYNHPKQSSQAFVYGGILLAGFLVYSLVIFFSSPVR >OGLUM03G15010.1 pep chromosome:ALNU02000000:3:11453986:11455245:-1 gene:OGLUM03G15010 transcript:OGLUM03G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGGSDARVESMQRLLDAVPPGADDPYTIFRLPAAVRERHRDLYEPKLVSVGPYYHGRDGLGAAQRHKWRLLRDFLSRQSDDKAGLGAYVRAARAVEADARRCYAEGFDDVGADEFAEMLVLDGCFLLEFFLRKSEGQLAAPGGAKWAWQHMYHDVLLLENQIPFFVVERLHGVAFAGDDDGAADRDALLDIFCKAFAGDLPSSRVIRPPSDKTIHHLLHLHYECNVRNPAADSDKARNGGDAANGGASSLAIWKQPPVPSPRSSDGAIKGRMTSMIPPAAKMEEAGVTFKRKATPRDVFDVSFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARKLEGGNLATGFVALLGSLVNSRRDVEVLRRCGILHCMVTDEEAVAYFSHVVQYTTMDYDRHLLACLFRDIREHCHWNR >OGLUM03G15020.1 pep chromosome:ALNU02000000:3:11466546:11467067:1 gene:OGLUM03G15020 transcript:OGLUM03G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca2+-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT5G49480) TAIR;Acc:AT5G49480] MCPGGRYAGLDVPACAAAGDLRPAFDVLDADRDGRISREDLKSFYTSGAATSERFDDEDIAAMIAAADADNDGFVQYDEFERLLGRAAAGAGCRPAMEDVLRVMDRDGDGKVGFDDLKAYLGWAGMPAADEEIRAMIRVAGGGDGDGCVGLEELAIVLGCSPTNWTASSQTLH >OGLUM03G15030.1 pep chromosome:ALNU02000000:3:11470316:11470744:1 gene:OGLUM03G15030 transcript:OGLUM03G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGDGGALARRALETTGHLRGGHWRRRGSGEVGSRWRLVNPTRRSSSLLGEWVGVLETTPLRRSSHRASTGGGEAGAGAEELEEGGPGVTGAHRRPRRPPPPGHAPLPPATQSAASFASSAVGRLRPERVRERDNHGEGLG >OGLUM03G15040.1 pep chromosome:ALNU02000000:3:11472345:11474092:1 gene:OGLUM03G15040 transcript:OGLUM03G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKAFCPQYIKVSRKTTKNDIAAIYRSKLSVLKQTFSTTSFSFAVTSDNWASQHKRTSYLRIYYPTSCLVLEWIWKLALVFDENRSDRILSSIVKPMEEKFPKYFTSIPHLYCFALILDPRKKLEIAKVAMNSIGDAVGLDYSEAFQHVNDELYRVFHLYRTKLGGTPRVPEQTSQKKASKSSAVNLWKQYIGNDQASPSSENKSTWNPDSELNHYLVTNHTKHDPTLGGDDVDLLGWWKEKERTLPVLAHFARDILLVPASSVSSEQAFSVTGIIREKRTKTGWKQMNECNIVYMIKSLPMQWKTHWRKFALPKIMVVLIQSN >OGLUM03G15050.1 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.2 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.3 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGLVGSRRNILRYGSLGIAVSCLIYTTRNWKAMQFVSPKGLLNYFTGGNSSIFASNEGEQLTSRVQQIKKYLADFETGGSATYVPEFPRKLDWLNTAPLQFGRDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSLEIMMGLARMCYSSIHWVLSMLIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.4 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRTLTWFIVLSLHVILISDCHMFTIAYYTVSTSQNINIGKLKVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.5 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHNERTENTENISSLKPSTEILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRTLTWFIVLSLHVILISDCHMFTIAYYTVSTSQNINIGKLKVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSYPLCINEHFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.6 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRTLTWFIVLSLHVILISDCHMFTIAYYTVSTSQNINIGKLKVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSYPLCINEHFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15050.7 pep chromosome:ALNU02000000:3:11477120:11495694:1 gene:OGLUM03G15050 transcript:OGLUM03G15050.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G56500) TAIR;Acc:AT1G56500] MARHLALPGSFHRLLASRHYPPSSPAPPPRPLLLLPKTLIPPAAAAMEFPRRGRRDVAAASAPASSSPETEVAPGAWGKVSAVLFDMDGVLCNSEELSRLAGVDLFAEMGVDVTGDDFVPYMGTGEANFLGGVAKLKGVKDFNAESAKKRFFEIYLDKYAKPNAGIGFPGALDLVTECKNAGLKVAVASSADRIKVDANLAAAGLPLSLFDAIVSADAFENLKPAPDIFLAASKTLGVDTDECIVIEDALAGVQAAKAAEMRCIAVMTTLEEDALQQASPSLIRKNIGDISIRDILYGGSNAYHKILNGATNAESAPSTNSASSIDDSREGPCSLYLPKGCLIISRVEIVRYLLVMKDLKGRVVLLDFWTYCCINCMHVLPDLEFIEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVVIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEENKLLQNSSLPLALEKDKDSRLLASPLKFPGKLAIDVVTNLEGEFICQIGSSEEGLLDGTFDTASFNRPQGLAYNSKKNILYVADTENHALRLSKHWREMERKALITKEEVLNSPWDVCYDPSKETLYIAMAGQHQIWKHNTLDGVTEVLSGDGYERNLNGSSSIRVVNLKSGGSRSLAGGDPMIPENLFRFGDHDGTGSDVLLQHPLGVVYASDNQIYVADSYNHKIKRLDPVTRKVTTIAGTGRAGYKDGPALSAQLSEPAGLVEVGDGRLLVADTNNSTIRYIVLNEKGAEVRTLDLIGVQPPSPKPKTLKRLRRRLSADTDVINIDGGSSIEGYLSLAISVPDGYHFSKEARSKFDVDIEPADAIEIEPVNGFLNSGQASLKYRRKSSSSSTGRINCKVYYCKEDEVCLYQSVAFDVKFREEAVPSPAQITLSYSVVPRDTSSSAQLIAAGKNGKV >OGLUM03G15060.1 pep chromosome:ALNU02000000:3:11477937:11496185:-1 gene:OGLUM03G15060 transcript:OGLUM03G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGPKPWILKGTIGKSNLRWTGDCLFPEFDIEGNRLSCTNHIIKRLLKEAAAAATAVDPEGGGYDLQWRRLLPKVVAATCCGNGGGGDSRRQQIHQSRPQATTVTGGGGNCCGLRVRSMEEFMGEEFMGMFLLVHTDNSELHRNKQHYAINISHKHSDATIYSIGIKSMLRGLRLAITTIAKESQKISNGSGDTKPTTSREIPGNIMSTIGSY >OGLUM03G15070.1 pep chromosome:ALNU02000000:3:11498958:11500138:-1 gene:OGLUM03G15070 transcript:OGLUM03G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLVAAATLDPAWVDLRVEAGRSGAVIEAGGVQGAQATAAGGRGGGSGSRGGVAPVMVARGGAWRRGRRSPWRCGRRHGGQFGGVAEAGVWCCDRRRLREALCRRGGSLQLPPASWQRYSEAVGQMSVGDGRLPRGLTACETSWTIVWPSIRWLKPCRAFGRFDDDDAVGAVSVLEGVVMALSHLPHKSPGVNLAPASDERRWRYASCSPWGRRFGELSFLGVRRGLSLLGPLRSVVGDTLFGCFCG >OGLUM03G15080.1 pep chromosome:ALNU02000000:3:11506044:11506661:1 gene:OGLUM03G15080 transcript:OGLUM03G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGSVAAVEERGNSERKRKRGATGESEGSEAQPSKWRTRRVHEIYSSKLLDAIRLVRSGSPSSSAAAPPRSRAVREAADRALAVSARGRTRWSRAILASHRRRIQAARRARLREATSPPSRHPSSSSGKGPKAPALARKAKVLGRLVPGCRKLPFPALLAEASDYIAALEMQVRAMTALAEVLSTVSGSGSASSSGGSSSPA >OGLUM03G15090.1 pep chromosome:ALNU02000000:3:11516596:11520141:-1 gene:OGLUM03G15090 transcript:OGLUM03G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLSAFSTAATTTSPGLHAVPAFAPASTTSPPRGEEAEREEKKGREARSPGREDAGEIQAYSTTTAVAATSPRQPSRRPSRCRNREERQPRGVSLLQPSAATPASLLHHRTVSSPASVGLLHCRATLEEERRGEGPQAERGPPRHPSPSAAATTPSPSPRNGRGGTSERERERGGRSEVDGWIKMRLALWVVKKSRLGRILTKAFNGSACCT >OGLUM03G15100.1 pep chromosome:ALNU02000000:3:11530151:11530534:1 gene:OGLUM03G15100 transcript:OGLUM03G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPASPVLAGCCAPASLARCWAREKRRTEEAVSRADQEEEEDRRMKGRHSGKEAAAAARSMAGGSERGGVVEDPRLVKQVRELRRLVPCRREPCGLGELFQDAASHIEDLQVQVKLMRMLLEKLSEE >OGLUM03G15110.1 pep chromosome:ALNU02000000:3:11534742:11537222:-1 gene:OGLUM03G15110 transcript:OGLUM03G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRTRHCLVLLLVSLALLPLGMATDPIGGYCSESGTSDAEINGKTRRRSINSVVSDLVAKAASNGGFATSSAGKGNNVFYGLAQCRGDVSASDCKACLVEAANYTLSFCHYASDSRMWYDYCFMRYKNANFIGQVDTRVDASVRIRKEENMDNPKTFQKAVAKTMDKAIAQAVATAGSAALGRVKEKYMTFVNIYGLSQCTRDLAPLACAQCLSTAVSNFGDICNAAEGCQIEYSTCWVRYEIYPFYFPLKTDGRATTDMTKYTKVVVH >OGLUM03G15120.1 pep chromosome:ALNU02000000:3:11546651:11552754:1 gene:OGLUM03G15120 transcript:OGLUM03G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21800) TAIR;Acc:AT4G21800] MDVDSDPAAEGKPTQMDLEDQTDAKGKGKSEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEEEALEDFRISEDDEDEDEDEGEDEEVEHFGL >OGLUM03G15120.2 pep chromosome:ALNU02000000:3:11546651:11549495:1 gene:OGLUM03G15120 transcript:OGLUM03G15120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21800) TAIR;Acc:AT4G21800] MDVDSDPAAEGKPTQMDLEDQTDAKGKGKSEELADSIGSLSIGPERTNFKKKPVIIIVIGMAGTGKTTFMHRLVCHTQASNMRGYVLNLDPAVMTLPFGANIDIRDTVRYKEVMKEYGLGPNGGILTSLNLFSTKFDEVISVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPMILTFNKVDVAKHEFALEWMEDFEAFQTALDSDSSYSSTFTRSLSLVLDEFYKNLRSVGVSAVSGAGVNSFFEAIEASAKEYMENYRADLDKRIAEKERLEAERRKENMERLQRDMENSKGQTVVLSTGLKDKNRATDMMDDADEEEEEALEDFRISEDDEDEDEDEGEDEEVEHFGL >OGLUM03G15130.1 pep chromosome:ALNU02000000:3:11549592:11555897:-1 gene:OGLUM03G15130 transcript:OGLUM03G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYVVGCGLWSLNLTSHNHIPKAGQMLSPLPLPMQRRGVAALPSVVAPPSLRVASLSLALPSAASNRSCPVHAAVDEVDSVGVGGVPIGVDDARCCCCCIGTPCEWWKCWDGDKKENYSRSHEDVTRVTNKNITEEKEKEMTMELAAISNTSSSLSNLLCDICLPLPRGARLRHLSLASPTSRRHSATSSTVAVARSVNHREPACSIATAAPLADALGATARFATVACALGREARVVRRRPRHIPAAVALDVAMLHLLRLLVVVLLETVEEDKGRTSPQLILLESKRSSRIWRSSGDDSDSAPTGGSNE >OGLUM03G15140.1 pep chromosome:ALNU02000000:3:11555853:11558888:1 gene:OGLUM03G15140 transcript:OGLUM03G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRILSGGGGVAALRAARAVKETTGIVGLEVVPNAREVLVGLYTRTLKEIEAVPKDEGYRKAVESFTRHRLQICQEEDDWKRIEDRIGCGQVEELIEEAQDELKLIAKMIEWDPWGVPDDYECEVIEDDTLIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGESPPQVKP >OGLUM03G15150.1 pep chromosome:ALNU02000000:3:11559417:11564232:-1 gene:OGLUM03G15150 transcript:OGLUM03G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTNGAISLTFPPMGPLPADALIYPFDGLSYDDFVLPVAAAPQHPLPVAVADPAPLLLLPPPSSCTCNGASSGMGAVAPRTLALGATTDGSVMTPTSWGSDGGGGGSSSARAVRSPSPVLPLVQGTGQRTSCYRGVTRHRWTGRYEAHLWDNTCRRDGQKRKGRQGGYDIEDKAARAYDLAALKYWGASATTNFPKESYVKEIEEMQKMSKQELVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDVAALKFRGANAVTNFEPSRYNLEAISQSDLPISVSGRRHNSSSNSNNPAPEAGGQITLMSSPPISQQSSSAPPYLLHNLLQFQPCDPPYAPPPPPPPPPQALPLPGSYNFAEPVGFYWPYGDGEEQKVQLNSNMVGMASGGFLHLANAAN >OGLUM03G15160.1 pep chromosome:ALNU02000000:3:11572276:11573069:-1 gene:OGLUM03G15160 transcript:OGLUM03G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVMSVDGSRRVGVICIATRGGGARSDTTGSCLSVSLVNGARDGGSRVVSPFSMVMMAGVGQNGCARLLLVGRAGIARLILQPQVLVVLDVKRGGLSISSSISSMSGVHGGVTCGNTIALRGSGHLAICSRKLVLLRFGRELLGKPLLSPIKPKKNLRLNIKP >OGLUM03G15170.1 pep chromosome:ALNU02000000:3:11574978:11580072:-1 gene:OGLUM03G15170 transcript:OGLUM03G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRGGRQSGSSATRMASRARPGFPVAPPPPMGPPPPPPMPPVPVMYLRGVPPPPPWLPQHLIICGLDPAAAERTDAFQSKSLLNFISRTGVLPSPEEELKRQVVVRELDKIVMGWAKRVAYEQREQYWNTTATVLTFGSYALGAYGPESDIDAVCVGPCIASLQHHFFIVLRQMLEERPEVSDLHSIESAKAIHAFDPRLLAAVNEPSWRCLSGVRVNRQIMQLLPNIKKFQILLRCLKLWARKRGLHCHLLGFFAGIHLAILAAFVCIMHPHATLSSLFNSFFDIFSHWHWPLPVSLLDQPTPWRPHCCSFMPIVMPCSPPEFCASSITRSTFNKIKEELQRGFALTKGDRNGDINWTELFAPFPYTVRYKHFLRIVLSAPVAEELRDWVGWVKSRFRNLLLKLESIGVDCDPDPSEQADHSMIEPNVVFFWGLMYRTSTNICIDSVKEDFMKSVTNDIYGKEKCTHSDITMSIVWPTHLPKCVYAHSIYSQNRQNPRQFMMGNQLMNQDCNAVR >OGLUM03G15180.1 pep chromosome:ALNU02000000:3:11585785:11590598:1 gene:OGLUM03G15180 transcript:OGLUM03G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6C9] MSAPPSLKAPAAAAASLVAAAAAHRATAAAAAPSGASRVPPRRLRCSASGAAAVEVRAPMDWATRSLEEMQRAEDFDSFCLMGLSPLDGRYERFTRDLKPFFSEFGLIRYRVIVEVKWLLKLSQIPEINEVPPFSKEAQLFLDAIIQDFSVADAKEVKKIEKTTNHDVKAVEYFLKQKCSSNPEIAKVLEFFHFGCTSEDINNLSHALALKEGVNTVMFPVMMDICKAMCSLATQNSTIPMLSRTHGQPASPTTLGKEMANFAARLSSIGNSFSEVKILGKFAGAVGNYNADVVAYPEVDWPKVAEDFVKSLGLEFNPYVTQIEPHDYISKLFNLFVQFNNVLTDFDRDMWTYISLGYFKQIVKAGEVGSSTMPHKVNPIDFENSDGNLTIANGTLSSLSMKLPISRMQRDLTDSTVLRNLGVGLGHSLLAYKATLRGINKVQVNESRLSEDLDQTWEVLAEPIQTVMRRYGIPEPYEKLKEMTRGQAVTKDSIRQFIEGLDLPEAARSSLLKLTPHSYIGEAEFLARNIEEVVDLKSGFKIE >OGLUM03G15190.1 pep chromosome:ALNU02000000:3:11590188:11593474:-1 gene:OGLUM03G15190 transcript:OGLUM03G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLVSMLRWPPDLGVPSLAALLPSSAAGHYAGAPALRGWHWQHWWPERLGSAVRRWPELVQDFSPVVDAVLWGLVTAIESVALFSMLNSSDEASPSSPAHALLPIDGDDPHGADGRDRERKEMKELTCGSRRWESVTWSLLSLTCQDCKLQRRSRSLTPFGWLVRSREEFTARGVPSVPCTTNPFSARVG >OGLUM03G15200.1 pep chromosome:ALNU02000000:3:11599176:11599616:-1 gene:OGLUM03G15200 transcript:OGLUM03G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWLIPLPSEDHHTPIDKLAATVLSHPLQSCRFAACKHRPPMPIPPPQRMTAATKSSSSTYGSGGGGGNGGGVLQRRQRRRDEAAPSGEAELVEDTCAGMSSSAMTTASLAHPSTELGGSKLKLYSMSSGLASIRLWSVRPASTS >OGLUM03G15210.1 pep chromosome:ALNU02000000:3:11607983:11614132:1 gene:OGLUM03G15210 transcript:OGLUM03G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINARALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTTRNFIRTVTDIRGDWLIDVAPHYYDLSNFPSCEAKRVLERLYNKRERERAANRN >OGLUM03G15210.2 pep chromosome:ALNU02000000:3:11607983:11614132:1 gene:OGLUM03G15210 transcript:OGLUM03G15210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSGMSNKTSCRHMVCQLNYIVRNNNTRTILLASIAHCRKLTSFLS >OGLUM03G15210.3 pep chromosome:ALNU02000000:3:11607983:11610361:1 gene:OGLUM03G15210 transcript:OGLUM03G15210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDETSVSAKLGRAATTNGSAAAAAANPSINRWTGRPYSARYLEILEKRRTLPVWQQKDDFLAVLRDNQTLILVGETGSGKTTQIPQFVLEAEGLSNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQTYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHMCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPSREGGPAGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNDDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNLTPLGETMSEFPLDPQMSKMLVISPKYNCSNEILSISAMLSEL >OGLUM03G15220.1 pep chromosome:ALNU02000000:3:11612760:11617471:-1 gene:OGLUM03G15220 transcript:OGLUM03G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acetyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G06550) TAIR;Acc:AT3G06550] MAEAVASAGGIAMAASTSLTPGQVSALLGFLWVFTAWAYAEVLYYRKNAASIKAHSDVNLAVMDSSSNKGEDQVMLLEEGVQAPVQKPVYASLTSQMFRSEFGGHLLYFYICDRTNLLGESAKNYSRDMFLFLYFLLIIVAAMTSFKVHQDKLSFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFFVAFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIGSVMAIKFVACFLVVILIWEIPGVFEIVWSPFTFLLGYTDPSKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEEAETKTKLYIKALIVSIALTAGCLWYEYIYKLDKITYNKYHPYTSWIPITSKVPNGQPKWLLTIIPNYPMLNFMLTTAIYVAVSHRLFELTNTLKIAFVPSRDNKRLSYNFVAGIAISVALYSVSFLIVGVAGY >OGLUM03G15230.1 pep chromosome:ALNU02000000:3:11622618:11631936:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15230.2 pep chromosome:ALNU02000000:3:11622618:11628756:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15230.3 pep chromosome:ALNU02000000:3:11622618:11628798:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15230.4 pep chromosome:ALNU02000000:3:11622618:11631936:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15230.5 pep chromosome:ALNU02000000:3:11622618:11625689:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15230.6 pep chromosome:ALNU02000000:3:11622618:11625690:1 gene:OGLUM03G15230 transcript:OGLUM03G15230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVTLLDAHLARCSSARHLLQIHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHVVHRPNAFSCNMVLKAAREHGLPHLCLPLYASMSAAPDCYTHTILAAACATRRAIEEGRQVHCHAVRHGFGRNLYLANALMSMYSACGCLGDARKVFDAGPVWDAVSWNTILAAYVQAEDVDQAVGVFARMPERGAAAVSSMVSLFGRRGMVDEARKVFDGVERKDVFTWTAMISCFQRNGKFAEALALFSDMRGEGWPVDEAVMVCVVAACARLEVTRNGEMCHGLAFRAGLGSRLNVQNALIHMYSSFLNVVAARRLFDSGQCLDQFSWNSMIAGYLKNGSVKDAKELFTVMPDKDNVSWTTMISGCVQNDQSSEALTIFNNMQAQGIKPDEVTLVSVISACTNMSSLEQGKSMHEYIREHQYTITVILGTSLIDMYMKCGCLESALEVFDTMEERGTPCWNAVIVGLAMNGLVTKSLDMFSEMESSSTATPNEITFTGVLSACRHAGLVEEGQHFFKLMQHKYHIIPNIRHYGCMVDLLGRAGYVKEAENLIESMPMSPDVPAWGALLGSCWKHGDNEVGERVGRKLVNLDPHHDGFHTMLSNIYASEGMWQHVKDLRGSMKQWHVPKIPGSLVKGGGKNKEARMACYL >OGLUM03G15240.1 pep chromosome:ALNU02000000:3:11636059:11639337:1 gene:OGLUM03G15240 transcript:OGLUM03G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESRGSIAFFASYRPPVALDIFCCPAPPSSPKDELHLTDGDSYNYNCQPIPPAALKTVVERLGVSRGDAVEGDIDSGRITGLVFVSEREHNLETLHIALRFNDDGEVQVFSLADAYGGDGLFSGARMEDSGCIAGGYEVDGRTVDHYLVYVSTKEPVRERRSPWNVAYKTNLRTGETERLTPPGTFDISPSVSPSGKKVAVASYQGKKWDGEIKNLNTNIYVMSLENPSQDRERVIENGGWPSWGSEDVIFFHRKDGDGENSCWGVFRHTLSTGETVRVTPAAFDAVTPAAIDETRVAVAAIRQKSEFSDVRVEAQYRHIEVFDMRSPEQPMQITRNTRPKADHFNPFVMDGGKFIGYHRCKSELLQHGDDLPRKFHKLQSPHEDVGVFRVSGVFPTFSKDGSQLAFVDNEFKSVWLADSQGMRVVFKTDGPDSVFSPLWNSKKDILYVCMGPSFKASETLEIHSIHNVSTGDRKSRQLTFGGFNNAFPSTNPDGTKFVFRSTRDGGAKYSKNLYIMEDADAGEGEDGSQSTVTRMTAGEWTDTHCQWSPNGKWIVFSSNRDRPADAPERDHGLDPGYFAVYLMDVASRSVVRVIRSGYDVAGHVNHPVFSPDGRSIAVTSDLAAVTADPMSLPLFLHSVRPYGDIFTVDIDPDDMARNEDVEGFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVVEDEHVPSCPYAHPDGGESWHMTGQICIPKRHC >OGLUM03G15250.1 pep chromosome:ALNU02000000:3:11640035:11640340:1 gene:OGLUM03G15250 transcript:OGLUM03G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSMPPALTGGSAPPSSCSLCQAIVLLPHLSISVILTTRAKDHSRSFRVEMGNVSDHSSSTASCGNNLPIYSLDSFEYHIDEEVEAIVSCAMPMSTKTAVL >OGLUM03G15260.1 pep chromosome:ALNU02000000:3:11641003:11642592:1 gene:OGLUM03G15260 transcript:OGLUM03G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NFU domain protein 1 [Source:Projected from Arabidopsis thaliana (AT4G01940) TAIR;Acc:AT4G01940] MEASLTVAGAGAAALSLRPQIRLRITQATPLMPPRRLQSGPSKIQTSGARAHLAAAPASTPPAAGGGLYSAETYELTAENVDRVLDDVRPYLIADGGDVTVASVEDGVISLKLEGACGSCPSSTTTMKMGIERVLKEKFGDAVKDIRQVFDDDQQPAETTPQAVNSHLDILRPAIANYGGSVEVVAVDGEDCLVRYEGPESIGSGIKAAIKEKFPDITNVVFLQ >OGLUM03G15270.1 pep chromosome:ALNU02000000:3:11651312:11657403:-1 gene:OGLUM03G15270 transcript:OGLUM03G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAISHLRRGAPRHARALLYLSTRLFSSSSAAGVAPLAAVAASARRLLSTSVDSGASSTGESYKPPLFDPFRAASLASSAPPLESPPIEELPDDATPPPEEEPGLPAPEKDPVATACQHELEGLKAWVETVRSRKESTEEKEAWSLLGRSVVSYCGTAVGTVAANDPSTANQMLNYDQVFIRDFVPSAIAFLLKGEGDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSIPLDGNSEAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQSLFYSALRCAREMVSVNDGSNSLIRAINYRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIISSLATQRQAEGILNLIEAKWEDIIANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLAQRAIEVAEKRLSEDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPELASILICEEDLELLEGCACSVNKSARTKCSRRAARSQDQLHTVVGEQKSIQQNLEDVKKKKLKYDAKDMDH >OGLUM03G15280.1 pep chromosome:ALNU02000000:3:11674899:11680108:1 gene:OGLUM03G15280 transcript:OGLUM03G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVREERRRGWREVGGSYMSYTDLYRDRPRAVAWPKSTEGEEGEANLAEMELSGDDAIGSGGRKRKRHVEPSLEYPCVSRLRHRRLLSFLSIHDLGATFDALAEETDVLFRVEQLQRLVRQGRWADGIRYVWRFVPSRHLLGDAGRVFVDFIHIHEAIDSIVTGNQYGANVAARYDRHIKDYPNSYPGIVKIARILLTILRSLPLRASVDWHLVNLKAAEIVKELVDQTPEFNDLLKLPSCPAKPHNILPIGPCFHRRRHVKNEGRIPASDIARFYLHKKRGLPSSNLGQETSYPGFTARNNPTKPEKTAHQEINPTSQQITGEFVQDTSSIFQEYYSLVEGRVRMIGSNMKSFNSDSGDGNTPVEISN >OGLUM03G15280.2 pep chromosome:ALNU02000000:3:11674899:11680108:1 gene:OGLUM03G15280 transcript:OGLUM03G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVREERRRGWREVGGSYMSYTDLYRDRPRAVAWPKSTEGEEGEANLAEMELSGDDAIGSGGRKRKRHVEPSLEYPCVSRLRHRRLLSFLSIHDLGATFDALAEETDVLFRVEQLQRLVRQGRWADGIRYVWRFVPSRHLLGDAGRVFVDFIHIHEAIDSIVTGNQYGANVAARYDRHIKDYPNSYPGIVKIARILLTILRSLPLRASVDWHLVNLKAAEIVKELVDQTPEFNDLLKLPSCPAKPHNILPIGPCFHRRRHVKNEGRIPASDIARFYLHKKRGARPYLIVMMCPLIITATGHQGFTARNNPTKPEKTAHQEINPTSQQITGEFVQDTSSIFQEYYSLVEGRVRMIGSNMKSFNSDSGDGNTPVEISN >OGLUM03G15280.3 pep chromosome:ALNU02000000:3:11674899:11680108:1 gene:OGLUM03G15280 transcript:OGLUM03G15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVREERRRGWREVGGSYMSYTDLYRDRPRAVAWPKSTEGEEGEANLAEMELSGDDAIGSGGRKRKRHVEPSLEYPCVSRLRHRRLLSFLSIHDLGATFDALAEETDVLFRVEQLQRLVRQGRWADGIRYVWRFVPSRHLLGDAGRVFVDFIHIHEAIDSIVTGNQYGANVAARYDRHIKDYPNSYPGIVKIARILLTILRSLPLRASVDWHLVNLKAAEIVKELVDQTPEFNDLLKLPSCPAKPHNILPIGPCFHRRRHVKNEGRIPASDIARFYLHKKRGFNSDSGDGNTPVEISN >OGLUM03G15290.1 pep chromosome:ALNU02000000:3:11692136:11693586:-1 gene:OGLUM03G15290 transcript:OGLUM03G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILESDGHEAACKYYESRIVVLKNISSEFVNHLNISEEIDAIYADITNKKLKQPPNLELLIQDYLMLYFPDQFRHPMRYLWQFALRTNTEKSRGSSTKKQHPNFSHRGSAQTLFRLLWSMRTQERDAQVWWPTSLQIPSFIPQQYPDQQVLEQHHYLPQLAPNSFNVPLEQLFPLQAFDQQCYLPYHGVVPSQDKAPLDAQVPQVEAPLQAQVRQVVSLDAQVPQLHAQVDQEAPLHDQLLEQPPAPTN >OGLUM03G15300.1 pep chromosome:ALNU02000000:3:11696497:11699430:-1 gene:OGLUM03G15300 transcript:OGLUM03G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIKAHSGGSCRRAGWTPPPPRLRRRMTLTRTRRKKKRRRKSKLPAKWRHTLLAARERLIPAVVLAESRKKLGHHPPAPPFEIINHPGRSSSSFVRQSFRESMGMGYHTAVQCVARTFSRYKEYLVDYYNRNQKKPNAAADLTGDDDSLTALANKCAEMEGHLMFLFKFRAGVFAENVEIKINRTSDKITKRARETTNALESEFPAAAVAFKCITKEAELMSNAAGKLISDKSDVKTCSGKDVLKNDILDDWIMISPKKVETVG >OGLUM03G15310.1 pep chromosome:ALNU02000000:3:11708391:11709095:1 gene:OGLUM03G15310 transcript:OGLUM03G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGRSAGEKESGTTTAAAPPAETTRWSSLAATTASLVAVGLGGAALLVWWPAETTRLVAIGLGGAALLVWWVLAFHPANARLWMVPAGLVLLGTPILAWLSLCLYAGPCTAVRDAPVHMHLHIHVHYYFDFFVNSAASCSVLMLEGEGHVALIWTYGIYDLVYTVAFQDYFSVCVIW >OGLUM03G15320.1 pep chromosome:ALNU02000000:3:11713303:11716600:-1 gene:OGLUM03G15320 transcript:OGLUM03G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPGFGTSSSMGSASHPARIEYNTSSNVSSQYIYEQGLYYPATNGYAYYAGFEPPVEWSDHTNFVGVDGQNLQLSNENLPYVYCTPGYGFSYYSPDQYTYMPGMVMGVDGSFVGSQQYFASPYQLPGSPSGFFPMSIQPTTDFSSTVSAEPPLLSTGTGTSAVASRLANTSMKKKYQMSGNTAPASQTAPSGSPAVGRPQQAYENESTNKPSNPPDANMSRRDKSSTSLVTVPVDASSTDKDGKSDEGNQSKEHVQSIQVTSGPMSGESGQGKATSNSTLEKIMIHPDQYNKVHFPVDHPDAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKRLDAAYSDVQGRALGKCPIFLFFSVNASGQFCGVAEMVGPVDFHKDMDFWQQDKWSGSFPVKWHLVKDVPNSTFRHIILENNENKPVTNSRDTQEIPFKSGTNMLKLFKDGPLTTSILDDFSFYEGRQKAMLEEKCRRSGRNFDVRMYVPAFIAKSSVVAVGEPSEVGKGQFSSKDLHSGDVEQDNGACEQPDKLNQMKDILATEALKTDGGAFVGQLEHAKTNQGSLDARVDHQSEHCSCSNPPENGERKPDSLSELVKLNGKSQRDSEAQPGINLSEPNYSSVKKGLPEEFGGQNPSNFMKEGGAGTVEDRKSTKFVTKSQGFPSSRVNKEAKGNGNEMARITTTGVVKVGSVHIKVHVAGEPSSEIIGDENGLP >OGLUM03G15330.1 pep chromosome:ALNU02000000:3:11720971:11724189:-1 gene:OGLUM03G15330 transcript:OGLUM03G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 9 [Source:Projected from Arabidopsis thaliana (AT5G02270) TAIR;Acc:AT5G02270] MAPTVEISHLSFTYPGIDGRPPPGAPPLIENVCFSLDAGHRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVVGVDPQRRDELILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARANLLTYLKKECEERGATIIYATHIFDGLDDWPTHIVYIARGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVTEGSRVIGDPAARAVNNGWAAGRLASTVAGEENFIFSSNSDVNIILYGGHLLFLPVTWQTRLQECHNK >OGLUM03G15340.1 pep chromosome:ALNU02000000:3:11736768:11738081:-1 gene:OGLUM03G15340 transcript:OGLUM03G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6F4] MAPPQLAGKMTAKAAAAVKPATRAYVTFLAGDGDYWKGVVGLAKGLRKVGSSYPLVVAVLPDVPESHRRILISQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDVLFELPKGHFYAVMDCFCEKTWSHTPQYQIGYCQQCPDKVAWPTAELGPPPALYFNAGMFVHEPSTATAKSLLDTLRVTTPTPFAEQDFLNMFFREQYKPIPLIYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVKKWWDVYNDGSLDFKGLPPVAAADDADEVEAAAKKPLRAALAEARTVKYVTAPSAA >OGLUM03G15350.1 pep chromosome:ALNU02000000:3:11744782:11745033:1 gene:OGLUM03G15350 transcript:OGLUM03G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERSIDVRGRSEIWMGSRKFFLDLYRNASREVNSDILFVVPDHDCDFVYCRACKEKSISGLAEAEGTTA >OGLUM03G15360.1 pep chromosome:ALNU02000000:3:11746245:11746631:-1 gene:OGLUM03G15360 transcript:OGLUM03G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQDPLPLASWIPPPESSAVTVEEILFHPNSQASAAPRSAAAEGIRLLLTLNLAPALKPPPSRAASAPRVATAATREVFRRTYRPLLLVTAANKTTFTKSCHVLAKDTPTMLRPPPSSARRDAADDT >OGLUM03G15370.1 pep chromosome:ALNU02000000:3:11747888:11752532:1 gene:OGLUM03G15370 transcript:OGLUM03G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MASLAQHEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >OGLUM03G15370.2 pep chromosome:ALNU02000000:3:11747711:11752532:1 gene:OGLUM03G15370 transcript:OGLUM03G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S1 [Source:Projected from Arabidopsis thaliana (AT5G30510) TAIR;Acc:AT5G30510] MASLAQHVAGLASPPLSGAPRRRPAAPTRPSALVCGTYALTKEERERERMCQLFDEASERCRTAPMEGVSFSPEDLDSAVESTDIDTDIGSLIKGTVFMTTSNGAYVDIQSKSTAFLPLDEACLLDVNHIEEAGIRAGLVEEFMIIDENPGDETLILSLQAIQQDLAWERCRQLQAEDVVVTGKVIGGNKGGVVALVEGLKGFVPFSQVSSKSTAEELLDKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVLGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEGSGEGQTTDE >OGLUM03G15390.1 pep chromosome:ALNU02000000:3:11815604:11819690:1 gene:OGLUM03G15390 transcript:OGLUM03G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRSQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHTFEPKDIVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTVNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTSVGRWEDASKIRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYWIQVSKRPFDREVHHPLQANCIWSIVLSRYAEPFTV >OGLUM03G15390.2 pep chromosome:ALNU02000000:3:11815604:11819690:1 gene:OGLUM03G15390 transcript:OGLUM03G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPPVRFLPSSAAPAVVAANARIAHLARAGNIEGARAAFEAMPLRTTASYNALLAGYFRNRLPDAALGLFRRMPSRDLASYNALISGLSLRSQTLPDAAAALASIPFPPSVVSFTSLLRGYVRHGLLADAIRLFQQMPERNHVSYTVLLGGLLDAGRVNEARRLFDEMPDRDVVAWTAMLSGYCQAGRITEARALFDEMPKRNVVSWTAMISGYAQNGEVNLARKLFEVMPERNEVSWTAMLVGYIQAGHVEDAAELFNAMPEHPVAACNAMMVGFGQRGMVDAAKTVFEKMRERDDGTWSAMIKAYEQNEFLMEALSTFREMLWRGVRPNYPSVISILTVCAALAVLDYGREVHAAMLRCSFDMDVFAVSALITMYIKCGNLDKAKRVFHTFEPKDIVMWNSMITGYAQHGLGEQALGIFHDMRLAGMSPDGITYIGALTACSYTGKVKEGREIFNSMTVNSSIRPGAEHYSCMVDLLGRSGLVEEAFDLIKNMPVEPDAVIWGALMGACRMHRNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTSVGRWEDASKIRKFISSRNLNKSPGCSWIEYDKRVHLFTSGDVLAHPEHAAILRILEKLDGLLMESGYSADGSFVLHDIDEEQKSHSLRYHSERQAVAYGLLKIPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYWIQVSKRPFDREVHHPLQANCIWY >OGLUM03G15400.1 pep chromosome:ALNU02000000:3:11819935:11824122:-1 gene:OGLUM03G15400 transcript:OGLUM03G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPVKNSPTQTPNPSGGGGAWRRNGVVNGGAGEALRRSGAARRSHGSAALRRLDTRAAARHTRGCATNSARAAAWHGLQFSSSNSRTTKEKTSNRSSASAPRVRLAAACVPHRPASAAPLRRHACASPLRRRARAVCCAAARVPHSRASVVPRRSTAEPRLRRRSPRRRAAKLRLHR >OGLUM03G15410.1 pep chromosome:ALNU02000000:3:11825280:11826626:1 gene:OGLUM03G15410 transcript:OGLUM03G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEKMLLLLSLLAVIAVVARCDAAALRLHATHADAGRGLSTRELLHRMAARSKARSARLLSGRAASARVDPGSYTDGVPDTEYLVHMAIGTPPQPVQLILDTGSDLTWTQCAPCVSCFRQSLPRFNPSRSMTFSVLPCDLRICRDLTWSSCGEQSWGNGICVYAYAYADHSITTGHLDSDTFTFASADHAIGGASVPDLTFGCGLFNNGIFVSNETGIAGFSRGALSMPAQLKVDNFSYCFTAITGSEPSPVFLGVPPNLYSDAAGGGHGVVQSTALIRYHSSQLKAYYISLKGVTVGTTRLPIPESVFALKEDGTGGTIVDSGTGMTMLPEAVYNLVCDAFVAQTKLTVHNSTSSLSQLCFSVPPGAKPDVPALVLHFEGATLDLPRENYMFEIEETGGRRLTCLAINAGEDLSVIGNFQQQNMHVLYDLANDMLSFVPARCNKL >OGLUM03G15420.1 pep chromosome:ALNU02000000:3:11830732:11831886:1 gene:OGLUM03G15420 transcript:OGLUM03G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSKARAPRLLSGSSATAPVSPGAYDDGVPMTEYLLHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYYDASRSSTFALPSCDSTQCKLDPSVTMCVNQTVQTCAYSYSYGDKSATIGFLDVETFSFVAGASVPGVVFGCGLNNTGIFRSNETGIAGFGRGPLSLPSQLKVGNFSHCFTAVSGRKPSTVLFDLPADLYKNGRGPVQTTPLIKNPAHPTFYYLSLKGITVGSTRLPVPESAFALKNGTGGTIIDSGTAFTSLPPRVYRLVRDEFAAHVKLPVVAGNETGPLLCFSAPPRGKPHVPKLVLHFEGATMHLPRENYVFEAKDAGNRSICLAIIEGEMTIIGNFQQQNMHVLYDLKNSKLSFVRAKCDKL >OGLUM03G15430.1 pep chromosome:ALNU02000000:3:11831894:11838044:-1 gene:OGLUM03G15430 transcript:OGLUM03G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTGPQLMCSGSLRLVMTLFEWPDERGVPWREVLCAHARRRDFGEARSERNPLVVVKLLIGGRDAVQQPAGAQPTGRGVPWSRPRRPSLSQINTYRLVKGDGNASWPGRDD >OGLUM03G15440.1 pep chromosome:ALNU02000000:3:11849494:11865114:1 gene:OGLUM03G15440 transcript:OGLUM03G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPIQTRRLALWIALLAALYVSLCNAAATVRMQITHADAGCGLAGRELMQRMALRSRARAARLLSSSASAPVSPGAYDGAPLTEYLVHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYFDTSRSSTNALLPCDSTVAAKPDVPKLVLHFEGATMDLPRENYVFEDAGNSMICLVINEGNEMTIIGNFQQQNMHLMKQPIQTRRLALWIALLAALYVSLCNAAATVRMQITHADAGCGLAGRELMQRMALRSRARAARLLSSSASAPVSPGAYDGAPLTEYLVHLAIGTPPQPVQLTLDTGSDLVWTQCQPCAVCFNQSLPYFDTSRSSTNALLPCDSTQCKLDPSVTGCLNLNQTVQTCAYYSSYGDNSVTIGLLEADTFTFVAGTSLPGVTFGCGLNNTGVFNSNETGIAGFGRGPLSLPSQLKVGNFSHCFTTITEAVPSTVLLDLPADLFSNGQGAVQTTPLIQDAKNPTFYYLSLKGITVGSTRLPVPESAFALTNGTGGTIIDSGTSITSLPPQVYQVVRDEFAAQIKLPMVPGNTTDPYFCFSAPSQAKPDVPKLVLHFEGATMDLPRENYVFEIPDDAGNSILCLAIIERGEVTTIGNFQQQNMHVLYDLQNSKLSFVPAQCDKL >OGLUM03G15450.1 pep chromosome:ALNU02000000:3:11865258:11871733:-1 gene:OGLUM03G15450 transcript:OGLUM03G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT1G09420) TAIR;Acc:AT1G09420] MAGLAVSASPAPAPASFRPAAAASRFGPVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRKNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIAPPGAAEAEKCILHGKEFDTASNRD >OGLUM03G15450.2 pep chromosome:ALNU02000000:3:11865258:11871733:-1 gene:OGLUM03G15450 transcript:OGLUM03G15450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase 4 [Source:Projected from Arabidopsis thaliana (AT1G09420) TAIR;Acc:AT1G09420] MAGLAVSASPAPAPASFRPAAAASRFGPVAGPAANFRTRVCGLRCLIAAKLKFRKTLKRHGWQLRKNLEVRANDKVPDWLEATPLTENIMSRDVQLAYDSGGETSNTCPDTLDSSVIEQSSMHHKLNPSETHPPVLKEDPVLFDDPPESAAPLCIAVIGATGELAKNKVFPALFALYYSGFLPQNVGIFGYSRKTLTDEDLRSMIEANLTCRVDHHENCDEKLNEFLKRTYYIDAGYDNKDGMVKLNSRMSQIEGNCAANRIFYLAVPQEALLDVALSLADSAQTMQGWNRIIIEKPFGFTGLSSHRVTQSLLSRFEEEQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETAAEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRDEKVKVLRSIRQVNLEDVVLGQLKDTSVKVDRYTKSLTPTYFAAAMYIDNSRWDGVPFLIKTGIGLMENRAEIRIQFRHVPGNIYRERFGHDIDLDTNELILRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDRYNVELPDSYEHLLLDVLDGDSHLFMRSDEVAAAWNVLTPLIHEIDQNRIAPELYEAGGRGPVNAYYLAAKHGIVKSVSPDCTTRGSGGGEMHVTPKVRKSLHGKEFDTASNRD >OGLUM03G15460.1 pep chromosome:ALNU02000000:3:11872548:11877542:-1 gene:OGLUM03G15460 transcript:OGLUM03G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLPQGKPIYNSLLPRLSSVPLLTKPHCSVGPWTHLSATRPFSPTPPSFNPLTRAHAPSPVVAFLASPLSLPSDQPDLGASPHLSLSLSARCRLDPASTAEAAPGGGRRQLGSSESEHGGGLSPRSPRRGGDSGISVILGHLKVACIPVTKPGMADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTALLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >OGLUM03G15460.2 pep chromosome:ALNU02000000:3:11872548:11877542:-1 gene:OGLUM03G15460 transcript:OGLUM03G15460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVLPQGKPIYNSLLPRLSSVPLLTKPHCSVGPWTHLSATRPFSPTPPSFNPLTRAHAPSPVVAFLASPLSLPSDQPDLGASPHLSLSLSARCRLDPASTAEAAPGGGRRQLGSSESEHGGGLSPRSPRRGGDSGISVILGHLKVACIPVTKPGMADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >OGLUM03G15460.3 pep chromosome:ALNU02000000:3:11872548:11877019:-1 gene:OGLUM03G15460 transcript:OGLUM03G15460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLVLILRCRCRRLWLGEVGRAAAFRRSFASCCVSYTSWEEEEEEPGMADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >OGLUM03G15460.4 pep chromosome:ALNU02000000:3:11872548:11876382:-1 gene:OGLUM03G15460 transcript:OGLUM03G15460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSRTDTSTVLDTDDKNQMVDGQSGAIVPSNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQEINKARQQGIYISSSGDQTHAMSGNGAMTFDLEYARWLEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLLGLSNLQQSSQQAEDALSQGMEALQQSLADTLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >OGLUM03G15470.1 pep chromosome:ALNU02000000:3:11902584:11904729:-1 gene:OGLUM03G15470 transcript:OGLUM03G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAKEGEGRCAANRRRGIIRTYERETERGDGRRRRANNGEERACAEGRRRLQIEHAEATGGRTRATVTMGVEGRQRK >OGLUM03G15480.1 pep chromosome:ALNU02000000:3:11913166:11913699:1 gene:OGLUM03G15480 transcript:OGLUM03G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARSMDRLSVHHNGGGISKPCHGGGKGGGGGGKGSRGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLDHHHHHHQQQRYHSFSSSSFGRASMMMPAAAAGGVVPRSSMPPTMATANAAGAGRMMMATADATGGGAAGVMATAAPMPFQSVYDHGSLLYGQDYW >OGLUM03G15490.1 pep chromosome:ALNU02000000:3:11922747:11927607:1 gene:OGLUM03G15490 transcript:OGLUM03G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGREEDPAAAAGEGDVEDSSVRSSERGFPPYGGGGNHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNRILMNEQEEEFDGPPQKEIPVLIVWTLGGKNVSVEGSWDNWKSRKPMQKSGKDHSLLLILPSGVYRYRFVVDGERKCLPDLPCETDIMGNAVNLLDVHDFVPESVESVAEFEPPPSPDSSYSIQAPEEKDFSKEPPVLPSQLHLGVLNSQNSDESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >OGLUM03G15500.1 pep chromosome:ALNU02000000:3:11932983:11934407:1 gene:OGLUM03G15500 transcript:OGLUM03G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPESAHRHGASSSSSGAPRRRSVGCMAGLLRLLSPYHRSHHRKRLTAKNAAPPVVCTPPSPPPPFRQKVPVATYSPSSQGQPPQQQMHPAPTPVRRRRSCDAPRSPTIAPEHRRASCDSPRPTPPAIVARLMGLEESAPPSPAATTPRPLPTRPPPPPPETAAEKRRKLLGALEKCDEDLKTLRRIIAAVRAAEMRAAAASDAPPTPEATGKGSDNRWKDDGSRDVDPSPSPTPQKPRSEEHYPSPDSVLDAITSPRFPCRKRSSPCTDLDADRKLSCGTPAVGSKIVKPSRTLVFSGDYCKIKPCNELHAVAMYHHPVVAIEAIPRWIPPPPPPSSEISWRHRRRWGLEAAAASGRSRAMAESVGEVWGHGADEERHEAGRVGAALERAILHDLVGDVVAEMLAQSAAPTPHPFVHGAGAAMCRKRLVF >OGLUM03G15510.1 pep chromosome:ALNU02000000:3:11937326:11939602:1 gene:OGLUM03G15510 transcript:OGLUM03G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OGLUM03G15520.1 pep chromosome:ALNU02000000:3:11941467:11945139:-1 gene:OGLUM03G15520 transcript:OGLUM03G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6H7] MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENDEPVAIKILDKEKVQKHRLVEQIRREICTMKLVKHPNVVRLFEVMGSKARIFIVLEYVTGGELFEIIATNGRLKEEEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDASGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDRGYDGAAADIWSCGVILYVLLAGFLPFEDDNIIALYKKISEAQFTCPSWFSTGAKKLITRILDPNPTTRITISQILEDPWFKKGYKPPVFDEKYETSFDDVDAAFGDSEDRHVKEETEDQPTSMNAFELISLNQALNLDNLFEAKKEYKRETRFTSQCPPKEIITKIEEAAKPLGFDIQKKNYKMRMENLKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQKVPFFDTGNFATCYLLPSLTKINSAVKQQFYRTLSTQLKDVVWKCDGEVEGNGAAA >OGLUM03G15530.1 pep chromosome:ALNU02000000:3:11955816:11956240:1 gene:OGLUM03G15530 transcript:OGLUM03G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVDQKVPLPASISGLQSSARTDLRPATSRYRRIKCSDFTSRSQKLAKQHHATRICPTIWADCNMLTSSRAGQNCNGQLDKTAATFSEAMYENPIMAFNYTINMERPSILRYVTLIN >OGLUM03G15540.1 pep chromosome:ALNU02000000:3:11961083:11963303:-1 gene:OGLUM03G15540 transcript:OGLUM03G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small and basic intrinsic protein 2;1 [Source:Projected from Arabidopsis thaliana (AT3G56950) TAIR;Acc:AT3G56950] MSPAPPPSRGRIRPWLVVGDLVVAAMWVCAGALVKLAVYGVLGLGGRPEADAVKVALSLVYMFFFAWLEGFTGGASYNPLTVLAGALASRAGPSLYLFAAFVFGSILGVKLIRAALPKVGKGAPLSVGVHHGALAEGLATFMVVIVSVTLKKKEMKGFFMKTWISSIWKMTFHLLSSDITGGVMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTLLTKPKKIEEEADESKTKKE >OGLUM03G15550.1 pep chromosome:ALNU02000000:3:11964345:11972625:-1 gene:OGLUM03G15550 transcript:OGLUM03G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGRLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTNSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKEVVDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >OGLUM03G15550.2 pep chromosome:ALNU02000000:3:11964345:11972625:-1 gene:OGLUM03G15550 transcript:OGLUM03G15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPARCPLSPQSGIDEVAPRPSKRMGVRRGRLDSSLFHMLVLVCALSQVLFVGLVTGQTAQLSVDASSQNGRTIPDKMFGIFFEELNHAGAGGLWAELVSNRVQVTGFEAGGINTPSNIDPWLIIGDESNIIVETDRSSCFASNPIALRMEVLCGATGTNACPSGGVGIYNPGYWGMNIEKTKVYKVSMYIRSSDSVDLAVSLTSSDGLQNLATHTITAEKGDFAGWTKVEFDLQSSERNTNSRLQLTTTKNGIIWFDQVSVMPSDTYMGHGFRKDLATMLANLKPRFLKFPGGNYVMGNYLLNAFRWSETIGPWEERPGHFNDVWNYWTDDGLGFFEFLQLAEDLDACPVWVINDGASLNEQIPSATIAAFVKEVVDGIEFARGDPKTTWGSVRAAMGHPEPFPLYYISVGNQECSKPYYKEKYVKFYSAIKASYPDIKIISSCDISSISAVNPADLYDVHVYTSSGDMFSKTRMFDSTPRSGPKAFVSEYAVTGNDAGRGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRGFSPDAIVFNSWQHYGCPNYWMLHFFKDSSGATLHPLTIQVSNYDQLAASALTWQNSNDGNTYLKIKVVNFGNKAVNLNIAVAGLENGIQEFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVIVDPYSLTSFDLLLDTNTDKYPLLESSFHSSM >OGLUM03G15560.1 pep chromosome:ALNU02000000:3:11982982:11986586:1 gene:OGLUM03G15560 transcript:OGLUM03G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLDLDEDKIMVTSALPCPSMSVGKSVMRKRGRPSRHARGTSLSSVTPEGCKKMEGRSYDLRSDSTILLRNSCLLIADGSTKQKRSWGLDKDDLHIPFFQISDNPREAVDDILMTFGGLHRRIMQLIDVKMASKQLVFQALNLMRKAGYHVNKDKRVGEVPGVKIGDIFYSRIEMLLVGLHSNINGGIEFMSGAFVNKEDKIATCIVSSGMYENGDDDPYTLVYNGQGKVHHKLEREKYRQTTKSRSNLKFKLVRELGQPNGIVVWKNTQKWRENPSCRDHVIMPDMSNGAEIARVCVVNNIDSEDAPNNFTYSTKLDNGNHMVSANKMCVCKCTSSCLGEDNCSCLKTNGSYLPYNSSGILVCRKTMIYECNDSCACTINCSNRVVQRGSYLHFEVFKTMDRGWGLRSWDPIPAVIDKDSLVEEDEYIFEPVMYDHGDEGYPHIAFFAIKNIPPMTELTYDYGQSNGSGCRRPKICICQSHMCKGTFG >OGLUM03G15570.1 pep chromosome:ALNU02000000:3:11991508:11992155:-1 gene:OGLUM03G15570 transcript:OGLUM03G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAASCVGVAPAALLSRSFSDAAAIARALHFSLCDSSPLPEPTTHQYVAATDVDLGACGVAVAAPTPAVSSENAVVLKTRASLSPSARCRLGPAGGGRAGKRRPRPSKRAPTTYISTDAATFRIMVQQVTGAQVEPQDDACLGLLMPPPPFDVVDPAALLPADTAACAGAAHVATCVPHPLHAAAAAAAAVAAAEQPCFPTLDSWNVMYGKDEVV >OGLUM03G15580.1 pep chromosome:ALNU02000000:3:12008244:12013328:1 gene:OGLUM03G15580 transcript:OGLUM03G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G27060) TAIR;Acc:AT2G27060] MRLLILCLSIWAASAAAAMAGTDMEALLEFGRGIRQDSSGHRATPWNPTSALDSDGCPLDWHGVQCSNGQILSIAFDGAGLVGNVSLSALASMPMLQNLSLSNNKLVGVLPRDLGSMTSLQLLDLSNNMFSGQIPAELTKLANLGHLNLSSNGFGGALPLGLRNLRKLKYLDLRGNGFTGKLDDIFAELQSPVHVDLSCNRFSGSLISISDNSSVVSTLQYLNVSHNMLSGALFESDPMPLFDSLEVFDASYNMLEGNIPPFNFVISLKVLRLQNNNFSGSIPEALFRQTSMVLTELDLSCNQLTGPIRRVTSMNLKYLNLSSNSLQGTLPITFGSCSVVDLSRNMLSGNLSVIRTWGNYIETVDLTSNRLTGTWPNETTQFLRLTSLRISDNLLAGELPTVIGTYPELISIDLSLNQLHGPLPGNLFTAVKLTYLNLSGNSFAGTLPLPNSEAKSSTFIDLLVLPVQTSNLSFVDLSNNSLNGSLPSGIGALSGLALLNLCQNNFSGQIPREITKLKHLIYIDLSKNNFNGTIPEDLPDDLVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLVLPHSASQNGPDSSGGGRRGMKRGILYALIVCVVVFVTGIIVLLLVHWKISSWKSSEKGTSQSKQPATVGECSQRQGEAPTPEMQEVSLESSSSTEYVGNPLPGKERQHEAQDVSVHADQIGSSSTLKDNMASLMPPLTSSPPDVRAQYQHSVLRVHSPDKMVGDLHLFDNLVVFTAEELSRAPAEIIGRSCHGTSYKATLDNGYALTVKWLKEGFAKSKKEFSREIKKLGTVKHPNLVSMRGYYWGPKEHERIIISDYVDSTSLSSFLSEFEERNIPPLSVDQRLNIAIDIAHCLDYLHNERVIPHGNLKSSNVLIQNASPSALVTDYSLHRLMTPIGMAEQVLNAGALGYSPPEFASSSKPCPSLKSDVYAFGVILLELLTGKIAGEIVCVNDGVVDLTDWVRMLAREERVSECYDRRIVEAHGSGGAPKALEDMLHIAIRCIRSASERPEIRTVFEDLSSLSS >OGLUM03G15590.1 pep chromosome:ALNU02000000:3:12018414:12022166:1 gene:OGLUM03G15590 transcript:OGLUM03G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06200) TAIR;Acc:AT3G06200] MLLTRRFSSALARSPLLPRSLPPPRAVPATPPAPRPPPRRLMSSSSSGWHHSSRPPPPPPSGADKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIQRLQEEREGMHFVVTATSRAKRPGEVDGKDYYFVTKEEFLTMIEKKELLEYALVYGEYKGIPKQQIRDYMAKGYDIVLRVDIQGAATLREILGESAIFIFLVAESEEALVKRLIHRKTETSDMLLVRVATAREEVKRMNNFDYVVVNSEGNLEGAVKQVESIIDAEKAKVHKRTAEPNDLQHYDHMVNLVPK >OGLUM03G15600.1 pep chromosome:ALNU02000000:3:12022781:12025874:1 gene:OGLUM03G15600 transcript:OGLUM03G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKDHIPCLLGGMQLGPLH >OGLUM03G15610.1 pep chromosome:ALNU02000000:3:12036888:12038042:1 gene:OGLUM03G15610 transcript:OGLUM03G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRHRVFAEDLLLPREAEGEDHFDRVPDSLVLLIFNRLADARSLGRCSAVSKRFNSLVPLVDDACLRIDRVITDAADADDALGLAGPRPAGRGVLSHLLKAMLLAVLKPFGHCDAGVRGGGGGERAGKHGGGGGGCGAQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVLLRWKAVFGSTLQSCVILGGTRVDRAAAPATAVATATAAGDSEASQGDDTGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLERVALTDAHGQGTLSMGRDQIREFRDKPLAAAAAANRTQVPACNMKLRYAPMLELSDGTRIQGATLVVIKPVGEAGGIGGGRKELDEFVADAFDGPYREAVSALSKRRTYLLEMNGF >OGLUM03G15620.1 pep chromosome:ALNU02000000:3:12038125:12042256:-1 gene:OGLUM03G15620 transcript:OGLUM03G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHSAGQTAHSSRAPGIAIVGHVLVPVSGQDGYIDILSMLYRKVEETHDRCYNMDEHLGVPLNRAELNS >OGLUM03G15630.1 pep chromosome:ALNU02000000:3:12045873:12051565:-1 gene:OGLUM03G15630 transcript:OGLUM03G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNKLRSLDAYPKVNEDFYSRTLSGGLITIASSLAILLLFLSEIRLYLYSATDSKLTVDTSRGERLHINFDVTFPALPCSLVAVDTMDVSGEQHYDIRHDIIKKRIDNLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEDVRDAYRKKGWALTNIEEIDQCKREGFVQRLKDEQGEGCSIHGFVNVNKISHKINKLSFGVEFPGVVNPLDGVEWIQEHTNGLTGMYQYFVKVVPTIYTDIRGRKINSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHRAIKKKMEIGKLG >OGLUM03G15640.1 pep chromosome:ALNU02000000:3:12053430:12059271:-1 gene:OGLUM03G15640 transcript:OGLUM03G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGTQPQGHTPTRASIHPSIQPTCAGRTAPHRTAPLLLLLLLLIISPKLLISSPLLLLLPLATSHALPRRRHDHCPAPSSPRAGAPSAGIPLLRSSSRPGRSNHSSAIHLKMGSTRSKNEDDKAIVLCHERKRYVREALDGRCAFAAAHFAYIQSLRHTGFALRKFLEPEVPTDSSLYTSTSATPEPPTIRQKSRNLSPSISHQASDSFSPVPSPLSSGRFRINHMKSGGNPVMTIEEKVPVPVTATLQTSSLVPKAVHDLDDSSTFEAPPGTPPWDYFGFFNPVENQFSFHDEKEPGHDFENADDIRRLREKEGIPELEEEGEKTPVHPDNVRRFRDEKTSDLKDAEKSPINGRKDDFAESEDDFDNPSSEPLVRVFQNRNDMPVENTVMNQTPEHVASEKLASENSVSFSRKQENSDSFSRKQENSDSFSRKQENSDSFSRKQENSDSQIDRPNNDKEVLDISMFESDDESPVASPVKEVRSSIAALPMNGKSKEPFHDVRNGAKDLHSCMKEIEILFIRASDSGKEVPRMLEADKVNFRPLLPEEKAHGSKASGFFATFFACCGGEEIPIPQPPPEAEVKYLTWHRSVSSLSSSSRIPLGVTSKDDADGLTGNIFSGVYMNSGSHASTLDRLYAWERKLYDEVKASGAICRQYDEKCRQLRHQESKAESQMSIDRTRATVKDLHSRIIVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWSTMLECHKHQREIIKSSSGNTKVLIRSESQFQAALLLQVELNTLYSTFLKWIAYHRSYLHSLNSWLLKCVKPLRGKKSSRRKKEADTPITKFAVAPMFKTCESWIKLLDDLPTKDLEDAIKGLVADINHSVPRQEKRRGTSKLTSSLSRSGSTKTISSFSRNGELNGEMAEIHRNSHTTDLQSSLETFLEGFAEFSDVSLKKYMELAVDINKAKEQYMRM >OGLUM03G15650.1 pep chromosome:ALNU02000000:3:12065334:12065693:1 gene:OGLUM03G15650 transcript:OGLUM03G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLWGRYMCTSALASCHTDPMRARTRSRKKRWFECTFLAKFAGSTGALITEQPAVSLISNLPLHLCIRVDLSSSNDGSTSDQEIGKKGGCLVTHPGLLAHVDATCVRYAHMHREEGER >OGLUM03G15660.1 pep chromosome:ALNU02000000:3:12074215:12079990:1 gene:OGLUM03G15660 transcript:OGLUM03G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPSPHQSHHLGHGSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >OGLUM03G15660.2 pep chromosome:ALNU02000000:3:12074417:12082365:1 gene:OGLUM03G15660 transcript:OGLUM03G15660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKKTCRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNCNFPRYYYRCTYKDNMNCPATKQIQQKDYSDPPLYSVTYYNEHTCNSAFLPLSPSEFQLQTASGKAVSICFESSGAQEPMTNASSPSSSAARRSTPSENKNQPLPRHSEAYSWGVGVVEQKPSCTELQSCSTECQDAFSAGTIPEETVDAGRFGSIRFFHFL >OGLUM03G15670.1 pep chromosome:ALNU02000000:3:12076573:12077298:-1 gene:OGLUM03G15670 transcript:OGLUM03G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoyltransferase 2 [Source:Projected from Arabidopsis thaliana (AT1G04640) TAIR;Acc:AT1G04640] MSGGARRVLEAWRLGVVRYGDALGLQERLVTDRRAGRVPDLVLSLQHPPTYTLGKRRTDHNLLLPEADLRALGADIHRTERGGDVTFHGPRQAVLYPILSLRAIGLGARRYVEGLESAMIEVAALYGVQARPGAAGETGVWVGDRKIGAIGVRISSGFTCHGLAFNIDPDLGFFEHIVPCGIADKEVTSLRREAAVELPPDEVIHDQLVQSLARTFCFSDVEFKDESECADMVCLAADKQS >OGLUM03G15680.1 pep chromosome:ALNU02000000:3:12083726:12087583:-1 gene:OGLUM03G15680 transcript:OGLUM03G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFPFRSFTSNAGNGKASAGHDKKNENKLDGGTSCASHSPDTRAFRSRSRHGKPSSEESSTPQLRRCMSLTSSAIDRSLNERTMNFSGDIPCSFSNSSDAPRHIGDAEPSKLTNLSSKNEVLDLYIDGEQESNSLNEKHKLKLPVRSSSSYLGRGRPPRPHSTAPSSPKSCKEIVESYSYSNIDMIDACQLAQEETKGIWKVASVCAEPGEDAQMLEASSEKFSHIEECKSQSIATLEDIYDRLEDAQPPCFCDTSMDYISGTTSRCFDADVCCRDDSHGFHDNNLEQDTDEKLLRRAKELDECFMVPLEENNELNMLRDNSLSSTDMLQLIQTLIEDRRQLALQLSSQIKARLTERFAAKEQHKRSKVELNTRTRRLEKEKSDVQSTLEMELDRRSNDWSIKLAEFQSEEQRLRERVRELAEQNVSFQREVTLLESNRIDVSNKITSLELQNKQLNDELQKVKKEHDTLLKSSVELNDNLTKTAEERNQILECLKEKGGDNKALHKVIARLQRISNEQEKTITGLRQGFNAELENKSLGTSESISRMQMELIRLTGVEQKLRREIQSCNREVESLREENIAVLNRLQSSDNKLSISSVRLEQELNTRVDNLQLQGLSLLDDTSQLCAKLLDSMKSKRSESFGSVDALASIEYTLSYQSIQERIKNLKQCLRAIRSMLTEKHNEEEKIGERTESCILKQDHLSKDDIEFKLKEESMLCRVLKEKLLSRERDIEQLQSDLASSVRIQDVMQNEIQRVQDELCCLTHKSKHLEMQVLKKEENISQIQQDFQESSKELTALRCTLKTETKQLRKTISALQNDVASLKQKMKSLDEDILLKEGEILLKEGEISILRDSIGRPSDIICSPRPSKLFESE >OGLUM03G15690.1 pep chromosome:ALNU02000000:3:12088646:12089775:1 gene:OGLUM03G15690 transcript:OGLUM03G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGLCGACDLGVSNGQVHHPRARQVSLRGVECSGGREGTVARGEEGEQLLDKSWAAAVMNLEGSVVDEGGGTTVELIHSGERLDGLSVTVDRGLRGNECRESVRKARRLARGC >OGLUM03G15700.1 pep chromosome:ALNU02000000:3:12089860:12090354:1 gene:OGLUM03G15700 transcript:OGLUM03G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRRASETACRVRVDERRKRNGSSARSDDGSVERSTWMVSTMSSLMAREWGSTHLCNATLFLASSPAATCTDAAARLIELHDQIYFDRVISATTDAAVHLVLPMESPPYLSCLQHRAWRLRLLRRFLVSSPAKVENREERKKRWQLRPAGCLTAVNATIRDI >OGLUM03G15710.1 pep chromosome:ALNU02000000:3:12090787:12091140:-1 gene:OGLUM03G15710 transcript:OGLUM03G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGSPVMTERERRAYRYGQASSPTARAVGQLGCIRKSWSNDSLSSYGGGGRAGGGGAQACVCAPTTHPGSFRCKHHRQNASNLGAAAAAAAAPAQVVDVDADAKHQETQEQEEKAT >OGLUM03G15720.1 pep chromosome:ALNU02000000:3:12093319:12093597:-1 gene:OGLUM03G15720 transcript:OGLUM03G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPPDVGIPVLGLATVSAGSGGEAIGSGSPVTVEEEEEAVVREGDKETKQRVVVVVSWLLAPLQPHSCPYSGSHNPTAHDRRLTSTAGGAT >OGLUM03G15730.1 pep chromosome:ALNU02000000:3:12093603:12096353:-1 gene:OGLUM03G15730 transcript:OGLUM03G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDGDERNNVRGETLCFFXGGADGARWARAHHHHVGRPVPRPLGLGAGPVLDALYHDGPVSGSKRAPAAGEGAAAEKTVERRKKRMIKNRESAARSRARKQAYTNELENKISRLEEENKRLRMHKTKVGATPQIWLGERKEVVVAIADRAAADACHY >OGLUM03G15740.1 pep chromosome:ALNU02000000:3:12097510:12105960:1 gene:OGLUM03G15740 transcript:OGLUM03G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRGLDAAAARPLLGPARARASPRASALRYSSLQAAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEADVMGEGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEALKDELLLLTTGIGAACSLYCLLVFSLEAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >OGLUM03G15740.2 pep chromosome:ALNU02000000:3:12097510:12105960:1 gene:OGLUM03G15740 transcript:OGLUM03G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLPGLRCCRGLDAAAARPLLGPARARASPRASALRYSSLQAGDSLGEEVLRMFLAERQAHGDFVTKISDMVWRRNGGDLGVLEAAAEQENSADVAPPQPEEASNTENHPVVQDVMGEGMLRIAATRDWVSGESSLPVSKRLSAKDRQDERERRKELNLLRYEAAAVSYAFGVAFSCLYLQLLYRHTDNLSKKDVPEIFMKKKVKRIGIRSEDLKNTIEKVLGGISVALSSPRLVIPAIFFGLSTLSDHFQNSILNFELVPGMMGFFAYKAAALVQVYRDNEDLRLILPEEDADSS >OGLUM03G15750.1 pep chromosome:ALNU02000000:3:12108894:12110013:-1 gene:OGLUM03G15750 transcript:OGLUM03G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQDRREARAEADARRAAEEIARARDERVMQAEVDARSAADEIARARADRGAATMGADTAHHAGGGGGILESVQEGAKSFVSAVGRTFGGARDTAAEKTSQTADATRDKLGEYKDYTADKARETNDSVARKTNETADATRDKLGECKDYTADKTRETKDAVAQKASDASEATKNKLGEYKDALARKTRDAKDTTAQKATEFKDGVKATAQETRDATADTARKAKDATKDTTQTAADKARETAATHDDATDKGQGQGLLGALGNVTGAIKEKLTVSPAATQEHLGGGEERAVKERAAEKAASVYFEEKDRLTRERAAERVDKCVEKCVEGCPDATCAHRHGKM >OGLUM03G15760.1 pep chromosome:ALNU02000000:3:12122333:12125962:1 gene:OGLUM03G15760 transcript:OGLUM03G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTNLRRRLHHGDVDGGKNEHVDISSVDSLNEPLLGKSSSDTGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSLFGRLFPFSLDNQNSSPVYLSPLQEDRLNTLRSRLQIPFDGSRVEHQDALRQLWRLAYPNRDIPPLKSELWKEMGWQGTDPSTDFRGGGFISLENLIFFARNYPGSFQALLNKVQGQRADWEYPFAVAGINISFMLIQMLDLQSSVPSSKSGVRFVELLGRDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVLKSTRTQLERELVLEDVLEVKDLPSYTMLDK >OGLUM03G15770.1 pep chromosome:ALNU02000000:3:12136408:12161692:1 gene:OGLUM03G15770 transcript:OGLUM03G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFTTATGVQKKLGAPVPLHSFLLSRRQPAAGAGRGRAAAAAIRCAVAGNGLFTQTKPEVRRVVPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRAAGFEVVGYLVEELRDEETYKTFCADLADANVFIGSLIFVEELALKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKDISRFLLVSLTYFAGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDRLLKHGGCLRRNGTGGRSDPYVYMFYLLCCELCTGKVHSNSGC >OGLUM03G15770.2 pep chromosome:ALNU02000000:3:12136408:12161714:1 gene:OGLUM03G15770 transcript:OGLUM03G15770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSTPFTTATGVQKKLGAPVPLHSFLLSRRQPAAGAGRGRAAAAAIRCAVAGNGLFTQTKPEVRRVVPPEGDASRRGVPRVKVVYVVLEAQYQSSVTAAVRELNADPRRAAGFEVVGYLVEELRDEETYKTFCADLADANVFIGSLIFVEELALKVKDAVEKERDRMDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKNSGGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAVSYVPALKGADIKYDDPVLFLDAGIWHPLAPTMYDDVKEYLNWYGTRRDTNDKLKDPNAPVIGLVLQRSHIVTGDDGHYVAVIMELEAKGAKVIPIFAGGLDFSGPTQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKDISRFLLVSLTYFAGKSHALHKRVEQLCTRAIRWAELKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFNSIYSVLQDLKKDGYNVEGLPDTAEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDACYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGVELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNNKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALKSAKIVVDRLLERQKVDNGGKYPETIALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPEEMNYVRKHAQEQARELGVSLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDCDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDRLLKHGGCLRRNGTGGRSDPYVYMVCETYANCLN >OGLUM03G15780.1 pep chromosome:ALNU02000000:3:12162282:12162997:-1 gene:OGLUM03G15780 transcript:OGLUM03G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISDEESRMVKYSIHRLCWHQPLHLFLHEGSRAMEPDADERNGVPIGAQENSYWLYIVPWGINKAVTYVKETYGNPMMILSENEWTNLATSISPRVCMILQESDITEIT >OGLUM03G15790.1 pep chromosome:ALNU02000000:3:12165308:12172139:1 gene:OGLUM03G15790 transcript:OGLUM03G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASRKEEERNERVVRGLLKLPPNRRCINCNGLGPQYVCTSFWTFVCISCSGIHREFTHRVKSVSMSTFTTQDVEALQNGGNQRARESFLKEFDAQKMRLPDSSNVDSLREFIKAVYVERRYAGGRFSERPPRDKQNQKNNEQEHRRASSYHSFSQSPPYDYQYEERRNGKQSVMLTRKPGSDRGHDGKMSGFAYSPQSLHERMSEDRFANENSGPRISDCSGSSISNTFRTTPHSPNFLDKGCSSPSMQQNQSNIQASSGITQSEVRTISTGNIDSSSTKSGKSSLADMFFESDIAHRTQQTKDCITPSFTAFSDVANIAQKDLLNEPVAQQQPVTGLDQPVDFFASMPPATPSTDRMLKAAPSMDNAGWATFDTPPEEKQPGVIGLSGISVMDKHALSGDLFSFEPNNDQPTWLQSSKTSKNNASVTDQSDVPCKYTSSDASNSQAWSAFEAKSVSTQQASPDISLMSSIEPKEPIDENKLQLWHSFDDASETMTLNLSNAQLQTNEHKNADNNSLTTSNPFTCSITSKESRGNDSQEIFMGGLAPSEPFAPFPEPLLFATTSVGEASVQQMPLNPFDLPFDADSDSPDMFMDVTALQAVLPNADLSTSFVDGLPETWFSNNASAYVPPGSHGGPPCLVEQIPNSALRNITLSAVSTGNPFA >OGLUM03G15800.1 pep chromosome:ALNU02000000:3:12171266:12171763:-1 gene:OGLUM03G15800 transcript:OGLUM03G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVCARPVGVGYLGGGAASSWSQRRGRGVAAPRARVRVSASASTVAPERRAATMYEVLAVEETAGAEEIKAAYRRAARRWHPDACPGGAERFMLAREAYEVLSDPERRRGYDIQLRCCGAGAGAQAARRAGFADWEAQLAGLQWRAASRETWGSRMRHRQPSPS >OGLUM03G15810.1 pep chromosome:ALNU02000000:3:12176770:12179926:-1 gene:OGLUM03G15810 transcript:OGLUM03G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSWPATAMGSPVTDSSRASMGIPATGRRRASTGMLGTGRARASMGIPATGRRRAWMGSPALGHTSMGSPATGRRRASMESPATWRRSARIRMASTELLPWND >OGLUM03G15820.1 pep chromosome:ALNU02000000:3:12179949:12182929:-1 gene:OGLUM03G15820 transcript:OGLUM03G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVLDGLLGEGERARRGLSSPTSRRGGDLQSLLLPLRRLAAGCGDNCGGGCIRDGAGSTRTPAVDARLAACRGCGRVGDGSARTPAVEARSAGGRRDAGGGGHVGGDGSAKMLPAGS >OGLUM03G15830.1 pep chromosome:ALNU02000000:3:12182703:12188255:1 gene:OGLUM03G15830 transcript:OGLUM03G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASAAAPPPPPTAQPHMAAPPYGAGLAGILPPKPDGEEEGKKKEVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVFMGSLEVPSQSTETIKVPTSHYEFGANFIDPKLILVGRVMTDGRLNARVKCDLTDDLTLKINAQLTHEPHYSQGMFNFDYKGTDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEIFWLGHQRKSGIGFASRYNSDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSRDVTSSFGYDYMLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHSKKNYKFGFGMTVGE >OGLUM03G15850.1 pep chromosome:ALNU02000000:3:12192164:12195075:1 gene:OGLUM03G15850 transcript:OGLUM03G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIMLPAASAAAAASVLALLVLTSLQPRAARAQVASSPWAAPAPWPGELDCTGALLNLSSCLTYVEYRSTLTRPDKGCCGALAGVVDGEAACLCGLVGGYGAYGVRVDAVRALALPTICRVDAPPPRLCAALGVPVAEPPGGAVPEESGLSGGMPANAPSTAATGSTGGGGPATHRPTRRHLILLLLLLVFPASLLLL >OGLUM03G15860.1 pep chromosome:ALNU02000000:3:12211759:12213681:1 gene:OGLUM03G15860 transcript:OGLUM03G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLVMDQGMMFPGVHNFVDLLQQNGGDKNLGFGALVPQTSSGEQCVMGEGDLVDPPPESFPDAGEDDSDDDVEDIEELERRMWRDRMKLKRLKELQLSRGKDPAGGVVGDPSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAIAKYQADNAVPGCESELASGTGSPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLAVVKQEEELYLKLNPGARPPAPTGGITSAISFNASSSEYDVDVVDDCKGDEAGNQKAVVVADPTAFNLGAAMLNDKFLMPASMKEEATDVEFIQKRSASGAEPELMLNNRVYTCNNVQCPHSDYGYGFLDRNARNSHQYTCKYNDPLQQSTENKPSPPAIFPATYNTPNQALTNLDFGLPMDGQRSITELMNMYDNNFVANKNLSNDNATIMERPNAVNPRIQIEEGFFGQGSGIGGSNGGVFEDVNGMMQQPQQTTPAQQQFFIRDDTPFGNQMGDINGASEFRFGSGFNMSGAVEYPGAMQGQQKNDGSNWYY >OGLUM03G15870.1 pep chromosome:ALNU02000000:3:12216997:12220266:-1 gene:OGLUM03G15870 transcript:OGLUM03G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRPRQEAGRCSDSVAVPKPHLRHPFLGSISVVRELELELLLSLGATSTRPVFRRIGFYQRLGKKRLRGSLAVDDIDHDDSTTGSSVLPDERVEAVREKRHAGTDFTGALYHCAQHSHTTCDLPSSSQYY >OGLUM03G15880.1 pep chromosome:ALNU02000000:3:12220552:12220965:1 gene:OGLUM03G15880 transcript:OGLUM03G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGRLPFVPGVADGGVQVPGPDLVDTFACPTLLLRRDRSAEFGVVERTCPCLETDLGSKKASLECSTDDDSSEHGGIVSICPCMDRISGIGWSNKKPTTPSVGVIGDGRRKAVPDLDQPKGLGGVVHAEGSVLRLW >OGLUM03G15890.1 pep chromosome:ALNU02000000:3:12223101:12226322:-1 gene:OGLUM03G15890 transcript:OGLUM03G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQQLKPLSDVADKIGGFLFNLAWSKGTRLWNVEEEAEKLRRTEKRIRALLRDAEEHRYIDDESVKLWLLELKSVAYDAETLLDRLTTFTAVARLESAEPSRKRKRSWLNLQLGPRQRWGLDAKITEINERLDEIARGRKRFKFQPGDAARRAQPGQRPRFVEVAACHDESSQIFGRAKEKEEVVQALLSDHTIPLPVISIYGAAGIGKTTLARLVYNNAEVQSSFPTRIWVCLSDKCDVTKATKMIMEAITKVKCDALSLDILQQQLQEHLSTTKFLLVIDNLWAEDYNFWELLRCPLLAGEKGSKVLITTRNERVWRRTTSTILPVHLKGLDDEECWLLLKKYAFLHGQGRENDALSKTGRMIAADCRGSPLAAKSLGMLLSDTNGEEEEWLNISNQMRILNEDNNRILPSLQISYHHLPYHLKQLFTLCCLFPVGHEFEKDEVIRLWIAEGLIQCNARRRLEAEAGRFFDELLWRSFFETSGSSTNQRYRVPSLMNELASLVSKSECLCIEPGNLQGGINRDLVRYVSILCQKDELLELTMICNYENIRILKLSTEVRISLKCVPSELFHKLSCLRTLEMSNSELEELPESVGCLTHLRYIGLRKTLIKRLPDSVSTLFNLQTLDLRECYRLTELPEELSRLVNLRHLDLHLEWDRMVPIPMPRGIDKLTSLQTLSRFTVTADAEGYCNMKELKDINIRGELCLLKLESATHENAGESKLSEKQYVENLMLQWSYNNNQAVDESMRVIESLRPHSKLRSLWVDWYPGENFPGWMGESSFTYLENLRICDCRNSRLLPSFGELPKLKKLHLGGMHSLQSMGTLLGFPSLEVLTLWDMPNLQTWCDSEEAELPKLKELYISHCPRLQNVTNLPRELAKLEIDNCGMLCSLPGLQHLHDLVVRRGNDQLIGWISELMSLTSLTLMHSTETMDIQQLQQLSALKRLKIGGFKQLSSVSDNSGMEALSSLEFLEISSCTELQRFSVVGLQSLKDFKLRHCTKLEALPTGLGNLGSLRCVEIHDIPNLRIDNTGTVLPDSVSYLTLSGCPDLESWCRNTGAQRVKKIPNVKIGF >OGLUM03G15900.1 pep chromosome:ALNU02000000:3:12229987:12232826:1 gene:OGLUM03G15900 transcript:OGLUM03G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASASCRRRPIAWFFAIAALLFFFSWYLLLDSAAVTPEPLLAARGQGLRVGSSGRKCDPATAALRVFMYDLPAEFHFGLLDWEPQGGGGGGGGVWPDVRGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCGAVRVRHAAAADVVFVPFFASLSFNRHSKVVPPARASEDRALQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVAGLEKDVIAPYRHVVPNFANDSAGYDDRPTLLYFQGAIYRKDGGFIRQELYYLLKDEKDVHFSFGSVVGNGIEQATQGMRASKFCLNIAGDTPSSNRLFDSIVSHCVPIIISDEIELPFEDVLDYSKFCIIVRGADAVKKGFLMNLINGISREDWTRMWNRLKEVERHFEYQYPSQNDDAVQMIWKAIARKAPSIRLKVNRLRRFSRFETNRTDETPTRSSWLENQPS >OGLUM03G15910.1 pep chromosome:ALNU02000000:3:12233648:12237064:-1 gene:OGLUM03G15910 transcript:OGLUM03G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised conserved protein UCP022280 [Source:Projected from Arabidopsis thaliana (AT4G26410) TAIR;Acc:AT4G26410] MAPPDTSRAPAQGEEAASTSPWPLRKLQSFTPGLCSQYKAYENAFVDMAKGTISDAMVLVNEHQTEAIGCATVAGFILLRGPRRFLYRNTLGRFKTEKDLLNDAEQSMMEYKTSIEQLKKDSKYTLDKIAVGESDLQRGQTDLRSTGKQIRSLIGSIYKAESTATGLMDRLRTIPTRQSLELRAEVASMASDLKNQRCVLQERINKISEYGVRV >OGLUM03G15920.1 pep chromosome:ALNU02000000:3:12240493:12242457:1 gene:OGLUM03G15920 transcript:OGLUM03G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRRKKTTRQYRGSMEEEPSATCRYFCHMCSLIVRPEMGIEEVKCPHCHSGFVEEMVGGDDDDGRRSGNAAAGGRGAASEENADDEATPAPPPWAPMLIDLLGVSSRRHGLDDGSSDLAAFARRQYRNIAFLQLLSALQDDDEAGGDTPGDSGRERLVLVTPADGNGAAATSGFTLGDLFLGPGLDLLLDYLADTDPNRQGTPPARKEAVAALPTVRVHDAAGATCPVCLDEFEAGGEAREMPCKHRFHDGCILPWLEAHSSCPVCRYQLPTDDEPTAGNVVVAAEGGDELIGNARGGGGDGDGDGGSSGRRRWLSWPFGGLFSHRSSGSSSSS >OGLUM03G15930.1 pep chromosome:ALNU02000000:3:12243706:12247450:-1 gene:OGLUM03G15930 transcript:OGLUM03G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6M1] MAAAVRPTVKGSCSSGGHAGAGRGAGWAPRRRDRRRGGPRRGRSTRASSRRGRRRPRSGYQSRVSRAEQVGPEIRTGFLKDGKPIQLKQGKEITITIDYSIKGDENLISMSYHKLAIDLKPGSTILCADGTITLTVLSCDCEQGLVRCRCENSAMLGERKNVNLPGVIVDLPTLTEKDKVDILQWGVPNKIDMIALSFVRKGSDLMLVRSVLGEHAKSILLMSKVENQEGVANVDEIIANSDAFMVARGDLGMEIPIEKIFYAQKVMIHKCNIHGKHVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMFSGETAAGAYPELAVQTMANICLRAESYLDYPFIFKKLSSEAPVPLSPLESLASSAVQTANISKASLILVLTRGGTTARLIAKYRPAMPVLSVVVPELKADDSFNWTCSDEAPARQSLIVRGLIPMLSTATPKAFDIESTDEAILSGIDYAKKLGLCNSGDSVVVLHRIGGYSIVNIVTVNWFIVIIHFHLVMRVIFCERKLCWDVCFYLVS >OGLUM03G15940.1 pep chromosome:ALNU02000000:3:12246606:12250434:1 gene:OGLUM03G15940 transcript:OGLUM03G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPAQEPLGHPGHNPQRGGPTSLSTATTSRGPPSSPCRSTSPSRSPGLPWMLPLRRRRRRLRPSHPPRHASSVTSASTHGLLPHLRRAHLQGSLPPRARPNAAPHGLLRRRQRRPHREGRRRRRLYLTTCMVAELQPRCETPPAAPRCTQLQGRGVLRVAREGAHHLLGPAHPGLVPRSRASSPTSGRCSGGSTSPRASSPAIPSPRSPTCSTSRSRVSPRRAAPFHGRPDRGGHRCGEGERRKAEVRADPRRTRRAGGQHVGARRIHLQVEPRAQRAIGRGLDGPELRGRHAAAELSVPALKLLHPTDPDGVFFFLRSCIFAVDLRPTAEEDGGVRSVGDARPAA >OGLUM03G15950.1 pep chromosome:ALNU02000000:3:12251273:12254643:-1 gene:OGLUM03G15950 transcript:OGLUM03G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPRAQPSDQKPLVRSFPSAAKAPASACSPPSPSAAPPYHAAAIASLSPGGCRSRAISPPFFRHAVVESCATSPSDRGRRLAPKIAGPSLLSTFSSQRGGAAPTPLHQPRQIQKKHKLQNLTLTKHPFRVLHCFFMLEMV >OGLUM03G15960.1 pep chromosome:ALNU02000000:3:12255373:12258892:-1 gene:OGLUM03G15960 transcript:OGLUM03G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLIVLLSLSLSLSVARTQLRRGEQSADDKEWIHLIGLQGMDPSDFLPVRFHYGGEFMFSRGQLHYVAERTALSYIELDKISLPEIIGFLSDHMPVSGLLHLHWLYPGKQLSDGLRFLLDDNACIEMANHMSNNGQVAEIYVEHIAIDEGQDDNQIADWGYDMVEADDEAKSDSEAEAELHPTVVLCTDKKGKLKPRRASSQILEKPEDVDGPETNSNPEGQVSDSSDSDYRQPIEQNSSGDDEEAEQLRKFAKEIKRNIRARKLGGDVGTQQSISRSSLPPSVTAPSSAPLVQQKCRKRARPA >OGLUM03G15970.1 pep chromosome:ALNU02000000:3:12259577:12265335:1 gene:OGLUM03G15970 transcript:OGLUM03G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHQQQLQSHNQLLPSRQSFPSERHLLMQGGSVSGESGLVLSTDAKPRLKWTPELHERFVEAVNQLGGPEKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNLHAQANAGNVKNALVCTTATEKPSEANGSPVSHLNLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMELSELVSKVSTECLQHAFSGFEEIESSQMLQGHTMHLGDGSVDSCLTACDGSQKDQDILSISLSAQKGKEIGCMSFDMHVKERGSEDLFLDKLNRRPSNHPERCERRGGFSMSCQTANLDLNMNDTYDGPKHCKKFDLNGFSWA >OGLUM03G15980.1 pep chromosome:ALNU02000000:3:12260747:12265760:-1 gene:OGLUM03G15980 transcript:OGLUM03G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAAAGRFLAGRSMPSRSPPTSADKMERKFSIELYGRHAIPEGVRESDADKAERNRDAGSFFLRKDEEEYITS >OGLUM03G15990.1 pep chromosome:ALNU02000000:3:12270010:12272996:-1 gene:OGLUM03G15990 transcript:OGLUM03G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNSPDRQSSGGSPPEERGGGGSGGGGGRSAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAASSSSPSANTSPAAASAATVQVGLLPGAVVHTMAMGGSACQYEQQASSSSSSGSTGGSSLGLFAHGAGASGAGGYLQASCGASASASSALAPGLMGDVVDSGGSDDLFAISRQMGFVGSPRCSPASSPATPSSAATVAQQQFYSCQLPAATITVFINGVPMEMPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILMQSLQIGESYFLVARPT >OGLUM03G16000.1 pep chromosome:ALNU02000000:3:12295097:12296199:1 gene:OGLUM03G16000 transcript:OGLUM03G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMFRIQCRASDVLRLTIVNGEVILGKSDPRDDRQARPLHFPSASLFRRLRHAHHVLALCTLRQYGQQYPKIISAFVVWHKDAKYSAGIKDEAGRPAFALVNKATGDALKHSLGYCCPSRFKHEDDVCISPNHLVRVIKFEPGYLDESVLWTASVDVADGYRRIHMMNNADYIFDAEEGTPQYGGARDGTRLILFRWNEGLNQIWRMVPCGGGVLEHEKPLCVVCHSNQALCLSVRDGVVVLADIDIKSRRQWIVSFQNTGRVTDAEGHRSFVLVNWSSGKVMKRSGDGEPVRVGRPVRINNL >OGLUM03G16010.1 pep chromosome:ALNU02000000:3:12315079:12318603:1 gene:OGLUM03G16010 transcript:OGLUM03G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNTCWRQQHPRRGEEKAVVVRGGGSSKCGMAWRNSVAHRAGGENSRRGGDGRWKVGFSLVGYWVVRDISGLQVQTSANRNHPPVIFQVSTKPICTSACTRRGSPSPSPGMEHAFRIQCRASDDLSLAIVNGEVILAKSDPRDDRQARPSSLLLRFCVTACTYGYTFVLRFNKIFQICTLECRSGTRTYDTVRLVKFEPGYLDESVLWTESEDTGDGFHRIHMINNADYIFDAEEAVPLCDGARDGTRLILFRWNGGDNQLWRMAPCIGAEPDHEPPVHVVCLTVRHGAVVLARIDHKDPKQHWTVSFRNTGRVTDEEGHRSFLLLNPSTGKAMKRSADKEQPVELVGHGPDSVDVALLWTRSDNVGEGFHCIRTVSDVSLVLDAAGGGRHDGTPIIVFPWNGGANQRWSMLPLD >OGLUM03G16020.1 pep chromosome:ALNU02000000:3:12320918:12325175:-1 gene:OGLUM03G16020 transcript:OGLUM03G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6N0] MAEEHDHHGSSRHMSASQKELGDEDARVVRVGDAERTNEQLEFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLTVTAVKDAYEDWRRHRSDRAENGRLAAVLLSPGAGTHFAPTKWKHVRVGDVVRVYSDESLPADMVLLATSDPTGVAYVQTLNLDGESNLKTRYAKQETLTTPPEQLTGAVIRCERPNRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTTWAIGVVVYAGRETKAMLNNAGAPTKRSRLETQMNRETLFLSAILVVLCSLVAALSGVWLRTHKADLELAQFFHKKNYVSDDKNANYNYYGIAAQIVFVFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTTLYDASSNSRFQCRALNINEDLGQVKCVFSDKTGTLTQNKMEFRCASVGGVDYSDIARQQPVEGDRIWVPKIPVNVDGEIVELLRNGGETEQGRYAREFFLALATCNTIVPLILDGPDPKKKIVDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRFDVLGLHEFDSDRKRMSVIIGCPDKTVKLFVKGADNSMFGVIDKTMNPDVVRATEKHLHAYSSLGLRTLVIGVRELSQEEFQEWQMAYEKASTALLGRGGLLRGVAANIEQNLRLLGASGIEDKLQDGVPEAIEKLREAGIKVWVLTGDKQETAISIGFSCKLLTREMTQIVINSNSRESCRKSLDDAISMVNKLRSLSTDSQARVPLALIIDGNSLVYIFDTEREEKLFEVAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGTFPGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVDLLLVHGHWNYQRMGYMILYNFYRNATFVFVLFWYVLHTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGAGQREESYNLRLFIFVMLDSIWQSLAVFFIPYLAYRKSTIDGASLGDLWTLAVVILVNIHLAMDVIRWNWITHAAIWGSIVATLICVMVIDSIPILPGFWAIYKVMGTGLFWALLLAVIVVGMIPHFVAKAIREHFLPNDIQIAREMEKSQDSHDVTHPEIQMSTVARA >OGLUM03G16030.1 pep chromosome:ALNU02000000:3:12331643:12334771:-1 gene:OGLUM03G16030 transcript:OGLUM03G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6N1] MDVVPARLPDPVPSPILRHSPSTSRSLRSVGDMPSVTFAGDMRSGSCRAESTASSFESFRRAGSRPQPVGAVARMPTRRSASERAGSQRDLRDEDARFVYVNDAARTNAPPAKFPDNSVTTTKYSVLTFIPRNLYEQFHRVAYVYFLILAALNQVPQLGVFSPVASVLPLAFVLGVTAVKDAYEDWRRHRSDKTENNRTASVLVDGVFQPKPWREIQVGELVRVVANETLPCDMVLVSTSDPTGVAYVQTINLDGESNLKTRYAKQETMSTPPEALAGLIKCEKPNRNIYGFLATVDLDGRRAVSLGTSNIMLRGCELKNTAWAIGVAVYTGRDTKVMLNNSGAPSKRSRLETHTNRETIVLAVVLTLLCTLVSLLAGIWLSDHSDELGVIPYFRKKDFSNPNEVEKYKWYGTGAQVVFTFMMAVIQFQVMIPIALFISMELVRVGQAYFMVQDEHMFDDKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGGDFSETDGGDADGHAVAADGVVLRPKTAVKTDPKLMAMLKDGTGAKADAARDFFLTLVTCNTIVPIIVDDDEDNDDPAAAAAKLVEYQGESPDEQALVYAAAAYGYTLVERTSGHIIIDVFGTRQRIIMGYLGFSMIAGTNESVGQGQSNRSG >OGLUM03G16040.1 pep chromosome:ALNU02000000:3:12340002:12344887:-1 gene:OGLUM03G16040 transcript:OGLUM03G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVTPVYSTISPFRWRKRKSPVSSPPLLAPSRAEQGGATISFWRAEQGRGGEGILTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >OGLUM03G16040.2 pep chromosome:ALNU02000000:3:12340002:12343879:-1 gene:OGLUM03G16040 transcript:OGLUM03G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGSAPTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >OGLUM03G16040.3 pep chromosome:ALNU02000000:3:12342984:12344887:-1 gene:OGLUM03G16040 transcript:OGLUM03G16040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVTPVYSTISPFRWRKRKSPVSSPPLLAPSRAEQGGATISFWRAEQGRGGEGILTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGTHFSLVTYG >OGLUM03G16040.4 pep chromosome:ALNU02000000:3:12340002:12342135:-1 gene:OGLUM03G16040 transcript:OGLUM03G16040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVALQQSDVGSRPDTSGYPQSSERQLTAVSSFSSASPFSESSQLASSSKPPYLHLPRNHLGRRSFMSKPVYPLVFRNPVSEAEASGMPEASNIGRTTPSDDSPVWRRSLASPELKFHNALSEFRKVEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISVESQRSPTSSVRFLKCGLCERFLRQKSPWTSNRIVQNSDMPVAAVLPCRHVFHADCLEESTPKSQVHEPPCPLCTRGTDDEGCVSFSEPLHVALRSARRNQGNSFSLGGSGGSTSANPSRSDHGLKRNQSALVARRSGTMFRNRFKKQFSFKGRFGKDLFGGRVFKKVGSSSSSGQQDDRQQPKA >OGLUM03G16040.5 pep chromosome:ALNU02000000:3:12342984:12343879:-1 gene:OGLUM03G16040 transcript:OGLUM03G16040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGSAPTGALCCVAARPHGTKSNLASRDCSMDPNEPCWRMNSSFSPPMSRRWDCRNPSDGLPHRIHDAPPYVSSLSSHSKGSRSAFGSDQYLNHQHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGTHFSLVTYG >OGLUM03G16050.1 pep chromosome:ALNU02000000:3:12351504:12358128:-1 gene:OGLUM03G16050 transcript:OGLUM03G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQAVAVKGSVAVPPCGSRGRRRGAVASVRMAAAAATSALRIGRRSPFLGGRLAVGPRRSRPVPRNLVAPVQMNLAFAKATKWWEKGLQPNMREVESAQDLVDSLTNAGDNLVIVDFFSPGCGGCRALHPKICQIAEQNPDVLFLQVNYEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATA >OGLUM03G16060.1 pep chromosome:ALNU02000000:3:12360588:12360851:1 gene:OGLUM03G16060 transcript:OGLUM03G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSNMTGEYHGVQSRKNGSEAAISIIKIGYVQSGEKQEEKSTNKHGHYS >OGLUM03G16070.1 pep chromosome:ALNU02000000:3:12361324:12366812:-1 gene:OGLUM03G16070 transcript:OGLUM03G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G09230) TAIR;Acc:AT1G09230] MPRHRPSPRQTAALPAARHTAAAPPSSSRLLAGRLSHLRTLRSPLAPRKPHLLLPRPPTFARHLGENPTNLPESTPSPGTTPMASFPPPPPPPHVRPAPATPSLAPPPQQQQQPPGSAPPAATLLVRHLPEAIPQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEVVASQAQSLLNRLRFLGKVLIVERANQPNSKNANEKQQEHEAQGALQVPSMNSLNQKNPISSAEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMVLPTPPLPSQVPAPPPPPPPPQPSAANKPHLTDLSSDESELESSDEDVDKRKVKRTKHEAIVGPAVDKSIAHEAVGVKPAALVSSELQVIKKKNPVLQINIAPKAAQKELTVQSTTDKELVPTAEQLQGKHFVTPQDIEKEKLPPEEILSLPMFKNYTPGNPASVLYIKNLAKDVIQDDFYYVFGSVFENMDIARSSLSIKLMQEGRMRGQAFVTFPSVELAQRALV >OGLUM03G16080.1 pep chromosome:ALNU02000000:3:12374837:12376147:-1 gene:OGLUM03G16080 transcript:OGLUM03G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVSVMAEVEETAAAAALDLPPGFRFHPTDEEIVSHYLTPKALNHRFSSGVIGDVDLNKCEPWHLPAMAKMGEKEWYFFCHKDRKYPTGTRTNRATESGYWKATGKDKEIFRGRGILVGMKKTLVFYLGRAPRGEKTGWVMHEFRLEGKLPSQLPRSAKDQWAVCKVFNKELALAAKNGPMAVTGATADDAGIERVGSFSFLSDFIDPAELPPLMDPSFVADIDGVDDAKVSASTSGQAAVAAGFHVASQVMSYQQVKMEEPLPLPYLHQQPPRMLHSGQYFSLPAVHPGDLTPSAIRRYCKAEQVSGQTSALSASRDTGLSTDPNAAGCAEISSAPTSQPFPEFDDAILGLDDFWN >OGLUM03G16090.1 pep chromosome:ALNU02000000:3:12401033:12402372:-1 gene:OGLUM03G16090 transcript:OGLUM03G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFGHHGHHGQDQPPQHHGGGGGGAHQPTFKIFCRADEGYCVAVREGNVVLAPTNPRDEHQHWYKDMRFSAKIKDEEGNPAFALVNKATGLAIKHSLGQGHPVKLAPFNPEYPDESVLWTESGDVGKSFRCIRMLNNIRLNFDAFHGDKDHGGVHDGTTIVLWEWAKGDNQCWKILPWGDEAYAGGSANAPRGGNEPTVRIFCKADEGFSVTVRGGSVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNRVTGEAIKHSQGEGHPVKLVPYNPGYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDALHGDKDHGGVRDGTTVALWKWCEGDNQRWKIVPWCKLPHPNIARCNLFTSTIINFLDEE >OGLUM03G16100.1 pep chromosome:ALNU02000000:3:12417886:12419686:-1 gene:OGLUM03G16100 transcript:OGLUM03G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNPAMLPPGFRFHPTDEELIVHYLRNRAASSPCPVSIIADVDIYKFDPWDLPSKANYGDREWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSGGGGGAATNESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAAADAHAANTYRPMKFRNTSMRLDDWVLCRIYKKSSHASPLAVPPLSDHEQDEPCALEENAPLYAPSSSSAASMILQGAAAGAFPSLHAAAAATPRTAMQKIPSISDLLNEYSLSQLFDDSGAAAAAPLQEMARQPDHHHHHQQQHALFGHPVMNHFIANNSMVQLAHLDPSSSAAASTSAGAVVEPPAVTGKRKRSSDGGEPTIQALPPAAAAAKKPNGSCVGATFQIGSALQGSSLGLSHQMLLHSNMGMN >OGLUM03G16110.1 pep chromosome:ALNU02000000:3:12431548:12431873:1 gene:OGLUM03G16110 transcript:OGLUM03G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAADLWVAHWSSLFATSLTKNPVTSYSHSIVRSWYYTLLLLYSVGEILVSIQRNGKLIHVVLKD >OGLUM03G16120.1 pep chromosome:ALNU02000000:3:12436519:12451057:1 gene:OGLUM03G16120 transcript:OGLUM03G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanyl-nucleotide exchange factors;GTPase binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT4G16340) TAIR;Acc:AT4G16340] MDSAAAAGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDLETELQLSNDRQSKPDEVTEDDMPSTSGRQLYETEVPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKVATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNRSSFDGIHGSLNSSAVAQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFYHVDLQMKPEAPKPVCFLFLITKVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRKNISDGNMPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >OGLUM03G16120.2 pep chromosome:ALNU02000000:3:12436983:12451057:1 gene:OGLUM03G16120 transcript:OGLUM03G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanyl-nucleotide exchange factors;GTPase binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT4G16340) TAIR;Acc:AT4G16340] MDSAAAAGEGQRFKRIPRQSLAGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDCKYGRYESVAPPSFQNQIFEGPDTDLETELQLSNDRQSKPDEVTEDDMPSTSGRQLYETEVPASSSKKHCSLSPLPAYEPAFDWENERSLIFGQRVPESVPAINSSGLKITVKVLSLSFQAGLVEPFSGTICLYNRDRREKLSEDFYFHILPTEMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKVATEEGGVTPSVYSRKEPVHLTDKEKQKLQVWSRIMPYRESFAWAMIPLFENNQAGGAASPSSPLAPSMSGSSSQDSIVEPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHNGHNDMDNISEGGSMANDLNDAGELNNGRYNRSSFDGIHGSLNSSAVAQKDAHQNGQASNTESGENFQAFDFRMMTRSEPFSQLFHCLYVYPLTISLGRKRNLFVRVELRKDDSDIRKPPLEAVHPRDRNTTLQKWAHTQIAVGTRMACYHDEVKISLPALLTPQHHLLFTFYHVDLQMKPEAPKPVCFLFLITKVVVGYAVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEVAAFRAMVNILTRVQQESSDGAERNRFLVNYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKDGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSILHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIIRNLDDMTLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSLLLGSSSRSPDAERPASPKYSDRLSPSVNAYLSEASRHEIRKNISDGNMPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGIIDKFSVAAASRSITTDYAKLDCVTSVLMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGETRRLRKSLEEMADVRSKDLLKDCGLPVNALEAAPEGSTDNRWSWVEVKHLSKCLVQALDAGLEHALLGSEMTLDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLCKICPIVNTDVSSEASAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRAYGQLAKCHTSLKDIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHIYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >OGLUM03G16130.1 pep chromosome:ALNU02000000:3:12450969:12455500:-1 gene:OGLUM03G16130 transcript:OGLUM03G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGGGGGSNSSASSAVTVAAADEVGRLAPPAVPRRRVYKSNGYLLISCNGGLNQMRAAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECRVTVKNR >OGLUM03G16130.2 pep chromosome:ALNU02000000:3:12450969:12455500:-1 gene:OGLUM03G16130 transcript:OGLUM03G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHKSSPPAIRRRGVGMLRWAVRVASSIVLWTALLHLSSLLGLPRPPLLAARPSCLGGGGGGGGGSNSSASSAVTVAAADEVGRLAPPAICDMVTVARYMNLTMVVPELDKQSFWADPSDFGDIFDVNHFINSLQDEVKIIRELPQKFSRKVPFSMQPISWTDSRLANNGLPLKLQKLRCRVNYNALQFAPSIEALGKKMISALRKTGSFIVLHLRYEMDMLAFSGCTHGCSDEETAELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLTELKTEFPNIIRKEMLLSADELRPFQKHSTQMAALDYLVSIASDVFIPSNDGNMAKVVEGHRRFMGFHKTIQLDRKKLVELIDLLEDQELSWDEFSTAVKELHEGRMSEPTRRKAIAGQPKEEDYFYANPHECRVTVKNR >OGLUM03G16140.1 pep chromosome:ALNU02000000:3:12462161:12466868:1 gene:OGLUM03G16140 transcript:OGLUM03G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSLLAVILLAATAADVDALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAASSAVSARVAGPRGEELHLTEGVEAGEFRFEAAEDGRYTACFWTPRYLRGAIVSIDVQWTTEVRDHAGGAGSLPAVAAAKEGHIDSMIGELKKLEVSTRLIHEEMISLRRSEGEMQKLNEDTTMKIHSFTLLSLAVCVGVAGLQLWHLKTFFQKRHIL >OGLUM03G16140.2 pep chromosome:ALNU02000000:3:12462161:12466544:1 gene:OGLUM03G16140 transcript:OGLUM03G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRSLLAVILLAATAADVDALVFDVPSGGSKCLTEELRRGALSHASYRVAEATSAASSAVSARVAGPRGEELHLTEGVEAGEFRFEAAEDGRYTACFWTPRYLRGAIVSIDVQWTTEVRDHAGGAGSLPAVAAAKEGHIDSMIGELKKLEVSTRLIHEEMISLRRSEGEMQKLNEDTTMKIHSFTLLSLAADEDNIMSALWIKEDAGNHTMMSFQHTVAVIIAFTCF >OGLUM03G16150.1 pep chromosome:ALNU02000000:3:12465026:12469964:-1 gene:OGLUM03G16150 transcript:OGLUM03G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINFLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCMLEGHHGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >OGLUM03G16150.2 pep chromosome:ALNU02000000:3:12465026:12469964:-1 gene:OGLUM03G16150 transcript:OGLUM03G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSAAAGARQQQQQGEAVSDRVLRFRGVLVVVLAPVLLISLVLLLMPRAPASATVEGSAGELVAAAGRRWGPRAVSGLGDGSTRYAVIFDAGSSGSRVHVYCFDGNLDLLPIGKEIELFKQKKPGLSAYAMDPQEAAKSLVSLLEEAEKVVPVELREQTPVRVGATAGLRALGTEKSEEILQAVRDLLQDKSSFRSQPEWVTVLDGSQEGAFQWVTINFLLGNLGKPYSHTVGVVDLGGGSVQMAYAISEKDAGKAPPVAEGEDSYVKELLLKGTTYYLYVHSYLRYGLLAARAEILKAGEGNDYRNCICINLRFLGKMVPDSNCPCKLYTGQYRYGDDIFEASGLSSGASYSKCRAVAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVNPKAPFAKVKPSDFEEAARRVCKLNVKDAQATYPDVSEENVPYLCMDLVYQYTLLVDGFGVDPYQDITLVKKVPYSNSFVEAAWPLGSAIEVASSS >OGLUM03G16160.1 pep chromosome:ALNU02000000:3:12471399:12480322:-1 gene:OGLUM03G16160 transcript:OGLUM03G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFRCSQPCADVPVPVQRVSSRIRAAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLQPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYTPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMVQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYACGDERGGRRGGGRRRAALLACCWRPSAEEKTDAGTTATAVRSVDGRTAIAAASGGVAAMGNPTAAAITQVLHNKLEFRLTAGVDDLLVVSIGSGSSSSAPSATPSSRGLLLGPVGEADAELAAAQEVAVEVAASAAGRPDGWRGREKRGTPSMRRGHRAAAGPGRGGGGDEEDADNHHGNADDHHAHRPPRARTPPSPPAAARSCYSPAAKRSKKRREKKKRERER >OGLUM03G16170.1 pep chromosome:ALNU02000000:3:12491469:12495971:1 gene:OGLUM03G16170 transcript:OGLUM03G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPPPPSSSSPTVPAAHSPFLLSRQNSGRGPAPSPSPLSASSPSSWAQAQPFSRSNGSVDEVVGAGEELISPANSGGGAAANAPPFFPRGGDVLLDDFQLQEQLAFLNEGGVNPSHPLQGFDGAECRSPGPGEGGGMFPYGLGWANGGPGHRRSASVNELCLGGGSSDGFGWKPCLYYARGFCKNGSSCRFVHGDDAAALTGAAMDAATAEQQQCQDFLLRSKSQRLGPSAFPYSPTGSLPGSPSAATKCLSLLLQQQHNDNQRAAAAAALMLGGSDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKKHQGDFSGCTTPTGLDGRDPFDLHQLGARMLQHSNSTNEMMLRRKLEEQQQAAELQQAIELHSRRLMDLQLLDLKNRAAAAVTTAMAMTIPTANAFGSSQPLATTMVESPPDSGEQLKGTGYFTEERKMVNGGGDKEESAGEASLNADSDQSLEHNLPDSPFASPTKSSVSAHQSFTTTDTGVVATSSCSASHVGISAGTNAGGGINHLRPSTLDIPSPRDFFSVSRRVARSHSHLLGQLPTSVICAPQQMQATYLAE >OGLUM03G16180.1 pep chromosome:ALNU02000000:3:12513334:12517091:1 gene:OGLUM03G16180 transcript:OGLUM03G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYCLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQLGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRLSEHGAIGM >OGLUM03G16180.2 pep chromosome:ALNU02000000:3:12513334:12517091:1 gene:OGLUM03G16180 transcript:OGLUM03G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGDKEMIRLAFGPEALLHSVMAQARKELALLPPPQAASSSPTVPAAHSPFLLSRQNSGRCPAPSPSSWAQAQPFSRSNSMGNGGAADEMVGAGEELMSPLNGGGGAAANAPPFFPRGGDALLDDFELQEQLAFLHDGAGGVNPGHALQAFDGAECRSPGPGESGGMLPYCLAWANGGPGHRRSASVNELCLGGDGFGWKPCLYYARGFCKNGSTCRFVHGGLSDDAAMDAATAEQQQCQDFLLRSKSQRLGPAAFPFTPTGSLPASPSATSKCLSLLLQQQQQHNDNQRAAAAALMLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFRYIMRCCNDASSFFHGGLGRTRSDWHFCIAVLGNAPRCSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQLGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRLSEHGAIGM >OGLUM03G16180.3 pep chromosome:ALNU02000000:3:12514717:12517091:1 gene:OGLUM03G16180 transcript:OGLUM03G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGDEAHKFMGRPRLDRVDFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFCCMSPTGLDARDPFDFHQLGARMLQHSNSANELMLRRKLEEQQQAAELQQAIDLHSRRLIGLQLLDLKSSAAVHAAETTTMSLPTPITNAFTSGQLGATTIVESPPSSTGQLMASCGSPSEGKVVNGGNKADSAGEVTRNADSDQSGEHNLPDSPFASSTKSTAFSTATAATAIGSEGDFTTGSSCNIGGSAVGGANPLRPPTLDIPSPRTCFFPMPRLSEHGAIGM >OGLUM03G16190.1 pep chromosome:ALNU02000000:3:12526375:12526988:-1 gene:OGLUM03G16190 transcript:OGLUM03G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRKSRGCCSPMNIKSKAKVGIDQSKDKNSPNGEMALTLAPLKAKQEDGKKAFLVGSSEVPGPGPGSSDPISLFGRGGCQEVPGLGAGSSGSCYVSNG >OGLUM03G16200.1 pep chromosome:ALNU02000000:3:12534385:12538262:-1 gene:OGLUM03G16200 transcript:OGLUM03G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Q8] MSMYGRDPWGGPLEICHDSATDDDRSRNLDLDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDLGCLVVSRKLFVWTVGVLLAAAVFAGLVAGIAKAIPRHHRPPPPPDDFTVALRKALMFFNAQKSGKLPKNNNVHWRGNSCMKDGLSDPAVGRSLVGGYYDAGDAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHIRDTIKWGADYFLKTFNSTADTIDRVVMQVGSGATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAASLAAASIVFKDNKAYSQKLVHGATTLFKFARQNRGRYSAGGSDAAKFYNSTSYWDEFVWGGSWMYLATGNSSYLQLATHPKLAKHAGAYWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFKSFNRTKDTPGWYCGPHFYPIETLRNFARTQIEYILGKNPLKMSYVVGYGNRYPKRVHHRGASIPKNGVHYGCKGGWKWRETKKPNPNIIVGAMVAGPDRHDGFKDVRKNYNYTEATLAGNAGLVAALVALSGEGHGVDKNTMFSAVPPMFPSPPPPPAPWKP >OGLUM03G16210.1 pep chromosome:ALNU02000000:3:12540521:12541018:-1 gene:OGLUM03G16210 transcript:OGLUM03G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPAVTEARENPGEVCGRWSTPGQGGRGGGGALGRRGSWWERGDEAHMRLPVEVDGLFQAPALLLYGYKVIAAGVRLHLHCCCAARRSQGRSRKEMRLVRGARARQRGPSASPNGSQWPPPSLALLPHGGDVRLRLHCCCGGEIGGRQGRGAERGGGVAPMR >OGLUM03G16220.1 pep chromosome:ALNU02000000:3:12553343:12558664:1 gene:OGLUM03G16220 transcript:OGLUM03G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVPGGCARMRRVVLGVVLLLSVCVRASHAVTDSQDTSVLRALMDQWQNAPPTWGQSDDPCSDSPWDGVVCSNNRVISIKISTMGIKGVLAADIGQLTELQSLDMSFNKDLGGVLTPNIGNLKQLTTLILAGCSFHGNIPDELGSLPKLSYMALNSNQFSGKIPASMGNLSNLYWFDIADNQLSGPLPISTNGGMGLDKLFKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGNIPDSLGLVTTLEVVRLDRNSLSGPVPENLNNLTKVNELNLANNQLTGPLPDLSQMTQLNYVDLSNNTFDPSPSPQWFWRLPQLSALIIQSGRLYGTVPMRLFSSPQLQQVILDGNAFNGTLDMGKYISSELSIVSLKDNQLSSVTVTASYNGTLSLAGNPVCDRLPNTQYCNVTQRAAPAPYSTSLVKCFSGTCNAVGESMSPQSCACAYPYQGVMYFRAPFFGDVTNGTAFQELESRLWTKLDLTPGSVFLQDPFFNADAYMQVQVKLFPSGSAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEERSSSRSKGAIIGIAAGCGVLVVAFVGAAVYALVQRRRAQKAREELGGPFASWKRSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGTFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSAGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDTERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIIAKQPIDKGKYIVREVKRAFDAGDAEFCGIKDMIDARIMNTNHLAAFSKFVQLALRCVEEVAGARPSMSDVVKEIEMMLQSEGLSSASTSASTSATEFDVTKGAPRHPYNDPLPKKDKDMSTDSFDYSGGYSFQSKIEPK >OGLUM03G16230.1 pep chromosome:ALNU02000000:3:12561694:12566929:1 gene:OGLUM03G16230 transcript:OGLUM03G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPILPKSLKDIPRSHNTQNILMPGQLPNDSMPLHHSATQSSISHPRASVVRSSYSAMLGYAANPIDSVSSHEGHFMAAPFISQSSNAEMLQSLCNNNTHGGHTVPTFFPAPACGAPDYMDTITVPDNHTQSGSSTVTSDAAKQNEWWADIMNDDWKDILDATATDSQSKKYRTARYKPDLSEGKTQEGKTTDELSLDLKASMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQKMFEKQCKSSTQSVQDPSSGDTATPSEPSNSVDKDSEAALDPNRIGDNHPKNSTNVGANLKTAATESPDSPVIATDGSELPQEKRRRVHES >OGLUM03G16240.1 pep chromosome:ALNU02000000:3:12569337:12573865:-1 gene:OGLUM03G16240 transcript:OGLUM03G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6R2] MKATPPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSFGRMGLERSGGVTVVKPEDGSGANAETKDTPEMIHRGGINSHHHSDNVPSKVSANPKVPPPPKIEPLKPKAKSVPVPVQRTEVISGNNMKPAKVQNADDVEKAKACQLEFGSYCLWSIEHKEAMKDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRGLKQNIQEHERVLSESIVDADLPSFIKSKIEKMDQTIGRAKACTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSAPLDSDDSAVHKFNVPDHRHYVILSKNVLAASVVINSTVSNSEETENVVFHILTDAQNFYAMKHWFGRNSYRESAVHVINYEHIILENLPEFSSQQLYLPEEFRVFISNLERPSEKTRMEYLSVFSHSHFFIPEILKDLKKVIVLDDDVVVQRDLSFLWNIDMGDKVNGAVKFCGLRMGQLRNLLGKATYDPQSCAWMSGVNVIDLEKWREHNVTENYLQLLKKFQHNDDEASVRAAALPISLLAFEHLIYPLDERLTISGLGYDYAIKEELVRNSVSLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNLEKLVLS >OGLUM03G16250.1 pep chromosome:ALNU02000000:3:12581153:12585933:1 gene:OGLUM03G16250 transcript:OGLUM03G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDPFNCIHVADTPTLDALKKGGPERWRVIKAHGTAVGLPTDDDMGNSEIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGNDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADKAVKIVLDAIEQVGGIFVVTADHGNAEDMVKRDKSGKPLRDKDGNVQPLTSHTLNPVPIAIGGPGLQPGVRFRSDLPRAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >OGLUM03G16250.2 pep chromosome:ALNU02000000:3:12581153:12585933:1 gene:OGLUM03G16250 transcript:OGLUM03G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRRWELAAHRRLEKGKVVGVVVLDGWGEAAPDPFNCIHVADTPTLDALKKGGPERWRVIKAHGTAVGLPTDDDMGNSEIYEGEGFKYIQQSFENGTLHLIGLLSDGGVHSRIDQLQLLLKGASEHGAKRIRVHILTDGRDVLDGSSVKFVELIENDLAKLRDKGNDWQVVKRGWDAQVLGEAPHKFQNALEAVKKLREDPKANDQYLPPFVIVDERGRPIGPIMDGDAVVTFNFRADRMVMLAKALEYESFDKFDRVRFPKIRYAGMLQYDGELKLPSHFLVAPPEIERTSGEYLARNGIRTYACSETVKFGHVTFFWNGNRSGYFNPNLEKYEEIPSDIGIPFNEQPKMKAVEIAKKTRDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADKAVKVPIAIGGPGLQPGVRFRSDLPRAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >OGLUM03G16260.1 pep chromosome:ALNU02000000:3:12587038:12592216:-1 gene:OGLUM03G16260 transcript:OGLUM03G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGVEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNRQDVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDLKVRTEKGGRRPAKEASTRPLKETVGQRKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSSPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >OGLUM03G16260.2 pep chromosome:ALNU02000000:3:12587038:12592216:-1 gene:OGLUM03G16260 transcript:OGLUM03G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSLYSKEQRNPMGGALSGGVFGTIGVEQQGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNRQDVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDLKVRTEKGGRRPAKEASTRPLKETVGQRKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSSPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >OGLUM03G16260.3 pep chromosome:ALNU02000000:3:12587038:12592216:-1 gene:OGLUM03G16260 transcript:OGLUM03G16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVASNVELDSAVFQVSSAQNRYEAIACSKGNTELIASGPFDQLVLHLEDARKFQSCSTAGTFKLSLSGNAKGSSWFTKSTIARFLNIINSPDASKSANGILHEISQLEETRKFHQSLYSKGNVGPNSSEATKNELLRALDLRLTVLKEEIFALLNRAVVSNMSTRDVSDLSSFVQHFGASEFSWLMRCLLLIPDCQPSEVSQQQSFPAEKDDKGENALHTRNISSHTIIQRPITNNVSPAKLAQIERESSTESDDSSESSAEDEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESGSCNGETDQPSRKSDNNVRRMSVQDAINLFESKQKDQNPDSQNKKAGLFATKSVLRRWSAGMGDSLNNNSEEKTIDSTSQSKSNNTGSDSEKDGAETQAEPGSASASNTVVTPSVEGFHTNRQDVAMPETETAVSSHTEISAEQTKSGQEENSDRAMASAEWNRQKEAELNQMLMKMMQVMPGKFSSANVTTTGITSTNEKKGGLQGQHKEKRDLKVRTEKGGRRPAKEASTRPLKETVGQRKAAITPKTGTAAEKRNSPVPQRARRNSSPPVLPKELTPKAPARKSSPKPSPAPVTRSSWSGGSLTKATTAQKTKSSPGTVSAPTATSRRRTPVAPSPSQPTSKVERSAQPVKNKKETVAASKPAIKGIEEKKTKTATKTSRLAKSSPISDEKSSAATRPNLYNKVAKKSSVVPLESKPSKKATGISQSAGTDAVKSKMPQLDDSSNDIGNITQAEDKEHSAVTTQPKTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTENSAPSLATTEMDSSEQVEPHTEVQPPPEEDMGISSAAWVEVEHEEVTDVGENVVPEDVTSPSIEPLPSSSPRIRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGLKRLLKFARKNKGDNNSNGWASPSVVSEGEDELEEPRGGNEGVNSSRRTFDGPKTNSILSAQSTTGSFNSTNSDRLRDRPGAAPSTKSSRSFFSLSNFRSSRSNESKLR >OGLUM03G16270.1 pep chromosome:ALNU02000000:3:12607997:12608675:-1 gene:OGLUM03G16270 transcript:OGLUM03G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSRTYNLINSPWWIGKFQGEVFDASPSRARKKGRCAVRRSSALSIHGVPQPCGQLLWVLGFRRAARLGGQGRRPAGGARAARVQPAARLIVSLFLESDVEINLRNYRAQGRVTPWEGERRRRGLGRRTAAAQEDMWGEGDDLWGRM >OGLUM03G16280.1 pep chromosome:ALNU02000000:3:12612565:12614027:-1 gene:OGLUM03G16280 transcript:OGLUM03G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAAPASMPSCPPSSPRGFPSAASPASFGATATLAGFFRFGIRGAGAGGGRSGEPAPLGPEDAAAAGPATSPRAQPSRRRGRRRPAPTRRGGGGRFAVVRRRGAEESLVVVHALRSASPTSVSPGSSLPRRFGPRRRHCPRQRSSPARWGKRGEGEELEVEAASELAGVCAFLPAVLALAACRPGQWHARRMDRDREGEMNGVFSSVSDATWLSPIARGTPSSFYSIALSPLTSTNSALISSERAHPRALDGHLRPRPSRTRRRPPDFSTPSPSVSVPSLYPSASICRGLGTDHHRRADGRPEHRVYDVVCGSGPLDEPVRVGFNVSIC >OGLUM03G16290.1 pep chromosome:ALNU02000000:3:12612716:12614872:1 gene:OGLUM03G16290 transcript:OGLUM03G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHTISSEQQKDILKSDAHRLVEGPAAAHDVVYAVLRSSVRTAMVVRPKAAANGGRGVERRHGDGGGGSRKIWRPPPSPTGSRPEVSVESPRMSTFGGDQGGIGGGRQAARARTAGKKAQTPASSDAASTSSSSPSPRFPHRAGQWRRRGPKRRGNELPGDTEPASFSNEDGGGGTDRSGAATSRSATRSGRRQRGRRWRGREGTASWRHALHSASSRRSLCLARARNRVSLLRSERLNKDAVLRDTSAKFLAGTIRRGST >OGLUM03G16300.1 pep chromosome:ALNU02000000:3:12616503:12621534:1 gene:OGLUM03G16300 transcript:OGLUM03G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSIKGAHPSCPEIRLKLTVHRRPPTSSPLSELTRPASALQFGSVPEFGSRGEWGNGGSRDAVPPPLRDPRAVPRRAAPRRRRVRAPARRLVPAEAAAPVAPRRGRRLRPAGLPRASRPGGEAEEVPLPGGERQVHAGRGGALPGAAEGEAAALRGAGEGAGLLAGGGAQVPRQVPQHHQAPQAPRRRARLHRRQLDHFYEVEARQGLSRTI >OGLUM03G16300.2 pep chromosome:ALNU02000000:3:12616537:12621534:1 gene:OGLUM03G16300 transcript:OGLUM03G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSIKGAHPSCPEIRLKLTVHRRPPTSSPLSELTRPASALQFGSVPEFGSRGEWGNGGSRDAVPPPLRDPRAVPRRAAPRRRRVRAPARRLVPAEAAAPVAPRRGRRLRPAGLPRASRPGGEAEEVPLPGGERQVHAGRGGALPGAAEGEAAALRGAGEGAGLLAGGGAQVPRQVPQHHQAPQAPRRRARLHRRQLDHFYEVEARQGLSRTI >OGLUM03G16310.1 pep chromosome:ALNU02000000:3:12623769:12624341:-1 gene:OGLUM03G16310 transcript:OGLUM03G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGVAAKPSLSRKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRAGLEATVGGYIPAGAAGLRFGDFEALHRALGDALFGPVEEEEPGKQGEDDDEGDMKEAFRVFDEDGDGFISAAELQAVLKKLGLPEARNLATVQEMICNVDRDCDGRVDFGEFKCMMQGITVWGA >OGLUM03G16320.1 pep chromosome:ALNU02000000:3:12630050:12630862:1 gene:OGLUM03G16320 transcript:OGLUM03G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTKDVLIGQSPTEPAFANPPEAVAAAASTGPAWRELLEPGVRHALFCGVTIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSGDSTSILISGLTTLLMLPSIGVAMRLMDASGRRALLLWTLPVLVASLAVLVVANVVPMAATAHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFSFYAAVCCVALVFVALKVPETKGLPLEVIIEFFNVGAKAGTLPDEEFH >OGLUM03G16330.1 pep chromosome:ALNU02000000:3:12645794:12652632:1 gene:OGLUM03G16330 transcript:OGLUM03G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVLVAVAAAIGNYLQGWDNATIAGAVLYIKREFALETQPAVEGLVVAMSLIGATIITTFSGPVSDLVGRRPMLIASSLLYFAGGLIMLWSPNVYVLLLARLVDGFGVGLAVTLVPVYISETSPPEIRGRLNTLPQFTGSGGMFMSYCMIFAMTLSPSPNWRIMLGVLFVPSLLYLFVTVFYLPESPRWLVSKGRMKEARVVLEMLRGREDVSGEMALLVEGLGTGGDTEIEDYVVGPSEGDAGENEQARDTVTLYGPEQGLSWVAQPVAGGRGSMLGSSLGLQASRHGSMYEQMKDPVVALLGSVHERLPESGGGATGSMRGSTLFPNLGSMLSVNDRPGGSSWDEENVQPGDDDLEDEEEEYLSDDGKDDDDGGGLQAPLLSRQSTDVETKNEPASGQVAMQRHSSIGGGGGGVETASTMGIGGGWQLAWKWTENFHIGASLNHRFIINSMSELVISNFPNSLSELARQVSSSLSELTSS >OGLUM03G16340.1 pep chromosome:ALNU02000000:3:12684817:12698722:1 gene:OGLUM03G16340 transcript:OGLUM03G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSSSCSGGQFPRVSPLGTRPKRSTRVVPLPVVTRATAGGVRNNLEVGGNAGTLQGMDIDELRVIVRKQLQGVELSPSSYDTAWVAMVPVQGSPQSPCFPQCVEWILQNQQEDGSWGHSAGPSGEVNKDILLSTLACVLALNTWNVGQDHIRRGLSFIGKNFSVAIDGQCVAPVGFNITFSGMLRLAIGMGLKFPVMETDIDSIFGLREVEFERDAGGTASARKAFMAYVSEGLGREQDWDSVMAYQRKNGSLFNSPSTTAASAIHSCNDRALDYLVSLTSKLGGPVPAIYPDKVYSQLCMVDTLEKMGISSDFACDIRDILDMTYSCWMQDEEEIMLDMATCAKAFRLLRMHGYDVSSEGMARFAERSSFDDSIHAYLNDTKPLLELYKSSQVHFLEEDLILENIGSWSAKLLKQQLSSNKISKSLMPEVEYALKYPFYATVEVLEHKGNIERFNVNGFQRLKSGYCGSGADKEILALAVNKFHYAQSVYQQELRYLESWVAEFGLDELKFARVLPLQSLLSAVVPLFPCELSDARIAWSQNAILTVVVDDLFDGGGSMEEMLNLVALFDKWDDHGEIGFCSRNVEIMFNAVYNTTKRIGAKAALVQKRCVMHHIAEQAEWAAGKLIPATMEEYMSVAELSFALGPIAPVSAYLLGEELPEEAVRSPEYGRLLRLASAVGRLLNDVMTYEKEMGTGKLNSVVLLQALAAGAARGGGGGDGALAPAPASVEAARAEVRRAIQTSWRDLHGLVFGSGGSSCIIPRPCREIFWHAGKVANVFYQEGDGYARKAMRSMANSVILDPLHLQE >OGLUM03G16350.1 pep chromosome:ALNU02000000:3:12707673:12708181:-1 gene:OGLUM03G16350 transcript:OGLUM03G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDDTTALMAVAGEWWPAALEAKALLQASLLQHLLQIDAEETSGPWRTRWTSRGRLTSIGLSTHLGVLLYYPLHGGDPVCDVYDSEAVTTATATSIFGSVAGSGSNRGRSG >OGLUM03G16360.1 pep chromosome:ALNU02000000:3:12711722:12723613:-1 gene:OGLUM03G16360 transcript:OGLUM03G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVKSKKEAWRRWTKSGGEGRVKALSYTTRTFDQAYRNNLGEDSSRRQAAKAKKARLCPMKRQHGRKPYGESRGMKHVGFPEDGPCWWAIRAKTMGRKPCREGHRFCQEPDLTGRRPNGPSCPPGVLPTIGLSRLAQLGPMHDHCIGNAEHLLRRVTIAVPIRCRQIRRPRIHPPHGPAAADPPSQRPGGSGSALPAARRRRIRTRAPPPTLELTAARASPLLRPSCRPRQSMSLPAPELAARACGYRMKGEGWRERGSGGRRRMGRWSGGRRR >OGLUM03G16370.1 pep chromosome:ALNU02000000:3:12713489:12716058:1 gene:OGLUM03G16370 transcript:OGLUM03G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFTEQSSFDNSIHVTHALKFPLYATLEPHEHRRNIERFKTNGFQLLKSGYCWVAEFRLEELKFARIMPLQALLTAVSPLFRSELSDARIAWSQNTVLVTAVDDLFDGGGSMEEMRNFVALIEKWDDHSEIGFCSKNVGILFNALYQTNSRICAKAALVQNRIVMDHIAEHWRLMVRAMMTEAEWASSKHIPATMEEYMSAASHSLVGTIFQSAAYLLGPRLPEEVVGGEEYGRLWRHTLLVSRLLNNVMTYGREPSRALALGDSVAGVRGGGQGGDREGHLGVEVGAAEAGVRRRRRGCSPVMQGDVLADE >OGLUM03G16380.1 pep chromosome:ALNU02000000:3:12729754:12730237:1 gene:OGLUM03G16380 transcript:OGLUM03G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSMGRAVGRNATRSVVITHQELPTPLPDVSTPSPPCQMSVDLPHQILARKTLAQPHPIKLPLYQLPCQPKLRFSICRSGLFPNSLYLPPLSSDVKHKGTCLVADVAVPQPLELCTLLSLSSCFAGRRRPDEVVKKKRGKEK >OGLUM03G16390.1 pep chromosome:ALNU02000000:3:12730602:12733457:1 gene:OGLUM03G16390 transcript:OGLUM03G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASTPSASRRNPITGKKMSSTNTRGKEKGGRAPGLFDAAGPGPSHKTTLKGTQLITTKLSLPKFKKLLQDLTPDQQQLVRDNGFGTLLELKGSHIPRVTATMLAENFDTSSRTMKLQDNVSFKLDQYTVERILGIPMGELPIPHNSSKLALHQQLTSLYLLSIKT >OGLUM03G16400.1 pep chromosome:ALNU02000000:3:12741599:12742926:1 gene:OGLUM03G16400 transcript:OGLUM03G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYVTYQPMVQCVLDLLLHQSVRRNQAEKHCSRASSLVSNRKKIWDSRSLSTYGLAPVTAQQRHHYITSILARMDCEQAICVVESSRLLIPLMPIHLKGMWHANCPVLAKRSMMTLPMRASIMRVNYTSDQVASPQAEGEDISNVKLRVMSSKLSPLSTGELELSILS >OGLUM03G16410.1 pep chromosome:ALNU02000000:3:12743926:12744816:1 gene:OGLUM03G16410 transcript:OGLUM03G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRAVSSSPLAMGRRKRTRRAMRGPFQGPVGEDTSPPPHEVGALPQKESSKPEVEEFGSISLLPARTRIMDPDSVGLAKHQDWSFITHTFVFYCSALLSLGLSLSRTYKHTKTPF >OGLUM03G16420.1 pep chromosome:ALNU02000000:3:12746076:12747572:1 gene:OGLUM03G16420 transcript:OGLUM03G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLEDLIAPAFFADLVSRLIAFLISRYSNRACFEETAIKLELLVLKIHAVIQEAEHRHIAGNQSLLLWLKKLMKGMYQAYYVLDSARHPQDDQYTNSVMTSGRSLNLSRFCCPAKRPRTVATDCPVNNYGSIHQLSSTLAFLEDYSANLRDLILLLACCPPLPIRQPVSDFLSDERNMFGRRVEREQIISFLMQPGNHLGVLPIVGGPEVGKGTIIKHVCNDDRVRNCFDMILYSYGSILQANPADDVLETLTSHGHILHQADVSTSSLHRKHLIVIKNTYEVVIDKVAWATLCASLRSIGNGSKIIMVSENDNIKDLGTTGAMRIDPLLQEEYWYFFRSLAFGSGSSILEEHAGLAVVGRQIAAALHGSLFGAKVLGRLLRANLDEQFWHTMLNTVYRFHEVMQRDKYFTKLSIARVALKVLPMPLRLKSASQTGESSEVPGIMVQELVAGSVLPVEKEMEVVLWESAYQPSYRYTVVCERVEKPHCSATKRMKQK >OGLUM03G16430.1 pep chromosome:ALNU02000000:3:12768752:12769920:-1 gene:OGLUM03G16430 transcript:OGLUM03G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVQCIQIDTSFSGEMRYDHFRTKLLENARRPAIVNVNIGTTVKGAIDDLDEIINTLENCGFRDRFYIHCDGALAGLMLPFIKQAPKITFIKPIGSISVSGHKLLGCPTPCGVVINRLKDIDVLKSTNIEYIASNDVTISGSRNGQSPMFLWCKLKSMGYKGIRREIEACLRNARISAFMNSQSIIVVFEKPKDDVFVQKWQLACAGNAAHVVVMPHVSFEMLGIFVEELAEKRYALLQDKEFSIPCVAMDIGQENCLYKLHENIRSSL >OGLUM03G16440.1 pep chromosome:ALNU02000000:3:12811072:12818453:-1 gene:OGLUM03G16440 transcript:OGLUM03G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 5 [Source:Projected from Arabidopsis thaliana (AT2G40930) TAIR;Acc:AT2G40930] MEMEMEMVVAVPSPEVPAEEERALIRDITVAAEAHAKEGDTFFLITHRWWQSWIDYVIQDLANSTNNGSHHHEHGSNVLRRPGAIDNTDLIDDTASEVSNMEIELHDTLVEGRDYILLPQQVWEKLHGWYGGGPTLPRKAINTGLSQTDLAIEVYPLRLQLLLAPKGEQAVIRISKKDTVGELHKKACEVFDLIPDEVCIWDYYGRTRHSLMDNLEKTLDDANIQMDQDILVEVTTDANGSLDGGCIGSIQENEYLERESTSLIADASKSGLSNENFASNNYTSRSYSSSLTQSQYLRSSNGDLDNMHGTSSMITRGSPLGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHREINWQNPLGMVGELALAFGELLRKLWAPGRTPVSPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYIKSKDADGRSDDEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPACGKVSVTFDPFMYLSLPLQFTSTRSMTVMVFTFDGSTPPTPYTVNVPKQGRCRDLIQAISNACSLRNGERLVIAEIRNHRIHRLLDDPVVQLSTINDDDHLAVYRLPKMEKKPNYIQFVHRRDDCFTTYRDNGNNISVTAWKPYGVPLLAQVSRNETVTGMHIHEMVRKMLAPMQKNQESQHSVQSSVSTRTQTYHTDSTKFQLQLIDDSNTTIEQSNDTIRVPQSSLAAVIFVNWSKADLKKLNTHHLENLPEVFKYAPPAKRTRGEPLSLYSCLDAFLREEPLVPEDMWYCPRCKEQRQASKKLDLWRLPEVLVIHLKRFSFSRSTKQKLETFVNFPIHDFDLTNYIANKKSSERQIYELYAVSNHYGSMASGHYTAYIKLLDEERWYNFDDSHVSAINEEDGINCDTITMSERRLASGTWSQMRNELDIVNNGTNCCSIGHFTDGNSHKENASGHPSFAPYD >OGLUM03G16450.1 pep chromosome:ALNU02000000:3:12823721:12830339:1 gene:OGLUM03G16450 transcript:OGLUM03G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSGGGGGGNAWAKEMTIRRRMASIFNKTQEHFPCLKDYNDYLEEVEDMTFNLIEGIDVEEIEAKIARYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGASDTAAGSSQGISSSAGVQGQYAPAAVGMSQPRPTGMAPQPIGGSSDPLQGDDEETMRLRAERGARAGGWTIEMGKRRALEEAFSSIFI >OGLUM03G16460.1 pep chromosome:ALNU02000000:3:12826738:12830068:-1 gene:OGLUM03G16460 transcript:OGLUM03G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6T8] MNAFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFIHFISLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHHFLVLPCLALALLINERFTFREVMWAFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYILNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYIMSWKNNVKLELPA >OGLUM03G16470.1 pep chromosome:ALNU02000000:3:12857841:12866051:1 gene:OGLUM03G16470 transcript:OGLUM03G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVKLVLQLLVVVVVGVAFSQAPRRRHAASSSLHNASSFPLLPPHPLVYLESSCLQSSDRFNLARPWREDLLFFFYLRLISCPARRRLESCAAQDLVSG >OGLUM03G16480.1 pep chromosome:ALNU02000000:3:12865813:12866575:-1 gene:OGLUM03G16480 transcript:OGLUM03G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISEQQPSSKEVQGGQAAAAAAETSYCRSAPVLPAGAMRDEESTFGKAKSADQEERQQAARRDFLAGIRKLIKSFKSLSHIFEVYKEDEDDEDSMNIEIGFPTDVQHVAHIGLDGSSSMSSLRGLQEEARELLSMSSLTMEQFEFAMASLAAHKDPKMVVDRVAPN >OGLUM03G16490.1 pep chromosome:ALNU02000000:3:12869585:12873222:-1 gene:OGLUM03G16490 transcript:OGLUM03G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPRLLILLVLLAFSAAVAVAEDGESAGGSKVSLGRRAGGFLHGLKKKEAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTLRRFFGRFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >OGLUM03G16500.1 pep chromosome:ALNU02000000:3:12882516:12892272:1 gene:OGLUM03G16500 transcript:OGLUM03G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPLVILSGARPGPSPSAVKAPVDWVGWRGRAAVKKSGEGRRGTKTTGCPNGDASFLSFPFLPPSSASASSSSALTPARMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCVLSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >OGLUM03G16500.2 pep chromosome:ALNU02000000:3:12882604:12892272:1 gene:OGLUM03G16500 transcript:OGLUM03G16500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTWAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCVLSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >OGLUM03G16500.3 pep chromosome:ALNU02000000:3:12882604:12892272:1 gene:OGLUM03G16500 transcript:OGLUM03G16500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCVLSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >OGLUM03G16500.4 pep chromosome:ALNU02000000:3:12882604:12892272:1 gene:OGLUM03G16500 transcript:OGLUM03G16500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSAGGVGEGSSSSAAAAAAAAAATIGPHVVDEEAMWQMNLGEAMEAGPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPADRKMAVAAARMKGEYPQRIGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNALGYPLRPNEKECAYYLRTGQCKFGSTCKFHHPQPSNTMVAVRGSVYSPGQSVTSPSQHTYPGAVTNWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWNPYAAQIGSSSSDDQQRTAGGAQYYTGSRHSETPNMGDQGMFSSYQAGSVPLGLYTVQRESIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERIIPTPNCVLSSLGLPLRPGEPICTFYSRYGICKFGPNCKFDHPMGTVMYGLATSPTGDVSARRMLAPVPAHSEVSPDNVSGRSRRITHSDSQQIPSGERGTEREAS >OGLUM03G16510.1 pep chromosome:ALNU02000000:3:12893105:12893353:-1 gene:OGLUM03G16510 transcript:OGLUM03G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMGSNYRGGWSVAGNTLVEAGVGRTDIKRPIEEARWSGPAGMASIEVGVKADEETQSDSRQAANVVGHAAIPSLSASTLP >OGLUM03G16520.1 pep chromosome:ALNU02000000:3:12899081:12900146:-1 gene:OGLUM03G16520 transcript:OGLUM03G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWMSSRSRMDVRSMTGGGGVAAAPAQMAAQVWRKCVELAPSQAAWCTVTPRARAQHAGSSTVACTASTAPPSGSSGTSGAILETSLRTSVASSSRPTTSCSAMVKATASPGWSGEGYLLRAALLTFIITQKIQIDRSHIEVFTQSGWQEFLNAQTTISYQCKSIGGERGTPHTGDRSGDRRARDGSHGDRPHQRGRKGGGAGGREVGEASMARSVSQRLDPCPRGRLGGCRRWSLSSSLELGAGDPELLEAGGWGWRWPPPVGDGGHGAAAHLLLASARRRALLTATTHR >OGLUM03G16530.1 pep chromosome:ALNU02000000:3:12905174:12935283:-1 gene:OGLUM03G16530 transcript:OGLUM03G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARQQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKDRVREEVQEVCAGQPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRGRCQWTMVYVNIIWLCCEYQKATYTGNIKGKCMSNK >OGLUM03G16530.2 pep chromosome:ALNU02000000:3:12905174:12935283:-1 gene:OGLUM03G16530 transcript:OGLUM03G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARQQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVQEVCAGQPVDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRGRCQWTMVYVNIIWLCCEYQKATYTGNIKGKCMSNK >OGLUM03G16530.3 pep chromosome:ALNU02000000:3:12905174:12935283:-1 gene:OGLUM03G16530 transcript:OGLUM03G16530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGMVVVVAAKVLVSLWCVGACCLAAYLYRVVWVAPRRVLAEFRRQGIGGPRPSFPYGNLADMREAVAAARQQLAEARRRRRARDSGDGGSGAGIVHDYRPAVLPFYEKWRKDYGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWAHQRKIIAREFFLDKVKGMVDLMVDSAQTLLKSWEEGIDKNGGTIDIKIDDDIRAYSADVISRTCFGSSYIKGKNIFLKIRELQKAVSKPNVLAEMTGLRFFPIKRNKQAWELHKQVHKLILEIVKESGEERNLLRAILLSASSSKVELAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVREEVQELTMVIQETLRLYPAGAFVSRQALQELKFGGVHIPKGVNIYIPVSTMHLDPNLWGPDVKEFNPERFSNAQPQLHSYLPFGAGARTCLGQGFAMAELKTLISLIISKFVLKLSPNYEHSPTLKLIVEPEFGVDLSLTRGRCQWTMVYVNIIWLCCEYQKATYTGNIKGKCMSNK >OGLUM03G16540.1 pep chromosome:ALNU02000000:3:12946101:12948742:1 gene:OGLUM03G16540 transcript:OGLUM03G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKGKRRSARLLKLEEQKNDDTTATGCLLDPWQIIRNSIAGVRGKRKRNEEIQHLQGEASSSQALCATTDINNLSSKSSAVQIIEYILDTLEMRDTHELFAMPDDIQVTDYAERVNRPGDFATLRQKNKDGMYNTLEQFENDVYMVFQKAMSINSEDTIPYREAMSLLHQAKQVFLSLKSNQMYSESELAAWRQKNLVSQSPAKLNGKFDGSKVGSGSGARGAAPTTPQRPSAPARKKIAAKTGAVAAATSAKSTTRQRAARESNGAPGRRARKAASVTPGTAEHGGAGASATVEQRRLAYADEADHGGWRPVPVVSTGQHATLVYRPQTAAHTYQDSLRRFVRHAGLKARVAAEFRSLKCDVRARQAAPAPGYWPNGGFASSSGAGTASRSFLPHGRCPPPSPPSAAFGGGGAAAASSADAAGNKAPPRCRLETDEVLKLLVLIGRPAFMERARRVLGHERQESSSKQVHDQKPAVTRAGDDGGGAKAGVTAAKPGKKKGSASKPAAVEFGPFAPPKLVIPGRQLGFSQFAGSSSQPFKVTPTTPNVPDKKKKKRG >OGLUM03G16550.1 pep chromosome:ALNU02000000:3:12948484:12951672:-1 gene:OGLUM03G16550 transcript:OGLUM03G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10850) TAIR;Acc:AT3G10850] MKIIPVACLEDNYAYLIVDESNKSAAAVDPVEPEKVLAAAAEVGVRIDCVLTTHHHWDHAGGNEKMAQSVPGIKVYGGSLDNVKGCTDQVENGTKLSLGKDIEILCLHTPCHTKGHISYYVTSKEEEDPAVFTGDTLFIAGCGRFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLKFILTVEPDNEKVKQKLEWAQKQREANQPTIPSTIGEEFETNTFMRVDLPEIQAKFGAKSPVEALREVRKTKDNWKRTFGVVGVTLNGWEDEPANWLKPSCRPGMTSLGGANGPNSTAAGLLALPFFFPGLAAVTPAFAPPPSSPALVTAGF >OGLUM03G16570.1 pep chromosome:ALNU02000000:3:12956907:12959150:-1 gene:OGLUM03G16570 transcript:OGLUM03G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGLQDFPIKCTHTQVVARGIIDRTISCPGPAGLAAANSAIAWHIELIDRGRSRLAKLRPMAFSRATAAAVVALVVLLPHLVVAVVLDVGGGVAARPCHSASVDGDAGCLSWRVMVEANNARGWRTVPAACVAYVRGYMTRGQYGRDLSSVMDQVAAYVDTVEADGDGLDAWILDIDDTCLSNLLYYEAKQFGAYDPSAFKTWASKGACPGIPAVLELFATLQAKGFKVFLLSGRDEETLATCTSENLESEGFLGYERLIMRSPEYRGQSSSVFKSAMRKRLVEEEGYRIRGNVGDQWSDLQGDYVGDRVFKIPNPMYYVP >OGLUM03G16580.1 pep chromosome:ALNU02000000:3:12961177:12966251:-1 gene:OGLUM03G16580 transcript:OGLUM03G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 7 [Source:Projected from Arabidopsis thaliana (AT3G10670) TAIR;Acc:AT3G10670] MARATAPAAGASLWRELPATILRMGTIHALMGQNGSGKSTLMKVLAGHPEYEVTGGTVLFKGENLIDMEPEERSLAGLFMSFQAPVEIPGVNNYDFLLMALNARREKKGLPALEPLQVYPIIEEKVNALNMNAEILNRAVNEGFSGGERKRNEILQLSVLGADLALLDEIDSGLDVDALEYVAKAVNGILTPNSSLMMITHYQRLLDLIKPSYVHIMEKGKIVKTGDRALANYIDESGYKAIATALLSICH >OGLUM03G16590.1 pep chromosome:ALNU02000000:3:12966618:12967250:1 gene:OGLUM03G16590 transcript:OGLUM03G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPRCIEFVSQITNWGCQRTARRWGEPINVSLDEIIHGKGMNTKHAMKMSIDKDDEEAKMTMAAAAVGSSGGGGGEQRRWWKRRQLRPPTGAAPLSYPSLPTGGRRPYPRSPPRVPHRHHRRSPPPPLLSSERVKGERGGRKKGRRGRMTCGSHMSVGTLFV >OGLUM03G16600.1 pep chromosome:ALNU02000000:3:12972070:12978836:1 gene:OGLUM03G16600 transcript:OGLUM03G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDRSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFTGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLNNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVMDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >OGLUM03G16600.2 pep chromosome:ALNU02000000:3:12973529:12978836:1 gene:OGLUM03G16600 transcript:OGLUM03G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKIFEGSLVLLSLLLFTSLAVADIASEKQALLAFASAVYRGNKLNWDVNISLCSWHGVTCSPDRSRISALRVPAAGLIGAIPPNTLGRLVSLQVLSLRSNRLIGSIPSDITSLPSLQSIFLQDNELSGDLPSFFSPTLNTIDLSYNSFTGQIPASLQNLTQLSTLNLSKNSLSGPIPDLKLPSLRQLNLSNNELNGSIPPFLQIFSNSSFLGNPGLCGPPLAECSLPSPTSSPESSLPPPSALPHRGKKVGTGSIIAAAVGGFAVFLLAAAIFVVCFSKRKEKKDDGLNNNGKGTDNARIEKRKEQVSSGVQMAEKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMEQIGRVGKHANLVPLRAYYYSKDEKLVVYEYVATGSFSAMLHGIKGIAEKTPLDWNTRMKIILGTARGIAHIHAEGGSKLAHGNIKATNVLLDQDHNPYVSDYGLSALMSFPISTSRVVVGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKAPLQSQGQDDVMDLPRWVHSVVREEWTAEVFDVELMKYLNIEDELVQMLQLAMACTSRSPERRPTMAEVIRMIEELRQSASESRDSSNENARESNPPSA >OGLUM03G16610.1 pep chromosome:ALNU02000000:3:12977565:12981500:-1 gene:OGLUM03G16610 transcript:OGLUM03G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKKRNKKKKGNQGKNTGDVTSSTAEAAIQSHNHETAPNDHHNGTDADDAMSTVGEEAPQYQNHEPDRQANHDSTNTDDVMSSVGEGIPFQNLDPAMTHENHKVSSTAHADQRSVEMSDSTVELDMHRLYEAKLILPMQDKLHDTIKKLEDEKSLWHQKMSSMEIEVEKLHNKVDYHAQNEVRLEEKLNNLQNGYDVLIKKEVALDNKVRSIEVINDALTHQETSLKERLSGLEETNKVLLVQVKVLEEASNNTVEESQRLVKGFDELASRLGVFEAKSALTEASVTKKGNELIVDRSVSSSAAITSVDNYSPINSSTSNAYVSNHLEEAPMQLPETTINDVASEGLIDMNAHQRSKQDFDEPRTSEEILPVALDDIQIHEEDPQPPVADDEAEEVPFSDAPIVGAPFRLISFVARYVSGADLVNQK >OGLUM03G16620.1 pep chromosome:ALNU02000000:3:12982374:12993052:1 gene:OGLUM03G16620 transcript:OGLUM03G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIQLSWRMAHPYLLVDRFEDVTPAESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTDENDASKRQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGHEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGGTHKQDDLAMEERRLKKLALKAKFDAEYPFLHFSMGIAFMLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARITKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >OGLUM03G16620.2 pep chromosome:ALNU02000000:3:12982374:12993052:1 gene:OGLUM03G16620 transcript:OGLUM03G16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06720) TAIR;Acc:AT1G06720] MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGGGGDDDGGERKNPKAFAFQSAAKAKRLQARSAEIEQRRLHVPIMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVRGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPIQLSWRMAHPYLLVDRFEDVTPAESVRLNRKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTSLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDTDAVYININPHLVQFSKTDENDASKRQGKGQDVGVTLVKTLQNPRYSLNEKLDQSFINLFGRKPAAQSEDISGNQNDQGDANILEEADGNNICNANTLESNDHSYSECSSDSEHDNDEATQQNDHEVGLREEVEFCNGRMRRKAVSANFKDDDDDEGAEEDDVDSENSGDDQLSEGSADDSEESLDSDDETENNSKWKESLLARTLSRRSANLMQLVYGQASKKLDEGNDSSAEESSDEEFFVPKGQKKQAKNESTSFDDMDAEDYSKFFKTELRDWSDEDLIKSIRDRFVTGNWSKAALRGHEINENDVDDEEVDGDFEDLETGEVHTSKAYENTSGNGVDNDTKKSKREETNGGGYFDKLKEEIEIRKQMNISELNDLDEDTRVEIEGFRTGSYIRLEVHGVPFELVEYFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPVYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQHLSNSQVPFRITATGFVQEFNNTARITKKIKLTGVPCKIFKKTALVKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDMPRRKGESIDGIARCTFEDRILMSDIVFMRAWVNVEVPTYCNLVTTALQPRDETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKVRKFNPIEIPAKLQHLLPFKSKPKDTPKHRKTPVENRVPVLMQPSEKKTHAAIQQLRLLKHEKARKKKLQDEKKKKAYEAEKAKSELLTKKRQREERRVRYREEDKQKKRARR >OGLUM03G16630.1 pep chromosome:ALNU02000000:3:12982752:12993305:-1 gene:OGLUM03G16630 transcript:OGLUM03G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSSAKMFRDGRNQGRPKRPIDAEGKVVAAAWVRLTCIGNVEWITGDGYRYRWCLWDPMHKEQRLPNLINNVMIRKQSTYIIFSRWQSIPEEALELQQQKKTEKMRLHRDKQDVYLLEQVGTAQYIRMNEKQDRHELHFIAT >OGLUM03G16640.1 pep chromosome:ALNU02000000:3:12994180:12996861:1 gene:OGLUM03G16640 transcript:OGLUM03G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSRFVAVFLLVALAPAARGQGGGGGNSSAPAASPPGPFVPRDNILLDCGATGQANDTDGRLWTGDTGSKYLPANLAAAAATAQDPSVPQVPYLTARFSAAPFTYSFPVGAGRKFLRLHFYPANYSNRNAADALFSVSIPDPNITLLSNFSAYQTALALNFDYLVREFSVNVTASTLDLTFTPEKGHPNAFAFVNGIEVVSSPDLFGSSNPMEVTGDGSGTPFPIDAGIAMQTMYRLNVGGNAISPSKDTGGYRSWEDDTPYIPFASFGVSYANDTNVPINYPDSIPQYVAPADVYSTARSMGPDNNVNLQYNLTWAMQVDAGYQYLVRLHFCEIQSGISKINQRTFDIYINNQTAFSGADVIAWSTGLGIPVYKDFVVFTMGSGPMDLWVDLHPNVKNKPQYYNAILNGMEVFKLQLTNGSLAGLNPVPSIVPTASGGNSGKKSSVGPIVGGVIGGLVVLALGCCCFFVICKRRRRAGKDSGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSHASSLPSNLCRHFSFVEIKAATNNFDESLLLGVGGFGKVYRGEIDGGATKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQNAPLSWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTMDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPHLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDDSTPLVIVGKKNPNDPSIESSTTTTTTTSISMGEQSVASIDSDGLTPSAVFSQIMNPKGR >OGLUM03G16650.1 pep chromosome:ALNU02000000:3:13002154:13008931:1 gene:OGLUM03G16650 transcript:OGLUM03G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTPAFISEKINYHELTVIIVYTSRMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >OGLUM03G16650.2 pep chromosome:ALNU02000000:3:13002154:13008931:1 gene:OGLUM03G16650 transcript:OGLUM03G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEQVERKEEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTESLTVAETGEPSFTGMKKKKKKHVEHDTSLTEAGDGEDAIDDQIGEDEEGEGIVLGGATRYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKAGT >OGLUM03G16660.1 pep chromosome:ALNU02000000:3:13008284:13011153:-1 gene:OGLUM03G16660 transcript:OGLUM03G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLDSPVASPVAAVYDGAMHDGSPHRMPPPAWRVQHASQRHRPERIGRFHAGGAPVHDV >OGLUM03G16670.1 pep chromosome:ALNU02000000:3:13012050:13012343:-1 gene:OGLUM03G16670 transcript:OGLUM03G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWRSNGVPFGLTHHANHSTTAQCMTALPTRCLPRRATCQPAPPAGAHWAIPLRRDRQTRPWSSLHDDEELIRFTTYKQDTRMAPYVFRVTLTIVS >OGLUM03G16680.1 pep chromosome:ALNU02000000:3:13014311:13015777:-1 gene:OGLUM03G16680 transcript:OGLUM03G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCPCRLHSGKGAPRSPAEIVALVLTRPAAVTPGDRNVRSRTRDTEREARREDDCQVLRLLHMSTSPPSDMSEMVTVQFLDLRIHRMPANDVLQLRRRLPPLELNCVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDQPQ >OGLUM03G16680.2 pep chromosome:ALNU02000000:3:13014311:13015777:-1 gene:OGLUM03G16680 transcript:OGLUM03G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSCSIAGKKGCTKIAGGDRRLGLDEANPIHALTLSPLSQAAVTPGDRNVRSRTRDTEREARREDDCQVLRLLHMSTSPPSDMSEMVTVQFLDLRIHRMPANDVLQLRRRLPPLELNCVQSVDQFKRFQAFLAIVTSQTDLARICATRGEELDELGIVEEYDDDDDDDDDGDEHYVYGGLDMFEPATTDEEDANAQVDADDLYYLGVSDDENEEVGSDGGTDDDGGDDAGGDGGRAGPLRWDNLWDDDQPQ >OGLUM03G16690.1 pep chromosome:ALNU02000000:3:13020802:13023190:-1 gene:OGLUM03G16690 transcript:OGLUM03G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQEPWAILAAIPNVVGYKEAKRIFPPGTDISVARKEVPRASVLTVPRHISLPACLGLYPYVVAADRSGLLLLGTHPVTSASAMVSYHICDAHTGEVVSLRDCKPMRPMTFYGAANVGLIIKDDGCMVAELQPGCKGTSTTGGATLLSYKVGECCKWRERELTCSPPLPLDWYPEGVVSHGGMLWWVDLSYGLLACDPFAEEPNLIHVPLPQVPDELPVDDQVNRGAHRCVKVSGGRLMYVQIHGNPVVPVVSTWLLDESTCSPGEWEWNPQLSAPLAELWIDQSYMDTMLPLTIPALALPHPTDPNRVYFFLKSCIFSVDLRLRKLVSFNSFEMLDPPCELWMKRSSHLVHAWQYDPSSSRSDFVLACLRQDKAIASKSSFSGIIPVTRRTAKSLKRVWDSVTRQQQKQEQQLQKQAM >OGLUM03G16700.1 pep chromosome:ALNU02000000:3:13027977:13031885:-1 gene:OGLUM03G16700 transcript:OGLUM03G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSEISSVTTTHAQGPKLFRGKILLPMGPPDVVPSENVEFDFSDVFGPTAVQTPTDLSILTPDSPAPLTESSEGIYNDPLVIVKRSHSLVGPSSLVSQSLPLSKLTLHESDSALDLLECTKEKKSNQEALSDEELDDTKNENGVVGLDDFEVLKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKVQQKIVKEKIKLPAYLSSEVHSLLKGLLHKEAGRRLGCGPGGSNEIKNHKWFKSVNWKKLDSRQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAADSNFVGFSYVRPAPFLQRPSPLG >OGLUM03G16710.1 pep chromosome:ALNU02000000:3:13034897:13040616:1 gene:OGLUM03G16710 transcript:OGLUM03G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: PRC-barrel-like (InterPro:IPR011033); Has 300 Blast hits to 300 proteins in 81 species: Archae - 0; Bacteria - 135; Metazoa - 0; Fungi - 0; Plants - 37; Viruses - 0; Other Eukaryotes - 128 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G38570) TAIR;Acc:AT2G38570] MCSCARLPHPALQPAPAPAPPPPNLKPKPAPTTRGPCPSPRTLVARAAPRRDDSTAPPPPPPSTFDFLALKRGLEEEEEEEVVAVEPRDGGGGDGLASEDDGDGEAKRSGGGGESSGGRKRRQMARRSGLLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDIYQVGDVVLVEDETVVENEFKLVGLHSLVGYSVVTSRRRNVGKVRGFTFDINTGAMESLELDSFGISIVPSSLVSTYCLFVEDVLDIVSDTIVVHEDAISRVQRLTQGIWGTQNIQGPGGQMDDNGRYRRRKARRVQRQNGLRNSSGRKLHRKMRDRDGDWELPMDY >OGLUM03G16720.1 pep chromosome:ALNU02000000:3:13042751:13046570:1 gene:OGLUM03G16720 transcript:OGLUM03G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWREVNTPIHAVHTSEAKARCGEVSPPGRPVHTAEQQLRRNDGEKRPRRSGEKKQESLGVLDDARLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGSAENSEDCRVRGGGDIDTECNLSSPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTSDTKGKAICVADEDEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >OGLUM03G16720.2 pep chromosome:ALNU02000000:3:13042751:13046570:1 gene:OGLUM03G16720 transcript:OGLUM03G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWREVNTPIHAVHTSEAKARCGEVSPPGRPVHTAEQQLRRNDGEKRPRRLSGRCNIRAQRTPLTSRGIRFYINLINCASCDVLGVSCFMASVNTGGLRLVRCPKCYNILPEPPNVEVYKCGGCDTILRVKIRPSNGQNVATKQVRQDSDDFSVATTASNGVHPQKKDIAFSGATMDRSRTPDAPSTDTEHASNGTSSNDNGHAMSVENNASEVADTDNKEDCNLDGQNTSGRIEGPSEEIPPNANGMDIDSDKEETYNVEGSAENSEDCRVRGGGDIDTECNLSSPEHELPLHQESKSDSELKEATKTEDEATKKGHLVRVQSRSCDLRESHRASAGSSMDFHSARTSLQSKSFRASEPLQSKIMKTVDELRGDLSEFFSKPEEEGEDDDDRKPKTAAYPPRPSKQDGYSKPRAPFTSSVPLTAYHPAAKHSGHVSRLSRSGQVPPPPHHHRELSSLRYRRRRRAYSCCHSDQMETMRRPCSHDCCHYHSCRPPPCHHHDRPWKSQEGAMQRPPVQETTRRRAPPRHHCRPVLRGAPFVVCSGCNRLVQLPTDFAVPSKGTRRLQCGSCSEILSYSYRDPAKKKLQSPSGDGEECQYSTDDYEIHQAAGDADPFSYSEEYGVISYSTEEEQQPLHVSRNSSFDTVDDERSAKLHRLMGYSSASELLRLRRSPDLYESFGERTPAARTSDTKGKAICVADEDEHPSAKVRRGRGLPLPGILKKGIHGLESLKLR >OGLUM03G16730.1 pep chromosome:ALNU02000000:3:13047303:13047767:-1 gene:OGLUM03G16730 transcript:OGLUM03G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVSRAAPPARPTALRGRGAGAVVAGHGQATFGRRAVPGGWLVAIRLRARCRCGGGTEPVEARKEREGGPGKGEEEEEEAAAAEELEVLEEEAMGGGDEGRRPTDYDRRAHIFEESSRVFSALKHRHDDGHGVDGDHGAAAAEVARHGDTGR >OGLUM03G16740.1 pep chromosome:ALNU02000000:3:13059517:13059801:-1 gene:OGLUM03G16740 transcript:OGLUM03G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQTGQHKRGASRKVRRCAMPEEEPKVEDRVAKTSESSMFPTRSMAEASPPRIVGLCEKPPLATATTTFSFTPNTEKSWGEQSSRRQLGGGT >OGLUM03G16750.1 pep chromosome:ALNU02000000:3:13059924:13060160:-1 gene:OGLUM03G16750 transcript:OGLUM03G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTAPASVDVTDPGIPGRAFARDSIERCRTSKKPEQSAESYRCPAHRLNLMQQLRLRLSTKTLALQRTNRIDPNSAQ >OGLUM03G16760.1 pep chromosome:ALNU02000000:3:13061105:13070728:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >OGLUM03G16760.2 pep chromosome:ALNU02000000:3:13061105:13068153:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MALNRARMRWAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGYPGSWVQGLQVISADNNGSAQLLCDPSLRKRLKDEMRRLWKKMEA >OGLUM03G16760.3 pep chromosome:ALNU02000000:3:13061105:13070728:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >OGLUM03G16760.4 pep chromosome:ALNU02000000:3:13061105:13068151:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGYPGSWVQGLQVISADNNGSAQLLCDPSLRKRLKDEMRRLWKKMEA >OGLUM03G16760.5 pep chromosome:ALNU02000000:3:13061105:13068369:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILHTAYSATLALTDWSSVFYSLIYTSIPTVVVGILDKDLSHNTLLHYPRLYETGLQNEGYNLTLFWITMLDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVILVNIHLAMDIQRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPRQLGSRPASDIS >OGLUM03G16760.6 pep chromosome:ALNU02000000:3:13061105:13065985:1 gene:OGLUM03G16760 transcript:OGLUM03G16760.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z6Y1] MSTGEPLLLSSSGTSDSPSKRQAPARLSVGSLGCLCQTDSFSSSLYEDCDTASVNHVDEEEAVSRVCSESDVNRGAERFQSADSNFFHRLSVECSQKERQRKVSWGGAMEMQHSPSSLEIGVVSSSQPQEKPNRPQRVRNKSSQFEDPFSSEHDPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQSGDFRLKTWKNICAGEVVKIHSNETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETMSMISDGSYSGLIKCEQPNRNIYEFTATMELNSHRIPLGQSNIVLRGCQLKNTEWIVGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLITCSVVATGMGVWLFRNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSSSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFHQASIYGKNYGSPLQVTGDSSYEISTTESSRQQGSKSKSGVNVDAELIALLSQPLVGEERLSAHDFFLTLAACNTVIPVSTENSLDLVNEINEIGRIDYQGESPDEQALVTAASAYGYTLVERTTGHIVVDVQGEKIRLDVLGLHEFDSVRKRMSVVVRFPDNIVKVLVKGADTSMLSILRREDDDELHNSLHAKIRETTENHLSGYSSEGLRTLVIGSKNLTDAEFGEWQERYEEASTSMTERSAKLRQAAALVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTQNMHLIVINGSSEFECRRLLADAKAKFGIKSSDSGRDCQDIEHTHNGDVSKLRTSNGHMSESGIHNFELTGVIASDKSEYSEKVANFADTDLALVIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGEIIFV >OGLUM03G16770.1 pep chromosome:ALNU02000000:3:13068834:13073239:-1 gene:OGLUM03G16770 transcript:OGLUM03G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRGLAALAVLLALVARGADASVHEYSSGGFAPRANSFFFHGGSEGLYASDPTSNSSASFIRHEAMQQKTGLVEAIIVEIQDRSKIGGSYLHSDAICCTPELDKEKSCKVGEVIIQPNPDNPDWPKRVQTFFSGRDEEASMVTQVVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLVLGLLWFLQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGNRPMAITLWAVTFTAVKKTISRLLLLVVSMGYGVVRPTLGGVTSKVGALGVVYFIASEGLELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLYFNATDPLSELWRRAWIIPAFWNVLSYVLLAIICILWSPSRNPTGFAYSEDTADEADEEGLSLVGSAVKGTGDIVNMHVFPEDKPVLLAECYVKYRFPLHSWQAYDF >OGLUM03G16780.1 pep chromosome:ALNU02000000:3:13082299:13084192:1 gene:OGLUM03G16780 transcript:OGLUM03G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSEGGVPAERVAAAVNDLVEVRDGLVRLRGFLPPPPQAEQSSSRPPCAAELMDATMSKLMSAMATLGGSGDIAGEVDAAGRWTSVAESADPMVVRREGESSAGRTRRRRGGGSRSGRGRSSNKRVAATLEDGHVWRKYGQKDIQNSPYPRSYYRCTHKLDQGCGARRQTQRCEADPSNYDITYYGEHTCRDPSTIIPTAIANAAGAASDGPNNNIISFATGGVVVANSSRLAREGTTTSAATQLSSSWGTSGGGGGGDDVFSSSGERFMQWDELAAAVGHVSSVGVTSSTVGSAPAAENDGGNGDTAAGGGGGGGGDGGGAGSFPSSPSAGSLGFVVGPLGSIEDVDDFFPFDP >OGLUM03G16790.1 pep chromosome:ALNU02000000:3:13089728:13096250:1 gene:OGLUM03G16790 transcript:OGLUM03G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLAVGVWLAFAWTEQPAGDCGDSDSSHARTHAAVEIAPARLLPPHPHPLDLAGFRRSVDLAASSSWESPSPPQDSNREAAGRRRSSLWWSSLTNRCGRMRGPVRWAVVVVVMAMVEAAAGRFVVEKNSLRVTSPEGIKGKYECAIGNFGVPQYGGTLHGWVEYPKSNQKACKSFEDFDISFKSTRSGGRPKFVLIDRGQCYFTTKAWNAQNAGAAAVLVVDDKSEPLITMDNPDDAGTEHLENITIPSVLITKKLGDDLKKSAENGDMVSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKSFRGTAQILEKKGYTQFTPHYITWYCPEAFVVSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVVQNLHQICVFKAANESGKPWLWWDYVHDFSIRCPMKEKKYTPECAVHVIKSLGLDVEKIKKCVGDPEADEENPVLKAEQDAQIGHDKRGDVTILPTLVINNRQYRGKLDKSAVLKAVCAGFEETTEPAICLSEDVQTNECLENNGGCWQDRDNNVTACKDTFRGRVCECPVVKGVKFVGDGYTNCEASGIGRCEIKNGGCWKETRNGKTISACSNEVSEGCKCPPGFKGDGIKSCEDIDECKEKLYCQCKGCSCENTWGSYECSCGGKEATSAVGWSFLWVIFFGLVLAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEAANQHHVAHAGDDI >OGLUM03G16800.1 pep chromosome:ALNU02000000:3:13099010:13103446:1 gene:OGLUM03G16800 transcript:OGLUM03G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVVLVGLACLAFVAEAKGGGAASAAALDDDVLGLIVFKADVVDPEGRLATWSEDDERPCAWAGVTCDPLTGRVAGLSLAGFGLSGKLGRGLLRLESLQSLSLSGNNFSGDLPADLARLPDLQSLDLSANAFSGAIPDGFFGHCRNLRDVSLANNAFSGDVPRDVGACATLASLNLSSNRLAGALPSDIWSLNALRTLDLSGNAITGDLPVGVSRMFNLRSLNLRSNRLAGSLPDDIGDCPLLRSVDLGSNNISGNLPESLRRLSTCTYLDLSSNALTGNVPTWVGEMASLETLDLSGNKFSGEIPGSIGGLMSLKELRLSGNGFTGGLPESIGGCKSLVHVDVSWNSLTGTLPSWVFASGVQWVSVSDNTLSGEVFVPVNASSMVRGVDLSSNAFSGMIPSEISQVITLQSLNMSWNSLSGSIPPSIVQMKSLEVLDLTANRLNGSIPATVGGESLRELRLAKNSLTGEIPAQIGNLSALASLDLSHNNLTGAIPATIANITNLQTVDLSRNKLTGGLPKQLSDLPHLVRFNISHNQLSGDLPPGSFFDTIPLSSVSDNPGLCGAKLNSSCPGVLPKPIVLNPDSSSDPLSQPEPTPNGLRHKKTILSISALVAIGAAVLITVGVITITVLNLRVRTPGSHSAAELELSDGYLSQSPTTDVNSGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQDEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTANCLSWKERFDIVLGIARSLAHLHRHDIIHYNLKSSNILLDGSGDAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLALEILTGRTPVQYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >OGLUM03G16810.1 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKILETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGTDALRFALISYTSQSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16810.2 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGQKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVYNVLENQAKVKGIIQILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16810.3 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGQKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGTDALRFALISYTSQSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16810.4 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGQKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGFISFFQSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16810.5 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGQKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGTDALRFALISYTSQSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVYNVLENQAKVKGIIQILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16810.6 pep chromosome:ALNU02000000:3:13108628:13120722:-1 gene:OGLUM03G16810 transcript:OGLUM03G16810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPAQMLQRLAGPANFRGLVANSNTRRPRWPLAERTANSPSLSPRPATPELLSPGGRIRGPPPAPSTQPEYRDIHWVSLYQIHLSNQPEVHGPEKAPEKQLDEKTLERKLRKNQKAKEKEEKRLKAKQKEAAMLQAQPALDVLKKVEKKHRGKAVEDENPEDFIDQDTPNGQKKLLAPLMANQYCPSTVEKSWYAWWESSGYFRADSASTKPPFVIVMPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHEIGPDNFVCEVLKWKERYGGTILNQLHRLGASLDWSREAFTMDEQRSNAVTEAFVRLHKEGLIYRDNRLVNWDCTLLTSISEIEVDHIDLKEETMLKIPGYATPVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYMHLHGRYAVHPFNGRKLKIICDAEIVDPSFGTGAVKIAPAHDPNDFEVGRRNNLQFINILTDDGKINSNGGAQFEGMPRFTARICIIEALKAKGLYKGAKNTETSLGICSRTNDIVEPMIKYPQWFVNCNTMAKVALDAVRSKKIEIIPPQYEQDWYRWLENIRDWCVSRQHWWGHRVPAWYVTLEDDQEKTLGSDNDRWIVAKSESAASVEAQKSYPGQKFILNQDPDVLDTWFSSALFPLAVLGWPDDTADLRSFYPTSVLETGLDILFCWVARMVMMGTQLGGDVPFQKVYLHPIVCDTHGRKMCKSLGNVIDPLEVINGMTLEGLVKRLEEGNLDPDELNLERKLKDYPDGIAECGFISFFQSVRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGVHYSPPVTVGVSIMPPICKWILSALNKATGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNEPQEFESARVASRDTLWVCLETGLRLLHPFMPYITEELWQHLPQPKYSCRQDSIMISEYPSLVEEWTNDNLENEMDIVLDTVNKIRSLKTRTERKERRPAFALCRSQDVTATIQCHQSLIVYNVLENQAKVKGIIQILTENDETPADCAIAVVNKDLSVYLKLQGAINAEAEREKLRKKRDGIQKLHHAVTHMMDASGYREKAPQSVQEGDMRKHTALLRELELGDKPIFKGRDIMKHEENQKLLGARMKLKKNSLLTTRSVG >OGLUM03G16820.1 pep chromosome:ALNU02000000:3:13127717:13128909:-1 gene:OGLUM03G16820 transcript:OGLUM03G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRPIATTAAALDEGFGNPMVENLAAELLSFGQKMAERAASHCRADTSVAYRSTVLLPSLHMAAVQSLTVLRRTRVKLRGAGGDDDSGEADGVVGLRSAWYVHLCSGTVKACSEPCIGKVVSRNGSPFILSSPSQLFVGKTTCSMGRLRSICHPHC >OGLUM03G16830.1 pep chromosome:ALNU02000000:3:13131057:13133105:1 gene:OGLUM03G16830 transcript:OGLUM03G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDKNDEVQIYLVALEVEGEHPTSVFRLSNPLEKLPCQDSSICRALFSQDQVPIQVSTKPNSIQLKFQRANDLVECVELELTNGEHERTSNLELIIEPLKATAVLTSYDTAQGMSVLWRSTTIYSVVSMLDPKVKSVVLSNSDALKMIFGATVIRFRGHISKDIFYPLAIDFKRDFVPTGILGKGAHGSVYRCSRGIMPLAVKKVSKERKGNPCSEVEAMAKLSGANHVVQMYCAWSENAVSGLGYVYIGMEVFESNLDEYLDARKGVNLQKSTTIFAEIMAGVKEIHEAGIIHRDLKPLNILIDSDDHIYITDFGISKIKPYPSANVRYPGGPQYGTQFYCDPILNSTHQQHDEKVDFYSCGIIYFEMHLLGITKRRVYEKPQVADSEDREALE >OGLUM03G16840.1 pep chromosome:ALNU02000000:3:13155007:13156635:1 gene:OGLUM03G16840 transcript:OGLUM03G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFHSSFPLSPPSSCHGGGVLQFATRAATSPFACYCRAPAARDGGDDHDHDAGILQALAFNGNGSVHGVLDPGVEEEEDEAGDGGGGGRRGSRIRARDCAKRIMGLPVEERVKVLDLLQRDDGALTVSDYNDILSALARAGDHDSAVAFFRALRPNGVTPDAQSYATAVQCLCRKGAPDEAKEALDEMVARGFRPTVATFSAVVGCLCKRGRVTRAMEVFDTMRAVGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKESPKQTPDIYTFTIVLDGFCKVGRTDEATPIFHDAVRNGLSPTIFTYNALLNGHCKEGNPLKAYSLLMEMCGNAACPPDRISFSIVLQALLRAGETSAAWQAYKRMERAGFEADGRALDTLARGLCRQCAALADAREVFGKLVASGHEPVSYTYCLMAQALARGGEVDAAVSLLGEMARRGYALRKRAYTDVVRALCERGRARDALRVLALVIARDFVPGRNAFDALLGELARQGRWPDAMAVYAAAVKRGVLVSLKRHSKEAMLVQEQTETRESSVQPCN >OGLUM03G16850.1 pep chromosome:ALNU02000000:3:13157385:13159510:-1 gene:OGLUM03G16850 transcript:OGLUM03G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28490) TAIR;Acc:AT2G28490] MAPLLMLLLLLSRCSAASRRGGKGWDWEEEHEGEWRPEEEEEGGKGGGGGDHPGPKPRPAERGLFVLDRGEKVVESEGGHVRVVRGRPWPPAAVPDPWQRGWSAASGCCREGLMHIGFITMEPKTLFVPQYVDSNLILFVQLGEVKVGWMHKDELVEKNLKMGDVLHINAGSSFYMVNSGKGQRLKIICSIDASDNIGFSPYQAFFLGGGGGGGSRHPQSVLAGFDPKTLVIAFNTTYEDLDQTLLVDTGRGPIMYYTTDPVMSGGQGGVGVGYSGARRGAAAGQWRPVGRGEDDDEELVVDEASSTWSWRKLVGRLLGVVGGGAPSNSVAAQPKKKKDKTVRAPEPYNLYEQGTGFRNAYGSSVAVDKHDYEPLGHSDIGVYLVNLSAGSMMAPHVNPRATEYGVVLSGTGCIEVVFPNGSKAMSATVRAGDVFYIPRYFPFCQVASRGGPFVFFGFTTSARRNHPQFLVGGSSVLRALLGPELAAAFGVPEKAMRKLVLAQNEAVILPSWPEKKKKKKWEGEPEDERWEEKKAAKQRKPWVIEQVVAK >OGLUM03G16860.1 pep chromosome:ALNU02000000:3:13161179:13166416:1 gene:OGLUM03G16860 transcript:OGLUM03G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPKHADPAAMRGAHHRRARSEVAFRLPDDLDLGGGGAGAFDEIGSEDDLFSTFMDIEKISSGPAAAGGSDRDRAAETSSPPRPKHRHSSSVDGSGFFAAARKDAAASLAEVMEAKKAMTPEQLSELAAIDPKRAKRILANRQSAARSKERKARYITELERKL >OGLUM03G16870.1 pep chromosome:ALNU02000000:3:13168891:13179569:1 gene:OGLUM03G16870 transcript:OGLUM03G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT1G06900) TAIR;Acc:AT1G06900] MAAAAAAWRDDELVIKSPSDHRSYRLLRLPNGLCALLVHDPEIYPDGYPDPHASKPHEDEDMGEEDDEEEDGHEDDDEEEYSDEEGEDDEDDEGEEDEEDGSEPKRRKEKGSSEPLVKKAAAAMCVGMGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGSSNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLYQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYKTNYHGGMMKLVIIGGEPLDILESWTMELFSKVKGGPLLDMSPKTDMPFWRSGKLHRLEAVRDVHSLCLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLCFLKAKGWASSLSAGVGTDGTQRSSFAYIFEMSIRLTDSGLKNLYEVIRAVYQYIKLLKQSEPQEWIFKELQDIGYMEFRFAEEQPPDDYAVDLAENMLYYSEKHIVSGEYIYEGWDPELVKHVLSFFHPDNMRVDVLSKSFDKQSQAIQCEPWFGAQYIEEDIPSSFMESWRNPAQIDDAFHLPRKNEFIPGDFNLRNANMPKPLSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVKDGYSNLENSVLTDLFVNLLKDELNEVLYQAYVAKLETSMSVVGSNLELKLYGYNDKLSTLLSSILAASQSFSPKSDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMKLTFSDLVAYVPKLLSQLHIEGLCHGNLSEDEAMNISKIFQNTLSAQTLPDEARHGERVLCIPDDTNFVRSVRVKNELEENSVVEVYFPVEQDIGKDATKLRAITDLFSNIIEEPCFDQLRTKEQLGYTVDSSPRMTYRVLAYCFRVMSSKYSPVYLQSRIDSFIDGVSALLDGLDEETFEHHRSGLIADKLEKDPSLSYQTGDYWSQIVDKRYMFDMSKLEAEELRTVRKEDVISWYNTYIKPSSPKRRRLAIHVYGCNSDIAEAAKLKEQSWITIDDVKSLKKSSQFYSSLC >OGLUM03G16880.1 pep chromosome:ALNU02000000:3:13180111:13181004:-1 gene:OGLUM03G16880 transcript:OGLUM03G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLLLLASLLLVAARRALGLGLGQWQPGHATFYGGGDASGTMGGACGYGNLYSQGYGTSTAALSTALFNKGLSCGSCYELRCAGDRRRSCLPGGATVTVTATNFCPPNYALPGDGGGWCNPPRRHFDLAEPAFLRIARRGAGIVPVSFRRVACARKGGVRFTVNGHAYFNLVLVTNVGGAGDVRSLAVKGSGSGSRAGGRWQPMSRNWGQNWQSNAYLDGKALSFRVTAGDGRSLTCADVAPAGWQFGQTFEGRQF >OGLUM03G16890.1 pep chromosome:ALNU02000000:3:13188253:13190595:1 gene:OGLUM03G16890 transcript:OGLUM03G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:appr-1-p processing enzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G40600) TAIR;Acc:AT2G40600] MSRAAARIFLTPSRLPLPLPLPLPLPLLPKRRRRRPPPSSGATRASFSMAAAPGLGGGEAFRLSADAGAGALKLQKGDITLWSVDGATDAIVNAANERMLGGGGVDGAIHRAAGPELVEACRKVPEVKSGVRCPTGEARITPAFKLPVSRMIHTVGPIYDMDKQPEVSLNNAYTNSLKLAKQNGIQYIAFPAISCGVYRYPPKEASKIAVSTAQRFSNDIKEVHFVLFSDELYDIWRETAKEFLSQFEK >OGLUM03G16900.1 pep chromosome:ALNU02000000:3:13203737:13204530:-1 gene:OGLUM03G16900 transcript:OGLUM03G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10915) TAIR;Acc:AT3G10915] MAWWLFEKSGLSFLTVCSDVLLILIVVQFIRIKVAGLLNKQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGKDFRLFFQVVLLLWLLSVIGNFCSSITLAYFGTIALVTIPALYSKNQEQVDRYAGMVHRNISRHYKIVDENVMSRLPRSFIRDKED >OGLUM03G16910.1 pep chromosome:ALNU02000000:3:13212173:13217399:1 gene:OGLUM03G16910 transcript:OGLUM03G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQQKVHLLIVLLEKPMVFAYGSVPLKTYLPDGDVDLTVLGNTSYGSTLIDDIYHILQSEEQNCDAEFEVKDLQLINAEVRLIKCTIENIVVDISFNQTGGICALCFLELVDRKVGKNHLVKNSIILIKAWCYYESRLLGAHHGLISTYALETLILYIFNLFHKSLHGPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNQIVEATNTPGSDLLFDKEFLNNSVQKTDSNACNTEFRSKYLNIIDPLKEHNNLGRSVNKASFNRIRTAFSYGAQKLGQVLLLQPELIPDEIYGFFKNTLNRIGSGVRPDIGDESYNDAFRCESFLGPGKALWDEMSSMKISCNNQDENRGPHHLSKCLVNNDSYATLNVPTHFHGDHMVASSTDLSLKSSCFIQETPNQYPLFYLEDGNGSSEQYLDHEMVEQASCCTAETCHANEEPSMHPQVYPNNTLHTFYSSLANNLEYSKSGQSDMTNSSINVAHEEKQKFSPSPLSLVDLSGDLDLQLRCLRQVQYHLEYMFDGFLQSVQEASSDCKVARDSFEIPAVNITSNSDVVLPGLLSPSSTETDERRLSPVSSSHSTEDSSQQSHDESNWDNSVQLYDSSDDISNVHETDQHILQKHMVSLGQNKTLINRQVRVKSNQASVPKGKFSICKEQITQDTATKDIKLSRHLRVKDSEHEYISTAKKISSYNCDTCLECVKPESEAMIPRHYKHARSSKNSFEHRIYDIDMGFARSGSPRNQMPKYQSLKNQDMSSLNVQKEHEINWPRKQMPSELLKLQNSLCGRACSNKKLAAKQINNNHKEHLSFVRDPEQMPYNQVNSNKEFETVGKSSQFLPRVQLSLHNDRSLTASTCQSSFPVTKGSTQFNNLEMPSLENIEFGTLGSFSSTLVSPKSNKIPNTHSTSHQDSTKLEMKITSHLSVLGLAETFGTYTDDTVDHRFRELKGKEKS >OGLUM03G16930.1 pep chromosome:ALNU02000000:3:13226889:13227785:-1 gene:OGLUM03G16930 transcript:OGLUM03G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSSSSRWSWLHKLKLRRKRKKKSKRASPASTARPSDASAVPPAAPRQPPAAAAAAGGLSPCCCYCPNRESYYLNSADRARQEDRQDMLLPCDDEVEEEEALDVGVDVVHRRADGLDAPPATPELKLRPIVTSRRHAVAGKNEASDSSSTSAATTPSTRARGFHVGPTAASRRLRRVGSSGGGHDSNNAGTPVPASSSSSVSAGRPSRRPRRRRMWLRESEAVVLESTEPELELVDSMIEMLCTNGVRRLEDLQDLLACYLSLNAAEHHRTIVALFRRVVLVWIHLGSQRLLPGQ >OGLUM03G16940.1 pep chromosome:ALNU02000000:3:13227897:13230969:-1 gene:OGLUM03G16940 transcript:OGLUM03G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAYLWGTNLDDIPIGLSIIWEDGRRTSEMIERSSIARADDFSLTSRRTDGRRIYQCLPCQSMTITTHSPYKTFLHRKLFAKVITS >OGLUM03G16950.1 pep chromosome:ALNU02000000:3:13232178:13232441:1 gene:OGLUM03G16950 transcript:OGLUM03G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAAAALLLVAAVVAAAAVGAGAEGEETTGDAGELDCFCDCMKNQCMTLGAAPNKFDCADACTQGCTQIGKPGQPSDKDFCGF >OGLUM03G16960.1 pep chromosome:ALNU02000000:3:13233139:13236558:-1 gene:OGLUM03G16960 transcript:OGLUM03G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKERRGLLALPRRLLACAGRTSGRRRRKGDMRWWRD >OGLUM03G16970.1 pep chromosome:ALNU02000000:3:13240746:13245740:1 gene:OGLUM03G16970 transcript:OGLUM03G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z709] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISDLMTGCSLSPQSFISQCSRQFQVLNSPCPKTTVNHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >OGLUM03G16970.2 pep chromosome:ALNU02000000:3:13240573:13245740:1 gene:OGLUM03G16970 transcript:OGLUM03G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z709] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISDLMTGCSLSPQSFISQCSRQFQVLNSPCPKTTVNHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >OGLUM03G16970.3 pep chromosome:ALNU02000000:3:13240811:13245740:1 gene:OGLUM03G16970 transcript:OGLUM03G16970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z709] MDLEFGRGMRSPQRDSWKTTLLLAYQSLGVVYGDLSISPLYVFKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECSSERTDKSCIKVWLEKHKKLHTALLIMVLIGTCMVIGDGVLTPAISDLMTGCSLSPQSFISQCSRQFQVLNSPCPKTTVNHYGTHRVGFLFAPIVLAWLICMSALGLYNIIHWNPHVYQALNPCYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPALILAYMGQAAYLSKHHDFYSNSQVGFYIAVPDKVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSDKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWRRPPVLALCFLLFFGSVEALYFSASLIKFLEGAWLPILLALFLMAVMLVWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHQVLVFVCVKSVPVPYVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDASYRCSDASGGGGDHEPEEERGARLAVIGSSHASYDIQDSVQHSSAASVETTTTRRRSGGGDDDGSPGGGGGRAKQVRFFIDSHVASPEAADSKQVAEELEALAAARDAGTAFILGHSHVQCKPGSSLLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >OGLUM03G16980.1 pep chromosome:ALNU02000000:3:13245944:13252094:1 gene:OGLUM03G16980 transcript:OGLUM03G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLSGRGYGGDGGGRDGGGAAAGERDQGEEGRETAGLAHEAGRESYQLLCERHPSFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILQEEVNNEAAVLGFVGAPFTLASYCVEGGSSKNFSKIKKMAFSEPEILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKETHPELPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIFDTVQKAGNSGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >OGLUM03G16990.1 pep chromosome:ALNU02000000:3:13250771:13256872:-1 gene:OGLUM03G16990 transcript:OGLUM03G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLDGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >OGLUM03G16990.2 pep chromosome:ALNU02000000:3:13250771:13256872:-1 gene:OGLUM03G16990 transcript:OGLUM03G16990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAQLVIGPAGSGKSTYCSSLYQHCETVGRTIHMVNLDPAAEHFSYPVSTDIRELISLDDVMEELGMGPNGGLIYCMEHLEDNLDDWLDEQLDGYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYFLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYVYFISPLFKDSTILLCINLLMFSRYLNPEAQVLLSQLNRQMAPKFGKLNKSLAELVDDYSMVNFIPLDLRKESSIQYVLSHIDNCIQYGEDADVKVRDFDPED >OGLUM03G17000.1 pep chromosome:ALNU02000000:3:13257379:13257705:-1 gene:OGLUM03G17000 transcript:OGLUM03G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVERRRRWRRRRQGGDRGGRAMAPVEEVEQWRRGRQSFDGEGGAAGRTPRGGGGSEEATTTKEEGGGVGRAAEEAERRRAANVDGCRRSGETMMPASMGKRKNGGD >OGLUM03G17010.1 pep chromosome:ALNU02000000:3:13259387:13261399:-1 gene:OGLUM03G17010 transcript:OGLUM03G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z714] MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAAAPAQTAAAPKKAKK >OGLUM03G17020.1 pep chromosome:ALNU02000000:3:13262552:13269393:-1 gene:OGLUM03G17020 transcript:OGLUM03G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLRRLAGASAGGAPSPAAAAAAAALLLRPALARPISTGFREERDTFGPIRVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVAEGQLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRFVPSLQQLHKSLDSKSVEFQDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRIVCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETELPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGSNGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGSTLKEAALDLGVLTESEFHELVVPEKMIGMGRK >OGLUM03G17030.1 pep chromosome:ALNU02000000:3:13285417:13287565:-1 gene:OGLUM03G17030 transcript:OGLUM03G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRIARRGLSRLAAAVETAAVAPPRMPDFNHVPLPYDGPSTAEIARKRAEFLSPSLFHFYSKPLNIVEGKMQYLFDERGRRYLDAFAGIATVCCGHCHPDVVGAIAAQAGRLQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELAIMMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFSVVQSGVHHAVNPDPYRGAFGSDAEKYARDVQEIIEFGTTGQVAGFISEAIQGVGGIVELSPGYLPLAYEAVRSAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLRVLEKEGLQANAHAVGSYLKDRLRALQDKHEIIGDVRGTGFMLGVELVTDRQLKTPAKDEICRAMEHMKEMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVAVMDSALSKL >OGLUM03G17040.1 pep chromosome:ALNU02000000:3:13311750:13314377:1 gene:OGLUM03G17040 transcript:OGLUM03G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRERKKAAALQEKLQILRSITHSHALSNTSIIMDASKYIKELKQKVVRLNQEIACAQDALRQNRVTVETLGHGFLVNVFSGKSCPGLLVSILEAFDELGLSVLEATASCTDTFRLEAIGSENLMEKVDEHVVKQAVLRAIRSCSGSGGDHHDDDDDDDE >OGLUM03G17050.1 pep chromosome:ALNU02000000:3:13316428:13319993:-1 gene:OGLUM03G17050 transcript:OGLUM03G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G30320) TAIR;Acc:AT2G30320] MAAAAAATWRLWRPYSSALLSRRVNPRFLRTTPCVSYPGGAAASAAPPSPPLATTCSDDGGGGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSADSTIESVLETAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGIALSNFINSNLPDNVRVFSVLPAQRSFDVRRECLYREYLYLLPAEIIGIKGGCSSEEVMEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGRHRKVKGASSAVNSMPTEMSLDQSSSDDGTTSDHDEEDLNSSSIIGSSVPEDSYKDNPEFSEKQVQIRARWLHEPDENDRLNASHFRDILTFSCGELQISSGIQFVELTISGVSFMLHQIRKMVGTSVAVKRGLLPKDIIALSLAKFSRIVLPIAPSEVLVLRDNSFCLRNKQGTIVRPGIQSMNESEEVKKGVMEFYRAALVPELANFLDASMPPWKEWVENLDRFTSIPDPQLEEVRSASRVWKADYDRVKMARKSASSD >OGLUM03G17060.1 pep chromosome:ALNU02000000:3:13323712:13332481:1 gene:OGLUM03G17060 transcript:OGLUM03G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEPVEPQSLKKLSLKSLKRSHDLFAPTHSLLFTPDPESKQVRVGCKVNAEYSAVKNLPTDQGRGQVKSAAAPSTALALPGTQDVKDADNKGSSTAIVPAPHMLPKAPDSTIPGKNTTITIPGSSDRFSTSALMERIPSRWPRPVWHAPWKNYRVISGHLGWVRSIAFDPSNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDSTIKFWDLVAGRTMCTLTHHKKSVRAMALHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINSMAVNEDGVLATGGDNGSLWFWDWKSGHNFQQDQTIVQPGSLESEACIYALSYDVSGSRLVTCEADKTIKMWKEDLSATPETHPINFKPPKDIRRY >OGLUM03G17090.1 pep chromosome:ALNU02000000:3:13347424:13347893:1 gene:OGLUM03G17090 transcript:OGLUM03G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAHLPAVRRSSVCLPALLFVHCSFAHLLMLLSVRCSPLAAPPLHQIWLTEGDDVGRGGGTRQHGGSVVLVAAPSAELVAVKLFASSSLAGSGSAHAISEDVWAQRWPEIKGGMVGRGGGCSSKSDSSGAIPSVELVIALSFAGRK >OGLUM03G17100.1 pep chromosome:ALNU02000000:3:13349651:13350549:-1 gene:OGLUM03G17100 transcript:OGLUM03G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRRRLVLSLSFSSLWLAMQRERTTTTTGLLSAADEVNVAERKRTACLPSPPMPTVIAFLLRRSSTTRETGRLDNVAAAREEGRCRQPPLCVIVCRGEPVCTIVCGGEPVCAVDGEEGRARW >OGLUM03G17100.2 pep chromosome:ALNU02000000:3:13348559:13349647:-1 gene:OGLUM03G17100 transcript:OGLUM03G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMAGREEQGRSTMSKSQRRLMLPSSSSSGAGHHRVKRRRRCRLPIHAPPRLKPPPHHPPIGKRAADARWVEMGRGKMWTHVTGLRQRKKPYELQQIETARNRQRVSHRRSGRWRWMQNHDNSTTFSLEAEKPKREPHHPRRSKENHDELWMNPSGIPEWSSKGGRNQLGKS >OGLUM03G17110.1 pep chromosome:ALNU02000000:3:13352037:13355062:1 gene:OGLUM03G17110 transcript:OGLUM03G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAEAECAAAAAGGGGRAEIDTSAPFESVREAVDRFGGSAAWSSHLIRRMFAPPNPKEQSEESKQPVDIKEQAAQLEHDLIIKEKETLDVLKELESTKKIIADLKQRIQKESNETSPSAVKSDDQSEIPITESEEQKPENVNIDMDMEGLDEHPQPLSGSVLLELEQAKTNLNRTTGDLAAVRAAIELLHNSIAKEKLLLERSREKLSSNTALASSLEDELDQTTQKLQTLKDLQARREDPSDIFIEIKKMASEVQQLRGMANASKSEAMMLAAEIEQTKASIGTAEIRCIAAKKMEEAARAAEALALAEIKALLSSESSSECGSSVCDGVTLSAEEYFTLCSKAQEADENSRKKVEEAMLQVDVANSSETDSVKKLDDARLEVEECKRALQEALKRVEAANRGKLAVDEILRRWKSENGHKRRSIGGSPKFKNAAQRRKDSHSMDIISDASTNSCKQTLSIGQILSMKLMGPEGYDKTIWDDKTSEMPNVSLGQILNRGRVLSREETAVRKRVSGKRKKFALTGLSVLLAKQAKNKKKRESL >OGLUM03G17120.1 pep chromosome:ALNU02000000:3:13357256:13358605:-1 gene:OGLUM03G17120 transcript:OGLUM03G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z724] MVEQKGNILMKRYEIGKLLGQGSFAKVYHGRNIKNSQSVAIKVIDKEKILKCELMDQIRREISVMNLVRHPCIVQLYEVMATKTKIYFILEYVKGGELFNKVRRGRLKEEVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDENRNLKISDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADVWACGVILYVLLAGYLPFQDKNVMNMYKKICKAEFKWPSWFSYDIRKLLRRILDPNPATRISVSEIMEDPWFRVGLDSDLLNKTIPTDKVDKVVHVDMDSTFGNLSNNINKGKQEAENLTSLNAFDIISLSSGFDLSAMFEDENSKEESKFTSTNTATTITKKLEDVAKNLRLKFLKKNGGLLKIEGSKPGRKGVMSINAEIFQITPDFHLVEFTKINGDTLEYQKVKQEMRPALKDIVWAWQGEQPQPQSLNE >OGLUM03G17130.1 pep chromosome:ALNU02000000:3:13366570:13369885:-1 gene:OGLUM03G17130 transcript:OGLUM03G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLPGRSARARRPLPAVRCSAVGEVMAETPAVGTVEEPLLVSAIEGEEGRETAGLAYEAGREVNEGRSELVDLVVEISLQPWKVFTPDGAILFSDILTLLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEINNEATVLSFVGAPFTLASYCVEGGSSKNFSKIKKMALSEPEIVDSVKETHPELPLVLYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISNRIFDTAESW >OGLUM03G17130.2 pep chromosome:ALNU02000000:3:13366570:13369885:-1 gene:OGLUM03G17130 transcript:OGLUM03G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLPGRSARARRPLPAVRCSAVGEVMAETPAVGTVEEPLLVSAIEGEEGRETAGLAYEAGREAILFSDILTLLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEINNEATVLSFVGAPFTLASYCVEGGSSKNFSKIKKMALSEPEIVDSVKETHPELPLVLYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISNRIFDTAESW >OGLUM03G17130.3 pep chromosome:ALNU02000000:3:13367215:13369885:-1 gene:OGLUM03G17130 transcript:OGLUM03G17130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATACPPLSLQPAYLPGRSARARRPLPAVRCSAVGEVMAETPAVGTVEEPLLVSAIEGEEGRETAGLAYEAGREAILFSDILTLLPGMNIPFDIVKGKGPVIFDPLRTAAAVNEVREFVPEEWVPYVGQALNILREEGSDTHCFLLRLTMKLPC >OGLUM03G17130.4 pep chromosome:ALNU02000000:3:13366570:13367134:-1 gene:OGLUM03G17130 transcript:OGLUM03G17130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEPEIVDSVKETHPELPLVLYASGSGGLLERLPLTGVDVVSLGTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISNRIFDTAESW >OGLUM03G17140.1 pep chromosome:ALNU02000000:3:13370296:13370517:1 gene:OGLUM03G17140 transcript:OGLUM03G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLAAVAAPVLAIVGVGAACADEESRGGGKHAEARTQLRGLDKAGLDGGGGARLLLLQHHLVQLSPRRAGR >OGLUM03G17150.1 pep chromosome:ALNU02000000:3:13374949:13375551:1 gene:OGLUM03G17150 transcript:OGLUM03G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCLVTSSDTAAPPPPAAAGAAATQAPHLQRRGLHGPAAMKVAIAGNVVVAVLFVAVIVWRLFFFGGRDRAGGAAASAAADADGESSSAGSSPCASPRAGGGLGREDLMALPVYVHGASAAADGGAKAEECAVCIGELRDGDTGRLLPRCGHRFHAECVDKWFRSHATCPLCRAAVAAADGDSGGEADTKVAVVQQDV >OGLUM03G17160.1 pep chromosome:ALNU02000000:3:13422360:13422884:1 gene:OGLUM03G17160 transcript:OGLUM03G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQMSLGGDLRFRAYAAAAAVGVVAVLAVCFWRLYRLTVSARPQDMLPVSAVSSGAGAGGGKAALGELDISALPVFVHVAGGEAAAVECAVCLGEVRDGERGRLLPRCGHRFHVECIDRWFRANSTCPLCRAAVVAGEPGGAAAAAGDKGDAVAVAVVGVPDVVVHVQVEEG >OGLUM03G17170.1 pep chromosome:ALNU02000000:3:13428016:13433848:-1 gene:OGLUM03G17170 transcript:OGLUM03G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z732] MSGPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVSQGKGILQPHHILDALDEVQSSGGRALVEGPFLDVLRSAQEAIVLPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTVSEYLRFKEELVDGQYNDPYILELDFEPFNASVPRPNRSSSIGNGVQFLNRHLSSIMFRNKDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYKFQEWGLEKGWGDTAGYVLEMIHLLLDVLQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDFTPKILIVTRLIPEAKGTSCNQRLERISGTQHTYILRVPFRNENGILRKWISRFDVWPYLEKFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWTKYDEKYHFSCQFTADIIAMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRIVHGIDVFDPKFNIVSPGADMSIYFPYTEKAKRLTSLHGSLENLISDPEQNDEHIGHLDDRSKPILFSMARLDRVKNITGLVEAYAKNARLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATVHGGPAEIIEHGISGFHIDPYHPDQAANLIADFFEQCKQDPNHWVEVSNRGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMRRLYLLQ >OGLUM03G17180.1 pep chromosome:ALNU02000000:3:13438220:13440461:1 gene:OGLUM03G17180 transcript:OGLUM03G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16760) TAIR;Acc:AT3G16760] MNSYSGDRSSSSSSRPTTTSFDSYQFDFGVNSSRSSASRPLRPGPGATAGGAAAGGVGGGGSAWTHQPAKTTSWTHQPSPASAAAGAGSGPTSMVGDIFGRSWSSAAPSSGLGIPQANNPGLFSDLLGSALGSSSRGQPNAPLRSSAPQTYKPANANPNPSGSPFLMGGMASTLPKTTTGSPMSSGGGGYGVDGRPMKPAAMASAAAAQPMMGQKKDPFGSIDPFAAKPGSMNAAKKANPVKPDQGFGAFQGVNSGGIAGLSGFQTADSGFGSFQSSGAAKPSSFTPPAPAPAPAPAAAAANSGVDHLDSLFASTTAAPTAASNGGGGGDMFGEMDGWVDMEADFGSGDSGGATTELEGLPPPPSGLTASAAKSKGMDNYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLEKDKDNVSVLVQRALLYESSEKYRLGAEDLRLVLKIDPGNRLARSMIHRLNKMAD >OGLUM03G17190.1 pep chromosome:ALNU02000000:3:13442018:13442478:-1 gene:OGLUM03G17190 transcript:OGLUM03G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYATYEEAARSSSYTYYKIRVAISTESVPQLVKKTLGLCRDGSFVKDSAPSQDVTLLLPRHSSVWRAN >OGLUM03G17200.1 pep chromosome:ALNU02000000:3:13443777:13455146:1 gene:OGLUM03G17200 transcript:OGLUM03G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVHSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKVNGKHNKTVKELGIKINGSTFRGDSFTDFIHPGVSSSKEVLPSVDNWKAGKICAWHNTEGSSIQWLCIAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >OGLUM03G17200.2 pep chromosome:ALNU02000000:3:13443777:13455146:1 gene:OGLUM03G17200 transcript:OGLUM03G17200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RELA/SPOT homolog 1 [Source:Projected from Arabidopsis thaliana (AT4G02260) TAIR;Acc:AT4G02260] MQPPTGAVSGSSSSSLECVSSCRASWRGGGRPYECSVLSCAWNAPRALTGALASTTAQCSSCSHAEAGAGWRRRGRSRRSNNSLLHITWAEGINRGKFGYGSSAHSFPTGNFFKSWSTSVDPTWRVFCYSSSESFNHISPETLWEDLKPAISYLQPEELNFVHDALKLAYEAHNGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDMVTFERIENEFGVTVRRIVEGETKVSKLGKLQCKNEGNSKQDVKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYMNPGDFAELKKRVEDLYKAHEQELEEANQILGEKIAEDQFLDLVSVETQVHSVCKELYSIYKTALKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSNGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIIIYDKLSAKYAFQRHQQWLQHAKTRSARHKIMKFLREQAALSAAEITADAVNNFVADLEDESDYEQSIPSSENKDYTFNWQKILNSDKLSFGNKKSDCFLPVKNVSVPKAERDKRRGIGVMLFHFEGAYENVVSACSGVDMILGVLGWSVGCSCNPLGVLEC >OGLUM03G17210.1 pep chromosome:ALNU02000000:3:13461623:13463007:1 gene:OGLUM03G17210 transcript:OGLUM03G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIPLISSRGPGGKRSLSAADELWPPPQQHASDDPAEQAAADEEEQEQQPAARRQRRGERRTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGTKAKVNFPNEDNAFAAAPPPYHLAAYYGDASSTSYLYPMAMTPAAAGLREQQLMTTTAEYSVNDAVDVASVYFQPPPPAVAYEFSAVGGGAVVVPVSAVAPAMTYGQSQEVAAPLMWNFDDITAMPM >OGLUM03G17220.1 pep chromosome:ALNU02000000:3:13464455:13466633:-1 gene:OGLUM03G17220 transcript:OGLUM03G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPKSDDVYLKLIVKLYRFLVRRTKSPFNAVILRRLFMSKTNRPPLSLRRLVRFMEGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTYIAMPEILTIDNFALLQVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >OGLUM03G17230.1 pep chromosome:ALNU02000000:3:13467498:13476698:-1 gene:OGLUM03G17230 transcript:OGLUM03G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLPRSGSVGCGGGCSASVRLRRCRRRHHRRQPPGTGTVAGTIEGAAGADEEGGGDAGEGVPTKNPTTKLAGLPRWQRHGGDHAGGAYIWAEASVASISSQISATLSHSVMNAPKTFAHRRQAEANVNRADARTYPDPLNRSNKNSQEAGDWGGGAHTLTPWRWAALTQHGGGSHGGGSVTEVVREVDEDVDEVPVPERVGARVVTPLRRRVVAVPAPEVVAREDVAPGRERRGPGRGGEGRHRGAVEGEVEVAVLEEVGAGCERRERGDGRGGLLEGKTPPPFPPEPPDSPPATTATPAMSASAAAAAAYGTPPSQQPPPPTAESVLRVASRDPSAAAPLLQALPPDGLDDVLSSLSPASPPNHLALLPAVLALSPSPTAAAAALSALLSAPSWPSPTLLAVVSLLRDLPAAYRHRVPAFVAKVLSLLPAADAQDLPALAYQLLLLASKPLHPRAVLSGLLRFFGGHRGARLRAPPSIARQVEGTVLMHVAFAVKQDPALAREVVAAVKADAAGTLSGFAVAVLLSVARVRRFNDAAVGVLRDAVITSRRDYRISRRCKWLPECLKGECARAANCVEKALLKAVGESIGGREHVVPSIVQVGFLLLEASDSDRKEEVGSNEGVMSTEEVGVNMLKSLFDIHGMARTEIIEQCKFRILSVKPSQSLPVIRLLGGLVRTHPFQMLEYISHLKELLDYFAFLNDKISIGLINCILPLTKFSRDLKDYIILVIRKAMFKREDAVRIAATNAIVELIIAENKHKRTEANPFQDSSSQPSSSQQPETHLEIGGGLFQELSGLLRRCFMQQARVKEVLYNGLIQIVTSDPSIAENVLDFLWPHFLNYYTEHAECPLKIDSCFKIENAKVSIVEPIDCLLSCISCILQVQQNSKCEQPRDAYWKCFGFAPSQDNEVGRLSSSDLFVKALSNTQKYLRKCLAEDQRGQTQETCSLSSHLDTAHCHNFAMIGIIEVFIGFTASKLEKVADEQKEMLEKEILDLIDAHSSFERKKSKNKEKIAQRAGNSSDSTAKQTNGPKEYYSATLQKLNERRETFMDSSLYELVRVCVKQCDADNLEKCSQRPTQSKLNQCHSLLSFVLKACYRMFKSLAAKGSGATTGNVRAVLYEDVKKLVGPMMQLIWWIMLDSKQENGGTKRNLTQGKKHMDSKKDQLYLALTCLTEMSKLSVPEDHPGDIIDVLVSSAPPNIEDMVHCSQLLGRNDTDPNTGSVHVFLNILKMLYVRVLSQSLPRESEVVTELILSISRKLHHEQSHLVGHWAASLCQKTILQNPSIAQEMLKLAIHLMIAPDDLVLVHEMTAELKLITTGEEDSRDSSETFPVINCKTKNSLAAVFLQMVESSLTELDWVIGKLKVMLALAYDSANIDEDDQPADERTQRLYLEEALYSRSTSVVHVLSSFAHTSLKDSQAEQFLKLTAKLYKLLARMAKSQIAPKGYKQVMPGLKFQKLAEVTCRMLTAPLYVFVALVQENQQASKRGILARIKRESKCIPDLIFQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFKIQSKDELERNSTAARAASSENMPEEDAEGPDAPLETNGDEDPQASARSDNTVEDSESDEEEERVLARRKRAKTNSIVQDSDEEAEDE >OGLUM03G17240.1 pep chromosome:ALNU02000000:3:13475401:13476674:1 gene:OGLUM03G17240 transcript:OGLUM03G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVGSPVSAAVLCHAMPFSPRRLLRHLRSMLPSAAPAAAAAGEEEEEGERRPWEPPFDASQPAPPISYPITTLAALASRAYLSEAGNFHLPFNRASSSPRAAPLPPRRRILACHDFRGGYRDDAAPQGGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGAFITEWDKVAEICEEMLATEASAQMYAERLTELAGGGEVGVCDEAAELGLSRGSGTSGRPVSAGAAAAIAPPSSSRLGPATSSRVVAAVSLPPREAGELRGGIFRRDAFSRVAAAFLIRSRRSFDGARDGAGSRRLSPMVAAAATTETHGRATAAAAADGAAPG >OGLUM03G17250.1 pep chromosome:ALNU02000000:3:13477151:13478261:-1 gene:OGLUM03G17250 transcript:OGLUM03G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDHARTHARMQRACVRAIRSQCHARTLDRMLGSSDVIMRGDRCSFSKHEGSGRGYRVG >OGLUM03G17260.1 pep chromosome:ALNU02000000:3:13478226:13482207:1 gene:OGLUM03G17260 transcript:OGLUM03G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFFVGIVGNVISILVFASPIATFRRIVRSKSTEEFRWLPYVTTLLSTSLWTFYGLHKPGGLLIVTVNGAGAALEAIYVTLYLAYAPRETKAKMVKVVLAVNVGALAAVVAVALVALHGGVRLFVVGVLCAALTIGMYAAPMAAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSLLVKDYFIGIPNAIGFALGTAQLALYMAYRRTKKPAGKGGDDDEDDEDDEEAQGVARLMGHQVEMAQQRRDQQLRKGLSLSLPKPAAPLHGGLDRIIKSFSTTPVELHSILHQHHGGHHHHHRFDTVPDDDDEAAAVAAGGTTPATTAGPGDRH >OGLUM03G17270.1 pep chromosome:ALNU02000000:3:13484385:13489584:-1 gene:OGLUM03G17270 transcript:OGLUM03G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKAATACAASAQGKLGRLVVTGVVPCNTGTLIDVATSPPFPDPSPPPPPLLPHRMGGWGREATAAAGAEPAARPPMN >OGLUM03G17280.1 pep chromosome:ALNU02000000:3:13491180:13492879:-1 gene:OGLUM03G17280 transcript:OGLUM03G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:Projected from Arabidopsis thaliana (AT1G56220) TAIR;Acc:AT1G56220] MGLLDKLWDDTVAGPRPDTGLGRLRKHAAARPAAVKINDPAGDAAMVAVPPTTPAGAEEAPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGAGGRFRRKSSSDAYERATPGTTSHPPPFEV >OGLUM03G17280.2 pep chromosome:ALNU02000000:3:13491180:13492879:-1 gene:OGLUM03G17280 transcript:OGLUM03G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancy/auxin associated family protein [Source:Projected from Arabidopsis thaliana (AT1G56220) TAIR;Acc:AT1G56220] MGLLDKLWDDTVAGPRPDTGLGRLRKHAAARPAAVKINDPAGDAAMVAVPPTTPAGAEEAPVKVTRSIMIKRPAGYPASPRSAASTPPASPAGSTPPISPFAGAGEFPGFHTLSLSPNFSVRRKSSSDAYERATPGTTSHPPPFEV >OGLUM03G17290.1 pep chromosome:ALNU02000000:3:13499440:13502039:-1 gene:OGLUM03G17290 transcript:OGLUM03G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSVMPRKQATMSAPRPSAAPATACCRWYPSITVRGSSRLAITVVPWCAHGHLTNCLMKCMVEVAARGCQAVSPPLTPFM >OGLUM03G17300.1 pep chromosome:ALNU02000000:3:13501867:13507245:1 gene:OGLUM03G17300 transcript:OGLUM03G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYHLQHAVAGAAEGLGADIGESETIPQRWQPXCALWPPSSSPWARLHGAAVRRHRPGVAVAVHRWLEVPADDAPQSLALLLCRGSGSGGGGSVAGLIPWSSRMPSSRRCSRSVSTLGVPSASWTVVGTGAVILVVFTDVTVALDVVAHTHIHRVQMAASLAHLRIRMWVWACGVGGGDQYSHRDRIATRRPPPAGRIPVASSFGGSGTPSSLAEPPAEDFHQVLRRVVYTNGSMQQC >OGLUM03G17300.2 pep chromosome:ALNU02000000:3:13502848:13507245:1 gene:OGLUM03G17300 transcript:OGLUM03G17300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKFCCTKLCSGNGGNKSSAAASSSLFFFFHFCLSSLVFFLCPLSPSSSASSASSPPAASSPSTPPPRAASRSPPSAAPSSRPAGAPPPRPTPTTCCSTTRTSSSTPGPSSTRPSSTPPAPSPSPAPTPAPSPASRWCRTRRTAARGRGRCWRMTSSSWPPTGLRAGSAPRRSSGRGRRVLEHTDEVCGR >OGLUM03G17300.3 pep chromosome:ALNU02000000:3:13506173:13507289:1 gene:OGLUM03G17300 transcript:OGLUM03G17300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKKRRKQELCCCFFFIIFLFPFLSVLSRLLLVSSLSVLERLLRLLASRGVFSEHTSSPRRFSLTTVSRTLVPAGGGPSAASYADYVLQHHQDELVHAWPLLHQTVLDPAGSEPFARANAGALACEPVVPDTTDGSTRTRALLENDIFVMATYRTQGRERSEEEFRPRTARSRTHRRGVWSTYILAKHVYMAEHTHIGGARMQGVQRKASAAEERRRASVAVGKAAEGELGEAEDSGWDEELPRARSPPPPCPRGWPTMLGEAPPAEEGEEAEEE >OGLUM03G17310.1 pep chromosome:ALNU02000000:3:13503731:13506912:-1 gene:OGLUM03G17310 transcript:OGLUM03G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTISAVCEVFSLYIAVTVSTRHYTGVQKNYFYNVWSRLPAFLIVDIIAPPNCYRPHTSSVCSRTRRPRPELLLGALPALSPVGGHDEDVILQQRPRPRAAVRRVRHHRLAGEGAGVGAGEGLGAGGVEDGLVEEGPGVDELVLVVLQHVVGVGRGGGAPAGRDEGAADGGEREAARGGGVLGEDAAGGEEAEEALEDGERGHKKKTREDRQKWKKKNNEEEAAAELLFPPFPEQSFVQQNFAFSISLFPSRRCACLMALQGGRVVSPASAHSTDEELHFKKLKNGERGGITCLLARDSSPASVRGGNSCHGRLRAAAAGSRASMCGDGSSSESRTMTQGG >OGLUM03G17320.1 pep chromosome:ALNU02000000:3:13516034:13516651:-1 gene:OGLUM03G17320 transcript:OGLUM03G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSKNLLLVLVVAATAVGIVAPHGSEAAAGRAVTGLVTGVVPCSAGSSINAASVPAFPDAGVQMVCGGRVVGGATADGTGAFTINMGALNATMLMAMAGNQCKVVVTTPLAACDASLAAVAGTLTAPVQLLGGTGGLGGLGGLITLITQILSGLLGEILNIIPLPFSLV >OGLUM03G17330.1 pep chromosome:ALNU02000000:3:13526769:13527702:-1 gene:OGLUM03G17330 transcript:OGLUM03G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSSSIRSLLLAAALLVAVGISPHAAEASSGGAVMGLVTGVVPCSAGSSINAASVPGFPNAAVQLECGGRAVAGATADGSGAFAINLGKLTAATLTPLLNDRCRVVVTTPLAACDASLAGVAGTLAAPVQLLGDGGALGGLGGLIGGITGIIGQIISGVLGNIISIVPSAFSVV >OGLUM03G17340.1 pep chromosome:ALNU02000000:3:13527812:13547967:-1 gene:OGLUM03G17340 transcript:OGLUM03G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDRLNGLDSSPFRRQKLGEISSLESTVAAARRLAYPAVAAKSTGTGRDDHREISCVEMNPFMGRQQIVGQPRPGSPASATPINRAIDAAA >OGLUM03G17350.1 pep chromosome:ALNU02000000:3:13531981:13532280:1 gene:OGLUM03G17350 transcript:OGLUM03G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGAGEDLASGSVRGDGGGGGRERPHGQRWTTAAAAHREDLVSGGDGWGRRRLRATDGDDVVSACGRPRWMGKLQRWGRPIASRSVRAGGGRGRRREE >OGLUM03G17360.1 pep chromosome:ALNU02000000:3:13547526:13549069:1 gene:OGLUM03G17360 transcript:OGLUM03G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAYRKTKRAARPQPRYRPHLPAPMSVTVDGKRVLHIRCLRWKFRVCLHVTQHIFSILQANEYVIQSSKALIQLLDVGICADESGPRHYIAFGEGCERHTYDRNGDDMANPNPTLPWLPVPHPPLPTSLKQQQQATTWEERRLQRRLRSSSNNNNCIDKGEAAADVPKQQQQQAMTRERRPQRRLRWLKGEMGGRRERGKIGRRVVDKMMR >OGLUM03G17370.1 pep chromosome:ALNU02000000:3:13549361:13554764:1 gene:OGLUM03G17370 transcript:OGLUM03G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKPRGKPKSRALVAGAAHGGKEKKGVTGGAGKRGDRRGGRHGPRLPTALRRQLDALGPGTSRGSDEDEEAGSDDEGAHDVYEYEEGVPEEEAGKNGRYDAVEKYEYEFDSDASNADEDVPSDEGEDMEEDDAGEDEDEEKQIRILQETTGMPREAFDGGKRKKQPLELPFQPGVGDGPVTIHDLLNNIQGKPGYSKLRKRLQQQEKKPMVVQAPLANVEREKLERGVVYQQSKKEVTKWEPLVKRNREAPTLYFENDLNLGVNTVGAIASEFKPRNEFEKQMAEIMRSTEMMEAHKNDGVKILELNKIDMEDVRERQNRLAKMRSLLFRHEMKAKRIKKIKSRTYHRMLKKDKLKAASADFEADPEAAKEHAMKQEFKRAEERMRLKHKNTSKWAKRILKRGLDVQDEGTRAAIAAQLQQNALLTRKMNSTKDDSSSSEESSDDEEDDDESEANILNKGKEKILKILGDDNEIPTSGVFSLPFMERAMKKHEEATYEEARLALEECDESLRKLEDGNTEENGDSVKVTGKRTFGPAEDTNKVTNKKQKLDDGDKNSDSEYESDSAQHLDDNEVHKIDDVQIGTALLDDDEPQDDLFKSFDDIIKNPGRKTTVEVGMLADNSWKKFKSSKGNDGSNTNGDIDKSTVKVSYMADQKLKQLDHNSDSDSEDEMVEGLLTISDAKENYKIPSQADLIRQAFAGDDVEAEFEKDKLDVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMVKEHENAKRKREEALKRRKDAKLKHVIISEHVDKKAEKLLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVAALNRPAIVKKPGVVIKPIQYEEVDPHEKPDEPKRVIQRAVPNPKAKRTSAKQAKAIASNKRK >OGLUM03G17380.1 pep chromosome:ALNU02000000:3:13555438:13560828:1 gene:OGLUM03G17380 transcript:OGLUM03G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase/blue-light receptor 2 [Source:Projected from Arabidopsis thaliana (AT2G47590) TAIR;Acc:AT2G47590] MVGGPKIFQFSTTFPSLSTPPTPLPLSHSSSPTKSHHHHSHQPPPMAAAASDSDSPAAAAARHHDDPTLPAFASFSLSLSLRTPASPSPAALASVPSTIHLPTQISTLAVCLHPSASASASPSSRRRLNAAAAASSLLAPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPLHAAVGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRAGFLLDSVADLRRGLRARGGDLVVRVGRPEVVIPELARAAGAEAVFAHGEVSRDECRAEEKVSKAVEKEGIEVKYFWGSTLYHLDDLPFRLEDMPSNYGGFREAVKGLDVRKVLDAPEEVKCVPMKNVLEPGEIPTLAELGLTAPPAMAHGSKAAVGSTLIGGEAEALERLKKFASECCMQPNKGDKDSTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHASRAIPSGSTPKNGDGTSDAGTNWLMFELLWRDFFRFITKKYSSAQKTSEVAPATGCTPTPALA >OGLUM03G17390.1 pep chromosome:ALNU02000000:3:13559511:13560738:-1 gene:OGLUM03G17390 transcript:OGLUM03G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAAAAGGKGGAGKKKGSVTFVIDCAKPVDDKIMEIASLEKFLQERIKVAGGKAGNLGESVTVSRDKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >OGLUM03G17400.1 pep chromosome:ALNU02000000:3:13561176:13563357:-1 gene:OGLUM03G17400 transcript:OGLUM03G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGEERSRGGLAPWLGFAEQQRLEREREKGRGAERSSEQTLQGAVTGPSGGSNGLSSGGRHQCIMRRLSKMNIKYERVVN >OGLUM03G17410.1 pep chromosome:ALNU02000000:3:13563227:13566293:1 gene:OGLUM03G17410 transcript:OGLUM03G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETER PAN-like protein [Source:Projected from Arabidopsis thaliana (AT5G61770) TAIR;Acc:AT5G61770] MARVHHKNGRGGGGGGGGGKGKGKGKWKMPASVARKQQAAMANVDQVTGDKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVSGPLGVTHFFILTNPKSSPHLRMAKTPQGPTYTFQIKEYALAADIANSQKRPRCPPEIFKNSPLTVLSGFGGLGEPFKSLVEYFRHMTPAIDPVTVKLSTCQRILLIHFDREKEMINFRHYSIKLQPVGVTRKIRKLMQNNQVPDLRDLNDVSDYVTKAGYGSESEVDDEAATVSLASDVDKLNRASRKSAIRLQEIGPRMKLHLVKVEAGLCSRDVLYPQPVGKEGLGKKGKEVEEETEGQEDEDLMESDDDPEDESEE >OGLUM03G17420.1 pep chromosome:ALNU02000000:3:13588875:13589282:1 gene:OGLUM03G17420 transcript:OGLUM03G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMADEYNQYGGGGGGPRGGAAPHGLLLAVVVGLVVAGPLFLGDGGEAVTEAVAELLSPVGLLLLPVCLLLLIRLLSSDRGAAALADAFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRTALFGGDGGDDE >OGLUM03G17430.1 pep chromosome:ALNU02000000:3:13605795:13606181:1 gene:OGLUM03G17430 transcript:OGLUM03G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATAVAASLSVAGGLGRPLARVSSPAAPMRAAYPAAARRAVVVRASSSAEPVRREKASAAAAAAGIAAVAAVAAALAVPEVAEAAPALSPSLKNFLLSIASGGVVLVAIVGAVVAVSNFDPVKRT >OGLUM03G17440.1 pep chromosome:ALNU02000000:3:13609650:13614759:1 gene:OGLUM03G17440 transcript:OGLUM03G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAEEACAGRDGYNFDGHRLRVEPAHGGRGNGGSSFDRPSNFGGGGRRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDSEFRNAFSKGHIRVKEYDGKRARSYSRSRSPSRSRSKSRSLSKSPRTRRSASRSRSRSRSVSSRSRSASKGRSPSSIYFLGNFLLKYSFYYKLYELLSLICFVKTRLSLHFIWDCAAIWGLRIRILRMGFPQFALGCMRSPARSKSPNASAKSE >OGLUM03G17450.1 pep chromosome:ALNU02000000:3:13615102:13616096:-1 gene:OGLUM03G17450 transcript:OGLUM03G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDAATGDATTATTTVLVGVDYSEHSYHALEEAARLAAARFPPGAAEVVAVHARRPLAPAFVAIGAVAAVMSVEAAEQRAVEKLIGEKAGQLSAQYKVEVKVEVKDGEAKRVLCDAVGEHGAGLLVVGSHGYGPVLRALLGSVSDHCCRHASCPVMVVKMP >OGLUM03G17460.1 pep chromosome:ALNU02000000:3:13618911:13622831:-1 gene:OGLUM03G17460 transcript:OGLUM03G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALASPFLLPHRRRKRPLDDSHFHGPQRHRRRRLGLCLGPAAFPCPPIPPEAASSPAFDMGGFVSFLRGKPRHDDAGLGVYRGWVDGRSRDLTVATAMDDDDAGFGPRLVVRRRVGDPRKAALEAAAPRPQEKREPYYKGALEKARSYDKRLGELASLVNLEEEKLAELRKAAEPPKEDLSELFTPLTAEEENEVHNCLFGRGSSTEILALHEPSNIEVSREKFRCLRLTAWLNDEVINLYLELLKEREAREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTRRRLGYELIECDKIFVPVHKDVHWCLAVINMKERTFQYLDSVGCVDHHVPRVLARYIAEEVKDKSNKEIDTSTWHEELVGDIPLQQNGWDCGMFMLKYIDFHSRGLSMSFSQENMEYFRKRTVMEILRLRAD >OGLUM03G17470.1 pep chromosome:ALNU02000000:3:13630529:13631275:1 gene:OGLUM03G17470 transcript:OGLUM03G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLRLPSVKLWRAMVRVHSSCSSPPSPASAEQLEEAAGAPPPAAAAAAASATARRHWSEQREVFTIWMKSLVLNGSGCTVYDSGGRIVYRVDNYGSRRAADVCLMDLAGNVVVQILSKARLGHLVGRWDGYRPCRVAGAGAGGEQRPWFKAASEAWRGGGGPRCEFRSDDGSGDGRAVQRYRMEGRLGEAPGAARIVDGATGAAVAEVRRKTTAGGVALGDDVLTLLVEPNVDRSLILSLLLLHLDW >OGLUM03G17480.1 pep chromosome:ALNU02000000:3:13635396:13646304:-1 gene:OGLUM03G17480 transcript:OGLUM03G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWAVDFGGRGRLGFGMWLTDCQLWWTWGSDGNISPSAKIDYISSPHSQAVAAEVDSGKEDEEAASASGVASAADATPVGVGGGGAAVPPLDTAGLQALTGAVDRLEAILRPGEAVSNSAGHKRSALAKDLQAKLKEVKDLADGVAKKRLPPVANRRQEPWCRLISQHAKNPSIPINASHFTVGYGAHHNLRLEGSYTNSLVCRLKHAKRGALLEIYESKVVRVNGKSFDKTNKVTLCGGDEVVFNTPVRHAYVSFLLPTPYPVVYLIEKDANVSIIAGSSSDPDLVSSLCKTMEDQFNSEENTPFAWCQLLKEDLKNATIDPSEISETFDSCPYYLSENTKSALQSSAYVNLHCKDYIKFTKDISSLSQRVLLSGPAGTDIYQQYLVKALAKHFGARLLTIDSSMLFGGKTTKESDSYKKGDRVRYIGSLQSTGIILDGESPPDFGSQGEICLPFEENRSSKVGVRFDEQIPGVDSLCLDAPGWEIRSKHPFDVIIQFISEEIEHGPLVLFLKDTERICGNNDSYRALKSKLQYFPAGAFIIGSHVHPDDHKEKANASSLLLSKFPYSQAILDFAFQGMQDFDRGTDKNKETSKVTKHLTKLFPNKVMIQPPKDEIERSKWNQMLDRDVEILKGNANISKIRSFLLKMGLESSGLETVCVKDRLLTNECIDKIVGFALSHQLKHSTIPDPSSDVRFTLSSESANKVLFIQCINVYIAHCSLKHGVDMLESVESNPKSSNIRKSLKDIATENEFEKRLLADVIPPDEIGVTFEDIGALESVKETLKELVMLPLQRPELFSRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWFGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEAVASLTEGYSGSDLKNLCITAAHLPIKDILEKEKKEKALAEAENRPLPQSFSSNDVRALRLSDFKHAHEQV >OGLUM03G17490.1 pep chromosome:ALNU02000000:3:13659214:13661836:-1 gene:OGLUM03G17490 transcript:OGLUM03G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAGGEDSWGGGCKDLRMGIADSGVARRGRDGVPRGGEETVAASGKKQRRPRMASPGSDDGERRELRARGGDERGMAAPSEAMRQPREWGIDGKTSSGVRTDGRPNRQTTTAQTLCY >OGLUM03G17500.1 pep chromosome:ALNU02000000:3:13661313:13665220:1 gene:OGLUM03G17500 transcript:OGLUM03G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNILNHHIKSYKPADGYYTLFIHPRGHVAQKISAVRSPAQARACHRSQSPSRSQQAEEKKIAIKPIDSPFSRLPHRLRRRRHSPFVSTSRAQLTALTIVASRRGHPRPPLLLPGRRHRLLTPARHPVSPSLRFSRIKNRCKPHFPTRATPESAIPIRRSLQPPPQESSPPASATIFSHQRLSALSPYLSETQCRFVVVELAVPQPPRGRGAGRSRSLLVDPPANTTTNHLVHGHLPASRGCCSSAPPHLSSWYCYALCKSK >OGLUM03G17500.2 pep chromosome:ALNU02000000:3:13661313:13664869:1 gene:OGLUM03G17500 transcript:OGLUM03G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNILNHHIKSYKPADGYYTLFIHPRGHVAQKISAVRSPAQARACHRSQSPSRSQQAEEKKIAIKPIDSPFSRLPHRLRRRRHSPFVSTSRAQLTALTIVASRRGHPRPPLLLPGRRHRLLTPARHPVSPSLRFSRIKNRCKPHFPTRATPESAIPIRRSLQPPPQESSPPASATIFSHQRLSALSPYLSETQCRFVVVELAVPQPPRGRGAGRSRSLLVDPPANTTTNHLVHGHLPASRGCCSSAPPHLSSWYCYALYNSISSLS >OGLUM03G17500.3 pep chromosome:ALNU02000000:3:13661313:13665220:1 gene:OGLUM03G17500 transcript:OGLUM03G17500.3 gene_biotype:protein_coding transcript_biotype:protein_coding LNILNHHIKSYKPADGYYTLFIHPRGHVAQKISAVRSPAQARACHRSQSPSRSQQAEEKKIAIKPIDSPFSRLPHRLRRRRHSPFVSTSRAQLTALTIVASRRGHPRPPLLLPGRRHRLLTPARHPVSPSLRFSRIKNRCKPHFPTRATPESAIPIRRSLQPPPQESSPPASATIFSHQRLSALSPYLSETQCRFVVVELAVPQPPRGRGAGRSRSLLVDPPANTTTNHLVHGHLPASRGCCSSAPPHLSSWCKSK >OGLUM03G17510.1 pep chromosome:ALNU02000000:3:13667272:13671822:-1 gene:OGLUM03G17510 transcript:OGLUM03G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT1G09130) TAIR;Acc:AT1G09130] MASASLSLRLPTPSTAPSSGASSSFLSLPPTLLRQARGGAAASSALVARAASGGSPNPLFNPRADPFLSTLAAASPEELQAAAAGGGRRGDDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKTEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVRLLARHTGNPPEKIDKVIRGPFYMDSLKAKEFGVIDKILWRGQEKYMADMLSPEEWDKVAGVRRPDIILGG >OGLUM03G17520.1 pep chromosome:ALNU02000000:3:13672118:13675143:-1 gene:OGLUM03G17520 transcript:OGLUM03G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVCHSSTPLPLRQRDICDNFVNLKTSRYVARDSPKEGEEEEVDVLLRTWRMKKAGLADCIVQL >OGLUM03G17530.1 pep chromosome:ALNU02000000:3:13675174:13675599:-1 gene:OGLUM03G17530 transcript:OGLUM03G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRALPFVLLVAAAAAALVVVPATAATAEALVAHWGMRAAPCLEGTVEEECVADGEVGVVGLRRWRRRRRLFQLMDDEGGGDYGGGGAGAAAAAQYISYAALMRNSVPCSIPGASYYNCRPGADANPYTRGCSAITQCRD >OGLUM03G17540.1 pep chromosome:ALNU02000000:3:13678017:13681961:-1 gene:OGLUM03G17540 transcript:OGLUM03G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MGPPLLGHVRGEAQNDSAEKAHASPDRGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGMDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNAVNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDIPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >OGLUM03G17540.2 pep chromosome:ALNU02000000:3:13678017:13681782:-1 gene:OGLUM03G17540 transcript:OGLUM03G17540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGMDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSGSAPKSFEVNREKYTQKERNANSNAVNDTSMLHSVNARYKPPVADNANDTMQPMQMDHLEEPPDVVSDIPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >OGLUM03G17540.3 pep chromosome:ALNU02000000:3:13678017:13681782:-1 gene:OGLUM03G17540 transcript:OGLUM03G17540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell cycle checkpoint control protein family [Source:Projected from Arabidopsis thaliana (AT3G05480) TAIR;Acc:AT3G05480] MELSMSGGALRTFTRCVTCLARVGSDLVLQAHPAKLELHTLNSSRSAYASISLARDFFDEFSLSAAAAADSAPSSTPLQCSVLLKSVLSVLRTPTAALDRIAASLPNPDAPKLQLTLHCLNGVKKTYWIACSAESEVQTLALDRSNFSSRLAIRPRDLARLLSNFQSSLQELTVIATDPAAGLSNVGMDGEIEGKAVELRSYIDPTKDDCDTRLHTQLWIDPTEEFVEYVHSGDPVDVTFGVKELKAFLTFCEGCEVDILLFFQKAGEPVLLVPKFGLDDGSSSDFDATLVLATMLVSQLTDSSVAQQPTTSAQRAEEPRVAATPPPVPENVSNHTKIWSELSDVVSDIPRSQHHPSNWVGADEDDDDDEDEELFVQTTPHYMD >OGLUM03G17550.1 pep chromosome:ALNU02000000:3:13681960:13683995:1 gene:OGLUM03G17550 transcript:OGLUM03G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEARVFLSRSHNIYRPRSSCSSPFKRRRRRCSLLSRFPSPGDSVAVVVFASSTATMQNEEGQMVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAEVKQQ >OGLUM03G17560.1 pep chromosome:ALNU02000000:3:13685038:13686811:-1 gene:OGLUM03G17560 transcript:OGLUM03G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRICCSALLVLLLVSSCNAGDHPACPAAWSAAVGAELFHGGVGGEAQCSAAAPHTPVAVFAHDVDPVRFALNLEFAEAEFFLHAAFGVGLDHLAPNLTLGGPPPVGARKAGLDELTWRVCAEFAYQEIGHLRAIQRTVGGIPRPLIDLSAHNFARVMDEAVGYHLDPPFDPYANSLNFLLAVYVIPYLGINGYTGTNPLIDGYATKCLVAGLLAVESGQDAVVRGLLFERRRETVSPYGATVAELTDRVSALRNKLGQCGVKDEGLIVPEQLGAEGKICTNILSANVDSLSYSRTPAELLRILYLTGDEHVPGGFYPEGANGRIARMFLKKPPRINHGV >OGLUM03G17570.1 pep chromosome:ALNU02000000:3:13708185:13709516:-1 gene:OGLUM03G17570 transcript:OGLUM03G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAVSPAPATSAAAQQQLRTVVLRVSIHCLGCKKKVRKVLRSIEGVKDVKVDPAMHKVTVTGTVDGDTLVKRLYKSGKQAVPWQHPHVAPAPEAVKAIEAAPPQPEAAPAGDDDGGKGSDAAAAAAKEAAAQAESSEEKPEAEKEAEKKEEEEEEAKKDAGGESEAAPEAKAKGDDVGAEPAKEAVPAAAVKEASNDDEGAKDEKSKPKDAGDAAPPAAATTTERSLHFSPPPTPAAHKQHEEHYPYPYYGAPQPVMSYHMAQPTTSVSYYAPRPEPAYSMQQHPPPPAYSAPPPQQQQYPPPSPSPQPQAMQQQWSPSYLYMPYPHSSPDTYYRDYYSPPGTAHAPPLQDEYRMFDDENPNACSVM >OGLUM03G17580.1 pep chromosome:ALNU02000000:3:13744035:13746083:1 gene:OGLUM03G17580 transcript:OGLUM03G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIGTTAVGVCWGMSGDNLPPTSKVTEMLRENGFTVVRLYVPDSAALAALGCTGIRVVVGAPNYDRPALAHGGTAAAAAWIRENIQAYPTVLFRFVVVGNEVASADMQLLVPAMENVHAALAAAGLGHIKVTTTSL >OGLUM03G17590.1 pep chromosome:ALNU02000000:3:13747555:13747833:-1 gene:OGLUM03G17590 transcript:OGLUM03G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKVVLAVAFIDIVVFVVGGLGGSLPPADGQAAAPANVTPRGFICIDGNGDDDGDGDGHDDGGRTANYTRSDGRNERANSWITAPRNEER >OGLUM03G17600.1 pep chromosome:ALNU02000000:3:13750801:13758442:1 gene:OGLUM03G17600 transcript:OGLUM03G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type;Transcription factor jumonji/aspartyl beta-hydroxylase [Source:Projected from Arabidopsis thaliana (AT1G09060) TAIR;Acc:AT1G09060] MEAAAAVPEELRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKKRAASSALRASLRRSSASASAARGTTPPARMAVARPIYGRVAGEPVYVAEPALPPPPPPPRRRQPVHGLPMGNAAGARTAAELVGRGSAGLVACSSAAGAAAAATCHQCRRVANTIWCTSCDRRGYCTNCISRWYSDIPIDDVRKARVQEISAVDKLEYLHSILASVLPVLKQIYSDQCFEIGVDTKAYGLRTDIIRAKVNPDEQMCCDTSNYPRSDFCKVPVFDYHRHCPRCLYDLCLDCCRDIRRSRTSVARGEYAEGRVVDRSKDTSNKRARMEPSAESANDKSVPQRRDIKNIDIRSLFPTWRVNNDGSITCGPHEAGGCGSSKLVLRRIFKINWISKLVKNSEEMVNGCKVHVLENGCSSCNDGRTLELTGHRNFGVSTCSNNGGIDRFCVFSPVLEDLKSEGIIHFRKHWIKGEPVVIRNAFEPSLSSSWDPLNIWRGIQEIMDEEVDDDVIVKAVDCSNQAEVDIELKQFIKGYSDGHKGEDGELMMLKLKEWPPPSVLEEFLLCQRPEFIVNFPLVDFIHSRWGLLNLSAKLPPDTLQPEVGLKLLIAYGRHQEAGKGDSVTNLMINMADVVHMLMHTAKGHDVCPKRLQPERSEKIANGMTMHVNAHAPVQNLNVDMGEQSPDHVSSKFDERAHASALRLQEKSSDAKLNCGFEGSSTEFSCSSHSEEPKVNGSERSQAGAVWDVFCRQDISKLNEYLTANWEELAASSQVKNPIYEQSVYLNKYHKRILKDQYGIEPWTFQQQIGEAVFVPAGCPFQVKNLQSTVQLALDFLSPESLGESARMAQEIRCLPNDHDAKLKMLEIGKISLYAASSAVREIQRITLDPKFNLDLKFKDQNLTQAVSENLARVTKQRNVPCS >OGLUM03G17610.1 pep chromosome:ALNU02000000:3:13760112:13765455:1 gene:OGLUM03G17610 transcript:OGLUM03G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSGIAWHAFDVLQGVMSSAPDIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAKEEILKADSSISQTCLEMATGRDYDLNDRL >OGLUM03G17610.2 pep chromosome:ALNU02000000:3:13760112:13765383:1 gene:OGLUM03G17610 transcript:OGLUM03G17610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISSGIAWHAFDVLQGVMSSAPDIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAKEEILKADSSISQVLL >OGLUM03G17610.3 pep chromosome:ALNU02000000:3:13760112:13765556:1 gene:OGLUM03G17610 transcript:OGLUM03G17610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISNIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVAPFLEFLILIH >OGLUM03G17610.4 pep chromosome:ALNU02000000:3:13760112:13765455:1 gene:OGLUM03G17610 transcript:OGLUM03G17610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G47830) TAIR;Acc:AT2G47830] MGFRLAHLAAGVARAAASSSRLRGPRPAASALVAPLLASPWEPSGGGQPHWLVPSRGHVGHSHHHHHGEEVGGEASERIFRLGLAADVVLTVGKAVTGYLSGSTAIAADAAHSLSDIVLSGVALLSYKAAKAPRDKEHPYGHGKFESLGALGISNIIGNVSHAHHSHGSSGHHHGIDLEHPILALSVTAFAISVKEGLYWITKRAGEKEGSGLMKANAWHHRSDAISSVVALLGVGGSILGVPYLDPLAGLVVSGMILKAGVHTGYESVLELVDAAVDPSLLQPIKETILQVDGVKVYPFLSVSAAHDIGETVRHQIQKSHNQVAEVFIHIVESNMDQKGIWENIERRNSDAIPRQQTAEAIVSCIISSHFSKVEVSMSPEIMIRDAMDIAKQAKEEILKADSSISQTCLEMATGRDYDLNDRL >OGLUM03G17620.1 pep chromosome:ALNU02000000:3:13767152:13771964:-1 gene:OGLUM03G17620 transcript:OGLUM03G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMEAAKMESLEPEDGDGHQSDATDDDLPPRICTGKAYQAEIPNLATEDERRQYMSNTTDSCMALGYDCPIPIMWTLPSEFNKKEEEIQKQHSSETKAIENSRDGDSQTTSICPTSNNTSGQCSTSQDPHPELPDQIVSDSHQAHDDKLAPCSTQEGLNFTDKAMADQGEIEQFIPVPNSSTSIWSDQEAELLLLGLYIFGKNLHVLSRFVGSKTVGDVLSYYYGKFYKGEAYKRWSACRKAKIRRCILGERIFIGWRRQELISRLKSKIPKEAHDLLDEMFKSFNDSQTSLMDFVFHLKSVVGIEAFVEAVAIGKGKDDLTGFVLDPSKPNQVLSVQPGMPAGKDCSSLASEDIIKFLTGDFRRSKTRSNDLFWEAVWPRLLARGWHSEKPNDVSTTKNCLVFIVPGIQRFSRSELTKGTHYFDSVSDVLKKVVADPVLLEIEVDEMGNGVNAEKNGFDTAMKLNQDVPFDGYHELPKFTIIDTSLVQGEEPSQVRELRNLPADANISFGPLRHTHNMVSDSSSDEHDTDDRSSDYKEGYAGVTADENGTEMVSSKNADNESQVDSFRNMAATSCSVFPVNGHSSNGNGDTIGATSFFPQKTKIEKRKYLSPVTKRRRLTSCSNDQTSRRSFSFSKGPGLEKEKVKLPSTSSKPTAIDVGGSFQSKSLASCSGKEKPCQQIKDASNSHANDRSNEKMNVARPKEKPSGHKVDTLASVHSKTAVEDTKPAKGVAQSSDLVANQVKLETPQDDKTVTIAHAPSSDNHGSILKNKETTSSSSTEIVHDAPEATRGGPANPQPDLQASSQAMNPRRQGTRVRPPTARALEAVAFGLLGSGKRKADPTGSSRPRQRARKSTKEAASVSTSSDTEKSSMDSGARQ >OGLUM03G17630.1 pep chromosome:ALNU02000000:3:13779494:13780703:-1 gene:OGLUM03G17630 transcript:OGLUM03G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIATNIFLQTLVIKNVQIFQRRPNITNGFCFYLKSVVGIEVFVEAVAIGKGKDDLTGFVLDTSKPNQVLSIQPGIPAGKDCSSLASEDIIEFLTGDFKRSKTRSNDLFGEAVWPRLLARGWHSEKPNDVSTTKNCLVYSLRLVFKNDVLKKVVADLVCLKLEVDEMGNGVNAKKKGFDTDIKLNQDVPLDGYHKLPKFTVIDTNMVQGKEALQSFDIDPTVKPIPFISV >OGLUM03G17640.1 pep chromosome:ALNU02000000:3:13781579:13793913:1 gene:OGLUM03G17640 transcript:OGLUM03G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13540) TAIR;Acc:AT2G13540] MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFAKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAEKPYPLAVSRPETLSLLFSRLLCRSSSSSPLLSSALPVLVMVRRARAESRLRRSRRSRRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >OGLUM03G17640.2 pep chromosome:ALNU02000000:3:13781579:13793913:1 gene:OGLUM03G17640 transcript:OGLUM03G17640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13540) TAIR;Acc:AT2G13540] MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLCREYEHSKDAMFEFLLQCADQLPHKIPFFGVLIGLINLENEDFAKGIVDTTHANLQDALHNENRDRIRILLRFLCGLMCSKVVLPNSIIETFEALLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGSELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGNSPNKKDFIEDLWERIQVLSRNGWKVKSVPKPHLSFEAQLVAGVSHRFSPISCPPPTISQSSSEIVKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVPFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISNMDMECRTRLILWFSHHLSNFQFIWPWQEWAYVKDLPKWAPQRVFVQEVLEREIRLSYFDKIKQSIEDAVELEELLPPKAGPNFRYHSDEGKESTDGHRLSKELVAMVRGRKTQGDIISWVDEKIIPVNGAKFALDVVSQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMIAIAIDRMMGYRLLSNLAIVKWVFSPANVDQFHVSDRPWEILRNAVSKTYNRIFDLRKEIQTLRKGLQAAKEASEKAARELEEAKSIIEIVDGQPVPSENPGRLRRLQARADKAKEGEVTTEESLEAKEALLARGLEESKELLRLLFKSFVEVLTERLPPISADGDVPNLRAGDPNVNSSARDPEATTMEIDNENGGDNDSQLNGQNKKISHNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDQEIFVGNIHPLIRKAAFSGLCRPTSEGSHL >OGLUM03G17650.1 pep chromosome:ALNU02000000:3:13796049:13807311:-1 gene:OGLUM03G17650 transcript:OGLUM03G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRGRQEEGFRVMGHGDVELEEGEAFPDDDGGGALCLDPEVAFSYIDEKIQHVLGHFQKDFEGGVSAENLAILSGKNFLTGSKDGEYGSFLPTYQRSPPPLPQSRSPPKVANVGTSRSPYQQSAESMGQYPSTVANESISRNNGSTAPSSGDLCKREICSSTNGEKDSVACSDSLDSSFNGSDQKTLKVRIKVGSTNTLARKNASIYSGLGLDISSSSSMEGSPDGHEGQSPVCSNVPYESPRTILQIMTYFSVPGGFLLSPLHGNILKLTNKVTPLVNKWETNLDLKNVPRAVDGHSELSLSSGHVKAHVAKKMKPDGKKKKSIDTKTRKDANNTSAILGKEANVEILGSRVILSDTHDITCSSGAPTTELKGVSQFTEESTKDARSKQQMGCNDLGTVKSEAVKTEVTKHIEENSSFDSSGNGCLAPRGKVKLKASKVDRTSEDMNISSHKFSLYDRKKESKVKPMRTFEPAMDDFEGNVDKDWGAGSSDDLKTIHGKETFASERTVEDNSRTEVKRMQKEHKANFAAPSSFLEDGNFTHSSVLVNNGTTDSHLKSNHFENKSKAKSHKDLSENLPKRSIGNKEGASLESVSVQGQRKEKMMNSDNEKELHITGPAKKDIPSSVKHGTFPGSEEQQLHMPSNGGIIPGPANAASLPAPVLIEDNWVCCDMCHKWRLLPYGTNTSMLPKKWICSMLDWLPGMNRCDISEDETTNALNALYVTQIPAAGVSSGGPHTAHASVAASSTYNISGQLGQSRKRKNALKDENCYEHDQQAPAKMTLTSNQQAPAKNREVVDSEHYTNDRDPVSTHDLVPQSKSASERHKSKHKSRSSHSDGGDLTEKSKKHSKSKNRRGIDRDEHKTSKKTKKEDRHYFNKDWKNEYDLAGNEVRDETKALSAKAKMSKDSCEQDEFSLRKEKASRFDILEKTKRINDDDVAFHEKMKEHRAGIETLDLSGKKKTVKEWEDNRLSSMDHTSKGGDNENLKERLSKIKKSEARPEEVQDANALFSSAGRRQDNELVADNKFVTCKEGSSELWDNQPPRQVLNLAEPTRRDVACLQSSTVATSSSSKVSSSRRNKNSREAKGSPVESVSSSPPKNSNTDKISKARKTGKDGELNADSSILHTPMKYPTHEVGLLHTGQQAAGEAILRVSTNNSGMGRVDNQLYPGDKKILDMHGPTLQPDQQDCFNPRATADSTGHKSKNSALSRQGRNGSSNLISEGNKQIEMSSRKEKLRPSIDNQDMQKSIGQDNHSHLKEGKSEVNTTRVKPDASKNHTQLRSNVENGDSASPIRRDGNMVAFALKEARDLKHKANHLMEKGLELESMGLYFEAALKFLHVASLWETPNLDNSRSGDVAQSMKMYSETAKLCSFCAHAYERCNKMASAALAYKCVEVAYLKAAYYKHPSASKDRQELQSVVQIAPGESPSSSASDIDNLNSHGLSKALSTKGGNSPQVAGNHLPLAVRNQAHLLRLLAYTNDVNCAFDATRKSQVAIASAASSQERGKTVDDGLASVRTVLDFNFNNVNELLRLVRLSMESINT >OGLUM03G17660.1 pep chromosome:ALNU02000000:3:13810304:13812947:-1 gene:OGLUM03G17660 transcript:OGLUM03G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT2G39060) TAIR;Acc:AT2G39060] MVQALVFAVGIVGNILSFLVILAPVPTFYRVYKKKSTESFQSVPYAVALLSAMLWLYYALLTSDLLLLSINSIGCLVESLYLTVYLLYAPRQAMAFTLKLVCAMNLALFAAVVAALQLLVKAADRRVTLAGGIGASFALAVFVAPLTIIRQVIRTKSVEFMPFWLSFFLTLSAVVWFFYGLLMKDFFVATPNVLGLLFGLAQMVLYVVYKNPKKNSAVSEAAAAQQVEVKDQQQLQMQLQASPAVAPLDVDADADADLEAAAPATPQRPADDDAIDHRSVVVDIPPPPQPPPALPAVEVA >OGLUM03G17670.1 pep chromosome:ALNU02000000:3:13830389:13832704:-1 gene:OGLUM03G17670 transcript:OGLUM03G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVATVTELRQSFSGKRALRPTLTSRHANEWPPTDVSSDLTVEVGTSSFALHKLLAQFPLVSRSGKIRRAVAEAKDGKLARLGLHGTPGGAAAFELAAKFCYGVGVDVTVGNVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIAGSVAVLRSCEALLPAAEDVNLVPRLIAAIANNVCKEQLTSGLSKLDQLKPPPPPQAVVVAAAAAGDLDSPGDWWGKSVAGLGLDFFQRLLSAVKSKGLKQETVTRILINYAQNSLHGLMARDIAAAAKCGGGGGDTDAVKKQRAVEAERYRMCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQATGTTTTTTNTSIGGAHDAALFFGCAAAAAAPRSGSGVGSGAMSPRDSYASVRRENRELKLEVARMRMRLTDLEKDQVSMRRELVRVGPANRLLRGLARRLGSLFHFRGAAAEPGLQQLGAKATADAKVLFQRRRRHSIS >OGLUM03G17680.1 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17680.10 pep chromosome:ALNU02000000:3:13836953:13841099:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17680.2 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17680.3 pep chromosome:ALNU02000000:3:13836948:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17680.4 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNEELDGHLAKWTPKLLCSRSLIRPIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17680.5 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQLPNSASKIAI >OGLUM03G17680.6 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQLPNSASKIAI >OGLUM03G17680.7 pep chromosome:ALNU02000000:3:13836948:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQLPNSASKIAI >OGLUM03G17680.8 pep chromosome:ALNU02000000:3:13836953:13842251:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGSVDFIIMCFNELIRVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQLSNYFNH >OGLUM03G17680.9 pep chromosome:ALNU02000000:3:13836953:13847755:1 gene:OGLUM03G17680 transcript:OGLUM03G17680.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAASVFGSGGCLELLTSSKAMRGKLWTRLAPFISKRHASTSQTSLSSSSSSCSVINPWLFVGLGNPGEKYQCTRHNVGFDMIDMFAQSQGISLTRHPFKALFGEGMVEGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGYGRHNGVKSLIYHFRKNREFGRLRIGIGRPPGQMDPKAFVLQKFNKTGRERIDSAIEEGVEILKLVVTKGLTEAARSSNVDQKYKHLTTHDMQL >OGLUM03G17690.1 pep chromosome:ALNU02000000:3:13841343:13846972:-1 gene:OGLUM03G17690 transcript:OGLUM03G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIVVALSDIHGAEFNSSSLRDVALRFRLLRQHGLWVSLDEFNKFKGLDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILHQLETIVARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQELACNPSVLELARLDFNLLQLLHLRELQEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHATLEEGQKLDEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSDEKYYITYAVKAYQRLCKSYLQEAVWFHQNYIPSFQEHLDVSIISSGSSMLSVVSFDSAGDLATKEALEWAFGCTDAVKACGEIGRFQDDLAAFKHGKGKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVANLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKPIPII >OGLUM03G17690.2 pep chromosome:ALNU02000000:3:13844316:13846972:-1 gene:OGLUM03G17690 transcript:OGLUM03G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRADQLKEEVTLLFETCKDVEEKLKLVDVLQHLGIDHHFERRIVVALSDIHGAEFNSSSLRDVALRFRLLRQHGLWVSLDEFNKFKGLDGRFNAEVIDDPMGMLSLYNAAHLLIHGEVELEDAILHQLETIVARNLKSSPLSQQVTRALRIPLPRTLKRIEALNYIAEYNQELACNPSVLELARLDFNLLQLLHLRELQEFSRWGNNLYGAVELTYSRDRIVECYFWSYTIYYEQKYAQARIILAKIFVLATLLDDTYDMHATLEEGQKLDEAIQRWDESAISVLPEYLKNYYAKLMSTFKEIEDELKSDEKYYITYAVKAVQYYSSSLFIP >OGLUM03G17690.3 pep chromosome:ALNU02000000:3:13841343:13842307:-1 gene:OGLUM03G17690 transcript:OGLUM03G17690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYQRLCKSYLQEAVWFHQNYIPSFQEHLDVSIISSGSSMLSVVSFDSAGDLATKEALEWAFGCTDAVKACGEIGRFQDDLAAFKHGKGKLDMATSVESYMKEHNVTGEEATAVISNLVEDAWKTINQARFERSSLVPAVNRVANLAMSIMFFYQGSEDAYTFNKLSMNIIKQLFVKPIPII >OGLUM03G17700.1 pep chromosome:ALNU02000000:3:13869247:13879434:-1 gene:OGLUM03G17700 transcript:OGLUM03G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCTAPKTAPEFHPTVWGDFFINYEPQPLQACMLRPEKWMRERSDQLRKDVSQLFDAFDDVFNKFKHRDESFIIDITNDPKGLLSLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRTLKRVEALSYILEYNVHEQRYNPLILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLDYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRKLNVAIQSWDESDISVLPDYLKNFFLKVMSNFVEFENELEPHIRHRNAYIRKVQIEMSVMSAGIQALSVCILVGMGDMVTEGAIEWAIGNNDAVRAGGEVARFMDDMAAFKNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYSKDFKGTMESHFVKPIPL >OGLUM03G17700.2 pep chromosome:ALNU02000000:3:13871037:13879434:-1 gene:OGLUM03G17700 transcript:OGLUM03G17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASCTAPKTAPEFHPTVWGDFFINYEPQPLQACMLRPEKWMRERSDQLRKDVSQLFDAFDDVFNKFKHRDESFIIDITNDPKGLLSLYNAANLLTHNEEALQEAILFSRHHLELMKSNLKSPLAEQVSRALQIPLSRTLKRVEALSYILEYNVHEQRYNPLILELAKLDFNLLQHIHQRELKTITQWWEDLSNDIGLDYIRDRIVECYFWSYSMYFEEEYTRARMILAKFFMLTSLLDDTYDTHATLEECRKLNVAIQSWDESDISVLPDYLKNFFLKVMSNFVEFENELEPHIRHRNAYIRKVSIWSAVAPPALPRSASLPILSWLLPLATVRRCRARG >OGLUM03G17700.3 pep chromosome:ALNU02000000:3:13869247:13870874:-1 gene:OGLUM03G17700 transcript:OGLUM03G17700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQGSNSLSSRKGIKMSVMSAGIQALSVCILVGMGDMVTEGAIEWAIGNNDAVRAGGEVARFMDDMAAFKNGRNKLDVASSVECYIKEYNVTSEVALAKIGSLVEDAWKTINQAHIDRRELLPFVHRVTNLSRSMAILFLDKRDAYTYSKDFKGTMESHFVKPIPL >OGLUM03G17710.1 pep chromosome:ALNU02000000:3:13885868:13889695:-1 gene:OGLUM03G17710 transcript:OGLUM03G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWPAAAMLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRCLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSVQNWIKDMLWKQSDLNYPDMPDAKVHTGFYSSYNNTLLRPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPREVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMETDDWSTCRIVLGRSAAETLLLQHARQLAGGDDEGGGVNVVVRDHGVQIFLPQSLVRPSAAPASGACRCHRGIVPKCSKRIVAKLALAGLSDTTSCTQPFPSDHAMALSSLFSSSSPQVQAGV >OGLUM03G17710.2 pep chromosome:ALNU02000000:3:13885868:13889695:-1 gene:OGLUM03G17710 transcript:OGLUM03G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWPAAAMLLVLIPAASRPPHGIVAATESSVQNSEQSFGFNLTLAKTIVEYASAVYMTDLTELYTWTCSKCNDLIQGFEMRCLIVDVQNCLQAFVGVDHNLNAIIVSIRGTQENSGFCGSYDIPLYSCLNFLLSVQNWIKDMLWKQSDLNYPDMPDAKVHTGFYSSYNNTLLRPAIANAVHKARKLYGDISIIVTGHSMGGALASFCALDLAITHGGNNVYLMTFGQPRVGNAAFASYFAKYVPNTIRVTHEHDIVPHLPPYFFIFPDQTYHHFPREVWEHEVDGSTVYQVCDGSGEDPDCSRSVLVLFWSASDHLTYLGVDMETDDWSTCRIVLGRSAAETLLLQHARQLAGGDDEGGGVNVVVRDHGVQIFLPQSLVRPSAAPASGACRCHRGIVPKCSKRIVAKLALAGLSDTTSCTQPFPSDHAMALSSLFSSSSPQVQAGV >OGLUM03G17720.1 pep chromosome:ALNU02000000:3:13891939:13894527:-1 gene:OGLUM03G17720 transcript:OGLUM03G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPASFGHRRPVDHTIPPQEAKAMD >OGLUM03G17720.2 pep chromosome:ALNU02000000:3:13891939:13894527:-1 gene:OGLUM03G17720 transcript:OGLUM03G17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVDREHFHCQDCGICRVGGKDNFFHCEKCGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKASFGHRRPVDHTIPPQEAKAMD >OGLUM03G17720.3 pep chromosome:ALNU02000000:3:13891939:13894527:-1 gene:OGLUM03G17720 transcript:OGLUM03G17720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTKDGHELDRHAVESVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVRCRCCLLCFCWIWLTGNTSTAKIAASAGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPASFGHRRPVDHTIPPQEAKAMD >OGLUM03G17720.4 pep chromosome:ALNU02000000:3:13891939:13894527:-1 gene:OGLUM03G17720 transcript:OGLUM03G17720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELDDASRHGFGRMGFGCKHYRRRCRIRAPCCNDVFHCRHCHNESTVICLVCDTEQPVAQVCYNCGVCMGEYFCSACKFFDDDVRCRCCLLCFCWIWLTGNTSTAKIAASAGSCYSVSLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSMPIFDMDKFLRALDAEIEANMLHIDYMGKGWIVCNDCRDTTQVYARVAGHKCCHCQSHNTCRVAAPASFGHRRPVDHTIPPQEAKAMD >OGLUM03G17730.1 pep chromosome:ALNU02000000:3:13897050:13904120:-1 gene:OGLUM03G17730 transcript:OGLUM03G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7B7] MAGVGGSSSSGGGGGGGGDVEMGGWSELLHSSTKLLEQAAPTPHFPPLQRNLDQLEVLSTKLKAKTVRAEAPVQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATTVEEYLQQLHEMAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNLMASGISRPALMPSSASSPQSSSGLPSKEVMPIPNKTIIENKSSVYAAVLRDLNDARGRSLPFGPATAFRAAYESLSVGAVGTKSVTMHKVWHLIQALVGEGSTHRNISRKMSLVIGARRHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFLRVRLRDHGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAFNFAPLLVEWITTNGAVSPETALTASEECDKMLRMGDRPGRPGYDRKKLLLYAMICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREYTSASSSNVSNDGLVPYTLEDLQSYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAILYLSKEVGEEGYHVDAVHISIALSDHGVLPDGVGSGQKMGIMDACAEAASIIRQYGSIYLRNGNLDLALEYYAQSAAAMGGGEVSWIGRGNADQQRQRNLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHQCHEAGLYDKSVEIHKRVGAFAMALQTINKCLSDAICAMARSMLDGESRAAALIHSGNEIMETARYSEASVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIKLPFLHLDPQAPNVTVDIFRNLSPHVQACVPDLLKVALNCMDNVRDTDGTLRAVKSKIANLVASNMSRNWPQDLYQKVAQCI >OGLUM03G17740.1 pep chromosome:ALNU02000000:3:13905974:13909538:-1 gene:OGLUM03G17740 transcript:OGLUM03G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKCYMHQLLSGLEHCHNNGVLHRDIKGSNLLLDNNGMLKIADFGLASLFDPNKNQPMTSRVVTLWYRPPELLLGSTDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRISETYKDFPQSALRLIETLLAIDPADRLTATSALRSDFFTTEPYACEPSSLPAYPPSKEMDAKRRDEEARRLRAVDGRTNDGAKKTKTRDRPRAVPAPEANAELQINIDKRRLITQANAKSKSEKFPPPHQDGAVSLVSTNHMDPLYEPQDPSSFSTVFTQEKSSVPTWSGPLADLSAVGKQKWKHKFGRSSKQPSTARAR >OGLUM03G17750.1 pep chromosome:ALNU02000000:3:13915546:13919645:-1 gene:OGLUM03G17750 transcript:OGLUM03G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQSTEETPKKPDGASKKRKHQEAEHAPAEETIQGDGDQEGQKKKKKKKSKESEDSPVADADGGKKKKKKSKESEEPPVATAEGEKKEKKKKKKSDSQDAEDVAMETEASDCWPRQYRLTFDQRLVAAWFSLGYCFLLEKNEWT >OGLUM03G17750.2 pep chromosome:ALNU02000000:3:13919649:13920025:-1 gene:OGLUM03G17750 transcript:OGLUM03G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQFSTTLHYKFPQSPSPKTLAAPALSLPPLPRLAAAELLALNPRRGGGGGGGAISSPSPSRRSRELAGHRR >OGLUM03G17760.1 pep chromosome:ALNU02000000:3:13919761:13922581:1 gene:OGLUM03G17760 transcript:OGLUM03G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANLGSIRFDDGRGKREAYVPGCGETGMERRWRRRRRRRRGEGLARGVRLRRGGGEGGGRVPAPQGIENGLSDEVLKAVFPLLDGKNLVFCMLVCRQRREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFEDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLIAPDCILTMEVEPKLEITMGPSITVSVLVH >OGLUM03G17770.1 pep chromosome:ALNU02000000:3:13922597:13922844:1 gene:OGLUM03G17770 transcript:OGLUM03G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVINKSTFDYINSNAARAHMNFSDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEIPWLLDMLDWK >OGLUM03G17780.1 pep chromosome:ALNU02000000:3:13923692:13929052:1 gene:OGLUM03G17780 transcript:OGLUM03G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDADLRSPRAPDSVSASAVAEHRHFRLRFVLPLWNAAAHPPSAAVAIAEHPCCVLHHHCRRPLLRAPLPLPSRSDGGDRAGIKKGGGCGEKRRTVRRQADLPTNSAATWSVAAVTSFPSAASIVFPASTSMAGSGAVDCGICSIQAEAERSLSLSQGQRGNLCAAKATVGGGWTYGGGGGWLSGGSGGEGEGETRGMSSLFTACVVVITLGMERGRWGAASDSCRTLPSAWTKEELSLAVEHDMLLWEECSIVFTLIKRRPTLPRPKPLVAGPSPSPHGALALSSARELFLQLRDTVEDTTTPVPLKVWELRFKACRFQLHGNCSLVFPYLLFPESTIRGKHWTLANRITKFFERHF >OGLUM03G17780.2 pep chromosome:ALNU02000000:3:13923692:13927630:1 gene:OGLUM03G17780 transcript:OGLUM03G17780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDADLRSPRAPDSVSASAVAEHRHFRLRFVLPLWNAAAHPPSAAVAIAEHPCCVLHHHCRRPLLRAPLPLPSRSDGGDRAGIKKGGGCGEKRRTVRRQADLPTNSAATWSVAAVTSFPSAASIVFPASTSMAGSGAVDCGICSIQAEAERSLSLSQGQRGNLCAAKATVGGGWTYGGGGGWLSGGSGGEGEGETRGMSSLFTACVVVITLGMERGRWGAASDSCRTLPSAWTKEELSLAVEHDMLLWEECSIVFTLIKRRPTLPRPKPLVAGPSPSPHGALALSSARELFLQLRDTVEDTTTPVPLKVWELRFKACRFQLHGNCSLVFPYLLFPESTIRGKHWTLANRITKCMSSFLPNDHAFSNFNASQNRLTKESMFKDIYFCANTVAITAKKAAS >OGLUM03G17780.3 pep chromosome:ALNU02000000:3:13923692:13927327:1 gene:OGLUM03G17780 transcript:OGLUM03G17780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGDADLRSPRAPDSVSASAVAEHRHFRLRFVLPLWNAAAHPPSAAVAIAEHPCCVLHHHCRRPLLRAPLPLPSRSDGGDRAGIKKGGGCGEKRRTVRRQADLPTNSAATWSVAAVTSFPSAASIVFPASTSMAGSGAVDCGICSIQAEAERSLSLSQGQRGNLCAAKATVGGGWTYGGGGGWLSGGSGGEGEGETRGMSSLFTACVVVITLGMERGRWGAASDSCRTLPSAWTKEELSLAVEHDMLLWEECSIVFTLIKRRPTLPRPKPLVAGPSPSPHGALALSSARELFLQLRDTVEDTTTPVPLKVWELRFKACRFQLHGNCSLVFPYLLFPGIISVFLVVPWEVLFVL >OGLUM03G17790.1 pep chromosome:ALNU02000000:3:13933053:13942491:-1 gene:OGLUM03G17790 transcript:OGLUM03G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGPFGSPRGSAVSLSRKSWAPGRRRCFCLLLRRDAPPPGLLFRREGHRSAGGDAAARRQRGRTTPSRRGTGGRGGVTHRRGGVEAQIQGGATHRLALTEMGLDVSMAVCCAFPVLVSLLLVRFAYRSPHASSAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLISSSTLHFSFIKSKDIASFFHANVRDLLPFPIPFASQSSHLTSPLTALQATLPRQHSLPCAAADIFAV >OGLUM03G17790.2 pep chromosome:ALNU02000000:3:13938022:13942491:-1 gene:OGLUM03G17790 transcript:OGLUM03G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGPFGSPRGSAVSLSRKSWAPGRRRCFCLLLRRDAPPPGLLFRREGHRSAGGDAAARRQRGRTTPSRRGTGGRGGVTHRRGGVEAQIQGGATHRLALTEMGLDVSMAVCCAFPVLVSLLLVRFAYRSPHASSAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >OGLUM03G17790.3 pep chromosome:ALNU02000000:3:13933053:13942491:-1 gene:OGLUM03G17790 transcript:OGLUM03G17790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISGPFGSPRGSAVSLSRKSWAPGRRRCFCLLLRRDAPPPGLLFRREGHRSAGGDAAARRQRGRTTPSRRGTGGRGGVTHRRGGVEAQIQGGATHRLGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVIFCNGPGTCIPLCISAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLISSSTLHFSFIKSKDIASFFHANVRDLLPFPIPFASQSSHLTSPLTALQATLPRQHSLPCAAADIFAV >OGLUM03G17800.1 pep chromosome:ALNU02000000:3:13945359:13950073:-1 gene:OGLUM03G17800 transcript:OGLUM03G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARKVVELKAFNKFENTSDALSAATLIIDSKPTKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELISGLGAQDLGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVADNIQYAKVVKMMGDRTNAESLDFSEILSDDEVEAQLKEAAVISMGTEVSELDLLNIRELCDQVLALSEYRAQLFDYLRSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALGDGEDNSIGLESRVKLETRLRVLEGKELGRSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPAADLVLGQPTEETPKKPEGASKKRKHQETEPAPAEKTIKEDGDQEGEKKRKKKKSKESEESLAADADGGKKKKKKSKESEEPPVATAEGEKKEKKKKKKSDSQDAEDVTMETEESGKKEKKKKKKKHSDE >OGLUM03G17810.1 pep chromosome:ALNU02000000:3:13955234:13956526:1 gene:OGLUM03G17810 transcript:OGLUM03G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPCERPPVSLSDDMVAEILLLVHADSVGRLAAVSKQWRRVSADPTFLTARERRAPPLQLLRVSRRPSDSNGRQYDDAELSVVVPAPLISGGAEEEEARRPLARYVASFPGGYPHCTLLASCDGLLLFADHRRRLRVICNPTTRRWSSLPPHPSAAALGFYRHRPSGEYRVLSKASDPSRKHSSSYFVVSAGGGGGETRRLGGATADQLVERHPCSHLGHVAAGGKLYWIGDLVEAGRSPYLNPYAPTKLVAFDTVSEAFRLVAPPPETAANNSDDDVLMFELDGALAVLKLVEGGPMTLKLWVLDDDVGGGGEQWACRHKVQLGLALLLELKYSCMLRTSAPASVAVWDDGGGRGGGGVATFTRRRVTLYGVDETAARGRGRGRALHVFACGARNGGLQVAFKENTVAHAFFKTHPSPPVRTFGFL >OGLUM03G17820.1 pep chromosome:ALNU02000000:3:13962368:13962799:1 gene:OGLUM03G17820 transcript:OGLUM03G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMFELDGALVLLVLARAPSPESARELKLWVLEDYDGERWTCRHRFQATPPAVGAWFSALQHNDASGVAVWGEDRGGRAAAAGGAATVALTTWLGITLYGVDATPAARALHVFDCGGRHHVFRENIAAHAFFATHPWPWPDAP >OGLUM03G17830.1 pep chromosome:ALNU02000000:3:13965626:13971215:1 gene:OGLUM03G17830 transcript:OGLUM03G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDEVEVGAEKKDQELPEVEVEVEVEEEEGSKKSAAGCDYCGDAAAVVYCRADAARLCLPCDRHVHGANGVCSRHARAPLCAACAAAGAVFRRGAAAGGGFLCSNCDFARHRHGGERDPAAPLHDRSTVHPYTGCPSALDLAALLGISYSDKAAAAGAPAGGDDGGWWAIWEEPQVLSLEDLIVPTTSCHGFEPLLTPSSPKSSLDGKVNEEVIRQLGELANSDDGGTQIWAHREAAPAGDHQLPSWGTTTQHNTGHGNFGTANSNEVATMPTPGYENGGWDNSDYPALNDPCKVKFTYEQPPASSAEACMSSFVQMSELCPSMSNGSSMEETHQTNPGNGTPMQVLPKMPEFVPCPDRNLVISRYKEKRKTRRFDRQVRYESRKARADSRLRIKGRFAKVNQI >OGLUM03G17840.1 pep chromosome:ALNU02000000:3:13968885:13970102:-1 gene:OGLUM03G17840 transcript:OGLUM03G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18660) TAIR;Acc:AT5G18660] MAALLLSSHLTAASSSSTTSPTARPAPSFVSFRAANAAPKGARRGCPVLASSVEPPPAASAAQPFRSLAPSETTVLVTGATGYIGRYVVRELLRRGHPVVAVARPRSGLRGRNGPDEVVADLAPARVVFSDVTDAGALRADLSPHGPIHAAVCCLASRGGGVRDSWRVDYRATLHTLQAARGLGAAHFVLLSAVCVQKPLLEFQRAKLRFEGELAAEASRDPSFTYSIVRPTAFFKSLGGQVETVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCISDEGKANKVLPIGGPGKALTPLEQGEMLFRLLGREPRFIKVPIQVMDAAIWVLDALAKVFPGVEDAAEFGKIGRYYASESMLVLDPDTGEYSDEMTPSYGSDTLEQFFERVIREGMAGQELGEQTIF >OGLUM03G17850.1 pep chromosome:ALNU02000000:3:13974847:13976808:1 gene:OGLUM03G17850 transcript:OGLUM03G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7D5] MEAVLMQQAAAAAVARPARRRCAGEEVVGGRRPGLVRLGFARRRWSRLRLSPARAHLAVDRSREAGEEAAAVVEEGEAALLGVDGVELPVSWAVAQPGPTGDELGWAGYLAVAAMVRDAGLCLRVSLDTHGSALPAWVAAAAAADPDILFADRSGNRRDGCLSFAVDELPVLGGKSPLQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKRHAVAAGQPLWGLSGPHDAPRYGESPESSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAARRVFDGEPVELSAKVPLPRSRPAEATAGLHGGYGPVAEMFARRGCTRTRPCHFTYQRMGAEFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRAAEDATAKQAQTV >OGLUM03G17850.2 pep chromosome:ALNU02000000:3:13974859:13976808:1 gene:OGLUM03G17850 transcript:OGLUM03G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7D5] MEAVLMQQAAAAAVARPARRRCAGEEVVGGRRPGLVRLGFARRRWSRLRLSPARAHLAVDRSREAGEEAAAVVEEGEAAVRLFVGLPSDVVTADGRTVNRGKAVSAGLRALKLLGVDGVELPVSWAVAQPGPTGDELGWAGYLAVAAMVRDAGLCLRVSLDTHGSALPAWVAAAAAADPDILFADRSGNRRDGCLSFAVDELPVLGGKSPLQAYEAFFRSFAAAFHDFLGSTVTDVTVSLGPNGELKYPSYPPGSDGAGGYGGAGEFQCYDRHMLARLKRHAVAAGQPLWGLSGPHDAPRYGESPESSTFFRSPGGSWETAYGGFFLSWYAGELLAHGDRVLAAARRVFDGEPVELSAKVPLPRSRPAEATAGLHGGYGPVAEMFARRGCTRTRPCHFTYQRMGAEFFSPDHWPLFVQLVRAMECPEEAHEDDLPAAAGDGGRLAVPSGGRAAEDATAKQAQTV >OGLUM03G17860.1 pep chromosome:ALNU02000000:3:13978106:13981554:-1 gene:OGLUM03G17860 transcript:OGLUM03G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEAWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACAG >OGLUM03G17860.2 pep chromosome:ALNU02000000:3:13978358:13981554:-1 gene:OGLUM03G17860 transcript:OGLUM03G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEAWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIASV >OGLUM03G17860.3 pep chromosome:ALNU02000000:3:13978893:13981554:-1 gene:OGLUM03G17860 transcript:OGLUM03G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRSLIQEMRDEFGSISRHSLRSRSHRGGGGAPRVAAVGPAEAAAMQQSCWAQLPPELLREVLVRIEESEAWWPSRRDVVACAGVCRSWRGITKEIVRVPEASGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDMSDMSKGSNTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRVPAGNYPVSHISYELNVLGARGPRRMNCIMDSIPASAVQEGGKAPTQTEFPLSGLDSFPSISFFRSKSARIDSATSQLSTQKEEKLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASDENGPTNQEQDKVILQFGKIGKDLFTMDYRYPISAFQSFAICLSSFDTKIACE >OGLUM03G17870.1 pep chromosome:ALNU02000000:3:13981580:13992480:-1 gene:OGLUM03G17870 transcript:OGLUM03G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRASVAAAIVCSSRPSLSSSLLHHAPKVTDCRLSVTPSSLALDPVSSRAAMVKVAAGPAQNTSAMWSMGHRESFVPSGPSPSSSPVARRRGRDGEGCIGRRINEDVDDSPTEPKRLGNLGRRADGPDDFHPSSGGRTQGRKLQADPPRTCIAALSHLEAKAAKQTTIKTPEINTKPADLRDWRRGVD >OGLUM03G17880.1 pep chromosome:ALNU02000000:3:13997879:14000732:1 gene:OGLUM03G17880 transcript:OGLUM03G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7E0] MVKAVVVLGSSEIVKGTIHFVQEGDGPTTVTGSVSGLKPGLHGFHIHALGDTTNGCMSTGPHYNPAGKEHGAPEDETRHAGDLGNVTAGEDGVANIHVVDSHIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >OGLUM03G17890.1 pep chromosome:ALNU02000000:3:14015105:14015956:-1 gene:OGLUM03G17890 transcript:OGLUM03G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRQSYWCYQCRQRVRPRGQDMECPYCDSGFVSEMDDVDALMRHFVGMDPDFHRDPRFGIMEAISAVMRHGMAGTNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLSEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPATQSSIDAMPTVKITQRHLSGDSHCPVCKDKFELGSEAREMPCKHLYHSDCIVPWLEQHNSCPVCRYELPPQSSTGASCSRTRSTNQSQSSSSNGRTNGRQRRRNPFSFLWPFRSSSSSSR >OGLUM03G17900.1 pep chromosome:ALNU02000000:3:14017726:14031407:-1 gene:OGLUM03G17900 transcript:OGLUM03G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQLPTIGGASPPVPAAVALAAAGKEEVEEEGGGGGEGPAPRLRPRPQPSPRPRQPRPHPWPRVKLRPRWLAMSRRSMAALGLELRGVVCTIL >OGLUM03G17910.1 pep chromosome:ALNU02000000:3:14031094:14035328:1 gene:OGLUM03G17910 transcript:OGLUM03G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRDMASHRGRSFTRGHGWGRGWRGRGEGCGLGRSRGAGPSPPPPPSSSTSSFPAAASATAAGTGGDAPPIVGSCPDMCPARERAQRERLRDLAVFERVGGDPVRTSPSLAVKKIKFHILSHQKLSRSSQDSDASSLCYLNMEQLMKCLLSLFDMYDVIHKNSSQSSKETEYYSFYVLLHLGCKIPKMVDSLSLWYGHLSASIIQSKEMVFARSILRFYHLGNFKRFFCAIAAEGTDLQLRLLEPFLNEELDLETLCRLCGLEISNNEDTKAFAPKQASFCVPASIPQINGIYISRENQR >OGLUM03G17920.1 pep chromosome:ALNU02000000:3:14035814:14038435:-1 gene:OGLUM03G17920 transcript:OGLUM03G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYGLFHAYGIDEIGQSVDAVRASVVDLDRFGKAVKLAAFTPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHISEVTGIPCQSNEFVQELLRGVRLHFDRFISELKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFGWHFPELVKIVNDNYIYAKLADYIKDKSELAEKDISKLADLIGDEDKAKEVVEAAKASMGQDLSEVDLMNVKQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSESATAVFGQKLREQVEERLDFYDKGVAPRKNLDVMKAAIDSMVNDATNDVDGGEKVDASAKKSKKKKSKAEADGEAMDLDKPSNVADEAEPGTEKKKKKKKHKLEEEPQEQEKSAAHANGDAEENGTPKKKKKKNREVSEDAEPKTATEGKKKKKKSKTEDSD >OGLUM03G17930.1 pep chromosome:ALNU02000000:3:14040259:14045067:1 gene:OGLUM03G17930 transcript:OGLUM03G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G52980) TAIR;Acc:AT1G52980] MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >OGLUM03G17930.2 pep chromosome:ALNU02000000:3:14040259:14045195:1 gene:OGLUM03G17930 transcript:OGLUM03G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G52980) TAIR;Acc:AT1G52980] MAKKKERAVNVSGKPRHSLDVNRANDKKGAGGGAGGGGGGRSAATVRRLKMYKMRPLRDRGGKILKHDLQSKELPNTRIEPDRRWFGNTRVVNQKELEFFREELQSRLSNNYNVILKERKLPLSLLQDHQKQARAHLLDTEPFEHAFGPKGKRKRPKLMALDYESLLKKADDSQGAFEDKHATAKLLKEEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENAKHKHLVFLLNKCDLVPAWATKGWLRTLSKDYPTLAFHASINSSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADASEHIGEVLRRVKKEHLKRAYKIEDWVDDNDFLVQLSKTTGKLLRGGEPDLTTTAKMVLHDWQRGKIPFFVPPPQQGEDSPSETAEPVEKSDEEGVSSDRTAAAMKAIAGIISSQQQMNVPCQKEFGVTNEDSEVAEQSE >OGLUM03G17940.1 pep chromosome:ALNU02000000:3:14049461:14061212:1 gene:OGLUM03G17940 transcript:OGLUM03G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INO80 ortholog [Source:Projected from Arabidopsis thaliana (AT3G57300) TAIR;Acc:AT3G57300] MDPRRPPSRGGAAANGGGLSYSTLFNLEPLLNFKVPLPEDLDRYRRSSPNGSMSSQGQGSLSDQYNGISDASHGLHRKRKRNLDGASDDDEVDAYSNQITEEHYRTMLSEHVQKYRRSKFKEDVFSSDPPQVIVPQKHKNGSARVTKYRSDTRNVAMLGGVEATAEYNGTKSTNAYGGFNKVVASLDSSYLDMGDNVSYKIPEGYDKLALSLNLPVFSDIRVEETFLNGTLDLRTLAAMLSTDQKFETTNRGGLAEPQPQYESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRFIISESGSLHVHYVKVLEKGDTYEIIERSLPKKQIIRKDPSEIAREESEKTIKLWHAIAVKGIPRHHRNFMALLKKRQVDAKRFSENCQREVKFKVSRSLKLMRSAAIRTRRLARDMLIFWKRVDKEQYELRKREEKEAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKAGESAPSDEASVPEEDEEDPEEAELKREALRAAQHAVSQQKRMTNAFDSETGRLRQSSDSGIPTDDLASMEPNKIDLLHPSTMPEKSSVQTPELFKGALKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLAHLAEDKNIWGPFLVVAPASVVNNWAEEILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCRLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPAFGELQDVHYAGKRNPIMFEIPKLVYKGIVSNMEMPVRGCGFLYGSFNRMFNIFSPSYIHQSAFPEAISPNNTVLLSGAFGFTRLINLSPVEASFLATCSLFNRLAFSAVRWNKKYTDELVDVFLDSESTDLESTHNDVTTVRAVVRLLLSPTKAESSFLRTKIETGPSDSPYEALVLSHHERLVSNIRLLRSTYAFIPPARAPPINVWCADRNFAYKLTDEMHDPWAKKLVLGFARTSEFNGPREPTSPHPLIEELHTDLPFPEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLQTLDILLRRLRAENHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDIFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLIDDTQISHKLKEISMQAKDRLKKRRTKGIKVDKEGDLMLEDLDDQTSGAAEHDNTSSKKKKSSQKKLPKLQDNGSVDKNAEAEGGEVEDEDSIAAPRPKRSKRLMKNLNEDKEPEQEPTTDGDNPAEAAENNISPDDNDTEEAKDRTPSA >OGLUM03G17950.1 pep chromosome:ALNU02000000:3:14062545:14062941:-1 gene:OGLUM03G17950 transcript:OGLUM03G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEPSLLVIPKILLTLATACYILCTLAFSHATVAYVQLNVEASHETKVVSTLKYEADEADKKGLHLLGEEE >OGLUM03G17960.1 pep chromosome:ALNU02000000:3:14066950:14067435:1 gene:OGLUM03G17960 transcript:OGLUM03G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFYGTSEEDDDVHQWLPSEILRDIGAVVNPCEGRRAIVEDLAACLADVLFGSAVQRTTTQHHATVGPLPAMVDNKYQCYHAPPSMGVRPFMSNGGMMLDRVPIAPPRLAPEMRTPLLLVATSAPALPPPPTKQRDAGGTGFSCHTPRRITNAQARRLG >OGLUM03G17970.1 pep chromosome:ALNU02000000:3:14069967:14070814:1 gene:OGLUM03G17970 transcript:OGLUM03G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSCRVYMHEMDDVHRWLPSEVLRDMGIADADERRRLAIVEDLARPSALSSLATIDHRDHHALAGAGRPRVAATPPLHLRLVGNSRLPLPAPAATWHVMTGGPRNTMVLRPAPPPAMNHPHPLLRGGAVTGAAPPVTTRRSSGTGFFLPRTTAADPRHANHHMTAAAARPPYYQCSTEAVSGTKAPARQRGSGCGDDHGEEAARAHASNGGKHAAARRCSSGNHQPVPRARSTTGMGSLGHGHDALMHQPAVITHYNYMHGHLCAPYHWC >OGLUM03G17980.1 pep chromosome:ALNU02000000:3:14071589:14073620:-1 gene:OGLUM03G17980 transcript:OGLUM03G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7F1] MAGARSLLLRHLRVAVAPSSSSPAPSLRPVVALREALCARRWMSSEEAKGSFLDKAEVTERIVKVVRNFQKIDDPAKVTPDAHFKNDLGLDSLDAVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >OGLUM03G17990.1 pep chromosome:ALNU02000000:3:14075898:14077357:1 gene:OGLUM03G17990 transcript:OGLUM03G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAGVPMVKVRGGDGVEFSVQARRLAELAPGYIWDLPAIESGDIYDTVQLYRMNAELFTSRATGELLPQGVLRVQSIFAERVHDLDTLGHLTRAAIALGMEDLKDECYKRMLQDHQMSPQEVKLFLQNVLGHL >OGLUM03G18000.1 pep chromosome:ALNU02000000:3:14076126:14076320:-1 gene:OGLUM03G18000 transcript:OGLUM03G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPERRGEERGALTLVRWPRVSRSWTLSAKMLCTRRTPWGSSSPVARLVKSSAFMRYNCTVS >OGLUM03G18010.1 pep chromosome:ALNU02000000:3:14076388:14079038:-1 gene:OGLUM03G18010 transcript:OGLUM03G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGRLTERHLTVTSPWTWQVGVSRKAIDTEVKQNSMVELLRNCVSAPSPSPPAQPAAYSVSLAVAVVDPFHRQAARFRSTGEREGEEGRG >OGLUM03G18020.1 pep chromosome:ALNU02000000:3:14079331:14081869:1 gene:OGLUM03G18020 transcript:OGLUM03G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRATILASAVARLKPPRATLTHDAPAAVLAPPVADAPHAEWRGLPDDVVARVLVRLPVVDLFRLGYLFSPRWLDIWRAEPLYLHDRQFASPRIAAADVADAIANVLELHVGDGVQFVGVQGENGSDDDDDGDGGGGNEVVGADGHDSGPGVAVELEHEAADQGGGVVNNSDGGGVAGRRRLRFPGGVGADDGVISDDDIYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLANLTMEGHPELPQGIRDCATSLKGLHVFFFTMEADHIDSLVNLRVLGLYGCPGMILRALRPESEIRVLTIDFSRLVDVLVQTTRLRSLEMHNNVVQGTVVVHDAVQLRKLHLLPPTRPSKIFIGEAPSLRSIGYLDLFNTVFVIKGIVIQAGMVLHPPKMRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMRYDEVAPEEGLLKADDEHIYQGNNFFRDLGCFSHHLRWIYLTAFRGGKYELALGKAILDEARAGTMFKMLHPQGSYTDYISNQLWWALEHFRMTTPNHAVRDRHVSVILRLRKAGGLPG >OGLUM03G18030.1 pep chromosome:ALNU02000000:3:14082969:14083205:-1 gene:OGLUM03G18030 transcript:OGLUM03G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSLLLRHLRVAVAPSSSSPVASLSPAVVLREALCGRQWMSSEEAKGSFLDKAEVTERIVKVVRKSAAHNVDRTD >OGLUM03G18040.1 pep chromosome:ALNU02000000:3:14089776:14091759:1 gene:OGLUM03G18040 transcript:OGLUM03G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAVSSLNPDAPLFIPAAFRQVEDFSPQWWDLVKTTAWFRDHWFHEHQQLDEMADSLALHDAADDDDDLAGLLPDDAFDDDDDDDLFFDQTHNLLVDPPQPPAALKTDAVLKALSLVSPKGGDAPRGLREKPRHSEKPTKYAGSPRSGGAPRSVIHQPR >OGLUM03G18050.1 pep chromosome:ALNU02000000:3:14095902:14098406:1 gene:OGLUM03G18050 transcript:OGLUM03G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleolar protein 12 (InterPro:IPR019186); Has 2484 Blast hits to 1934 proteins in 262 species: Archae - 0; Bacteria - 90; Metazoa - 921; Fungi - 378; Plants - 144; Viruses - 18; Other Eukaryotes - 933 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G11240) TAIR;Acc:AT1G11240] MAWEEEAAVEEEEYEYGEEMEGSGSEAEDVVVGQMPTVMVPKHINKRALKNKALSVSLDKKALKDFVTGFHKRKKKRRKEAQKILQEKERKKRIEERKRRKQEKEIALYGRVLSSDNADGEDVENDGDEMETDDLPEPEVKTYEDGGTKITVTTSEITPEDDDEDLGPKRITPASTGYANKSVSKKSASLGVKKKPSKRTFRNKSKSKKGDKKRGAAKGKRKNKGRK >OGLUM03G18060.1 pep chromosome:ALNU02000000:3:14100320:14116638:1 gene:OGLUM03G18060 transcript:OGLUM03G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVGLVQLLVAFVVAWEAVELVLRHGLLLSLFKFALAAALAVAASFVVALFLARVVAWVLRRTAKLSIGCRSFSFNYLRDITIKSPKGAVDSICVGEIRLGLRRPLTQLGFTILNHGPILQLQISDLDIVLRQPAKSAKKKKPPPRKSTSTSPAKGKGKPKGQGKWRLITNIASLLSLSIVELRFKAPKAALGIKDLKIDLSKTGGLDPILNVEIHILPLFVQALEPDGIDNSTSVFSKLDWWVSGQYCSAMDTADCSSFLFEDIVLLCDLHQRGKGVGVKNLDLVIGPIVVKLEEKLFTKKKLSAPTVAEKTDEPAADVKSDTKSEGSKLSSLNKKIDLLPEKVSFNMSKLDLKFLPKDHGLLINNEIGGISVRFVKSQPHSDFGEATHLQLETDVSDIHLLMDGATSVLEVVKVATVVSANIPIQSTSPIRAEAGIKISGSQCNIIISRIKPLIPLNSAQKKPTVPRESSTQEKTPKEKLALDLVFTLSAPELTIVLYSLDDIPLYHCCLLSTHFAASKTVNQGTELHAVLGELKLIVAGKPQQSIKDRISGTLLQISRSTIDLEQKVPDIDNCIDNPKSSLSLNISGVRMNVCFYYLELLCTTAMSYKVFLKSIRPPKKRPAQGTSQKTTKNAKGAQIVKISVEQCAVLYVGDMILEDMSIQDPKRVNFGSQGGRVVIINDADGSPRMAYVNSTSLPDHKHVNFFTSIEINQIGLCLNKEKQSVQVELGRSRLTHKEDLLDDKPVEEVTLFDVQKVKFVRRSGGSNDSAVCALINVTEVAVWWEPDPYLELLEVATRLKSIMHRIKHQNSANEIKDDTVYTDTLAKKDSLTEHGQQEKPQKKQELVIAVDVESLKISGELADGVEAMIHVGSIFSENAKIGVLIEGLVVSFCGARIFKCSRTQLSRIPVSISDSLPDKKLQSAATCDWVIQCRNAYVCLPFRLQLRAIDDAVEDTLRAIKLISAAKMSVLFPEKKSSGSGSSSSSSKKSKSKSTEFRYVRVIVRDLVAEIEEEPIQGWLDEHIDLMKSVFNESTVRLDLLDELASVKHKDSPKAKLDGSSSEKNNGCPEVDGDAPGVCSFEKLREDIYKQAFQSYYLACQALKVSEGSGACSSGFQSGFKMSTRRSSVMSVCAKDVDVSLSKIDGGDEGMIGFIKTMDPVCAKNDIPFSRLYGSNFTLKAKSLSAYLRDYTFPLFSGTSAKCNGRLVLAQQATCFQPQVRQDVYVGKWWRVNLLRSATGYTPPMKSYVDLPLHFQKGEVSFGVGYEPVFADVSYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFRLDFTKTTWHLPAKTSPYEKLDQMLITSDYLEICYVDGYVSLYSKYLKVYLTSLESLAKKCSLETPHHEVIPFLETPSFFMDIAIQWGCDSGNPMDHYIFALPAEGKPRDKVLDPFRSTSLSLKWSFSLKPSTTEPVKHQQNIQAVSNNSPTVNVGAHDFVWLMKWVNIFFLPPHKLRLFSRFPRFGVPRFIRSGNLPLDRVMTEQFIRFDASLLQINNMPLQVDDPANGLTLHFTKFRLEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLLKVSIKKTPEPSISKDAQVENKSLHMKATDSPGKNKTSSTEKSRDDGFFLYSDYFTIRKQTPKADAARLSAWQEDGRKKSEMPLAKSEFDGGEESDHAQSGSDEEGFNVVVADSCQRVFVYGLKILWNLENRAAIVSWVGDLTQAFQPPKPSPSRQYTQRKILEKKQSTKEAEMSNDGTLSSSPLASQSSDPPKQTKSSEPPSSGPSKLESTSTSDTAMKTSNSSDSEEEGTRHFMVNVVQPQFNLHSEEANGRFLLAAGSGRVLVRSFHSIVHVGQEMFEKALGSSNVAIGETRPEMSWSRYEVSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYFRYTRHKGGNPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARAPRTKKSNLSYPLDNDDDDDTGEESDAVVPDGVEEVELAKIDVEIKEREWKILLDDIRTLSVGSEISADETQTPKSDDATWIVTGSRASLVKCLKKELVNVRNGRKEASSMLRVAMHKAAQARLMEKEKNKSPSFAMRVSLKINKVVWSMLADGKSFAEAEINDMIYDFDRDYKDIGIAHLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESLLVDIYPLKIYLTEAMYRMMWGYFFPGDEQHPQKRQELFKVSTTAGTRRVKKSTSIAETNSPSKQSSKDSMLLQKPELRRTSSFDRTWEETVAESVANELVTQFQSQSNAPPESQDAPKEAKLVRSARSTREEKKNMDPNEVKQTRPQKMMDFRNINISQVELQLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRGKKFKAKSTSQKEPTASLIAASDFNLSDSDGDEAGGSDQLPAFLKKPNDGAGDGFATSVKGLFSSQRKKAKAFVLKTMKGDADHDFQGERSENEIEFSPFARQLTITKTKKLIRRHTKKIKSKVPKGAATSQELGSELPPRGPSGNQTDSSSSDDNDSSPTETNPKD >OGLUM03G18070.1 pep chromosome:ALNU02000000:3:14117938:14118440:1 gene:OGLUM03G18070 transcript:OGLUM03G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSYDGVVALWLLRYYDEEAWECVCTRVAAGFLDRRRGRDDGVLRRPPTTAAAGTRSLLLSSQGHRYGVYNLRRGEVVAAGRELGGGDDVILATQYVYQESFASPVARRGVGDGAPSPRGELAAGAGEASSSSLIRNSPGFYGVLIFSLLSLKYGNGCSWSSV >OGLUM03G18080.1 pep chromosome:ALNU02000000:3:14142876:14149831:1 gene:OGLUM03G18080 transcript:OGLUM03G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRPMILPDELIKLHGFTTLGGKRPAYDGRESLYTNGSLPFESKESVVKVFDPEKNAKERAQKEFKITIEIVGKTNLYHLQRFLLGKHRGIAQEIIHVFDVILSDKLSRNHVTGPRSFLCTQIGHQGYIGDGLESWRDGFLNISYTSRPLLERNRVQLKKVLCHVCIETNHHDDQIRRYKITGITPIPMSNNICPVGEQGTTMTVLQYFCDMEKTGVPSVGHWNIAEEKIINGGALDNWTSLNLSRMCPEEVQRFCSDLIQMCNATGMSFYPRPVVDFRSSHPNNIQNALRDVHRPMHSYVDCDENHFYADAHCNCTVSVVPSSSNDKVAVEQEELQFASTPGNSGQGKFQVGFLLNRQTILVDTENDDSVSAVIQRAIEKTNYWPKDVYYTYSMGMIDKKKTVKESHLYKGSLIFVNSRSRGGGEPPAGCERIVDRMIKANKIPLLDHIHSVKGHDPWAEWFEHVNLPRSLLKSSKEWGGVLLQDAAAKVGQMLALCLERAHCSGKCFGGFDIHDVYYIEYYQLIDINAPMTDFTSRRYINDWLSFKKIIDDHFRYTDPTSGYLSYTLYVEDFMFRISLLEDVPSTGRCWRERAVFFKNISLESSERRVQIVEGLVGFYRERLTSDRELFEEVLQDCGYWKRKTRRVPRMSSALTYSVKNELQDWVPANDYFENCGYSQLYFCRCFFGHYTVPGRITKKELDTAVGILLPGHIPRAQKRLMVNYEKTQVDAAVLSPSGNTARFSVHHIFGIGSIGNDLALPQIKKALPPSQRKRKQTCDNLTGLLYTCSCFSANFPLVAESSDGSSGQAVEFLSPFGSDMFGSETWAVKPDH >OGLUM03G18090.1 pep chromosome:ALNU02000000:3:14189240:14190705:1 gene:OGLUM03G18090 transcript:OGLUM03G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEKLLIYYYLPNGNLHDRLHVRLDNEGEASPRRGARAACIHREYRTSAIPHGNIKSTNVLLDKNGAVCVADFSLALLLIPAQTIARLGGYMAPEKEDNKRLSQEADVYSFGILVLETFTGKVPVQYPQPSPVTASSHTPLPLQRPLPPLRPPLAAAANPAPAPRVWGKVKTEERRREREIRRRERRKGMRGNDIRAGPLSSLLLPSVSARKHASGPTSHCKSNGLSLLLSL >OGLUM03G18100.1 pep chromosome:ALNU02000000:3:14206876:14208291:-1 gene:OGLUM03G18100 transcript:OGLUM03G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGRTVLPSYVLLDRIVRSEEEAVEEESEWAAMECADWKSYGCHPGDERYPRNAARVKGMLLLARLAEPPGLSELSIRLSAAAAVPRPPPASFPPGAAVPPIPNLPDAAPDDDPPLTYVEAAGDGLIALTSCFWDGCSCYLVYDVVGRSLSMIPHLPESCLTYCSMRPLPLRAAAAGGYALRSSYSLAIVAKDMRFDMEAGRHVYRDVLCLCPPRPSSSSSSRGGITPWQFKDAIFPSQMPGSFHGDKVFSFGGHAFWADLAKGVLFCRCDDALSGRNDDAVQFRYIPLPVECHLNISFAMRGDLQLCRTMSCVDGGGDSIKFVCISDGGSSSAHTGDCAITMWTLTLATREWLKDAQLMVADLWELEGFDKARLPKAIPISPVLNPQEDGVLSFMLNDADAELYMVSLNMHSKKLLSSLTLSSCPDDIVPPLGLDLSKDLQNLSLRPIAAESVPAKTQGRPIRRSSLP >OGLUM03G18110.1 pep chromosome:ALNU02000000:3:14212427:14216680:1 gene:OGLUM03G18110 transcript:OGLUM03G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPRPQAPAGTPPMAPLPVPPPIAPIPAPPPRAPAPAPAAAAAVASTSAAGGGGGGGGEADYEVSDDHRAARERHERAVQELLQRRRAFAMAVPTNDSAVRARLRRLGEPVTLFGEREMERRDRLRALMVRLEADGHLDRLLRAQEEEQGAAGAEEEEEQIQYPFFTEGTQELLKARVDIAQYSLPRAKARIERAKRRHDDPDEDPEAEANLVVKQAEDFVLECSEIGDDRPLTGCSFSRDSSMLATSSWSGMIKVWSMPQVTKIATLKGHTERATDVAFSPVDDCLATASADKTAKLWKTDGSLLLSFDGHLDRLARLAFHPSGGYLATASFDKTWRLWDVSTGKELLLQEGHSRSVYGVSFHPDGSLAASCGLDAYARVWDLRSGRLWGTLMGHVKPVLGVSFSPNGYLVATGSEDNFCRIWDLRTKRMLYSIPAHKSLISHVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVAHESKVTSLDISGDGQQIVTVSHDRTIKIWSCRSRAQDNAMELD >OGLUM03G18120.1 pep chromosome:ALNU02000000:3:14217360:14218337:1 gene:OGLUM03G18120 transcript:OGLUM03G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVYDSQALGPHKLVFFSEIVASPPSSAAPAVTLRLLVQMAYRSSYGDDDDDGGGGDVDTMEDVSCRVPLRDLTMARGGDGGDVGAVRAAAAVRAAAAERAFGELVAGLEHPTLRLEVETEVPRAAARVLARCEGRAEEEVAGLEIRMHVVLVAHDAPREEGDGEDDESGSDMDFSDVCGGRGDWGDGDDADAFLSDDDDDEGAQFAARPYGGAMLREGGPSDGTLLLSGFATRSDGPELDDQLELTPRDIRRLVRMELKGKDVERDEAYQRALDGGTPVSPESLAAMLDQALQSVRQQPPQQQQNCQNTTRDGGVVRRMHTGF >OGLUM03G18130.1 pep chromosome:ALNU02000000:3:14219242:14225539:-1 gene:OGLUM03G18130 transcript:OGLUM03G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSTRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAAKDVVLAEKPVISDDSNQLDSSLLDDLLANISTLSSVYHKPPEAFVSRVKTAPRADDEEFADTAETGYSESPSQGVDGASPSSSAGTSSNVPVKQPAAPAAPAPMPDLLGDLMGMDNSIVPVDEPTAPSGPPLPVLLPSTTGQGLQISAQLVRRDGQIFYDISFDNGTQTVLDGFMIQFNKNTFGLAAGGALQVSPLQPGTSARTLLPMVAFQNLSPGAPSSLLQVAVKNNQQPVWYFNDKIPMHAFFGEDGKMERTSFLEAWKSLPDDNEFSKEFPSSVVSSIDATVEHLAASNVFFIAKRKNSNKDVLYMSAKIPRGIPFLIELTAAVGVPGVKCAVKTPNKEMVALFFEAMESLLK >OGLUM03G18140.1 pep chromosome:ALNU02000000:3:14225555:14233069:-1 gene:OGLUM03G18140 transcript:OGLUM03G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHRKRGEDEPQDSKGNISTVRRRRPRPLPDLSNLFLLNPLLLLADQVRPHRARAHAHASRPATHRRRRARARRSPSNHRKPEGARPAGEAG >OGLUM03G18150.1 pep chromosome:ALNU02000000:3:14234228:14238334:1 gene:OGLUM03G18150 transcript:OGLUM03G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAAVNDDERPPPPPVLRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >OGLUM03G18150.2 pep chromosome:ALNU02000000:3:14234228:14238334:1 gene:OGLUM03G18150 transcript:OGLUM03G18150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAAVNDDERPPPPPVLRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >OGLUM03G18150.3 pep chromosome:ALNU02000000:3:14234962:14238334:1 gene:OGLUM03G18150 transcript:OGLUM03G18150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRGWLERIKRLFVSEPKQKPKPDKKVKSKRWMFAGKLKTQHSFALPAPAPAVEEEQIRQAEDEQSKHAMAVALATAAAAEAAVAAAHAAAEVVRLTGKTAALAPAPATTTTPTPYGHEHAALMIQSVYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLMKIQARQRARASSAAAAGDHNAANSPAPDGMDALLRRGRELYYAAAAAVHEQQLSKGWDSSTLSKEEMSAMSRSREEAALKRVRALQYASLHQSEKVGVRRQPMSREEMETLNQRWSWLEEWVGSQPPFDKDIPVAHQSPSRDAAAVNDDERPPPPPVLRSRSRADRLACVGDDDDDADRQLGYSARRSFTRAGRRTPARDDDGGGAAAFPGYMASTASAKAKFRSMSTPKERSGAGAADAYSEQCFPFADRLLSPIPSMSPIPSIASDIVFARSSRPAAAQRSPRVKGPMTPTRSRSRRSPGRHSFGSEAALHQLQMEQYTPIR >OGLUM03G18160.1 pep chromosome:ALNU02000000:3:14237932:14240494:-1 gene:OGLUM03G18160 transcript:OGLUM03G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIHVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMINSV >OGLUM03G18160.2 pep chromosome:ALNU02000000:3:14238508:14240494:-1 gene:OGLUM03G18160 transcript:OGLUM03G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT4G31790) TAIR;Acc:AT4G31790] MLYIVGLGLGDERDITVRGLDAVRRCAKVYMEAYTSLLSLGLDPSALSNLEKMYGKEITVADREMVEERADQMLREAADADVAFLVVGDPFGATTHTDLVVRAKNMGVEVKVIHNASVMNAVGVCGLQLYRYGETISIPFFTETWRPDSFYEKIQNNRRLGLHTLCLLDIHVKEPTLESLCRGKKVYEPPRFMSVNTAISQLLEVEELRGGSAYGADSLCIGVARLGSDDQKIVAGPMKKLLDVDFGPPLHCLIIVGETHPVEEEMIEFHMIKS >OGLUM03G18170.1 pep chromosome:ALNU02000000:3:14241595:14244117:-1 gene:OGLUM03G18170 transcript:OGLUM03G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31530) TAIR;Acc:AT4G31530] MAMAMACLNPLALSPRLAYGGRAAPRRCCSVVVPTSSARAAAGRCRWRLAAVAEEPQAVRQHEQQQRTEGSGEAGSEAAADASSKLVLVVGGTGGVGQLVVASLLSRNIKTRLLLRDPAKAVTLFGEQDESVFQAYKADTRNAAELDPEIFEGVTHVICTTGTTAFPSKRWDGDNTPERVDWDGTRNLVSAMPRTIKRLVLVSSIGVTKYNELPWSIMNLFGVLKYKKMAEDFVQNSGIPFTIIRPGRLTDGPYTSYDLNTLLQATAGERRAVVMGEGDKLVGEASRLVVAEACIQALDIEFTEGQIYEINSVKGEGPGSDPEKWKELFRAVQ >OGLUM03G18180.1 pep chromosome:ALNU02000000:3:14253548:14256065:-1 gene:OGLUM03G18180 transcript:OGLUM03G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGANAAQQGDTLRVTGGSVSSSSSCGCVYERVHGVPLPFPPPPLTQGIRLRVIPAGRVGFVRTTVECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFRTLTDNIIVGVSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEIVRRKEGKAGKKK >OGLUM03G18190.1 pep chromosome:ALNU02000000:3:14257181:14257795:1 gene:OGLUM03G18190 transcript:OGLUM03G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGGGSVGPFSKKPRHLSRSLTYHHHHHHPYQGQGRSPSFNARRQHHPQQQDHAVVLYTTSLRGVRRTFADCAAVRAVLRGLRVAVDERDVSMDASLRRELQSLLAARGRPFSLPQLLVGARLVGGADEVRQLHEAGELRRLLEGAAGQDPAFVCGGCGGVRFVPCPACDGSRKVFVQEEGCARRCGDCNENGLVRCPNCCS >OGLUM03G18200.1 pep chromosome:ALNU02000000:3:14259821:14261542:-1 gene:OGLUM03G18200 transcript:OGLUM03G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7H6] MASVTYIDDSGSEVIDPPKTEVLDVTELAGDPVPHSPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCVGIYPYYCKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDLEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGERKELKLRVTGRIWKEQ >OGLUM03G18210.1 pep chromosome:ALNU02000000:3:14265514:14270797:-1 gene:OGLUM03G18210 transcript:OGLUM03G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSSGGPAHNASLGPARTWTSSPFARRAGDPSAAIAGFQRRLYGGHRRPDVPLAGGSLADSYAERRVEIPKSFVILEDILQHTLSNMHSIQESILFWQSNALRTNSQKAYFMIFQRGPRAFVATTCQTLAKLQNKGSPVQGLLDSASSMFSTKLAILTRKQHCLASFLAEVYNEAEKRRSVLIRRSEQSLHSLFVALNNIFSDLEVELRNAGEPGAVLSNHDNNSFELFQRLPEADVQSSAAISLIYENLQKLDIFLSSQLSSHKKPRKMTKYWLPYTFGALGLSAFTLWLLRHSSLMGSSDIDNWLHGAKKLLVWCWDENVQKPVSSIRYLTNTLQQSKGVTGKQYIQFPEDTLSKCRNISSSLCERYEMEPGSPEELACLMINQVAFFSPTLKFQTKKFLRDFKEVMPELEHVLRVQQVTLAFGRWLLLPGQVTQRRGRIAHLKRCQLLSGVQEMLAEFQYCMDKGMKEEAQCSLGLLLYSIYRFYRGVESSSKETGEWIYMKEHIFCLADPLLGVAIKRDILSSLRNYKCLSPPSSLYGF >OGLUM03G18220.1 pep chromosome:ALNU02000000:3:14275388:14278158:-1 gene:OGLUM03G18220 transcript:OGLUM03G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7H8] MDSHVGKFFDSVGSIFRGSDTLPWCDRDIIAGCENEVAEAANEEQKNESLMRLSWALVHSRQPEDVNRGIGMLQASLDRSTSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEDKTRRDGMIGMAIVTGAFGLVGLVAGGIIAAASSSSSSSRKK >OGLUM03G18230.1 pep chromosome:ALNU02000000:3:14279128:14279551:1 gene:OGLUM03G18230 transcript:OGLUM03G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAAARLDPTSMTPDLSSKHDVGSTPESSSAADPVALCHMELDVGDLFTTMSWAGHASSTM >OGLUM03G18240.1 pep chromosome:ALNU02000000:3:14282343:14285839:1 gene:OGLUM03G18240 transcript:OGLUM03G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATLRSATAAAAAAARARKGGGLRWLGTAAEAEAEREGGAGARWELSAVREYYDYRKSVYGDVTHRALLVDAVGTLVVPAQPTAKVYKSIGEKYGVKYSEDEILARYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDLQYFEELYQYYMTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLHVLKCDHWFDAVAVSAEVAAEKPNPTIFLKACEALGVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEVAK >OGLUM03G18250.1 pep chromosome:ALNU02000000:3:14298484:14298951:-1 gene:OGLUM03G18250 transcript:OGLUM03G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRGLLSHNYCSSSRCDVGCGDTRRGACYPTVVKGRVLRTDLRLWRLWSGLADVVADHVNAKMHVGVTVGAIHGGRG >OGLUM03G18260.1 pep chromosome:ALNU02000000:3:14299954:14300714:1 gene:OGLUM03G18260 transcript:OGLUM03G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVLALTAMAMVEAVASEKLSGAGSRRRWRTTAAGADTVAPSPSFHADLSIFLLKLRFGRGRYRRGPRCDDSGKSNPVRGGAPGQAAASGLKWFSAASWIGGEAGSSEARWSGIN >OGLUM03G18270.1 pep chromosome:ALNU02000000:3:14302414:14303486:-1 gene:OGLUM03G18270 transcript:OGLUM03G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDGYRRQQRRRRRLVQRMVACFGMLSRRRRTARLVLWGGEARAARHGKMAGQVMLDFTDSVVCRADGFCIGRPAPVLAIEDRLVAGRTYLVLPVDRLPQGYDAVTAASLAALSYDRGGAGATSIAGGAKSPFEYVKGDDGRTVIKVTPEFIVRSITSSRPIGACAAADDEEVEGGGGGAPLCSTPELRKHYEQLVGAARCRAWSPRLETIKERKGARRIVVAAQLIHFDLNKFSDEFEFGYYWRVQEFIYVQLS >OGLUM03G18280.1 pep chromosome:ALNU02000000:3:14303539:14311184:-1 gene:OGLUM03G18280 transcript:OGLUM03G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASTTATATSAAAAARAICVLLLLLVAVVVVAGQAPEPEGDDQAAAIDQAGGGGGGGGGGGNNNGTAGRARGGGGNNNGTGRARDGGGDRDRGADGGSWRAASTARSARRRAGRTRAAAATRRETSKP >OGLUM03G18290.1 pep chromosome:ALNU02000000:3:14313527:14314258:1 gene:OGLUM03G18290 transcript:OGLUM03G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGSGSKLLDQESRRGASLVPVSLRAQVCIIKDMGILQQGELVGNDVVADQYIKHFEAPLPMVVVKGIGHSPGWMEGAQQPRKKAGLKRDWDAHG >OGLUM03G18300.1 pep chromosome:ALNU02000000:3:14314883:14329418:-1 gene:OGLUM03G18300 transcript:OGLUM03G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPCRRAPRDLPPPACGPVAGDGGGSPLTSPASSTLTVAAARLLLLLHRLLLLLLLLLPRTRTSASSATTTTTTAMPHVVPPSRAPPPPANSDLFKAFPPPLLSPPTREFCSPRGRRARAPARSLQPWPPLLPPPRAASAASHIAIITNRPASRPNVDAQAATSGVLTIASAAWGLAGKSIPIPGRRGTSSLNGAPATPDTFYKTAEFQMTSLPHGEAARARARRTWDLAAAPAAAAAARPRAPRRHCLGSRPFPIPKAWGEGVDEERRATTTCKDSTTGVRRSPAIGNATSGGHEFATAGSSGGELVAAESGGPVAEDGCRLRRERSGGSSSGKEGAEVAAVAMESGAVALFSPDLAAGFEWPYPASGSLWLTELARRVAMSMTTAVRGVEANDGGRMRRGGDDSGLAPCRGDDDSEGTERTPEKVNIYTFEMYHTEYKGGAS >OGLUM03G18310.1 pep chromosome:ALNU02000000:3:14325828:14332708:1 gene:OGLUM03G18310 transcript:OGLUM03G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALDGGTTCGIAVVVVVVAEEAEVRVRGRRRRRRRRRRWRRRRRRAAATVRVEDAGEVRGLPPPSPATGPHAGGGRSRGARRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNLLASEGSFDSDSSRASSPHLSRGDTDPNRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >OGLUM03G18310.2 pep chromosome:ALNU02000000:3:14321020:14332708:1 gene:OGLUM03G18310 transcript:OGLUM03G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREHERRGRGRGGGGRVSPMPPGEDADTASSCSGADEGEAQAQGNEQQRAERVLPNGDLYKGQWRGGAPHGAGKYLWADGCMYEGEWRHGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDSYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLPEGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESRDPREVFARELPECVRSGTEGQSALPSLRSLRWLARSISGRGSSSSGRSIGSVVGVPHLWGSDGEVKPDIADDWRRRSSVREGRGPPPPSLAAPPPPPHVDKGAAPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKSEVKIFLKMLRAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWHQEFLRQVDKDCDFLEQENIMDYSLLVGVHFRDKRNLLASEGSFDSDSSRASSPHLSRGDTDPNRFSKIKLGSNMPTRAELTVRKSECEPQIIGEPTGEFYDVILYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYSRRFKDFVYKAFQEEKIDI >OGLUM03G18310.3 pep chromosome:ALNU02000000:3:14321020:14326080:1 gene:OGLUM03G18310 transcript:OGLUM03G18310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MREHERRGRGRGGGGRVSPMPPGEDADTASSCSGADEGEAQAQGNEQQRAERVLPNGDLYKGQWRGGAPHGAGKYLWADGCMYEGEWRHGKATGRGRFSWPSGATYEGEFLDGFMHGAGAYVGAAGDSYRGAWAKNLEHGAGEKRYANGDCYDGEWRAGLPEGCGRYAWRDGTEYAGGWRAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWSDGSLYVGFWGREAPGGAVHQKGVYYPSPAAAGESRDPREVFARELPECVRSGTEGQSALPSLRSLRWLARSISGRGSSSSGRSIGSVVGVPHLWGSDGEVKPDIADDWRRRSSVREGRGPPPPSLAAPPPPPHVDKGAAPPRVMKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQVILDLKSSAFDAKEKVWTKFPPEGSKYTPPHNSSDFRWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHTIHRRFDLKGSSLGRTTDKPLAEIDEYTTLKDLDLNFIFRLQKQWFQEFQRQVDKDCEFLEHEKIMDYSLLVGVHFRGAVDIDGDIPASPRLSRWDRDHFLSDPNRWSKIKLGANMLSRAELTIRKNDTDVVGQPTGQYCDVILYFGIIDILQDYDIGKKIEHAYKSFQYDSTSISAVDPRQYSRRFRDFIYNAFQEDRAES >OGLUM03G18320.1 pep chromosome:ALNU02000000:3:14337060:14347929:-1 gene:OGLUM03G18320 transcript:OGLUM03G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIAETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKVNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >OGLUM03G18320.2 pep chromosome:ALNU02000000:3:14337060:14347929:-1 gene:OGLUM03G18320 transcript:OGLUM03G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIAETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKVNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >OGLUM03G18320.3 pep chromosome:ALNU02000000:3:14337060:14347929:-1 gene:OGLUM03G18320 transcript:OGLUM03G18320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPAAGGAFDRYQRAGAPAASGNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRGGEVNAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKYGIKVEGILRQAADVEEVDRRLQEYEQGRTEFAPDEDSHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDARINAMRSAIAETFPEPNRRLLQRILKMMYTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIVTTLLEEYESIFDGEHNLRCSLSPESQIEDSGSEASTDDVNLDVKVNGFHDAENDVDQEMDDENGAERILSGKLSESSGYAGSDLYDYKVVHADDSDAERSEDAKAAEVKIELSKGPKSHSTENGSAYMETLLSEKNPSNPISSHETPLSMGEILSSLDPGISLANHSGEYSVESRQPAKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELQEQLQAERDLRAALEVGLSMSSSQFSSSRAMDTKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGPMVDANDRYHRIPGHFSQQNFVQPGFDMNLAFCNQEKQRNEESSVDSSQWRNIKQHVLPYGSSRPLTRKLSLDASSSDSRGMEASTSMPTDNTAMAINAPKFTEGVDYGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPYNKPPSPWDSPR >OGLUM03G18330.1 pep chromosome:ALNU02000000:3:14352813:14356963:-1 gene:OGLUM03G18330 transcript:OGLUM03G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADAGSDPSAALAAAAAATSTCAHCQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHFNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >OGLUM03G18330.2 pep chromosome:ALNU02000000:3:14352813:14356963:-1 gene:OGLUM03G18330 transcript:OGLUM03G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAADAGSDPSAALAAAAAATSTCAHWFVRRDPPRPTSLSRQREIPSSNIDLHSAHCARNLQKCEHCGEMVARKLMDEHFNESHAPVNCTLCKEIVTREIWDLHKSEQCPQRIVACEYCEFELPAVELHEHQDVCGNRTEFCQTCKKYVRLREWIGHEIQCHANANANANASAQTSSARIIPEREVRPPPPVRPPRPMHGAQHKRLLFTIAVTGIAVMIGSILFQREESF >OGLUM03G18340.1 pep chromosome:ALNU02000000:3:14360396:14361867:1 gene:OGLUM03G18340 transcript:OGLUM03G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPEDPKLNMVDLLPDDVLADILRRLAPRQLAACRCVCKPWRAVVDRHRLLRADLLPLSLGGIFVNFHEVLTSFFLRRPSARPPAAAISGKFEDFTPSAARSTVVDHCNGLLLLRSRYVVNPATQRWAAFPEPPPPRPGITRSFYHDEYLVFDPTVSPHYEVFLIPSVTPEEFVSKKLRPKVEESEWPPSPCFLSVFSSSTGQWEERSFVREGEAAGTIADMRSQPLLEQYNAVYWKRALYVHREANFVMRISPSKSKYQVIKLPIAQDGYVDPFLARSEKGVYLALLDRCHLRVWILNESCEQMKWELKYDKEIQLSFQRWNYDEESVGPWTLHYSRRDVYDGDDTNYNAEVAERKFEWDSDSDDVLDLEDRVQRSSHGGFLILGFHPYKEVIFLDEGSSRGLAYHLGTSKAQDLGRLRPNFFDHWHVEGVNRSFVYTPCWIGELSNGI >OGLUM03G18350.1 pep chromosome:ALNU02000000:3:14363345:14363788:1 gene:OGLUM03G18350 transcript:OGLUM03G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTGFEIASTTTFPATTQISFGAFDFLVDSAGKLRQASPGVTGPVMAYRYAPGTRFSFGSLDFIATSSGVLELAPCEPAPPTTTPTMPLGINNFAASGPSTAGQQHRHQHFSDCPTLMLPGASWQLQAPTSPTFAGTRIPLGRLAR >OGLUM03G18360.1 pep chromosome:ALNU02000000:3:14372109:14373343:-1 gene:OGLUM03G18360 transcript:OGLUM03G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7J7] MAITFTIKVVVLLSIILPVTSFEKDPLRNRHLWANPTVLRFDFVREAYHAILRTFIAFITSTSSMLRVYDIVILKIQRPVSEAPESWNMAHLIGRDGDETMLSMRDDNLYVLGFANRSGDWHAFERHAHLFREDVTPLKINDNYGSLLGGHRGHKDLPRIAHGQQAVLDAIQALSNYDPSTTDDRILGDALATLIVTLPEAVRLRKTRDWQLRGWPTGTHLTSKLADEVVKWRVMTCGLLVSHRNNGWWGGEEARDLYGSLRIANKFGAMERVGVMLWPARGKCSEEVLDEWHISKIRRDMMMVQQRG >OGLUM03G18370.1 pep chromosome:ALNU02000000:3:14376089:14377443:-1 gene:OGLUM03G18370 transcript:OGLUM03G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWLLAFDSLIGAERRRRWGRGTERRRWVRDAETTPVGEGRGKAAAVGMGVERRRWGWARRGGGEGGAQEGNGGGGGARAKRRWGRGAVAGGERCDLRWEEEGEKRRVRD >OGLUM03G18380.1 pep chromosome:ALNU02000000:3:14377812:14382546:-1 gene:OGLUM03G18380 transcript:OGLUM03G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGGGGDGGVRGGPGRGEAGGGGGGGAQPYRPTGVVWPPPPPGSTPVTVRRPPPSTSPAPQAAASSSSTSTPDKLVRQKAMEIALAPPAAASPDGEALASDNLAQIKTALGGVCIETNHQDDKIRRFSVDKNGTRCCLDSSSESGPWYSKKLNNKKGVTGILSQWHKQTEQKAHEVVIYKRFNVHDVMDWHRECTGQPESSSVDASSICNVSGERHKEDTKEEGHQVNILIETGNKECNARKSTSTNPMFIGLGIGFGSAMLFAFALVVIWLFVRRRSQGGPLVPQISLPAIAPHFSQGHPALPFQEHPALTLPCGPVPVPTPQEVTTVQPATSAYGQPVQPATTAYGQPATNPMAYLASVVSYAIVDRLRGCLVATLSEQN >OGLUM03G18380.2 pep chromosome:ALNU02000000:3:14377812:14382546:-1 gene:OGLUM03G18380 transcript:OGLUM03G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGGGGGGDGGVRGGPGRGEAGGGGGGGAQPYRPTGVVWPPPPPGSTPVTVRRPPPSTSPAPQAAASSSSTSTPDKLVRQKAMEIALAPPAAASPDGEALASDNLAQIKTALGGVCIETNHQDDKIRRFSVDKNGTRCCLDSSSESGPWYSKKLNNKKGVTGILSQWHKQTEQKAHENDLSVPITSRGFNVHDVMDWHRECTGQPESSSVDASSICNVSGERHKEDTKEEGHQVNILIETGNKECNARKSTSTNPMFIGLGIGFGSAMLFAFALVVIWLFVRRRSQGGPLVPQISLPAIAPHFSQGHPALPFQEHPALTLPCGPVPVPTPQEVTTVQPATSAYGQPVQPATTAYGQPATNPMAYLASVVSYAIVDRLRGCLVATLSEQN >OGLUM03G18390.1 pep chromosome:ALNU02000000:3:14385977:14387151:-1 gene:OGLUM03G18390 transcript:OGLUM03G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPRLSSAPAAAATFAICCAASAAFVDASGRVAEKNALVIAATTGADDINRPFLVRLLSEIGLGVGVEDNRKRRSAKTRREDWAGNRRGRQLRGGGRQRSICDTPILCANACNAGRRPDDPEAGLYSAWCRGDDSQVDPALDMAQGRGTWSAQICCHSIDRVICDAIT >OGLUM03G18400.1 pep chromosome:ALNU02000000:3:14389837:14398991:-1 gene:OGLUM03G18400 transcript:OGLUM03G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLLPSSPAAAAGGADGWEAIGAAAAGGGRRRVASPEEQEAAAAGVLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKTFNVTNRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPNTVDGDPRWCCTYLLDPSDALFIDVGLAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDWLKIYSYRRYGQSNVEVEKAWGILYHTIYNCTDGIADHNKDYIVEFPDISPNSFSSDVSKQKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >OGLUM03G18400.2 pep chromosome:ALNU02000000:3:14389837:14398991:-1 gene:OGLUM03G18400 transcript:OGLUM03G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-N-acetylglucosaminidase family / NAGLU family [Source:Projected from Arabidopsis thaliana (AT5G13690) TAIR;Acc:AT5G13690] MRPPTPLPFLLLLLLLLLPSSPAAAAGGADGWEAIGAAAAGGGRRRVASPEEQEAAAAGVLRRLLPSHARSFRFQIVSKGGVCGGSSCFRISNADGSRRNGAEILIQGTTAVELASGLHWYLKYWCGAHISWDKTGGAQLASVPLPGSLPQVKGTGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKTFNVTNRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLTLQKKILSRMIELGMVPVLPSFSGNVPNTVDGDPRWCCTYLLDPSDALFIDVGLAFIRQQMKEYGDITNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKDAVWLMQGWLFYSDAAFWKEPQMKALLHSVPTGKMIVLDLFADVKPIWQMSSQFYGVPYIWCMLHNFGGNIEMYGILDSIASGPIDARTSHNSTMVGVGMCMEGIEHNPVVYELMSEMAFRSQKVEVEDHNKDYIVEFPDISPNSFSSDVSKQKAISEVKKHRRFVLSEVSASLPHPHLWYSTKEAIKALELFLNAGNDLSKSLTYRYDLVDLTRQSLSKLANEVYLDAMNAYRKKDSNGLNFYTKKFLELIVDIDTLLASDDNFLLGPWLEDAKSLARTENERKQYEWNARTQVTMWYDNTKTEQSKLHDYANKFWSGLLKSYYLPRASKYFSRLTKGLQENQSFQLEEWRKDWIAYSNEWQSGKELYAVKATGDALAISSSLFKKYFS >OGLUM03G18410.1 pep chromosome:ALNU02000000:3:14399180:14400390:-1 gene:OGLUM03G18410 transcript:OGLUM03G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSRHAKHVGKPAAGGGAVFDLRTSVVLTIVPTPLGAAAVEGTGAVDARIQLPPSLGAAEAETKAAGESGSRLFPPLPPMPPSPVGLISPFSGRPPLSPLRKNSPTAFGKQSKSSNSTALSKISTQSSTI >OGLUM03G18420.1 pep chromosome:ALNU02000000:3:14411610:14423348:1 gene:OGLUM03G18420 transcript:OGLUM03G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILVLYSYYDNVDRFSGKEFDTLYLGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >OGLUM03G18420.2 pep chromosome:ALNU02000000:3:14411610:14423348:1 gene:OGLUM03G18420 transcript:OGLUM03G18420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVREPHLYTFSLRNGKLEVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >OGLUM03G18420.3 pep chromosome:ALNU02000000:3:14411610:14423348:1 gene:OGLUM03G18420 transcript:OGLUM03G18420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGRSSPMAGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >OGLUM03G18420.4 pep chromosome:ALNU02000000:3:14411610:14423346:1 gene:OGLUM03G18420 transcript:OGLUM03G18420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRAVQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKTPEEDKFETRLYICKGKRAIRVKEVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERAKALEAIQHLKETYHNGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAICDDDVVLETTAPKLYSINNGQLKLEDTVLTKSILENNKCFLVDCGSDLFIWVGRLTQVEERKAASAAVEEFIATQNRPKTTRVTRVIQGYENHTFKSKFESWPVNSAGSAGAEEGRGKVAALLKQQGVDIKGASKSSAPVDEEVPPLLEGDGKLEVYCVNGSAKTALPKEELGKFYSGDCYIVLYTYHSGDKREEFYLTYWIGKDSIPEDQEMAFQTANSIWNSLKGRPILGRIYQGKEPPQFIALFQPMVILKGGISSGYQKFVEEKGLKDETYSGDGIALFRISGTSIHNNKVLQVDAVSSNLSPTDCFVLQSGNSMFTWIGNASSYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQNYTSRNATHDVVTEIFNFSQDDLLTEDMMVLDTHGEVFVWMGQCVDAKEKQKAFEIGQKYAEHAAAFESLSPDVPLYKVVEGNEPCFFRTYFSWDNTRSVIHGNSFQKKLSLLFGMRSESGSKSSGDGGPTQRASALAALSSAFNPSSQKNKGNDRPKSSDGGPTQRASAMAALTSAFNPSAKPKSPPQRAGQGSQRAAAVAALSNVLTAEGSSQSPRIGDADTAELTPSAASPLSEGASEFSADKDAPGDGALSEGGRTEPDVSVEQTANENGGETTFSYDRLISKSTNPVRGIDYKRRETYLSDSEFQTVFGITKEEFYQQPGWKQELQKRKHDLF >OGLUM03G18430.1 pep chromosome:ALNU02000000:3:14412188:14424551:-1 gene:OGLUM03G18430 transcript:OGLUM03G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWETPPLALTLTELSMWIEEMGGGRFDGRRSLVVLKEALGCCHRSGNLENKTFWSNNQPVYDQGGSVLNPEAKPPSKDWLHEHVPTAATNQRLGKLIRRHPTPLGSLISCQSPPPPKRKGAD >OGLUM03G18440.1 pep chromosome:ALNU02000000:3:14433862:14434839:1 gene:OGLUM03G18440 transcript:OGLUM03G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHVGGVIGQSPKPKVVFVLGATATGKSKLAISIAERFGGEVINSDKIQVHDGFPIITNKVTEEERAGVPHHLLGVLHPDADFTAEDFRREAAAAVARVLAAGRLPVVAGGSNTYVEALVEGGGGAFRAAHDCLFLWTDVAPGLLRWYTAARVDDMVRRGLVGEARAGFVDGAGAADYYTRGVRRAIGIPEMHGYLLAERSGGEAADDGELAAMLDGAVREIKANTYRLAATQVAKIRRLSALDGWDVRRVDATAVVARMAEGAPHRETWEAVVWKPCEEMVGRFLEASAAVDDDDNAAAGSPAALAPMTAACRLRAQLVQLQY >OGLUM03G18450.1 pep chromosome:ALNU02000000:3:14435967:14436311:1 gene:OGLUM03G18450 transcript:OGLUM03G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAHAVTQRARNQTAATARGYHHAGVAAAGGKNVTPAAAAARRHPDVVVTIEEAAGAGPRPSSTSQEDAARRLRWLLYEASFWRGCSVYFAGVAAARVMAR >OGLUM03G18460.1 pep chromosome:ALNU02000000:3:14457923:14458285:1 gene:OGLUM03G18460 transcript:OGLUM03G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVMMMQRLARQAAARVVTQHARSGSGSGLAGAVRGYNNVSASHAAAAGSASGAANKQAARAMATTASRQRQVTETVMAASQNNAARIRKLEEEVMFYRSFAAFLLGAYVAAKVMEKA >OGLUM03G18470.1 pep chromosome:ALNU02000000:3:14461275:14462137:1 gene:OGLUM03G18470 transcript:OGLUM03G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRPRAPCPRVGTPQYVSWARSGVYHPCRCAAASTGEEIKERSGALMQRRREGERAGAPPPSPPRWTPAPHRPAWYAPLRFHGDETTSSHARTRAAG >OGLUM03G18480.1 pep chromosome:ALNU02000000:3:14465983:14466210:-1 gene:OGLUM03G18480 transcript:OGLUM03G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVGKDESVNRLKAVVQKLKDIRASSGRLMQAAGLTKPGSGESSSTLLTSDGPVITGSILEDAEVFGRDKGHEQ >OGLUM03G18490.1 pep chromosome:ALNU02000000:3:14470258:14489309:-1 gene:OGLUM03G18490 transcript:OGLUM03G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARKKRAAAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEQWGQTSVKKKILVYFYGTKQMNMRNVIIKGDLEAFTEEKRRSLLAKRQGKGSDFVRAVDEIIDVYDSLKEVKPGVEKLANNNSSLDTEGLVSSSNMGSDKKQEDHSIVASGHNTADSDEPSVTAVGSERCVVNSAPDDPTENVSILDEMRNIPLSTSSISKKLRDAQPQNCYTRSRVSSLRRSRSSLNTDTRKAQDSGKLSGGTSLASVDLAADGTKEGSSHHVYVEDVKGNSGSPSTQDDVWLHSSAGIDNQPGTPGTSNNNKKLNYTTKVDSTCDSETSQNGASETEFKSHDASSIPMKKSVIFKRKRKPSRNIFSHSTDKDDEFQAELSEKTADSPNPKTEVNKSDGDEHLPLVKRARVRMGRPQLEDSPVDGIDVTNNRPELAMLADLCNMHNTVALPGDDCSVDQSAVVNSVSLTGRVANTVSNQSSKLYMPGPSGEGQSAWKNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANVAETNNLPEVTGSKQLIPNGFVASENSHSNKSADAVVTATNGSAIAESPRPSLCTESMHSPKCKTHSSESILQNNSVPASASVPSEAKDDSHVTEGNICEETHVDSKTTDCLLVSSKVGNDDCGKGLALSMKLNESALGGTQTVAVPDRLSSSLGTASVSEVAKPINFNEGPKPVDCPAYDTDRSVQRCDEPIYRPKLLSSNNNAISDSVLHNETVVAETVVNVADTASTSSLATKSSSIQSDADTRTFEVHTFSALALKELNHRNLKDKSTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETVVDTPAFKEGSQKQLSPLNRIIRSTSTNDNIHSMSPFDSQQQKNLSKLTGHDEANAARKAFGSFLGTLTRTKENIARATRLAIECAKHGIAGEAIDIIVERLEKESNLYKRVDLFFLVDSITQYSRNQKGGAGDVYPSLIQAVLPRLLYAAAPPGNSAWENRRQCLKAGTFSSLIELVLKLWLERKTLPEYIIRHHIRELEVINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLISTKVLEDEDGSSSEDRSFEAVTPEHDAPCTDEKEESQMPAEKHHRILEEVDGELEMEDVAPPSEVEASTRCRPEQIDTKCRTSDRHTLGPPLPDDRPPSPPPLPSSPPPVPPPPPAPITQTGQLQRTLPMASDPVGPHPTRATNNIQTQQPNSAVERPGSMNPSVAQLQPPPFCNSGYGGHPNQMPPPPPIAPLNPPGPHGNFPAPPAPYHGNNYHQPPMASVPNEGYHMQPPPPPPPINQCPYRPPEPQQRPRPWSNNSSSYPERYRYDGHDRDHHRHDRRHHGHDRRHHFDDRGYHYDDRGYHYDDRGHYFDDRGHHFDDRGRSFDERAIRGPMHHEVADRGRFPFPPGPPGPDHFEASSAAPVHYGRPSDPPPGPCSGWSMPPRSSNYSPSRHSMEPPVPHVGGSMLLSAGHFEMLSLMLSDSSV >OGLUM03G18500.1 pep chromosome:ALNU02000000:3:14494707:14500200:1 gene:OGLUM03G18500 transcript:OGLUM03G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7L6] MGAAESVPETSIHEFTVKDCNGKEVSLEMYKGKVLIVVNVASKCGFTETNYTQLTELYQKHRDKDFEILAFPCNQFLRQEPGSDQQIKDFACTRFKAEYPVFQKVRVNGPDAAPLYKFLKASKPGLFGSRIKWNFTKFLIDKNGKKDILKALED >OGLUM03G18510.1 pep chromosome:ALNU02000000:3:14501038:14504859:-1 gene:OGLUM03G18510 transcript:OGLUM03G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSASTLPPVGKSGFTKLCKGLAVVLFLGHIVIRLFPSAVTYLALIPSRTIPFAWNLVTSGYIEQTISGVIVSIVGLLVLGKVLEPLWGAKELLKFIFLVNLSTSACVFVTAIILYYITQQEIYLYTPLSGFYGVLSGFLVGIKQILPDQEITLFLLNIKAKWIPSLVAFISVSLSFFMKDSVSYIPIILFGIYLSWIYLRYFQKRLEAGLKGDPSDEFSFSSFFPEFLRPVLDPIASVFHTLVCGRSERSEANDQTLDGLLPGSYSIEANRRRERGQRALEQRLAEKLAALEQRCAVLSGDSSKFAERIVDSFSCHRHELKIAM >OGLUM03G18520.1 pep chromosome:ALNU02000000:3:14519286:14524281:1 gene:OGLUM03G18520 transcript:OGLUM03G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNPGFEQFSIISPLIPSICNGSPRNPLVVVNFVPGGLSPHGEDGAELGNVTATNDLWKSHRGTVIRIEALALLAIALSFFLIALCSFRRRSNHWFVQKGVLATNVLSLSLSTYSIGLMQSSSVKSEVYPIWAMSMLTLFGCIDSITTYGHDYMGQLWKILYQLCLYSGYVLLISISAISSDVGYIAIGILSAITFIKGFHRSLALMLPSLQQDMIKMIAEVMIAEVISYSTKTDHLDQLNCLDLIGYHYVVHWPLDKSKAKFLPPSSPDDVITIDKILQCNEVHFLSDVCLSFSLSHLLQRRFYRLRCAESKHLVARKFFFEGLLMSRDAAIDYKRVFNVIEVELSFLYDIFFTSNAFLHYYESKGTTIWGLASVMGICFVGVAAAIHGRMSTHTRSPDDTIIVDTTAVDLIITLVILLSLALLQFLHLLHCWSSNWARVAFACDYIKKGKRLSRWMRLRCWILKRIDCDNSYLWQNKLGQYSLIESISTRECKLFSTLGGFLYQIYSRLLGILGLQYIEQVFRGMWGIKTGDSVELHDDVKAAIVDFLISSNCKLQNWPSSLDDDGWSGTSFLFLPDHVVTIMRFHIATCYCELVMHKEGFSVQDEDVEEIVKKNHGVATTLSKYCAYLMVSAPRLLHRHEIGTKSVYSQVAQAARISLYGAKDKLDAMRRLGQDDEPSEGACIFQEGVAFGKQLETMPERWEVLANFWIKALVYAAPSDNVEEHIEHLAKGGEFITHLWALLFHAGILKWRGGSTNYDESPYKLSESTNDDSESEDESDGPAADTDSTDVGGGSFED >OGLUM03G18530.1 pep chromosome:ALNU02000000:3:14527525:14532636:-1 gene:OGLUM03G18530 transcript:OGLUM03G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGCSDVGSFVSMRLTGVWQDDDVVDRPASLPLIPMAGGIRRQRHRIVREAGRGHQTRRERNKKKRPYCSRLGSLSAPPPSSTALSLAGSLAQHIQSHTTSVHKADTPQVSSLPPAMSAPPAPLPHPPPEDLEVAGGGVAFEDAEKLIRQWDATPELLVFKGPEEDVAGYLDAVDVAVDPLLSGVGATTKAEAAGVVVQLAMASMEEELRHLMQILPLKYEGSTVLPKNRKGNTIVFKEWLLNRLPGSAGSYEFSTKLEEVAAGGGGSGDGEPLETEKTTGWGHLVATTLWRKRAGRWGGKGRRLAGEDLAGAVGVFRLAGEAGRPCGGAVVAQEHKLRPRALGVVIFVRDGEYKVCRKAPCRWVNYTSIPNAKKNSKINKRGNPHLHIIYKNNSQIYASIDDENDESMASYRMEILGANPNGASCIWRSKQASMPTVNTSTATERSIIGDEEEGYRSRGWVTGEKAWSGQHHLPRVRLKGKPHTRRNFVMPLAQSAKGEPKGYW >OGLUM03G18540.1 pep chromosome:ALNU02000000:3:14532967:14534493:1 gene:OGLUM03G18540 transcript:OGLUM03G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7M0] MAAAHFVFVPLMAQGHLIPAVDTALLLATHGAFCTVVATPATAARVRPTVDSARRSGLPVRLAEFPLDHAGAGLPEGVDNMDNVPSEFMARYFAAVARLREPVERHLLLRADEGGAPPPTCVVADFCHPWASELAAGLAVPRLTFFSMCAFCLLCQHNVERFGAYYGVADDNAPVVVPGLARRVEVTRAQAPGFFRDIPGWEKFADDLERARAESDGVVINTVLEMEPEYVAGYAEARGMKLWTVGPVALYHRSTATLAARGNTAAIGADECLRWLDGKEPGSVVYVSFGSIVHPEEKQAVELGLGLEASGHPFIWVVRSPDRHGEAALAFLRELEARVAPAGRGLLIWGWAPQALILSHRAAGAFVTHCGWNSTLEAATAGLPVVAWPHFTDQFLNAKMAVEVLGIGVGVGVEEPLVYQRVRKEIVVGRGTVEAAVRSAMDGGEEGEARRRRARALAAKARAAAREGGSSHANLLDLVERFRPRHVAASEAANGTTEPPPPPPPPRQ >OGLUM03G18550.1 pep chromosome:ALNU02000000:3:14539847:14540833:-1 gene:OGLUM03G18550 transcript:OGLUM03G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCNGIAAVGRWLSTKPKVIFVLGATATGKSKLAIRLAARFDGEVINSDKIQAHDGFPVITNKVTDEERAGVAHHLLGGVSPDADFTAEDFRCEAAAAVARVHAAGRLPVVAGGSNTYVEALVAGGGGAFLAAYDCLFLWTDVAPDLLRWYTAARVDDMVRRGLVGEARAGFDAGADYTRGVRRAIGLPEMHGYLLAEREGGAGAEDDDDLLAGMLEAAVREIKDNTFRLTVSQVAKIRRLSALPGWDVRRVDATAVVARMAEGAPHSETWREVVWEPCEEMVSRFLETPAAAAAVVANGKVDVNVGDAAAGVPEAAAAAAVAAGVV >OGLUM03G18560.1 pep chromosome:ALNU02000000:3:14544856:14551223:-1 gene:OGLUM03G18560 transcript:OGLUM03G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRWFQRKDLEVVNGQGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSEGEHVTLGWNEREDLKAVVNHLRTDGNISCIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKVVKRKASFDIMELDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYVGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPDVPDDHYFLTPHGSLGQGHWDTEHDIEYRFAQSPTDRTATTEDAIAQLRSRRLMSRMEVPTGATAEDRADRNEVMDSDNGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTEEDEDRMLMQAIMESLKDIELSNTKAAQSAASDAASKENKEENGCNGATVTVLEPDASSTSARPTPTDAPGKDVTTCSTKAKSPEVQSASNHTTNNAASANTSSSLESNASTHVTNGKSTSSESQKPTQNANGEDGTRATLVVQKSRTGSLMDGLTHKWGSLFKNND >OGLUM03G18570.1 pep chromosome:ALNU02000000:3:14558670:14565686:-1 gene:OGLUM03G18570 transcript:OGLUM03G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPEPEPEPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLRVTGVDRPYREELLSNMDPDGNKVISEVIFGPGKRKYRYCKHIAKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFFELGIAELVSIYSELCELGSPPPVIDADDLQRDPEAVLSGLCEDLGIPYQPQMLQWEAGPKDFDGIWAPWWYRSVHKSTGFSMPRRYPLTFPFALYDLLEQSLPFYNVLKRHVSKTIGSPQPTLPDPPLPVPENKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPSRDWIKDAIFRTLNANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCTLIEWKPPVYDNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGIVLTPHAEYCLPGITRATVMDLVVKESLVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVITAGSGVTIPRNADE >OGLUM03G18580.1 pep chromosome:ALNU02000000:3:14567617:14567887:-1 gene:OGLUM03G18580 transcript:OGLUM03G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQVKVEANPMNNRRFSLTLKKGDDLNGQQHLVIVEEEDLSPLGKYATLVDSNTNEEPRTSLLDGTRRSNL >OGLUM03G18590.1 pep chromosome:ALNU02000000:3:14571383:14574297:1 gene:OGLUM03G18590 transcript:OGLUM03G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding VASQSGCQAVIAEQQVRQHCKTKHITKSAKTEINEKDGEEFLVLRRTKATDGDEYLVVKRTRVKVGTEHRPTKRVRDIDVEQWVHGTNVVSSTKKEVPWYLEDATGRLHVVEAHKATGFTLNRESSVFEENKQLCSRCQVCGQEGSVKVVGLERTERVLPTGTTFTVVGEAYKDRGTVLIKRPRELGRFYV >OGLUM03G18600.1 pep chromosome:ALNU02000000:3:14576528:14577571:1 gene:OGLUM03G18600 transcript:OGLUM03G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7M6] MARCGSAIAAVAALLVLLGGHAAARIRVEHSGMVIRRPSSSIPSFREAPAFRNGEECGGGGRVDVAMTLDANYLRGTMAGVLSILQHTACPESVSFHFLAAGMDADLAAAVRATFPYLDLRVYRFDPSRVRGRISRSIRHALDQPLNYARIYLADTLPPDVRRVIYLDSDVVVVDDIRALASVDLGGHVVGAPEYCHANFTNYFTDAFWSDPALNGTFAGRRPCYFNTGVMVMDVGKWRAGGYTRRVERWMEVQKQTRIYHLGSLPPFLLVLAGDIQAVDHRWNQHGLGGDNVKGRCRGLHPGPISLLHWSGKGKPWIRLDARRPCAVDYLWAPYDLFRPSSPVLEE >OGLUM03G18610.1 pep chromosome:ALNU02000000:3:14581298:14587871:1 gene:OGLUM03G18610 transcript:OGLUM03G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRMASRLRPSTPEEVVRSIKDSFQALHTKNGARALEEVEKNLSSLRQMLSGDGEAEPNQEQVLQITLEICKEDVLSLFVQNLPSLGWGVRKDLVHCWCILLRQKVDESYCCVKYIENHLELLDFLVGCYKNLDVALNCGNMLRECIKYPTLTKYILDSSSFELFFEYVELPNFDIASDALNTFKDLLTKHETVVAEFLSSHYEQFFELYTRLLTSPNYVTRRQSVKFLSEFLLEAPNARIMKRYITEVRFLNIMITLLKDSSKNIRICAFHVFKVFVANPNKPRSIIEALIENRRELLKLLQNLPTSKGEDELDEERNLIIQGIQKLACSSA >OGLUM03G18620.1 pep chromosome:ALNU02000000:3:14588623:14588946:-1 gene:OGLUM03G18620 transcript:OGLUM03G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVIRSLGDVKALLRTHGDRIGLTVSIRCLWRIRHARRMRALLRQQMKIDGMHWEVEGIEHLNAMVRVHRVIATAAPLLDQIISPSRHASSTPGRSCAACTSAAPP >OGLUM03G18630.1 pep chromosome:ALNU02000000:3:14597510:14601474:-1 gene:OGLUM03G18630 transcript:OGLUM03G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSLKRLMARQRQREWRRRRQKAQKPRADGSIASERKGNNYQCGKRLRYSWPNFPEDIWSHIHSLMPLRDASRAACVSRAFLRSWRCHPNLIFSKRTLGLEQNACRKSDISRAFTCIVDHILTNHSGNGIKTLNLDIFDCPNLNTCDLNNWLQNAIKPGIEEITLVLPLKHRKVYSFPCSLLFGGSGRSLRYLDINGCSLVGLCLRSLTKLRLCQVLITGDELGCLLANTFALKELELMKCSEIICLKDLNMTCLKKFDVLCYVITKLPYVVPNVETLVVSSITERVDAPMVTAKFLHLKYLSIELAPACSISPECNYLSLVYFLDASPVLETFILRVVQEYMEHCSVFDDASPMRLMAEHKKHKNLKNVTMIGFCSAKSMVELTCHILESTTSLECITLDTIASWYENEEDIIDRCCVRGTRRRECLSIGTEMILEAHRAILAIERYILGKVPAATKCFA >OGLUM03G18630.2 pep chromosome:ALNU02000000:3:14597510:14601474:-1 gene:OGLUM03G18630 transcript:OGLUM03G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLSLKRLMARQRQREWRRRRQKAQKPRADGSIASERKGNNYQCGKRLRYSWPNFPEDIWSHIHSLMPLRDASRAACVSRAFLRSWRCHPNLIFSKRTLGLEQNACRKSDISRAFTCIVDHILTNHSGNGIKTLNLDIFDCPNLNTCDLNNWLQNAIKPGIEEITLVLPLKHRKVYSFPCSLLFGGSGRSLRYLDINGCSLVGLCLRSLTKLRLCQVLITGDELGCLLANTFALKELELMKCSEIICLKVPLLKQLSYLNVFACNMLQMIEIKALNLSTFNFTGSTVQFSLGQLLRVKDLNMTCLKKFDVLCYVITKLPYVVPNVETLVVSSITERVDAPMVTAKFLHLKYLSIELAPACSISPECNYLSLVYFLDASPVLETFILRVVQEYMEHCSVFDDASPMRLMAEHKKHKNLKNVTMIGFCSAKSMVELTCHILESTTSLECITLDTIASWYENEEDIIDRCCVRGTRRRECLSIGTEMILEAHRAILAIERYILGKVPAATKCFA >OGLUM03G18640.1 pep chromosome:ALNU02000000:3:14603570:14605076:-1 gene:OGLUM03G18640 transcript:OGLUM03G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRWRKEGSVMTQRKPVQAVARRIKGKKNEVLVRQWMDGSIEISKKEKDKKFWKGR >OGLUM03G18650.1 pep chromosome:ALNU02000000:3:14607197:14607814:-1 gene:OGLUM03G18650 transcript:OGLUM03G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKKESAAAAGEKPPSFCDRLQRAFHARPAFRPLRRLGVRHGQDDDGGGGAPGSTVDMQPATTTTHGGGPPRPVLPPAAGHAPAPVVLPPAVVKPAAKPAGGNAPAPVVLPSAPAARPPPPSRRHGHAHASTTGSAPAAEKVAATTTRPPPGIPVPVPPPAAAAAADVTTAAADAKEGGGDKEQQGKGKTRVSSRVRKAFSSK >OGLUM03G18660.1 pep chromosome:ALNU02000000:3:14612088:14615045:-1 gene:OGLUM03G18660 transcript:OGLUM03G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP017207, transmembrane protein 85 (InterPro:IPR016687), Protein of unknown function DUF1077 (InterPro:IPR009445); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bac /.../- 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G10780) TAIR;Acc:AT5G10780] MEKGKGLARRWADDAAGARQRKDSETAWKAQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTLAPKLVFIALNLAAMGLGVWKLNTLGLLPTNASDWVFSLAPAREVEYAGGGIPLH >OGLUM03G18670.1 pep chromosome:ALNU02000000:3:14617441:14618346:1 gene:OGLUM03G18670 transcript:OGLUM03G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G36000) TAIR;Acc:AT2G36000] MEEVLLRHARLPRPTAPTARRSRRLRVVAVALRTRPTSLAVPGFPPAPAPAPEHVLLPSPSVAAGAAEVLLAAGVPPADLRRAAGMCPELLSVPVGTITAALRFLTDEAGVPAEDLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLPRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFYYGIDGNMRPKAEYLLGDMARDADDLFEFPEYFSYALATRIAPRHEACAARGVRMPLPAMLRPGDDKFRATLAGCVGSTPPRRRSPLWHAYWVDDAGEVEEIGAASQP >OGLUM03G18680.1 pep chromosome:ALNU02000000:3:14620948:14624838:1 gene:OGLUM03G18680 transcript:OGLUM03G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSSGKQRSDEEWRAVLSPEQFRILRLKGTELPGTGEYNKFYGDGVYNCAGCGTPLYKSTTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >OGLUM03G18690.1 pep chromosome:ALNU02000000:3:14626170:14629858:1 gene:OGLUM03G18690 transcript:OGLUM03G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVRRAFRCLLDGLRSLPPRRRRAGAGGGAARRSSKAAPRVVVIRRFSGKLDRRRASASGGPPTPPAAAAAAPVTIRVATFNAAMFSMAPAVPSPPDHDDYDGDGEGCSTWRAPASSSSGSRLARRPKKGILKAQSSSSAPPPPPSPDVELLRRRRHVSISLPDDDDEIAPHHRRNSSSSSSSASARLVTRPAAATSGSGRWRSVFGAVWEHQNHYQQRRQKQKQKQEREQATARHRSSSGAARRRSVAEALREAGADMVALQNVRAEEGRGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWNARRLADHFDFRNVMRATIEVPGAGEVNLYCTHLDHLDEGLRMKQYHEEIGKPPPKSEVMRHLKAKRYVDAKDFAGGRDAGLVVVPNGQDVQGTCKYGTRVDYILASPNSPYSFVPGSYAVVPSMGTSDHHIVMVDVAVAAHDGGGGGGAARRRRRRRVVKVTNKSSARGIFAD >OGLUM03G18700.1 pep chromosome:ALNU02000000:3:14642031:14642585:1 gene:OGLUM03G18700 transcript:OGLUM03G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKAAAANQPLEMNAVVNGGAGFDPSMWRDFFVVEERMRARAERLAGEVRRRMFAAGGGNGDGEGGVTMSVAEAATLVDTLERLGLDGHFRHEIGVLLGRLRREEADFAGSDDDLYTVALRSGLLRQHGVWVSAGT >OGLUM03G18710.1 pep chromosome:ALNU02000000:3:14649532:14655788:1 gene:OGLUM03G18710 transcript:OGLUM03G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGCVRDKFRDGTCSFSSSLRDDPRGLLSLYNAAHMAAPAEIALDDIIAFARCHLEALSMEGELKSPLAEQVSRAPDIPLPRFPRRLETMSYLVEYEQEDEHGDIPLELARLEFELARCLHLEELKALSLWWRELYESVKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKLLSNFDELEASLEPHEKFRVFYAKNAFKLSSEYYLREAKWSNTKYNPSFAEHLEVSVMSSGFPMLAPVVLMSVHDDAAVATAAAFEWATAAGVPDVVIAASGEGVEERMRARAKRLAGEVRRKMFGGGGGGGGEGCVTMSVAEAATLVDTLERLGLDGHFRQEIGVLLGHLQHCEEVGFDGSDNDDLHTVALRFRLLRQHGRLRVSADVFDKFRDGTGSFSSSLCADPRGLLSLYNAAHMAAPGEIALDDIIVLARCHLEVMSKKGELKSSLAEQVSRALDIPLPRFPRRLETMSYLTEYEQEDEHDDMLLELARLEFELARSLHLEELKALSLWWRELYESIKLSYARDRLVESYFWTCGVFHEEEYSRARIMFAKFKLSSEYYLREAKWSNTKYTPSFAEHLEVSVMSSGFPMLAPVVLMGVHDDVGVATAAAFEWATAAVPDVVIAASGEVARFLNDIASHRVGKNVKDAPSSVECYMAEHGVGEEAALAAVAAMAEHGWRTINRALMEMDPGLLPAARLVVNLTRTLEVIYLGGRDGYTFGGDIKGLVVSLFLDPVAVIRI >OGLUM03G18720.1 pep chromosome:ALNU02000000:3:14692140:14694781:-1 gene:OGLUM03G18720 transcript:OGLUM03G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVDQLKSQVRCKLSKAKSMAYTVMLVDVLERLHIDDHFRNEITEALQHVLLLHHEEHDDSVAAVDLLHLESLRFRLLRQHGLWVSVDVFDKFKDSTGCFRESLSTDARGLLSLYNAAHLAMPGEEVALDDTIAFSRRSLKSLQGKLGSPMAEQVSRALDIPLPRTPKLLETMRYITEYEQEDAHDGVVLELARLDFKLIRSLYLNELKTLSLWWRQLYDSVKLSYARDRLVESYFWSCAIFHGEKYSRSRIIFTKVWDKSAVSILPEYLRIFYIKLLNDFDEMEDSLEPDEKYRMSYAKTTFKQMSEYYLREAQWSSDKYMPSFTEHLDISLMSSGFPAMAPVRLLGVRDRGSCGGAAAATKEAFEWATSVPVPALVRAGGELARFLNDVASYRIGKSGGDMASTVECYMAERGVGGGEAVAAVAALAERAWRTINGECAVVGTMDAALLPAARLMVNLARTVEVIYLGGRDGYTVGGDLKGLVTNLFLDPLPVY >OGLUM03G18730.1 pep chromosome:ALNU02000000:3:14702235:14702423:1 gene:OGLUM03G18730 transcript:OGLUM03G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLRNRHLITGTFFRRLQDENEHGESMGMPSMQAALHCLPLLLWPHRATANLAALRHLLL >OGLUM03G18740.1 pep chromosome:ALNU02000000:3:14715219:14721059:1 gene:OGLUM03G18740 transcript:OGLUM03G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVLATEVLAVNGGSSPLAAAAVVTTGPLLQGFAPSVWGDFFITYAPSNSQACRLNSTVHRSEECRRERAEALKGQVRRKLLKATSRISSVAEMVVLVDTLERLGIDNHFRHEIAAMLHRVHSEEHGGGGGAAGSDVADDDLHFRDNGGSFRASLSSDARGLLSLYNAAHLAMPGEEVLDDAIAFSRRHLRFMKTAGKLRSPMAEQVSRALDIPLPRTPRRLEAMRYIHEYGDEPGFDGVVLELARLDFELVKSLHLRELKALTLWWKDFYDKIKLSYTRDRIVEVFFWVSGVYYEEEYSRARIMLAKVFGLITLMDDTYDVQATLDECCRFNEAIQRWDNGAVSLLPEYMHAYYIKLLSNFDEMENSLEPNEKHRVSYAITMYKQLSEYYLQEARWSSHRYLPSFAEHLYVSSISSGIPALAPAVLMGVHDGDGVATKEALEWACAIPDLLLASGEVGRLLNDIAAWKVGKNRKDVQSLVETYMTEHGAGGDAAVAAVAAASERAWRRINRACVDAVEPALLPAAQLLVNLTSTMEVVYLGGKDGYTSGSGLKGEGYYMSDGNISQWEIRQVA >OGLUM03G18750.1 pep chromosome:ALNU02000000:3:14721519:14728693:-1 gene:OGLUM03G18750 transcript:OGLUM03G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKVISVLDTKVLAVKGGTMTQPAAAATTGRACSPSLWGDFFRSEEWMRERVDQLKMQVRCKILKTTNVPYTVMLVDVLERLHIDNHFCDEIATALQHVFHHDEQEKADAGFDDGDQLHLESLRFRLLRQHGFWVSADVFDKFKDRTGCFRESLSTDARGLLSLYNAAHLAMPGEAALDDAIVFSRRSLQSLQGALRSPMAEQVSRALDIPLPRAPKLLETMHYITEYEQEEAYDGMVLELARLDFELVRSLYLKELKALSLWWRQLYDSVQLSYARDCLVESYFWTCAMFHGEDYSRARIIFAKVFQLMTMTDDIYDIHATLEECYKFNEAIQRWDKSAVSILPEYLRTFYIRILNDFDEMEDSLEPDEKHRMSYVKSSFKQQSEYYLREAQWSSDKHMPSFAEHLDVSFMSIGYPTMAVVVLLCARDGGGVAASMEASEWAPSLVRAGGEVTRFLNDIASYKTGKSGKDAASTIECYMAERGVGGEEAVAAVAALVESAWRTINRACVEMDPNLLPAARLLVNLATTPEVIYFGGRDGYTVGADLKGLVTALFLDPLPV >OGLUM03G18760.1 pep chromosome:ALNU02000000:3:14735181:14735576:1 gene:OGLUM03G18760 transcript:OGLUM03G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHDGDGDGGVATKEAFDWACAIPDLLLASGEVGKNRKDVQSLVEMYMTEHGARGSGGGGVGARVEAVSLALLPAAQLLVNLTSTMEVVYLGGKDGYTSVSLLKGVIPDLLLGPVQDD >OGLUM03G18770.1 pep chromosome:ALNU02000000:3:14765900:14772494:1 gene:OGLUM03G18770 transcript:OGLUM03G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKVISVLNTEMLAVNGGTPQLTASATATAQTFAPSVWGDFFATYAPPNSKRSEKWTRERAEELKVQVRSKLLKAKSSSSAADMVMLIDTLERLGIDNHFRHEIAAMLHRVHREQQECTAGSGDDDDDDLHITSLQFHLLRKHGFRVSAAVFDKFIDSKGSFKASLSSDTRGLLSLYNAAHMAMPGEEALDDAIAFARHHLRSIQGKLRSPMAEQVSRALDIPLPRTPRRLETMHYITEYEHEPAFDGVALELAKLDFELVRSLHLRELKALTLWWKDLYNSVKLSYARDRIVETYFWTCGIYHEEEYSRARIMCTKVFGLMSLMDDTYDAHATLEECHKLNKAIQRWDKSAVSILPEYLHVFYIKLLNNFDELEDCLEPTEKYRMSYAKTGYKQLSEYYLREAQWSSDRYMPSFAEHLNVSVMSSGFPELAPVVLLGVRDGDGAATAEAFGWAAAVPALVHASAELARFLNDTASYRTGKSDKGIPNTVECYMAERGVGGEEAMAAVAAMAESAWRTINRECVEMVDRADHLLPAARLVVNLTRMLEEIYLGGRDGYTVGADIKDLVTNFFLATPSQFN >OGLUM03G18780.1 pep chromosome:ALNU02000000:3:14775757:14776257:-1 gene:OGLUM03G18780 transcript:OGLUM03G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARSWLADLRVRFGGGGSAREEAGLRILAFEVAAAMSRLVSLYCSLSDVEVRRLHSDALCAEGVACVTSTDQLLLLWLACGELVADLDRAASTAARFGTQSCAARRSCTIFDRVYVRRGEAGERTRAAGCDGWVLQGRGEAVQEDGAARGRDGEVVRGDGRAQ >OGLUM03G18790.1 pep chromosome:ALNU02000000:3:14779370:14779594:1 gene:OGLUM03G18790 transcript:OGLUM03G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLPCQRARPALAPAVRRGVNHGDGAATVEAYSAGRPPSPTCSAQAPRWAGRLLNDIACYKTGKKNKKDVESLV >OGLUM03G18800.1 pep chromosome:ALNU02000000:3:14780811:14784706:1 gene:OGLUM03G18800 transcript:OGLUM03G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGVAGESNDDDGSTKRGRAATPSIQVEIAEYHGGGGGGGGLGNGGGGSASFFEPWREATPGSGSGHGSSGRGGGGREPPEKRLTLFALRLAVLEKAASGLGMLDFMWATVVLLGGFASALRITDFWCVTVILVGEGARVFGRSHELEWQHHSTLTSTAGSALRSSSRLFRRLVHALADPAAATVTGGARGQDARDRAAQFQRQIVAFMKQRSWHAPDVSLLPYTGWVFVSKKIGRLLNWLQVLSAFTCVALSVMRLWRHDFGDEPNKRPALLVFYTLALIEALLFLLEKAYWTWKISVRKLLEQVSRECELGAYGHVSLTRFFYDAYSRCINGSIFDGIKMDLVTFAEELILSEFLDEQLIGVRILQQFADAKGSARDTVRKVGTSARSIERLVEMLNWKRPEEEEVRWCAAQVVSKLAGKRQNALRVSGIPGAIESVMSLLYAGWSAPASAAPHDVSPAAQRSYDHPQFNLLGLLILKRLARDHDNCGKIGNTRGLLAKIIEFTHASPALLRNTLAPESQVRAVKLALKVVKILVSTTGSTGKMLRREVAENVFTVSNLRVVLQHGQQHRALQKLATDILSGLAMDDKGKQVIVGTGGVVKQLLSIFVDAETELGGEAGEALAMLALESEASCAAILKRDDVLDHLVSALEGDGGARRLNAARVLRNLCAYAGENHRPRLSAVIKAMPVVLKATMTGSDKILEVSVGLTTQICKFIDGDRFADELRGAGIDERAYVERLASILREHRYPVIRVPRMRRFVVQQVIWLMTSSCSAAAGGGGGGGADYVWLLREAGMERLLESIADTTSELECYHVFSGSVGISKHRESFSAAVDAALELLGGDGARAE >OGLUM03G18810.1 pep chromosome:ALNU02000000:3:14807405:14810043:1 gene:OGLUM03G18810 transcript:OGLUM03G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRKGGNAAATEPDDDATMKEGHDVPPSIKVDIGECHGGGIGNGDGGSASLFEPRPEETPVSRRNDRGGGGGGREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASTLSTTDFWCVTVILVGSGARVFGRSHELEWQHRSTLTSSTAGGALRSTSRRLLRRLGHSPAANPTDDGGARGSASATQFHRQIVACTKRRALHGPDVALLPYTGRLFVCKNIGRLFSWLQVLSALACVALSVMRLWRHDFGDQPNKRPALLLFYTLAFIEALVFLLEQAYWTWMFSGQNLLETVNGDCKLGECGQVSLPRFFFDAYSRCITGSILDGINMDLVTFAEELILSEFPDEQLIGVRILQRFTSTLDTVRKVGTSARSIERLVEMINWKSPEEEAVRLWAAEVLSKLADKRQNALRVSGIPGAIESVMSLLYTDESAPDSAAPHDVSPAARSYDHQQFKLLGLLILKRIARDHDNCGKIGNTRGLLSKIIELTDASPELLHNTRAPESPVRIVRRALKVVKILVSATSSTGKMLRQEVADNVFTVSNLRGVLQHGQQHTALQKLATEILSRLAMDAKGKQVIVGTGGVVKLLLSIFVNGEKELGAEAGEALAMLALESQASCAAILKQDDVLDHLMSALEGDGGPRRLNAARVLRNLCAYAGEKHRRRLSTVTKAMPMVLKATMTGSERTLEVSVGLTVQICKFIDGVRFAGELRGAGIDERSYVERLASILREHRYPDITVPRMRRFVVQQAIWLMTSSSAAAAAAAGGADYVSLLREAGMERLLESIADTTSELECYHAFSGSVGISKHRESFSAAVDAALELLGGDGARAEA >OGLUM03G18820.1 pep chromosome:ALNU02000000:3:14810606:14814979:1 gene:OGLUM03G18820 transcript:OGLUM03G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF455 [Source:Projected from Arabidopsis thaliana (AT5G04520) TAIR;Acc:AT5G04520] MEAAGGAGGASDGGGESQPPKTLVDWALEILGTADPDEKARLGDLAATEWLRGAIPLPYDPSRPARPPPDRPARSAAVRLLPPSRAPKLGKGGSAQSRLALLHSLAHTESWAVDLSWDIVARFGAPLRMPREFFDDFARVAQDEGRHFAVLSARLRELGSHYGALPAHDGLWDSATRTSHSLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDVIYPEEITHCAAGVRWFRYLCLRSRNGDPTASSIPQAITQCSELPRDGTGDIHKVEEVEGDGPKAELAQASNGDDKTVQQVEDELAKCKLVDIGDDVEAAVIRTFHSVVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVEGQAVE >OGLUM03G18830.1 pep chromosome:ALNU02000000:3:14815393:14818638:1 gene:OGLUM03G18830 transcript:OGLUM03G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRAARASPAMAAVVRCKPTRLTPIAGLSSSSGGGRRKKAGRRGEAKPPPPPPPQLRGGETKKKKKPDARTAAEAAQGLQRHEVERRKKPPPPPPPPKQEKAKRVVRWKCAAGCGACCKLDKGPDFPSPEEIFAEHPEDLKLYKSMIGADGWCINYDKSTRTCNIYEERPVFCRVEPKVFEEYFGVPSRPSTFDREACSACVDTIKMVYGEESAELTNFKRVIREESKFRRHQWPIM >OGLUM03G18840.1 pep chromosome:ALNU02000000:3:14818533:14823684:-1 gene:OGLUM03G18840 transcript:OGLUM03G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAFPLSTAAPPSPPLVLRRSAAAAYSPSSPFELYRNHRRPARRVHCAASASAAARRRDACCALRPPAAARGGAAAAQGQAGAAPHGGAGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >OGLUM03G18840.2 pep chromosome:ALNU02000000:3:14818533:14825911:-1 gene:OGLUM03G18840 transcript:OGLUM03G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLRLYAVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKAQGVYAQLVPTLALYGISIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >OGLUM03G18840.3 pep chromosome:ALNU02000000:3:14818533:14823684:-1 gene:OGLUM03G18840 transcript:OGLUM03G18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAFPLSTAAPPSPPLVLRRSAAAAYSPSSPFELYRNHRRPARRVHCAASASAAARRRDACCALRPPAAARGGAAAAQGQAGAAPHGGAGEGSLWMVFLATAVAVCGSFEFGTCVGYSAPAQAGIVNDFGLSNSEYGVFGSVLTIGAMIGALTSGRLADSLGRKTTMGLAAIIGIVGWFTIYFANGATMLYLGRVLLGYCTGVLSYVVPVFISEIAPKDLRGGLASSNQLFICSGCSAAYIIGALLSWRSLVLVGLVPCAFLLVGLLFIPESPRWLVSASGTFLGCFLTGLSFYFKIFSIEIKAIAGSLVTLVSWIGSFAISYSFNFLMDWNSAGTFFLFSAASLVTVLFVARLVPETKGKALEEIQESFT >OGLUM03G18850.1 pep chromosome:ALNU02000000:3:14826160:14830513:-1 gene:OGLUM03G18850 transcript:OGLUM03G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAAGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQDDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISATICIFGWLSLHLAKGVIMLYFGRILLGFSTGILSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAVEIKEYIESLHRFPKARVQDLFLRKNIYAVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLITILFVVMVVPETKGRTLEEIQDSLIDSRSRLRDP >OGLUM03G18850.2 pep chromosome:ALNU02000000:3:14826160:14830513:-1 gene:OGLUM03G18850 transcript:OGLUM03G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVRAAEDVEGAVVAGAAAGGGGGGGGEVTAPLLLRQHKQGRGDEEKIQDDAGGDGGGRRGGGGGGSMSMLMLSTAVAVCGSFEFGTCVGYSAPTQSGIVDEVGLSISQFALFGSVLTIGAMIGAVTSGRLADFLGRKMTMRISATICIFGWLSLHLAKGVIMLYFGRILLGFSTGILSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGIVPCVLLLTGLLFIPESPRWLANVGREKEFHASLQMLRGEDADVSEEAVEIKDFAQTDHFLQEYIESLHRFPKARVQDLFLRKNIYAVTVGVGLMIFQQLGGINGVGFYASSIFTSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSASGTFLGCFLTGISFYLKAQGLFSEWVPELALTGILVYIGAYSIGMGPVPWVVMSEIFSIDMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFSAASLITILFVVMVVPETKGRTLEEIQDSLIDSRSRLRDP >OGLUM03G18860.1 pep chromosome:ALNU02000000:3:14832441:14841157:1 gene:OGLUM03G18860 transcript:OGLUM03G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSYSPQPRRRYSRSPPRYKRYDDPRDCYPRGGGGGGGGGGGGGGGGGPRRGYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHSYSPSPSPARRDYSKYACPLLFVSVSLFFLPNISVPRITVMIIHLENHSLHMVRTSGTTDQMVDLLAQMSSSVMYLHPIMDMVLQLMGKADKDLAPRRGKRLKFGVLGSRVGSWN >OGLUM03G18860.2 pep chromosome:ALNU02000000:3:14832441:14841157:1 gene:OGLUM03G18860 transcript:OGLUM03G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRSRSRSYSPQPRRRYSRSPPRYKRYDDPRDCYPRGGGGGGGGGGGGGGGGGPRRGYGRPPAPTGLLVRNISLTARPEDIRIPFEQFGPVKDVYLPRNFHTRELRGFGFVKFRYPEDAAVAKQELNHQVIGGREISIVFAEENRKTPQEMRMRTRTSGRYMDGSHRRRSVSRSPRSRYHWITVMIIHLENHSLHMVRTSGTTDQMVDLLAQMSSSVMYLHPIMDMVLQLMGKADKDLAPRRGKRLKFGVLGSRVGSWN >OGLUM03G18870.1 pep chromosome:ALNU02000000:3:14834763:14836433:-1 gene:OGLUM03G18870 transcript:OGLUM03G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPVRHSVQRLCSTSTSAAATPPPATFLAAAATAAANILGAPHYESRLLSILPGDLLFHPACVRLTLSHLLPSPDPSLRFLRFLSSHLPAAPDAAPAEPEHEHEPPLLPGVDGFLIQLWPPDAADAAEVLASRLGIHPSLRALNFAMRSALRAARPDLVFRLFSAFSSSPDFPGDAATVAFLVRACSAEGRPLDGLRLLRDGARRGVPPQLDAVADLVAAFSAAANFGKVSETLHLMIAAGSVPDTVIYQRIIHGLFAHKMGSEALRVFNEIKLRGYNVDAVTYTTAIDGLCKMGCIDEARQIWNEMVDKGMEPNEYAYCSLVAYYCKAGDFEMARKVYDEMLGKGLKESTVSCNILVTGFCTHGRVDEALGMFEEMVKKGIEHDVITYNILIQGLCKAGRLSEAIQVYEQLLSSGLEPSVSTFTPLIDTMCEEGQVDAAVELLKVMHAKGLEPLARINDSIINGFCKARRPEDGMAWLAGMLKKNLKPREHTFNSLVELLSSSGRVDDALLVLNTMFKIGHELGSLACTMLVEQLCTGKLCYSHELENILVANK >OGLUM03G18880.1 pep chromosome:ALNU02000000:3:14843525:14848349:1 gene:OGLUM03G18880 transcript:OGLUM03G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT3G04970) TAIR;Acc:AT3G04970] MDVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFVQRINHFLTFGAYHHLLRLVHAACGNGARDLVLGVESYCCDRPNPILQIFYVAIIGVTYFIIVQTSFQYIPGYYVSGLHRYLSIVAVAIGALLFVLTSFSDPGTVTAENVSQYLSAYPYDGIIFEEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICLYGAVILGFILAGELKERKVVYILTVYYGIDNSFSGLFPHVAQWLLAVHNTQILLAVFLAIIALLLGGFCAYHTHLCLTNTTTNETFKWQDYIMWRKKVNEEKAAANGEVRKSPPSKWKAFFSRSHTEADETIVKNNIYDRGMIRNMCEVFVPFSERQSFSRKKSD >OGLUM03G18890.1 pep chromosome:ALNU02000000:3:14848837:14852596:-1 gene:OGLUM03G18890 transcript:OGLUM03G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7R0] MSQVRSSPVLGPRELSSRFGIVTEFSFGNPSVYALKSPKGPLFPLRSILVFLIALFGFYVCYFSFNQIDLENKENLISGEEQIRTLCGRHTIPNELMQYVHFPKPTSYSRGECACTPVRFFVIISMQRSGSGWFETLLNSHPNVSSNGEIFSIRERREDISSILRTLDKLYNLDWHTSAAKNECTAAFGLKWMLNQGIMEHYHGIVNYLNKKGVMVIFLFRRNTLRRIISVLANDYDRKTKQLNGTHKAHVHSKEEADILARFKPKLDVPTLIPNIRSAEQSITTCLDHFSSTRHMILYYEDVIRDQNALSRVQEFLGVPAMRLSSRHVKIHTSPLPDLVDNWEEVSEKLNGTEYARFVDGADYDK >OGLUM03G18900.1 pep chromosome:ALNU02000000:3:14856738:14859981:-1 gene:OGLUM03G18900 transcript:OGLUM03G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIWPIGLGSRALHGPAPYHDRPPAPQSIASPRPPPSTTPVSSPAAAAAADLHPASRGGAGVLLLSQPHHRSPAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKAIMKGEPGNRVNEDIT >OGLUM03G18900.2 pep chromosome:ALNU02000000:3:14857306:14859981:-1 gene:OGLUM03G18900 transcript:OGLUM03G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCIWPIGLGSRALHGPAPYHDRPPAPQSIASPRPPPSTTPVSSPAAAAAADLHPASRGGAGVLLLSQPHHRSPAMYIRVKRNKTTYFIQCDPTETTLSIKQKLHSLVDQPPGNQQLILLATTEVVLDDSKTLADQKVENDAVVALTLRKDDNVFEEVSIARPEDFMSSS >OGLUM03G18910.1 pep chromosome:ALNU02000000:3:14869454:14869828:1 gene:OGLUM03G18910 transcript:OGLUM03G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRGRGDGTAVGLSAAPAPASPNSVAPLLDLAEVTSDGLGRRRCRAPDLVLPFFYGCSSGAHWRCGARSPLLRCSSLLRLGCFQSMPGAPWFGRGGVRSCWRPVLLVVGRAVGLLQLGSCV >OGLUM03G18920.1 pep chromosome:ALNU02000000:3:14870638:14873171:1 gene:OGLUM03G18920 transcript:OGLUM03G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61590) TAIR;Acc:AT1G61590] MPPRQWRPMLASATKCCAAEDAVVAVDGSGGGGGLARCRPARSEFSRRLASFRKLSSMTNSPASSVAGAAEGGKDDGEEGGGGGGGVSGPLQLYSFSFSELRSITHDFSSSYLLGEGGFGAVHKGFVDAGMRPGLLPQPVAVKQLDIAGLQGHREWLLKSMSSMADMACTVQAEVIFLGQFRHPHLVKLLGYCCEDEERLLVYEFMPRGSLENHLFKSTHLAIASSGHISVSAPPPPAQTDRFDPCPYCAGISATVPWGTRLKIAIGAAKGLAFLHGASTPVIYRDFKASNILLDSEFTAKLSDFGLAKMGPEGSETHVTTRVMGTHGYAAPEYVMTGHLNIKSDVYSYGVVLLELLTGRRAMEHVRGRSLHADQVVKIVDWTRPYLGSSRRLRCIMDPRLAGHYSVKAARAVAHLAVQCTSPQPRDRPRMAAVVDALERLQGFKDMAVTVGLWPTNAPVAGRNAISAKIRAEVRGAGSGGGAASRRRSASAKLP >OGLUM03G18930.1 pep chromosome:ALNU02000000:3:14877990:14882730:-1 gene:OGLUM03G18930 transcript:OGLUM03G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVTLDYGGKVQTRDYGGKAQTETLRPGPLRPANIIRAKFPTYKNGLNGIVVKLADGPEMPSLKETVTKETADMLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKTVLESLRGRVTGKTKAELEDSISMVDILAVQLSKREAELLQQKAEVTELAKSLKLASEDAKKIVDEERASAHTEIESARSSVQRVQQALQEHEKMSKTTGKQDMEELKKEVREARRIKMLHYPSKAMDLENEIKILRKTFAERSTDCVNLLKELELHKRPEGNDIPLFDLEGLQCLGSILRIVSQSSTTMDFSNISIQWFRVHPKESNKEIISVLINYCKYGKPQPGATRSVYAPEPHDVGRYLEAEINYGGEIAIAKTAGPIDPDAGLVDYVETLVRKRETEFNVVVLQLNGIDQPKESVHVLNIGKLRMRLSKGKTVVAKEFYSSSMQLCGVRGGGEAASQAMFWRPRNDLSMVLAFETTRERNTAIMLTRRFAIDCNVCSQANSLQIASNLPCYALRLTPQLHDFFFLHDCLQIILAGPGDKTPW >OGLUM03G18940.1 pep chromosome:ALNU02000000:3:14896652:14897127:1 gene:OGLUM03G18940 transcript:OGLUM03G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTGSSSHGGGGNLEEAKVIRNWEDKAQRVLLNLLLQRTLSMVMKGQRDGEPSCSSPDCVQVSGLVPGIWVSWLGVRPGTRRYQAWYLVFGYHGWYSHVSDLVLRYQG >OGLUM03G18950.1 pep chromosome:ALNU02000000:3:14897962:14901063:1 gene:OGLUM03G18950 transcript:OGLUM03G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPTPSPSPSLDYWRGFFSGARASIFDAIDAAIRAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQQDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNANNSSGRSARPAEAKEPKPTTQLQAKEQLQQQLAESARHPSLIPINSSIRVVRISEPWPVTHVQDGVT >OGLUM03G18950.2 pep chromosome:ALNU02000000:3:14897962:14901492:1 gene:OGLUM03G18950 transcript:OGLUM03G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPTPSPSPSLDYWRGFFSGARASIFDAIDAAIRAVVTDDDDGAAAAVAPRGDCHDRVAAEAFRVKAALSNAQEKTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQQDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNANNSSGRSARPAEAKEPKPTTQLQAKEQLQQQLAESARHPSLIPINSSIRVVRISEPWAKSMLWF >OGLUM03G18950.3 pep chromosome:ALNU02000000:3:14897962:14901063:1 gene:OGLUM03G18950 transcript:OGLUM03G18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPTPSPSPSLDYWRGFFSGARASIFDAIDAAIRTEAELLELLRALQQLEFTVDAIRVTEIGTAVKPLRKHGSKQIRQLVRSLIDGWKAVVNDWVNNGGAIVDHTPQSMDGSCLEQEEGGLPSPPMDEAAFFATPCTSIQLSEFFDEMDDDGNIRTNGEESAQQHYPANQEPAKKQLPMGQRYDPEQNWKLDQSAMRQSQPYEPSNWQKKQQSVTGARQRPSAAAHGPWTPQKMHLEPKFSEMRPKQQQDTSVAQRRPKPTMADQLSSQVDQNSVQVNAKLEATKRMLQEGYQEFNNANNSSGRSARPAEAKEPKPTTQLQAKEQLQQQLAESARHPSLIPINSSIRVVRISEPWPVTHVQDGVT >OGLUM03G18960.1 pep chromosome:ALNU02000000:3:14912480:14927574:-1 gene:OGLUM03G18960 transcript:OGLUM03G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSCSGFVCAIGRPIASQFRNLEGGPEEGREQGLFAEEAFAVGLRRQLVAVPSPRRRAAGDRGWAVEKKGRRCHEQGGRELDGGKASLSRPRPGGGVAHSGHVGASAGVNKWYRPSDFFNKGICALKPLMVLMGQNFGYASESSKPLNHSICTSSEYGGILVTSNPFSLKKSRLSAVAPPTAKLAPCWKSSAIFPRRNGGKNGSPSPTAARMHSYRGPPKFQM >OGLUM03G18970.1 pep chromosome:ALNU02000000:3:14913707:14945755:1 gene:OGLUM03G18970 transcript:OGLUM03G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLYMLLLFLILRGTPHAASTTTAGDGLGSRSFSRMFSFGDSATDTGNGATVNPNSSSNVLPYGETFFSLATPPAATAMAGSPCNATGCPPPGKLLVRSGAVGLGLPFLPPFLRGKMAEDFQHGANFAVGGATALSRDFFKEKGFDVTNIPPYSLDVQMEWFKGLLDSLATTDKERMEIMSKSLFLMGEIGGNDYGYLFTQNRSFTKEIKPLVPKVTAKIENAIKVLINLGAKTIVVPGVFPVGCLPHYLAMFQSKSGPEDYDAFGCIMWLNDFSEYRNCALKRMLQQIPRNPTVTILYGDYSNNILEIIRHPVIHGFKRETVLVPCFMNGNLCPDPSIYISWDGLHLTEAAYKSSISLSSPTMIPVLFLLCAHSATAAANSGGGGHLATGAGGDDGFSCFTRMFSFGDSITDTGNSATISPNASFNRLPYGETFFGRPTGRYSDGRLIVDFLAELGLPFLTPFLRGRETVAAEDFRHGANFAVGGATALRREFFEEMGLDLTNIPPYSLDVQVEWFKSVLHSLASADKERKKIMSKSIFIMGEIGGNDCNQPFFQNQSFINEIKPLVPKVISKIENAIKVLIDLGAKTIIVPGNFPIGCVPGYLGIFRNKLSPKDYDVFGCIKWLNDFSEYHNHALKRMMHRIPHDPTITILYVDYYNTALEITRHPAIHGFKRETVFVACYKGGNSSMNLCPDPSTHISWDGLHLTEAAYKFVAHHMQILMDECNPSLDILDGESIPHGVQTSPVAMEEDVPLMVGSHDSGCGTSVTRPFSLSSPMTTTMLLLFLLLCTNSATADVAGSNGGCGGFKRMFSFGDSITDAGNLATISPPDASFNRLPYGETFFGHPTGRFCDGRLIVDFLADDLGLPFLTPFLRAKSPEDFRQGANFAVAGATALSQDFFKQMGLNLTIIPPFSLDVQLEWFKSVLNSLGSTDQERKEIMSKSLFLMGEVGGNDYNHPFFQNRSFTNEIKPLVPKVIAKIENAIKVLIDLGAKTIVVPGNFPIGCVPSYLTMFQSKSSPQDYDAFGCIKWLNDFSVYHNRALKRMLHQIRRDPTVTILYGDYYNIALEITHHPAVHGFKKETVLVACCGDGGPYNSNSLFSCGGPSTNLCTNPSTYISWDGVHLTEAAYKFVAHHIINKQ >OGLUM03G18970.2 pep chromosome:ALNU02000000:3:14945701:14949161:1 gene:OGLUM03G18970 transcript:OGLUM03G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFPSAILIPLLPLLLLLLLVCTRGAVANANNQPPPAGGGGGGYCYTRMFSFGDSITDTGNQVSFFPTAPAARPPYGETFFGHPTGRYSDGRLVVDFLAEALGLPYLTAYLRGKTAEDFRRGANFAVSAATALRLDFFRERGLDLTIIPPYSLDVQLEWFKGVLHSLASTDQERKDIMTRSLFLMGEIGINDYNHHFFQNRSFTAEIKPLVPLVISKIENATKVLIDLGAKTILVPGIPPMGCIPRFLNLLPSKNHNDYDKLGCLKWLNDFSQYHNRALKQMLQRIHHDPTVTLIYADYYGAMLKIVRSPQNNGFTKESVLRACCGVGGAYNADSLVCNGNATTSNLCTEPSRYISWDGLHLTEAAYHFIARGVLHGPYTEPAIPTRCTA >OGLUM03G18980.1 pep chromosome:ALNU02000000:3:14949905:14952220:-1 gene:OGLUM03G18980 transcript:OGLUM03G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLIPSMNRVLVEKLLQPNKSAGGILLPETTKQLNSAKVVAVGPGERDRDGKLIPVSLKEGDTVLLPEYGGTEVKFAEKEEHDILGRLEE >OGLUM03G18990.1 pep chromosome:ALNU02000000:3:14953567:14953890:-1 gene:OGLUM03G18990 transcript:OGLUM03G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLLRRGLISAAFLLTLVLVFLLQLGIISTTVGCCSCCSFCGDEQQQQQHGVGYLRPGRRLLIGHHQHQVVHAKGSMVLEHAEEGGDVLDEEKREVLTGPNPLHNR >OGLUM03G19000.1 pep chromosome:ALNU02000000:3:14961357:14961782:1 gene:OGLUM03G19000 transcript:OGLUM03G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVDVQHKEVLWLLQTQQIYEALQEPMACATTESSFGKAKPHRNKNNIANMCSMSLGYLILEIYGNSIEHFLHKGPRHLATLQPNTIHVFPGFLSTILLWII >OGLUM03G19010.1 pep chromosome:ALNU02000000:3:14967028:14974558:1 gene:OGLUM03G19010 transcript:OGLUM03G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKSAAVLEPTVEEEEEGATRVAEAAAAPAKPASPAPSAAAAAAKPGTPKQNKFPFYLPSPLPASSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPESGEPGVALDKGFGFSRHFAAKYELGREVGRGHFGYTCAATCKKGELKGDDVAVKVIPKAKMTTAIAIEDVRREVRILSSLAGHSNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEEDAKVVMRQILSVASFCHLQGVVHRDLKPENFLFSSKDENSAMKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIVYILLCGSRPFWARTESGIFRAVLKADPSFEEAPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTEEVKLPLDMIIYRLMRAYISSSSLRRAALRALAKTLTTDQIYYLREQFELIGPNKSDLITLQNLKTALMKNSTNAMKDSRVVDFVNTISNIQYRKLDFEEFSAAAISVYQMEGLETWEQHARQAYEFFDKEGNRPIVIDELASELGLGPSVPLHVVLQDWIRHPDGKLSFLGFMKLLHGVSSRTIPKT >OGLUM03G19020.1 pep chromosome:ALNU02000000:3:14975294:14976767:-1 gene:OGLUM03G19020 transcript:OGLUM03G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKANNNSNKRQRKSTTTTTSVVGPDNGGLPPRRSSRIAERKNVVKRARHRHDGEQPATSRCSQLSGEMPDEMVLEVLARLPVKSLLRLRAVSRPWRAAICAPSFVAAHLRRSAARHRWEPTLLIAPQLLDDAERDIIWPTNFSDTIRLYQWRRRRNGQTEEEQDGAGAGAGASLIFRRSFHGEFRSVFQLSHCNGLVLVPTDTTSYVVNPATRSAIALPESRRRRRRRRALPQATGFGHDPHTGTYKVARCFVRSGDGVVPESTTTGMELCTIGGNGGVSVGRSSPTRPTPSSSGTPPPPSGARPPQESRLLRLCLRDETFSVVAPPPPCRPLFLHEAFHLSELNGVLCLAHAAGAGDEGTSTSSSVVIWMTEDGVSPRWSKRCVFTSTSMFIPIAPFHHGGGGGGGVIGKRGDLLFFLGDDDDGEDGSRIGEGKEEEKQVVCLNGMTYHDDEQGRHTVVVGSSWENLHYYKLIPYTESLVPI >OGLUM03G19030.1 pep chromosome:ALNU02000000:3:14977262:14978107:-1 gene:OGLUM03G19030 transcript:OGLUM03G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLGSVRAVHEARKIITSRGRFYLQLRCGRRRGDDGFAGAGSESCAGSPEGAALDLGTLDRTGCSAARARLLLPSM >OGLUM03G19040.1 pep chromosome:ALNU02000000:3:14978245:15011380:-1 gene:OGLUM03G19040 transcript:OGLUM03G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLHLVHVKLLAADLLSLASRHTSPPSFARCGRTVTRAEIVGVVVSRDRREKFLRFLVDDGTGCVPCVLWLNHHYLNAASSSSRASDSDPTAEMALRMSEVVSLGTLLRVRGRIVLYRGAIQIAVRDVVLEKDPNVEDQAELGEEKIWATALSASKAQIEAAKINLEKGMGNPLDQTKEARKLDQRTVQDCMMGSTYETSKSSAQKHSCLYPKATHGPWQHERVAGEHAHARSMAFLVERCGGEMVVSMERSHGRSTTTAAAVTAAPAPFLSKTYQLVDDPSTDDVVSWGEDEATFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLSEIHRRKSSSCSQPQPPPPFPMHQHYPLSLFSPPTTTRSPPVGAAAAAAYHFQEEYCSSPADYAGGGGDLLAALSEDNRQLRRRNSLLLSELAHMRKLYNDIIYFLQNHVEPVAPPPLAAATSCRLVELGPSTTERRRSAASPSGDNDDDAAVRLFGVRLDDDHGKKRRVQLVQEDEGDEQGSEGSGVSIQMRMKAPLNTKSLLSGARTVPYG >OGLUM03G19050.1 pep chromosome:ALNU02000000:3:14989272:14993760:1 gene:OGLUM03G19050 transcript:OGLUM03G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPRIAGAGSGGGRKPRMPPLPPARTLLTAFAAAAALAVLCLLSSSSSSSSRTSSLRGSWGSAAAKGGKKYLYWGGRVDCPGKHCGTCAGLGHQESSLRCALEEALFLGRIFVMPSRMCLSSLHNTKGILHSTNATSEQRWEENSCSMDSLYDIDLISKIVPVILDNSKTWHEIMSRSMKSDGGMAHVQGIGRAELKDNPLYSKALVINRTASPLAWFMECKDRKSHSSVMLSYTFLPSMPAKKLRDAANKMKQILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWIPEGRTLFIASNERTPGFFSPLSDKYKLAYSSNFSSILEPVIENNYQLFMVERLMMRGAKTFVKTMKEFDNDLTLCDDPKKNTKVWQIPVYTDD >OGLUM03G19060.1 pep chromosome:ALNU02000000:3:15009777:15016320:1 gene:OGLUM03G19060 transcript:OGLUM03G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7T1] MAHADADAGDLPPPPAKKKKSPAEEEAEKRRKKLTPGSLMKGLIRSGGGDATPAEGDQVIVHCTTRTIDGIIVNSTRREHGGKGVPLRFVLGKSKMILGFAEGFPTMLMGEIAMFKMKPQIHYAEEDCPVTAPDGFPKDDELQFEIEMLDFFKAKIVAEDLGVVKKIIDEGKGWETPREPYEVTAR >OGLUM03G19070.1 pep chromosome:ALNU02000000:3:15011711:15012166:-1 gene:OGLUM03G19070 transcript:OGLUM03G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRGGDRPRSAAAPVVRLALMALEGGAVEGSSSGCSPSRLERLERLTWSTLSMAEAEAEEKERKKGRKTRRLLLGPHGFTGPTNTLIRAGLGRPLYLGRAGERRAAREPRRRALPIPDEPCCSCTARSSSPSAAAAAVAAGLQYGSSRR >OGLUM03G19080.1 pep chromosome:ALNU02000000:3:15012535:15028227:-1 gene:OGLUM03G19080 transcript:OGLUM03G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSEGAAAPSSPRTATAAPSSPRPATSAPSSSGMKTAGPRTKTATPPSRRAATTAARKKGLREEGEQDNEEESGIEADRNTIAISPISIVGKPSAKPRIILLFPKTNLSGTPLPIVTVKQKMGSRHPHQTE >OGLUM03G19090.1 pep chromosome:ALNU02000000:3:15028717:15058211:-1 gene:OGLUM03G19090 transcript:OGLUM03G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEEEIVGPFHRISARTRRMATRMASALASSDNRTQIAAAAAAAATSIDHIHGVVLSTNDQIAQTTKCNDLAFSRTELQWFTMIINSVYLCRELAIRHTVDTFNRAE >OGLUM03G19100.1 pep chromosome:ALNU02000000:3:15030705:15036858:1 gene:OGLUM03G19100 transcript:OGLUM03G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z7T5] MGIGSMAREEKAIIYVTSAYLTNSSLIPQLEGIEEVQFEVELVQFVQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDEPKSIFYDTRVDNHGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRLPANVPEGAHVQWEIELLGFEMPKDWTGFTFQEIMDDAEKIKTTGNRLFKEGKFELAKAKYEKVLREYNHVHPQDDDEGKIFANSRSSLHLNVAACYQKMGEYRKSIDTCNKVLEANPVHVKALYRRGMSYMLLGDFDDAKKDFEKMIAVDKSSEPDATAALNKLKQTIQETEKKARKQFKGLFDKKPGEISEVGVGEPEGNKTDTTGSGEAASTADRDADAKEAIPRADSGLAFEEERPGLLARIWPSASRIFSSLGLNRCTIL >OGLUM03G19110.1 pep chromosome:ALNU02000000:3:15046756:15053570:1 gene:OGLUM03G19110 transcript:OGLUM03G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKMGTCGVVVASSPCAARSFLKALDARFANRPAVASAVDITYNYQNMVFANYGARWKLMRKLASVHLLGARALADWAAVRRDEARRLLRGVAEASAAGRPVVIPEVLVCALANIVGQITVSKRVFDVQGDESNSYKDMIVSLLTGAGLFNISDFVPALAWLDLQGVQAKLRRIHDQFDVLITKLLADHAATAADRARAGRTDFVDRLRAAVGVDDEDGETISEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMMKNPAVMARAQEEMDRVVGRGRRLEESDIASLPYLQAVCKEAMRLHPSTPLSLPHFSFDDCDVDGYRVPANTRLLINIYAIGRDPSAWEDPLEFRPERFMPGGAAERVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHSFDWRLPDGEDKVDMSETFGLALPKAVPLRALVTPRLAPAAYA >OGLUM03G19120.1 pep chromosome:ALNU02000000:3:15058508:15060878:1 gene:OGLUM03G19120 transcript:OGLUM03G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPPPSSGAAGKEMATAEALPDDLLDEILLRLPARSILRCRAVCMAWRSRTSHPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRSLGDGDGYRSGAAVSSSSSFASVRFDSRPFVLGSWDGVVCLVPRPTAVFVRPRNPIDRYVLVNPLTKACTSVPPPATRGIVICGYAHPTTSRYHLLHADSFFSYDGTARATIQILRVGEKNNVWRKIARHPAPAGVLESRTYIRLGGAPPVSLHGCLHWLVAPSSARPLLSVFDMEREEFRQMDTPEQWARHGNLPHMMSVQIARRSGKLCAFVHEPSASALGMWMLEDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVDGAGDGEEEIMFQFFNQFDMREAVYNVGRGAWRWRRILPPSRRVMTHKECMLPREVSFGGSAHFVEESDIGGHLDH >OGLUM03G19130.1 pep chromosome:ALNU02000000:3:15062090:15063632:-1 gene:OGLUM03G19130 transcript:OGLUM03G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVIGFWDGILCAAHILFAPGRGVERYVLCNPLTEACTIVPAPATDGFLVSGYAHPTTSRFHIMHANFFTTMETFWILRLGENSVWREVRRPALATTRVCIKFLRAPLVRLHGCLHWLASSASSAQFLVAVFNMEREEFRLMEAPGGQGVRDGNLSHSHTMMGIHITHWHGKLCALADEPGANALGMWVLDDYSDPTSWRLQRKIDYYYSCGAAGAALDDDPHAAAAQTFRARFSTAAVVEVLPNGVDGDDDEEGEEILLQLGDEEVVYNVGRAAWRRRGTLPLPTRRLMMHRHCILQREVSFGDASQVD >OGLUM03G19140.1 pep chromosome:ALNU02000000:3:15063642:15063992:-1 gene:OGLUM03G19140 transcript:OGLUM03G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDQEQRCGRRAPGEALPDDLVEEILLRLPAPSIGRCRAVCKAWLSRTSQPDFLRAHAARSCPATVTAAATVETRSRTTTPRGRSCTTIRIRRLGRKCSGAVASLAVSFVSASE >OGLUM03G19150.1 pep chromosome:ALNU02000000:3:15064641:15066952:-1 gene:OGLUM03G19150 transcript:OGLUM03G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGVQARELARRAYWRPAPPTRSGVWVLEDYSDPRSSWRLRWKIDYSCGAAGVLGGPDAAVVEVLPDGIDGGEEILLQLGCEEVVYVQRSARGVAQPQDIAAGSWTPPTGVYAERIATRDFRMRIGDPSAPKDQKLIEDWSEKVLLKLQNLKPGNWLPEGL >OGLUM03G19160.1 pep chromosome:ALNU02000000:3:15066956:15067237:-1 gene:OGLUM03G19160 transcript:OGLUM03G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAAATAEALPDDVVVDEIHRAPPSASNGSATKARRSSRLPHAPRPAAVSFVSLRSRSMISVVGFWDGVGLVTASRGRLRHGTGGVPADGDP >OGLUM03G19170.1 pep chromosome:ALNU02000000:3:15067746:15076401:-1 gene:OGLUM03G19170 transcript:OGLUM03G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFKKFYMREAVYNVGRAAWQWRRILPTTRRVMAHKECVLSREVNFGGAAHFVEESDIGGHLLKSSTIFGLAAAMPLSSTVAGGNMDGQGLAGLIMVMNWPREDMELEEMGALERKKRLFALRFYELVWGDLGLGLSSVDGPKQHCSSKLEKEKERKKQREGGIS >OGLUM03G19180.1 pep chromosome:ALNU02000000:3:15071971:15073878:1 gene:OGLUM03G19180 transcript:OGLUM03G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDDRERRRGRRAPAEALPNDLVEEILLRLPAPSIGRCRAVCKAWLSRTSQPDFLRAHAAARSCTATVTATATVETRTTTPRGRSCATVRIRQLGRKCSGAVAASLAMSFVSASEPVRSITAVIGFWDGIQCAAHILFGPGRGVERYVLCNPLTEACTIVPAPATDGFLVGGYTHPTTSRFHIMHANFFTTMETFWILRLGENSAWREVRRPALATTRVCIKFLCAPPVRLHGCLHWLASSASSAQFLVAVFNMEREEFRLMEAPGGQGVRDDNLSHSHTMMGIHITHCHGKLCALVGEPGANALGMWVLEDYSDPTSWRLQRKIDYYYSCGAAAGAALDDDPHAAAAQTFRARFSTADVVEVLPNGVDDDDEGEEILLQLGDEEVVYNVGRAAWCRWGILPLTTRRLMMHRHCILPREVSFGDASQVD >OGLUM03G19190.1 pep chromosome:ALNU02000000:3:15076408:15076674:-1 gene:OGLUM03G19190 transcript:OGLUM03G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVQIARCSGKLCAFVNEPSASALGMWMLEDYSDPSSWWLERRIDYSRHGAGSLNVARTFRNQFSATTTAVEVLPDGVNDSDGGGGG >OGLUM03G19200.1 pep chromosome:ALNU02000000:3:15076702:15084091:-1 gene:OGLUM03G19200 transcript:OGLUM03G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEFRLMDAPEQWARHANPLDIKSVQIARRSGKLCAFVNEPSACALGMWTLEDYSDPSSWRLERRIDYSRHGAGSRNVARTFRNKFSAATTAVEVLPDGVDGAGDGEEEIMFQFFNQFDMREAVYNVGRGAWRWRRILSPRRVMTHKECMLPREILLRLPARSILRCRAVCKAWRSRTSQPYFLRAHAARSRIIAAAVVDTTVIPDGEVCTTISIRPLGDGDGDSSGAAMSSSSSSFASVRFDSRPFVLGSWDGVVCLVPGATAGVVRPRSRIDRYVLVNPLTKACTSVPPPATRGIVICGYAHPTTSRYHLLHADDVYPYHHTAAATIRILQVGDNNAWRKIVISHPTGMESRSCIRFPGAPPVSLHGCLHWLVASSSSASASARPLLSVFDMEREEFRLMDAPEQ >OGLUM03G19210.1 pep chromosome:ALNU02000000:3:15084137:15086079:-1 gene:OGLUM03G19210 transcript:OGLUM03G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAAPPSSGAAGKEMATAGALPDDLLDEILLRLPARSILRCRAVCKAWRSRTSHPYFLRAHAARSRKIAAAVVYTAAFSLAQFRTTVSIRPLSDSDGDDGDSSGAADPPRVVSSSSSATMWFVTCPFVLGSWDGVVCLEPRPSASFLPKFTMHRQFHHILFLVNPLTKACTTVLAPETRGIVFCGYAHPTTSRYHLLHADDAYPYNHTAAAATVRILRVGDDNNAWRRIVIRHPASVESRNYIRFGGAPPVILHGCLH >OGLUM03G19220.1 pep chromosome:ALNU02000000:3:15086067:15089616:1 gene:OGLUM03G19220 transcript:OGLUM03G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MGRSSRPAQVRTRSSPRPLRSRISTSLAIRRRRRRRSSLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >OGLUM03G19220.2 pep chromosome:ALNU02000000:3:15086067:15089677:1 gene:OGLUM03G19220 transcript:OGLUM03G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MGRSSRPAQVRTRSSPRPLRSRISTSLAIRRRRRRRSSLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVKLEWQTAQACLNGP >OGLUM03G19220.3 pep chromosome:ALNU02000000:3:15086067:15090390:1 gene:OGLUM03G19220 transcript:OGLUM03G19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G37055) TAIR;Acc:AT5G37055] MGRSSRPAQVRTRSSPRPLRSRISTSLAIRRRRRRRSSLAVAGMEGEEEENVGPFRRTSARTRRMATRMASALASSDNRAQAALARLEALESDNAGPEVVDLNDDDEYGSADEEDHVLMQRKQSKNMKRKTRQGKALEKKAARSFMDVLQEANLESLPPHVPTYSRAAVGPPSTSSRRHYCSVCGSTANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >OGLUM03G19230.1 pep chromosome:ALNU02000000:3:15091740:15092619:1 gene:OGLUM03G19230 transcript:OGLUM03G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGAEARCDYSEGRWVAPIVLAFLLLAARLVLPKNAAKEVAYSDLLTGLRAGAVTAFAFEEDSRRIYFRRATDDGGCGEDTDAGAGEPRRSASAARWPCYTRRVPHDEGFLLGLMQDGGVDYRSAPRPAGRLLVYMLSTLLALWVERRCRASAAMTGEAAVRLKEADRLRAVREREKDKEEKRK >OGLUM03G19240.1 pep chromosome:ALNU02000000:3:15098477:15102096:1 gene:OGLUM03G19240 transcript:OGLUM03G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASQNYAQ >OGLUM03G19240.2 pep chromosome:ALNU02000000:3:15094951:15102096:1 gene:OGLUM03G19240 transcript:OGLUM03G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSGVTVHVTGFKKFHGVAENPTEKIVRNLESFMEKRGLPKGLTLGSCTVLETAGQGGLGPLYEVFESAIVDKEYGLNDQGQVILLHFGVNSGTTRFALENQAINEATFRCPDELGWKPQRAPIVSSDGSISNLRKTTVPVNEVNKSLQQMGFDVAPSDDAGRFVCNYVYYQSLRFAEQRGIKSLFVHFPLFTTISEEVQMNFVASLLEVLASQNYAQ >OGLUM03G19250.1 pep chromosome:ALNU02000000:3:15095005:15095429:-1 gene:OGLUM03G19250 transcript:OGLUM03G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAAPPPSSGAAGKEMATAEALPDDLLDEILLRLPARSILRCRAVCKAWRSXGEVFG >OGLUM03G19260.1 pep chromosome:ALNU02000000:3:15101814:15103870:-1 gene:OGLUM03G19260 transcript:OGLUM03G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVAVACALALASACHGLQLGYYKQSCPRVEAIVRDEVKKFVYKDAGVGAGLIRLVFHDCFVENWHAFKQKQKEFHFTSGKSQLR >OGLUM03G19270.1 pep chromosome:ALNU02000000:3:15111773:15113864:1 gene:OGLUM03G19270 transcript:OGLUM03G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKLAMAVACALALASACHGLQLGYYKQSCPHVEAIVRDEVKKFVYKDAGIGAGLIRLVFHDCFVEQPATEKKIDVIAMALQGMEQEAAGQPPASAAFPSSAEEAAAAAASPTSGGKRIVLRIRLPPAWTPEEDACLARLAAENGFRHWRRVAEGMAAARSGRRRRRSPGQCRDRWSEHLARDVYHRPFTADDDAELARLLLKRDGERSWKDIGRAAYCRTSRGMRRRWRELRDSDAFLRKLWCPPPPPMQPSC >OGLUM03G19280.1 pep chromosome:ALNU02000000:3:15114551:15114831:1 gene:OGLUM03G19280 transcript:OGLUM03G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRPANQIEEVLEEVVPVETVVEELRANLEEFQRKVAEDNKLFQEQIQNQATQAQLPRARSWGHFKDTR >OGLUM03G19290.1 pep chromosome:ALNU02000000:3:15120984:15121613:1 gene:OGLUM03G19290 transcript:OGLUM03G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTIKLAVAVTCTLLLAAACSGLEVGYYKKSCPRVETIVREEVKKFVYKNAGIGAGLIRLLFHDCFVEFW >OGLUM03G19300.1 pep chromosome:ALNU02000000:3:15133552:15136399:1 gene:OGLUM03G19300 transcript:OGLUM03G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLPLVTCALLLLLAATCQAHPYWPLELAYYRDKCPQAEAVVKAVVGEAVRQNPGNGAAVIRMLFHDCFVEP >OGLUM03G19310.1 pep chromosome:ALNU02000000:3:15142342:15142699:1 gene:OGLUM03G19310 transcript:OGLUM03G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNKLAATVVVVTFAVLMAAVTTTCQAAYGPPNPASCGLKVGYYYAKCPHAEEIVKNVVGAAILHNPGVGAGLIRMLFHDCFVEKNSTICKARKY >OGLUM03G19320.1 pep chromosome:ALNU02000000:3:15169676:15170417:1 gene:OGLUM03G19320 transcript:OGLUM03G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQLLGLFLVLAIMVAVVWGDPSGGCDQDRQDMIRECKKYEGWPAEPKIEPSEACCAVWQRANIPCLCAGVTKEKEKVWCMEKVVYVAKFCKKPFQPGYQCGSYTVPSSLGQ >OGLUM03G19330.1 pep chromosome:ALNU02000000:3:15184187:15186885:1 gene:OGLUM03G19330 transcript:OGLUM03G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLAALLVSLAMLMAAVTAARVERAGAGFYTPPSPSTCGLKIGYYHDKCPHAEAIVKGVVAAALHRDPGVGAGLIRMLFHDCFVEFTDIELWSERGRGSGSGNRCFLAVVANPKKATTKPEIRVIIPCRRSVVGNAGMVITNGSLSLSFRRCRCRHVALRPIDREAGNGMPPFVGVTPKCGVVVYRGVILVHHARTAVAAAATAPV >OGLUM03G19340.1 pep chromosome:ALNU02000000:3:15187231:15187988:-1 gene:OGLUM03G19340 transcript:OGLUM03G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERASSTLVARTALWTIKKKNCGKNNEKAVKRKAPTPDSGSNEGNTSAEEQNNNSTGVKKGGKERKGKKKKKKKKKKKGNNPP >OGLUM03G19350.1 pep chromosome:ALNU02000000:3:15191825:15193372:1 gene:OGLUM03G19350 transcript:OGLUM03G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G28690) TAIR;Acc:AT1G28690] MQQRNNADSHRCAPRAPGYLRTAAALAAVVQSLLDEPRPRPRPGSQTLHAQLLESGLRPTDDLSVKLLLLHLRCSSHHNARAVFDGMPAPTHAAHNYLAAGYSRLGLPEEALGIVRRLARCTGRLNVFVLSMALKLSAALALPRAVREVHARVVRSVVESDDVLFAALVDAYVKNASLRYARRVFDVMPVRTVVSSTALIVGCMNEGLYEDAEEIFNTMDEKDVVVYNAMVEGYSKTEETAESSMEVFKSMHRARFRPTVSTFVSVLGACSLLSSPEIGEQVHCQVIKSSLSSDIKAGSALLDMYSKCGRVDDGRRIFDRMAERNVITWTSMIDGYGKNGLSDEALQLFEQMRRWRRHDDAIRPNHATFLSALSACARAGLLSRGQEVFQSMEREHELRPRMEHYACMVDLLGRFGSVRRAHDFIRGMPARPSSDVWAALLGAATLHGDVETAGLAAREVFELSRAAGRQRPGAYMAMSNTLAAAGKWDGVRQVREMMRRRGVLKDAACSWVGSE >OGLUM03G19360.1 pep chromosome:ALNU02000000:3:15193816:15197519:-1 gene:OGLUM03G19360 transcript:OGLUM03G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQIDGEVQDIFRALQNGFQKMDKIKDSSRQAKQLEDLTAKMKECKRLIKEFDRILKDEESNNPPEVHKQLNDRKQYMIKELNSYVTLRKTYQSSLGNNNKRVELFDMGAGSSEPAAEDNIQIASAMTNQQLMDAGREQMTQTDQAIDRSKMVVAQTIETGTQTASALSQQTEQMKRIGNELDTVHFSLKKASQLVKEIGRQVATDKCIMALLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNFQISNRRLLSVEIIRGL >OGLUM03G19370.1 pep chromosome:ALNU02000000:3:15197580:15198772:-1 gene:OGLUM03G19370 transcript:OGLUM03G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDEIGFYSKPQLIRHATTRSQALGSSSSSPPNPQSLFGFSFLPSAADRDGTLSYVVGASPLE >OGLUM03G19380.1 pep chromosome:ALNU02000000:3:15222086:15227069:1 gene:OGLUM03G19380 transcript:OGLUM03G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAAAAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSVLLHRLRMKRYGILLAQPSNVSSRVLAAAARTVWSWEKLVTGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVAVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSIELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNTSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFFFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHFNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQASIRVTLVLVSVPDLLPATCKTLAIMERRKALHQAEKIFPTESNIADCIRYSAMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >OGLUM03G19380.2 pep chromosome:ALNU02000000:3:15222086:15227069:1 gene:OGLUM03G19380 transcript:OGLUM03G19380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRAAAAAEAKTSVWWDIDRCGVPPCCRDPHRVAHGVIAALAAAGCAGPVSIFAYGDAARVAPPVLAALSSTGISLNHVPAGAKDGTDKKMLVDMLFWAFDNPPPGNYLLISGDQDFSVLLHRLRMKRYGILLAQPSNVSSRVLAAAARTVWSWEKLVTGESLLVESTHTHGLPDCNPKLNSLDVSKCSQNKSLDASKCSQNKSLDGSKCSQSKSLDGSKCSNSKVHAVCGNGDSNVKACDRYKVKPLQKYVKKTNAVSSSTNNQGQVAVVDGFSDDSAGSTGSEQDKSSVSSSSSSSESLEVDLPSLLGTPPLADLPSLLGTPPLAQSSAQEPVVSTSSQQVGPLNMTGKKPSTSVKFVPRKGTVDLGLSKHHHQMFMQSQSSEVKSKLHSEYDIAEKNAKKGNQSKSNPIQRYVKKTNITSSPASNQLDSDGMPECSTGNTPSKLNQLPVLPPPNSESLEETKVDHSRSLGIFTLPQSSSQAPVLSTHLHKVEEPHELIVGKQPSCTSIELASRDGTNDSRVSIGHYHSTSMQSQSSLAQHTLHCNYNMGDKVGKAKARNQHKVTQRQQYIKKANIVSSSAHNEIDLVKGFSGNSKGSILSNTSKSVLTSSISESLEEAKANCSTPLKNPPFSLSSVNKPVTPTDLQHLGSEFFFGSNHSLSTECMPKDGIFYFGDINGQNSPACQQAHSSLLPEIHKSIPPSAAGHFNSVNSDVGSSYPASTGFNGVPSAQIQTSPSGLTFQNMLDICSDFSRLTISECPPGTSEKRPPFQASIRVTLVLVSVPDLLPATCKTLAIMERRKALHQAEKIFPTESNIADCIRYSAMNISGFDVKKALELAIRHQAVIMKKLVNDMPLFVAKDESIWKCVNVTNSNAKHSKETLDAVHKYISSTDGWSAMKNSQSRYQAATILKKSCLQQHALGDVLQILQIIIVRKKWLLPHSSGWQPLSINTTVVDATAVAVGEARS >OGLUM03G19390.1 pep chromosome:ALNU02000000:3:15228959:15233550:1 gene:OGLUM03G19390 transcript:OGLUM03G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYSEPAARAVAEEVARWGGMRQTGVTLRYMMEFGARPTERNLLRSAQFLRRELPIRIARRALDLDSLPFGLSTKPAILKVRDWYLDSFRDLRCFPEVRNRDDELAFTEMIKMIRVRHNNVVPTMALGVRQLKKDLGGTKAFPPGIDEIHQFLDRFYMSRIGIRMLIGQHVALHEPDPEPGVIGLISKRLSPMLVAQHATEDARAICMREYGSAPDVNIYGDPDFTFPYVKLHLQLMMFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDGRNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLH >OGLUM03G19400.1 pep chromosome:ALNU02000000:3:15233909:15242314:-1 gene:OGLUM03G19400 transcript:OGLUM03G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTVVDDPSAAAAAAVGAAASSSFPDADVYGNGDSDDIDFPSDPNHATTQAFSSATAAAAAAGPGGGGGAGSGSGGERRPLFQRLWTEEDEIVILRAFAEFTAQRGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVDRLRATGHLGRWDGDRRWRRLLGVTG >OGLUM03G19410.1 pep chromosome:ALNU02000000:3:15244269:15246661:1 gene:OGLUM03G19410 transcript:OGLUM03G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHLPNTVSPVPLLRAEISQKPFAYVDTSIWEAMCEATSFGASMSGASASVFLSQCITRLAMLSVEQPYMSHVKCS >OGLUM03G19420.1 pep chromosome:ALNU02000000:3:15247362:15247943:1 gene:OGLUM03G19420 transcript:OGLUM03G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAARSVSVADRALRGVADLIKLLPSGTVFLFQFLSPLVTNNGHCAAAYSKALSGALLALCGAFCAFSSFTDSYVGSDGRVYYGVVTAKGLRTFAADPDAAARDLSGYRLRAGDFVHAALSLLVFATIALLDADTVACLYPALEVSERTMMAVLPPVVGGVASYAFMVFPNNRHGIGYQPTRATEDFEHKH >OGLUM03G19430.1 pep chromosome:ALNU02000000:3:15249052:15264128:1 gene:OGLUM03G19430 transcript:OGLUM03G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPAAAASPATDQGKTKSKKKSKKHQEDTSSSLAVAAASVDEAVEAKADGYLIKPQSVASPLDTSAWPLLLKNYDRLNVRTGHYTPLPAGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGETDNMVTMHDVMDARWAMDNFNDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENEIEVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPSEWLRNAVLPAGGDAMIAGIAAAPEPEKPKVKEEADVAEETKEKKKKKHKDEAGDNANEGRKRKVGDDDLSASVSAKKIKVEEEADAVEGEKSEKKKKKKKDKAESASADGEVKAELSDGEKGGSEKKKKKKKNKEGEAGDDEAEKSEKKKEKKKKNRDAEVTQ >OGLUM03G19430.2 pep chromosome:ALNU02000000:3:15261550:15264128:1 gene:OGLUM03G19430 transcript:OGLUM03G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALVFLALLLPTISWLWDYIIVPHIWRPYIAAKRLREQGIRGSPYKFLKGCNEDVKKMKDAADDLVLDVHDHNYLPRITNVDGEPFVYWFGAKPRICIFNYGWARQILSSKSGHFLKNDTTPTVLALLGKGLVLVEGIDWERHRRVINPAFTMDKIKMMTKTMVACAQNMVKELEDQASSNKNGETQVELDKQFQELTADIISHTAFGSSYKLGIEAFHAQKELQEIAVKSLLNVQIPGFSYLPTKGNWRKLTLEKKLRGTLMQIIQSRLSSKGSGYGSDLLGLMLEACIATDQGREQHQLSLSIDEIIHECKTFFFAGHETTSLLLTWTVFLLSVYPEWQARLRLEALRECGKENPNGDNLSKLKEARKLMSMVFLETLRLYGPALFLQRKPLTDITVGETKIPKDHAIIIPSAIMHRDKEIWGDDADEFNPLRFQNGVTRAAKVPHALLAFSIGPRSCIGQNFAMLEAKSVMAMILKKFSFTLSPNYVHAPVDLLTLQPKFGLPVVLRLLDA >OGLUM03G19430.3 pep chromosome:ALNU02000000:3:15252293:15262425:1 gene:OGLUM03G19430 transcript:OGLUM03G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLAVAAAAAAWVWWGRYAWRARAVARRLAAQGVRGPRRGGVLRGCNDEVRRRKAAAEADGVAMDVGDHDYLRRVVPHFVAWKELYGTPFLYWFGPQPRICVSDYNLVKQILSKKYGHFVKNDAHPAILSMLGKGLVLVEGADWVRHRRVLTPAFTMDKLKVMTKTMASCAECLIQGWLDHASNSKSIEIEVEFSKQFQDLTADVICRTAFGSNSEKGKEVFHAQKQLQAIAIETILNLQLPEFKYLPTKRNRCKWKLENKLRNTLMQIIQSRITSEGNGYGDDLLGVMLNACFSTEQGEKRDELILCVDEIIDECKTFFFAGHETTSHLLTWTMFLLSVYPEWQDRLREEVLRECRKENPNADMLSKLKEMTMVLLETLRLYPPVIFMFRKPITDMQLGRLHLPRGTAIVIPIPMLHRDKEVWGDDADEFNPLRFANGVTRAAKIPHAHLGFSIGPRSCIGQNFAMLEAKLVMAMILQKFSFALSPKYVHAPADLITLQPKFGLPILLKALDA >OGLUM03G19440.1 pep chromosome:ALNU02000000:3:15252641:15252966:-1 gene:OGLUM03G19440 transcript:OGLUM03G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrophobic protein RCI2B [Source:Projected from Arabidopsis thaliana (AT3G05890) UniProtKB/Swiss-Prot;Acc:Q9ZNS6] MADRPPAMADRTATFVDLVIAIILPPLGVFLKVGCEIKFWICLLLSFFGYLPGIIYAVWVIVNH >OGLUM03G19450.1 pep chromosome:ALNU02000000:3:15256841:15258205:-1 gene:OGLUM03G19450 transcript:OGLUM03G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQHWNRYHNRGASRTSSLSRSCHSGYTLSKNIVHLVHGESWGEHPTMPHPICAFHQHQPFAEH >OGLUM03G19450.2 pep chromosome:ALNU02000000:3:15255491:15258205:-1 gene:OGLUM03G19450 transcript:OGLUM03G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQHWNRYHNRGASRTSSLSRSCHSGYTLSKNIVHGQEGGCVPYSSFQATKCGTTRRR >OGLUM03G19450.3 pep chromosome:ALNU02000000:3:15253870:15258205:-1 gene:OGLUM03G19450 transcript:OGLUM03G19450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLCCSCDPICKSQRVELIGIVTPNLLVSVQHWNRYHNRGASRTSSLSRSCHSGYTLSKNIVHNEGTIDAVRAVKSEERCVRHMSKDV >OGLUM03G19450.4 pep chromosome:ALNU02000000:3:15253870:15255472:-1 gene:OGLUM03G19450 transcript:OGLUM03G19450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSASAAAFRRRTSSLQPRSTPPRRGPRTPCAASLLATARARHAYRPHHTHAAAAAATASSSKNEGTIDAVRAVKSEERCVRHMSKDV >OGLUM03G19460.1 pep chromosome:ALNU02000000:3:15258247:15261315:-1 gene:OGLUM03G19460 transcript:OGLUM03G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEDGNAFIVVEIWFFQGTGGPAPFKGVSGKSPAWYFRIGNIDAYGCRILHGATYKTIISCIQSLQEYGKTKLWLKCAWTYFGERAKENFCRIMAITNFASNIAKF >OGLUM03G19460.2 pep chromosome:ALNU02000000:3:15258247:15261315:-1 gene:OGLUM03G19460 transcript:OGLUM03G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEDGNAFIVVEIWFFQGTGGPAPFKGVSGKSPAWYFRIGNIDAYGCRILHGGAWTYFGERAKENFCRIMAITNFASNIAKF >OGLUM03G19460.3 pep chromosome:ALNU02000000:3:15258247:15264743:-1 gene:OGLUM03G19460 transcript:OGLUM03G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGALHPQQQGAQRSRAGNGAAPWWLILLDRPRRRIGNIDAYGCRILHGGAWTYFGERAKENFCRIMAITNFASNIAKF >OGLUM03G19470.1 pep chromosome:ALNU02000000:3:15269548:15274444:1 gene:OGLUM03G19470 transcript:OGLUM03G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILLLPLVALLVVAISWLWDYTVVRLIWRPHCIAKEFREKQGIRGPAYKFLGGNNGEISRLKEEADDQVLDNLRDHNYLLRIAPHFLKWRAQYGEAFLFWYGAKPRICIFDYELARQILSSKSGHFLKNDAPPTLVALMGKGLVLLEGTDWVRHRRVINPAFNMDKLKMMISTMTGCAQSLAKELEDVAAKNKDRVTEVDLNQKFRELTADIIAHTAFGSSYQLGKEAFQAQHELTEITMATLFQVQLPGLNYLPTERNRRKWRLQKNLRDTLMQIIRSRLSSKDGEYGNDLLGLMLGACASDEKGEASSLSMDEIVDECKTFFLAGHETTSLLLTWTVFLLSVYPEWQERLRNEVLRECGTDQCPDANSLGKLKEARNKNLFLYTI >OGLUM03G19480.1 pep chromosome:ALNU02000000:3:15289413:15289706:1 gene:OGLUM03G19480 transcript:OGLUM03G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLEQWCGGEAAGVVSAGKESAASSAAAAAGCRTPGGGGAREGGRGAAVAGECPGAPRKRRAAPGPVSQMQEQQRQRRDFYSGPDVDAFFAAHNL >OGLUM03G19490.1 pep chromosome:ALNU02000000:3:15301782:15302087:1 gene:OGLUM03G19490 transcript:OGLUM03G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDREVWGHDAGEFNPLRFRDGAARAAAAAGIPHALLSFSIGPRSCIGQGFAMLEAKAAMAAMLRGLSFRVSPGYVHAPVDLITLKPKFGLPVIVRLLDA >OGLUM03G19500.1 pep chromosome:ALNU02000000:3:15310492:15311894:-1 gene:OGLUM03G19500 transcript:OGLUM03G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIQLHSMLGNKYVLYSSSTHICQSLSRSVPSLTMAAATATALARWSQIAAQLPGRTDNEVKNFWNSYIKKKLRDRGIDPATHKPLAADSSATPTNTTAAAASRSTATCRAVFSDAELQIPTAAAVQQQQQAPLVGAMQLVDGIKMPLDDYWPAAAAAAAPSSSTTTFSAYHHALSMQQQAAAGCGASAAFDMDGLSHCGVVVAPSASSSSTLTSMAGLSPAAADAAEQSANVAAAAATTTNLPWLDLGHANPIATMDHYAGVLDELRWSDYFDGAYQAATTATQGGALQGQCLYDGGGGGGKDVDDAVQFVDVHSLSNWC >OGLUM03G19510.1 pep chromosome:ALNU02000000:3:15314113:15314563:-1 gene:OGLUM03G19510 transcript:OGLUM03G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDELLLPSSYPSPFHSELKIKDDSVAVEFYSQQEQDGNYRSIVQHGTIYLHFQSCMAPSRRYEVTFGWN >OGLUM03G19520.1 pep chromosome:ALNU02000000:3:15321418:15322504:-1 gene:OGLUM03G19520 transcript:OGLUM03G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRGGVWTAMCRPTAGGRATPCERRTREGGGRRPLRMIGDRGTGFKVPAGDALSQVYRWMGKGRWYLLVSPPSSLLTGRWAKGRRPLLTMFYAERRGTIDLTGPPS >OGLUM03G19530.1 pep chromosome:ALNU02000000:3:15369409:15378137:1 gene:OGLUM03G19530 transcript:OGLUM03G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFLAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKDTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQASSNQKLNAGEIVEEKVGVDMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDQFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >OGLUM03G19530.2 pep chromosome:ALNU02000000:3:15369384:15378137:1 gene:OGLUM03G19530 transcript:OGLUM03G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT2G46920) TAIR;Acc:AT2G46920] MVLGLGVANQPAMGNSTSRVVGCFAPADKAAGGGVGLEFLQPLDEGLGHSFCYVRPGAITDSPAITPSNSERYTLDSSVLDSETRSGSFRQEVVVVDDLAAAAMAGLQRPSKSFSETTFRTISGASVSANPSSARTGNLCVSLAADVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGAGFMSGPLDKGVFMSGPIDSGNKSNFLAPLSYGRRKAGLGQLVRSISRPMRSALSRTFSRSSQGTGWVQRFLLHPMAQLSLSRDAKDTSEDSHNGLEAGLPELEYSVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEDSPEGSAQVSTLGEGESVAVPVESEQLVNSEEQDVSNVKISDGGASQVQMDLNTSGQRDLVLQASSNQKLNAGEIVEEKVGVDMGNNLQSTESYNSGRDISNTDVNTSFGCTSDVNTSTCCNEDVKSPKEIRRSRRLFELLEMELLEEYNRNVSKLSPEGMKGRSIFNMQAGTTEESSRDIAELSRSSMAATGECLDDFENDKHSRSGDGVLGVDPKECNECSISSSSSGHKQILRRYLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVDESVIKPSEVTRRCKSGPVDHDAVLRAMSRALENTEEAYMDVVERELDKNPELALMGSCVLVMLMKDQDVYVMNLGDSRVVLAQDNEQYNNSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKTKELTICKLKMRAVQLSTDHSTSVEEEVSRIRAEHPDDPQSVFNDRVKGQLKVTRAFGAGFLKKPKFNDILLEMFRIDYVGTSSYISCNPAVLHHRLCSNDQFLVLSSDGLYQYFSNDEVVSHVAWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >OGLUM03G19540.1 pep chromosome:ALNU02000000:3:15380922:15381533:-1 gene:OGLUM03G19540 transcript:OGLUM03G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIVIKVDFVRAKCKAVAMTVVAKIPGVKSLAADDEKGTMTVVGEVDVVQVVGELRKAKFAAEVVSVEPEKKPEAPKKPDDPPKKPDPPPPCPPPPPPCCPGCNSCRPACQCAAAPGGGVVLYEVEADGYGCIIA >OGLUM03G19550.1 pep chromosome:ALNU02000000:3:15384241:15388394:1 gene:OGLUM03G19550 transcript:OGLUM03G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDSNDYTVPGFGDDDAFDSSSLSLTGDAAAGDHFSSASGPLPDHVRREILELGLPDDGYNYLHHLRELRPSAAAAAAASSFAPNQAAPPLPLDVKAYDASRVRIASGNVEDEMDEGRTMCKVAAKTAPVRRIERAVDPDIARLLDETDVSHGGSEDEGLEEDFVIMANRAEGDEEEDDDDEEEEVVDGVFLSDVEEEEEFEDDEGEPKPRVRRLLDEQFDLLALEEYGDSDDDDDPGVRNGECELPTEVIDELKLFHSQNVSVTEEYRTPADFVRRKLDSSTAEEVDESANVIQKCVEYAERYLNETAEEEEVVLVSESSDESEVWDCETIVSTYSNLDNHPGKIQTPGNPKNRLPKVFPGETATTKDIIRLQGKERLPVEYLPQRKRNGEKEKKAKPTETPSAESFKKGAQKETKEEKKARKAAVKEEKREARKAKKELKGLYKFETQKAQKVAAVTGPASIRLM >OGLUM03G19560.1 pep chromosome:ALNU02000000:3:15393325:15395360:1 gene:OGLUM03G19560 transcript:OGLUM03G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMFRKMPDLPTMDDDDDKMGTHAQVQQLPDELLLEILLRLPPRAIARCLAVCSAWRSAVSAAAFRRAHADRPAAVCKATTTAIDCCDRDAVVLDAFRGRWHRGNVHTTPRSPRGLVFPAAMAPEPDTPWVLDTLVVGSWDGVLCVERGAPLLRGSRWDAGVHHWPKSGRLREYVLWNPLAMTRATVSPPPGRGAVIGGYAHPATMRFHLLHAAGEAARRPGSLGLFVPTVFRVQRVGDGAWREVPLPPLEQQDDHAHAQLQMHGARSVALHGNLHWLVQRGGSAGPGRLQVLVFEPARERFRLMEAPPRRHGEEDDLARSRIVVLSNGKLCAVAVRPATSTMEMWVLDSSSSDAPRRWRLDETISAVTRDGRDLSRAFTSEAQVEAAHGDKEGEEVFVRHDDGRIDAYSLRRRKWTRVCAARPRSGSVGVALLPHRESVADDQPSFGEASRLLDHTIDIDVDDQYLSMNPITLFCY >OGLUM03G19570.1 pep chromosome:ALNU02000000:3:15403783:15406138:1 gene:OGLUM03G19570 transcript:OGLUM03G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTTTREAKLLRRNPSDHHDSGGGGGNDLISHLSDDILAHILASLPSMTDVMRACAVSRRWRHLGARVPVLRFFCLDHDFSEQEKLDRFIAFVNSILARRADDGTSTTVVEELEISFKLFNSRCMSGGSKRVVPSVSRRVDSHVSKSFTLQLNYMLPLNLHNSNSSNGCMQVLGSNKLMITCGGGATRLESMVLSLNKACLRRLPTNVTLDSLVHLTLEDVDDLNQLLSTARCPSLRKLCLHKLTVSPATTTDQSLHLESNELLEVSLDWIWSRALVLELRTPRLRVFHTRNASIGRLVISAPRLEELTFFYTRVASIVQVEDMPCVRIFETEMSSLRRPECNDHVNQTRIRLLRCCKFLQFLTLHLTITQKDGHDSAEVDKRYTTTSSRYIFIIIEYCKRPTGIGTQNQRDHHIISLEHLQDIKITSAYRREYEARLLKFLHASAPALKKMIVAFISAFMLSQSLQICAKECEEFLHSIPLSKEGKWAFCYHGAHMQDFTTFEWTPIKKVECRQIVHMD >OGLUM03G19580.1 pep chromosome:ALNU02000000:3:15407730:15408170:-1 gene:OGLUM03G19580 transcript:OGLUM03G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPCEIRSSSRWTRSASGMRARAAISPPRFPSRWRPGSSLSAATASTLLSVRLLRVNYLAEQSAAIKLSYAESDARKAYALVDGCRGHLDAALLLLDHVGRLPDVQGMINAERLAAVADLEAAIVAVQRSAEMATAARQDVSGAS >OGLUM03G19590.1 pep chromosome:ALNU02000000:3:15409810:15410936:-1 gene:OGLUM03G19590 transcript:OGLUM03G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARGETITATGAARRQDGVAGEEEGSARMGRPGGGEETFLVAAATASDEGGGGAPTEEGACELALGWRPRAASTLSWVTRKRRRRGCVGRRRRWIGRCELNAGRGRVGTGKRARSRWGRRGAAAASAALPLEQEAKEWRQGARYPGREKAKGGGKGALLLPFWEERDENEPRLTVLDARGMGRRAREDDAGDDCKKDGDGLKVSTDTVVVRASDDRGERERERERALSLAQSACGARAGGGGRKRERRVGPGKEESAQNIDGGIIDCYPENFFKEYEEHLTLDLNSNWIFELNKIWRNQGGDSKSEFDTFGNGKEFR >OGLUM03G19600.1 pep chromosome:ALNU02000000:3:15428813:15430085:-1 gene:OGLUM03G19600 transcript:OGLUM03G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADEMALARLPDEVIQDILARLPPRTIVRCLAVCKAWRSAVSAPTFHRALAAHRPAAVVKVTSELAYFGKPWRYCKALLLDTFRGRCSRPWRHHALTWVVGSWDGVVCVDVEYTSRFGPDLDNTQPERREREFVLWNPLTRACATVPTPAPCGDRGVIIGAYAHPATMRFHLLHAAGEAERGLFAATAIRVRRVGDGGAWREVPLLEQQEEEDGHDDANMQLQRRRRTIIFLRMQASQSVSLHGNLHWMVQRGSGSTARLNVLVFDTARERFRLMEAPPWDDSRGGGEKKGLAMSRVVVLSGVGKLFAVAVSPATSTMEMWVLDDDDSSGGARAWRLAERVGLVMWDKRDLSRAFSSATQVAAVQGDAEGEEVILHNDGHIDAYSLRRRAWSTASVGTASCGTT >OGLUM03G19610.1 pep chromosome:ALNU02000000:3:15433512:15436778:-1 gene:OGLUM03G19610 transcript:OGLUM03G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hepatocellular carcinoma-associated antigen 59 (InterPro:IPR010756); Has 1239 Blast hits to 998 proteins in 204 species: Archae - 4; Bacteria - 71; Metazoa - 421; Fungi - 109; Plants - 87; Viruses - 5; Other Eukaryotes - /.../ource: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02330) TAIR;Acc:AT1G02330] MRKNFRKRNLEADAAADHSDDDDARRVALEEIKYMQKLRERKLGIPAAAAAAGASSAASADGASPRGRGGGGGGLAAGGDAEKEDLVLQDTFAQETAVTIEDPNMLRYVENELLKKRGKKVDVKDKEEKDQVDELYTVPDHLKVRKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAGKTKSDANIPSSYNADFFHRGKDYTEKLRREHPELYKDQGSQANGTGGKSMGGNHPDGAGAGRREAATDELLLERFRKREKFRVMRR >OGLUM03G19620.1 pep chromosome:ALNU02000000:3:15436999:15440625:-1 gene:OGLUM03G19620 transcript:OGLUM03G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPASMLRGRTRAMERRIPKRDAWFAFAFGFSTSSLLPFLSGSFAAAEGGGETKAAAAAAAARRTRNERGKQKAIAAKKRTKRSRPGSTVRQ >OGLUM03G19630.1 pep chromosome:ALNU02000000:3:15440179:15444756:1 gene:OGLUM03G19630 transcript:OGLUM03G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVLPLSIEAGEMAMPRGEEVVTDMPSSSAVASGNGIEQPEQNTKDDEYERLVRPAHSVIADDNTVVLPEEPTSRSIWWMKVLLGCFLLILVSYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHAWLKRWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMIPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNAISFVIAVVLTVTFTVYAKRALNDIKESEGILTEEYGISTGHKNPHQERSPSRPVPLDDVV >OGLUM03G19640.1 pep chromosome:ALNU02000000:3:15445539:15448237:-1 gene:OGLUM03G19640 transcript:OGLUM03G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFSCPVDDYDALEESAAAAAAASSESNSGGGKPAAILKALGSGKLLIEGSLSFKRDQQMSPTSLLQVETEISIKPAAADIAAAPRASPAEEDEEFWGSQKRISSESYPKNTATDNSEDQAAEAEETGNSQMPRRRSFNGAAAPATPREALRPSPLQHGLVATVAAAD >OGLUM03G19650.1 pep chromosome:ALNU02000000:3:15456229:15460069:-1 gene:OGLUM03G19650 transcript:OGLUM03G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYDYGPSPPREYRRRARSPSPRGRYGGRDRDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDIYLPRDYYTGEPRGFGFIQYYDPEDAADAKYHMDGQILLGREVTVVFAEENRKKPSEMRARERVGSRDRSYDRRSRSPRYSRSRSPVYSPRSRSRSRSYSPAPKRKHYSSCFAGDTDKFMILCRKSVRMPIEAQSMT >OGLUM03G19660.1 pep chromosome:ALNU02000000:3:15468349:15474002:1 gene:OGLUM03G19660 transcript:OGLUM03G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRRWRGTILLLICLVCNASGTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNDQLEHMAEFPEEAELWVAANVARFLPATRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSSAALQAPPAWAAAAVAGGLLRFLNATGAPLFLKTRPSESTDTMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >OGLUM03G19660.2 pep chromosome:ALNU02000000:3:15469521:15474002:1 gene:OGLUM03G19660 transcript:OGLUM03G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDRRWRGTILLLICLVCNASGTYVGANTIPSPENSPSEFAKIVQSKQTKHARVFIGGADHRSLRSLANTGEEVILTVPNDQLEHMAEFPEEAELWVAANVARFLPATRITHVVAGDDVVARSPGNAYFLLPAMANLHAALAASRLDGRVKVSSAVSSAALQAPPAWAAAAVAGGLLRFLNATGAPLFLKTRPSESTDTMVDAAYGAMRALGFPGGAIPVIAAPVETEEFGGGATTVVYHSYLLQASGGVGGGERRSLATSAGMFCVALQNADAAALQAGLNWACGPGQADCAAIQPGGACYKQNNLPALASYAYNDYYQKMASTGATCSFNGTATTTTADPSSGSCVFTGSSMAGGSNTSVPGASPPTTLSPPAGLTPPVGTSPPTDFSPPAAGTTPPAGGFTPPAGGFGTPPSGGFGTPPSGFGPPGSFNGSGSSFGPSSAFSPYGGAGHRDGGASGARLAAAALAVLLLSVDLM >OGLUM03G19670.1 pep chromosome:ALNU02000000:3:15476574:15476852:-1 gene:OGLUM03G19670 transcript:OGLUM03G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQQEAFVVAGLRGSNGEAEVVPHEAKAAGEEAVAKCMVEASSEEATSPEMEGPLGDVIVRLCEVEATEIEGARVLPCVIGGRASLHVWA >OGLUM03G19680.1 pep chromosome:ALNU02000000:3:15480811:15482611:-1 gene:OGLUM03G19680 transcript:OGLUM03G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRPFAAFLWLRCRGRHAAALKRPYRVPLPLPALAAMCLVPSAFLAYVIAVAGWRVSAIAAGLTALGVGWHGVMRVCRSKKWLGFNTVVAAGPHLQLQDDPPAADRVVSQCYMEHVFKYKVNHCQFKSMQGLSLITLYMYKRHVEERSVNTTTEKTFGACWEAAIGVGFPNLHLEGGTIESPQRCRYL >OGLUM03G19690.1 pep chromosome:ALNU02000000:3:15485795:15487831:-1 gene:OGLUM03G19690 transcript:OGLUM03G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIQLNQRPSPQRQQQAQEHGGATAPAPAPATPQDDEQQGHQAAVARHGCGGATAERHHQTKLTLLPLVFLIYFEVAGGPYGAEQAVSAAGPLFALLGFLGFPFAWGVPVSLVTAELAAALPGNGGFVVWADRAFGPLAGSLLGTWKYLSCVINLAAFPALVADYLGRVAPAVAVPGSRARTGTVLGMTVFLSFLNLTGLSIVGWGAVALGFVSLAPFVLMTAMAAPRTRPRRWAARVKGRKRDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAVSYLLPLMAARQGH >OGLUM03G19700.1 pep chromosome:ALNU02000000:3:15520737:15521861:1 gene:OGLUM03G19700 transcript:OGLUM03G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLRVIIRLLPNQLIMQEEVTPCHALHLRKDVVIRQESNVSSAMRWDTIHGIALRKSSQSKFNQQQASLAYPDQKAQSHQTVDLSR >OGLUM03G19710.1 pep chromosome:ALNU02000000:3:15529598:15529867:1 gene:OGLUM03G19710 transcript:OGLUM03G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKLGQSCNSGRSQNGEGGQMGNPPSAKDDAEAAARKMEDDFNAFTVSKADDLAKPLKDAGIPYKIHISAVCPSPSAALPSPTRGGGG >OGLUM03G19720.1 pep chromosome:ALNU02000000:3:15531268:15532260:-1 gene:OGLUM03G19720 transcript:OGLUM03G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIQLNQRPSQREQQQEEHGATAAMPPQDDERQDHQAAAAVHGQGGGGGATAERHHRSKLTLLPLVFLIYFEVAGGPYGAEQAVSAAGPLFALLGFLGFPFAWGVPVSLVTAELAAALPGNGGFVVWADRAFGPLAGSLLGTWKYLSCVINLAAFPALVADYLGRVAPAVAVPGSRARTGTVLGMTVFLSFLNLTGLSIVGWGAVALGFVSLAPFVLMTAMAAPRTRPRRWAARVKGRKRDWRLFFNTLFWNLNYWDSASTMAGEVERPERTFPRALAVAVVLIAVSYLLPLMAAVGATDAPPEAWENGYLADAAGTWLSNSALSMAL >OGLUM03G19730.1 pep chromosome:ALNU02000000:3:15534634:15535734:-1 gene:OGLUM03G19730 transcript:OGLUM03G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLGYMLAKLCLLREMQADADQPAPAATRRPRALPAACLSYATEVHGLWVLRGYAPVLAMPRVTCAASTTAPITALPHEAPEEPALRYGLVHQQLEVVAQLEYAVRARRGERFMTVAVRVDNVRVRVARLGFRRDDADADADGGDAHDDAMDGERHFPSRLRLWVGPRFGASYATGPSLGRSTGNPEQDVETTRTVKGAFAAAGATKLANGGVPPRIKAKMRSSARARNRSWRWEQEAEGSAGVFEGVLCDPATGTEISAWRGDNNNNNGGAGDPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEVTWRVGREAEGRTLPWRVGLKAWLTYLPNQVRSRHFETRCVEWPHEVDLPLAAVNGDER >OGLUM03G19740.1 pep chromosome:ALNU02000000:3:15546966:15549752:-1 gene:OGLUM03G19740 transcript:OGLUM03G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAHRLADASATAPPKNAAAVDGVAVAAPAAGGGHGRAPGNKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLFALLGFLVFPFIWAVPESLVTAELATAMPGNGGFVLWADRAFGPFAGSLMGTWKYVSGAINGAAFPALCADYVARVAPAVSGGGARVAAIVAFNVAISVLNYTGLSIVGWTAVALGVASLSPFALMFGAALPKIRPRRWRATAADKDWKLFFNTLFWNLNYWDSASTMAGEVERPGRTFPRALLSAVAMTTLGYLLPLLAATGAIDAAPEDWGNGFFADAAGMIAGGWLKYWIEVGAVLSTIGLYSATLSSAAFQLLGMADLGLLPRAFALRAPVFDTPWVGILATAAITLAMSFTSFDTIVASANFLYSLGMLLEFAAFVRLRARLPAMPRPYAVPLRGLPAAAALCAVPSAFLVFVMAIAGWKVYAISAVFTAAGVAVYYLMDLCKARGWLTFSAAAADRGGSGGDAMMYRRQGSTASEVV >OGLUM03G19750.1 pep chromosome:ALNU02000000:3:15554707:15557869:-1 gene:OGLUM03G19750 transcript:OGLUM03G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSLHHLLLLLFLAGFLSLSLSPAAALRFDLQSGHTKCISDDIKVGAMAVGKYHVVAPEGGGSTSSSSSSSSSAQPLLPESHRVSLRVTSPYGNSLHYSENVQSGNFAFTASEAGDYLACFWAPDHRPTATVGFEFDWRSGVSARDWTNVAKKGQVDMMEVELKKLEDTINSIHEEMFYLRAREEEMQELNRRTNSRMAWLGFFSLAICLSVAGLQLWHLKNFFERKKLL >OGLUM03G19760.1 pep chromosome:ALNU02000000:3:15561535:15564502:-1 gene:OGLUM03G19760 transcript:OGLUM03G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALEKAESTVFVASGMYASVAMLSALVPAGGHVVTTTDCYRKTRIYMETELPKRGIMMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDIDLVSKMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCISGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKISRVYYPGLPSHPEHHIAKSQMTGFGGVVSFEVAGDFDATRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQREIYGIKDNLIRFSIGVEDFEDLKNDVVQALDKI >OGLUM03G19770.1 pep chromosome:ALNU02000000:3:15577304:15579032:-1 gene:OGLUM03G19770 transcript:OGLUM03G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTALSPHLLPLPSTSSNPASSSLSFLSKPLLPALAVAGWPRRRTSPFVPVAVAVSEEVETEEDEEEEEEGSGGEEFSDDLRVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEAAVEQFNGYILDGRSLRVNSGPPPPREQSSRRAPRGEANRVYVGNLSWGVDNAALANLFSGEGEVLEAKVIYDRESGRSRGFGFVTYGSAEEVENAVSNLDGADMDGRQIRVTVAESKPPRRQY >OGLUM03G19780.1 pep chromosome:ALNU02000000:3:15583916:15586845:-1 gene:OGLUM03G19780 transcript:OGLUM03G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPGHRNSHGKRHSDYAENGGGKRRNPGDDTYAPGPDDTVYRYLCPSRKIGSIIGRGGEIAKQMRADTQAKIRIGESVSGCDERVITIFSSSRETNTLVDAEDKVCPAQDALFRVHEKLSIDDDIGNEESDEGLAQVTIRLLVPSDQIGCIIGKGGHIIQGIRSDTGAHIRVLSNENLPACAISGDELLQISGDSTVVRKALLQVSSRLHDNPSRSQHLLASSMTQPYPVGSHLGSSSTAPVVGITPLISSYGGYKGDVAGDWPSIYQPRREESSAKEFSLRLLCAASNVGGVIGKGGGIIKQIRQESGAFIKVDSSNTEDDCIITVSAKEFFEDPVSPTINAAVHLQPRCSEKTDPESAIPSYTTRLLVSTSRIGCLIGKGGSIITEIRRTSRANIRILSKENVPKVAAEDEEMVQISGDLDVVRHALLQITTRLKANFFEREGALSGFPPVIPYHPLPVGVSEGPKYLGRDTKPLGHDYPYSSGYRGSDDIGPIDSYASYGSSQVSGGGYGAYGGYSGRSGSSG >OGLUM03G19790.1 pep chromosome:ALNU02000000:3:15589259:15594584:1 gene:OGLUM03G19790 transcript:OGLUM03G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGQQFRYTQTPSKVLHLRNLPWECAEEELVELCNPFGRIVNTKCGVGANRNQAFVEFTDINQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIVNNKSPGETAGNVLLVTIEGVQANDVTIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAATASAAREALDGRSIPRDYTNPYLPVNSSAIDTLQPAVGADGRKVEAEGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTASVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHSDKSRDYTIPQGAMQAVPQPPGVPTTSAGWQGNPQAGGAYAPPGAAAPNHGTTGQVPNWNPGNSGYAPAPGAYPGQMYSSPMQYGASGGFSAPAAPPQELHTSQQMPPPQYGNQPGPAGAPGTGQPHPYYR >OGLUM03G19800.1 pep chromosome:ALNU02000000:3:15595512:15602691:1 gene:OGLUM03G19800 transcript:OGLUM03G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKFAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPVGYFLFMSFYYLSYAFLNDASIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRILSSSSRYQQTSNEKLPQDISDQALLENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >OGLUM03G19800.2 pep chromosome:ALNU02000000:3:15595512:15602691:1 gene:OGLUM03G19800 transcript:OGLUM03G19800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPPPPPPPPSPSSGDPIEAARHAAAAALAVPARLWGSLLARLPSLSDRRPRRRRRPALPLPFRTAAAHSARATGETPKAFGILEDIVQHTLCSLHGIQKSLLFWQSKAEGTNSQKMYFMIFERGPRAFVKATYQTLTRLRSNESPTQYILHSASDMVSTKFAVLTNMQHCLAAFLAEVYCEVDKFKEGLTENSDKSLHTLFAVLNTVFSKLEVSLQNVCEGHTLLFALDGSPSELLFERLPEIDYDNSEWTEASSTDAICLIYQNLQKLDNLVCSQLSRHKKPRHMTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWVQSAKESIAGFWDVHVEKPIISIRGELFETFKNRGKHVMDTQEVQLNEEVLRRMMLEFCQQTSNEKLPQDISDQALLENFMERYEKEWTHPVKNLFGGELAYAMLIQLQKRTVDIKQAMLELDQILKGNAINFAILAALPAFGVSLLLLTVVQAWVMNDQGAEGRGRIARRQRRLLLLDAERKLMEFKNCMINGMEEEACCKFGLTLYNLDRLYRAVESHAEETGEWSRLREDILDLAKPKMSMTDKLVVLSRLKGTYDCFP >OGLUM03G19810.1 pep chromosome:ALNU02000000:3:15614546:15615871:1 gene:OGLUM03G19810 transcript:OGLUM03G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSLPILLVLLSLSSSLSSSSAAAAGRWTDAHATFYGGADASGTMGGACGYGNTYGQGYGTDTAALSAAMFGDGLSCGACFELRCGGGGGGDRRGCLPPAAGKSIVVTATDLCPANHALPGDRGGWCNPPLHHFDLSQPAFLRIARFQSGIVPVSYRRVACRRKGGMRFTINGHPYFNLVLVSNVGGAGDVHAVAVKAGGGKKARWQAMARNWGQNWQSGALLDGQALSFMVTTSDRRSVVSYNVAPAGWAFGQTFTGRQFT >OGLUM03G19820.1 pep chromosome:ALNU02000000:3:15636502:15645397:1 gene:OGLUM03G19820 transcript:OGLUM03G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPPRSPSSGDPAEAADLAASAAAALASPARVWSSLLARLPSLSDYSRLLSVGRGRGRRRRRAALPLPIRPAAAHSARIAGQMPKAFDILQDVVQHTLSNLHDIQKSLIFWQSKAEGTSSQKLYFMIFERGPRAFVEAAWQTLTRLKSNGSPVPHLLHSASDMVSTKLAVLTSMQHCLAAFLAEVYFEVDKCRKGLTESSDKSLHTLFIVLNSVFSKLEVSFRNAVEGQTLLCTHVGKSPELIFERLPEVDVESSEWTEVLSANAITLIYQNLQKFDDFISDQFSNHKRARNTTIYWLPYTCGALGLSACSLWLLRHSSLMGSSDIDNWIQDAKESMVGFWDVHVGQPKSSILLSSIMTSFFSLRIIRSFPSGMNFLRHSNKDLNVKWKNKRSNKLKNHCAGFASCAFFIYLRMLLDFCGNTSNEKPPQDMSELAMMEIVMKRFFPFYLYLGCTQFKLTCIFSNILILKIQIEKHKLALLEAMLELDQILRANEINFAILAALPAFGVSLLLLFAVRAWATHGRGAEGRGRTARRQRRLLLADVEKRLMEFQNCMANGMEEEACCKFGLTLYTLDRLYKAVESHARETGEWSSLREDMFDLAKPGVGMEDKLVLLSRLKGMYDCLLPSPSGVLPRL >OGLUM03G19830.1 pep chromosome:ALNU02000000:3:15646212:15646829:-1 gene:OGLUM03G19830 transcript:OGLUM03G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIVTPVTDRDSGRTVAGVPPVLVGASVSSAPELRGRAYLVHHVFYEDGSASADGGGGVAPASRASIAALREVEEEDDDGEEASDCAICLDDGEESRETCGSGRRRKEMPCGHRFHGECVERWLGIHGSCPLCRHEMPPATAAEAEEEEVVVAMVHGERVVMRGRRVVLSVLVMGRAHDDGEGPEQRGTDPIPPLPRVLIDDLD >OGLUM03G19840.1 pep chromosome:ALNU02000000:3:15647004:15651095:1 gene:OGLUM03G19840 transcript:OGLUM03G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGSSSDKAVAIDWDLGSTCALRLLAATQGNAPDWQEELWDHDRHRDDNIVENKGNSRGNNSPHQEEAIQWHRKAAATPQVIAGDPLGSSRCSHCYCYHYYLYTDKNREKRINGFKQQSVT >OGLUM03G19850.1 pep chromosome:ALNU02000000:3:15647587:15672673:-1 gene:OGLUM03G19850 transcript:OGLUM03G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLLFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >OGLUM03G19850.2 pep chromosome:ALNU02000000:3:15647587:15672673:-1 gene:OGLUM03G19850 transcript:OGLUM03G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >OGLUM03G19850.3 pep chromosome:ALNU02000000:3:15647587:15672673:-1 gene:OGLUM03G19850 transcript:OGLUM03G19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGEAAGAVLFLLAAAVSLLAAVSTGALDFTYLVTVVGEGSSTSPGSGGGAWWREAWVGARSRAVAPALQVGVWACMVMSVMLVVEATYNSAVSVAARLVGWRPERRFKWEPLGGGAGAGAGDEEKGEAAAAAYPMVMVQIPMYNELEVYKLSIGAVCGLKWPKERLIIQVLDDSTDAFIKNLVELECEDWASKGLNIKYATRSGRKGFKAGALKKGMEWDYAKQCEYVAIFDADFQPEPDFLLRTVPFLMHNQNVALVQARWVFVNDRVSLLTRIQKTFLDYHFKAEQEAGSATFAFFSFNGAHLDCSCFISQKEHGDSLLNTGTLLGTAGVWRTEAINDAGGWKDRTTVEDMDLAVRATLKGWKFIYLGDLRVKSELPSTYKAYCRQQFRWSCGGANLFRKMIWDVLVAKKVSSLKKIYILYSFFLVRRVVAPAVAFILYNVIIPVSVMIPELFLPIWGVAYIPTALLIVTAIRNPENLHTVPLWILFESVMSMHRLRAAVAGLLQLQEFNQWIVTKKVGNNAFDENNETPLLQKSRKRVNLPEIGLSVFLIFCASYNLVFHGKNSFYINLYLQGLAFFLLGLNCVGTLPDHCCF >OGLUM03G19860.1 pep chromosome:ALNU02000000:3:15676069:15678055:1 gene:OGLUM03G19860 transcript:OGLUM03G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSKSAIEVGGGRSILLRPLQSSLLLPYARQRRQIHSPEAATVVVAAPICPATADPCAKYGEKRWKGEVTGRSASPVATDGSTYLESTVIVVVPLSRCFAPPHRRRGIMLTRLERQERGCQPRKRQGVSRRQRSGRAMARRGDAGGSARGCRWREGEGSVDGSGAECGYRSRSREGGGAECRRVIDREVRRRRSASARGGTEGGLRVSFGESKT >OGLUM03G19870.1 pep chromosome:ALNU02000000:3:15676683:15678126:-1 gene:OGLUM03G19870 transcript:OGLUM03G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLGLPPHRSLPYTDLTNRCVRSTDDVPEGDDTTEQGGVSDGLQGRPSSLKEPIQTRRPARQAKANPRYYGPDWAIKTQNGQLGGDGGGGSILLDWSISRGFHVHAY >OGLUM03G19880.1 pep chromosome:ALNU02000000:3:15678990:15680340:1 gene:OGLUM03G19880 transcript:OGLUM03G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGSGGGGGGIAGKKRKAVGGGGGGGGGGGSGYGERAAAAVVMRREPRRGLGVAELERIRVQLEAAQSLFMIPPSLLPSSSSSSSAAAVVATQLRPPPPPPPLPSHVAGVRYGHHQQQQQQYAITCIKQQQQQQQQPSLFPTSDQSGCFRQELYRMQLQDYRRRRAQPQMELHGETTAAARRSQSIIPFVNLVDDDDDDEAAAGDGAGKELDLELRL >OGLUM03G19890.1 pep chromosome:ALNU02000000:3:15680743:15684694:1 gene:OGLUM03G19890 transcript:OGLUM03G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLTKPPSPRPRSRRCRLCGICLGTALLALLVSSLAHLFSPPQPQPQLQPPRPSPSSSPPRFAVIIDGGSTGSRAHVFATGPGGRPDLARSAVMRVTPGLSSFADEPARAGDSLRPLIDFAREKVGGAAAEVRLMATAGLRLQEGLVQEAILSSCRDVLRASGFRFEDSWAEVIPGSDEGIYAWVAANYALGTLGGDPHKTIGIIELGGASAQLTFVSDEVLPPELSRNFTFGGTTYTLYSNSFLNFGQNAAQESFREILRSKDSKNGTLVDPCAPKGYSRIKEVISRPSSASKSKLENQFADSGDGDFTFFGLKQSSSLSDFVLAGEQFCNKDLSTLRQMYPNRSDDDFSRYCFSSAYIVALLHDSLGVPLDDKRQEYHGTSIFC >OGLUM03G19900.1 pep chromosome:ALNU02000000:3:15689121:15695913:1 gene:OGLUM03G19900 transcript:OGLUM03G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring acyl groups [Source:Projected from Arabidopsis thaliana (AT4G17910) TAIR;Acc:AT4G17910] MDDEPINAHKLLKEQFVSNLTGSSLLEIAALSTVVPAVVVLRKWSCGDNTRKNSLKKNDDALPGHKGFVHYFSTLAIDYLSIVLPVLLIFTVLAEWACACALSLVMLISILTMFKRSRSHLKAGPNELPLLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGCGIMDLGVGSFVVANALVSRQARNFTAMTWNKALMSISPLIFLGFARLISTSGVDYQVHVGEYGVHWNFFFTLAAISILTSVIRIHPKYCGLAGLLVLTGFQTWLSFGLNEYLISNERNGGIISQNKEGVYSIFGYWGMYLIGVSLGYNLFFDNSSKGKSRSSQVVKVWVLAASFWIMAIILDSYVERVSRRMVISVLTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGVVNLSVDTLSASSGTAFMILLVYSFTLCMIAALAQFCGIRMKFW >OGLUM03G19910.1 pep chromosome:ALNU02000000:3:15710067:15710990:1 gene:OGLUM03G19910 transcript:OGLUM03G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKEGVKKGPWTPEEDMVLASYVQEHGPGNWRAVPPRTGLLRCSKSCRLRWTNYLRPGIRRGGFSHHEERLILHLQALLGNRWAAIASYLPHRTDNDVKNFWNTHLKKKLALTSSSSSPPTPTTPLVARGQWERKLQTDIDLARRALRDALSVDDAASPAMISSGPPAPAAAAAYALSERNISVMLSGWAAPPPARKGLSACNPAAATTTPGGAAAESASTAGTSSELTADCCSGGGDSSASNCLPSSMLLACDDCDATATAAGVAPLSAIESWLLLDDSGEPQLALDEQLLDVALRNYAF >OGLUM03G19920.1 pep chromosome:ALNU02000000:3:15724467:15724742:-1 gene:OGLUM03G19920 transcript:OGLUM03G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHTSRLDLFLVCTVVVVVVALHHATALHGLTRAELVLAPAPAMAPAPAPPANNVVGVDAAKERFAATTAAAQTSKWRVRRGSDPIHNRS >OGLUM03G19930.1 pep chromosome:ALNU02000000:3:15728873:15733369:1 gene:OGLUM03G19930 transcript:OGLUM03G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSTESRTRTTVSVVVLFGLCSFFYLLGVWQRSGFGRGDSIAAVVNEQTKCVVLPNLNFETHHSASDLPNDTGSTEVKTFEPCDAQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPEKEKLYCLVPAPKGYAAPFHWPKSRDYVHYANIPHKSLTVEKAIQNWVHYEGKVFRFPGGGTQFPQGADKYIDHLASVIPIANGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSMKLSFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWQRTKDDLQSEQRRIEQVAELLCWNKISEKDGIAIWRKRINDKSCPMKQENPKVDKCELAYDNDVWYKKMEVCVTPLPDVKTMTEVAGGQLEPFPQRLNAVPPRITHGFVPGFSVQSYQDDNKLWQKHINAYKKINNLLDTGRYRNIMDMNSGLGSFAAALESTKLWVMNVVPTIADTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHANAVFSLYENKCKFEDILLEMDRILRPEGAVIIRDKVDVLVKVEKIANAMRWQTRLTDHEGGPHVPEKILFAVKQYWVVESKSS >OGLUM03G19940.1 pep chromosome:ALNU02000000:3:15735576:15738066:1 gene:OGLUM03G19940 transcript:OGLUM03G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTERGDVATAIRPAAADKLVHGPISDKKCRKKVPRKVHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKACILTDTTRILRDLLSQVKYLRQENNTLQNESNYVTMERNELQDENGALRSEISDLQNELRMRATGSPGWGHGTTGSPLPVPPSPGTVFPSQQPMQPSPMTTSTVFPLQQPLPQPTVIEPSSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >OGLUM03G19940.2 pep chromosome:ALNU02000000:3:15735999:15738066:1 gene:OGLUM03G19940 transcript:OGLUM03G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTERGDVATAIRPAAADKLVHGPISDKKCRKKVPRKVHKSEREKLKRGHLNDLFGELGNMLEADRQSNGKACILTDTTRILRDLLSQVKYLRQENNTLQNESNYVTMERNELQDENGALRSEISDLQNELRMRATGSPGWGHGTTGSPLPVPPSPGTVFPSQQPMQPSPMTTSTVFPLQQPLPQPTVIEPSSARQPLELKLFLEAPPAEDPEPSEDQEAPNNVARPQPRYPTEASSWPISLGLPRMEDEQM >OGLUM03G19950.1 pep chromosome:ALNU02000000:3:15738297:15740335:-1 gene:OGLUM03G19950 transcript:OGLUM03G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mucin-related [Source:Projected from Arabidopsis thaliana (AT2G02880) TAIR;Acc:AT2G02880] MHSLRRALRVPTSASGLRRLSSNRRAPPPSRAAAATATTGDDEWNDAWETSWLPGDSPTSSPAPAAPWESPTSGAATVPAISAEVDPDTKAFVADMDERWAERRAASRRPRPAPRAEGAGGAAAKKAQADEYRTRKQRVHAALWVKEIEKMEEARLGGGGGGADDIDRLLDSCSEIFDSGNTDFGDPKIPSTAEIKTKPDGWETTSRGQDGSIWDISQREDDILLQEFERRIAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQPYREEPPAIASGSPFRGNRP >OGLUM03G19960.1 pep chromosome:ALNU02000000:3:15741019:15741299:1 gene:OGLUM03G19960 transcript:OGLUM03G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSTDHAPVTRFAYLDSTTILPKGLASEGIYPTIYLRFKPQFANLCYKEIQDIITILRFDGSSNQYRLIVARA >OGLUM03G19970.1 pep chromosome:ALNU02000000:3:15741769:15743743:1 gene:OGLUM03G19970 transcript:OGLUM03G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKERLDAELKLVGEYGLRCKRELRRVQYALSRSRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLVLTVENFLQHRLQTIVFKNGMAKSIHHARSGKAACQHPLFMVRLDSEKHIVFSLTSPLGGGEPGRVKRKNQKKASGGGGDGDEEEE >OGLUM03G19980.1 pep chromosome:ALNU02000000:3:15752031:15752691:1 gene:OGLUM03G19980 transcript:OGLUM03G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIIGPLVWRLQEMAVGQARALVSVNDDIVRLRDRLMWLQAFLREADAKRRAVSDEVNKVWLLQTRDAMFHP >OGLUM03G19990.1 pep chromosome:ALNU02000000:3:15756632:15764137:1 gene:OGLUM03G19990 transcript:OGLUM03G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPQWFPRWAQPSMRYVVTFTTQVQMRRILSKKIMAINTRLEEIIQNKDRYKMDDVNKGIEVTWKASTSISESNSELDDLQQGNLTLYEEHQEELEKALTPTDQELQKNDNRPIVVSVSGKSGVGKTTLVRNVYNIMKKKNCFDVHAMESFAPHLTAPNILHQIVQQLTEDNKNCPRSMVHEMLATALRDKKYLLVIDGEVSRTEWKNIITMLTTLAVGSTGNRIVHIRFDRPEQSSLYYHHHIRLEPLENNVVMKLFHKRLRNQDKQGDAGGPMVLKLKKLLQFDAQYQKLEEYREDICKITEGLPLAVVLLSGLVQTKEFPHEWTEVFKYLSSKKSKRLDNLLSLCFDDLPHELKCCYLYFAAFPPNVVVEARNLVCMWMAEGFLTPRVGKTLEKVGYIFLNELISRNLVNLVLVDDNSTTGTMFVSIQNKVHEFLQSEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAALANPLPKLRSIFSQFEQEPKEQEPKGDQTRQCCTPPQQWVTNKKQKDIRSHIKGLLQGSEFLRVIDLQGIEIGDELPHAIGSVVHLQYLGITSCSLTVIPPSIGSLSGLQTLDVRETNVRKLPLNFWLMIKTLRHVFGFTLKLPKQIGNMKHMQTLDSIELDNCEKDLIGTVGKMVHLENLFVWNITTGNMEALSAALSKLENLRNLALHGHIIPSTVFITISLRRLKSMKLQGKLKFLYEITGMDVCLPNLSMLSLEKTKVSQGFISKLAELPSLETLALYSESYKDEHLLFSSIGFVSLKKIKLDVPTTLKTIEIERGALHILKEFDILSQRPHVKIIAERRIKKLIV >OGLUM03G20000.1 pep chromosome:ALNU02000000:3:15770625:15770939:1 gene:OGLUM03G20000 transcript:OGLUM03G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISTSLPGGTTGGVAPASKEAIEALRDVVVDQLAPAAECAICLHGQDAATAAAGRWKEMPCGHRFHGVCLVKWLRVHGTCPMCRHQMPAEEAAAAAAAEGRRS >OGLUM03G20010.1 pep chromosome:ALNU02000000:3:15771707:15773498:-1 gene:OGLUM03G20010 transcript:OGLUM03G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACATVSPPPGRGVIIGAYAHPATMRFHLLHAAGEAACLVDPGLYVATAFRLRRVGDGAWREVPLPQLEDADARLKMHGARSIRLHGNLHWLVQRGSVSAGKLQVLVFEPARERFRLMDAPPRRRGEEEDLARSRICVLSSGKLCAVAVARATSTMEMWVLDDYHHCSDDARISGWRLMERNIIMRYLVIKI >OGLUM03G20020.1 pep chromosome:ALNU02000000:3:15772658:15774473:1 gene:OGLUM03G20020 transcript:OGLUM03G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGVSKRPRRLAEAHVVVYDAVPVRQQRHIQYRTTRAGDAAHPQPRSAPEAPRICVFQLRQGNLAPGAVSDSPEPEGGRDVQAGVHEARGLAGGVQEVEAHGGRVGVGADDDAAAGRGRDGGARHGERMPQHVAPAPASVVGSAEQAPAEAPERRSAALDAEHAVPGAEYHGRRRGVGRGDQREVERRRRRRRLADVAAAPSAAELVEEHDLTEPLLEGPVAVLSEAKRVVGLGDAGGALGVGAAEARVGDEGAPALAHGEAAGGAARRQAEQDVPEQVVRQTLHGGGGFVVRTRASPTNAAEAQPPVLRRERQPAADVRRHHGALGHVGSGHAAAHPDDDDHQRERRRPVRRVVCRARAQPHDKEAGDDQTDDDEDIDGDDGGAEEEAAGSINDDIDR >OGLUM03G20030.1 pep chromosome:ALNU02000000:3:15773550:15774041:-1 gene:OGLUM03G20030 transcript:OGLUM03G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNRRSTRHAHVEMITAAAVQRLPDDLLRDILLRLPPRSATRCLAVCKGWRSLVSDPSFRRAHAERPAGVAEADHTFCLRQDGDWTFQQRLREVVWCRGDVRKAPPPPPPLDLTLIPSPYAPAATMVLGSWDGVLCVERGAPPLRSLRWRLFG >OGLUM03G20040.1 pep chromosome:ALNU02000000:3:15774901:15776978:1 gene:OGLUM03G20040 transcript:OGLUM03G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRHCSPMLEASKAETKKSPTRTPPLDHLFQTNNHSTGRTKNKNFLVVSSSLENPYSAKPSQKTLVVQLDFFAYARQNLVPTTVNSQVGTADWWSSISYAGSKDQKLKIRGALLTTWWNVWLERNRRIFHNNSLLERDVAYLVKQDIDFRSWLLKPSQSRDFDWCRNYKSLLQMDGGTDHSDDAEEVLDDGPGVEMDGGRCHYTWTERRICPGFDAR >OGLUM03G20050.1 pep chromosome:ALNU02000000:3:15778691:15779269:1 gene:OGLUM03G20050 transcript:OGLUM03G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEDDDHRLHPRTRTIADLLNQLDQIHSTSATRTTGGGGGVAPASDEAIEALKDVDAAAITDTGDDVGNQPPPPECAICLHHGAAPAGWKEMPCGHRFHGGCLEKWLRAHGTCPMCRHQMPTTTAPPPPAAEQEDYLDVDEEDDAGDEEEAGVGPPPLELRVVVAHSGGGYGGVAASASTTRRLLAWSTTF >OGLUM03G20060.1 pep chromosome:ALNU02000000:3:15819783:15822716:1 gene:OGLUM03G20060 transcript:OGLUM03G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAVYNWVSWLKDAMYDADDIIDLASFEGSKLSNGHSSSPGETTACSALSPLSCFSNFLVRHKIGDKIRSLNRKLEEIAKDRIFATLENTQPADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEEKAYKLAIVGTGGIGKTTLAQKVFNDHKLKGTFNKHAWICVSQDYTPVSVLKQLLRTMEVQHAQEESVGELQSKLESAIKDKSFFLVLDDLWHSDVWTNLLRTPLHAATSGIILITTRRDTIAREIGVEDLHRVDLMSPAVGWELLWKSMNIQDKKQVQNLRDIGIEIVQKCGGLPLAIKVTARVLASKDKAENEWKRILAKNVWSMAKLPKEISGALYLSYDDLPHHLKQCFLYCIVYPEDSTIQRDDIIRMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVCTSFDQSRCKMHDLLRQLACYLSREECYIGDPEPLVDNTICKLRRMLVVTEKDTVVIPCTGKEEIKLRTFTTDQQPVRIDSTFFMRLKYLRVLDLSDSLVQPIPNYVGNLIHIRLLDLDGTNISCLPESIGSLQNLQILNLMRCRYLHSLPSATTQLCNLRRLGLDGTPINQVPKGIGILKFLNDLEGFPIGGGGDNAKMQDGWNLEELADLSLLRRLDMIKLERGTPCSSTDPFLLTEKKHLKVLNLWCTEQTDEAYSEENARNVEKIFEKLTPSHNLEDLVIGNFFGYRFPTWLGTAHLSSVKYLKLIDCKSCVHLPPIGQLPNLKYLKINGASAITKIGPEFVGCWEGNLRSTEATAFPKLELLIFEKMPNWEEWSFVEEEEEVQEEETAAAAKEGGEDGTAASKPKGEEALSLTPRTSWLLPCLTRLELDDCPKLRTLPPQLGQQATNLKELHIREAECLKAVEDLPFLSGRLSVGQCEGLERVSNLPQVRELFVVGCPNLRHVEELGGLEQLWLDEDMQEISQLWVPRLQEQHRQLHGDEHELEVIEWL >OGLUM03G20070.1 pep chromosome:ALNU02000000:3:15824552:15827243:1 gene:OGLUM03G20070 transcript:OGLUM03G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTYSATSSTTIPSSSAAAAAAAPTCASSRAAIVEAPRDDVDDGQEQDNCAICLDRDDTAAAEWKETPSGHRFHGGCLDKWLEAAHATCPMSTAVVVQPVTPVLLRTLCNGLKPLGYIKISEATREASAPNLAPPMYSTGGHLHPFPRQATAVKAARGWSDLATQGPNLAYLQRGAGVSPAGEAGSPIALVATAGCGGEGTEMDDRIWPHKV >OGLUM03G20080.1 pep chromosome:ALNU02000000:3:15830031:15831200:1 gene:OGLUM03G20080 transcript:OGLUM03G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGAGRDGILAFAHQLARQAAFLLQASNDLLASLLQSTSRGGGGADILSFANQLARQAGAFLQDSTDILASLLQSTSRGAAAGATAASDEAIQALKDVGGGDVDGGGQKLDCAICLDHDDPSASAAAGWKEMPCGHRFHGGCLEKWLRMHGTCPMCRHQMPAAEVVEGAASEVTTSEPLLLIARVRRSGDGANEEEHYHYYLYEIRHH >OGLUM03G20090.1 pep chromosome:ALNU02000000:3:15833690:15835247:-1 gene:OGLUM03G20090 transcript:OGLUM03G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z851] MAATSARSVAWQWLAVAAAVAAASLIGGTPAEARRGGKESIGFYELRRGEFSVVVTNWGATILTVNLPDKNGHIDDVVLGYKDIGSYVNDTTYFGALVGRVANRIAGGRFTVKGHAFHTYKNDGNNTLHGGHRGFNQVFWSVRERATGHFPYITFYYRSYDGEQGFPGALDVLVTYKIDGDYSFSVTMYARPVDDGKPTPVNLAQHTYWNLRGHGNGTILDHSVQIFASAVTPVGAGLIPTGAVSPVSGTPFDFRAPAPPGARIADVPGGGYDINYVLDGEADGQGVRKAAVVSEPTSGRVLELWSDQPGLQFYTGNFLKGDEGKGGARYVKHGGLCLETQDYPDAVHNAKFPTEIYRKGQEYKHYMLYKFSLAKK >OGLUM03G20100.1 pep chromosome:ALNU02000000:3:15839511:15846191:1 gene:OGLUM03G20100 transcript:OGLUM03G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G34150) TAIR;Acc:AT1G34150] MAAAAAGGDGEAAVMRAEVAALRLRVQELERENQRLGKIASRCTCGSSKDDSAASSPVVSESLSSSKQEPQKNVKSHCRGCQVGVVSHCPKRLIALKIMYFGQRFYGFASEAHTEPTVESEIFKALERAKLLVGSRKESCYSRCGRTDKGVSATGQVISLFLRSNIKDAKLDVLDNKSGDFLARFSCLGREYKYLFWKGDLNISEMQKAALKFIGEHDYRNFCKMDAANVSNYRRHITDFNISAYDQRSNYDELWAITIKGSAFLWHQVRCMVAVLFLIGQGLESPCIVDSLLDITKTPRKPQYKMASEIPLILRSCLFDEVNFMCSSEASQALIEHLKDEYHQYMLQAAIFCEALSCLCSPEPDPFEPRHKKRNHIPLMSRQTEPSYEERIAKVKTKSAGSV >OGLUM03G20110.1 pep chromosome:ALNU02000000:3:15847908:15851390:1 gene:OGLUM03G20110 transcript:OGLUM03G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT1G71790) TAIR;Acc:AT1G71790] MEAAMDLMRRMPPGRAETALNALLSLIPDHSLDLLSQVDLPLQVCMDKESVKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEVEANDVFSVYRDQYYEGGISSVYIWEDEDESFIACFLIKKDGEGKRGHMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNRRLPDS >OGLUM03G20120.1 pep chromosome:ALNU02000000:3:15851807:15854529:-1 gene:OGLUM03G20120 transcript:OGLUM03G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAFRKPLTQVSPRGRIYGFALHLLPPPRGAASYTLPPPPPASSPRSSKKNPNPSTPNRHAGREERTTSHRARPNRRSCFPEEKNMSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDDDEDDTADSANKVNLERKTGTTCFMLQMMKTFKAEGSGEQEAAGEAKP >OGLUM03G20120.2 pep chromosome:ALNU02000000:3:15851807:15854529:-1 gene:OGLUM03G20120 transcript:OGLUM03G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAFRKPLTQVSPRGRIYGFALHLLPPPRGAASYTLPPPPPASSPRSSKKNPNPSTPNRHAGREERTTSHRARPNRRSCFPEEKNMSRHPSTKWAQRSDKVFLTIELPDARDVKLNLKPEGQFIFSAKGPADDTPYELDLELFDAVNVEESKAAVAARSICYLIKKAESKWWPRLLKKEGKPPVFLKVDWDKWQDEDDEDIGLGDFGDMDFSKLGMGGPDDDLEDDDEDDTADSANKEGSGEQEAAGEAKP >OGLUM03G20130.1 pep chromosome:ALNU02000000:3:15854861:15855308:1 gene:OGLUM03G20130 transcript:OGLUM03G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRWWGVGTGGGYAPMAVCWLWGVRAMSKGGDPLLKTMSKFFWMCSDTALNIASSLEALSRRSAISWMVKGVTPEVLGVWVQLVRVGARQCGCGSFLGLTVTDHVQR >OGLUM03G20140.1 pep chromosome:ALNU02000000:3:15857416:15860059:1 gene:OGLUM03G20140 transcript:OGLUM03G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSSVTPGNDSGSGRRGRGSGSGSGSGRRSRGSDRSGDGSEDSSSRTRRSRLSAQSTGTRLMPAFDDAAGDGDGRILGSSSSSPSGGLDLGLEEFRRVQHEASRNPNLQRLLFHSSPVRQPTQDDEVIVMDGVLVDTTSGSGASGRYGLNRQFFDGKGDPRVVRPIKRTSYEMEPQIRRPAQGPGFYMQRPPTPPPTPRGFPPPLPPPGAGAPRGGSATPAMIAGHPGAFYPFPPPSLPGVGPPRGGGAIPGLPAGFPFLLRPPPPLPVPGVICRPPPSPPYFAPPPRATPTVSLAGPPPGFNPKRGLIRRGEAITLPESERPTPPPPPPPPPLPPTPVAQHKRTEFSWPPKTTAPAVTLLTRAPPLSSAPKQHPESEAPPPAPSSAPSPRKEFAWPLTDEEEELIINVLYGPTNRRRLPVFRRICPD >OGLUM03G20150.1 pep chromosome:ALNU02000000:3:15860467:15861162:-1 gene:OGLUM03G20150 transcript:OGLUM03G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVGDSTAAANGGGGAAASAAPPEAAGKGSATISVTVVLLVLLVASVAAFLMSPQPGGGKPPVEGVSGGGDSDGTRGGGGGLEGVKGAEPVEQAVGPGAAAIPGFNSRLDAFRAWAKLTWMKLRRPHSGEPRRYDDDAGSSGSAADAAKRSLEMTKETVEQAAASAARAAGDAVGKASDKVKGAASPAKRAPSDAEL >OGLUM03G20160.1 pep chromosome:ALNU02000000:3:15864388:15868228:-1 gene:OGLUM03G20160 transcript:OGLUM03G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEFGPTKEKDGGKKRAHWLGEGSPVHERRQQRWEVVNEGAPVFRGGGGEEEGGEGDCELELASQPLESGGSSGQWAVGEDGVAAICGGRGGEDLVPQQLRLAGAPTVLRDAGSGLLCGPSCPHKNGKGRAEGGRMQISQLPLCLSRLPLPPPRHLLFAEAIVIGKTLMRMVASCWLYAWLTSSYWKLDAELPI >OGLUM03G20170.1 pep chromosome:ALNU02000000:3:15875065:15876100:1 gene:OGLUM03G20170 transcript:OGLUM03G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAMRAKDLNEMFPVAEAEAALPANPRGFMNLLCKQLNHLGNARFDPALFRVDAYGNVLYLHADSTSPLVWDIDHWFHVPVRNT >OGLUM03G20180.1 pep chromosome:ALNU02000000:3:15875646:15882716:-1 gene:OGLUM03G20180 transcript:OGLUM03G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGALLSLNPLFVSSLSNLRREPATATRRGGGVSAGEGGVSAGNGEAAGSAVATARRGVSGNSGEAAGSVAATARRGVGGENXVSGNSGEAAGSVAATARRGVGGENDEARGRRRRCSRAAASTPSVAPLPSLPDPAKGEERKERWRRLPLEVAAGSGGGGAPHPRRRRRPYASRGEGEDWSKTGRAAAARSGGEEEGVAVENEMSRSESDNLVVVSCSDIGGGVGDDDDEDAEHGEQ >OGLUM03G20190.1 pep chromosome:ALNU02000000:3:15881904:15892916:1 gene:OGLUM03G20190 transcript:OGLUM03G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGFLLRVIVRSGIGEETYAPRNVLDGREGEPTHGDSLGEMEDFFGDSIAELFARTGFGPRDVDVLVVNASMFSPDPSLASMIVHRYGMREDVAAYSLAGMGCSAGLISLDLARNTLATRPRALALVVSTESIAPNWYTGTDKSMMLANCLFRCGGVAVLVTNDPVLRGRSKMELGCLVRANIAANDDAHACALQREDDDGTVGISLSKALPKAAVRAFAANLRRLAPRILPITELARFAAQLLITKKLLRRRATATKHTGGDGPRINFKTGVDHFCLHPGGTAVIEAVKRSLGLDDDDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVTGDMADKGAWADCIDAYPPENTANPYMEKYSWINDVDGDSLII >OGLUM03G20200.1 pep chromosome:ALNU02000000:3:15920125:15935198:-1 gene:OGLUM03G20200 transcript:OGLUM03G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z863] MELTPLLAVVLFLPPALAVAHMSWTAASRRRGMRCYLLDYVCYKPADDLTLTTELACAIVQRNERLGIPEFRFLVRLISRTGLGDRTYAPRNLLDGREELAAQRDAIDEMDACFDGAVPELLARTGLRARDVDVLVVNVNGFFPEPCLASRVVRRYGMREDVAAYNLSGMGCSGTLVAVDVARNAMRARSPRPVVALVVSTESLAPHWYAGKERTMMLAQCLFRCGGAAVLLSSDPAHRGRAKMELRRLVRSTTAASDDAYSCIMQREDDDGFLGASISKALPKAALRAFAANLQRLLPRVLPAMEIARIAANLAWQNLLQRRRHRGKAKLKINLKTGVDHICLHAGGVAVIDAVKKSFGLDERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGNMADKGAPQWSSPIPEAFVPINVIV >OGLUM03G20210.1 pep chromosome:ALNU02000000:3:15949901:15950827:1 gene:OGLUM03G20210 transcript:OGLUM03G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVAAYNLSGMGCSATLVAVDVARNAMRARSPRPVVALVVSTESLAPLWYAGKDRTMMLGQCLFRCGGAAVLLSSDPAHRGRAKMELRRLVRATTAASDDAYSCIMQREDDDGFLGASISKALPKAALRAFAANLKRLLPRVLPAMEIARLAADLAWQNLLQWRRRGQAKLKINLKAGVDHICLHAGGVAVIDAVKKSFGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGRLRRGDKVLMVTFGSGFKCNSCVWEVAGDMADKGSWADCIDAYPPESKPNPFLEKFAWVNDEVADESASPF >OGLUM03G20220.1 pep chromosome:ALNU02000000:3:15955194:15960260:-1 gene:OGLUM03G20220 transcript:OGLUM03G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39680) TAIR;Acc:AT4G39680] MGQSLSQSCWSVASCLTRGASFGILIYAWHDALAWSARGLGGFAAAGFWRFREGSCPSRLRPTMSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEQQDNETVEVNPTANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELANEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKESFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTKPPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >OGLUM03G20220.2 pep chromosome:ALNU02000000:3:15955194:15958709:-1 gene:OGLUM03G20220 transcript:OGLUM03G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39680) TAIR;Acc:AT4G39680] MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKDELVRRLFESIQSEKEEEEEQQDNETVEVNPTANQASEIQSVSQETTVSITEVHKETVVQVTQEATPPITEVSQSLTVSAAEEPPKVNAVATHEAPLSKAPTDKGEEPPIAGDVSTVQNEHLHAENNTEPFVEKTQDVGTNEAIGALDMTSADVESDMTSSDIKIDATEASKVQQHDTVATTVDAIPTDADPMDTDVATEKAVLNDLGDTTSVYDEERKDSELANEDEKPIAPKPNDQVPEVSPDLGSPIKCESISSDDISTNKKNNIKDNLNANNFDLELEAKPEMVKPSSGITSIGGDLQPLDDDKDLGKNQSSLEYIDSTANVDEGGSPEKLNLDRSSGDESMEEDVMEIKQVESNIKSEGTAELSSDHVKEVSLPDTVVDDSSVDTKEVIADEKTAASTEKRKLEAEETVAATEPIKRQRRWAADGAKVPERQPISHSGSDAPKESFQPALKRSFGRSDSTASGDSPKERVVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSLCSFWMDHIKTHCYVTFSSVEEAVATRDAVYDLQWPPNNGNRLVAEFVDPQEVKLKLEPPPPAAAPISPATTPKEPPFQQAQANQNMPRQAAAPREHLPPPPPLTKPPTSDSGSAKERLPPTPKKQPEPPVVTLDDLFRKTHSSPRIYYLPLSEEEVAAKLASQGKGKRE >OGLUM03G20230.1 pep chromosome:ALNU02000000:3:15961265:15980365:-1 gene:OGLUM03G20230 transcript:OGLUM03G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAQHGLPLWPRPKETPGVSRLLFKAVVPADILTSYDPSNTDNPFIRPSSAKFVLIVTSSNDKAILLQALYAGGIDYFVMDHLDHSSLAMTRLPDMPPRGGRAGPVCHRPCSRRWQDGGWEEVTVGDGARGNATRVTPEQVMVIDHRDTGRKHVVFGLPCLVPLSTDGRDAPFLSDDNRAQALSSESIYLTIKDCRN >OGLUM03G20240.1 pep chromosome:ALNU02000000:3:15964681:15966107:1 gene:OGLUM03G20240 transcript:OGLUM03G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGNHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSSLKGVESVKINRKQQKVTVSGYVEASKVLKKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >OGLUM03G20240.2 pep chromosome:ALNU02000000:3:15964695:15966107:1 gene:OGLUM03G20240 transcript:OGLUM03G20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLEYLAGLFSCGNHHHGHKNSKRRQLQTVELKVRMDCDGCELKVKNALSSLKGVESVKINRKQQKVTVSGYVEASKVLKKAQSTGKKSELWPYVPYSAASQPYVAAAAYDRRAPPGHVRNVEASSAAYVSGGGRTEERLTNLFNDEDPNACSLM >OGLUM03G20250.1 pep chromosome:ALNU02000000:3:16000052:16001089:-1 gene:OGLUM03G20250 transcript:OGLUM03G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARPDGHLCPHALELLHLRFRHQHVVPESPLYGWDWEWISCPMQRDAEEAARKAEAAQKREADRASGAVQMNGRELFKHEPWVFDNNIY >OGLUM03G20260.1 pep chromosome:ALNU02000000:3:16001965:16003207:-1 gene:OGLUM03G20260 transcript:OGLUM03G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRGVRFQLSLRPKEPPDVSRMLFKAVVPADILTSYDPNNTANPFIRPSARFVLTVISSNDKAILLQALCAGGIDYFVMDHLDHSPPAMTRLPEMPPCMWGLRNMGLMRRDGSCSYVVAGLERGRSEEGWHVFFLSTSTNIWRRKLVCLAPDHELRDYHWEVSSILTYRGRFWWVDLRRGLLSCSCDSLLLEDDIEATTQQLLDLDFTLLPNVTMEEAKEARLSEYPLQRDRCVGVSSNGLRYVEVRAHRHRRPSKSLVAPPPLCDDCRAGSITSWVLYDHSGAWAEERTLKLADVWRDESYRSTGLPKEVVEFPLIDPFDGNIVYFSINEGKVGDGREFCVHLGTKQIKACSSSYKGLNDGALEPVFTVSLKSTRNYDVCFDD >OGLUM03G20270.1 pep chromosome:ALNU02000000:3:16003244:16003770:-1 gene:OGLUM03G20270 transcript:OGLUM03G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAQQSGSRQGSRPCCPWVAGSQQIGPGGSASERARQILGQRGRPTPKADSVPMAHAARQREKTESELERDSVTASEAHPMSLEGKHRVEERGDEIDKRQAKKARKTSADLLLLDRIGYQD >OGLUM03G20280.1 pep chromosome:ALNU02000000:3:16006174:16008361:-1 gene:OGLUM03G20280 transcript:OGLUM03G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEEGSNPPPPPPQAAPVARPQPITPAEFLSWKQRKDAEEAARKAEAAQKREADIASGAVQMNGRELFKHEPWVFDNSIY >OGLUM03G20290.1 pep chromosome:ALNU02000000:3:16011227:16011634:1 gene:OGLUM03G20290 transcript:OGLUM03G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAGSAAVACLLVVLGLAAVAGVDGATASSHPAPAPAVDCTAAEALKVGACLDYVTPGNPPRSQPSKACCGEVKGVLKDIAGVGCLCAAISTHALPLPINATRVLHLPAACGADASAFTMCLGQSTYFDLLLL >OGLUM03G20300.1 pep chromosome:ALNU02000000:3:16017167:16030722:-1 gene:OGLUM03G20300 transcript:OGLUM03G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCDDLLDSILLRLDSPVCLIRRLRLQAMAPRRRRRRRRRLPPPHCSLHRPTVYGHYSTGHEDSIEARPVPFFLPSLPASVSTGHDFLRSYREILDSCGTLLLLRRLNFTYKGITVYEPLTRRCRVIDDPPAPWNGKQYRDWGAYLLGGADGVTMSSFRVVCTFYDLREHVARVAVLSISGHNGGGGGWRYRTVEEGERLHQGNFVGRVGGSIYWVTSAGRVHVLDGATMEMSSFAFPDMGISSLHRDAAQMGHHSRALRVVDCGGGAARIVCLAGRNVEATRARGGDEWAPEKSVRLPEDTRVLVPEDRPGFYYPFVSGKATIVAAGAGFVVLAPTLFCQLLFRMDLETEVVTLEAMGGIYNAGLQFPVELPWPPAIRKSVQLPLDIDQTAVHAGMVLISGEVAVDKPAMDDDDHSDFLDLVCDDLLELILLRLDSSTTLARAASVCKRWRRVIACDAFLRRVRPLHPPTIAGHYCTGRRTSFHCEGPAAFVPSPSLSARGRSLFSPEEFIPSDMRVIDSCGGLLLLGWRDTFRRIAVCEPAARLWRRLRPAAVPEVASSRLLDAHLLPGDDGAAATGATNFKVVYTFQRRRDDDDACHSGVLMVTSVNGDSSSWSSTTLDERLDHRNFVGRAGGSLYWLTGDGAVHVLDGGTSEVTTHAFPDTEMWDCFLRREMDPGRRTYHDTGVRVVDGGGAATMVCVAGHSLEVLAKPRDGGGGWAPVKSVRLPQATRHLRLEFNSRPVLIVAAAAGFVVFTPHGDSKLLFRVDLETEQVVQLEPGVHGAAPQFTCELPWPPPLHACISLLPDLYLLDPSDLDPIVERRGELESRIEVLRRGRGVGKELKIQGRSGDSSIRVIGRVGEEIGVVEGIDVLPELNVFALLQRVRARYPLASYPDGMVFEEKEFGVPPVTEKTRRSPELKRRAGARITKHIRWLKICKDLKESGFGF >OGLUM03G20310.1 pep chromosome:ALNU02000000:3:16018126:16020635:1 gene:OGLUM03G20310 transcript:OGLUM03G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARAAAVACLLVVGLAAVAGVDGATASSPAPAPAVDCTAEALKVADCLDYVTPGKTAPSRPSKLCCGEVKGALKDSAAVGCLCAAFTSKTLPLPINITRALHLPAACGADASAFSKCLAPAPSPSVAPGTSSGSGGAAAAPAKGAAAARSPMASTTAVLVVAAAVAAPLLAFFHF >OGLUM03G20320.1 pep chromosome:ALNU02000000:3:16035962:16037208:-1 gene:OGLUM03G20320 transcript:OGLUM03G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLFASLLRSTAAPRTPSTPGYLFNRDAAAAYSSSAPYNGQGFPPPQSETASRLGLFSRPGDTRQPSYGDRLMQSQQLSQDYRARTQANNAPRFGDTMSRIAGGENSSYFGTPSRIFDEHKQSLVKGKRDFVHVLLKRNKTFVTVTDVRGNKKTGASAGCLEDRKGRSRLSKYAAEATAEHVGRAARKMGLKSVVMKVKGTTFFNKKKKVILSFREGFRGERVREQSPVVLIHDVTQLPHNGCRLPKQRRV >OGLUM03G20330.1 pep chromosome:ALNU02000000:3:16041311:16046683:1 gene:OGLUM03G20330 transcript:OGLUM03G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDLHDDLEFVSGGGDDDDYYLGFNHDSGHGFHTSAATAASQTNKQMDDTSALDYKEGKDMQGIPWERLNYSRNQYREMRLRQYKNYENLTMPRDGLQKECKQVERKDTFYDFHLNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLQRGKEVLNVAGQLAPSQNVRGAMPLSRVQISTMAVKGNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNNSITNAVDIYQAPNGGTRVTAANNDCVVRTFDTERFSLISHFAFPWSVNNTSVSPDGKLLAVLGDSSDCLIADSQSGKEMARLQGHLDYSFSSAWHPDGRVLATGNQDRTCRLWDVRNPSRSVAVLEGRIGAVRGLRYSPDGRFLAVAEPADFVHVYDAAAGYAAAQEIDLFGEIAGVAFSPAGNNGGGDDDGGEALFVSIADRTYGSLLEFHRRRRHGYLDCCV >OGLUM03G20340.1 pep chromosome:ALNU02000000:3:16098473:16100956:1 gene:OGLUM03G20340 transcript:OGLUM03G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z879] MTKHGAVVVPEDAVVAAAAVGRHFSFPPPRTGGVGGDSCKKLAAQQIDLGAAVVGSWLDSMKASSPRHKLVAPAVAAADAEHDEWMEKHPSALGKFEALAAAAKGKRIVVFLDYDGTLSPIVEDPDRAVMTDEMRDAVRGVAARFPTAIVSGRCRDKVLSFVGLEELYYAGSHGMDIQGPTNAAASKGGEKEEESVLCQPAREFLPMIGEAYAALVEKVEGVIPGAKVENNKFCLSVHFRRVDERRWGAVADQVRAVLRGYPRLRLTQGRKVLEVRPAIKWDKGEALRFLLSALGFSAAGDGEDDGDDDDAFPIYIGDDRTDEDAFRVLRARGHGAGILVSRFPKDTCASFSLRDPGEVKDFLRKLVTCAAA >OGLUM03G20350.1 pep chromosome:ALNU02000000:3:16104666:16120901:-1 gene:OGLUM03G20350 transcript:OGLUM03G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPRGRAEADGSGDRSSLLFKQKCTYAEYLPRQLILAKEKKARGKKMCIPQGLQRQQDLGLSCSKSKWGQNAGRRQVYLTSRQQLKLKLFGWT >OGLUM03G20350.2 pep chromosome:ALNU02000000:3:16108817:16120901:-1 gene:OGLUM03G20350 transcript:OGLUM03G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPARLPRGRAEADGSGDRSSLLFKQKTTHTGKREESKREEDVHTTRITKAARGTSIQPQDQRLSGRIVSSLCKVVKEGLPGCGINRDISCILIKASSAWLPRELTDLVCLLESILRAHVQLMIGTLTRVLRRILVVPHLHSGPGELQDSSSLISEGAMYKQQEEQPECERFFAHLILAGG >OGLUM03G20360.1 pep chromosome:ALNU02000000:3:16111979:16120539:1 gene:OGLUM03G20360 transcript:OGLUM03G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z882] MSKEAFTLRLFFLLLVHGAFADQAARVLEFSRSRMEMRDDQYTTEHTSQRANHQLYMSSQDGLKEADKVSELPGQPGRAGFDQYAGYVTVNATSGKALFYYFAEATDDPSTKPLVLWLNGGPGCSSLGDGAMLEIGPFFVNSDNRTLSINRYAWNNVANMLFLESPAGVGFSYSNTTSDYDNTGDTSTAADAYTFLTNWLERFPEYKGRDFFITGESYGGHYIPQLANAILSNNNITNVTIINLKGVAIGNAYLDDSTNTRATIDYYWTHALISKETHLAVQRNCSFNGTYMAQCRNALAEADTEKGVIDPYNIYAPLCWNASNPRQLHGSVIKIVVTDFIIFPELLFPSHQMVLQAINVDPCSRYYVESYLNRPEVQRTLHANTTGLKQPWSGCSNIITPENWKDAPVSMLPSIQGLISSGVSTWLYSGDIDAVCPVTSTLYSLDILELPINSSWRPWYSDDNEVAGYVVGYKGLVFATVRESGHMVPTYQPQRALTLFSSVANTAARNKANKPLEFDQLKIPSKYGSEKQDDLREKDRVRAMPGQMEEAEFNQYAGYVTVDAKAGRALFYYFVEAPHDPLKKPLVLWLNGGPGCSSFGAGAMLELGPFSVRSDNKTLYKKQHAWNTVANMLFVDVPAGVGYSYSNTTSDYYNIGDKKTTDDAYIFLINWMKKFPEYQDHDFFITGESYAGHYIPELANLIVSNNRAINSTNIKLKGVAIGNADLHDNVTLRASFDYYWRHAMISDRVYRAIQTSCGFNETYTNDCQNAMNLANKEKGNVDDYNIYAPQCHDASNPSPSGSSDSVAFGDPCTNHYVSSYLNNPEVQRALHANTTGLNYPWMDCSGLIFDNWKDSPETMLPSIKTLISSGTRIWLYSGDMDAVCSVTSTQYALDILGLPVETSWRPWRIDNEVAGYVVGYRGLVFATVRGAGHMVPYYQPRRALALLSSFLEGKLPPE >OGLUM03G20370.1 pep chromosome:ALNU02000000:3:16120986:16124926:1 gene:OGLUM03G20370 transcript:OGLUM03G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGRAAADGVGFAGSSARAGAWWSVGRAGRRERGRWETAARRSSRDEQIERAPPSPGGEELRTNGNIGLVEIAIVLFVTLYFRLGQIDRNLGAGDIARQVRAAQPNEQQPNISSKSNSPARLKSSSLPTAVEEWSEMDEL >OGLUM03G20380.1 pep chromosome:ALNU02000000:3:16126699:16136331:1 gene:OGLUM03G20380 transcript:OGLUM03G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38770) TAIR;Acc:AT2G38770] MPKVYGTGVFEFRHPRAAEYPLPADVPASAASAPDKAIPTAAASTITLLDIQRDRLTRVAAEHWGAPTAGAAFDAALVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPNFDPAGASFEHVMSMILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEDRELNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWHTLSFGRLQMELCLNPELIKKWTKIKRKEAKEAKKAGQTCDASEMLENRFLRNLIEEFLEILDSKVILSSQDGGDESVLNVSLSGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLSDDDVLQAHYSRFQAFQLLAFKQVPKLRDFSLCNIGSIHKRADLAKKLLVLTDVELQDLVCNKLKLISEEDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAYINNEGDTAFRGWSRMAVPIKEFRITEVKQPNIGEVKPSAVTADVTFSISSYKPQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQCVRGCEVIEIRDEEGSLMNDFTGRIKREEWKPPKGEIRTVKIALDTAQYHIDVTEVAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLENIDFKDTFLDADHVVQSFPDYQVTFINSDGTENLNPSPPFKIKLSKKMRESSHALPGNVNSVLSAKNNMVDDDGPQKEKIMVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVAKLASSLHLPEDVSYTCETAAYFWLLHVYARWEQFLAACAQNQDKPSFVKDRFPFSEFFSDTPQPTFTGESFEKDMHAAKGCFKHLLTIFQELEECRAFELLKSTAERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNIAFQKYSHMDQSLFTRFVRLGVPYIELNAQGRARPSIAELYNWRYRELGDLPYVREEAIFHKANSGFSYDYQLVDVPDFRGRGESAPSPWFYQNEGEAEFIVSVYIYMRLIGYPANKISILTTYNGQKLLIRDVINRRCKPWNIEPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLGLNLEECTPFTERPLEETGNIHYVAGIEDIGHLVNFRLEHLCQMQYMQYYAPHANVPPSAVPENNADATENGNAGNGMHKANDGMAEENGDAVMRNEMEEDTIDTMQEENKMDGKNPEANDMAMEEKTVDGDDDPKNKMEEGNTEAKDKMEEENDEAKNNMEE >OGLUM03G20390.1 pep chromosome:ALNU02000000:3:16136737:16141223:1 gene:OGLUM03G20390 transcript:OGLUM03G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z885] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQSLTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISANNIEIGIIRSDREFKVLSPAEIKDFLEEVE >OGLUM03G20400.1 pep chromosome:ALNU02000000:3:16148038:16148352:-1 gene:OGLUM03G20400 transcript:OGLUM03G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALFGTAEQQPMRVAFMATDALEGHEGGAASDAGGDHHHLSIRFPLHLERCGVDAEQQAMRAVEEVKMAAAPWIQSPQSSREEEVKMVVALWIQPPRSLHKEE >OGLUM03G20410.1 pep chromosome:ALNU02000000:3:16150478:16151344:1 gene:OGLUM03G20410 transcript:OGLUM03G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSDSLPSSPSLPTTAGLDAAGGGDQEFSSYQHHQSLFLPSSSSSYLDSPFHGLLHTSSSSSAAMSPSQAPPPHPPPPAPTSNNKPPKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAAAPPPAVRPRLLGGVGGGHQLPPFLLRPSPLKYTHSHPTPPPPPPLHHQACTTTSFLGNAAAATTSTSSLVDALALFAKSNVMVAPPPPPPTSAAASSSADQYHHHHGMTMGGLFNPFDDYEAAAAAAAAEGDKVVHGGAGHGGFFSPLGAGAGDDKYDRH >OGLUM03G20420.1 pep chromosome:ALNU02000000:3:16167128:16172220:1 gene:OGLUM03G20420 transcript:OGLUM03G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTFMRNVGSSDLPCMTTPIGYLLCLYPIIQLDRSV >OGLUM03G20420.2 pep chromosome:ALNU02000000:3:16167135:16172218:1 gene:OGLUM03G20420 transcript:OGLUM03G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLIHSSLILSFLTQRFRSSLSDPTHQNQRRSTRGHQPENRVCGINTRFPFLLPSMSPFALCQIANRCPRTPHPAPPFALRQIAVAQASPLTIRSAART >OGLUM03G20430.1 pep chromosome:ALNU02000000:3:16169123:16169506:-1 gene:OGLUM03G20430 transcript:OGLUM03G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPARWRGRGERVNLRGYVVAMKADFRAAHPLHNKEVQVSHVQHCETMVAADALAFHVQPKRHAIATDGDKRIQCKASTSAETLCPRRNLAVDVGCTRRQRRKSATTDSRHGFQMSTVSGVSDGSR >OGLUM03G20440.1 pep chromosome:ALNU02000000:3:16173959:16176660:1 gene:OGLUM03G20440 transcript:OGLUM03G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLAWPQQQHQPDGHAASTPSPARRPHQPSEALRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGADGEAEEPGSAAAPGRAAPRNYQPVTVSHISFAEDGSVPPKKPTSVAEVAKQRELSGTLQSEADSKMKKQISNAKSKELSGHGLFDPQDVRPNGARNTANGTGASHTPVRNANVSSFSFGEANTDSVTKTAKKITGKKFTDLTGNNIFKGDEAPASAEKHLSTAKLKEMTGSNIFAEGQAPTREYHSGNRKPPGGESSIALV >OGLUM03G20450.1 pep chromosome:ALNU02000000:3:16181450:16188201:1 gene:OGLUM03G20450 transcript:OGLUM03G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKTHVDISGVIDSDLQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNIMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >OGLUM03G20450.2 pep chromosome:ALNU02000000:3:16181450:16188201:1 gene:OGLUM03G20450 transcript:OGLUM03G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKTHVDISGVIDSDLQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNIMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >OGLUM03G20450.3 pep chromosome:ALNU02000000:3:16181450:16188201:1 gene:OGLUM03G20450 transcript:OGLUM03G20450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKTHVDISGVIDSDLQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASGSQLSSSQNLLNQKNHLDSTSPSNITSESYPERNCQIIPSGASFAERNLKVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNIMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >OGLUM03G20450.4 pep chromosome:ALNU02000000:3:16181450:16188201:1 gene:OGLUM03G20450 transcript:OGLUM03G20450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWRVGEFEGKLKDGFARSNNSEHENGAGTVSISSKKSKHGVASEKKTHVDISGVIDSDLQKCNSEQIHSANGIVSRDVNHDHIENCKVESNDFPLNTISETRYPTDNWNSSQFALSNDGSPVLNNQSTPQTGHGYGDNDLTYIDWPAIDNFEDVDNLFRRCDSTYGQQQLPNTDELSWIPSSDAMYSSDVAMQPGFESSYSDYGILDDLSAFNCTEDKSLTTADPSSAVCDEQFDDSYLFNEQKTEDAYQRDAMELLSSDQICTGQENLDMIGNRYSSENAMEQPEDQKFSIASVQKKVASSASGQLISDNVTGHPGHQTLTRRASYPCENHEIGKRSLGKRGLGHSDVAMGTSMVVDGSFVSSISSDNSVEENSFRQLQDAVSQLDVKTKMCIRDGLYRLARSAQNRQVFPNIMNNNGDSHNVKDMQNAETSGKFVDPGSIETQTNPIDRSIALLLFHQPSEHVTGAVDEAASLKSHNDNHQAAAKNQRVMHASSVHSPRGQGDPMDAKSCRNN >OGLUM03G20460.1 pep chromosome:ALNU02000000:3:16189570:16191873:-1 gene:OGLUM03G20460 transcript:OGLUM03G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIVMKIWVLCNIPYLVKKYQFSLQSNTDIFYTKFSMGTFFLPERKYVVGNYRLQFYTRMCIHKGRIVEEISKPKGRSRSPSPKRRYRADYRDRGYRDDYRDRHYPDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >OGLUM03G20460.2 pep chromosome:ALNU02000000:3:16189459:16191873:-1 gene:OGLUM03G20460 transcript:OGLUM03G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIHKGRIVEEISKPKGRSRSPSPKRRYRADYRDRGYRDDYRDRHYPDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGSYSLTASPSRPRDERSPRSRCPST >OGLUM03G20460.3 pep chromosome:ALNU02000000:3:16189570:16191873:-1 gene:OGLUM03G20460 transcript:OGLUM03G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERIHKGRIVEEISKPKGRSRSPSPKRRYRADYRDRGYRDDYRDRHYPDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >OGLUM03G20460.4 pep chromosome:ALNU02000000:3:16189570:16191873:-1 gene:OGLUM03G20460 transcript:OGLUM03G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGRYGPPAVRDSYSLLVLNVSFRTTADDLLALFDRCGEVLDIRIPRDRRTGDSRGFAFVRYKYEDEAQKAVDKLDGRTVDGRDIMVQFAKYGPNAERMYRADYRDRGYRDDYRDRHYPDDYQDREYRRSRSIERYERERYQEKGYRRRSRSISPDYDRRHRKNGYACGDALPVSFLSYLTASMFKL >OGLUM03G20470.1 pep chromosome:ALNU02000000:3:16193430:16195641:-1 gene:OGLUM03G20470 transcript:OGLUM03G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGREHDVAVLPPPSWAMAHLSAHHTRTQTISNKQSGWYSSGGGGGGRRRRAERRREEARERTMEAAAAVTRLSFVPLAAAARPLLAGFMRPRVFASISSSSSPFSGGGGGRFFGGGGGRGRGGGGGGGGEESGAGAAAAASAAAAVVLGETETADADVILLRVGGMSCGGCAASVKRILESQPEVTSATVDFEKKTAAVWTTPEAKATKDWRKQLGEKLSHHLSTCGFQSHLLGSWCHSPQMKKNLILDLNSENPCSFTIRGFLRAVPTYLPLWKLYIVSEKVSITILFLNPATDNYKA >OGLUM03G20470.2 pep chromosome:ALNU02000000:3:16193598:16195641:-1 gene:OGLUM03G20470 transcript:OGLUM03G20470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGREHDVAVLPPPSWAMAHLSAHHTRTQTISNKQSGWYSSGGGGGGRRRRAERRREEARERTMEAAAAVTRLSFVPLAAAARPLLAGFMRPRVFASISSSSSPFSGGGGGRFFGGGGGRGRGGGGGGGGEESGAGAAAAASAAAAVVLGETETADADVILLRVGGMSCGGCAASVKRILESQPEVTSATVDFEKKTAAVWTTPEAKATKDWRKQLGEKLSHHLSTCGFQSHLLDEEEPDSGSQQ >OGLUM03G20470.3 pep chromosome:ALNU02000000:3:16193598:16195641:-1 gene:OGLUM03G20470 transcript:OGLUM03G20470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTETGREHDVAVLPPPSWAMAHLSAHHTRTQTISNKQSGWYSSGGGGGGRRRRAERRREEARERTMEAAAAVTRLSFVPLAAAARPLLAGFMRPRVFASISSSSSPFSGGGGGRFFGGGGGRGRGGGGGGGGEESGAGAAAAASAAAAVVLGETETADADVILLRVGVRNARSGSLSIAAGCSAHCLGMSCGGCAASVKRILESQPEVTSATVDFEKKTAAVWTTPEAKATKDWRKQLGEKLSHHLSTCGFQSHLLDEEEPDSGSQQ >OGLUM03G20480.1 pep chromosome:ALNU02000000:3:16197143:16212371:-1 gene:OGLUM03G20480 transcript:OGLUM03G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSFGEGERRARAVEEFTVKTVPSTSKVRCCLESTYNFSVTDFRTLNIEGKKLRRHGAFLASRPYYKKALRHPLRSMCPPTSRPLLFGVVLSERARQASVALAGQEEGGGGGRGRRGEGKALSGGREGGFSMAGCSKVETTVSPQEEGHTLENGSGSRVLKQRFPKGSIQQRPKKKVSERV >OGLUM03G20490.1 pep chromosome:ALNU02000000:3:16212016:16221434:1 gene:OGLUM03G20490 transcript:OGLUM03G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRKFLMPNQPPDISQMVLEARKRWLRPTEICEILSNYRSFSLSPEPPNRPGSGSLFLFDRKTLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGVKQSFSRAKEEIMQLSGADSPSCSNSITSQNQMTPQIMDAAESPISGQISEYEGAEPAKFGAADNCRASSRYNPLIEMQQPLDGIVMDSILYPSASAICNQVSGYHGELPPGTSNLNGHMFTHSDIARMFDDSSSGLRDISRTLFDSMPYDEHLSGYANGFMEPTLHSSFSMIEANNLEDSSLLETFTSEALYTNNLSQKEADALSFAGISSPEVNGNKYTEGSTKHPLLKQLSLDLFKIESSGLKKHDSFSRWMSKELGEVVDLGIKSSSDALWSSIEIVNAADGPSAPINEQLDAYAVSPSLAQDQLFSILDISPSCSYIGLKTKVLVTGTFLASKENVENCKWSCMFGDVEVPAEVLADGSLRCYAPEHQSGRVPFYVTCSNRIACSEVREFEYRDSDAQYMETSHSQANGINEMHLQIRLEKLLSLGPDDNQLLVCGNEKLELINAINSLMLDEKWSDQGSPSGSKDVVTPRNQSLKKLMKEKLHCWLIYKINDCEKGPNILGKEGQGIIHLVAALGFDWAIRPILVAGVNVNFRDAHGWTALHWAASCGRERTVGVLIANGAAAGALTDPTSEFPSGRTPADLASTNGHKGIAGFLAESALTSHLSALSLKDSKDSNVEEARGLTIPEDLPEMYSGQLAVQDSHAESLKDSLNAVRKSAQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTFSLISLQKVKQGQHDTRLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRKNYKKVVWSVGIVEKVILRWRRKGRGLRGFRPEKQLEGQTQIQPAKTEDEYDYLQDGRRQAEGRLQRALDRVRSMTQYPEAREQYRRLTTCVAEMQQSRMMQDEMLSEAAGADGSDFMNGLEDLICRDDPQMSAIW >OGLUM03G20500.1 pep chromosome:ALNU02000000:3:16226435:16227772:-1 gene:OGLUM03G20500 transcript:OGLUM03G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRPPCCDESSVKKGPWTREEDEKLVAYVDQHGGHGSWRSLPKRAGLNRCCKSCRLRWINYLRPDIKRGNFTPEEEQAIITLHSVLGNKWSTIATRLPGRTDNEIKNYWNTRLKKRLIGAGIDPATHCARPRPPAPGDLATALPQLVALASLAVDLAVGHAAAAGGAWGAANGAVDYQQADAAAAQLQCLQHLLLQPQTTPATSATSGGGGHPTELNAASSFLTQAVASYAAAAATPLPSLVVPGGSQPLELKRWQDHIGGDHVGAVSPFAGAATVTGHHGGGGGGGDTPLSLCGGGGGGGEFLPSELTALLCSANAIGDLQSSNLEF >OGLUM03G20510.1 pep chromosome:ALNU02000000:3:16244593:16246266:1 gene:OGLUM03G20510 transcript:OGLUM03G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPTAAAGAPAPQPAAPRRRPPCVLSFSLARDRFLRRRFLSAGLRPFSIRLPSPAGAGTTVHVWAPPRPARRPVLLLHGFGASATWQWASYLRPLLAAGFDPIVPDLLFFGDSCTLAADRSEVFQATAVKAAMDAIGVRRFDVVGVSYGGFVAYRMAAMYPEAVDRAVMVCAGVCLEETDLAAGLFPVAGVAEAAELLVPNRPADVRRLVHLTFVRPPPIMPSCFLRDYINVMGSDHNQEKTELLHTLINGRKLSDLPKISQPTLIIWGEQDQVFPMELAHRLERHLGEKSRLVVIKKAGHAVNLEKDKEVCKNIVEYLREPILNALNGEK >OGLUM03G20520.1 pep chromosome:ALNU02000000:3:16247458:16248879:-1 gene:OGLUM03G20520 transcript:OGLUM03G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGGRALGFGGGGGCGTVRCRHCSASLPAMPGARVIQCPQCYGVTRVGGRGRRRHPNPVEPWRPAVPMPVAGGGFFPGSRGKKRAVLIGITYAGMRRRGSQLMRGPVNDVKCMRYLLCERFGFPNDCVLILTDEEKDPCRLATKENIRMAMNWLVQGCSSGDSLVFHFSGIGVQVPDDDGDEVDGYDEAICPMDSFSQGPILDDEINEAIVRPLVHGAKLHAVVDAEHSSTVLDLPFLCCLSSRSGGWQWEDHRPPTGAYKGSSGGQAMLFSGCSDGNNKHSLLPEASTVGAMTHSFIKAVECEPRATYGSLLTTMRSIMRDGGVTCNLQGPIGAPIRKVANFSGIQEPNLSCSEMFDIYRKPFVL >OGLUM03G20530.1 pep chromosome:ALNU02000000:3:16263180:16263506:1 gene:OGLUM03G20530 transcript:OGLUM03G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRRKTRTSAVGIAGIKQQASVAGEPPSVSLVILSALPSATSASSSVSSEHIQIHRQAARSNAKLLNPQTRSKLAATSSKLPPSSSITASPSVQDGLFIVLRLHAT >OGLUM03G20540.1 pep chromosome:ALNU02000000:3:16263478:16265205:-1 gene:OGLUM03G20540 transcript:OGLUM03G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGSAALLGQMASCWRKKRPREGWAGSAGREERKLNMRKEDKVHRSGFDIDFGLLLFAAGSTNGRRRAGLSGGRRRRHASARWLGGGAWRKEAMPRTSVADDGVGARREAELGDDGLGGGVLGGGGRGGQEAEHGSEEERLADGEVGWSTSSCATNPWRANGGQ >OGLUM03G20550.1 pep chromosome:ALNU02000000:3:16265700:16267617:1 gene:OGLUM03G20550 transcript:OGLUM03G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKHPKTCVFPVYCGDRIYQTLYGVQKKYFYNPWSCLPAFLIVDIIAPPNYYRPHTSSVCSRTRHPRPELLLGALPALSPVGGHDEDVILQQRPRPRAAVRRVRHHRLAGEGAGVGAGQGLGAGGVKDGLVEEGPGADERVLVVLQHVVGVGRGGGAPAGRDEGAADGGEREAARGGGGGGVLGEDAAGGEEVEEALEDGERGHKKKTREDRQTWKKKKNEEEAAAELLFPLFLNKASCSKTFLFPSVYSLPDVVLVYWRCREVGICVSCRPSVCAVLFHPLKQQMHAHIAAFVQICVLVC >OGLUM03G20560.1 pep chromosome:ALNU02000000:3:16268883:16270313:-1 gene:OGLUM03G20560 transcript:OGLUM03G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSCLVGKGGNTRPAATASARPSSSRCAHCGAGLAVPRPGPGGAAAATTVRCALCHRMTRVDRRGGRDLGGGGAALEAASSSSSSFLRRDAPPGYPFVPGRKRALLVGVSYKGTSYELEGTVNDVDCMRRLLGESFGFPAESILVLTEELGDGDPSRSPTRANLLAAMRWLVEGCDAGDSLVFHFSGHGVQKLDVNGDEVDGYNEALCPVDFERSGKILDDEINETIVRPLVAGAKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCGDDQKSADSSGFSSEQAAAAIGAMTYSFIRAVESEPGTTYGRLLAAMRATIREGQQGSGVRRLLPGRLGSFVRKMIPSGSVQEPQLCASEVFDIYRKPFLL >OGLUM03G20570.1 pep chromosome:ALNU02000000:3:16296218:16296856:-1 gene:OGLUM03G20570 transcript:OGLUM03G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGRKRALLVGVSYKGDPSRELTGAAEDVKSMCDLLHDRFHFPKKFIHMLTEDLGAEDPLKAPTRENILREMRWLVEGCGAGDSLVFHFSGHGRQRLDDNGDEVDGQDEELCPVDYKESGNILDDDINDAIVKPLTQGVKLHAIIDTCHSGTMLDLPYLCRLNRYGVDFFIYV >OGLUM03G20580.1 pep chromosome:ALNU02000000:3:16328092:16328525:1 gene:OGLUM03G20580 transcript:OGLUM03G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEEEEERVEGDGGGVHDSNNSCTAKSTNARFRTKCSKNARAHTCAIYAVICKGARTKLDPEAYCFILYYLLWLGAVLGVRDGKADEVQQKGNGDGFECHGTHVGDLTAGADVDVSEVTKPALQIHKWIGP >OGLUM03G20590.1 pep chromosome:ALNU02000000:3:16336503:16337972:1 gene:OGLUM03G20590 transcript:OGLUM03G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWAATNMGEMVATMAGGLAHQRTPVQLLLFVCQNELNAPVALGRVRSDTGHPEQWTVEQASSSIDFGMIERTIGFCLNFVQNIMENIVNMTRNGAPHAVIRS >OGLUM03G20590.2 pep chromosome:ALNU02000000:3:16336503:16338320:1 gene:OGLUM03G20590 transcript:OGLUM03G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWAATNMGEMVATMAGGLAHQRTPVQLLLFVCQNELNAPVALGRVRSDTGHPEQWTVEQASSSIDFGMIERTMLASLNEDHL >OGLUM03G20590.3 pep chromosome:ALNU02000000:3:16331246:16336895:1 gene:OGLUM03G20590 transcript:OGLUM03G20590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSATLDKPLWLLMKMVSSFGVSRHSIPCLSQAPTCGEAPCAPVLPHDIETTGAPPPHGSFLPP >OGLUM03G20600.1 pep chromosome:ALNU02000000:3:16340827:16353834:-1 gene:OGLUM03G20600 transcript:OGLUM03G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAARKGSMAVGRRTVRAVGRIDGVEAGGGGRRGRRRGSMVAYPRVRGKKRALLVGISYAATGYELKGTVNDVNCMSFLLRERFAFPADSILVLTQEDGDPYRVPTRANLLAAMRWLVEGCSAGDSLVLHFSGHGVQKLDVDGDEADGYDEALCPVDFERAGVILDDEINETIVRPLVAGAKLHAIVDTCHSGTILDLPFLCRLSRTGYWQWENHCRRPELAKGTSGGLAISISGCGDSQTSSDTTAFSGGAATGAMTYSFIKAVETEPGTTYGRLLSAMRSTIRGGGGEVGIPGPLGAFFRRVITFSCAQEPQLCASEPFDIYRKPFLLMGLCSRYRWVDQTRDRLFPKKESAKAGGGVMTRSFLEAVGSRRTMTYGELLESMRAKVHHRLQQSSSGKRLVTSCLGSLAAKCLPCCFLSVQEPQLCSSEEFDVYEEQFIL >OGLUM03G20610.1 pep chromosome:ALNU02000000:3:16370836:16375847:1 gene:OGLUM03G20610 transcript:OGLUM03G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8B8] MSLATSSSMAGGAAVVPRSATATTASAFVTMKRRATAVRAVHAAEPSKNPPVGVPSAAKTSSPSVAAPEKAPVAAAPAPEAPAPAATKQVAPARWAVDSWRTKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNGNNIRDTFRVLLQMSAVLTFGGQMPVIKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNINGDAFNEKSRIPDPQRMVRAYAQSAATLNLLRAFATGGYAAMQRVTQYRELAHRVDEALGFMSAAGLTVDHPLMTSTDFWTSHECLLLPYEQSLTRQDSTTGHFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPTELVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRHAGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGDRYHTHCDPRLNASQSLELSFIIAERLRRKRIRSSKLNNMLPLPPFGV >OGLUM03G20620.1 pep chromosome:ALNU02000000:3:16376818:16377102:-1 gene:OGLUM03G20620 transcript:OGLUM03G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIADLQCSIAVGSGRARTCQGERNCLRGSEQIVAWEGEFGDWFFMRRRGDDEREARPP >OGLUM03G20630.1 pep chromosome:ALNU02000000:3:16377211:16377441:1 gene:OGLUM03G20630 transcript:OGLUM03G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDDDDDFLLHTTKKTERIHYEAKRHASAPLPPLQPQALGFLERQCICGRGSCNMEERELRRWAYV >OGLUM03G20640.1 pep chromosome:ALNU02000000:3:16380642:16388227:1 gene:OGLUM03G20640 transcript:OGLUM03G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring glycosyl groups [Source:Projected from Arabidopsis thaliana (AT1G78280) TAIR;Acc:AT1G78280] MSQFFSQSAEHSYAKQTVHGFKIWGTIKIPHRETTTAAWSPTPLPSPPHAHRSDPPRPSPPLLARLGLRAPAMAAGNGRREAALGGLAALPDEVLCAVVDLLPPTDVGRLACVSSVMYILCNEEPLWMSKCLSVGGLLVYRGSWKKTALSRLNLCSENDEIYQKPRHFDGFNSMHLYRRWYRCFTNLSSFSFDNGHVERKDDLSLDQFRAQYDGKCPVLLTKLAETWPARTKWTAQQLTHDYGEVPFRISQRSPQKIKMKLKDYVSYMELQHDEDPLYIFDDKFGESAPTLLEDYSVPHLFQEDFFEIMDYDQRPAFRWLIIGPERSGASWHVDPGLTSAWNTLLCGRKRWAMYPPGRVPGGVTVHVSDEDGDVDIETPTSLQWWLDIYPNLAEHEKPLECTQLPGETIFVPSGWWHCVLNLDMTIAVTQNFVNQSNFKHVCLDMAPGYCHKGVCRAGLLAAPDKSIRDIENLPSITSRWNHSDMARKEKRLKSSEPIRTSNNANQCSAFEFSDVHENLGDQVFSYDIDFLSQFLEKEKDHYSSVWSPTNSIGQREAREWLRRLWVLKPELRELIWKGACLAINVDKWYSCLEEISACHSLPPPSEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLGYSIHGLGTELEFYDLLRKLGSPLINHVPEIIASGFLVYQDGVYKTVPWDGNGIPDVLAKYYSLEVSYANGSFPLGLWSKQLFGLSNSTDAPDRPICPYMVTRKCKGDIFARIRDKLTKTDVLNLASSLGVQMRNIHQLPLPHVEHISKSGNEDIKAKENSISDVTHVPPEWKQVVSTLDRRKKSIKKHLSNWGGSIPQVLIEKAEEYLPDDIRFLIKFVKDDDGDSVYVVPSWIHSDIMDDNILIEGTTEPGTSTDCIAVEDLNKMDAIHIIDFSDLSIGDPLCDLIPLHLDVFRGDIDLLRQFLRSYQLPFLRAESNKDIYKSIQNSKFSRASYRAMCYCILHEDNVLGAIFSLWKELGTATSWEDVEHLVWGELNQYQQSCSVGEIN >OGLUM03G20650.1 pep chromosome:ALNU02000000:3:16389058:16391106:-1 gene:OGLUM03G20650 transcript:OGLUM03G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8C2] MKFNIANPTTGCQKKLEIDDDQKLRAFYDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLAKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIAQKKQRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSAATKAAATTA >OGLUM03G20660.1 pep chromosome:ALNU02000000:3:16393191:16393844:1 gene:OGLUM03G20660 transcript:OGLUM03G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFDGMSSKQLVRLWEDVIDRDVVAAREVFFRALLRDAAAEYNKQEAAAAAARGAAGEEEGQDRGYQRERFLLRPCSTSTPREASPPLPPPPEPPMVVLCLRAAPPRPPPPTPHLAVGGQKRDALCRLDATAEHKQDEVVARPAAAAGADGGGAGEDRGHPPPRERLSSAPCREASPPLEPTPTAPMVVLCLRAATAPPLQASRRRGASDHAR >OGLUM03G20670.1 pep chromosome:ALNU02000000:3:16395171:16398843:-1 gene:OGLUM03G20670 transcript:OGLUM03G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEKAIVICILPRLYVYRRTDGICNVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSADCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >OGLUM03G20670.2 pep chromosome:ALNU02000000:3:16395171:16398843:-1 gene:OGLUM03G20670 transcript:OGLUM03G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVMRDIGSGNFGVAKLVRDVATNHLFAVKFIERGLKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSADCRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDEYQRSMQLADMNTPSQSLEEVMAIIQEARKPGDAMKLAGAGQVACLGSMDLDDIDDIDDIDIENSGDFVCAL >OGLUM03G20680.1 pep chromosome:ALNU02000000:3:16398850:16402796:-1 gene:OGLUM03G20680 transcript:OGLUM03G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASHTGNCMPVYMLPGFQQLQNSSTATPRTAPTATHGRDSLDCRRLYKGNVSLLPTSPARSPPPPLVHPNPHHERPRIPPLPRAPPPVPSSSFFLAGERSIELAACERVATSGQEINGGGGPAERGR >OGLUM03G20690.1 pep chromosome:ALNU02000000:3:16404366:16409513:1 gene:OGLUM03G20690 transcript:OGLUM03G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAKAPSLAEEYSLPPQEAPVEKAVEDKPQEAESIAVTNDETPQADETATAVEVNPETSEVQEVADKSEVEDTNPAAEETTETAEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVERWNEQRENGTFPGPL >OGLUM03G20700.1 pep chromosome:ALNU02000000:3:16410804:16411184:-1 gene:OGLUM03G20700 transcript:OGLUM03G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPIANHHTEERWVAMLGTHPRRQASTCQACLLAVPLSLSPNSLYYVSKGYMFPFPLSYAMCSFFVFGSGATTKDMMHQWGPCAHEEEVWKT >OGLUM03G20710.1 pep chromosome:ALNU02000000:3:16411904:16414708:1 gene:OGLUM03G20710 transcript:OGLUM03G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4I2] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM03G20720.1 pep chromosome:ALNU02000000:3:16415412:16418778:1 gene:OGLUM03G20720 transcript:OGLUM03G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transthyretin-like protein [Source:Projected from Arabidopsis thaliana (AT5G58220) TAIR;Acc:AT5G58220] MATARGQLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKSENFDLLRGVIRWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEIAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >OGLUM03G20720.2 pep chromosome:ALNU02000000:3:16415412:16418778:1 gene:OGLUM03G20720 transcript:OGLUM03G20720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transthyretin-like protein [Source:Projected from Arabidopsis thaliana (AT5G58220) TAIR;Acc:AT5G58220] MATARGQLPVEDVLRVNGSRRFAAALAAASPFASLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSSSAPKWCKEEQSAALATATDSTAQELADWNARYREKFGFVFMICASGRTAPEVLAELKRRYENRPIVELEIAAQEELKITELRLAKLFASEPVAPPSSTVGGPTSQSDKAADRMRIIGAHLGSHTQHSANKAPEITGSSNRTRPPITTHVLDVARGSPASGIEVHLEMWKDASTPPSFNNKDFNGWATLGSSVTNNDGRSGQLMDIVNNVAPGFYRISFNTSKYAPSGFFPYVSIIFEIKKNQTTEHFHVPLLHSPFSFTTYRGS >OGLUM03G20730.1 pep chromosome:ALNU02000000:3:16423374:16425458:-1 gene:OGLUM03G20730 transcript:OGLUM03G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKDAGIWEVLLLRYFDLQAAPWPLAAMIRAFSMLHNRMLADPSFLFKVGTEVVIDSRCATFAEDFWAEFELLNYRVE >OGLUM03G20730.2 pep chromosome:ALNU02000000:3:16424652:16425458:-1 gene:OGLUM03G20730 transcript:OGLUM03G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKDAGIWEVLLLRYFDLQAAPWPLAAMIRAFSMLHNRMLADPSFLFKVGTEVVIDSRCATFAEDFWAEFELYDP >OGLUM03G20740.1 pep chromosome:ALNU02000000:3:16426094:16430098:1 gene:OGLUM03G20740 transcript:OGLUM03G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8D4] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACIGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLWVLSTSQAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWEIL >OGLUM03G20740.2 pep chromosome:ALNU02000000:3:16426094:16430098:1 gene:OGLUM03G20740 transcript:OGLUM03G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8D4] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACIGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWEIL >OGLUM03G20740.3 pep chromosome:ALNU02000000:3:16426096:16430098:1 gene:OGLUM03G20740 transcript:OGLUM03G20740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8D4] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACIGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWEIL >OGLUM03G20740.4 pep chromosome:ALNU02000000:3:16426217:16430098:1 gene:OGLUM03G20740 transcript:OGLUM03G20740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine protease [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8D4] MTSLPGRGVSPSSSDPLCEGNAAPSSSSSSGQDLKQSKNSILSCVFSSPFSIFEAHQDSSANRSLKPHSGSYAWSRFLRRIACIGSMWRFLGASKALTSSDVWFLGKCYKLSSEELSNSSDCESGNAAFLEDFSSRIWITYRKGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPSQKPYSPEYIGILHMFGDSEACAFSIHNLLQAGKSYGLAAGSWVGPYAMCRAWQTLVRTNREHHEAVDGNGNFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKNQSTWSPILLLVPLVLGLDKLNPRYIPLLKETLTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQLAVDIAADNLEADTSSYHCSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRASELVDKANGAPLFTVVQSVQPSKQMYNEESSSGDGMDSINVEGLDGSGETGEEEWEIL >OGLUM03G20750.1 pep chromosome:ALNU02000000:3:16432446:16433687:1 gene:OGLUM03G20750 transcript:OGLUM03G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTCQRILLLLLLLAIARPASSQLFTPAPPPPVQAADGGGSAGFNVATSLLFVGVVIALFLLGFFSAYLRRCSEAATAAHRRRGGGGGGTVHASAAVAAAAAAAFAGSAGRRRGRAGLDVAAMEALPVLTYATARAVKAGRGALECAVCLAEFADGGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLADPAVAAAGHVLAADLAAQAEAPNHTVVNVDTPESTIGKDSPSDQQPPESLTAEERVDRYTLRLPERLKREIEEAKLLRRSVSAVTAPAAASSGRWASAASRTMSAARPSRRWSALLRALSGPRWSDMDGGGGGGWRVAPLQTHGATGTSHGEGEDVEVVVVHGDAGTDVEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAVPAAAAAPPPAPQR >OGLUM03G20760.1 pep chromosome:ALNU02000000:3:16437473:16443118:1 gene:OGLUM03G20760 transcript:OGLUM03G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8D9] MARMLLHGVIDAKIVEADLSVTSDGQLRPSRKTLMKKKVFSWIKKKLPFCNSCQTQQVENAVGLGPLSGKLYATVDIDKARVARTRTVEPTGTPRWKESFHIYCAHDAGDVIFTVKAENPVGATLIGRAYLPVDEGLAAGAPVSDLWLPICGEGRRPIDGGDKIRVQLRFTGVAADPAARWGAGVGSGAYQGVPRTFFPQRRGCRVRLYQDAHIADGFAPRIQLAGRRWYEPRRCWEDVFDAISSARRMVYVAGWSVNTDVVLVRRPSSSSETLGELLKRKAEQGVTVLLLVWNDRTSVGLGPIRRDGLMATHDQDTARYFEGTKVHCVLCPRNPDQGRSYVQDVETATMFTHHQKTVIVDGGGGKTAPGLVSFLGGIDLCDGRYDTQEHPLFRTLDTTHRGDFHQPNFPGASIAKGGPREPWHDIHCRVEGPAAWDVLDNFEQRWRKQAGRGKDSLLVTLDRSMAARDADQADPEHWNVQVFRSIDGGAAAGFPESPDEAAAAGLVSGKDQVIERSIQDAYIHAIRRARDFIYVENQYFLGSSYAWRGGEGGVASVEGINALHLVPRELSLKIANKIAAGERFAVYVVVPMWPEGVPESDSVQAILDWQRRTMEMMYRDVDAAIQAKGIRADPTDYLNFFCLGNRERLPVPGGDSYEPTERPDPDTDYMRAQNARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLASVNRPARGQVHGFRLALWHEHLGRAAAASAAGELLRPSSLACVRLVNQAARRHWDAFARGDGDGAPPTEDLPGHLMAYPVRWTGGGGSDGKLVAATETFPDTKAKVLGAKSDVLPPILTT >OGLUM03G20780.1 pep chromosome:ALNU02000000:3:16455009:16457936:-1 gene:OGLUM03G20780 transcript:OGLUM03G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKACVAAGAFPSEGADKRSRAAPHALAHGYPGSMRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >OGLUM03G20780.2 pep chromosome:ALNU02000000:3:16455009:16457936:-1 gene:OGLUM03G20780 transcript:OGLUM03G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKACVAAGAFPSEGADKRSRAAPHALAVSLSLSLSRPRLGLAVRLSLVSNSTRRGRPPLQFLRLWPGRVCECSAPIADSSSSSSSSCSIWSNMHGYPGSMRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNVNNTFTVGPLLSKGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >OGLUM03G20780.3 pep chromosome:ALNU02000000:3:16455009:16457936:-1 gene:OGLUM03G20780 transcript:OGLUM03G20780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKACVAAGAFPSEGADKRSRAAPHALAHGYPGSMRWLALSVDIFRKGISLNLYCRSLKFGIEDLVAGIAVWVSVVAWQFFQGERAGVLMVWQPVAQTRFRVFKHENGIAVRVIACFQPSQDCQAEYFRHLLKPKILFAGCFLMGEKVNPWCHWSNPPWTESSANNLHPPDVSLDNTNSVALPTYLNSDGYIYSGVAASMPSIAASVTDRPVSFSSRFVTTLVPSVGLSTAETLRKRPLVFFHNVNNTFTVGPLLSKGTLDTVPELQGSNETNVTDVGAQNTECMHENTEEIDALLCSDSDEGCLKVQELNNRVRKYPMQNDTMSVESVASAGASQPAKKRRLSSGTDRSVVDTASSARPDHSVDQKHLSHDDDAQSCCIGEVESDHQFALREGEEAEGDDGPDDRKRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLKYLKLKVKTLGAVSL >OGLUM03G20790.1 pep chromosome:ALNU02000000:3:16494344:16496308:-1 gene:OGLUM03G20790 transcript:OGLUM03G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLCRRRSSAAPAESFSLLCEEDSESVFGSDDDGVEETATMAPELGKMMSLGFSASHHLGDGGGGGEELVGSFMEKEVEQMVETARGEYLTKLSNGGIELSCRIAAIDWICKVQAYYSFGPLCAYLAVNYLDRFLSSLEFSVTNDMPWMQQLLIVACLSLAAKMEETAAPGTLDLQVCNPEYVFDAETIHRMEIIVLTTLKWRMQAVTPFTYIGHFLDKINEGNRITSELISRCTEIILSTMKATVFLRFRPSEIATAVALSVVADGGRVLDFGGVLESSKLPVDKDNVGRCHQAMQEMALVMQNSTASPSGVLDTSCFTSKSDDDNSIPGTSPQVDNNNNQACTPASKRARVEEAPMS >OGLUM03G20800.1 pep chromosome:ALNU02000000:3:16499524:16502919:-1 gene:OGLUM03G20800 transcript:OGLUM03G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFDDQDLGFFANFLGIFIFVLVMAYHFVMADVKYEGN >OGLUM03G20810.1 pep chromosome:ALNU02000000:3:16504004:16504935:-1 gene:OGLUM03G20810 transcript:OGLUM03G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARAMTVLFVRKGSTPRELVALAGAHTVGFSHCGEFAHRLYSFKSARALQSSCTNYRSDPTISIFNDINRIAATALVPSLPPPQLADPRTRLLLPLHEDDLVVELPGLEPEQAVPDLDVEQLWGEGILVSPGEKNGRNPVNR >OGLUM03G20820.1 pep chromosome:ALNU02000000:3:16509396:16510001:1 gene:OGLUM03G20820 transcript:OGLUM03G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTCHITCPVTVTPAHDDGEERGDGEAASLFLPSLFLQIRHPNKLIVGSARAEALCRPPRRHPPSCPAWTKHPSSCAAMVYINELRTRVAYPEAYMRQAAAGCDVRQARQLGALVSFPRHFACHYAATVSKLLGSSESNERAPDDDGP >OGLUM03G20830.1 pep chromosome:ALNU02000000:3:16511327:16516223:1 gene:OGLUM03G20830 transcript:OGLUM03G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLAYGLWKYIFNKTEFHVLILGVDKAGKTTLLEKIKSIYLKGEGLTPDRIVPTVGLNIGRIEDANAKLVFWDLGGQVGLRTIWEKYYQEAHAIIYVIDAAAASSFEDAKSALEKVLRHEDLKGAPLLIFANKQDLPGVITDEELARYLQLKELDERPYKFQAVSAYDGRGIKSGIDWVVEQMERSKRTEVLRARAGLTGQI >OGLUM03G20840.1 pep chromosome:ALNU02000000:3:16515896:16520138:-1 gene:OGLUM03G20840 transcript:OGLUM03G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGSGGGGVASGRVQAERWLEIAGKLLAARDLVGCKRFAERAVEADPLLPGADELLAVTDVLLASQSVLPSGQADPLAVLQLPPSTNPADHAAVSRAYRRLALLLRQETNPHPGADVALSLVHDAYAILSDPNRRPPPPVAVAVPHAHPGAASHPAAPAAAESPEFWTACPFCSYVHQYQRELVGRALKCPNESCRKGFVAIEISTPPTIVPGTEMYHCAWGFFPLGYPTSADLNGNWKPFYKMFPWNNAPAGGGGSGGRGGYGGRGGGSAGRQPQNGSTRGGSSRGRVKKTTARKKVGAGLKRRSFGGVESGIEPMDGQDGWGEGEEGGDGQAEEVRGININEEAQSTDGATRGHVHARGNVSGGVVDMGTFHLDVDPSEDILGNLQNLPFLRVDNLGRML >OGLUM03G20850.1 pep chromosome:ALNU02000000:3:16522104:16527372:-1 gene:OGLUM03G20850 transcript:OGLUM03G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8E9] MSSSEEEKQGASGVGLGNFLEVGPLDGDLKPRGSTWLQKADLIFVDNPVGTGYSYVEDDALLVTTDGEAAADLAALLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLARAIRAGGLKLTLAGVALGDSWISPEDFAMSYGPLLRQVSRLDSNGADSASKKAQVVKQRIASGQWKLAQYALSSMLTSIVASSGHVDVYNFLLDTGMDPVAAGAAPARSFPPAYSAYLDSKLSVGDSIRSVMNGAIKEKLKIIPKDVVWEEQSYTVYNALINDFMKPRIQEVDELLSYGVNVTVYNGQTCMGDWLFCCFVMPWDGLKNFLSLPRQPLHCGSSEVTKGFVRSYKNLHFYWILGAGHFVPVDQPCIALDMIGSITQSSVQSHP >OGLUM03G20860.1 pep chromosome:ALNU02000000:3:16527394:16527812:-1 gene:OGLUM03G20860 transcript:OGLUM03G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRREFALFLLYLKMDTHALPLLCILCLITLLQLDGGAAISGGTNDGSERWGYVQVRPKAHLFWWYYRSPQRVSSPGGKPWPTILWLQGGPVHTSLHLNSNHV >OGLUM03G20870.1 pep chromosome:ALNU02000000:3:16538833:16539075:1 gene:OGLUM03G20870 transcript:OGLUM03G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTLSKWILYFLPATYGNNDVTLTGEANPSMWPSWVKHERLHARGIRAFFSNTSYTVDADEATCSIGIRRRTTRIQHSC >OGLUM03G20880.1 pep chromosome:ALNU02000000:3:16540357:16546375:-1 gene:OGLUM03G20880 transcript:OGLUM03G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVAFLTVLICLLLADGAALVTKRTDDKSEIWGYVSVRPRAHIFWWHYTSPHRVSSPTRPWPTILLLQGSQLGGSSVGNGNFAEIGPLDEELKPRNSTWLHKADLLFVDHPVGVGYSYADDRSGLATTDVQAAADATELDKALATRILPDLKSSPLFIVGQAYGGKLAAMIGASLAKAIRAGDINLTLGGVVIGNGWISLADFSTFTGRDTAISYFKFSLVIRVPIRLTYARMLSDVSWLDGNAVDEVNKMAGKVKEQTAAGQFATSLQTFTDLLYLIDSKSDSVNMFNYMTGTGMGMMLITGDNTPKARSSPLTMYLGRDISTIMNGVIKRKLKIIPKDLVWQQWSLDVYEAMKNDFMRPAINVVDELLSLGVNVTLYNGQLDVLCSSTGTQEWLKKLKKPLHFCLPYYIPNGFVKAHKNLQHYSILQAGQAVPVDQPCTALHMIGAIMQSPDV >OGLUM03G20890.1 pep chromosome:ALNU02000000:3:16558845:16570806:-1 gene:OGLUM03G20890 transcript:OGLUM03G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8F3] MSQAPMPPLSVSQPYSQRRWSEWLRSNVGGEVEGKRWWRGGRSKAAIGPLDVDLKPRNTSWLISYSWSGWQHQLADSIFDGGRRLQLRGRPERAGDDGLAGGQGRRRAHIKALPDEIPALKRSPLYLVGESYGGKLAAMIGVSLTKSIHAGDLDLTLGGVVIGDGWISPADFSLTYARLLDDVSRLDENAIDDANKLAEKVSEQSAAGQFAASLQTFTGLIDLIDKNLRVLAAEDKQKEVCGSPLMRFVGQDLSGSSGPNTIEGIMNGVIKEKLKIIPNNLVWQLATVAVFNALENEFMKPAINEVDELLSLGVNVTVYNGQLDVICSSIGTRKWLKKLKRIYKNLQYYWILEAGHV >OGLUM03G20900.1 pep chromosome:ALNU02000000:3:16564437:16564853:1 gene:OGLUM03G20900 transcript:OGLUM03G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLLIILAASILHTASSATVYDVLQQNNLPRGLIPQGVTSYVLHPDGHLEVTLPGECNFAVTVGGSPYKFRFDSKFVGFIKSGSISEVNGVRVQVKYAFEAIIQVDHAGNQLTFKVGTSSISFPISDFTSSPVCG >OGLUM03G20920.1 pep chromosome:ALNU02000000:3:16573576:16576058:-1 gene:OGLUM03G20920 transcript:OGLUM03G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSLSHAPSAPKSLRMATLALCVQTLLQLSGGGVAAISGGTNDGSERWGYVQVRPSTRAIDRFLPFRKPSQAHLFWWYYRSPHLVKVDGGIIVEVEVDVGVDGGIIVEVEVDDSIILNGEVSVDDDIIIEVVDIIGRHRMV >OGLUM03G20930.1 pep chromosome:ALNU02000000:3:16580377:16581354:-1 gene:OGLUM03G20930 transcript:OGLUM03G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSPSSRSQGSASVLLQAAMQRPCPSSQAQVPALLQAAMQPPSSSSQASAAALGRPHVDHRLLDLGPTALCAPHRRLAVVSVCLILNLPTPETESMEGHAPWETLNPLSHEAPGVDFSTAYSVSDEEFLHRSNFGKAVPDSFDIMQQDAIHSLFFKIKEPPAFANQTFNFMKAATAEF >OGLUM03G20940.1 pep chromosome:ALNU02000000:3:16592440:16593300:-1 gene:OGLUM03G20940 transcript:OGLUM03G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8F7] MDRPYPPLLPLALLALLFLCLLQGERAAAAADDLTSGTGDGSELWGYVQVRPKAHLFWWYYKSPQRASSPGKPWPTILWLQGGPGASGVGLGNFLEVGPLDVNLKPRDSTWLQRADLIFVDNPVGVGYSYADDPSALVTTDWQAATDATELLRALAAKEIPTLQSSPLFLVAESYGGKYAATLGVSLA >OGLUM03G20950.1 pep chromosome:ALNU02000000:3:16601017:16602948:1 gene:OGLUM03G20950 transcript:OGLUM03G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8F8] MAASYSCRRTCEACSTRAMAGCVVGEPASAPGQRVTLLAIDGGGIRGLIPGTILAFLEARLQELDGPDARLADYFDCIAGTSTGGLITAMLAAPGDHGRPLFAASDINRFYLDNGPRIFPQKRCGMAAAMAALTRPRYNGKYLQGKIRKMLGETRVRDTLTNVVIPTFDVRLLQPTIFSTYDAKSMPLKNALLSDICISTSAAPTYLPAHCFQTTDDATGKVREFDLIDGGVAANNPTMVAMTQITKKIMVKDKEELYPVKPSDCGKFLVLSVGTGSTSDQGMYTARQCSRWGIVRWLRNKGMAPIIDIFMAASSDLVDIHAAVMFQSLHSDGDYLRIQDNTLHGDAATVDAATRDNMRALVGIGERMLAQRVSRVNVETGRYVEVPGAGSNADALRGFARQLSEERRARLGRRNACGGGGEGEPSGVACKR >OGLUM03G20960.1 pep chromosome:ALNU02000000:3:16608831:16612495:-1 gene:OGLUM03G20960 transcript:OGLUM03G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVKEQITAGQLADSQQSWIDLLGFIDKKSASVDMYNFLLDSGMDPVSADLPAASSSSPSSSSAQLMKYSTYLSSQAADSGSNTIDDIMNGVIKEKLKIIPKNLKWQELSDPVYNALVNDFMKPRINEIDELLSYGINVTVYNGQLDVICSTIGAEAWVKKLKWDGLKNFLSLPRQPLKCGSSKGTKAFVRSYKNLHFYWILGAGHFVSLNIHMPHY >OGLUM03G20970.1 pep chromosome:ALNU02000000:3:16637005:16637553:-1 gene:OGLUM03G20970 transcript:OGLUM03G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKNVANICTMLAILVFSLQLFSSQGRPLPDDDGITSEMQIRRYLLSHGNGLVEGAVSPSSEIGGPMVGASGGVRPTNPGHSPGIGHHVVINGDVDNDDVRPTNPGHSPGIGHHAIVNGADDADDVRPTNPGHSPGIGHAVVNGADNDADDVRPTNPGHSPGIGHAFVNKIDGPAGKKKL >OGLUM03G20980.1 pep chromosome:ALNU02000000:3:16647013:16647321:1 gene:OGLUM03G20980 transcript:OGLUM03G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNKSSNSISKAFFLVLIILASQVMLSHGIPLEMHRRYLLSHAADATKGVMEGTITPTEGEGFAGANDDVRPTNPGHSPGIGHAFTNNKIGRKLLLAADDV >OGLUM03G20990.1 pep chromosome:ALNU02000000:3:16662131:16662541:1 gene:OGLUM03G20990 transcript:OGLUM03G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLNLIFVLGIIFFLSSDMIIVCSQGRPLIAEAAAAAAAQQQRHLLSSSSSAPRSGGDVEEAAAGGGKGTTTAMTQGTLSPDAAESGGGGGGVGIVEDARPTAPGHSPGAGHAFTNKNGVGRRLLVVTISTLI >OGLUM03G21000.1 pep chromosome:ALNU02000000:3:16665102:16666068:1 gene:OGLUM03G21000 transcript:OGLUM03G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPMWLPFGRAELWETAVAELEPHMRRLPGQLAQPRTRRATPSPPPRDDDGDEFDLRPPPSRVHELEEVPGEFRHVGDAVVRDDANSTRRMTVSLMAPSFLARWHANATDSRSSGPQRKTAAIWVPTFWSPWRFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSWQWCSRAPRRTWRAPVSCGRRRRRRAAAPAIGFVAGDNLTVPLRCACPSLPQVAVVVAAALDTRHGMTCP >OGLUM03G21010.1 pep chromosome:ALNU02000000:3:16667805:16671406:-1 gene:OGLUM03G21010 transcript:OGLUM03G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAGGGGGGGEDWWCYQFGNKEYDVGQDALIVKSSKKSPLPLRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >OGLUM03G21010.2 pep chromosome:ALNU02000000:3:16667805:16671406:-1 gene:OGLUM03G21010 transcript:OGLUM03G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKAEEKPAGGGGGGGEDWWCYQFGNKDALIVKSSKKSPLPLRMVVFAMTMICGIFICTMCMKQLGSDSLSRIVKIEVAEQLCNKSAILHSEVHFVHYPQPITYSRSECKCTPVRFFAIISSQRSGSGWFETLLNSHMNVSSNGEIFSSKERRSNISSITKTLDKVYNLDWNSSASKNECTAAVGLKWMLNQGLVANHADIADYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTAYEASILARYKPRLNTTSLIRSLKQVDDYTRDALENLKSINHITIYYEDLIRNRTKLLDVLDFLKVPRSKLVSRHVKIHTKPLSEQIENWDEVYNALNGTQYESFLNADYRI >OGLUM03G21020.1 pep chromosome:ALNU02000000:3:16671528:16686829:-1 gene:OGLUM03G21020 transcript:OGLUM03G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWLEEQGGGNEGMRSTMSAGGRALPGSGGKLATTVPARIRADPSAVVGEAQWQRAASAHRRARHKHPHTKIVPPIELKGNVISTEPIPIPSLSRAEELKPPKLAQSPKRETAHRPFALAPRRAARRRLALPPPPPPALEPTLLQRRVRCPPPPPPPRARRRRRGFPELMRP >OGLUM03G21030.1 pep chromosome:ALNU02000000:3:16694632:16697408:-1 gene:OGLUM03G21030 transcript:OGLUM03G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase 2 [Source:Projected from Arabidopsis thaliana (AT2G26550) TAIR;Acc:AT2G26550] MPLAAAVAASAVVPPRPPPPPPRRARPLRSFTGLILTRDLAALTVARCAPSPPAPAAEAEAEAEAVAVDEAPPAKRRPRRYPRQYPGEAVGVAEEMRFVAMRLRNPKRTTLKMDDTGAEEEVGDGVSEDASASEEEEDDDDVVEEEEEGAGLEGEWMPSMEGFVKYLVDSKLVFDTVERIVAESTDVAYVYFRKSGLERSARITKDLEWFGGQGIAVPEPSTAGSTYATYLTELAESNAPAFLSHYYNIYFAHTTGGVAIGNKISKKILEGRELEFYKWDSDVELLLKDTREKLNELSKHWSRKDRNLCLKEAAKCFQHLGRIVRLIIL >OGLUM03G21040.1 pep chromosome:ALNU02000000:3:16702275:16705715:1 gene:OGLUM03G21040 transcript:OGLUM03G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRVDPWGGPFLCSSCQLKKEAMEGKQHLTSMNAFI >OGLUM03G21040.2 pep chromosome:ALNU02000000:3:16702138:16705715:1 gene:OGLUM03G21040 transcript:OGLUM03G21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGEVDGSGVPLAVLLKRELCNQKVERPDMLFGEASKSKKGEDFTFLLPKCSRRPGQAQADGEDAGGAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTTALPRALVAGFVKTDKEFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGSVYFLSADHRLDTNEEEVERVTASGGDVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALRFQEALNYTRGLPAEAAASRIVKESVSSKGLRDDTTCIVVDILPPEKLSPPLKKHGKGGIKALFRRRPSDELTEDQMDRGCLEPDVVEEIYEEGSAMLAQRLKINYPTGNMFKLHDCAVCQLVMKPGEGISVHGSIPRNSRVDPWGGPFLCSSCQLKKEAMEGKQHLTSMNAFI >OGLUM03G21050.1 pep chromosome:ALNU02000000:3:16706707:16707254:-1 gene:OGLUM03G21050 transcript:OGLUM03G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPSITLKIPAMRCRAIISSPPPMTLEEFKKWFMKFDTNNDGRISGAELREAIRSKGFGFSAWWKSIVALHQADKDRNGYIDEFEIENLVTFAQKVLGIKITTWQQHLDNVQKAVKGVLAVTSVSVLAVHFDNYNEEKLKLNPFMFFGQYFFIAYW >OGLUM03G21060.1 pep chromosome:ALNU02000000:3:16709639:16712194:-1 gene:OGLUM03G21060 transcript:OGLUM03G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNTNDHGTARTRDGEETASVPVENPDSSHEMEKKLTHNLTENGQAPTGHTVPSLPDTVSKLKPAQESDDSLKDKTDLPTSTSKTEVNNISENGSTNQSTMLSDESRTKEDKMNHHENIAATTNKKAETDARPESPYRGLIDTAAPFESVREAVTKFGGIVDWKAYRSQTLERRRVMQLELEKAQQEIPQFKQDSEACEMAKLQVVEELGRTRRLVEELKHKLERAEIDVDQAKQDSELAQLRAQEMEQGIDYEASVIAQTQLAVAKERHQKAVDELKLVKEEMRSTHEKHTVLASERDIAAKRAEQAISAAKETEKRVEELTLELIAIKESLESAHAAHHEAEEHKLGAALAKEQDCLAWEKELQQAQEELQQLNMQLVSKTDAKSKIDENTHMLQILSKELAAYTENKMSEEAGVIEEDGSDEAKEISRSIKRALASTRKELEGVRGNIGKAKDETNLIRAIAESIKSEVDKEKASLVSLQQREGMASIAVSSLEAELNRTKEEIEMVYIKEAETREKMAELPKMLQQAAQEAEDAKVAAHSAQEELRKAKEEAEQTKAAAATAEIRLRAVLKEIEASKASEKLALVAAQALQESEETSSVEDSPRTITLPISEYHSLSKRVYEAEELANERVAAALAQIELAKESETRTLERLQQETKEMHKKKDALQIALQRADRAKEGKLGAEQELRKWRAELEQRRKAAKHVANPWTAPPIRSPEQKGSYKEDDAVLTEPNSPMSNSSTDDFVVDQKLRKKKTFFPQMSSILSRKAQT >OGLUM03G21070.1 pep chromosome:ALNU02000000:3:16741544:16751841:1 gene:OGLUM03G21070 transcript:OGLUM03G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPGKFVSVNLNRSYGQPAPSHHGARPSRPSASSGGGGGGGGGGGMVVLSRSRGSSVSKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGAASAPVRSGAPTAGWTKPAPAVEKPLPPASVPLPGGGPRPPPYGFPEKAAAAAVVLRGEDFPSLKAAVAPPPPPPAQRHKDADGARVATPETRPPLGMRPQVTPSRAAEPLSSTGGTGTGDHVSAEKAQRNDLGPLPLVRLRYDSDWADDERDTGLTLPERDSRERGFGRSEPAVSGRDIYGGMRDPFKKEPFVKDLIASSKEGGQDAAWRSPMSSQQDRERTDGRPYSAGRGSSAQSSYRESMNGDASKDSWNTSREPGVRVYGQNGAEPYRNARVGETPGECYGNISNNWYRGNPFQNSFVSKVQPFPGNKGPLNNEPPANFGREKRLTGTPAKPLIEDGGFDSITAVNLSAIKKKKEAAKPADFHDPVRESFEAELDRILRLQEQERQRVLEEQARAREIVRKQEEERERLIREEEERQRLVEEEARHAAWLAEQERLEAAKRAEEQRIAREEEKRKAAIEEERRKEGARKKLQELEARIARRQAESNIRDGDLASCINDELLPGAVKDKDVPQSANTDDRHDFDRMGERINTSASSESSSNNRYNDTVPRVHTLRDGHSSLVDREHAHFSGRTSFQDQESVHYSPRRETFTARRGNYPKKDSYDGFATVTVRPSSRGRTIDSPWASEEYHHGRAPRWDAPRENDRFDKQSDFDTEFFSSDRFGDAAWLPSSSHEGPNRHQGEKMFHSSEDNEFPFTRPRYSMRQPRVPPPPAVARSTVGPSTQHANSSFVESGLRESSSREEHTMQTEYGRVYQEASHQHGTSAEGIGLDEQQNGDRENPILGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGDRTVMSDIDHAASTLDAANTSRINTSSTVSHLEDDEWPSENNENRQKQDEYDEESNSYQEDEINEGDDENLDLDDEFAEGHSTHIEMEPVILGFDEGVQVEIPPNPEVELVSMKNTHSGVMEQQVGSSSVCPSDLVTEAEKALRNLALDQINALTDETNNEPSNSLVASAPGSKLHQAPSTDPIMPPASAVSGRSEVPVNLQFGLFSGPSLIPTPVPAIQIGSIQMPINLHSQINPSLSQVHPSPAPLFQFGQLRYVRPIAQNVQSVSQAMPSIHSSAPAPYILNQYGSSGLPNEINQHTHQNIPREAAQSSSIEKSVVCAANLSFMLQHSDSQKLGAPAINQMVDAEGFHNLLDRSSLGENMCRVSKPESHRNHDISLKRNYRPTSNNRESSQVNSDAKIVSGPKAPGAVPGGRGRKYGYAVKEPNIRSTSSVDHSNKDSRGLQRRSRRNIRRTEFRVRENVEKNHIQDESFSHSEQNEKPYSNGTAREIPLKNPNRREGDKSFRANEAIDLSAGPSTCANYYSKTERSTHKAPSYERSHSGNKKLRAGGAIPEGDVNASSQAAVARVVRQQGIEIPVDADGFIEVRSKRQIMSVRRELREKENRSKMRIAKAPRKQHQVSLHSSSSPNLNKGTVSLAEPAKKASLDSVMAVESRVIDPAESSVALKGDKASMTPIGQPLVNAESHTNYYAKKPIHSQPSSDAVNSGKLVTSLSEENNKTMPISTPFNIGTWDNSQLNQQVMPLTQTQLEEAMKPGKFEQAGSGFSLEPNNALSPTLGSEKAFPSSASPINSLLAGEKIQFGAVTSPTVLPPVSRTITSGLGPPGSSRPDMKIDRNLPGDSNSTAILFDKETPTTKEPSPNSDYVEAEAEAEAAASAVAVAAISSDEIVGSGADATAASASDNKSFGNKNLAGLASGDVFDNTEAIAGQAGQSSTDEPLSVALPADLSVDTPPMSLWHPLPSPQASGPMLSQFPGAQPSHFSCFEMNTMLGGQIFAFGPSDECAGSQGQQPQRSNALPSAPLGAWPQCHSGVESFYRPPTGFAGPFISPGGIPGVQGPPHMVVYNHFAPVGQFSQMGLGFMGTTYIPGDKQPDWKQNQGPPVVGVSQSDPNNQNMVPGQVSSPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQSSTDIQMQPCWPHMPVAPLHTVPLSVPLQQHPMDATAAAQFVHNIQVDNKASSNNRFQEPSASVVPADNSKNIPNASATQFTDDLGLVEQPASTSSNAQTVQPSFARVGMISNEVPNSAKVMGRSSNTPNVNPGIATGVSNSNGSQVASMPSKPHQSSSSSGQQYQHQVNNQDRRSRVTQKTGAVNEWQRRSGYQGRNQNSGSDKNLGTGRMKQIYVAKSSSASGHAPSG >OGLUM03G21080.1 pep chromosome:ALNU02000000:3:16752313:16755135:-1 gene:OGLUM03G21080 transcript:OGLUM03G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSTGWTDEKHMLYINSLEESFVTQLNDGKVSSKGGHDICEGNRTDTIVAQGYLGMDEVGGAASRASQAEFCIGSASCYRHQEDSKSYFMGGDASTTEPRQERISYHAKQKSHGASSASSFHWHGQSPSWTTELPEQNLDTEVRREQSVACGNKRLKHAATTNSGLVASPGNANLEGYYSGSSSDCDIGLLKADTASPSWKAQALRTWSV >OGLUM03G21080.2 pep chromosome:ALNU02000000:3:16752296:16755135:-1 gene:OGLUM03G21080 transcript:OGLUM03G21080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSTGWTDEKHMLYINSLEESFVTQLNDGKVSSKGGHDICEGNRTDTIVAQGYLGMDEVGGAASRASQAEFCIGSASCYRHQEDSKSYFMGGDASTTEPRQERISYHAKQKSHGASSASSFHWHGQSPSWTTAYQRCVPLSFFRVTRAKLGYRSSKGTKRSMWQQATETCCYYKQWPGCITWKRKFGRILQWQLIRLRHWITEGRYCFTLVESPGTENLVRVRFRIE >OGLUM03G21080.3 pep chromosome:ALNU02000000:3:16752296:16755135:-1 gene:OGLUM03G21080 transcript:OGLUM03G21080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSSTGWTDEKHMLYINSLEESFVTQLNDGKVSSKGGHDICEGNRTDTIVAQQYLQCVKFSLVPNWHIDQGYLGMDEVGGAASRASQAEFCIGSASCYRHQEDSKSYFMGGDASTTEPRQERISYHAKQKSHGASSASSFHWHGQSPSWTTAYQRCVPLSFFRVTRAKLGYRSSKGTKRSMWQQATETCCYYKQWPGCITWKRKFGRILQWQLIRLRHWITEGRYCFTLVESPGTENLVRVRFRIE >OGLUM03G21090.1 pep chromosome:ALNU02000000:3:16770028:16777123:1 gene:OGLUM03G21090 transcript:OGLUM03G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Splicing factor, suppressor of white apricot (InterPro:IPR019147); /.../72 Blast hits to 5479 proteins in 321 species: Archae - 0; Bacteria - 89; Metazoa - 5155; Fungi - 712; Plants - 341; Viruses - 39; Other Eukaryotes - 1336 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G36980) TAIR;Acc:AT4G36980] MWHEARKSERKVHDLMDAARRRSQRRAAYLARRRGDPHQSLQVSGARCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDSSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRLSDEAGLQHVSQELEAKAILPFSFEKPQSSQTPASKGTYSQVGYSYKGDGNEESEDLNSDDEDEEEEDEEDEKGFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKVSQIEREREREAARSVGRVSYRDPYREQRRSPSYDSYSRGRRSRSRSRSRSPSYSRRHGRGTHAESNYRSKPKTPRVEYITEFGGSDDTSDLKVAGISPPSSPIRVGIPNRSSGGQILEALHSDPASSLSVEQEKSTKNLKAPTSTSALVKLSKGAAGGPGKTVQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQRQEKLAEVEGDIIAEAVVGVEVQGGTIHDPSLIPVRLLALQGTEAGQGTEYQLHQSPMK >OGLUM03G21100.1 pep chromosome:ALNU02000000:3:16783084:16784216:1 gene:OGLUM03G21100 transcript:OGLUM03G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRPPLPHGLPRPPSHAAGLRRLSTRASAPTTPRASPATPSPSSAAAAAPSPSALLAHLAAAGVSVLPGLSDPELAHAEAALGGLQLPPDLRDLLAIGVPSGDGFPDYRSPAGLRLLRFAAEEAPRWIEFWTDAAAAGDRFVEVSTCTSTRANAAPQWVRSYLEWAGSVLRRGGWGGGEVEEMTTGSGGGGEEAVALALTVDRCCGELGRAGWGAEEVVEALGALLGPRTRKRPAVALPPDVAARVGRLAEAVSRAVFTGSGSGSGGNPEPAKRPF >OGLUM03G21110.1 pep chromosome:ALNU02000000:3:16784890:16785219:-1 gene:OGLUM03G21110 transcript:OGLUM03G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTVSSSHGGRGQLGRSEVIGNWKNEAQCVLLGLLLLHALSMVMKGQRDGEPSCSSPECVKVSCLIPVVSRLIPRHQTWYPVSCLVPVNITTDT >OGLUM03G21120.1 pep chromosome:ALNU02000000:3:16787519:16792400:-1 gene:OGLUM03G21120 transcript:OGLUM03G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33990) TAIR;Acc:AT4G33990] MRTPALLPRGVEAVVVTSGHLRRLDPHVHAPLLLANTLISAFSRASLPRLALPLLRHLLLSSPLLPLRPDAFTFPPLLRAAQSPGTAAQLHACALRLGLLHGDAFASGALVHAYLRFGRVRDAYRAFDEMRHRDVPAWNAMLSGLCRNARAAEAVGLFGRMVTEGVAGDVVTISSVLPMCVLLGDRALALAMHLYAVKHGLDDELFVCNAMIDVYGKLGMLEEARKVFDGMSSRDLVTWNSIISGHEQGGQVASAVEMFCGMRDSGVSPDVLTLLSLASAIAQCGDICGGRSVHCYMVRRGWDVGDIIAGNAIVDMYAKLSKIEAAQRMFDSMPVRDAVSWNTLITGYMQNGLASEAIHVYDHMQKHEGLKPIQGTFVSVLPAYSHLGALQQGTRMHALSIKTGLNLDVYVGTCVIDLYAKCGKLDEAMLLFEQTPRSSTGPWNAVISGVGVHGHGAKALSFFSQMQQEGISPDHVTFVSLLAACSHAGLVDQGRNFFNMMQTAYGIKPIAKHYACMVDMFGRAGQLDDAFDFIRNMPIKPDSAIWGALLGACRIHGNVEMGKVASQNLFELDPENVGYYVLMSNMYAKVGKWDGVDEVRSLVRRQNLQKTPGWSSIEVKRSVNVFYSGNQMNIHPQHEEIQRELLDLLAKMRSLGYVPDYSFVLQDVEEDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDEAAAAAAEGTLHRRRCWYKAKPTRGTSTRHLPV >OGLUM03G21130.1 pep chromosome:ALNU02000000:3:16807008:16807756:1 gene:OGLUM03G21130 transcript:OGLUM03G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVELLLVQIYRLITFQEVTKALWVRKNEKMRRCEAIRHQHDQLRRGVLLADVAKEEMQRRWRGDFNFFCYCVDFCDA >OGLUM03G21140.1 pep chromosome:ALNU02000000:3:16808185:16810450:-1 gene:OGLUM03G21140 transcript:OGLUM03G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVGAAGGGAMLPAAARRGQPPQPPCMTTAPEQQAAAGGAVIWPAAAAEAKEKMVVDARTMQLFPTRSADGVVVSPAPAPAAAQERRRPEVHVTPSVPATAPTAPLTIVYGGQVLVFEHYTAEAAEKLVQRTQHLLAAAAAGGGGNKNNNVTVVTPPPDEPPMLLPPPQMPAASGVSAGGVMPIARKARTATALKRSQSNMYNLCNQVIMPKNEILTH >OGLUM03G21160.1 pep chromosome:ALNU02000000:3:16823513:16824005:-1 gene:OGLUM03G21160 transcript:OGLUM03G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGSRSSRCSKECSRASSCLPELKLGDVTYGSGRTSSTGMSRRWWHITTPASMIAYARRVMRYEKAWNNKFYGVLDQEEMKLLMCRDELNQSKSKYNSRL >OGLUM03G21170.1 pep chromosome:ALNU02000000:3:16824191:16830860:1 gene:OGLUM03G21170 transcript:OGLUM03G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDAEDLPPAITKLGRHFRLTEAHIWDGWYAAGADVSHRSWRSDDIDSGGCCQTDKVQNKPTKQTDEGDLFVEDLELSNLMGSLGLPVSFSTSKEKKNAPNKVKKNGRRVSYKAANTLIDDDSRTCTGTKETESIVQLMACVEQTNPCSSSRITVGYNEVCQGDIEKMDKDIVYANEQEESGDLCSSKVLSSSKAEDNYEHETCQFHANMNNPVKADSPVRENETAEVVLQLNKEMLGQNSVDNESRFSSAEICMEGGLSTIKDQLSGETPSTSHDNKDVDHETCLSSAEPSPVDNNPAQKSDSSFYFEYGDWRVLWDPFYSRYYFYNILTQESTWYPPHGLEDFASHSNTCIPEDLDEFGSQNKSTPAQEHDQAGGDKHLDEQGQACYSELSNLSDIPDGERINQCMVTFTDEARHTDNIQNDSSMSEISEMNQEIGRTKKKKRVRRSKSYHSCQDLAGNISNDIAKYWAQRYSLFSLFDSGIKMDEEGWFSVTPELIAKHHASRVGAGIVIDCFTGVGGNAIHFANKCRHVIAIDIDPQKIDCAQHNATVYGVHDHIDFVRGDFIHVAPRLKGETVFMSPPWGGPDYAKVDVYDIKTMLKPCDGYSLFKLGTSIASRVVMFLPRNIDQNQLADMCLSVDPPWAVEVEKNFLNGKLKAITAYFEQQDGSDVQDASDTNPQNPEYHA >OGLUM03G21180.1 pep chromosome:ALNU02000000:3:16835978:16836380:1 gene:OGLUM03G21180 transcript:OGLUM03G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLRNPSRHRRPRRSDLRDVIVDHGESAEVARSREGKMSEDSPATSPSRLSHIALLQTPSMKEDEEEDSELLVMDMEMTGEDELLSLNSGGGGHEGQFCLITRWRSENDFENTQKGQNGKVAVNTRFILAF >OGLUM03G21190.1 pep chromosome:ALNU02000000:3:16836539:16843774:1 gene:OGLUM03G21190 transcript:OGLUM03G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53710) TAIR;Acc:AT1G53710] MQSVTRLTLLLCAAWAAALLYGEMGAYWAARLSCSWPSSSSSPPSSLSPNNHVKIAVVADPQLMDSTSLGLPPSSIALQAAEFYTDLNMRRSFQSVVLPFKPDVLLFLGDHFDGGPYMSNEEWHESLSRFKHIFSMNEHITNPNIPIYYLSGNHDIGYSAFHKIHPEVISRYEKEFGSRNYQFSAGKVDFVVVDAQTLDGAKESKERSSSWEFIKALSPGNKTNPKVLLTHIPLYRPDNSPCGPHRSSPVINQRVSNAAMDQGITYQNYLSKETSDLLLHLLKPVLVLSGHDHDQCTVVHPTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKLPANSTGIEHEFVVTLLLLILWPTNGLRSLPYVNSFVSFMRSVAAELFSRTKEKDDEEDGEYEMVWDAEGSMHLVKKAVARTPSTSSDSRTTGRGNVVARPTARKNQPEPDSSVLVEMSSEMISEDGGKVPRSSKLKIRRVLQRLFRVIQSIIVIAALNVPLYMMLLFKDWIDR >OGLUM03G21200.1 pep chromosome:ALNU02000000:3:16845544:16850154:1 gene:OGLUM03G21200 transcript:OGLUM03G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGAEKAELGMEELELEEGGGSPSPSPMTAAGKMQALDFEHIGSLAAVAESLSTGSKWRRALTSVRVVILQAKINVLLPFGPLAVMLHYLSANHQGWVFLFSLIGITPLAERLGYATEQLALYTGPTIGGLLNATFGNATEMIISLYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHPSRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEVQYGKSEVSLSRFSSCIMLVAYASYLFFQLKSQRSLYSPIGEEEEVTEDEEEEKEITQGEAICWLFVLTIWISILSGYLVDAIQGASESLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQQMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDSTGDNK >OGLUM03G21210.1 pep chromosome:ALNU02000000:3:16850912:16852630:1 gene:OGLUM03G21210 transcript:OGLUM03G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10580) TAIR;Acc:AT1G10580] MDLLQSSYKPDDEDDDEQQPLSSPDASPLRLPAKSAAPAVDDTALALSAAAASTSRPLDPSLHLVPFNPTADQLWAPVLGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYAADPSGLHIVGDAQPSAEPDTVYNLAPSEHKRRRLQSKDEEGANQEPLPPEAKNPASDEWILRNKQSPWAGKKEAPPAELTEEQRQYAEAHAAKKAEKEARGEGKGEKSDMVAKSTFHGKEERDYQGRSWITPPKDAKASNERCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLESRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHVLLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYW >OGLUM03G21220.1 pep chromosome:ALNU02000000:3:16855905:16857383:1 gene:OGLUM03G21220 transcript:OGLUM03G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G20870) TAIR;Acc:AT5G20870] MGSCAAVHALAVAWAVAALLSRATPAGALAANWGTRALHPLPGDVTVRLLRDNGFDKVKLFEADPSALRALGHTGIQVMVGLPNELLAPVSSSVAAAEQWVLHNVSSYISKLGVDIRAVAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVRVTVPLNADVYESLDGRPSAGDFRPDIAGLMVGLVRFLLDNGGFLTINIYPFLSLQADPNFPADYAYFPSPGSPPSQASVQDGGVLYTNVFDANYDTLISALEKHGLGAIAVVVGEIGWPTDGDKSANAANAQRFNQGLFDRILAGKGTPRRPQMPDVYVFALLDEDAKSIDPGSFERHWGVFNYDGSRKYNLRLAGGRSIAPARGVRYLSRQWCVLRPDASPADPAIGGAVGYACQYADCTSLGAGSSCGGLDARGNVSYAFNQFFQAANQMKGSCNFNNLSMITTSDPSQGTCRFQIEIDTGRHDLAVASSASAAATSVAAVLLLALLGLVI >OGLUM03G21230.1 pep chromosome:ALNU02000000:3:16858030:16863549:-1 gene:OGLUM03G21230 transcript:OGLUM03G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSSSWFRAASGSGMASVGFTGVVAMLLMATAFLGVTADTSSDDVTALNTFYTSLNSPSQLTNWVAQNGDPCGQSWLGITCSGSRVITIKLPGMGLKGTLGYNMNVMTALVELDASNNNLGGGDIPYNLPPNLERLNLENNSFTGTLPYSISQMASLKYLNLGHNQLSSINVMFNQLTNLATLDLSDNTFSGTLPDSFSNLTSLTMLHLQDNRFTGTIDVLSDLPLTDLNVQNNQLSGAIPDKLKGISNLQISGNSFSNSPVSPAPSSPPSTTSQSPPRQPSTRNPRNRNRNPPIGSNGDNGGNGDGSGGDGGGRSSKIGGGAVAGIVISLVVLGAMVGFFVFKRKSTRHQRGGDPEKNEPLTLRPIASGKFNQLRTISIISPTAKEGLQKTVSMNLKPPSKIDLHKSFDENDLTNKPVLAKNVDLSSIRATAYTVADLQMATESFSADNLIGEGSFGRVYRAEISDESDHKVLAVKKINVSAFPSKPSDFFIDLVAKISKLNHPNLSELDGYCLEHGQYLLAYEFYRNGSLHDFLHLSDGYSKPLSWNSRVKIALGSARALEYMHETCSPSIIHKNFKSSNILLDNELNPHVSDCGFAELIPNLELQESDENSGYRAPEVTMSGQYSQKSDVYSFGVVMLELLTGRKAFDRSQPWPQQSLVRWASPQLHDIDALDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGSVLSGGESISRRYDDSGDYTF >OGLUM03G21240.1 pep chromosome:ALNU02000000:3:16894124:16895099:-1 gene:OGLUM03G21240 transcript:OGLUM03G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVDLRSDEQLDSPHPKLKFLIPGKEYDRHELISKEGAKMGQSEITRYYQFEVDDMCAEMLQQCEDKHSRDVGLRSDEQVNSPEAMLINLMPREECDLQKLLMSTEDAKVGRPEGGAEAEIVHNTNC >OGLUM03G21250.1 pep chromosome:ALNU02000000:3:16900237:16900683:1 gene:OGLUM03G21250 transcript:OGLUM03G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGAMVAGAAAAAAAILALMTTTQHDRLGEECDAVVAPAAQECAVCLCELAGAAGCSEPEAAAAAVRTLPGCGHGFHAECIGRWLPLRAECPICRRPVVTGADGQAPVAVAEAAAAAAAAPAWSRAARMACEFGDGRVVWTRSPSA >OGLUM03G21260.1 pep chromosome:ALNU02000000:3:16904675:16905155:-1 gene:OGLUM03G21260 transcript:OGLUM03G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRISSVGGAPHLRHCLGFGPRVGATDEATVHHGQATLPFPRGGGWGEPMISDPITLLEKEVIPLPHARQGEKEHQRLAWPSNICHGRLPSAHGRERARDTGEGEGGRGGRRVGR >OGLUM03G21270.1 pep chromosome:ALNU02000000:3:16914394:16915236:1 gene:OGLUM03G21270 transcript:OGLUM03G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGTSVAAAATMLAAAAAIFITFVVCFYLFLCAKRYRGAAPTIGGGSGGGGRGRARFVFGGPGDGGCGGGRGLDEAAIAALPTKVVAAAAEGGDGGDPAADCAVCITELAAGESARVLPRCGHGFHVECVDMWLRSNSTCPLCRCAVVDEAPPPPPAVRPPEADAESPNFPTNVLFFGSQDAVRTGGAAAATPPPPPPSSHHQQQPAFPPQPSAGPIAGVAAVVEAARIAALRRLLGCGGATPPPPPAPAQGDRDVEMGLPGGESSASRPATKPQPGS >OGLUM03G21280.1 pep chromosome:ALNU02000000:3:16931791:16934370:1 gene:OGLUM03G21280 transcript:OGLUM03G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8J4] MSSDDDDDGSGRTKLIIAAVVMLLAIVLGTVAYVVVDRAGDDDGLSKRGMKSTMRSVDLFCAPTDYRVACKDTLERVLARSSDPADHPHAATAAAITAVERELARGFDRSSVLEAVRASNDSRVAEALRDCRTLLGDCRGDVSRALTSIAWRGVDAVSQDLQAWLSAVITFQGSCVDMFPQGPIKDQVREAMEKAREISSNAIAIIQQGAAFAAMLDLHASESHAAEGEELDVDHDIQHHVDRHLEDQSLPPVPPWLSDEDRRMLNSGEEFVAGLTPNVTVAKDGSGDFTNISAALDALPEAYAGKYIIYVKEGVYDETVNVTSRMANITMYGDGSKKSIVTGSKNIADGVRMWKTATFAVDGDRFTAMRLGIRNTAGEEKQQALALRVKADKSIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIFGDAAAMFQRCIILVKPPLPGKPAVVTAHGRRDRQQTTGFVLHHSQVVADEDFAGAGGGSSNTSSSSGAAPRLAYLGRPWKEHARTIVMESVIGAFVHAQGYMPWEGKDNLGEAFYGEYGNSGQGANSTGRMEMRGFHVLDREKAMQFTVGRFLHGADWIPETGTPVTIGLFGG >OGLUM03G21290.1 pep chromosome:ALNU02000000:3:16936949:16937515:1 gene:OGLUM03G21290 transcript:OGLUM03G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPREFAIADLLMSSCSSPHADVEGRDGEAVLRRAGHLDTAAADSPLLGLAPSAGGASLPKASRRHAPPSPWLTSASSSPTLAATTPSRIVSSRSSASPLTLAPAPAQRRRPSSPCPTRGEGERIEMDATRARRSFFGMQVEGRDGEAALRRACHLDTAAADSPPLGLAPSASGADLPKAAERRLRER >OGLUM03G21300.1 pep chromosome:ALNU02000000:3:16945654:16947878:-1 gene:OGLUM03G21300 transcript:OGLUM03G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAREILLDKFFRRRRPSRCSQFYVASMYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLGLTAYIIGNMTNPVVHGTSRTRKFVSSHFL >OGLUM03G21310.1 pep chromosome:ALNU02000000:3:16953070:16954302:-1 gene:OGLUM03G21310 transcript:OGLUM03G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAARSSRKRRSPPPRGLGDLHDDVLERVLARLPPATFFRLRAVCRRWSAAAASPTFLRACARVPSRDPWFLMLSGARPRPPLAFDAAGRSWIPCRAAPGGSCGGADAAVPVASSGGLVLYRAPGTGELIVANPLTGASRALPSPPGAHGGAPRLHAIAMYGSPYSVALFAGELPDLSMSVFDSSRGSWEGPVALSRRPDAAALLPDAPSQGGAGADDTVYFLSKSGDVVATNMQRSASKQYSSVVVAASSDGGGDAVAYFLSHSGTVVACDTARRTFTELPRILPVYFEYSIDVVACDGAAYAVVLAEYLDTASLRVWGFAGGAWRQVAAMPPAMSHAFHGKKADINCVGHGGRLMVCVSSGEANGCFMCDVGSNQWEELPKCVNGDGEVNDFLAAFSFEPRLEISV >OGLUM03G21320.1 pep chromosome:ALNU02000000:3:16963739:16964163:-1 gene:OGLUM03G21320 transcript:OGLUM03G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVGIAEPCIVGFAIGWGVAAGGNITTIYRDEDRSGEGRRQTHPARIYPRPRKIDGACSSRH >OGLUM03G21330.1 pep chromosome:ALNU02000000:3:16964668:16976283:-1 gene:OGLUM03G21330 transcript:OGLUM03G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDDTSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQHRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKVKCLACGHRSESTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAVSESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >OGLUM03G21330.2 pep chromosome:ALNU02000000:3:16964668:16976283:-1 gene:OGLUM03G21330 transcript:OGLUM03G21330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDDTSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQHRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKFTYYLKPASNCFFSTVVKGVVCKKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAVSESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >OGLUM03G21330.3 pep chromosome:ALNU02000000:3:16964668:16976283:-1 gene:OGLUM03G21330 transcript:OGLUM03G21330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMRMQQPMDASDKTFADVVKLLTSWLPRRSNPDNVSRDFWMPDHSCRVCYDCDTQFTIFNRRHHCRRCGRIFCGKCTTNSIPASSGPDRNIDEGDKIRVCNFCFKQWEQERAAANKQMMPLLSPSLSEASLFSTKSAITINSVSTTAGSYSTGHYQHVARASSISPPKSSQDKVCHDMLDTHVPEKSMSTVSNKDDTSSVHFGYYTNRSDDEEEECSAYCSDRQVQHQQHNDHYYGPDEFDELESSYNPTISPTVEENVISKEVSSHATDQGFPSTLPVTKMDDEPDPDNSSECGAASSIYALESTDTNPLDFEKNELFWFPPEPEDEEDEMEVGLFDDDDDDEPVADSEQHRIRSSSSFGSGEFRNRDRSSEEHKKVMKNVVDGHFRALISQLLQVENISLHEGDETGWLEIVTSVSWEAANFLRPDTSQGGGMDPGGYVKNVAHRRMTSRIEKPRLLLLAGALEYQRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVEHTVSRYAQDLLLEKNISLVLNIKRPLLDRIARCTNAHIVPSIDLLPSQKLGHCELFYVDKYVEHSVNSNNTAKKMPKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPEIPLESPLTVALPDSRSTADSSISTVPGFTFNVSNSRQTTDGFEHPVAGSIRSTDPGGTDVPPVSNECTTQTRTTFSHSSGTWSANGGSLNSKTVDRIEKATATSATTSGVLMDHSYTYSTLEKNWYSGDYHEYGSTMSDVKTMTTVLANSNGSCHHGTSEASTNITNFANLKEPFDGSIDLANVENVTNSNVVMVQPVPSTAVQNQETNQGHESTSNKEEIMASDHQSILVALSIRCVWKGTICERSHMLRIKYYGNFDKPLGRFLRDCLFNQGYQCISCDKPPEAHVHCYTHQQGSLTISVRKHTEFVLPGERDGKIWMWHRCLKCPWSNGFPPATLRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPINVHSIHVPPYKLDFSHQPLDWIQKEANEVIDRAKVLFDEISRALHQHSDKRAHSGSLNMECGNHIVDLEGILRREKLEFEGCLNKVIKKETQKIQPDILEINRLRRQLLFHSYLWDQRLISAARSDRSHQEPYNFKPADKEMVQSIGSIAEQNAIEKPQSEISATEASFKDHKYVECLQESIDGGNSPGVDPCNSCPNHDQQIAVSESDLIQRGSKTPLHSSVSINVESVPLESDIVARRTLSEGQFPSLLDVSNALDAKWTGENDPVPSSAIVPDCVASSEDSEEHVTDTPSYASVFLNKLGDSAEDQSNWLGMPFLQLYRALNKQWCRSNRFDALNEYTPVHVSFLRTVERQVGPKFLFPIGVNDTVVGIYDDEPTSIISYALASHEYHLQLSDELESDTTDNSLSVTDLRGASLTESVDETASELLRSFVSTEDNILYMSGGKNPSPSDPLAYRKASHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRICCPSERDFVRSLSRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFMKFAPEYFGYISESIVTGSPTCIAKILGIYQVKSLKGGKEMKMDVLVMENLLFERHVTRLYDLKGSTRSRYNPDSNGSNKVLLDQNLLEAMPTSPIFVGNKAKRLLERAVWNDTAFLASIGVMDYSLLVGVDEKKHELVMGIIDFMRQYTWDKHLETWVKTSGILGGPKNVAPTVISPKQYKMRFRKAMSTYFLVVPDQWSPPAVVPSKQGAENNQDND >OGLUM03G21340.1 pep chromosome:ALNU02000000:3:16992021:16993804:1 gene:OGLUM03G21340 transcript:OGLUM03G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTVAKIGPWGGDYGGRDHDVTVAPRRLRSVSLRHGKIIDSIAFTYDGGDGDGDGELHSVGPWGGDGAELPEAVARKLAAGERPPGATVAEFTFDAGERVTEVHGTVGPFGDRDSLVTSLKLVTDRRTIGPFGYGAGTPFSVPVRGDGGVVGFFVRAGAYLEAIGVYVNPCIPSEK >OGLUM03G21350.1 pep chromosome:ALNU02000000:3:16997729:16998982:1 gene:OGLUM03G21350 transcript:OGLUM03G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLVKLGAWGGDHGGKEYDVTVAPQRLEGFWLRYGKVIDCISFSYLDKDKTLHTVGPWGGQGGLSEETITFEPSEYVKEVHGSVGPIGDYTHVVTSLKLVTNQRTIGPFGNGAGTPFAVPVLNNGSVVGFFARAGPYLESIGIYIHPF >OGLUM03G21360.1 pep chromosome:ALNU02000000:3:17023582:17024710:-1 gene:OGLUM03G21360 transcript:OGLUM03G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8K4] MLTLAFSLGLSDDGDYKGSTLVLGGRVDFGGGGVGGTGRFRRARGYSLTTKFGNPTPSAGDANDVM >OGLUM03G21370.1 pep chromosome:ALNU02000000:3:17029404:17029937:-1 gene:OGLUM03G21370 transcript:OGLUM03G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8K5] MPTPTTIICLLAFLAVLAAATGDGTTTTTHLHFYIHETFAGDNATTASLAPSPLAVAGSNSSFGSVGALDDELREGSDAASRYLGRVEGLVVQADLGNPAAAWTLLTLAFAGGDYNGSTLVLDGRVDFGAAGDMERAVVGGTGRFRRARGYSLMTKFGNPTPNTGVFEMDVYVTTMA >OGLUM03G21380.1 pep chromosome:ALNU02000000:3:17042370:17055358:-1 gene:OGLUM03G21380 transcript:OGLUM03G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSGRGVEGEVGWKGEEDMLQLAPKLGVREGELGAAERLIDEMVRRGVAPNAATYSLLMQGLCDADRHADAEKLMFDMEYRGCQAEVVNYGVLTSSRARRGDVNGVRELLSAMRKRKLKPDDASYNVLIKCPCDVGRVDEAHRALLDMQLKGTVPGAANVPRPRRRVLQGSRLRAGSTGFQRDVGERTLHLVRGLGEDGKVEEACFVLEQMARREMSLDADGWQAVVPRQTPSGFAIFAYDGIKLLKPNAMQDIWADIVSEYVAKRAVALKEFKTFEDKLAAINHETGVSEALATMIQKCTAPLQKLPVGNDNYRDIIEKRLHIPCMSGPHVDELMWGLKIQMRAWRNSELIKEDRFPMSVGMTFLLNRHKFGVHPDMLVIKLIIEKAGVMFECDRCVNQHNDPLRSAAEHIKKISRIDTQSWDLMKVAAAFKVICCPGEKNEPEEWLFTRLQLEWFRDDAPKYKDKILKVSWLIVYNEIFRARELRLKTARVLFCLVKRAKEAYEAEQAGEAASDHEIGPDGKEIHPGITPVIIDELTECVCDIILSAGRSYSLKPKLHQNLVMSQICRRCYGVYARAVVTTRASDAAAAGRRTDVAAAAAAAAKRDVAWMRDPKTGCWAPENRVDEVDAVDLRNLLLNYK >OGLUM03G21380.2 pep chromosome:ALNU02000000:3:17042370:17064115:-1 gene:OGLUM03G21380 transcript:OGLUM03G21380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISSVISGIISRRCYGVYARAVVTTRASDAAAAGRRTDVAAAAAAAAKRDVAWMRDPKTGCWAPENRVDEVDAVDLRNLLLNYK >OGLUM03G21390.1 pep chromosome:ALNU02000000:3:17055771:17055968:1 gene:OGLUM03G21390 transcript:OGLUM03G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSLRPFLLAGSPLYRHKVPQGKPACDDGDGRRHRRVEVHGVPEQGGGHGEVSWVAGEEVVQL >OGLUM03G21400.1 pep chromosome:ALNU02000000:3:17079441:17081809:-1 gene:OGLUM03G21400 transcript:OGLUM03G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIHQILKTFFHALIIAEVILGQSDREVLLELKNFLQFQNPINHGGYNSWPESGTSPCHWQGVGCDASGRVNFLDLSNSNISGPAFQNLSRLSGLTHLDLSANSITGELHDDLKNCLHLQYLNLSYNLISGILDVSSLANLQTLDVSQNRFEGGISANFPAICRNLSAINLSSNNLTGSISGLFNNCLKLQDVDLSWNSFTGNVWNGIARLRQFKAGKNNFAGSISSRTFSTGCKLQLLDLSSNHFYGNFPSSIANCAGLTYLSIWDNHFNGSIPPGIGSIHGLEELILTSNHFDREIPLELMNCTSLKYLEISDNNFGGEVQQVLGKLTSLTNLVLQENNYSGGIVSSGILGLPKLALLDLSFNNFNGKLPTEIASMGSIKALMLAENNFSGTIPPSYGQLVNLQALDLSYNSLSGEIPPSIGNLTSLLLLMLAGNQLSGEIPREIGNCTSLLWLNLVGNRLSGQIPPEMAGMGRNPSSTFAKNQKNPSLMKSVTSKCLAVYRWVPSSYPEFDYVQSMMFSHKNCRTIWNRLLMGYDILPASSPLRTALGYVQLSANLLSGQIPSAIGAMKNISLLLLDGNRLSGHLPSEIGSLQLVSLNASNNSILGEIPFEIGNLGSIESLDLSCNNFSGSLPSSLEKLSKLSQFNVSYNPLLTGEVPSSGQLSTFSELSFLGDPLLSWRSAAGHPRPKNGTGFFFNSTEYPTNEDISVSVIAFLVFFSVTFVIRELQIIIFLYNIIMYKLTNHRTPLQ >OGLUM03G21410.1 pep chromosome:ALNU02000000:3:17089221:17091505:1 gene:OGLUM03G21410 transcript:OGLUM03G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLAVWKGRSSVAAGMEGRGRRGRSRARRPRREAARRRGGGRSPRGRWRGSGGGGRRGAVARPRAHGREATSRGGEEEGSGGSGKEEVRASASDAARTYGVGDARKAATARPGSTLPRASMLGSVRPRVPRSSRNGAAANSNLPLAQPRLGSWPAAAEQAANALDRGVQSMEVEGKEKMESRERRGRKEWIFCADPRIYL >OGLUM03G21420.1 pep chromosome:ALNU02000000:3:17099406:17107523:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.2 pep chromosome:ALNU02000000:3:17099406:17107272:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGEIVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.3 pep chromosome:ALNU02000000:3:17099406:17107523:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGEIVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.4 pep chromosome:ALNU02000000:3:17099406:17107523:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMRGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.5 pep chromosome:ALNU02000000:3:17099406:17107068:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.6 pep chromosome:ALNU02000000:3:17099594:17107523:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGEIVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21420.7 pep chromosome:ALNU02000000:3:17099406:17107523:1 gene:OGLUM03G21420 transcript:OGLUM03G21420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDWREPANICEQKLPTKRPYPSLSDTSSEGSCNRYPRNHYDDTPMRIKFICNFGGRFLPRPSDGQLRYVGGERHLIKISRDISWKELICKTSKLIRRAHMIKYHLPGEPMNMLISITCDDDLRNMIDECIVLERTKVLLTVYLFADNDDERHVHFVLGSSSSTDKEAQFIALVNGLVRPGEELKKQRLRNTSANDLDQLMFDINEEGLLARTDKASPNVQSKLSPSIVKVPLKTSREQLENMPPSSQLAVTNQDYKAPRNEDNPLCTARKTNNAHLGSSVPSESTCIGKVEAGAHAVSRHHPGQRNTATNMTRKSNQATEDQVKGSPRKQLPIQVDSRGVNVVSSNSNNNSLTMHIRLPVYEKVASLSGGSEKTVNQPTSHDNKMKLKTYSTQEAVSHSASHNKTEMHKRSLDFPTPPRCHDDTYNSTNSTNLHILEKSITTNNKQKQQPAVMCIDILKKNHPPEPTKGEIVLSCSYLSSDKTTELQKNILVRSSSERQERPNSPKPDEHLSTTARSRSVGADRISPQIRTPSQESKDNVAPFIEESEACETKNSEEALSANTVMGRELISNVQVINNGDLEDLREIGSGSFGTVFHGRWKGTDVAIKRIKNSCFMYPSSQADKLITEFWREAAIISKLHHPNVLALYGIVNNGPGGTLATVTEFMINGSLKKVLLHKNKYLDWRKRIMVAKDAAIGMEYLHSKDIVHFDLKCDNLLVNIKDPSRPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMRGILSNTLRPPVPTSCNLEWRKLMEQCWSTEPERRPSFAEVATRLRSMLEASQSVTLRVN >OGLUM03G21430.1 pep chromosome:ALNU02000000:3:17110947:17113196:-1 gene:OGLUM03G21430 transcript:OGLUM03G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEALRAKEIAERKFESKDLQGAKKFALKAQALFPGLEGIVQMITTLDLYLASEVLISGEKDWYSILSVETSADDETLKKQYRKLVLQLHPDKNKSVGAEGAFKMVQEAWTVLSDKTKRALYDQKRKLMVLKRNTSQTNKASAAPGASNGFYNFAANAAASKVTRGNKQKAGPATSSVRQRPPPPPPPPRQAPAPPPAKPPTFWTSCNKCKMNYEYLKVYLNHNLLCPTCREPFLAKEVPMLPTESVHAVHDPNISGANQNTNGSRNFQWGPFSRTAGAASATASSAAAAAQAANVVHHTYEKVRREREEAQAAARREEALRRKYNPPKRQANISENLNLGTGGNSSKKMRTTGNDVGIGSSSILSGSGANYFGVPGGNISFSTNSGAHHFQGVNGGFSWKPRPPTRISLVKTFTQFDVRGILMEKAKSDLKDKLKEMQTKRSQVAANGKKNKKNMFKESGGDDESLASDDSTARQAAHVDPEDNASVNSTDADDENDDPLSYNVPDPDFHDFDKDRTEECFQSDQIWATYDDEDGMPRYYAFIQKVLSLEPFQLKISFLTSRTNSEFGSLNWVSSGFTKTCGDFRICRYETCDILNMFSHQIKWEKGPRGVIKIYPQKGNIWAVYRNWSPDWDEDTPDKVLHAYDVVEVLDDYDEDLGISVIPLVKVAGFRTVFQRNQDLNAIKKIPKEEMFRFSHQVPFYRMSGEEAPNVPKDSYELDPAAISKELLQEITETVESSKATSEC >OGLUM03G21440.1 pep chromosome:ALNU02000000:3:17112815:17113965:1 gene:OGLUM03G21440 transcript:OGLUM03G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRMCVTDAAGAAGRTTATLLARARHGWGSAVAEDVLTRMDAAEAAGRTTATLLARARARWVGIGAGGGGGGCARQDAAEAAGRTTAPPARSRARWMGIDGGGGGGGGRTN >OGLUM03G21450.1 pep chromosome:ALNU02000000:3:17113245:17113961:-1 gene:OGLUM03G21450 transcript:OGLUM03G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVRPPPPPPPPSIPIHRARERAGGAVVRPAASAASWRAHPPPPPPAPIPTHRARARASRVAVVRPAASAASILVTGSITRARSIRIRRNSFVVLWGWTVL >OGLUM03G21460.1 pep chromosome:ALNU02000000:3:17118623:17124635:-1 gene:OGLUM03G21460 transcript:OGLUM03G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8M1] MADGGSPTGEPEPPRPPSSCINKGPGHGNPRTNPFHLRAKRRRRAMGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >OGLUM03G21460.2 pep chromosome:ALNU02000000:3:17118623:17122980:-1 gene:OGLUM03G21460 transcript:OGLUM03G21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8M1] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEFFEKCQEDPSHWTKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >OGLUM03G21460.3 pep chromosome:ALNU02000000:3:17118623:17122980:-1 gene:OGLUM03G21460 transcript:OGLUM03G21460.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8M1] MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLVNLGKGMLQAHQIIAEYNNAISEADREKLKDGAFEDVLRSAQEGIVISPWVALAIRPRPGVWEYVRVNVSELAVELLTVPEYLQFKEQLVEEGTNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSGLSADTPYSEFHHRFQELGLEKGWGDCAKRSQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVIMSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDATGTTCGQRLEKVLGTEHTHILRVPFRTENGIVRKWISRFEVWPYLETFTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYSESRKRLTSLHPEIEELLYSEVDNNEHKFMLKDRNKPIIFSMARLDRVKNLTGLVELYGRNPRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTKGAFVQPAFYEAFGLTVVESMTCGLPTFATAYGGPAEIIVNGVSGFHIDPYQGDKASALLVEYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSNK >OGLUM03G21470.1 pep chromosome:ALNU02000000:3:17140240:17141408:-1 gene:OGLUM03G21470 transcript:OGLUM03G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRRAHATAPSHALLQGPAPDPHLVAPSAASLPPRTTGTPFLRRGRTTFPAPPPPSPHIGGECRKTSGGGERREEGERRRELGGGRTTRRSPRAAVCSSSITVAAARSPSVAVAATHSCLSRRAPPPEDQNRHRRCHRSSCRLWRGRRRNERIRMDSLY >OGLUM03G21480.1 pep chromosome:ALNU02000000:3:17193933:17194229:-1 gene:OGLUM03G21480 transcript:OGLUM03G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAWWMRARMVAMTQRMRARTAAAARRLPREDEDELTATAAAGQGWQLRRRRWDNDWATAMAAKEEDDTREDGSTYSLPSLRAPQPWAARVARWQI >OGLUM03G21490.1 pep chromosome:ALNU02000000:3:17195180:17197545:-1 gene:OGLUM03G21490 transcript:OGLUM03G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >OGLUM03G21500.1 pep chromosome:ALNU02000000:3:17205971:17207462:1 gene:OGLUM03G21500 transcript:OGLUM03G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLSMVLLQLTIGVTSNGVERSSLLPSLLHRLGNGVLLQLLWLQKGGMQLLFLLPLLLLLHLLPPLVGRKAVLRHLLAGRKCADHHSSATGGKLSYLTLCNVFGRSV >OGLUM03G21510.1 pep chromosome:ALNU02000000:3:17216276:17225444:1 gene:OGLUM03G21510 transcript:OGLUM03G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z8M8] MAAAAAGGGGGGAPRALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRSDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMGPNSYRIPMAIALHPERGAVESKKPDIKQRRVVVTGMGVVTPLGHDPDEFYNNLLQGVSGISEIEAFDCSKYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGITEEVMNELDKSRCGVLVGSAMGGMKIFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLDVMLCGGSDAPIIPIGLGGFVACRALSQRNNDPAKASRPWDVDRDGFVMGEGAGVLLLEELEHAKTCNMDIHYLQQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADAGVAKEDINYLRVNSTKSMTGHLLGAAGGIEAVAAIQMLIDLKGNISLYQKLKLCKVKDEMGTGVHTRNIQCVTIN >OGLUM03G21520.1 pep chromosome:ALNU02000000:3:17228143:17229058:-1 gene:OGLUM03G21520 transcript:OGLUM03G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSCPAGASAMLNLDLYSCRARHCVSPLPLASTDAMFNLKFRWYQLYIGLQLKCTVRTELTGGYSICSLLGTEKTEERGKPEVQEQVQDETFGKYNWTSVKM >OGLUM03G21530.1 pep chromosome:ALNU02000000:3:17235341:17237579:-1 gene:OGLUM03G21530 transcript:OGLUM03G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNHNLLVPTTTTTTTTSSSSSSSKNNAANKQQQQEPPHLSGAYIRSLVKQLSSSSSTARSNKDHTITMGTSKPHGCCHPQPDQQEPQTTPPPPQPQPQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQLLHLQHHQQQQQQEVVATQVAAAVQEQQIVEQAAASTRSSANSAAAAMHHGYASFSDYLYNSPLTHLSSSPAAYSSPVPYHAPPPPPMAAAAQAQLGHGDLLPLPAQPLGLNLSFHGFTSVVADVCDGDGGKQGSSTGCLEPYHPLLHQPSPASSYSVYSSPSVTTTAAAGGGQDMSPSALITTAENTSSQSQLAAAEIADPSLHRVLDDEEMAAIYSIGEQHDIEWSDTVNLVTSAWWSKLLDTVEGGAGAAASAVAAGGAVNASAAEEEEELTAARMAPDWFGGDGGHLVGHHQSSKESGSDVLGMHFGEYYGHHGHGIGSYGEDVSLPRMDLGEIEGWNAEWFS >OGLUM03G21540.1 pep chromosome:ALNU02000000:3:17264140:17264655:-1 gene:OGLUM03G21540 transcript:OGLUM03G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCSSSEGELSIVPVFSELSECLLRVSASPPLPVPSSILGEDGVVTAARDSEWQPGTARRRRWSMSTPLPPPQLMEAYPVPPPPCASKLKLWLPVVSPSAASCPCRRLHPSTSGAPPPMPARLGLELWMMAWAWISTLTMSPRHHMHRRFFSTGVFPMKRCGASSPPGRG >OGLUM03G21550.1 pep chromosome:ALNU02000000:3:17275576:17276268:1 gene:OGLUM03G21550 transcript:OGLUM03G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKASAVARKLDVSVGRCSTSPYGSGGLPSPTSPLDRAALHAPSPRGVWRHRDAGGVGLGILAALEAQEEEEARKPAVSAVAIAASASASSSAAATTTRRRAARLEVSELGCSGRCATSLCGSGAGAAAFRVAEFLACCDLCRRPLDGKDIFMYRGERAFCSMECRYHAIVSDEFQQEKDRKRRAAAAAAPRDIPSKVSAAPSTAAAEIAGSPCSGGGQIFFTTGIVAA >OGLUM03G21560.1 pep chromosome:ALNU02000000:3:17283995:17288946:-1 gene:OGLUM03G21560 transcript:OGLUM03G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRTGQHRRLYVQGIILGYKRSKLNQYENTSMVQIEGMNTKEDVAWYSGKCMAYPEPLWQDLGPFYGLFHGVLLPSFFTNLFSSTNPFRRSTSIVRSAMALVNLGILAMKNWRSWRTQVSLGMGTNSANA >OGLUM03G21570.1 pep chromosome:ALNU02000000:3:17326985:17328791:-1 gene:OGLUM03G21570 transcript:OGLUM03G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSGERGSSSFAMACSLLSRYVRQNGAAAGELGLGIRGEADANKGKETMELFPQNSGFGSEAAAVKETPDAREQEKRQLTIFYGGKVLVFDDFPAEKAKDLMQMASKSSSTAQNCVLLPSSATATVADNTKVSAVPAPASALPVAQANAPKPVRPNAADLPQARKASLHRFLEKRKDRLQAKAPYQGSPSDASPVKKELQESQPWLGLGPQVAAPDLSLRQESSQ >OGLUM03G21580.1 pep chromosome:ALNU02000000:3:17339796:17369909:1 gene:OGLUM03G21580 transcript:OGLUM03G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C2 [Source:Projected from Arabidopsis thaliana (AT5G45140) TAIR;Acc:AT5G45140] MFCCISTVPPFPLFFWLLSFRYAPPPPPPPPPPPSHSHSHSHPIQSAAVTTVAAAVDDDMERTEEGEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRYNSVRVGVPSVQVQYIAEKITPHFCRLTDRTYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVIFMEKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVAQMVGRDPRYGDLLYPSIQECAFERIYTQKQALQYMDDKVMYPGAGNQKEGRSKSILRDVFVAHVPVESGNFRPKCIYTAVMLRRMMDAILNADTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSNAVELMNKTSEKIHSSPLDLSLHIKENIITHGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQCYSLGVEDLSLLSGEEIHASGSFLVMFNGLILGKHRQPQRFANAMRKLRRSGIIGEFVSIFVNEKQDGIRSFDDFLRDGLIEYLDVNEENNALLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVTKEKYEGGYSDRIVKPQRDKDGVLLKQNMRALDEDGFAAPGLIIRNHDIYVNKQTPRNTKRDSGAHLTDRDYKDSPAVYKGVDGETTVVDRVMLCSDTDEKLIIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPRCKINLSKRFFQPYINNTSSRVEGYTGKMIELLGGKAGVSCGQFHYGSAFGEPSGNADKVEDISRTLVKHGFSYNGKDLLYSDRYFKTVPKSLFCLSGILGHPCQAYVFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLISSDPYQVQVCRKCGLLGYYNHKLKASYCSMCKNGENMAKMRMPYACKLLFQELQAMNVVPRLKLTEG >OGLUM03G21580.2 pep chromosome:ALNU02000000:3:17339563:17341952:1 gene:OGLUM03G21580 transcript:OGLUM03G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C2 [Source:Projected from Arabidopsis thaliana (AT5G45140) TAIR;Acc:AT5G45140] MEKVRVLFYRTIAPQQGAGFPSSLHRTEEGEAPAAKDPNASLPSPTYRSLAAPVTKPVDKFALLPAFLKVRGLVKEHIDSFNYFITKGIRNIVKANNRIEARNNPSIFLRQVSVPLSFHCNSFLVFSYVVVAHMNFTFFILMSFMQNCLKVRVYVGNGAVESAIVGNPRCINMCPYSAPVLADIEYTVGKQYELKRKPNFIIGYLPIMLRSHACVLNGKDEAELARYGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRCNLVNGKSSNLSFFRLREYP >OGLUM03G21590.1 pep chromosome:ALNU02000000:3:17394367:17395837:1 gene:OGLUM03G21590 transcript:OGLUM03G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRGSIAFFATYRPPVPLDVFSCPVAPLPSSPRDELHLTDGVSYNHSCCPIPAAALRALLQRPKLASEATTADVDAGRVTGLVFVSERDSGLETLHVALRFDGGKQVKVFGLADIFGAGSDFSGVRKEDSGCFGGGYRERGRAVDHTLVYVSTREPVAARRSPWTVVYGTNLRTGETARLTPRGTFDLSPAVSPSGKRVAPGLWDGEIEDLRTDIYVMNVHKPPLGRTGAPVVKNGGWPTWGSDDVIFFHRRVGTTWGVFRFSMSTGEEARVTPEEFHAVTPAAISETKVAVATIRRKSQFSEVRVEDQYRHIEIFDVASPEQPWSTLVTTKEAAAYRPACPYAHPDGGDSWHMTGHLLPKRCC >OGLUM03G21600.1 pep chromosome:ALNU02000000:3:17419753:17421363:1 gene:OGLUM03G21600 transcript:OGLUM03G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNDDEQSAPVANNNGGPTTRPPGITGVPVGQVQLTKYHNAEAPLDPNDQEVLLELKGTSSATSRAALDLIAVLDVSTSMAGDKLDRMKAALLFVIRKLADVDRLSIVTFSNDAARLCPLRFVAGDAARADLKALQNRGDATRLDPGGVPVHTFGLGADHDPAVLQAIAGKSREGMFHYVADGVNLTAPFSQLLGGLLTIIAQDLELTVTRVKGEAAIKKVDAGTYPQAAAADGSSVTVQFGTLYSAEVRRVLVYLALEDSTAFPPYDAEVVEALFRYSLHGATVASNPDPVSIRRSGSAPEPSEEAPARKPEVETEMARRRHAESIREVRSMADGKELERARTRLVEAQNKLEDVLDQANPMVDMLREELLQLLRLMETQEAYERAGRAYAVSSLASHDRQRFAARVDAEGVRLFATPRMDAYLEQARRFDEDPGGAALPSAEEDVRQEVAANPLAPVAGQIAFYVMSAIQALQAIDKIFASVAAATSTST >OGLUM03G21610.1 pep chromosome:ALNU02000000:3:17457770:17469507:1 gene:OGLUM03G21610 transcript:OGLUM03G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCNITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKAYFITNMEPINLWDFLYMLLEELGYKRMCEFILRPYLRPHTWSKFYCY >OGLUM03G21610.2 pep chromosome:ALNU02000000:3:17457770:17469507:1 gene:OGLUM03G21610 transcript:OGLUM03G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCNITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKTNNISDRVELQQSVAYVWNASTKNVDNV >OGLUM03G21610.3 pep chromosome:ALNU02000000:3:17457770:17469505:1 gene:OGLUM03G21610 transcript:OGLUM03G21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding METAPAANGCSGGRRLQEEEPAARWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAITLDPVEEKGLLGEALRDGRAVYDSVNVCNITQLTKAFQGVEVVFHTAAADPDINDFQLHYKVNVEGTKNVIDACVTCKVKRLIYTSSSGVVFDGVHGLFDVDESMPYPNKFPDAYAQSKAEAEKLVRNSNGICELLTCSIRPGSIFGPGDTIVPHLLSHWRTMFIIGDGMNCDDFVYVENVVHGHICAEKTLSTKEGSKISGGKNV >OGLUM03G21620.1 pep chromosome:ALNU02000000:3:17500517:17506391:1 gene:OGLUM03G21620 transcript:OGLUM03G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGGRWCVVTGGRGFAARHLVAMLLRSGEWLVRVADLPPAIALDRDEEEGILGAALREGRAAYASADLRNKAQVAAGTKNVIDACIRCKVKRLIYTSSPSVVFDGIHGIFDADESMPYPDKFNDSYSETKADAEKLVMKANGRDGLLTCCIRPSSIFGPGDKLLVPSLVTAARAGKSKYIIGDGSNYYDFTYVENVAYGHVCAEKTLSSEDGSKRAAGKTYFITNMEAIKFWEFMSLILEGLGYERPSIKIPVSVMMPVAYMVEWTYKTFARYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPIVSLKDGLKRTIESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDMKQTMTVLLLLAVIYYHLFTCGYTFITAMAKLFSLTALFGHKVEKLEPSNFHITQVEAHHIAHSVSSTWNSLVGFKAYEKWEDTIDDLVGNACSVVTHFVPGQKSSRQKHADN >OGLUM03G21630.1 pep chromosome:ALNU02000000:3:17508616:17514916:1 gene:OGLUM03G21630 transcript:OGLUM03G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ubiquinone biosynthetic process; LOCATED IN: mitochondrion; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: COQ9 (InterPro:IPR013718), Ubiquino /.../synthesis protein COQ9 (InterPro:IPR012762); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryotes - 9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G19140) TAIR;Acc:AT1G19140] MYTCLGAMKAYTWHARGPQVSFPAASESPSSAPWAQPAADDPISPPPLVLLTPHRTFATASPFRRAGESHAHFAAPLPPPSPPTMASSLAARRLLSRAAAAAAASSRRLVPCASSAPARAAPAALRRFFSAEASTPPLPPPPLEPTVEPPKSEGASSSSASSSAGAGGAHRSAPGASAGARRAGGTGYEEEQEKVLRASLLHPRMGWSESAMIAGARDVGVSPAIVGAFPRKEAALVEFFMDDCLQQLIDRIDAGEGELLKNLVLSERLSKLVRMRLEMQGPYISKWPQALSIQSQPANISTSLKQRAVLVDEIWHAAGDAGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPDFRDTWTFVSHRIKDALDLQKTFQEAAYLAEAVGAGMGGSLQGVLNRVFKK >OGLUM03G21640.1 pep chromosome:ALNU02000000:3:17518650:17524797:1 gene:OGLUM03G21640 transcript:OGLUM03G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQVKISSIEASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLLSNKGDRVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEKRGDDEDEAEAEADPACEGGVCRKA >OGLUM03G21640.2 pep chromosome:ALNU02000000:3:17518650:17524289:1 gene:OGLUM03G21640 transcript:OGLUM03G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAGIATVLAADGRDFLLRNSADQVKISSIEASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKNFEVVFVSGDKDQEAFDAYFAKMPWLAVPFSDSECRAKLNKRFKVRGIPHLVILNATSGEVYTEDGVELVTVHGTEAYPFTTERINELKEQEKAAKDNQTVQSVLGTPTRDYLLSNKGDRVPISDLEGKYVGLCFVVNGYGPVVQFTSLLAKFYEKLKEVGEKFEVVAVSLDSDEELSNESFAGMPWLAIPQEDKMGEKLARYFELRGLPTLVLIGPDGKTLNNNVADIIDEHGQDAWEGFPFTAEKMEILAEKAKAKAELQTLESLLVIGDLDFVLGKDGAKINICYFNAEVPVSELVGKTVLLYFSAKWCGPCRAFLPKLVDEYNKIKEKHNDFEIIFISSDRDQSSYDEFFSGMPWLALPLGDERKQHLSKTFRVRGIPSLVAIGADGRTVARDAKTPLTAHGADAFPFTEERLLEMERKIDEMAKGWPGKLKHELHDEHELVLTRCTTYGCDGCDEMGSSWSYRCRECDFDLHPKCALGKEEKRGDDEDEAEAEADPACEGGVCRKA >OGLUM03G21650.1 pep chromosome:ALNU02000000:3:17536541:17537494:1 gene:OGLUM03G21650 transcript:OGLUM03G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKQAQLAGRLSAGHVTGGDDDDTHGFAAAAVRRHLSFRRPNQTHYSLRLRLRSSSPTPHHSLRPLLARTRSRSHSASPHNRMAEVSTSTDDGGGGIATILAAADRDFLLLRNSADQESA >OGLUM03G21660.1 pep chromosome:ALNU02000000:3:17549881:17554395:1 gene:OGLUM03G21660 transcript:OGLUM03G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATHAAATILASADGRDFLLRNSADKVKISSIKASTVALYFSASWCPPCRRFTPKLIEAYNELVSQGKSFEVVFVSGDSDQDAFNAYFAKMPWLAVPFSDSEALAKLNERYKVMGIPHLVILDAKSGEIYTEDGVELVHEYGTEAYPFTTERINELKEQEKAAKDNQTIHSLFGTPTRDYLITNKGDKIQTFLAVFFLLMVPRCPSLTSKAIVQFTSVLAKIYEKLKAVGEKFEVVMVSLDGDEESFNESFADMPWLAIPQGDKMCEKLARYFELSGLPMLVLIGPDGKTLNDDIADIIDEHGPDAWEGFPFSAEKLEILAEKAKAKAESQTLESLLVTGDLDFVLGKDGAKVPVSELVGKTVLLYFSAKWCPPCRAFLPKLVNEYNKIKEKHNDFEIVFISSDREQSSYDEFFSGMPWLALPLGDERKQQLSKIFKITGIPSLVAIGPDGKTVTKDAKTPLVAHGADAFPFTEEKLQELEKEKEKKINDMAKGWPEKLKHDLHEHELVLTRCTTYGCDGCDEMGDSWSYRCKECDFDLHPKCALEEKGDVEMGEENAEAAPAGYVCEGDVCRKV >OGLUM03G21670.1 pep chromosome:ALNU02000000:3:17555444:17555692:1 gene:OGLUM03G21670 transcript:OGLUM03G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGCSPGTRGRTTVAVHGAGAYRFTEERLEELEREVDGEAAAAGWPGRVSGHAPFEEDVLVLTRRCGECGGWGWLLVVVLV >OGLUM03G21680.1 pep chromosome:ALNU02000000:3:17565298:17566130:1 gene:OGLUM03G21680 transcript:OGLUM03G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKRQIEESLPEWRDHFLNYKELKRRLNAVSSPDPAAEARFLALLHAEVDKFNAFFLEQEEDFVIRQRELQERIQSSSAAAAEMEGRVRREVVDLHGEMVLLLNYSSINYTGLAKILKKYDKRTGGVLRLPVIAGVLRQPFYATDLLSSLVRDCEAIMDAVFPSLPSPSAAAAAAARAAAEQAIFRNTVAALLTMQEVRSGSSTYGHFSLPPMTPLPDSDWLIQSVQPPPPPPPSSPLIIPT >OGLUM03G21690.1 pep chromosome:ALNU02000000:3:17573301:17578400:1 gene:OGLUM03G21690 transcript:OGLUM03G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKGALTSTTAVQPSFQDIKRGGLHTSQRITLSSSRPQSSSDALTKPYFTTSRRSPRDFARRRRRRSPSREKLVAGVPLHPSSPAMAITLSNVNSEAGLQKLDEYLLTRSYISGYQASKDDMTVFTSLPSAPAASYVNVTRWYDHISALLRSSGVTAEDEGVKVESTACSVSPTADQKAPAADEEDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEFIQSCDIVAFNKI >OGLUM03G21700.1 pep chromosome:ALNU02000000:3:17580235:17582490:-1 gene:OGLUM03G21700 transcript:OGLUM03G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTTSAYIASNVPMPLLGVVQFGHSTSGIDCSRFLGARHISSSSLMGIVSWVQGRLGGRSAAAESKGLAAGNGNPPVVAAVVAAGKERKHQQVVHDDLAGDDGQQWPTPATHLFSIGTLGNDEVPEQGEEEEDLPEFSVEEVRKLQDALARLLLRARSKKYSEAVATAAAAAATCCGGVGGGADSGLPLDMFLNCPSSLEVDRRAQRDHGGGGAAAAAAVGLSPGTKMILTKAKDILADGNSRSTNTTTTTGDIKNKSFKFLLRKMFVCHGGFAPAPSLKDPTESSMEKFLRTVLGKKIAARPSNSPASRTYFLEGNNAHGDDHRLCRRRRPRRGEEEEEEEEEENKGEESCKWDRTDSEYIVLEI >OGLUM03G21710.1 pep chromosome:ALNU02000000:3:17627526:17631583:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MILKSLCAFLSFILELFGKYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKVQNAIQDFLICIEMAIAAVAHAFVFNVEPYQHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEVESLVVNREVAIERTGKDNKR >OGLUM03G21710.2 pep chromosome:ALNU02000000:3:17627582:17631583:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDQYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAQVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSFILELFGKYGDGEFKWYYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEVESLVVNREVAIERTGKDNKR >OGLUM03G21710.3 pep chromosome:ALNU02000000:3:17627582:17631583:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDQYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAQVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYMILKSLCAFLSFILELFGKYGDGEFKWYYGQTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEVESLVVNREVAIERTGKDNKR >OGLUM03G21710.4 pep chromosome:ALNU02000000:3:17627582:17631583:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDQYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAQVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEVESLVVNREVAIERTGKDNKR >OGLUM03G21710.5 pep chromosome:ALNU02000000:3:17627582:17631583:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MALDEGSSSFRDQYRSLHTSVVLVGAAFVLVALLVSLWLILQHLRSYSNPEEQKWIIAVLFMVPVYASESIISLWHSEFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENKKREELTEQLLESQDKAQVRNRSRVHIFFWDPNALGERLYTIIKFGLVQYTWALYCLVKFYNATHEKLQEIRPLAKFISFKAIVFATWWQGLGIAIICHIGILPKEGKHIPVVEHGEITSEESKLEVKVDSDDDSNGTPTTIEEKETHVEAPGTSIKESVQDVVIGGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQDTFHHISLKPKGEKEPEVEVEEHITENTVDGEPVAVDAEVEVERTVQDDNSMEVESLVVNREVAIERTGKDNKR >OGLUM03G21710.6 pep chromosome:ALNU02000000:3:17627526:17630377:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MPMPALHARQGRQAQPARQRQLLRSPPPHSIRRNSRTIQRRKDPRGFDSIDRWHLMRGRPASGISTGACTPVWCSSGRRLCSSPSSSRSGSYCSISDHTATQRSRNGSLLSCLWCLYMPLNLLQFFSDNFAVAFRVLLGL >OGLUM03G21710.7 pep chromosome:ALNU02000000:3:17627526:17629339:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MPMPALHARQGRQAQPARQRQLLRSPPPHSIRRNSRTIQRRKDPRGFDSIDRWHLMRGRPASGISTGACTPVWCSSGRRLCSSPSSSRSGSYCSISDHTATQRSRNGSLLSCLWCLYMPLNLLQFFSDNFAVAFRVLLGL >OGLUM03G21710.8 pep chromosome:ALNU02000000:3:17627531:17628109:1 gene:OGLUM03G21710 transcript:OGLUM03G21710.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G23070) TAIR;Acc:AT1G23070] MQTRAAGCSPRTQTGRDTCLLSPCPCLHCTHGKAGRHSPHASASFFDLLLLIRFDATVALFRGERIRVCKRYMALFLQRV >OGLUM03G21720.1 pep chromosome:ALNU02000000:3:17631568:17639842:-1 gene:OGLUM03G21720 transcript:OGLUM03G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDFARAVEDGLKLSKRLVLPGGAPSPRPVPGMDRGLLPDAAAASSLLPSAPMAYAVVVDPAAVDSPDVPSYQPHVYGRLDPPALIPLHMREVALAVDCAAAGCAAAEVTLRARWWVHCLTRSRDCHCRIVVPMGEQGTILGAEVTVGKRSYKTHVIDVEDQGAVKIAKTESGGLLKRQFFSLTIPQVGGGEDIFATIRWSQKLLYDNGQFSVDIPFQFPQYVNPLPKVFMKKEKIQLTLNSGVSNEIVLKGSSHPLKERSRQGEKLSFFHEAVVENWSNKDFTFAYSVYSGDLSGGMLVQPSTSDDYDDRDMFCIFLLPGNNQKRKVFRNASVFIIDTSGSMQGKPLESVKNAMYTALSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIENAREWVNTNFIAEGGTDIMHPLSEAIALLSNSHNALPQIFLVTDGSVEDERNICRTVKEQLATRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYDAAFDTGSIEGRMVQWFQKASSTIVTNISIDATKYIREFEVDSEYIQDISAKCPLCVSGRYQGKLPETLTAKGYLADMSEISIELRVQHVKDISLDKVLAKQQMDLLTAKAWFYENNQLEIKVVKLSIQNSIPSEYTRTILLQNFVEKIEQGKQKPKKNSTQNEQSATSLNGLTLGFGDTAATRENLSAGFGDTKPPERFEMFDKAVGCCSRLTDCCCCMCFINTCSKMNDRCGIVMVQLCGALSCLACFECCSLCCGGSD >OGLUM03G21730.1 pep chromosome:ALNU02000000:3:17680827:17686285:-1 gene:OGLUM03G21730 transcript:OGLUM03G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRPKSPPAPPDPCGRHRLQLAVDALHREIGFLEGEINSIEGIHAASRCCREVDEFIGRTPDPFITISSEKRSHDHSHHFLKKFRKCVLPQLPLLDLLLQQRRRRLLILLLLLLQPQEAELLLQLQLQLLLLLLLIMWGGVNEESVSLPPPQLLLPSLLLRRRRRPRVRELQLLPAVRVLRAAVRGMLVPLHLPVPVPVPRRLLLRVPGVQVLLRRPSLLPPLLVIDRSIERSCTDWLIN >OGLUM03G21740.1 pep chromosome:ALNU02000000:3:17688092:17689660:-1 gene:OGLUM03G21740 transcript:OGLUM03G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPLQRRAAASAACGGRSGSRPEAPSLRQIRRKEGLQSRAATKCAGRLDAVPAADSCRARPQARPPRQALPPPHVAYNPTCFLLPFTDSDSGRDGSHGQVKNRAYGRKSCVHNLRLHPKACKNQ >OGLUM03G21750.1 pep chromosome:ALNU02000000:3:17699392:17700371:-1 gene:OGLUM03G21750 transcript:OGLUM03G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQPVVTGQEGLHPLDEFQRMDGWNHGKERQAARRRVAGDMGMDGGFVDAGQHAPLPHLLPHRDTPLLVTAMTVSMGEEER >OGLUM03G21760.1 pep chromosome:ALNU02000000:3:17700477:17706919:-1 gene:OGLUM03G21760 transcript:OGLUM03G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNESDTSSGGKGKPRHTRSSLHTRAPSLPPHDGERSPSAASSRMTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLIRVMDPALEGQYSATAAHKAALVAYRCLSGNPKNRPDMCQVMKDLEPLLNVTDDVSDESVAPITPVKEDNAMRKERTARRRSGERDGGKLRQSKMRSPQKVVRRRPGQSEEFWVWHMPGEVKS >OGLUM03G21760.2 pep chromosome:ALNU02000000:3:17700477:17706498:-1 gene:OGLUM03G21760 transcript:OGLUM03G21760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKERSGWGSLFRGCLSGGGAAGSRKVRPGPRTAAAKHGGGASSAAAQRLSFTDVMSTASEQELSVSLVGSNLHVFTVGELKAATQGFLDGNFLGEGGFGPVYKGNVADKAKPGLKAQPIAVKLWDPEGAQGHKEWLSEVIFLGQLRHPNLVKLIGYCCEDEHRLLVYEYMAKGSLENHLFKKFPSMLSWSTRLNIAVGAAKGLVFLHDAEKPVIYRDFKTSNILLDPEYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEHMRSWLKDPQKLIRVMDPALEGQYSATAAHKAALVAYRCLSGNPKNRPDMCQVMKDLEPLLNVTDDVSDESVAPITPVKEDNAMRKERTARRRSGERDGGKLRQSKMRSPQKVVRRRPGQSEEFWVWHMPGEVKS >OGLUM03G21770.1 pep chromosome:ALNU02000000:3:17766292:17767180:1 gene:OGLUM03G21770 transcript:OGLUM03G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLEVELLICRAHTVPPLMRPSTPWEGGRTQCMLKVAEAEACGALGQRNWQRRMDAPELGVNTTGSNRRMLDGCGVRRARLKRRAFEAKAAAVGRTRYPNVTHLYAYYHEPDERLLPYYRLRRQALASASLVSSPTLTRVQDGAVKEAQQCGALAA >OGLUM03G21780.1 pep chromosome:ALNU02000000:3:17769653:17773415:1 gene:OGLUM03G21780 transcript:OGLUM03G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLSNRFHCPAVNVERLWSMVPADKAAEAGAGKAPVIDVTQFGYTKVLGKGMLPPQRPIVVKAKLISKVAEKKIKAAGGAVLLTA >OGLUM03G21790.1 pep chromosome:ALNU02000000:3:17776950:17782081:1 gene:OGLUM03G21790 transcript:OGLUM03G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKPQLDADDVRLAIQSKVNFSFSQPPPREVLLEVARNRNKIPLPKSIAPPGSIPLPPEQDTLLSQNYQLLAPLKPPPQFEETEDDNEGANPTPTSNPSNPSPNNLQEQQQLPQHGQRVSFQLNAVAAAKRRGTMDQLNMG >OGLUM03G21800.1 pep chromosome:ALNU02000000:3:17786880:17793031:-1 gene:OGLUM03G21800 transcript:OGLUM03G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT3G13840) TAIR;Acc:AT3G13840] MSYHEQERHGGNGLDWFEESMSSLLAADVDLAGGGGDAGGGGYAWWWAASPAAQQDDIGSVVAQTLSPPSMAAPAAASPSIASPAASSPSDVPSSSSKKRKSPAHRAPGHTGGKKGGGGKGGGGGSDRDMRWAEQLLNPCAVAVEAGNLSRVQHLFYVLGELESFSGDANHRLAAHGLRALARWLPAALTTSSXPTPAVAAAEPRLFRASLIRFHEVSPWFALPNALANAAIAQASTCGAAGATPRPLHVVDLGVSHGVQWPTLLESLTRQPGGRAPPSVRLTVVGPGATATSPAAPFSASPPGYDFSPHLLRYAKSINLDLRISRAATLDDAVPGDDGEALVVCLQFRLGHAAAEERREVLRKARGLNPELVVLSELDSGVGVVGGDGGSAAGEFAARLELLWRFLESTSAAFKGKDVEERRLLEAEAGAILAAADVAAAREGREGWRERMAAAGFEEAPFGAEAVESARSLLRKYDSGWEMSAPSPAAAAVALRWKGQPVSFCSLWRPAA >OGLUM03G21810.1 pep chromosome:ALNU02000000:3:17797797:17799279:1 gene:OGLUM03G21810 transcript:OGLUM03G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGLGKEQYRNSEKEICKLVLMGMEQKDMISWNNSTWGIRSSINLWVASTKYGSLQLPMMQGQKHQQMSFSARHLIHRVIVLSKASKQAPYGGHAKIKPTPIQREARKMATSTLTRAVPVGGGSHR >OGLUM03G21820.1 pep chromosome:ALNU02000000:3:17832931:17833848:-1 gene:OGLUM03G21820 transcript:OGLUM03G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKTLQVPSSFARPINRSFIGSSSSSSSSSQFSLRPKPASARLAASVTGERRLECRGGSSLHGCVDEGAAAASRRRQEQAAEIPIVLFPSVVFPGATVQLQAFEFRYRIMVHTLLQEGVTRFGVVYSGGGVGGGVAAGEVGCVAHVVDCERLVDGRFFLTCVGGDRFRVVGAVRTKPYVVARVQPLADAPPSQERGGDGGGDMVRHLVERVEEQLKNVAALSDKLGWSRPPLPFRATCSPSSLSFAVAREVVEDREEQQALLRLDDAAARLAREGRYLERRSRYLAAIAAIKDALGGHLYCNDK >OGLUM03G21830.1 pep chromosome:ALNU02000000:3:17849201:17850584:-1 gene:OGLUM03G21830 transcript:OGLUM03G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGRSSRSQLTFRPKKSSPSGSKGLQLKKHIDATLGSGNLREAVRLPIGEDLNEWLAVNNLNK >OGLUM03G21840.1 pep chromosome:ALNU02000000:3:17851816:17853981:1 gene:OGLUM03G21840 transcript:OGLUM03G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTRPQAQGLDLPLARALVLLDAPPHPRHRRCRASSRPVPPHAVTSPVVVARGGPGVAFSFDGLCDLILLMAVVVDISNGGATVAVLYRREREFAIARTGERSWRLINNKLDRIVDMARHGDGKLYTVHLSGKVARWKFNCNVCRSPKILESVLVIDSPYHYVVKADGNGNAIIMSREYEHDHRDRASECCYLAGAPRGTLYLLKRVYKHKQVVIDPSSHHATIRSSPIPHFSITPPPATGTPRTRRPPIPHANKIWFAIL >OGLUM03G21850.1 pep chromosome:ALNU02000000:3:17856185:17861186:-1 gene:OGLUM03G21850 transcript:OGLUM03G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQPTCQTCVRNYLGSKEDPALSENPNQLVDQAANQVAKTDNTVPRPNKEVATRNQHFSTDIYQILANQTQILQEIARNLASIQQQLLLPQPKVNPDNSKDKAAQIQELQSVEDTDTNKEKNKEFLNVMITGMKTPIRAHDCPNFQNSIDKSLLQENSTVKEGSGHKRKTSPSEVDMQDVPQCQTMQPCQDIPKYPTLKSKKIRHVPGLICFICHEIGHYMRHCPQKPYMDALLQANMSTSRMPSFSQGSPNSRNVNSVRPTSPDDKGAKAFCNMCQDIQEKKEMRDYKKRKVSSIEIQERESSRQGKNPPKVKSKKVQPTTSLPNVSGPKSSKPPNNGSASLTSPSVEQNRLNQVQEETNGKVMNLEQVERAGEEQVPLARSEPQYEYRWADGVKVKKPVQVSAPKYVEYLMDWVESQLDDEAIFPQKIGAPFPQNFREVIRTIFKRLFRVYSHMYHSHFQMILKLKEEAHLSTCFKHFVLFTWEFHLIDRAELAPLNELIEPIVFRYF >OGLUM03G21860.1 pep chromosome:ALNU02000000:3:17868075:17871507:-1 gene:OGLUM03G21860 transcript:OGLUM03G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTAMEDDILVSYIAKHGEGKWGALPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISGDEEELILRLHTLLGNRPCMYWSTGRLPGRTDNEIKNYWNSTLSKRVAMQRTAAATSMPAAATTSSNADAAGAAARRRRSPEPRTVVVSPIRTKALRCNNNSSSGIVVVQQAGACSHGGRPPESGAPGDAAADKVATPQAVQQQQQQQQQELAGADDDDDLPVPAVCIDLDLDDIELGGLDGFLISPWRGGGHDDDNAAAAGAVPNLPMPIGYELGGGGGGGGEAGAVDLEALLGQLDAGEDDDGDHHHHQQEEVPSSLGDEDDDYLELAPWLL >OGLUM03G21860.2 pep chromosome:ALNU02000000:3:17868075:17871507:-1 gene:OGLUM03G21860 transcript:OGLUM03G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNRGAWTAMEDDILVSYIAKHGEGKWGALPKRAGLKRCGKSCRLRWLNYLRPGIKRGNISGDEEELILRLHTLLGNRPRRFYNYDLLTWSLIAGRLPGRTDNEIKNYWNSTLSKRVAMQRTAAATSMPAAATTSSNADAAGAAARRRRSPEPRTVVVSPIRTKALRCNNNSSSGIVVVQQAGACSHGGRPPESGAPGDAAADKVATPQAVQQQQQQQQQELAGADDDDDLPVPAVCIDLDLDDIELGGLDGFLISPWRGGGHDDDNAAAAGAVPNLPMPIGYELGGGGGGGGEAGAVDLEALLGQLDAGEDDDGDHHHHQQEEVPSSLGDEDDDYLELAPWLL >OGLUM03G21870.1 pep chromosome:ALNU02000000:3:17876515:17882258:1 gene:OGLUM03G21870 transcript:OGLUM03G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGGGGGGADDGSTRRRRRRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKILSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >OGLUM03G21870.2 pep chromosome:ALNU02000000:3:17876515:17882232:1 gene:OGLUM03G21870 transcript:OGLUM03G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSATSPPNRPHRKRRAGGGGGGADDGSTRRRRRRSAVLLGPTHFPAVRSFGLRLALVVAPAPRHRRKQRPLHYACRPTSLPCRRRRRLRSIISLLHLPILRPFYLRYILAAAASGPCRCRRKESLVGMGNYISRVLRKSSSDRGKAPPADDTAKQDLCEVFTPLTNEEESEVNNILYGSDQSKKIIVMHGPSNIDITKEKIWCLRTCNWLNDEVINLYLELLKERAQREPKRFLKCHFFNTFFYKKLACGKTGYDYQSVRRWTTLNRLGYGLVECEKIFIPIHRNVHWCLAIINMKDKTFQYLDSFGGMDHAVLRILARYIRDELNDKSNIQVDTSSWLKILSDSCPLQQNGWDCGMFMLKFIDFHSRGIGLCFTQEHMDYFRKRTAKEILRLRAD >OGLUM03G21880.1 pep chromosome:ALNU02000000:3:17890409:17890753:-1 gene:OGLUM03G21880 transcript:OGLUM03G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDTLSAAAAEDGKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASSPGPAASEGASVGTAVRHAGHKRVRSTHLD >OGLUM03G21890.1 pep chromosome:ALNU02000000:3:17894479:17895197:-1 gene:OGLUM03G21890 transcript:OGLUM03G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTCAPLPCHPATSPPQLEKGGEDRHPLEKVKWRSPMLRYRAAARFAMLELMSREGRGEPGSVAVPWLVLNSHERGEATTMAVELPRHSKSVPPSLRSSPSKESRSGGAPWLRRRLGLLDHRRISVWVGLERRPGVERCRDGEKEEAGGGARVHCRGGESGERPEAAVSPMNSSRWVGGGSGDGCGPSGGGWG >OGLUM03G21900.1 pep chromosome:ALNU02000000:3:17906446:17909439:-1 gene:OGLUM03G21900 transcript:OGLUM03G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72640) TAIR;Acc:AT1G72640] MPPPTALLPGRSAAPRPPPPPPPPPQLASAARLSRRPLFNAATAVVVRSRSKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGSAEDEEDEPSDIEEPRDAVLVTNGDSEIGQMVILSLILKRARIKALVKDRRSTEEAFGTYVECMVGNMEDKSFTKKALRGVRAIICPADDGFFSDLDLKGVQHIVLLSQLSVYRGSGGLQAIMNSKLRKLAERDEEVVLASGIPSTIIRTGSMQSTPGGDRGFDFTEGAAAKGRMSKEDAATICVEALDSIPQTTLIFEVANGDEKVTDWKAWFAERTKTATS >OGLUM03G21910.1 pep chromosome:ALNU02000000:3:17908386:17913002:1 gene:OGLUM03G21910 transcript:OGLUM03G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVIVGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVVRGNSVVMIEALEPVPKSQ >OGLUM03G21920.1 pep chromosome:ALNU02000000:3:17917094:17923486:1 gene:OGLUM03G21920 transcript:OGLUM03G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVDRSEMRQNTSHQGSNDPRVQKERQAEWARPGRVFNRNTNKGGYFRNSLPGVTREFRVVKDNRQKVVGETIPGSFHNGVPSNEQLASNIGDKSSTEKLPAQRHLVTQNSNGRGVAQADNGRKEVKPSNDQTVGQSDGMITTMVGSHAVLAKGNQNRVLAVPSGTNNFTGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDNTATNTSTSNSSVKVPTSTATKENASNGQQSRSSGVSSKNSRPSSSTHLSSRPSSSSQYHSKPNTPVGHPKVNPQLEWKPKSVSPSPANHADNVVHSSAASSVDGNQAHMAGLSKKLSQTNVSEDEHVIIPAHLRVPDSERTHLIFGNFECDVESKAFTLAPDASTNREFNAHSSSRSTDDVPPTDQTDLVGSCVMLPKSDSFVSVSEYQHPLTEDMEVLSPGVFGEHRTNDMISTQVSHSSPQPQHQDNSAVHDFKEYEPDSRYEMPFITKAVDSEATQNIPYPSEVMGLHAANFNQLSVTAATQHPVPQMYQHMHVSQYPNCLPYRHVFSPYYVPPVAVQNYSSNPAFTQLPSASSYLVMPNGTSQLAPNGMKYGPPHQCKQMFPGGPAGYGGFTNQNGYPVNTGVIGGTGRVEDANMSKYKDNNLYTLNPQAETADVWIQAPTDIPVMPSTPFYNMMGQPMSPHTAYLPPHNGHAPFSPVQHPAHLQFPAMPHGLQPTTMTMVQNPQPMVHQPAGPPLAGNIGIDMAAMASGAQVGAFQQNQLSHLGWAPPSFL >OGLUM03G21930.1 pep chromosome:ALNU02000000:3:17933935:17939468:1 gene:OGLUM03G21930 transcript:OGLUM03G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >OGLUM03G21930.2 pep chromosome:ALNU02000000:3:17933820:17939468:1 gene:OGLUM03G21930 transcript:OGLUM03G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >OGLUM03G21930.3 pep chromosome:ALNU02000000:3:17933935:17939468:1 gene:OGLUM03G21930 transcript:OGLUM03G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >OGLUM03G21930.4 pep chromosome:ALNU02000000:3:17933935:17939468:1 gene:OGLUM03G21930 transcript:OGLUM03G21930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >OGLUM03G21930.5 pep chromosome:ALNU02000000:3:17933940:17939468:1 gene:OGLUM03G21930 transcript:OGLUM03G21930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLLQEMENHPVQCMAKTNYDFLARNNYPMKQLVQRNSDGDSSPTKSGQSHQEASAVSDSSLNGQHTSPQSVFVPSDINNNDSCGERDHGTKSVLSLGNTEAAFPPSKFDYNQPFACVSYPYGTDPYYGGVLTGYTSHAFVHPQITGAANSRMPLPVDPSVEEPIFVNAKQYNAILRRRQTRAKLEAQNKAVKGRKPYLHESRHRHAMKRARGSGGRFLTKKELLEQQQQQQQQKPPPASAQSPTGRARTSGGAVVLGKNLCPENSTSCSPSTPTGSEISSISFGGGMLAHQEHISFASADRHPTMNQNHRVPVMR >OGLUM03G21940.1 pep chromosome:ALNU02000000:3:17943250:17943726:-1 gene:OGLUM03G21940 transcript:OGLUM03G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVILDGSTVRGFVADDDAFARSVDARFEALDANGDGVLSRAELRRALESFRLLDGAGFGSAEPAPLPAEVSALYDSVFEQFDADHSGAVDRAEFRDQMRRIMLAVADGLGSQPLQVAVDDEGGSFLLEAAEHEAASIAAKIDAQRAADAAAAADAN >OGLUM03G21950.1 pep chromosome:ALNU02000000:3:17950815:17954352:1 gene:OGLUM03G21950 transcript:OGLUM03G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G11750) TAIR;Acc:AT1G11750] MAPMAISTPLALRASPTRLLSRRRSGAKSGVALPGPQFVPPGISSRLDERIHCHSSLRKNTIVASENENPPLMPAIMTPAGALDLATVLLGNRIIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >OGLUM03G21950.2 pep chromosome:ALNU02000000:3:17950815:17954352:1 gene:OGLUM03G21950 transcript:OGLUM03G21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G11750) TAIR;Acc:AT1G11750] MAPMAISTPLALRASPTRLLSRRSTICTTWYFFKVGREDTLSFFSEIYLNCPGGSLYSILAIYDCMSWIKPKVGTVCFGVVASQAAIILAGGEKGMRYAMPNARVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQTLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >OGLUM03G21960.1 pep chromosome:ALNU02000000:3:17956546:17959852:1 gene:OGLUM03G21960 transcript:OGLUM03G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSAADSAASPATKITIPYYSAAAGAGEAGPPFGKGRYKVWALAAIALLALWSMSAASASLRWSSGRFLLAATASEDLDAPLLDDLDSLEMEEREKLVGRMWDMYTRTGDEVRLPRFWQEAFEAAYEELAGDDMQVRDAAISEIARMSAHRLELEQPVNEEEKTRSNEHGSPKLKK >OGLUM03G21970.1 pep chromosome:ALNU02000000:3:17964137:17964989:1 gene:OGLUM03G21970 transcript:OGLUM03G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAPPPPSSSRRTKPHSCHRQICQWSHRIWESQPPARRCWRRDRGRERGRRRRRRRRARLLSPSPPCTATGATAAT >OGLUM03G21980.1 pep chromosome:ALNU02000000:3:17966223:17969179:-1 gene:OGLUM03G21980 transcript:OGLUM03G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MAGGRGARASLHLHLAWLCAFATTAWAHGGGGGGGDSDADADGGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNDAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPGTAYPFAFMLACAGYVLTMLADCAISFVVARGGGRTEPAAAAGAGLEEGKLSSTNGNGSDPRAADDAAAHDHSVASMLRNASTLGDSVLLIAALCFHSVSIGVETKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >OGLUM03G21980.2 pep chromosome:ALNU02000000:3:17966223:17969179:-1 gene:OGLUM03G21980 transcript:OGLUM03G21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 11 precursor [Source:Projected from Arabidopsis thaliana (AT1G55910) TAIR;Acc:AT1G55910] MAGGRGARASLHLHLAWLCAFATTAWAHGGGGGGGDSDADADGGGEGKPDLRARGLVAAKLWCLAVVFAGTLAGGVSPYFMRWNDAFLALGTQFAGGVFLGTAMMHFLADANETFADLLPGTAYPFAFMLACAGYVLTMLADCAISFVVARGGGRTEPAAAAGAGLEEGKLSSTNGNGSDPRAADDAAAHDHSVASMLRNASTLGDSVLLIAALCFHSTKADAWKALWTISLHKIFAAIAMGIALLRMLPDRPFLSCFGYAFAFAVSSPVGVGIGIVIDATTQGRVADWIFAVSMGLATGIFIYVSINHLLSKGYTPLRPVAADTPAGRLLAVVLGVAVIAVVMIWDT >OGLUM03G21990.1 pep chromosome:ALNU02000000:3:17985341:17990355:1 gene:OGLUM03G21990 transcript:OGLUM03G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHLNGPTADDAAAADDDWDNDGFVIPSLSVEESDLGDWEAAQVSHPQPPPKATKDTEKIYLGPHGAPPSRAKKQEDTAAAATGYRDKSKVKEADQKVLGTGRDNKGGNNFNRYNNAGHHVKEPYKRST >OGLUM03G22000.1 pep chromosome:ALNU02000000:3:17993325:17998923:1 gene:OGLUM03G22000 transcript:OGLUM03G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVMMVDLECDRCYRKIRRVLCKLQDKASIKAISYDEKNNTVTVAGPFDADEVSDRLCSSAGKVITDIRVVGGAKPMPGGGAGGAKAHANKPAGKDGGAGGGGGGGGKPEMIKKHVKFEMADDMDDHHHHHDNRKPKVVTTTNHAAGALARMEGRRAEAPSMAMAAAMAPAPMPMTVQATATPSIRYS >OGLUM03G22010.1 pep chromosome:ALNU02000000:3:18002611:18003486:-1 gene:OGLUM03G22010 transcript:OGLUM03G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAAVVASAALLSTLIIPLLLLSQHQPRAIADHLSAGATAATLFDACARLLGLLSPRNHMILLCNAILLLVLRDAGLLACPAPPAPAPPPRHHAAAADDAASPPVAASSAASSRRRPQRPRSSAAVVVWRPSKLAVVDVLHVDDENDGSDGDRRRRRRPAQRHEPAMATTMAPPPIALPPAGEEKQSYDGLVDDDDHVSAGAIVVVNDDANKISSPVPDSDHHRYSGEDTNGRADDEEEAFDQCGGGGGGGDDDDDVDDMNRRFEEFIANTKRKMQMESLQLQLVMMKV >OGLUM03G22020.1 pep chromosome:ALNU02000000:3:18017618:18022175:1 gene:OGLUM03G22020 transcript:OGLUM03G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKEAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKAINLNQVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRSRSVGGGGTTATRELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPTEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >OGLUM03G22020.2 pep chromosome:ALNU02000000:3:18017618:18022175:1 gene:OGLUM03G22020 transcript:OGLUM03G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G35790) UniProtKB/Swiss-Prot;Acc:Q43727] MAGTGLRFRQGAIFFSGAHAAAHPRTRTPHHHCSPQRTHDARGRCRLTAKSANGRPQISASFRDVAIDGAQSEDGAPEQGGSTVSITVVGASGDLAKKKIFPALFALYYEDCLPEHFTVFGYARSKMSDEELRNMISLTLTCRIDQRENCSDKMEQFLKRCFYQSGQYNSEEGFSELDRKLKEKEAGKVPNRLFYLSIPPNIFVDVVRSASRTASSQDGWTRFIVEKPFGRDSESSGELTRNLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRQLRLEDVVVGQYKGHSKGGKTYPAYVDDPTVPSGSITPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRRVPGNLYGRRSRSVGGGGTTATRELEKATNELVLRVQPDEAIYLKINSKVPGLGMRLDSSDLNLLYSERYPTEIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLADLEANKVAPELYPYGSRGPVGAHYLAANHNVRWGDIS >OGLUM03G22030.1 pep chromosome:ALNU02000000:3:18026593:18033339:1 gene:OGLUM03G22030 transcript:OGLUM03G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENGAAGEDRSKVGEFKEEILQLAALASQGEENSRAELLEKFNKCNKDTLVELIRSFDMTGSKANRKEELVTKLMEFFKVHCPDTNSAYLDKALQFGDLHYQINDFKEQTLQLARLAFHEEEEKSQAELLEKLNKSNKDTIVELCRSFDIIGSKANRKEELVIIMMEFLKEHCSGTDATDPDKKTKKRRRKNEVTHLSGSKPLKKMKLDGTSLEIHGEEEDSGAKYEENITKYSECDLDDNNNECANNEKGRFPKNKASLEPSERVNDVPKNFVGAAPTEVQILSNEQALSKTPFAKVVSTVEGDRTDMKTSGKKNASITKKKMTSKTDRKEKFCGKQMYKGDGKPRKLAAIPNRDELRQAVFLILDSADFATMTFGDVVKEVDKYFGKDLFEKKPLIRSLIEEELFRLGEEAEKKELEEEAAEVKARAEQAAKEGTNAGVNSGIDTAEALQVKDGKSEDAAKNKRDNSAENGPKGGVSVEVAENINRSAAAESSQDGRILSGDHVEYSRDGEAERAKMNSNGEAVEAVDGGTEASKGGESADPKDDNNRNGDKSALDIDDRGAEDSHCNKSGENVACVENGKTNEAGNTENGENVVSHDAEKDGKRKDPIQNASPEQTLTDAGDDGKTEDAEHNANTEADVDSCADGTAEN >OGLUM03G22040.1 pep chromosome:ALNU02000000:3:18034682:18035335:1 gene:OGLUM03G22040 transcript:OGLUM03G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNDSGGPSNYAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVDPLKHYLHKFREIEGERAAASTTGAGTSAASTTPPQQQHTANAAGGYAGYAAPGAGPGGMMMMMGQPMYGSPPPPPQQQQQQHHHMAMGGRGGFGHHPGGGGSSSSSGHGRQDRGA >OGLUM03G22050.1 pep chromosome:ALNU02000000:3:18040742:18045284:1 gene:OGLUM03G22050 transcript:OGLUM03G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETSCSSWDSDDEYQKFIQKMNPPRVVLDNTSCKNATVVHVDSANKYGILLEVVQVLTELQLIVKKAYISSDGGWFMDVFNVTDQNGQKIMDESVLDEIVKYIHKCLGADSCFLPSRRRSVGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLECNVVNAEVWTHNKRAAAVMQVTDRKTGLAISDTQRLARIKERLSYVFKGSNRSQDTKTTVTMGITHTERRLHQMMLEERDYERYDKDRTNVNPTPVVSVVNWLDKDYSVVNIRCKDRPKLLFDTVCTLTDMQYVVFHGSVDSEGPEAYQEYYIRHIDGSPVNSEAERQRVIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVSTRGDKAVNTFYVRDAAGSSAVDLKTLEAIRQEIGQTVLQVKGHPDHRKSPPQESPSRFLFSSLFRPRSLYSLGLIRS >OGLUM03G22060.1 pep chromosome:ALNU02000000:3:18048840:18049025:-1 gene:OGLUM03G22060 transcript:OGLUM03G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGDFDDDGGAPAWVQDACHCSLRRRPALLRRIEEVVAASAMALRSWLCTVAAASSPG >OGLUM03G22070.1 pep chromosome:ALNU02000000:3:18069141:18073141:-1 gene:OGLUM03G22070 transcript:OGLUM03G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 11 [Source:Projected from Arabidopsis thaliana (AT1G18580) TAIR;Acc:AT1G18580] MPPVRSAPGRRAPEFRRSSRRRLPEWIWWLVGIFLVLGLMLFVLHHNQREHFRPPVVDKGSEFEETHHEKVNFTEELLSSTSFARQLTDQMTLAKAYVVLAKEHGNLQLAWELSSQIRNCQRLLSEEAVSGKAITKEEAHPIITRLARLIYKAQDSHYDISTTIVTLKSLVNALEERAKAAVVQTAEFGQLAAESVPKNLHCLTVKLTEEWLQNPKHRGRSEEHRNSTRLVDNNLYHFAIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRVHFGAMSTWFLINDFKGCTVEVRCIDEFTWLNAAASPLVRQLSEMETQGFYYGDSKNLEREIKFHNPKFVSLLNHLRFYIPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPIISSKIDPHTCGWAFGMNIFDLIAWRKANATALYHYWQEQNADLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDDRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERKSAITVHHECVMPLKLGASVSYMELLFGTLFKKSFGEIFTYNLDWKF >OGLUM03G22080.1 pep chromosome:ALNU02000000:3:18084932:18100161:-1 gene:OGLUM03G22080 transcript:OGLUM03G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLARVVMTWSLTTGKIPRSDGGVGAPGARAKRARRGTAGAGGRQGPPGRGMRGGVGGLAAASQVGDGPDRAEASQDEAAGDGPTKAGAGEANLGDVGRTVLGAYDYDALPSANTHLDLVSSHLRDDGNQLTWGGSVTPTTVSGGGATRITQSSRVNCAACNGGRGDSEAPTAVRIKAWGTRSSTSTLGLGFDGLYNQRFDIEIVLRPHC >OGLUM03G22090.1 pep chromosome:ALNU02000000:3:18127507:18128449:-1 gene:OGLUM03G22090 transcript:OGLUM03G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSFFPLLSPFLFSLSLFFGSGQASVGARQRRRSGGARRERRSSGRRRGGGGGDDAGAGEGRRRRRGEQGGKGEKAAARRPSPPPLLSPPCAWMRRDLADDVAGDLAEPPGVREQAAFSRAVVGDADAGEDRRQIHLRRHQPIHRPAEQAEVGRHEAACEVTTGDEELERRADRRVVERVVAPPRLQHVAVWFGRRRPHAWESPTAAPSMYRSLLGASTPPPEQRTPPSFFLTPHTREPPAPRVEMEALRKPASMAPPRGPRHRMAEVFVSMVQVKSSLEMREANAIIVWPAP >OGLUM03G22100.1 pep chromosome:ALNU02000000:3:18127529:18128171:1 gene:OGLUM03G22100 transcript:OGLUM03G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLISSDDFTCTMETNTSAIRCRGPRGGAMEAGFLNASISTLGAGGSRVCGVRKNDGGVRCSGGGVLAPSKDLYMDGAAVGDSHACGLLRPNHTATCWSLGGATTRSTTRRSARRSSSSSPVLALLVDGWVDGGGGESAADPPRRLRLRQQLVKMRPVPGLREVLQGLRRRHLQGLAASMRRAGRGAEVEMDAAAVLN >OGLUM03G22110.1 pep chromosome:ALNU02000000:3:18129671:18130654:-1 gene:OGLUM03G22110 transcript:OGLUM03G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLRHLWAWLVAARGAAVENLPVAAAVAKGAAGSAMEASAPWLHVAAEFLHGLCGWMLAAVAVAVESLPGVAKSTVEASQPWLAAAAELLQVTYGWLVAAIAVAVENLPGVAKNTVEYTVEASQPWLAVAAKLLRGLYGWLVAVSAVAVEMLPDAAKNAAGSAAEASQPWLAMASKLLQAHDLCERLVTAGDKAVENLPEAAAAAATTAAMGGGAHSSADATPMPTHGHGGVAVYALLAVALLAVAFLGGAVCALTCRTMKGPGLGGARVPRAVFRASPRRYYAAVRTARKARRSASGIGWKNLVAAMALAVAACIVYLGAKMLH >OGLUM03G22120.1 pep chromosome:ALNU02000000:3:18149775:18150788:-1 gene:OGLUM03G22120 transcript:OGLUM03G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNKVVGGGHPSMEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKVR >OGLUM03G22120.2 pep chromosome:ALNU02000000:3:18149775:18150517:-1 gene:OGLUM03G22120 transcript:OGLUM03G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTSSEIAGFGVGALLVCATIAAQRVDGFIASSQRTSLGMCKKCGDLRIVACSQCKGVGSVRKGGLFTFGMLDDIYESLGAETKTSNLVPCTNCRSKGRLLCPECSKVR >OGLUM03G22130.1 pep chromosome:ALNU02000000:3:18164113:18164898:1 gene:OGLUM03G22130 transcript:OGLUM03G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRQHGSSGELDVFGATRYFAGVASAARPIGVVVRETHQDMIIQVKTTTSSDKKKMTEEEGHHHAGQLAVACVAKTTHRSKLAAFLGSLLVSPESTSFRKKPPPVASSETTTTTTTYDDDDNLPKLQVPSSSSSTSTSSDRASIDVAAAAATVHGDDLGVDAMWGDRRLQGVRVVRCGRCDEERWVVRCGACCAWEEEEEEEHHHGHEKKAILAAAATSTRYGSHQVLAGDREVVGDGACSDWESDSSSDLFELDLEST >OGLUM03G22140.1 pep chromosome:ALNU02000000:3:18198994:18201927:-1 gene:OGLUM03G22140 transcript:OGLUM03G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQNGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKSCSVRQETRHTCFLPPCASVVLDPGRDKRRS >OGLUM03G22140.2 pep chromosome:ALNU02000000:3:18198996:18201927:-1 gene:OGLUM03G22140 transcript:OGLUM03G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13680) TAIR;Acc:AT1G13680] MARKDLFVGRGKKMGGAFTAAPALALVLLVALSVAATANVGDSCSTAVDCGGGQWCFDCQPEFAGSSCVRSAATNPFQLTNNSLPFNKYAYLTTHNSFAIVGEPSHTGVPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSNGGKCNDFTAFEPALDTFKEIEAFLGANPSEIVTLILEDYVHAPNGLTNVFKASGLMKYWFPVSKMPQNGKDWPLVSDMVASNQRLLVFTSIRSKQATEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLNDKTKSLVLVNYFPSVPVKVTACLQHSKSLTDMVNTCYGAAGNRWANFLAVDYYKRSDGGGAFQATDLLNGRLLCGCQDVKSCSVRQETRHTCFLPPCASVVLDPGRDKRRS >OGLUM03G22150.1 pep chromosome:ALNU02000000:3:18211677:18211997:1 gene:OGLUM03G22150 transcript:OGLUM03G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMLPKLMLLMLARTSSGLILNTFDALEHDELVALRRDLDVGPFHKLSLTVPSSSSLLRQDRGSLEWLDSQAPTSVFYISFGSISSMSADELIKAEEDAATGDNL >OGLUM03G22160.1 pep chromosome:ALNU02000000:3:18212018:18212556:1 gene:OGLUM03G22160 transcript:OGLUM03G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSATRSDVAWTVEDVALGDELRRGAWMTTRPPAMSSDVARTVEDVATGDELRCGTWTVGPLVTTLWCLTPDIWYHLIPRKYHLLRGKSHMIPDRYHLIPHKYHLIRGRIA >OGLUM03G22170.1 pep chromosome:ALNU02000000:3:18225300:18242960:1 gene:OGLUM03G22170 transcript:OGLUM03G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEREPCPDRILDDVGGAFAMGAVGGTAFHFLRGAYNSPNGHRLSGGSQAVRMSVPRTGGNFAAWGGLFSAFDCAMVHARQKEDPWNSILAGAATGAVLSLRQGPRATATSALVGASLLALVEGAGILLTRTMAMATLPQDDHAYPFPVVPPHEEVSAHESSPIAWFRGIFGRKEEKHAAAGGDRKSDVLESFETPSPPIPSFDYNHSACMAHSAGVADAAGTGFALGSPFHFVKGLRGSPRGHRLAGAARAVRAGAPRAAGSFAAFFAAFCVPECGMAYARGGKEDSSNFVFAGAATSGFLRLRQGALTAGRDALWSAAFFALAEGASLAIHRALDDLPPADARRGLAARAPVGSPRRLPASPGQPIVVKEVAVADDEDDSGFSDGWFAEARHASQAQLSLLRAPIMASPSPPRPDERDTDLPLPSPPRKPYPGFVVDDAGGGFLIGGGVGSAYHAARGLLGSRSGHRLAGAARALMIRDRVDEHDDDDKPRRNHRPLPAKTKEDDVILRMPPAIDHFPVPDPFIAASRGSLLRFRG >OGLUM03G22180.1 pep chromosome:ALNU02000000:3:18226237:18244726:-1 gene:OGLUM03G22180 transcript:OGLUM03G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEHDIMALTNLTPKPEEATSRGSNKWVRHREMVNRRRHPQDDVILLGLRWQRPVVPTGLVVVVVLVHPIPDHELHGGQRGGADERGAAHGERARRERERAAKRVIMQSGFEFLVLGGEGFNLALGLIMSTFAQYLSTPSAQGIDEALKLDSMFKGQN >OGLUM03G22190.1 pep chromosome:ALNU02000000:3:18247130:18251068:1 gene:OGLUM03G22190 transcript:OGLUM03G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRCSLLALLLAVTCSVAVAYDPLDPKGNITIKWDVISWTPDGYVAMVTMSNYQMYRQILAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHSCKRTPAIVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSASAFQVSVGLAGTTNKTVKLPTNFTLAGPGPGYTCGPATIVPSTVYLTPDRRRRTQALMTWTVTCTYSQQLASRYPTCCVSFSSFYNSTIVPCARCACGCGHDGYRGNGGGGRNARAGDGRSRRNSGHGGGGHSGGTECIMGDSKRALSAGVNTPRKDGAPLLQCTSHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLNNVTEVFSFQYKPLLPYGNISKLYHHLFFLLSGIVPAFISIPVLIGRHHGHDDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDYNTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNSAPIAPPRSMAAAASAILVVLLLVA >OGLUM03G22200.1 pep chromosome:ALNU02000000:3:18249617:18252931:-1 gene:OGLUM03G22200 transcript:OGLUM03G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLLGAAAITLLAGVSSLLLLVPFAVRTLLPTNVAFFLFSPGNKEAYDPLDPNGNITIKWDITQWTPDGYVAVVTIYNFQKYRHIQAPGWSLGWAWAKKEIIWSMVGGQATEQGDCSAFKANIPHCCKRDPRVVDLVPGAPYNMQFGNCCKGGVLTSWVQDPVNAVASFQITVGHSGTSNKTVKAPKNFTLKAPGPGYSCGLAQEVKPPTRFISLDGRRTTQAHVTWNVTCTYSQFVAQRAPTCCVSLSSFYNETIVNCPKCACGCQNKKPGSCVEGNSPYLASVVNGPGKGSLTPLVQCTPHMCPIRVHWHVKLNYRDYWRVKVTITNWNYRMNYSQWNLVVQHPNFENVSTVFSFNYKSLNPYGVINDTAMMWGVKYYNDLLMVAGPDGNVQSELLFRKDRSTFTFDKGWAFPRRIYFNGESCVMPSPDLYPWLPPSSTPRFRTVFLLMSFLVCGTLAFLHNHLVLDKNCGKC >OGLUM03G22210.1 pep chromosome:ALNU02000000:3:18263312:18263569:-1 gene:OGLUM03G22210 transcript:OGLUM03G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDTLSTHSAAPPPDSPSTAAPPSLPSAARWCILAVQTTVPPSCLHHNSHRERNLAMPADVAAHGQAVGASLTEEVLPMLEEV >OGLUM03G22220.1 pep chromosome:ALNU02000000:3:18265301:18271380:-1 gene:OGLUM03G22220 transcript:OGLUM03G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIFFFSHRHPVHIYLPRLWRVFEKGQMLTAENRTMEREIVASYEPKKNSEIRMFESSDEMAADLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLNIGSDGHVASLFPDHPALELKEDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMIQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >OGLUM03G22220.2 pep chromosome:ALNU02000000:3:18265301:18269244:-1 gene:OGLUM03G22220 transcript:OGLUM03G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREIVASYEPKKNSEIRMFESSDEMAADLAEYISQVSEISIKERGYFAIALSGGPLISFMRKLCEAPYNKTLDWSKWYIFWADERAVAKNHVDSYYKSTKEDFLSKVPILNGHVYSINDNVTVEDAATDYEFVIRQLVKIRTVGVSESNDCPKFDLILLNIGSDGHVASLFPDHPALELKEDWVTYITDSPVPPPERITFTLPVINSASNIAVVATGEDKAKAVYFAISDGAEGPDAPSIPARMIQPTDGKLVWFLDKASASFLEAKTKNDGYEHPKY >OGLUM03G22230.1 pep chromosome:ALNU02000000:3:18292256:18293201:1 gene:OGLUM03G22230 transcript:OGLUM03G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYAPTSNPAILGDWTNHAALAHAMQLLPCTIVVPVAYPMVTLVAHAVEHRMRVKLRPPHEFRLALPEYNALEEGEILKNAKVYLILSRGLEKNNGTRTPAP >OGLUM03G22240.1 pep chromosome:ALNU02000000:3:18296281:18304250:-1 gene:OGLUM03G22240 transcript:OGLUM03G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTESLPRPLQNLPFTKPYSYSSSSSSVSKYCSSVSDSEDVSSSESEFVCKGFFPFPSETKEAISNPSAVASRIRKERDLRRRFDSCCLLLSGLWPEAGAYSDPFSVRPEAESSRQLRRLLRLSARRLHRWSIDGGSCGRWGMEHDALRQAVLGLMFSSVVAAQGSPKAETTAGTAAAPPVAAIATSPAIGWVVGATREGEDPMFLELAVAAAFHAEKSANASTLEAGASVPIGPAAPLGPVAPLGSVSPGPVAPLGPDGSATSPGLIASPGLGLLELVGEQMEGTATQPMQGVVEPFFAPLPPPLVATPPVRRRGRPRKKVLLPSRHSKRLEARPSPVPVSMRAQVRIMKEMRVLKPGEPVGDEALAEYIKRFQNPLPTVVVQGIRALTGLDSGKPLPPDVTETDGATPEWQRCRPMVDGQWSSEGAASSDATGRGLLLLIEITRTLMDLGTEETEAGGSSRWARRSIGVGGPLPIQWHDDEQATACCCNPLARQPPLLLQCPPPPLHCRHA >OGLUM03G22250.1 pep chromosome:ALNU02000000:3:18304562:18311467:1 gene:OGLUM03G22250 transcript:OGLUM03G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGMTFLLTRHKFGVHPDMLVTKLNIEKAVFECDRCVNNHHDSLRSAAEHIKKISCIDTQSWDLLKLAAAFKTARVLFRLVKRAKEAYEAEQVREAASDHETGPHRKEIHPGTTPVIIDELTESVPPTQAPVIALKRYTQISSHPLHRANEPGILSMDIHSSKDILATGGVDTNVVLFDRPSGQILCTLTGHSKKFFDASKVYSNIRTTTQITSLKFVNRDELLLTGSADKTVRVWQGTRTLGLEKKLTKVLNTDDSPLCCSRCSQSEGCEGAVVEVAIRRVAVVDVAEVGSRCRGSRAAECAGGAIEWSWTDKRASWTNGARRRARDEDGDTIATVVEEDDAEEPM >OGLUM03G22250.2 pep chromosome:ALNU02000000:3:18304517:18308549:1 gene:OGLUM03G22250 transcript:OGLUM03G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGMTFLLTRHKFGVHPDMLVTKLNIEKAVFECDRCVNNHHDSLRSAAEHIKKISCIDTQSWDLLKLAAAFKVICCPEEKVELGEQLFTRRQLERLRDDAPKYKDKILKMPCLVVYNKILRARDLRLKTARVLFRLVKRAKEAYEAEQVREAASDHETGPHRKEIHPGTTPVIIDELTESVCDIIVSAGLKER >OGLUM03G22260.1 pep chromosome:ALNU02000000:3:18304747:18309994:-1 gene:OGLUM03G22260 transcript:OGLUM03G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVTRANSGILKDKATCTTDPYDGTALGAAARRWRRPHEAGGCLVEAAAAAPSPPPDPAGGEAAVSRGCTAVAVAVGSRGWRMLGGGGGYHVAVSRGCPTVAAAAAPSPPPNPAGGEAAVSRGCTAVAVAVGSRGWRMLGGGGGYHVAVSRGCPTVAAAAAPSPPPNPAGGEAAVSRGPMKAAAAVAFLHHRHPGDQGPRRRRIILKAAVMTKT >OGLUM03G22270.1 pep chromosome:ALNU02000000:3:18311595:18321868:1 gene:OGLUM03G22270 transcript:OGLUM03G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKTSREGLRDGRDARTAGENSTGVDRDHGQRHCGPRGRHNGNPELDGVDACSMTIGCRSRCSQALERVEAVTVNSTHKHFVGKGSGQEGYTSASFHPDDLILGTGTTDAIVKLWDFRTSNAVNMLGHVGPVTAMSFSNNGYYLATAALDGVKLWDIRILRNLMTLSPYDSNTPTNAVEFDFTGSYLAIGGSYIRVYHVPNFMAESNLTKALPDQSETGKVTCVKFGADATYIAIGSKDANLRIFGIQSCHLAAQ >OGLUM03G22280.1 pep chromosome:ALNU02000000:3:18347917:18348120:-1 gene:OGLUM03G22280 transcript:OGLUM03G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLATCKLICFARNAAWAASSMGDAAMLGSGKMATTSHAGGSSNTALQGSGKTVAASHAGESSTASQ >OGLUM03G22290.1 pep chromosome:ALNU02000000:3:18348800:18350455:-1 gene:OGLUM03G22290 transcript:OGLUM03G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLGASTTHGYLLLPANSTTFFSPLLAALLAVASLLWLVPGGPAWALSRCRRPPPGAPCALAALAGPAAHRALAALSRSVPGGAALASFSVGLTRFVVASRPDTARELLSSAAFADRPVKDAARGLLFHRAMGFAPSGDYWRALRRVSANHLFTPRRVAASAPRRLAIGERMLDRLSALAAANDSGEIGMRRVLHAASLDHVMDTVFGTRYDGDSQEGAELEAMVKEGYDLLGMFNWGDHLPLLKWLDLQGVRRRCRTLVQRVDVFVRSIIDEHRQRKRRTGGNGGGEELPGDFVDVLLGLEGEEKMTESDMVAVLWVSKDPSDMHDASVRFCALRSNGFMDIFDLARVQEMIFRGTDTVAILLEWIMARMVLHPDIQAKAQAELDAVVGRGRVVSDGDVAGLRYLQCVVKEALRVHPPGPLLSWARLAVHDAHVGGHVVPAGTTAMVNMWAIAHDPELWLEPDAFRPERFTEEDVSVLGDDLRLAPFGAGRRACPGKTLALATVHLWLAQLLHRFEWAPVGGGVDLSERLNMSLEMEKPLVCKAKPRW >OGLUM03G22300.1 pep chromosome:ALNU02000000:3:18368322:18369323:-1 gene:OGLUM03G22300 transcript:OGLUM03G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGSAGGGQSSLGYLFGGNEAPKPAAKPAPAAAPAPAPAPAPAAAVAAPAEKPSPAKADATKQIPAGIQGSRSNNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGGN >OGLUM03G22310.1 pep chromosome:ALNU02000000:3:18377077:18380410:-1 gene:OGLUM03G22310 transcript:OGLUM03G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATREKGTGGGVGPMMERKLEARGDNGGNERDSGGGREAAAAGSSCGGKGEEAEATASKWEQAVAGR >OGLUM03G22320.1 pep chromosome:ALNU02000000:3:18384708:18394643:-1 gene:OGLUM03G22320 transcript:OGLUM03G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPAAAAEAHDGKRERFDLGVLVGDLALDEDVASDEDESLEGLRQELDDCKDDEEVANILANGIKLRDYTKGVENNIRQIELDSIQDYIGESENLVSLHDQIRDCDNILSQMETVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSRKIKFIEVDAMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIVFLKEHAKEIYAEVRAAYIDTMNKVLSAHFRAYIQALEKLQLDIATSTDLLGVETRSTGFLFSMGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFSDDFFGEESLFNDIFAGPIQVVDEHFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNLSLWPRFKMVFDLHLNSLRNANVKTLWEDDVHPHYVMRRYAEFTASLVHLNVEYGDGQLDLNLERLRMAVEELLVKLAKMFPKQKLQTVFLINNYDLTISILKEAGTEGGKAQVHFEEVLKSNIAVYVEELLLEHFSDLIKFVKSRPSEDTASSSDKASVSDVEPLVKDFAGRWKAAIELMHKDVITSFSNFLCGMEILKAALTQLLLYYTRLTECVKKINGGSALNKDLVSISSILYEIKKYSRTF >OGLUM03G22330.1 pep chromosome:ALNU02000000:3:18395474:18395842:-1 gene:OGLUM03G22330 transcript:OGLUM03G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASLRVPDLTPRAPLLPRQQEHAMTGCDNSELGAAPSGGIELLTARSSGCEAVALLLIFRRRCSFAPTSQLPSKTTTAVRVMRTTAVWVAATTAVWGRRQQGSVAATTVEGEQGDEGIE >OGLUM03G22340.1 pep chromosome:ALNU02000000:3:18416029:18417009:1 gene:OGLUM03G22340 transcript:OGLUM03G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAVVAMVATAFLAAAVHAEQCGSQAGGAVCPNCLCCSQFGWCGSTSDYCGAGCQSQCSAAGCGGGGPTPPSGGDGGGVASIVSRSLFDQMLLHRNDAACPASNFYTYDAFVAAASAFPGFATTGGDADNNKREVAAFLAQTSHETTGGWATAPDGPYAWGYCFKEENGGAAGPDYCQQSAQWPCAAGKKYYGRGPIQLSYNFNYGPAGQAIGADLLGDPDLVASDATVSFDTAFWFWMTPQSPKPSCHAVATGQWTPSADDQAAGRVPGYGVITNIINGGLECGHGADDRVADRIGFYKRYCDILGVSYGANLDCYSQRPFGS >OGLUM03G22350.1 pep chromosome:ALNU02000000:3:18438140:18438403:1 gene:OGLUM03G22350 transcript:OGLUM03G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNAKSSAVRLRASVFATATSSALINNCSSSSSGNAPSADSSLSLSSSYTATMPETSMTVPEHRDASLQQLDFSEAPWDESTTFA >OGLUM03G22360.1 pep chromosome:ALNU02000000:3:18438648:18440947:-1 gene:OGLUM03G22360 transcript:OGLUM03G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSAAAVYRTSALSISPPAHDDAPSYRGGGGDGAPLQLHLDSFHASTLPPPYAHTSTLLFPESGGYGWLSSKEHCLTLGGTTDLSLDKPPLRRFLDEWPHSDDGRTPWDGTQLSISVPTAAASSPYLAIAGAASRYHNNVTHGTVGQFGEDAWHRLTSIPSRRRLTSRMGSPNGLGGRRRPLEKPDDSTTEEPS >OGLUM03G22370.1 pep chromosome:ALNU02000000:3:18459407:18460005:-1 gene:OGLUM03G22370 transcript:OGLUM03G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCPRVPHPDPGYIYRTMLERLVNLVVKPFNLPVKKKKRGRLETKLRSERPGHAQCSDFYGLS >OGLUM03G22370.2 pep chromosome:ALNU02000000:3:18459533:18460005:-1 gene:OGLUM03G22370 transcript:OGLUM03G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTCPRVPHPDPGYIYRTMLERLVNLVVKPFNLPVKKKKRGRLETKVDSATYPWFQSGARGREHVPVLHMRYII >OGLUM03G22380.1 pep chromosome:ALNU02000000:3:18459973:18465863:1 gene:OGLUM03G22380 transcript:OGLUM03G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRMMQAFAQEASDFDRQMGCMAGMFQIFDRRRLLTARQRGGARGTAPPGHVLPNSNSNVSIQNPVASNNTLDKTFSKSMTENSSLSMESSRASSSSSSCSSFSSTDINRPIQQELSYINKERFAGKPPRSSQTKSVKCSKTEAKTKDPHTGFRDIVKESINRETHGMTIKTSTKESRKGLHKDSPRPLLISKSTDGTYVIGIDRSTSVPGYVHESSRPPRFSCDDRQLLRSVEAQDSKKPSAKLKELPRLSLDSRKESMNPRSRLKNSGYIRTDDNLLDVLKHQESPSHQRASSVVAKLMGLEGTTPDIHETARSPTPVHGTQIDRPSHCQRIKSQDHSVPVQKNHSPVLKTNPSPRIIPEAAPWRQNERAVTGRAAEVKPRTASIYADIQRRLRGLELSECNKELRALRILSTLHKKDGPSQSDNNPELTAIQKKASEQIVDSENFQSPIVIMKPARCITKPDASDTLVAPLSRPKGIRRLRHEETSFTRKNENSDSKRNHSPNESAHSSGEEPVNSARSPRLSSSLSPRLAQKKADSERRSRPPVLPTSPGKKSKETVSPRGRLRSRHSQTKSNSDNDNVLHIPETNINLAKQIDVRIIDHPNPLNANSPYIHQSKIASTPNREEMPTILPADKKKIHPQENIPSPVSVLDATFYHEGSSPSLKRISDSFKDGETHTSDESWNPTSLPDTPPSKTSNDGNQIKAENMKALIQKLELLQMLSEEALKTDDTFSSVAANKDHQYLYEILSASGILHNKLNFQMMPHQLRPSSYPINPELFLILEQAKPDEEKLHRRLIFDLANELLAQQMDANHTVNSSVQFFQSKKLSGWQIFKDLCAEIDMIQSRSSMIRCSEEEDSRLAEDAMQGMKEWKSSDSERQGIVLAIEKSIFRDLIDEIISEEDKGKVHLTQWKLRRQLSFISI >OGLUM03G22390.1 pep chromosome:ALNU02000000:3:18460264:18465831:-1 gene:OGLUM03G22390 transcript:OGLUM03G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAVVSFAGGLEPSQKPPTSDEFQLPCGVSGRLVGFQLSSEVCVSPSEQESSCCIGLLMSVDENEEHDEEEEDARDDSIERLLFSVQLRGMVLVKKRRGSSLI >OGLUM03G22400.1 pep chromosome:ALNU02000000:3:18469759:18474164:1 gene:OGLUM03G22400 transcript:OGLUM03G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVAEGEVTNSTVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKIDRFCFGFIEFESQQSMQAAIEASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNGYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >OGLUM03G22400.2 pep chromosome:ALNU02000000:3:18469759:18474164:1 gene:OGLUM03G22400 transcript:OGLUM03G22400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAGTPATPISPQVISGAFVQQYYHILHETPDQVYKFYQDASIVGRPDSNGVMKYVSTTADINKIILSMDFSNYLTEIETADAQLSHQDGVLIVVTGSLTSEGICRRFTQSFFLAPQESGGYVVLNDIFRFIVERPPVAISQVSQENENNQNTATLPETDPNPAGDGMISEPVAVENNVAEGEVTNSTVDGTSIENNATAAVEPPVQMTKEEPRKISVAAPPPPAQKDVTKKSYASIVKVMKEVSLTPVVKPKPAPKHVVKTVEASEKPSVKSSQTVEITPNDNNDAENNTSNDEQGYSVFVKSLPHNVTVQTVEEEFKKFGAIKPGGIQVRNNKASPIHMGGKEVFVEEKRTTTRVVNGVVITRGDNGNAGGGGRYQSGRGGYRGDNFRGRGGGYANSGNYRGGDNFSRRNDLRNRNEFSGRGRGPPPGNGYQNNGFHPARPFQNGNGRFTRVNGPRQTPVAA >OGLUM03G22410.1 pep chromosome:ALNU02000000:3:18496988:18501178:1 gene:OGLUM03G22410 transcript:OGLUM03G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDFLGVFGGGGGERRAANGSGSAVGGESDEIELSLGLSLGGRFGTDMSPDAKRARLARSSSIASVCSVSAADGDPSPAAPLPLLRTSSLPTETEEERWRRREMQNRRRLEARRKRLERRISVGSSSVPNKPGREDGGDGAVNRLQLRRSIGSQGSSSANPQDQGPDGSAICQSTEARSPSTSDDTNQNSALPPTASTGKPLNGTVTQQSPLRTLGSLTMRTSSTGDIGKIMMDMPMVSSKVEGPNGRKIDGFLYKYRKGEDVSIMCVCHGKFHSPAEFVKHAGGGDVSNPLRHIVVNPSPSVFL >OGLUM03G22420.1 pep chromosome:ALNU02000000:3:18501778:18504959:-1 gene:OGLUM03G22420 transcript:OGLUM03G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPHHRFRNGAQWGKSSKKKKKKEKNKVILPPQLPPEVDDGEVVVTRVADHDDEDEVERPYEEREGNRKVAEALSLRPCKEHDDLVEGGRRIPRQDAAGEAGLQQRTRDLMTVLMVLNLNLKTKEQMPNKLFKRKNKKTLDTREGPNVEFIKKEGERVRPESNAGHGEHNGCTMPSAALRYAQCLKRKNIKQYLKAKRETVDAELRGASFTLNRKVRRSIQKETLSALFETYLHILKHRMYTSNSRTSGARPLMYPQMEGLGKFSHLIDLDFMGELTACLKKLSGYTDHHSEILHDNTLSTSQHLQCCIIVFNVGRSNLEALNVDLEDFFLQLFNHILEYRPDRLEFY >OGLUM03G22430.1 pep chromosome:ALNU02000000:3:18506425:18507285:1 gene:OGLUM03G22430 transcript:OGLUM03G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVVEQPVQAAATDWMGRLQVTAEGLRDIGALVAAAATRIQAARAALGEAAGLIGEDASAAETLDADVWSALAHAGQAPIPDATVDAAAKLLATVSSGAPLLPGAIRAAGDLISTVFEIEIDIDDQAAAAAPTGLLSEAIRDLSVAFGLGSVHNNVEFHFLTCAPYLHVRAGDLTDLTWFAWSKQTERAKKLATEAELWINAAAWEAKDAAERARSHCLVQSPERNEHMGELEVSLLMATRYADKALAAVDMVRDAVESMDQTLHQAIGNAHIPDPYHPMPIWL >OGLUM03G22440.1 pep chromosome:ALNU02000000:3:18511882:18512641:1 gene:OGLUM03G22440 transcript:OGLUM03G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGLGCFAVEMMHAGASRYDFDRFGVIFHPSPRQSDCMIITGTLTNKMVPALRKSVHLPPLGCSDLALLCSELGIWAMRDGGGRLDARDAHDGWIREYATAAAGSDARRRRRALMRDGSGDLDARRRRRFLTW >OGLUM03G22450.1 pep chromosome:ALNU02000000:3:18513872:18514747:-1 gene:OGLUM03G22450 transcript:OGLUM03G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEIAQRVADNIDDMGILLVEAAAVLQLQQQLTAAAAEATDPRGIAQQVAHDLAQARNRLVGVKSNALAASVTLAKAAALLREDIDATKVLVDDAFAVVPAHDDLDPDGTLAVAAAAKLVVAAFCEAPVLPGAIGAAMDLVASVCALPPPVIGTLRNAQRLLGVVGSDHDKARDRFVDCAPELGIQERGETWLKWSIHRHRAFVEEVTAETSLSSAISDAQIAVRQHRLYKELPSLSPGERARETWKVEEIVSTAINEVDEASVAIRQMRVAVAVEEQTVREAIDDAAP >OGLUM03G22460.1 pep chromosome:ALNU02000000:3:18514992:18515616:1 gene:OGLUM03G22460 transcript:OGLUM03G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSVLARDVAHRPPPPSRIEPAAIARPSTPPSPSPVSVSALETIVSKGRRVVDADVVTLTEALMNELVKLDSIVADGEVKEQRRLQKKRVQKYIEALDAIRAKTKKATAPPKARPPRPPPAQQPQQRRQF >OGLUM03G22470.1 pep chromosome:ALNU02000000:3:18517525:18517715:-1 gene:OGLUM03G22470 transcript:OGLUM03G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASNLGDTKYLGEFGTNAMQESFASMPATILAKIKEKVGAWIKAGALGLQEFCILRDIT >OGLUM03G22480.1 pep chromosome:ALNU02000000:3:18517749:18518726:-1 gene:OGLUM03G22480 transcript:OGLUM03G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATETLFADAFATVPAPDDRDPKATPRSGHKARRLRVRRGAAARWGDRCSHGWTSSPACTLSRHRNLGHSRAGAPGYSSRRWPTNIRPRRSSSRTATPLLVVEVKDKKWQEGIATMAEANAHLFTVEVRLQFAICEVQNAVRVHRLYRHPRLLRLSRGVRMREASASKLEQIVSTAIEEVDAALDAIRESLKIIDDAAPQDTESALNIWALAWIIGLSSIRASIILMVKCRVTKRIWTEIQRWTSTDLQLNKWDDCPSVERW >OGLUM03G22490.1 pep chromosome:ALNU02000000:3:18520237:18521031:-1 gene:OGLUM03G22490 transcript:OGLUM03G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPVQPVEAGAAAAEVMAATVIAQEAEAVLAAVRDQLQVIRLIARAARATLGEAGRLLREDIRDAKILAADALAVVPALNDRDPQATLAAAAELVASVFSEAPVLPGAIGAAVDLVASVYAVPPPATGPLQEVRDLLGAVSDDHDRARNLFADCRPYLGIEEEGETWEAWTSHRSQALLNGYAAEMRLNRAIWEAGQAVRVHRFYQVGSPRRGRRMKEAWKLKEIMRTVMEEVDAVIAAVVHTRYSIAGEIQIVRDAIHAAAL >OGLUM03G22500.1 pep chromosome:ALNU02000000:3:18521866:18530341:-1 gene:OGLUM03G22500 transcript:OGLUM03G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMVNHLAELRAPLAMVLSDADAARATLDEAAGLLREEIQATELLLAHAFSAIAPRDGPALGAAAKLAARVFSDAPLLPGAIRAAMGLVASVYALPPPHAGTLEDARLILGKVFDDHHDATWLFRLYANCTPNYGIQPGDETWQAWSARNEEAFHEAAAAETRLISAIWEARHAVRVHRDYQAQSRRREVAWEAKQILSTATEEVDAASVAVRRMRDALAAEEQIVREAIGEATAPESEMASPSGVATEAEAEAEAEAVANHLAELRARLAMILSDAEAARATLDEAAGLLREEIHATDHVLLARAFSAIAPRDGPDHLAAAAKLAARVFSDAPLLPGAIRAAMDLVASVYALPPQRTGTLQDARLTLGAVVNGHHDATDLFTLYVNSTPNRRIQPGDETWLAWSARNEEAFTEAAAAEVRLMSAIREAKHAVRVHHVYQAQSRRREVAWEAKQILSTATEEVDAASVAIRQMCDALAAEEQIVREAIGEAAALSLAAIFQPVTSQYMLC >OGLUM03G22510.1 pep chromosome:ALNU02000000:3:18533761:18534123:-1 gene:OGLUM03G22510 transcript:OGLUM03G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRLLLSHSSRHHPQPHRLLSLLHFFSNTGSGSGPTPPPIKPVSYVPKPQLVPEEAPAAAEEAAPSDDPRVLALAASKEIAGAAAAAAVDAGGDAVREGCGALDHTGVVLGQGRAAAG >OGLUM03G22520.1 pep chromosome:ALNU02000000:3:18535235:18536091:1 gene:OGLUM03G22520 transcript:OGLUM03G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEAELPVQAAAAAAPIDWMWYTVHLTVEEIERITARVEAVTTALEAIRPALDMAVGLLGEDIYAAEILDDYMLAALVPAGAGQAPLPDATLDAAARTFATVSSGAPLLPGSILDVGNLISAAYDIVDPPPPDAPTPDGLLNDAITDLQAAFADGGLSPTSGITSTTAPPQQANYFATDALAMLNVVAWEAMDAMELIRSHCLVPSPERNEHMRELERCLLTAIKYIDKAIAAVGLVHGEVELMDQTLRQAIHDANIPANGWA >OGLUM03G22530.1 pep chromosome:ALNU02000000:3:18537956:18542268:1 gene:OGLUM03G22530 transcript:OGLUM03G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWSGVARKMVMRIESIESRLGAATRYAEATLDTLDYAAGLLQEDTDAADTLAADFFAVLDLDAPAAADHEDEGESEALIRRLPDQASVDAAAARLAAVVFSGAPVLPDNILISRDLIAGVCVFRHDVAGLLQNARLHLGVAIDRSNTLNHMIRSSSSLADRPAGTGSPGASQDWMDYQERVVELGSDAELRLFAAVKAAMDAQGAHPLCVVRSPQHEEHMEEAKQHLRNATCELDGALAALLEMRRDVESQEILVRRWGAAEAEASAREAAHRRRAAAPTATDGGGDGPGRGGIAQQVAHDLALLRRHAIEHPCRKCDARGAKAATLLREDIHASKILIEDAFAVVPARDDLDPDRVLRGARCSQGRSAQPWTSSPACAPSRRRRSSGHSGPERNAQRLLSVVGNDHDKAGAATASSTVPRNSASKSGARLTAETWLSSAISDAQIALRQHRIYKELPSLSPGERARETWKVEEIVSTAINEVDAMSVAVRQMRVAVEEHAVREAIDDAAP >OGLUM03G22540.1 pep chromosome:ALNU02000000:3:18559458:18571189:-1 gene:OGLUM03G22540 transcript:OGLUM03G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQGFLLLAAFGCCFRAIHPSDHILRHRRHRRSPSIARAWSPSLVLLLPPHPMKWFVGYVAVVMTKIEWQNVFGAMSINIVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEASLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >OGLUM03G22540.2 pep chromosome:ALNU02000000:3:18559458:18571189:-1 gene:OGLUM03G22540 transcript:OGLUM03G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQFYISTRPMKWFVGYVAVVMTKIEWQNVFGAMSINIVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEASLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >OGLUM03G22540.3 pep chromosome:ALNU02000000:3:18559458:18571189:-1 gene:OGLUM03G22540 transcript:OGLUM03G22540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPWSKGNTESNPIRAQHHGVQNEMVCGICGSGHDQDRMAKCIRYCFPVVTYDVPDEWCCCECQNKSNWDQTPSQGGQTIRNRVHQDSLKIPNKFENAKVKYISYEEASLLNNNERPPNCRSNFHVRRTNSHVRPASPPNAKQSSSRSDNRAYSQFHRKFPNGQQSPCRSDTQGPFLKRGDGASQNQTEIAGINMKQKAQSGEMLRPCHRSRAIRGKIDFQVQNEQREKKVVSADKVTMNPQSRDDPREKSGSNVTGTDIGRGSEMSPDNDIGMLVVINSSVEYARQPPPEICWTGCFLVSNGSNCNPADFKAYCPSKVSSKVLNVIKSMPSIIELDILPRMDEWPKSFEINPPVYEDIGLFFFSTELDRNGKSQSHVMETSCNFVMRAYINNIKLLIYSSEVLPPDSQWIDGESYLWGVFVDPKRRHKSMPFGSISA >OGLUM03G22550.1 pep chromosome:ALNU02000000:3:18591965:18592949:-1 gene:OGLUM03G22550 transcript:OGLUM03G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTGIPSGWDSFCPLAYLKRSDTWPCTPRAATTVSAGHVVAPARVHGRHERRHCGAHTRTVDALPHDYELLAGDAGCGAHARTVDALPRDRELLAGTNAREEEPSADMAADEKVEREGAALAAVVSTEHYRHLVEATEDAAAADKIRRGVDGDVAVGDELRHGTWMTKDVAVGDELRRGTTQPPATSSDVVWTVEDAAVGDELRRGAWMTEDAAAADELRRGVDGRGCGRRRRALQNRMIPDRYHPIPVKYQDLIPRKYHPLCGKNRMILDRYHLIPHKYHLIRCRIV >OGLUM03G22560.1 pep chromosome:ALNU02000000:3:18593301:18595222:1 gene:OGLUM03G22560 transcript:OGLUM03G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTPSPTRSRTDLYHYTSFYTHTVQYYTSPHPLSLSPSRSPSPANSRSPPRRAPTPSPPVRARATSPRSDPVPAGSRSRHLPARRAPTAWRVRLRCRRREDSSAAAGGATGRAGPRPARAGSRTRPGPTLLPLISAAARVGSRRPATSPGSRSRSHPAPLPAPVRESWPTATGSSLPAPVRRSPGSTAATATARTWRRCSPVDVCLFSASTFKPLI >OGLUM03G22570.1 pep chromosome:ALNU02000000:3:18595973:18600672:-1 gene:OGLUM03G22570 transcript:OGLUM03G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT2G03510) TAIR;Acc:AT2G03510] MSDGSVESPSLHRTPPPPSPSPSLSLSRSQQQTPRGRQPPPPGADPVAFAVVAFVAICFVLISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHVKLPWITQFEPIQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKIPNMIMDQRMLRNYLDNGSTKDHLEI >OGLUM03G22570.2 pep chromosome:ALNU02000000:3:18595348:18600672:-1 gene:OGLUM03G22570 transcript:OGLUM03G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT2G03510) TAIR;Acc:AT2G03510] MSDGSVESPSLHRTPPPPSPSPSLSLSRSQQQTPRGRQPPPPGADPVAFAVVAFVAICFVLISFSAPSSILHQVPEGHVGVYWRGGALLETITPPGFHVKLPWITQFEPIQVRNIPCGTKGGVMISFDKIEVVNRLHKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDLFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPDSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQQQIDNEMITKEAEANRLKLTPEYLELRFIESIANNSKIFFGEKLDKTMVIGHQARQSA >OGLUM03G22580.1 pep chromosome:ALNU02000000:3:18602869:18612020:1 gene:OGLUM03G22580 transcript:OGLUM03G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAVANPNDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >OGLUM03G22580.2 pep chromosome:ALNU02000000:3:18602869:18612284:1 gene:OGLUM03G22580 transcript:OGLUM03G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAVANPNDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRTMHSH >OGLUM03G22580.3 pep chromosome:ALNU02000000:3:18602869:18612020:1 gene:OGLUM03G22580 transcript:OGLUM03G22580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAQRLLAASTKIVGVGRNYVAHAKELGNPVPKEPLLFLKPTSSFLHAGVAGAAIEVPGPVESLHHEVELAVVLSQRARDVPEASAMDFVGGYALALDMTAREFQSAAKSAGLPWTLCKAQDTFTPISAVIPKSAVANPNDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLVDVEFDVQKRKRSFST >OGLUM03G22590.1 pep chromosome:ALNU02000000:3:18614210:18616410:-1 gene:OGLUM03G22590 transcript:OGLUM03G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARCTRWIQCADPTVEPSTLVKTHGPVRTERPHQMISPHAVLSVATHSIGPNPLSSSTRRALSSAHPQLPLPAYNCGGCGGPPPPPSGALQQLRYGPTQLLFLRAVIVCFLLIRPAVMESAAAAALVLAVLLLSSTLAASDFCVCRSEQPTALLQKAIDYSCGQGADCTSILSSGGCYNPNTVAAHCSWAANSYFQKFRASGATCDFGGAATLSSSDPSFSGCTFPSSASAAGTTGLSPGVGTGTGTLSPGGGTGTGTNGTGMGSALSPPGTSNFDGAAAAAGLLPRAEPAIFFTVLLLSFLALP >OGLUM03G22600.1 pep chromosome:ALNU02000000:3:18632060:18632806:1 gene:OGLUM03G22600 transcript:OGLUM03G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSVYASLRLITRGAPISLGLSAAPSPSLSLSIRWAAACVTRQRGRGWLGGGAGWRCSAAAARGVASWWRKRMTWAADPVTGRHEGGGSGDGEARGSGSGDEEASGRRIQQRGGARWRIQRRMWRRQLHPAADLPPPSPRSSLLPFPRCHHPLSLSQIWRAGSGGGCGGALLRQWRGGGGGGRWSSAAAASRRRRWRPTGLGCSGVEADPAVVVLVGHFKDDDGNLESSATTTATGSLGPTAAGRFL >OGLUM03G22610.1 pep chromosome:ALNU02000000:3:18640329:18642827:1 gene:OGLUM03G22610 transcript:OGLUM03G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z920] MAPFFSILPALSFLALLPIVMSRDKIPLKSSLSVDDYQNDVLQSADGTFSCGFLTIYSNAFAFSIWYTNSKNKTVVWTANRGRPVHARGSVVTLQKDGAMVLKDYDGTVVWQSDGNSIDVQYAQLLDTGSLVMKNSSGKVVWQSFDSPTDTLLPTQKITAATKLVSTTGLYVPGHYTFHFTDSSILSLMYDDVDIHEIYWPDPDRGEYENKRNRYNNTRMGFLDDNGDFVSSDFADQQPFSASDKGSGIKRRLTLDHDGNLRLYSLSNGEWLVSWVAISQPCNIHGLCGPNGICHYSPTPTCSCPPGYEMNSHGNWSQGCKAIVDISCSVAKVQFKFVHLPDTDFWGSDQEHVNHVSWQACMNICRSDCNCKGFQYLKGEGTCFPKSSLFNGRAYPSHFVSPRNMYLKIPIGMNISGMPVSQSNVLDSRKHSLNCDQMDEKPTDLFPDVHKTSQGETRWFYLYGFAGAIFILEVFFIGFAWFFVSRWDLDALEIQAVEQGYKVMNFRRYNYKELAKATRKFKYELGRGGSGIVYKGTLDDGRVVAVKMLENVRQCEEEFQAELRIIGKINHMNLVRIWGFCSENSHRMLVTEYIENGSLANILFNENILLEWRQRFNIAVGVAKGLAYLHHECLEWVIHCDVKPENILLDGNFEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVSGKRVLDLATSANEEVRVVLRRLVKMFANNLSGNEPSWIAEFVDCRLSGQFNYTQVRTMITLAVACLDEERSKRPTMESIVQLLLLVDESCSSNVLCPEMPTRWTTGHAKANASFCIHSL >OGLUM03G22620.1 pep chromosome:ALNU02000000:3:18644682:18647468:1 gene:OGLUM03G22620 transcript:OGLUM03G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVVTAAEGAVKTLLGKLGSFLSQEPRLLGGVRGELQYIKDELESMNAFLQNLAATSSHSVQVKIWMKQVREMAYDAEDCIDEFQHHFGGYCGNGIVGFIYRMKHLMYTLKVRHRIIMQVQELKVRARDVSDRYARYSGANAIVDASDSKNTTTSISTCLSHDPRQVIGFIQDDLLVGINNRRDRVLTYLRVDSDQELRVISIFGFGGLGKTTLAKAIYDSPQVKNGQFHCQAFVTVSQKIDLKALLRDMLGQLIPPASDQHVSSDIEDEHLKAIEVWDVKRLGDKLRSYLDDKRYLVILDDIWSDSAWDTFKFLLPKNHVGSVIIVTTRIRSVANYCSHLQHDYSYEIEPLNEIESKELFLRRLFGQLHECPQNIQKVSESVLKKCGGMPLAINSIAGLLASRPVKSLEEMQNLQNSLGSEMDSFSTMEKIKQILLLSYNDLLYHLKTCFLYFSIFPEDYKIKRKNVVRQWVAEGFVSDKRGQSAEQVAESYFAEFINRSIVQPLDISDSGKVKTCRIHDIMLEVIVEMSVEQNFISLMGDQHTMISYDKYLSLRRAHNIDRLPRKIKKLQSLETLDLRGTGIDKLPASFIELENLVHFRSGSTYLPHGFGRMKSIQTLGLIEISDDTSWRIQEIGCLMQLEKLRIRSRDGMNKENWESLLTVIENLSRRLLSLSNETDGRTCSLPLDFSSSPPLLLRSLLLYGSLEALPSWMASLDNLVKLTLGGTKLKEDDIQILQKLPRLFSLRLWFAFAVEKLVVAPSGFPNLQLLAIQGWNGPLQMILEEGAMQKLHKLVLVASFRDATLKSIKGTKYLRSLRTVEIRAKSTPCMEALLDELRLEASHLPNHPTVIIKRA >OGLUM03G22630.1 pep chromosome:ALNU02000000:3:18650745:18653111:1 gene:OGLUM03G22630 transcript:OGLUM03G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLSDEVLKAVFPLLDGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >OGLUM03G22630.2 pep chromosome:ALNU02000000:3:18650738:18653111:1 gene:OGLUM03G22630 transcript:OGLUM03G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLSDEVLKAVFPLLDGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >OGLUM03G22630.3 pep chromosome:ALNU02000000:3:18650738:18653212:1 gene:OGLUM03G22630 transcript:OGLUM03G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENGLSDEVLKAVFPLLDGKDLVFCMLVCRQWREIAKDDYFWKCICARKWPSICKQPPSDANYQKLYLTFSKPRTPQHLPVPKLTFGDLVFYIDMWLDGSLIFSQAVSGCILRGGLQNTPCGIPDVLVAHLTAPDCILMMEVEPKLEITMGPSITVSVLAHRKDTKKMACVINKSTFDYIDSNAARALAYEYLRFSPRYPFISDIRAWMSLLFLYKGTNVIEVFGIELDFCDAARSETEILWLLDMLDWK >OGLUM03G22640.1 pep chromosome:ALNU02000000:3:18655765:18658413:-1 gene:OGLUM03G22640 transcript:OGLUM03G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDVSMAVCCAFPVLVSLLLIRFAYVMYHSGHMPSKLSASAAGMRSLIVLGSGGHTAEMMNVVTTLQKDRFTPRYYVAALTDNMSLQKAQVYEQSLVRVEVDKEEGVENAQFVQIYRSREVGQSYITSIATTLLATSHAIWIIIRIRPQVVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRACYAGRLM >OGLUM03G22650.1 pep chromosome:ALNU02000000:3:18662966:18664303:-1 gene:OGLUM03G22650 transcript:OGLUM03G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAVAATASSAWLCCPNHHIHTSSSRSRKHLLLHGLYGSAPARTRYSSCNFIHNVMSRYYICFVVNGGEPCRGRRPPVWTAAAATAAAPADTAASARREQVEIARSLNAWVEENMLPLLTPVDSAWQPHDFLPCSAAGGGEALAAFTEGVAELRAGAAGVPDEVLVCLVGNMVTEEALPTYQSMGNRAEGLADGTGVSPLPWARWLRGWTAEENRHGDLLNRYLYLSGRVDMRQVEATVHRLLRNGMEMLAPASPYHGLIYGAFQERATFVSHGHTARLAGQHGDRALAKICGVIAADERRHEAGYTMASARLFELDPDGMARALADVMRGKVTMPGQLMSDGRDGDGEHSLFARFSAVAERAGVYTARDYGDLVEHFVRRWRVAELAAGLSGEGRRAQEYLCGLAPKIRRMEELAHRRAARIEPAMARFSWIFDRPVMLG >OGLUM03G22660.1 pep chromosome:ALNU02000000:3:18696389:18703885:1 gene:OGLUM03G22660 transcript:OGLUM03G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHQIIKVMRLSLRALAPHLLLAFAEIIVARTEDFSSIGKHGRYFDLVDFSVIEGFKVPADMTICSFKGKRNANDRAWACHCNVSYSSTDRFNLSQERLTEEFGTPVQCQRLWWWTRRQNNTYRVDRPLTTEEEKIPVVHTLAIPKWLNRDDYLVFLKLYDPEKARLRSVYFFVATSFFGSYLFVHYDLICYSVQGDRYVGTMYVKDSWTVSHVLQTLRNLAGFRGSGRIELYKEIKFDPWVLCEAIDLHRTFSENEIVTGDIICYQKILKTQDLPKYHSVASFLQHICDQKEEEMKRQILEEKIAGLEHQASADRLEKVETLIAYDQMKHERDNAVRQVNELRDQSTHAILKFSRCDLEQATEHFTDACKVGDTEYGRTYKAIMHGTEVAIKLSSTESLFQQEIIGEICCALLFLHSNNKNPPTAALIHGDLRPCNILIDDDASYRSRLCNVGLSSLFLQPGTCPPNLMERLPYMDPEFITTGELTTLSDVYSFGVIILRLLTGMAPLNLSKKVAAELESDNLHRLIDKSAGDWPYKEAKQLAVLGVRCAEMTREKRPDLLNDVWRVVRPLMRKPSSCPYLPPASPEVCVPAPFICPILMEIMKDPQVASDGFTYEGEAIRRWFDSGNNRSPMTNLVLPDLKLIPNRVLRSSIHEYLRQQKQQQQQEEGSVT >OGLUM03G22670.1 pep chromosome:ALNU02000000:3:18705182:18714562:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.2 pep chromosome:ALNU02000000:3:18705182:18714066:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.3 pep chromosome:ALNU02000000:3:18705182:18714562:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.4 pep chromosome:ALNU02000000:3:18705182:18714066:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.5 pep chromosome:ALNU02000000:3:18705182:18713072:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.6 pep chromosome:ALNU02000000:3:18705182:18712105:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MDAAALGAAAGAPNPAAPVGGDQQPRTERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDAIARANPNASPKWQDVLGQFSMVSMELFNIVEDIKKVSKVFVVYPRNVNAENAAILPVMLSSKLLPEMEAEEATKRDNLLSGITNLTVSGQIEKLKTRIDMIGSACETAEKVIAESRKNYGLGARQGANLGPTLDKAQAANMQEQEGLLRAADCVYRETKGRCVYPKNTSTFVPNVVNAQGNPMQVSGGQLLGRPAPSPGATGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTAQQHRQKLMQTSQQQQLHTQQQLRPSAAGMLAQSAIPQLQDLQGQSQQKLQVPGQQQMQYNQALSQQYQNRQMQAGRMQPGMSQSQLNQGNQLRSHISQFTGAANSAMFTAAQASSNSQMMANIPGSMQSQSLLPQMQGLNQYSLTGGHPQRSHPSQMLTDQMFGMGGANSTSMMGMQQQQQQQFNMQANAQNLQQGMTGLQNQTQNPNFPQQRQQNQQ >OGLUM03G22670.7 pep chromosome:ALNU02000000:3:18710632:18714066:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MIIATLSSPPSTRDYSACAISAAHPASAAFNPSPQRQILKSDALSQASSLPPPLRHDCLRLIREYHVQILLKAQFFILFIRLLSLVVSGGPGCMDGRMWLH >OGLUM03G22670.8 pep chromosome:ALNU02000000:3:18710632:18711109:1 gene:OGLUM03G22670 transcript:OGLUM03G22670.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator subunit 8 [Source:Projected from Arabidopsis thaliana (AT2G03070) TAIR;Acc:AT2G03070] MIIATLSSPPSTRDYSACAISAAHPASAAFNPSPQRQILKSDALSQASSLPPPLRHDAAVVPFHRCPDAAAHTSPSSPSTAGAAVFPIGHTNRAMATHKIPHAWTTKAPSR >OGLUM03G22680.1 pep chromosome:ALNU02000000:3:18717093:18717983:-1 gene:OGLUM03G22680 transcript:OGLUM03G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSPSDQEAARRTWWVGRRGSGRRGGVGGWGGGGDNREEEEEGRGCGGHGRHGWRRLAAYQPHYQHLLHRADSSPTSCAAASSWPRDAAITSPSDREAAKEVGEEEAWVGGVAGEMAGRSRKAAWPARWSGSGSGAWSSSHGELLFLLGLRHDELGVAIGGRPKGY >OGLUM03G22690.1 pep chromosome:ALNU02000000:3:18727657:18727899:1 gene:OGLUM03G22690 transcript:OGLUM03G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHSTPINPSIGGGCAEGRTRREEEHDGTREAGGCRPAHPTLGEGTGGHPRDGARRSTFVQPSLFLMRRYSTPAGGWTE >OGLUM03G22700.1 pep chromosome:ALNU02000000:3:18729316:18729510:1 gene:OGLUM03G22700 transcript:OGLUM03G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSHRQPGGHLVHRIGDAQTLAVPIKPPVSLSTECHPVKTCRCAGIIAPTPSPKDAAVVIAIV >OGLUM03G22710.1 pep chromosome:ALNU02000000:3:18743873:18745117:1 gene:OGLUM03G22710 transcript:OGLUM03G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMSWWWAGAIGGVRKRHGEHAGDASRPFQSVALVVGSTVIVGTSLVDILPLPDTPGGPWKVYAVSRRPLPPWSPPPSPAVTHLCVDLADPAAVSAALAPLTDITHVFYVAWAPHFVEEDQNREANSRMLRNVLSAVVPNCPALVHVSVQTGSKHYIGPPESIGKFTIETPFSEDMPRLDNCPNLYYDQEDALFDAVSRSRRRGAAVISWSVHRPSLVFGFSPRSAMNVVCTLCVYAAICRKEGGRKLRWPGSLGAWEGFSNASDADLVAEQHIWAAVDPAARNEAYNYSNGDVYKWKQLWPVLAGRFGMEWSGYEGEESRVSLTEAMAGKEAAWAEIVREEGLVAAAELDQVANWWFVDALFMDKWEFLDTMNKSKEHGFLGFRNTVKSFGTWIDKLRLYKIVPSCRIVSSL >OGLUM03G22720.1 pep chromosome:ALNU02000000:3:18750952:18759906:-1 gene:OGLUM03G22720 transcript:OGLUM03G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVPQRCCDLPRDPFFSRRLDSPHQRKIHWGFGFLTACWVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARCQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNRDDALVFLKHYDPEKAQLRYVGLLSVKVSSRPSEILPKLRSLAGFCLSETIELYEEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDISKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQVKHERDNAVRQMNELRNQSTHVILDFSRKDLDQATEHFKNASEVSILRQWRHPNIITFIGVCSKVSDLVYEWLPNGNLEDRIICTNNSPPLSWYNRTQIIGEICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCSFGMSNLFLQPGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGIIILRLLTGMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIEDKQLALIGLSCTEMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAKAIRCWFDRGNSRSPMTNLALPNLYLVPNRVLRSFIHEYLQQQQQQPNPAYQQQLSKT >OGLUM03G22720.2 pep chromosome:ALNU02000000:3:18750952:18759906:-1 gene:OGLUM03G22720 transcript:OGLUM03G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYSHIKVPQRCCDLPRDPFFSRRLDSPHQRKIHWGFGFLTACWVVREEDFRSRIGEDGHYFDLIDFSAIEGFNVPPTMTILRFKEKLTEKFGTPLQCQRLWWWARCQNNTYRVDRPLTTEEENLPVLNTNSLPTWSNRDDALVFLKHYDPEKAQLRYVGLLSVKVSSRPSEILPKLRSLADFLLMQTGFSNSRMNNFISSVLVWHFNKQEIKFEPSVWCEAIDIHNTFSAGEIITGDIICFQKILKPPDISKYPSVASFLQHVCDQKTYEEVRKVHILEEEIVTLKHQADTYLVQKEKAVTAYDQVKHERDNAVRQMNELRNQSTHVILDFSRKDLDQATEHFKNASEVSILRQWRHPNIITFIGVCSKVSDLVYEWLPNGNLEDRIICTNNSPPLSWYNRTQIIGEICCALLFLHSNKPTALVHGDLRPCNILIDANYRSKLCSFGMSNLFLQPGTFPPNLTARLPYMDPEFNTTGELTTLSDVYSLGIIILRLLTGMPPLTLSEKVAEALESDSLHLLIDKSAGDWPYIEDKQLALIGLSCTEMTRKKRPDLLNEVWIVIEPLTRKPPAATWPYLQSASGDSSVPAAFICPISMEIMKDPQVASDGFTYEAKAIRCWFDRGNSRSPMTNLALPNLYLVPNRVLRSFIHEYLQQQQQQPNPAYQQQLSKT >OGLUM03G22730.1 pep chromosome:ALNU02000000:3:18754375:18782706:1 gene:OGLUM03G22730 transcript:OGLUM03G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGTTRGLSTGGEQWKPLSSFLLLLLPSPPEAASASPSPSPSVDSLPPPPPQLRGSSRSSLPTHAAAAPRRNGSSISSARKRTRRQTVGVQTARLRTARAASALPARLEQAGCARVRTPAFARRLAGGRSMVAARGMAHVPRTSARAAALSHATSFSSCRRWASSMSTPRAEDSSPLREGVIWTKWPEELMLPSPEQIHGSSTLTASHVWWLSRLQFVCSFELEHALSLLAQRIGSWMHLVMILQYVFCLFLNELLMHCH >OGLUM03G22730.2 pep chromosome:ALNU02000000:3:18754375:18782706:1 gene:OGLUM03G22730 transcript:OGLUM03G22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTGTTRGLVASRRSMVAARGMAHVPRTSARAAALSHATSFSSCRRWASSMSTPRAEDSSPLREGVIWTKWPEELMLPSPEQIHGSSTLTASHVWWLSRLQFVCSFELEHALSLLAQRIGSWMHLVMILQYVFCLFLNELLMHCH >OGLUM03G22740.1 pep chromosome:ALNU02000000:3:18775543:18776194:-1 gene:OGLUM03G22740 transcript:OGLUM03G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRVEKGRERRKKTGRLTSPSRCGPARLPARPPRKKSRKAAPREGRIARWAGLTRVRVAAGSPLTPRPYVPNPSSAFPHARSSLERLAISAAASLARSPSAAAAAAPARLVLPLSRLSSGVDWERTPWVADEA >OGLUM03G22750.1 pep chromosome:ALNU02000000:3:18800036:18806072:1 gene:OGLUM03G22750 transcript:OGLUM03G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP [Source:Projected from Arabidopsis thaliana (AT2G31890) TAIR;Acc:AT2G31890] MEAALLLPPPPPPLAARGGVSIAIAFSVCRLPPAAAAAAAGKLKKLAPHACRCRATPQWQLDFLGAEADTEADGGDDDDDDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNNKKSKKTNIKQKKATEPKPPRDTDDDEDDDEEEADDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLFSALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDREASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEEHKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTVDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >OGLUM03G22750.2 pep chromosome:ALNU02000000:3:18800036:18805414:1 gene:OGLUM03G22750 transcript:OGLUM03G22750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAP [Source:Projected from Arabidopsis thaliana (AT2G31890) TAIR;Acc:AT2G31890] MEAALLLPPPPPPLAARGGVSIAIAFSVCRLPPAAAAAAAGKLKKLAPHACRCRATPQWQLDFLGAEADTEADGGDDDDDDLDLSLPAETNDWCVRARRSALRSIEARGLSPSLQRMVASPKKKNNKKSKKTNIKQKKATEPKPPRDTDDDEDDDEEEADDLEALLAGGGELDDLELRVAQFADGMFDEKRQRNREQFIQTLSAFSPAAPSNRSQEVSLNRSIVEARTADEVLALTAEVVAAVAKGLSPSPLTPLNIATALHRIAKNMEAVSMLQTHRLGFARSRDMSMLVGLAMVALPECSPQGVSNISWALSKIGGDLLYLPEMDRIAQVAITKVDSFNAQNVANVAGSFASMRHSAPDLFSALTRRAAELVYTFKEQELAQFLWGCASLNECPYPLLDALDTACRDAPSFDCHLHDTVPGMWQSSDREASSLKNSSNAYALNFTRDQIGNIAWSYAVLGQMDRPFFSGIWKTLSQFEEHKISDQYREDMMFVSQVYLANQSLKLEYPHLDMCLRGDLEENLTKTGRSKRFNQKMTSSFQKEVGRLLCSTGHEWNKEYTVDGYTVDAVLVDEKLAFEIDGPSHFSRNLGTPLGHTAFKRRYIAAAGWNLVSLSHQEWENLEGEFEQLEYLRRILGFDAE >OGLUM03G22760.1 pep chromosome:ALNU02000000:3:18806782:18809401:-1 gene:OGLUM03G22760 transcript:OGLUM03G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) TAIR;Acc:AT1G05620] MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHKATKLRIASFVHGSDGLGNQNFPPPTGKKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQSDSKYARYLSKILGLYYDYHKDAYFIKGVYLHDPATLIAAVDPSLMTYTEGVVRVQTDGITKGLTVFDTTKKRYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >OGLUM03G22760.2 pep chromosome:ALNU02000000:3:18806782:18809401:-1 gene:OGLUM03G22760 transcript:OGLUM03G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridine-ribohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT1G05620) TAIR;Acc:AT1G05620] MTTTKKKLVIDTDPGIDDAMAIFVALRSPEVELLGLTTIFGNVYTTLATRNALHLLEAVGRTDIPVAEGSHKATKLRIASFVHGSDGLGNQNFPPPTGKKPLDQSAAAFLVEQANLYPGQVTVVALGPLTNLALAIELDPSFPKKIGQIVILGGAYSVNGNVNPAAEANIFGDPDAADIVFTSGADILAVGINITHQVVLSDADREKLEQYGEITAWTGKPTVKVAVTVDAPAVVEMIMQRLTTDD >OGLUM03G22770.1 pep chromosome:ALNU02000000:3:18815686:18816397:1 gene:OGLUM03G22770 transcript:OGLUM03G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPAPVVAAAWGVGARRGAALSSSARCRALRLSRGGGGGRDGWVPPPVVGRRPPRTLSVRCAASNGR >OGLUM03G22780.1 pep chromosome:ALNU02000000:3:18842382:18848715:1 gene:OGLUM03G22780 transcript:OGLUM03G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z950] MMSSFQGYNFLEPSTSMIVWWRDQFRKLMFNWHSKTLNLSELWIPIVACFTIGIVGLLTVLYLFSLWRRKISLSWMKMIARSKRKNFERNHKVPTAEHVWSVESLLRAKGLKCCVCLESISPAQPLGQMTTSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQRSAENEHDSSESDCKEVIPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKRGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQAFMLRRTIEESLGHAAAIVTDVLENAESSHLITASQKRALLQEMALRLS >OGLUM03G22780.2 pep chromosome:ALNU02000000:3:18843935:18848715:1 gene:OGLUM03G22780 transcript:OGLUM03G22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z950] MMSSFQGYNFLEPSTSMIVWWRDQFRKLMFNWHSKTLNLSELWIPIVACFTIGIVGLLTVLYLFSLWRRKISLSWMKMIARSKRKNFERNHKVPTAEHVWSVESLLRAKGLKCCVCLESISPAQPLGQMTTSENMVHRCDVCGAAAHMICSSNSQKDCKCVSMFGSKHVVHQWTVLWTDIADQSEEAQYCSYCEEPCSGSFLGGPPIYCCMWCQRLVHVDCHSSMATETGDICDLGPFKRLILSPLFVKTRSKPGGILSSITHGANEFASTVRGHLRNRSKKQKEHSRVPSDCNVGDSNDDSSCDTAANANQRAKDLKSSGDNVQRSAENEHDSSESDCKEVIPEPRRLHHDDAEGAKLKYILDDLPADARPLLVFINKRSGAQRGDSLKHRLHFLLNPVQVFELSSSQGPEIGLLLFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLCTVLHDIEHAAVTILDRWKVAIEDKRGKNVLMVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSMIDRTFVDLPWQVRLEVDGTEIEIPEDSEGVLVANIPSYMGGVDLWKSEDDNPDNFDPQSIHDKMVEVVSISGTWHLGTLQAFMLRRTIEESLGHAAAIVTDVLENAESSHLITASQKRALLQEMALRLS >OGLUM03G22790.1 pep chromosome:ALNU02000000:3:18855296:18857261:1 gene:OGLUM03G22790 transcript:OGLUM03G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z952] MVKICCLGAGYVGGPTMAVIALKCPDVEVVVVDISAARIDAWNSDALPIYEPGLDDVVRRCRGRNLFFSSDVERHVGEADIVFVSVNTPTKARGLGAGKAADLTYWESAARMIAAVATSDKVVVEKSTVPVKTAEAIEKILDHNGRDGVGFQILSNPEFLAEGTAIRDLLAPDRVLIGGRETAAGRAAVQALKDVYARWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVAYAVGKDSRIGAKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLIGDKAKVSIYDPQVTEDQVQRDLAMSKFDWDHPVHLQPMSPTAIKQVSVAWDAYEAARDAHGVCILTEWDEFRSLDYARIYGGMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >OGLUM03G22800.1 pep chromosome:ALNU02000000:3:18858653:18865437:1 gene:OGLUM03G22800 transcript:OGLUM03G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATWCGGDEDGVGVKPGGRPGRGDNHEVDPGQEGPRTTASSSTPCATAPREGKRSPTEHRGVVLPDGGRWVQAGEEEAGEKNGSSEREWEACGGRGRPDERSAASGQLGRAATRHVPPSCLPSPSVLLHRHRRPLLFIFTPPARRPPSLPPHAPPLLSPRAPAANALTFNRVAIAASYSRITAPPCPPPTGYRLPLVLFRHAVLLSSIPDTSSAAAAATLADAGPGSDSMVFSHNKNSNQFDPLSYVNLSGLDADSQSVSFTDMNSRDAPSNSHVTDVGKENMLNNPEESKIASTGLKPGSPISPENFSFSSLPGSSCHLSTLDHGKRPLSDVRPFQVACKRPKQIDENTWSTSTFETSFSDLADETREPDYIYHNSGISACNTSSSIPYSNLEQLIGEENLYLPDWVTTFPGYTGDFWPAPVADQVDDIDSPIHDHLPRKAVAIGPDHQADIPEWRPRISMTVPNGSGSCADLSYSSVSTSGSAPRDEDSESDKWIKHCVIEMPSSCSVAWVGDHGRDCGCSDEGSIRCVRRHVLESRENLKRIFGEDKFRELGLCEMGEDIAQRWTDEEESLFYRVVYSNPPSLGKNFWHFLPRALPGKTSMELVSYYFNVFMLRKRAQQNRSEPLHVDSDDDEVPDEPSVTEDEDSAVESPAHDYYVNNPMSPESEDSFHEKVADSLSGLRDGPSQKPLGSNTDNPGGDADVQDESCTSFEDHNGAHGSNGVQCAEFHMMLPNAALDHYSDRGACM >OGLUM03G22810.1 pep chromosome:ALNU02000000:3:18868675:18869928:-1 gene:OGLUM03G22810 transcript:OGLUM03G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDVAVLSSASATRHSCKVCGKGFACGRSLGGHMRSHSLTEVDAVAAAVAPAYERADDDEGGDGKTVRRWMQSGGGYGLRENPKKTRRLSAGSGGGGGGGDNDDGDACHHRGGDLLSSSSCRPVLGRVRSHAPPAGGAAYADDSEDVGVDVDGGGGDDRYRDREMLVMAAPRRRPRSMRVPAPVRDEFVVDEEPEDVALCLVMLSRDTGRPWNSRPSDEYSSLMYNSSYHHHHDAVSDDDLELSLSSPYADTEIRTKKRRKTTGAASTAGGEKRGRYECHGCGRAFLSYQALGGHRASHKRINSNCSLVKPPADQPEPSIETSFSSASTSVSPADTMISAATISKTVKKATKFVCPICSKEFGSGQALGGHKRSHSIAGELYERGHADGIVKLEQPLLADRFLDLNLPAPGGDDG >OGLUM03G22820.1 pep chromosome:ALNU02000000:3:18882689:18885781:-1 gene:OGLUM03G22820 transcript:OGLUM03G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRHGNLSQLLAYCIEGDERILVYEYMPKKSVNYLHEGSGEIVIHRDLKPSNVLLDDEFTPKIADFGTTKPLVADGTGTQTIVFSPGYAAPEYIRGDVTLKCDVYSFGVVLLEIISGQKNTLRPSLLSKAWKLWDEHRIMDLVDPSMVRCCSGAEGLQSHVRRCIQIGLLCVQDSPCDRPTMSQVLAMLTGDDSSWLNKPKPPAMFDDHHRH >OGLUM03G22830.1 pep chromosome:ALNU02000000:3:18889571:18890172:-1 gene:OGLUM03G22830 transcript:OGLUM03G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATGGFSKRNIIGEGGFAIVYKTIGPLLPFPPSYPPLCSSKISMAQTMSALVCNNFTTHAIDENVLMFIALLAHLTIAYDIHAKTVECIHALRCTIAVALAQVAKNA >OGLUM03G22840.1 pep chromosome:ALNU02000000:3:18896637:18897351:-1 gene:OGLUM03G22840 transcript:OGLUM03G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTFYCLLLLFHFFAFLSSIRTAGDVTAIAAVGSEEEEEHAVAITASGPSSPSTPLPVAMGGAMAGFGFLFPNNLRLLRGFPAVQELHANKGSGGGGGVAVWGSSIPWWEWDNPAAPSALRAALCRLYAEAYCGSFCSRRIHGADAVEEEKEKKKKPRVLRYDADAAVGGDDDDELQQCRLR >OGLUM03G22850.1 pep chromosome:ALNU02000000:3:18901953:18906076:1 gene:OGLUM03G22850 transcript:OGLUM03G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z958] MSGGVQEQFEIKFRLPDGTDIGPKRYPAASTVATLKESIVAQWPKADKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGLTTMHVVVRAPTSDKQSTDKIVAKKPKDFRCGCSIM >OGLUM03G22860.1 pep chromosome:ALNU02000000:3:18910697:18917314:1 gene:OGLUM03G22860 transcript:OGLUM03G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:casein lytic proteinase B3 [Source:Projected from Arabidopsis thaliana (AT5G15450) TAIR;Acc:AT5G15450] MAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTRLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSFVSVEHLVLGFAEDKRFGRQLFKDFQITVQSLKTAIESIRGKQNVIDQDPEGKYEALDKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAALLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVIKLEMERLSLTNDTDKASRDRLSRIEAELSLLKEKQKDLTEQWEREKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRKVSFTNSIIIMTSNVGSQFILNMDEEGGSTDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPGAVEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSILVDTQVTVPSNGQLPQQKLVFHKMSEESAPAAAEDEKFLPAV >OGLUM03G22870.1 pep chromosome:ALNU02000000:3:18920772:18921515:-1 gene:OGLUM03G22870 transcript:OGLUM03G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQYRCTHSASCLCLKGHISEDALFLVFRHMNWNPRMIALFSCVSKWFDDIAKRVLWKEFCHARAPRMMQDLHSGGSHIVDGNWKALGKLLIHCAGCTKGGLFGNIHVPGHFVFRTRFSRTCGKSILPLQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFASSKVKKMLIEKQAKFHPKEVCPYCKAKLWNLLQANMIPRSASIRLDAYDDSVEYYICLNGHILGLCTLMPVSDSEDAKE >OGLUM03G22880.1 pep chromosome:ALNU02000000:3:18928811:18929614:-1 gene:OGLUM03G22880 transcript:OGLUM03G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASSAWGSGLGKKNTPNCTPSNGDCSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAIIKVPTVPIQLPFFVSCPWCNLLSLRIIYKGNLAFPRKNYFLLWMVEGMNGERARSRSAIHSEQQTTWLSSSSRASGNEGYSNPIRRPLPPPVETQSPSVNHANHGVPILNAERVQASLRKSLSFLVHLTAKFPLVFIFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >OGLUM03G22890.1 pep chromosome:ALNU02000000:3:18942062:18944008:1 gene:OGLUM03G22890 transcript:OGLUM03G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKFPIVFSVVCLFLLCNGSLAQLLSQSTSQWQSSRRGSPRECRFDRLQAFEPIRTVRSQAGTTEFFDVSNELFQCTGVSVVRRVIEPRGLLLPHYSNGATLVYVIQGRGITGPTFPGCPETYQQQFQQSEQDQQLEGQSQSQSHKFRDEHQKIHRFQQGDVVALPAGVAHWCYNDGDAPIVAIYVTDIYNSANQLDPRHRDFFLAGNNKIGQQLYRYEARDNSKNIFGGFSVELLSEALGISSGVARQLQCQNDQRGEIVRVEHGLSLLQPYASLQEQQQEQVQPRDYGQTQYQQKQLQGSCSNGLDETFCTMRVRQNIDNPNLADTYNPRAGRITYLNGQKFPILNLVQMSAVKVNLYQNALLSPFWNINAHSVVYITQGRARVQVVNNNGKTVFNGELRRGQLLIIPQHHVVIKKAQREGCSYIALKTNPNSMVSHMAGKNSIFRALPDDVVANAYRVSREEARRLKHNRGDELGVFTPSHAYKSYQDISMSA >OGLUM03G22900.1 pep chromosome:ALNU02000000:3:18956343:18963658:1 gene:OGLUM03G22900 transcript:OGLUM03G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G15400) TAIR;Acc:AT5G15400] MYQYTNSAVNRRLPPNPRGEATPRDQPRHAIPPPLSSPADARTPQLQVEVEATTLAPASPPAMASPSPAARPQRTPDEVEDIITRKILLVSLTPPSTPNPAVAYLELTAAELLSESRPLLALRDASERLLIDRLSLPDQPAGSPSPFAYLVSSFRRAADEARKISTIRDAALRARLAASIAHLRGLILSYARIVAGNPDTFPSPHNAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFLDEFFANADYETVEPAMGELYDRLRQSVEKVSALGDFQKPLRVLRRLVGIPNCAKALVNHPRWIPKNQIMLIGEGRIMEISSVLGAFFHVSAIPDREFASKPDIGQHCFSEASSRRPADLMSSFTTIKSVMNNLYDGLKDVLLALLKNMDTREKVLEFIAEVINKNAGRSRMQVDPLKSASSGMFVNLSAVMLRLCEPFLDRMESKKDKIDVNYLFCNDRIDFKNLTAINASSEEVSSWIENRGYEHAEDSASGEARFVESQEATSSGNNSTVSLSSKGGSLVNCSKKENFSFICECFFMTARVLNLGLMKALSDFKHIAQDLARCQDDLDSNRAMRDQGGGSAQLDQDIKRLEKIVEILSQDKLCYEAQIIRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFACIPEHFLDDAMDLLVLTSRIPKALESFALDDFLNFIIMFMAGTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVKNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRRIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANVVEWESRPPQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHITRGDKEGIFPAAISKDGRSYNEQLFASAANILWKIGGDPQIIQEFMQLASKSKTAASEAMDAEAMLGDIPDEFLDPIQYTLMKDPVILPSSRVTLDRPVIVRHLLSDSTDPFNRSHLTQDMLIPDTELKSRIEEFIRSQRSKKRTAADSEMGEPDGAADMAD >OGLUM03G22910.1 pep chromosome:ALNU02000000:3:18964427:18967248:1 gene:OGLUM03G22910 transcript:OGLUM03G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAEEPHPTRHCLLPCSRRAVTKRKERRGLLALPRLLLTRVGWTSGRGRRKGHMRWRRY >OGLUM03G22920.1 pep chromosome:ALNU02000000:3:18974376:18975931:-1 gene:OGLUM03G22920 transcript:OGLUM03G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWDEKGAEQLGRCYKEYAQFMFGTMNEIEGALPKGTPRKNVNVIKDIITEVCKGYVTEIDWRDSKYIPPLKEHLQITLVTCFYWAINCTAFVVFQEGVTEEVMIWMSGFPQIVKDSCIVSRLMDDIVAHAFETERNNVATAVTCYMKEYDSTKEEAIKALWNDVENAWKDMNEEYLKLTSIPSSLLIQVINLARMMETMYKKIDGYTDSAILKEWISLLLVQPITL >OGLUM03G22930.1 pep chromosome:ALNU02000000:3:18986665:18988077:-1 gene:OGLUM03G22930 transcript:OGLUM03G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIDALQRLGVSYHFKEEIDNSLESLVSVKFANDDFHAISLQFRLLRQQRCYMPCDAFKEFIDKQGNLNGTLCSDTRALLALYEAAHLGTPNEEILREAQVETTNQLKRIVDCIEKPLSNKVRHALETPSFRRMK >OGLUM03G22940.1 pep chromosome:ALNU02000000:3:18997419:18998766:-1 gene:OGLUM03G22940 transcript:OGLUM03G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLHCKNIEFSHDLNFSSWPQTDENGFGNVLIGWAKVNVNRSFSTSDGAAGIGVVIRDHDGSSVLSSWHAVLQYPVQRKWKLALACQIRMKLALACQIRMSLWIILFNQIASDDASADINTGKSILMGRSNCIEPGLSALTARGANYERALGHQSRVTNHDR >OGLUM03G22950.1 pep chromosome:ALNU02000000:3:19005571:19014401:-1 gene:OGLUM03G22950 transcript:OGLUM03G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFARKAMDGLSCISERVYVFNSCLSTEPLVDDDDDDDEEVARNDHLISTVIQLKSCHPHGASLMLLNLFAAGGGEEASSLLPVVALRRHGVAAVAEYPCGHRHGPSLPLATARALLATCVDWLVTDGQRNVLLMRCDRGARPALALAMASLLVYMEEEPAPPELVTTTTLAAVYGRAPVALLLAAGSALDPRPSHLRYLQYVARLRGMTTRHGPPPPSPLLVLDCLILRPVPDFDGNGGCRPAKSAVVKVNIECQVRGDVVIECGHVGENTDEEEEEDMFRIMFNTCFLESNMMVLTLDDIDLPWNCRRERFQEDFKIEVFFSEVDVSDNDSHTAEMLGDSHDGNAELFYDFDDISIDSGSSSKYHEQHDEDGESKSSETGGCSSDEKGSNGSGNEVRFLPEADVTKDSLEEETGNRQEESSNAVQPTLVTSKDPNADTASDLQESRMAKGTSQEACIQEGADSSVRTEVDHNRMAGIGALKPQPKRRTWQNLSKQSAIPIVNKKKAKKPDIGPSDVKKPSKGKMLLKQTLQKGILIATSSCKSSTVQANTGPVPRKKHANAIRSNHGTGQATKTPTHSKTKLENSSHQETGDTATQKDDAIENTTETEPATSIIQTRPPSPPRKRLNPEGSKDSLRRPIETAMKSPTPTSGNSSTGGAAKQEATTASTEAHSAKVVLKKSLSSPAISRSLTTTSSSSPKRRSNVTSHPSSVLRCVFFLTTSTSISFATKITFGQLQGQQSSISYSASDAAGHINAFKDASPCIIRRVNLHEWAFSVAENIYDYKEPSHWHERQQQKLVTSITKIGKTTAITTRDTYYSYHSASKANKI >OGLUM03G22960.1 pep chromosome:ALNU02000000:3:19017075:19017839:1 gene:OGLUM03G22960 transcript:OGLUM03G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRLALCLAVVAACAAGGAVADWSPATATFYGGSDGSGTMGGACGYGNLYDQGYGVDNAALSQALFNDGASCGQCYLIVCDTSRAPQWCKAGTAVTVTATNLCPPNWALPSDGGGWCNPPRPHFDMSQPAWEQIGVYQAGIVPVLYQRVRCWRQGGVRFTVAGLNYFELVLITNVAGSGSVASAWIKGTNTGWIQMSRNWGANWQSLAGLAGQALSFAVTTTGGQYLQFQDVAPAWWQFGQTFSTYQQFDY >OGLUM03G22970.1 pep chromosome:ALNU02000000:3:19021690:19025187:1 gene:OGLUM03G22970 transcript:OGLUM03G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26580) TAIR;Acc:AT3G26580] MAAGLLLGDATALRGDLTVRGPQLRLCNWAPRPRWRPPGAGAADSCCLLSRARARARARRRGHGHVARFAASASGAGGEEAGEPSEDEAQREWEAEMARRLKEAEEMEELERTAEELQSQAAAEAPDESEEEKRERVRRELQKVAKEQAERRATAKQMFDLGQRAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESTHPMISIRRQAAELRYISEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRKMVAASSQVEPSPNIFGDLSFLRPPTEWTRSAWVIVTLWIVLIGTAIYLQR >OGLUM03G22980.1 pep chromosome:ALNU02000000:3:19031652:19032023:1 gene:OGLUM03G22980 transcript:OGLUM03G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z971] MARIPLLLALLLAVSAAAAAQVGGNRGHGPLVGGWSPITDVGDPHIQELGGWAVERHASLSSDGLRFRRVTSGEQQVVSGMNYRLVVSASDPAGATASYVAVVYEQSWTNTRQLTSFKPAAAH >OGLUM03G22990.1 pep chromosome:ALNU02000000:3:19075980:19076303:1 gene:OGLUM03G22990 transcript:OGLUM03G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVRWLGGVGKWVCEHQGSVAVGLEAAGNGGTTCVHRVVEAAQEKRTGGKGSRSHQRAPVAILQGKGKEGGLLVSLGPRARRRRAPRLEKVVSDGDVFEELKSAA >OGLUM03G23000.1 pep chromosome:ALNU02000000:3:19085263:19085637:1 gene:OGLUM03G23000 transcript:OGLUM03G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATWCGADEDGVGIEPGRGPGRDDGHAVDQLGEKSGARGGTASPGWGRRAAARQEQAREKRGGEAARHHRHGEEEPQRGGSRLGRRAAAKRHGITGMGRRATVRREQVGEKSGGGRERVGGA >OGLUM03G23010.1 pep chromosome:ALNU02000000:3:19085861:19086376:1 gene:OGLUM03G23010 transcript:OGLUM03G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGHHCPSPLWPPVALHHSGPPHASPSLSPRVPDANVSPSTASPPPPPALAALPCTPPTGHCLLLALFRHAILLSSVLVSSSSAATTLAAAGPPPLPSLPRQRAVEEAAAGDSAQRKEAKKKAATAAATRMRTSSSPLPHRRSSPPVGRLAACPDEHSERKMMKGEEGK >OGLUM03G23020.1 pep chromosome:ALNU02000000:3:19087468:19100704:1 gene:OGLUM03G23020 transcript:OGLUM03G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTRAEEEETAAAEEWSGEAVVYVNGVRRVLPDGLAHLTLLQYLRDIGLPGTKLGCGEGGCGACTVMVSCYDQTTKKTQHFAINACLAPLYSVEGMHIITVEGIGNRQRAVWNYLCDEVVTCWLKTLRKERLAMAHGSQCGFCTPGFVMSMYALLRSSEQPPTEEQIEDSLAGNLCRCTGYRPIIDAFRVFSKRDDLLYNNSSLKNADGRPICPSTGKPCSCGDQKDINGSESSLLTPTKSYSPCSYNEIDGNAYSEKELIFPPELQLRKVTSLKLNGFNGIRWYRPLKLKQVLHLKACYPNAKLIIGNSEVGVETKFKNAQYKVLISVTHVPELHTLKVKEDGIHIGSSVRLAQLQNFLRKVILERDSHEISSCEAILRQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGATFEIIDVNNNIRTIPAKDFFLGYRKVDLKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYIRKVEGDWIISDVSIIYGGVAAVSHRASKTETFLTGKKWDYGLLDKTFDLLKEDVVLAENAPGGMVEFRSSLTLSFFFKFFLHVTHEMNIKGFWKDGLHATNLSAIQSFTRPVGVGTQCYELVRQGTAVGQPVVHTSAMLQVTGEAEYTDDTPTPPNTLHAALVLSTKAHARILSIDASLAKSSPGFAGLFLSKDVPGANHTGPVIHDEEVFASDVVTCVGQIVGLVVADTRDNAKAAANKVNIEYSELPAILSIEEAVKAGSFHPNSKRCLVKGNVEQCFLSGACDRIIEGKVQVGGQEHFYMEPQSTLVWPVDSGNEIHMISSTQAPQKHQKYVANVLGLPQSRVVCKTKRIGGGFGGKETRSAIFAAAASVAAYCLRQPVKLVLDRDIDMMTTGQRHSFLGKYKVGFTNDGKILALDLDVYNNGGHSHDLSLPVLERAMFHSDNVYDIPNVRVNGQVCFTNFPSNTAFRGFGGPQAMLIAENWIQHMATELKRSPEEIKELNFQSEGSVLHYGQLLQNCTIHSVWDELKVSCNFMEARKAVIDFNNNNRWRKRGIAMVPTKFGISFTTKFMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNATPTAASASSDLYGAAVLDACQQIMARMEPVASRGNHKSFAELVLACYLERIDLSAHGFYITPDVGFDWVSGKGTPFYYFTYGAAFAEVEIDTLTGDFHTRTVDIVMDLGCSINPAIDIGQIEGGFIQGLGWAALEELKWGDDNHKWIRPGHLFTCGPGSYKIPSVNDIPLNFKVSLLKGVSNPKVIHSSKAVGEPPFFLGSAVLFAIKDAISAARAEEGHFDWFPLDSPATPERIRMACVDSITKKFASVYYRPKLSV >OGLUM03G23030.1 pep chromosome:ALNU02000000:3:19131216:19136060:1 gene:OGLUM03G23030 transcript:OGLUM03G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAAAAAAAAATTAASISTPTELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDIRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGEYMRSTPKRNSLRTGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >OGLUM03G23030.2 pep chromosome:ALNU02000000:3:19131216:19136060:1 gene:OGLUM03G23030 transcript:OGLUM03G23030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHRRLVLCFLILAAAAAAAAAAAAATTAASISTPTELAVASHPLSPLRLPPAAPFAGGGEGGGGGGGPFCTRVHIRGRPSRLRDPSRFFHALRVRANATRPSGLELCFHRNATVGPCKCAASQCHKMAKSGLWVQAISPYDIRVLDFRMPSDPSRSIIVSTEEEFLLHRVVFLLLGMVLMAVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFVYSSLLGVFLLVSVILAGAWFGYWGVRKLVLTEEGSVDAGVAYFVEWAILIISAVMILQSSLDYLFAFSALLFCTAIKAVSRIEGKSRVLRCLSRAFSNIVPTGYEGFGEEYSSMNGSHQDGFSKLHGSRKTLSQDLATDSYYSTFHTNPERKKFSEEEYAAFTREETHKAMKQLVSSPDFNRWALANVDRISVTPPQRTPQNSMSQQRKRLFGLF >OGLUM03G23040.1 pep chromosome:ALNU02000000:3:19144901:19160148:1 gene:OGLUM03G23040 transcript:OGLUM03G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAAAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVETPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGIGGFSNCLFTFLFAGVSMDEVKLEQADCDMDERVYCDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISFNMENKHTSNQSTKGLDINALPSDDSGSDIGDKPSFCQSEVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGEAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDEFIEERKPKKRGRSKR >OGLUM03G23040.2 pep chromosome:ALNU02000000:3:19150899:19160148:1 gene:OGLUM03G23040 transcript:OGLUM03G23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVDSCDNDEAIMMKPSKESKKRGAGKKQEEEENNTISIEDEMCDANNKKGKKMLTGENALMCHQCQRNDKGRVIWCKSCNNKRFCEPCMKRWYPGLSEVDFAAKCPYCRKNCNCKACLRMIGVETPPEKKISEENQRRYAFRIVDLLLPWLKELQQEQMKEKELEGRLQGIGGFSNCLFTFLFAGVSMDEVKLEQADCDMDERVYCDRCKTSIVDFHRSCKACSYDLCLACCWELRKGEIPGGEEAKSVQWEERGQKYVFGNISKDEKKRVSSKRHMETPSTETCNDMAVAGDPNNPLLLWKANSDGSIPCPPKEIGGCGASSLVLRCLLPEIMLSELEHRANKVIKREAFDKAINETSDQCPCFYHTSKIRTNATREAANRKGSSDNYLYCPDANDIQEDDLSHFQMHWSKGEPVIVSDALRLTSGLSWEPLVMWRALREKKTNGDVEDEHFAVKAVDCLDWNEVEINIHMFFMGYMRGRRHPMTFWPEMLKLKDWPPSSMFDQRLPRHGAEFITALPFPEYTDPRYGPLNLAVRLPAGVLKPDLGPKTYIAYGCYEELGRGDSVTKLHCDMSDAVNILMHTAEVSYDTEQLDKIAKIKMKMREQDLHELFGVSESGAKGKADDEASKISFNMENKHTSNQSTKGLDINALPSDDSGSDIGDKPSFCQSEVSHPIHDQTFYLTVEHKRKLKEEHGVEPWTFEQKLGEAVFIPAGCPHQSCIKVALDFVSPENVGECVKLTGEFRRLPSDHRAKEDKLEIKKIALNALKEVVNFLDPLPKGSKNRDEVVEVTKPKRKYGNRRGDLKSGEDQPIDEFIEERKPKKRGRSKR >OGLUM03G23040.3 pep chromosome:ALNU02000000:3:19144901:19146534:1 gene:OGLUM03G23040 transcript:OGLUM03G23040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEAVDGKHPKRGRGRPRGRRGRGRGRGRGGRSLASPAAGPGDQGPRRRRGVVPAAAAAAGGRALRERRPAPGAYRESGADNDDDGGGDDEHDEQNDDGAEKSDNQVVDSLNEPNRSNTGKKRGRPKKVKAEQEDSNQLSNGKHLGENNGVITQPSVCSLSGFEHVLDHA >OGLUM03G23050.1 pep chromosome:ALNU02000000:3:19170548:19172917:1 gene:OGLUM03G23050 transcript:OGLUM03G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNLMINLLVLLALASLLSPAPALCYIHAGEAGSVVRTPNGTPPPPADAYRTYIVLVDPPPHGAATDDDGHRRWHESFLPGGRRMDDGADQARIIRSYTEVFEGFAARLTAAELAGVVSKKPGFVRAFPGRRTLRLMTTHTPEFLGLTRGAGFWRDVAGYGKGVVVGLLDTGVHAAHPSFDDRGVPPPPARWRGSCAVAATRRCNNKLVGVKSFVDGGGGGDDDVGHGTHTASTAAGNFVAGGASDRGLGAGTAAGIAPGAHVAMYKVCNGSGCDDDAVLAGFDEAMKDGVDVLSVSLGRWSSPPFDEDPIAIAAFSAVARGITVVCAAGNGGPEPSTVSNDAPWLLTVAAGSVDRSFSTTVLLGNGELVAGQALAQQPNSSTSYYPLLFSEKQPKCNELAGIVGDGVAGHLVVCQSDPVEDESVVSAMMATGAGGVVLINTESEGYTTVLEDYGPGMVQVTVAGGHNITEYARSSSSSAGGCKPNATVVFDNTLLSVHPAPTVASFSSRGPSKVAPGVLKPDVLAPGLNILAAWPPHLQHGGGGGGGGLFKVISGTSMATPHASGVAALVKSRHPDWSPAAIKSAILTTSDAVDGAGNPILDEHHERATAFLTGAGHINPARAADPGLVYDIAVADYAGYICALLGDAGLGTIVRNESLSCGKLDKNKIPEAQLNYPTITVPLPRSSSSAAPPPFTVNRTVTNVGPARSTYTVKLEIPRSLTMRVSPEKLVFSGVGEKKDFSVTVSGGGGGGGEVVEGSLSWVSGKHVVRSPIVAVPQPYLKLGS >OGLUM03G23060.1 pep chromosome:ALNU02000000:3:19191816:19192655:-1 gene:OGLUM03G23060 transcript:OGLUM03G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMQRFGYWKPEEIVSRGGRTKEDNLDVLATVMAMTAHGSFGKARYKSGKRGKIEKEASPMSGKVDEKGPATVDLASDLGSSLERFEGRDELECSVFPEKEEEGEKGRENSLSLRFAQRLSEIGAWLV >OGLUM03G23070.1 pep chromosome:ALNU02000000:3:19192248:19193678:1 gene:OGLUM03G23070 transcript:OGLUM03G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVTIAPDLELLFRPVWVSSLKTNNSIEFATLENIALKIPLDSQELKWTKKATLKLPKATFHEASHSLGWTCGRGFGQVDMIHNGGTRESSDRLALLRPSKCLTARTDQYPTGQ >OGLUM03G23080.1 pep chromosome:ALNU02000000:3:19197404:19200939:-1 gene:OGLUM03G23080 transcript:OGLUM03G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCNTGLVGNWKNLAGLMDPAPPPPSQIGEEMVAVVAVASDSTHCQRQDRGWKGKFVEREPCPRATPSSNA >OGLUM03G23090.1 pep chromosome:ALNU02000000:3:19202996:19206943:1 gene:OGLUM03G23090 transcript:OGLUM03G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQHHHSSGPSFMEGCLAALCCCCLLEACF >OGLUM03G23090.2 pep chromosome:ALNU02000000:3:19202996:19204049:1 gene:OGLUM03G23090 transcript:OGLUM03G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGAPPPQGYPGKDAYPPPGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPPPYAQPPPPQQQHHHSSGPSFMEGWYVLLLLLLLSHLLLAIIPDSSGFGFLVEISSSSAMLAGGGVLGGWWGARRRRVRQASWVRQASWVWWMWLVT >OGLUM03G23100.1 pep chromosome:ALNU02000000:3:19205368:19211528:-1 gene:OGLUM03G23100 transcript:OGLUM03G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKRRGVVGGIDYGFTGEVKKIDVSRIKERLDRDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVNCFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFQNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVQRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >OGLUM03G23100.2 pep chromosome:ALNU02000000:3:19205368:19211528:-1 gene:OGLUM03G23100 transcript:OGLUM03G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEICDNVASGNFLGAKADKLICIVDGQIFDEHGRVNCFMSIEEADMLIRTRAKQSEIAANYVEVVGEEDISYARNLPIKEEKELGLIGRDFVDGYTASFQNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCNGGVQRVHIIDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIRPLEESGVLVQRTDKELLEALKSFIVVERDGSIIACAALFPFLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKIFLLTTRTADWFVRRGFKECSIESLPAQRRKRIDLSRGSKYYIKRLRAAEIGQMAVNNFAVR >OGLUM03G23120.1 pep chromosome:ALNU02000000:3:19230888:19232997:-1 gene:OGLUM03G23120 transcript:OGLUM03G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPTQHILQRMEDNMMYPIKDQETMLVVAMDMNRQQDTGYRIEIQGLHVRPSEEEPGEEAEAGGCG >OGLUM03G23120.2 pep chromosome:ALNU02000000:3:19230822:19232997:-1 gene:OGLUM03G23120 transcript:OGLUM03G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPTQHILQRMEDNMMYPIKDQETMLVVAMDMNRQQDTGYRIEIQVSGLSGARCSLVMYVFDVRDSTSAHPKRSLGRRRRLVAAVDWYAYFREHMKDTNMTLAQFP >OGLUM03G23130.1 pep chromosome:ALNU02000000:3:19251142:19267196:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MAEEASGVDKSSLLCRVEDLQQRDEKQHALEVFIRRVEGLQYDLDEKTDLVEVLSRRVEELQRERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAEFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTPIDGKLSIWKRKE >OGLUM03G23130.2 pep chromosome:ALNU02000000:3:19251142:19267196:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MAEEASGVDKSSLLCRVEDLQRDLDEKTDLVEVLSRRVEELQRERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAEFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTPIDGKLSIWKRKE >OGLUM03G23130.3 pep chromosome:ALNU02000000:3:19250990:19267196:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MKSSMLWKYLSVGLKGSNMNEMNLGKILSNYACNNKLVLDMTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAEFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTPIDGKLSIWKRKE >OGLUM03G23130.4 pep chromosome:ALNU02000000:3:19251118:19267196:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MAEEASGVDKSSLLCRVEDLQRDLDEKTDLVEVLSRRVEELQRERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAEFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTPIDGKLSIWKRKE >OGLUM03G23130.5 pep chromosome:ALNU02000000:3:19256507:19267196:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MKLDERDELRKDIEQLCMQQQAGPGYVSVATRMLSQRTAALEQDIENLQKKLGGCLRENQNLQEELAEAYRIKSQLAELHGAELSKNKELEKQVRFFQSSVAQAFAERDNSLMKCEKAKEREEAILQKFAEFEERTREYQSSIDDQKRSNDALQMELMRLKEQTQSSLKVIQKFYDVRCRDSECSVNITLEEKCSVLLDDSADNWSFSSDGGTSTSKYIASLEEEKDSLRAKIAKLQNNLRMGLEIEQHLQRNARVLEKRQALYDEFMRNGLSTLQKLHIQQRDDIMKILEEESSQLSTVVNEIQDKLSKIRINPEINENPVGEMQCCDSSCKDVHVTTDVSPGISPKGDIPSDCATFGESDVLAQALHEKMEALMLFSQEQERYLLEKQRNQIVIEELQKNLSQVKEEKVKILVELAKLKEEYLMLKGNSTPKDGHGAVDNMKIIPAHDRQGMFKTIMNRTSLRHWIKKENTNIGHECSDENVHTVRKHHSEDLARVKAENAALLESVATMEHLTSSVHRLHIVLMKAFEDVKSAHSLESTYEALNSLITEANLMKTALGVALPVSWLGDSSDAITSDALYDPSESPKSSKSEKQDPLSSAGMEMVRRMYCTPIDGKLSIWKRKE >OGLUM03G23130.6 pep chromosome:ALNU02000000:3:19251142:19255672:1 gene:OGLUM03G23130 transcript:OGLUM03G23130.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain-related [Source:Projected from Arabidopsis thaliana (AT2G14680) TAIR;Acc:AT2G14680] MAEEASGVDKSSLLCRVEDLQRDLDEKTDLVEVLSRRVEELQRENFLDQITHVFLLHPFSPVHLFPSMELDSDDAGAFLRPYLFPGAATWQLAQETLAPSSSQGGEARRTLTVGSTDGDGFPGIGSEAGGSPAPKMTDGSRRLARRSPRASLRACGHAAADRRRRKPSPTTPSCTKPSVAVVAAHQMRKPPLTTDEEPISHYAILAPSLFFQSICSQFCCTPTVCENAHESKDPSSPAWPDVALLLPVAQLDSVGTSSACTWACVSLRGSASAYLVVLLGFLTGEAPGTRSRERCQEWKEKGKKEEDMGILVH >OGLUM03G23140.1 pep chromosome:ALNU02000000:3:19276049:19276786:1 gene:OGLUM03G23140 transcript:OGLUM03G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGTASFKHVVDDDDPAARGTGGGSPRQPRRKHGGGGGGKINPYAERGLDKFSTVLSELEVRRDKILRRVGSGGGLVMVRFVQSNGALEPIIVKLPDEQRRPKDDAAAKKPRPSSPSTAAAQQQGAAAARATRAPPPAPAASRASSFSWGRMRRPACYWPAVMVLMLVCLAVFGRVFAICCTSIWWYLAPTLLSNGGAGGEDAARRPLGSPRKSPPPASGKKLADRRGTREVGSSPRGHTKKGT >OGLUM03G23150.1 pep chromosome:ALNU02000000:3:19281453:19288020:-1 gene:OGLUM03G23150 transcript:OGLUM03G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate orthophosphate dikinase [Source:Projected from Arabidopsis thaliana (AT4G15530) TAIR;Acc:AT4G15530] MAPAAHRDGAAEAVGQRVFHFGKGRSDGNKTMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAQKAMPAGLWDEILAALTWVEGNMGAVLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDHVVAGLAHRSGERFAYDSYRRFLDMFGNVVMDIPHSLFEEKIEAMKAALGLRNDTELTARDLKELVAQYKNVYVEAKGEEFPSDPKKQLHLSVLAVFNSWDSARAKKYRSINQITGLKGTAVNVQCMVFGNMGDTSGTGVLFTRNPSTGERKLYGEFLVNAQGEDVVAGIRTPQDLDTMKDCMPEPYAELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDRRSAIKMVEPRHLDQLLHPQFESPSSYGDKVIATGLPASPGAAVGQIVFTADDAEAWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVAGCSGIRVNDAEKVVLVADKVLCEGEWLSLNGSTGEVILGKLPLSPPALSGDLGEFMSWVDEVKKLKVKANADTPADALTARNNGAEGIGLCRTEHMFFSSDERIKAMRQMIMAETIEHRQIALDRLLPYQRLDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDMVRLLSSGNVYTQEEILTRIEKLSEVNPMLGFRGCRLGISYPELTAMQARAIFEAAISMTEQGVKVFPEIMVPLIGTPQELAQQVDVIREVAEKVFANAETTISYKIGSMIEVPRAALIADEIAALAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVFDQKGVGELVKVAVERGRKARPDLEVGICGEHGGEPSSVAFFAKVGLNYVSCSPFRVPIARLAAAQVML >OGLUM03G23160.1 pep chromosome:ALNU02000000:3:19298619:19303207:-1 gene:OGLUM03G23160 transcript:OGLUM03G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHGQSSDIEIFSPGGEEVRRRWREVVLRSQVRACQLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >OGLUM03G23160.2 pep chromosome:ALNU02000000:3:19297383:19303207:-1 gene:OGLUM03G23160 transcript:OGLUM03G23160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFIALTVTGAVAAVQALSNVPVESELAAAACHQVARWPER >OGLUM03G23160.3 pep chromosome:ALNU02000000:3:19298621:19302709:-1 gene:OGLUM03G23160 transcript:OGLUM03G23160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSFPSPFRSSDAAVDLRAPVALTCNTRPCCCCRCHCRRLCSLVASSSPDVTRNTRRHWQHSCRGRQPAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFVEKLCSKSNSHLNAINYQLKYWKFTNSPVDVRRPQSVATTLSPPAPMRHGQSSDIEIFSPGGEEVRRRWREVVLRSQVRACQLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >OGLUM03G23160.4 pep chromosome:ALNU02000000:3:19297385:19302709:-1 gene:OGLUM03G23160 transcript:OGLUM03G23160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSFPSPFRSSDAAVDLRAPVALTCNTRPCCCCRCHCRRLCSLVASSSPDVTRNTRRHWQHSCRGRQPAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFVEKLCSKSNSHLNAINYQAIGFFMFIALTVTGAVAAVQALSNVPVESELAAAACHQVARWPER >OGLUM03G23160.5 pep chromosome:ALNU02000000:3:19298621:19302709:-1 gene:OGLUM03G23160 transcript:OGLUM03G23160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSFPSPFRSSDAAVDLRAPVALTCNTRPCCCCRCHCRRLCSLVASSSPDVTRNTRRHWQHSCRGRQPAAGTARFLETLIERADCRCGTSTLCSTTTLQSTDQECPFVEKLCSSIGSLQIHRSIRPQSVATTLSPPAPMRHGQSSDIEIFSPGGEEVRRRWREVVLRSQVRACQLRAYDALPAFLKHNEFIIDYYRSEWPIKQALLSAFVVHNETIFQT >OGLUM03G23170.1 pep chromosome:ALNU02000000:3:19317044:19317334:1 gene:OGLUM03G23170 transcript:OGLUM03G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAAAQLYATACYGVSMAVVSFLQPVNDGDYDGRPAPEAQATHANLEPDGISIRPGEGGWVSGGNQTTCKLIPGLSQAMQFFLKESTKKTLRLQ >OGLUM03G23180.1 pep chromosome:ALNU02000000:3:19335523:19346286:1 gene:OGLUM03G23180 transcript:OGLUM03G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVTSQLFQGVTATNRFCQTNKFRNPDIRSSLTSTSLSSVPNGHNCWGHNILERNYRPMLYVPSRYRALGVRSFALPVSLQEIPLVKSTSVALTRSCDTLLANPATALVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISTSYLQPLLLWTGATLICRALDPVVLPSAASQAVKTRLVTFVRSLSTVLAIAYILTSLIQQLQKFLMDMRNPNDSRRMGFDFAVKAVYTGIWIAAISLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINTKIDGVEVSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNTQRTHWRIKTYLALSHMDAAKIGIIVADMRKVLAKNPHIEQQRLHRRVFFEKIDPKTQALMIYISCFVKTSHFEEYLNVQEAVMLDLLRIVGHHRARLATQIRTVQKSYGNADIDNIPFGEEMYSRVRGRPLLIDTSARISDDKSKPRPASREDHKVKTVTSAEAKSASADNASISNSEKQEQKKSVPEDGRMKNSKNDHATTTSPSSPWSENMDPIASTSKTGKGKTQGAEATEREGDGAVSVANSKKESRPVFEDNIVLGLALEGSKRTLPIDDGMNPHLSLSETEQDTVEAASSPKDKKGQEKGDQRNLDR >OGLUM03G23190.1 pep chromosome:ALNU02000000:3:19371116:19372927:-1 gene:OGLUM03G23190 transcript:OGLUM03G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLHHHHHHQHAASPSPPDQPHKSYPSSRGSTSSPSSHHTHNHTYYHHSHSHYNNNSNTNYYYQGGGGGGGGYYYAEEQQPAVYLEECGNGHQFYMDEDFSSSSSSRQFHSGTGAPSSAPVPPPPSATTSSAGGHGLFEAADFSFPQVDISLDFGGSPAVSSSSGAGAGAGAAPSSSGRWAAQLLMECARAVAGRDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLATASDRNASFDSTRRTALKFQELSPWTPFGHVAANGAILESFLEAAAAGAAAASSSSSSSSTPPTRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPTAAPSAAAQRVMREIGQRLEKFARLMGVPFSFRAVHHAGDLADLDLAALDLREGGATAALAVNCVNALRGVARGRDAFVASLRRLEPRVVTVVEEEADLAAPEADASSEADTDAAFVKVFGEGLRFFSAYMDSLEESFPKTSNERLSLERAVGRAIVDLVSCPASQSAERRETAASWARRMRSAGFSPAAFSEDVADDVRSLLRRYKEGWSMRDAGGATDDAAGAAAAGAFLAWKEQPVVWASAWKP >OGLUM03G23200.1 pep chromosome:ALNU02000000:3:19497992:19498527:-1 gene:OGLUM03G23200 transcript:OGLUM03G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLTTLPCALLWLLRDIGGGERRGEEGRNEGSGGSMPGVNLKNLVSKEYYGHRKKVHFVAWNCLGTELASGSTDRTNSRVWRIYPHLS >OGLUM03G23210.1 pep chromosome:ALNU02000000:3:19500313:19502913:1 gene:OGLUM03G23210 transcript:OGLUM03G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERAITYAVVDAFTDEPFKGNTAAVCLLEESWEEQLDEQWMQSVAAEFNTSITAFLVRADADAANPQFQIRWFTPVRESELCGHGTLAAAHYLISSGLVKCNAIDFLAKSGFLTAKKVVGLKQSSTLISPLQEACTKFLIELDFPLIPVVKCSPLEMPSIPETLNGASVSNVLKTVSDSATDLIVELNSSEEVVNVRPNISELVQSAGRGVAVTGPAPVGSSYDFFSRFFCPKYGLNEDPVCGSVHCALAPYWGKKLGKQCMTASMASPRSGTLYLQWDEAAQRVRIRGEAVTVMVGNILV >OGLUM03G23230.1 pep chromosome:ALNU02000000:3:19532414:19542368:1 gene:OGLUM03G23230 transcript:OGLUM03G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43980) TAIR;Acc:AT1G43980] MPPPPVPTISTLSALLAGCASLSTAAALHAHLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSRDLLSARRLFDEMPDRDAVAYNSMMSGYIDGGRNNEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGVQVHAAAVRHCFAHQNSVVGNALINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFRMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFSRVSFKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSRAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRHQLVIISCSVIILHEDLAIPTVTLQIQDVAKPQVEDDLCRWDRTSSEREMVSR >OGLUM03G23230.2 pep chromosome:ALNU02000000:3:19532414:19542368:1 gene:OGLUM03G23230 transcript:OGLUM03G23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G43980) TAIR;Acc:AT1G43980] MPPPPVPTISTLSALLAGCASLSTAAALHAHLLKSSRLFRPVFLANCLAAAYCRLGAAPSAVAVLRHAPEPNIFSRNILLGAMLKSRDLLSARRLFDEMPDRDAVAYNSMMSGYIDGGRNNEALSLVWTMLEAGVRPSGFTFSIILSAVRVARHGVQVHAAAVRHCFAHQNSVVGNALINMYRRVGLLEYAVQVFWSMNGHDIVSWNSVMSVYRDDGQRRQVFECFRMIRSHGLFFDECSLSTVLSACIDAEDSSKGDQLLTHCVKMGLLRNSLICSAVIGLLCASDRLADAVYLFKGMATWDSETCNAMISGYARSGLMEQALGLFTMALQNGILPTGFTFASVLRWSSCFGLVEQGTQIHALIFKLGLEDDLIIATALVDMYCKLASLKHAKKIFSRVSFKDLVLWNTMIIGLSHNGRGKEALQVFRQMLKCNIQPDRITLSGVLSACSFEGLVNEGIKMVSLFEDKYHIVPGVEHYTCVVDMLSRAGMLGEAVDFVESKLQKCIVAALSNVLEASLIKRDFRMAELIAEKMTKLKPRSSLPYVVLAQSYGARYMLLAVLNLYHKKSPITRRHQLVIISCSVIILHEDLAIPTVTLQIQDVAKPQVEDDLCRWDRTSSEREMVSR >OGLUM03G23240.1 pep chromosome:ALNU02000000:3:19543989:19551376:1 gene:OGLUM03G23240 transcript:OGLUM03G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDASTSPRRSDSSDSSTCSDGDDLLSSSSPKGTGIHRLPPLPCATDGAIAALVEELESPASSLDDLRRAAMELRLLAKHSPDNRLRIVAAGALPPLVALLSRPDPLLQEHGVTALLNLSLREDNRGAVVDAGAVGPLSGGARGKKDAATALYALCSGAPEENGPRAVEAGAVRALLELMGEPERGMVEKAAYVLHALVGTAEGRAAAVAEGGVPVLVEMVEGGTPRHKEMATLCLLHVCEDSAAYRTMVAREGAIPPLVALSHSSDARPKLRAKAEVLVGLLRQPRSGSLLRARPSVAASRLPAGLCN >OGLUM03G23250.1 pep chromosome:ALNU02000000:3:19559268:19559495:-1 gene:OGLUM03G23250 transcript:OGLUM03G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPGNPWYGPDRVIYLRPLSDTAELSADPEAFVKNHEQKVIPTHSRLPPPPDEPDRGERREREGG >OGLUM03G23260.1 pep chromosome:ALNU02000000:3:19559556:19560198:-1 gene:OGLUM03G23260 transcript:OGLUM03G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTATHARRVAEARVWRGGLRAAETREWRRQALERRRRGAATGDDSKGAGRWATTESRAPPLAVASSSASTRRDSPISLDGRRNRTQGTQPHLIAAKDPRRAASSKDPISASPSFSQPSSRH >OGLUM03G23270.1 pep chromosome:ALNU02000000:3:19569560:19570360:-1 gene:OGLUM03G23270 transcript:OGLUM03G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFLRRDHHHLTQLERYVEGRCSSKVRGTCVADMWLPCPSNLKLEEPLLAWNVFRALNHLQARVEKSITAHILKREKEWIE >OGLUM03G23280.1 pep chromosome:ALNU02000000:3:19571931:19574334:-1 gene:OGLUM03G23280 transcript:OGLUM03G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHETETGTESAAARQAKESLELAFQMSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPTAATTPASTATAVPSTKAASLFPSGLRQP >OGLUM03G23290.1 pep chromosome:ALNU02000000:3:19574338:19576951:-1 gene:OGLUM03G23290 transcript:OGLUM03G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMISSLLLDVDIEKGMQDVQHKVSIRSSCLVLAAAARQRTRRCGPIPARKRSGSGAVSEVGRATLNCDGNLVFLLYREGPGPPPTGGRR >OGLUM03G23300.1 pep chromosome:ALNU02000000:3:19579184:19584065:1 gene:OGLUM03G23300 transcript:OGLUM03G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASSRDFWLGPGFHRTSHRRTEHNTRTKGKETRAYPPGALRPATTRTEARRAPRPEMSQKRQPEESSGGVGGGMGPPVPAESGAGSSSPPHRRGEPKRQRVPALREVITEVMRKSSIEKLFTAIEPLIRRVVKEEIESAFANHATMMARTVMDVVPSSSKNFQLQFMTKLSLPIFTGSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGKRPLLSGDIFVGLIKGIGAVGELSFTDNSSWTRSRKFRLGAKTEDGSYNGVRVREAKSESFVVKDHRGELYKKHHPPILDDEVWRLEKIGKEGAFHKRLNREKIVTVKEFLTLLHLDAPRLRKILGSGMSTKMWEVTVEHSKTCILPDKVHLYYPDSLSKTAVVFNVVGEVRGLISEKFVCADDLTEKEKAEAYAAVKQAYENWKNVFTCDNETLLANPSQLLDVRTTSLHENDYDQFPTQVSTDGFGLSQSSIPSPDIFSIDPSSALDPCPLETAENNENQYQSELPPLGGHAPPQVSQTVDKFSNSLVYEDCTSHPSFSEDYYRCSDPSVSFDTQDLGAALKGFIATISKPKAYRGWRTLSYVIGWIFYTKKIVAMKRNEHGK >OGLUM03G23310.1 pep chromosome:ALNU02000000:3:19587730:19588920:-1 gene:OGLUM03G23310 transcript:OGLUM03G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAVKKRQEENAAATEPSFQSVALVVGSTGIVGTSLLDILPLQDTPGGPWKVYAVSRRPLPPWSPPASPAVTHLHLDLADSAAVVEALTPLTDITHVFYVAWSAHPTEAQNREVNSAMLRNVLSVVVPNCPALVHVCLQTGRKHYIGPFEAIGKIAAPDPPFTEDMPRLDCPNFYYDLEDVLFDEVSRRDGAVSWSVHRPTVVFGFSPRSAMNVVGSLCVYAAICRKEGAVLRWPGSRVAWEGFSDASDADLIAEHEIWAAVEPFAKNEAFNCSNGDLYKWKLLWPMLADQFGVEWSGYEGEKSSFKLADAMSGKEAVWAEIVKENDLMDTELEEITNWWFVDAVFGVRSEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKMKVFKIVP >OGLUM03G23320.1 pep chromosome:ALNU02000000:3:19594120:19595083:-1 gene:OGLUM03G23320 transcript:OGLUM03G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPSSCNFELRLLGIRGDDEDDFEEERVEVFGNTASPRIDGSQPETETEPDDDGYWQRFHNNE >OGLUM03G23330.1 pep chromosome:ALNU02000000:3:19595999:19596862:1 gene:OGLUM03G23330 transcript:OGLUM03G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNPFCHTMAILLAVLLVAAAATTEADGALCDKSDKAALLAVKSALGNPPALSVWNSSTPCCSWDGVSCDAITGRVTELTVFALNISAPVPAAIANLTKLQILNLAYNQLYGPIPSFLGPRALPDLTFLRLDGNRLSGAIPPTATVFNLLLEGNLLTGTLPSTFGAAAFGEVGIAGNQLSGDASMLFGAKKKLNALRLSRNRFAFDLGSVELPEGLDILVIDHNMVYGSIPPAAAAAGRKWLAFDVSYNQLCGPIPQGRYTHRFGAKHFAGNKCLCDRPLPPCSS >OGLUM03G23340.1 pep chromosome:ALNU02000000:3:19626261:19626863:-1 gene:OGLUM03G23340 transcript:OGLUM03G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNMTHDDYVSLCLMALAQAGVGGQWPAQKQQIDMAPPAPERELLRFRCSVCGKAFPSHQALGGHKASHRKPPTAALPMHVIDAPLPPSAEETASSSTTTTTSGGGRHRCSVCHRTFATGQALGGHKRCHYWDGLSVVSVTASASGSGSSSVRNFDLNLKPVPETVAAGVKRWGEEEEVQSPLPFKKRRLSSPSLELNL >OGLUM03G23350.1 pep chromosome:ALNU02000000:3:19632046:19632710:-1 gene:OGLUM03G23350 transcript:OGLUM03G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPAINKEEYLAICLAALACTRAGKALVGVGGQQQVQACNKWLCPAPAAPEELRFRCTVCGKAFASYQALGGHKSSHRKPPSPGDHYGAAAAAQQLASAGDSKEDSASSAAGSTGPHRCTICRRSFATGQALGGHKRCHYWDGTSVSVSVSASASAASSAVRNFDLNLMPLPESTAAAGIKRWAEEEEVQSPLPVKKLRMSN >OGLUM03G23360.1 pep chromosome:ALNU02000000:3:19639681:19639929:1 gene:OGLUM03G23360 transcript:OGLUM03G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPILGDQARLSHRTPLKDARHPQNQSKSVDSSDSALSTQKLSHLRGKKTAPNLALQQVHIVTGHLKHR >OGLUM03G23370.1 pep chromosome:ALNU02000000:3:19639970:19646650:1 gene:OGLUM03G23370 transcript:OGLUM03G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQTPQPSKGSMAGSGSRWPEKGRSIKAARRQGRPGEELRTEDRAKDIGVDHVSGEELRASRDNPDNCDNHLQLHHQRREDRWMQGAAKVVEIDSRAREGKLGAVKMGAAHHRQRLFLQAQADKGGRERGRTGEGKKGEKAVERGLCPFHFWRERSGREGSASRHLTPQQPLPPRPDAPLTSGAGCSRNPRDRAASASCRSTSPPPVPDLATAVPDMPLPSLEASPLSLPDAGKRG >OGLUM03G23380.1 pep chromosome:ALNU02000000:3:19652352:19657166:-1 gene:OGLUM03G23380 transcript:OGLUM03G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37470) TAIR;Acc:AT4G37470] MGIVEEAHNLRVVGEGKRAVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFSRYATLEGYALDLLAILQELRVASCIYVGHSVSAVIGAIASISRPDLFSKLVLLSASPRYLNDVDYYGGFEQEDLDELFEAMGSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVTVPCHIVQSTKDLAVPVVVSEYLHKHLGGDSIVEVMPSEGHLPQLSSPDIVIPVLLRHIQHDIAV >OGLUM03G23390.1 pep chromosome:ALNU02000000:3:19678372:19680381:-1 gene:OGLUM03G23390 transcript:OGLUM03G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLLLGVATGDGRQPPPPVHVPRMHVAALWPCHVAGFTASALGGGTTGFDSDALTCEGREIPGESLVLFRTDSGDALERHNPFPMRALPWCLHPPW >OGLUM03G23400.1 pep chromosome:ALNU02000000:3:19687045:19687864:-1 gene:OGLUM03G23400 transcript:OGLUM03G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPSRVSVRAAAPGQTGGFAKIRPQVVVAAAARSAGVSGRRARSVRASLFSPKPAAPKDARPAKVQEMFVYEINERDRESPAYLRLSAKQTENALGDLVPFTNKLYSGSLDKRLGISAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEPTPAAKATEPHACLNNFTN >OGLUM03G23410.1 pep chromosome:ALNU02000000:3:19720714:19721676:1 gene:OGLUM03G23410 transcript:OGLUM03G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQDTAPQCRPGAGAATDSSTSVSVAPEEFEFFVLPSGGLALAGADEDGMCVADEVFSDGKLLPLRLSSANPVEAAALRLLRSDSLDGATTASSASGFSSRSDSRSASSSSSSSSCVSRSTSQKSASSDTAGRSNQPSKAASSDALLPPRRRPLSGSLFYAHPSPSPRPSQRLSGGGGGSAGRRSTGSAPPASWGLLRLGVVGAPDVYPPRPAPAAARGGSRSARFEQPRAAAKDAVAWEKNLPLGFLGAGLVCNCSPDAVEPVGSAEAAAAAAARRRRRKVAEKNTGEVKSGQSNTIRRSRILEWLEELSISKEKTAT >OGLUM03G23420.1 pep chromosome:ALNU02000000:3:19726000:19726870:1 gene:OGLUM03G23420 transcript:OGLUM03G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFLRIASEYGFGYDLLLGPVALGDAPPRGRVDAIPRNQISSQEYCKPFNGLVERTVGRDPVRVNMCRFILSSAG >OGLUM03G23430.1 pep chromosome:ALNU02000000:3:19732045:19732248:-1 gene:OGLUM03G23430 transcript:OGLUM03G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDIAAAAASVAVAEAAKPRAVGPTARSVFAMDCVLLWGLESICGRRLEIEDDYVVVLCGWLSAMR >OGLUM03G23440.1 pep chromosome:ALNU02000000:3:19750746:19755669:1 gene:OGLUM03G23440 transcript:OGLUM03G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDREPAGSSRHGSNMDPSINIPVNRDAITEEVAAAFAESEVIPERYCRPDEVHDGIVVGHDDDEAYELPVVDMEKLLDPELAEAEIAKLGSACQDWGFFQLVNHGVDEQVVNEMKDSTVKFFSLPLESKRTVEIQDNGFEGFGHHYRRASGKLDWAESVILLTQPIQERNPEMWPTNPSSFRDALDKYSAEMTKLAMRIASIMATDLGVDQEALVGAFRDKQQSMAIHHYPPCRHPDKVIGITPHSDGLGLTLLLQLDDTPGLQIRKDGRWLPVRPRPGTFIINVADILEVLTNGAYKSVEHRVLADVEKGRTTIVTFHEAYVDGMVKPIPEVLKLNGAEARYKSIERLEYIKGNFVALSEGTRFLESLKI >OGLUM03G23450.1 pep chromosome:ALNU02000000:3:19769077:19769271:-1 gene:OGLUM03G23450 transcript:OGLUM03G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRPVPPSAAAAGGWSQELLPASPGRRRVALGRPSVRAVFPCCSRSVGRKKTKVEDDLQPSH >OGLUM03G23460.1 pep chromosome:ALNU02000000:3:19823729:19828750:-1 gene:OGLUM03G23460 transcript:OGLUM03G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDRFLQGGEDAEARAARVLSFMGGIASCCGEERVMVFTMRSGKEGTDAAVVRSGWLDWTQHY >OGLUM03G23470.1 pep chromosome:ALNU02000000:3:19843615:19845456:-1 gene:OGLUM03G23470 transcript:OGLUM03G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G67370) TAIR;Acc:AT5G67370] MLLRLKAVAVHPAALLGRPRSHDAPQLVGRTVASTTTARRRAARSAVTVTMALKEEPEGSRSGFAGGVPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGSLWLITFTVLAAPIAAASFSPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSVNTPPSAASSKPSLRREELLRLPVEVRQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >OGLUM03G23480.1 pep chromosome:ALNU02000000:3:19847574:19887424:1 gene:OGLUM03G23480 transcript:OGLUM03G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQAPKGVTLCLNKVILDISTGCRGIQAMEASNKKAVNRDAITEEVAAAFAESNVIPERYYRPDEVNAGIVVGYDDDEAYELPVVDMGRLLDPELAGAEIAKLGSACRDWGFFQLVSHGIDEQVVNEMKDSTARFFSLPLESKKTVAVRENGIEGFGHHYSRVSGKLDWAESVILVLFLILFQVQVAVDLNSDALDKFSVEMTSLTMRIVGFMAADLGVEQEALVRAFRDSRQNMLLHHYPPCRLPDKVIGITPHTDGLSLTVLLQVDDTPGLQIKKDGRWFPVRPRQGTFIINVANILEVLTNGAYRSVEHRVLIHARKSRTTVVMFHDAHIDGMVQPIQEVLKHNGAEARYRSIEKLEYMKGHFSCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPQLKK >OGLUM03G23480.2 pep chromosome:ALNU02000000:3:19847574:19887424:1 gene:OGLUM03G23480 transcript:OGLUM03G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQAPKGVTLCLNKVILDISTGCRGIQAMEASNKKAVNRDAITEEVAAAFAESNVIPERYYRPDEVNAGIVVGYDDDEAYELPVVDMGRLLDPELAGAEIAKLGSACRDWGFFQLVSHGIDEQVVNEMKDSTARFFSLPLESKKTVAVRENGIEGFGHHYSRVSGKLDWAESVILFSVEMTSLTMRIVGFMAADLGVEQEALVRAFRDSRQNMLLHHYPPCRLPDKVIGITPHTDGLSLTVLLQVDDTPGLQIKKDGRWFPVRPRQGTFIINVANILEVLTNGAYRSVEHRVLIHARKSRTTVVMFHDAHIDGMVQPIQEVLKHNGAEARYRSIEKLEYMKGHFSCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPQLKK >OGLUM03G23480.3 pep chromosome:ALNU02000000:3:19847574:19887424:1 gene:OGLUM03G23480 transcript:OGLUM03G23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKSRMARERNMEKAKGAKGSQLETNKKAMNIQCKVCMQTFMCTTSEVKCREHAEAKHPKTDVYQCFPQLKK >OGLUM03G23490.1 pep chromosome:ALNU02000000:3:19888584:19889638:-1 gene:OGLUM03G23490 transcript:OGLUM03G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRAPSTRAIIDEVNNSNSQRRHHIHQLHASYSFTRSPYPNKEHSSDRALFILRYLYHRSKSGRSSIGGARETEVSNARDGGKVGCSTRWMWWLRMSAEMEVAAAAPVHGKVNAVAQKA >OGLUM03G23500.1 pep chromosome:ALNU02000000:3:19900301:19902037:1 gene:OGLUM03G23500 transcript:OGLUM03G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVSLLAAGAVAVAVVLLLAAPARASNDEGDALYALRTRLSDPNGVLQSWDPTLVNPCTWFHVTCDHASRVVRLDLGNSNISGSIGPELGRLVNLQYLELYRNNLNGEIPKELGNLKNLISLDLYANKLTGTIPKSLSKLGSLRFMRLNNNKLAGSIPRELAKLSNLKVM >OGLUM03G23510.1 pep chromosome:ALNU02000000:3:19903375:19912164:-1 gene:OGLUM03G23510 transcript:OGLUM03G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLIKLLDDDVDETMHSGADVEAFTAALNREVEGSGGASTSAAASSSQPLDHGAGLVPQESNSMFTHNHEQWQGSVKNEIGNQENQQQEQKHLHHSNEQPSRPELVSGGADNKQDQPKVKQEPGNSSQQSNSGQKQPLQQMRSQQTPSTNQTNSAPTVGKPPVVTFHMLIPILRRHLDKDKDMQVQSIFTKLRKNEVSKEHFLKVVRTIVGDKLLKQAAAQYQAQAAHGQRNPQTNPSNYSLLSQVSNQQNVPSGSTSGVEHKSYPSAHSIPANQASDSPRPPFFRPSLSGQMQSNMGYPSSESNLQKVNESSNMSDVKGGHMLSRPHNIQSAPGQATQHPQTSLPVLGSNNIHARPLPRPVGGPIVPLRPQMADSSQRGQLVQGAITSVTGSMAARPTLQTNISPWQQVNKEQKTKSFPPTEHTNKGVGVPENQPSTSGTSKSFNTTNSSQPHRSHGTQAEPNMQIQPATQTPPPAAASKTPQKKASAGQKKPLDALGSSPPPSSKKQKTSGGYHDQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARMVVQLEEEKLILQKVRKCNLKSIGSDVERCLSMCVEERLRGFISNTIRLSKQRVDLEKSRHRIYPLSSDVRSHILRVNREAREQWDKKLAKDAERIRKQSDGDDNAVVDSEKDKNESRSTSKHAKTYKAEDDKTRTTAANAAARVAAGGDDMLSRWQFMAEKKKSKCDGDGSSGSMPGNMLPRTSSPKPGKGSREQQEIEKTGGVRRSSHVKVTRSITVKDVIAALEREPQMLKSSLLFQLYGRSPAESSAK >OGLUM03G23520.1 pep chromosome:ALNU02000000:3:19957764:19960277:-1 gene:OGLUM03G23520 transcript:OGLUM03G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13230) TAIR;Acc:AT5G13230] MRLGGWMLRPLNRGLLLPTLSCPVAPHRRGFAAYAAALQWLEDELTSLAILPSVPGVDSFACARQLQGCIARGDARGGRAVHGHVVRRGGVGRLDLFCANVLLNMYGKLGPLASARRLFDRMPERNMVSFVTLVQAHAQRGDFEAAAALFRRLRWEGHEVNQFVLTTMLKLAIAMDAAGLAGGVHSCAWKLGHDHNAFVGSGLIDAYSLCSLVSDAEHVFNGIVRKDAVVWTAMVSCYSENDCPENAFRVFSKMRVSGCKPNPFALTSVLKAAVCLPSVVLGKGIHGCAIKTLNDTEPHVGGALLDMYAKCGDIKDARLAFEMIPYDDVILLSFMISRYAQSNQNEQAFELFLRLMRSSVLPNEYSLSSVLQACTNMVQLDFGKQIHNHAIKIGHESDLFVGNALMDFYAKCNDMDSSLKIFSSLRDANEVSWNTIVVGFSQSGLGEEALSVFCEMQAAQMPCTQVTYSSVLRACASTASIRHAGQIHCSIEKSTFNNDTVIGNSLIDTYAKCGYIRDALKVFQHLMERDIISWNAIISGYALHGQAADALELFDRMNKSNVESNDITFVALLSVCSSTGLVNHGLSLFDSMRIDHGIKPSMEHYTCIVRLLGRAGRLNDALQFIGDIPLAPSAMVWRALLSSCIIHKNVALGRFSAEKILEIEPQDETTYVLLSNMYAAAGSLDQVALLRKSMRNIGVRKVPGLSWVEIKGEIHAFSVGSVDHPDMRVINAMLEWLNLKTSREGYIPDINVVLHDVDKEQKTRMLWVHSERLALAYGLVMTPPGHPIRILKNLRSCLDCHTAFTVISKIVKREIIVRDINRFHHFEDGKCSCGDYW >OGLUM03G23530.1 pep chromosome:ALNU02000000:3:19964951:19967083:-1 gene:OGLUM03G23530 transcript:OGLUM03G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKDTSSSSSAAGGKKDKPMSVSAMLASMDAPASKAKPSKAASKPKPSKAPASSYMGDIDLPPSDEEEDDADLVAMATKPKAARATVDLNAIAPSQKDAKKKDKREAMAAAQAEAAKQEALRDDRDAFSVVIGARVAGSAGASEGDSAAADDNIKDIVLENFSVSARGKELLKNASLRISHGRRYGLVGPNGMGKSTLLKLLSWRQVPVPRSIDVLLVEQEIIGDNRSALEAVVAADEELAALRAEQAKLEASNDADDNERLAEVYEKLNLRDSDAARARASKILAGLGFDQAMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKNLHVYRGNFDDFESGYEQKRKEMNRKFEVFEKQMKAAKKTGSKAAQDKVKGQALSKANKEAAKSKGKGKNVANDDDDMKPADLPQKWLDYKVEFHFPEPTLLTPPLLQLIEVGFSYPNRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSHPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEQRSEIWVVEDGTVNKFDGTFEDYKDELLEEIKKEVEE >OGLUM03G23540.1 pep chromosome:ALNU02000000:3:19981812:19983109:-1 gene:OGLUM03G23540 transcript:OGLUM03G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIRRAVQSKTRGTLPGGGALPSADRPLRWPPSHRLHVHQLELEKTNLLLGTLYPWPEMGTLELGD >OGLUM03G23550.1 pep chromosome:ALNU02000000:3:20076486:20078366:1 gene:OGLUM03G23550 transcript:OGLUM03G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQVQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFPGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >OGLUM03G23550.2 pep chromosome:ALNU02000000:3:20076742:20078366:1 gene:OGLUM03G23550 transcript:OGLUM03G23550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLKQYEKEHMKMAMLRQEETFKQQVQELHRLYRVQKLLMDAGSAITMQGISCIPEDDYHAEENEAGSSRPWHTFPGSDNDKPQAHTSVLEESELDLTLAIGRTTTTKKEAPSSSVDSRTSNSSSSTESGSTNCRAVMPHRPSRLGSSSAVKVVAGGPGVGTTQQHLDLEQQDALKQPPWLHRCLNLAR >OGLUM03G23560.1 pep chromosome:ALNU02000000:3:20165930:20181955:1 gene:OGLUM03G23560 transcript:OGLUM03G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRAPCYLGPINYSKMGDPFYATTFHQMSSAMMSHWNMGLVLQKYRSKVPEKTRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVSSLQLKEESG >OGLUM03G23560.2 pep chromosome:ALNU02000000:3:20165930:20181085:1 gene:OGLUM03G23560 transcript:OGLUM03G23560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSGKHGQKAMYMLGVVSTNTRDE >OGLUM03G23560.3 pep chromosome:ALNU02000000:3:20165930:20181955:1 gene:OGLUM03G23560 transcript:OGLUM03G23560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEGGGNGARRPPAPPLLPTLSLPPRSAAGSLFSAESSPGALTLAASLFPDAPSPAFQGSFTQLLVGAMGYPAASAPAPPSPFPVPHGLSPTAFLGGSPGLFSPTGNFEMSHQQALAQVTAEAVHSPYSMINQSDFSLPFSSTTTSVLASQHVNSSANVSSPREIPTLPSHTDNSNIESTEVSHGFQTTALTEDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHLSCPVKKKVERSSDGQITQILYRGQHNHQRPPKRRSKDGGALLNEADVSPEKEDASTRSEQGSQDYSGKFKASNDGGPSSSRRGDRGEQISGSSDSNDQGEEEVKVEGGATSDGNANKRHVPAPAQRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHSSANANVSSSSNLPHKDRGQRSSRRDGLRNASSVSSLQLKEESG >OGLUM03G23560.4 pep chromosome:ALNU02000000:3:20181593:20205962:1 gene:OGLUM03G23560 transcript:OGLUM03G23560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTATAVAPIWPRTDATTAEGRWRRRRRRREAAGAGGGGGDAARSLGDDDGTLLRAAPAGGGGSEAATGSGSGGRARGGGADGPGARSLFS >OGLUM03G23570.1 pep chromosome:ALNU02000000:3:20177377:20182019:-1 gene:OGLUM03G23570 transcript:OGLUM03G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETALPHHPQTTSRTGKKFRRKTNCFLFFAKKLPPLLLRTRPPQRTSRAWSVGAAAARAPA >OGLUM03G23570.2 pep chromosome:ALNU02000000:3:20178147:20182019:-1 gene:OGLUM03G23570 transcript:OGLUM03G23570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKETALPHHPQTTSRTGKKFRRKTNCFLFFAKKLPPLLLRTRPPQRTSRAWSVGAAAARAPA >OGLUM03G23570.3 pep chromosome:ALNU02000000:3:20177453:20181990:-1 gene:OGLUM03G23570 transcript:OGLUM03G23570.3 gene_biotype:protein_coding transcript_biotype:protein_coding KPHYRTIRRPRRAREKNFAEKQTASSFSRKNCLPFSFARVLRNAHRAPGPSAPPPRARPPEPLPVAASDPPPPAGAARSRVPSSSPRERAASPPPPPAPAASRRRRLLRHRPSAVVASVLGQIGATAVAVTYIPPCPPPPPPTPHPGCL >OGLUM03G23580.1 pep chromosome:ALNU02000000:3:20211406:20212108:-1 gene:OGLUM03G23580 transcript:OGLUM03G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPEVQRPALFQSLLYEAAGRTINPVHGAVGLLWTGNWPLCQAAVETVLRGGAIGPLPELGGACGGAGGDLYGAAKRNGGWSTFSTAKRVRKAEVPEAPSCDLGLCLSPGSPPAVGERKPALRPGTPSMSSDESGTTTGGERDPVLLNLFV >OGLUM03G23590.1 pep chromosome:ALNU02000000:3:20255935:20257698:-1 gene:OGLUM03G23590 transcript:OGLUM03G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEVGEVGLVIDMITVGAKAKEQHNAAAILFYLSSNNSDASWRRSRRWSSLSGTAHTHGQKNAMVILYGLLQSAANQSTAIAAGAVLALTTLLSNDRDDLAQDSIVLLARKAWQTSGAVAVLSQSGLAEALAASSSIQGRS >OGLUM03G23600.1 pep chromosome:ALNU02000000:3:20470595:20472265:1 gene:OGLUM03G23600 transcript:OGLUM03G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVAGSSRSTNTALEEKVAGVAALIDKWRPDDGQCSLFLDGSRREAGRFLCAAVELHGAMLLVASDVDQERGDECLVRAQGVLEAAMRRLQLELELLLSTVRSNAVDGAISGHDVVGDAGVVGHITMVADAMMAAGYGMECVSTFNSHRRAEFAAAVRRLLGFAPSQHAHFHKLTWEDVDGKVQSWHTAAGFAFNFAFSWERVLCHRVFAADAALADKVFAGIASDHAADLLAIAEAAVMRARRAPERLFHVLDVHATLAEILPAIACILGDKSEAAARATAALRNAGDAARGILMSLEQAIQKTTSSKAAVTGSAVHPLTRYVMNYLVLLADYEDTLARIYQQGESTLTSGSGSASRVSPSSSADSIGRLVSVLQRKLDAMAVGYRPSALRSLFMANNTHYVSKKVRGSSKLEGIVGEDWIEEQMAETRRHVDAFVHSAWRDVLVAGGEGADAAVKEAVATQRSWVVADDEMGDAVRAAAAAVVVPAYRALYRRHGTAAWMTPGDVNAMISRQFGGLRNEAAGARPVSAGSATSRRHRLRLTSFSDKLAHVQ >OGLUM03G23610.1 pep chromosome:ALNU02000000:3:20487210:20494121:-1 gene:OGLUM03G23610 transcript:OGLUM03G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAPPAAAVGSGKELANPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDADANVLKGEFVHAGAVLDCCFHDDSSGFSAGADHTVRRLVFGSAKEDLLGRHDAPVRCVEYSYAAGQVITGSWDKTIKCWDPRGVSGPERTLVGTYAQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKIGVVRPCCLLRSLKFVCRELRYAFKCHRKSEAGRDTVHPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYASSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRSVNEVEVKPKPKALAAP >OGLUM03G23620.1 pep chromosome:ALNU02000000:3:20501336:20507337:-1 gene:OGLUM03G23620 transcript:OGLUM03G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEVCLACHTTGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQMRKQNILNEQ >OGLUM03G23620.2 pep chromosome:ALNU02000000:3:20501632:20507337:-1 gene:OGLUM03G23620 transcript:OGLUM03G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKNKGGRGGGGGGGEDQFDGGSDVDSVSSVSTALSDLQLAQATEQVSSQDFVLDKYIDDLYEKRGSTREAALGALVDAFESFVLLDLVENKYATLLSQFINSIKKGSIKEVCLACHTTGLLAITLGAGSSSHEIMDESRLQLLRVLQTWPDASKMISALDCLAVVTFVGATDLSETQLSMKAIWDVIHPKSGSNVGIVRKPKPPLLAAAVSAWALLLTTIVSSKRNVDSWKESITFLSALLEAEDRAVRMAAGEALALCFELKLLDVFSNEEVEVDTAEASGSKNQLFLNMQALKAKISGLVYNLSMEAGGRGADKKNLNDQRDLFQRISDFIKTGECLEESLRIAGKHGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNGLLHDIFDIKMDKTENMSTTEKKIYRSGEEKGRALKLNKERRLAQVR >OGLUM03G23630.1 pep chromosome:ALNU02000000:3:20502384:20502653:1 gene:OGLUM03G23630 transcript:OGLUM03G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIERVGEAVSAIRYSNHQVTTFDMTPSSTTSKDPASTPTHAMNGHTYGTEHADFRGQHGIMERRPGKILVDKLHAKPTVASAALAMH >OGLUM03G23640.1 pep chromosome:ALNU02000000:3:20520163:20525701:-1 gene:OGLUM03G23640 transcript:OGLUM03G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPPFTCFTTQVKGNKLRIFDPIIFSEFWVGPTEPPPPPFPVGVCTAHSATAVHSATAAHGMRAAAANLRRRRRRAVFALLRRPTLPKSPRRSRRRFLLFHSTGAVFPFAFSSETAINHRPSSPINSRRAHPISSTSELKLFFSLSSPCRPSADLPRRSIARPSPRANTFASTRQIHSLTFPFLSPSEISHHRYFPNRPGQAFGHRRSGHLPFPLG >OGLUM03G23650.1 pep chromosome:ALNU02000000:3:20537620:20542230:1 gene:OGLUM03G23650 transcript:OGLUM03G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT1G69440) TAIR;Acc:AT1G69440] MEGEREGVVAKNEDNAGGGGGGLGTGGNGGGGGGGGGSANGRRRWRGGGSSGYRQHPIIQAYPALLPLPINGATGHAHINGAVSLPLPLPPPVLLYLQPPPPPPLLPLLPKVAAATFYGKPPKAADAAPRGSMWKHRPSKKPPPHAITAALLPLPRGIDLLTDGKALQEKIFFANERKTSEKEVNHVDTHEKFTVAPLDNAIARRPDMGGVEGAEIPLSANHFLVQFDPGQKIFHYNVDISPRPSKETARMIKKKLVEENPSVLSGSQPAFDGRKNLYSPVRFQEDRVEFFVSLPVALARCSVVKEDTGHMLDKQKLKTFKVNVRLVSKLCGEDLNKYLNEDKDGIPLPQDYLHALDVVLREGAMESSILVGRSLYARSMGEARDIGGGAVGLRGFFQRLRPTKQGLALNVDLSLSAFHESTGIISYLQKRCDFLKDLPQKKTRALAEEEHREVEKALKNIRVFVCHRETNQRYHVHSLTKETTENLKFRDRSGKDLMVVDYFKEHYNHDIQFRNLPCLQIGRSKPCYVPMELCVVCEGQKFLGKLSDEQTSKILKMGCERPSERKGIIKGVVKGAFHARSDTYADQFSLQVSKHMTKLSGRVLLPPKLKLGSSGRIKDITPDRFDRQWSFLDSHVAEGSKIKSWALISFGGSPEQHFCITKFVNQLSNRCEQLGILLNKKTIISPIFERIQLLNNVGILEGKLKKIQEAASGNLQLLICVMERRHQGYADLKRIAETSIGVVTQCCLYSNLSKLTSQFLTNLALKINAKLGGCNIALYSSFPCQIPRIFLLEEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYISRMRSQTHRKEIIEQLDIMAGELLEEFLKEVGKLPSRIIFFRDGVSETQFYKVLKEEMHAVRTTCSRYPGYKPLITFIVVQKRHHTRLFHRERNGSSSHYSDQNIPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYHVLWDENNFRSDEVQQLIHNLCYTFARCTRPVSLVPPAYYAHLAAYRGRLYLERSDTTMYRVSPLQTVPLPKLRDNVKRLMFYC >OGLUM03G23660.1 pep chromosome:ALNU02000000:3:20547611:20548527:-1 gene:OGLUM03G23660 transcript:OGLUM03G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEQPRDGEVAEEIRETVLTIEEESIFGIERRGIGIDSMAFMARSRSCLSRSTTCLPSVERWGCERWREIGRDLSANPNGAKLKRLNATSVGANILSDVICTQRHSVWC >OGLUM03G23680.1 pep chromosome:ALNU02000000:3:20596183:20597803:1 gene:OGLUM03G23680 transcript:OGLUM03G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPDPQGLHLHPAGPHRRRRVDTVNFTPSTMRHEQFVLALVHPQLPVDQWDDHRNEIRDYDGVHQVRFVRHDQGPNWRNAPYNHRGWIMLLDFPMDYITFHNVNQVISTFGELDWWFDDDPLKGRVLARVWYRDLDSVPQFVVWEQPNVPNGQSWTIYVYTMNGEFADVLPPDDDIPPGECPVDPNINLEDAPAWQFGNLQQQNDNAPAQGWGTGMRDKIISFSLQFLNLRISYPSASSDSSVPSFISISSTEEEVLIHADNRMVVHEGVENTMHVDSLCQRFPQIMFDNSFIKDASFWSVLANKSPLESGSSSRDPAGFGSAPTENLLMPIPLAVVPPSPLPITAFDQSGSSLSEIPAIRSGKKKRCSTPLVTSGLRRSSRLLAINDGYKGEDLMEPDPNQGIGKPRGKSVKKLKQVANEVGLLFPGSTLQETEFADVSTETEKTADCPVPLLQKIATDLCGVAPQDVTQDKLLASASNSANEEN >OGLUM03G23690.1 pep chromosome:ALNU02000000:3:20602647:20603339:1 gene:OGLUM03G23690 transcript:OGLUM03G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDNNSLNPSALPPTTAPVEANHGRSNIARDVHKSLLVPVSAAKVHNQKPAPRDQASRFCRNCRKPGHCFSDCPLPRAAKAVRRCSQVTSTIHDTNRHLKVKPPPQRIVVKGTVKGRIVPPATASSLQHQRQQGCPTIVNPSNAPRIAPIQRSIGKSPLGNPTGKKILPVTAVKTVATPPSKINKQGNVPGETSASRN >OGLUM03G23710.1 pep chromosome:ALNU02000000:3:20683911:20686252:1 gene:OGLUM03G23710 transcript:OGLUM03G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTPGARERRGSGGLDGRRPPPPRSSGGTERWILLLLSPTPPLATTAAGSASLPLLLCSVAVKQFGGRRRRGLLANLASSLARSAAELSSSLV >OGLUM03G23710.2 pep chromosome:ALNU02000000:3:20683911:20686252:1 gene:OGLUM03G23710 transcript:OGLUM03G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSTPGASTAAGGSGGIDGRRPPPPRSSSGALGRGRERPRRRAGAARSGGAGNGHGSRRERRGSGGLDGRRPPPPRSSGGTERWILLLLSPTPPLATTAAGSASLPLLLCSVAVKQFGGRRRRGLLANLASSLARSAAELSSSLV >OGLUM03G23720.1 pep chromosome:ALNU02000000:3:20738493:20742219:-1 gene:OGLUM03G23720 transcript:OGLUM03G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 family protein [Source:Projected from Arabidopsis thaliana (AT2G33800) TAIR;Acc:AT2G33800] MAATSSTASAMAVAATSPTIATAPFSSLPLRLRLRPKPLLFTSRLLLPVPKSSWVESVSEEGEEEGVEEDSEESGAAGEDDDGEDNDEKPRPEPVAASGFEFASPPEGYVEPAPFDELPPESPEDVAAAYEALYGPAFSGETVMGSNVFEVKVVDPVDMDREQRPSDEFSERVVQVNRVTKVVKGGRQLSFRAIVVVGDMKGHVGVGVGKAKEVTEAITKAAMNGRRNLVTVPLTKYSTFPHRADADYGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVAAERGLPMEQLWK >OGLUM03G23730.1 pep chromosome:ALNU02000000:3:20800951:20801979:1 gene:OGLUM03G23730 transcript:OGLUM03G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESNGNGGEEPSLARVLAALERNQSVNAMNQLKFLEAIATQLMNCPRNNVVCYNCDQIGHTRPNCPWKNLPSEVAKAQATGRVRVVRAPQPA >OGLUM03G23740.1 pep chromosome:ALNU02000000:3:20808252:20809805:1 gene:OGLUM03G23740 transcript:OGLUM03G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLPQVIFNRSRGLQLHNQLGLTLVNRLLPSAHFHGQCSKLYIRTCCKASRAPPSVIVVVH >OGLUM03G23750.1 pep chromosome:ALNU02000000:3:20819996:20828682:1 gene:OGLUM03G23750 transcript:OGLUM03G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGQEETDVVHVLLLLVLLRQGSCWTWSRDRDHMPPSAVACSYFFSRLEIGFASQNASSTSTRLRHRHRLRLQLRRSAVCNIGLITRSSARLRRHLAADRLRLCVYAIKLRVVAASPPWAIVPLLVVNTSTGCCNAERCPPQHGYIRSTSHRCIDMELQLCCPLGPQLRHFRRLHYRSRIDNLVVRTGHCQPLRVFFLNFEHCRRISKLPPSPL >OGLUM03G23760.1 pep chromosome:ALNU02000000:3:20826840:20834176:-1 gene:OGLUM03G23760 transcript:OGLUM03G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT3G06170) TAIR;Acc:AT3G06170] MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKWEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMTASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAALYIWTLGKRNTANIGHIVLNLGS >OGLUM03G23760.2 pep chromosome:ALNU02000000:3:20826840:20834176:-1 gene:OGLUM03G23760 transcript:OGLUM03G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serinc-domain containing serine and sphingolipid biosynthesis protein [Source:Projected from Arabidopsis thaliana (AT3G06170) TAIR;Acc:AT3G06170] MWCASCLASACAGCTCNLCASALSAISRRSARLAYCGLFAASLVLSFLMRQFATPLLKQIPWINTFDYTQPDEWFQMNAVLRVSLGNFLFFAIFALMMIGVKDQNDRRDAWHHGGWIAKIVVWVVLIVLMFCVPNVVITIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWIEKDEQKWEIALLVVTVVCYLSTFAFSGLLFTWFNPSGHDCGLNVFFITMTIILAFAFAIIALHPQVNGSVMTASVISVYCAYLCYTSLSSEPDDYACNGLHRHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGIKNPLLGDDNVEVGKSDSKEIDARPVSYSYTFFHVIFALASMYSAMLLTGWTSAASDSSELMDVGWTTVWVRICTEWATAALYIWTLGKRNTANIGHIVLNLGS >OGLUM03G23770.1 pep chromosome:ALNU02000000:3:20871155:20882307:1 gene:OGLUM03G23770 transcript:OGLUM03G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G18660) TAIR;Acc:AT1G18660] MSIDLLGWMGKAGKRGIPSGRQTVVVEGSGGVIESVLRHVFAASAAQKFLGIAARTPQVPPPPPLPLLPLPPNSTIPCSPIPTLLPRPPPCCPPMTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAVSFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILGTPGNFVPERGTGTKRTSFQKRRDHRPNTWSGNGGTRYIEQKMRRGTHPDLHGWRTGHRFKRQVARGITSIDEWHCFSFAAHHCHAKLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMIRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPEKFSFWLVNLINLRPSDRLDLLRLSDTRERISRSLRLLGDAEQICRVQ >OGLUM03G23770.2 pep chromosome:ALNU02000000:3:20871155:20882307:1 gene:OGLUM03G23770 transcript:OGLUM03G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G18660) TAIR;Acc:AT1G18660] MSIDLLGWMGKAGKRGIPSGRQTVVVEGSGGVIESVLRHVFAASAAQKFLGIAARTPQVPPPPPLPLLPLPPNSTIPCSPIPTLLPRPPPCCPPMTSPGASSFAAALVVEDFPWVKREEEMGMDPDKYREVFDLAQRGARAFRDGHFDEAVSFYSKAQTLRPGDPIILSNRSSAFCMISQVLRERSAADSEYQPLNGLDPTTHAELALKDAEKVLAIGSNSPRPYLLKAYALILLEQYHEAREAILSGLQVDPLSHVLQSWLSDLHRNTSIAARARRPTLDRPDDFECTLCFKLLFEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPKTYPISVTLSNIIQKNFPEEYAERKSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMIRRIMEGNHRMGMVGIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEIEWLQDISLPDGSQERKDLMERANAASELARTYIRRAREISRPARRARQTDLESMPGPQDPEKFSFWLVNLINLRPSDRLDLLRLSDTRERISRSLRLLGDAEQICRVQ >OGLUM03G23780.1 pep chromosome:ALNU02000000:3:20909546:20917247:1 gene:OGLUM03G23780 transcript:OGLUM03G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCASKSVIEQRPPARHYTRKGRRARTNSRSIMPEAPKSRLNDSRGHMTDFSMSEVVHFETSSNHGKSEHSKTFHLTQMQWHHSQRDSNGCCKEDAWFDSVSILEDDSDDEFKSVNGDWPDDDNQMKSENASRFADALSRIGDLCRGVPMTLSVEQYLKRDNGNDPDRRSQSMAMCATRCLPSSFSFKGLKEANDADEKSKECSTPSRIRKLLHSFSFNDKMQQLTCGSPAKKKSTVIRLSYKRTSCDDYEDDSELGESEKYVVRPKGGTTILYRGEKPTSGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFASHKKVQHIAQHIELPQVKPHDKLPSLLIVNIQMPTYPAAMFLGDSNGEGLSLVLYFKISEYFDKEVSEHFKESIMRFIENESEKVKGFASESTILYRDRLKIMAGLVNPEDLQLSSTERKLVQAYNEKPVLSRPQHNFFEGENYFEVDLDIHRFSYIARKGLESFRERLNNGILDLGLTIQAQKQDELPEQVLCCVRLNKIDFINHGQIPTIVTLDDK >OGLUM03G23790.1 pep chromosome:ALNU02000000:3:20935736:20954567:-1 gene:OGLUM03G23790 transcript:OGLUM03G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2016 [Source:Projected from Arabidopsis thaliana (AT3G05680) TAIR;Acc:AT3G05680] MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNASPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEFNGPYSSLEATAIAAFSMFVLFYQAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELDLDHSLANVVSSPSEGKLEDLPPALHSSKFTFEESLSSLKPLSLQATELDLSIEVKKILLLALTMYQIPNVENLIPNLQSAVISAVLKYMPASTNCMSCNWNRDPVNCFAEDNVDSQGTSNTLLMEASNELFDIWKNVNSIVDNITFDDNGLAFRLEELPTTKHLFTLFDSCFPYYRNCSLLDLECPFQPLVDLQSAVVNIVSNLPSEELSSDGVNFLSSASIELAELLVILSNHTHLFFFAYWFISVHCVAGQVPTGYISILVRVKSNIGSNPILHTCVYGLSCGIPFRLVGPTGHTLPMRAPATHAYSSTLAPTTLLCPCLPESSSGCGILPAPPSFNPCRCDKPSSDVAHGIPAIPHYCLLSADGLSFLLGQPEATELILLSLQDGEDMSKTECMTLRQAFVLLSKGFFCRPQEVAMITELHLKVGSAANRLLAVPPNSDELLWVLWELCAISRSDSGRQALLTLGFFPEVPLFVCISNCICNLFEMLNDAIFLSQAVSVLLSSLSSYNDLDSVTNKNGGSPLGHAIFHSTAEILEVLVADSTASSLKSWIGFAIDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYKRNGAVGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADPNNTDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISEEKAVASSLFEEGAINVIYVVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQTLVDLMIPSLVLLINLLHILNETKEQYRNKKLLTALLQLHREVSPRLAACAADLSFMFPSFAVSFGVVCHLVTSAIACWPLYNWAPGLFHCLLENVEATNAAVPLGPKDACSLLCLLGDLFPDEGIWLWKVEVPSLTAIRSLSTGTVLGCQVEKHMNWYLHPEHVSILLVRLMPQLDRLACVIDNFATSALTVIQDMLRIFIVRIASEKIECAVVLLRPIFIWLNNKVDETSLSEREIFKVHQLLQFIAKLSEHPNGKALLCKMGVARILRKLLQECSSMCYMEDNMISDKGVYSNDLLMLRWKIPLLRSIASIFSTRPSSKEPTTVEELWNENACVEECSSIMYHLLMLCQVLPVGRDMFACSLAFKEVASSYSCRGAVTSIFSQIQTSNKDEPQKSESEICHDTSKVDNWCGFFPLLKCWKRLLQYICANRPTDYLVEIVYALTLGAIALSQSGENLEGTIILRRLFGHPSVPSSSEASDEVTFLLKTFQEKICQGFDNWSPYVGKPLLHQVRSSVRLLCSIIENSGPFTDSVRMVLEESTIPVGVFHNIVMTSHLMPSIDFVSVNDDPALLFTNAWKAFGDFAEPFGCQVSDFSKRMVWELPDCSIDKQLIPSQSARRKLALGDSASRRVRDNQTHEPSGQFSRGLNTPSASIGHTRRDTFRQRKPNTSRPPSMHVDDYVARERNIEGASSASNIVSSTPRGALSGRPPSIHVDEFMARQRERQNPVLAPSGDATQVRSKATLDDNVSTKPEKPRQPKADLDDDQEINIIFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPVVDETENQQNGINLFSGTVVSESDEACETGISSQTAIRQESNIPSERKFSVSSPEKVMFPDHADESPFISPTTGLKVIPGYSTHAAQATLRQLPPNMHWKRSPHKLAESSVSSGSHGHDRTLYNSQPPLPPMPPPVSSTSLQNPDSIQRQPSSYIARDGPPPFPPSYLMQSFDACMPSFVGHQVQTENVLPSTGDSSSNALPSVDAKFLWSTLPVNRIPMEHLSSGSSTRPVSPLPLRPVLATQHAAMDSGPPGSLYNQGGSGVLQPSPPASLINDATLGTNPASGGALASNSLPSLASQFIIGRPSTPPFFGTPLQIQLSSGLAQSVSNPQPSLSSMQPRAPPPPPPQPHPSQTFQGSLQQPQEQPMPYPLNTIQPQVPLQFPNQLHVPQLQFYHQTQESVLQPIGHVSEQPIGQSAQQQTDSGMNLNHFFSSPEAIQSLLSDRDKLCKLLEQNPKLMQMLQDRIGQL >OGLUM03G23800.1 pep chromosome:ALNU02000000:3:20955380:20955712:1 gene:OGLUM03G23800 transcript:OGLUM03G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPAARRRDAAETWDNDGRWLGGRTTGSPRKLLLLATTSMASDQDYSSKIAYYGIEDDDDGCLIWQKEDTNKIDTLMSTKDGEEEDLLTFGKIWQILSVKEKVKTEIMA >OGLUM03G23810.1 pep chromosome:ALNU02000000:3:20969417:20971156:-1 gene:OGLUM03G23810 transcript:OGLUM03G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLVLRSSHTEQQLPPLPPFSRQHCHSSALRAPPLLHALASHTAASPSVQCSCLAAPTVEIKEVLAPSPPRPHAAHIEEVWVSRIEDSEGGINDELGKGPNNACSEQGIGCRCGVGAGGVEGIYGGRREEGVVGGDEAGGAVDQREAAQERGGPVEDKARVRIVGVAGLERLEVGDSGRGWGGEGEKGGDESPATASLERERLASASLVVPALGAALRRETSAAMEMLSSGRHVLEGGGWHRELRGEERHRPYVRERRRMSTMAMVMATTVATPSCTAAAPCSLLTRGMMTGMETV >OGLUM03G23820.1 pep chromosome:ALNU02000000:3:20972738:20975683:-1 gene:OGLUM03G23820 transcript:OGLUM03G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSVDIGIKWQKFIDRVTEIDMPKKLNVLSVDVLPVIEEHDSNIGEELVSSNAGARHRKKMKPKQTIYVGSLQVEIDQANCLAMLRATMLEFWASHMVSKFGGPRGKNQVTRSRVLIKWYQSVVHHDFASWLNLEVPKVVVVLTMVLMRKRLLCLCLMLICLLELRMDWKVLSIVCHRHVKHMTDRVDVHHLNIMSMMPRMRVPGCVLMEVIIIAVHDNLLVEQLKQGDFSVDAYYKEMELLMSRTGVTENDETTMARFLNGLNDDVKNVLRYHIIMIYKRDGDGSAASKSVFFKPTTPFRDGSKPAASSAIEILMIHLWMMVLKKKNGLSMLARAVQRDGSNVDAKGQRCNIFQLECKIQEKRTALFQGREDDMPKKLNVLSVDVLPVIEEHGSNVGEELVSSNAGARHRKKMKPKQTIYVGSLQVEIDPS >OGLUM03G23830.1 pep chromosome:ALNU02000000:3:21001572:21061035:1 gene:OGLUM03G23830 transcript:OGLUM03G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFSTFDIVADALGQLQQVNPGLVGPVQDIRFSAGTSFCIGSLDFTANKASALRPLNPSIFDPTATSLVPFDLPKIDFHDDRSLHDKETHNLDNCALKEEEGQHGVLDAKDKVA >OGLUM03G23840.1 pep chromosome:ALNU02000000:3:21034905:21063147:-1 gene:OGLUM03G23840 transcript:OGLUM03G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVQSDAQDRRGPSPLPQHVLRIHISSAPPRVSPRSPPLSLSHNHRHHHPLCPRTHPSPLLSRTPAATAGVDPSSIPISRSTPLAPPHAARTLSPRLIDFRQRRRAPPPISAARTTSPRLTELLRRYSADINFHLADIAAPLIPVTGERLFHLHCSCCHPRPHCIYVLDLYLSLTTIQEGRPRSDLSWVPSVPTIEHCITSMLPLLFFEGTIV >OGLUM03G23850.1 pep chromosome:ALNU02000000:3:21076232:21080801:1 gene:OGLUM03G23850 transcript:OGLUM03G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9I2] MMVTWPWRALPLVAVLLLFLSPAASVDTVTMEAPLAGNRTIVSAGGTFTLGFFTPDVAPAGRRYLGIWYSNILARTVVWVANRRSPVVGGSPTLKINGNGSLAIVDGQGRVVWASPVMSASVLRAGSAKAQLLDNGNFVLRFASAGVAWQSFDYPTDTLLPGMKLGIDFRTGLDRYMNSWRAADDPSPGEYSFRIDPSGSPEFFLYRWSTRTYGSGPWNGYQFSGVPNLRTNTLLSYQYVSTADEAYYRYEVDDSTTILTRFVMNSSGQIQRLMWIDTTRSWSVFSSYPMDECEVYRACGAYGVCNVEQSPMCGCAEGFEPRYPKAWALRDGSGGCIRRTALNCTGGDGFAVTRNMKLPESANATVDMALGLEECRLSCLSNCACRAYASANVTSADAKGCFMWTADLLDMRQFDNGGQDLFVRLAASDLPTNSVSDNSQTAKLVEIIVPSVVALLLLLAGLVICVIKAKKNRKAIPSALNNGQVTPFGQRNHTASTLNNWEITPFWQRNHVAASNDAQDNNSMRPAGQGNHQDLDLPSFVIETILYATNNFSADNKLGQGGFGPVYMGRLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGSERMLIYEYMHNRSLNTFLFNEEKQSILNWSKRFNIINGIARGILYLHQDSALRIIHRDLKASNILLDRDMNPKISDFGVARIFGTDQTSAYTKKVVGTYGYMSPEYAMDGVFSMKSDVFSFGVLVLEIVSGKKNRGFYHNELDLNLLRYAWRLWKEGRSLEFLDQSIAGTSSNVTEVLRCIQIGLLCVQEQPRHRPTMSAVTMMLSSESPALLEPCEPAFCTGRSLSDDTEASRSNSARSWTVTVVEGR >OGLUM03G23860.1 pep chromosome:ALNU02000000:3:21085399:21087344:-1 gene:OGLUM03G23860 transcript:OGLUM03G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIIAYDFFLQGVDVFYSDAPLPGVRGKRRADELPSGERPSKRTASGTTCAGTPGRVVGGPKGFGKLSTQDDPGRAESSLGVKGAGAQADPEPTPAAAEEQADAYGDAAPGTQAAADGVKAGVPPPSRVQPGSTLIDTALGARAGAEGVKAGDVSTQSGGEDDRGLREQAPGTQPSGGDGAAECATGSSRPGGDAGARTNAGGRLSSSLRRSLPCAFSPLGAEGGPGRRAGKEATGDNEEEVEDIPHRQRALPWTNYISPLQASRFQVGQQEREALKKVFDDAADKADAEAMNEAGIILMQKNAGLPKRRRPVAKTSAAKLTAKLEEALKESNDLRTQLAGNFCLSFALIGFVLALWIDFSFPAVAQATIESDAAEKEQLAKDLKDKTTAFDNFAS >OGLUM03G23880.1 pep chromosome:ALNU02000000:3:21209672:21211468:-1 gene:OGLUM03G23880 transcript:OGLUM03G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLATALNDWDWNFPSPGAPDSSMTQTSRVSSSSSLIPSFPTSDYLVNDSSVAVKIAPAAPPETIVIGHFLLLLELDCWNTTCSFFSLVVFLWLLLLRYGVTVVPDY >OGLUM03G23890.1 pep chromosome:ALNU02000000:3:21261479:21262086:1 gene:OGLUM03G23890 transcript:OGLUM03G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTQPTPSWAREPLFEKAMTPNDVGKLNRLVVPKQHAEKHFPLRRTSFDASATAAAATCNSTRACSSTSRTARGRCGGSGTHARTAARSRFVREKGLRAGDTVVFSRSAYGPDKLLFIDCKKNNTAAATGDEKPITSGEAARVGRLFGMDITGGGGNFRKRERAVEMGQEAFLMKRQCVVHQRTPALGALLL >OGLUM03G23900.1 pep chromosome:ALNU02000000:3:21263047:21265247:-1 gene:OGLUM03G23900 transcript:OGLUM03G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSPIRICTAPGPLRGQEIDAPLLKNLSAADTNQQQQTTNEEAAIKQFWLRKWEYLKERMDCPWLSIYSFVNANLFQSWSLLAVQSIGFDSCLTQFSGTWHYL >OGLUM03G23910.1 pep chromosome:ALNU02000000:3:21320200:21320403:1 gene:OGLUM03G23910 transcript:OGLUM03G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVSFYGMLGDMRRLRDLIPESYVNDAALRQKGEDDHGIGLLGGDDDCPAFDRLWKYCRAYAGG >OGLUM03G23920.1 pep chromosome:ALNU02000000:3:21322713:21323580:1 gene:OGLUM03G23920 transcript:OGLUM03G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSSPPNGSSSLPSFFRSRFTLACRSLCSDLTSFLGADLWERRPRHGSSGARPHRRQCAAVGSRRCSRRLEANPVELLRHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLVPPSSIPVQPPPFAAGELEIELSHGCRCFLLLWRKAGPGCKF >OGLUM03G23930.1 pep chromosome:ALNU02000000:3:21377609:21378313:-1 gene:OGLUM03G23930 transcript:OGLUM03G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDPKAGESSSSTVTAHHLFVVVIDGVETSIHEGSLQASLGGTVTITSAGNLSASGVRSVVVRGGGGGSVSFTLCGDAVADGVDSASFVRCGAARAEGARAVSVTRCRAADLEQAGRVSLERCREARVRGGGALRAARCRRADVESFGEVHLARCKGARVDWCGSVEVEMCRAVDVSRCGAVTGGRCRVVSAVGCGSVEVAHAVVNILEEERPQAAQHPVSPSHSSRSSDSE >OGLUM03G23940.1 pep chromosome:ALNU02000000:3:21430791:21444707:-1 gene:OGLUM03G23940 transcript:OGLUM03G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISLLDSPIHEFGRNDDENPTAVIVVRSRAFVGTPKDRRKPTPSYRRFPPPPERSSVHRRRPSFVGDGHRSSPAPARSSPGRHSPVLLHLVSLTSGTHFIRSPECVTHLVAAFE >OGLUM03G23950.1 pep chromosome:ALNU02000000:3:21476719:21477453:-1 gene:OGLUM03G23950 transcript:OGLUM03G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALDLRNPPSAATTVKEDQQFTVFIDGVETALHEGVIQWNGGTVTLVSTGVLAVDRLQHVVVRGGGSGDVSFTRCGFAAAEACGVASFHRCDAVRADGAREVAVHRCRSADVERAGVVAIRRCKGAARVRGAGELRVGRCHEANVGGCADVAVGRCRAACADWCGAIGIERCGSADVSRCGAVRVDRCRAASVSGCGSVAVRCGKVNVIEQPPVCQEKPMYHLLHAEPVYAIPLEISSEIKLQ >OGLUM03G23960.1 pep chromosome:ALNU02000000:3:21483554:21484867:-1 gene:OGLUM03G23960 transcript:OGLUM03G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVWKKLKDAFPELRNEDEDCAMKQVEEQYTNRRYRLHCLHRNKKPRPTHVSPEDWAWLIKHVWTDEDFQKRSNQNAANRAKQEMGSKVGTKSIAQIAHELRNKETGEWPTAMQVWKATYQKADGTWSVPNGERVLSELNEVAQSQQEKICSAAVPLVEHFALVLGRKANHSRGMGLRAINGVAEERIRLLAQVEAAEKHAAAAQERADAAEQRA >OGLUM03G23970.1 pep chromosome:ALNU02000000:3:21505751:21508502:-1 gene:OGLUM03G23970 transcript:OGLUM03G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQKKPSSFSLSSTRSLSYAEVVKIDQAKGKSQVNNLSVFKRLSDTKGDFLVHSTKASIEVLNFNQSKGKSQVNKPSVFKRMFYSNGDSYIHPPKASSSGTKILPEPVLPCSSPTFLNQMPVSMPAITTSFGLPFKFGLKFGVIWGFQLLPLFGRWSSFRPELFLPPGTNIKVPWEARTPRADFTFQGTIHKTHENFAAVVVEPQPPAHLLGQLIQEVANIITDQHQMQVVKIQRYPLALCIVELASTLARDVLVNSEPVLLGNWFHANFVKHDQLANWRNSPFTREGWLMILGVAIHLKTRAIIEQATNLCGEFIDWHYRDRVLGRVLVKARYKFVNDIPAKLVLGDATAFGGLGQSWTFHISCQVMRTSYPYGRCSLLHRTTMQNNKNNIWENFDFHADDQNDEEFQGENHMAIDIPAQQPQDSLSIIPYGLPLPSLPILNLPEPFCRAVAQGIFRPLLLAFQPPLCKPVSVLQRWSLARTVWEVKINVIFFQDLEVSPSPAKRQKVAIVGRHVARSLQFASDESSLQNEIQATPKPRKQRQKGPISTENLRRSPRFLGQEKALLPTISKGLPPLVRVAQLQKIGVEKCGLLPEEVAEAKLLKAKK >OGLUM03G23980.1 pep chromosome:ALNU02000000:3:21535395:21536273:1 gene:OGLUM03G23980 transcript:OGLUM03G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSSKQDRALQAKNLAERCFLAGDVAGAKRWCQNALKLDPDLPGVAQAAAAYNVHSAPALKAIGVAGCGPDWYAVLGLPQPRSDLVTHHDAVKKQYRKLCLLVHPDKNTSAAADGAFKLVQTAWDVLSTRHPPPGATAAAASACTRPMRAEDLFRTKPTAAAPATPPAAKRPPEPPPKTTQRQQPPGPPPKPQPSAPKRPQVVQMRRPAPAKQQRPTILPPPPVVKRPSPTRGKCQYCGAAISKSFRCMSCHRSPMDNKPGYSDNDEYDDYYAKKNMEYDDYYYHDDR >OGLUM03G23990.1 pep chromosome:ALNU02000000:3:21581327:21582774:1 gene:OGLUM03G23990 transcript:OGLUM03G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDRSPTLAKVSSSKKPPVTPASSSSNLAGDKAQVDLMQDHGKRLEAALTKQIAELHSAEPRLLLMSVRSIDDEPPRLNLPSDGFIMVRHAAAVHRDVYDLQKLLKQEPLDNRMIVDAIWVITLSWKEHYVEPRPEDLVKLMSDLEDLVGFLSGNSGPPSFEELMVTSNDSEATFLLQRYESMCIDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAEYYENKAKEARAAAAEYHELMKCNEEMIINHPAAVDSLSQKVAELENKCANAKTNVEAAKKQKEVGASAPPTILRILSYPCNPYFGLPAPPQ >OGLUM03G24000.1 pep chromosome:ALNU02000000:3:21687715:21693272:-1 gene:OGLUM03G24000 transcript:OGLUM03G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGMDPNGSAFEERAPFRDITNISSDARAGSTTSTKLQRNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLCTFHFNKTTRLEATFDVLLPASLLRFTSKTKVWPVEWSTTSFFLRPNI >OGLUM03G24000.2 pep chromosome:ALNU02000000:3:21687715:21693272:-1 gene:OGLUM03G24000 transcript:OGLUM03G24000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGMDPNGSAFEERAPFRDITNISSGNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLCTFHFNKTTRLEATFDVLLPASLLRFTSKTKVWPVEWSTTSFFLRPNI >OGLUM03G24000.3 pep chromosome:ALNU02000000:3:21688177:21693272:-1 gene:OGLUM03G24000 transcript:OGLUM03G24000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGMDPNGSAFEERAPFRDITNISSDARAGSTTSTKLQRNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLCTFHFNKTTRLEATFDVL >OGLUM03G24000.4 pep chromosome:ALNU02000000:3:21687715:21693272:-1 gene:OGLUM03G24000 transcript:OGLUM03G24000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAGTGSGEAWWRMQDGAVLERRARGDVRMRSASGGKRTVWSCTKSKPHFINGIGSSVSDLGKHRTCPCLRMAPLCQSPRRLDLLTVKDGNTKRTLELCFCAKNVDPTADLKKYIEDLEECLDRSSKFYAVAVNNTFMKQDRVYFTKEFSKDYLKPLMDGKETISIGVQMAGGVSKNMMLQMSTDDRCNLKKVWAKFATRNHIYLQSLCTFHFNKTTRLEATFDVLLPASLLRFTSKTKVWPVEWSTTSFFLRPNI >OGLUM03G24010.1 pep chromosome:ALNU02000000:3:21694553:21701036:-1 gene:OGLUM03G24010 transcript:OGLUM03G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPPVRPWADLQHDLLVMIMSRVGLPDLLSGGATRACSAWRASARDPLVWRRVDLRDWAVLTSARRRLAAGDGEAAAAGRGRVPLQAALCSVLEIVVRRAAGRMEALLLPEFADEEHLLFLAQRNPNLHYFSLPATCITYDQFRKAIDKLQFLKGMAVDEGLINHDVLSHVHQCCPDFLELKVFALYVDEEMASIICNSLPRLKKLEIPNSDMSCAAIIKFLDCLEELEYLDISGYETSAISSAVLQKASRLNIFIWNSKFELGEFTDCSNCGEHCINPQEPCKCVMEHRVMDWLAGPSQPS >OGLUM03G24020.1 pep chromosome:ALNU02000000:3:21701865:21702209:1 gene:OGLUM03G24020 transcript:OGLUM03G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGTRADRAAAPVACGDPSGASGEGVEECGGGSSGSSGSVRRPQEPLQAACLGHLRHHRIPKVEAIEFRHAATSAAAANPRERERSTVEMDGTGRGRDQGGRGRGSGRAWRR >OGLUM03G24030.1 pep chromosome:ALNU02000000:3:21720827:21722283:1 gene:OGLUM03G24030 transcript:OGLUM03G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCTTEREQQGRRGTRGKAGRGRPDGDQGRERQGSGVHLPAVTAQDGARASGAAWPPWPPWPGTGAPEWLVHGELTSGKMVAGPARRRLLIGKIKGGSSFYGTRGGQRSEDLPIDGGTTKIGCSGGAEKKVQHAHKVFDEMCTRKRQRGMGFYGGLAL >OGLUM03G24040.1 pep chromosome:ALNU02000000:3:21745238:21748840:1 gene:OGLUM03G24040 transcript:OGLUM03G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEHNKMMITLVRLLPLVLVVALAWPAAEAPAAASPPPDPVQCSSGSGTADCTVSSAYGVFPDRSTCRAAAAVYPSTEEELVRAVANATASGTKMKVATRYSHSIPQLACPGDGDGEGLVISTRRLNRVVAVDAGRMEVTVESGISLRELIAEAGKAGMALPYAPYWWGLTVGGMLGTGAHGSSLWGKGSAVHEYVVGMRIVTPAPAADGYAKVRVLTAADPELDAAKVSLGVLGVISQVTLALQPLFKRSVTFMEHDDDDLADQVTKFGYQHEFADIAWYPGIGRAVYRVDDRLPMNASGEGVLDFIGFRATPRLLIRTNRLAEELFERAGNGSGKCVTSRVTHAALSSAGYGLMRRSGGLFTGYPVVGPQHRMQASGGCITGPEDALLTACPWDPRVRGSSFFHQTTFSLPVSRSGAFVEEVRRLRDMNPKALCGVELYDGILIRYVKASTAHLGKPAAGGGQSDDMVDFDMTYYRSRDPNRARLFEDVLEEIEQMGVFKYGGLPHWGKNRNLAFVGAARKYPRIGEFLRIKDAYDPDGLFSSDWSDMMLGIGGRAPTRDAPGCALEGMCVCSQDAHCAPEQGYVCRPGKVYKDARVCTKV >OGLUM03G24050.1 pep chromosome:ALNU02000000:3:21753294:21754735:-1 gene:OGLUM03G24050 transcript:OGLUM03G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9K4] MASAFSPATAAPAASPALFSASTSRPLSLTAAAAAVSARIPLRRGFRRGRFTVCNVAAPSATQQEAKAAGAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPDIRVVVGDPFNSDPDDPEVMGPEVRERVLEGEKLPVVTAKITMVDLPLGATEDRVCGTIDIEKALTDGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRESYLEEQDKLQQQISSARSNLGAVQIDHDLRVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDTVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFT >OGLUM03G24080.1 pep chromosome:ALNU02000000:3:21811995:21819248:1 gene:OGLUM03G24080 transcript:OGLUM03G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPQHCQRAAEMDKPAPQAFVYRISTADEWAQLQRTGGTLGGDLGRSTGCIHLSDLSQVRKTLKNFFLGRNDLYLLQVDTSKLSDGLVYEAADDSNYFPHFYGPGRSFAPLQLDAVIKAEKIVLVNNDFTCSLLDGADPLS >OGLUM03G24090.1 pep chromosome:ALNU02000000:3:21822389:21824564:1 gene:OGLUM03G24090 transcript:OGLUM03G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKEAPPSLLVALLSVHLLPSPPPDLATTVAVEKAGSEGKVAAVGWRLRWMRRSALLVADNRRGESGDDSPREGWICRRRTREQQIHRPLVGFGRADPPPAGSRAMDPPSRVVERRRKGGPAPGAATKITVATCGLESGGSTTGGLRNGGSIASKLGSGGYVLASGGEEAEGQRRTGSGDQDHSGCVWAQEQWIRPHTRRRGGGRAVWHQERQPRTCGYVRAKSRADNPPSTSLGMVDSLPEGSRVVDPPSHMWRGGGRVAQHQEWRPRPRRRCECSEEGGSVLACCTEEAEGRHDIASGNHNRRQRGQGLQRGTQRRRPRVWVLDFFFLFLFFKSLQSSILICPHAKIGSLQAAFLGHPSAKMILCVQLCCPHAKCRFLQTPGAPGECSLACEN >OGLUM03G24100.1 pep chromosome:ALNU02000000:3:21825937:21826686:-1 gene:OGLUM03G24100 transcript:OGLUM03G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILEAAAFLLLTAAAAAAGASTVVEPVASCSATDSFAADSSFAGNLGRLVSLLEAKAPAIGFDIATVGVGGDGEDQRVHGLALCRGDVARATCAECIRAAGALARRVCPSKKDAVVWLDACMLRYSGEPFFGEVDAEHRAVVPPARVLRGADRSADLDREVSRLMKRLTRTTYLSPLLFAAGEAVAVGGAQRLHGMAQCTKDLSGGDCKMCLESAIDQLLARGCAKEGGKVLGGSCSLRYDFYSLSDS >OGLUM03G24110.1 pep chromosome:ALNU02000000:3:21865738:21866745:-1 gene:OGLUM03G24110 transcript:OGLUM03G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPHPLLLLLTLLVAAGAASAGADDLVAELQSLRSRSPSGVIHLTDTSVTRFLSAPAPRPYSVLVFFDAASLHSKTDLHLPQLRREFALLSASFLTHNPASADLFFADIEFSESQHSFAQFGVNSLPHVRLVRPEHTRLAGSEQMDQSHFSRLADSMAEFVESRTGLKVGPIVRPPLVSRNQMILLVILFLVSIPFLIKRIMDGETLFHDRRVWMAGALFIYFFSVSGGMYGIIRHTPMFITDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAMVTHLLVRVESQIQRFTMLAVMIIGWWAVKKVILLDNWKTGYSIHTFWPSSWR >OGLUM03G24120.1 pep chromosome:ALNU02000000:3:21876228:21884093:1 gene:OGLUM03G24120 transcript:OGLUM03G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48420) TAIR;Acc:AT3G48420] MAPAAASSSALLPAGTFSTARPVAGRPAGQRLPTRVAAASRTTTARSPAACLSVGGRRRGAEAVRASAGGAPAASLPAALLFDCDGVLVDTEKDGHRISFNETFAERELGVSWDVELYGELLKIGGGKERMTAYFSKTGWPAKAPKTDDERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGVKVAVCSTSNEKAVSAIVSCLLGPDRAEKITIFAGDVVPRKKPDPAIYLLAATTLGVDPSSCVVVEDSTIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLEFCANLLQKQFVS >OGLUM03G24130.1 pep chromosome:ALNU02000000:3:21888172:21895225:1 gene:OGLUM03G24130 transcript:OGLUM03G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPPLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >OGLUM03G24130.2 pep chromosome:ALNU02000000:3:21888172:21893768:1 gene:OGLUM03G24130 transcript:OGLUM03G24130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPPLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >OGLUM03G24130.3 pep chromosome:ALNU02000000:3:21888172:21894872:1 gene:OGLUM03G24130 transcript:OGLUM03G24130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPPLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >OGLUM03G24130.4 pep chromosome:ALNU02000000:3:21888172:21895225:1 gene:OGLUM03G24130 transcript:OGLUM03G24130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPPLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >OGLUM03G24130.5 pep chromosome:ALNU02000000:3:21888172:21894872:1 gene:OGLUM03G24130 transcript:OGLUM03G24130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYGEQPLPLVQEATARIFSGGADASRTTVRLAHFLLPRAGASHPPALPPLPPSDFGPVLDDGLEVEFKGWAPESPKLWRRWVAKLRPRHEPLWRKVGILDAVLATTCRVRRDEGALLQLAAFWCGETNTFVFPWGEATVTLEDVAVLGGLPLLGRPVRAPPQDALRGDVDALEAVRRALYLSKNKNSRPDRTAWARHFLERPPGKVEVGGGGGDEACGRLEHGAFLAMWLSLFVLPAAPFDVVRTEVLPLAARLARGRGVALAPAALASIYSDLSALKRYINLEKRYQAFVVWAPLQIVQLWLWKRFPELRPPETTGTNQPDGHGIPTESQWQNALKVLDPVYVHAVFMSPKKFEWRPYGSSSFALRPEMGGHRVHGQDIAESAELLSFILCLRACELVGMRCIEHYRPHRVARQLSFDQDIPGTLPRVNSNWVAAWETYKMEPKKFVFIVPKYKPAVTIDYVQWWKPYLLGCAAAVVKARKMKELPPLLSPRKRKIDVPPDVSPKRVGNGAKEKAVELSFEAPIGSVSTINELSCVSATKIVQGKSFQQGNKEPPDLVVAHDRENRSSLHSEVLQNLLVEDATNTGSNEALCAVTIADMHSTEVSFDVPVALVSIVDELPCVSASIEEQGKPCQQDKEEAHNLSVTHDRENRSSLHSEVLQNLVVEDATNTGSNEALGAITVADMHSAEVSFDVPVDLVSIVDELPCVSATKEEQGNDCQQDKEEARDLSVTHDKENRSSVVYVHSTPVVAANTGNNKAFGPSPIVDVQSAPEDVVVISDDDNEDEVGGMHQKPPQLETAPSSLEGQNAESQIISASSNPQDSRVMKDVRVQSNCDHETDNVRSNIVLRKESFEVLAVDTVQPGFNLLDTPTGETQTCAVTGQIDKGYMVEKEILAGVEGIENVNEDVSPSFQEINSPVEDCMVANRRMGSGNNYSSGLAHVNTQLINRVVCTRTLYYLRPFWLSKHGQNKDASDTTTDEGTFQPRREVGTPQMIEEAFAARQAQKVELQKVIDRLKEEIVALEVP >OGLUM03G24140.1 pep chromosome:ALNU02000000:3:21896500:21896991:-1 gene:OGLUM03G24140 transcript:OGLUM03G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFNAAPFLPHPIAMQPHPAASLPLPAREPASYAGEVRYGREVARSGQGTPYPEALPSHRRPDQHRLNLVIPVDSASPSTLPLSSPPVANSQPSTTAAVAARDQMRLWASTPRPRGESRPCRRRLCGRAVSDSTLKQRPGEEGKGARVVVRWRRSPPMSPLP >OGLUM03G24150.1 pep chromosome:ALNU02000000:3:21899848:21914368:1 gene:OGLUM03G24150 transcript:OGLUM03G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9L6] MAMARRSASRLLSSFRPFSLLLQPLDDAPSLSAAAAAASARRGMSSASALRARDEKEVARWRESMDRMRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEIPRVAFINKLDRMGADPWKVLNQIVSGAETPDTQLMARSKLRHHNAAVQVPIGLEEEFEGLVDLVELKAYKFEGGSGQNVVASDVPSNMQDLVMEKRRELIEVVSEVDDQLAEAFLNDEPIQANQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVLDYLPCPMEVESYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGETIISGMGELHLDIYVERIRREYKVDAKVGKPRVNFRETVTQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSESDGKFEFDNMIIGQAIPSNFIPAIEKGFKEACNSGSLIGHPVENIRIVLTDGASHAVDSSELAFKLASIYAFRQCYAAARPVILEPVMKVELKVPTEFQGTVTGDMNKRKGIIVGNDQEGDDTVVVCHVPLNNMFGYSTALRSMTQGKGEFSMEYLEHNTVSQDVQMQLVNTYKASRGTE >OGLUM03G24160.1 pep chromosome:ALNU02000000:3:21917850:21920539:-1 gene:OGLUM03G24160 transcript:OGLUM03G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVVNGVRAVVFGFHAQVFLFQTKVYTLVLLDLPGLLFFSTYTLLVLFWAEIYHQAKNLPTDKLRIIYMAVNGVIYVIQVCIWIYLGTNDSPLLEPVSKIFISVVSFLALLGFLIYGGRLFFMLRRFPIESKGRRKKLYEVVGTVTAICFTCFLIRCIVVAMSSFDPDLSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIR >OGLUM03G24170.1 pep chromosome:ALNU02000000:3:21933900:21934518:1 gene:OGLUM03G24170 transcript:OGLUM03G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYGGGFRIELDVVAKHGGGADCGPVGVAVEGSVPRDNCNGSAASTSRQASRVQRGSRRRGGKGGDRATDGAIRVRGGNGMVRGMREGAPSRWQRRSVGRCESAREEEQSERRDFRLTGMGAGGKAEGETSGDQSRLIQ >OGLUM03G24180.1 pep chromosome:ALNU02000000:3:21934598:21936989:1 gene:OGLUM03G24180 transcript:OGLUM03G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMATAATTTRSLSLHAHALPSPTTGTAETLSSLILHLPPVSGARRQGLRAVAFPSKQGCRPKKEESSRWRMLLRSCRPLESVSVNTITTSKKDISELGPPDQFYFLSSIRQTFGHVAAVVWQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKEVQLMRFDGECGEHRSVVLICFLLKCVTQILFVCISS >OGLUM03G24180.2 pep chromosome:ALNU02000000:3:21934598:21936989:1 gene:OGLUM03G24180 transcript:OGLUM03G24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAAMATAATTTRSLSLHAHALPSPTTGTAETLSSLILHLPPVSGARRQGLRAVAFPSKQGCRPKKEESSRWRMLLRSCRRLRKGSYLSPTRRMDTPSSTHLDDRQILHIDDWSKRKEMEKMKNRLHTVVDTIKTEAKEVQLMRFDGECGEHRSVVLICFLLKCVTQILFVCISS >OGLUM03G24190.1 pep chromosome:ALNU02000000:3:21942146:21944948:-1 gene:OGLUM03G24190 transcript:OGLUM03G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEELLVQESTVPIVSDGDPSRPAVRSAHFLLPRAAAGGRPPPLPSSPLCSGGPVPDHHGLLRVVEFKGWAGSPGLWRQWVDRLRPRHEPLWRSVGILDAILATAYRVRRDEGTLLQLAAFWSADTNTFMFPWGEATVTLEDMTVLAGLPLFGKPVRARLLDALVGDVDALMAVRSALHRSKYKKPSYPGWVQYFLKRQEEEDDETAASAGADLIEHGAFLAMWLSLFVFAAPPFDVVGPQVFPIAARLARGIRVALAPAALAGIYGDLSALKRFLDLRDREEALQVTAPMHILLLWVWERFPQLRPAMATTTTPATTDACRVPMAARWHGVHKALDPQFVHGVFMSPDKFEWRPYGSRSIALPPKEAKAGTWVVQDVMTSNTLLSFTRCLRQCELVGMGCIEQYNPHRVARQFGFDQDVPGTIARANSNWKVAWRTYTFGYRKFALVVPHYKLGVTLEYARWWEPYSLACSTDVSNYANTREPHSLFIPMKRNTEELSGANSCKKQHVDTSVPLPGTMEDPLDEIPLIERLNNIIMVQCARQEQIADVVKYSVPEFIRGKDNSMIVQQDAEQYLSDSMRVLDSSADESFCGSVTKMEQHISLLQSKQKAQDHASAYEANNCNSGQVMIHHGVESAASTGSNEAIEAATTAGMLPTPEDILVTSDEVMMERNCGYELDAVLLGAAPQQQSTEDMGTCMFALERDNRAKTDKDELASLKGTEKENEDDSTSNQAAAGSLIEDCIEISRKNSGNNGISSDILVNASTELVRTKVSTKTLYYLTKIWLLKNAHERDASDMNRDHGVYQPRREVGTREMIEKSFAAREAQKVELERVIKHLKEQLRDRYPSKLDV >OGLUM03G24200.1 pep chromosome:ALNU02000000:3:21957809:21961453:-1 gene:OGLUM03G24200 transcript:OGLUM03G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESRGSSGKAPVTVTVTAAGASSSGECSTPPFRLNVHAPEFVPRSPAASPIAAAAAGYYSPFLQLPGSSIGLGADWSIFADPDPTFFLPDFGHAKIGGGNGQPKGASPADIAQKIIKQVEYQFSDTNLVANDFLMKIMNKDPECYVPMSVISSWKKIKAMGVTNQLLVNALRTSSKLVVSDDGKKVRRAQPFTERHKEELQSRMVIAENLPEDSTRNSLEKIFGIIGSVKNIRICHPQEPSSARSSKSDALISNKLHALIEYETSQQADRAVDKLNDERNWRKGLRVRPVLRRSPKSAMRLKRPDFDHLMISDDDHSPQSQASSDSPMADHLPDHHEDQHGKKSWGRGRGSRPHAAAGGAPQAAAAAVAGHLDSLMMMSPRHAPQGPRMPDGTRGFTMGRGRPSPAAVLRSSPARAVAAPAPAAVMI >OGLUM03G24210.1 pep chromosome:ALNU02000000:3:21972273:21974971:1 gene:OGLUM03G24210 transcript:OGLUM03G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Methyltransferase FkbM (InterPro:IPR006342); Has 1073 Blast hits to 1073 proteins in 243 species: Archae - 45; Bacteria - 509; Metazoa - 0; Fungi - 4; Plants - 60; Viruses - 4; Other Eukaryotes - 451 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G26680) TAIR;Acc:AT2G26680] MPAPPAASWRRHAAAAAASSSTRKTLLLLLPVLLLLLLFVLSRAPDLTLSPAAASSRRLSAGVRPFDCYASQQASPVFASLVEGVPHPFFYSLADMGALPDHPHKNIARILKGKRFRKPDISETIQQLLGGKVGIGSRGVVVDVGANVGMASFAAAVMGFRVVAFEPVLENLQRICDGVYLNRVQDQVVVYHAAASDRVGNITMHKVIGRLDNSAISATGAKLAFKANEEIAVEVATIPLDEVILDAERVVLIKIDVQGWEYHVLRGASKLLSRRKGDAPYLIYEEDERLLQASNSSAREIRAFLSSVGYNHCTRHGTDAHCTKN >OGLUM03G24220.1 pep chromosome:ALNU02000000:3:21975324:21978596:-1 gene:OGLUM03G24220 transcript:OGLUM03G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSSYLAIGGWFIQVIFDKYLSYQLQSWAADCGISHEMNRLRVALLRTQSVLHGAEVTPSLSYGSLPWMRELRDVMYHAEDLLDKLEYNRLHHQMQESSSTESNSSPISAFMHSRFRNQGAQASGLEPHWDRSTRVKNQMVNLLERLEQVASGVSEALSLPRKPRHSRYSIMTSSVAHGEIFGRESEIQQLVSTLLSSQVDGDNPVSVASIVGVGGVGKTALAQHVYSNTRVAQYFDMRMWICVTDAFDESRITREMLESVSSSRFRHDSITNFNRLQVALRARLVSKRFLLVLDDVWSNDKITLAIEHENWQKLLSPLKAAANGSKILLTTRSSMVAEMLQSAHITNLECLSDKDCWSLIKMIVFDDTNHLINSQLANIGSEIAKTLNGLPLAAKVVARQLKCKHTTDEWKQVLQRNAVWDEIMPIFQHSYENLPVHLQQCLAYCSIFPKDWEFEAEQLILMWMAQGYVYPDGCRRMEDIGKQYVDELCSRSFFAIQKKQFVSYYVMPPVIHKLAKSVSAEECFRIGGDEQRRIPSSVRHLSIHLDSLSMLDETIPYMNLRTLIFFTSRMVAPINISIPQVVLDNLRSLRVLDLSPCKIDRLPDSIRQCVHLRYLNISSTAINMLPEYLGKLYHLQVLNLSGCRLEKLPSSINNLVSLRHLTAANQILSTITDIGSLRYLQRLPIFKVTSEETNSIIQLGYLQELRGSLHIRNLENIDAPDEAKEAMLCKKVNLTMLQLMWAPARDLVNSDKEAEVLEYLQPHPNLKRLDIIGWMGVKAPSWLESKWLINLELIFLSGCNAWEQLPPLGQLPSVRTIWLQRLKTVRQIGLEVYGNRSSHVAFQSLEELVLDDMQELNEWSWTGQEMMNLHNIVIKDCQKLKELPPLPPSLTELTIAKKGFWVPYHHDVKMTQLTTVTTVSSLCIFNCPKLLARFSSPVTNGVVASFQSLRSLIVDHMRILTCPLLRERLEHIENLDIQDCSEITTFTADNEDVFLHLRSLQSLCISGCNNLQSLPSSLSSLESLDKLILWNCPELELLPDEQLPLSLRKLEVALCNPVLKDRLRKECGVDWPKIAHIPWVEIDGEILQ >OGLUM03G24230.1 pep chromosome:ALNU02000000:3:21980050:21984160:-1 gene:OGLUM03G24230 transcript:OGLUM03G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSRRGPGAMDDENLTFETSPGVEVISSFDQMGIREDLLRGIYAYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMISLSVCQIVDTAVREVQALILSPTRELAAQTERVMLAIGDYINIQVHACIGGKSIGEDIRKLEHGVHVVSGTPGRVCDMIKRRTLRTRAIKLLILDEADEMLGRGFKDQIYDVYRYLPPELQVCLISATLPHEILEMTSKFMTDPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQKERDAIMGEFRSGATRVLITTDVWARGLDVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKKEDIRILRDIEQYYSTQIDEMPMNVADLI >OGLUM03G24240.1 pep chromosome:ALNU02000000:3:21985137:21987339:1 gene:OGLUM03G24240 transcript:OGLUM03G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVWLEKKDGEGPPVLPLVEVKLCVDLATSRTTVARPPMEIGGRGIGDGNGAVEIGGRDGAVEIGDRGSEVEVGISLSSSSSSSMDGVRSGAIWESPDGESSDIFPSLDLTISTDYLAAVDDGIPEVADYLTTVDDDG >OGLUM03G24250.1 pep chromosome:ALNU02000000:3:21988752:21989319:-1 gene:OGLUM03G24250 transcript:OGLUM03G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPVEVGAQGTIGSLVCREVEYFRRMEVAVVSHDHGKNMSSSSKQASRRRHRQRGEPQDQEQGPAAVEGGRGRRAYLFLPSICSSAEVAEATGAARVRPFASDQIRSGEEQNVRGQNCVMTCVPSGRITTLIIEVDYLVLRKDY >OGLUM03G24260.1 pep chromosome:ALNU02000000:3:22005965:22008685:-1 gene:OGLUM03G24260 transcript:OGLUM03G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGTGGGSEEEEGRVLSEPDPTSGMMEPTHNNDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHNVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >OGLUM03G24260.2 pep chromosome:ALNU02000000:3:22005965:22008685:-1 gene:OGLUM03G24260 transcript:OGLUM03G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRGDPKVVDDEADAKPKGQLINFLFFSPSQFYLTDTNGVRSFLLLLLPSLPYLLLGLLIARVVRPRLISTGTGGGSEEEEGRVLSEPDPTSGMMEPTHNNDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHNVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >OGLUM03G24260.3 pep chromosome:ALNU02000000:3:22005965:22008685:-1 gene:OGLUM03G24260 transcript:OGLUM03G24260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGERPKLVRGVRQESRRFRLLVIVVGFFLVSLTFVFVSKPDAILFSLNGKLPVEQAPTSILIQQKVNEPSGESRKTSTDALRKLLPSHPLADLYAFSHGELRGASDFILSCGDPKVVDDEADAKPKGQLINFLFFSPSQFYLTDTNGVRSFLLLLLPSLPYLLLGLLIARVVRPRLISTGTGGGSEEEEGRVLSEPDPTSGMMEPTHNNDGNGHKSHQETLGGGGDGESKGNDEEGEHAEQKHKVTLPTVSNYTIHDAAEDTENAKQEGMNNVQQGSKPLCDFSNFRANVCEMRGDVRIHPTATSVLFMEPEGSQRDEVWKIKPYPRKGDEFCLSHITEVTVKSSKVAPECTKYHNVPAVIFSLTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHAIVGLHAYMEFTIDSTKAPHNYSMADFNRFMRGAYSLGRDSVTVLGEYPKIKPRLLIIKRHRTRMFLNLDEIISMAEELGFEVVIDEANVSSDISRFARLVNSVDVMMGVHGAGLTNCVFLPQHATLIQIVPWGGLDWISRTDFGNPAELMGLRYKQYSIGVDESSLTDQYPRDHEIFKNPISFHQRGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >OGLUM03G24270.1 pep chromosome:ALNU02000000:3:22010467:22011006:1 gene:OGLUM03G24270 transcript:OGLUM03G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAGTAAVRCSSPMPPPPPALQATAEGRGERGAAPERRDATDGERGGAAMAARCPLAPLDSRRLRWPPRFCSSSLDRPRSERRGKGKGGGLAERPPPKMREADTARGEERPRPWRGGSGRPFPALPTPPLLRGRVDLATPPPPSPQCRLAALARCFCAMGKGGSCAMGKGERREKGK >OGLUM03G24280.1 pep chromosome:ALNU02000000:3:22044025:22046581:1 gene:OGLUM03G24280 transcript:OGLUM03G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLLLELLPQQWQLSITSLILLAVSVALIFWSRRRRNPSSRLKLPPGPTRLPIIGNLHQIGRLPHRSLGALAGRHGPVMALWLGTVPVVVLSSPKAAREALKPAVFIPIPNFMDPFTYQKRFRFDGEDRLRIWARPPTTVADSADQATSTAALAADQAHAAAADQALASAAAAADQAPAQVAMQVDDSPGPVTRSRSVASPVHASPFKANKRKAVVTRTAKKL >OGLUM03G24290.1 pep chromosome:ALNU02000000:3:22051972:22056717:1 gene:OGLUM03G24290 transcript:OGLUM03G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWDGEVGLIGTAPAPAPPLLELEFSQTVPAPQKMRAVMLPEYYCCMVEKLTRNGRNAVAINEHIFSTVDGIIGTFALGETYAAEEFKDISETMDLLSSSSAEDFFPGSVAGRLVDRLTGLAARREAIFRKLDRFFERIVDQHAAADDDGPAAARRKADDKGSAGSDLVHELIDLWKMEGNTKQGFTKDHVKAMLLDTFVGGITTTSVTLHWAMSELIRNPRVMKKAQDEIRAVVGEKERVQHHDMPKLKYLKMVVKETFRLHPPATLLVPRETTRHFKVGGYDIPEKTKVIVNAWAIGRDPNIWKDPEEFIPERFEEMDIDFNGAHFELVPFGSGRRICPGLAMGVANIEFILASMLFCFDWELPHGVRKEDIDMEEAGKLTFHKKIPLLLVPTPNKAPN >OGLUM03G24300.1 pep chromosome:ALNU02000000:3:22057691:22060174:-1 gene:OGLUM03G24300 transcript:OGLUM03G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGFAVLAGGVLGYARRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLLNLLLERSSMHVVVSLLTMESSKICALALTYVMGQRYLETSKIMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >OGLUM03G24310.1 pep chromosome:ALNU02000000:3:22062078:22063580:1 gene:OGLUM03G24310 transcript:OGLUM03G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQGEAHRREPGQRRPMCGVCTKPLRLCLCGRLRRPPLDTAVGVTVLQHLMEAGHPLNSTRVARLGLRNLAVALVGDVNHRASFHLRTLDAAAAAGGGNHDRPDGPGEIQVLEGDGFGGGTGGPAGPVQCEGETLDSAICSNGISGESGGAVSCARRDYVTKGINASSDLGVKAANIRGSSDIGGEILDLVDIPDRIGFDLDGEICSVKSDLGGGEELGFQSMKRNGYCSDSERLGSSANQTGNSFVDGIHGENHHSIGEVNGNLPRHLVENASEFQMATAQNCNGIPRENVGTGAAIGQGWTVKNMDKCSITYTEKELKIEIERGVKPKIRWLSRGPLGQSAVSNGFTVTKIQMKKSKQTGEVSVFEEFSITIPPKSALLFPCQRAISIDASDCQLQHLIVLDGTWAKAQRMYHENPWLQLLLHVKLESDGVSLYSEVRHEPRAGCLSTIESIVVAMRKLGEDAKGLDDLLDVFESMIADQRRCKDENWKQKLESKT >OGLUM03G24320.1 pep chromosome:ALNU02000000:3:22064948:22067248:-1 gene:OGLUM03G24320 transcript:OGLUM03G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDWSRRLKELATGGEEESGSKDRANVRRNVEVATGMRGSVWRYLGAEGVLSPFLMLAVVRDGYSQWNAGEAQRHATNGGRPTWREVARPEATGPWKSEATSGHETEIKAICTCETMEHQGVASLFVQIAPAMQLYKFQGGSGCSQNVVACDVPSNMQT >OGLUM03G24330.1 pep chromosome:ALNU02000000:3:22068041:22071331:1 gene:OGLUM03G24330 transcript:OGLUM03G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRVLMASMEDTATAPAGGPPEPPPQSSSASPSPSPPPPPPTPSSPQRPPPPPPPATPPPPPPASPGKNQSPASPSQDSPPPVASPSVSPPPPAPTTPPSPPPPSKSPPPPSPPPTTSSTPPSHQSPPEEGTSPPPSPSSGATTPSPPPNAQSSSSSSSSTPPAGAGTSPPAPREMPSPGTPPSPPTTLITTQAPPIQPPPPPGGNSMIMPSSLTTAGTSQSPPDATTAGAPPPPAPSVGAWGGNVPSGLLIGVAFAGFLLALASMFLFLCIKNRWKRRRRPAQVMNLARRRTLVVPERVASPEVYQPSNGPTASPSGTSSYEFSGTTSWFTYDELAAVTGGFAEEKVIGEGGFGKVYMGALGDGRRVAVKQLKVGSGQGEKEFRAEVDTISRVHHRHLVTLVGYSVTEHHRLLVYEFVSNKTLDHHLHGGLPVMDWPKRMKIAIGSARGLTYLHEDCHPRIIHRDIKSANILLDDAFEAKVADFGLAKFTNDSVTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDSSQPLGEESLVEWARPLLVDALETDDFREVADPALERRYSKSEMRRMVEAAAACIRYSVTKRPRMVQVWRSLDVEGSSPDLTNGVKLGQSMAYDSNQYSADIELFRRMAFANDLSTAELGYSGKDDVRRPPR >OGLUM03G24340.1 pep chromosome:ALNU02000000:3:22073269:22078047:-1 gene:OGLUM03G24340 transcript:OGLUM03G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 18 [Source:Projected from Arabidopsis thaliana (AT3G20500) TAIR;Acc:AT3G20500] MAVPPLLLFLLLLSSFSSCATAASGAPVGEDYVRPPAAARRCGLHHRKALLSLFPWSKKKDSSSASDPQQVHISLAGEKHMRVTFVTDDNSVPSVVDYGTEAGTYTSTSQGESTSYSYLMYSSGKIHHVVIGPLNDNTVYYYRCGGHGPEFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCAHDMLLLPGDLSYADYMQHLWDSFGTLVEPLASTRPWMVTEGNHEKERIPFFKSGFQSYNARWKMPYEESESTSNLYYSFEVAGVHAIMLGSYTDYDESSDQYSWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVIAGHVHAYERAERVYKGGLDPCGAVHITIGDGGNREGLAHRYRNPKPAWSVFREASFGHGELKIVNATHAHWTWHRNDDEEPVRTDDVWITSLAGSGCIQDGSHEYRKILMSP >OGLUM03G24350.1 pep chromosome:ALNU02000000:3:22083416:22089171:-1 gene:OGLUM03G24350 transcript:OGLUM03G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLASSAWLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNAHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGDHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTAVCSGSKSNENSEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNWTVQTSKVSVSGRLRNGRRSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSTKPVADSHPESSVHQKFSQQGAMEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNGDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQVLCQCSPCFYLISSVPWPLVVI >OGLUM03G24350.2 pep chromosome:ALNU02000000:3:22083416:22089171:-1 gene:OGLUM03G24350 transcript:OGLUM03G24350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETLASSAWLYSGIGCIHQISLICIVEARIISPILCQRLTIKWVRTDCHLDLVLIEYKHQGDIDALSSMNGNVWPFVKEKQQGRNPTVFRGHDLLHSHGKTLNDRSCSHSFREEETKDLVSSSHDDAETEKNFAIWDQPLDRTGLLESKRHRRSSSPRYCMKSYPFGNKIDGYHGEGRACPRDSSKWGNHSLSPDHAPTSCLRTEGEVPSLNRVSEYAKGADGHMRTTERLGDFFSSNQGSCTQNRSYQEVQRLPTEVNFPNAHFSAIDKARHRSYMEKFQTCKKHQGTCSKDLMFNISDHSLVGRTCHRFEVGRAHTSKAFDEFHAFHHEQLHQSPRDNFRDQLGSSRNFRNAHKGKMSRRQCTKHDLKKKNSNVAFHSTYGRNSDRKRHGDHLDGHRAKRNMPSENQSKESCYPNMKDWQSYSHGDVRQSGDNQEGNTKKIKKGGQNGEKGNYHRNNNIPTAVCSGSKSNENSGLLSPKCRSKTIMSSNGPKQSEGSENIKLESDKKPSLVVCTKKTEDMKSDEVSNGKLQDAPVTYVENGVKESDNASPSELLRDCLIIWRRLKKDNCAEAENVKKTNTNWTVQTSKVSVSGRLRNGRRSSGFDDENSSTSGSASVSSESDDESNSPSEDSKQCRGVMSSSEAQKCSKGRTERESEQPFKSLSGDNRMKSPQNTIAEKGLMFYQDVPPETNPSEVMQQKEQDDLSCCWNGCSDTSTKPVADSHPESSVHQKFSQQGAMEGHSNARSRHELVVGCDIENTLEADGAKSGEQSTVPELLDKKAAVLCSMDDDSVKVVNVSACSNQDSDTTPCGVTKLDKGTANKFLEKPVNLSTGSNFRVIQWGAVDCNIVRIKQENSQHADSEQDTHHKESGEPSQALKVASNQQIPHQFDSDRDNPCTTRQADWDSCSSIPDLNCLPNMNTDDELEPVENVNGDGTNPQNNIKSLSASSCKPTLQKEQSKQPEPIELTGGICERKDGNRFQSPNSHSGPSQQSIVEESSMSIDVFKCNLCEFIKNIIKPLWEDGLLSREVHKIIVRKAVEKVTTVLGSKVPLTEIDACRFLLEESQNLEKLVQVLCQCSPCFYLISSVPWPLVVI >OGLUM03G24370.1 pep chromosome:ALNU02000000:3:22092891:22093160:-1 gene:OGLUM03G24370 transcript:OGLUM03G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLEMDHVGRNSDDVMAAAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDDSSATASVIFFAIDDENVGDGEA >OGLUM03G24380.1 pep chromosome:ALNU02000000:3:22093185:22093714:-1 gene:OGLUM03G24380 transcript:OGLUM03G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVASGGGLQSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVVIERGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >OGLUM03G24390.1 pep chromosome:ALNU02000000:3:22135805:22137727:1 gene:OGLUM03G24390 transcript:OGLUM03G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLPPPTVPGSKPRPAAATSVSTAATADAAVAGGRSGSGIGRLSALIRSLCSAGRTAEAARALADAGDAAGVVAYNAMVAGYCRAGQLAAARRLAAAVPVPPNAYTFFPVVRGLCARGRIADALEVLDEMSFKGCAPIPPMYHVILEAACRSGGFRNSVRVLEAMHAKGCTLDTGNCNLVLNAICEQGCVDEAVGLLRKLAFFGCEADIVSYNAVLKGLCMAKRWGDVEELMDEMVRVDCAPNIVTFNTLIGYLCRNGLFERVHEVLAQMSEHGCTPDIRMYATIIDGICKEGHLEVANEILNRMPSYGLKPNVVCYNTVLKGLCSAERWKEAEELLSEMFQKDCPLDDVTFNILVDFFCQNGLVDRVIELLEQMLSHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMSSCGCKPNTVSYTIVLKGLCSAGRWVDAEELMSQMIQQGCPPNPVTFNTLINFLCKKGLVEQAIELLKQMLVNGCSPDLISYSTVIDGLGKAGKTEEALELLNVMVNKGISPNTIIYSSIACALSREGRVNKVIQMFDNIKDTTIRSDAVLYNAVISSLCKRWETDRAIDFFAYMVSNGCTPNESTYTMLIKGLASEGLAKEAQELLSELCSRGALRKHLMRHFGIV >OGLUM03G24400.1 pep chromosome:ALNU02000000:3:22139350:22146996:-1 gene:OGLUM03G24400 transcript:OGLUM03G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPPPQQPPQQINE >OGLUM03G24400.2 pep chromosome:ALNU02000000:3:22140957:22146996:-1 gene:OGLUM03G24400 transcript:OGLUM03G24400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPPPPPQWAMGPPPPPQYFQAGPPPPPPQYFQGAHPPAAMWGQPPPPQAAPPPAPAGGAAGDEVRTLWIGDLQFWMEENYLYNCFSQAGELISAKIIRNKQTGQPEGYGFIEFGSHAIAEQVLQGYNGQMMPNGNQVFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDLILQDTFKAHYQSVKGAKVVFDRSTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRIGPASNKKNIGGQQQPSATYQNTQGTDSDSDPNNTTVFVGGLDPSVTDEVLKQAFSPYGELVYVKIPVGKRCGFVQYSNRASAEEAIRMLNGSQLGGQSIRLSWGRSPGNKQPQQDQNQWNAGYYGYPPQGYDPYGYARPPQDPAMYAYAAYPGYGNYQQPPPQQPPQQVKAL >OGLUM03G24410.1 pep chromosome:ALNU02000000:3:22156310:22160851:-1 gene:OGLUM03G24410 transcript:OGLUM03G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTMPPMRAPALVAMLVVVLVALVRRRRHRSKGAGGRLESLPPGPEGLPVIGNMHQMLVNKPVFRWVHRLLADAGGEIVCVRLGPVHVVAVTSPEMAREVLRKNDAVFADRPTTFAAESFSVGYRSASISPHGDQWRKMRRVLTAEILSPATEHRLRGSRGEEADHLVRYVLARCGRDGAAVDVRHVARHFCGNVIRRLTLGRRHFREPRADDEDAAAPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHEKVIKKVMRTLNRLHDPVVEERVEEWRLLRKAGERRDVADFLDVLASLDDAAGRPLLTVEEIKAQTIWALAEMMNKPEVMRKAMDELDTVVGRDRLVQESDVRDLNYLKACIREAFRLHPYHPFNPPRVAMADTTIAGYTIPKGSQVILSRVGLGRNPRVWDNPLEFRPERHLSPYPAGGRGDAGVVALTEAELRFVSFSTGRRGCPGVSLGTLITVTLFARLLQGFEWSKPAGVERVELREEAASLVLAQPLVLQATPRLAAHLYGAGK >OGLUM03G24420.1 pep chromosome:ALNU02000000:3:22166676:22181230:-1 gene:OGLUM03G24420 transcript:OGLUM03G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANKAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKLLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWRPAVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEAKLQDTIRDSHLLARVQLALCKELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEVLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >OGLUM03G24420.2 pep chromosome:ALNU02000000:3:22166676:22181230:-1 gene:OGLUM03G24420 transcript:OGLUM03G24420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANKAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKLLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMVLHGKKDELHDIFESALKSSDLKGLHAECLTDMWIGRDRFAFIDLSAGPFAWRPAVGGDGVRTELSLPNVAKTVGAVAVTYRWNPRKLDLYKSKVSAAKRKRNIEYTLSDSSAMAKLQKKRLKLNYKIQSEILIFWRELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEVLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >OGLUM03G24420.3 pep chromosome:ALNU02000000:3:22166676:22181230:-1 gene:OGLUM03G24420 transcript:OGLUM03G24420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHEFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQAPDRVVEGFSEEFLDAFLTLLRRAHRHSRIAATVVYNEFIADRHHVHMNSTRWATLTEFVKFLGREGHCKVEDTPKGWFITYIDRDSEQAVKARLKRKRIKSDLAEDERQERMIARQIERAQQSMGKTNGELGDDASPDGSEGESGSEDEYSDSENDHEGQEEDAKEANKAAGKIAIALQRAVPGPKVNPLDDKPKVKFGFEEEDEVSARDKEKEELAKKKGKDAINAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKLLAEKGYYKQKGVVKRVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSNARLLSVDTERFCAKVQVEKGLYDGKVLKAIEIRPCKLGFFNLKVTRDYLDIEKGVNRRPLYESHPLSSFSWTTTDNMDMGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSEILIFWRELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEVLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >OGLUM03G24420.4 pep chromosome:ALNU02000000:3:22166676:22179835:-1 gene:OGLUM03G24420 transcript:OGLUM03G24420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYNKTLQARILQSKSDKRLSCEDENTHGEFIHRYYRLKFGHLPGALLLVGTVFVLNLVYPMLQRLLGLLQKLQKKRLKLNYKIQSEILIFWRELDERRHDLKSELEGYNTGDSDDINKKKALDALNRMEKWNLFKDVPEEHHSYTVARDSFLAHLGSVLWGSMRHVIAPSVSHRAHHYYDKLSFQLYFVTQEKVRNIKQLPVNVKSVTEVLSSVLLQFQKPMFSQHMLSLSEDPALIMAFAMARRAAAVPLLLVNGFSKSTVHTYLDSAILQHQLQRLSEHNLLKVWCWAGDSKLPELFASLYLKRSKFVFSVVCACGFFFLSFCKTLVGGCWGWLIPAPLASFFFFPA >OGLUM03G24430.1 pep chromosome:ALNU02000000:3:22184543:22187954:1 gene:OGLUM03G24430 transcript:OGLUM03G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPRPPSVATKGKQQGVSPSPAPSRKGPPVQALQDPNPQIGRELTAGLMRCAHKESGSGGRGLRCIYDLAREAGVQLSGGGAKVTVIECGMMNIPFILSFFLFHILLLVHNKKGVSICAAMTSLLGFCA >OGLUM03G24440.1 pep chromosome:ALNU02000000:3:22253406:22282744:-1 gene:OGLUM03G24440 transcript:OGLUM03G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q2] MAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAGALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMAKAEMEANSPPAKKKPTSRTHRRLDCGQHIICGLSAVTPTVSLLYLFSRPSSLLPRHTVGSPPTATPHPRSAGHSALGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYRLGMGLLGASLVLSLSWWVIVASQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLIFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKIVAYINVGCYYFVGIPLGFLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEAKKRLNQWEDKKQPLLAGTVDY >OGLUM03G24440.2 pep chromosome:ALNU02000000:3:22253406:22282744:-1 gene:OGLUM03G24440 transcript:OGLUM03G24440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q2] MAGGDQRGDSPSSHELSGRLEGILADGEAPWARRACKAGALEVRLLAPIAAPAIVVYVLNNVLSISTQIFCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLVLHLVVGWLVVYRLGMGLLGASLVLSLSWWVIVASQFVYIAASKRCRRTWTGFSWMAFSGLPEFLKLSTASAVMLCLETWYFQILILLAGLLDDPQLALDSLTVCMTLAGWVMMISIGFNAAASVRVGNELGAGHPRAAAFSVVVVTAVSFVITVVMAVVFLIFRDYISYIFTEGETVARAVSDLCPFLAATLILNGIQPVLSGVAVGCGWQKIVAYINVGCYYFVGIPLGFLLGFKFHLGAKGIWTGMLGGTCMQTLILFWITFRTDWNKEVEEAKKRLNQWEDKKQPLLAGTVDY >OGLUM03G24450.1 pep chromosome:ALNU02000000:3:22287925:22289155:1 gene:OGLUM03G24450 transcript:OGLUM03G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKAQVLASLNPVGKFLCKFFKKEGHAQRDYDGFRAWLAKNSTNVVVSGPKTKNSVTNMF >OGLUM03G24460.1 pep chromosome:ALNU02000000:3:22289421:22290104:-1 gene:OGLUM03G24460 transcript:OGLUM03G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYDRNSRVVVLYLSPRAGDNLMPSRAIVIFTEQGTPLAIDNVRSERMGLQFMEQLCSWISSIGTYNGILVRNIIPDFIQCFCIVNNTCFLLLHSLLSASKPNPTQILLFHAFMTQYNSLPCFNPLPESI >OGLUM03G24470.1 pep chromosome:ALNU02000000:3:22329934:22330808:-1 gene:OGLUM03G24470 transcript:OGLUM03G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPPTTFTTDLLHRRSSPINTRKDLPSLTTPELLELSLSLSIHVRPSPPPVDLLLQPSTFLPLLYTDLPGCASFGNTAPAPFSPSSRFPSKRLRRRRREEEEEGKEKENKSLTGGPINTTKKPPVEILRLPWGRSWANGPDVGPLVVKPGRTKPKLQVLHLAPHALMRTAVGASLFPRPPQHAA >OGLUM03G24480.1 pep chromosome:ALNU02000000:3:22333241:22346690:-1 gene:OGLUM03G24480 transcript:OGLUM03G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q7] MGTESDQYPAHLSSSATVAGHGDDGGSSTRRREGAAAGGGGGHAASAELERILADESVPSAARLARAAPVELRLLVALAAPAVAVYMINYAMSMSTRIICGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILILLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWSVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYGIYGGMIVGLFVQTLILVYVTFRTDWNREVREAKKRLNKWGDIAKPLLANED >OGLUM03G24480.2 pep chromosome:ALNU02000000:3:22333241:22346690:-1 gene:OGLUM03G24480 transcript:OGLUM03G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q7] MGTESDQYPAHLSSSATSPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWSVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYGIYGGMIVGLFVQTLILVYVTFRTDWNREVREAKKRLNKWGDIAKPLLANED >OGLUM03G24480.3 pep chromosome:ALNU02000000:3:22334810:22346690:-1 gene:OGLUM03G24480 transcript:OGLUM03G24480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q7] MGTESDQYPAHLSSSATVAGHGDDGGSSTRRREGAAAGGGGGHAASAELERILADESVPSAARLARAAPVELRLLVALAAPAVAVYMINYAMSMSTRIICGQLGTLELAAASLGNVGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYMQRSTVLLTATAVPLAVIYVFSKEILILLGESPEIAGAARLYVVGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHVALSWFAVYKLGLGLLGASLILSLSWSVIVLAQFAYIVVSDRCRLTWAGFSSKAFSGLPEFLQLSAASAVMLCLETWYFQVTVLIAGLLKDPEIALDSLAVCMSISGWVFMVSVGFNAAARAAAFSVKVVTSLSLIVAAIIAAIVMCLREYLSYVFTQGEEVARAVSSMTPLLAVTIVLNGIQPVLSVGLPHSQY >OGLUM03G24480.4 pep chromosome:ALNU02000000:3:22333241:22334179:-1 gene:OGLUM03G24480 transcript:OGLUM03G24480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Q7] MATMTRRLRAMKAATSRAAAASRGIYGGMIVGLFVQTLILVYVTFRTDWNREVREAKKRLNKWGDIAKPLLANED >OGLUM03G24490.1 pep chromosome:ALNU02000000:3:22357459:22363881:-1 gene:OGLUM03G24490 transcript:OGLUM03G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9R1] MGSSVKDAGGGKEEQQQQLESPLLEAAVSSGGGDGGGGHGVSGELESILGDETVPWARRMWAATGVEMRLMLRLAAPAVLVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVIYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFMQAQSIMAPSAYISAATLAFHLVLSYLVVYQFGLGLLGASLMLSISWWVIVVAQFIYIVTSRRCRLTWTGFSMLAFSGLPDFFKLSLASAVMLCLETWYFQILVLIAGLLKDPEMALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVVVVTVLSFILSVVISLVILLCRDYISYIFTDGEDVAAAVSKLTPLLALTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILMWVTFRTNWNRELW >OGLUM03G24500.1 pep chromosome:ALNU02000000:3:22430776:22432285:-1 gene:OGLUM03G24500 transcript:OGLUM03G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRGMAATASAAEGYEREREARIQENMERMQKLGIRDLANRFNQSATGFAGGGSWSGSDRWRRKVPVTAGPASPSPARRSLRLKSLDPVNYCEIRTRKGKDVEGGSSVPIEVGSEEEVNAEDAAPVAKEDQGHSEAIQDEDADHHQVNDPADDDGDEDDRESVVTSSSQDCEVNLEDIIGCATSSKPAGPKKRKLIERKP >OGLUM03G24510.1 pep chromosome:ALNU02000000:3:22436311:22440815:-1 gene:OGLUM03G24510 transcript:OGLUM03G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSGGFRFGLPSGPHYVPSPRPVPPPRTRKRSGGGTPRVEATGDSAARRGGTPLLFSFHPHVVAQIYPVRGLMKSYQEICRKNRAVDCSSTMSWRFPLFGSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSGSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNDELRKETVQLARDNLEKEQRISEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSVR >OGLUM03G24510.2 pep chromosome:ALNU02000000:3:22436311:22440815:-1 gene:OGLUM03G24510 transcript:OGLUM03G24510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFSGGFRFGLPSGPHYVPSPRPVPPPRTRKRSGGGTPRVEATGDSAARRGGTPLLFSFHPHVRRGNRLTQVSAPIPVLPDCSSTMSWRFPLFGSSQQQQPDTNFQDNPTQPWYPPSVLGSSSHPSLPSSSSGSPHQRASDNPQSLRGQPSPAEAAGIIARLKDKSVDDLQRLLKDKEAYNAFFNSLDQVKTQNNDELRKETVQLARDNLEKEQRISEIRNQCTIIRTTELAAAQDRLADLERQRDEVMKSYSPAALLDKLQKLMAKLDEESEELHQKFLEKDIDLPTFVQKHKKLRTAYHKQALLHLAGKTSVR >OGLUM03G24520.1 pep chromosome:ALNU02000000:3:22445500:22452452:-1 gene:OGLUM03G24520 transcript:OGLUM03G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9R5] MAGGVSDGGAAHGASGRLESILSDSSMPLARRAWAATTIELGLLTRIAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAFGAHKYDMLGVYLQRSAVLLTITGVPLAVIYGFSEPILVFMGQSPEIARAAAIFVYGLIPQIFAYAINFPIQKYMQAQSIVLPSAYISAATLALHVLLSWVVVYKVGLGLLGASLVLSISWWVIVAAQFAYIVTSPTCRHTWTGFTWQAFAGLWDFLKLSAASAVMLCLESCMTISGWVFMISVGFNAAASVRVSNELGAGNPKAAYFSVWVVTISCAIISAILAVVILCLRNYISYLFTEGEVVSNAVADLCPLLAITLILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYIVGVPLGVLLGFVFKLGVKGIWGGMLGGTCMQTAILVWVTLRTDWNNEVEEAQKRLNKWEDKKKEPLLTGIRDNN >OGLUM03G24530.1 pep chromosome:ALNU02000000:3:22467163:22467636:-1 gene:OGLUM03G24530 transcript:OGLUM03G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKRQKSLMKKASELSTLYGVDACVVMYAEGEAQPMMKDQYENTTNLEGFLKQRIANLQEKVDKAKHENDELETKLLLLNSLDGCLPSLVGLTVKQITSLNSMVEERLKKLRGNGLLATPVPTSNQDVASATNIQD >OGLUM03G24540.1 pep chromosome:ALNU02000000:3:22471438:22472059:1 gene:OGLUM03G24540 transcript:OGLUM03G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMLDAIDDLHTNYKTQAINQKHAQQEKIAKLQKMVEQMEDMSQPKDSDTAKMLKYTEARFAQYRKDTNRKLVLRQKRIFELENEPWKLNNLHRMKYDYEEVKPIHVVKCPCTGVIISLMEGRFP >OGLUM03G24550.1 pep chromosome:ALNU02000000:3:22487796:22490507:-1 gene:OGLUM03G24550 transcript:OGLUM03G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVAGMQEEVAEAYNIAVITFRGLNAITNFDMSPTTPRASLTAPSSPSATVPSDGAKDAKV >OGLUM03G24560.1 pep chromosome:ALNU02000000:3:22494580:22498380:-1 gene:OGLUM03G24560 transcript:OGLUM03G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTFGWSASAFVAAVLARLIGKGLALLAELDDAAAGHLRRLEALLAPVWRVLDAADAGAIDVVGHRRPLQDLLDAAYATDDALDDLVLLQSDAMARGGEHGVDARGMPAAGAARKPKPRSPLRFLLCFSPPRNAVASSSSSSSGSHGKSSKGNKSNPDLRGLGVAFEMMAQAAYRCTSAYEHVVAGKNYATMVSAQAEAATAAEAADYDQFENDIFGRETEVEQILEKVRFSDDPHYRLGIGVLPIVGVEGVGKTALAQFIFHHEVVKAEFPVRMWVHVSGEVQLKDELVVQMIHGVAGDAHEVEDIREILHEELTGERFLLVLDDVSDVGDIQWKDLMRLLQPAARRSMIMVTTQSEIAANAIGTMPPLILNPLESEDYQKMFRHFAFGSSDESEDYTPLGDEWDDVEDEDEEEKQSPMEKVALELAKKMGGLPLPATAIARALFFRREDKEHWKDVLEDKLWEQRDVAGISPALWLSYQHLDPRLKQCFAYSAVFPCSHAFTKDELVQMWVAQGLIYSEDAVARPEDIGSKFFEDLVERCFFQPIGSSRYVVHNSMRKLAQAVSTDQFFMVTESSGDVPLEVRHLTIMTNNLSKLINDLALKISHSSGSDQHFLQRVRTIIFFADFSNSDEFIEFLAEIFKVAKDVRVLGVTYANIAFLPAEIGFLRHLRYLNLLGNRIADLPESVCDLHLLQVLDVRCSSPYLRVPNGITNLIYLRHLHASEPFLSIIPKIQNLSHLQELEVYKVSSISRIDALQGMSQLRGTLCLKNLHQVDVSELRKGILKGMQHLNILELSWSSSDSQSREASTDEDTLECLQPHENLKDLRITGYRSTKCPSWMLKTPCSLSNATSVFLTDCVNLKNLPPFHIMPCLEILEMRKIHSVNKVNTVPQRSDQIMFPKLKRLVFEDVLNCTEWSTGSSKSRNTVFPCLCEIQIRNCPKLRNFPDLPLTLTTMIIENVGLETLPRIQDRHSLPPSSSSDAIATSKEGRWTSRLTTLQIHQCHGLKSLGSSLLQQQHLLRSLQVLSIKNCDNITCDLSDGFQDLTALTDLSLYDCPKLLVDKFHTSLRKLEISECFITHGAWVDDYPFLFSVWTLKVTSCPNVSTDQESSFSIEPLDWLNCLFNVCSLHLENTLLLKLSMFNRLRSLEILEIDGSRSFFDDLVEEFEWLEKLQALSIRNCKELRMLPANISTLPVLEELCIENCPALEALPVSGLPTSLKRLSISKCSPRLTQRCLDDEPDNLNIAKIAVVYIDGQCISIQQK >OGLUM03G24570.1 pep chromosome:ALNU02000000:3:22525463:22526068:-1 gene:OGLUM03G24570 transcript:OGLUM03G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPRGLLELMTAVDAGLVAVDDDGSARIAGGRRRASYGRRHRRQSAPVVAVAVETPPTSSTDDAMSFEFSAAVSYSSASPASMVFSDGQLRAHQFPAVRSSSAASSHVASPVRSWSSSMGGSGGAKGGSSGGGSKKKRVSFNDGGAGRAAAATAANAGDQQRTRGGGLLGCMGSTCTCGSSRNEVVEPSNNANRKVVAAA >OGLUM03G24580.1 pep chromosome:ALNU02000000:3:22528284:22528691:-1 gene:OGLUM03G24580 transcript:OGLUM03G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSGGSKWWQRKDEGQRRRGGQPLAVSFLDLVGEKGVRDTGGRQRRWLAEAMMAGKGGCFSWSRSKMAGEFGREVQVAVSPGQEADFGWSWLTRWPELAGGASVVMRVGWWCCCLERSSLVTWFVRLESRKSW >OGLUM03G24590.1 pep chromosome:ALNU02000000:3:22535349:22537069:-1 gene:OGLUM03G24590 transcript:OGLUM03G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFCVQLDVRDRSPTSDQAHGLHPPKSRPIDGNVILSPDSFPRIPSARSPPTPRDCGLRSPSSRPYPASPEKTLSLPPPAPLPSPILRSGSAAADQATLVAVGSGALPPLHRHVKGASSSSLSDPLENPRILWTPSNKELPRHLHATRSLSCRCSPYHTSSPDWKIMNFACLIKFAFMDCREAYHPHCVGEEDRLRSSEDWFGGSTFGGGCGDPTANFFSDGGGVGQRGVAAE >OGLUM03G24600.1 pep chromosome:ALNU02000000:3:22541788:22543335:-1 gene:OGLUM03G24600 transcript:OGLUM03G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWCHRPISLTGASQAKPLRHQLRLAEVVPFFLFSLSFSHCHKHYRHHHSRPELSSCCRRFGHPLFSLCLPPTSPDVQRAGTRLTAAVEAVQPPKHRCPVPLPLCCCSGEETEERREEREEEEETYDKWAPLHSRSVALRIPRLNAYSGRSLTTPSISTARRTLAFGLCAIQAALLPLTAVGLYLPSFRIRTLDRTASFISIQGADVDPDGYAEAAGNLKAQGKT >OGLUM03G24600.2 pep chromosome:ALNU02000000:3:22541788:22543335:-1 gene:OGLUM03G24600 transcript:OGLUM03G24600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHWCHRPISLTGASQAKPLRHQLRLAEVVPFFLFSLSFSHCHKHYRHHHSRPELSSCCRRFGHPLFSLCLPPTSPDVQRAGTRLTAAVEAVQPPKHRCPVPLPLCCCSGEETEERREEREEEEETYDKWAPLHNRTASFISIQGADVDPDGYAEAAGNLKAQGKT >OGLUM03G24610.1 pep chromosome:ALNU02000000:3:22542937:22544860:1 gene:OGLUM03G24610 transcript:OGLUM03G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAATRAQLRPAMVVPEKWNHLGEAELVAQGFGLRSSGEGDRPVAPMAGHGRERESLSSSDGGKIDGREANRTLAPIYVDRYIDSGRLKRIFYGLWEYLGGDPKETSKGKYLMEDKTGFGGSMMVERELKQYLWMLEMFGNGSKGNII >OGLUM03G24620.1 pep chromosome:ALNU02000000:3:22549837:22550103:-1 gene:OGLUM03G24620 transcript:OGLUM03G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKDAPTWADQWGSSGGDGSFKKGGGGGGSSGNSEKKTVAGNVKAAASEGLVKAKAAALVGAHKVKSGTSSGIKWVKDQYQKRAGK >OGLUM03G24630.1 pep chromosome:ALNU02000000:3:22552760:22553044:-1 gene:OGLUM03G24630 transcript:OGLUM03G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAPRGGTATDGVGGAARWRRRISWAAAAPMVARWWRRPLEEEEAVRPGWAALSGPARLGRRPERREEGKEKKRGRGQMGVWPKLNRKF >OGLUM03G24640.1 pep chromosome:ALNU02000000:3:22555351:22555629:1 gene:OGLUM03G24640 transcript:OGLUM03G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNGGTRESSDQVALLRPSKRPTARTDQYPTRAVTSTQLAVGIVWVKLDSLCRDELNHSNVPYSRFWSCVIRVIPTPEV >OGLUM03G24650.1 pep chromosome:ALNU02000000:3:22567274:22574235:-1 gene:OGLUM03G24650 transcript:OGLUM03G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9S9] MGDDVLGRGSRRDQEIVLVDIVDDDDHDDVPAVRRQDSLYVDATRAGGANHRGGQEESWARTLKLAFQCVGILYGDIGTSPLFVYSSTFKDGVRHPDDLLGALSLIIYSFALFTIVKYVFIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELISKYNTGKPQATQRRARWMKELLETNRAVKIWLFLLTILATAMVISDAVLTPAISVLSAVGGLKEKAPNLTTDEIVWITVATLVVLFAIQRFGTDKIGYLFAPIILLWLLLIGCVGIYNTIKFDTGVLRAFNLKYIIDYFRRNKKDGWISLSGILLCFTGTEALFSDLGYFSIRSIQLSFSFGLVPSVLLAYIGQAAYLREHPEHIANTFYRSTPNVMFWPTFILAVAASIIGSQAMISCAFATISHLQTLNCFPRVKILHTSRQYSGQLYIPEVNFFLCVGACLVTIGFKTTVIIGEAHAICVVFVMIITTLLLTIVMLLVWKVSIWYVALFFIVFMSSESIYLSAVLYQFVHGEYVPVAMSVFLMIVMTVWHYVHVKRYEFELEHTVPRDKVKELLERRDIQRVPGVGLFYTDLVQGIPPVFPHLIEKIPSIHSVLIFVSIKHLPIPSVDRSERFIFRHVDKEEYKVFQCVARYGYRDPMEEAKDFVDALTENLQYYIRDVNFYTTGGDQHIFRSTSYASSIAESFASYEKHSGHAVYAEEMLTPAESFSEHTKQLSGRSKHFKQFQVENMNMQKMEKVQQEQQAILREMENGVVYILGESDIVASPHSSLLNKIIVNYIYSFLRKNCRNGEKMLSIPRSQVLKVGIAYEI >OGLUM03G24660.1 pep chromosome:ALNU02000000:3:22591211:22595592:-1 gene:OGLUM03G24660 transcript:OGLUM03G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9T0] MAQQQAGARGSKLEIVAARGGSGGSSSAGDAEAPPLDVLRQDSLYRDATRPAHGHHGQESWMRTLRLGFQCVGILHADLGTSPLYVYQNTFKYGIKHEDDIIGVLSLIIYSFVLFTMVKIVFIALHANDDGDGGTFALYSLISRYAKVCLIPNQQAEDELVTRYNDHGKPPATLRRAQWMKSQLEKKPAKIAVFFLTIFATALAISDCVLNPSVSVLSAVNGLKLRAPHLTTDEVVWITVGILVVFFAVQRFGTDKIGYTFAPVVVVWLLLISGIGIYDLVKYDVGVLRAFNPKYIIDYFRRNKKDGWVQLGEVLLTFTGTEALFADLGYFSIKSIQLSSTFVLLPSVLCTYIGQAAYLRKHMDQQHIQNAFFNSIPRPLFWPMFVLAIMTSVIGCQAMVSCAFATMSHLQTLNCFPRIKILHTSRRYSGQLYSPEVNFFLCLLSCVITLSFRTTGFIVKAHEICVVLVMVITTILMTIVMLLVWKVNIWWIVLFFVVFMSTETVYLSAVLYKFTKGPYMPLAMSAVLMVIMFVWHYVHVKRYKFELEHTVSPNKVRELLERRDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFISMKHLPIPHVDVSERFLFRQVEPKECMVFRCVARYGYRDTLEMADDFVTTLVEYLQYYIRDLNLYNTVEPLKMSCPSIRIDSFSWDRRPSGHGIYAEEMLTPIQSFSELTMHPVGMSSRLAQFQTTKMSLEEMLKIEEDQKLIQREVDNGVVYILGESEVVAKPHSNLLKKVVVNYIFNFLRKNSRKGEKMLSIPRRKLLKVGITYEI >OGLUM03G24670.1 pep chromosome:ALNU02000000:3:22603029:22603387:1 gene:OGLUM03G24670 transcript:OGLUM03G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTAGYGQDGAQVARHRPREVPRSSNDPPPVTAAQDGAKACGPALHAMKGEPPAWHVHGDLHEQRALELGLAWLGPY >OGLUM03G24680.1 pep chromosome:ALNU02000000:3:22603604:22606193:1 gene:OGLUM03G24680 transcript:OGLUM03G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQSVAGCGHAASGVARTAAVEPRRADDRDGGAKSGGRQRVDGHHGRTSKNKTQGRMQMERRPPPPLADHCRLPHRPAGAADAVVTANHVSYCRRSLSSPPVEEFTIESAHYRRGGDTAKRNDAVLSALHDEEEEIVGKELTVEGEVEVEGEGAGPLQARQAEEWAGDRDSERERGGDIAGWREEEREGIRMMTGCER >OGLUM03G24690.1 pep chromosome:ALNU02000000:3:22657972:22658355:1 gene:OGLUM03G24690 transcript:OGLUM03G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPLSKFDARNGVPTPGQAEQETMELVRMLTAWADSVSASNHEAANYYLARLCEMASLAGPMPIHRVAAYFIEVLALHIVRMWPHMFNISPPRELTNDAFSGDDDAMALRILNAITPILLLGKHS >OGLUM03G24700.1 pep chromosome:ALNU02000000:3:22662224:22663348:-1 gene:OGLUM03G24700 transcript:OGLUM03G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVGASRKPTMLTTREYLVLFIQYAEIRVPMFEFFIFPFY >OGLUM03G24700.2 pep chromosome:ALNU02000000:3:22659993:22663348:-1 gene:OGLUM03G24700 transcript:OGLUM03G24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSLYTISLYDYVESFILH >OGLUM03G24710.1 pep chromosome:ALNU02000000:3:22673567:22677877:-1 gene:OGLUM03G24710 transcript:OGLUM03G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDVESGGLPVERQDSLFREAVRAEHAGAAHWDEQDSWGRTMSLAFQCVGILYGDIGTSPLYVYSSTFEHGIGHPDDVVGVLSLIVYSFMLFTVIKIVFVALHANDHGDGGTFALYSLISRHAKVSLIPNHQAEDELISGYSSSGKPSATLRRAHWLKQLLEASKAAKISLFLLTILAIAMVISDAVLTPPISVLSAVGGLREKVPHLTTDQIVWITVAILVVLFAIQRYGTDKVGYSFAPIILLWLLLIGATGLYNLIKHDISVLRAFNPKYIIDYFRRNKKEGWVSLGSILLCFTGSEALFANLGYFSIRSIQLSFSFALLPSVLLTYIGQAAFLSKNPKNVANTFFAATPIMLLVWKINILWVALFFITFTSTEAVYLSSVLYKFTHGPYVPVAMSVVLMVVMIVWHYVHVKRYKYELEHTVSTDKVKEMLESHDLKRVRGVALFYTELVQGIPPIFPHLIEKIPTIHSVLVFISIKHLPVPHVDTSERFLFRQVELKDYKVFRCVARYGYRDSLEEAKDFVVTLLENLQDYIRDVNLYTNEPHTISAHSSCNHSFSREKPSGRYAVHAEDMLTAIESFSEITALSNYGSDRLPHFKASKMNMEELAKIEQEQMFIEKEMEKGVVYILGETEVVARPHSSLLKKIVVNYVYSFLRKNFVQGQKMLFIPHRQLLKVGISYEI >OGLUM03G24720.1 pep chromosome:ALNU02000000:3:22678440:22680617:1 gene:OGLUM03G24720 transcript:OGLUM03G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDEQGAIMSSMESSCLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFLAKTAKIVRGIIDAVAKIIGTSELQISLCKEMVEWTRAEKRTFLRQRVEARIAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVTGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETVSNVGKVVDSLYMRSAKIMA >OGLUM03G24720.2 pep chromosome:ALNU02000000:3:22679332:22680619:1 gene:OGLUM03G24720 transcript:OGLUM03G24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSCLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFLAKTAKIVRGIIDAVAKIIGTSELQISLCKEMVEWTRAEKRTFLRQRVEARIAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDMQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVTGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYARVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETVSNVGKVVDSLYMRSAKIMA >OGLUM03G24730.1 pep chromosome:ALNU02000000:3:22681577:22683010:1 gene:OGLUM03G24730 transcript:OGLUM03G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFAGSIKPGRYGGEWCGGGGGCWSGLGFYMLLLLCLVLCAMFANEGVRLWIPGTLLAASLLGCSASTSSQVVSTQTER >OGLUM03G24730.2 pep chromosome:ALNU02000000:3:22681577:22681871:1 gene:OGLUM03G24730 transcript:OGLUM03G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFAGSIKPGRYGGEWCGGGGGCWSGLGFYMLLLLCLVLCAMFANEGVRLWIPGTLLAASLLGCSASTSSQVVRS >OGLUM03G24740.1 pep chromosome:ALNU02000000:3:22697634:22699659:-1 gene:OGLUM03G24740 transcript:OGLUM03G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9U1] MGGRILAGWRRGSARRRLPYNHLTLLQNPLCHRSSSSHLPPPPPPPPPLSSSLRRAGEEEKMVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKYAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTAEELATATQVQGDYMPIARGEKRSVEVVKVTDEMKAFKAYAKLRVERMNQRHVGARQKRAAEAEKEEKK >OGLUM03G24750.1 pep chromosome:ALNU02000000:3:22702757:22706722:1 gene:OGLUM03G24750 transcript:OGLUM03G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCVGIKYSSVNEGEKRKGGHGVPKVSIIPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSVPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSIPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGNVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAVPVVREFWTDGYFSDVARILGGFWLHSWLQAAAALSNMGNFVTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGIMFSAFGVVLLSWMSFQEIIAAENYLYCFGMILEFIAFIKLRVVHPNASRPYKIPLGTIGAVLMIIPPTILIVVVMMLASFKVMVVSIMAMLVGFVLQPALVYVEKRRWLKFSISAELPDLPYSNVEEDSTIPLVC >OGLUM03G24760.1 pep chromosome:ALNU02000000:3:22707342:22709352:-1 gene:OGLUM03G24760 transcript:OGLUM03G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPTTPLPDLVTIHAPKEEEELRPPVLIAEA >OGLUM03G24770.1 pep chromosome:ALNU02000000:3:22711824:22715419:-1 gene:OGLUM03G24770 transcript:OGLUM03G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLAPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLLEYNKKQLALRANATAINDKKEETHRKVIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQFTATKALEKEVKARKAKISDESVEIMALDTKTIEWDGKVHEMEERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERIVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDEVSKETLESLGRQAVEPLDTSATLSIEKENSCGPAP >OGLUM03G24770.2 pep chromosome:ALNU02000000:3:22711824:22715419:-1 gene:OGLUM03G24770 transcript:OGLUM03G24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFSFPPLAPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYREGIRVLRLHKRANAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDEKLALLQPIIHEFPNLDERCMELNAKIAEHQKAIADQELAAQMEVPMVQQLEAEVNSLKQKLLEYNKKQLALRANATAINDKKEETHRKVIAKSDFELVKLAQENSKLLSKIVQSPEKLQRALEEKKTARAELKNAEKIAMQSVQEKTATLEIYSKGYEKLSKHSSKIQALQEQERVKAKEKERDQIVADENQKLAALRSEVEWKLKCLEPRERIVEETIAKATKLCAEVDSTRTDAAEELRLIYAKFQQIGHAFTCYKDNFKTFLEQVDEVSKETLESLGRQAVEPLDTSATLSIEKENSCGPAP >OGLUM03G24780.1 pep chromosome:ALNU02000000:3:22718102:22722012:1 gene:OGLUM03G24780 transcript:OGLUM03G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >OGLUM03G24780.2 pep chromosome:ALNU02000000:3:22718576:22722012:1 gene:OGLUM03G24780 transcript:OGLUM03G24780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLCLSVRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLAEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >OGLUM03G24790.1 pep chromosome:ALNU02000000:3:22723947:22724725:-1 gene:OGLUM03G24790 transcript:OGLUM03G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVCVVRRIQPNGSSDAYIPHASATSEWQGGFAWFVESSQMVPPMRTEDGSSQLLPGHATFSDSVPQVNMPMFPTGMNDQWYRASTYNTGMYSAIQMMGYAGNTQSYGEPCSYGGGSSIAQHEIGPPQIDEPPPIT >OGLUM03G24800.1 pep chromosome:ALNU02000000:3:22727970:22732568:-1 gene:OGLUM03G24800 transcript:OGLUM03G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9U9] MSFNKSRGGAAVLGGGDELVLRGTISKKWTLLLCLASFCIGLIFTNRALDKTISNLEMELASAKASQEESELNGAPLSESTGKRRYFMVIGINTAFSSRKRRDSLRATWMPQGEKRRKLEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKSFFVTALSMWDAEYYIKVDDDVHVNIATLGNILAKHRSKPRAYIGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTQPDCEWKAQAGNVCAASFDWSCSGICKSADRMKEVHQRCGENDSAIWSAKF >OGLUM03G24810.1 pep chromosome:ALNU02000000:3:22797552:22797782:-1 gene:OGLUM03G24810 transcript:OGLUM03G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSADHSSSLQRCPWRSQKVSAATPCPSSRTPGMAPTTSASPASPLTSRRCCNILKIRPIKQNPKNMDFRKLFK >OGLUM03G24820.1 pep chromosome:ALNU02000000:3:22820758:22821705:-1 gene:OGLUM03G24820 transcript:OGLUM03G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDYWARAYRGDSGVPHSDPQRLVTTWTGAFALGAAACVHHHASALASNIKSLPHYAMDLGNLSLLDETLWQHICNYLVDFNQKEF >OGLUM03G24830.1 pep chromosome:ALNU02000000:3:22826896:22830772:1 gene:OGLUM03G24830 transcript:OGLUM03G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASDLDNNLISCTPMMNWGCHSKEGLSDWCYRQERSSLSMIVGVAMALAAVIDKEEKLCRNQGDLDSDD >OGLUM03G24840.1 pep chromosome:ALNU02000000:3:22831019:22835508:1 gene:OGLUM03G24840 transcript:OGLUM03G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRGAASSSSSMAFPAPSFYPSSNSASLKCTVVAALDGGAQRRFQHSLEVGDLAAASEPLAQGFGSGGCCWRSQRFEVAAAPPTKIDWQDEVVVVLPQTRPRSPLNLQGIGLLHDNSCLMLILGEATHYAQLMDLQEWSCQHLIDKQIQGT >OGLUM03G24840.2 pep chromosome:ALNU02000000:3:22831710:22835508:1 gene:OGLUM03G24840 transcript:OGLUM03G24840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIDWQDEVVVVLPQTRPRSPLNLQGIGLLHDNSCLMLILGEATHYAQLMDLQEWSCQHLIDKQIQGT >OGLUM03G24850.1 pep chromosome:ALNU02000000:3:22838786:22844439:1 gene:OGLUM03G24850 transcript:OGLUM03G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPGLESLDPTTGRHGTMQACMQQLSQPPLLYHLHHGCTAGTQFNSVVASIAAHPLSCITILLKYPVKVVFESSKGGPLKWRLRKSQVPLKRRSFGSKTPRSPCRAKELHIRAEPGEGQPPPSPSPLVKPIVVHCRKLSPSPSPRGAPLLPPPLDPCEARWGTAAVAKSEWAMAPPSPGVARCRATSRYPLSSRRRRFGMREGRNEK >OGLUM03G24860.1 pep chromosome:ALNU02000000:3:22844690:22849373:1 gene:OGLUM03G24860 transcript:OGLUM03G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKEPYMTRPADGAIEAGEEEVRWHGNAGEGATRWWWQRRGRRGNVAAVAVAVTGRGGGGGAACWIVRMRLRRHRRL >OGLUM03G24870.1 pep chromosome:ALNU02000000:3:22859879:22860497:-1 gene:OGLUM03G24870 transcript:OGLUM03G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHAEVATAHVPNGVLLGARCGVGTRIVIATTSILMIHGSRSFPSHDMIKINRDKKLENVVGSGGVHEHGLGFLLAGGILVRVDTTRGGHAAAVGPMEDEEGIGEHVRKGIVERVDGPGSRAKEPRILVPSLGTEQIKSLDRYNVHVSTICGRVAKVMLCIHATPIFFPNRWSVSEHEPL >OGLUM03G24880.1 pep chromosome:ALNU02000000:3:22861488:22864439:1 gene:OGLUM03G24880 transcript:OGLUM03G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMIKPAPAPASSEEEKEVVATAATGERRHEEATAGREEQEEEEEEEEAPVVLKKGPWTTAEDAVLVQHVRQHGEGNWNAVQRMTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMASHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPDVQLHLAFAKRCRYDDFSSPLSSPQQSAGSNVLSMDAADAAGAASSGYTSARPPPLDLAGQLAMGSRPVQLLAATPFSAPSSPWGKPFARNAQFFQFPHSSPVSPTTPTGPVQVHPVTPELSLGYGLHAGDRARLPPVSPSPGARAELPSSQLRPSMAPTTAAAAATGGLVGGALQDHPNAASLEAMLQELHDAIKIEPSAPPENRGTEEEGGGGGGNLRGVSGDGKPEVELKDDIETLFDLIIPATFPAAAPEPAAAATAASAAPNHSSSVSQHSSDDQDHSNGADVVLDLPILTGGGGGSSEQDDWSLDGAACQWDNISGGICRHEFR >OGLUM03G24890.1 pep chromosome:ALNU02000000:3:22871576:22874433:-1 gene:OGLUM03G24890 transcript:OGLUM03G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTHLSPTLKPPLPPPLSLHPHLPLLRRHHHHRHPISVSAATPSDLLTSVESVASAASVLAAIVLVHESGHFLAATSRGIHVSQFSVGFGPALARFRLGPVEYALRAIPLGGYVGFPDDDPDSGFPPDDPDLLRNRPVPDRLLVVSAGVAANLLFAFLIVYAQALTVGVPVQAQLPGVLVPEVIPGSAAARAGLLPGDVILSVPGLAPDPSVPVLVDLIKASPNKDVSVTVSRTGPGPGDRRSIDLTVVPDTSVDGTGRIGVQLSPYFRVTRVHPNNLAEATVLALREFTALSATVLDGLRQTFLNFSQTAEKVSGPVAIIAVGAEVARSSAEGLFQFAAVINLNLAAINLLPLPALDGGTLALILLEAARGGQKIPREIEQRIMSSDCSALVPDTV >OGLUM03G24900.1 pep chromosome:ALNU02000000:3:22875523:22875976:-1 gene:OGLUM03G24900 transcript:OGLUM03G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPPPPHVPVTRWRPSALSRSSYESTGPPRLMRLPRRVRPRVRRHARRRRPRARRARALGVMELRGWGGEVEMASLLFGRKVYYMGKAKSRRDHGVVPLLESVRVRLSSTKLIAPYVSVAGLPVLIDRGECI >OGLUM03G24910.1 pep chromosome:ALNU02000000:3:22881920:22890398:1 gene:OGLUM03G24910 transcript:OGLUM03G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISSGIIKWTASKLSSLVSASSGASASNEQSSALRDVRTLQRTMARIQRTLATTDEHSIRDASERLRLRELQQFAFAIDEYKFELLQRRMDDPNNHGDGSSSRKRKHKGDKKEPVTEPEEVSIPDELAVRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVGDVSVLPIVGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMSKIIMSFTKKPCQMTEMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSTAQSSIIFVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKVVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDTVLPALKLSYDQMPIHLKRSFVFFALFPKGHVFLKENVIYLWMSLGFVKRTSQRNLETIAMCFDDLMQRTMVQKILFDGGHDCFTMHDLVHDLAMSISDEDILRIDTQHMKSLNEESGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINLTIDNELWSSFRHLRTLDLSRSSMTALPDSIRELKLLRYQSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVNLQHLNLDLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGFGRVTKVDDAQTANLINKEHVQILRLDWSDGFYSSECDHNSSHIDVKATPKLAEEVFGSLKPTSNLEELEVAEYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSINRFPVLEELEFESMPK >OGLUM03G24910.2 pep chromosome:ALNU02000000:3:22886302:22890398:1 gene:OGLUM03G24910 transcript:OGLUM03G24910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPNNHGDGSSSRKRKHKGDKKEPVTEPEEVSIPDELAVRVRKILERFKEITKAWDDLRLDDTDTTMQDEEHSMLPLPTTPYVDEPTIFGRDEDKEKIIKMLLSVGGANEGDVSVGDVSVLPIVGMGGVGKTALVQLVYNDRRILNRFDLMGWVHVSENFDLKSIMSKIIMSFTKKPCQMTEMDQLQYMLIEQVVGRKFLLVLDDVWNERKDIWDALLSAMSTAQSSIIFVTTRNTSVSTIVQTMHPYNVSCLPFEESWQLFKQMAFLHQDESMKTDFEVIGRKVVQKCAGLPLAVKAIASALRFEENEEKWNDILESEQWELPTTEDTVLPALKLSYDQMPIHLKRSFVFFALFPKGHVFLKENVIYLWMSLGFVKRTSQRNLETIAMCFDDLMQRTMVQKILFDGGHDCFTMHDLVHDLAMSISDEDILRIDTQHMKSLNEESGSLRYLSLVVSSSDHANLDLRTLPVSGGIRIFQVVNSMDDNRRYFSSFFKNNRRCFSKLFSHHINLTIDNELWSSFRHLRTLDLSRSSMTALPDSIRELKLLRYQSIFQTRISKLPESICDLLNLKILDARTNFLEELPQGIQKLVNLQHLNLDLWSPLCMPKGIGNLTKLQTLTRYSVGSGNWHCNIAELHYLVNIHGELTITGFGRVTKVDDAQTANLINKEHVQILRLDWSDGFYSSECDHNSSHIDVKATPKLAEEVFGSLKPTSNLEELEVAEYFGYKYPSWFGGSAYSQLAKITLWKQGCKFLPTLGQLPQLRKLVVIRMEEVERIGQEFHGENSINRFPVLEELEFESMPK >OGLUM03G24920.1 pep chromosome:ALNU02000000:3:22891561:22893592:-1 gene:OGLUM03G24920 transcript:OGLUM03G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9W3] MVSLKLQKRLAASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIKKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRERKIARREERLAQGPREPAAPAAPAQTAAAPKKSKK >OGLUM03G24930.1 pep chromosome:ALNU02000000:3:22896808:22897158:1 gene:OGLUM03G24930 transcript:OGLUM03G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGSTVALAVALLVSLLMLLVSLPVSDTYEQETRRMFVEWKAKYMKTNRYTGEEECRYAVFKESCRCVARDRAAGPTTSGLNGLSALAREEIYRGQKGQKLFKQETRRMFVGWKA >OGLUM03G24940.1 pep chromosome:ALNU02000000:3:22907116:22907541:1 gene:OGLUM03G24940 transcript:OGLUM03G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSLTSTSVGVTFALVDDNPGVDEMRRVKPGGGELGEYRRVDADPGVVEGKRGMVAAVVALVDGRWVDTVGDPWVVEGKRGRVDPFVALVVVLAEPGVLEFRHVDADPSVLEWKRPSLADGPDGAGLHENRPNASRS >OGLUM03G24950.1 pep chromosome:ALNU02000000:3:22907676:22915301:1 gene:OGLUM03G24950 transcript:OGLUM03G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEQTKRRSGVKDEGTGIYKYQYLRTGYKPAQINCLSIPTGRSGPNSLINLTNGEVQECCYPEMEDREVSARCQTAVPVPVPDPGSEPIHGRLIRYQV >OGLUM03G24960.1 pep chromosome:ALNU02000000:3:22915672:22920329:1 gene:OGLUM03G24960 transcript:OGLUM03G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSTVALAAATAALLVSLPMLLVSLLMLDTYEQETRRMFVEWKARYRKTYRYVGEEECRYAVFKESHRRVARARAAGPTSSGLNGLSAFASEEIYHGHGVQMGQELFEQETRRMFVGWKAKYGKTYRDVGEENCRYRLFMGNRRVVIRLNTAFGQNVYDLNQFGDLTNGEVQERCYPEMEDPELSARCQAAVPVPDPGSEPIHGRLIRYQTLNTEFSSQKWDSFGDTSIFF >OGLUM03G24970.1 pep chromosome:ALNU02000000:3:22925202:22925495:-1 gene:OGLUM03G24970 transcript:OGLUM03G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLAPDSPRPVATNDRIIVLLADAVLRVTVAGGMEEDTGFSCFRYIQACDDDGHGRCWVVERGEGSSSHATCRCCEEQQQDGLTLLAPAASRSLNH >OGLUM03G24980.1 pep chromosome:ALNU02000000:3:22931117:22941354:1 gene:OGLUM03G24980 transcript:OGLUM03G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDERRGWRPKPSGNPLVHGGGQPPVELGTKEPTAGKPSDGPARLCCLPSFIEGAVSKRARQAAVTRELNLKMHGALRQAGDELFALASFGWPIDRVHAVTGKEKSRVDRRAVPAGHRTMADKPVPQRRATSEFEIDLNTLSNSPASAAAAICKAIDAPSFGAAMAAAQLPAICHRSSGSTIASFSNACSRFPLHHKNLVLCCRGTLPRAATAEHELAAEEREAVAPPRDLATEAAVRDATTTAAREGGEEEKEEAAVEEEKEAAAVDEASPCRWQQRKSQGQDGNSERAVEKRWLDY >OGLUM03G24990.1 pep chromosome:ALNU02000000:3:22932435:22933490:-1 gene:OGLUM03G24990 transcript:OGLUM03G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKQLAALAGVVALALALLEPAAAAGLKFSSNDLKSEKLLWRLYERWGKRYNVARKPAEKLRRFATFNETAHRVASRVQVAGQAPGLNIFADVTNEEFSRNFLCHMTTEQRNLTARPPRRGGNGTLPLPVSVNWRNKTCEGQPCLGPVKFMGSCGSCWAFAATGALESHRAIAGANYDDPVLLSEQELVDCDPRSKGCKGGLAVNAFQYVMKNGLATSTSYPYTGVGNGTCHANTTTRASLIMRGFERLPPHDKFQLLSAVTYGPVAVSIAVTTQDDITDFMDYDGGMYRGKCGTTCNHAMLLVGYGADYYILKNSYGRYWGDEGYLFLPRDRNCGMLNRGGSYPLMGQA >OGLUM03G25000.1 pep chromosome:ALNU02000000:3:22941477:22942524:1 gene:OGLUM03G25000 transcript:OGLUM03G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQRTLDSMDEHNIRDEAERLRLWELQQFAYDAQDAVDEYRYELLRRRMEDQNNQRQSSRSRKRKRKGDKKMRCSRH >OGLUM03G25010.1 pep chromosome:ALNU02000000:3:22943721:22946753:1 gene:OGLUM03G25010 transcript:OGLUM03G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSIVGMGGLGKTTLAQMVYNDERVSRYFQLKGWVDVSEDHFDVKAVARKIVMSFTRNPCDIEDMGNLQNMITTQVQDMKFFLVLDNVWNVQKEIWDVLLSLLVGAQLGMILVTTRDETISKMIGTIPSYDLSFLTSEESWQLFKQMAFGFIDQHMDQQFERFGRKIVGKCGGLPLAIKAIGSSLRGETNEETWKDVSESDQWGLPAEEDRVLPALKLSYDRMPVQLKRCFVFLSLLPKGYYFWKEDMINLWMCLGLLKQYCTGRHENIGRMYFDDLIQRAMIQRAESDEKLECFVTHDLIHDLAHYVSGGDFLRINTQYLHETIGKFRYLSLVVSSSDHTDLALNSVTIPGGIRILKVVNARDNRRCSKSISDLYNLRVLDARTDSLRELPQGIKKLVNLRHLNLDLWSPLCMPCGIGGLTRLQTLPRFSIGSGGWHSNVAELHHMVNIHGELCITGLRRVINVDDAQTANLVSKNQLQILRLDWSDGVCPNNCSHPSSQNDVATPDPEHEEEIFESLRPHKNIEELEVVNYSGYKYPSWFGASTFMQLAKIILCQQSCKFLPPLEELPSLCILSMECMTGVEHVRQEFRGNITTKAFPALEELEFQEILKWVEWSQVGQDDFPSLRLLKIKDSHGLRYLPQELSSSLTKLVIKDCSKLASLPAIPNLTTLVLKSKINEQILNDLHFPRLRSLKVLLSRSIEHLLLDNQNHPLLKVLVISVCPRFHSIMGLSSLGSLKFLKINRCPYLQLPSDKPLSTQLRLLTITKCPLLADWLEVQISHQQCQLHESKDAWYEEQQALDELNDASEDEQREEFGLLYEDENGEDNDEQDHEQSEDEEIQYGSDDSSEEDE >OGLUM03G25020.1 pep chromosome:ALNU02000000:3:22948550:22953932:-1 gene:OGLUM03G25020 transcript:OGLUM03G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGNTIAAASSAVAIVVVLVAAASSLAAAEAAVPAVYVLGDSLADVGNNNHLLTLLKADFPHNGIDYPGGKATGRFSNGKNFPDFLAENLGLATSPPYLTISSSSSANYVNGVNFASGGAGVFNSTNKDQCISFDKQIEYYSKVQASLVQSLGEAQAASHLAKSLFAITIGSNDIIGYVRSSAAAKATNPLEQFVDALIQSLTGQLQRLYDLGARRVLFLGTGPVGCCPSLRELSADRGCSGEANDASARYNAAAASLLRGMGERRAGLRYAVFDSSAALLRYIERPAAYGFAEARAACCGLGDMNAKIGCTPVSFYCANRTGYVFWDFYHPTEATARMLTAVAFDGSPPLVFPVNIRQLADM >OGLUM03G25030.1 pep chromosome:ALNU02000000:3:22978496:22986548:-1 gene:OGLUM03G25030 transcript:OGLUM03G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSSSFSSFSSSTSSSSWCTLKQSRGICVALLALACCLTCVRGAVEALYVLGDSQADVGNNNYLPASLLKANYPHRGGGGGGGGEPTGRFSDGYNFTRCERTNERASERATMGSCTLSSGGVCVVVVLLSLACCLTCARGAVPAIYVLGDSQADVGNNNYLLHSLLKANFPHNGIDYPGGKPTGRFSNGYNFVDLIAISLGVPSPPPYLSISSKPMNSSVYLKGVNFASGGAGVSNLTNLAQCISFDEQIEGDYHRVHEALRKQLGIPGAKAHLAKSLFVVAIGGNDIINDLLLSPVSELLRSRDEIVSNLENTLKRQMQTLYDLGMRRLFFVGIAPLGCCPLIRELNPTKECDAQANYMATRLNDAAVVLLRNMSETHPDFTYSFFDTSNAEVKAACCGLGDNNAMFLCSPASVYCDNRTSYMFWDVVHPTQATVEKLMKIAFDGSAPLVSPKNIKQLTES >OGLUM03G25040.1 pep chromosome:ALNU02000000:3:22987151:22987365:-1 gene:OGLUM03G25040 transcript:OGLUM03G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQQQDKGATVTGYIADMLRRGDMMAKVLTKAQEAVMSVIGKNRVSDTVKAVDRAWRSLIANM >OGLUM03G25050.1 pep chromosome:ALNU02000000:3:22987407:22988112:-1 gene:OGLUM03G25050 transcript:OGLUM03G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEVTGRNSVDRASRSSNNSSSEEDERDVAAQRMVYDMARTLVVQEVEPWRVTAWRVKVDLGGPVLEVSAAPHSTTRVLLEGEDIGTTPVVDSFEWKDAAMDTTPKGGAAASGVTYIDKIKLVATCTTEYNKKLATTMYEKVAGVGTVVAGKVQQVTQSAGTMISGIGGASQS >OGLUM03G25060.1 pep chromosome:ALNU02000000:3:22992014:22995631:1 gene:OGLUM03G25060 transcript:OGLUM03G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSRRWASASVTDLSSAGRSSLPAAALSPVRPSARRSPAVSRPELPTSSFARTIWPSSSSSNSGNTSTRKASPSPSSPAPVASTSSSSSSVATTLADHLAEDSLDAPPAALSRQRSCTELPRFADADAEARKVVVARSGGHANAIGRSMRFLPSTRPAGVTLTPGRVAPSDLRRLDAGADVASSGSECSDASRGGGGSTPRTTTKLPKPLHSPLIARTNSTRLLGSSNTQWALSPGRRSGSPLKTTLATVPELKGKTKSLIGLGWGHLFSRRKAAAGAQATVTLLSSPASRRSGGGGNREIGHQMKMMHCRLLQWRFANAKAEAVSKNKLSIFEVEFMGAWARISELQGKVARRRVQLEKEKLKIKLNSVLSSQMRGLESWGQLESKHAVALDSTVVCTQAAICKLPLTNGAKAHDTTLLITELVAVAREEHALLQECLELLGRVSALQTIVALEINELVNDIIVSCRRGRGRSALALPPPPNLTCRRRR >OGLUM03G25070.1 pep chromosome:ALNU02000000:3:22996822:22997184:-1 gene:OGLUM03G25070 transcript:OGLUM03G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWADREKLDSGGHRLMRLPTTGSAHVGFRLVTLAKLLSPKLRATPAELLKSRCVQVSLSSASPPLRSTRGSTTSSSRSTPSTPHCGRIVFDTYALLPTS >OGLUM03G25080.1 pep chromosome:ALNU02000000:3:22997369:23002449:1 gene:OGLUM03G25080 transcript:OGLUM03G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 3, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G06790) UniProtKB/Swiss-Prot;Acc:Q84JZ6] MAAGAAAATRRSLSALLLSSRALQRRFAPLAAAASSAYLAPWAPPSRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEDMVAAYVKTLAAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGQVIHRPQFRFTERQQVRSRPRPRYDRRRVTMQTEQKEAMQKGPSNLQQ >OGLUM03G25090.1 pep chromosome:ALNU02000000:3:23004720:23009527:1 gene:OGLUM03G25090 transcript:OGLUM03G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGAIPFRATNIAAAAGTPTSASTAGRPQQGDRENAVSAEIERVNKLPANSSYAIHRLKVLNKLRHLLSIKRTTSQDEELELLFASLSI >OGLUM03G25100.1 pep chromosome:ALNU02000000:3:23014849:23019811:1 gene:OGLUM03G25100 transcript:OGLUM03G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVAAAAAAAASSSSSPLARLVSRRGLAGAADHHGPPKVNIWQEPMNPANWKEEQFVLASLAMWGGIFYGVGRLFSGKKEDKTTEAAPAQA >OGLUM03G25110.1 pep chromosome:ALNU02000000:3:23022872:23026910:1 gene:OGLUM03G25110 transcript:OGLUM03G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQKHTDKAKAKLSMLKSKVICCKLYISESQNAKVVDAITRIGQKDPEVVLLSKFEDDHYNRVRYTLASYIINENSTGEVKFSPMRRVLLEMIEKAFSTINLETHTGTHPRIGVIDDMSFHPLNQATMEDAAQLAKTVASDIGNFLQVPVFLYGAAHPTGKPVTAVRRELGYFQPNYMGIQWMGQVLPDILPVKPDEGPDHVSRERGAIMIGAAPLPLSYNVPVLSKDIPTIRRITRRVTGRGGGLPTVQALALSHGDDCTEIACFLDPDHVSADQVQQQVEQIAAEQGLEVEKGYFTDFSKDAMLEKYFKIVLSVD >OGLUM03G25120.1 pep chromosome:ALNU02000000:3:23023338:23025390:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MSSMTPILGRKRVTDTVVVVIFELAEQNNLRVFLANATDPAVPLRSGGAMPSYRGCDAQLLYTSLAINSHTVTCHGCILLD >OGLUM03G25120.2 pep chromosome:ALNU02000000:3:23023571:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSADPAVPLRSGGAMPSYRGCDAQLLYTSLAINSHTVTCHGCILLVILSKRS >OGLUM03G25120.3 pep chromosome:ALNU02000000:3:23023571:23025390:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MSSMTPILGRKRVTDTVVVVIFELAEQNNLRVFLANATDPAVPLRSGGAMPSYRGCDAQLLYTSLAINSHTVTCHGCILLVILSKRS >OGLUM03G25120.4 pep chromosome:ALNU02000000:3:23023571:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLLSSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSADPAVPLRSGGAMPSYRGCDAQLLYTSLAINSHTVTCHGCILLVILSKRS >OGLUM03G25120.5 pep chromosome:ALNU02000000:3:23023546:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVMDASCLSSYQKDHNLEGSELK >OGLUM03G25120.6 pep chromosome:ALNU02000000:3:23033149:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSGFCSCGDYW >OGLUM03G25120.7 pep chromosome:ALNU02000000:3:23026578:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLLSSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSVTVTCH >OGLUM03G25120.8 pep chromosome:ALNU02000000:3:23023571:23035115:-1 gene:OGLUM03G25120 transcript:OGLUM03G25120.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G24000) TAIR;Acc:AT3G24000] MPLLLPPPPTPTPPCASRDPLHAAPRRSRSPSTSRPPSRHRRTASARSTLPAAARDLLADDPTPRAFCALLKAASSSASPPRRPSSLGLGAQLHAQAVVRGFLGGDDSTILATAVLSFYASCREPDLARKMFDGMPRRNAVTWNALIKGYAQAGRREEAILLFRDMKREGSHVAPDRYTFPALLSGIGRDGGSGRTLELGGALHAHVIKAGLERDPFVGASLVSLYAARRTLEDAKVAFDQVGSSDPIVWSSMISAYVNCEEEEGALLIFFNMLCQDIKPTQFVYSTVFSVCGRMGILEMGKQVHAHSLKSNTEKDAAMFNALLTMYSDCGCINDAQKVFSSNDCVNVISYNSMISALGQHGYPKEALEHFRQMKFAGLMPDEVTLLNLLSSFNHAGLVHEGLQMFNSMVDIEGIKPMYQHYACVVDMLARSGEIGKAMKTINEMPFEAEAPLWRIVLGACSKHRDIETGKRIAEMLFEMEPYEATNYILLGNIYARLGRWTEAEKVRSLMGERGVYKDDAFSWIEMGQRTYRFGVDDRSHPISREIYRNLDRLISTIKVAGYVPDISFAAHNIQRDRKEESLYYHCEKLAFAFGDLAAPSGGTLRIMKNLRVCGDCHCAYKYFSLVTGREIILRDNQRFHHFNSGHGCILLVILSKRS >OGLUM03G25130.1 pep chromosome:ALNU02000000:3:23040735:23044131:-1 gene:OGLUM03G25130 transcript:OGLUM03G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Z1] MAGRSRYDNPFEEGGGDEVNPFADKASKGGSAGQSSYSGGAFYTTQSRPSAPPATHLSPLPPEPADFYNDFSTPVDIPMDTSKDMKTREKELLAKEAELNRREKICTADLTSFAAGIVLEDKNWPPFFPIIHNDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVTAAWIKGEGPKIWFLAVIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGNTVIVGRFSEENLSNLVSTVLPALQRVYLYFRGSGKEAEMKREAARSAARAAF >OGLUM03G25140.1 pep chromosome:ALNU02000000:3:23045149:23049662:-1 gene:OGLUM03G25140 transcript:OGLUM03G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9Z9Z2] MAAGGRWGHSDNPFEEVEIDQVNPFSHPRPTPLPHEPVAFYNDPGASVDPLDSKKELKRREEALARGLVICLFWNIICVSAIAIMWGDPRAWFLAAIYFITGCPGAYFSWYRPLYRAMRKESAFRYGWFFLFYFFHISFCIYAAISPSIFFVGRSLTGIFQAINVIGYNGAVGILFFLGFAMFVLEALLSIWVMQKVYWYFRGKGKEAEMRPDAAAGGSRF >OGLUM03G25150.1 pep chromosome:ALNU02000000:3:23052717:23053466:-1 gene:OGLUM03G25150 transcript:OGLUM03G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRATFKKRLKGLMKKASELATLCSVDTCLMVYGEGEAQATVVWPSESEVMRVLERFKALPQLDKYKKMTDLEGFIQERIDKLQEQLDKVRRDADESETKLLLIEALEGRRPGLEGITIEQLTSLGWLVDARLNIVNDQLQKLHEQGLLPASVSLPTMGVLPYTTAGYTVAQEAPIQRGGWLMGVVRGIGSLGYSLFRGSGRSNTVGPSGDMVQPFNIGAGSSLANQGISFPPK >OGLUM03G25160.1 pep chromosome:ALNU02000000:3:23101701:23102747:1 gene:OGLUM03G25160 transcript:OGLUM03G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSKKAASARAGAVTDKEAAAPLPPEKEVVALPPPVVVEEEEVKEVLSETAVPVSRPRPPPEPEKEVVKRKEEEEEKEASESASVASATAEKAKAKGGGEGEQEVEQKAIGGMEKGRARRRTPEQRRPKEAAGNGRARSPSPASAQRRYGGGEHLAPPTRPRREQPAVVSGIGCRSGRFSPSAARRAAESAVRRTNSAREADMMLAHSSRTPAAAKRSLNGNGGAYGGGAAKRDPGERSGRRPDSPTSKHIPPASPAANGAISRQQSLNGGATRKTAKDDTTLEQTKPQCHGGGGGGGRSPEEARDGSDESALEEEGHEQEKQTTEGGALGPMNPSVAMECFIFL >OGLUM03G25170.1 pep chromosome:ALNU02000000:3:23108226:23109734:-1 gene:OGLUM03G25170 transcript:OGLUM03G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLRRCSSYRGCSSSTNGGGGRGVGGCVVLAQKANAYARVTRVGDDGMDETLLSNLPYNSDYGYSSGGNSCSTSERLARPAVQVSRAGQAPAKACGPNLAARNWLGFTGAIPSFLTVYVCKINSKYLSGLSSNKKMVGKETTSQIALDDITPMDMAL >OGLUM03G25180.1 pep chromosome:ALNU02000000:3:23118549:23119656:-1 gene:OGLUM03G25180 transcript:OGLUM03G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHAVLMQSRMLLRSRRPFSTISATDEANILKELDELKTKTKKAIIEDVEKVKRLESEDRNALNRLLTSCGMPRGAFRDKLVFGCHVVALFAASSAVGIWKAGRDAPHKDAKFAIDASI >OGLUM03G25190.1 pep chromosome:ALNU02000000:3:23121535:23142306:1 gene:OGLUM03G25190 transcript:OGLUM03G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMSKSMSFAGTRMMAAAARRAFHSQPPSGPPHPHRGRIADFGKCYPLDDGRGMGKKKGGRGAGRGRGLGDWCAGGWAGAKRLM >OGLUM03G25200.1 pep chromosome:ALNU02000000:3:23140689:23143270:-1 gene:OGLUM03G25200 transcript:OGLUM03G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAFVPPPPQYVPPEEPSAFARLYDVVDRAKAALVTITGGPTTVHGVVDVISSGHGQRARRAVTEIITTAPASDPAPAAPQTGSPSFLNSVAVKVAAGVVGLVLGLCVLALWIRRWRRRQQAQPLPLPPPMLYNPNPYYKGDQPPLPFVFMQQQHHPTAPQTSGGTFSDAGSERPHSISIDGGSLSYDQLAAATGGFSPDNVIGQGGFGCVYRGRLQGGTEVAIKKLKQGDRESAPRWTSSHANLVSLVGYCVSGNDRLLVYEFVPNKTLDTHLHGDKGPPLDWQQRWKIAVGSARGLAYLHDDCSPKIIHRDVKASNILLDHDFEPKVADFGLAKYQPGNHTHVSTRIMGTFGYIAPEFLSSGKLTDQADVFAFGVVFLELITGRLPAKPLLSEATEEGNFDILVDPDIGDDYDENKMMRMMECAAAAVRQSAHLRPSMVQILKHLQGETHGEDLNSIFRTTYAEDIYSSTMESGESIGPRSRRAPGSQGNTSSDYSSDRPSSYRQSQPKPR >OGLUM03G25210.1 pep chromosome:ALNU02000000:3:23159135:23164299:1 gene:OGLUM03G25210 transcript:OGLUM03G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSILKKEVGENHDVLRFGVNDSVKGDLAPQHPIQATVHKEAKFWADKKRFGAEAIYGSAFNIRKDLDAQILSKFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNLPQDSDSFHAPDMHHGMEIGICKKYIHTRSRGDSGGRGVRLPNLAPSRSDPACPALEVDPQATASGEVTAWTWRWQGEVGRARRRAIDGGHARASNAAWRCKRSMQAIDAGGTSSSRRLVWTASGGWRDNDKEASLTDGVEVDTRANGKEAGLTDGMEVNTVMTGSPMMVVCVPQGMLRLTDGGCRCSSGHVPTKDGRW >OGLUM03G25220.1 pep chromosome:ALNU02000000:3:23165376:23179951:1 gene:OGLUM03G25220 transcript:OGLUM03G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNDNSI >OGLUM03G25220.2 pep chromosome:ALNU02000000:3:23167114:23175738:1 gene:OGLUM03G25220 transcript:OGLUM03G25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNDNSI >OGLUM03G25220.3 pep chromosome:ALNU02000000:3:23166903:23169529:1 gene:OGLUM03G25220 transcript:OGLUM03G25220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAVASSPSPSPASTRLLRGHHPPPSCSAPSLLRLTRSSRRLRLRAAAAAEEADVLPGPGAEGEMAAGGRLEEQPEGPIGGSQVDIGGLAFQGDMGGGGFAGGSGGAGAGGGDGNKMLDRGINTAIVLGASTYALTKLLTVDHDYWHGWTIFEILRYMPEHNWSAYEEALKTNPVLAKMMISGVVYSLGDWIAQCYEGKPIFEFDRARMFRSGLVGFTLHGSLSHYYYHFCEALFPFKDWWVVPAKVVFDQTAWSAIWNSIYFVVLGFLRLESPATISSELKSTFWPMLTAGWKLWPFAHLVTYGLVPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSEDASTSNASNV >OGLUM03G25230.1 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLVGRHNQRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPQQCLTIDEAVILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25230.2 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLVGRHNQRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPQQCLTIDEAVILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25230.3 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLVGRHNQRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25230.4 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRHMQDLRCIVFVQRVITSIVLEPLLSSIHQMSGWNVKHMAGSRPGLLSQSRKNHTEIVESFRKGKVHIIIATQILEEGLDVPSCNLVIRFDPSATVCSFIQSRGRARMENSDYLLLVGRHNQRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25230.5 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPQQCLTIDEAVILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25230.6 pep chromosome:ALNU02000000:3:23170207:23188970:-1 gene:OGLUM03G25230 transcript:OGLUM03G25230.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 2 [Source:Projected from Arabidopsis thaliana (AT3G03300) TAIR;Acc:AT3G03300] MGGPLTAAGGRGDRGAKAVEPLRPPPPPDPKTMARWYQLEALERAVRGNTLAFLETGSGKTLIAVMLLRAYAHRVRRPDSRRFAVFLVPTVVLVGQQARVVEQHTDLVVKQFCGEMGVDFWDAATWRSQLEDGEVLVMTPQILLDNLRHSFFRLQDIALLIFDECHHARGNTPYACIFKEFYHPQLNSSASDPLPRIFGMSASLIYSKDLNQHNYSKQISEIENLMNSKVYTVDSESALSEYIPFASTKIVHFDDSNISSELHANILSCLNRLTKKHIEALDRKLHGSSLENAKQRISKLHRTFVYCLYNLGVWLAAKAAEVQSYEENSLSFWGETLDKNVEGFIRNYSEEVHRELSCFSKNGHIGEKFPADSQDGILTPKVHCLIRTLLQYRGDVEAHTNAKKFLASGQIMREESLRLGSISCQPLENTLCEDTYYRVESTRAIVTLNSSVPLIHFFCSKLPSDEYFKPLPRFDIDKASGTCTLHLPKSSPVQTVNVEGEGSILKETVCLKACQELHVIGALTDSLLPELDVPCDEEPDIVVENKIEQPSYFPEEFVDNWRSFSRLGIYYCYKISLEGCPKTASPTDILLALKCDLGSDFTSSSFKLPGGQDNASVTMKYVGIIHLNQEQVIIARRFQTTILSFLIGDDHLEVSNGIKYFHEMQTKDGPFCRCILKNSIVCTPHNNIFYVISGFLDLDANSRLPQHDGTVVTYKDYFKTRHGLTLTFENQPLLAGSKHVKVRNFLHNCYSKKEKEPGDRYSVELPPELCRIIMSPVSANNLHIFSYVPSIMFRIQCMLLSVKLKVQLGPTVQQFDVPILEALTTKKCQEEFSQESLETLGDSFLKYVTTRHLFSEYRLQHEGILTKMKKNLISNAALCQLACSSNLVGYIHAEEFNPRDWIIPCLDYDERGNKKISFLAPNGMYSQRKMSIKSKRIADSVEALIGAYLSTAGEKAAFLLMKSLGMNIEFHTEIPVERKISMKAEEFINVRSLEGMLGYKFNDSLLLLEALTHGSYQTSGPTSCYQRLEFLGDAILDHLFTEYYYSKYPDCTPELLTDLRSASVNNNCYAHAAVKSGLNKHILHSSSELHRKMSYYLEKFGQSFTGPSYGWEAGIGLPKVLGDVIESIAGAIYLDSKCDKEVVWRSMKRLLEPLATPETIEPDPVKGLQEFCDRRSFKITYEKNHVDGVSSVIARVKAGEITYSATKSGPCKLVAKKLASKAVLKDLIAGHKDTEAAAV >OGLUM03G25240.1 pep chromosome:ALNU02000000:3:23211472:23214424:1 gene:OGLUM03G25240 transcript:OGLUM03G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYPTQPPTDPQSHTAGEEDQRREARLSEEYYHHPPPAGRKQIMEDFYVTIPYGAAVLGGGVAGYLKRGSKASLAAGGGFGGALLLAGALSARAFARGHPSGSLFAAVLQTVCAVALTVVMGIRYIKTRKVMPAGIIAAVSALVLIFYIYKISNGGNKSPISYPSNAE >OGLUM03G25250.1 pep chromosome:ALNU02000000:3:23214748:23216337:-1 gene:OGLUM03G25250 transcript:OGLUM03G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPLAAAAPAASAPAPAAGAQHGRVLDAYKKALATAASVAAYAVLARSMARELLPDELRAAARWGAAFVRARLGAAEKERHTVVIRRQLDGGYSENQLFEAARAYLATKIDPRALRRLSLARSRCKEADGSNSWTTLLCLEPGDSTTDVFDGVEFRWTSMETGGGDDGKRGGKGGGDRGHRAPRESLELSFDAEHTDTALERYVPFVMATAEQLQRRERVLRIFMNEVRSWHGFNHHHPATFDTIAMEPDLKKSIVDDLDRFLKRKEYYRRIGKAWKRGYLLHGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRVNAALQRLLISMPNKSILVIEDIDCCFDANPREAHKITTAALDQAEDFDFSSSDSDDAVGAPPRARRAGDLQQQKLTLSGLLNFIDGLWSTSGEERVIVFTTNYKERLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVGDHPLFPEIRQLLAGVEVTPAEVSEMLLRSEDADAALRGLVEFLRERTRRRARQEAAIDDNQVVAEKGNAA >OGLUM03G25260.1 pep chromosome:ALNU02000000:3:23241977:23243089:1 gene:OGLUM03G25260 transcript:OGLUM03G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAGDDAVVPRKGAGGGGTTTPPPPPPAQQQPLPPPPPQEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAASRLSLNLPTVEGIGGAAADPAAAAAARLGFLGGGTTMMVSSSTSPLGGAAAAVADFQQGGAVGMLPLPRLQSPAGGGVGHHQYVPFGEWPSGDIAGGNAVNGGGGHGAVSSTIASSIESLSFINQDLHWKLQQQRLATMFLGPPGSASAAAAAQANDGGGNGAQATASGHAAGGGGGAFMHMAGSVPSMEAAMPSATSWFMDSCSYGLPSPPPPATAAVAATTSSNLNSGGRSSGGGGGDDNATSNCGSAIPAWGDISTFAMLP >OGLUM03G25270.1 pep chromosome:ALNU02000000:3:23243097:23250655:1 gene:OGLUM03G25270 transcript:OGLUM03G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLNLRGRDGDGGSGGRNDGDDHRLPPRARLLSERSVSHFAYHQGLAASTLLVASLAARGWDLRRHMAVGTPAFALLFAASLAGVANGDIPSLAADPAAAASPRSPPTSLLASLSSLCRRPSPSWPSGASPGTPPRGRRPPPSNLRPAAAQRRSPASPRHPALPGRHDHGGGAASAGELALLGPAGEALITVRRRRVEAASRPARIGACRSADEDATAGEGVDWEGEPLGFEVSTTPIPELPDPETTTSRPPPVSSPRRRVDDGTALLTAASNRLHGHVGVHDGLLFHRRRRLRRVEPATPPAASPSGARPPPV >OGLUM03G25280.1 pep chromosome:ALNU02000000:3:23254807:23255418:1 gene:OGLUM03G25280 transcript:OGLUM03G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGEEEQGGDAAGRGKEEQGGGGAGCREEVHSDEVHSVVKVTGCGAGPVGASEGEERPTAKVAEVAEVAEEAAVLADFAGKEGKSRSGEEARRLLSDGGSAVVHGGGTAMPAVHGGGAAGEHKAASQRGGQGRRCGEEAQGAARRPVALARQGAARRPVAAALQGTSTWFTAVRRSQPAAWGP >OGLUM03G25290.1 pep chromosome:ALNU02000000:3:23261497:23262678:-1 gene:OGLUM03G25290 transcript:OGLUM03G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAPVWGHASTRGRSAEMKDACAAVPRFADVPVRDLDGLGLDADALRLPAHLFSVFDGHGNAEVVNYCRERIHVVLSEELRIQMVPHGYLTHGAARALAPPHPAALLQDARLGGGKAEAVAREAAAALRDNGGSSKLALWEQEAPAVERQRRRSVTGERAGEER >OGLUM03G25300.1 pep chromosome:ALNU02000000:3:23265240:23270935:-1 gene:OGLUM03G25300 transcript:OGLUM03G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucanase 113 [Source:Projected from Arabidopsis thaliana (AT2G35610) TAIR;Acc:AT2G35610] MAPWCPAESTKPVFVGIYGAVIGGFAVSALFFLLSSFSSLAAPPLPIPPASAAAAAANLSGAVSGVAQPETMYNRPIWKPPPRRERMPAPRAFRLTREMVGARARGGAIVVTFGNHAFLDFILTWVRHLTDLGVDSLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAVWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAYNIGIFHWRPTEPAKRLAKDWKDLLLSDDTLWDQNAFNDLIHKKFGYPVVGEDELVYSYDGKLKLGVLPASIFCSGHTYFVQGMYQQLRLEPYAVHTTFQYAGTAGKRHRLREAMLFFDQPSYYDSPGGFLSFRPNIPKSLLLDGAHTVKSHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWYGHPGVLEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKQVKESFLEVELCDEHSTRCSTTNRTNKGAPVLLPRNSTEQTLLDIFKPYKDIKILQFSSMVNAFRGFSDAAVDTKFRNRVKRYVGLWCCVQLREIGHIYYDMYWDEKPGWKPLPPQTKVEDHPPWLELSGWYR >OGLUM03G25310.1 pep chromosome:ALNU02000000:3:23273624:23276203:-1 gene:OGLUM03G25310 transcript:OGLUM03G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPHRRNNCQGKYIIPSAPHALALPPPLSPSTNRIPQRRPSPAPLPCTQALLDLDREPSSLDQSLRLTAVVGQWRRGDPVYVHCYSCPTAYGELSGLQCDTGFNLPKKENGSCGGQYNLASKKSMKPLQMRLTWKKIEGMWKPLLPQGEADGGSGGTSEGAIARLQPRSRVG >OGLUM03G25320.1 pep chromosome:ALNU02000000:3:23277126:23280396:1 gene:OGLUM03G25320 transcript:OGLUM03G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:post-illumination chlorophyll fluorescence increase [Source:Projected from Arabidopsis thaliana (AT3G15840) TAIR;Acc:AT3G15840] MATLSSCSRLSTGGGAVQRRPRRPASAATITCHRSSSSSSSARVVRTGAAAAPAAATAPAVPQTNECSLPTWAEFELGKAPVYWKTMNGLPPSAGEGLILFYNPAATKMTPNAQFGIAFNGGFNQPIMCGGEPRQMTLQERGSADPPIYTIRIRVPQHAMTLVFSFTNGVDWDGPYTLKFRVPKPWLNKPLSFFNEGLADELNREGACDRAIFPDENVVITSCEMGSYYEEGGDRCKLDIVSGCMDPNSHMFDPLATVDDGSCPMDSDSEE >OGLUM03G25330.1 pep chromosome:ALNU02000000:3:23280279:23283631:-1 gene:OGLUM03G25330 transcript:OGLUM03G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDGPDEVVGAEAEDKEQEKTQRPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGG >OGLUM03G25340.1 pep chromosome:ALNU02000000:3:23301438:23313405:-1 gene:OGLUM03G25340 transcript:OGLUM03G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEQVAPEQVISTRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMKGIVNVLNHIGAQKKGKQTQVLWHSLREEPVIYINGRPFVLRDVERPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPNGQMVDQWESVVTDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDIETEIIFNCQMGRGRTTTGMVIATLIYLNRIGSSGIPRTSSIGKVFHSGNDVDDYMPSSEEAILRGEYSVIRSLGGVEGKRQVDKVIDKCDTMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYVHSVCSAQQSNFSEEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPSLAKIVEYADGRPHEMDIVAAMRNGEVLGRQTVLKSDHCPGCHNLHLPERVEGAPNFREIPEFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRDRVERMEARLKEDILREAERYSGTIMVIHETDNGEIFDAWENVNNEAVLTPLEVYKLLESEGLPIKYARVPITDGKAPKSSDFDTITLNVAAAHKDTAFVFNCQMGRGRTTTGTVIACLLRLRIDHGRPIRLPACEYNHEDPNELGYSSGEETTDHNGHLNSSSPRPHTVTEQHPRFGIDDILVLRKITRLFDNGIECRQTLDNVIDKCSALQNIRQAVLQYTKVINQQHVEQRVKRVALNRGAEYLERYLKLVAFSAYLWSEAFDGFCGQGEAKMSFKAWIHQRPEIQSMKWSIRLRPGRFFTVNDESKASFQPSQGDVMMEAIVKARNGSVLGKGSILKMYFFPGQKRSSTINFCGAPQVFKVDGYPVYSMATPTVDGAKEVLSYLGSKDTGRSIPQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVENIEARLKEDILSEVKQQGGRLLLHQEEFNSSTGQSSVVGFWEHIGTEDVMTPAEVYSVLRNQGYCIDYKRIPLTREREALASDVDAIQSSVDENARYYLFISHTGYGGVAYAMAITCLGLGADEKFIMEQTAETHFISTSLTKSVSIKTSADIALKQGDYRDILNLTRVLVHGPKCKEEVDTVIDRCVGAGHLREDIMHYRKALQDCSLDDDETWSYLMDMGTKALRRYFFLITFRSYLYCSSLREPTFASWMEARPELGHLCDNLKLDK >OGLUM03G25350.1 pep chromosome:ALNU02000000:3:23316775:23326568:-1 gene:OGLUM03G25350 transcript:OGLUM03G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA20] MAESGSSGLEEKLAGLSAGGGEEPQQLSKNAKKREEKRKKQEEERRLKEEEKKKKAAATAAASGEPPKESAADDEEMDPTQYYENRLKALDSLKATGVNPYPHKFLANITVADYIEKYKSMNVGDKLVDVTECLAGRIMTKRAQSSKLLFYDLYGGGEKVQVFADARTSELEDNEFIKFHSTLKRGDIVGVCGYPGKSKRGELSIFPKKIVVLSPCLHMMPRQKSEGSAVPTPWAPGMGRNIEKYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDGLDFLEVETPMMNMIAGGAAARPFVTHHNELNMRLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSGMVKELTGGYKIKYHANGVEKPPIEIDFTPPFRRIDMIEELEAMAKLNIPKDLSSDEANKYLIDACAKYDVKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGSSSIPGYEASRLDMSTKQEPVRRDQS >OGLUM03G25360.1 pep chromosome:ALNU02000000:3:23326763:23333838:1 gene:OGLUM03G25360 transcript:OGLUM03G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGGSSGKSGTGRMVSLQEFVSSMAPLIDLEKAAEISAESATSSKTLERRGCVMANLKCTDAQTGLMGKTLLEFQPNKGDVLPPHKFGTHDVVALKPNKADAGSAALGQGVVYRLKDSSITVAFDDIPEDGLNSPLRLEKLANEVTYRRMKDALVQLSKGIQTGPSANLIPVLFGENPPMISKDVAKFSPFNKNLDESQKDAISKALRSRDVFLLHGPPGTGKTTTIIEIILQEVKHGSKILACAASNIAVDNIVERLSRYRTKLVRLGHPARLLPQVLDSALDAQVLRADNSSLAGDIRKEMKVLNSKLLKAKDKNTKRDIRKELRTLAKEERKRQQLAVADVIKNADVVLSTLTGASSKKLDGITFDLVIIDEAAQALEMACWIALLKGPRCVLAGDHLQLPPTIQSAEAEKKGMGKTLFERLTEAYGDQITSMLTIQYRMHELIMNWSSKELYNNKIKAHSSVADHMLYDIEEVKRSSSTEPTIILIDTTGCDMEEVKDEESTMNEGEAAVSIAHAKLLVESGVRASDIGIITPYAAQVTCLKMMRNKDTKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDVETVSNDKFLKRLVEYFEENGEYLSASEYQS >OGLUM03G25370.1 pep chromosome:ALNU02000000:3:23335368:23338722:1 gene:OGLUM03G25370 transcript:OGLUM03G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT3G02870) TAIR;Acc:AT3G02870] MAEEQFLAVAVDAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHNPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTVGKIPTVGVVYNPIMNELFTAVRGKGAFLNGSPIKTSSQNELVKALLVTEVGTKRDKATLDDTTNRINKLLFKIRSIRMCGSLALNMCGVACGRLDLCYEIGFGGPWDVAAGALILREAGGFVFDPSGGEFDLMARRMAGSNSYLKDQFIKELGDTS >OGLUM03G25380.1 pep chromosome:ALNU02000000:3:23339221:23344519:1 gene:OGLUM03G25380 transcript:OGLUM03G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT1G50575) TAIR;Acc:AT1G50575] MAAAAPSSVVAGLVSGLGLARSSLTPSDGRSPSLSPLHPPWPAAPRLVCRSSGARPPRERAVAAAAANSPRQQQQLGEHEHEEGVAAAAMEPERSSPHEVREEIARCFDLVRRLGRGAVYLGSSRIARLLDCTTWSGAGPGFMDAATQGALEAGKPVGGFKIGKEAGEWTTSNFHPYLPSESYLTCRFFSARKHGLVDAAVRNCPTDRTAVIALPGGIGTLDELFEMMALIQLERIGSTLPVPFLLLNYDSYYSKLLDFLNDCEEWGTVAPGEVASLWKVCNGNHEALEYLAQCYNVPLAERNYCTSPQLKQQATSYATS >OGLUM03G25390.1 pep chromosome:ALNU02000000:3:23341245:23346700:-1 gene:OGLUM03G25390 transcript:OGLUM03G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin motor family protein [Source:Projected from Arabidopsis thaliana (AT3G20150) TAIR;Acc:AT3G20150] MEMLRRNLKRQASRSLSAFAVSSPRAGAVAAADADQENLHPNLAAASPPMSPAAKNSSAAPGASPRSSKPVPTSAAPPSKAAAEGEQASAPADEAPAVKVVVRVRPTVSRPVDGKDLFFVRKTSPCSVAVGDRSFAVDGFLDDRASQADAFDLIGVPMIESALAGFNSSLVCYGQSGTGKTYTMFGALAAMVDSSSDHADRGVVPRVFQNLFAQIQGRQESSPEKQTSYQCRCSFLEVHNEQINDLLDPSQRNLQIRENAGNGIHVENLTDEYVSTVEDVNQILMKGLSNRKVGTTSMNLKSSRSHVIFSCVIEAWSKGFSNGFSSSRTSRITFVDLAGPDNDELDGGSKHCTREERYVKKSLSKLGKLVNILSEAPETQKDDSPHKQSCLTHVLKDTLGGNSRVTFLCSISSEHRCRTTTLSTLRFGERAKLMPNKAVVNEISEDDVNGLSDQIRQLKDELIRTKSGDTEPCKNGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQIRKLHSSSEDTFDDFMDAESGDDTPCSKGNPKTSEEDDQPVIDDCEDPIQEEHEVLSSTKVDQDLVSDRKSFLSVSASPHLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLSVSDCPGDEVSRKSAVRSSLQSSKLSPTDSLAASLQRGLHIMEYHEQNQGPRKSFVGLSFDHFALNPRQSVAKVSSGVLASPERKGATSSALCSSCKKAIDTDGNQKDNINAEKQIVIATSVVPEVKDDITASTIASKRETELEALCEEQADKIKELSNLVDQYKKGSEDAQNSDGTEPTKELVDEAKVGEQHGELNVNDREELLSEIQRLKDQLKQQAGESTNVSLLEHLRNGSTDQEYELDREREKWMESESKWICLTEELRVDLESNRMLAEKTEMELSNEKKCTAELDDALQRAIYGHARIIEHYAELQEMYNDLLEKHRRVMEGISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAAMQQENDKLKKQLEKMKKKHEMEMETMKHFLADSRLPESALGGFYRQESEDVPEYNNHATSTCDDDQSWRAAFTSAYE >OGLUM03G25400.1 pep chromosome:ALNU02000000:3:23352401:23353149:-1 gene:OGLUM03G25400 transcript:OGLUM03G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASSMASMRGEEAGGEDLEATLPLHLKRLARHGSISSSSLAPHLERALMPLEFEVGAVGFEEATATVASSTADTVSSTTMGESRELAVVIVSSSLEPSLRRRANPATGGG >OGLUM03G25410.1 pep chromosome:ALNU02000000:3:23355306:23362263:1 gene:OGLUM03G25410 transcript:OGLUM03G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMQQLAAAATATATATPLCLPEPPPTAMTTAQVEAAIATLHGKKQRLREAYDSLVLHSPIPLPFRWSDLDSYLSSLQSSIHARFSQLQALQASRPAPPAAAAASHDEDVEMEDVQEQGEDEVMAPPSTVQVKEEPVEAAACASNPAGKRAGVGQDGQDGMAAAKMASPSKVQVKEEPVEVSPSPPAGATGLTAAACASMDAPRLTDVCKRAGGGGGQGLCTRNGSGTAQSPPIPSCPVLQQQHTAGAPNGSHPVLRQHAANAMNAGHSAAFRPQQHMGKPRDTGDLRKAAAPNTGDRLPLQWRQQRVHANLTCPLSPPVVAGSSSSPPQQRVGVFPSPTPQIVGSSPPLPSQARVGEANVTNPSRQQFTASAPHAGDGQLQKRPPWQRLQRVGMANPMNAGDLPPQKPHFTANARNAGEHPFQEQQQPPPVAKPADAAAGDLLPQQQQLMANAPNAGEHLLPEQQKQQPVTAAKPANAPPLQHAANATNPAVLRRQRLRQWVRLRPPTATNLPQTKQEQHHLFMADDGANARNPLSPPPPPCGMAKPPNSGDPLTDQNNQQLMANTHSAPTPVSTPLVASNQSESSAMTTTNSNQNSGGGRTGPQPVAAGAAPNPAGNQQQGQRKGGANRRDGRGQGNKNNNVANTNISNMSKAYDQHQFKSNS >OGLUM03G25420.1 pep chromosome:ALNU02000000:3:23362503:23363944:1 gene:OGLUM03G25420 transcript:OGLUM03G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLPPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTLLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGDGGGGVDGSGGGGLEITWRKYVLSFFAEGEQGSSGWVMHEYAVTSSADLASSPLRLYRVQKRKREPQCLDSHDDEDGGDQERAAPRRGVALPAADGTDQGSYGVIDGEPSLVSHCLPDQIVPPAEEADATAGAVDEERWSPPQPASPTAALVKQNSYDLMAISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIDDDYWWVFHGLSDLIALPAEEADATGGAEREEIALLDEERCPQPQPAPPTDALVPPLQGQNSYDVMADSSLLFADLPGRIDDDELQRSLRVSDMPDLFLSQTEEAGAGGGGGAAPVLNKQSNSSPLGVMDSEVPIVLSDLEFPESIDEMLSYIDFATDDCLDFDMDELFSDMPAD >OGLUM03G25430.1 pep chromosome:ALNU02000000:3:23365752:23366076:-1 gene:OGLUM03G25430 transcript:OGLUM03G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCGATRRTEAGCFHTSFRMLATFPTNINCPSNSVSRQAQLELQFLMDTLADHQLQHERPDERAFILTSAGTTTAAAYNLHTYQYHGTL >OGLUM03G25440.1 pep chromosome:ALNU02000000:3:23377320:23378370:-1 gene:OGLUM03G25440 transcript:OGLUM03G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLTAACLEVMRHGSCAAVCAPGAARWTPSRRGMASRPGEKVEGRSSTVRKGAWRRWRGTTGWVDSVGSGTPDSGSATAAEGRRWLAGLAHLAARQAGLVGWAETEQAHR >OGLUM03G25450.1 pep chromosome:ALNU02000000:3:23379053:23380555:1 gene:OGLUM03G25450 transcript:OGLUM03G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADGLLPGLRFDPSDDELVSRYLLRRIQQKPLPLDGVIVDADPLSVPPWTLLADHTRGDEAFFFAGARAKNGKGKRQKRTVEGGGFWQGQRMAVDGERLVVPGDDGGGGGDEGLEITWRKYVLSFFAEGERGSSGWVMHEYAVTSPADLASSQLRLYRVRFSGHGKKRKREPQCLDSHDDDDGGDQESATHRRAVAETTLFDGYVPRPAADGTDQGTYGVIDGESSLASHCLPDQIVPPAEEVDATAGVENPLLDEERWSPPQPALVKQNSYDLMAISSLLFSDLPDRIDDDDLSVSQTEGTELSEQGSSGVIGDDYWRVFHGLSDLIALPEVEADATGGAETEKISLLDEERRPPPQPAPPTAALVPPLQGQSSYDLMADSSLLFADLPGSIDDDELQRSLRASDMPDQFLAQTEEAGAGGGGGAAAALNKQSNSSPLGVEVPMALSDLESPESMPLSDLEFPESIDEVLSYIDFTTDDTSCLDFDMDELFSDMPAD >OGLUM03G25460.1 pep chromosome:ALNU02000000:3:23380641:23384573:-1 gene:OGLUM03G25460 transcript:OGLUM03G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCTAAWTRAPRQEQAAEIPIVLFPSVPWRSSLVLERWAAVSATARVKFSKDEEIGGSHSAQEILQLAKDDEIKLGGRWKSLDTSAASKIQEVNWRVSQYNGRNTKLLNFWPLHRLQYCATEEEELISS >OGLUM03G25460.2 pep chromosome:ALNU02000000:3:23380641:23384573:-1 gene:OGLUM03G25460 transcript:OGLUM03G25460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCTAAWTRAPRQEQAAEIPIVLFPSVEILQLAKDDEIKLGGRWKSLDTSAASKIQEVNWRVSQYNGRNTKLLNFWPLHRLQYCATEEEELISS >OGLUM03G25470.1 pep chromosome:ALNU02000000:3:23386571:23391826:1 gene:OGLUM03G25470 transcript:OGLUM03G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA33] MDPAAGGGGAGPKSDAAPSAPALHGLPVEMTTGEMEAAIAALPAKKEALREAFDVLAACSPFPLPFAWGDLDSYISSLQSSIDRRFGQLRVLEAARPALAGPAAASTSDGEKGGKQEEDSDEEEKEEEEDEVEEEEVEEEEEIEEEEVEEEIEEEEEEIEEEEEEVREEVQEAGEEVDEEQQGANEEMQKSKEVADEASKSAIPVQKQEEDEAEKEIIEAKDEEQHGDKLASQEHDIGDNGDVEAQGVQQVADGETMEAKLEEQNEAKVTSMEHDIEEGDEKASREQGNRALPSCSDHLRGVCAGMDVRGLLKLVCKNQSICLWHEYPVVMRHAPDAAALVLQVVQGFLLSKKMKTTKVWGNCVGLIRCLPAVNASLSSDTMKQAKQLAKDWKEMIDSTGSSRDVLNLSSWGLLYFLISYNIVSEFSVDEIFCIFGTLSRKQQKKNCIELCKGLGLVNRITDLIDYLIGNGQQLEALLLTQAFNLIDKYTPLSLLKGYVERAKQNALDIINMNSPRKSLSPLITKEVDSLMVAQNIVQQQITDFNVRSGMLAEMKKLLDQYATKRSSGDACSASTSNSEQQQQQQQQKHTNKKRKREQLEQQQHRGQEIQQQKQQIKPQGKKGQQQTKPEQKNQQQLNTNKPQEQQQQQQQKQQIKPQEKMGKEQTKPEEQQQQQKTNRPQEQQHKKPQKKQQQQQQQQQSKPQETPKAMHHQAANTIYPCFHITYGSAYCAG >OGLUM03G25480.1 pep chromosome:ALNU02000000:3:23398857:23399912:1 gene:OGLUM03G25480 transcript:OGLUM03G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEIMKKHSAWLKLLLGPKKPARSAAIVETWAADRSKLLLGPKIASGSNSRIHRGMYGEQPVAVKIMHAPVGDDDDDVQVRREMEAQFDAEVSLLSRLRHPNVVRLVGVCREPEVYWIITELMRRGTLSAYLHGREPYSLPPETIVRLALDVARGMEYLHARGVVHRDLKPENLLLDGGGRVKVADLGTSCLEATCRGDKCSSKAGTFRWMAPEMIHDKRCNRKVDVYSFGLVLWELTTCLVPFQNLSPVQVAYSVCDRDARPPLSPSCPPAINSLIKRCWSTEPARRPEFKQIVSVLESYDRCLRQGLPMVALPEPSSSPLASLLGAFKIRSCTSTTRSSITDHRRVHP >OGLUM03G25490.1 pep chromosome:ALNU02000000:3:23401353:23404722:1 gene:OGLUM03G25490 transcript:OGLUM03G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA35] MVVSDSPKPQPTPSPPAPPPLPVPAAAGTTMGELEAAIEALAAKKLRLREAFDCLVACSPIPIPFRWDDIDAHVSSIQSSIAGRFRQFQALQTAATAGITAAPATSNASSRVERSVEHLVVVVEGQESHVARHEDGGNGEGEGEGEGEGGLGKEVAMDVESEEENGMVVEVASEAPRGEDGEFKEEDEKMGGPINASPPSEEIHGKGADMSRRWGNPMEMSADVDDAKTKTTATAAAAELTAMAISPIPGFTGRGGAEASLRRSLAAACASMDSSSLARILCSSGSSSSSHATLAARHFRPALLAAAEPAALVVRAVRDLLAGTAPIRDSAWESCVELLSCVPKLAVAPSPGTMEQANRLAEDWKEMIGRTESCSMNLGRLAVWGLLNFLVSYNIVLEFDAEEIIHFFGTLPDDKKQCCISLCKYLGLIDKMADSVGHLIEHGQQLVAIRLACTLNLTDKYTPLSIMEDYIQNAKETAQEILSMESDSESLKLSMSKQVNALILSWRVVGECNIDSVHCDRIKAEITQLLHKYANKRHSLEELPSDTSSPHQKHHQMSQEQHHWQQKHREEQQQQFQNQSKEQEQERRMQKLRELRKKKNKRTQRRKRKQNAQVMKQHQFEKQRKLYHAGSFTNSQSYVRSEIHHHLSQHFSGTIGTPVAPYTPVAPYTGPFW >OGLUM03G25500.1 pep chromosome:ALNU02000000:3:23408855:23415743:1 gene:OGLUM03G25500 transcript:OGLUM03G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA36] MADNQAPPPPPPPPAPESPTAPQTAVHRPDLIPDLNRPSLESILMANVEEAVAAFPGRVDSLRQSYDRLVASSPFLIPFFWGNMEGYVGSAQGYLSRGLASLHGQGQVRHGDGDGAGPSGHAGIAVAAVEAGGEGMEGGASSVADEQGGGDGAAMEEEAVAPVEEEREIKAVAVGEVAADDKDSGEVAVKKERKEAMDLFPHQGDDDASVEPKVDALHLLATATAANADADADADDFNHVGMESKGKGKSPDLDIKVEAMDPSPEQGADMQAVVTMAETEDAAKKAFDAIDKVGIPLMDRTEEEEEEGEAYLEVPLDQAMEMEVHPDQANEEAVMEEVSVQEDDAQEADMEICNDEEKMQVKKMEEEADDGAKRASPERSSGEIVAAGKKKAAMYVPRNQDGGAITDALVGEIKAEVKGKTKRASREEEEDGKVVRDRGGAANAGAERRRRREREPAPRRQLVAACERMDSFDMAELVLRSGRGIAGEFLPALRRAPDAPALALHAAGYVLSAGPRDVDSTSWDNLAALLRGVRRLATSGRAAPPLEARAKEATAMAKKWIAMVAGEAEREHQRVAWARSTTWALLQFVAAYAIAGNLEVKEMMVFKTVGDRDGGAELIKSLGLPDRATESINRLMKRREHIDAVKVARAFNLIDKFPPVSVIKAYVEKVKEAAQDMVSKDAVSLQALDRAMQEDVAALRSAKEAIEAHDSGSDYRYAIMQEVHKLMRSYEKKKRSLSFGSTSSSHEHKNKRHRSNQAMPRWENQTIPGPPVYFPVPPPYFGHYNPYHPFGPQPRRN >OGLUM03G25520.1 pep chromosome:ALNU02000000:3:23429481:23434942:-1 gene:OGLUM03G25520 transcript:OGLUM03G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQDGDAEGSGRGEHRSRGCRSPAETKTDRSPGIPRVLEVGFATVREHHGTKSTTGPQKSNNLQNKKIKSREDGREPEAAELRRGERRRDHEVVFAAAARDPPTLQRPDFDCVRQNKHPNANTENEMTGSDLEKASGSLLDQSKQPLNIKRDRRRLEEVSDKMWKDEAKEIGSRSLALTKGSTKESQMLQSLDGKAKNAQKRRKDAKQELRSKIPGVTLVSDDSLFGQAANYIEDLEGTSLDLEGLSGTAMIRKVLPGSNKIRGVTALFEAASRHITEVKKKKKIAPEEVEKEFRPGVDAHDGRAGKKLTNMIPIPHIPKINGDIPSAVEAFADHQRLLDRLVLYDLAEVKVKGDRNCQYGVKITLLTSCRDTFYIEVLPADQKPKGEICISFWAEVHYDSDSGGDAVRSRKAGLLASAIKFEDAVVEKMTVLKEKLTRGAAAFAGEEEIVQALNKHAATAEAEIAESQAFSAVLLADANRAASPVVVVHKRPTPETEKEQDQEPPRQRRRTGDSAAQD >OGLUM03G25530.1 pep chromosome:ALNU02000000:3:23436302:23438819:1 gene:OGLUM03G25530 transcript:OGLUM03G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASTDHHRFPLPPHRTPRSTSLPATSGRCRSPAASTLPNDARHAGSIRPRPSAAPPATARCRHGGLSSKPRRPPPVANAALASPLGRRQDKERRRRRNKGA >OGLUM03G25540.1 pep chromosome:ALNU02000000:3:23438653:23439241:-1 gene:OGLUM03G25540 transcript:OGLUM03G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDMPVAVDDDLQELIDELMNAGPEDEADDREVEEISATALSEATEYLEDPDPPSPEQVGWAEGAVISAQSAADNMASYALDLRRALAVFAGTGRPEEAEIE >OGLUM03G25550.1 pep chromosome:ALNU02000000:3:23439527:23443204:1 gene:OGLUM03G25550 transcript:OGLUM03G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKHIQPISPELHKFIQSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >OGLUM03G25560.1 pep chromosome:ALNU02000000:3:23446183:23480761:1 gene:OGLUM03G25560 transcript:OGLUM03G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPPPSSWLPTAEEARCSPPLPSEIVAWATGSGQRLSSCWTTVGEEAKWLTKASGGWRLMMKQQQQVKMPLICMDGPLALTLYYVSGRPDDCSGFTAFMSPSRLALAINTADSAIPGRIVGARQQRKLVQACSCQAYPLPTV >OGLUM03G25570.1 pep chromosome:ALNU02000000:3:23483976:23484767:1 gene:OGLUM03G25570 transcript:OGLUM03G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDPGYGYGGYGYGYGYGYGGAGYDMAGYGGGGGGYYTSDPYNAAPAAYEDPLAVAGRRQHDFPAPLTGVEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANNLGNSGGGYDHHHHCGYGGFEQDYASKSAYYGVEDDGGGGCSIRQKEDTDEIDALMSTEDGEEEDDVLSTGRTPGCRAGGSPDSTCSSGASRSDCGGGRKPEAGGGERKKERMKKMMRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGVRGSSS >OGLUM03G25580.1 pep chromosome:ALNU02000000:3:23540987:23542821:-1 gene:OGLUM03G25580 transcript:OGLUM03G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAIEQHREHADDGGAHGDRECLLSTLLRIPKEGDNNDDGGDLTMANVKAVILRVMILRSTVVENPSSLPVRNPFNPAYIAGTPNPGLKMPIFSPG >OGLUM03G25590.1 pep chromosome:ALNU02000000:3:23542848:23543266:-1 gene:OGLUM03G25590 transcript:OGLUM03G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCLGELRVVVASTPDAAREVLKTHDAAMSVAMSANIGDGRWRHLRGICTLELLSAKRVRSFRPIREEKDARLVGAVVAAAAAAAAPSGESVNVRRLIGGPMTDLALRAIMGEHCTPSGPPPRPRCAT >OGLUM03G25600.1 pep chromosome:ALNU02000000:3:23548871:23551471:-1 gene:OGLUM03G25600 transcript:OGLUM03G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGFGPPVAGGVLCTCLVSLVTKLNKICMLISFLLHIILNQSSDPFNTIQQLMGICPNLQFDEAQWIIRIKRILDEEIEVHDSQPISIFDVPKPLLCTKPEAYTPQLVALGPYHHCREELRDMEMYKLSAARRAQRHLPGMSFQQLVAVFATLEFEIRAYYHSQDSSQRAALQRIPSRMSHLVDPSRRTSSHTMVLHDVVMLENQIPLFLLLKATEMRGSSRATAESVLSSVLSGFFQEVSSLVATGSPCTDTTRHAHLLDFLYSNMAPCYVEGLDLDDATEQADDDDDDDQSKHHMKSTLRSLTDLLIKRVTKFLSVLVDLGVRIILKLLTRIPCLSMIAQQLNSQPTQGQQPNKDFQNNKSCVSPLLEEIAVPCVAELAYSGVRFVPANGGISTIEFCAEAATLCLPVIRVDVNSEVVLRNMVAFEASTGRRALVLARYVELMNGIIDTDEDARLLRESGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDDLNRHHDSCWKVRINRFLKARVLGSRELVACITMALLILFMSLQAFCIATTKGAD >OGLUM03G25610.1 pep chromosome:ALNU02000000:3:23574677:23576125:-1 gene:OGLUM03G25610 transcript:OGLUM03G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQGKRKAGACRLGGGKRRAVAVVEVEERDWTSLHGDIANHIAERLLAGDCGVKDYMAFRAVCSHWRASTDTPQDPTLADPRFRPRGWIPICENIGLRPADAGWIAYLHAATGKVRRGMLPEAVRGHRIVGFTDGLVVLLDTRTAAIRVVHPFTRVTVHFPTLAIFFHTVVRLHPSFSMDSFIWMNAFVCAAAGRPVSIAVVITFPDMPLVITAQPGSKSWSMVNTDLNLSTTLPFNGRLYGMRQDNNQLVQVYPGTNNPASPAAIVVAQVPNGISDRPSNCVYYLVETMASMLLVVLHKNANNSATGFTLLAVDLRRGKLTPVTGLGGDKALFLGHDRCVSVSSKNLPSIVGNTIHFAMPGSNPVTEYSFDDSLFKKPATQSQLHNGVRPIRWPVRPYTLADHLITYCHHREWTRGLMFHEFYYVPASYSKLREIIAAQDSKVVVPELIERNGELTVMEPVELPSKATPLLAIPLSYLNR >OGLUM03G25620.1 pep chromosome:ALNU02000000:3:23578210:23578434:-1 gene:OGLUM03G25620 transcript:OGLUM03G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWRRSGGGRAQQWAKQRRASAAAGRAAEGELGEEEDSGWDGELPRARPPPPPCPSGCPTAPATKASRPSIAP >OGLUM03G25630.1 pep chromosome:ALNU02000000:3:23582730:23584990:1 gene:OGLUM03G25630 transcript:OGLUM03G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA48] MAASALHQTTSFLGTAPRRDELVRRVGDSGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWAVQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >OGLUM03G25640.1 pep chromosome:ALNU02000000:3:23599597:23600804:1 gene:OGLUM03G25640 transcript:OGLUM03G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAALQALLDPTALSLGLPTPVINKEEYLTICLTVLAGTRAGKALVGKAVLGACGQCVRTGPPISRDPLGSKLQHYFDYVFASSHNCAVRNLESPPTVELANRQSQRTRGVNDALPLSLVRSCRLTPSPPDTCRAPDLILSGPPCWWEWETSRRCSHVGLQAVVPEVASGGAHGAAVDWRPPSATLSADLLPSSPPPARAAPPMLTCATSSPASLPRTPPPVSAPTRHHRRGADNAATADDNEDGLLRRGERGGKERKGEAGLLSWHPEMWGPRGSLTDSAATSDKSGVKTTDGSSLHWFL >OGLUM03G25650.1 pep chromosome:ALNU02000000:3:23602367:23602833:-1 gene:OGLUM03G25650 transcript:OGLUM03G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVDIMVVVDKLEGIAVVIVSVEIEDETIMNKLGYPALADEFQLQVLSSRTIAIVHEARSNKVTTTTMVLSLRDTMAQLIYA >OGLUM03G25670.1 pep chromosome:ALNU02000000:3:23619709:23620119:1 gene:OGLUM03G25670 transcript:OGLUM03G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMQSNDGAVMFSSIALLQQRFRELERIKEEREERLIQMLPPRSDRSHSGAAAVVVATAAPREVPVKWFFHPELLYPCRPLRDMAAATLLPVMPATIDCEFKTFQLRGDPLAVDLWPSKAYKHVSSEVDVDTSLHL >OGLUM03G25680.1 pep chromosome:ALNU02000000:3:23620143:23632098:-1 gene:OGLUM03G25680 transcript:OGLUM03G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSHRCCCVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRLPKTTRAATLVDTWKVNSLG >OGLUM03G25680.2 pep chromosome:ALNU02000000:3:23620143:23632098:-1 gene:OGLUM03G25680 transcript:OGLUM03G25680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSHRCCCVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEPISSECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATRLPKTTRAATLVDTWKVNSLG >OGLUM03G25680.3 pep chromosome:ALNU02000000:3:23620308:23632098:-1 gene:OGLUM03G25680 transcript:OGLUM03G25680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSTSTAALLLASGRHPRLQFQSLRAPTKPPFHFPHLLLPSRSSSHRCCCVPVPAPGARSRGLPAPVSASALPLRVTPPPGVEVEGGVGRAVAAAAAVRRVAVALACGALAAAWCHRALAVAGAAGAAGASGAVEAAAGFGGMALRGGWPRVLQILQLLREQGIILAVLLGLSAFFSMAETSITTLWPWKVRELAEKEPENGVFRMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLVLYPVGRIVTFLSMGMLQILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDATATLIDFKNLWETHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMLDDGTFDVDANTSIDHLSEELGVKIPEGHQYETVSGFVCESFGYIPEEGGKMLVILEKDNREENDEYKEEGSDNQDDKERTQAYELEFIPHGTSSKMSPSGCSPPYPKVRGGGRMRQQGELWLKRGLLPRAVIWSEGMRMWGFVGECVDVDSKGVNRMISKKIIKRKKQNPGDSSDSDDEECTDTSENGCPAELLYYSDDNSAQLEDAGNSAATR >OGLUM03G25690.1 pep chromosome:ALNU02000000:3:23678875:23680862:1 gene:OGLUM03G25690 transcript:OGLUM03G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTLLLLALISFFFLVKLIARYASPSGRESALRLPPGPSQLPLIGSLHHLLLSRYGDLPHRAMRELSLTYGPLMLLRLGAVPTLVVSSAEAAAEVMRAHDAAFAGRHLSATIDILSCGGKDIIFGPYTERWRELRKVCALELFNHRRVLSFRPVREDEVGRLLRSVSAASAEGSAACFNLSERICRMTNDSVVRAAFGARCDHRDEFLHELDEAVRLTGGINLADLYPSSRLVRRLSAATRDMARCQRNIYRIAESIIRDRDGAPPPERDEEDLLSVLLRLQRSGGLKFALTTEITSTVIFDIFSAGSETSSTTLDWTMSELMKNPRILRKAQSEVRETFKGQDKLTEDDVAKLSYLQLVIKETLRLHPPAPLLIPRECRETCQVMGYDVPKGTKVFVNVWKIGREGKYWGDGEIFRPERFENSTVDFRGADFEFIPFGAGRRMCPGIALGLANMELALASLLYHFDWELPDGIKSEELDMTEVFGITVRRKSKLWLHAIPRVPYISTY >OGLUM03G25700.1 pep chromosome:ALNU02000000:3:23688011:23689779:-1 gene:OGLUM03G25700 transcript:OGLUM03G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTKCFGIAGPTHIAMNIDWDKEEHRRCIVASILKGTSIHTNKKYKELAPRWWMSFHFELYRELKEDDQFMFGAIYRYKPPAGEPRHPSAPHYVFAFRGTMLEHDRPCHDLYHDWKVVTNDFRNCRHFNRAVDELSYILIKPSSTPATGVWLAGHSLGASFALDGGTLMIARDLNLPTFLFNPPQVSMAPVINLGLSNKAKNDLYDFSYKMKYILGKTKKLRRHSERMEVLFEKLSPWQPQLYVHEGDVVCQGFIDYFEQRERLLDRYPDITLLAMMMSYRDMISYLIGEDKEQPHLLPSARLWKVKKQSHSEDAHGLKQWWMPNNALGWSIYERGYKYIWKGKGEKGLQGCAPGLV >OGLUM03G25710.1 pep chromosome:ALNU02000000:3:23713903:23718417:1 gene:OGLUM03G25710 transcript:OGLUM03G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSLPLLIGVVLAFLLLFVLVNVKNSCRSWWPPPEKEKKKLRLPPGPWQLPLVGSLHHVLLSRHADLPHRALRELAGKYGPLMMLRFGAVPTLVVSGAMRETERCNRSLMAIMDDIIREHGDGEEDLLGVLLRLQRNGDVQCPLTTDLITNVVLDMFAAGSETSSTTLEWALTELVRNPHIMEKAQSEVREIFRGENKLTEEMMDKLSYLRLVIRETLRLHLPVPFLLPRQCREPCSVMGYDIPVGTKVLVNAWAIARDNQYWDDPEVFKPERFENNHVDFKGIYFEFIPFGAGRRICPGIALGLANIELMLASLLYHFDWEFLDRDRNDEIDLSETFGITAKRKSKLMVYATQLVGECAPGGAGAVVPISEKISRMVNDSVVRPAIGSRCARRDEFLHVQARGLRQARGRVQLGRPVPIVVASELAQRRAAVGRPSGAAGAFARAIIYSSSASAQQAPTMGRRGSTTDELLSVLLRLQRHGGVQCSLTTDMIATVIMVTPIKQRIKQSVVLTKHFSTWTIYELTTLTEKKITLEIHSTEIFSAGSETAQRHKAQTEVREKFRDKTS >OGLUM03G25720.1 pep chromosome:ALNU02000000:3:23720436:23720828:1 gene:OGLUM03G25720 transcript:OGLUM03G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGDNGGTRKGPTVAVERRGFTPAPARGGRSGGDGGGGSGSAPAPARQWSGGSGGGARIRTNAGSWREGAAAVAEEHGSAPVLAHRGKEWRRRDTVVRGSASVPTRGGSGGGSGGAWIHVGAGLRWRE >OGLUM03G25730.1 pep chromosome:ALNU02000000:3:23722851:23726009:-1 gene:OGLUM03G25730 transcript:OGLUM03G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPADVAEDTASVYSGKLTLYVFLTCGVAATGGLIIGYDIGISGGVTSMDTFLGKFFPSVLHQEQTARGTSQYCKFNSQPLTAFTSSLYLAALVASFFVASFTRALGRKWSMFGGGVSFLAGATLNGAAQDVAMLIVGRILLGIGVAFCGLSTPIYLSEMAPPRLRGMLNIGLQLMITVGIFSANLVNYGAAKIRGGWGWRVSLGLAAAPACVIAVGSLFLPDSPSSLINRGRHEQARRVLRRIRGTEEVDDEYGDLVAAACETEASGYSARRRPWRDVLQRRYRPQLTMAVLIPFFQQLTGINVIMFYAPVLFKTIGLGGDASLMSAVITGLVNIVATFVSIATVDSLGRRKLLFQGGCQMLVSQVIIGTLIGVVFGTSGDGNISRALAVCIVVFICVYVAGFAWSWGPLGVLLPSEIFPLEVRPAGQSISVAVNMLYTFAVAEAFLPMLCHMRFGLFYFFSGWVLVMTLFVSAFLPETKGVPIEKMTVVWRTHWFWVTRMQMHTFKWQTAKFEMHNATAV >OGLUM03G25740.1 pep chromosome:ALNU02000000:3:23731647:23732293:-1 gene:OGLUM03G25740 transcript:OGLUM03G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSPPWGSSALLIGLLESLLPSRAPHRFVHRDLKPSNLLFGADGEVKIADFGAGKLDPCASYVRTEAYTSPERFDPEAYSSDYDPYAVDRSLSCTWAIHFLLLPVGQWPNWPALMCVICFNRSTRRRRSRSPRARIFGTYVAQCLEKKASRRASVGELLEHLFVAEQDAANAQRASCRARTPGDVGSFLLRRARVG >OGLUM03G25750.1 pep chromosome:ALNU02000000:3:23749817:23754068:-1 gene:OGLUM03G25750 transcript:OGLUM03G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAACKKTNLISPRRSPRLKNIHVIYGEHSETNYPTLGPVKTEVIDLEEIASPSNPEFNDDSVGDEDFQNVSLKDLRAQCKAKNRRALKIDSERPDFKNQRQCGKRNLEDEVPKEEVDLDEPIIAFRQKRQKTSPAKSNRTMGKPTSLNAVKLQDTTLRREETEPIKLPPLEVTSHDSMSTAEKMERSAADVKHSTIAAGNTEETVGENILYAEMENTPLSTGAVISGRSPDIFCEIKTEDEDIYSDEQVGVSSPGKDSFQDSFAELHREPIEYDGCQQHSGVIPQPIELKDVSDDSCELANSIKAYCLDDIILQNKTNDSLSSLDITDEMSNCHKTSGNITNLDEDKSSVVNDYLVCSVNMSCEDHIDIDEYWYPRDLHGSTLESTKTIESSTDQCNAEVGSPSVVIQSDLCGIAESNFTSLAEVVQMKADGQFDSLVQHSVGTKDVLPMDVGHATNDCTFAFNKTLDSVKAANFTTQDGRLESIVYDALNNHAQRKSTSTETETPVGVSGAAIISSPFVSEGTDREPTGSKAPHGGQLLLPCVTEWLSKDTDQLKVTVDDDICKTNSDQGSREQFGLQPQVLQSCSDLDKVCVTSESSNPEETQEMPAGSLDSTAASLDTDGQSEKLQSFINEGALEEHAPKKLLSQRKIMSPTSQEKLCNALTGIDLCGVQRLKRKVLLEDCGKTRRPNGRSSLSPTSKGILKATESPSPQKTTCTCMKDASVILDAEKAVEFSQRQMHDIENIASKLMRSLNHMRSLVDGNLLSESHSLLPTFNTAEIRAASEDALEVERTTRKWLTIMNKDCNRFCKILRLAGKKAVSHSEVPRKRKKITFADETGGKLCHVKMFTDGQNSLLSECQSE >OGLUM03G25760.1 pep chromosome:ALNU02000000:3:23754095:23755982:-1 gene:OGLUM03G25760 transcript:OGLUM03G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSRQPSPPLGSSWRQNFPPLFLLPPRAGRRNAIIIIKTVPPNGKPSSREEKPYYSKFHSTNSLPSLPPRTPPPPHPPPPPLHQRHRLHSPTTAAAAAFHPCEIHRPSRPLYGALARSAAQPPGNFLTFTIAKLISIQV >OGLUM03G25770.1 pep chromosome:ALNU02000000:3:23778997:23780823:1 gene:OGLUM03G25770 transcript:OGLUM03G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFGAVPTLVVSSAEAAREVLKTHDACFASRHMTPTLAVFTRGGRDILFSPYGDLWRQLRRICVLELFSARRVQSLRHVREDEAARLVRAVAEECAMGRGGAVVPIGDMMSRMVNDSVVRSAIGGRCARRDEFLRELEVSVRLTGGFNLADLYPSSSLARWLSGALRETEQCNRSVRAIMDDIIRERAAGKDDGDGEDDLLGVLLRLQKNGGVQCPLTTDMIATVIMLVRNPQVMDKAQSEVRKLFEGQDNLTEDNISRLSYLHLVIRETLRLHAPAPFLLPRECREQCNVMGYDITEGTRVLVNAWAIARDTRYWEDPEIFKPERFNANLVDFKGNDFEYIPFGSGRRVCPGITLGLTSMELVLASLLYHFDWELPGGKRCEEIDMSEAFGITVRRKSKLVLHATPRVPCLH >OGLUM03G25780.1 pep chromosome:ALNU02000000:3:23804199:23806238:1 gene:OGLUM03G25780 transcript:OGLUM03G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPYGDLWRQLRRICVLELFSARRVQSLRHVHEDEARRLVRAVADECVMGSGGGAVVPIGDMMSRMVNDSVVRSAIGGRCARRDEFLRELEVIVRLIGGFNLADLYPSSSLARWLSSALRETERCNRSVRAIIDDIIRERAAGKDDGEDDLLGVLLMLQRNGGVQCPLTTDMIATVIMEIFSAGSETASTTLEWAMSELVRNPRVMEKAQTEVRKLFEGQDKLTEDGMSKLSYLHLVIRETLRLHAPGPFLLPRECREQCNIMGYDIPKGTRVLVNAWAIARDTRYWEDPEVFKPERFNSDLVDFKGNNFEYIPFGSGRRICPRITLGLTSMEFVLASLLYHFDWELPGSKRSEEIDMSEAFGITVRRKSKLVLHATPRISYLH >OGLUM03G25790.1 pep chromosome:ALNU02000000:3:23835686:23839587:1 gene:OGLUM03G25790 transcript:OGLUM03G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPAKKSCDCESAAAVESELQAEAANPEREGKGRGRSTEASHLRGAPWPPLTLSRRKQSRHRRMLPRPPPLPPPPKKKTSGEKEEKIYLGPHGAPPSQAKQQEINIVGRKQRFRNKLKEADNKFTGNAQENKVETLRELMGARTHSKGVPKSSPRDWLDPHCHESEFDRKPH >OGLUM03G25800.1 pep chromosome:ALNU02000000:3:23838624:23839414:-1 gene:OGLUM03G25800 transcript:OGLUM03G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALSSLLAVGGAAAFAVQPSKKTGSGNKKKFITREEEPEQYWQAAGERKGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >OGLUM03G25810.1 pep chromosome:ALNU02000000:3:23862716:23863735:-1 gene:OGLUM03G25810 transcript:OGLUM03G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVKLIGAFGSPFVHRAEVALRLKGVAYEFIHEDLNNKSDLLLAKNPIHKKVPVLLHGDRAVCESLVIVEYIDEAFNGPPLLPADPYHRAMARFWAHFIDHKAIFSCHSFKSYVVVYTFYSTRPSWLALWLEGEEQKGFLKETKENLALLEAQLGGKRFFAGDSIGYLDIAAGGLAHWVGVLEEVTGVSLVAGDDGDDEYPALRRWTNEYTANDAVKLCLPNRERIAAFFTPKDKYKIMARAMLRQQ >OGLUM03G25820.1 pep chromosome:ALNU02000000:3:23867182:23872385:-1 gene:OGLUM03G25820 transcript:OGLUM03G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA68] MRPRRRKEVVGLRGLGPHKTPLPIFHSFHLFRHHAAAAARAAEGKKKKKKKYKKKKRKASCRMQAVRTLVLRHLRLACRRAPPSAAACGGARPAVYGVVGVAPGHRGMAASAGQEGGPPRDFSEGAVRARVVELVKKFDRIDADKVTETADFQRDLSLDSLDRVELVMAFEQEFSVEIPDDKADKLSCCADVAKYIISESQSNKSNAGSS >OGLUM03G25830.1 pep chromosome:ALNU02000000:3:23874253:23874582:-1 gene:OGLUM03G25830 transcript:OGLUM03G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGNKGSLLAGFATFLQRIRGGGGGEDYQLPINHKHPDHKADILMYGDMVEAAYNYKAFAADEKEVYYGGGGGGGYLYLATTNLYATIDAVPAPYRSRRLWPTSPSAP >OGLUM03G25840.1 pep chromosome:ALNU02000000:3:23901895:23906075:-1 gene:OGLUM03G25840 transcript:OGLUM03G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSSSEESDVSDSDIGVHEEKTYNQLRAGKVKVKHGNNTFRCPFCPGKKKQDYSSKDLLQHASGVGAASKRKAKVKATHLALARYLKVDLAGSLESSPQLATVEHQPPGSEEKYVWPWMGILVNLPTELKGKGFVGESEERLRAQFSRFKPLQVTILRNSTDDADYAIVKFAENWNGMKDALAFEKHFNVERYGKTDWNKRNCRRDDLYGWVARSDDYNSLEPTGKYLRKNGELKGVGDLEHEGQRKMGRHVGYYARQIEEKNKYLEELKLMNNQNGLKLERMMEEKDQLFEEHNRNIIELQQHACKDSKRIIDENLKLYEELQSKKHEIDRRCKELNYLATNTNIDRGKLVAEKEKNAKENELLNLANLKQKEADEELLRLVEKHKQEKEEALRKQVELELELDSRQKLELEKEQLRGKIEVMKMMGSEEDGTLKELDELRTKLEEKDDDMESMDSLNQALIIKNQRTIDELKEAKKELINGLEKMAGVRSIIGVKRMGELDQKAFYNACKNKMPNDKLKLALVCSKWEDELTKPEWHPFKVIETAGQTKEIIKEDDGKRQALRAQYGDEACNVVIKALVEMNEYNPSGRYPVPELWNFKENRSAPMPEAASYLLKQWKTHKKRNT >OGLUM03G25850.1 pep chromosome:ALNU02000000:3:23924645:23925397:1 gene:OGLUM03G25850 transcript:OGLUM03G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQFHDLKHCNPGSDARITRSSGVSQVAFVLAAIALISLVSPSSAEYTVFSSTLPAPLRALGSFVMSKKALFVLSNAIFLFLAADYYRCFFSLSPSTSDFTACGHTGVGDKQEQHHHQVGVEPSSATESCVPDHSEAPYRDNDDASEDCSHGERMDGEGSRINIVRTPDDEMPSGEQKAHGDIAMPSQPEFFRLDEDDVILESAVVKEPSCGTTGQELDKLGIDELNKKFEEFIKSRRTKWEKEEASLS >OGLUM03G25860.1 pep chromosome:ALNU02000000:3:23943509:23950232:-1 gene:OGLUM03G25860 transcript:OGLUM03G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAVANGGAADDVAAPDKDNDIKEKTGKGNEVAVENKNAEEQNKRGSENGTKGPSDGDVEMAEAETAKESDVKQLDTEDAKKDGAQDADANEEKNTKDTEGEDVKMAEAEAEAGNADVKDTAEKEDKDENTNTEKQELEDAKESGSEKQEELKEQDKSGSEKQEELKEQDKSGLAEHEEKKAEEESGAEKQGEEEAEEKGSADNDNEEGDKNANISDEHLKKDGGKEGNKDEAEEEEVSEADKKVEENKEETPKNKKARSARDRSQGKDKKHDGSKSREAKSLLNTASPYGTDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPSVAHRISRKKPADLKFLHNVLFGRKGKTVDFKGHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPTPKANIRKGSNSRKRKRGSTPKSSSKKFDDDDDITPSKKRNKALEYDTDEDEDDADPMKSDSEEDDYDSEKEKAKKKSSDAKDTASKKKAATGSSHKTGTPRTVSKSSSKTPSSKVSKEKESPEDSAKVFSRKKKSVTPKRPSSSEKEIKDKKSSGKKVTKGKGESAEVGLPSKDELRKTITEILKKVDFNTATFSDILKKLEDRYKIDLTPRKSAIKIMIQEELTRLSKEDDDEDEDEDGNEDAEKKKPQHQAKEVVA >OGLUM03G25870.1 pep chromosome:ALNU02000000:3:23950252:23951059:-1 gene:OGLUM03G25870 transcript:OGLUM03G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPQVERVEETGGEEAEEATRLAAAAAAPAPLRPRSGEEASQPPPESHLALRGGSGFASLLRGSCVRGLLGFGRCEGSAIRVSRDA >OGLUM03G25880.1 pep chromosome:ALNU02000000:3:23960248:23962476:-1 gene:OGLUM03G25880 transcript:OGLUM03G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53700) TAIR;Acc:AT3G53700] MPRVCAAPRAPPPPCPCHVGVGPLRPRWRASRHGPLRAAGQEQLLTALREQPDPDAALRMLNAALARDDFAPGPEVYEEIIRKLGAVGALDLMKVLVAEMRREGHQVKLGVVHSFLDSYEGQQLFDDAVDLILNQLQPLFGIQADTVVYNHLLNVLVEGSKMKLLESVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSATKVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQITYNTFVNGLCQNDHVSHALKVMDVMVQEGHDPDVFTYNIVVNCLCKNGQLEEAKGILNQMVDRGCLPDITTFNTLIAALCTGNRLEEALDLARQVTVKGVSPDVYTFNILINALCKVGDPHLALRLFEEMKSSGCTPDEVTYNTLIDNLCSLGKLGKALDLLKDMESTGCPRSTITYNTIIDGLCKKMRIEEAEEVFDQMDLQGISRNAITFNTLIDGLCKDKKIDDAFELINQMISEGLQPNNITYNSILTHYCKQGDIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKVLRGMRIKGMRPTPKAYNPVLQSLFRRNNIRDALSLFREMAEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFMLEMVDKGFIPEFSSFRMLAEGLLNLGMDDYFIRAIEIIMEKVDLRESDVSAIRGYLKIRKFYDALATFGRFLEINNPQWSYR >OGLUM03G25890.1 pep chromosome:ALNU02000000:3:23962667:23963607:1 gene:OGLUM03G25890 transcript:OGLUM03G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHREREEVAAGPGGGATTLRACGGVFSVKAGRGEQEEGIRQQFKREEVEGWRRSGYVTLEGDLHRDDDGDHDRGSGLHRSGESVGTTRPSRGREKAAAFSESAARSPPYASDGSTEVVAAGDWTTWGNGQRRGHLPALATETVAAGDWTAWGNG >OGLUM03G25900.1 pep chromosome:ALNU02000000:3:23963641:23966850:1 gene:OGLUM03G25900 transcript:OGLUM03G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALPMPAEGDERVVGWIGNGAGGATETSTSAVGDGGDVGGGGARCSDGGRCIGGERVGSHDRTDTIAFTIKTNSISRPQSPYLASKAEALA >OGLUM03G25910.1 pep chromosome:ALNU02000000:3:23963940:23968409:-1 gene:OGLUM03G25910 transcript:OGLUM03G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFVFRRDDDTRATVLRASGSGSHGTPFTIAFRIADPPAISRLYVRWPQGPDPEEMVACPLVATHRNLVLVCFCYIVESPAGPACPQDYFIFTASGSGDGDVDHHPVPSPLLKALPPCTYHDEGDGNDLSMRYPLEFRSVGILCQGEEFAVAELQVLKTASGRVKARLCVLRLAISSSKDEDEAEDGDHGGGGRRPWDIMELPIVYDGDEERCDIFYWTTDAVIAFQDHLCWVDYDRGMLLCDVLRTSPRVAFLRFPLDSSIIPTGRRSRRNFSQVYRGVSVTTAATGGATLKFADVSRHDGKLLGSLEQGRGGYTITCHTLRSTLGAAAIDDEWSWSKDFAIASDEILRSFEGAPELEPREVLLFPTVSMDTPSVMHFLTCDYDRMIRKMSVVTIDIASKILLSVVPYVNREEDLSAKST >OGLUM03G25920.1 pep chromosome:ALNU02000000:3:23974018:23976736:1 gene:OGLUM03G25920 transcript:OGLUM03G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHMQQKRSTASGRCHGKPISEKGRPSVKLEDLPEDVLCIIVSKLPLKEVSRTSVLSSSWRYIWSICRPKLSFSGFCGFYDDPHEREQYSQKFAEKVNAVLRKYDGKLIEEFDVKIEFDAVLSDHLNNWLTFAMLSRTKNLAFDLEPATSYSWGDCHTFPFHLLDRESISRLQRIQLSFLSFKPPPDFRGFPNLRKLDLNLVHLNREDLEVMLHGCHNLEWLSLVRCYLNGKLELCNPLSRLAHLAVIQCGATMVEIHVPKLANFKYHGDFVSIAINPASKLENAYIWFFKATFEDALSALLNGIPSIQNLTLHIYWLRIEAQMPSNTCIFPHLRHLQLLMNIQLEYANKVPNVVSSLMRAAPFLQKLEVHFGGNDKIWFADQGPGMPQLTRCEYSCLKNVHITGYKGARCQIEFLLHIVENAPTLEALTVDTTQVLYEDYYGARIGSKFSECVAVVSRDFLRRKLPTKVKLHVM >OGLUM03G25930.1 pep chromosome:ALNU02000000:3:23976964:23980872:-1 gene:OGLUM03G25930 transcript:OGLUM03G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAVALHGGAGDIPRSLPPDRREPRLATLRRCLDLATAALRSGRAALDVVELVVRELENCPHYNAGVGSVLTADGTVEMEAAVMDGNTLRCGAVSGLSTVVNAVSLARLVMEKTPHIYLAFDGAEAFAREQGVETKDPSHFITEANKERLRQAKEANRVQVDYTQPIPKDDNSRVGTVGCVAIDSAGNLATATSTGGLVNKMAGRIGDTPVIGAGTYANALCAVSATGVGEAIIRHTVARDVAALLEHAPPAGLSLGDAAARVVAGVPPGKVGLVAVSAAGEVAMAYNTTGMFRACATEGGRHEVAIWPDDADGAN >OGLUM03G25940.1 pep chromosome:ALNU02000000:3:23984684:23989376:-1 gene:OGLUM03G25940 transcript:OGLUM03G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGSHRTQGDDANSARRTSSCGYSQNERQTEGWHKGCGAGGFAKAGGVDISGGIRHILASCIINVVNTGRREKASLGGVAK >OGLUM03G25950.1 pep chromosome:ALNU02000000:3:23985208:23990005:1 gene:OGLUM03G25950 transcript:OGLUM03G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQNLHRLPEFCVIPATACSREPGLPRIVVRSNMGSDDNKRFDDFHVITNGPGSDAYSNEESINLDSYIAPSTSFCSKIYNPQLYVEKGNGTTDWCQTSGGDYPEKSSINSDITLSYIDKILMQEDIDDRGNEDTALQAMEEPFYELLGEKYPAFPQQQPLCVCDHLQNLSANTDKSNGHACNTWSVTRMTNISSSMNSNGNFQGFQFPWSLSSITRETEQFTHHSNRMVVGLKVDGLSISEKPSQDNCSLQIDAHYMRKHPLFEVHDRKSSPCIEDLDLLEGRSNKQYAIYYDEPIRDEMFDNVLLCSDHKPLDEGVSLSRAMTNNSSKSSQIGQGKTSARRKTTGKRIQKRDVVDLRTLLIDCAQAVSVSNHSLASDILKIIRHHASPTGDDSQRLALCLAYCLDVRLTGTGSQIYHKFITKRRNVKDILKVFHVCLSTCPFLRASHYFSNRTIVDVSKGKPQVHIIDFGICFGFQWPSLFEELAKIEDGPPKLRITGIELPESGFRPYARSNNIGLRLADYAKTFNIPFEYQHISSNKWEALSPKDFNIEKDEVLIVNCIYRMKDLGDETISINSARSRVLNTIRMMKPKVFVQGVLNGSYGVPFFLTRFKEVMYHYNSLFDMLDKNIPRDNETRMIIERDIYQYIMLNVIACEGPERIERPESYKKWKVRNLKAGLVQLPLNPAIVRETQDMSSDQASIRLCLVCYGNIYDSYHVSGDTREVSCDTYQVSDDSYHVSGDTREISCDTYQVSDDFYHVSGDTCEISDDTYRISGDSY >OGLUM03G25960.1 pep chromosome:ALNU02000000:3:23989528:23990577:-1 gene:OGLUM03G25960 transcript:OGLUM03G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGGGCSLQGRAPAWPPATSSRRGVAMDGRGRSLQRRAPTRRDAMHGQRRMWPPVTSPAWRVAAEDATTSNKPGVGVVAKDVAPATTSDVGRGRQRTQPPATTFDVRRGWRRTQPPATTSDMGRGGYHLISRKYHPIRGRNRLIPDRYHMIPHEYHLIRGRNRLMHDRYHLIPTLSDSTPLQYVPSASGGCGHDTVRNEIEAPLTVAATADTTR >OGLUM03G25970.1 pep chromosome:ALNU02000000:3:23992365:23992822:1 gene:OGLUM03G25970 transcript:OGLUM03G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHATKRDTEVHNGKVRYRHGIALPMRESVTVSRVEWARRFELAVSLVGYAISTRYHVFIE >OGLUM03G25980.1 pep chromosome:ALNU02000000:3:24004279:24007260:1 gene:OGLUM03G25980 transcript:OGLUM03G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGTAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSERPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTEADRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >OGLUM03G25980.2 pep chromosome:ALNU02000000:3:24004140:24007260:1 gene:OGLUM03G25980 transcript:OGLUM03G25980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDEGYGPTWDSDDEYDNFIRKMNPPRIEIDNDSCNDATIVRVDSANEYGILLEVIQVLIDLNLVISKAYITSDGGWVMDVFNITDKEGQKLKDKATIARIEDYICKSLGADSRYIPSRRRSVDVAASSDHNVIELTGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEGTGSAVTDADRLERIRDRLSYLLRGGNLSRGTAMAVSTGTCSTHTERRLHQMMLDDGDHEQLHRHPPNQSERPNVTVSNWNDKDYSVVTIRCKDRPKLLFDTVCTLTDLHYVVFHANIDAKDNQAYQEFYVRHVNGSPMHTEADRLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVSTRGRMAVNTFYVRDSTGGTVDQKTIDSIRQAIGQNIQVKGQPEPSEPQKKESPTWFLFANLFRPRSLYSFGMFMR >OGLUM03G25990.1 pep chromosome:ALNU02000000:3:24008797:24015159:-1 gene:OGLUM03G25990 transcript:OGLUM03G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nop14-like protein (InterPr /.../07276); Has 69842 Blast hits to 35213 proteins in 1572 species: Archae - 363; Bacteria - 20593; Metazoa - 20851; Fungi - 8010; Plants - 2912; Viruses - 517; Other Eukaryotes - 16596 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G69070) TAIR;Acc:AT1G69070] MAKTKPMAAAAAAAAADKKKGKGKKKKQGKNGPAAVAMKARGAAAAGSNNPFEAIWSRRKFDVLGKKRKGEERRIGRARSEAIHKRENTLLKEFEQSAKSSVFQDRRIGERDETLPEFDKAILRQQREHMAKLKRESKYNLSDDEEDEVDVHLSHSLSGKDDFDEEVPLDDYSDEEGHMILSKNRIPLQSGDVPSETGLPEGTNVHKSKKEVMSEIILKSKFYKAQKAKEREEDEHLVDKLDSDFAMLAQTQAMLSLTRSARMDANKYNSSTVQKDSFGLTAKEIFNKTFIVGCGEIHIDVFFIDVMMEKPDAYDKLVKEMVMDQRARPSDRTKTPEEIAQEEKECLEKLEKERQKRMLGTAESSDEDDDDDEEGDRSTKLDSSKPVSGDDLGDSFSTDEPARKEKGWVDEIYEREGKTIGEDAEGSDNEESDDDGDDDDDDAEDGDDDAEDEDEEEDLSDNDFGNMSARDWEQSDDDEVTVEEDEMDGLKQKEQKISGKVVKKDLQNSKKESNAESQVKDDNLPFVIEAPSNLKDLCSLLDGRSETEIIEIISRIRTCNSIRLAAENRKKMQVFYGVLLQYFAVLATQSPVRFKLIDTLVKPLIEMSGETPYFAAICARQRLIHTRTRLCEDIKVPGKSCWPSLKTLLLLRLWSLIFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAIGSFLCSMVLVATKESKKFCPEAVVFLQSLLVTSLGGNLGSDLRKKINDQFLELKTMKPWLHIHEKVYEVNPANILEVICMDSNAPYFSSDNFKVGVLLSVAECLRGFVIIHEELCSFPEIFLPISSLMQEIMDKSDLPGLLQDIFHEVIELIKNRSDEVHASRQPLQMRKQKPEPIRQLNPKFEENYIKGLDYDPDRERAQMKKMKKRLKSEKKGAMRELRKDNYFLSAVKEEERIKQEQERAEKYGKAMAFLQEQEHAFKSGQLGKGRKRRR >OGLUM03G26000.1 pep chromosome:ALNU02000000:3:24018177:24025567:1 gene:OGLUM03G26000 transcript:OGLUM03G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHHADFLHATLRRRPGPPPSSSSNTTTPGDESVIFSCALNCISSHGDIGFPHLKWNQLFVGDDSTGVAIVPCSATNSVPISLEVSQEIDADESDGDDLAREEDFVEKTAVGPSFEEQLTFCMSPKVFMAFNSIEGLLQNLVLRLCICCKLHLSSTFWNSHQHKQLELWPPFLCNQGRVYCVQALPWSSLCLSFGDSCMPTLHLSTLWPILDMWFCEGLLICGNTAVLVQNYCKFFVKEHMVLCSGENLHEVQTCRELKISGPPLHFAVNIWGMTNNPFGGEDYGANSPYGCYGINLSHRLRDKSNFMEGGMSRIWWGCMGCRRNGLGPAHMPKEQATKQLMWDRDRITAVLIVQHHDSALRPPRPPRPSRDSQSSWKNAVAVCEKDSKVKNKEAFNTVVVLIACVIQKEQNNESLTGKTRTAGRTIAVGTCWGLARKGVLVF >OGLUM03G26000.2 pep chromosome:ALNU02000000:3:24018177:24025567:1 gene:OGLUM03G26000 transcript:OGLUM03G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHHADFLHATLRRRPGPPPSSSSNTTTPGDESVMLMWDRDRITAVLIVQHHDSALRPPRPPRPSRDSQSSWKNAVAVPKLSLPIIIHLFCYGEIPGPCVQKAQAKVCEKDSKVKNKEAFNTVVVLIACVIQKEQNNESLTGKTRTAGRTIAVGTCWGLARKGVLVF >OGLUM03G26000.3 pep chromosome:ALNU02000000:3:24017871:24025567:1 gene:OGLUM03G26000 transcript:OGLUM03G26000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNPPVRPPQRPTPRAPSLPPRRAPRPTPVARTAAAVAVHAVDPWRISGRHRSPSRRHQCIRATPISSRMAIIIHLFCYGEIPGPCVQKAQAKVCEKDSKVKNKEAFNTVVVLIACVIQKEQNNESLTGKTRTAGRTIAVGTCWGLARKGVLVF >OGLUM03G26000.4 pep chromosome:ALNU02000000:3:24018177:24025567:1 gene:OGLUM03G26000 transcript:OGLUM03G26000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHHADFLHATLRRRPGPPPSSSSNTTTPGDESVMLMWDRDRITAVLIVQHHDSALRPPRPPRPSRDSQSSWKNAVAVCEKDSKVKNKEAFNTVVVLIACVIQKEQNNESLTGKTRTAGRTIAVGTCWGLARKGVLVF >OGLUM03G26000.5 pep chromosome:ALNU02000000:3:24021484:24025567:1 gene:OGLUM03G26000 transcript:OGLUM03G26000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDRITAVLIVQHHDSALRPPRPPRPSRDSQSSWKNAVAVPKLSLPIIIHLFCYGEIPGPCVQKAQAKVCEKDSKVKNKEAFNTVVVLIACVIQKEQNNESLTGKTRTAGRTIAVGTCWGLARKGVLVF >OGLUM03G26010.1 pep chromosome:ALNU02000000:3:24025927:24030478:1 gene:OGLUM03G26010 transcript:OGLUM03G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSDGAVDELDGSSADGDDLARRCSASVNARGQWPEVFSGGGHGRRNIESDSFAASPPRNSLAGRYMIRSRHGDGCIKAHLWITRCSVRHHGEAVQIGTVGDASYMTDHELKEVLKLECSLREYSQLRKMGEQRDEARRKLHIGCPIKKIRRSNVTGDK >OGLUM03G26010.2 pep chromosome:ALNU02000000:3:24025927:24029882:1 gene:OGLUM03G26010 transcript:OGLUM03G26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSDGAVDELDGSSADGDDLARRCSASVNARGQWPEVFSGGGHGRRNIESDSFAASPPRNSLAGRYMIRSRHGDGCIKAHLWITRCSVRHHGEAVQIGTVGDASYMTDHELKEVLKLECSLREYSQLRKISILI >OGLUM03G26010.3 pep chromosome:ALNU02000000:3:24025927:24029882:1 gene:OGLUM03G26010 transcript:OGLUM03G26010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVSDGAVDELDGSSADGDDLARRCSASVNARGQWPEVFSGGGHGRRNIESDSFAASPPRNSLAGRYMIRSRHGDVGDASYMTDHELKEVLKLECSLREYSQLRKISILI >OGLUM03G26020.1 pep chromosome:ALNU02000000:3:24033261:24034800:-1 gene:OGLUM03G26020 transcript:OGLUM03G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLPAFCRGGRTVAVAAATTTTRVRKRVQRVAAAYHAASKLPPPPAVATKASLGGGCADAPCAGGWCHSYGDGAAAAAVAGAGGGGGGGRRVMVVADGRAEAAGALQWALSQAVRRNDAVLLLAVVRPAANASSDGGGGGGESSCVNISRRRCYQQLDAMRSMCESARPEVKVEVCVMEAAGRERAPAVVEAARRHGASLLVLGQRRRRRAAVARWLQLALWPAVAAAAKSKYWRRRGARRSTTTVEYCIEHAPCVALGVRRRSSGGYLVSSKRHKDFWLLA >OGLUM03G26030.1 pep chromosome:ALNU02000000:3:24061448:24064174:1 gene:OGLUM03G26030 transcript:OGLUM03G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLADDGRPVCCFCLPWPIFNAAHNHNHNSGSIARPRAATRVAPDQGRISLTAPTQHDSMDTFRCPPRPLPWDDPRFSHHTEHHPLVGGHDKASTTFHKSGSLGESKNADSISNSKAVKDDGPSTAVKDDGSSTAVKDDGSSVKHHSDGLHIGKEQVHDLFDFEDDCPICLEEYDYENPKMTLQCNHNFHLCCIYEWMERSQACPVCSKSI >OGLUM03G26030.2 pep chromosome:ALNU02000000:3:24061910:24064174:1 gene:OGLUM03G26030 transcript:OGLUM03G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLCCLADDGRPVCCFCLPWPIFNAAHNHNHNSGSIARPRAATRVAPDQGRISLTAPTQHDSMDTFRCPPRPLPWDDPRFSHHTEHHPLVGGHDKASTTFHKSGSLGESKNADSISNSKAVKDDGPSTAVKDDGSSTAVKDDGSSVKHHSDGLHIGKEQVHDLFDFEDDCPICLEEYDYENPKMTLQCNHNFHLCCIYEWMERSQACPVCSKSI >OGLUM03G26040.1 pep chromosome:ALNU02000000:3:24066057:24067420:-1 gene:OGLUM03G26040 transcript:OGLUM03G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZA98] MGAYKYVSEIWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >OGLUM03G26050.1 pep chromosome:ALNU02000000:3:24070527:24072490:-1 gene:OGLUM03G26050 transcript:OGLUM03G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38900) TAIR;Acc:AT5G38900] MASNAGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQNKDKFDFEVRWHPFFLNPNAPKEGIKKSDYYRMKFGPIQFEHATARMTEIFRGLGMEYDMSGLTGNTMDSHRLITLAGHQGYDKQSALVEELFQSYFCHGKFIGDRQVLLDAARKVGIEGAEELLQDSNKGVDEVKEELNKYSSGISGVPHFVINGKFQLSGGQPPNAFTRAFDVAAKDGAQ >OGLUM03G26060.1 pep chromosome:ALNU02000000:3:24077039:24083879:-1 gene:OGLUM03G26060 transcript:OGLUM03G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRGGFRPEKQRTKAASGGDDDDNDGGGQLTAILNSLVQKASDKFLRGFMGVLTIAREYSTLCESLQMLRQAAESAGRTGLNNKDMTLRFSMAELRLLTFKVEHLLEELRWEAHHNKALVDGHRNRMMRNMYIPLVLPRSMKRKLKMITGQLNALGAEISGFINHVPLVMQNNIVGRVHEKQEIKQKLFCLDRYKHEGLKVLCVVGIEGVGKTTLVQLIFDEVNVKEYFSLCIWVNVSRQFDAMRITKRIIEVATCEPLETQMDHTEEKELQSYLQNILHERRFLLVLDDVCDENTNGWEELRTSLASGASGSTVIVTTRELCVARTLEAPASGIIELGPMSDDEIWSIMRQRMLCGLDDKPELIQVGQSLMQKCHGIPLAAVTLGDLLRKKGTSNEWSSVIEAANEWLALAESDMPTTTAGVASVALQMSYEHLQPDTKRCFAFCALFPEAFEVDGDMLIQLWMANDMVWYDTEGMGAWMLDRLQSRSFLQDVGQPYNGVTIYKMHPLVHGIATSAAGKEIRILHQGHQLTEVMPELHHLSVVGSGLDVDMILPNARGIHTLLSQGEGCRISVSNPDFWKSNSLRALDLHGLLSASVQFSCQDMKHLRYLDLSRSWITSLPEDFFMIYNLQTLRLSDCFYLKQLPENMRFMENLRHIYIDGCFRLENMPSNMGQLQNLQTLTTYIVGNGDGYGIEEIKSMDLGGRLEIYNLKNVRGKSKAEAANLSLKTRMSNMLLCWGMFRDDEVNAYNAEEVMEALRTPMCVQTLKVWRYPGSILPIWWPGQTLANLVKLTIKDCARCKRLPPVQYFPSLEVLHLEGMDSLTLFCDNVSMDTIEVSYYRFFWRLKSLILCDMPSLEKWQEDEVIEVFTIPVLEEMKLINCPKLVTIPNVPMLRCFIVEGQNKQQLYSLAPSSSKSKGPLCRLD >OGLUM03G26060.2 pep chromosome:ALNU02000000:3:24075811:24083879:-1 gene:OGLUM03G26060 transcript:OGLUM03G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFRGGFRPEKQRTKAASGGDDDDNDGGGQLTAILNSLVQKASDKFLRGFMGVLTIAREYSTLCESLQMLRQAAESAGRTGPEMATGGLEVEGGAGRAVGVSGELNTAASHVQRRTSTLLKIWS >OGLUM03G26070.1 pep chromosome:ALNU02000000:3:24090312:24090847:-1 gene:OGLUM03G26070 transcript:OGLUM03G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMLSESRFPPPTIMMGLVAYEKKNINTMKAVLYPENGPPLMFEVELPPLVPSPGALPLALSVDMVAEQPKQKTMFTPTNRGRNTSSRAEALPKNLITRLPVQVLRRRCG >OGLUM03G26080.1 pep chromosome:ALNU02000000:3:24099528:24101096:-1 gene:OGLUM03G26080 transcript:OGLUM03G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEMQMDLRTLHRYGGDGDDDGHGDGEDEDDVLDLDHIELCSFMEQIKSAVQLVRAALQEKVMRMTTNTRGGEDDDGGGDEAFPSGSGHLKSVLESLDPQLKQCALCLAVFPSGKAIKKRLLIHWWIGEGIVRSAAAGKACFQDLLSRGLLQPAMLRPHCHTAHYCRVHPSVRDLLVAAARSFSYFAFDRDGEPTDECLPGTTRRVTLCRTRGSSSHGGEYVTVYNLSQRYVEMDEAWLGEQRGMGTLQLGRWQTSPEHHVEMVRPGGVLGAAAAAACRNLRYLSLRGIWLVESLPESIGDLRDLVVLDLRACHNLETLPASMASLGKLEYLDASECYLLDQMPHGVCKLHRLQVLKGFVVASAAGGKKIPPCRLADLAALPLLRKLSVSTGRQLPVAPDDELPRLHGCAALESLSVRWGAAAAHAGGGGRMDLSLLPRLAKLDLRRVPAEELQEVVHPARGGGLRKLCVRGGRLRAFGDDGTWDVVETLRVRFLERLDCEWRQLRSTFGKLRFVEKRRCP >OGLUM03G26090.1 pep chromosome:ALNU02000000:3:24102963:24110613:1 gene:OGLUM03G26090 transcript:OGLUM03G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G02300) TAIR;Acc:AT3G02300] MDMDDMLGGLRVAGVPTKSAIYLWGYNHSGQTARKGKECHLRIPKSLPPKLFKWQDGKNLRWIDVACGRGHTAAVASDGSLYTWGANDFGQLGDGTEESAKEPQKVKALETEFVKSVSCGAHCTAAVAEPRENNGTISRSRLWVWGQNQGSDYPRLLWGALAPNTASGATEQSTITCASSQFLSLRCLSLEVIRQVSCGAVHVMALSEDGLLQAWGYNEYGQLGRGCTSEGLQGARVLNAYARFLDEAPELVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRVVALDRTVIRDVSCGGVHSCAVTEDGALYAWGGGHVGQLGLGPQSGFFSCALNGSDMLLRNIPVLVIPSGVQLVTCGHSHTLVSMKDSRIYGWGYNSYGQAANEKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNMSNALLIEDVASRTGGDALARLCEKLREHLVEQGECELLENQMIEEVEAKA >OGLUM03G26100.1 pep chromosome:ALNU02000000:3:24112026:24114180:-1 gene:OGLUM03G26100 transcript:OGLUM03G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVTVPSASVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPTKTIRVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVFTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAKTAVSHGLWLNIPDYDAPTQMVKPRERNSRYVDAVMTVPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNATIPKECDTVQKCYLSLAEQVREKLGKIDPYFVKLADAMVTWIEAWDELNPSTAAVENGKAK >OGLUM03G26110.1 pep chromosome:ALNU02000000:3:24131024:24131749:-1 gene:OGLUM03G26110 transcript:OGLUM03G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRLDGVLSLRVEDGPARGGESDDAGWRRRIRPPHGWIRRLHTGSGWSKLLLCGVNGRRRWQCLATRRLAAAGTGGRRARKTQWRPAAEVAVAKADSGGHGGGGCDGRDCGARQRASSSPPLPPAAGGGGFGLDGLCTVAGSVWRCANPRLVVGSVAPAAGCGLNGCGRRCSINVTLPSNIFPALSSLLFEVLYNCDVSLATEPVLLLALTSLALIHHLDVARASPLSGSLVGLLLA >OGLUM03G26120.1 pep chromosome:ALNU02000000:3:24145692:24150628:-1 gene:OGLUM03G26120 transcript:OGLUM03G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVQRGPKRKPKRDWGYGKAHIANNIAAERARLLLPLLLSCLLRPRRAACADLHPGEHRCRPPLLLPPHTLLSDSLSPPLPPGFGSRLHESPPVACADLHPAVAAAAAADGDMNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEIKDVKTPLDQATQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQAAEKLHREKLAAEKEGEKEEETDTNADPMAAAEAQALKQSS >OGLUM03G26130.1 pep chromosome:ALNU02000000:3:24155900:24156837:-1 gene:OGLUM03G26130 transcript:OGLUM03G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMINTQCNRPSACATAPTETTCRRRPGDVVQLYQSNHIDAMRIYLANATILHSLRGTSIAVIVDAPDVRSLASNDATNALSSAAQAWVQANVRPYYPDVNIKYIAVGNERCALRGRPRRPHQGVHCRRNERGRRLAAAFRKRVRRPPPSWVPSSSSWRANGSPLLANVYPYYAYKNDNGVDLNFALFRPSSTTIDDNGHTYTNLFDAMVDSIYSAMEKEGGSDVPVVISETGWPSADGRGASKDNARVYNQNLINHVGKGTPKRPVALETYIFAMFDENQKKGDAIKRNKFTS >OGLUM03G26140.1 pep chromosome:ALNU02000000:3:24167628:24169432:-1 gene:OGLUM03G26140 transcript:OGLUM03G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLAGPCASPSWSDLPIDLLLIILERLELPHALAFAAVCTTWSSAATAAGVPRSRTPWIMSWGNHVDKRLDERRRSAVTCNLYHPGDAVDKIYSVSFPKGSFVACYGASHGWLVLANDLSNLVLHNPVTLAMIPLPPITDFACVEAVYGSEGGNLEHYLLETNSRFEAYRLGIWFYQKAVLSCSPSRGGDYVVMIIHNNGERLSFAKAGQSRWQVASTLSGGDRYLDRAYHKGRFHAVTLHGMVEKWDLDGASNGPTREVIYAARPYGGLGCILTRHLVSTPWGDLLQVRAILARHYPDGIAFQIFKVDPDGCKGVVQGNVLMDHALFLGLNHSACLPTQNLPGIRPHCIYFSAPVIIHAFDWLLGLRVWGGVRTYDVKTGKFERTVPFCDVKEQIYGLFPSEVWITQNL >OGLUM03G26150.1 pep chromosome:ALNU02000000:3:24180423:24185612:1 gene:OGLUM03G26150 transcript:OGLUM03G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWVRPEVYPLMAAMMLASGMVVFQLGRNVCTNPEVKISKRNRRNAVPDSAAEAERYSMHGFRRFFGRRRPEVMPSINRFFSNSDRPNHDENNDD >OGLUM03G26160.1 pep chromosome:ALNU02000000:3:24202625:24203587:1 gene:OGLUM03G26160 transcript:OGLUM03G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYLADDTILHALRGTNIAVIVDAPDVRSLASDDATNASSSAAQAWVQANVQPYYPDVSIKYIAVGNEVEGDDRHKILLAMQNIKDALSAAGLGGHIKVSTSVKMNVVASSPLPSKGAFAEPSVMGPIVKFLASNGSPLLANVYPYYAYMHNDHMDVNFTLFLPSSMTMDDNGHTYTNLFDAMVDSIYSAMEKEGGPDVPVVISETGWPSADGRGASKDNAMVYNQNLISHVGKGTPKRPVALEAYMFAMFDENQKTGDPIEKHFGLFNPDKSPVYCINFSGTSDSCPRSMGLASRPVYYAMVIVCLNLVLLFWPANRL >OGLUM03G26170.1 pep chromosome:ALNU02000000:3:24226283:24226847:-1 gene:OGLUM03G26170 transcript:OGLUM03G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVASSSSSTLPSSPLSPGGGGGGCSVAYSSAILTSGPSLPVEEDRSGCPDPMATTERTAGPPRSGSDSGEDHGGHQQRWQPFSYASASPPQRPLLSTAFSYQRQRQIRMGIVK >OGLUM03G26180.1 pep chromosome:ALNU02000000:3:24236028:24236312:-1 gene:OGLUM03G26180 transcript:OGLUM03G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDEDNGRGAADAGEDDEMDAGDNGGRDTGDEARMVATARRMRMRTTQAAGLDENHSGGGSGRRGRGPRPRRSRWARAAAKADVGDGDGGHGG >OGLUM03G26190.1 pep chromosome:ALNU02000000:3:24260947:24261222:1 gene:OGLUM03G26190 transcript:OGLUM03G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIDTNALMQFLFSVSLFYIPDLMIDWIDPLTNKSTFKIKDTRTSFVEEKKNELEKAKSKLNVISSNKICDVPMVEYTNQLEPKALYFF >OGLUM03G26200.1 pep chromosome:ALNU02000000:3:24266956:24271524:1 gene:OGLUM03G26200 transcript:OGLUM03G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAIGVVVAAVVVVSSLLLRWNEVRYSRKRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSVFRTHILGCPTVVCMEAELNRRALASEGRGFVPGYPQSMLDILGRNNIAAVQGPLHRAMRGAMLSLVRPAMIRSSLLPKIDAFMRSHLAAWSSSSSSAVVDIQAKTKEMALLSALRQIAGVSAGPLSDALKAELYTLVLGTISLPINLPGTNYYQGFKARKKLVAMLEQMIAERRSSGQVHDDMLDALLTGVEGTREKLTDEQIIDLIITLIYSGYETMSTTSMMAVKYLSDHPKALEQLRKEHFDIRKGKAPEDAIDWNDFKSMTFTRAVIFETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPDPMTFNPWRWLEKNMESHPHFMLFGGGSRMCPGKEVGTVEIATFLHYFVTQYRWEEEGNNTILKFPRVEAPNGLHIRVQDY >OGLUM03G26210.1 pep chromosome:ALNU02000000:3:24268232:24273574:-1 gene:OGLUM03G26210 transcript:OGLUM03G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRWPWNDTQGCNGTEMTHLPLWRMIVDTGIGFSKGEICDHTDPIERISAHAASSATLVGQHNQKSKKRKEDDDIHARRRRSRSRSRESTSLVLAWMSTTAEEEEEDQAARWERMKASILGRREERIMAGRTRESMAPRMARWRGPCTAAMLFRPRMSSIDCG >OGLUM03G26210.2 pep chromosome:ALNU02000000:3:24271105:24273574:-1 gene:OGLUM03G26210 transcript:OGLUM03G26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRWPWNDTQGCNGTEMTHLPLWRMIVDTGIGFSKGEICDHTDPIERISAHAASSATLLVDMRREI >OGLUM03G26210.3 pep chromosome:ALNU02000000:3:24269863:24273574:-1 gene:OGLUM03G26210 transcript:OGLUM03G26210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFASRWPWNDTQGCNGTEMTHLPLWRMIVDTGIGFSKGEICDHTDPIERISAHAASSATLK >OGLUM03G26220.1 pep chromosome:ALNU02000000:3:24274200:24275604:-1 gene:OGLUM03G26220 transcript:OGLUM03G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESSILVESYCRSLQPSPLMRDSCIIITPHACLYKTALACVTDEPLFQNSVVKRDNKAWTT >OGLUM03G26230.1 pep chromosome:ALNU02000000:3:24276028:24282847:1 gene:OGLUM03G26230 transcript:OGLUM03G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >OGLUM03G26230.2 pep chromosome:ALNU02000000:3:24276028:24281073:1 gene:OGLUM03G26230 transcript:OGLUM03G26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructokinase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G69200) TAIR;Acc:AT1G69200] MHRMASLLLPPQFLCSLPCSTNSIRSHLHYKPHFLGNIMTKPKAKMRLLNRNVSSMAKKSSQDVAEGSSDDESDGETSKTKKRAPRRGRKKATIQASEGETQEGQVSTEEDESPEGTKKIKRRGRKKAATTASSSEEKDKAKEPKKRGRRKVKTVEELSDNEGEDLGEDLVPSNDRQEKISANDLESKIAALLLEDTDDNDINNLIPLVCCFGPAKYSFIPSGRPANRLIDHEIHEGMKDMFWSPDQFVRAPGGSSSNVALALAASGGRVEFMGKLGDDDYGQSTLYHLNVNGVQTRAIKMDPSAFTAMSLMKVTEDCFVQTDINPAVLKEAKMFYYNSSALLEPTTRSSLSKAIEVSKKFGGVTFFDLNLPLPLWSSSKETKSLVKEAWEAADIIEITKQELEFLCGIKPSEKFGTKDNDKSKFTHYSPEVVTKLWHENLKVLFVTNGTSKIHYYTKEHDGWVRGTEDAPITPFTGDMSQSGDAIVAALMKMLAINPHLVTDKDYLHTAMKHAITCGVIDQWLLARERGFLPRERADPTSEQFGVRFVTEKEYRTLPDSIHTEDSSESELLYVE >OGLUM03G26240.1 pep chromosome:ALNU02000000:3:24296960:24316932:-1 gene:OGLUM03G26240 transcript:OGLUM03G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSYLDSTFLPLLATTMASPLHACLLLALLFLALAFFHPGGVAWALSSSGGHGAAAIPGPRGVLLAFAGPNPHRALASLAASTRGATRLMAFSVGLTRFVVASHPDTAREILAGAAFADRPVKEAAAELMFHRAMGFAPHGGYWRRLRRLASAHALAPGRLAARRRAIGEETVRRVAAAMARDGAVGVRRLLHLASLDNVMASVFGVGLGELGAGAVSELEEMVGQGYELLGTFNWGDHLPLLRLLDVHGVRRKSRALASRVKVFVSKIIEEHKTRRDAKYGGCDGDGDFVDVLLGLEGEERLEEEDMVAVLWEMIFRGTDTVAILLEWVLARMALHPDIQSKAQAEIDAAAASGDAAALPYLHCVVKECLRMHPPGPLLSWARLATRDAHLDLGTDTGGRAALVPAGTTAVVNMWAIARDGGLWRDPGVFRPERFLGDGEAAGVGVAGGAGGCDLRLAPFGAGRRACPGRALAMATVHLWLAQLLRSFRWVPSGDGGVDMSERLGMSLEMEKPLICLALPRTSST >OGLUM03G26250.1 pep chromosome:ALNU02000000:3:24352691:24353869:-1 gene:OGLUM03G26250 transcript:OGLUM03G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGGGGRAGRVGGGGGGGAGGGGGGEGGGGGAAAAAAGGGGDFGRAVARAAVARMLEAAGFACAHRSAVDALVDVLLRYICQLGRAATFHANLAGRAAANECDVIQFLEECGAAYYGFAGAASVSARCLANSAVVKDMAVFVGASKESPFAGRPLPRFPVQRVPLHSTTSFAALGRESGMSHVPEWLPAFPEPHTYVRSELWSEEVAKAGADEVERARQRRKAEKSLLSLQRRLALAGADGFRPGMLVDDAVKANGLDVVESKANPFHERALPYGEKVVSEVTMPGVGKTFSVVEAFAPAFEESKGGEFDEGMDQGQNDSQTQKRVVPKERPPVYFRIGIDKKSMVMALNSRALVELKDPWFFKEDKEQRAELILREAMDNPHELTQL >OGLUM03G26260.1 pep chromosome:ALNU02000000:3:24368308:24369341:1 gene:OGLUM03G26260 transcript:OGLUM03G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDWLKQPLFIQSFAPSSLIYMSNMTNFPKIFLIDDTTVRTQDTNQSYYEITSDAYLAFIRKYVVGIGPWKDTIVPPINNYLGPPTDLVARAHALNLQVHPYTFRNENMFLHFDFHQDPYLEYEYWLGEIGVDGLFTDFTGTLHRFQECTTPYPKNEKNAEALLQKINYMLKDSGY >OGLUM03G26270.1 pep chromosome:ALNU02000000:3:24382037:24384148:1 gene:OGLUM03G26270 transcript:OGLUM03G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFWLISIIVLVLLSGESNANPAASQQSQLDVNHRKPLQTFRPYNIAHRGSNGEIPEETTAAYLRAIEEGADFIESDILATKDGHLICFHDVILDATTDIANRTEFANRKRTYEVERQNVTGWFVVDFTLEELKSLRVKQRYNFRDQQYNGKYQIITFDEYILIALYADRVVGIYPELKNPIFINEHENNLPIYEI >OGLUM03G26280.1 pep chromosome:ALNU02000000:3:24399697:24404533:1 gene:OGLUM03G26280 transcript:OGLUM03G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55840) TAIR;Acc:AT5G55840] MSASSLASSSYRRRIVQSRDAVSSPAYWSRLPARARPPAHTRIGAGVLPAATSVHGVESSIITVLAMQRWETLSQMAYKFGKLDKAHGKLALRMLDSIVQQSGLDRITHIYCMAVHILVQAQMPSQAMSVLRHLALTGFSCSAIFSSLLRTISRCDPTNLFSVDLLVNAYVKEGKVLDAAAAIFFMDECGFKASLFSCNNILNALVGINKSEYVWLFLKEILDRKFPLDVTTCNIVLNSLCTQGKLSKAESMLQKMKNCRLPNAVTYNTILNWYVKKGRCKSALRILDDMEKNGIEADLYTYNIMIDKLCKLKRSARAYLLLKRMREVNLTPDECSYNTLIHGFFGEGKINLAIYIFNQMLRQSLKPSVATYTALIDGYCRNGRTDEARRVLYEMQITGVRPREVSKAKQILKCMLADGIDPDVITYSALINEGMIAEAEQFKQYMSRMKISFDVASFNCIIDSYCQRGNVLEAFSVYDNMVRHGWPPDICTYGSLLRGLCQGGHLVQAKEFMVYLLEKACAIDEKTLNTLLVGICKHGTLDEALDLCEKMVTRNILPDTYTYTILLDGFCKRGKIVPALILLQMMLEKGLVPDTIAYTCLLNGLVNEGQVKAASYMFQEIICKEGLYADCIAYNSMMNGYLKGGQINEIERLMRNMHENEVYPSSASYNILMHGYIKKGQLSRTLYLYRDMVKEGIKPDNVTYRLLIFGLCEYGLIEIAVKFLEKMVLEGVFPDNLAFDILIKAFSEKSKMSNALQLFSYMKWLHMSPSSKTYVAMVNGLIRKNWLQQSYEILHDMVESGLQPKHTHYIALINAKCRVGDIDGAFELKEDMKALGVVPSEVAESSIVRGLCKCGKVEEAIIVFSSIMRAGMVPTIATFTTLMHGLCKEFKIDDAFQLKQLMESCGLKVDVVTYNVLITGLCNKKCICDALDLYEEMKSKGLLPNITTYITLTGAMYATGTMQDGEKLLKDIEDRGIVPSYKHPESLEWRMENAIKRLNTIRNCRKGISFKNEVELLPVDHEAAN >OGLUM03G26290.1 pep chromosome:ALNU02000000:3:24404935:24406305:1 gene:OGLUM03G26290 transcript:OGLUM03G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Presenilin-2 [Source:Projected from Arabidopsis thaliana (AT2G29900) TAIR;Acc:AT2G29900] MADAAAATVPGEASSAAATTTVLDSLGEDITRIVTPVSTCMLLVVLLVSLLSSPSSPSPFTAAFSAAAGPGGGGDDITTALITAVTFVVAVTAATFLLAFLFYLRCTPCLRAYLGFSSLSVLLLLGGHVALLLLSRLRLPLDAASFALLLPNAAAALALAALSPASVPIALHQAALVAIAVLTAFWFTLLPEWTTWALLVAMAVYDLAAVLLPGGPLRLLLELAIERNEEIPALVYEARPVDPRHGHNWRLWRERTQSGAELDANSTVEVLGEVLGTNLGASSAGNLGVSAIRSNERVGLAGDARNLRLGTSMPNLSSDSASAQVEVLPASPEISVSVPEMRVPLIQPRPERTRDEEDDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFYRKALPALPVSIALGVVFYVLTRTLLETFVMQCSTNLVMF >OGLUM03G26300.1 pep chromosome:ALNU02000000:3:24410104:24416850:1 gene:OGLUM03G26300 transcript:OGLUM03G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRGIPLEEIGVGGMAQAEKQRWCKLCNKSFPSYCSLGGHMNLHITRRRKKKPPPSPRKAPPITGASGSRYDFRERRRQRPVAPQSQPDHASSDDEPWTLAPKTECQLCFKAFHSCDALSMHMKAHGHHGRKMVMVEQRASRKTSSANFCGVDDGDHGFAAVSYAHCKRARSKRIRMDVFPAPVVVVTHGTEVEDAACILVMLSKDAYKGSDSLDEDPQMDGSLECGPQKTEMEPSSYRLGVTGDTELIKPENSSSYEEIKFGSLSNVVKATAIHECRLCGKVLASGSALGGYMKSHSVPAHKKVATFPKTSVTPSRKQLLEVQNELHELNLPALSNRDCSSTRTESELNPWWVASSIQSERMMGVRSIKMKHDKLWDLTNFPETPPSSMPHGSDGARWRPVPHEAPPLPLPRLRREDGQEKRRRWPFRSIARGVKLIVPAPAPALALARGNVRDQEHLVASRAVTRRGRTRGHAVVDQHEAVAVVGDVDRGHVAVGQGGPAPRVVDVAGDGAAAAAGWRGGGAGEELRGVEEVVEVEEDAGEGEREEVLAEAVVDGRAPRQDPISWKPKLVIAAVARRRHVETREGGLRVGAAGRGGAGEGLKLPGSGCSAMKMLFAEWSRRHHRWRGLRDRRQWRSHTRGRLCGCCAMSRLRARLSRMRRGQRELQASRQWQHRHGEAGHETG >OGLUM03G26310.1 pep chromosome:ALNU02000000:3:24413984:24414352:-1 gene:OGLUM03G26310 transcript:OGLUM03G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDIDYCEDPNEDDDAQSQTVQAAYYDWDFDNGAKSIDIQDNSVQGCHRGFGFLAFHPFKEVVFLHYSLERELAYNLNSFKVQDLGNLCPKDYGFDTEPYVESSFPYTPCWMEVFPEEQI >OGLUM03G26320.1 pep chromosome:ALNU02000000:3:24414362:24416901:-1 gene:OGLUM03G26320 transcript:OGLUM03G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCNKAYPSTPMYASDVSPRLMSSLPMAVLPLPASLELSLATTHSAQPRTKPAHGTASTKPTPRVAPPLPAISEPSPAMVPSAPLRKEHLHGAAAATRELEALSGATSACGSHPQASFSCLHMSPPRDGGDDEFGLPRDWILSDTTLAACRRICTSWRAAIDDRLREDLLPLSLAGIFLNLHDLFYPTQFFSCPTTTPPGGGGGAVSGNVDYTRRRSTLPDCHMPSINITDHCNGLVLVNNCVTYPATRRWERLPDCQLPRRHPRHVASTASARFAAVREHLVFDPAVYEVFLIPHVAPRQRERRRRRRDDEFYPTSDGSEWPPSPFFLSVFSSETRQWEGRRFVRDGPPAGTVAAMRLHHASEVGTEYHCQVKYQVIGPPLSFDIQIISFRTIREGCVFCTFEFKSTFEESCGQIGWVLKLDNNLKPILPHFKDGKIK >OGLUM03G26330.1 pep chromosome:ALNU02000000:3:24417645:24419093:-1 gene:OGLUM03G26330 transcript:OGLUM03G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAD1] MVKICCIGAGYVGGPTMAVIALKCPDVEVVVVDISAPRIEGWNSERLPIYEPGLDDVVRQCRGRNLFFSTDVERHVADAGIVFVSVNTPTKTRGLGAGKAADLTYWESAARIIADVSRSDKIVVEKSTVPVKTAEAIEKILAHNSKGGNIRYQILSNPEFLAEGTAIQDLFSPDRVLIGGRETPEGRAAVAALKSIYARWVPDDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVTEVANSIGKDSRIGPRFLSASVGFGGSCFQKDILNLVYICECYGLPEVANYWRQVIRINDYQKGRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCKGLVGDKAVVSIYDPQVTEEQVQRDLVMNKFDWDHPRHLQPMSPSSAKHVAVSWDAYEAARGAHAVCILTEWDEFRRLDYQRMYDAMHKPAFLFDGRNVVDPDKLRRIGFVVYSIGKPLDHWLRDMPAVA >OGLUM03G26340.1 pep chromosome:ALNU02000000:3:24428993:24429398:-1 gene:OGLUM03G26340 transcript:OGLUM03G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGFTTIPGRNSSSSGVLGLSNVTILPLIHTLHSRRLCGLIRRNGGRSGWWRGRRIEGELLADADLVVAKFATNDDVGNAEGHRGGWRGRGR >OGLUM03G26350.1 pep chromosome:ALNU02000000:3:24429382:24433665:1 gene:OGLUM03G26350 transcript:OGLUM03G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNPNNTYHMYYGIVQRITKMPSPLVVRVVLPIGCRRLPTCRSSPSTACCCSLVHHRPLPWKHFLQFAMY >OGLUM03G26360.1 pep chromosome:ALNU02000000:3:24440121:24441801:1 gene:OGLUM03G26360 transcript:OGLUM03G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVYELHRLYRVQKQLMSDLNRSPPELTCRRRQRRKQHARRRALNLQLPADEYIVVADAGGQATPLPPPPPSSREDELALTLAVGGGGAAGRRNNKRRESSPFTSNCSGGSLTTATSTSTSSSTDSDGSLRQPPPCPRAMAFDVLHDGSTAAAAAAAPWLQQRLSLRMA >OGLUM03G26360.2 pep chromosome:ALNU02000000:3:24440530:24441801:1 gene:OGLUM03G26360 transcript:OGLUM03G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQMEKLVRQCDMEVMKMAMLKHEETFKQQVYELHRLYRVQKQLMSDLNRSPPELTCRRRQRRKQHARRRALNLQLPADEYIVVADAGGQATPLPPPPPSSREDELALTLAVGGGGAAGRRNNKRRESSPFTSNCSGGSLTTATSTSTSSSTDSDGSLRQPPPCPRAMAFDVLHDGSTAAAAAAAPWLQQRLSLRMA >OGLUM03G26370.1 pep chromosome:ALNU02000000:3:24443622:24448453:-1 gene:OGLUM03G26370 transcript:OGLUM03G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTIVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKVAWRKAEEEQKQMCAANEL >OGLUM03G26370.2 pep chromosome:ALNU02000000:3:24443484:24448453:-1 gene:OGLUM03G26370 transcript:OGLUM03G26370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPPPPHPTPPEQVGDGVEARSCASPTPSLRRKGSPNRSGGSARKSSSSREFGSSILNSVNKSASQFKKSINRKSGSPIDWFPRKKTEPYLKRKIKRLQESNGMTASLDETLGSANPHYTRMAREKIAAREAARKAMEARKAAMVEASWCRILHAARIQKKDAEEVMEKAKFRATEAFEEARVIGVMMYDRPDCSSQQYEVESSSQTGGRSTHKVTASFQTGFEVDMEVAAAVKKAFIQLANSSISSNKEEFKELLWKISQNPDVTEIDANSEDEQHQGDCNSEDKRNLKFNKETLGTGIFPSDFDNTNVQQSNDLVNIMLERLKALHEDELASLAVIVATSGLNAVLQSDRGKYQETESVNSFTSQRAHSRRYSTAASFVDVLQPKKEVTSELPSLDKFLVKHLSKLEKEVHEAREAGRKASSVNSCAQGAQRQITGRNPKATDSASDLSSILVKHVSKLEKEILEAKKNNNTRIQLLEESCKKVEAHVEKDASKESEFYNAQSESFCNSGSVGSCNSRESYEKSKHGRDCSQDKENKILFSHQLPPSGAKGKQGGKRLTRIEAAKLEALNSFCTKDGNAFDVGLDKILIRPIHRLEREKKKALEHGQTNVQKDPQKNGDRTIVTGSLDEILVKHVSRLEREKIDYERRNALGEGLTNVPHDQRKHGNNATASESLDQVLVKHVSRLEREKIDYEKRNALEEVLTNVAHDQRKHDNNATASESLDQVLVKHVSRLEREKVEYAKRNTLGERTSEQNHQERHSNTNIASDSLDQILVKHVSRLEKEKMEHGKSGDMIFLKKNDSKCTNEEADLSDILVKRSMKLEQAKLASSAAEETLTGSFNPVQERRRAREKELMDAWGGVGLGNSMKPHLSKIEKDKYKHVKRTMAHNVSTGFLRLRGEKLRRNRSRCVLQMSYNHSSQYFYWILLYVLFVVSHIHFQLGGESMPSSYSLCLYILLLVK >OGLUM03G26380.1 pep chromosome:ALNU02000000:3:24465090:24465368:1 gene:OGLUM03G26380 transcript:OGLUM03G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNLNTALTPKNDRWRIKVEVIRLCEAVNPTMADDFYGQLNTCLYLKAIGEPLQAKN >OGLUM03G26390.1 pep chromosome:ALNU02000000:3:24484562:24486859:1 gene:OGLUM03G26390 transcript:OGLUM03G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLLVAVAVAMAAVVVAAEERATYIVHMAKSAMPAEYGDDHGEWYGASLRSVSGAGKMLYAYDTVLHGFSARLTAREARDMAAMDGVLAVNPEARYELHTTRTPEFLGIAGNDGLFPQSGTAGDVVVGVLDTGVWPESRSYDDAGLGEVPSWWKGECMAGTGFNSSACNRKLVGARFFNRGYEAAMGPMDTTRESRSPRDDDGHGTHTSSTAAGAAVSGASLLGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYARDSVAIGAFAAMEQNVLVSCSAGNAGPGTSTLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNYTGVSLYAGKALPSTPLPIVYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTATNGEELVADAHLLPAAGVGAKEGAAIKAYVASDPSPTATIVVAGTQVDVRPSPVVAAFSSRGPNMLTPEILKPDIIAPGVNILAAWTGKAGPTGIAADTRRVAFNIISGTSMSCPHVSGLAALLRSAHPEWSPAAVRSALMTTAYSTYAGAGDANPLLDAATGAPATPFDYGAGHVDPASAVDPGLVYDLGTADYVDFLCALNYTSTMIAAVARSKSYGCTEGKAYSVYNLNYPSFAVAYSTASSQAAESSGAAATTVTHRRTLTNVGAAGTYKVSAAAMPGVAVAVEPTELAFTSAGEKKSYTVSFTAKSQPSGTAGFGRLVWSDGKHSVASPIAFTWT >OGLUM03G26400.1 pep chromosome:ALNU02000000:3:24492224:24494579:-1 gene:OGLUM03G26400 transcript:OGLUM03G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTANSNKNKFQFGNARSKLRNFTLEMHAVFDGIPQCRVEGGGCRRGCRRGGPRRGGAPAHLRAPRRPVSVGCLSHVTPPASGGGGCYGYRVTPPTSGGCSRPPRAPPSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATKCDRTKEQIEMLTLKLIFVDEELASGRWKTKLSKSHGRVVYLSLREEKAWLLGNLAWAHMQSENYDEAEMLYRYTFESGSLAILLPLASLQHKSVTLKVR >OGLUM03G26410.1 pep chromosome:ALNU02000000:3:24496203:24498978:-1 gene:OGLUM03G26410 transcript:OGLUM03G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNYMKVAKVSSCKRLAVAAHCMPAGRRDPVQIDLTPHLHLHAARSPSLGFWCISSPI >OGLUM03G26420.1 pep chromosome:ALNU02000000:3:24501747:24502004:-1 gene:OGLUM03G26420 transcript:OGLUM03G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELHRSLSLSLLSLSSPASSASRRRQPGAADLAPKLADLALPWPDWDGDDGGAGSGGRGADPSTPQLLVKLIGRIGWWRCGWI >OGLUM03G26430.1 pep chromosome:ALNU02000000:3:24503955:24504626:1 gene:OGLUM03G26430 transcript:OGLUM03G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLALVILLAVAAGSWQPAATSTPATVSPAPAVSPVAAPAGELGGGGAGARRDQDREFVRGCCARTLYPRLCTAALSPYAAAVGSSHARLAVPSANLTAGTINSLGGRVPSPSTTGTTESPAGALGDCAEAVASAADLAARAAGRLDGVERAVAGPEVLWRVRDAQTWLSAAMTYEGTCADALRPAASAPTPVRAELRAGVRRAMQHTSIALALVHMLIRTSA >OGLUM03G26440.1 pep chromosome:ALNU02000000:3:24507531:24510693:1 gene:OGLUM03G26440 transcript:OGLUM03G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPLPNPKRDAITTTTIRFFSLRIEKKAKEPLDPPTTPRPPRRRRKRRRSRMFFSRFDPWPVFFRREWKRCWPFLTGFAVTGAIITKMTAGFTEEDLKNSKFVQAHKKH >OGLUM03G26450.1 pep chromosome:ALNU02000000:3:24512211:24513804:-1 gene:OGLUM03G26450 transcript:OGLUM03G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVSAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLASVRNAYTMGKVRMVATEFETAGRLVKNRNAARCAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTTASMFAGARCIGERKVNGEDCFILKLCTEPETLKARSEGLAEIIRHVMFGYFSQRTGLLVHIEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSISETVELSHGEKSKVGPPPGHRAKVAALEKAVDGKVAWSGTILEDHN >OGLUM03G26460.1 pep chromosome:ALNU02000000:3:24560492:24562953:-1 gene:OGLUM03G26460 transcript:OGLUM03G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHASAAARAADADDHQRPALCRSSFLPAYSDVNDDGLEGSAFRPLIVSVSGDSHSDDDRPFSGGGRVPVPPFSWRRLWLFTGPGLLMSVAFLDPGNLEGDLQAGAAAGDALLWLLLWTTAMGLLVQLLSARLGVATGRHLAELCRGGYPDWARRALWLMAEVAMVSADIQEVIGSAVAIRIHSHGFMPLWAGVVITGLDCFIFLSLENYGVRKLEGLFAVLIATMALSFAWMFIETKPNGKDLIIGILVPKLSSRTIRQAVGLVGCVITPHNVFLHSALVQSRKIDPDNEHWGFYGTKEAGSIGLENAGHFLQEKFGGEFFPILYIWGVGLLAAGTSSTITGTYAVIMSGFLNWKLKRWIRALITRSFAIVPTIAVAVWFNTSDSALDVLNEWLNVLQLIQIPFALIPLITLVSKEEVMGVFKIGPRTQIATWIIASVPIIATGYLLMDFFSSEMRGVLSGSVICVAVLVYASFLFYLIIRGMKLPNSSI >OGLUM03G26460.2 pep chromosome:ALNU02000000:3:24560492:24562953:-1 gene:OGLUM03G26460 transcript:OGLUM03G26460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHASAAARAADADDHQRPALCRSSFLPAYSDVNDDGLEGSAFRPLIVSVSGDSHSDDDRPFSGGGRVPVPPFSWRRLWLFTGPGLLMSVAFLDPGNLEGDLQAGAAAGDALLWLLLWTTAMGLLVQLLSARLGVATGRHLAELCRGGYPDWARRALWLMAEVAMVSADIQEVIGSAVAIRIHSHGFMPLWAGVVITGLDCFIFLSLENYGVRKLEGLFAVLIATMALSFAWMFIETKPNGKDLIIGILVPKLSSRTIRQAVGLVGCVITPHNVFLHSALVQSRKIDPDNEHWVREALRYYTIESTVALVAPFMINLFVTTVFAKGFYGTKEAGSIGLENAGHFLQEKFGGEFFPILYIWGVGLLAAGTSSTITGTYAVIMSGFLNWKLKRWIRALITRSFAIVPTIAVAVWFNTSDSALDVLNEWLNVLQLIQIPFALIPLITLVSKEEVMGVFKIGPRTQIATWIIASVPIIATGYLLMDFFSSEMRGVLSGSVICVAVLVYASFLFYLIIRGMKLPNSSI >OGLUM03G26470.1 pep chromosome:ALNU02000000:3:24592653:24596460:-1 gene:OGLUM03G26470 transcript:OGLUM03G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRSPPLDLMGGDALPSARSEAAATPSHPPDPVEGKVVGGDAVASVMTVADQAEAMESMASTGDGGLPSTRSSERGAVVAPTLSPPLDSAIGKAAGCPPPDLVRGGGGNGSALSFARSSERGGNGLHTTAVLLLSVPSPLLPLSRRPTVALPLSAAVGRNLLSVAGCHDDRDNSARLMARQWGGRGIPMSGPLAPKCM >OGLUM03G26480.1 pep chromosome:ALNU02000000:3:24597215:24597575:-1 gene:OGLUM03G26480 transcript:OGLUM03G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRAALLMLLLLVVVAAASWPQPCDAASGFCASKCAVRCGRGRGRGSDCLRSCGLCCEECNCVPTGTGGSTRDECPCYRDMLTAGPRKRPKCP >OGLUM03G26490.1 pep chromosome:ALNU02000000:3:24597259:24606539:1 gene:OGLUM03G26490 transcript:OGLUM03G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSSGPEEY >OGLUM03G26500.1 pep chromosome:ALNU02000000:3:24604041:24607201:-1 gene:OGLUM03G26500 transcript:OGLUM03G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADADADAAGERAAGAGLGSRSAAEERSLLHPSLFSAYSDEDLVERAFEPAQKIVVSISSDPDDPDADDEHLYYGGHEPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGATAGDTLLWLLFWATAMGLLVQLLAARLGVATGKHLAELCRDEYPDWARRALWLMAEVSMVSADIQEVIGSAIAIKILSNGFLPIWVGVVITALDWLSSRVISQAVGLVGCVITPHNVFLHSALVQSRKIDPHKEYQVLFAKGFYGTKEAGNIGLENAGHFLQEKFGEDFFPILYIWGIGLLAAGTSSTITGTYAGQFIMSGFLNWRLKKWIRALITRSFAIVPTITVAVYFNTSDSALDVLNEWLNVLQSIQIPFALIPLIKLVSKEQVMGVFKIGPRTQIATWAVASVPIIINGCLLLDFFSSEVKGLLSGLVLFAAVIAYVSFLLYLIFRGIAVFS >OGLUM03G26510.1 pep chromosome:ALNU02000000:3:24609926:24615858:1 gene:OGLUM03G26510 transcript:OGLUM03G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHSSAAASASASAARGLRVPLPSPPPQQQQQQQLFQAALRLPRRRLAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGQNRDISKDNHHNINLGSPVTNFRLSEEDMLQDTEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGVLNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDLII >OGLUM03G26510.2 pep chromosome:ALNU02000000:3:24609926:24615858:1 gene:OGLUM03G26510 transcript:OGLUM03G26510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTASCLRPPLPHSSAAASASASAARGLRVPLPSPPPQQQQQQQLFQAALRLPRRRLAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDSEGQNRDISKDNHHNINLGSPVTNFRLSEEDMLQDTEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKAPPPLGNASGGVLNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESFPSGDDLII >OGLUM03G26520.1 pep chromosome:ALNU02000000:3:24617870:24635868:1 gene:OGLUM03G26520 transcript:OGLUM03G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTASNAIQTAAAIGAALVDARRGEEAQAGRGQEKKIHERLTSLAHIGRQLLPYPHQQQLFQAALRLPRRRFAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKIHKTTLQLPTYHKTIGKPTKPDSPGPLNNELHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDCLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVV >OGLUM03G26520.2 pep chromosome:ALNU02000000:3:24617870:24635868:1 gene:OGLUM03G26520 transcript:OGLUM03G26520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTASNAIQTAAAIGAALVDARRGEEAQAGRGQEKKIHERLTSLAHIGRQLLPYPHQQQLFQAALRLPRRRFAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKELHDLRRKNSTLQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDCLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVV >OGLUM03G26520.3 pep chromosome:ALNU02000000:3:24617870:24635868:1 gene:OGLUM03G26520 transcript:OGLUM03G26520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVTASNAIQTAAAIGAALVDARRGEEAQAGRGQEKKIHERLTSLAHIGRQLLPYPHQQQLFQAALRLPRRRFAGVGVVAASASPFDELYARGRPAHGSSKKSILWNLIQDIEPLDLSVIQKDVPPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDFEGQNRDISLDNHHNINLGSPVTIFRLSEEDLLQDSEKNDEELPCETVGEDLGNLTPQAEDYIIQLQSRLDAMKKQFVGEEKNDLLDYLRSLTPEKVAELSESTSPGVQEAIHSVVHGLLATLSPKIHSKASPPLGNASGGALNLGGEDDDCAELVENASLPFQPLISVPRDCLARLLFWCMLLGHYIRGLEYRLELAQLLRISTDVESSPSGDDLVV >OGLUM03G26530.1 pep chromosome:ALNU02000000:3:24650954:24652714:1 gene:OGLUM03G26530 transcript:OGLUM03G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMELPDDMITEVLRRLPPRSLAACRRVCRSWRAVVDDRRLLREDLLPLSLAGIFLNLHDLYPTQFFSCPSATGPAVSGNVDYTRRRSSLPDGHMPSINITDHCNGLVLVNNCVTNPATRRWERLPRRHPRHVARTAAARFNAVHEHLVFDPAVSPRGYEVFLIPHVAPRERDRRRRRRDDEFYPTSDESEWPPSPFFLSVFSSETRQWEGRRFVRDGPPAGTVASMRLHHASEVGRGVYWRGSLYIHCQTNFVMRISLSSDKYQVIGPPLSFDVCRYKEFHLGRSEKGVYFALLSSNQLRIWFLEESCGQIGWVLKLDNNLMPILPHFINVKLSDDGPWSLQDIDYCEDPNEDDDARSQTVQAAYYDWDFDNSANSIDIQDNSVQGSHRGFGFLGFHPFKEVVFLHYSLERGLAYNMNSFKVQDLGNLCPKDYGFDTEPYVESFFPYTPCWMEVFPEEQT >OGLUM03G26540.1 pep chromosome:ALNU02000000:3:24699873:24725034:1 gene:OGLUM03G26540 transcript:OGLUM03G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLLLHDSCKTRTTKWLTGEGVVGGFDWQENALTEVGRGFWALGRVGEVGATSDNCNEYDDCYNDYGCSEHGGGNDDDYGDGGVDVGIVQGVPQNRQGGGGPGVRCQSYGRYAKRPLRFLETNPQS >OGLUM03G26550.1 pep chromosome:ALNU02000000:3:24725132:24725535:1 gene:OGLUM03G26550 transcript:OGLUM03G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDLELAGDKRWLVWGGHWSSASGESEQRMFSGGGACRAIDWMYPKGCMHGAHRSSDALRVDLGSDVDAEDVPAAAEIGMATVTTTIRTPGCQEKEWNGSKTI >OGLUM03G26550.2 pep chromosome:ALNU02000000:3:24725538:24725834:1 gene:OGLUM03G26550 transcript:OGLUM03G26550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHESGIAPKLTRDQGIEDRCRRPELEKMTPISLLDSPIHENDYENPTAVVVVRSRAFVGTVAFPLNRCIEWDEIR >OGLUM03G26560.1 pep chromosome:ALNU02000000:3:24726043:24727479:1 gene:OGLUM03G26560 transcript:OGLUM03G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGIFRASTRRGHASNAPEGYRLGLSLSSGRLEKLHRGRASQGGCQVGPDLAEGEQKGKLACRR >OGLUM03G26570.1 pep chromosome:ALNU02000000:3:24740055:24742162:1 gene:OGLUM03G26570 transcript:OGLUM03G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKENAAAAQPRLTRAAAKRAAAVTAVAVAAKRKRVALGELPTLSNNNAVVLKPQPAPRGGKRAASHAAEPKKPAPAPAPAVVVVVDDDEEGEGDPQLCAPYASDINSYLRSMEVQPKRRPAADYIETVQVDVTANMRAILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSAKAINRQKLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYMKQEVVKMERDILNVLKFEMGNPTTKTFLRMFIRSSQEDDKYPSLPLEFMCSYLAELSLLEYGCVRLLPSVVAASVVFVARLTLDPDTNPWSKKLQEVTGYRASELKDCITCIHDLQLNRKGSSLMAIRDKYKQHRFKGVSTLLPPVEIPASYFEDLNE >OGLUM03G26580.1 pep chromosome:ALNU02000000:3:24745480:24746202:-1 gene:OGLUM03G26580 transcript:OGLUM03G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGDEASVNRGWLELRLGVNGGGGVETVPVTAADSSASSEAGEADTVTPSQQQQQQQQQGSPSCPAASSAPNKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRTPSSSPYHLHHHRMMMAGAGLPLEAHAAFMRAALRVNPAGSAIHKQQQQHQPPPPITQDATAPRFHDGAAVAAAAAVTPWAPVAPLAYDEVLSSSASSWPGSFRFRTQPEPPPSSEQEPPSEQSKKIDLSLRL >OGLUM03G26590.1 pep chromosome:ALNU02000000:3:24751363:24755893:-1 gene:OGLUM03G26590 transcript:OGLUM03G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGRANPDFVETAKEKKNDAVKTVELHGSDYAEDAIPRRMQPNSPKCQVPILMRSAERIRSSYYRRQRPPKCQIPPQCIGSSLCREGGGRGDGEGEEEEEEKGESENVSLSMGKEMQIS >OGLUM03G26600.1 pep chromosome:ALNU02000000:3:24758088:24758360:-1 gene:OGLUM03G26600 transcript:OGLUM03G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRLLATATCLLALLLAAGWMVAAADARRLLDDVEYTGTAMPPAPAMAPVAEPGMDVHGGRMMLAEGRGLLAGGLRLAGRLLLGLGL >OGLUM03G26620.1 pep chromosome:ALNU02000000:3:24789739:24789984:-1 gene:OGLUM03G26620 transcript:OGLUM03G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIVQTGGGKEAQRGGEGERGKRRTRREGGMVEEAHREGRRGDRGAEAAHLEEDDASAARSCEGEAALGVGREGREERR >OGLUM03G26630.1 pep chromosome:ALNU02000000:3:24792475:24799290:1 gene:OGLUM03G26630 transcript:OGLUM03G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLPPLPRGGPFVVAPRPPRSGVSKPFVGEVLVGKISGYDEKGLHVSLDFFNDICIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEGSIKGDGLGLLAWWSADEEEGEADAEE >OGLUM03G26640.1 pep chromosome:ALNU02000000:3:24808583:24810630:-1 gene:OGLUM03G26640 transcript:OGLUM03G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGDSLRALSAVPAAFTDRDMAGLYGNVGAAAGTSSSSPDNDNSSASAAPRRKRPRNNGAGAGVGQQQLPHAVAAVLQSCELDLCLTPVSPPAVQLVGGGGGASDEHSTTTCEEASDGDGAGAPTLLNLFS >OGLUM03G26650.1 pep chromosome:ALNU02000000:3:24816658:24817838:-1 gene:OGLUM03G26650 transcript:OGLUM03G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVAQDSSSARAIPGWFFSAPSMALLGGSFVVGSSTTPAFFLFLVGLEVDPDPASLRRTGRTARREAPVMAPPLPLPRRHRGSLVAPAPTLPPGHHTARRRILEWITAATPKHLREKQCLGHRVGRALWASPPPPEQAVTGRRERREKERKRDGELTCGPKGIFDISRDFSLLLNQKSLF >OGLUM03G26660.1 pep chromosome:ALNU02000000:3:24820268:24823527:1 gene:OGLUM03G26660 transcript:OGLUM03G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWHLLTYTIGTDDIDCWQNESLAWRRVLADPSFSGRYRGLHGPRAPVLGVLHNPTDCELDRFVPTATSSSFRPYAHASFYSLDTGEAASGGWTTSASTSAPSWSLEGYYLEDDRPAAHVVGDSLYFVGKSGVLLRYRYGRLLAIDSDVLSVIQPPPDAKRRLRLGYTVVMASPENELWMGILHRHMLSLWAREEEDDAAAAANAGWVRRSVIDLKPVLPWPIGKPKGKE >OGLUM03G26670.1 pep chromosome:ALNU02000000:3:24845647:24851640:-1 gene:OGLUM03G26670 transcript:OGLUM03G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVVLLRSCAISRLDRGGAPISIWRAEQGRGRGSCYLPGSHLGWTYGAMKHMKLGSKPDLFQTEGGNIRFVATELATDIVISIGDVNFCLHKFPLLSKSSRLQRLVASSNVEGNDELDISDIPGGPSAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSIFRAWKDSIIVLQSTKSLLPWSENLKVINHCIDSIASKALIDPSEVEWSYTYNRKKLPSENGHDSHWNGVRKQLIVPKDWWVEDLCDLEMDLYKRVIMMIKAKGRTSPIVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAVLETIIFLLPTEKGSVSCGFLLKLLKAACLLEAGESCHDILIKRIGTQLDGASVSDLLIPANTSENTLYNVNLIIAIVEEFVSRQSDTGKMKFQDDDEIVEVENLTPVSSTSNLAVANLIDGYLAEIAKDTNLPLSKFIAIAEMVPPASRKNHDGLYRAIDMYLKEHPSLSKSEKKALCRLMDCKKLSQDACLHAVQNERLPLRVVVQVLFFEQIRASAASGRTDAAAELTSAVHSLLPRENGNSYGSSRSVATTTTEEDGTGVPSSCDINSFRSLRLANNSGGSERSSGSSDINNSNNKSCDDKSSSKSKGSLMPKKILSKLWSGKTNASENSSSDTSESPGSLNPEEVKSTTSRNTRRLVT >OGLUM03G26680.1 pep chromosome:ALNU02000000:3:24876456:24882184:1 gene:OGLUM03G26680 transcript:OGLUM03G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLGGRHDGEGRRGNGDEDDAEVDASPVCEGPTHLGLLTSSVASSTVTVVCKEGRGGGGGEAAAEEEARQHGRIELGGCRRLLSGLELSEPPLPELRPKRVMPLIQEGREHQPLPTSATTLPPQHLLPRCHNHHLQLRLPRHHHHLRLLLSLAAACCCFGKGGDEGEILRSHSRLLRPPVAAGKETDPAKRQILQRGRGEEEAQIHGARGTEGCLGIGTSSYGIHGAAPFLPPWCYSVRDQQSLRTISPPRVIVVRPRKRSKGHRGPKAATTMAPTHSFPMVSAPLPPFVDYAYAVAGSIEFSRG >OGLUM03G26690.1 pep chromosome:ALNU02000000:3:24882500:24901440:1 gene:OGLUM03G26690 transcript:OGLUM03G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASVAPIAVMLGDGSGQGGGALGGSGSSNTQRRSSRFHVAYIALFECPEKEEDVEGEKADVAIAHRLYLPTRLPPSRLDLAALKLVEVKEGVSARIWRRAMALAHPPLSRPRQSTAC >OGLUM03G26700.1 pep chromosome:ALNU02000000:3:24919729:24921170:1 gene:OGLUM03G26700 transcript:OGLUM03G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRSKLLVPTTDFYVPKGDVRVASDLDRVAAIDWSKAVFRALSDSIRCWRQNPASSIASCVVFLVMFTKDIVDKLVAADQEAGGDGMPPFGNLPLRPLESTCYANKPTDRGKGPMVEDIRAAAYTFPNMSTILGPHLVGLPSDQRLGLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLRANRAAQSPLIVPQAAQRQEASRRQSDVQSTSGEINPNDSEEQQQQHHGCDSSPSALDQHTIHPNAPTHVSPSMEIVPYILPVRLEVADQPQPGSAHSPDLTHCSPIPMNSAPVTSEEVAAQYSRKTPRKIHQPLRMGV >OGLUM03G26710.1 pep chromosome:ALNU02000000:3:24922138:24923289:1 gene:OGLUM03G26710 transcript:OGLUM03G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECEVVLPQTLASGGASSSREVGEAAQSKPKRRRRATSAGEGPSGDEPPETKGHNLTRCSAALAAQACRALSAVHHEKLEEIGLEAEACMSLESLEQPDLIRWLMDRTDPVSRPEKYFFQNASVLIPVPGKAGVHQTNMIKKAHLYYIDNIYIITKALQA >OGLUM03G26720.1 pep chromosome:ALNU02000000:3:24946117:24946824:-1 gene:OGLUM03G26720 transcript:OGLUM03G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVLDGAAPMLSSPPAASGEEVGARKPQQRCGGEEGRWSKRKRSSRRHRDRAAAQPHGSEEEHLALSLLMLARGHRDPAPQEQHGCSVCGRVFSSYQALGGHKTSHRPRTPPMTAAVVVADEPAATTASPAASSSNSGSGSGGGNKVHECSVCKKTFPTGQALGGHKRCHYEGPIGSGGAAAVAGRGFDLNLPAVALPDIMTERCLPAAAEEEEVLSPLASFKKPRLMIPA >OGLUM03G26730.1 pep chromosome:ALNU02000000:3:24964521:24981824:1 gene:OGLUM03G26730 transcript:OGLUM03G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRVSIAHQTAFALRLAAALSSPAHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPGSAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDASLSLKKTFGDVAVGKYKAETHSVDFQTKWLLLPSNLANIDWEHYLLLPSLEKKLEKYGKIFFLVFTIVGIIYVIMWFPFTAILEIMSLKDDEPITHWTSGKAAEVASQVNSWVEKVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYISSYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVTVGQFKLPKFKISFGFEASDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVAAEVLGQVNSWVDRVTSGLIKNIATPRSINHNTKLVLANALYFKGAWAEKFDVSKTEDGEFHLLDGESVQAPFMSTRKKQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFMENHIPMRPVHVGQFKLPKFKISFGFGASGLLKGLGLPLLFGSEVDLIKMVDSPGAQNLFVSSVFHKSFIEVNEEGTEATAAVMVSMEHSRPRRLNFVADHPFMFLIREDVTGVILFIGHVL >OGLUM03G26730.2 pep chromosome:ALNU02000000:3:24964521:24981824:1 gene:OGLUM03G26730 transcript:OGLUM03G26730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLRVSIAHQTAFALRLAAALSSPAHPAGGAGRNVAFSPLSLHVALSLVAAGAGGATRDQLASALGGPGSAEGLHAFAEQLVQLVLADASGAGGPRVAFADGVFVDASLSLKKTFGDVAVGKYKAETHSVDFQTKAAEVASQVNSWVEKVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFHLLDGKSVQAPFMSTSKKQYISSYDNLKVLKLPYQQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFLEKHIPTRQVTVGQFKLPKFKISFGFEASDLLKSLGLHLPFSSEADLTEMVDSPEGKNLFVSSVFHKSFVEVNEEGTEAAAATAAVITLRSAPIAEDFVADHPFLFLIREDMTGVVLFVGHVAAEVLGQVNSWVDRVTSGLIKNIATPRSINHNTKLVLANALYFKGAWAEKFDVSKTEDGEFHLLDGESVQAPFMSTRKKQYLSSYDSLKVLKLPYLQGGDKRQFSMYILLPEAQDGLWSLAEKLNSEPEFMENHIPMRPVHVGQFKLPKFKISFGFGASGLLKGLGLPLLFGSEVDLIKMVDSPGAQNLFVSSVFHKSFIEVNEEGTEATAAVMVSMEHSRPRRLNFVADHPFMFLIREDVTGVILFIGHVL >OGLUM03G26730.3 pep chromosome:ALNU02000000:3:24971305:24981824:1 gene:OGLUM03G26730 transcript:OGLUM03G26730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDATATDLRVSIAHQTRFAFRLAAALSSPRPHPAAGGAAGAGGSNVAFSPLSLHVALSLVAAGAGGATRDQLVSLLGVPGRGTAEGLHAFAEQVVQLVLADSSPAGGPRVAFADGVFIDSSLSLMKSFKDVAVGKYKAETHSAAEVASQVNSWVDRVTSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDGEFRLLDGKSVLAPFMSTSKKQYLSSYDSLKVLKLPYQKGRDLRQFSMYILLPEAQDGLWSLAAKLNSEPEFLEKRIPTRQVTVGKFKLPKFKISFGFEASDLLKILGLQLPFSSKADLTGMVGSPERHNLFVSSLFHKSFVQVDEEGTEAAAASAAVVSCRSAPVTVDFVADHPFLFLIREDMTRVVLFIGHVVNPLL >OGLUM03G26740.1 pep chromosome:ALNU02000000:3:24982710:24984607:-1 gene:OGLUM03G26740 transcript:OGLUM03G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAALTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRSRADGQLVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECRDLIARIFVANPATRISIPEIRNHPWFLKNLPADLMDDSKMSSQYEEPEQPMQSMDEIMQILAEATIPAAGSGGINQFLNDGLDLDDDMEDLDSDPDLDVESSGEIVYAM >OGLUM03G26750.1 pep chromosome:ALNU02000000:3:24985686:24988614:-1 gene:OGLUM03G26750 transcript:OGLUM03G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGLWDRQLGATEWCCGTASVPCLRSAQPVWEAGATECIGAADWGCGTVSVPRLHSARSAGQAAGPAATTTPHSHAAGPTRFHTPSPRDRAGPAPPVRATVFPRCHLVPAFPACEEEEIVPNQISSSRVRCGCTAAGGGWAGEACDDVGYRRLLPVALSNQIRYGSPSLSSLHGYTLSLHPQATTMMEESGTLDGDGSSIRCDMA >OGLUM03G26760.1 pep chromosome:ALNU02000000:3:24990099:24992563:-1 gene:OGLUM03G26760 transcript:OGLUM03G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRVYYGDGDIMSNEMGVDLSNFSQCTLYHPNPDNLTMPEVWYWLTFSFSLDPQVYSVNSLHIEQVEQTELPSMVQQHATGEANEGEEINATVDEIERVDRHAREDEANLAQEEDEEADDDEYEVQPVPASWNREDLGYIGENELHDTNIFRASRKIIAGSTAVIPSIPHTSTTDQWQGGFVRFTGSSQMVPPMHTEAGSSQFQGAFSGVPQVNMPVFSTGMNDQWQGAPTYNTGLHLQGMYQMSINENTQPQGPSFLEMLGHGDWLFSQPPIMQPQTIGIYNPEQIMGYAGST >OGLUM03G26770.1 pep chromosome:ALNU02000000:3:24995128:24999832:1 gene:OGLUM03G26770 transcript:OGLUM03G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04420) TAIR;Acc:AT1G04420] MRHRGARDQSPSRISIMWDQAGADWSRKPPRCRMPNVQCYPNCGPAGATGHGYPSTASPPLLAPSAAAVAFSPPLCGILHARTAGDQVYAGNFSMQQMAVSAFTANPSSSHGNTLSGLCSRRPEIWHRRRIGSRIRAQAQSQMQYRKLGDSDLVISEVTLGTMTFGEQNTEKEAHDILSYSFDQGVNILDTAEMYPVPPRKETQGRTDLYIGSWMQSKPRDKIILATKVSGYSERSTLLRDNAKVVRVDAANIKESVEKSLSRLSTDYIDLLQIHWPDRYVPLFGEYCYNPTKWRPSVPFEEQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVQGLPKIVSIQNSYSLIVRCHFEVDLVEVCHPNNCNVGLLAYSPLAGGVLTGKYIDTNPDISKKSRLNLFPGYMERYNASLAKEATNEYVKLAKKHGLTPVQLALGFVRDRPFTASTIIGATTMDQLKENIDAFTSAPRPLAPEVLDDIEFLFKRYRDPTLS >OGLUM03G26780.1 pep chromosome:ALNU02000000:3:25000300:25000680:-1 gene:OGLUM03G26780 transcript:OGLUM03G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSRRRRVHPPRIHPSPPPPRPSVKPHPSPTIAAASISCCHRLIPLHPSITAAVTAASIHHRRRRRCIHVPPRAIAAAVAAVLADRSGKRAELEGEIEWEKRKEGGWERKGCRVNGEKGKVGTL >OGLUM03G26790.1 pep chromosome:ALNU02000000:3:25001335:25004283:-1 gene:OGLUM03G26790 transcript:OGLUM03G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSLAGAAEAGRSSAAAALRRSLHAGGREEVESVAYRMSMLRPPPVVPRRGLPRNSCSLIGRLDGPVRPCGGSSDERPMAYTFLSVSSSPSSPPSSSPSNFRVTLNLQGELAHVSLKHLKQNDLVYVSGLLNSYHKVDPSGEKHTFYKIHVTDLNYVLDQNQRPQNDENSSDKSSMLSTTDEILTEKKYIDRLRLWQVFFASPYEWWDNRQSKPYSYYPDFKHKDTGEKLWLRADDPPWVRRQLELQDQQLAENGHRDGSRTLKNHTWKSQDFDCSPSQDFGYSDDEELLHSSGA >OGLUM03G26800.1 pep chromosome:ALNU02000000:3:25008383:25008829:1 gene:OGLUM03G26800 transcript:OGLUM03G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGMSRRRRFTLDERRWLDFFKGLPCMHVFRVRDVHARLPWQGIGLGLTGEGEIEDGARQPELEKMMPISKIVAPLDGVLGSKRRGGRGEADGVVARLAMVHCTPNGDNVKAAMCRERSKVGDDTTAFPSIRYTREDVIKRKKSSAC >OGLUM03G26810.1 pep chromosome:ALNU02000000:3:25028638:25028872:-1 gene:OGLUM03G26810 transcript:OGLUM03G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEALKDQARGCAGHRHAVPVSLSSQTAGNGVPSVLPRRCRRRMRQGHQAKEVGGEGAEGVSPRLLGT >OGLUM03G26820.1 pep chromosome:ALNU02000000:3:25030863:25032903:1 gene:OGLUM03G26820 transcript:OGLUM03G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPEQREDAVNSLAYEAEARLRDPVYGCVAYISILQLRIKQVRDQIVDARKELAAYIGPTAFAPVVAAAAPHPHYLPPADYHHQQLQAQGGGGGAGMGAAGYAHQVVQHQMAGLQVQHPHPQPHHHHHHQQMVNAQHMALVEVARDQDMLRARQAGHANAGATVAVEAPGSSSLNADTFDGGPFLLRQQPPSSVQTEPAMALPYHMEPSPPQPSSGHSHDEVSQHHHHHHHHRHHHTDGSDEGSGGAAPPG >OGLUM03G26820.2 pep chromosome:ALNU02000000:3:25030863:25032903:1 gene:OGLUM03G26820 transcript:OGLUM03G26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDQPAKFANVHKVFGASNVTKLLNDLQPEQREDAVNSLAYEAEARLRDPVYGCVAYISILQLRIKQVRDQIVDARKELAAYIGPTAFAPVVAAAAPHPHYLPPADYHHQQLQAQGGGGGAGMGAAGYAHQVVQHQMAGLQVQHPHPQPHHHHHHQQMVNAQHMALVEVARDQDMLRARQAGHANAGATVAVEAPGSSSLNADTFDGGPFLLRQQPPSSVQTEPAMALPYHMEPSPPQPSSGHSHDEVSQHHHHHHHHRHHHTDGSDEGSGGAAPPG >OGLUM03G26830.1 pep chromosome:ALNU02000000:3:25040073:25044510:-1 gene:OGLUM03G26830 transcript:OGLUM03G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALPRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKFYPEKAGGTTDMLCVRTGSALSCIKWIVVDVDALVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >OGLUM03G26830.2 pep chromosome:ALNU02000000:3:25040073:25044510:-1 gene:OGLUM03G26830 transcript:OGLUM03G26830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L25/Gln-tRNA synthetase, anti-codon-binding domain [Source:Projected from Arabidopsis thaliana (AT5G66860) TAIR;Acc:AT5G66860] MALCLRRAAAAAVGEALRRGASTAPWRRAASASYHHTIQALPRETAGPRAAARERRSGRVPAVLLALAGAGPGEGVAHRKLLTADRKQLGEILKQSPYFLSTPVRLQVRAGERSTAVVHSGTVLPIKVHRDETTGNILNLVLVEADEGTMLKVNLPVEFKGEDKIRTSLVYLCPAEHIPPKIEVDLANLDIGDRVSMNDIPVHPSLKLLSKNETMPVCKILASKPVE >OGLUM03G26840.1 pep chromosome:ALNU02000000:3:25042726:25054559:1 gene:OGLUM03G26840 transcript:OGLUM03G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKGRPRPQASWAMARGLGFNNIEERRREANEHAKPTKASRMGTGQHDQIVRMNAKAKYQRPGLSEIREIHLTALLPHLHATAGSYSKGPTRYRMGEVDVCLEDADEPSLLELAIHRHSSR >OGLUM03G26850.1 pep chromosome:ALNU02000000:3:25053404:25054537:-1 gene:OGLUM03G26850 transcript:OGLUM03G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRHTSTSPILYRVGPLEYEPAVACRCGNKAVRWISRISDNPGRWYFKCVNARSGGCDYFAWVDGPLSSFLREVLNDLRDEMWKLRREKGDFPAAVEEGRFAQSELVLARNELATSRKAVGEKEAIVGVLKDTNSRLEFERYSHGGSMRIDPGVLAFLDGAGPADVFFFAGS >OGLUM03G26860.1 pep chromosome:ALNU02000000:3:25064353:25065218:-1 gene:OGLUM03G26860 transcript:OGLUM03G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLQSLLACLRAPAATALGNQHVCTAVNTCIRVVHQAGAKGELLQRFSRHAMHELIRCVFARNRRGGEDTLLVPCYKLQIGILNVECT >OGLUM03G26870.1 pep chromosome:ALNU02000000:3:25090179:25091543:1 gene:OGLUM03G26870 transcript:OGLUM03G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVSLSVQLRDFLLTNGGHMDSVKLLEIDGSVAYGYDVLKSFSNGNLTEGLFMDAFSSILFKEDMRNRPDTFRKRIFLPTSVSYLLNNDFIKQNGETHDFSADALAGNLRDYIQNVPMTKTQMIMLPVLHHDHWSLYAINIAHRRVDIMDSNNYLLIGTLESDHYRALSERIVKGLSDALQEVAPKSFCRFGGFRRNMMKCPKMQICSNDCAFYIMRFMEAYDGNRESIETLSIPTDSSLVRSSILHQLMFNEYNQAAPLHPDIEMFRRSDVVDPVA >OGLUM03G26880.1 pep chromosome:ALNU02000000:3:25098791:25107457:1 gene:OGLUM03G26880 transcript:OGLUM03G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGEDEEQRRDGSGDGSAWRRSGGGGGSGMRRSGDEEEQRWWRMREAREKRLRAPPRVRPHLAPP >OGLUM03G26890.1 pep chromosome:ALNU02000000:3:25107556:25159354:1 gene:OGLUM03G26890 transcript:OGLUM03G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVLDRADMTESTAAERRPHPGVRFTSSMRWLVQSEPSRWATMPSKGWEDWSLPAPYPHQRLVVLPSNRVTASRRGRWHPAVAGATTGAAPLPQVKTYWRSRRQLPAVARRFLVRAVEQHLQHAHTLTISLTLMPMAEGGDR >OGLUM03G26900.1 pep chromosome:ALNU02000000:3:25124584:25124976:-1 gene:OGLUM03G26900 transcript:OGLUM03G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETESTKTHFVDPTHNPTTDATPQQDEHESDLPEEFGVTSPSPLSPPPYPLSPSMEDDDMIYAEDLGYMSTPCPSPPSDVDDLNLPEDPNNKIILHPAFIDNGGDLDIIQEDIYNFRYDQTPPRDA >OGLUM03G26910.1 pep chromosome:ALNU02000000:3:25163979:25164586:-1 gene:OGLUM03G26910 transcript:OGLUM03G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKWLCRTYAMTTICFCPLRRILKMRLFHWMSTFVCGLQWRLRHLLPMMVISLSQVIFLCGITGSQLMPAGRSQELC >OGLUM03G26910.2 pep chromosome:ALNU02000000:3:25163197:25164602:-1 gene:OGLUM03G26910 transcript:OGLUM03G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFKFEKRDLYHIHASLVPIANMTLLLKLMYDHLKFAIRDTVRYTILLQLPYVTDWPTRIVLNMLLMHSYNFIRGLYEVPPDEPGQTELNEKQISALKMLGLAVVPGQRSLTQFQQRVIKASKFMDFLRNRTSHRMDALNVFASYSPEGSELSSYVCYPLILPHLQDALYDANELSKLDMKSLF >OGLUM03G26920.1 pep chromosome:ALNU02000000:3:25217483:25218883:-1 gene:OGLUM03G26920 transcript:OGLUM03G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSSFPTATATASFPNWVMLERFVFRRDDDKSFPDDTKATLRASGSGSHKTPFTIAFRIADPPAISRLYVQWPQGPNPEEMVACHLVATHRNLVLVCFCYIVECPVPACPQDYFLFTASGDDPVSSPLLKALPPCTYQPKGGEPLPCTYQPEGGFPPSDVEGDGNLRYPLEFRSVGILCQGEEFAVAELQVLRNINANVKARLCVLRSAISSKGEDGDGGGRWDIMELPIVYGSGEEYWDIFYWTTDTVIAFQNYLCWVDYDRGMLFCDVLQKRPGIAFIRFPLDSFPNGRSRRHFSQVYRGVSVTTECGGSGALKFADVNRLDSKLLGSLEPGRGYTITCHTLRTLGLDVGAIEWSKDFAITSKEIWSFKGPELVPHEVLLFPTVSMEMPNVMHFLTCDYEHVIRKMSVVTIDLASKTVLSVIPYVNGQEDLSGEDSDMVRAKSSYPQSFLPSEFSKYFNSI >OGLUM03G26930.1 pep chromosome:ALNU02000000:3:25223433:25224275:1 gene:OGLUM03G26930 transcript:OGLUM03G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSRQWKSSPVPYRVGPLDYQPVVMCQCRCPAKAARWISWSTDNPRCRYYKCQHARAWCDGPTSSFIRELLNDLRDMVNSLRRWKELLQKEVEDSRAKGERQRREIDYVRAMVAMKKEEIRSLKARNQKLEKEKKILVICMMSSFCHAISNGDDKYEVKHYTHRFTVNLDKKECSCRYWHLSGLPCPHAIACIYFKTNSLDAYIAECYSVDAF >OGLUM03G26940.1 pep chromosome:ALNU02000000:3:25230878:25232295:-1 gene:OGLUM03G26940 transcript:OGLUM03G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGPLEYEPAVVCRYSNKAARWISWIPDNPGCRYFKCVNARSGCCDYFAWVDGPSNSFVREVLNNLRDEVWKLRREKGDFSAAVEEGRCVQSELVLARNELATSRKVVGEKEAVVGVLKDRNSRLKFEICVILLVDLGLVVVVFAIWVGNESGIFLVAVECNVVSYGMELDSHGGSMRIDPGVLAFLDGAGPADVFFFSGS >OGLUM03G26950.1 pep chromosome:ALNU02000000:3:25246788:25252727:1 gene:OGLUM03G26950 transcript:OGLUM03G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRTTDDCSMLFKKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLHDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSLKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >OGLUM03G26950.2 pep chromosome:ALNU02000000:3:25246788:25252727:1 gene:OGLUM03G26950 transcript:OGLUM03G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49980) TAIR;Acc:AT1G49980] MAGTPPDGGGDRPWESYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEAITKQKIDHLRAQCAKLTDNDISHFQKVAEHKILELEASRDLSKIWLHTDMDAFYATVEILENPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPELVFVRPNFERYTYYSELTRKVFQRYDPNFVATSLDEAYLNITKVCFDRGITGEEVATELRGAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDQEAVTTFVSTLPIRKIGGIGKVTEQMLRQVLGISTCQEMLQKASFLCALFSESSADFFISVGLGLGGTETPEQRLRKSISCERTFRTTDDCSMLFKKLDSLADNLADDMQKECLKGRTLTLKLKTAAFEVRTRAVTTQNYINSKEDILIYARKLLKAELPLSLRLMGLRMSQLHDEKDDSSTQTQKTLDRFFRTPDNSNVIGANSPSIGNTTGGDNYCTNVMTKVDYLEHDSMDDQALFLHEKNLFVPEGRSSDNYNNDAASSNPLMCDGVGGKELDDDSSSLKGTHTVKFDGQLTSSNATTSSSKPDQLFWINGYICSICGFELPPGFEEERQEHSDFHLAEMLQQEEAVDSTGPLSKERLAERPCSSTPTPKKKLKSSKEGKHIPIDAFFHKVNKNL >OGLUM03G26960.1 pep chromosome:ALNU02000000:3:25253453:25259204:1 gene:OGLUM03G26960 transcript:OGLUM03G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAK5] MHSGVNGCCSLRLPAAAAVHGRRIPPLLPPRGAWPGCIAAPALHRKPGRGGGGALSSCRRASHHEKLQVAALPSKATLEFEHGVSLRSAYIVPEDVQAAGFQIDADELASIVESRDTKKLTVHGQLNGIADKLGTSLTNGIVTDKDLLNQRQDIYGVNKFAETEIRSFWEFVWEALEDTTLIILSACAIFSLVVGITTEGWPQGAHDGVGIVASILLVVSVTGTSNYQQSLQFRDLDKEKRKILVQVTRNGLRQRVLIDDLLPGDAVHLAVGDQVPADGLFISGFSVLVDESSLTGESEPVFVNEDNPYLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAVLTDGGDDETPLQTRLNGVANTIGKIGLFFAVLTFIVLSQGIIGQKYLDGLLLSWSGDDVLEILDHFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATVICSDKTGTLTTNRMTVVKACICGNTIQVNNPQTPNMSSNFPEVAVETLLESIFNNTSGEVVTNQDGKYQILGTPTETALLEFALLLDGDCKEKQQGSKIVKVEPFNSTKKRMSTILELPGGGYRAHCKGASEIVLAACDKFIDERGCIVPLDDKTSSKLNDIIKAFSSEALRTLCLAYREMEEGFSTQEQIPLQGYTCIGIVGIKDPVRPGVRQSVATCRSAGISVRMITGDNIDTAKAIARECGILTKDGIAIEGAEFREKSAEELHDLIPKMQVLARSSPLDKHTLVKHLRTAFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFTSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPNNNLMKKAPVGRKGKFITNVMWRNIVGQSLYQFAVMWYLQTQGKHLFGLEGYHADIVLNTIIFNTFVFCQVFNEISSREMEDINVLRGMAGNSIFLGVLTGTIFFQFILVQFLGDFANTTPLTQQQWLISILFGFLGMPIAAAIKLIAVEPHEKADTRRTP >OGLUM03G26970.1 pep chromosome:ALNU02000000:3:25263167:25263445:1 gene:OGLUM03G26970 transcript:OGLUM03G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVDTNLVVMTHDVAVDWMSIESSPSMPSCRRITLPKILDGSRRKGENRMDIPESITWELIKPPAGGRTALPPRPYDSMDHVGVSASPPN >OGLUM03G26980.1 pep chromosome:ALNU02000000:3:25265078:25272321:-1 gene:OGLUM03G26980 transcript:OGLUM03G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64960) TAIR;Acc:AT1G64960] MPPARRRRRTAAEVDGEAVPSSAADLLALAATLVPAAADAPLRDPPHLKHLVHSLPDSHPVLLSLPGALAPPLSDGGAPGYPPRAAAVLLHLLLTHPSHPPRWGDLLPPLARLHDRLAQLATDDPPLAALAVACFELAWRAAAPGREAVVAQTLPYLFAEALSCGSATARPVLRRLLALRDALALLDYDDDDSISDFKMLLLRCFVSPLFLKAEEGRKLLSLVLGVSEGLAREGLELIRAQVGMPGVKRAALVAYGEVVFRAWKDGGWVRGEVGEAFLQGMLEGAVHARSKELAKSARKLLSAFVEQRMVAGVEKLIFQLAEPVLFRDSNVANSNVRHNSLHLLLDLFPLEDPDVTKDVNDPLLEKQYFLLDKLLMDDCPEIRTVAIEGLCRILNQFWEVIPSLTISKFLSKIVDDMSKDSCTEVRVSTINGLIYLLDNPQSHEILKVLLPRLSDMVSDPALSVRSSAVDLLLAIRDLRSFQFNKVVGLDTLLSSLSNDHPRVAQKITKLLIPSYFPTKLPLKEACARCIALIKRSPTAGARFCEFALSEGSPPRSLVELIKVSITLALAPTGMNSEQTDGLVIASANLIKSLSEERSSLASLREFFANAKLKLLFKTEISEGARSALLSMAPVVSPDDLSALHDECMNVVMNAAGVSTQQGCQEAVLAAHKLVFSSGWSDEMFEALTNILQSKVSCFAEIYDIEPPICPVATSKRKKGKSLKKTPAKSGHDIGNGSSSEDFDIVAGASWQINDILKDEEKRVAFLQSSYSDVAFSSLKVICQVYIEQCLQFDSLNATPLLAYLSLATHSALQDIDQTDISTSESTTINHSLDHLLNCFDKLLNESVTGSTNSSKLKQNKKSARQKHHHGVPEGNALRGTVNVYMLGTSILKFIVDTITIKLISDNKVGCLNFALSFTKYASSAIKMHQEQSSSFKGNDLKDILMLIRSSFTYAAKLLHLVLANSIESQSPPEEAFFLANNLLDLVPSVESAAGSKFALSLVSVVKQWLPVVIMGLGCRWLIGPQAEGNMCDFGGSCLPLWVVALAKNELLDDEKPRDDDQSEQASEDSQSSRKLAEMMVILLKKGSPKILDSVAGVFLSTLKLALQRAEYGVVLGLTRFVCVRLLGSDSSASEKLHLAHDSLRENFFEINKHVRDDLVDSEESRQQLESAKALIRSILSDV >OGLUM03G26990.1 pep chromosome:ALNU02000000:3:25279533:25293125:-1 gene:OGLUM03G26990 transcript:OGLUM03G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKTEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYVEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQFLLLDLDVVCNLCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFLNAQIESEEMVNLLLELQYRFLFS >OGLUM03G26990.2 pep chromosome:ALNU02000000:3:25279533:25293125:-1 gene:OGLUM03G26990 transcript:OGLUM03G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAQLELLCEKLYNSRDSAERAHAESILKCFSENSDYISQCQYILDNASTPYALMLASSSLLNQVNDRSLSLQLRLDIRNYVINYLATRGPKLQTFVIKSLIQLVCRITKFGWFDDDKFRDIVKEAADFLSLASQDHYFIGLKILYHLVGEMNQANAMPLTLHRKIACSFKDQFLLQIFQISLTSLHQLKTEVPDDFRRDPLSLALRCLSYDFVGCPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTCVSKEALECLVRLASVRRSIFVEDPSRTQFLSHLMSGTKEILQTGQGLADHGNYHEFCRLLGRFKVNFQLSELLSIEFYGEWIGLVAEFTTKSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGDTPSMLDETVPKITEGFITSRINSVQASFANDSSDDTLDNVDVLQEQLESLPYLCRFQYQNSSIYIINIMEPLLQAYMERSRLPAPGDANELSVIEGQLTWLVHIIAAILKIRQTIGCSQESQELIDAELAARVLQLINVTDTGVHAQQLYARLSELLGLNDHLVLLNVIVGKIATNLKCYAECEDVIDHTLSLFLELASGYMTGKLLLKLESTKFIIANHSRETFPFLEEYRCARSRTTFYYILGCLIFMEDTPVKFRSFMEPLLQVAVNLEATADAAFRTDVVKYAFIGLMRDLRGIAMATNSRRTYGLLFDWLYPSRMPLLLKAISLYADEPEVTTPLLKFMCEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGSRILLLPNGTDIYGSKYKGIWISLAVLSRALCGNYVNFGVFELYGDRALADALDISLKMSLSVPLSDILAFKKLSKAFYGYVEVLFSNHITFVLNLDTNTFVHIVSTLESGLKGLDTGISTQCASAIDSLAAFYFNNITAADGPPSPAALNLARHIGEFPTLFPQILKTLFEIIIFEDAGNQWSLSRPILSLIMISEQMFSNLRAQILASQPVDQQQRLSQCFDKLMTDVATNLEPKNRDKFTQNLTTFRHDFLNAQIESEEMVNLLLELQYRFLFS >OGLUM03G27000.1 pep chromosome:ALNU02000000:3:25293177:25298275:-1 gene:OGLUM03G27000 transcript:OGLUM03G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFPRKRPIRPSLHSLARRSRRAASRACRQPGSERSHRAASTTSPPPHFLPPKEKLRVRPLLLIAASSSAAGRRRRLPSIAGSLRRPPSDPSAARLLFHWEVLVLSVEPIFFVGFLPHALSSSVLSVELSDNSTQAELWLNLVAY >OGLUM03G27000.2 pep chromosome:ALNU02000000:3:25293467:25298275:-1 gene:OGLUM03G27000 transcript:OGLUM03G27000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLFPRKRPIRPSLHSLARRSRRAASRACRQPGSERSHRAASTTSPPPHFLPPKEKLRVRPLLLIAASSSAAGRRRRLPSIAGSLRRPPSDPSAARLLFHWEVLVLSVEPIFFVGFLPHALSSSVLSVELSDNSTQAELWLVLAI >OGLUM03G27010.1 pep chromosome:ALNU02000000:3:25325930:25333905:1 gene:OGLUM03G27010 transcript:OGLUM03G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGVRRRPTLSRRKDGERPLILDSNASLVSAPCVAASTAAAATAQLQMCEEYKKLKHTAYQNLESTARPFRRGA >OGLUM03G27020.1 pep chromosome:ALNU02000000:3:25326520:25326865:-1 gene:OGLUM03G27020 transcript:OGLUM03G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLSPSFLLDKEDVFACYSAMLSQPTSPASKSTTTTTGKRSSSSSCSESTDAASSYDATAASFPAAASCGSKRMRLELPGGILR >OGLUM03G27030.1 pep chromosome:ALNU02000000:3:25340158:25341040:-1 gene:OGLUM03G27030 transcript:OGLUM03G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDEYSAGCSFSLMCQEDSTDLDDDGGGGGCFAGDGRADLLLVYNAAAAAADKDEEEVEEYMDHLVSKESSFCSSSSSTSSSSCCFSDAGGESAAAAAPMDWFALARRATVKWILETRGCFGFCHRTAYLAIAYFDRFCLRRCIDRSVMPWAARLLAVACVSLAAKMEEYRAPALSEFRAGVGDDGYEFSCVCIRRMELLVLSTLDWRMAAVTPFDYLPCLSSRLRRH >OGLUM03G27040.1 pep chromosome:ALNU02000000:3:25345956:25346761:1 gene:OGLUM03G27040 transcript:OGLUM03G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTMTSVDPFLADLSRSPHPGHRALPPVPGKDEDGGSCNRGRRPEQSGTMVAATAEDGAAAGVIAARGHSGGTAAGDGLMNKVAQLMDGVDGARSGKETGPGKEKFRNTVPFLIHCIRNYKPMDTHTLKIMVAQ >OGLUM03G27050.1 pep chromosome:ALNU02000000:3:25364657:25366054:-1 gene:OGLUM03G27050 transcript:OGLUM03G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVIAEAGWSSLDMSSQAEESEMMAQLLGTCFPSNGEDDHHQELPWSVDTPSAYYLHCNGGSSSAYSSTTSSNSASGSFTLIAPRSEYEGYYVSDSNEAALRISIQEQGAAQFMDAILNRNGDLGFDDLADSSVNLLDSIGASNKRKIQEQGRLDDQTKSRKSAKKAGSKRGKKAAQCEGEDGSIAVTNRQSLRCCTSENDSIGSQESPVAAKSNGKAQSGHRSATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAMHYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQH >OGLUM03G27060.1 pep chromosome:ALNU02000000:3:25369889:25373369:-1 gene:OGLUM03G27060 transcript:OGLUM03G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTALGGRAPARLGLAPKDGVFGSNLKQCGGFMLKTTPKVGSSSVRVRASVASSPQKQHSPKTSGVKSGEEIVRLLANHPQFRIKVMTADRKAGEQFGSVFPHLITQDLPNLVAVKDADFSNVDAVFCCLPHGTTQEIIKGLPQELKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKVSNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICMKRGMQSTMFVEMAPGVTANDLYQHLKSTYEGEEFVKLLNGSSVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENTGLQYQPLFP >OGLUM03G27070.1 pep chromosome:ALNU02000000:3:25377927:25379328:1 gene:OGLUM03G27070 transcript:OGLUM03G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLYGCQGFPYRLESVAVDSGLSSSHSSTVDAKSTSPEHHRCLIRLFRAPRMSDLPFHTSFALLNTAARATVAYTSRLTTATPPLCRCRSRHCLCKPLPIDGPAANGEEGGERCYSG >OGLUM03G27070.2 pep chromosome:ALNU02000000:3:25375776:25377919:1 gene:OGLUM03G27070 transcript:OGLUM03G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNPVAIPIDEGPSGHEQHEQKLITKFLRPKIADDTIKLPFIHDADVYSAAPPDLAARHVPARGTDRGDGAGAVYYFFCPVHRGGGGVGARRRQRAVGGGGGSNGEAGHCGCWHPEGGKKAVLDAGGRRVGHLRRLSYGVRERGSGRRLTRLGWCMTEFGVDHGGGGGGEADAGGLVLCKMYRSPRAAQVEARLQAAAASTSGSKRKQAADDLIHAPASSRHRHADVMPAGVDGDEVGSIHPSVQFPPPPEEQTLVQTRDGPRTDHEVIMALAMGATVDELLGPKHGEPGESSPFPAPAAEPCSISGGGDIFWTASGVVPCPDMAMAFPAPPAGEFSWDKELAWIRELLSGSRPSSCSAV >OGLUM03G27080.1 pep chromosome:ALNU02000000:3:25393218:25395145:-1 gene:OGLUM03G27080 transcript:OGLUM03G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSMDQAFVQAPEHRPKASVAEADGIPVIDLSPLLAAGDGDADGVDALAAEVGRASRDWGFFVVVRHGVPAEAVARAAEAQRAFFALPPERRAAVARSEAAPMGYYASDHTQNVRDWKEAFDLVPRRHPPPRARTGASSWWCATACRRRWWRGRRRRRAFFALPPERRAAVARSEAAPMGYYASEHTKNVRDWKEVFDLVPRQTPPPPTTAVADGDLVFDNKWPDDLPGFREAMEEYGEAVEELAFKLLELIARSLGLRPDRLHGFFKDDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTVLYQDDVGGLDVRRRSDGEWVRVRPVPHSFIINVGDIIQVWSNDRYESAEHRVAVNVEKERFSIPFFFNPAGHTMVEPLEEVVSDESPARYNPYNWGEFFSTRKNSNFKKLDVENVQITHFRKN >OGLUM03G27090.1 pep chromosome:ALNU02000000:3:25399913:25405802:-1 gene:OGLUM03G27090 transcript:OGLUM03G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQVMEAALQQLPASMASGSLLLPPACLQHPLPAAAAASGGVGGSSREQCPRCASHDTKFCYYNNYNTSQPRHFCRACRRYWTLGGSLRNVPIGGSTRKRPRPPVRRPPVHFAAASAPPHHHHHHHGGPLTPPPATSSSSQQAGLLGSLFALGAAPLLEGRVGVGFDLGLGLPGPGHHHAVAGGGGPAAAVATSSSSAAAAPLLWPTGLLDSSSNNAETWRMAAGGMWPEFTAAAAAAQIESVADDSANSVQNIRLVIDIGDTTIQVPLNGPTVVQNIGRQAAAAVAGDSSAGGVSEKTGGAGGGGGEEWMQEQDGLLCMRGWLMALATLFAAMAQRCGRRGGCLPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLPDAARCRQRLRVEEGHREADHQHDDGRRAVRRGDVRALRRRRLPAHGPFVGTVVAAVTVVVVRCNLALPFRGGDAGHGCSWTGIKEDTIEMLLPSSVVRACNPSCGGRELAGFGCCWGIEVYTRYFSPGSRAGC >OGLUM03G27100.1 pep chromosome:ALNU02000000:3:25412292:25412669:1 gene:OGLUM03G27100 transcript:OGLUM03G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEAKDKHITASREAIISVVESPETWTLDVGPSAVPASHIGDSGMVDEGSRGEVAQRQVRSEHDLQHRHIPLEERMEWDLLAGEGIHDLGSLTIVEGEPTIAGERIVASLWWRAMEIREDMH >OGLUM03G27110.1 pep chromosome:ALNU02000000:3:25413204:25418819:1 gene:OGLUM03G27110 transcript:OGLUM03G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGYRMAVECARNALLERTMDNKENIDKFRSDLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCNVIEEIMIGEDKLIHFSGVAMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKAVDDLARKTPGKKSHAIDAFSRALQAIPTIIADNAGLDSAELISQLRAEHHKENCTAGIDVITGSVGDMQKRGISEAFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >OGLUM03G27120.1 pep chromosome:ALNU02000000:3:25419068:25424059:1 gene:OGLUM03G27120 transcript:OGLUM03G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSCMKKSCVCCQKYLEHLDGKMNCFVRRMTADSRRSMIMPCKFVNHFGGDFSGTIKLQSPNGILYVVEVTKCKNKTVLRCGWEAFVDAHHIEENDSLLFRRVENSRFEVLIFDSDDCEKVFSCAGIRNTCKSIQEKSSSSCDDTAESSESEGFARNQKGSFSHREDSPSEHESVESGDLETSQEPYVLSRRSYLSEFQKEKVDALIQEIQPETTAFVAIMRKSNVQLPTPFLVISFCYAEVHFPHKSVTVTLQRPCKSKKWHPRFYKRKDARMNILRGSWVEFVKDNRVQEQDICAFVPTKDARRNFTFTVHLLRVAAAYSRGGTIVDRAGSSLGRTDVKSASEISIKEEPIDQEENVSSRNRNGVSDESEEDEDSEGPAHPPYIVPCKSRLSRLQKKIVEEKVRSIQSKFPVYVAIMKKSNVERSASRCQLELGARFAAAVHLPDRRQTVVLQRRGERWATVMQIRSGTRRLLISGWHRFVRDNRLRVEDICLFEFKTHERWRLTMAVHAIFREQCC >OGLUM03G27120.2 pep chromosome:ALNU02000000:3:25419520:25419903:1 gene:OGLUM03G27120 transcript:OGLUM03G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGQASKVLDATAAAVVDVTAAAAGWMRRWPVAAAGSMRRRLRQDRCDDGCDRIDATIAVVGSMRRQPRWDRCDDGMALSWMQRRHDDALDANHPDGIGL >OGLUM03G27130.1 pep chromosome:ALNU02000000:3:25439577:25450145:1 gene:OGLUM03G27130 transcript:OGLUM03G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTHRKKSCACCKEYLEHLGGKMRCFLRRMAADSMHSMIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTLLQCGWEAFVDAHNIKEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGIRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTENLTAMCSSSEKSGEDSPSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVAHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRETATITLQRPSKRKKWYPRFYKRIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFAFTVHLLQAEATHSRDGTDVHKIGSSQNKRNSKMASQVHIEEAPGGDVSSESNKHGVSHESLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYTKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKKLTMEIIPDRFVNHFGGKIPGTIKLESPNDPVDTSGRSSDDTAQPSRSERFARCQRDTSNDRRNTASLTAVSSSSEESENVSLKSNRNGVSDESQESEDSEGPAGPPYILSWKSKSRLSSLQKKIIKEKVRSIQSEVPIYVAIMNKSNIGLTSSPCQLELGARYAAAVHLPDRRQAVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLCVGDICLLELKKHESKLTMTVHTIFSQQS >OGLUM03G27130.2 pep chromosome:ALNU02000000:3:25439577:25450145:1 gene:OGLUM03G27130 transcript:OGLUM03G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTHRKKSCACCKEYLEHLGGKMRCFLRRMAADSMHSMIMPDRFVSHFGGKIPGTIKLESPNGILYVVEVTECMNKTLLQCGWEAFVDAHNIKEGESLLFRHIENSRYEVLILDSDDCEKVFSCAGIRNGSCVQDKTVDPVDSSGSSSNDTTQSSRSRNTENLTAMCSSSEKSGEDSPSGYEFHESVEPQTPSGSDYVLSRRTYLSEAQKERVVAHIQDIQPEITVFVAVMKKCNLQSPAPYLVISSRYASVHFPRETATITLQRPSKRKKWYPRFYKRIDKSDHMLRGQWQNFVHDNCLQEEDICLFVPTKGGRNFAFTVHLLQAEATHSRDGTDVHKIGSSQNKRNSKMASQVHIEEAPGGDVSSESNKHGVSHESLESEDSDGPSEPPYISSMRRRLSQLQKKTVEEKVRAIQSEIPICVATISKLAGSGGKGKFRGLELSSRYAASYLPDKNHQTLVLQCKGMIWQINLVVRRRYTKGKRWFLTAGWRKFAHDNRLRVGDFCLFELKKKKKLTMEIIPDRFVNHFGGKIPGTIKLESPNGILYVVEVTECMNKTVLQCGWEAFVDAHHIKVGDSLLFRHIENSCFEVMILDSDGCERVFSCAGIKTNSCVHDKTVDPVDTSGRSSDDTAQPSRSERFARCQRDTSNDRRNTASLTAVSSSSEESENVSLKSNRNGVSDESQESEDSEGPAGPPYILSWKSKSRLSSLQKKIIKEKVRSIQSEVPIYVAIMNKSNIGLTSSPCQLELGARYAAAVHLPDRRQAVVLQRMGQRWDTVMQTKSGRCTTRRFLINGWSRFVRDNRLCVGDICLLELKKHESKLTMTVHTIFSQQS >OGLUM03G27140.1 pep chromosome:ALNU02000000:3:25459878:25475375:1 gene:OGLUM03G27140 transcript:OGLUM03G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRRGRQRAGEAVGGGRSCLAAQEGAETGLNELARPRGRGFSLICITISSWNFCSVCKVHMYGWTRFQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIVYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGARRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFELMNDESKLTMTVHIIRRNEKSYPGASLGLGKLGTHQASSSTSGPEKRNCCSGKSPFKTTIPNPEMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMIIPNKFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFCHIENSRFAVLILDSDGCEKVFSCSGKRRASGVQERNADPIDVSSSTHNDTAQSSGGERFARSESGSDSQHREEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSEAHEEKLDMLVHEIRPEIPLYVTTMKHSNVNSHYASLVIAKHYACAYFPRTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRHNHVKEGDVCIFHLKNFNGRKFRATVHLLRETIPHSFGELHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFRKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFATRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMTVHIIRHSERS >OGLUM03G27140.2 pep chromosome:ALNU02000000:3:25459878:25475375:1 gene:OGLUM03G27140 transcript:OGLUM03G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPTYSPVFPFLLTSFFFPSYCHSPGRRWRRRGRQRAGEAVGGGRSCLAAQEGAETGLNELARPRGRGFSLICITISSWNFCSVCKVHMYGWTRFQKKKSCDWSKRYVDHLNGKMKCFHLQMSANFGHSMTIPNKFLDHFGGTLSRTIELVSPKGIVYIVKVTEHMNKTILQCGWEAFVDAHHIEENDSLLFRHIENSRFEVLILDSDGCEKVFTCAGIKKTSSVQERNAAPVDISRSTHDETTQSSGSKKFVRCQRASDSQRGKTAKLAETSSSGESGEEGTDSSTSEDESSYELDDPQMPPGRNYVLSRWTSLSEAQEEKVDMLVQDIQPEIPVFVAIMKHSNVNSRRACLVIPKRYASAHFPLESQTITLQRQGKNKKWYPMFYIRKDGSGYMLYGCWKNFVRDNHVKEGDMCIFHLTKFTGGEFGATVHLLRETKSGSLGSFHTSHKRFDLRDGRTWPKVTGARRVSSRPYLTADRVSLTEEQVRKVEEVVHSIQSEGPMYVSIMNKSNVGTDGLYIIIFGRQFATRYLPEGEQTLTLLMTGKSNAWQVKMRPRSGDAQMITTGWRHFVHDNHLQIEDICLFELMNDERASLGLGKLGTHQASSSTSGPEKRNCCSGKSPFKTTIPNPEMKKYCDCCKRYVDHSNGKMKCFHRQMSANFEHSMIIPNKFLDQFGGKISRTVELESPKGNVYVVKVSKHMNKTVLQCGWEAFVDAHQIEENDSLLFCHIENSRFAVLILDSDGCEKVFSCSGKRRASGVQERNADPIDVSSSTHNDTAQSSGGERFARSESGSDSQHREEAKESSSSEHESSYDLVDPQIAPMPGYVLSRGTNLSEAHEEKLDMLVHEIRPEIPLYVTTMKHSNVNSHYASLVIAKHYACAYFPRTSQTITLKWHGKNRKWHPKFYIRKDQVGYILHGRWIDFVRHNHVKEGDVCIFHLKNFNGRKFRATVHLLRETIPHSFGELHIPKRFESRNGRMRLKMTDDRRVSSTECRRGTMEPSTTNVKKEADNEQCNNGQGKRQEPLNFDVSVGSSKPYLTADRVSLTEEQFRKVEENVHSIQSEGPIYVSIMNKSNVGTDGLYIITLGRQFATRYLPEGEQTLTLLTTGTGKAWQVKMRPRSGDARMFTLGWRDFVRDNRLQTEDICLFQLMKNSERGLAMTVHIIRHSERS >OGLUM03G27150.1 pep chromosome:ALNU02000000:3:25479577:25483865:-1 gene:OGLUM03G27150 transcript:OGLUM03G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGSAEEEEEAYKILVMDSPCVALLAPVLRVGELRRHGVTLHLNIDKARQQVPDAPAVYLLRPTAANVDRVAADAAAGLYASFHLNFSTCVPRALLERLASATAASRSAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAIALGLFCVVATLGAVPVIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQSILVNLSYVDFALSVQVYPDIHFRKKCNCCMVERQLVSGN >OGLUM03G27150.2 pep chromosome:ALNU02000000:3:25481893:25483865:-1 gene:OGLUM03G27150 transcript:OGLUM03G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLRKKQLDSIVRMLHLNQQLQGSPDGVGGGVGSAEEEEEAYKILVMDSPCVALLAPVLRVGELRRHGVTLHLNIDKARQQVPDAPAVYLLRPTAANVDRVAADAAAGLYASFHLNFSTCVPRALLERLASATAASRSAHRVARVADQYLDFVCLEEGLFSLAQPRAYVALNDPAAAEADITALVDAIALGLFCVVATLGAVPVIRCARGGPAEMVAAALDARLRDHLIAKPNLFTEAASTAVASFQRPLLCLFDRNFELSVGIQHDWSYRPLVHDVLGLKSNKLKLPEKYDLDDTDPFWVANSWLQFPKVAEEIEAQLAKYKQDVDEVNQRTGGGRDGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKGRSLDGYFECENSMLVDGTLDRTKLMNLLRGNGTKEDKLRLAVTYLLSFETPVPSDLEQVEAALRESEVDMSAFQYVKRIKSLNSQFAGASNTASKVNIVDWAEKLYGHSISAMTGVRNLLSDGKQLAVTRAVEALMEGKPNPEVDNYLLFDPRAPKSGTAGQFRGPFREAIVFMIGGGNYIEYRSLTELTQRSQTTKQVIYGATEILNGVEFIQQLSELGQKAGLGGVSSSLPPQ >OGLUM03G27160.1 pep chromosome:ALNU02000000:3:25491300:25492450:-1 gene:OGLUM03G27160 transcript:OGLUM03G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPRREAKGEGNEWRQLLGPGWGLLSLANFATGHRNCVGLPSGHSPPPQQQAAVEALVVDIAARVGGGSGSDGEVLVRDVVHDALFPVAAWFCFGDGIGERDVHDLQRVLREFELDVVVEGFGGSMLANLVHWWRLRRFVASGRRQAEVFLPLISQRRRTQHRGEHKFRPYVDSLLDLRVPVGDNAAAGEGKEEHRLYHRVLTDDKMVGLVSEFLGSGTESAVSNTFPCRAAACGCASSSGTSGGTARRGQIRTSSGRTGSWPVARRRG >OGLUM03G27170.1 pep chromosome:ALNU02000000:3:25491624:25492016:1 gene:OGLUM03G27170 transcript:OGLUM03G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPPLGDEREENLGLPAAGCDEAAQPPPMHEVRQHRATEPLDHDIELKLPQHALQVVHIALPDAVAEAEPRGHREQGVVHDVTDEHLAVAAAATANPGGDVHDEGLDGRLLLRRWGVAGREALFFDVA >OGLUM03G27180.1 pep chromosome:ALNU02000000:3:25492421:25499517:1 gene:OGLUM03G27180 transcript:OGLUM03G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELPFPANIEVMRHTPRFKLMQAAFHGDLRGLKRQAKILDMGRGRLRKAVEDVRVEGVPGEEGTGVLHMAASHGHMEMCKTSLLKAVHSGHRGIAKYLLNHDANPDLAMCCGLTPLHSAAGLGDCESVKLLLAKGAYVDPMSTFGTPLHLAAKEGQDGTMKILLDNNADCNKMVNGITPLLLAMKAASAKCMELLVEAGADATYSDVIWNYMSTTFMDDEDSGSSVFLDSEPEEIDANHHIPVNDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEAMDLDPDDATLLSNRSLCWLYLGEGGKALVDAHECRKMRPDWPKACYRQGAALMLLKDYVSACEALFDGFKLDPEDVEIENALREALEFLKVSQSTSAN >OGLUM03G27190.1 pep chromosome:ALNU02000000:3:25504338:25514362:1 gene:OGLUM03G27190 transcript:OGLUM03G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVENKRGNRSWGTDAKRTHPNSIFNQLVRYGYIGTGFPDMNCSLTWSLTGGPSLPSFFPSAHLLLSLALFFFLCIQSNHRMSKSGCERCRGRGFWDTDDQDTYFFKVMIGGFRRQMTIPYKFAENFRDQIQGTIKLKARNGNTCSVLVDKCSNKLVLTKGWAEFANSHDIKMGDFLVFRYTGNSQFEVKIFDPSGCVKAASHNAVNIGQHAQNMQGDPIEILSCSDEHLRAQSLTTERQNQPEKDVIDNCNKKMKTEHASSSEDDQETPTAEVHRMKVEEMVRAIHSNHPVFVAVMKKSNVTRQPCYVAISRKYANEYFPGGDQMLTLQRHGKRWQVKFCISKRKLRMLSKGWRKFTRDNELQVGDTCLFELLKNENPCGQKMRKLNTRSTARDDQEKYFFKVMIGDFHKRMTIPDKFARHFKGVISKTIKLEPRSGYTFDVQVTKKLNILVLGSGWESFVNAHDLNMGDFLVFKYNGDFLLQVLIFDPSGCEKSTSCSMENAIDHVGQGWKEHNDISTSCHDQPKGNKHWMQKDSSSKGNKIGNTRSSNTPSKFSGCILPRGTCLPVVQEKKMKEKIQAIHSKTPMYGNVMTKCNVSGSPCVLEITQLYDDAYLPFNNGQELMLRHRDKSWKVRFYRFKNKSRKLTQGWKRFVHDNYLRMGDLCLFEILKNKYTMNQVHCKLDTECLRLAGCKKNQESYKMRRPGARCREGHAHFNGNHIDGQYKNFFKVMIGRFRERMIIPNEFLQYFRGKIPRTIKLQLRDGCTYDVQVTKNLGKISLQSGWKAFVTAHDLQMGDFLVFNYDGISKLKVLIFGPSGCEKVHSRPTLKNATHCGEKWEEPLHISSNSHDLPVKSPQNVSKSEKQWDSSEQENDTANIEEVALQGDDLQGHPVLNCILPKHTRLTDMQKQQLESKVGAIHSEIPIYGCILRKSRVHGKSQTVDICREYADVYLPFKELNMTLQRHGKNWEVLCRTKDTRTKRLSTGWSRFAQENNLQVGDICLFELLKKKEYSMNVHIIPKK >OGLUM03G27200.1 pep chromosome:ALNU02000000:3:25525199:25525626:1 gene:OGLUM03G27200 transcript:OGLUM03G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGRCSKEGDDAYFDWNRTNGEDKHFFKVMLGDFHERVGPIPGLSLGHVASCIALCFNSQLKNMPRIRTISQPLDGISTVKETLR >OGLUM03G27210.1 pep chromosome:ALNU02000000:3:25529272:25530518:1 gene:OGLUM03G27210 transcript:OGLUM03G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRSYDIAMRNSQDEKKKRKQRDISRQGTVKPSEEGLKAELVPGCILPSRTDLTRLQKNILTEKVKAINSETPIYGYVMNNSSIHGIPCTVEISKKYADVYLPFEDGTVVLQHHGKSWNVRCCLTKQNSKRFLKGWRQFAGDNKLHLGDICLFDLLKDKKKYVMDVHIIRRK >OGLUM03G27220.1 pep chromosome:ALNU02000000:3:25541841:25548240:1 gene:OGLUM03G27220 transcript:OGLUM03G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLKIGENCSVCKEWQEHCYWSHMADDCKHFLTYMVGDFTESMIVPSRFANNFNGHISEVVNLKSPSGKTWSIGVANSDTGELVLRSGWKEFVDANGVQENDCLLFRYSGVSSFDVLIFDPSGCEQASPHFVENRGFGREEKSAGAEGGGRDGDKNGHHQHQLEMTPHKNSSRCRSIPSAYHREEKKEGDDEDEDEDEDEDEDEDEDKDGEDRYYFCRHGGRVTEYNLSKGDKEEISRVPVPVEPGNPVLVKVIHASHLLSSRYSTVGVSPEFAGRYLGPAMAREVVMERGGGGGGGDQWHVRFVRRESSRGFHGTGWRRFARDNGLLAHDVCLFELRLVDGAGAGDRLRRRPRPTMAVHVLRRARTAAFARSGKNTATGAIWPTTTVPARFANNFNGHISEEVNLRSPSGETWSIGVANSDAGELVLQPGWKEFVDGNGIEEGDCLLFRYSGVSSSFDVLIFDPSGCEKASPHFVGSHGFGRAENSAGAEQGGRNGRRTPPIVDGDNGHRHHLEMTLHRNSCRSIPRACKRSLFSDETEAKENDGEDEDEDVVAAAEGGRYGEYYFSRHGRVAEYNLREEDREEISRVPVPVLPGNPVFVQVIHSSHVRSSKYCIVGVSPEFAGKYLGAVEREVVLERASRGGEWHVLFVHRQNTRGFYGAGWRQFAGDNRLVAHDICLFELTMVDAAAGGGGNRRRRWSRRPTMTVHVLRRVRGRFVLLR >OGLUM03G27230.1 pep chromosome:ALNU02000000:3:25546578:25552112:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQEHPSPSVQRCKLDHHQLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >OGLUM03G27230.2 pep chromosome:ALNU02000000:3:25546578:25552112:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRACQDRSSKSKSRGHQLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >OGLUM03G27230.3 pep chromosome:ALNU02000000:3:25546578:25552112:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGTHFCRACQDRSSKSKSRGHQLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >OGLUM03G27230.4 pep chromosome:ALNU02000000:3:25546578:25552112:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQDTLVATRSSKSKSRGHQLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >OGLUM03G27230.5 pep chromosome:ALNU02000000:3:25548055:25552112:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALRGAASRCFLAAVRGRAASASRVRAAPAPLPSAPPRRVPPAAFSPFAAAAAAARPMAAAAMMGSPAAVAARLTGHPSASVRACCELSQGPARAKAEATRL >OGLUM03G27230.6 pep chromosome:ALNU02000000:3:25546578:25547506:-1 gene:OGLUM03G27230 transcript:OGLUM03G27230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLWSVISRWWRWPLSPSTIGGVRRPFLPPCSAPAEFSALPNPWLPTKCGDAFSQPDGSKMSTSKEEETPLYLKSRQSPSSMPLPSTNSFQPG >OGLUM03G27240.1 pep chromosome:ALNU02000000:3:25552712:25553188:-1 gene:OGLUM03G27240 transcript:OGLUM03G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIHRERDLDLDGSSKKLAVTTKRKVVAKKRSSQRRSSPIPKTLEKIMTGTTVSVRTRVGKLRGGRQLVLWLFAVVVSAAEEGYLTILYTGDFQPPEATMRVARKETKKMPPAAASPALADIASSAPTVCSKNATAPCPTTAGKSVVVLKRVYPEAF >OGLUM03G27250.1 pep chromosome:ALNU02000000:3:25555503:25558841:-1 gene:OGLUM03G27250 transcript:OGLUM03G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSYRRISESDDEFIHFVLPTLGDSSQSSYIRRPMHTSKLTGGCRVHEILTGHERLCKRNFRMEWQKMQPMKHFKIGFSIVQIQYIDISKQCWKQSQISHLCDPIRGDPNAKVYARKQQGASDLRITTQEEAPNLQSTRPCRSLPWLFSLLPLRPVTWAAKVHPVPAIDVGEEVQYPIMEPGEEVVEEMGSKAMASMRVVCTVIP >OGLUM03G27250.2 pep chromosome:ALNU02000000:3:25558390:25558841:-1 gene:OGLUM03G27250 transcript:OGLUM03G27250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSYRRISESDDEFIHFVLPTLGDSSQSSYIRRPMHTSKLTGGCRVHEILTGHERLCKRNFRMEWQKMQPMKHFKIGFSIVQIQYIDISKQCWKQSQISHLSTFVHHPYTHIQS >OGLUM03G27260.1 pep chromosome:ALNU02000000:3:25570272:25570907:1 gene:OGLUM03G27260 transcript:OGLUM03G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVSSLSSSPAPSPSVLKSLLLSYAYVSVWITFSFSVIMYNKYILDPTMYNWPFPISLTMVHMAFCASLTVVLVRVLRVIAEPTSPPMTPSLYAASVMPIGVLYALSLWFSNSAYIYLSVSFIQMLKALMPVVVYCLAVAFRTDSFSHASMLNMLGISAGIAVAAYGEARFDAFGVMLQLVAVAAEATRLVLIQILLTXYIYIACLRRSN >OGLUM03G27270.1 pep chromosome:ALNU02000000:3:25572541:25574699:1 gene:OGLUM03G27270 transcript:OGLUM03G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAASHQPAASVMARVDRLDLVVGHLEEMRGGGGGGRRSSCGGSPSTTTTTVSSNESGSSSVASTPRGMSCRPAKEALEEARAKGSLVDRIASLETRVLKMEEEMEVTSSDVRNTGSDEKQQRSAAGNKKAEKRKRLKSLVKSCVRGKLNTND >OGLUM03G27280.1 pep chromosome:ALNU02000000:3:25578246:25578606:-1 gene:OGLUM03G27280 transcript:OGLUM03G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAASRSFLAAVRGRAASSAPRIRAAPLPSAPRRRVPSSAFSPFAAARPMSAMMGSPAAMAVRLTGHPSASVRACCELSQGTHFCRTCQDR >OGLUM03G27290.1 pep chromosome:ALNU02000000:3:25579889:25582182:-1 gene:OGLUM03G27290 transcript:OGLUM03G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTTVSVRTRVGKLPGGRQLVLWLSAVVVSAAEEGYLTVVYKGDFPPGDPFQTVRVARKDTKKITAGAAAAAAATITDPAAAAARPSSNNVAAPAPRPSTGGKKVRVLKRIYPEAPVANSLQKSQEVVRAGDSSTRSPRRERATIASSAASEKITVGMAVSVRTRVGKLRGGRRQLVLWLSAVVVSAAEEGYLTVLYKGNFPPEDPFKTVRVAAREEARRMAAPAAAIATSTTALPSGNNAAAPRPTTAGKSVAVLKRVFSEAF >OGLUM03G27300.1 pep chromosome:ALNU02000000:3:25640436:25643736:1 gene:OGLUM03G27300 transcript:OGLUM03G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAEPIIYNEIASLPIQAKSATFGHLDPLVTLSFLATPPLDLSHSTLRSQRWGALEAHEGSDSSWELWRPQPGAKSQPSSRWKIRHEGACHLTLMFKRGRRNERSSFCWMGSEQELDSNGISGKDENVDSDSICPSQELGRWVLMF >OGLUM03G27310.1 pep chromosome:ALNU02000000:3:25646522:25656892:1 gene:OGLUM03G27310 transcript:OGLUM03G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATAPDLSLHISPPSPPDMAAGGETMEQLAEPKLCLGFGTAAAAAAAEQYNNGGCNLQQQQWLHQPSQIQRFKKSASGGSPVCSGGATGTGGVAAARSGNGGGGGKRSSRAPRMRWTTALHAHFVQAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTTDRTCAEGHGQMRDMGFLRRGGGGVDGFDVLGNTSSIAIANIRRQAAGSPGEHHQETMMSSAWCQPQFAQQQTTACGLPLPCPYIVSTHHYLIKQNQLGGWRGSSGQQLAVQQDAAAHSSLGIKNMGQQRLPAAAAGSHDDEIVVASRIGRRSSGPAGFARSSPPAASGCWTPTTTTWSPPPPLTPQTTSTTTTTRGSSVVVAAAAQACMKQQQQQQTPSRVPSLEISLGRQGWQSGSSLEQQQQHQQRHHQQQQRQRSVESSASKELTLLNAFMA >OGLUM03G27320.1 pep chromosome:ALNU02000000:3:25667826:25668137:1 gene:OGLUM03G27320 transcript:OGLUM03G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLLQQQQQQPQSPPSLVGAWLCQISSGLRLREARRRGGHAEVDDEQSSPKNAAAAAGRNKAAREEANNKASSTAVTASCRAGAAMPEATVCLLLDRFAPS >OGLUM03G27330.1 pep chromosome:ALNU02000000:3:25688545:25690914:1 gene:OGLUM03G27330 transcript:OGLUM03G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 58 [Source:Projected from Arabidopsis thaliana (AT3G18400) TAIR;Acc:AT3G18400] MEEGLPPGFRFHPTDEELVTYYLARKVSDFGFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHGGALAGMKKTLVFYRGRAPKGAKTSWVMHEYRLQSKFPYKPAKDEWVVCRVFKKLQCHLAKPRPPHDDVDGDSASPPEMVDASSLGELGELDVSSILLGGFAPPSGELCHGGGGGDGFGAHRLDVGAYMSWLQAAAAANQGMFQWPAATQAGLVGGTVFAAAHKAAGTMPFGGGCSQQQARDVGVSLANVGGGDALFGGAPLAKVDMECGEQAPQLDMDDSTWRAF >OGLUM03G27340.1 pep chromosome:ALNU02000000:3:25694432:25699797:1 gene:OGLUM03G27340 transcript:OGLUM03G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAAASRLFDEMPTRDAVAWNALLACLVCHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIMDKGLRKEAARKTGLAPLAPHFGTPSLREGERWFGMALGRREERGLELSLGLHGYFSISSSPGQAGLKMDP >OGLUM03G27340.2 pep chromosome:ALNU02000000:3:25694432:25699797:1 gene:OGLUM03G27340 transcript:OGLUM03G27340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPLAALLRRLSSHPFDSPAIHANLVKSSSISSPIPATALLTAYANAGLPAAASRLFDEMPTRDAVAWNALLACLVCHARPCAAVAAFRDMATAGFTPTATTLCTMAKACATSRALRPGRQVHARSILACQGDVIMATTLVDLYMSCGLVEEALRLFMCTDCPKDVALYNAVISGCVENGRFREAFFILGRIELNGITLTCALTACSATANLMYGMQVHCKALRGGFTLETILCNALIDMYAKCGRTTAARMVFDRMACRNVVSWSSMIDAYSHHGHGEAALDLFKRMDETVPVVLPNAITFLAVLSACGQSGLVDEGRAMFHLMKRQYGINPGPEHYACFIDLLGRAGQIDEAWDLYCSFSTTRSELSGSICVAMLNACRANMDVVRGNKVALHLLEVDPENPGSHVLISNFHAAARQWFESDESRRIIMDKGLRKEAARKTGLAPLAPHFGTPSLREGERWFGMALGRREERGLELSLGLHGYFSISSSPGQAVKLAISLFKMDP >OGLUM03G27350.1 pep chromosome:ALNU02000000:3:25708180:25708674:1 gene:OGLUM03G27350 transcript:OGLUM03G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAVVAAIVTLLAVTAAVQAPGAALIPALKMAPLPAPPTRSLATAPMPVATSPTAASPSPMVPPPTPPTDAPDANAPSALAPSVVTSTAFAPTGAPASSSAFTTTDAPIARMEEEMGKKKEGQWRKIELTCGSHVQEADKKRDGVARFEFSKFPVARSRYAK >OGLUM03G27360.1 pep chromosome:ALNU02000000:3:25716905:25717214:-1 gene:OGLUM03G27360 transcript:OGLUM03G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGHRQGKGGLEAGRIEGDGEVLQLWIDLLDLAPTYAICLVTIELVNDTPLLLCVSRNSKSFQFPLRKCCTGYQLFSSN >OGLUM03G27370.1 pep chromosome:ALNU02000000:3:25717988:25719457:1 gene:OGLUM03G27370 transcript:OGLUM03G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHRKLIHLLRAEQAAAAASAASASFSPKSFSSSSASDDDGCSSSSWQTNDGAGGYGSAASSPSRCSASTPPKSPWAAHLPGLGGGGVGTGAGATGLVASLVKEDGHVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVADDGRIFTGHQDGKVRVWRADAGDPAVHRRVGSLPRLADYVRSSVNPSSYVETPRRRRGRRREVWLRHSDAVSCLSLDEGAGLLYSASWDGSFKVWRVSDSRCLESVCAHNDAINTVAAAGFDGVVFTGSADGTVKVWRREEEPAASGGEAKTRHVLVTVLREDESAVTAIAVSAEGRVVYVGSSDGDVTYWHWIDGEARYGGALRAHGTAVMCLAVAGNVVVSGSADRTLCAWRRGGGEHSRLAVLAGHTGPVKCVAVDEEETSSCSSDGERRFVVYSGSLDGSVKVWRISDIEPTNPPPRLPSPHVWKREDQPAAAARAWSPYQTSEMNSVAAA >OGLUM03G27380.1 pep chromosome:ALNU02000000:3:25728648:25741561:-1 gene:OGLUM03G27380 transcript:OGLUM03G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDAELRRACAQAVAASGARGEEVSFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSTKGEAAKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFSILTMCKEILSYLPKVVGIDFVELALWAKENTVTLDNQSSTQDGQEKSVTTQTERKVTVTVENDLVSQAKDEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLQSEPLIDEGLDAASATVDDMDEWLRIFNMKLRHMREDIASIESRNNGLEMQSVNNKGLVEELEKLLDRLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKRAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNSGGQNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGAPNAKSRSGGNDPDDDLNLMDPDGNDLKPDDTSAELGTLNDALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRRLLHDLESRISTQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFTTLDKIAHSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHEASESYEQACTRHISSLIYLQFERLFQFSRKVDELTYTIAAEEIPFQLGLSKTDLRRVIKSSLSGIDKSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYGNEPIMSVADMKDVLANF >OGLUM03G27390.1 pep chromosome:ALNU02000000:3:25747929:25751585:1 gene:OGLUM03G27390 transcript:OGLUM03G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRCYYYYEDDDDADADGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPAAQQQDLSMVMNLLNIKQHHARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFSMTDVSTMDCGHCFCNDCWTEHFFASINTGNRQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLESNLTGPKMNWDGSWLAMAYQSLLTSRQVLSRSYAFAYYMFGGGEVKTHPSECSNLAVAQNLFEDRQEQLERHVEHLSKELATDLLGMPEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLFQPMNIAAYQPDGPDKAKEFIRA >OGLUM03G27390.2 pep chromosome:ALNU02000000:3:25747929:25751585:1 gene:OGLUM03G27390 transcript:OGLUM03G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRCYYYYEDDDDADADGDGEEEVEWLAMEADEDDVGLLEEDDLHLRLPDDRPADCWAITQESLPAAQQQDLSMVMNLLNIKQHHARTLLIHHRWKMHCIYDHLDRKGRDRMLSEACIILPKNSMSAASSTSVTCNVCFEDFSMTDVSTMDCGHCFCNDCWTEHFFASINTGNRQIRCMEVKCKAICDEDIVRRLLSLKYPAASKRFDLLLLESYLEDNDSVKWCPSAPHCGRAIQVGTGEKYCEVACPCGVSFCFNCAGQVHSPCPCAIWEKWKAKGHGDSDSVKWILANTKSCPKCSKPIEKNGGCNLVHCKCGQCLCISGHSCNRYKEENGDKVDTSRQQMQRYTHYWDRYNIHAGSYKVEQKDLGPAVEEQVKKLESNLTGPKMNWDGSWLAMAYQSLLTSRQVLSRSYAFAYYMFGGGEVKTHPSECSNLAVAQNLFEDRQEQLERHVEHLSKELATDLLGMPEEIVLKKVEIANLAKIVQAICGQLYRCIQDELLPLLFQPMNIAAYQPDGPDKAKEFIRA >OGLUM03G27400.1 pep chromosome:ALNU02000000:3:25754266:25760276:-1 gene:OGLUM03G27400 transcript:OGLUM03G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRKRVSRPKPRATSRGGGGGDEDPFFESEPKRRRGGGRDEDIESDDSDLEGVAAAAAGGADDDGGEDEEEEQETAGEKKMRIAKELLKKVTDAARRRMEDDEDEEEEEEEEAGRRRVADILLKRQFEESGRKRMELADRILQPDPEDGFKMLVKHRQPVTAVVLSKDSDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLVSHHAKPPLSAKRSKQVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGAISCLSFGPDSSELFSGSFDRKIMQWNAEDRTYMNCLFGHQNEVLTMDALSKDRLLTVARDRTMHLWKIPEESQLLFRAPATASLECCCFIDDKEFLTGSDDGSVELWSIMRKKPTHIIRNAHPVFRNNLNSLENNVEENGIHKPESVSSAQSWVSAVAARRGSDLVASGAANGSVRLWAIEPDSKGIRPLFSLRLDGFVNSLAIPKSGRFIVAGVGQEPRLGRWGRVRSAQNGVVIHPIRLKEESEDL >OGLUM03G27410.1 pep chromosome:ALNU02000000:3:25765439:25777026:1 gene:OGLUM03G27410 transcript:OGLUM03G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDEEECFYDYDEEEEEEAGWDDGRGGDAMLLEEEAALPERPVDCWAITEESLPAAQQQDLSMVMNLLYIKQHQARALLIHHRWKMESILDHFDRKGRDRMLREAGVVIQQQAEEKNGGGTAMAPSPPPPPRPGSSLTCYVCFEDVSPDAVSTMDCGHCFCNDCWTEHFFACVNGGQKQIRCMAVGCAAVCDEDVAQRLLGGRYPGAARRLRGALLASYVEDNAAARWCPSAPHCGRAVRVDGGGERWCCEVSCPCGASFCFGCAAPAHSPCPCAMWERWEAKCRGESMNVDWILANTKTCPNWLCGAATGLAHNWTSIDGHSCNRYDDAAEKRKVDGARRKVLRYAHYYERYKAHGDSRRVEAEKLGPAVEARARRLREDPDPATAPASGDAAEALAAAHRALLASRDVLSRSYAFAYHMFGGEERTLKAAAPESEVATAQALFEDHQEMAERHVEKLSGLLAADAPPAPATAGDAALRRAKQDAVALTAVVEKHCGEMHKCIQDELLPMLVEPISDDAAAMDGSDDECCYYYDAVDSDGDEEEEEEIIMLDEDDVGLLDGAALPPPEEEVEHRAICWAITKESLAAAQEQDLSMVMNLVNVERHNARALLAHHRWKMERIYDRLDMMGRDALLRDAGIVVLPEKSSSGMSVAMAKTKPPGSVALTCNVCFEEFPPGCVSAMDCGHCFCNDCWTEYFAAVVISDGSKQIRCMEVKCTAICDEAVVRRLLLHGKHPGAAARLDRRLLEAYVEASDAVRWCPSAPHCGRAIRVDGGEERYAEVSCPCGAAFCFHCGGGAHSPCPCPCGTSGAPCAAAARSTTSSGSSPTPRAAPSAPSPSRRTAAATIYACGAATGTLYMHICNRYKEEGSGGGVKVEMTAGGRQRLRFMHYYERFEIHTESYKEEQGKLGPAIDALARRLEADATLPWSGTRDARWPSAAHRRLLRCRQVLPRSYVLAYYMFGGGAATRREREEAAAQNRFEDLQGQLEHHVEVLSRTLAAAARPADAAEVVKAKRDADNLARVVEGLCAGMYRCVQDELLPLLVEPMNIAAYHPDGPAMGKEFPPATSVTGGAPPATRH >OGLUM03G27420.1 pep chromosome:ALNU02000000:3:25779598:25786716:1 gene:OGLUM03G27420 transcript:OGLUM03G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALQYSNEVEHIFESKDVDSDVKTDPAKPPHPHLSSRPQERFVSSPSRVLAAAAAAAKPSPPRLASQRSQDVPRRDPAAAEQGGGVQAAALPPHRHGLLRRRHPRRPLHPPLPQPRRGPPRAHPGALGRNRPPSPARCCSDGRVIFALVFHGWLQSYVWIGFLQTL >OGLUM03G27430.1 pep chromosome:ALNU02000000:3:25793239:25797654:1 gene:OGLUM03G27430 transcript:OGLUM03G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) TAIR;Acc:AT1G47510] MRGGAPQLERPSPEPEGQRWASWSLEVAAAAAHSQLLTSRLGPLIFREKNAEGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDIAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLSSYEALDCIRSSDHKPVRAHLCLKVHGDSA >OGLUM03G27430.2 pep chromosome:ALNU02000000:3:25793509:25797654:1 gene:OGLUM03G27430 transcript:OGLUM03G27430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol polyphosphate 5-phosphatase 11 [Source:Projected from Arabidopsis thaliana (AT1G47510) TAIR;Acc:AT1G47510] MGCRRNGLNRGLSDLHCKGMVPIDEDETHEGIKTIRIQKACEFTTSSVLCVCIITWNMNGKMSVEDVTKLVSSNRKFDLLVFGLQEVPKCDIAQVLQETMAETHILLCQKTMQSLQMFLFGAKSSEKYIRELKVDKHAVGGCGGIIGRKKGAVAMYINFSGIRMVFVSCHLAAHENKVEKRNSECQHISHSLFSKNDIQYTKSADITVWLGDLNYRLQGISSIPARKLIEENRQSKLRGKDQLLQEAEKGEVFNGYCEGTLLFKPTYKYNIGSSNYDTSYKIRVPSWTDRILFKVDHTSGLDAVLSSYEALDCIRSSDHKPVRAHLCLKVHGDSA >OGLUM03G27440.1 pep chromosome:ALNU02000000:3:25800117:25807108:1 gene:OGLUM03G27440 transcript:OGLUM03G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTPEFRLESGGQCRYKDFRLPILENMGWLSKIFKGSVNRVSRGHYNGNTHEGHSAWHTKAYEHDSDHEDIDRAIALSLSEEDQRKGKAVDEVDIDHRLHEDEQLARALQESLNDEPPRQNVPVKDVHSESTPATFMPPYIFPSTGLRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCFACDRPISEYEIPTNKDGHIEYRAHPFWMQKYCPAHETDRTPRCCSCERMEPKDSKYITLDDGRKLCLECLNTSIMDTDECQPLYIDIQEFYEGLNMKVEQQIPLLLVERQALNEAMEAEKTGHHLAETRGLCLSEEQIVRTILRRPVIGPGNKIVDMITGPYKLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYQTLDPKVEEGICQVLAHMWLESEITSGSSSIIASIAASSSSSSSSSAPSSKKGVQTDFEKKLGEFFKHQIETDPSDVYGDGFRDGIKAVERYGLRKTLDHMKLTGVFPC >OGLUM03G27450.1 pep chromosome:ALNU02000000:3:25815573:25821193:-1 gene:OGLUM03G27450 transcript:OGLUM03G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAS0] MGKLVSKSWQESKLLWHIAFPAILTAVFQFSIGFVTVGFVGHIGQVELAAVTVVENVIEGFAYGVLLGMGSALETLCGQAVGAGQVSMLGVYIQRSWIICGATAVILTPTYVFTAGILRGLRQPIDIAAVAGTYTRWVIPQLFAYAANFPLQKFFQSQSKVWAMTAISGIALALHVVLNYIFLTRLGHGLVAAALIGNVTWWLIILAQFIYLVSGCFPEAWKGFSMLAFKNLAAFVKLSLASAIMLCLELWYYTAVLILVGLLKNAKLQVDVMSVCVRVSNELGANRPKAAKFAVAMAVSTSAIVGAVFMAVFFIWRTQLPRFFSDDADVVRESAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFINIGCYYLVGIPLGVLFGFKLKLDAMGIWVGMSLGTLLQTAILAFISFRTKWERQAMMAEERIREWGGRNDDALPSTTTPTADDHNVDR >OGLUM03G27460.1 pep chromosome:ALNU02000000:3:25861698:25863158:-1 gene:OGLUM03G27460 transcript:OGLUM03G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQTLLHSNLLSLIRFLYLNLLLYPFNQASRWMTTFLQQFRKRALLPPLHAPKNARLEHRSESYLHVFLLALLLIYDVTTGGAGYYAQWCYTLGIGTQGSLIPLWVA >OGLUM03G27470.1 pep chromosome:ALNU02000000:3:25870849:25886379:-1 gene:OGLUM03G27470 transcript:OGLUM03G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWILGWLLWLPVFLISLYLVDILAHSCRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKKYGPLMSLRLGAVTTVVVSSPHVVREFLQKHDAVFADRSIPDSIGDHTKNSVIWLNPGPRWRALRRIMATELFSPHQLDALQQLRQEKVAELVGHVARLARDGAAVDVGRVAFATSLNLLSRTIFSRDLTSLDDRGASWEFKQVITDIMEAAGSPNLSDFYPAIAAVDLQGWRRRCARLFTQLHRLFDAEMDHRKLHGRHGGPGENGKEKDDFLEVLLRLGARDDDIAGLDGDTLRSLFIDLFAAGSDTSSSTIEWAMVELLKNTLSMGKACDELAQVVGSRRRIEESEIGQLPYLQAVIKETLRLHPPVPLLPHRAKMAMQIMGYTIPKGTKILINVWAIGRDKNIWTEPEKFIPERFLDRTIDFRGGDLELIPFGAGRRICPGMPLAIRMVHVVLASLLIHFKWRLPVEVERNGIDMTEKFGLTLVKAIPLCAMATPT >OGLUM03G27480.1 pep chromosome:ALNU02000000:3:25899165:25900382:-1 gene:OGLUM03G27480 transcript:OGLUM03G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKDTSKQLLPTRASSSSRFGGKVGSNVALVLLLVSLGFVLGLTSSNAMFLKSFYPSSLMPSSMAPLRLVLSSTSTSTSPSPSPPPPPPPPPPQQPAPMHSMGDEELFWRASMAPKSRRRLPDGVVPKKVAFMFLVRGELPLRPLWEKFFEGQRADHYSIYVHAHPSYSFTGSPESVFHGRYVPSKAAKWGDASLVEAERRLVANALLDAGNSRFVLLSEACIPVYDFATVHAYLTGANTSFVDSFENGGSRSRYREFFAGRNITLARWRKGAQWFEMDRALALEVAADDELCFPAFRDFCVGRRECLIDEHYLATLVTMLGWGRRNANRTLTYADWSRPVNRHPHTYTAEEVTEKVIGGIRADKRCSYNGASSGGICNLFARKFPPETLQPLLRLAPKVMGFG >OGLUM03G27490.1 pep chromosome:ALNU02000000:3:25929732:25930172:-1 gene:OGLUM03G27490 transcript:OGLUM03G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVTPEQARLAEEAGTCAVMALERILADIRAQAASPTCPTRCSSTTSSASSPSHSWPRPALSTLWRPGSWRPSARHNFRVLFVCGCRDLGEALRRIREGAAIIRTKGEAPLTWPTQGRKGCGDGEKRDERADMRVQVYFRTFT >OGLUM03G27500.1 pep chromosome:ALNU02000000:3:25989290:25989625:1 gene:OGLUM03G27500 transcript:OGLUM03G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAACTADLRWLVEHASRKNGGKPVILETHSKRNLMAVEFLMRSATPWCRRFVKHLVMVSTGAGGIVVAMQSLAASAYAAPGSLARTERSYGTVFAALPSRTCSAARHWW >OGLUM03G27510.1 pep chromosome:ALNU02000000:3:26005595:26006392:1 gene:OGLUM03G27510 transcript:OGLUM03G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVEALEELGYRDGENLFGAPYDFRQSPAALGQPCGYFALEFLNRSPLPWRRRHIKHFVMASTGAGGFVRFMEVVASCVSDVSPLARVRRSVPSKFTPLPSPKVFDRDTPLVVTRDKNYTAHDMPAFLAAAGLPEF >OGLUM03G27520.1 pep chromosome:ALNU02000000:3:26006423:26010161:1 gene:OGLUM03G27520 transcript:OGLUM03G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAS7] MAMNFRAPVVPTTCINGIGVPTAEKLVYWDGNFGEAPEIVYGDGDGLVNSASILALDTVIGDDPMQQYYKSIKIAGMYHAGVISDGVALEHELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEDNRRALRELLFCTPGALQYISGVILFDETLYQKTKDGKPFVDVLKEAGALPGIKVDKGTIEVAGTDKETTTQGHDDLGKQCAKYYEAGARFAKWRAVLKIGPNQPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRTLQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLSAKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLVRCKANSEATLGTYKGDAVLGEGAAESLHVKDYKY >OGLUM03G27530.1 pep chromosome:ALNU02000000:3:26053633:26056850:1 gene:OGLUM03G27530 transcript:OGLUM03G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALPFLLLLLQLSCAPFFQLSWNGCSQLDAELTEHYEPSPWAPASCGSAAAAGKGKGRRRWFRLLKNSTALGDPAVAPCYADQLRVVYDRAVTDYRNVARVWTRVVSFGTTRGFGSDDDPSDPERDLCMGRLVEALEKVGYRDGETLFGAPYDFRQAPAAPGKPCRAFSRFRRQLRALVEHASRTNGDQPVILVSHSQGGYFALEFLNRSPMAWRRRHVKHFVMASTGAGGFVLGLQSLVSGVSDASPMGLAGRSLACKFTSLPSPKTRALPVAMNFRAPAVPTTCINGVGVPTTEKLVYWDGDFSQAPEIVYGDGDGVVNSASILALDTVIGEDPRQEYYKSVKIAGTSHDGVVSDGAALERLVSEIPRENFVQASEEDSRVAQL >OGLUM03G27540.1 pep chromosome:ALNU02000000:3:26064113:26079212:-1 gene:OGLUM03G27540 transcript:OGLUM03G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSLPLWRTSPGGGDGAAVRGFLRSPFRTVLAALRGRSTAPHGDTAPPRPLHPAAAAATEHAAASGFDSIGIDVVGAARGEKRLDDGDGGGVFLTWEDVWVTAVDSRGHAAAIFNGVGGCARPGEVLAIMGPSGCGKTTLLDTLAGRLDLNLKMRGQILINGRSQKLAFGTSAYVTQDNVLMATLTVREAIYYSAQIQLPDTMSTAEKLARADDTVREMGLTGALDIRIGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRITSLAAREGMTVVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSNHFLRTVNKDFDKESEEGLPCMPAEEAIDILVNSYKSSNTSEVANQEMRYDRAMIGRNRPGFVTKTLARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSIPYLLLNAVVPGAIAYYLTGLQGKIEHFVYFALVLCACTMLVEALMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQIPNNLPKIVWKYPMYYISFHKYALQGFYKNEFSGLVFQSNLGGQETVSGEKVIVELFQVETGHSRWVDLAVLCGMIVIYRLLFVVIIKVIDVVKPMLLG >OGLUM03G27540.2 pep chromosome:ALNU02000000:3:26064113:26073105:-1 gene:OGLUM03G27540 transcript:OGLUM03G27540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGDERIKLGIRLDLNLKMRGQILINGRSQKLAFGTSAYVTQDNVLMATLTVREAIYYSAQIQLPDTMSTAEKLARADDTVREMGLTGALDIRIGGRSSKGISGGQQKRLSICLDILTCPRLLFLDEPTSGLDSAASFHVMSRITSLAAREGMTVVAVVHQPCSEVFELFHGLCLLASGSTIFFGPASTAAEFFASNGYPCPPMRNPSNHFLRTVNKDFDKESEEGLPCMPAEEAIDILVNSYKSSNTSEVANQEMRYDRAMIGRNRPGFVTKTLVLTRRSFVNMYRDIGYYWLRLAIYARSHMLMFIATLLTFMAIGGFPSFVEDMKIFRRERLNGHYGVAAFVISNTLSSIPYLLLNAVVPGAIAYYLTGLQGKIEHFVYFALVLCACTMLVEALMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQIPNNLPKIVWKYPMYYISFHKYALQGFYKNEFSGLVFQSNLGGQETVSGEKVIVELFQVETGHSRWVDLAVLCGMIVIYRLLFVVIIKVIDVVKPMLLG >OGLUM03G27540.3 pep chromosome:ALNU02000000:3:26074412:26079212:-1 gene:OGLUM03G27540 transcript:OGLUM03G27540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSLPLWRTSPGGGDGAAVRGFLRSPFRTVLAALRGRSTAPHGDTAPPRPLHPAAAAATEHAAASGFDSIGIDVVGAARGEKRLDDGDGGGVFLTWEDVWVTAVDSRGHAAAIFNGVGGCARPGEVLAIMGPSGCGKTTLLDTLAGNPTPH >OGLUM03G27550.1 pep chromosome:ALNU02000000:3:26088045:26088242:-1 gene:OGLUM03G27550 transcript:OGLUM03G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWIRPPQSLHEEEVVKMEVTPLADKAHLDAASSELSRGGGEKNMAPALGSGLPRARAMRRL >OGLUM03G27560.1 pep chromosome:ALNU02000000:3:26088337:26088611:-1 gene:OGLUM03G27560 transcript:OGLUM03G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRERLVAPKARERVSEREADQQAPAKRVRLPAPKPREGVRSMAERDDAVRTAEHQVMRATADAHEEHGGGAAIDASCDHHHLSIHGEE >OGLUM03G27570.1 pep chromosome:ALNU02000000:3:26090314:26094592:1 gene:OGLUM03G27570 transcript:OGLUM03G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAT4] MAGEIIPDGGGGGAVVAAESVMLPASMVLVQLFSVVLVLLSKLALSTGMRPFALLAYRNLVGAVAVAPLAFIFERKNRKIPSIVEWCWISLNATFGVILSMGLYYYGLRSTSATYSVIFLNLIPIVTSIIAIIFGAEKLVFTDWPGKIKLFGIITCVGGTMVVSLYKGKLLHHPWPSHLLKFHTQKASGYAYHHNLLAGTLFLCGSCLSYAFWFIIQVRLASVFPYRYWATTLTCLSGSLQAFVIGILISPTKSAWTLKWDMQLLTVGVFNTGISIVLMSLAVKHRGPIYPSMFNSLSLIVMVIMDSVLLGTSIFLGSILGTAFIIVGLNAFLWGKGKELKQAVAQHTSHKQNTDHNEQVGDEIA >OGLUM03G27580.1 pep chromosome:ALNU02000000:3:26098419:26104766:1 gene:OGLUM03G27580 transcript:OGLUM03G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAT5] MMSFLPKGKTTQTAFKWPWRGESQLSVHLLIDIPPEIELSDYRRLPSPGNESPSGLLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYHPLDPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILRFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFETTESSQLGLSSRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRACPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEDEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSHQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELDQSNNSWRSPHALSKTRYMGNDDLDLEQGPSFHFTDAPQKDSGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >OGLUM03G27580.2 pep chromosome:ALNU02000000:3:26099265:26104766:1 gene:OGLUM03G27580 transcript:OGLUM03G27580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAT5] MMSFLPKGKTTQTAFKWPWRGESQLSVHLLIDIPPEIELSDYRRLPSPGNESPSGLLHGEDFKEEVIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCCIGFFFLFVDWPALGDLKCGVEALESGAKPCDLMKLIKYHPLDPFTFTKFITIGSMVILSTYGIINFVKFFVKLRSTLKVRDFYCNSLKVTDLEIQTISWPRVVEKVVLLQKSQRLCVVKDLTEHDIIMRIMRKQNYLIGMVNKGIIALPIPSWLPGVGPTVSSRMHGKKSYLMLPKALEWTLNWCIFQTMFDRKFCVRKDILTSPSLLKKRLVFMGIAMFLLSPCLVIFPLVYLFLRYAEEFYNHPSTASSRKWSNLSKWILREYNEVDHFFKHRLNNSSVNSLNYLKQFPTPLVSIIAKFISFVSGGLAGILLILGFLGESILEGHVFGRNLLWYTIVFGTIATVSRNVVVDELQVIDPEGAMSFVLQQTHYMPKRWRGKEGSELVRRDFESLFQYTITMLLEEMASIFITPYLLIFVVPKRVDDILRFISDFTVYVDGVGDVCSLSMFDLRRHGNRNYGSPHNAVKSMRSSQGKMEKSLLSFQSTYTSWEPNADGKKFICNLQKFKEKQIRQHTFETTESSQLGLSSRGQTAVFHRLLPRNIYPGNGVIFNFDPLGLLDTDQRACPYILDWYYTHQHTNREAGSSSHLNEASPEQQEEIWPPLSKPLTEIEDEQIWDSDLYRRARSYLEASTSSAFFRQATTFKRHGREQNSTSHQWWAQASRQQADPRNSFQGPPQDSFLEPPDFRNHLEASHDSSHQSDCRLTSRRSTDPQDSFVEPPDFGDYMSCHSSSYHGDETSDGNSELDQSNNSWRSPHALSKTRYMGNDDLDLEQGPSFHFTDAPQKDSGSEGDGHGVANIYSSTPASLPVRIIPRSSDPV >OGLUM03G27590.1 pep chromosome:ALNU02000000:3:26121061:26122819:1 gene:OGLUM03G27590 transcript:OGLUM03G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKHNNLRPFRASPIPMEGVNSSVQLLQLILLLAVPCCSLLLLRLTSPSRNKKDLAGAGLPLPPSPRRGLPFLGNLHQLSRALPHSSLRALAAGRPVVMLRLGRVNTVVISTADAAREVMRDQDSAFASRPTLTVPRRLLYGCTDIAFAPHGAYWRAARKASVLHLLGPARVRGYRAVREEEVGELLRRVEAAAAASGVVPLSELVSAFAKDVAGRIVLGIRGGGGGEWGARVDALMEESNVLLGTFHVGDFLPWLAWVCAFDGTDAKITTAFNKIDKILDEIIVAATGRPVTAGAPPAPFVNVLLSLLQNDSTTAAGAQQWRLTTDNVKALLEDLFGAGTDSTIIVLEWAMAELLRNKATMTKLQHELRRCTAGGGGGGHDKTSSLAVTEEDLPAMRYLKAVIKETMRLHPPGPLLVPRESMRRATVGGYAVPRKTMVVVDAWAIGRDPASWDRPEEFVPERFAVGEVDFRGRHFQLIPFGSGRRMCPGIDFAMAVVELALANLVAGFDWEMLPDGGGRLDMEEAPGITARKRVPLRSVASRPCAGAREISCVMTTSSC >OGLUM03G27600.1 pep chromosome:ALNU02000000:3:26123664:26126782:-1 gene:OGLUM03G27600 transcript:OGLUM03G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWIFKNGSEAKNGLAFTATTRFSRGSTLVINGEDRCHHVRNYSPAISALGSAISIGWSKHNPSAQCLRTWPPSSSCSGATHHRLFPEHLHSHLSSPDYSCPLLSSILPAARRHHRMRRCDGGARLAAAPHGGECHWIRPPRARWSPDLAALSSGAAGSGRHKLGYGGPARGVAATVGLPPQAGGFLSWHDEACNVHALEVLEVFSSFSEGHQRHQKGGHGLEKGQALF >OGLUM03G27600.2 pep chromosome:ALNU02000000:3:26123648:26126782:-1 gene:OGLUM03G27600 transcript:OGLUM03G27600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWIFKNGSEAKNGLAFTATTRFSRGSTLVINGEDRCHHVRNYSPAISALGSAISIGWSKHNPSAQCLRTWPPSSSCSGATHHRLFPEHLHSHLSSPDYSCPLLSSILPAARRHHRMRRCDGGARLAAAPHGGECHWIRPPRARWSPDLAALSSGAAGSGRHKLGYGGPARGVAATVGLPPQAGGFLSWHDEVRNCLWLAMCMLSKYWKSSVPFLKDTSDIKKVVMVLRKARLCSELRDE >OGLUM03G27600.3 pep chromosome:ALNU02000000:3:26125407:26126782:-1 gene:OGLUM03G27600 transcript:OGLUM03G27600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNWIFKNGSEAKNGLAFTATTRFSRGSTLVINGEDRCHHVRNYSPAISALGSAISIGWSKHNPSAQCLRTWPPSSSCSGATHHRLFPEHLHSHLSSPDYSCPLLSSILPAARRHHRMRRCDGGARLAAAPHGGECHWIRPPRARWSPDLAALSSGAAGSGRHKLGYGGPARGVAATVGLPPQAGGFLSWHDEVPQLHMHDAQIKLNGME >OGLUM03G27610.1 pep chromosome:ALNU02000000:3:26135976:26138747:-1 gene:OGLUM03G27610 transcript:OGLUM03G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVIGSLILKLGDALGNESCQLGSSLLVYEASALKGLFGEIRMIKEELESMQAFFCTAERFKDTDETTVAFVKQIRGLAFDIEDVIDEFTYKLGEDREGMFLLKAFRRIRQIKTWYRLANSLQEIKVSLKSAAERRCRYDLKGVRRERKLMRLGSLNQRSTESVHFKREADLVGIAENKQLLMDWLKDEEQQHMIITVWGMGGVGKTTLVAHVYSAIKTDFDTCAWITVSNSYEADDLLKQIVAEFRKNDHKKEFPKDVDVTDYRSLVETIRLYLEKKRYVLVLDDVWSVNVWFDIKDAFSGGKHGRIIFTSRIYEVALLAPESQKINLQPLQNHYAWDLFCKEAFWKSENRSCPVELHPWAQRFVDKCKGLPIAIVCIGRLLSFKSANLLEWENVYRNLEMQFTNNYILDMNIILKVSLEDLPHNMKNCFLYCSMFPENYVMQRKWLVRLWIAEGFIEESEHKTLEEVAEDYLTELINRCLLVEVKRNESGYIDDFQMHDIFRVLALSKAREENFCFVLDYTKTHLIGKARRLSIQRGDISQIAENVPHLRSLLVFHNSLSFNSLRLFARSVKLLSVLNLQDSSIESLPNDVFDLFNLRFLGLRRTNITYISRSIGRLQNLVVLDAWKSKIMNLPEEIIRLSKLTHLIVTVKPVITSMNFVPSVGIPAPTGLWSLGCLQTLLLMEASAEMVFYLGALVNLRSFRISKVEGCHCAKLFVAITNMFHLVRLGIHANDNQEVLQLEALKPSPLLQKLILQGALDKESLPQFFMSISKLKSLTILRLVWSKLDEEDFYYLEELQQLVKLQLYDAYNGKRLSFQATSFPKLRILKIWGAPHLSLIKIERGAMSSMVDLKLLLCPELKLLPRGIEHVTTLEEMTLDSTAEELVGRVRKKNEARISHVKRVYVGFIRNGELAAERIQ >OGLUM03G27620.1 pep chromosome:ALNU02000000:3:26143773:26154377:1 gene:OGLUM03G27620 transcript:OGLUM03G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRPEFYGWWSMDHTDHIIAPPLLLVFRIDTRLRDDTLSAAKGGRPAGRVEVVEARRERRSGGTVMREKVRAAAAGARGEIEEDRGSTAASGLP >OGLUM03G27630.1 pep chromosome:ALNU02000000:3:26154566:26154799:1 gene:OGLUM03G27630 transcript:OGLUM03G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLDPKGITPLMDMVLGFFYLSIPEPPVSTAVDTTGDGNSGVDDRISLLPNDLLRAVISRLPTKDGACTAMLSSR >OGLUM03G27640.1 pep chromosome:ALNU02000000:3:26155786:26160642:1 gene:OGLUM03G27640 transcript:OGLUM03G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYTTDEALEFMGFGKFQLLVLAYAGMGWVVESMEIMLLSFVGPLVREEWNISAENESLLSSVVFAGMLIGASGWGFVSDKYGRRICLLFSTLFASGMGFLSAFSPNYSCLLALRFLVGIGVGGAHVFTSWFLEFVPAQNRGTWMVIFSCFWTIGTILEASLAWVMRVDYLCEHVNHFRTFVAVSVVISVLSWRWLLALTALPCSLLIPFFGTTPESPRYLCVQNRTSDAMLVLERIAITNQAALPPGVLIYHQEAKVDHSDLTSEKEDLLPVSEKECTFDNAMSFKYGGGIAALLRLLSRKLLRSTLLLWFAFFANSFAYYGLVLLTAQLSDANRSCTSGQTNVVPQKDVNLYKDTFITSLAEVPGLILSAVLVDWFGRKASMWFMLFTCCAFIGPLVLQQNELLTTVLLFGARAVAMGSFTVLCLYAPEVYPTSARSTGVGIATAIGRIGGVVCPLVAVGMLRSCHQMEAILVFELVLFLAGVACFLFPIETKGRGMD >OGLUM03G27650.1 pep chromosome:ALNU02000000:3:26161640:26168522:-1 gene:OGLUM03G27650 transcript:OGLUM03G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKIFRGEYDANITREEVVWSRMVLATKDGTIWARLFVVDREGYWSLSSILRQWLGSETNKEGARGGGRFEVVATTCEVTVVVVVGCMKEMSAGQFYCKGQERVDGGAGVKRRGAPQRKGWDSPFLNFVKSLSPISSSQPLDAVPNLQMIKSSDLVHIPSIFTSPEFTHFGLMNTSAKPCQDGLSPYCHMTQIGRSSCIKQSGPMTIASKNCSIDRSLSQAYHDSPDNASILPTNLARCIQLSSDTLGSDKRHGIAGKTDHETAQKHAKLSCFDQRCLDKMKQLTSGMNVQKRDLAKTHNDEITACDWDYLGTQYDSSVVPESDLRFETAELLLETPKNGDAMPGKSFLPIVEANLENSRRKLFQGSADCYSQSAVDNIHAYCTSRGKEVATNHVSGILPCPRESQLIPDHHFSDSLEVPSDYMAMNPSAVSQHLRGLHRRSLFNDKVRDPTMGVQSVSNLGASTCATRHRSIPDDNYSKLVGSPVCALPNVDLHLVRMTEEMVPYNMTCTVNSTLSISEHNTEISTVVPVNQLAPNTSEMNTHNQGDYSSQATMPTSAGNSGQENPKRKSYCECFASKVYCSESCSCRGCFNDHSHAETVLSTRNRIESRNPLAFAPKVIRTCGPGLEFGEDSNATPASSRHKRGIELFETKEIERADRKMKDHPKEEQSEMDKYHALCEIWGVRSTENLFTTPSMDSRRAFALFPSECPKSSLTSSTRTSSHLHSPTRTDVLLSPFGSYTQMLLGNEASDMLLQQGDSSCTASLRIASPNKKRVSPLRTGNTLSPTCRKELGLKSIIPPFPSLTGDANSELQ >OGLUM03G27660.1 pep chromosome:ALNU02000000:3:26172954:26174323:-1 gene:OGLUM03G27660 transcript:OGLUM03G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERPQRQRPSVFPSDTHPGKWSPSEGVRGGGRNGDGRSSTPAIREGGGGEGKGEKWILLVEANAMAQGNRKRINGGGDWPVVGDGRPWQERERRASSSDVGEHRATLPTIYRSRRGVCRGGRRFGHGDGNLEEEKTAPVCFLSGEVCGGVRVWNTRTGRRREAAGLWAELGRGSERKRRKIRPTLKRKKV >OGLUM03G27670.1 pep chromosome:ALNU02000000:3:26173267:26173732:1 gene:OGLUM03G27670 transcript:OGLUM03G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHPPPANLLLPPAVAVPASSPNSFAGRPLPRVRVAREHTWPLPLRPFHHRSTGVPSLSLSAVHGKKRRKKKTRGRRRRKEKKNKELLTGGPYSTISQFLDLIQKSNL >OGLUM03G27680.1 pep chromosome:ALNU02000000:3:26185803:26190498:1 gene:OGLUM03G27680 transcript:OGLUM03G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSDIPEGREKLKKSGSLGSSDTAYVRADKIDLTSLDIQLEKQLTKTWGKANLKAQGPKEDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPTNNSNAGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDVPRCCPSAFANIMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSSGCLCFTKARGP >OGLUM03G27690.1 pep chromosome:ALNU02000000:3:26198112:26202505:-1 gene:OGLUM03G27690 transcript:OGLUM03G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLQPRCIWLCVARAAGTVSDLALPPLLAASSELDHVAAECPALRRLALPELSPADDARLPSLVPRWRRLAHLELDSKPSSFPAVAAALALHCPDLAVLRVTSGSVKPEDAAAMAASSPLRGRLRSLCLDRCYLPRQELLAILAGCGGGTPLHEFTARWCVGFDDKDEEVLGRGAAIERFDIGGSRLLDEPDGDATNGDDYCDSSYVDVI >OGLUM03G27700.1 pep chromosome:ALNU02000000:3:26204546:26204794:1 gene:OGLUM03G27700 transcript:OGLUM03G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRCPRAGRPPQLYWSSGLLRLSSSLLFSDLLSSRSHPGTAALLPSRSPTPPEGTVFDALLLLLPFCAVHAPPATSRVWRV >OGLUM03G27710.1 pep chromosome:ALNU02000000:3:26221608:26221996:-1 gene:OGLUM03G27710 transcript:OGLUM03G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADRGASVRCGGCCMLPFSVVHQAGSGYVFWLRNLLGALSRMSNGGILGCRDHRGGIVFGASSLWCCRRPSGVRPCLAGVIWC >OGLUM03G27720.1 pep chromosome:ALNU02000000:3:26246250:26263473:1 gene:OGLUM03G27720 transcript:OGLUM03G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRKVRNVNKRYAKINEDWQDKDATNVHKSKVRKKKLSDMLGSQWSKDELERFYGSYRKYGKDWRKVASSIRDRTSEMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNGSPKTSRKPRKRGRAKFQSVSKASDTQHPDQLQSQPASSSYGCLSLLKKKRSGDLFVGNKPRAVGKRTPRVPVASMYQRDEKIGPTNRQAKPDGNGDDEGAHVAALALAEVFQRGGSPQDSQTPGRSGDRMFLSPVKSTDRKNADSEMGSSKLHGFQVDADFPEGSLGSREAETGDYPKYASYLMNNEGSASGKSQQKVKRTQRRRKKAARKTDDQLEDDREACSGTEEGHSAKKTKDESEVNGLGRKGRWPSKKSNKRNRQLFFGDESSALDALHTLADLSVNILQPSSIVESVLLILRPMTFPESSAQIKDENKDNDSDEKPSMPAAVSVLEKKDKSKSTVKKVKRQSELASADMAARKKARIAKVPNRDGIAISETKQLDSKFGVQTEKKKRKPSAAKISKDEKSALKDVEKTEWMEISDLDNVSAEEGKVSSNKGMHTHVSPVSNHMINSTAHTDFGNVAMDTVDTTQGATTQQADLASKGRSRRKIGILKALAPECRPTDGADDLRSDKFSYAVNNVIDLKDSLSHCLSSRLLRRWCTFEWFYSAIDFPWFEKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLQEEREKLAQYRESVRQHYAELRSGVREGLPTDLARPLGVGQRVIACHPRTRELHDGNVLNVDHNRCRVQFDRPELGVEFVTDIDCMPLHPLENFPESLRRQNIVNKYYNSFSEAKFEDRSKELGTGGPTRFTSNVCFDGGDATSNIPSNYPINTLMKQAKEALLVELRHMNEEVYGRQKDGEAFRDFEHFRKQYAMVLVQLRDSNDHVASALLSLRQRNTYHGHPAQSYPKPMENGALTGTPDLYNLFGYINQESGSQVMEVIETSRSRAKLMVDVAIQAMCSVSEGEDAYAKVGEALDNLNNRSTGSGSSILGIRRIPPDSGQANSSHQDNTTSGHFDPATNNISSPRLPNGCDSEPQFPSELISSCVATILMIQNCTEKQYHPAEVAHILDSALSRLQPCSSQNVTIFREIEMCMGIIKNQMLALIPTPSG >OGLUM03G27730.1 pep chromosome:ALNU02000000:3:26272229:26277067:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQGSSAAVQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERVRPNPFLLVFNAMGSCLTLMPLAPFVRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAAMPRMPFMAAPQPVVPTPPVNHLADGSPGYRGHMPAAAAAAVGLAEPYAHYLGVNHLQPPPTQGVGYYPLGAKAVQQQQNPPLHVPNGSIMPPENAPNTGSGN >OGLUM03G27730.2 pep chromosome:ALNU02000000:3:26272229:26275451:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQGSSAAVQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERVRPNPFLLVFNAMGSCLTLMPLAPFVRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAAMPRMPFMAAPQPVVPTPPVNHLADGSPGYRGHMPAAAAAAVGLAEPYAHYLGVNHLQPPPTQGVGYYPLGAKAVQQQQNPPLHVPNGSIMPPENAPNTGSGV >OGLUM03G27730.3 pep chromosome:ALNU02000000:3:26272229:26277067:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQGSSAAVQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAAMPRMPFMAAPQPVVPTPPVNHLADGSPGYRGHMPAAAAAAVGLAEPYAHYLGVNHLQPPPTQGVGYYPLGAKAVQQQQNPPLHVPNGSIMPPENAPNTGSGN >OGLUM03G27730.4 pep chromosome:ALNU02000000:3:26272229:26275451:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQGSSAAVQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEDAESESAALARKPPAKMTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGSGMAPPVMFPGVHQYLPRMGVGMGAAAAAAMPRMPFMAAPQPVVPTPPVNHLADGSPGYRGHMPAAAAAAVGLAEPYAHYLGVNHLQPPPTQGVGYYPLGAKAVQQQQNPPLHVPNGSIMPPENAPNTGSGV >OGLUM03G27730.5 pep chromosome:ALNU02000000:3:26272229:26277067:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEVGVLPSNTNRDAH >OGLUM03G27730.6 pep chromosome:ALNU02000000:3:26272229:26273858:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSNMGDASRTLGEDDNLIELLWCNGHVVMQSQNHHRKLPPRPPEKAAAAQGSSAAVQEDEAGLWFPFALADSLDKDIFSDLFYEAPVAATAAAAPAGPGAGADGEGKTCKGDTAMAEEERGGPGAASEAPRELMPPPKSTHASCSRQQTMSLADGGDNAGDLSELVRARRSSGGAARRKAEAGGGGGGASSSMLSAIGSSICGSNQVQVQQRTASEPGRRGAPPSAVGSANAIPCGGRGHGHGHEATTVASSSGRSNCCFGTTTTTEPTSTSNRSSKRKRLDTTEDSESPSEVGVLPSNTNRDAH >OGLUM03G27730.7 pep chromosome:ALNU02000000:3:26272194:26272809:1 gene:OGLUM03G27730 transcript:OGLUM03G27730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSYLKAHRLCQAKPGPPCSASASSSSSLLCFCSLASLLPIGSFFSSEGEIVVSSSMVAAI >OGLUM03G27740.1 pep chromosome:ALNU02000000:3:26297420:26297740:-1 gene:OGLUM03G27740 transcript:OGLUM03G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSLALSFLLLILILATFTTTTVLATNNLPPSSAPALSPASSAAAKEFLRATCTWKSENYRNSASTFSSRTPAPSMAAKEKLLELARPSRSNDTGASSTSSAA >OGLUM03G27750.1 pep chromosome:ALNU02000000:3:26299597:26311055:1 gene:OGLUM03G27750 transcript:OGLUM03G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGAEMEAMHQNMIRLQDTLRQMQEQHQVYEAALQANTTLSTSANPNPSSTPATAAQAIQASSAAARANQASTPLLLLFWFSTTTVTFWGRDVGCQKKVHHMDTSKSSGTGHSSHTKSKKVTKGSSHVDVEHGGGTVVMAWQSAQHTLGAPLGYRSTLRQPWHTIWLCIPATQTQTGEVG >OGLUM03G27760.1 pep chromosome:ALNU02000000:3:26307232:26311579:-1 gene:OGLUM03G27760 transcript:OGLUM03G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPSTSVPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >OGLUM03G27760.2 pep chromosome:ALNU02000000:3:26307232:26311579:-1 gene:OGLUM03G27760 transcript:OGLUM03G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHLICGGCRTLLMYTRNADTVRCSCCSTVNLVRPVNNIAHVSCGQCRTTLMYPYGAPSVKCAICHYITNTGMNTVAPTPSPMPTSSGSSYNAPPSGSSYNAPPSTSVPTSRPQNVTVVVENPMTVDEKGKLVSNVVVGVTTGK >OGLUM03G27770.1 pep chromosome:ALNU02000000:3:26311309:26341174:1 gene:OGLUM03G27770 transcript:OGLUM03G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYPAQQQQEEGDETTAAAPLLRGDRPSTSNRATAPLAGDDEEEEEEEEESRGGWGWGRRRRLGDRDNAGKEGNGKGEEGSDDEGGRGKYDPFLLLPLLPSHPAAGGGGQAASGDSRSNGEQGEASLVLTWAQQEEGQDHPPLPSPASPASPACSGSGGSREGKRYCATTEHQQQWWRLSPATAMGVGKAATIKSNGKKMNRKEEGMKKEDKGNLILDASESNMDRLAQQVEKGEIVHTPSAQKSFPSKKVIEETYDDWSPLEVIKAHVEDLKAIDEKSEGKRMPNLKRILGKRLPNPDGWVAARHEVSLFLILTTPAQCTLHGFNNNHGHRRRNGSIGIPNQ >OGLUM03G27780.1 pep chromosome:ALNU02000000:3:26315795:26319055:-1 gene:OGLUM03G27780 transcript:OGLUM03G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDINSSFGKGGVTKLGSAGGSFVNFTYAIHTDCYFVYPVYGPESSGKTTLALHAIVEVHVSFFLTKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALETTVSRRVAPAATDVFSWIRLVTASSNGGSTDSKLMMPPKEVGTIGKRRGLSSSRVRSYGGSQRTLCFYLGKLEVALARSITGTLLDIAPWRGGRGFHGWEEWRLAQILLIPGSPRYRRLDQTPPS >OGLUM03G27790.1 pep chromosome:ALNU02000000:3:26339025:26341916:-1 gene:OGLUM03G27790 transcript:OGLUM03G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRRLAARFARSWKGSAGEAAAVATREKTRCSASNNVDAVTGEILDASGSNMDRLAQQGEIVHTPSAQKSFPFKLLQTSKKVCSHRSPLEVIKARVEDLKAIYEKSEGKKDAKPEENSGKKAVKP >OGLUM03G27790.2 pep chromosome:ALNU02000000:3:26339025:26341916:-1 gene:OGLUM03G27790 transcript:OGLUM03G27790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRRLAARFARSWKGSAGEAAAVATREKTRCSASNNVDAVTGEILDASGSNMDRLAQQGEIVHTPSAQKSFPFKLLQTSKKVCSHRSPLEVIKARVEDLKAIYEKSEGKKDAKPEENSGKKAVKP >OGLUM03G27790.3 pep chromosome:ALNU02000000:3:26339025:26341916:-1 gene:OGLUM03G27790 transcript:OGLUM03G27790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRRLAARFARSWKGEILDASGSNMDRLAQQGEIVHTPSAQKSFPFKLLQTSKKVCSHRSPLEVIKARVEDLKAIYEKSEGKKDAKPEENSGKKAVKP >OGLUM03G27800.1 pep chromosome:ALNU02000000:3:26341383:26341586:1 gene:OGLUM03G27800 transcript:OGLUM03G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTVTASTLLLALHLVFSLVATAAASPALPYPAMLQLYLNAERTQRASTATKARALPKRWEEEE >OGLUM03G27810.1 pep chromosome:ALNU02000000:3:26342103:26347005:1 gene:OGLUM03G27810 transcript:OGLUM03G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAASSRFGPAHLLPRSRRKGRAPAPTACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRIRCNHAVRAMIPEGARHMSLLAFGRSSLTEEEQVDDE >OGLUM03G27810.2 pep chromosome:ALNU02000000:3:26342103:26347005:1 gene:OGLUM03G27810 transcript:OGLUM03G27810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAASSRFGPAHLLPRSRRKGRAPAPTACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKEMRLFEP >OGLUM03G27810.3 pep chromosome:ALNU02000000:3:26342103:26347005:1 gene:OGLUM03G27810 transcript:OGLUM03G27810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAASSRFGPAHLLPRSRRKGRAPAPTACGAVGRGRRLRCEFVAGGGNGALSGEDDPRLIDRQKALDAAMNDINSSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKASCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRPIGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLAVRAMIPEGARHMSLLAFGRSSLTEEEQVDDE >OGLUM03G27820.1 pep chromosome:ALNU02000000:3:26347175:26350378:-1 gene:OGLUM03G27820 transcript:OGLUM03G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT5G44560) TAIR;Acc:AT5G44560] MNIFKKKVDPKEALRTSKREMSVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAMYAGTSISAGMKGASKAMAAMNKQMEPTKQIKVMREFQKQSNQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIAASNRKAESNQARNAAPPRNNVEPESSAEVDDLERRLASLRRI >OGLUM03G27830.1 pep chromosome:ALNU02000000:3:26359893:26360551:-1 gene:OGLUM03G27830 transcript:OGLUM03G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWRLPWSREGYGGRYDDHDKGNYRDPNDDCEYGDHDDDRGFRGRGFTSASLRSNVIEGEVRSIPGGADPPLLLKRWRGAMAAASGAISTIMGADNDVGMGSRVLEEGDEILLSSAS >OGLUM03G27840.1 pep chromosome:ALNU02000000:3:26367149:26368474:-1 gene:OGLUM03G27840 transcript:OGLUM03G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZAX5] MASSPMAMDADKLSYEIFSLLESKFLFGAGGGGCLSSGPCTPARPFLGGGGGMDGRVRVLAIDGCGSSGAGDALLAAAALARLEAGLRKRTGDSDAHVADFFDVAAGAGAGGVLAAMLFLRGPDGRPRYTAEEALEFVAASVGRDWAGRRGRWARLFRGGARGAERSFRRVFGDATLKDTVAPLLVPCYDLATAAPFMFSRADAVESDSYDFALRDVCAATCAAGSTAAAIRSVDGRTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLAITVDDILVLSIGTGASTSATATPMPTRSPSPREMARVTAEGVADMVDESVAMAFGHTSGSSSNYVRIQASKAATALHGAAAAGAMLSQRNVESVLFRGRRMSERTNAEKVDAAAAEVVKEHERRRRSPLPNVVVKQVGTPRVSSATTASSGTARTAASTLASPASYGSRQ >OGLUM03G27850.1 pep chromosome:ALNU02000000:3:26371946:26379530:-1 gene:OGLUM03G27850 transcript:OGLUM03G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKMAAFEKGRAKVAAFEKGRAKVAAFEKGRVKVAAFEKGRVKKVNTSGTKMANTLVGITEEQEDMKMKMAAFEKGRTKVDTLGTKMANMSVGITEEQEDMKTREEQETYQTWLCNIPFIYDLCIINNLECPLSTVQWLPGQDQHQKMVLGTHHPQNPEKSPNYLIIAQAQLSCDDDEDIEYPCGDVEDMEYCESDDSNSGLYGAGSSKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >OGLUM03G27850.2 pep chromosome:ALNU02000000:3:26371946:26379530:-1 gene:OGLUM03G27850 transcript:OGLUM03G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58230) TAIR;Acc:AT5G58230] MPKAPAAEEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPAGKDHSVQKMVLGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHAEIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKTLDALQIFKYHDGVVEDVAWHLRHEYLFGSVGDDHNLLIWDLRSPVSTKPVQSVAAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDTSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDVPTDDPAKAP >OGLUM03G27860.1 pep chromosome:ALNU02000000:3:26380505:26385739:-1 gene:OGLUM03G27860 transcript:OGLUM03G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSTSSSLCPCPPPPSPAPAPAIPIHPASEQGAVVLPAASAASILVSTSSATAACPDPHPSRARASEQGRRRSSCCFLRIEKERKGEMEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRLPPTSTSTSLAGGPNPSEVYGFVGSITTVIATTVYLVWAYMPERCFRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSREWTMFDPANANATGEEEEVERPIEPISDISIDQINSLMRDSCGSREEEETMEKLPLARFRSLVNNEDVDAIKQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNNFAGKTRLLKSMKADLDHIFLKSRGMKSRLVATYADAFPTGAMAETMGQRPDLESPLD >OGLUM03G27860.2 pep chromosome:ALNU02000000:3:26380505:26384774:-1 gene:OGLUM03G27860 transcript:OGLUM03G27860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWSPPSSPSLVVRSPRQTVSLLRNRHPWRESRLPPTSTSTSLAGGPNPSEVYGFVGSITTVIATTVYLVWAYMPERCFRSLGITYYPSRYWALAVPSFVIVATALCMVVYVGFNFLATPPPTSFNTIFDEYSREWTMFDPANANATGEEEEVERPIEPISDISIDQINSLMRDSCGSREEEETMEKLPLARFRSLVNNEDVDAIKQMQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNNFAGKTRLLKSMKADLDHIFLKSRGMKSRLVATYADAFPTGAMAETMGQRPDLESPLD >OGLUM03G27860.3 pep chromosome:ALNU02000000:3:26384842:26385739:-1 gene:OGLUM03G27860 transcript:OGLUM03G27860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSSTSSSLCPCPPPPSPAPAPAIPIHPASEQGAVVLPAASAASILVSTSSATAACPDPHPSRARASEQGRRRSSCCFLRIGEFCN >OGLUM03G27870.1 pep chromosome:ALNU02000000:3:26390352:26393245:-1 gene:OGLUM03G27870 transcript:OGLUM03G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Domain of unknown function KxDL (InterPro:IPR019371); Has 135 Blast hits to 135 proteins in 54 species: Archae - 0; Bacteria - 0; Metazoa - 106; Fungi - 0; Plants - 26; Viruses - 0; Other Eukaryotes - 3 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G29130) TAIR;Acc:AT3G29130] MEKSPPETAAAAAEVAARFRSLVDTGDIGAIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSNDFASKTRLLKSMKDDLDHIFLKLRSMKSRLAATYPDAFPDGAMAKSMDQRPDLESPLD >OGLUM03G27880.1 pep chromosome:ALNU02000000:3:26413424:26418860:-1 gene:OGLUM03G27880 transcript:OGLUM03G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPWPWLLASAEAGEEGEGSTGMAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDGKTDAPSATRTLDLASTLEVGSGGTTRASSDTSSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >OGLUM03G27880.2 pep chromosome:ALNU02000000:3:26413424:26418718:-1 gene:OGLUM03G27880 transcript:OGLUM03G27880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMVAAVHGVGRQDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYGECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNPAATRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDVLHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWILRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAFNSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRAGGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDGKTDAPSATRTLDLASTLEVGSGGTTRASSDTSSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQIETKNPPGSPEAHTLARWIGRSYRFHTGADLLRTDSQSTDSSLKAMWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEFPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >OGLUM03G27890.1 pep chromosome:ALNU02000000:3:26420623:26420931:1 gene:OGLUM03G27890 transcript:OGLUM03G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTDVFPSWFATVLDVDMVEKVRSSLLRVLGLLRGGQASMLGKRARFCSNSARSDSETAAMEAKAVDGFTAERETCGKASFAMCPTIFHSKDCLLVPIVL >OGLUM03G27900.1 pep chromosome:ALNU02000000:3:26448097:26448420:-1 gene:OGLUM03G27900 transcript:OGLUM03G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQVEALEQPSDVLETPAGALEQPAVALEKPAVPLEQLADVLKKPAVVETAAMSGASSSATSDGTRVAREEEKKSMRRRRRRAQTRPIARENESKGQRTPILRART >OGLUM03G27910.1 pep chromosome:ALNU02000000:3:26478121:26482969:-1 gene:OGLUM03G27910 transcript:OGLUM03G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDGGGGGVRRRGCGCSKEDFFPEESFSSWEAYGRALRSTGARLADRLTARSLDATELHEVRARSGADMKRDLTWWDLAWFGVGAVIGAGIFVLTGQEARNAVGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHHPNDFRIHAASLAADYSRLDPIAVAVIAIICLLSVLSTKASSRFNYVLSVLHVAVIAFIIVAGLTKADAANLTRDFMPYGPRGVFAASAVLFFAYIGFDAVSTMAEETRDPARDIPVGLVGAMALTTALYCALAVTLCLMVPYGEIDPDAPFSVAFADRGMGWAKYVVAFGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLARVHPRTGTPVNATVAMLLATAVIAFFTDLNVLSNLLSISTLFIFMLVAVALLVRRYYVSGETSRADRNRLAACIAAILASSVATATCWGLDRGGWVPYAVTVPAWLAATASLWALVPQARAPKLWGVPMVPWLPSASIAINVFLLGSIDSKSFMRFGIWTAALLVYYLFVGLHASYDTAKALATDAVAGKVENGDAKTSATPM >OGLUM03G27920.1 pep chromosome:ALNU02000000:3:26486273:26490989:-1 gene:OGLUM03G27920 transcript:OGLUM03G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVKLGSKPDAFRRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLERLIEETSDQDECVIRLNDIPGGAKSFELVARFCYGVKIELSSENVVYLRCASEHLQMTEEIAEDNLISQSEIFLNQVIIRNWKDSLKALETCEDLLPHAENLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFSSNWWYEDASALSFHMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGAVPLTATLSEVEQKNLLEEIDRLLPVQKGLASTRVLLGLLRTAMILKASPTCISNLEKRIGMQLDHATLEDLLLPNFSYTMETLYNVECVQRILDHFLAMDQANGAASPCLDDVMASPSLAPITTVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLMDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSIAGCLLVSDNLEGSRPLRSGITTSGEAGGWATAVRENQVLKVGMDNMRMRLSELEKECSTMRQEIQKLGRGKSGGWASRVPKKFNLKLKSQMCSAQEGSVSEQHKSMSAKLDKLQAKVSRQKKQLAGDA >OGLUM03G27930.1 pep chromosome:ALNU02000000:3:26495590:26508631:1 gene:OGLUM03G27930 transcript:OGLUM03G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRLAAPAPAPASQASCLPTFSAVSTDHQLDRSVPCVVVRAMVVIAAVMLAAAAPAPAPAGTTCEQLESVARSCTGYLKRSLIFLNDACCDGAESVYDALTTDAAVDLGFVCRCLRGFVISESLRPYLYRVANLPRLWRDESRQRMFDHLAWGVPDRAVDC >OGLUM03G27940.1 pep chromosome:ALNU02000000:3:26512401:26517472:1 gene:OGLUM03G27940 transcript:OGLUM03G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLIKSCLSSSFCTWAYTAQPVRGGLLVTLPPCPPLPPPAIPIHPASEQGAVVLPATSILVSTSSATADPQPSRERASDRRSSCCFRHIGGPWTCTLQMSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKGSFEVLAWQYHFPKMKTGFVVKPHYTPKYYRTQ >OGLUM03G27940.2 pep chromosome:ALNU02000000:3:26512401:26517024:1 gene:OGLUM03G27940 transcript:OGLUM03G27940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLIKSCLSSSFCTWAYTAQPVRGGLLVTLPPCPPLPPPAIPIHPASEQGAVVLPATSILVSTSSATADPQPSRERASDRRSSCCFRHIGGPWTCTLQMSGFNRMGSDGNFGKGPRELTGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLHNVVGDTEIRKGEGMELDQLFQDAYLREKTSYIQPFDMETLGQAFQLRETAPIDLPSAEKGTPTISGKSKIKSKDKVKKHKRHKEKDKDKYKDQKKHKHRHKDRSKDKEKEKEKEKEKEKKKDKSAHHDSGADRSKKHHEKKRKQEGLEDLASGHNPKKVTLIF >OGLUM03G27950.1 pep chromosome:ALNU02000000:3:26528080:26528349:1 gene:OGLUM03G27950 transcript:OGLUM03G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEDGGDRAAGDEGSDGRVAQLRWKMAATVWWTSEDGRGRAVRRRQKTAAVVRRMSETAPRGGGGRRRRPCRRGQWGPCGGCGRQQ >OGLUM03G27960.1 pep chromosome:ALNU02000000:3:26529362:26530612:1 gene:OGLUM03G27960 transcript:OGLUM03G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASPTSSSSLPPKPPNSAAMLVEQQPLSYHDVDAASTPSSSVSSSSTASVGGRSSTFSLDSAATATPTSSPPRPHRAADVAWAPIRAAAAPLGPRDFTLVRRVGAGDIGTVYLCRLDGERGAGSPSPCEYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFADFDAGQDYSCVVMEFCPGGDLHSLRHRVPGRRFPVASARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTASPALEDARNGADDDAATPTCLPEVQLFRLRRWRRRAAPRRRPRFVAEPVDARSSSFVGTHEYVAPEVARGGGHGAGGGSPHDAAARDLIARLLDKDPRSRLGSRRGAADVKSHAFFKGLNFALLRSSAPPVVPPPAVAAAQCSKAADVPQLFDLF >OGLUM03G27970.1 pep chromosome:ALNU02000000:3:26530877:26531582:1 gene:OGLUM03G27970 transcript:OGLUM03G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDGMGWDEPTFRGVWLRGEWDGLDSREEYSSQIRDKPIRQNRPDELVPLGRSTQRLLGDRRRSSAPAPAASSMTAGAPPRPPATTSMTADAPPRPSASTPVAATSEMLGTIPPLIAMLDESGCGDVDAAGSSGSEAWRAAAAKLGGRESGGGGSGAGDLLIQPRRQRWASGLGAPAVVAVLLLPLCLIRPTVAQSMVVDGDGKQRPSHFSLIPSTKQKIGIVPSYKPNM >OGLUM03G27980.1 pep chromosome:ALNU02000000:3:26539228:26541352:1 gene:OGLUM03G27980 transcript:OGLUM03G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDDQLLFSLSFPSLSSSSSCLRKNSFFYPIIHQLILTPTYTFIKSNGRESSTASDPTNHTSLTSHRAPLPSTETASAIMAASSLSPRRAIIAASPISSAPPPTIRHRPHTVPPPLPQQPSLTNRRRRPHTDARPLGSPPSGHRRPPLSPLSSAPPNHHRPESHGSSSPSKLISTPPQSSLCLFASPSSAPFTDLRCAAPLALPLLHMAP >OGLUM03G27990.1 pep chromosome:ALNU02000000:3:26543972:26560871:-1 gene:OGLUM03G27990 transcript:OGLUM03G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAAPSSLIFLGTGCSTVPSSRTPGASSGPLPPAPPKPQLQSAVIYRKVLPIKCNTSLFIYYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIILTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTQFTMDRFPYLVKYKLEEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFLFGRKARIAYLSDILRFLPKTEHAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALLTGMAHEIEYYKENQKLAEWSSREGIPVQLAHDGLRVFINLCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRFRFLLLLLFLSTAKSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKHGICINTPGSYRCKCKAGTKRDGTNFGCQQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGGGAVGRGKFNSS >OGLUM03G27990.2 pep chromosome:ALNU02000000:3:26543972:26560871:-1 gene:OGLUM03G27990 transcript:OGLUM03G27990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAAPSSLIFLGTGCSTVPSSRTPGASSGPLPPAPPKPQLQSAVIYRKVLPIKCNTSLFIYYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIILTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTQFTMDRFPYLVKYKLEEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFLFGRKARIAYLSDILRFLPKTEHAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALLTGMAHEIEYYKENQKLAEWSSREGIPVQLAHDGLRVFINLCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKHGICINTPGSYRCKCKAGTKRDGTNFGCQQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGGGAVGRGKFNSS >OGLUM03G27990.3 pep chromosome:ALNU02000000:3:26543972:26560871:-1 gene:OGLUM03G27990 transcript:OGLUM03G27990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAAPSSLIFLGTGCSTVPSSRTPGASSGPLPPAPPKPQLQSAVIYRKVLPIKCNTSLFIYYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIILTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTQFTMDRFPYLVKYKLEEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFLFGRKARIAYLSDILRFLPKTEHAISKSGAGQLDLLILEANSLHGEALDAVKRISPKRALLTGMAHEIEYYKENQKLAEWSSRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRFRFLLLLLFLSTAKSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKHGICINTPGSYRCKCKAGTKRDGTNFGCQQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGGGAVGRGKFNSS >OGLUM03G27990.4 pep chromosome:ALNU02000000:3:26543972:26560871:-1 gene:OGLUM03G27990 transcript:OGLUM03G27990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAAPSSLIFLGTGCSTVPSSRTPGASSGPLPPAPPKPQLQSAVIYRKVLPIKCNTSLFIYYCDNDGTHRYIFFDARTHKYIIIDVGKTFREQVLRWFVCHKIPWVNSIILTHEHADAILGLDDVWMIRPSDGRNDFGQVPVFLTQFTMDRFPYLVKYKLEEGDEASQVAQLDWRIIEGDIEKPFISSGLEFVPLPVMHGEGYVCLGFLFGRKARIAYLSDILRFLPKTEHAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRFRFLLLLLFLSTAKSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKHGICINTPGSYRCKCKAGTKRDGTNFGCQQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGGGAVGRGKFNSS >OGLUM03G27990.5 pep chromosome:ALNU02000000:3:26543972:26556551:-1 gene:OGLUM03G27990 transcript:OGLUM03G27990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPAGDAALPPPPPPPSSSVIFLGTGCSGALPDTRCLLRPSAPPCAVCSLGVSLPPEQNPNYRCNTSLLIDYCQDDGTHEYIIIDVGKTFREQVLRWFVHHKIPWVNSIILTHEHADAVLGLDDVWMVQPKGCSNDFRRVPIFLTQFTMDSVVARFPYLLKNKLEEGDEVSQVAQLDWRIIEGDIDKPFVSSGLEFVPLPVMHGEGNICLGFLFGRKAKIAYLSDVSRFLPETEYAISKSGAGQLDLLILETNTLHGKTLDAVKRISPKRALLIGMRHEFEHYRENQNLAEWSSREGIPVQLAHDGLRSSADAAVSSTSGRAMSLPGCPDKCGDVSIPYPFGIGDRCAAAGLSRFFNLTCDGSRSPPVPMLGDPGAQADVIDFSPERGELRLYAGLSYACYASSATSPSTNATFAFSLVGTPFRVSPALGLVVGTASGGGGDDDDLYATGCFTYCAELNAAGADGAPCAGAGCCQVPISPDIPYLGAAFRTGNWTNTAWRFNPCFYAMVAEDGWYSFRRRDLVGVLAYYNETVDAGRGVPVVIDWAVRDGWCPATAEERARRKHGICINTPGSYRCKCKAGTKRDGTNFGCQQRRKHIQEKQQYFKQNGGLRLFDEMVSRQVDTVRVLTEDELKKATNNFSDDQVIGCGGHGTVYRGTLDDLREVAIKRSKAAVDGGGGGGCEDEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFELLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELATRRKAVYDDDDASGSGHGGQKRSLSTAFLAALRHGELWSVLDRELVRRPDDDGDGDDKAAVDVVRELAELAARCLGPSGDERPAMKEVAERLQVLRRRAEMRAVAGAGRDSNGGEVDRSWIMCGGGGGGGGAVGRGKFNSS >OGLUM03G28000.1 pep chromosome:ALNU02000000:3:26561138:26572627:-1 gene:OGLUM03G28000 transcript:OGLUM03G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVIFLGTGCSGALPDARCLIHPSTPPCPVCSHSLSLPPERNPNYRCNTSLLIDYCQHDGIHKYILIDVGKTFREQVLRWFSHHKIPYVDSIILTHEHADAVLGLDDVWVVQPSGCRNGLGKVPIFLTHFTMNSVAARFPYLLKNKLEEGDEGSQVIELDWTIIEGDIDKPFVSSGLEFVPLPVMHGEDYVCLGFLFGRRSRIAYLSDVSRILPRTEHAISKSGAGQLDLLILETNELHGEGDAGSCHLTLSQTLNAVKRISPKRALLIGMNHEFEHHKENQTLAEWSSREGIPVQLAHDGLPIMQEAPSTRFLLFIFYLTAVSSSSGVSSAAAAGRAMSLPGCPDKCGDVSIPYPFGVGDRCAAVGLNPYFNITCDDAVRPPVPKLGDPGMQAEVLDITLERGEIRLTGFLSYVCYTSSNSSYASPPGGIFVGSTQLRVSPSRNQLTVIGCRALGLLVGGAHAGRSGSGDGDEYATGCYTYCASLNSTDADGAPCAGTGCCQAPISADLAYVGGTFPSNWTNSGWRFNPCFYALIAEVGWYSFRRRHLAGVLGFVNETKPGDIPVVLDWAARDGWCPATAEEKARRKYACVSGNSHCVNSSNGMGYSCSCNQGYEGNPYLDNGCQDIDECALRRQGRQYEDVYPCKHGICINTPGSYRCKCKAGTKPDGTNFGCQQVLPMAAKVIIGLSACSIFVMALSCMLVIQLQRRKHTIEKQEYFRRNGGLRLYDEMVSRQVDTVRVLTVDELKKATDNFSDARVLGRGGHGTVYRGTLDDLREVAIKRSKAAVDGDGDGGGCKEEFVNEIIVLSQINHRHVVRLLGCCLEVHVPMLVYEFVPNGTLFDLLHGGTAARRRPVSLGLRLKIAAQSAEALAYLHSSASRAILHGDVKSLNILLDGALDAKVADFGASALRSAMGEGESFIEYVQGTLGYLDPESFVSRHLTDKSDVYSFGVVLAELITRKKAVYEDDGGGGGGSGEKRSLSSTFLAASSRGELWRVVDRDIMDGDDVDAVVRELARVAEECMGARGEERPAMKEVAERLQVLRRVEMMEAAAGVEVVDGFNGGGLVGRHGHLDTTTTTTTSYYQSMETDKLQLDVDDLAEISGGQKSG >OGLUM03G28010.1 pep chromosome:ALNU02000000:3:26575502:26583479:-1 gene:OGLUM03G28010 transcript:OGLUM03G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ornithine-delta-aminotransferase [Source:Projected from Arabidopsis thaliana (AT5G46180) TAIR;Acc:AT5G46180] MAAALARRGGGGLARALARGRGMCSATAAERAAGAALTSEELMRMERERSAHNYHPIPVVFSKGEGSHILDPEGNKYIDFLSAYSAVNQGHCHPKVLRALKEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYEMMLPMNTGAEGVETAIKLVRKWGYEKKKIPKNEALIVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLEKIFKDHGERICGFLFEPIQGEAGVIIPPDGYLKAVRDLCSRHNILMIADEIQTGIARTGKMLACDWENIRPDVVILGKALGAGVVPVSAVLADKDIMLCIKPGEHGSTFGGNPLASAVAVASLKVVTDEGLVERAAKLGQEFRDQLQKVQQRFPQIIREVRGRGLLNAVDLSNEALSPASAYDICIKLKERGVLAKPTHDTIIRLAPPLPEELAEASKAFSDVLEHDLPQLQKQIKKTESAAEKQSCDRCGRDLY >OGLUM03G28020.1 pep chromosome:ALNU02000000:3:26590804:26591502:-1 gene:OGLUM03G28020 transcript:OGLUM03G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKKSVVLINCAVSMYGNRVRIALARKGVAYEEKPENLAAKSALLLSSNPVHGQVPVLLVGGKPVCESLVILEFIDEEFAGVGEPLLPAGPYERAQARFWASYIDAKLAPCAGRVWRSPAGAAGAAAVEAARGELVAAMRTLEAELGGRRYFGGGGEALGYVDVALAPFTAWFATYERFGGFSVAAECPELAAWAARCVRENACVAASLPDPEFVYQFACGMRKHFGLDG >OGLUM03G28030.1 pep chromosome:ALNU02000000:3:26592746:26593162:-1 gene:OGLUM03G28030 transcript:OGLUM03G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWACIGGGNATPEEGERGSGGAAAAAAAGVGSESASFPSLLAGGHPPPLTAQPNHHAFQRQPSILVARGKARAKED >OGLUM03G28040.1 pep chromosome:ALNU02000000:3:26618799:26619392:1 gene:OGLUM03G28040 transcript:OGLUM03G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTIVEEFKKAAKQGAFPPVYPVGPFVRSSSDKAGESACFEWLDRQPAGSVVFVSFGSGGILSIEQTRELAAGLEMSGHRFLWVVRMPSHDGESYSYDFGTDHRNEDDPLACLPEGFLERTRGRGLAVASWAPQVRVLSHPAMVAFVSHYGWNSALESVSAGMPMVAPLYVEQKVNAVILTEVVGVVLHPATATGW >OGLUM03G28050.1 pep chromosome:ALNU02000000:3:26625418:26632349:1 gene:OGLUM03G28050 transcript:OGLUM03G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPASSAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRAEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYRIKIHPMGSYPKVTDINQNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHCKLLQNW >OGLUM03G28050.2 pep chromosome:ALNU02000000:3:26625418:26632349:1 gene:OGLUM03G28050 transcript:OGLUM03G28050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPASSAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRAEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYPLYSDIIFATVYLLVILLRSNSYMAFTSINWFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHCKLLQNW >OGLUM03G28050.3 pep chromosome:ALNU02000000:3:26625418:26631456:1 gene:OGLUM03G28050 transcript:OGLUM03G28050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPASSAAAAGDKGGGVDPSLPRFKCQECQRALVVVGVESFTDKLPAHAVSGMNVSSVQGSVMGASRMDNSYVVLSKQNRSHSHGIPPRPPSAGIPRAEPNQPTRAMEGSYIVLPPAAASIYKTSASEGGGAQLSPTSMNPGSPLPGNNFHSSVTVLKRAFEIATSQTQIEQPLCLDCMRLLSDKMEKEIEDVNTDNKAYEACLQRLEQETYNILSETDFQKERQKVIEEEEKKLKAAIEEAEKQYSEICSEMKCLETKSKQFEELEERYCHDLNSFQFQWTSHQEERDAVLAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLPNVQVEWDEINAAWGQAALLLHTMAQYFFPKFEYPLYSDIIFATVYLLVILLRSNSYMAFTSINWFGPVNLFWSTRFDKAMTWFLTCLQEFADFAVSLDKENNVPPDKSLKLPYKIEGDKVGSYTIFLSFNKLDNWTKALKYMLCNLKWVLYWFIGNTSFAPPSGSLHD >OGLUM03G28060.1 pep chromosome:ALNU02000000:3:26638619:26646174:1 gene:OGLUM03G28060 transcript:OGLUM03G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGAAIKLRARAMKSTVISLTAATAAATDKQLKPTTFSQCQHFLKMDILFCFTVRTNVRGKVLSAPVRLMKSPRKGSIAATKIGGKESTVEGTFLTMRCCVESLPPNIRDSMEEGIWRDRDRDREIDRELRQAGGSARSLTPLPHDHDHRAPPVGGGGPPPESADWEKGSASASASAAAEEGGCVGRGEEWRGGGIGGTHTPCHIGRIGSSEVVAARVRVVAVVVMVVECVGEGEQRRGVREKLAAMPEIFPSFPLGSDPPPPAISPGPSHPFDHEIALPRERGEQGTKYHGLAGALSGGGGGSYGLLPTRSPHLTLHPTADHIERTSMGCLFGLNPIASRDEDDDHIHHQATQREHRRAIVVLERHRFGIIDVRPSSSIPEQATTPSAPS >OGLUM03G28070.1 pep chromosome:ALNU02000000:3:26640287:26643630:-1 gene:OGLUM03G28070 transcript:OGLUM03G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQLDEENQRAALFHSSAPSSSLGADGEEERETVPLLSCKMADDKSDTVQVSEDTAHQISIDPWYQVGFILTTGVNSAYVLGYSASIMVPLGWIGGTCGLILAAAISMYANALLAHLHEVGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGLIILAGQALKAIYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGLSTVFSLIYIMIAFVMSLRDGITTPAKDYTIPGSHSDRIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPIWIKTVANLSAFLQTVIALHIFASPMYEFLDTRFGSGHGGPFAIHNIMFRVGVRGGYLTINTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLTVKQNKMSIFRKCWHWLNVVGFSCLSVAAAVAAVRLITVDYSTYHLFADM >OGLUM03G28080.1 pep chromosome:ALNU02000000:3:26655305:26656150:-1 gene:OGLUM03G28080 transcript:OGLUM03G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQQQKRKVASVSSALVMSLLPILYASLLRLPPAALARDTTFWFLLSNCIIAIIAAADSAAAAPITTSSSSSHGHDDVDEPALLAAAVVPAAPPPAAGDDQLPAAAPVAVRNDDEINEPPSAPAVTSSATPSSDNPPAFIASDDVATEGERPDQQPQEAATDGETHGEAVKGDDDEDEAASDKTTTTNKSLPSSSSEELAIVTSNNDDDYDDGGDSASFGEEDEGKVVPWGMPAPATTTGGGGGKQYWQLSDEELNRKVEEFITRFNREMRLQILQEAGV >OGLUM03G28090.1 pep chromosome:ALNU02000000:3:26655360:26656367:1 gene:OGLUM03G28090 transcript:OGLUM03G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTFLFSSSSLSCQYCLPPPPPVVVAGAGMPHGTTFPSVTAVVVIVVVVGGGGAAGTTAAARRAGSSTSSWPWDDDDEVVIGAAAAESAAAMMAMMQLERRNQKVVSLASAAGGRRSSDA >OGLUM03G28100.1 pep chromosome:ALNU02000000:3:26670656:26677732:1 gene:OGLUM03G28100 transcript:OGLUM03G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWPSGRPGRAQARIYRAGTARFVGRAPARPPEKEREREIPTSTMAAASSLHAAPRVGSSSSFSSSSSAGRRSASAARSVRVAAAAGSGAARRAGGRMVARAAVASKAESPASAASSKSDGGPPPAPRKRCITVRAAELRGRASARSRACRGEHTWSGLCCRGRPSSPHPHDHLPWPANPNHHNSTVSTAPVTSVSLPPQLRHALPPPMPAAAPRVASAASGSASATRGVRVARDKSGGGKLEERTAVAVAVKVVVVDPQRLRMAAFEPHRVQHMASRLQLLYFIAITVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMAYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLLLLLLFMVFEL >OGLUM03G28100.2 pep chromosome:ALNU02000000:3:26670656:26677732:1 gene:OGLUM03G28100 transcript:OGLUM03G28100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWPSGRPGRAQARIYRAGTARFVGRAPARPPEKEREREIPTSTMAAASSLHAAPRVGSSSSFSSSSSAGRRSASAARSVRVAAAAGSGAARRAGGRMVARAAVASKAESPASAASSKSDGGPPPAPRKRCITVRAAELRGRASARSRACRGEHTWSGLCCRGRPSSPHPHDHLPWPANPNHHNSTVSTAPVTSVSLPPQLRHALPPPMPAAAPRVASAASGSASATRGVRVARDKSGGGKLEERTAVAVAVACLLIRVVGFISYCNVLNSAVFLSGQVKVVVVDPQRLRMAAFEPHRVQHMASRLQLLYFIAITVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMAYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLLLLLLFMVFEL >OGLUM03G28100.3 pep chromosome:ALNU02000000:3:26670656:26677732:1 gene:OGLUM03G28100 transcript:OGLUM03G28100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYRWPSGRPGRAQARIYRAGTARFVGRAPARPPEKEREREIPTSTMAAASSLHAAPRVGSSSSFSSSSSAGRRSASAARSVRVAAAAGSGAARRAGGRMVARAAVASKAESPASAASSKSDGVQHMASRLQLLYFIAITVLASVFQPCTSIELHRELSGWSNGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSRIAAGSPYIYDSGKGCGSCYRVVCAGNEACSGIPVTVVITDQGPGGPCLEELVDGQCMNEAAHFDMSGTAFGAMARPGQADQLRGAGLLQIQYTRVECEWTGVGLTFVVDSGSNPNYLALLVEYDDNDSDLAAVDIMPIGAGASGSWIPMQQSWGAVWRLNSGSALQGPFSVRLTFSSGQMFVASNAIPAGWNPGMAYQPGGVAMRVRGRNGGRRGYEAVGMLGGLCHLLLLLLFMVFEL >OGLUM03G28110.1 pep chromosome:ALNU02000000:3:26683329:26684252:1 gene:OGLUM03G28110 transcript:OGLUM03G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAAPLEDATVVQPAQIVAAVEQICQ >OGLUM03G28120.1 pep chromosome:ALNU02000000:3:26685131:26689698:-1 gene:OGLUM03G28120 transcript:OGLUM03G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPRHADHLAPGSPSPAASPSELGDDESWSRAPSAAELESNKNDLAEIRNDNVPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTGSGANPAMPKQAKSSKIFQKKDVPQAASTAASEKKVTERPSERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMLPPTSVPVIVREYRKEQLWI >OGLUM03G28120.2 pep chromosome:ALNU02000000:3:26685590:26689698:-1 gene:OGLUM03G28120 transcript:OGLUM03G28120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPPRHADHLAPGSPSPAASPSELGDDESWSRAPSAAELESNKNDLAEIRNDNVPASIPQKQKTSKAERRAIQEAQRAAKAAAKEAGLSGKSAGTGSGANPAMPKQAKSSKIFQKKDVPQAASTAASEKKVTERPSERDRKKDAPHPRMQFDDVHRVEKAKKRAIVNQSEARNRVELFRHLPQYVHGTQLPGLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFQVAIKDYSTPPKKTLSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSESEAKASLQSDIDRFINEKIVVADKVIVSHAITKVRDNDVLLTYGSSSVVEMILDHAHELGRKFRVIVVDSRPKLEGQGLLRRLVEKGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGIPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKADLGHLKNLADNENLQLLNLTYDATPSDYVSMIITDYGMARLLPCIVCLADT >OGLUM03G28130.1 pep chromosome:ALNU02000000:3:26690854:26692442:1 gene:OGLUM03G28130 transcript:OGLUM03G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSLAADAQEDGTEARSTSSSPSSRRMRTSQNVVPAASPRLHVLSRHYGLIELPLAPWPPASPTRSGGWPPFTLKEMEMTPEPAIDAFNVRLAASIEIMRKKEERWKDGEERRLEEEDIVFDMCFPGWASGERLCPVEADMDDG >OGLUM03G28140.1 pep chromosome:ALNU02000000:3:26723242:26725068:1 gene:OGLUM03G28140 transcript:OGLUM03G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTPGYAHIQRQHGRCSTTAGRRGASNSVRFSARTVSSVPHAAAASSAPAFLPVPFVPGADAPSPSGKSAIGVPKAPRKGEEGKRLNFFQRAAAMALDAFEEGFVANVLERPHGLPSTADPAVQIAGNFAPVGETPPARALPVSGRIPPFINGVYARNGANPHFDPVAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERAMGRPMFPKAIGELHGHSGIARLALFYARAACGLLDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLKYFYFAPDGTKSADVEIPLDQPTMIHDFAITENYVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAADASEMVWVDVPDCFCFHLWNAWEEADTDEVVVIGSCMTPADSIFNESDDRLESVLTEIRLNTRTGESTRRAILPPSSQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGQFGGEPCFVPMDAAAATPRGEDDGYILSFVHDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFITGDELTTQA >OGLUM03G28150.1 pep chromosome:ALNU02000000:3:26747115:26751524:1 gene:OGLUM03G28150 transcript:OGLUM03G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAPQLPCCTRLAPPCPGKAAAEARTLARSRFRCCAGAARPRSFQKKDSFLDLHPEVTLLRGSDEAAVVATRKGSPNGSPLEGLGAPPDHGDYDGAKIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVLPQNRLQIGQELTRGLGAGGNPDIGMNAAKESVESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGADMTLFEVNSAAEIIYDLVDPNANLIFGAVIDPSLNGQVSITLIATGFKRQDEPEGRTTKGGQQTQGDNGRRPSSAEGSMIEIPEFLRRRGPSRFPRV >OGLUM03G28160.1 pep chromosome:ALNU02000000:3:26752608:26753951:-1 gene:OGLUM03G28160 transcript:OGLUM03G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLPRLPRRRRRPGPELRVPARGLLEARVPWVRDRALDHVVERERHLVPFLLAKDALLSAAPPPHAVPLHSLPSTIPFPFRPLRFIRLYPSAFALSPHPVAVSPTPRLAGIHSAEAQVLDSTRADAADRLLRLLMLAPARALPLHLVARLRLDLGLAPDFPRSLLPNYPDYFALSRDGALLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVPFPLSFPRGFELDKKVRKWLDDWQRLPYISPYEDGSHLTPRSDITEKRTAAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVLARHPGIFYLSHKLRTQTVVLRESFRRHMLVDKHPMMGIRYQYLHLMHMGQEEAGKRKGKGRKTVRGEQMIGEEFGAEGENDDEEDEEYDDDEEEEDMEAGVASGDEDSDDDDDDEEGEKEDMEAGVASGDEDSDDEDADDTDHAAKG >OGLUM03G28170.1 pep chromosome:ALNU02000000:3:26754203:26758609:1 gene:OGLUM03G28170 transcript:OGLUM03G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYASGGGGGGERATKRSAFHIDYGGGVSLRRLAQPEALARGMITQGSAQLRTLGRSLRTGAAMAVVFQEDLKNTSRKIFDPQDRLLVRLNRSFVVSCIVSIAVDPVFFYTPQVTANGGNLCVGISRDLAISASVVRTVVDLFFAARIVLQFRTAYIAPSSRVFGRGELVIDTAQIAARYFRRFFAADLLSVLPLPQIVIWKFLHRSKGAAVLSTKDALLIIVFLQYIPRVVRIYPLSSELKRTSGAFAESAYAGAAYYLLWYMLASHIVGASWYLLSIERVSDCWKKACNEFPGCNKIYMYCGNDHQKGFLEWRTITRQYINETCEPRDGVMPFNYGIYTPAVRSDVIKSNDFTSKLLYCLWWGLANLSTLGQGLQTSIYTGEALFSIFLATFGLILMAMLIGNIQTYLQSMTVRLEEMRVKRRDSEQWMHHRLLPQELRERVRRYDAYKWINTRGVDEEALVANLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLRPALYTERTFIIREGDPVDQMLFIIRGCLESITTDGGRSGFFNRSLLEESDFCGEELLTWALDPKAGLSLPSSTRTVRALSEVEAFALHSDELKFVAGQFRRMHSKQVQHTFRFYSQQWRTWAATYIQAAWRRHLKRRAAELRRREEEEEEAAAIRSSTGLKTTMLVSRFAANAMRGVHRQRSRRADEVLMMPMPKPSEPDFGADY >OGLUM03G28180.1 pep chromosome:ALNU02000000:3:26759502:26760928:-1 gene:OGLUM03G28180 transcript:OGLUM03G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVTAAADAVVALLSLTMAVAAPLFDAQVVLPRGLYPAPLVGIHRWFAAEFGHYLVADPPPFFRGLVWLDLAFLCRSASPTSTASSRAAPVCNLRRDAGFRKNNTEADSAFCVVSAHAQHRLLLLHLMPQLLGRKGSRSDEYREIPKEVPIIVTSWIRYEDGCHAQCQGWWCNMGAGTFRWIGSFHGHGHHT >OGLUM03G28190.1 pep chromosome:ALNU02000000:3:26768510:26779276:1 gene:OGLUM03G28190 transcript:OGLUM03G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase family protein [Source:Projected from Arabidopsis thaliana (AT4G21710) TAIR;Acc:AT4G21710] MEDDEYEEGMEMEMGGHHHPHHGGGYGAEEYGAVGGEEMEDEEADGDAPDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETMEYPKVFIGKVPIMLRSSYCTLFQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTKEKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKRHIRALQQRETPEEGWHDLVAKGFIEYIDTEEEETTMISMTINDLIGARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQATRYTKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTQDNKTGKDQKKR >OGLUM03G28200.1 pep chromosome:ALNU02000000:3:26778112:26786148:-1 gene:OGLUM03G28200 transcript:OGLUM03G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZB25] MDVDSRMTTESDSDSDAAATAAASASVAAQGGLASETSSSSSASAPSTPGTPTVASAPAAAGATGPRPAPGYTAVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAALKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGRLKTIVLSLHFASHEVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >OGLUM03G28200.2 pep chromosome:ALNU02000000:3:26778112:26786148:-1 gene:OGLUM03G28200 transcript:OGLUM03G28200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZB25] MDVDSRMTTESDSDSDAAATAAASASVAAQGGLASETSSSSSASAPSTPGTPTVASAPAAAGATGPRPAPGYTAVSAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWSRLTPQGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRIGRYSADAAGGDAAVELTRRCRHAAAAVGDQIFIYGGLRGGVLLDDLLVAEDLAAAETTTAANHAAASAAATNVQSGRTPGRYAYNDERARQTAPESAQDGSVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLSKGVDYLVEASAAEAEAISATLAALKARQVNGEMEQLPDKEQSPDSASTSKHSSLIKPDSILSNNMTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQVAANDRGSLAWI >OGLUM03G28210.1 pep chromosome:ALNU02000000:3:26796700:26797290:1 gene:OGLUM03G28210 transcript:OGLUM03G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAASSSAPPPPPQPAEPAADPPAKEEPQNTTADAAAPVSDAGAAVAAEEGETVILDAAAGEGDAEGEEEGECGFCLFMKGGGCREEFVGWEKCVEDAEKAGDDVVERCYEVTAALHKCMEAHAEYYHPILSAERAMAADLEAAKADQAAEASSDAAASSSQQQQPPPTEEEAAGEKKQAEEEAVVPEKQDVAA >OGLUM03G28220.1 pep chromosome:ALNU02000000:3:26798505:26801914:-1 gene:OGLUM03G28220 transcript:OGLUM03G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVETEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLTSEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTG >OGLUM03G28220.2 pep chromosome:ALNU02000000:3:26798505:26801914:-1 gene:OGLUM03G28220 transcript:OGLUM03G28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGRKDRGEGLGRALTRQRNKAAAAAKERGHALALARRARQPLESVIEVSDIDAVLQRAAEEDLLLGGGGGDGAGDVALSASLGSGLIDLDGTVETEEERRWLREEQEALHAGSLKVPRRPPWTPQMTVEELDANEKRAFLEWRRNLARLEENEKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEVYAQEIDEHKRTLLLVNKADLLPLNVRQRWAEYFKQHDILYLFWSAKAATADLEGKKLSSYSMENWNTADLDTKIYGRDELLVRLQGEAEYIVNQKGALRAEEGHESSRSDSVSTRPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLVISEELILCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHRGAIQVVANRVPRNVLEQIYKITLPKPKAYEQLSRPPTAAELLRAYCTSRGHVSHAGLPDETRAARQILKDYLDGKIPHFELPPGDTDSETDPEETTDLEGSDTAVGATTDHCASDEQDEEISQADPNISHVLSDLESFDLTSEVSKNSTKKKKEASYKHHKKPQRKKDRSWRVGNDGADGSAVVRVFQKPAVNFATVTG >OGLUM03G28230.1 pep chromosome:ALNU02000000:3:26804760:26809847:-1 gene:OGLUM03G28230 transcript:OGLUM03G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAVEEGLHLPPQAEILLDAIGGVAVACVLEPPCFSFSLSLSSARETTTTVAAAMLSFKQSHEGFGHVAAAGAGPQQQQQPWWAGSQLLYGEASPEEAALRDGGQFQVVPGGRAALDPAAPEPEKTAVPSMPKRGGGGGAPEVLKFSVFSGNLEPGDTGEKNREHSATIAMQSLLPEYNGHFELGLGQSMSGGRMLLPLNAPADAPIYVNAKQYEGILRRRRARAKAQRENRLVKGRKPYLHESRHRHAMRRARGSGGRFLNTKKEATAAGCGGSSKTPLASLVSPADVVHRPGSRGGGAGRASSLSGSDVSSPGGGMYDHHRHDDADAADHYNSIDHHLRTPFFTPLPIIMDSGGGGGDHASHSAAAVAAPFRWATAAGDGCCELLKA >OGLUM03G28240.1 pep chromosome:ALNU02000000:3:26809840:26810101:1 gene:OGLUM03G28240 transcript:OGLUM03G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLCFFCAKWWLLPLLLLDHTLSSSPLLFLLLGGVWANLAPAIGVAVHLY >OGLUM03G28250.1 pep chromosome:ALNU02000000:3:26825831:26829306:-1 gene:OGLUM03G28250 transcript:OGLUM03G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASASAPPHSLLLAAVALAALASVAAARDENGVYDPCSDTRIQRGDGFSFGIAFASLGAFYSGGSVQLSPCDRRLSLASSGQLAVFRPKVDEISLLTINTTTGFNPATAGGYMVAFAGRKYAARSVPTFVSNSSYTVSSFTLVLEFNKGRLQNLHWKKDGCGACAKSSSLVCIGKQTCAFRTQSCKSQGPVDCSIGIQLAFSGTDKHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >OGLUM03G28260.1 pep chromosome:ALNU02000000:3:26835990:26837956:-1 gene:OGLUM03G28260 transcript:OGLUM03G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPATTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALTMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQAGGSFAVAEHMFLCHFLNQGCDVMNKHRVSIYALELLQIFQQLNALLGGEDGMTTNCPYVSILYECDNLLESNSRVLVSMIVLCITWWHCKYMQ >OGLUM03G28260.2 pep chromosome:ALNU02000000:3:26836126:26838874:-1 gene:OGLUM03G28260 transcript:OGLUM03G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNQFTPPLIARHLLFVLLHQESPTREIQSNPLACIFCCCVRAEISFGFVGGSLRSEMTSPATTYASPFVLSVLLLVSIPVIFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSPSSATPTPSSAASYFFRRRPAPKVAFLFLTNSDLVFSPLWEKFFRGHHHLFNLYVHADPFSALTMPPTPSFRGRFVPAKATQRASPTLISAARRLIATALLDDPSNQFFALLSQSCIPLHPFPTLYNALLSDNAGPHGRHRSFIEIMDDAYMIHDRYYARGDDVMLPEVPYDQFRFGSQFFVLTRKHAIMVVRDMKLWRKFKLPCLIKRRDSCYPEEHYFPTLLDMQDPEGCTGYTLTRVNWTDQVEGHPHTYRPGEVSASLIKELRKSNGTYSYMFARKFAPECLEPLMEIADSVILQAGGSFAVAEHMFLCHFLNQGCDVMNKHRVSIYALELLREEQHIPSVLLFRDFPAIECAAGR >OGLUM03G28270.1 pep chromosome:ALNU02000000:3:26844988:26852726:-1 gene:OGLUM03G28270 transcript:OGLUM03G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHPAAWGVYYSLSSRCSRRRKGGSGTHARTPASPQPRLLARARPDGRHTVRGRPASFAHLWFKSLVGIRKQEKARNAEKQEKAQNAESCETRTPAAQLLHKRKHSLDTERAILVEELAVQSEPLTDDTNTQTVSDSISSDSTLLGVHISQTEEHKTKEDVAATLIQSAFRAFLARRALRALKGIVILQALVRGHIIRKQTSETLQCIQALVRAQARVRARQVRVSLENQVARKKIPEQDDHENHVREIEERWCGSIGSVEELQAKVLKKQEAAAKRERAMAYALTHQRQAGSRQQKPTTPQGLELDDSHWGSNWLERWMAVRPWENRLLDSNTKETMPLCDDKQDMETKSQITPKGKVQVSSALSNGSNKKKGINHKKSYSDVTCASFGRSPNIPSTSLGSCKQKSKLSDEALEEVSSQPTDLASLSTCQPKAKLVQANTPVKKRLSLPTNVGGGAAKGATNSNSICRSTSAKSDPKPRANASNQARKQVELQA >OGLUM03G28280.1 pep chromosome:ALNU02000000:3:26855331:26859551:1 gene:OGLUM03G28280 transcript:OGLUM03G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSHVDPFDIFSSFFGPSFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVLCAKCKGKGSKSGASMRCPGCQGSGMKITIRQLGPSMIQQMQQPCNECKGTGESINEKDRCPGCKGEKVIQEKKVLEVHVEKGMQHNQKITFPGEADEAPDTVTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGGVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPASQLTEMEIDECEETTMHDVNNIEEEMRRKAQAAQEAYDEDDEMPGGAQRVQCAQQ >OGLUM03G28290.1 pep chromosome:ALNU02000000:3:26859294:26859839:-1 gene:OGLUM03G28290 transcript:OGLUM03G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGACLRLVVNPQQPTTLLAVFLLLLVAAAVAPPHVLAADHVVGGSIWSIPPRPGLYRAWADNRTFVAGDNLVFRFETGMYNVVQVGRREFDDCTADDPYRDWTDGPAVVTLGSAAVRYFICTVGNYCSLGVKVYVASQNAP >OGLUM03G28300.1 pep chromosome:ALNU02000000:3:26862944:26863462:1 gene:OGLUM03G28300 transcript:OGLUM03G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEEAVHLVVVGVVAVGAVVFLLAAAASGACGCAAAFPAARWRKRAQVGDDDDDVESALGGATTVTTYEQAAAASSSSPAAGAAAEGADTCAICCQEYSGADKVRRVVRCSHFFHAGCVDGWLREKRNCPLCRAVLSSLPPLPNPGCRRPMPPRTSRPAVSAAAAATVVVG >OGLUM03G28310.1 pep chromosome:ALNU02000000:3:26864588:26865121:1 gene:OGLUM03G28310 transcript:OGLUM03G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAALRVELVGVVAVGAVVVVLAAAASGACRGGAAGAFPGGRWRKRAAARVDDVERALGAATLMTYEQAAAAAAKKASSSSRAAAAAEEQGEDRCAYCQSEYAGADEVRVVQCGHFFHAGCIDRWLRKHRRCPLCRGGLSPLPPLPKPGCRPMPPRTSRPAASSATATATAAAGG >OGLUM03G28320.1 pep chromosome:ALNU02000000:3:26867101:26868075:-1 gene:OGLUM03G28320 transcript:OGLUM03G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLRSRILRTLQSFPNAVNVQPGLLLPAPDVQPSPPPPPKAPCRSQEEQPDGGGGGGDDKENVSPEVAPRKAKKMRVSLGAAEDEAAACYRRPDPATATLFDPDLLAAFRGAVDAYARALQEAKRRDDDDDDDGFFLLDEEEGCGVAGGVGFGVDEDPLEGFETRCPPGGERAVVLYTTSLRGVRKTFEDCATVRRLLEGLRVAFLERDVSMHAPYRDELRALLVGLDDAAVPPRLFVDGRYLGGANEVVTLHEQARLRPVLRRAPRRGAGDAACAVCGGAWFVVCGACSGSHRLYDAAAAAGGRVPCTGCNENGLVPCPLCS >OGLUM03G28330.1 pep chromosome:ALNU02000000:3:26870019:26873970:1 gene:OGLUM03G28330 transcript:OGLUM03G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-nucleotidases;magnesium ion binding [Source:Projected from Arabidopsis thaliana (AT2G38680) TAIR;Acc:AT2G38680] MRPMTSPPLLSPSSSRLLLLRLLLSRRRSPASRSPPPPLRRRLPLLAASMSSSSSTAATRNPGSVVADADGLARKVIADFDGTLTRYWYDGSRGQSSHGLLRQGNEEYDAKREELFEHYHPIEICPDIPLPEKAKLMEEWWEKTHALLIEGGLTYEAIRQSVADAKITFRDGVVMLFEFLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVSFKGKTIHVLNKNEHALDMAAPVHDNLGDPNGYTDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNNNIEKSLKDYSEAFDIVYLNDAPMVGVVELVSELCP >OGLUM03G28340.1 pep chromosome:ALNU02000000:3:26875305:26878604:-1 gene:OGLUM03G28340 transcript:OGLUM03G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMMRWPRPPAARKFRVRLVVRRAEGLQQPCAAAAEHAASAEAEAPPTRVAAEVRWKGPKASPLSSLRRTAVRRNRTREAEAEAAAVVACATAADDAAAAAGAGDAAGVVVAAWEEEFESTVTLAAASHREHAAFQPWELAFSVFTAANRGPKIKPSILGTASLNLADYASAAEENIEIILPLSVPNGSAESAPSLHLTLGMVELRAFQETSDASQRSAMAAPLSPSSGDSAPVGKDEVSVIRAGLRKVKILTDLVSTRRSKKTSQDDESSEEKCYVNSDGTEYPCDIESLDDDLDDRAQQDEVGDSTVRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDAGYHVEGKPSSTVEETMLPTVKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDGSVSEGSRGEDGSINGMVSEFGDDNFVVGNWELKEIVSRDGHLKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQSNQDIMPIQSQFDSLIREGSLEWRNLCDNLMYRERFPDKHFDLETVLQAKIRPLTVSSSKSFIGFFQPEGADDMHRFDFLDGAMSFDSIWDEISKAAEYSSSDNPNLYIVSWNDHFFLLKVERDAYYIIDTLGERLYEGCNQAYILKFDNDTMIHKLPEKAPSSPNSSGPLKDSSRSSSVEQDSEDGTEENILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTASSPKEITSAPQILTIEAPFEFSWPEPPPAMEIALAPAVAVV >OGLUM03G28350.1 pep chromosome:ALNU02000000:3:26882079:26882540:-1 gene:OGLUM03G28350 transcript:OGLUM03G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQCQAVGQPEQQRCQLQINVGLDLMLELLCLSVASLSPSDFLRRASLLLIRPPRLSSSSRRGAEWGGQGKVALEAAQRRIDDGSVLVFVASPPPCPPSLTARRAQVWAPPANLTSNPWPKLATRGDCADGGCGNEYSSFGVEAEHHGGPLL >OGLUM03G28360.1 pep chromosome:ALNU02000000:3:26913480:26914417:1 gene:OGLUM03G28360 transcript:OGLUM03G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCPSFSRGHTTKLRGERRTRRGAGSDIAHAQGRHACMRVVDACGPLLRWAPSTSDRACMRAAATYGGGDGDGWADPAAPDPQRRICPPGGQIGQGRGCSGRAVAAAVAGSRRRDENLRRHGARSDDGVSGWPTGGAQQLVGWPAAMGDRGTTGGCVSRRDADNVGGVGRRRQWLVQRETRGVCRCSAVGASSGRCSERRQGVADRGMRRLAGGAPVQWCPRAGGGLDGGGASLCQWWIGRQLMANENPARL >OGLUM03G28370.1 pep chromosome:ALNU02000000:3:26924008:26944360:1 gene:OGLUM03G28370 transcript:OGLUM03G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIVPAPEHVCYVHCNFCNTILAVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLVQALPAEDHLQDNLKMHNMSFRENYSEYGSSSRYGRVPMMFSKNDTEHMLHVRPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHFPNIHFGLGSHESGKKLDEAIGAPSPQKVQRLY >OGLUM03G28380.1 pep chromosome:ALNU02000000:3:26968174:26969574:-1 gene:OGLUM03G28380 transcript:OGLUM03G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLGSFDAVVASSAGAARLVLKTHDAAFADRARTAAGELAAYNYKGIVHTPYGAYWRMARKLCATELFSPRRVDSYERIRAEEIGALARDLFGRAGRAVAVRERLASATLRNILRMSVGDKWSGVYGSADGEAFRRTLDEAFEVSGAGSNVGEWVPLLGWLDVQGFRRRMKRLSKMYDRFLEQILHEHEASMAAAGDGGQPAAAACDLVDVLLQLSGEEEEGSAGAGADSEARLTRDGVKAFILDIIAGGTESSAVTMEWAMAELLRRPDAMAAATDELDRVVGTARWVTERDIPDLPYVDAVVKEALRLHPVGPLLVPHHAMEDTVVAGGYVVPAGARVLVNAWAIARDPASWPDRPDAFLPERFLPGGGAAAAGVDVRGQHYELLPFGSGRRVCPATNLAMKMVALGVASLVQGFAWRLPDGVAAEDVSMEELVGLSTRRKVPLVAVAEPRLPAHLYAGAAA >OGLUM03G28390.1 pep chromosome:ALNU02000000:3:26987491:26994001:1 gene:OGLUM03G28390 transcript:OGLUM03G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPPWAHAWVALKREKGKSPLPSRRLLLRSLRHLAAEQGRGGEGILVSDAEHMDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPAAAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSAAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >OGLUM03G28390.2 pep chromosome:ALNU02000000:3:26987578:26994001:1 gene:OGLUM03G28390 transcript:OGLUM03G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPAAAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSAAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >OGLUM03G28390.3 pep chromosome:ALNU02000000:3:26987491:26994001:1 gene:OGLUM03G28390 transcript:OGLUM03G28390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELEPPWAHAWVALKREKGKSPLPSRRLLLRSLRHLAAEQGRGGEGILVSDAEHMDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPAAAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSAAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >OGLUM03G28390.4 pep chromosome:ALNU02000000:3:26987520:26994001:1 gene:OGLUM03G28390 transcript:OGLUM03G28390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAAPALAAAHLLDSPMRPQVSRYYSKKRGSSHSRNGKDDANHDESKNQSPGSPLSRQSLSSSATHTYHTGGFYEIDHEKLPPKSPIHLKSIRVVKVSGYTSLDVTVSFPSLLALRSFFSSSPRSCTGPELDERFVMSSNHAARILRRRVAEEELAGDVMHQDSFWLVKPCLYDFSASSPHDVLTPSPPPAAAQAKAPAASSCLLDTLKCDGAGWGVRRRVRYIGRHHDASKEASAASLDGYNTEVSVQEEQQQRLRLRLRLRQRREQEDNKSTSNGKRKREEAESSMDKSRAARKKKAKTYKSPKKAEKRRVVEAKDGDPRRGKDRWSAERYAAAERSLLDIMRSHGARFGAPVMRQALREEARKHIGDTGLLDHLLKHMAGRVPEGSADRFRRRHNADGAMEYWLEPAELAEVRRLAGVSDPYWVPPPGWKPGDDVSAVAGDLLVKKKVEELAEEVDGVKRHIEQLSSNLVQLEKETKSEAERSYSSRKEKYQKLMKANEKLEKQVLSMKDMYEHLVQKKGKLKKEVLSLKDKYKLVLEKNDKLEEQMASLSSSFLSLKEQLLLPRNGDNLNMERERVEVTLGKQEGLVPGEPLYVDGGDRISQQADATVVQVGEKRTARKSSFRICKPQGTFMWPHMASGTSMAISGGGSSSCPVASGPEQLPRSSSCPSIGPGGLPPSSRAPAEVVVASPLDEHVAFRGGFNTPPSASSTNAAAAAKLPPLPSPTSPLQTRALFAAGFTVPALHNFSGLTLRHVDSSSPSAAPCGAREKMVTLFDGDCRGISVVGTELALATPSYC >OGLUM03G28400.1 pep chromosome:ALNU02000000:3:27000727:27003816:1 gene:OGLUM03G28400 transcript:OGLUM03G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLVHPRLAGRLRFMDYLDEEQRRAITMKSAAVVLHHGGHRVNLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAYTRLHRIISDVNSIHSALRSHSYFSLLSSLEDQPSSASSSSPDELPEDVDEDEEDAFQPQKGNVVFACALDGWGFRIHQFAEFYAAKLPNINANALLKGLWGPRYFHKKKKMIVGKKGMEGGDAQPMFVEFVLKPLWQAYQGVLSENGELVKKVITNFSLQVPQRELQNKDPKVVLQAVMSRWLPLADAVMTMVVECTPDPVAAQGVRVARLMPKREVAPEDAAGSPDIVVDAERVRSCVEACDARADAPVVVYVSKMFAVPYKTLPFRGVDGELLNHQGANESEECFMAFARVFCGVLRAGQKVFVLSPLYDPMKGEAMQKHVQEVELQYLYEMLGQGLRPVSSVCAGNVVAIQGLGHHILKSATLSSTKNCWPFSSMMFQVSPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVSQRGEHVLAAAGEIHLERCKKDLEERFAKVKLVVSDPLVSFKETIEGEGLALIESLKAPREFVERTTPNGRCTVRVQVLRLPNALIKVLEESEQLLGQIIEGKTAKRNGVLDPHLSQDDGDSAATLRQRLINAIDSELEAFSEQVDKEKLERYRNTWLGYLQRIWSLGPWQVGPNLLLLPDVKSSDSVITSQDGRQGILVRGRSHVSERLGFVCGSDAEANNDLDDSEPSADTPESLHLESVALRNCIVSGFQLATNAGPLCDEPMWGLVFVVEPYIFCDHSDAANHSEQYNIFSGQVITAVKEACREAVVQNKPRLVEAMYFCELTTPTEQLGATYAVLSRKRARVLKEEMQEGTSLFTVHAYLPVAESVGFSNELRSVTAGAASALLVLSHWEAIPEDPFFIPKTQEEIEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >OGLUM03G28410.1 pep chromosome:ALNU02000000:3:27017498:27022575:1 gene:OGLUM03G28410 transcript:OGLUM03G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDHDCDPDQPPAGAAAGASPCSCCSTPCAVATWRRSVKRKLGEEKGDGEGEGEGAVVLARVEAEEEAAALREAVAAAQETAAALRSEVEEERLAAASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDHLRAALARRARHLARLRSTLREYRHTCLRLGIPLAEGDQADELALDDGFVLEGEDGDGAGYYPELRCYDGEYYYEDGQEGGEEEDDPIVVDLERRIYLLEHDHKDHGVEPCLEEEEGAPLYADEPLPDSSEQELNDVYADEVLPEGTVQERNQCSDDELPESPAARNGSEEEGSDSDGGHSGSGSDRVYTIDKVHQGATAPAARVLENYQDGEVEPDIKKLYMRLEALEADRESMRQALVAMHSEKAQLVLLREIAQQLAKDATPANTGGFGVVPTVHHFPGKQDGFRDQRFRENRKMAIAKRLSMVALCKWIVALFRSQKRNPSQSRYTFGLSGNNVGLLVLLDKYPRIQKTLTRRK >OGLUM03G28420.1 pep chromosome:ALNU02000000:3:27022472:27026978:-1 gene:OGLUM03G28420 transcript:OGLUM03G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein / zinc finger (C3HC4-type RING finger) protein-related [Source:Projected from Arabidopsis thaliana (AT2G38920) TAIR;Acc:AT2G38920] MADAAVWAPSPGGGRIYSEPDPSPRRHPPRKETKRGFRSAAAAFAAAGTTPIKTGSALSLSLSLSLSVALVSSSRRAAAPRPHDRRLAAPPPPPTHAGKMKFGAIYEEYLREQQDKYLAKCSHVEYKRLKKVLKKCRVGRSLQEDCPNGDQQEEGNNESPDICKCNSCTLCDQMFFTELTKEASEIAGCFSSRVQRLLNLHVPSGFLRYIWRVRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSFSGRDFKSKMQTDHIELLQSPWLIELGAFHLNCNSSDIDETVGFLKNEFFKNFSCDLTEARPLMTMAISETMKYEYSLTCPICLDTLFNPYALSCGHLFCKGCACGAASVYIFQGVKSAPPEAKCPVCRSDGVFAHAVHMTELDLLIKTRSKDYWRQRLREERNEMVKQSKEYWDSQAMLSMGI >OGLUM03G28430.1 pep chromosome:ALNU02000000:3:27030257:27032597:1 gene:OGLUM03G28430 transcript:OGLUM03G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of translational fidelity; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Glu-tRNAGln amidotransferase, C /.../t (InterPro:IPR003837); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G32915) TAIR;Acc:AT4G32915] MLSAAASAIPRLRWAAPPRNQSARNQWLLLRRRSLSSSPPYVTPGIPAAAAAAAAGSGALEPPDLPRLANAARISLSPEEAEEFAPKIRQVVDWFGQLQAVDLESVEPSLRAGTAAGNSLREDRPETFTNRDAIIESVPSYDDPYIKVPRVLNKE >OGLUM03G28440.1 pep chromosome:ALNU02000000:3:27032850:27040470:-1 gene:OGLUM03G28440 transcript:OGLUM03G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSVFGLFNFLASNVSLLGHMCGILSGFAYTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAEQLFCDIREEVGVWREAGLFKIFNG >OGLUM03G28440.2 pep chromosome:ALNU02000000:3:27032850:27040470:-1 gene:OGLUM03G28440 transcript:OGLUM03G28440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 15 [Source:Projected from Arabidopsis thaliana (AT3G58460) TAIR;Acc:AT3G58460] MRPNVITEAGISTRLNQWWGSIPFITSGVILICGAIYLVCLLTGYDSFAEICFLPSVVASRFQVYRFYTSVVFHGSLLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAIFHLIIAFLAAYNPLYPLHFLVDECSIGFSGVIFSMIVIETSLSGVQTRSFWQAMFRCWATCVASCQDTYGLFNYLLPGPSFYSSIEGLSVLSVCVRRPGFILCTGGTTYGQLPTFSNTSTAPSALINANFLRNISSWIPSRQTTTTQGNTQEQDPRFPGRARTLSSAGTEPTAREASANLHASLLDSTTPSDPLTSSQHPAANTVRADATVAADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQGRLRFRLSYRNAFDSGFMLGVFDQKYRPAEQLFCDIREEVGVWREAGLFKIFNG >OGLUM03G28450.1 pep chromosome:ALNU02000000:3:27066289:27070030:-1 gene:OGLUM03G28450 transcript:OGLUM03G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQGVVVGGGGEITQAGSGSGSIAGSATAPAASPHQVAVEIDEQIYSPAFGNIVVPDSRGCCSGFTSSVTRVVFILHLLAFIALTIFLGVQASSRQNPTYKPFANFVPLASSVIVSIIAGCFWVILAVINPPKAIKTSLWAAPVLALACDVVILLVGNGAALGIGVLIVVVAIAVALYSCWASGPRLQHATAVLSTSLNAAHLPPTASCLVVFVILAAFGYMSFWTVAISCIAAAEGYFMNFKMAYVVALLVSMAWTMQVLRYFVYVAVAKLAHTRLVYGVRMPGGTVEAFCGTMMGPSFGDICMGAVAVPVIAAVRSFARAINAVTKGNDEFCQGCCLAISDKLMGRVNRWGFVHVGVRGKAFCVASRDVWSLFVLRGISKLVDSDLTGSFCFLSAVTGGALASLVAGSWALAMDKEHKELALPVAIYSFLIGYYMCRMIIAWPQACVATYHVAYAENPQNPHLGTLIPDHLRELQALATD >OGLUM03G28460.1 pep chromosome:ALNU02000000:3:27071978:27072214:1 gene:OGLUM03G28460 transcript:OGLUM03G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAVGGVGRWRGGAAVGGEGDKGDGCGDSGGSVEGVRGDGERAPRRRVSSSLLHALLLVPALRRRWKESVEPAHRR >OGLUM03G28470.1 pep chromosome:ALNU02000000:3:27108299:27108688:1 gene:OGLUM03G28470 transcript:OGLUM03G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSMARIDYAPWGLSPPHTHPRATEIMFVAEGTLDVGFVTTANKLFTRTVSKGEVFVFPRGLVHFQRNSGNTSALAIAAFNSQLPGTQSIADTLFGAAPPLPSDTLARAFQVDGGMVESIKSKFPPKY >OGLUM03G28480.1 pep chromosome:ALNU02000000:3:27120717:27123887:-1 gene:OGLUM03G28480 transcript:OGLUM03G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03680) TAIR;Acc:AT3G03680] MVEEGARRRVVVEVCNARNLMPKDGQGTASAYAVVDFDGQRRRTATRPRDLNPQWGERLEFLVHDPDAMCAETLELNLYNDKKAIAATGGGGRRGGTFLGKVKVAGASFSKAGDEVLVYYPLEKRSVFSQIKGEIGLKIWFVDEPPPPPPPAAPADGKADAAAEKKEAAEGGKEEKEKAPAAAAAAASAAEEKKPEAPAEEKKAEEAKKEEKKPAEADKKEEKDDKKKSPEKGKKDGEKPKEEGKAKDETKKEVAPVPPSPSKAPPPSPSKMELAAAGVAGDLEIRPQSAAERSMAASAGNASYDLVDRVPYLFVRLLKAKHHGGGDKQPLYAQLSIGTHAVKTRAATAAGEWDQVFAFHKDSLTATSLEVTVHEEAKKPAAEGEATPPDTNLGYVSFDLHEVPKRSPPDSALAPQWYTLEGHANDGTAACDVMLAVWVGTQVDEAFQEAWQSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPAPPDAKAKPMGPAFPELYVKAQLGAQVFKTCRVALGSAATGTSNPSWNEDLLFVAAEPFDPFLTVVVEDIFSGQPVGQARVPLSTVHRRSDDRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKQLSKPPVGMLEVGIRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYRSADASGDAGKLPKDARIGKLRIRLSTLDTNRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRVVGCLSHVATAVRWANRVRTWTHPTTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPREPTGMDPRLSHVDSVSPDELDEEFDGLPSARPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERIEALLSWRDPRATAVFAVVCLLAALVMYAVPFKLLLLAMGFYYLRHPRFRGDMPSAGFNFFRRLPSNSDRVL >OGLUM03G28490.1 pep chromosome:ALNU02000000:3:27128186:27139399:1 gene:OGLUM03G28490 transcript:OGLUM03G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSERFWFVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAASSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPPRRSSPAVTEVGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFRGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELSVQTN >OGLUM03G28490.2 pep chromosome:ALNU02000000:3:27128186:27139399:1 gene:OGLUM03G28490 transcript:OGLUM03G28490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSERFWFVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNNVVSDLENQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVEELYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTTAVLSTKSSVATSPTQATVSAASSLSVSQDQAEETASQESNPESAPQTPPSKVGSQPSVPVVPTTISTSTAAVSVSAETISSPVRPIVPTTTAAVLPASVTARSAPENIPAVTSAPANSSSTLKDDDNMSFPPRRSSPAVTEVGLGRGITRGLTSQGLGSAPISIGPVSGNGSVSALTDLSKRNMLNTDERINSGGISQQLISPLGNKAQPQQVLRTTDTISSDSSNTNESTVLGGRIFSPPVVSGVQWRPQNTAGLQNQSEAGQFRGRPEISADQREKYLQRLQQVQQQGSLLNVSHITGISQKQFPSQQPNPLLQQFNSQSSSISSQAGIGLGQVQVPESGHTKSEEQQQSFAEDVSVESVATAGANKHMSEDDTKIPFSNPSASITEGTQLSRDPDLPAGQPLQPGMSSSGVGVIGRRSVSDLGAIGDNLSVASASTSHDLLYNLQMLEAAFHRLPQPKDSERVKNYIPKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTDLLFFAFYYQQNTYQQFLSARELKKQSWRFHRKYNTWFQRHVEPQVTTDEYERGSYVYFDFHVIDDGTGSGWCQRIKNDFTFEYNFLEDELSVQTN >OGLUM03G28500.1 pep chromosome:ALNU02000000:3:27139505:27139825:1 gene:OGLUM03G28500 transcript:OGLUM03G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCAIASSLPVPFPSLPSLPSSGVRRDLALPELLVIQVGITTGQGKFIEPVREAQKVVRIPWLKYVDAKGLPMANDYTHLTTPTQIKLDKMLAKAVTLRRCRCSR >OGLUM03G28510.1 pep chromosome:ALNU02000000:3:27183465:27185003:-1 gene:OGLUM03G28510 transcript:OGLUM03G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSHRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTELEFRFLYDQGLIVFDPIVSPQYEVFMIPFVNPGQYCIERTDLVLKESEWPPSPLILHVFSSVAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWRGALYVNSYFLMRISISDGSYQVIHHPIEVYKSRPHVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLRLNRSKQVYGPWILRDINYHLYSQKFPGEWNLYNQNYDPSHFHSPNDEAPTENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLQCLGNLYPKHYEHFAEHEHICQSFPYTPCWVDELPETSISVDNLCQD >OGLUM03G28520.1 pep chromosome:ALNU02000000:3:27214046:27214513:-1 gene:OGLUM03G28520 transcript:OGLUM03G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAAYHRLPQPKDSKRVKNYILKHPAVTPASFPQIQAPVVSNPAFWERMGGDSLSTNTYQQFLSARELKKQSWRFHRK >OGLUM03G28530.1 pep chromosome:ALNU02000000:3:27215046:27216080:-1 gene:OGLUM03G28530 transcript:OGLUM03G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLPPPAMITPLPPSTPVFTLPPPPPVTTAPSTALPAGASAAAPSTGVLRRIYQALIGLLCLVAGFLLVVVFVVVRKYWKPQLNNDVEMGSSNAADQSAIENAKKAAAEAQSAAEAAAGAAAGSLATAQAASEAAQAASGAAQAATEEVVTVQANAAEQAVLFVEALGRAAQQTNSFTITLGKLLQLVSQIIQAVRAAQGGAASAAAAFVEAQAVAAHGAPSDLIDIDYINRSGEAYPQLMKEGPMKMKMKPMKKEVLVGLVIGLEKIMCVILKMFGF >OGLUM03G28540.1 pep chromosome:ALNU02000000:3:27216257:27216926:-1 gene:OGLUM03G28540 transcript:OGLUM03G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRNQGTVLDSQIGLLGELDSNISATGLQHTHDLMKKMKFAASATPHPRQVLAVALALMVAFAVGPMVALAKCEQQAHAVASLCGGTGIYARCCFALKRSLDGGDPPCLCSLANNREVAVKRSPK >OGLUM03G28550.1 pep chromosome:ALNU02000000:3:27218776:27219278:-1 gene:OGLUM03G28550 transcript:OGLUM03G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGDPGRGGGAPPGMTPWPGPPPQPGQPAVVYTALHQGAYQPGVAQVVYWAPSPGAPSLGGIRAPPAPYQPVHVAAPQAAAPFAAALAKEVEQKLFVTENALGPPAAAAAVSKKGPAHPGRLGYGAAGKKVMIHENNFLHNVVDNNLFHNDP >OGLUM03G28560.1 pep chromosome:ALNU02000000:3:27224146:27228400:1 gene:OGLUM03G28560 transcript:OGLUM03G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNELSEDLRPMPLAERSITSGYRWPKGPTADGLAHGQAQARHGLTRVGPVLARPDYRAVPGPPHRHDWAGTARRAATRRWVSLPLLPRHFPELEFGFFDKECIVFDPTISPHYKIKY >OGLUM03G28570.1 pep chromosome:ALNU02000000:3:27229722:27230057:1 gene:OGLUM03G28570 transcript:OGLUM03G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSRLKYSLALSILAVLAASASSVAVQPMLTCPDRHITDPAAYQLREHMSPGAGKDDPYRMLHGSSLSRAPGSSRLPWLRTSATAMISCARVATPPVLGFDAATDVREEL >OGLUM03G28580.1 pep chromosome:ALNU02000000:3:27232809:27240695:1 gene:OGLUM03G28580 transcript:OGLUM03G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSHRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEAKRGKSPSISNGIYQVIKHPTGIYKSRPYIHIGKSEKGMYLASLKTDYCLSIWVLNESCGEFKWVLKHQNNLKSLLLSLNHSKQVYGPWILGDINYYLYSQKFLGEWDLYNWEYDESPAGNNFEWYSDDDTL >OGLUM03G28590.1 pep chromosome:ALNU02000000:3:27243608:27248411:-1 gene:OGLUM03G28590 transcript:OGLUM03G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZB72] MFALEPLVRCRGLPRSPVGEPVAPGGLSAAALVDLAISEPVYAFRRCNGNPYGSSRWTETGMHPRVAAKACMEARRGLKHNRCSTSTSLKEIISTNLLILVALSYLVHAVSAQSLDEPFRGTGAEIYEMTYDLKQDQQASFIVSFIDCATKESEFHLGTLKVLRNQTDTDREVPFGWILPRLIARKGSVTLAFRTDNLYLIGFTDKYGGWYSFNGYKVLIPGSTELEINGGYGEGGMGGFRKLGDLPLSRRHALDAVDILWDYDPSTTPKEVLQNATATLLLVIPESARFKEVFEPVIADWDSNEGISLKEKIKSIGLLHNWGMLSSVGMIGLPWDSSEVQGYVKKLRKDEVYINSKEDALRPLKVLLMSRAMRPKELVIKRINDPQS >OGLUM03G28600.1 pep chromosome:ALNU02000000:3:27261108:27276446:-1 gene:OGLUM03G28600 transcript:OGLUM03G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEDQPADEVVLLLPEEILAEILRRLPPRSLAASRCVCTDWRSTIDSRRLLRADLLPLSLAGIFIDLWGIRFPDFSRPTSSTSPSTISGKLDFLPVEKDLRSIYAITGHCNGLLMLPGVVVNPATRRWARLPPLPRHFTVPQGLFYNEFIVFDPTISPHYEVFKIPYGGTTDYVDRMDRLEGIGMATIVPRPTCLLLGHRPMGREIFHQRRGCHRNTCRCTEAVMAVDSTRTGLLEGIYYKKFKPNFLIGKSEKGVYLASLEFDHNLSIWVLNESCGRFEWLLKHQNNLMPLLLRLNCGKQARRPWILQNVNYHLYCQKFPGEWNLYDWEYDPSHPDYQNDSDDDDSDEALDENNFKWNFDDDSVVDTQECFENYKSGSLDFLGFHPYKEMYPRTDIGITVMHETVHHSPMAYPHLHCLYGGVKDMADFYSSSICQGQDLINAQVVVDACEVDDTAYHVNMSALISLSDRKYQKGVYLASLEFDQRLSIWILNESCGHFEWLLKHQNNLMPLLLRLNGGKQARGPWILQDVNYHLYCQMFPGEWNLYDWEYDPSHPDYQNDSGEALDENNFKWNSDDDNVSLLLTITTPLSLSIPTPSPSLPPLPSYLHHGALSNDDHDVDSDDISDDDGCNTLIRGWWIRRWCRFSNLPPLISTTLHFYSLLMCIWIIMLDVARSGDVVLAQTVICDSSDMSYQMESVCFPNTTPIMDCCIFVIHVIDMAILNNLECLCGLKDERAFFDNGLSLQDMVDFYFSSTCQGQNLRNAQIIVDACEGRGREQHSKMPSMSQQMHESRVRVEASPADSPTPPVSP >OGLUM03G28610.1 pep chromosome:ALNU02000000:3:27276981:27282471:-1 gene:OGLUM03G28610 transcript:OGLUM03G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGGGEVGRRRRRRGWGGGFPSLMRRKQVDSDRVRAAEGEGQPQLAKELNIPALVAIGVGSTIGAGVYVLVGTVAREHAGPALTISFLIAGIASALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWILSRHQLPWFDVIVDPCAAALVFVVTVLLCVGIKESSAVQELITVLNACVMIFVIVAGSYIGFQIGWVGYKVTDGYFPHGINGMLAGSATVFFAYIGFDTVASTAEEVKNPQRDLPLGIGAALSICCCLYMMVSVVIVGLVPYFAMDPDTPISSVFAKHGMQWAMYIVTSGAVLALCSTLLGSLLPQPRILMAMARDGLLPSFFADVNKRTQVPVKSTVVTGLCAAALAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYIPPDEVPLPSSLQETFCLSQEYDEERVSGILGDERCKTSETKDVILAESMEDPLIEKKITRKMDEMKRRKVAAFSIGSVCVGVMVLTSAASATWLPFLPMCIGCIVGALLLVAGLGLLCWIDQDDGRNSFGQSGGFTCPFVPLLPVLSILVNTYLLINLGGEAWMRVGIWLLIGVLVYILYGRTNSSLKDVIYVPVAQADEIYKSSSGYVS >OGLUM03G28620.1 pep chromosome:ALNU02000000:3:27284986:27289637:-1 gene:OGLUM03G28620 transcript:OGLUM03G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterized conserved protein (DUF2215) [Source:Projected from Arabidopsis thaliana (AT1G28760) TAIR;Acc:AT1G28760] MAPAAEVSSSSAETTGFSAGSAPSTAAAAAAATSTSHSSYRRTAPPLLLLASLAALLIISTGDDTAAFDSAAVGRSIKDVSLENPEVTFVPSSLGGQFCERVRLSGIPKLHIGSYANQIRVKMNVSQSMPEKFHWKIEICFHGNASMGLCQCETGEWQNLQNGMWNAVKSPYGNKYVDVKVADKTSTRFSISIQEEFQKWRLACLGIGFILLFLSPIVSKWAPFYYSSSMALGVLLVVLIVLFQGMKLLPMGRKSLFYLTIYGSVVGVGSYAVHYFSTLVASILENFGLSEEMHNPVSIFLLVAIVLTGAGFGYWMVRRFILSKDGSVDAGIAQFVKWAMRVVAICFVMQSTLDPLLALFALAASWWICSVFTAYRAPKSMTLKQKQSKASTQPMYNKGSPNPRQIQFLSPSKRDIGRTTSNSSATQYGWSNLANGGLVSPTLTKRVVPDNQDEDHYSTFHNIQPRKYSKEEWDDFTQKSTRKALMECTATPEFARWVADNAHRLRVEQQDDASEDELIESSSNSSEETAQEADTGLFRWY >OGLUM03G28630.1 pep chromosome:ALNU02000000:3:27290364:27297675:-1 gene:OGLUM03G28630 transcript:OGLUM03G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAYLPLRAQAQVGLAPLRPSGSAAAGARLPGRTARRRLAARGGPEAAGIRAEAVPGGGGVARRAAMVPPYNVLITGSTKGIGYALAKEFLKAGDNVVICSRSAERVESAVTDLKKEFGEQHVWGIVCDVREGKDVKALVDFARDKMKYIDIWINNAGSNAYSYKPLVETSDEALMEVITTNTLGLMICCREAINMMRNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQVADYLVPNIRAIPTNQSMKPTYIRFLTGLKAYSRIFSRIAFGARRNKIMSNSQPAQEDDCMSSILVSRLIRAMQPTRSYLRWSDDLHKMFVEAVAYHGGPYEAKPTAVKETMQAMGVTGLTTHNIKSHLQKYRESFSSGVGSLHDHDLLRTTSPSKEALDLASEMVRDNDAAMAEIEMLNDLLLDHDIEMMERELMSEIKLIEHNFEISESALDEYMDDLANYAFDLTGPANSSSP >OGLUM03G28640.1 pep chromosome:ALNU02000000:3:27299440:27302835:-1 gene:OGLUM03G28640 transcript:OGLUM03G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISACASFPVVAVDSALRPEAVEGQGAAATAVAAPKTVTESESSASASASMEPEEQVEEPAKKRKRDPAPDVGSSSMDGTDGQGSDDDGEEAPVLQAVSPPRQNALQRLVDECRVLLDGSSKSTQPPNSTTVSRIVALLSTPHGPTLLQVAVFYLPAGTVMPLHDHPGMTVFSKLLAGSVHVQSFDWVSPSVYGSGGKRAVHSKNTKLVKKVLDHVVEAGCGTWVLYPSTGGNLHRFVAGVDGPCAFLDVLTPPYSEGRLRRCTFYRDYPFQLHRNHRFGRNLSAQEKSQFAWLRPINASAPPDLRIVPLTYSGPPVV >OGLUM03G28650.1 pep chromosome:ALNU02000000:3:27311171:27312419:1 gene:OGLUM03G28650 transcript:OGLUM03G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTHHHQRRHSARGERAWLPPARTVEGRGERARTGCSSLSRTASTRLAAPGVGGSCSLQVSSTCTNARRLALRETTHMARAGPSTVVLDAEHHGGGDDEAAKEEQERRRPARDGVHGVDAPQSSVDATEEHVHIEDMRQRAAARCLIRCLARRRDSGERAQPQQPKPASDDGANTGQGRSALHLPVRAEADGAICLSELADGGERVHVLPACGHSSRRRRGDDELPERYLQPYPLPPSSTLSSLQSQGVTRRAEHHRGVRRRRVLAGGERPRAQHVVVHEASVEGAVEAVIDPVLPELAAGALPDDARGRGEGERRLGEVPAGLADHLDAGEVSEVALERVVIPRLAFAPEKETVATKCRAQPSYGANKLYYGIQFLVLSLRW >OGLUM03G28660.1 pep chromosome:ALNU02000000:3:27319116:27320803:1 gene:OGLUM03G28660 transcript:OGLUM03G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVDQGSSGSVEAKRRREAKGRRRSGGGGGGGGGGGGGGGGGAVVRRTRRRVLLRTPEPAPLLKRLFAACRDVFRGPGTVPAPDDVALIRGILDKIGPGDVNLSAEHNFFKATDAAALPHPLAITRTTIYTCTNFSIVIFFLPPTAVIPLHNHPGMTVFSKLLLGSLHIKSYDWAEPAVFAAGSGDRLRLAEVVRDGGFSAPSDTLVLYPAAGGNMHRFTAATPCALLDVLGPPYSEDRDCTYYQDFPYSHCPSDDIAELRRHGGGMDDEDQISRMRQLGWLKETAMPKDLEMYEMPYRGPPIL >OGLUM03G28670.1 pep chromosome:ALNU02000000:3:27327234:27331068:-1 gene:OGLUM03G28670 transcript:OGLUM03G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGGGAGDSAAAEEVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHSLRQKKRVLEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLDEAYETGVAILHKYADQRDRLKSAQRKALDILNTVGLSNSVLKLIERRHRVDKWIAYAGMMITVVCYKGFLWGGIKAAFLFQRGFPACQYICHYVYADQILFCMHITIYILHMYRKTDRVTVCGF >OGLUM03G28680.1 pep chromosome:ALNU02000000:3:27327894:27335896:1 gene:OGLUM03G28680 transcript:OGLUM03G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G03773) TAIR;Acc:AT3G03773] MKLPLPSTRRLRHAKGERNSSDPAAAALLIVLCSLLLAFPTTTNPQNPTLLGGSPPPPLRRHFAMSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPQGLFSFSAVANGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKRLLKSEEKHPYIKVDWNKWCDEDEESDAPVDSDDDFDEGNDRDETDDDDDGMLYLPDLEKLRGK >OGLUM03G28690.1 pep chromosome:ALNU02000000:3:27338385:27338684:1 gene:OGLUM03G28690 transcript:OGLUM03G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHMGGGEHKKEDEHKKEGEHHKKEGDHHKKDGEHKEGVVEKIKDKITGDHGDGGEHKEKKDKKKKKEKKHGEEGHHHDGHSSSSSDSD >OGLUM03G28700.1 pep chromosome:ALNU02000000:3:27344404:27346074:1 gene:OGLUM03G28700 transcript:OGLUM03G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVIPEPTNEVVVRVEPGRSARGELTLRNAMHTMPVAFRLQPAVRSRFAVRPHTGILAPLAAVTVEVVYLASAAPEGPGGGGGAGRGEDAFLLHSVVAPGAAVREPVTALDSVNPEWFSARRKQVFVDSGIRACFVGAAVAARLVEAGAVEALREVLDRSEPEWRAADAVDESGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLLANGATPAGSDALHVAAAAGHNDVLKLLLGKPASASPSSASSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARADARCGADGGTALHAAARRGDEVIARLILANGAAGTAAVRDAAGKTAFEIAAEECHGGRIMDFLGLGEAILAAARKGEARAVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLVDRGADMDATDAEGYTALHCAAEAGRADVVDLLLKSGANAKTTTVKGRSAAEVAAAAGKSKVVRLLEKAGGVGRKEVAEMTSPAAVVGKAGSLDRRRRGRKGSSGAIRFGGGKDGFETAAVAVGWSH >OGLUM03G28710.1 pep chromosome:ALNU02000000:3:27350539:27356682:1 gene:OGLUM03G28710 transcript:OGLUM03G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSIMSRQFVCAKEGFRTYRGKNEVSPVAAGSGEDSGRGRRTRAVTRVGCKAMIRVKKQDNGRWAVTKLETAHNHPLVPPNQAHCLRPHKPLSECGKQRQFGIPRNGGMLLAIEPPPPPISPPVPQTSELVNCINMPETIDEFEVNFKALISKVGPGNSEWLYSVYNCRQHWVPVYLRDTFFGDESSKEDLCREALRYAEEGASSVEVYIVAMQALQEAANKVNMAKRGIGQVAPNAPLAVMPIAAQLPAEGFRNVPEISFNQRKKRKRNSNNKTTENSSNQLMYLQQPVNFLFVAPGTSSGPQGPSQIVAAVPVSSSAPHGQTSSANHPSDGNTTSCSVAAQKNSDLSNYSGSAPSLGNVVPEGEIKSSGFASQIKESHELSQGNGNKGNNVNMASSTSSPQLVTVPVGLCLPSMDSSKISADAINSTNSGSMISNGNVSFGLCQSQSTNADPWSTPEGSSIRAAAIAAGARIASPSDAASIIKAAQSKGAIHIRPGEGVPNYLKPLAPQPLSSLPPGSIPNSVHPSSSHAQPGQCSFGDSAAAKDAIFGSTDSSDDDEYDEDDDTDDNDEDEGITGDEVEQE >OGLUM03G28720.1 pep chromosome:ALNU02000000:3:27359782:27364217:1 gene:OGLUM03G28720 transcript:OGLUM03G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZB85] MSIQANPLIKTLALSPRRRMAPPAPATARRPMAAVRCSAAKRSYNVTLLPGDGIGPEVVAVAKDEKLMGGAAVDAYGVPLPEETLAAAQASDAVLLGAIGGYKWDNNEKHLKPETGLLQIRAGLGVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGQPRGFGKNDKGEDTGFNTEVYSASEIDRITRVAFEVARKRRGKLCSVDKANVLEASMLWRKRVTSLASEFPDIELSHMYVDNAAMQLIRNPKQFDTIVTNNIFGDILSDEASMLTGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEENAAKRVEAAVTETLNNGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQSAVALNS >OGLUM03G28730.1 pep chromosome:ALNU02000000:3:27366021:27369521:-1 gene:OGLUM03G28730 transcript:OGLUM03G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLALLLTPRTRRHSSRRCSSRRWAQPGTVFTIPVFPEQGHCRHLRLPAHPIPASPPRRPHLLQRPARAVEAESEVAWSRRGCNTAPRSRLAVRGALHDGGGGGAGGEFAGASRHVEECAGAAERALFAAGSSPPPPLTSQVGVNGTTAACDVVLFCYCRVRLRRPACAAPVGRAARRLERECSRGYFLKLGFITQRQHACTQYFNAATAAAAAAPPPPRRHGYANVDPRCEWTRTEDADTLVVDVSGFRKEELKVLYNTSRKLKVAGERRADGGQWARFLKMFPVPRSCDAGAIRAVMDNEEALLYVILPKGSSSSSSTSSRDKKEDEHNVSSQPQGEAAMAPMADGPSSSSGGGGNLYIAQEDEEMGKIDEKEEVIATQDVPRTHGDVDDGNGRWFHLGVFAGLETAVRVHLEDVGVKHGKHLVDAVRDLLGGGDPGGVDVIHTLAEDGQELLIGSGVLDGAPG >OGLUM03G28740.1 pep chromosome:ALNU02000000:3:27372873:27373845:-1 gene:OGLUM03G28740 transcript:OGLUM03G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRGVTRTFEEYDAAVEWSRSAEADAVKISLPGKNTINSIHLSLDQLGFKREEIRVLVDNHGHLRTRGERPVAGNRWSRFQKDFQLPADCNVDGIRAKFENEALTITLPKNTPSPLTPSPVTPPPQQPPQPEPRRPPAAPLPGVRTPPSPPRRAPAPAPAPLTPALSQRFPAERRPAPVPEPVTRKRSDLGTLMKPKEDKVEETTKPLPPPAAAAAAAAAAEEEEERMAREARGKMEEDKKKANEEVTDMAQLRRPASASRRQLVNVAVAAVVLLGITLYVWNTLRNAATGGGGNGHGASYSDEM >OGLUM03G28750.1 pep chromosome:ALNU02000000:3:27374881:27376983:-1 gene:OGLUM03G28750 transcript:OGLUM03G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17840) TAIR;Acc:AT5G17840] MEVSARLRAPPASAAPRCGRGSLPLPGWFASARPASRAVSAKIRAGATYDLQRNKSNLESLFCYDKSVPEEDIGTPAGLDLEKKNVGKNPPCISCETKGAVLCATCAGSGLYIDSILESQGIIVKVRCLGCGGTGSIMCSKCGGRGHT >OGLUM03G28760.1 pep chromosome:ALNU02000000:3:27393668:27395395:1 gene:OGLUM03G28760 transcript:OGLUM03G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRRRGYSAAVPSACCFLLLLLVLSASHLLPTRRGHGGVLEGLALRGSASRSRSGSSSSSSAGEEQGSCQELQSIEGGEARCLYLRTHPPCAPAGYVDYLRLFYCGFAHAPAAGNAAAVLWLAVLFYLLGDTASEYFCASLEGLSAELRLPPAIAGVTLLSLGNGAPDVFASVVSFAAGDGGGVGLNSALGGALFVSTVVAGVVALAAASRAGRGGVVVELRGFVRDICFLLLALCSLLAILVTGTVTVWVSASFVSLYVAYVLLVWTSHCCSEPGKPPQADLAAPLLLDDDGGVTPLPSYSKNSAPSKKRAYLHCLLSAILIPLYLPRRLTIPDIAGHRWSRPCAVASLALAPVLLAATWASSCRHALAVLLGGALLGLLLAALAAATTEAASPPRGRWRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYMVGVRASVLGVTVLAWGDSLGDLVSNVAMALHGGAGGAQTAVSGCYAGPLFNTVVGLGLSLTLAAGSQYPAPFAIPAGGAVYEAVGFLGAGLAWALLVVPARGMRLDRVYGMGLIAIYLAFVTIRVFDSLGLWTHSWWPA >OGLUM03G28770.1 pep chromosome:ALNU02000000:3:27403210:27410611:1 gene:OGLUM03G28770 transcript:OGLUM03G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDATGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTAP >OGLUM03G28770.2 pep chromosome:ALNU02000000:3:27403210:27410611:1 gene:OGLUM03G28770 transcript:OGLUM03G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGDRRLAVVAFAAAVLLSAAEGLGVNWGTMASHPLPPRAVVRMLQDNGISKVKLFDADAGTMEALAGSGVEVMVAIPNNLLDLLTDYDAARDWVHENVSRYSFDGGVNIKYVAVGNEPFLSSLNGTFLNVTFPALQNIQRALYDAGHGDTIKATVPLNADVYNSPENMQVPSAGRFRPDIAGLMTEIVQFLNQSGAPFTVNIYPFLSLYGNDNFPLDYAFFDGTTSPVVDTNGIQYTNVFDANFDTLVSALVAAGVGGLPVVVGEVGWPTDGDKHARADLAQRFYAGLLRKLASNAGTPLRPNQYVEVYLFSLVDEDAKSVAPGNFERHWGILRYDGQPKYAMDLAGQGRDTALVAARGVAYLPRAWCVLNPSATPDAMSRVGDNVNYACTYADCTSLGYGSTCNGMDATGNASYAFNAYFQVQNQVEESCGFQGLAVQTQQDPSTNACNFTIQIEPSAAAGRRPAAVAVTVATAMLISVLAAMVTAP >OGLUM03G28780.1 pep chromosome:ALNU02000000:3:27413948:27418433:1 gene:OGLUM03G28780 transcript:OGLUM03G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAALEGSEPVDLAKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCRMSWTVWMVELFD >OGLUM03G28780.2 pep chromosome:ALNU02000000:3:27413948:27417694:1 gene:OGLUM03G28780 transcript:OGLUM03G28780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAALEGSEPVDLAKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQCVLYKYRNNT >OGLUM03G28790.1 pep chromosome:ALNU02000000:3:27418771:27421329:-1 gene:OGLUM03G28790 transcript:OGLUM03G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZB94] MAGEGVEMSEEEGAFEAFVCPLTKQVMRDPVTIETGQTFEREAILKWFRECRDNGRRPTCPLTQRELRDTEVSPSVALRSVIHEWRARNEEKDLDRACASLVGGFAGHAGDEEEEESALRALVHVSQICQRSAASKDLVRRRGVLRAVAEMLKSGSRRLRLKSLQVLRVLVEDNDDNKVANPIHPPNFNLPPNQARIDRFLVLILQEELGKGDTIRTIIKFLSNEHVQERELAVSLLHELSGHEPTCERIGAVYGAILLLVGMGSSKSESAVAVDKAESTLRNLDRFDANVKQMADNGRLQPLLTRLLRGEPDTRVAMADYLGELALANDDKAAVAEQAGPLLVGMLRTGATPAKEATLKALREISSSEASAKLLLQRAGVLPPLVNDVLFSTGHLPMKLKELAATILANLVASGADFRSIPLDDDEDDDGGGGGRGRRRTLLSEDVVHSQLHLISNTGPAIGCRLLSVLAGLTSSRATVADVVAAVKSSGATISLIQFIEAAHRDIRVESLKLLRNLAPYMGAELADALGGSLSSLLRAISSDGGGVTEEQAAAVGLLGDLPEGDSSLTRQLFDLGAFRALAPKLAELRRGTIRGGNRYVTPLTEGVVKVMYRVTCALEEDAEYVEFAREAGLAPLFVELLHTNGMDTVQLYSAMALEKLSLQSSHLTAIPAPPSPPAGFGCACLGRRPAAAAVPAGVCRVHGGFCSLRETFCLAQADGGKAVERLVACLDHLDGRVVEAALAALSTLVCDGVDAREGVVVLGEADGLRPVVDIMVESRTEALQRRAVWAVERILRVEEIAGEVAADQTVASALVEAYRNGDPRTRQTAERALRHLDRIPNFSAAFQSKRS >OGLUM03G28800.1 pep chromosome:ALNU02000000:3:27423036:27424499:1 gene:OGLUM03G28800 transcript:OGLUM03G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGLPQPVPLTTLRVRVERRAFEAKAAAVGRARYPNVTHLCAYYHETDEKLLPYYRLRRQALASASPVSLPTLTRRRSRRSSAEWRARCVARARLYRWLGAGVHRIGVAVVTQKGDVFALGIMLLEAVTVARVDEERGSAESWRCRHNTSSRRSGCRRRLST >OGLUM03G28810.1 pep chromosome:ALNU02000000:3:27428415:27429661:1 gene:OGLUM03G28810 transcript:OGLUM03G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSRPHWRVPLWSLFFWQCHRCLTCLHLLSGKRQREWFGKAAQLQLNDGPNPQEAAAAARVRAHRARRMYKILKEEDFVC >OGLUM03G28820.1 pep chromosome:ALNU02000000:3:27430781:27431776:-1 gene:OGLUM03G28820 transcript:OGLUM03G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSQTTTTGAGGGRGQGDDEEPTPTPPPAPPPETAPSTVGGGGGDGVQLVMPEDGYEWKKYGQKFIKNIQKNRSYFRCRDQRCGAKKKVEWHPHDPGLNLRVVYDGAHHHGSPSSAAGEGGASAAAAANQYDLSTQYFGGAGGPRSQ >OGLUM03G28830.1 pep chromosome:ALNU02000000:3:27457942:27459483:1 gene:OGLUM03G28830 transcript:OGLUM03G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEPLAARGVEVVLPEDVLAEILRRLPPRSLAALRCVCTDWRSTIDSHRLLRADLLPLSLAGIFIDFWGLRFPDFFSRPPPTPISGVFDFFPLEEGPDIMDHCNGLFLLFSLLVVNPATQRWACLPPLPSHSTELEFRFLYDQGLIVFDPIVSPHYEVFMIPFVNPGQYCIERTDLVLKESEWPPSPLILHVFSSVAERWEERSFVREGDSAGTVAYAQRQCHLDKRGNFYWRGALYVNSYFLMRISISDGSYQVIHHPIEVYKSRPYVYFGKSEKGVYLASLTIDGRLSIWVLDESCGQFKWVLEHQNNLKPLLLGLNRSKQVYGPWILRDINYHLYSQKFPGEWDLYDRNYDPSHFHSPNDEAPAENNFEWHSDDDDIVDNQCNSEERNSGDYLTFLGFHPYKEVVFMSSGSMKGFAYHLKSSKLQCLGNLYPKHYKHFAQHEHIRESFPYTPCWVDELPETSISVYNLCQD >OGLUM03G28840.1 pep chromosome:ALNU02000000:3:27476176:27476839:-1 gene:OGLUM03G28840 transcript:OGLUM03G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAALRAGRKEYWQKEGRTIAKGHLHDQLEKSAKAQGEAEEAVREEPEAGDGEADADGQDHPRFVRPPFWDWRSTDEKFVAYKGLPKIRYSCQPIYREMVINGNSYMVLKVAGWMRKHPGRTLQDYD >OGLUM03G28850.1 pep chromosome:ALNU02000000:3:27487142:27487375:-1 gene:OGLUM03G28850 transcript:OGLUM03G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSPVAVAIPWFALAAATAVLAGAAWLIIRACKADESCCRLPPGSRGLPLLGESLEFFARSPSLELLPFLKQRLER >OGLUM03G28860.1 pep chromosome:ALNU02000000:3:27496165:27496395:-1 gene:OGLUM03G28860 transcript:OGLUM03G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSWLVLTAAMAVLASWLIRLASLKWNSSHPCKADEGSRLPPGSRGLPLLGESLEFFTSSTSLELPVFFKRRLDR >OGLUM03G28870.1 pep chromosome:ALNU02000000:3:27502642:27503245:-1 gene:OGLUM03G28870 transcript:OGLUM03G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQDLIVCLDPEVNSFVFQQEERLFQCWYPDSFMRIIGADNIITTLGSSHKYIRNLILRLFGPENLRRAMLQDVHRTAQASLLSWLDRPSIELKDAVSSMIFSVTAKKLISYDSLASDGKMWKQYDAFIRGLLAFPIGIPGTAFYKCMQVNIY >OGLUM03G28880.1 pep chromosome:ALNU02000000:3:27504596:27523606:1 gene:OGLUM03G28880 transcript:OGLUM03G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRELTGASKSRRWCRRDRMEQISTNPGNAARQQTERDVLELFVGRAPVRSTEGPSSPVAHTSRALGLTNLKALSVLGSGTWGIVFHVVPADAATTAATTDAGTGCPPDVDPWLSRPSPAAPFPPRRCGHGQRHRVLDISVIDTGPLDMRAGGRGLVSLIRLAVSVIMEGQQGAPEQISRAVEREGPDAGTAVGAESWPPVPGGRVADDYRRWPPAPGDKTRGAAQPAGGACAKQEEWGDRRWDGSNAIWGRTGAARLGGKDHYLPRAGTGGEKQECEIKSENLSIAVIPDEVVSKLANNSKKSRSNATTWKVSMNRERKKEKEKDD >OGLUM03G28890.1 pep chromosome:ALNU02000000:3:27513445:27523421:-1 gene:OGLUM03G28890 transcript:OGLUM03G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLDPELNNLVFQQEERLFQCWYPNSFMRVFGADSIITTFGSSHRHVRNLVLRLFGPENLRRAMLQEMQKTAQASLLSWLDRPSIEVKEEVSSMIFSIIAKKLISYDSSASNGKLWKQFDAFLQGLLAFPIYLPGTAFYECMQGRKNVMRMLRELLDERKKKTAHQLESIDFFDALIDELKQEKPAVSENVALDLLFLLLFASFETTSSGITAILRFLTDNPMALEELTEEHDRILKRKADPNSQITWEEYKSMKFTSHVIHEALRLANIAPVVFRKARQDVHIKGYTIPKGSKIMLSPSNIHLNPTVYKDPNEFNPWRWKGRKNVMKMLKELIDERKEASGRRGSIDFIDVLLEELNEEKPLISENVALDLIFLLLFASFETTASAITAVVRFLTDNPEALQELAEEHDNIQKRRVDLNSEITWEEYKSMKFTSHVIHEALRLANIAPVMFRKATEDVHIKGFFIPKGSKIMICPSTVHLNPMIYKDPNIFNPWRWKDTAEPTGGASKDFMAFGGGLRLCVGADFAKLQTAIFLHCLVTKYRWKAIKGGTMVLGPGLRFPEGFHIQLFPKP >OGLUM03G28900.1 pep chromosome:ALNU02000000:3:27558910:27561472:-1 gene:OGLUM03G28900 transcript:OGLUM03G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGDPGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLGYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLTLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >OGLUM03G28900.2 pep chromosome:ALNU02000000:3:27558910:27561472:-1 gene:OGLUM03G28900 transcript:OGLUM03G28900.2 gene_biotype:protein_coding transcript_biotype:protein_coding METSTISFSSSSPPSPPPPQPAPGDIDAVSLGRLSRNLENLLDPAFLNCADAEIVLASGGGDPGGGAVVGVHRCILAARSRFFYDHFSSAPAPAPATAGDKPQLDLDGLVPGGRHIGRDALVAVLGYLYTGRLRSAPPEAAACLDDGCSHDACRPAIDFVVESTYAASGFQISELVSLFQRRLSDFVNKALAEDILPILVVASTCHLPELLNQCIQRVANSNLDNRYLEKRLPDDLYAKLKEFRVPDEPHSGILDPEHEKRVRNIHKALDSDDVDLVGMLLKESPVTLDDAFAIHYAAAYCEPKVLAELLTLESANVNLKNSSGYTPLHMACMRREPDIIVSLIEKGASVLERTQDGRDALTICKRLTREKDRNEKSEKCKERSKAYLCIGVLQQEIKRRPQILEDQMSAEESIATPLLVDNFHMRLLNLENRVAFARIFFPSEAKLVMRIAQADSTQEFAGLTSANFSKLKEVDLNETPTMQNRRLRERLDALTKTVELGRRYFPHCSEVLDKFLNEESTDLILLESGTAEDQQTKRMRFSELREDVRKAFTKDKAAGAAISSSTSASSSPRYETKLRPGNKKGKLSR >OGLUM03G28910.1 pep chromosome:ALNU02000000:3:27566555:27567756:-1 gene:OGLUM03G28910 transcript:OGLUM03G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSQTPLRIAFVFLVILAATDAHSDHRTPPPACGGAAVGGECHSVARALRLKLIAIPAILAASVAGVCLPLFARSVPALRPDGGLFAVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPRKPWSEFPFAAFVAMLAAVFTLMVDSLMLTFHTRGSKGRASSAVAAAVAHHGDHGHCHAHALGQADVAALSTTEAADQGSGDVEAGNTTKAQLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQMFEGMGLGGCILQAGYGGRTRSALVFFFSTTTPFGIALGLALTRVDSDSSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAASLAVLLGAGGMSVMAKWA >OGLUM03G28920.1 pep chromosome:ALNU02000000:3:27571954:27573105:1 gene:OGLUM03G28920 transcript:OGLUM03G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRLVERVSLIDRALPLIQLKAMSSYSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLREIILSQKTSSILVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPISVTLTEKARKISSEEIQARELMEPILVKNLRKLLMMSLDCQIPLEKIELIQSELGLPNNFKNNLIPKYPELFSVRDVKGLDHLCLESWDSSLAVTAREEKLNFEGFQMDYRGIPKDGNIVGPFAFRLKYPAGFRPNRNYLEEVVRWQKLAFPSPYLNARRVEPATPQARKRAVAVLHEILSLTMNRRLTSDKLEIFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSNLVEKCPLLKFHDRFASLIGRTCSDSNDLLKA >OGLUM03G28930.1 pep chromosome:ALNU02000000:3:27582307:27587773:-1 gene:OGLUM03G28930 transcript:OGLUM03G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRTLVPILPPVAALLLLLVAASSIPLLAAAQPADACGGAPDQAAADGACHDVPRALRLKLIAIPTILVSSVVGVCLPLLSRSVPALRPDGGLFAVVKAFASGVILATGYMHVLPDAFSSLTSPCLPRKPWSEFPFAAFVAMLAAVSTLMADSLMLTYYNRSKPRPSSGRDVAAVADHGESPDQGHRHGHGHGHGHGMAVAKPDDVEATQVQLRRNRVVVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAAMCFHQMFEGMGLGGCILQAEYGRRMRSVLVFFFSTTTPFGIALGLALTRVYRDNSPTALVVVGLLNAASAGLLHYMALVELLAADFMGPKLQGNVRLQLAAFLAVLLGAGGMSVMAKWA >OGLUM03G28940.1 pep chromosome:ALNU02000000:3:27589860:27594773:-1 gene:OGLUM03G28940 transcript:OGLUM03G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pleckstrin homology (PH) domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11790) TAIR;Acc:AT4G11790] MDRPSFGVHRAESSHQHMMAGPATLDPQRAEAANKHVRALNSQFASWVQSQLQNHPAELWEDGMRDYISHASEIMEKFKDVVNWIRQNKTGSAAVLSPSPPNDEKTISPAAVDSKFTVQSSPDNGQKGPVTAASSPAFQNSSSSNLFSFASHQKTPAFTGIFGDKKNMPGDSNKPSFQFGANNGFSTPSMPSIFSASGAQSFSMPSQTLFSVNQQPAISGNKSAAEASGDADEDAEPEQPSSPSVKKAEEKGIVVVYEAKCKVYVKHDDPANGWKDIGVGQLNIRCKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVKKSTVASIFHTSDGQPSESGSATVVARTYLIRVKNDEAAAKLSAAIMENAPSE >OGLUM03G28950.1 pep chromosome:ALNU02000000:3:27594784:27601353:1 gene:OGLUM03G28950 transcript:OGLUM03G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAGAQGLGGNGRMISGTRSEKRWCLRGLRIGARQMHAFNTQSPLELNNSNLDWFFKFREEAR >OGLUM03G28960.1 pep chromosome:ALNU02000000:3:27597526:27598655:-1 gene:OGLUM03G28960 transcript:OGLUM03G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLYVPRKCSATNRIITAKDHASVQINIGHVDENGLYDGRFTTFALSGFIRAQGDADSALDRLWQKRKAELLDTMPVISAGTLKALRLDFPGVNPDNVPSELKLFITKSVS >OGLUM03G28970.1 pep chromosome:ALNU02000000:3:27601927:27607570:1 gene:OGLUM03G28970 transcript:OGLUM03G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPDDVLAEVLRRLGPRSLAACCRVCKPWCDLVDDRRLLRADLLLRSLAGIFLNFSGLCYPKFFARPSTTVGATIAIFGLLDFLPFDGTKWYKIEDHCNGLLLLDRDCVVNPATRWWARLPPRPPPREDMERWSTSILLTSSSIQPRLRTTRCIQVELEPSKWPPPLYVLPVFSSRTERWEERTFVREGEAAGNTTVPDKRISLRNDKYQVIKLPKVTRMPSEDSYFYLGRSQKGVYLALARHCCHLLVWILDESCDGIKWELKHDKDIKHILLGRNKVDLGPWILQEINYQKEEGSLSSYEWFRKKLEYELNEEATLEKSKWNSDDDNAPCNEDIIGRYNEAIDIIGFHPFKEIIFFSESFERGIAYHLNGSKVEDLGDLYPERIPDGLTRLWHAVTVFDSESISNPNTDSPPPPRANRFPPPLSTSPPIDNIQSTSIDPCTTNPSPPRLAIDPRCSPRDAAARSIPQAIEGS >OGLUM03G28970.2 pep chromosome:ALNU02000000:3:27605121:27615204:1 gene:OGLUM03G28970 transcript:OGLUM03G28970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPSGGSGGATAISGNFDFLPPKDEYDQYRHHQVEDHCNGLLLIRFRDLVVNPATRWWDRLPPRPRPRDGMDRIYAAYLVFDPAASPRHYEVFLVPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVVRISLNDDKYQVIKSPEYSDCYLDFYLGRSEKIIIFDEWNDFYRISLNDNKYQVIKPPEFSDNKYSDFYLGKSKKGVYLAFCIDQCLKVWILDETCSKMKWELKHDKDIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRASVSSVMLILFPNPVYHVAAFSQIGISSPRWPMNCPTLGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLASTSASTGSIMVVALPDDVLAEVLRRLAPRCLAASRCVCKPARPRRRPPPVAAALRAEELLPCPLAGIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGLLLIEDAEYVANPATRWWARLPPCPPPREGMDYSYVPYLVFDPAMSPQHYEVLLIPSFRRKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTWLWQERSFAREGGEEAASTVAEMRSSWSSGQRMNAVYWRRALYVHCQTNFVTSTKYHIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHGYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGNLYPTSYNIHLINERFITASFPYTPCSM >OGLUM03G28970.3 pep chromosome:ALNU02000000:3:27605121:27615204:1 gene:OGLUM03G28970 transcript:OGLUM03G28970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPSGGSGGATAISGNFDFLPPKDEYDQYRHHQVEDHCNGLLLIRFRDLVVNPATRWWDRLPPRPRPRDGMDRIYAAYLVFDPAASPRHYEVFLVPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVVRISLNDDKYQVIKSPEYSDCYLDFYLGRISLNDNKYQVIKPPEFSDNKYSDFYLGKSKKGVYLAFCIDQCLKVWILDETCSKMKWELKHDKDIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRASVSSVMLILFPNPVYHVAAFSQIGISSPRWPMNCPTLGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLASTSASTGSIMVVALPDDVLAEVLRRLAPRCLAASRCVCKPARPRRRPPPVAAALRAEELLPCPLAGIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGLLLIEDAEYVANPATRWWARLPPCPPPREGMDYSYVPYLVFDPAMSPQHYEVLLIPSFRRKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTWLWQERSFAREGGEEAASTVAEMRSSWSSGQRMNAVYWRRALYVHCQTNFVTSTKYHIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHGYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGNLYPTSYNIHLINERFITASFPYTPCSM >OGLUM03G28970.4 pep chromosome:ALNU02000000:3:27605121:27615204:1 gene:OGLUM03G28970 transcript:OGLUM03G28970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAALPDDVLAEVLRRLAPRSLAACRCVCKPWRDLVDDRRLLRADLLPRSLAGIFVNFHCLYSSEFFARPPSGGSGGATAISGNFDFLPPKDEYDQYRHHQVEDHCNGLLLIRFRDLVVNPATRWWDRLPPRPRPRDGMDRIYAAYLVFDPAASPRHYEVFLVPSFRWKSESEKAELDPMVEASEWPPESYTLPVFSSRTGLWQERSFIRQGQAAGTIADMRSDWASDQRNGVYWRGALYVHCQTNFVVRISLNDDKYQVIKSPEYSDCYLDFYLGRSEKGVYLAFCIDQCLKVWILDETCSKMKWELKHDKDIRHILLGRNNRQGLGPWILQDINHQKNPYIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRASVSSVMLILFPNPVYHVAAFSQIGISSPRWPMNCPTLGRQPAHYRGRVFVRSFDLESTSKLARTASLFASPPRRHLNLSIAWLDLPIDPCTTQRTVSSIDRLASTSASTGSIMVVALPDDVLAEVLRRLAPRCLAASRCVCKPARPRRRPPPVAAALRAEELLPCPLAGIFLNFFGLFNSEFFARRPSTGAAAAISGDLDFLPTSTTYGSREYQIQDHCNGLLLIEDAEYVANPATRWWARLPPCPPPREGMDYSYVPYLVFDPAMSPQHYEVLLIPSFRRKPGPNDYLYDKLRGEVDPVLEASTWPPASYAIPVFSSRTWLWQERSFAREGGEEAASTVAEMRSSWSSGQRMNAVYWRRALYVHCQTNFVTSTKYHIYEYDNIIEAPNQKKVECEPNKEAALEKFEWISDDENVLDNEDRVTGAYHGYIDIIGFHPYKEIIFLSESLKRGLAYHLSSSKVEDIGNLYPTSYNIHLINERFITASFPYTPCSM >OGLUM03G28980.1 pep chromosome:ALNU02000000:3:27617326:27619367:-1 gene:OGLUM03G28980 transcript:OGLUM03G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGAAATCAAVLLLPPKWGRRLGTLLEYWLWRKRSEDVESGCSVQEGAPKPVDIEHSLGNKEIVRLLNSTVVKDTGYMWLSCHTCRHDLANTTRGGIAAAADTVITIEEKGGEEWCRKKLGPCWRCHMTCLIVTFIATTGTGDRGMIAIVEEKRKMVERGWSKV >OGLUM03G28990.1 pep chromosome:ALNU02000000:3:27621851:27627066:1 gene:OGLUM03G28990 transcript:OGLUM03G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTGVVSSLLSKLATMAEQKYGDVKRIRREITFLTDELSSMNALLLKLADMEELDPQLKEWRNKVRELAYDVEDCIDALAHHHRLSRGDADPGGLIRRAARNMKKLRASYRAADQIHELKARIMEVSDRRLRYKLDEAASAAPAPALAIDPRLPALFAESKGLVGIEGPRSTLVSWLMDGEGQLKVISIVGFGGLGKTTLAKEVNHAVGAHFQLKAFVSVSRNLNPKKLICDVLSQIMDQKDYGKLEVEQLIPILREHLADKRYLIIIDDIWRIQAWDLVKSALPDNSCQSRIITTTRISTVAESCCSTLKDRIYYIEPLNEVESRELFFKRIFATEHGCPPHLEEVSNEILKKCGGLPLAILSIASSLANKPDIKEQWEMVKKSIGFALEGTPTLEGMNKILLFSYYDLPTHLKACLLYLSIFPEDYVIASDKLVWRWMSEGLIVGEMGQNLEQAGQIYFNELINRSMIEPVGVRYDGKVLACRVHDMVLDMIISLSAQENFVTILHGHEDKFAGEKIRRLSLRCNRPDVEVTQVTSKKFAQARSISLFGYKEMLDLQGFQALRVLDLGQTVLFKQVKNIGKCYQLNYLDLSDTDIVELPEEIGNVQSLETLDLRNCRRLTLPSTIAGLRKLVRLLVDYTAALPEEISGLVALQVLSCASYNSVKFMRALGQLTELRSLAFKCWNPDWYFDAGMYKEVSVASLRELGKHKLQYLDISDDDAILDTLMCSSSESDCPFPHLQKLVLSNHNIQRIPRWIGSLVNLSHLEIAVKTTRQNDLGTLGNLPCLLYLKICRLYEPIESLIVPNRGFRCLKELCFQCWCPLGLEFARGAMPWVQTFRLWFMPCWKSCDHGVSVGLDIEHLLELKLVDVETGNGCGKREVKSFEAAIRAVVANHPRRPALVLRRSGERSAVRKENWTAVETNMNKSLFDSSTVKQRLQKQSRFQT >OGLUM03G29000.1 pep chromosome:ALNU02000000:3:27648300:27649287:1 gene:OGLUM03G29000 transcript:OGLUM03G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSSHVECSRLSSSTFTINLVLGRKHRTLVLLKIRGVTSRGCLRATKEQLDDVHEAMLAGCRRPSSR >OGLUM03G29010.1 pep chromosome:ALNU02000000:3:27651207:27652358:1 gene:OGLUM03G29010 transcript:OGLUM03G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLEEHVSLISKTLPFIQLKAMFSYSAGHGRRPKKKLYHRETGLDKAMDLQKKPSLLLRLRELILSQKTNSILIRDLEKEVGFVQKWNFLSLIERHPNIFFVSGGSASREPISVTLTEKAKRISSEETLARELMEPILVKNLRKLLMMSLDCQIPIEKIELIQSELGLPKNFKSNLIPRYPELFSVREVKGLDHLCLESWDSSLAVTAREEKLNFDGFHMDCRGIPKDGNVFGPFAFKLKYPAGFRPNRKYLEEVVRWQKLAFPSPYLNARHVEPATPQARKRAVAVMHEILSLTMERRLTSDKLEVFHNEYRLPCKLLLCLIKNHGIFYITNKGARSTVFLKEAYDDSKLIEKCPLLKFHDQFASLIGRTFSDSNDVLPA >OGLUM03G29020.1 pep chromosome:ALNU02000000:3:27669651:27671823:1 gene:OGLUM03G29020 transcript:OGLUM03G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAHHLHRLPPPIQPAESMFSPRQPCFGAAAGEVVVGGAGGAVMAGLCQEEQLVQGYRQVFVGGGGVRQPAAPAAAEVMRQYSQVCAAAADAAESGVTFGGGQEAAAPRKRKRAEVPVVLGAAGDVAVAAQARQQLVDVDRLVLHHAAKMWAELAEQRRRHARQMVATVEAAAARRLRAKDEEIERIGRLNWALEERLKGMYVEAQVWRDLAQSNEATANALRGELEHVLDAHARRGADHGDGDGDDAESCCYGENDVLARAAGDGEAASAERRCKGCGEAAAVVLLLPCRHLCACAPCAAAAAACPACGCAKNGSVSVNFS >OGLUM03G29030.1 pep chromosome:ALNU02000000:3:27682372:27684757:1 gene:OGLUM03G29030 transcript:OGLUM03G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAAPGEDDAAWERAIAAAVKNAPFSAPKTLTLDGAVKSTTGRLPSPSLLSRYPSLEELSVAGARLSSLAGLPRLPALRRLSLPDNRLSGAASLAAVAESCGATLRHLDLGNNRFADVAELAPLAPHGVESLDLYQCPVTKAKEYRDKVFALIPSLKFLDGMDAEGNDCLDSDDEEDEEEDEGEEGEGEGDEEEEEGGEEGEGDEDDEEEGDEEEDEEEGEEEAEDEEDEAGADEEDESKVANGSKGSSGSAQPNKRKRDSEDDANGDN >OGLUM03G29040.1 pep chromosome:ALNU02000000:3:27685846:27690191:-1 gene:OGLUM03G29040 transcript:OGLUM03G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKDVEVEELDEEVVAAAAAPAADGGEEQEAEPPARRPSTFAELGVVPELVAACDAMGWKEPTRIQAEAIPHALEGRDLIGLGQTGSGKTGAFALPIIQALLKQDKPQALFACVLSPTRELAFQIGQQFEALGSAIGLSCTVLVGGVDRVQQAVSLAKRPHIVVGTPGRLLDHLTDTKGFSLNKLKYLVLDEADKLLNVEFQKALDDILNVIPKERRTFLFSATMTNKVSKLQRACLRNPVKVEVASKYSTVDTLRQEFYFVPADYKDCFLVHVLNELPGSMIMIFVRTCESTRLLALTLRNLRFKAISISGQMSQDKRLGALNRFKTKDCNILICTDVASRGLDIQGVDVVINYDIPMNSKDYVHRVGRTARAGNTGYAVSLVNQYEAMWFKMIEKLLGYEIPDRKVDNAEIMILRERISDSKRIALTTMKEGGGHKKKRRKNEDDEEEEERNAPVSRKSKSFNKSRRR >OGLUM03G29050.1 pep chromosome:ALNU02000000:3:27698042:27698902:-1 gene:OGLUM03G29050 transcript:OGLUM03G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DUTP-PYROPHOSPHATASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT3G46940) TAIR;Acc:AT3G46940] MAGKLGAICSRLISPLFNHHCRLRRFPQIHSPPPPFVPFSRHHRLPPRALSAVAMATATNGNASAAAAADSAVQEPPHKIAKVAPLLKVKKLSENAVLPSRGSALAAGYDLSRYAPGKVSPAAEVVVPARGKAMVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDTDFAVKPGDRIAQMIIEVIVTPEVAEVEDLDATVRGEGGFGSTGV >OGLUM03G29060.1 pep chromosome:ALNU02000000:3:27700437:27707574:-1 gene:OGLUM03G29060 transcript:OGLUM03G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding protein beta 1 [Source:Projected from Arabidopsis thaliana (AT4G34460) TAIR;Acc:AT4G34460] MTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNIPVSRILTGHKGYVSSCQYVPDQETRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVLSLSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEGDINSVKFFPDGQRFGTGSDDGTCRLFDMRTGHQLQVYSREPDRNDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHEGRISCLGLSSDGSALCTGSWDKNLKIWAFSGHRKIV >OGLUM03G29070.1 pep chromosome:ALNU02000000:3:27710515:27712773:-1 gene:OGLUM03G29070 transcript:OGLUM03G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSASVPWVVVIAVFTLHATLGRRVRIFFVRLLLLLLSHFSFLLWARRELESMEAESRKLLLALAVSLCCFVAASRAQSYIGVNYGEVADNLPAPEETAKLLKSTTISKVRLYGVDPGIMRALAGTGISLVVGVANGDIPSLAADPAAASRWLAANVLPFVPASTISVVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGDGAAGIKFSTVNTMAVLAQSDPPSTGAFHPDISPQLTQILGFLSKTTAPFMINPYPYFAYQSDPRPETLAFCLFQPNAGRVDAGSKIKYTNMFDAQVDAVKSALGRAGYGDVEIVVAETGWPTRGDAGEAGATADNARAYVSNLVSHLRSGAGTPLMPGKPVDTYLFALYDEDLKPGPTSERSFGLYHTDLTMAYDAGLTSSSGGAASPSNGGASQQQPRGGGGGWCVASAGATEADLQADLDYACAQVGVDCGAIQAGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRSSATLTSDNPSYGSCVYTGGQ >OGLUM03G29080.1 pep chromosome:ALNU02000000:3:27721780:27722605:1 gene:OGLUM03G29080 transcript:OGLUM03G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCHRHHFGLVALLLVAAGVAEAQAPQPPWEENAVEARCELDTVATQLALHCLPAADADDGGGGGAPPNEQCCKTVGYAVHLDAGFRCLCAAAAEPQLVIGGGLNSTRLLALYASCGGRRPVDSRLATACGVMAETGDMSEAACDPVNLADQVARYCRTDAPTAECCEPVVASVDLAGGDPSCLCRVLADPQLAAAGANNATALLAMYTACGGLRAVGPDIADGCIHPRTPSTPPAPVIISAGRSSP >OGLUM03G29090.1 pep chromosome:ALNU02000000:3:27725430:27727370:1 gene:OGLUM03G29090 transcript:OGLUM03G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGISKGTFRSVVLSFFSFFFFAISLVVVCGRPERLFPPSTLRPTARRGRQIPNSNPKSTQSPAPRSPGDPGKHPEQPELPPAQRGGGGGGVGGRGEVVGVGGGRGGWGICDDNPVEAG >OGLUM03G29100.1 pep chromosome:ALNU02000000:3:27730447:27732512:-1 gene:OGLUM03G29100 transcript:OGLUM03G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAAVAVLPDDVLAEVFVRLEPRSLAASRGVSKAWRAAIDARRRQLCGGLLPRSLAGIYIGHQSTATASRHVPRFFARRPSSISGSLHFLPAATAGDNKQAPPPPPPGRHEIHNHCNGLLLLGGDPDPDTHRAAIVVVNPATRWCSPPLPPRRPLRMGASTFPADFLAYDPAASSRYEVLSVTCFRRRCSACHCCLPPPGSGTSSSSGEERVLLDEFSEWPPSLQTLDVYSSSTGRWEERTFHRQGEAARTTIADMRMDFSGHKCKAVYWQGALYVHYKTYFIMRFSLSDDKYQVIKMPTVRSNGHSHFCLGRSEKGVYLALITKPLSLQVWVLNESCDEMEWVPKHENNLDSVFPRQTRRRWMLLQDLDKKDSTTFRKEHDEEIDFEWSSDGDDDSDHRGNVPEYRLPATIFQGYHGNVDNNALGFGNFPQPPIPMFYHGYHGNIDVLGFHPYKEIVFLCEAMQTGLAYHLKTSKMEILGKLPLVSSCEEILSNKSFTGVSLPYTPCWM >OGLUM03G29120.1 pep chromosome:ALNU02000000:3:27734591:27741041:1 gene:OGLUM03G29120 transcript:OGLUM03G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoinositide binding [Source:Projected from Arabidopsis thaliana (AT1G61690) TAIR;Acc:AT1G61690] MEPDPQQAKIRQGPSIKARWRPSLLQALFAQHPGGVDVDPTCQATTSLLRLPSPRVSLLLLPHPASPPLAAAFSSSQVAAASLLPPTPPPPPARGAGPVPTTSEGHRVLLDPPLPLVLAARSSGPPRVVSGDSPSSSGSRGGGGCIDFVHEHFARGFFVLVNMLEKIGLPPKPSMRGASWVVDATHCQGCSVQFSLFTRKHHCQRCGGLFCSNCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRASKANAKAASNPEDDVLSEILGGDGVQTKFSRRESLDPELPGASSSSSSSRRTSGAFSMDGNGGESLSIEAQNYELNNTGSIFTPEELRQQAVEEKKKYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKNRRMATKAPSVSAVVSTKNLEGSDEAESKKSLPGKRVRKEKNDLASELKDLGWSDADLHDETRTTAMSVEGELSQILREVAPKSSEGNKTSSIDKSQVNALKRQALLLKREGKLAEAKEELKKAKILERQLEEQEILGEADESDDDLAALIHNMDDGNQDDILLDNPRFPDFNFEKLLGTSDDLLIDGNFDITDDDMNDPDMTAALKSFGWSEEDEIQMEGHGPVSSLNQEALKEQVLALKREAVAQKKAGNVAEAMSLLRKAKLLEKDLETEQSESKVPSPQGHRSAHTEDITVAEMNTRPVSAPKSKLAIQRELLALKKKALALRREGKVDEAEEELKKGSVLEKQLEDLENSSARPVVKENRNFGSTPPYKVEPPTLDLADEGYEPEVTDNDMQDPALLSVLKNMGWEDEDADIASRVNMPSNSSRIVSQKPTKSKGQIQKELLAIKRKALAFRREGKNTEAEEELEKAKVLEQQLSEMEESVDLTASQQSARSAGQIRENKSGALLDPASSPDTSAHLPKLRNATEGVISLPVHAAELAASLDAQASSQSIPLTELIIPKPDHASKVHSEGTRSTLSRPSFTDPLVTAERLHSPSDVHDHKEPQIPHGHDTLKDEILHHKRKAVAFKREGKMAEAREELKQAKLLEKRLEVSQENSANGRDESMKPVVQETNLIQQSASAKSRTDDISSAPPAQEIKPVQPPKALSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKSLESQLEESESQVSGGKSSDANDAAVEDLLDPQIMSALKSIGWSDADLSAQSSNAQPSKKAEAKPTVAATTKPQSEKTQLEEQIKAEKLKALNLKREGKQTEALEALRSAKRLEKKLASLT >OGLUM03G29130.1 pep chromosome:ALNU02000000:3:27742086:27743861:-1 gene:OGLUM03G29130 transcript:OGLUM03G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERAGSKRAAKGTEGTTAAAALQLHGDVLGEVLRRLAPRWLAACRCVCKAWRDAIDDGGLLRADLLPLSIAGFFLNFSCHDYSEYFSRPTTTTTTTTCHRHLITGKLDFLPSEGEWQMALDHCNGLLLLESGCVVNPATKAWMDLTPYPPPPPLIEEEQEEVKTYPEEHLVFDPTLSPHFEVLIIPHLLPFDKDRSKKQPPQNSAWPPSPLVLNVFSSRTREWEERPFVREGEAAGAMADVASGVYFASLSKDRLLVWLLDEPCGDMKWVLMHQTDLGPSLATSHKLDGHWILHDINYECSTWLFPKGRKPLTLVRENFEWNSDDDDVVNDAAEDTVKRRYDEYALILGFHPYKEILFIESMDRGYAYHLSSSKFEELGKLRPVSLHGLPNERLDVELSFPYTPCWIEEFPQPELDCKLNSIWKP >OGLUM03G29140.1 pep chromosome:ALNU02000000:3:27744083:27744460:1 gene:OGLUM03G29140 transcript:OGLUM03G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPLRRLLLRLGKPPPLPIPLLPHITPQTAQAAGDPAAPPCPGAIPSQAPARNLRDVISSFHPGLQIYPSLDPRFGEVEEGVDGEGGGAEVWADSVKKKRKRKMNKHKLRKLRKRLRRQT >OGLUM03G29150.1 pep chromosome:ALNU02000000:3:27746829:27749799:-1 gene:OGLUM03G29150 transcript:OGLUM03G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 11 [Source:Projected from Arabidopsis thaliana (AT1G65410) TAIR;Acc:AT1G65410] MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLGGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDILIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKLKTKHRIVPNFGLAGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVKQFASGSLDGPIRYF >OGLUM03G29150.2 pep chromosome:ALNU02000000:3:27746829:27749799:-1 gene:OGLUM03G29150 transcript:OGLUM03G29150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 11 [Source:Projected from Arabidopsis thaliana (AT1G65410) TAIR;Acc:AT1G65410] MASPSIPAALRHPHAHAAGLRLLRSSGDPCPPCTLPFRLGGVPLKKGHVYAGAVSATRSPGLGNAENLRESPSLSRSWGMNGQIGDDHDILIECRDVHKSFGNKKVLNGISFKIRHGEAVGIIGPSGTGKSTVLKVMAGLLAPDKGDVIICGRKRHGLVSDEDISGVRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEERIATLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIYDDTKETIEPEVILYDEPTAGLDPIASTVVEDLIRSVHVTGKDALGKPGKIASYVVVTHQHSTIKRAVDRLLFLHEGKVVWEGMTQEFTTSTNPIVKQFASGSLDGPIRYF >OGLUM03G29160.1 pep chromosome:ALNU02000000:3:27749986:27752601:1 gene:OGLUM03G29160 transcript:OGLUM03G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mannosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G22130) TAIR;Acc:AT5G22130] MASAAVTLPRVMLAAAAVRAAMLALGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAYLLVPNSLLHPTWGKLLFSAADLLVGLFIDTILKLRGVPDSTRIWSVVAWLFNPFTFTIGTRGNCEPIVCAVILWILICLMNGASFWYGLIVHFRIYPIIYAIPFVIVLGKNYAGPAGRPILTQWTSKQHLQSDKSSPSVEGATSLLTNLWNFLTSLITRNTILFGLLSGSMFFLWTGVFFYLYGWEFLNEALLYHLSRTDPRHNFSIYFYHIYLHHQQGFSSIQKLASFLPQLIVQLALILRFSRDLPFCLFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTTMKLKWKGLACILVWMGSQLHWLMWAYMLEFKGQNVFIPLWAAGLMFLAANIFVMLMVINHHKFTPLFSSSTVKSASKIAAKKE >OGLUM03G29170.1 pep chromosome:ALNU02000000:3:27753415:27755191:-1 gene:OGLUM03G29170 transcript:OGLUM03G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQFGSGDTSFLDRQGIKDKHAKVSSHVAGEASQAEGGNGEAHQVGGGGGHDGDNFGCNAIVLAVSVEENYYAQSTIITTTTAICLQLVQEEHRTMESDEPQAASDNEGDATWQHGTQVASSPLPHLEQSAAFGTK >OGLUM03G29180.1 pep chromosome:ALNU02000000:3:27765231:27766376:1 gene:OGLUM03G29180 transcript:OGLUM03G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILDSKIINDRETGRSRGFGFVTFSSEQSMRDAIEGMNGKELDGRNITNHLIIESSRYRVQWLSRVEKPSIHPSSVRCSSSVMLP >OGLUM03G29190.1 pep chromosome:ALNU02000000:3:27766727:27769725:-1 gene:OGLUM03G29190 transcript:OGLUM03G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSLFSPMAAVRRPSPHRLLAAVLLSLLALTGKPGSSGREEGCREGEGRKAMGGSTVAAQRDMSEPWLQVGKRVKTEEASKKRKKDDIMVATVNVAMTPPTQCPRRRRLRRPAATSRPYPSVARIADSDCFPSLEANQDKSKGQQHEGQ >OGLUM03G29200.1 pep chromosome:ALNU02000000:3:27771546:27772589:1 gene:OGLUM03G29200 transcript:OGLUM03G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSLFLQWVVSTLQHQDPAAVAVVADDDATFFSFQELCDAEEVVVVPVQEEVITEAHGGGASSCRSTGNVGGGGGIGLAVAVDEHGGWSRSPNPGARPPSGGCGSNNLPLMSWDFSAASVAVQVQPNGGGSGGAPEMAYGSPPAAGGSTTRKTSAPTAAAAAAYAQLEHVVAERKRREKINQRFMELSAVIPKLKKMDKATILSDAASYIRELQEKLKALEEQAAARVTEAAMATPSPARAMNHLPVPPEIEVRCSPTNNVVMVRIHCENGEGVIVRILAEVEEIHLRIINANVMPFLDQGATMIITIAAKASSSLLY >OGLUM03G29210.1 pep chromosome:ALNU02000000:3:27776467:27779614:1 gene:OGLUM03G29210 transcript:OGLUM03G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGAQAMEEAPAATAAQLVEAMEGASAVTLPSALELLAFEIERVGGGSYSTISTYNIR >OGLUM03G29220.1 pep chromosome:ALNU02000000:3:27779995:27789166:1 gene:OGLUM03G29220 transcript:OGLUM03G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSTGEKTTNLSSCTAVPPRPSPSPSPLRSGANGQGSPPTGGTFSLLLRPRWLLSSIVVEDRKRGGGGEDGIRKKGQCRRWGEEEERSDSEGRGRRRRRGSATGIGGGGVATMRRQESEGGDRSDTGATTMGRSQDWTKGRRLGDECRQLLSCNERVAIRAMNHMRPYYSQPNPSVYQQAHAVVWHPQMIANKLYTPSTICHCFRPCRLRPRSIRNRRGESGEAIRRATAVEVVKGERAERRCGGRRLPAAKVSSSRRHPESCDSRRRGEAESGADLGRAWRMARRGCTGEGDEGRRRQLFGKRRRRRRLETAAAGEVTG >OGLUM03G29230.1 pep chromosome:ALNU02000000:3:27785290:27789100:-1 gene:OGLUM03G29230 transcript:OGLUM03G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHFVRFYGYKRRQQNMCKELESSCRDKAPSLSVIEQILGRKGLIAWTYAALAVGLHLFGGLGKLAPILYVPPPASTKIKADLVMVIVLTTKQVHGKTQQLHVDFPLPITLVENSGRCKTNEHGEKVDSHLVEVHSVCALLIEAGDMDDGSMMNMVFDTGDGDLVLLAFNAVALVPRMVDAGVLNMAREQGSVLGCSSQILFCPLSNGMASQLVMVKCYLYSS >OGLUM03G29230.2 pep chromosome:ALNU02000000:3:27785290:27789100:-1 gene:OGLUM03G29230 transcript:OGLUM03G29230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQHFVRFYGYKRRQQNMCKELESSCRDKAPSLSVIEQILGRKGLIAWTYAALAVGLHLFGGLGKLAPILYVPPPASTKIKADLVMVIVLTTKQVHGKTQQLHVDFPLPITLVENSGRCKTNEHGEKLIEAGDMDDGSMMNMVFDTGDGDLVLLAFNAVALVPRMVDAGVLNMAREQGSVLGCSSQILFCPLSNGMASQLVMVKCYLYSS >OGLUM03G29240.1 pep chromosome:ALNU02000000:3:27801411:27802775:1 gene:OGLUM03G29240 transcript:OGLUM03G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFHGEEEQCEGSAGNCDMPNEDRTPQERVSARGHRKKGGNGERNSDKSTYLPLSPPPHHLTLPTQLRPLALLLEHLLAPVPWSKGEG >OGLUM03G29250.1 pep chromosome:ALNU02000000:3:27806049:27806411:1 gene:OGLUM03G29250 transcript:OGLUM03G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQGEGGSGGGGPVGGGGLGIKAEDLLDGGYSPRGGGSLGTSARFSTMRRRIPSGVEGLGVRVEEDFLSGSGGPRGSGGIETWEAEKDTVEGGGPMAYGWKRPRNPTKRKRILSARI >OGLUM03G29260.1 pep chromosome:ALNU02000000:3:27806587:27807364:1 gene:OGLUM03G29260 transcript:OGLUM03G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRATQLKRASAACPASRPTSSTASDTSLWTGTTCRCPLGTCDLRLRPSPYCAKLYKKGIVQPSPICTHVPAEAEKEGTVAKAEELGSVGKVVS >OGLUM03G29270.1 pep chromosome:ALNU02000000:3:27808474:27815047:-1 gene:OGLUM03G29270 transcript:OGLUM03G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSLFMEWAMETLQHLHPLPATPPPAAGDNATFPSLQALRESSVSQNGMAPPEPTAHEGHRASNSWSSGDTDSVSGGGGAAMEHDGWSTSPNSVRCAPGGGGGGVWPVSWNFSSAMTQPCNEATPPNPPTTTRARHGGGGVRYLPAAVSPSPSAQTRRASSKGNGGGGSGSSSAAPYAQEHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDAVRYVKELQEKLSELEQHQNGGVESAILLKKPCIAASSSEGGCPASASAVAGSSSSGTTARSSLPEIEAKISHGNVMVRIHSENNGKGSLVRLLAAVEGLHLGITHTNVMPFSACTAVITIMAKVEDGVSVTAEDIVGKLNIVLQQNSRNSARETKS >OGLUM03G29280.1 pep chromosome:ALNU02000000:3:27809451:27813274:1 gene:OGLUM03G29280 transcript:OGLUM03G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFFLYFDSLDAPCAVGPPRRHLPSLRHLRPPSTVPSAARAKLDAPCVSGVPDSTPSIMTSPRRHVANRSTTVHASRRNDEPRMKRSKSKSIGASDGNVVGASAFSTTGASSQAVNDDDNSKDNSFDHIDDMSMSMPSGDEAATGGKWMAHGWNMLLWSVEKV >OGLUM03G29290.1 pep chromosome:ALNU02000000:3:27815531:27844477:1 gene:OGLUM03G29290 transcript:OGLUM03G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESHLQRVALACGLNPPCWTRASVAAWTVAPQADFALAEEPLEASRLGSRRAKPQPEATRWRSRSPVRCLVRSHRRHRCSSPPTLVKKGGGEEPPPLWPPPPDPASLRPDPVSPPSMSSSAPSWGEEDGGVEPASGSAANAREEGRGRGTAAIVAPAARSSELAPGFGEPDAAKLATPSASSSAPLWGEEDGGVEPASGSATENLSAPLRWGARQRSKRGSPSFTVLDDPCLIWIHPPTPLMTSPRLDLPTAIITFIEHLCCRPPLRSPQQIDPVFPRLLPMNPPQQSLSIGVTVVAKEEGKEFPTKPLVDPLLPSVVMAHPHPPTPPHLHFAIATASCEHGKGERGRKGPSLPTDVAATYLSPPANLRVREAKKAELIELYQKEASLSFITYRLNLQQGAGLLVTAHDRILRHSRNDARLARP >OGLUM03G29300.1 pep chromosome:ALNU02000000:3:27869969:27871389:-1 gene:OGLUM03G29300 transcript:OGLUM03G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLRASWTKADIAQERSSGKEDNTYDRRSTIGSQIELVPARDPVLEHPYRAQDTKKATAPSDDDDEEEKDNDEDYIVHCVDEIGPSQLDNAPQPSQHTQQYNTCNQLIKLSTLQIIRLSFTFSKAWRQPHCVPTFHTLAPEAA >OGLUM03G29310.1 pep chromosome:ALNU02000000:3:27873495:27877289:-1 gene:OGLUM03G29310 transcript:OGLUM03G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBF1] MATSAAAAAASTLPYLVAAASSSAAARRRGAHRIRASSSASSAAVEGAMDVVAEAELREKGFMGLRKTKLVCTVGPACVGALPALARGGMGVARVNLCHGGRGWHRAVMREVRRLNEEEGFCVSLMVDTEGSQLLVADHGGAASVKAEDGSEWLFTSKGTDESHPFTMHVNFDKFSEDILVGDELVIDGGMATFEVIEKVGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFGIAEGVDCIALSFVKDANDIKYLKTYLSRKSLEHIKIFAKVESLESLKNLKDIIEASDGVMVARGDLGVQIPLEQIPAIQEAIVDLCRRLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAVGAYPQKALAVLRAASERTESWSREENMQKLLPQHQLAIALPDRISEQICTSAAEMANNLAVDAIFVYTKYGHMASLLSRNRPNPPIFAFTDNANSRKSMNLYWGVIPLQLPLSNNMEDNFNQTIKLMKSKGSVKSGDTVLVVADSDLNRPRAATSVFQSIQVRIVD >OGLUM03G29320.1 pep chromosome:ALNU02000000:3:27879478:27881869:-1 gene:OGLUM03G29320 transcript:OGLUM03G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATARSPSPGPGARPGCAVRRSADSSPAASPCDLAALRSSAVCRNASPRASWCAEKENSGQRDAAARAAAAPKPARPAAGAAKNFMAPTISAASKASPRKKVLGERNEQQQQQQLYPVASSPVKPVASEAGAPRRLRLSFDGAPNTAPPAASTPVTAAAGSRHSFGGEEERRVENPPCKNHHHATAFDDAAPAEADQGAAPYDPRTNYLSPRPRFLHYKPNPRIDLYRQGSSGGVRRLEDGFASESSEETVTTTTTASEEEVDLVDEEEQAHLSSELGDGAAVPAADACALPLEPAPGSPRARVLTPEAATRSPCARVQTPEPEPTASSERARRPKKRSSLRFLVAPLALVVFMAAALICVPPPPVDSPVMPRTALSKVSDFLSVQELHPVELAAWLKQWSSSSLNLVTSYWESLVWAQEQEFFGPHFAANLSAAAASADEGVDLYGNFVETRPVLMEDIGVGSFVQDSKIQEAVSASDSELISEISDVEQEAIPDEGDVIDDGVLAEELNVEMPEEDAEMSQEVSGSNGEEMASFSHDLEPSQPAGEAEPQENIETSTSSLEQDVQTDDSEGDHADGEERPEADHGMKSELGMWPSYLDKISKPAAAGAALAAVIVPAALAFLLMRKKQAQAGANAAAEAPAEQAEPVVEKTLSGSGSSEGHLRVKGSQLQTPPVADETERFGGGSGASMYSSSLSSGYGRRKSSAKEDESLSLDPVSRRDSAAQPTSSYGSFTTYEKIPAKKVYN >OGLUM03G29330.1 pep chromosome:ALNU02000000:3:27889933:27890408:-1 gene:OGLUM03G29330 transcript:OGLUM03G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHLLLLLLLALLLASSVLAKAAAGFTEEKGVAGIRGVIGSRPPSCEGRCRSCGHCEAVQVPITPQQLQKKRGQGDDRRRKKKQLLGHGDRAAAAGGRAMPDSYDDHSNYKPLSWRCKCGGMILNP >OGLUM03G29340.1 pep chromosome:ALNU02000000:3:27891479:27891727:-1 gene:OGLUM03G29340 transcript:OGLUM03G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLPARGAAGRRKKMGAWMSRVWFLMFPAKKYKIVIVGLDDGKTTTLYKLHAAQTNLPQSDLSPPWQWQQRGLELVGWRY >OGLUM03G29350.1 pep chromosome:ALNU02000000:3:27896269:27904534:-1 gene:OGLUM03G29350 transcript:OGLUM03G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRACNSRGWESLPPRLTFSLSLCRRRGAALLSSSLRPRAAALSLAVAEAAAAANPSPFRGKERTARRAEGGERRRDFCAPRTPRTASIRFGARRRGSIQLPNQVLTVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPYISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDAVVKYRKSHVDGTADKNRFKKEPLFPTTVFNTCKDANKVSQGRSNSVSSSPDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQEADNNGSEGAENDDDDLYVNEAFLADTENRSINYQPYQLSLPRNAGNGMMMQSGSSLCEESGVAGDSAEQQKGNSTNFDVTASYFPFSSCTSDGLSSKRKVQGGSLDQPQASQFSKEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNAAKDLFPVPPPTFSESVYRQLNLFPDHSTNVRLHQSGISNGNTTEDGAEQDFQMHPLLFQYPREVLSSYNHPVQNLINHSRDLFPFEKVQTEKSNNQTTDCIETRTPVNANTIDFHPLLQRTEVDMHGEVPGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDTGGTSSKLNDRAEVSRKDEASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQVQIGAERKSSDSRRSRKKPAPS >OGLUM03G29350.2 pep chromosome:ALNU02000000:3:27896269:27903623:-1 gene:OGLUM03G29350 transcript:OGLUM03G29350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDVEEDDMDFNPFLREGSPSETSSSLTSEAECEETSFDDQRSSEVYPHGNFVNEHTGDCALPQSALLSEDTCKETNPESTSSQVPCENGDGCLNGLEQEALPSEVACSPSLKDSHNLLLEGSEEDAICRRTRARYSLANKSLEELETFLQESDDDGDLQNVDEEEEYRKFLAAVLSGGDDGTQACQGDENQDEDENDADFELEIEEALESDGDENAENYEDTNIMKEKDGRRRQTRKNRPCTELSGAANEHYGSTKSSLRPILPYISPELLASGQPYGWQYPSQSTFIPSSLMPVNGAALANGFSDQQLGRLHMLIYEHVQLLIQTFSLCVLDPSKQQLATDVKKMIVELVGCCDRALASRSTIHRQFCFEPQHLRSSFGFSSSETLQYQWMPLIKSPVMSILDVSPLHLALGYLKDVSDAVVKYRKSHVDGTADKNRFKKEPLFPTTVFNTCKDANKVSQGRSNSVSSSPDTSGKSQQKKTLAATLVENTKKESVALVPSDIARLAERFFPLFNSSLFPHKPPPTAMANRVLFTDAEDGLLALGLLEYNNDWGAIQKRFLPCKSKHQIFVRQKNRSSSKAPDNPIKDVRRMKTSPLTNEEQQRIQEGLKAFKNDWALVWRFVVPHRDPSLLPRQWRSATGVQKSYNKSEAEKEKRRSYEAKRRKLKASMPNSQAVHGQEADNNGSEGAENDDDDLYVNEAFLADTENRSINYQPYQLSLPRNAGNGMMMQSGSSLCEESGVAGDSAEQQKGNSTNFDVTASYFPFSSCTSDGLSSKRKVQGGSLDQPQASQFSKEKGSCVVKLAPDLPPVNLPPSVRVISQVAFHQNATQLNGTSDNAAKDLFPVPPPTFSESVYRQLNLFPDHSTNVRLHQSGISNGNTTEDGAEQDFQMHPLLFQYPREVLSSYNHPVQNLINHSRDLFPFEKVQTEKSNNQTTDCIETRTPVNANTIDFHPLLQRTEVDMHGEVPGDDCNRPYNQSECNMREAPADDQSTARKKSTGPCEKENNIDLDIHLCSSRDYMNGNDTGGTSSKLNDRAEVSRKDEASVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQRLVQVGGKQGAATQKPQRLSNARPAREKLKGDNAKRPGSRTTQRSSTSPTTEPSQTKTRRPKAQQVQIGAERKSSDSRRSRKKPAPS >OGLUM03G29360.1 pep chromosome:ALNU02000000:3:27907285:27907759:-1 gene:OGLUM03G29360 transcript:OGLUM03G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRVMDNAHFDNLVCQALFGDSALAVVIGADPVVATAGGSGGERPLFELVHATQTLIPETGGAILGLLREVGLMFGLISEAGLLKMVSSAGVDFTDDDDRNALFYEVHPGGRTILDKVEGVRGLRLEKTRASRKVLADYGSMGSACA >OGLUM03G29370.1 pep chromosome:ALNU02000000:3:27910972:27913446:1 gene:OGLUM03G29370 transcript:OGLUM03G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAGRVVGDGGAGAGDDNIILNPEFDSGLDNWSGSGCKIELHDSLDDGKVLPVSGKYFVAATGRTDTWNGVQQDVTSRLQRKLLYEVAATVRLSGAAATPSPCEVRATVAVQNTDGRQQYISVAKSPAVSDKEWMQLQGKFLLNGTVAKAAIYIEGPPAGVDLLLDSLVVKHAQKATPAPAPDFKNLEYGANILQNSDLEDGVNGWFGLGSCALSVHGGAPRVLPPMARQSLSPLDGDDGDGGEPLNGKHIHVTNRAQTWMGPAQVITDRVTPYATYQVSAWVRVGGQQAAGKPQNINVAVAVDSQWLNGGQVMALDERWYEIGGSFRVESSSTPPSRVMLYVQGPDPGVDLMVAGLRVFPVDRKARAKHLRKLTDKVRKRDVVVKVTAAAGGAAAADGVEVRVRQVSNSFPLGACIMRTNMDNEDYVDFFTKHFNWAVFGNELKWYWTEPEKGQLNYADADDLLKLCADHGMCVRGHCIFWEVDSAVQQWVKALPADELSAAVASRINGLLTRYKGKFRHYDVNNEMLHGSFYQDKLGAGARAAMFRAASELDPDALLFVNDYNVEGACVDVRATPEAYIAQVTGLQEQGAAVGGVGLQGHVTAPVGAVVRAALDRLAVLGLPLWFTELDVSSANEHVRADDLEAMLREAYAHPAVDGVVLWGFWELSMSRDDAHLVDAEGEVNEAGRRLLQLKREWLTRAHGRADGNGEFRFRGHHGAYHVDVVTPAGAKISQEFTVDKDDAPLVLNITV >OGLUM03G29380.1 pep chromosome:ALNU02000000:3:27916390:27921264:-1 gene:OGLUM03G29380 transcript:OGLUM03G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLPLLAPDSKAATSSPLCLTLDNPTSTSTSPAVPSSAPPPAAALEPSRQSFHERETDAIKAKIMSHPLYPALLRAFIDCQKVGAPPEVVGRLSALAGELDSRAEDRHLQGQSSDPELDEFMETYIDMLVSYRQELTRPIQEADQFFRNMEAQIDSFTLDDNGSEGGNSSEDEQEAGGGDMASAGLPEITSPCAEDKELKSHLLNKYSGYLSSLWRELSKKKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPTPPAMEYRSLQPAGAASYGGASAGASTSGGGSAVVRGMEGQHFTGGGAYPRGGP >OGLUM03G29390.1 pep chromosome:ALNU02000000:3:27937201:27939284:1 gene:OGLUM03G29390 transcript:OGLUM03G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMVGSSAASIRMCRQVKCETCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVATAAGEKDLAVTAAAAAAETAGGKTTSAAAGEPRGPASRLAAHEESYGPSCATMTCKQRGMSP >OGLUM03G29400.1 pep chromosome:ALNU02000000:3:27940962:27946123:-1 gene:OGLUM03G29400 transcript:OGLUM03G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLHLLLASGGGSKASTTITPFCLARDHASTSSPSPAAVAAPAPPPEAEQSIHGSGTGLQSSEAMIKAKIMSHPLYPSLLRAFVDCKKVGAPPEVVGRLSSLAVVTDVPQYSGDRWLPAQQPAADPELDQFMETYCYMLTRYGQELARPIQEAEEFFRGIEEQIDSLALDEDVSYDYEDEVAGGLPEKSAAFGENEVTTTRRRHLMNKYSGYLNSLWTEISNKKKNSTGHLPRDARHKLLQWWHLHYRWPYPSEAEKAALAESTGLDKKQVTNWFINQRKRHWKPKPAAAMDAGFLQMHPRYGASSSSSPAAALRVEDGGGGRSAYHPRGGP >OGLUM03G29410.1 pep chromosome:ALNU02000000:3:27953549:27954001:-1 gene:OGLUM03G29410 transcript:OGLUM03G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRGGDRTRQRDLLLVVVLVVHVVARLPGVVKAADVVVVSAGNVPAASHLLTAIHHHPLRALAAAPRHAAPGPPPLVPSRLRGRLCRPNSPQRPNSATFTFVVAAGGLDGDDGDRASVAWWRLARRRRAGPA >OGLUM03G29420.1 pep chromosome:ALNU02000000:3:27958769:27966917:1 gene:OGLUM03G29420 transcript:OGLUM03G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYQVKCGTCGKSTWAGCGRHVASVHSQIADGQHCACRAWPGVADKAAAAAATDAAGEAPSSSLCAIIEACSYWGFFKPKDTKTDDLGSTGSSSNDFDEAPQRPHLYYKNANEDDNAELTSPFKYTK >OGLUM03G29430.1 pep chromosome:ALNU02000000:3:27959591:27967636:-1 gene:OGLUM03G29430 transcript:OGLUM03G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLSFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPASLIAAIVFGHYSRLSLHREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >OGLUM03G29430.2 pep chromosome:ALNU02000000:3:27959591:27967636:-1 gene:OGLUM03G29430 transcript:OGLUM03G29430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDLQQRLSFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >OGLUM03G29430.3 pep chromosome:ALNU02000000:3:27959591:27967636:-1 gene:OGLUM03G29430 transcript:OGLUM03G29430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLSFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >OGLUM03G29430.4 pep chromosome:ALNU02000000:3:27959591:27967636:-1 gene:OGLUM03G29430 transcript:OGLUM03G29430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSSSTELFWTRYAPCTDSFSIVGDIYNWKYAREIKMTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLSFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPASLIAAIVFGHYSRLSLHREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >OGLUM03G29430.5 pep chromosome:ALNU02000000:3:27959591:27967636:-1 gene:OGLUM03G29430 transcript:OGLUM03G29430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGALLTSAGINISLCILFLSLYSVLRKQPQNVKVYFGRRIAEENSRLREAFILERFVPSASWILRSLRCTEDELLATAGLDAVVFNRILVFSIRIFSLAAFLCVLGVLPLNYFGQDMLHVRIPSASLETFTIGNMQERSRWLWVHCVALYIISGVACLLLYLEYKHIARLRLLHVSRASTNPSHFTVLVRGVPKSTKESISCTVESFFTKYHASSYLSHQIIYKVGKLQKIVTGAKKAYKKFKHFKGTTVDQRCGPITYRCGLCGASSKSFELLPVEPEQEMKKHDVKDSELSLPDKDCGAAFVFFKTRYAALVVSEIVQTSNPMEWVTSLAPDRDDVYWSNLWLPYKQLWIRRIVTLSGSIVFMFLFLIPVTFIQGLTQLEQLQQRLSFLNGILKKKYITQLVTGYLPSVILQIFLYTVPPTMMFFSTLEGPVSHSERKRSACCKVLYFTIWNVFFVNVLSGSAISQVNALSSPKDIPMVLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIMKYVLRMKEDSYFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQFLNVYCTKYDTGGLYWPIAHYTTIFSIVLTQIICLGVFGLKESPVAAGFTVPLIILTLLFNQYCSNRLRPLFKTLPAQDLIDMDREDEQSGRMDDIHHRLHSAYCQFADTDDIPLKGVHVDRDADASGSSGESSCKEDTNQPTTSDISHPTLEGLPVNRLRHAVRSLSSIIRLQKRGLSPQPAGPSADVNPQTA >OGLUM03G29440.1 pep chromosome:ALNU02000000:3:27967824:27974439:1 gene:OGLUM03G29440 transcript:OGLUM03G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRFAIWWGVWVGSFDHKEEEEEEEGRQRDEKGKRREEWERRGRVAVGARGVVKFVKRKIFPKINNSKWEEAGGVGPLRIGVGVTWRNSSGRVESGTHRMQGHILVPRSFKRGKMKTKKRIDPVYKENQNRKPYNCTVNYRKTG >OGLUM03G29450.1 pep chromosome:ALNU02000000:3:27974664:27975473:-1 gene:OGLUM03G29450 transcript:OGLUM03G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVRTWSWRDDGLCWREAGMGLDVHDGDFLVVGRVFSLLSVSPLLWPDPFLVMGNARGRAEALACYRSLRRMDGMRVAKGPSLPLVIIKPCRAFGRFDNDSARGRRFPPWRRCHGVFSYPHKSPGENLVTIYGRAAAALRVVSSLGASLRRSSNASITVDGPFREAAPSWGPLHSCGGRHALRLFLLMKSKLLADGGAATLGNDDMLQSLPWSSGAGRVKEVAPRWLG >OGLUM03G29460.1 pep chromosome:ALNU02000000:3:27975752:27976235:-1 gene:OGLUM03G29460 transcript:OGLUM03G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTVCNCWAWRWLGAGAAATALDLAPLATAGGLSPSQDDGDTQGGGEGRWQATGKRWRLAAGERSAPRQREDRRQAGAV >OGLUM03G29470.1 pep chromosome:ALNU02000000:3:27979000:27985086:1 gene:OGLUM03G29470 transcript:OGLUM03G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRLASAPTHRLVSLSSRFHFYFSSLPKSRLAQLARAAHTTRRPSRSPSPLNSAGAAGSGERERREEEAAEEEEAVVRRERGREGEWGEWRRRRRRMAVEYHCCGAAFFEHVVIIVVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTDQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSRYGLAIGASVAPLVRVLVWVCFPVAYPISKLLDHLLGKGHTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRDLMQKVLDKGHSRVPVYYEKKTNIIGLILVKNLLSINPDDEIPIKSVTIRKIPRVSEDMPLYDILNEFQKGHSHMAVVIRQTNANYAAEPPANDGGTLEVAISIDDKHGEKVVKNLPPLRRWKSYPNSQNSNRGNRNRKWSKDQSDVLQIHEEPLPTLNEDEEAVGIITMEDVIEELLQEEIYDETDVHVEEQ >OGLUM03G29480.1 pep chromosome:ALNU02000000:3:27999282:28002281:1 gene:OGLUM03G29480 transcript:OGLUM03G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFADFSAAAMELGEVLGLQGLTVPSTKEGDLSLIKRAAAGSFTQAAAASYPSPFLDEQKMLRFAKAAHTLPSGLDFGRENEQRFLLSRTKRPFTPSQWMELEHQALIYKYLNAKAPIPSSLLISISKSFRSSANRMSWRPLYQGFPSADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQSRKTVKETPCAGSLPSSVGQGSFKKAKVNEMKPGSISYWTDSLNRTMANKEKGNKAAEENNGPLLNLTNQQPTLSLFSQLKQQNKPEKFNTAGDSESISSNTMLKPWENSNQQNNKSIPFTKMHDRGCLQSVLQNFSLPKDKKMEFQKSKDSNVMTVPSTFYSSEDPRVSCHAPNMAQMQEDSISSSWEMPQGGPLGEILTNSKNPDDSIMKPETRPYGWLLNLEDHAM >OGLUM03G29490.1 pep chromosome:ALNU02000000:3:28011257:28011721:1 gene:OGLUM03G29490 transcript:OGLUM03G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGAVAAPAPASGGAVAFAWEHEPGVSKLLQGTATTAAGEAEKLPPAKKEAVPHRIRVRPPPGAAGRGGRRGGGGGAAVRPEEDPFLAAFLACTERGNRGAPKGGSKLLGLGLGLGLGSGLGLGLSCKGPGGVVQSVVRLAKTMPPQALNDD >OGLUM03G29500.1 pep chromosome:ALNU02000000:3:28023451:28024749:-1 gene:OGLUM03G29500 transcript:OGLUM03G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRLSELLQEQQEPFLIEAAKIRRLRRGGGRGGGGGGACCPVAACRRLLRLCNHGFKKRRGCGGVGGGGGVGGGGVSGLRSALSKALCGKAVRRVLRWDSLGCFPGGVDREFRRLRRSTGDSGECDPRAMDFSGHSNDERPPGRWKAPGIGMDMDVDESSRQLSPVSVLDLHSDDGDSPVHCRWEDEKPSTSGSSPPSEGFIGATSPCFTYNIHGKIIPMEVEEDEEEGDEEEEMARAGKSIEQQISSWERIAEDISNIPRMVEMDFSQSIQQWGELKLEAAMEIGTRIETLIFDEIRRETVCDMLASHCTLAAATTSC >OGLUM03G29510.1 pep chromosome:ALNU02000000:3:28039187:28039561:-1 gene:OGLUM03G29510 transcript:OGLUM03G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLASPRCAPFCGRSGAGAGGAPRARRQQGGGCGRPLDRVAGWVGGGIAAAFFASLERCSCVNVRTHDELDDETRDSEAPLIMFDVVDGSGDAASAAAGAGGRRGRRSEKSKRGGGGCGGQLW >OGLUM03G29520.1 pep chromosome:ALNU02000000:3:28043118:28043486:1 gene:OGLUM03G29520 transcript:OGLUM03G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLFRAGKHPSSVPAHAMTHPSSSSCSLRQFSSSYKFRGAARRTHAKASIACSVLHQLIKLSITKVPDTVFL >OGLUM03G29530.1 pep chromosome:ALNU02000000:3:28048500:28049373:1 gene:OGLUM03G29530 transcript:OGLUM03G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCVQPIYHGKIEPLDIRKTGRSLISIIMKKYEHTPEMESSARRRPAKLITELGAVL >OGLUM03G29540.1 pep chromosome:ALNU02000000:3:28050162:28053450:1 gene:OGLUM03G29540 transcript:OGLUM03G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQSAATATTTHTVCHQAYPSYNRSAKMPSVPQWWMINDDRATMMTLATGQAGCMSRTIFVASMDGHYLSLGCEENGQHEVPPAHFAVSDRRNRGEKIARAKKQKNPRGEGERGDQEFRMRLSFPLVAGAVVIGVISGNVIFGPPLQKYWAEKQQQQEGAKEGQTGTT >OGLUM03G29550.1 pep chromosome:ALNU02000000:3:28054040:28055058:1 gene:OGLUM03G29550 transcript:OGLUM03G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAAPKSAAAAAAGGNCKYWLLKTEPGEWSWSDQARAPGGGVAPWDGVRNRQAINGLRAMREGDRCLFYHSGAGAASRRVVGVVEIAREWYEGEGEAAGGGAVDVRAVGEFRRPVALGEIKKAAGGGGGEVEGMREFALLRQPRLSVMPVPAKFYRCCHAGEFSQEGGNFVVYFGLQEMAIGKNTTEVL >OGLUM03G29560.1 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLSSPCLAQQIAFLLSIVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29560.2 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVQLLIEYGHSPMSCVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29560.3 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKVILLSFSLLHAASASAAYRICSAMLLQLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVQLLIEYGHSSPCLAQQIAFLLSIVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29560.4 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKVILLSFSLLHAASASAAYRICSAMLLQLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLSSPCLAQQIAFLLSIVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29560.5 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKVILLSFSLLHAASASAAYRICSAMLLQLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVQLLIEYGHSPMSCVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29560.6 pep chromosome:ALNU02000000:3:28055644:28059629:1 gene:OGLUM03G29560 transcript:OGLUM03G29560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRLSFFRGAGDIFDAIAVAASDHPVEAGRHRPAPLHGVPSAVPPTGGTATEAPPPQLLHPDSAASFPSICSSEDGGVPCREDPVAAETECIKAVLLNDQEKVILLSFSLLHAASASAAYRICSAMLLQLEAMLFELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLSPMSCVLITATQVSVMNRSISGQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTRKCTRICILLLSWVLLDYSKKKIQYYQLTVTRGLYHLICQLEE >OGLUM03G29570.1 pep chromosome:ALNU02000000:3:28060974:28066019:1 gene:OGLUM03G29570 transcript:OGLUM03G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAPVPARERAGGTSPSEASRGAVPVPESELYDAAASAAVSLAFNSSHSTAGGDWRAGPKLQTSKRGHNSTPLTLHCW >OGLUM03G29580.1 pep chromosome:ALNU02000000:3:28066160:28066612:1 gene:OGLUM03G29580 transcript:OGLUM03G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTARVAAALFLLLLGLSATASLAARELTSAPSAKKQPAGRKPSVQPGYPGTNPGVGGGGIPTIPGFGSIPGMGGGWGGGYGTPSGGYSRGGVVVPTVVCSEKGPCYRKKVTCPKKCFSSYSSSGKGYGGGGGGGGCTIDCKTKCTAYC >OGLUM03G29590.1 pep chromosome:ALNU02000000:3:28070067:28070489:-1 gene:OGLUM03G29590 transcript:OGLUM03G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGERRSGAYEAALRAVQRPAAKPWRGGGGGGGAGGSAGAAAAPPKVYRVAPRDFRELVQRLTGAGTAAPAVDMGASQAVPAPPPPYAGVPAHGQSDAAAAADMFDYASWFSVPLLSPASMPAAGYDGQLHYGHGALL >OGLUM03G29600.1 pep chromosome:ALNU02000000:3:28072217:28072594:1 gene:OGLUM03G29600 transcript:OGLUM03G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETGSASTSAQTSPDRSSNLDLSPSNDHDSTDACTDPTATPPVGATHLPPISSLHTAPTLTTIATPLVGAQHTRHHAAQNLHHLGAVHRYNVHGRHDEKRKVQPLPDEKKATPAAGLELGATS >OGLUM03G29610.1 pep chromosome:ALNU02000000:3:28079362:28080036:1 gene:OGLUM03G29610 transcript:OGLUM03G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBJ1] MKMTNLTGRGDDITMLAIRADNLNVIAFANRSGNWNAFEKYADLIPEPVTRLTIGDDYASLLGNGGITNLPNLNLGRHAALDAIHVLSNYSPSVDNRVLGVALATMIVTLPEAIRLRNIRNRQLKGWFTGTRLTLDEAKEVLEWRTMTCGVLICHKNGRWGNSTPRDEAVELFNALQIVSNNGAFERLGVMVWPSYHRCSEPVLDDKQILKIKEDMNRMAQRRG >OGLUM03G29620.1 pep chromosome:ALNU02000000:3:28088007:28088405:1 gene:OGLUM03G29620 transcript:OGLUM03G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGERRGARKSRVAGAAVLRALRRARDLYVRGARGFGKFVVAANPRVGVVGRPTSRVFGVGELNSEQELRELVRGAGAMRATRGAAADAGAGGKKADQAGAGAPAAWRGRGTAALGRIEEDGALLVHGTK >OGLUM03G29630.1 pep chromosome:ALNU02000000:3:28090555:28091373:-1 gene:OGLUM03G29630 transcript:OGLUM03G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEYLYDRYNGVYWQGALYYQVIKLPMNNEVSPYKNHFLGRSMRGVHYALIDNEHRQRVWFLNKSCGQMTWELKHDKDLSFLPGCQEICIQNDGPWTLHYKNYFGNSSQNGTEEYYEAYKEYIVRRYCYTVPRTKISAKISRKMW >OGLUM03G29640.1 pep chromosome:ALNU02000000:3:28091396:28094563:-1 gene:OGLUM03G29640 transcript:OGLUM03G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHDVTQLLLDDVLAGVLARLAPRWLAASRCVCKAWRTIIDTRRLLRADLLPLSLAGIYINFHEMYRSVFLSRPSSTRPAISGMFTDYTPNDNLVEDHCNGLLLLKSGVANPATRQWVPSPPAPPQPIWMKDFYRFDSYLVFDPTISIHYEIFKIPRVPSTGFRVLDPMLKSLQWPPSLCVLQVFSSRTRQWGERLFVRDGAAARTVTDMALAFPFDHYNGVYWHGALYVRCQTDFVMRISLSDNKYQVIKLPMNTEVCQYKNHFLGRSMRGVHYALIDNEHRLRELKHDNDLSFLLRCQEICTQNDGPWTLQYHNYFGYPRQNDMDNYYEAYKKHIVRKYHYEYESVWYKNHCEDIKKDVVVRVNNFEWDSDNDDILDTANPNEGECHGFLSILGFHPYKEVIFLNQKRERGVAYHFNSSKVQNLGNSMEEHHDDVTQLLSDDVLADVLARLAPRWLDRHVPLRLQGMARRRRHPPPAPRRPPPSLARRHLPQLQHIWIDLYRFDNYLVFDPTVSPHYEIFQIPRIRSKSYELYPLIKSMPWPPSQSLLQVFSSRTGQWEERWLICTGR >OGLUM03G29650.1 pep chromosome:ALNU02000000:3:28095819:28099830:1 gene:OGLUM03G29650 transcript:OGLUM03G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTCCRPLVSVVDLGGGFHCLCRVAAEPEVVLVGLNATHLAALYVSCGGLHAGDLPAACKGAIAVAAQEHPLAVARSVLRAAALPGAIAVAPQDHSLAVAPPEHHAAALPGATQNHSLALAVAVARPEHHAPVFPGAIAAQDQHSLTVARPDHQAAVYPAQGEDYPEDQTCEANKLASQLIDSCGNGSSPDVRCCETIVVVVDLPNCLCLVSLRPKIKESQFSAFTLITSYRACGGLRAVKQKDAALCYGFNETEDEQPNLPPPAGNGVGTATVKQPKVILDPVDNFSNWDRYIMAILEVATGVQIVYALFREMAPPYIFAVLSCPHKRLLLDYYASKVVAYEAS >OGLUM03G29650.2 pep chromosome:ALNU02000000:3:28095819:28099830:1 gene:OGLUM03G29650 transcript:OGLUM03G29650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPTCCRPLVSVVDLGGGFHCLCRVAAEPEVVLVGLNATHLAALYVSCGGLHAGDLPAACKGAIAVAAQEHPLAVARSVLRAAALPGAIAVAPQDHSLAVAPPEHHAAALPGATQNHSLALAVAVARPEHHAPVFPGAIAAQDQHSLTVARPDHQAAVYPVVVDLPNCLCLVSLRPKIKESQFSAFTLITSYRACGGLRAVKQKDAALCYGFNETEDEQPNLPPPAGNGVGTATVKQPKVILDPVDNFSNWDRYIMAILEVATGVQIVYALFREMAPPYIFAVLSCPHKRLLLDYYASKVVAYEAS >OGLUM03G29660.1 pep chromosome:ALNU02000000:3:28107740:28109320:1 gene:OGLUM03G29660 transcript:OGLUM03G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14480) TAIR;Acc:AT4G14480] MAGDHYHQQARYPLDAGSYRLLCKIGSGVSAVVYKAACVPLGSAVVAIKAIDLERSRANLDEVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMAAGSLHSILSHGFPDGLPEQCIAVVLRDTLRALCYLHEQGRIHRDIKAGNILVDSDGSVKLADFGVSASIYETAPSTSSAFSGPINHAPPPSGAALSSSCFNDMAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLMRITSRVRLEVDASSSSSEGSSSAARKKKKFSKAFKDMVSSCLCQEPAKRPSAEKLLRHPFFKGCRSRDYDYLVRNVLDAVPTVEERCRDSTQLCGCARGARCVSPCRHASGGNVVAAKNRRISGWNFNEESFELDPTDKPPEQQQQPCFPFHHDNDDDDDDDDDMVEHEQERQDGKDGLSDVAVPHLVTILGSLEMQRDMVMQVLEGDGGGGGETAGREEMLVGYVRELEKRVQELSTEVEEEMARNAHLQELLHERACENHTDSSHTSGSR >OGLUM03G29670.1 pep chromosome:ALNU02000000:3:28159859:28164529:1 gene:OGLUM03G29670 transcript:OGLUM03G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWRLMAVAGERWPAAIEISIDGLHKSYICISCSMWLAAEDRVESAGGEDRGTTSEREASETVLVAHKSHLRLLAKEKSSQPESLPCTLLTTFHDNLDAEARLWRRRWQSFGTVDPIPATATDGDGPDAAEPSEFLLTHDIAGADVMHETVCLCGFIAVGSGLACCCMQLKQPFWMA >OGLUM03G29680.1 pep chromosome:ALNU02000000:3:28168725:28172397:1 gene:OGLUM03G29680 transcript:OGLUM03G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLVQQVGRPSPPQRVGQAVLARLISGPSPGIIGNGRHTGILRADCANNSCYELPWCEATIAGEKAEQSNILDMVKRYY >OGLUM03G29690.1 pep chromosome:ALNU02000000:3:28172964:28174024:1 gene:OGLUM03G29690 transcript:OGLUM03G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCYRTPDNTLTSKEVFNWAKRTNRRLLHVGDIDKTSKNGVVQWGAFMKMWEAKSKRKTPQK >OGLUM03G29700.1 pep chromosome:ALNU02000000:3:28174855:28177428:1 gene:OGLUM03G29700 transcript:OGLUM03G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEKDDGVPRFASLLAVECGKKDPSTRATPEVFAATRRSNLSNDNKTIVYSTYEREAFGIACKMMRLSLVLPKNVMRHAIASWKILDETNNVHRYISNILEIISKFVPLNSLV >OGLUM03G29710.1 pep chromosome:ALNU02000000:3:28178323:28181059:1 gene:OGLUM03G29710 transcript:OGLUM03G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHGVPRQGPLGQPQQADIYLKALLIMVGFLVVASLLAF >OGLUM03G29710.2 pep chromosome:ALNU02000000:3:28178323:28180520:1 gene:OGLUM03G29710 transcript:OGLUM03G29710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVGESTSSGTNGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCIYRWLHIHAHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNIPEADIPNRPTGQRPATAPQADPNNNFAHANPNANANPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDANPYAQPAGFHYGYGHGHGFHGGHMGHAAHGVPRQGPLGQPQQADIYLKALLIMVGFLVVASLLAF >OGLUM03G29720.1 pep chromosome:ALNU02000000:3:28184101:28188071:1 gene:OGLUM03G29720 transcript:OGLUM03G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLRVAAGSFLEREGETRRTRLVGSISFVVDELKSVDEQSFLDGMYDRKFQRALLVTVLIVKGSKFEPSPFHARSVPVANPGCIAACSWRRQQQQLVQGMESHTGINGVALKISHYLLNYAAMSRGFNDSI >OGLUM03G29730.1 pep chromosome:ALNU02000000:3:28193365:28194438:1 gene:OGLUM03G29730 transcript:OGLUM03G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBK5] MAKLAQMPRAPLLVLLLMLGVGAAVAVPEYREAPHFTNSAAARCPPPLPATDADAACSPHAAVHVAMTLDAPYLRGTMAAVLSVLRHASCPESVHFHFLASSSSSPEAAAEVRELRDTVRASFPSLAFRVYPFDESRVAGLISTSIRGALDRPLNYARSYLATTLPACVRRVVYLDSDVVVTDDIAALAATPLPGEAAVAAPEYCGANFTAYFTPGFWASRALSEAAFAGRRACYFNTGVMVLDLPRWRRAGYTAQIEEWMELQRRVRIYELGSLPPFLLVFAGRIAAVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGKPCPLDAVWAKYDLLRPAAAIETS >OGLUM03G29740.1 pep chromosome:ALNU02000000:3:28197210:28200417:1 gene:OGLUM03G29740 transcript:OGLUM03G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKQQVVSSTRGAHRRRRQQRRYISLLMSCVGGGSPPLPGHISPMFDGALIGVDVNNDNITHGFPMVEVQQPESPMGSPRTPNGSQKKPVLGKVKSKAKKWMHLLHHKKKPQEDMQDPVQTAAAAMSTTMQTTDPQAQLDIHPHSSCAPEVYMEASPRQNSPLPSPTAHNKQQYFKISSRFKSEMKEAMLRESKQLRVNTTKPKTVTFAPILEQGAETVKNDWHRKELWETATEIFSHAYAIVYEAVLRMVSIIQDAMLSYNIGRRQMLEKIISFNRYLMLKLAPGEGDKVLSKVITEAALDMFYAWCVNVERPLVQRAKEVSSWFLPERREELPPSCSTVHPSLCL >OGLUM03G29750.1 pep chromosome:ALNU02000000:3:28199584:28203059:-1 gene:OGLUM03G29750 transcript:OGLUM03G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQCQLQHQLGSGVVNFALVMLPCIPQIVQCMQYCEVWQAYRNGDGCRAVLGDP >OGLUM03G29750.2 pep chromosome:ALNU02000000:3:28199220:28203059:-1 gene:OGLUM03G29750 transcript:OGLUM03G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVDTATPSSQPSSGQDANAGHPSPPSCSLLSAGRCYAGTQNVSNIQKEEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFPSFTPLLSQIETDGGKSVDLSNYAYIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGYYYDPNSSPFQKLELKCTNEKDSGFTFSSYELQCQLQHQLGSGVVNFALVMLPCIPQIGLNKGHESPRGLA >OGLUM03G29760.1 pep chromosome:ALNU02000000:3:28203296:28203499:1 gene:OGLUM03G29760 transcript:OGLUM03G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREQENAGATSASRMEIGAGGRRRRRESSTAAGDETGWIGEAEVDCAALCCRSARLPMGHIICTGP >OGLUM03G29770.1 pep chromosome:ALNU02000000:3:28204024:28207927:1 gene:OGLUM03G29770 transcript:OGLUM03G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELCLSSAEEHARLRRIIFEEILSLIPMVWLTRDQDANARELPHSGVAVCPEGTTCREPFLLRFSALFTKLGRSLHAHLTPSKITGQQETETMSDKDDIIKEQWLTTRAQK >OGLUM03G29780.1 pep chromosome:ALNU02000000:3:28209320:28211278:-1 gene:OGLUM03G29780 transcript:OGLUM03G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAFQSLETIAGEVSIAPIPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELDVAGEIYERKTYPFEFSTVEMPYDSYNGTNVRLRYILKVRTGRPYATIVVEYRDFCVSNYTPLPSINTPIASS >OGLUM03G29790.1 pep chromosome:ALNU02000000:3:28273424:28277594:-1 gene:OGLUM03G29790 transcript:OGLUM03G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGVASRARRSSGPRGVAFCRWTNGLLHPRFVFPEAAAVAGSGAGSAQGAFCVKRVLRMVLNKVLELFCVKKKDSKKKVAAPHPTANVSTNNSLLDPFSTGNCTVLSVQKHEPECSSVISSMTRTEYGFESDGCNLFSHFDVVQDFSDHYYAKNSPGKTSKDWVKTIQNEWRLLQKDLPESIYVRVYEDRIDLLRAAIVGPAETPYHDGLFFFDVCFPSEYPQSHRYETTSHTLSTIIFMLLPPLV >OGLUM03G29800.1 pep chromosome:ALNU02000000:3:28287557:28288364:1 gene:OGLUM03G29800 transcript:OGLUM03G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPESSELPYGPMKFWAAHRVTNNDHEEEDACEDPVDPLEWDSYGFSVILRSGSSCGEV >OGLUM03G29810.1 pep chromosome:ALNU02000000:3:28290991:28296656:1 gene:OGLUM03G29810 transcript:OGLUM03G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKFPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >OGLUM03G29810.2 pep chromosome:ALNU02000000:3:28290991:28296954:1 gene:OGLUM03G29810 transcript:OGLUM03G29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiaminC [Source:Projected from Arabidopsis thaliana (AT2G29630) TAIR;Acc:AT2G29630] MAMKSLKFPKTAVLPGFGGLPKIRKEWIDRREKLGGPRYTQMYYAKQGIITEEMLYCATRENLSPEFVRSEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTVMDLSTGRHIHETREWILRNSSVPIGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDTLSKARFEFRWLDQFALSLDPVTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVIQGMNAMSAEFSAARKTISGEQHGEAGGEIYVPESYTARK >OGLUM03G29820.1 pep chromosome:ALNU02000000:3:28297827:28298122:1 gene:OGLUM03G29820 transcript:OGLUM03G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWILNFVAGGLDDDDDFLFDTAEETEWSHYEAKRRTFILPPPPWILDFVVDGLDNDGNFLFHAHETERSHVRMTRKTTMTSSSSRGGAFAAVTPG >OGLUM03G29830.1 pep chromosome:ALNU02000000:3:28299636:28307392:1 gene:OGLUM03G29830 transcript:OGLUM03G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDKGRHRGRGGGGGGGGGGGGGGGGGVDRGGGGSGGGGPGMGRRGSDARAQQAEAAAAAISGIATRLGFGCTINVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRQSLASVRMEGYEPLFEAASSGKIDLCKYLVEELGFDVNAEANHDSGMTPLFCAVLDGQEITVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSEGTPLHVAASNGKSSTVQILLEHRANPNVILPDCYTPLTAVLSATPEIVNESECLKCMKLLVKAGAIYNLATPDTPLKIATRNGLTECVAYLLEITTVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTEAMKLDPADATLYSNRSLCHLRSGAAQEALLDANDCIKLKPEWTKGHYRKGCAHMALKEYEEACTAFMAGTKLNPLNDEMQDAFWEAAKGMMYEHMAGKRVSSVD >OGLUM03G29840.1 pep chromosome:ALNU02000000:3:28308785:28309236:1 gene:OGLUM03G29840 transcript:OGLUM03G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPQSRSSLTIPSGEMHGGRWNTIAVRPILDFTANVLDDDDGFLFHAAEEPEWSHYEAKHRTSVPPPPSRDPQLRRRRVDPLRGQASRFRPVCAVRRILDFAVDGVDDNDDFLFHATEETEWSHVQMTKKM >OGLUM03G29850.1 pep chromosome:ALNU02000000:3:28318962:28321191:1 gene:OGLUM03G29850 transcript:OGLUM03G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQHKLTDLRLTGSRPGCKGRGFIAIGIGIGIYMMKEQTKTGSSYTTLQKEKYYCLSHVIRQQANYSFRYQNN >OGLUM03G29860.1 pep chromosome:ALNU02000000:3:28321306:28328811:1 gene:OGLUM03G29860 transcript:OGLUM03G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGSDARAQQAAAAAAAISGIATRLGFGCTVNVGGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAEASRDSGNAIVMGAVVCEASLDRVRNRLYLTMKSLTIVTALGMTPLFCAVLDGQEITVKYFLDKGADPNKKYAEGFAPLHEAAKIGHVGIARLLLSKGASVDASSSEGTPLHVAASNGKSSIVQILLEHHANPNVMTPDCYTPLTAVLSATPEIVNESECLKCMKLLIKAGARYKLATPDTPLEIATRNGLTERVAYLLEISTVVKLSEHDKGSDGDRKSKLKLHGGKAFEEGDYAGAIIFYTEFLCKLILESQIGLITVWSLCHLRSGAAQEALLDANDCIKLKPEWTKGYYRKGCAHMALKEYKEACTAFMAGTKLDPLSDEMQNAFWEAAKAMKNEYMAGRRVSSVD >OGLUM03G29870.1 pep chromosome:ALNU02000000:3:28330636:28379175:1 gene:OGLUM03G29870 transcript:OGLUM03G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRVPGGSTANFSAHLIAAQLGMQCRMGPAAAEQMRRVIASSDCDTGRVMRMFGAMEGGDSDSDSDDSAETEKVGPLHEAASAGKMDTCKHLVEQLGFDINAEASDDLGMTPLACAVSKGKAIAVRYFLDKGADPNKQDNIGFTPLHYATKEGYDGLARLLLSKGASVDVISSKGTALHLAASSWKSGIMKILLEHNADPNKVSADSETPLAATLIASDGLNEPAVLKCIKLLVKAGANLNRAIPDTPLVIATNKDFVECVEYLLEAGANANIPTNNGGKTPIEIAAKSGRRKLVEILFPCTLPIKGVSNWTVEGIITHVKSKKSKKKACAQDKESGTDKKAQLKSLGASAVQGKDYVGASKFYSEAIQLDPTDATLHSNRSFCYLKSGEAREALVDAKTCIGLKPDWPKGYYRKGAALMSLKGGSRGIEEETFGWEDSIGYNAAAPPPHGMDRPPWRRPPEEVSKSAFLSWLTYRMFGSGGSRESAFLKAVAEGNVRRLKEMVNRMGAKDRAKLADMNIDVIGLLEVAADLGKIDVIRYFVEELGFDVNAGCLSAGAIALCSAALLGEADAVRYLLDHGADPNKIDETGSVALHRAVKNGYEEVVRLLLSSGARVDIAVAHGTPLHIAVSYGKTGVVKILLDHHADPNNTSGVWGTPILTALHSTKHGLDESDSLGCVKLLVKSGRTPIEIAASLRRRNHVEILFPFTSPVRAVTNWTVEGIITHGKSRFSMPKIKDEPCSKVNDRKIELKSLGGKAVKRKDYLGASRIYSEALELDYFDATLYSNRSLCYLRIGEVQKALLDAEMCIKLRPEWVKGHYREGAALMLLKEHKKAFEVFLNALKLDPVNADIEKAGADVNYAYPNTPLVVSTTAGLTDCIKYLLEVHADPNIPDKQKLLQVLEEEIMWRFYFLSLPLAVTNWTVEGIIAHGKSRRLIPKDESCSKVSDRKAELKSQGEKAVKRKDYLAASKIYTKALELDYFDATLYSNRSLCYLQIGKAQKALLDAKNCVKLRPKWMKGHYREGAALMLLKEHKKAFEAFLNALKLDPANAEIEKVMCKSDVLSWITDRTSVGSGGGGSRESAFLEAVAEGNVRRLKKMVNRMDEKDRAKLTDMHIDGSGLMQVAANLGKIEVIRYLVEELGFDVNAGCLCGGATALGCATLFGEVNTVRYLLDCGADPNKIDETGHVALHCAVKNGHEEVAHLLLSSGSRVDIAVAHGTPLHIAVSFGKTGVVKILLDHHSDVITIFHVFFICIILVARYNLNDVCACVHSVFHAFIVYYWLLTYFVLINQINHSYIVLVAEALEAMKKDDAAEEKTLKSLWLLLPWMEDWLLQGIFLTMGLIQIRNAMQALFLFTVLQKMVMMKGASIDIAYFHGTPLHIAAAYGKAGVMKVLLEHHADPNKVSEELGTPLVATLHATSQGLAESVSLKCVKLLVEAGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCDCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAQAKSEHAKSKCSKPKDKQDGQNEKAQLKLCGDKAVKRKDYRGASNFYSQAIEMDPTDATLYSNRSLCHLQMTEAEAALFDAEFCIQLRPEWIKGYYRKGAALMLLKKHEKACDAFMAGLKLEPGNAEMEKALREAIEAMKKHHVATKSFKPSD >OGLUM03G29880.1 pep chromosome:ALNU02000000:3:28382163:28382580:1 gene:OGLUM03G29880 transcript:OGLUM03G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIIGRIYAGQPSVVEKQQRTTSSRLGIGSARARGGLNGAVSIWLRRGRGGDAVSTGEGGGVVDTGEQGRRGDCDDGEAVRHGGERDRSAGEDGSDGEEGGKGERTDRGCGRLRGLRRRGSEG >OGLUM03G29890.1 pep chromosome:ALNU02000000:3:28382923:28383574:1 gene:OGLUM03G29890 transcript:OGLUM03G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPSFNFRVGLALRRALPYYNDAGPQSEFFEAATRGDVRRLRELASGKDAEGKAWLADMGVSGIGPLQAAARLGEMESCRCMVEELGFDINAGSQLGTYVCCCYVELCSASFVVTVLLYPSME >OGLUM03G29900.1 pep chromosome:ALNU02000000:3:28407775:28414042:1 gene:OGLUM03G29900 transcript:OGLUM03G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTMGEKDRAKFTDMNIDGNGLLQVAAHLGKIEVIRYFVEELGFDVNAGCLSDGVTALASAAMFGEAYVVRYLLEHGADPNKTDETGSVALHFAAKNGYEEVVRLLLSSGARTGIVVAHGTPLHIAVFYRRIGVVKILLDHHVDPNNTSGVWGTPILTALRSAKHGLDESDSLECVKLLVKAGADVNYACPNTPLVVATTAGLTDCIKYLLEVHADPNIPDKQSARTPVEISASVGRRDHVEILFPFTSPISAVTNWTVEGIIAHGKSRRLTPKDESCGKVNDRKAELKSQGEKAVKRNDYLAASKIYSEALELDYFDATLYSNRSLCNLQIGEAQKALLDADRCVELRPKWVKGHYREGAALMVLKEHKKAFEAFLNALKLDPANAEIEKVMW >OGLUM03G29910.1 pep chromosome:ALNU02000000:3:28426051:28432436:1 gene:OGLUM03G29910 transcript:OGLUM03G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNPSFGFCVGLAFRRALPYLNDGGPQSQFFEAATHGDVSRLRELASGEDAEGKARLADDISFSGIGPLQAAARLGEVDACRCMVEELGFDINADRELGITALASAALDGRLATARYLLDHGADPNKKCNAGSVPLHCAAKNGHDEVEQLLLSRGASVDIAYFHGTPLHIAAAYGKASVMKVLLEHDADPNKVSEELGTPLVATLHATSQGLAESVSLKCKTNRRGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQGEKAVKRKDYHGASIFYTEAIELDPTDATLYSNRSLCHLQMTEALFDADYCIKSRPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKLDPLNAEMEKVFREAVEAMKKHHVTTKSFKPSD >OGLUM03G29910.2 pep chromosome:ALNU02000000:3:28426051:28432436:1 gene:OGLUM03G29910 transcript:OGLUM03G29910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPNPSFGFCVGLAFRRALPYLNDGGPQSQFFEAATHGDVSRLRELASGEDAEGKARLADDISFSGIGPLQAAARLGEVDACRCMVEELGFDINADRELGHDEVEQLLLSRGASVDIAYFHGTPLHIAAAYGKASVMKVLLEHDADAGADVNFSDRDTPLVVAITNGLTNCIKYLLKAGADPNIPTCHCGALPIQLAASYGRRKDVELLFPLTSPIRAVSNWTVEGILAHAKSKHARSKCSKPKDKQDDHNKKAQFKLRGEKAIKDKHDEQDKKAQLKLQGEKAVKRKDYHGASIFYTEAIELDPTDATLYSNRSLCHLQMTEALFDADYCIKSRPEWLKGYYRKGAALMLLKEYEKACDAFLAGLKLDPLNAEMEKVFREAVEAMKKHHVTTKSFKPSD >OGLUM03G29920.1 pep chromosome:ALNU02000000:3:28433416:28435451:-1 gene:OGLUM03G29920 transcript:OGLUM03G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASKQLHSQRCGGHYCQLHHHRPEEIAGAGAESHRRDGSSGCGGAGPMVVLTLGSGAAAAEDDGGGRSRCCCGAGGAAPATMVSALRGSRYLLPAQELLREAVSAAAASARGGDDDDEAVASFPHDGKSTGIGGGGGVQAKLLSLLSELESRHEHYFGELRRVSASFEPALGAGATAGYTALMAQAMSRHFGSLRRAILRKLRLHAAAAARTRSALLRLARDAMEEDDEGDGEEEEEEEEDVVNRVVRRTKQAAAARAEQAWRPLRGLPEDAVGVLRAWLFDHFLHPYPNDNEKLMLAVATGLSRSQISNWFINARVRLWKPMVEEMYNDEFDDDDAGSGGGGASSSS >OGLUM03G29930.1 pep chromosome:ALNU02000000:3:28439934:28443213:-1 gene:OGLUM03G29930 transcript:OGLUM03G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGNERDSQAMYPADSGNSSYPVPSAIGNMLYPGNGSSGPYTEFSGIIQHQQNFMELPGHPTAISQDSSSREPNMVASYTDQRSFGPAKDMRNEMLMHLMDGAHNAGADLIHNDTHSSAQIEFGLLNNHNSMSVAPAPGQGLSLSLNTHILAPSYPYWSAKTELLTPHSYQGDDNRMKNMQSEASQAIRNSKYLKAAQELLDEVVSVWKSIKQKAQKDQAEAGKSDNKEAEGGSKGEGVSSNPQESTANAAPEISAAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQIVVSSFDMVAGSGAAKPYTAVALQTISKHFRCLKDAINDQINVIRKKLGEEESSSGKEGKLTRLRYIDQQLRQQRAFQQYGLLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGEADLDSNSSSDNVPRSKDKIATSEDKEDLKSSMSQTYQPSQLGESKANIGMMSLGGAPAGFHNEGNQDDSFMNLMLKDQRPGEAEGSLLHDAVAHHSDENARFMAYHLSGLGRYGNSNVSLTLGLQHPDNRLSVQNTHQPGFAGAGEEIYNSTASLGVAAASSSDYESTNQIDQRQRFEPSPLMHDFVA >OGLUM03G29940.1 pep chromosome:ALNU02000000:3:28445998:28465203:1 gene:OGLUM03G29940 transcript:OGLUM03G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSRVLLKGQTRVHILLPGMRMKGASGLDATYIGVVCQEDSTLSNPGRPADLGRWMEYNIEPNSLVTDWWSSRSEADTELGTDDGIELGTHDDTCDRPGRKYGTDLSMIHPSFQQGHPHLGIQGGTLPCVTALVVVLATALPRVLGKLAPSGGETIALILMQVFFLVVGANGNLVDAVTKAPSVFAFALVQVTVHLGIVLAAGKLMGFERKPLLIASKRRRGRWRRPRRGVELADRTWDPGGHGASVDEAGGVEEGVKRSSAKRTPAPSQVPIDDGGEVDGDWGDRGAALPVVPLSSKAAAAPPEYERSSMKEWLFSRVLALDFLRPRR >OGLUM03G29950.1 pep chromosome:ALNU02000000:3:28470394:28493562:1 gene:OGLUM03G29950 transcript:OGLUM03G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPVKFFSVFLAVSVVGWVVFTFASRLLAWFLSRVLHASVGFRVAGFNCLRDVTIKFSKGSLESISIGEIKLSFRKSLVKLSFGFISKDPKLQLLINDLEIVTRSSQGKKLSKPGKSRSTGKGKWLVTSSMARLLSISVTDLLIKVPSGAVDIKELKVDTFKIAGPNHILGVKLHLVPLNVHYGDLGLTADPLGNCNQLDAFQSDQISLANSEKVVAPFVCEDLFVTCDFGHEKERGVKIVNLEVKCGVITANIDERLFHKKHTKPEGFSGSENGGDASLDASAMNQTSKSKSILPSLKKQILAFPDKISFSVPKLDVKFTHLGEGLSVDNNIMGIHFTSAKTVPQDDLEEATPHFDVQIDLSEIHLVREGSSSLLEVLKVAAGASLDIPVDPFLPIRAEIDAKLGGTQCNLMLSRLMPWMRLHYLKSKGMKISKENSHRGISQTKEIKLIMWTCTVSAPEMSVMLYNLNGLVLYHICSQSSHLYANNIASKGIQIHTELGELQVHMQDEYKEFLKGNVFGVDTYSGSLMHIARVSLDWGYRGPEIEDMVETGRLTLVFSIDISGICVKFGFKHLESVVLNLMTFRTLFKSLASSRGSSKEKNLEHREKRRKKGVEILKLSVQKLSITYCGDANVVNMPVADPKRVNYGSQGGQVVISMSADGTPRLASITSELPGRSRNLMFSASVAISHLSVCINKEKRSTEVELERVKAIYEEDLSSSVKVTLLDMQNAKIVRRSGGLPDVPACSLFRATDINLRWEPDAHLAILETFIRIKYFLHNNKPINAEVGDICENGPGSISTGPGKPQKSDKRGSIFAVDVEVLRVSAELADGVEANMHIQSIFTENIMIGVLSEGLCLSLNGARIMKSTRIQISCIPFGTSSLLDAKVESSSKRDWVVQGLDVHICMPYRLPLRAIEDAVEDMIRALKLISAAKKTMLFPDGKENPRKVKSGTTSFGSVKFVLRKLTAEIEEEPIQGWLDEHYHLMRNKVCELGVRLKFLEEAISGSVDPNNCSSKEKLLYDGIEVDMHDTAALQKLRDEIHKQAFQSYYTACQKMVHAEGSGACAEGFHAGFKPSSRRASLLSLSASELDVTLTRIDGGEVAMVEFIKGLDPVCQEKDIPFSRLYGSDIAVLAGSLVIQMRDYTSPLFSATSGQCQGRVILAQQATCFQPQIQQNVYVGRWHKVMMLRSASGTTPAIKMYSNLPIYFQRGEISFGVGYEPSFADISYAFQIALRRVNLSTRVKDSGPTNQPPKKERSLPWWDDMRYYIHGKIVLYFNETTWKFLATTNPYEKVDRLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLASMMKNCTLKVPPGVPRPFIYAPFFSLNVVIDWQCESGNPLNHYLHALPIEGEPRKKVYDPFRSTYLSLRWNFSLKPLQVQYDNDALSPSYGNSSMQCGAISDNHSKLANVEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWPRYKIPRAARSGNLSLDKVLVEFFFRVDATPCCIRHATLTEDDATLTEDDPANGLTFKMSRLKYELCYSRGKQKYTFDCKRESLDLVYRGLDLYKPEVYIMRDINLSSAETVSNLKTNTQLGKVIHNKGNMGNFQDKHEDGFLLSCDYFTIRRQSRKADPARLMEWQDAGRNLEITYVRSEFENGSESDHTLSEPSDDDDGFNVVLADNCQRIFVYGLRLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQYIQRKMIEQRQTTEGSKLTQDATSSVHVGSPSGQHVEALGSTSPLHSKANLSYDIAGKHGLFDDSDKGGNLQFMVNVIKPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEQALGASSIQIPELQPEMTWQRADYSVLLEDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCEMYFRYTRHKGGTADLKVKPLKELIFNSPNITATMTSRQFQVMLDVLTNLLFARLPKPRKNSLQYSSDDEDVEEEADEVVPDGVEEVELAKISLEQKERERKLLLDDIRSLMGTGNNHTSNFLSVERDDCLWMINSGKSLLVERLKRDLENLKKSRKSASSTLRKALQKAAQLRLMEKEKNKTPSCAKRISMKISKVVWSMIADGNTFAEAEISEMVFDFDRDYKDIGVGRFTTKCFEVEIHPLKIYLSETMYRMMWDYFFPEEDDSQRRQVSKLQSLKSNVVCGSHPELRRTSSFEMTLEESAVDSITNNNVVSLVNSNVSSRDTNNFMADNSVAAAEMFRSRTKDSKPTKSVRLSQDEKKVGKSHDEKRTRARKLIEFHDIKISQVKKFKAHNRETHDGAVPDHDLNLSDSDGDHHGKPDQFPVSWLKRPGEGAGDGFVTSIRGLFNSQRRKAKAFVLRTVRGDGDNECHDEWSDSDGEFPFARQLTITKKLLRRHTRKLRPRGQKNTGLTLQDSLPSSPRETTPYQSDSDSSSESPYEDFHE >OGLUM03G29960.1 pep chromosome:ALNU02000000:3:28493947:28498990:-1 gene:OGLUM03G29960 transcript:OGLUM03G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDKLEL >OGLUM03G29960.2 pep chromosome:ALNU02000000:3:28493947:28498990:-1 gene:OGLUM03G29960 transcript:OGLUM03G29960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKVLSKIACNRLQKELAEWQVNPPSGFKHKVTDNLQRWVIEVAGAAGTLYAGETYQLQVDFPEHYPMEAPQQRPADNDRYVRNCRNGRSPKETRWWFHDDKLEL >OGLUM03G29970.1 pep chromosome:ALNU02000000:3:28502152:28506836:1 gene:OGLUM03G29970 transcript:OGLUM03G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRSSPAALFCLATPAGCRRHGEGMAQVEDRRETSGRRSWMRRHPGRRFKMAQPSVILATASYDHSIKFWEAKSGRCYRTLQHTESHINRLEITPDKRFLAAAGNPHIRLFDINSNSNHPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDDYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVASSDTTARLWTMSTGEAIRVYTSHHKPVVCCALHDGAESAPS >OGLUM03G29980.1 pep chromosome:ALNU02000000:3:28517907:28521689:-1 gene:OGLUM03G29980 transcript:OGLUM03G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGRIFVGGLSFHTDERKLADAFRRFGKVVDAQIMLERHTQRHRGFGFVTFSDPEAVDSAIKEMHCQELDGRTISVNKAEPKMNTDDTRYESGGGRGEYRGGRGDGPPPGNCFECGRAGHWARDCPNPGGGRSARYSSSKFSAGGRGDRFSGSDRFGDRYMDDRYDGGYREPVDVRDRYGGGRDRYANDRYPSGGDRYVPDRYGGPDRYQPSSYGRERERSYERDGVRGNGGYDRSGPRGGISGGYDRDGPRGGGVDRYGGGGPARYDGGSYRDRSGPYDRPSRGGRFDDRFQ >OGLUM03G29990.1 pep chromosome:ALNU02000000:3:28528487:28531919:-1 gene:OGLUM03G29990 transcript:OGLUM03G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGVSGPGSGDGVAAAARFGAHVVRGRWFMFFASILIMAAAGGTYIFGIYSKAIKTSLGYDQQTLNTLSFFKDVGANVGVLPGLINEVTPPSVVLAAGAAMNLAGYLMIYLAVSGRTPRPPVWLMCLYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGADDDGASLVLLMAWLPAAISLLFIPTIRIMPRDAAAAGADARRRRERKAFFYFLYASIILAVYLLVMNVVELEVVGFPKLAYYVTATVLLLLIFFPLVIVVKQELNTYLQPPPPPTTTSSTVDEKEHDGGGGEDDKPVACMQDVFRPPARGEDYTILQALFSVDMAVLFVATICGIGGTLTAIDNMGQIGQSLGYPQRSISTFVSLVSIWNYAGRVAAGFGSEYVLAAYKLPRPLALTAVLLLATAGHLLIALGVGNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVTGHLYDREAERQLAAAAGGAAARRGSRDLTCAGVRCFRVSFLIIAAVTLLGAAVSLLLAWRTRKFYRGDLYGKFREVAMAGGEEGGARQVKVDDEASGSSGGGGNGTTKRDNSLNLPEWSERPKCGCRDRYQVATSFAEEIFGRRYFVYPNKEVANPRRYKFTLWINMVNPTYDSGRVTKAETQIEYM >OGLUM03G30000.1 pep chromosome:ALNU02000000:3:28539781:28564658:-1 gene:OGLUM03G30000 transcript:OGLUM03G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEICDTCSLRFTIEFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRYTSISRSFFSQAFGHKDIGCGVEWWRGYYQSLRPSQMGLSLNIDISATTFYKAQPVIDFALDYLNMNIRDAYSRCLRDQDRLKLKKALKGVRVETTHRRDVSIRYKITGLTSAPLKELTFDQDGTRVSVVQYFNRQYNYSLKYINWPCLQAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILELKYHDSGQEKACNPSIGQWNMNNKRMLNGGSINYWACLTFASCVRLAEVRTFCKELQITGEPCVRIRQERQDHLDAAVRDIHRQSAEFLSQQGVIGQQLELLNVRQQYLRNLALKINVKVGGRNTVLEDALHRRIPLLTDMPTMIVGADVTHPPAGEDSSPSIAAVVASMDWPEVSKYKCSVSSQSHREEIIADLFTEVKDSQTRLVYGGMIRELIESFRKANGSCKPGRIIFYRTQFSWHVIEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYHVLFDENNFSADALQTLTYHLCYTARHYLEEGSLPDHGSSSASAAGSSRRNDRGVPVKPLPEIKENVKQFMGDLGVVCERQGGGRGAGERGGRHDAPRGRGVAVGAAAGQQQQPFHAPAPPSGGGGRGGVQVQPDAAARRPVGGVRGAVGVPAPAPAVVVGALCGEMKGKMVVSSGGPPPAGQGPSSSSPAASARMAAAQGTDNVKREPSQVAAQPPAPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHFLVQVADKDIYHYDVVISPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKTDLYSLQQFLAGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIGMDLPQNISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALRGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVHWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIKTFYLYSQIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGGCINHWACLSFASRMHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAVRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >OGLUM03G30000.2 pep chromosome:ALNU02000000:3:28539781:28564658:-1 gene:OGLUM03G30000 transcript:OGLUM03G30000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEICDTCSLRFTIEFVVKIANDPERGNQGEKEFKVTIKCAAKLDMYSLKQFLAGRQRELPQDTIQALDIALRECPSSRYTSISRSFFSQAFGHKDIGCGVEWWRGYYQSLRPSQMGLSLNIDISATTFYKAQPVIDFALDYLNMNIRDAYSRCLRDQDRLKLKKALKGVRVETTHRRDVSIRYKITGLTSAPLKELTFDQDGTRVSVVQYFNRQYNYSLKYINWPCLQAGSDSRPTYLPMEVCRIVKGQRYSRKLNECQVTRMLRLARETPEERENSILELKYHDSGQEKACNPSIGQWNMNNKRMLNGGSINYWACLTFASCVRLAEVRTFCKELQITGEPCVRIRQERQDHLDAAVRDIHRQSAEFLSQQGVIGQQLELLNVRQQYLRNLALKINVKVGGRNTVLEDALHRRIPLLTDMPTMIVGADVTHPPAGEDSSPSIAAVVASMDWPEVSKYKCSVSSQSHREEIIADLFTEVKDSQTRLVYGGMIRELIESFRKANGSCKPGRIIFYRTQFSWHVIEGQFSQVLLSEMDAIRKACASIEEGYLPPVTFVVVQKRHHTRLFPEDHHARDQMDRSRNILPGTVVDTKICHPSEFDFYLCSHSGIQGTSHPTHYHVLFDENNFSADALQTLTYHLCYTARHYLEEGSLPDHGSSSASAAGSSRRNDRGVPVKPLPEIKENVKQFMGDLGVVCERQGGGRGAGERGGRHDAPRGRGVAVGAAAGQQQQPFHAPAPPSGGGGRGGVQVQPDAAARRPVGGVRGAVGVPAPAPAVVVGALCGEMKGKMVVSSGGPPPAGQGPSSSSPAASARMAAAQGTDNVKREPSQVAAQPPAPATLPPSSSKAVTFPARPDVGTIGRRCRVRANHFLVQVADKDIYHYDVVISPESTSRERNRSIINKLVALHKQFLDGRLPVYDGRKSIYTAGPLPFKTKDFVVKHINPLRGNQREEEYKVTIKQASKTDLYSLQQFLAGRQRELPQDTIQALDIALRECPTSKYVSISRSFFSQSFGHGGEIGSGTECWRGYYQSLRPTQMGLSLNIGMDLPQNISATAFYKAQPVMDFAVQYLNIRDVSRRLSDQDRIKLKKALRGVQIVATHWKEKSIRYKITGIPSAPMNELMFDLDGNRISVVQYFKKQYNYSLKHVHWPCLQAGSDSRPKYLPMEVCSILEGQRYSKKLNEHQVTNILRMTCERPAQRESSIIEIVNTNSYGNDDCAKEFGIKVANQLAVVDARVLPTPRLKYHDSGREKVCNPSVGQWNMINKRMVNGGCINHWACLSFASRMHVNDIRMFCEDLVGMCNNIGMQMNTRPCVDIIQGQQRNIEGAVRNIHRQSSEKLDQQGLTGQQLQLLIVILPEISGSYGRIKRICETEVGVITQCCAPKSLQKGGKQYLENLALKMNVKVGGRNTVLEDALHKKIPILTDRPTIVFGADVTHPSPGEDASPSIAAVVASMDWPEVTKYKCLVSTQSHREEIISNLYTEVKDPLKGIIRGGMIRELLRSFYQETGQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLQEGYLPPVTFVVVQKRHHTRLFPENRRDMMDRSGNILPGTVVDTMICHPSEFDFYLCSHSGIKGTSRPTHYHVLLDENGFKADTLQTLTYNLCYTYARCTRAVSIVPPAYYAHLGAFRARYYMEDEHSDQGSSSSVTTRTDRSTKPLPEIKENVKRFMFYC >OGLUM03G30010.1 pep chromosome:ALNU02000000:3:28565789:28566343:-1 gene:OGLUM03G30010 transcript:OGLUM03G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGGGVGGRRGGPGGASSGRGGERGRKRGRGALDAVEPRVPLPRGTGSGPGAGRDGAAAPVPALQPAEADVLSGEVETKMAAGMEAREGASSSSSASAPAVGEGEPPSRAVGALPPTSSKAVVLQARPGFGTVGTSCRVRANHFVVQLADKEIYHYDYKNGF >OGLUM03G30020.1 pep chromosome:ALNU02000000:3:28569381:28570756:-1 gene:OGLUM03G30020 transcript:OGLUM03G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGSTPIDAVAPLHARGRVSRRRRYPPPGEKGRAERRPSGACLPEGKGGRAATSSKSSSWDRVVQATPPGGVVPRRTRPGQSNAIGSRGTNFRFHRLSTREGLRCRLYTNKQ >OGLUM03G30030.1 pep chromosome:ALNU02000000:3:28587467:28588899:1 gene:OGLUM03G30030 transcript:OGLUM03G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVESSRIVKPLYDAAAPAPEWMPLSVFDTATYDESIAIIYAFRPPNPPSAAMELGLARTLAVYREWAGRLGVGPDGRRSLIHRAVAGIDDAYFRSFVDFASSGAVEAEGLVPSADAGEVVVCPDMEVDSWLGMSFYDLDFGGGCPLYFMPSYLAMEGTIFLVPSFLGDGSIDVYVPLFENHLEEFKKICYNIA >OGLUM03G30040.1 pep chromosome:ALNU02000000:3:28592777:28593559:-1 gene:OGLUM03G30040 transcript:OGLUM03G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBP1] MATALSVSLLLLLPLAGNLPAVAVGKPHIEGPPGAEMMMVDLRDYGSGVGALAVRMDGLSVAGFANRSGHWHALRGNEHLFRGGDRVAAATPLPFGSSYGDLVGGVENLPDLPLEEDPATVVISAYDPATAAAAAADEAVELKRALATLTVVICETQRLRPVMDTVLATGAGRRGAASVAAEHLPYIEHWDAMWDELKRWRRTGEWGGGPFAGELRERAKIGSAKEALAVIGWTFRHILLRRDGSMPERRTEDVPSYGTF >OGLUM03G30050.1 pep chromosome:ALNU02000000:3:28597277:28597480:1 gene:OGLUM03G30050 transcript:OGLUM03G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPVIALVLLVVCVVSSFEAATAQYGGSSSNGAAAKGLMAAGGSCSLAVPAAVLAIATFFWN >OGLUM03G30060.1 pep chromosome:ALNU02000000:3:28598297:28599184:-1 gene:OGLUM03G30060 transcript:OGLUM03G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBP3] MALFLPLLVASSLFSPAAALLAGGEHGVVVVEPRQVEISFDLATQSWYHELYRPLKAALTDDGAGPELMGHAVVDLLDDDQPPSKQITVRLFASGGGGGGDEAKLLVAEDDAYVAGFANRTGHWHTFRGGRCHHPVIIPAGGAAPCTELPFGGTYRELIGGVANLRAVPLGRASAASAMRVLSRYDPATTPAADAKMALAKFMVMVTEPMRLKAVSRAVGGRWEEESYLSSDEAKHVPYWGEISAMLVEWNSTGRWGELGPRSKMDRARCPRPAGCEDDAGDGHDDDDGGKLLMI >OGLUM03G30070.1 pep chromosome:ALNU02000000:3:28599920:28600223:-1 gene:OGLUM03G30070 transcript:OGLUM03G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDARTDGGLVVVVAVSSAASSLCLVHDTSTSTGDDYFSLDDVHDNAFLIQSSSLSAARSGSSKNGGAGAPRKPRQREC >OGLUM03G30080.1 pep chromosome:ALNU02000000:3:28601706:28602473:1 gene:OGLUM03G30080 transcript:OGLUM03G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBP5] MAALFFVLLIPLILLAGGGQVAVESAATSRGKLIMVDLLEYGSGAGTLAMRVDTIHAAGFANRSGHWHALRGNGHLFDAIGLAAARLPFGNTYADLVGGVANLRGLPISMPFTNRAATVLSGYDPATAAAGGDGEAALKRALATLTVAIGEAQRLRPVMDTLLFGGLGARVADEHLPYIEHWDAMWEELTRWRRSGGGVWGGPFTGVLRERANIGSAEEALAVIGLAFRDHLLRGATMPDLSPRSMGYSYSDGDL >OGLUM03G30090.1 pep chromosome:ALNU02000000:3:28604544:28617387:1 gene:OGLUM03G30090 transcript:OGLUM03G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT5G06970) TAIR;Acc:AT5G06970] MLAESDLGCFQQENVVELLQRYRRDRHVLLNYMLSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEALDLGDAIRLFHDSLDYPYVNNSGTVEEFFLLTKPEYSGPAPAREPPPAPAIAPSPVVIPAPVVDPPPVAVHSPVSTTNLSKSQSFDSPTEKELTIDDIEDFEDEEDEFDSRRASRRHQSDANDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRNKLMRKLGRSKSESTQSQTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMVGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLQPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSEQGLLKVVIEHLRKIPLKEQRGPQERLHLKSLRSSVDAEDSFQDFTFFQSFLSPVQKWVDKKLNDYHLHFSEGPSMMADIVTVAMLIRRILGEENNKGMESPDRDQIDRYITSSVKSAFVKMAHSVEAKADTSHDHVLASLAEETKKLLKKDTTVFSSVLSKWHPQSAVVSASLLHKLYGSKLKPFLEHAEHLTEDVVSVFPAADALEQYIMSVMASVVGDDGLDSICRQKLAPYQIESKSGTLILRWVNGQLERIETWVKRAAEQETWDPISPQQRHGASIVEVYRIIEETADQFFAFKVPMRTGELNSLCRGFDKAFQVYTQLVTGPIVDREDLIPPVPVLTRYKKELGIKAFVKKEIHEVRTVDERKASEIIQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARRKSESINIRRSMSEKSKSAVSSQKNQFDGSRKEINAAIDRICEFTGLKVIFWDLQQPFIDNLYKNNVSQARLDAIMEVLDTLCNVIVEQLRDRVVTGLLQASLDGLLRVILDGGPTRVFSPSDATLLEEDLEILKEFFISGGDGLPRGTVENLVSRVRPVIDLIKQETRVLIDDLREVTQGAKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPAT >OGLUM03G30100.1 pep chromosome:ALNU02000000:3:28618081:28622435:1 gene:OGLUM03G30100 transcript:OGLUM03G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMAAAAAVLVSLLLVAAAAGQQAALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPETHGAPTGRFCNGKLATDYTVDNLGLTSYPPPYLGQLAQSDNRSLLHGANFASGASGYLDTTASLYGAISLSRQLGYFKEYKTKVEAVAGGKKAAALTSESIYVVSAGTSDFVQNYYVNPMLAATYTPDQFSDVLMQPFTTFIEGLYGQGARRIGVTSLPPMGCLPASVTLFGGGSGGGCVERLNNDSRTFNAKLEAASDSIRKQHSDLKLVSRRACCGTGTIETSVLCNQGAVGTCANATGYVFWDGFHPTDAANKVLADALLLQGLQLIS >OGLUM03G30110.1 pep chromosome:ALNU02000000:3:28623669:28634900:-1 gene:OGLUM03G30110 transcript:OGLUM03G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligase 7 [Source:Projected from Arabidopsis thaliana (AT3G53090) TAIR;Acc:AT3G53090] MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFVTQPSSWYKGQQDKTLNSISACFKIILNSINSMGKKVDFTVLIHSCQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGIDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSCSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATLPGNQFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKESGFQNSELVSIESYLS >OGLUM03G30110.2 pep chromosome:ALNU02000000:3:28623669:28634900:-1 gene:OGLUM03G30110 transcript:OGLUM03G30110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligase 7 [Source:Projected from Arabidopsis thaliana (AT3G53090) TAIR;Acc:AT3G53090] MSVPPASHRQVSLRGSSAREITRDALLQKVSEERQLRSHLRRAAAAALSIQRVWRRYSVIRIVSEQLHEEWEALINQPDINLTKQWISSMMLRPFLFFVTQPSSWYKGQQDKTLNSISACFKIILNSINSMDKKYEVGLRYVTLFNNGQMHAKLQREAPNQLASMCNHSCCKDGNMVQITDTAMRLAVSLTDCKTWKKITSEDTRAADASVESLIEFIGASQSGTYSCLRRYIVNLGSHALEKKISSISTDDQFLITASAVTIALRPFHSMRAGRGADLNGASKEYFTLILTIPDLCKRLPPLLLPAIKHISILQPSLDILLISKDKIFEEITKLEKSGVSSGGSGTIPYCGWALGNLVTLATEHDDLSNSGCFVQGIDCCLYVDAINCVSQSLLKFFEENKEMLLSFGDSVDTSFIKENDTSDSCSRTLFMDLLKPIYQQWHLRKLLVLAKEDAVCKRQNNHDPDTQTHSCSLKLLDIVCFYYHMLRIFSLLSPSIGSLPILNMLSFTPGFLVDLWGALEIYIFGQAVHKLQGPKHERESATSSSGEHVSSMRQRRNFKDTSNKWSNVFQKITGKSNDAEDTNLVDNPLNSEQNGEALILWDIEAMRQGSECIGKDLMQMLYLFCATYGHLLLVLDDIEFYEKQVPFTLEQQRKIASSLNTFVYSTFIQNGGSSSKPLIDVTVRCLNLLYERDSRHKFCPISLWLAPARNGRIPIAAAARAHEAAFATLPGNQFSGIPIRSSVLTTIPHVYPFEERVQMFREFIELDKASRRVTGEVSGPGPGSIEIVIRRGHIVEDGYRQLNCLGSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKAAFSPEYGLFSQASASDSSLIPSNSAKLLDNGIDMIEFLGRVVGKALYEGILLDYCFSPKLLGRYSFLDELSTLDSELYRSLMQLKHYEGDVEDLCLDFTLTEELGGRRIVHELRPGGKNISVTNENKLHYVHAIADYKLNRQILPFANAFYRGLGDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTESSRSVKLFWEVIKGFKPTERCMLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWATIGGQDVDRLPSASTCYNTLKESGFQNSELVSIESYLS >OGLUM03G30120.1 pep chromosome:ALNU02000000:3:28636301:28640223:-1 gene:OGLUM03G30120 transcript:OGLUM03G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAAAAAAAAQDADAVMRDAPADAAAGGGDNDDDDGDDGTEEDEEEDDDEEGDEEELPPAEDPAAPEPVSALLPGSPNQLTLLFQGEVYVFESVTPEKVQAVLLLLGSSEMPPGLANMVLPNQRENRGYDDLLQRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRANMEGESLSPGCELASQGSGQDFLSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRNCPKAKVESSVVATEQSNAAVSPSGIDNKELVVPNPENITASHGEVMGDSTPANEAEIGAPKAQSQ >OGLUM03G30130.1 pep chromosome:ALNU02000000:3:28645651:28651324:-1 gene:OGLUM03G30130 transcript:OGLUM03G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIRPSVIYQKARPGYKPEHFLLASGVERTAPASAHEAGKKPQTRVADAVAGTLAFASPTTKPPPMAPGSSSTSSPGGKAAAVGGEAGKEGGAVPSPAAAASDHAPVAPDGSPGGGALPAGGEAGKEDEGVASTGSRTPLAPGSSSGEGALGECGEARNDDEAPAPAPRLIASPTAAAATTDYPHEGGEAEQEGGNASSPCEEQEEDDDDDEEEEAPTHLPFAPSSESELPDDKSTVDPSFTISLIRKLVPQGPDVDKELSVKQGRTEEKDASSDVGEPKQPHDKDLWDNEGCKLWDLSVIEPQAELMVNNLVLEVLLANLHVRQFLRAKEICIGIIGNLACHKSLANAITSHNGLIATVVDQLFLDDPGCLTETFRLLSTIFQSNASMSWAEALLPDEILSRIMWIIGNTVHATLLQKILEFLSALVDDQDVITILIEPLIKVGLVDCAIGLLLNELEKSMDGNNLDRSDSLDSILRLIEELSAIDNCSKVMSSNNQLIKALSNIVKLPDKFEVEGYCASVVIIIANVLSDGEHLTPILSHDLPLLEGLFDILPLISDDNEARNAFWCILTRLLQQVEEGETITNSSKLEQFVSIFLAKFTLMKDDIERHGIQTEADSSVEGVSLKNGLRTSLKAICSITERWIADKSSLGKEDASLTENTIENAKELLTFCRRAMGIADL >OGLUM03G30140.1 pep chromosome:ALNU02000000:3:28652023:28655503:1 gene:OGLUM03G30140 transcript:OGLUM03G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGAQPVGGAAAAAAAAAPKRNNMDYTLAALKLFGCQLAGATEAPPSESDGTSQAQMLYGIRFQRVWLQGVVVLADYRDGAGHILVDDGSCVAEITLTPKEAEGQPWREGMYVMVLGSYSGKESLPRANRPVIKVHKLVDLSAQPDRESMWYMEVVEAFNFFYLQFSAASPLMKR >OGLUM03G30150.1 pep chromosome:ALNU02000000:3:28656668:28663456:1 gene:OGLUM03G30150 transcript:OGLUM03G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium (Mg) transporter 10 [Source:Projected from Arabidopsis thaliana (AT5G22830) TAIR;Acc:AT5G22830] MASVSSSPSYSSQAAVLLLLHQPPHQHGHGGACLRYRCSQSQGRGNAVATSLGLSAAGRGGAGGLLLLPPLPALRAAEGKDGRAVTKDEEEEAAAAAVEEEGEVEVRREEDKPGDDGSREAAARGSGSGRFSADYISLGIREPVYEVREQAILVNLGSLRAIAMHERVLIFNYNSPGGKAFLDSLLPRLNPRNINGGPAMPFQLEVVEAALLSRIQRLERRLMRIEPRVGALLEVLPNRLTADVLEQLRLSKQALVELGSRAGDLKQMLIDLLDDPHEIRRICIMGRNCTLDKLSDNMECSVPLEKQIAEEEEEEIEMLLENYLQRCESIHGQAERLLDSAREMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAIGALIAGIFGMNLKSYLETNAV >OGLUM03G30160.1 pep chromosome:ALNU02000000:3:28665556:28676554:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSARAYLSAAAAVVPAQRAERRGGALTRVANTGPPPLHGSSRSRYVVAVEVNTSSPAALVTGGSSSPPPATVEEDEAGEERIRRRHRHLLSTVEGHGERGKYEEEKRIERMNGEAARVNNEFDLYVKLDGSWSAGVFLGDSPFSLEPIEHWGISKADGAAWPVANPVVTCADVEEAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQVGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKQNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKIEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLVLVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTWKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRTRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDDKAVKR >OGLUM03G30160.2 pep chromosome:ALNU02000000:3:28665556:28677523:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASSPRPAATAAAHRRGLIQRPPSARAYLSAAAAVVPAQRAERRGGALTRVANTGPPPLHGSSRSRYVVAVEVNTSSPAALVTGGSSSPPPATVEEDEAGEERIRRRHRHLLSTVEGHGERGKYEEEKRIERMNGEAARVNNEFDLYVKLDGSWSAGVFLGDSPFSLEPIEHWGISKADGAAWPVANPVVTCADVEEAGFPSSFVAKPFLFLQGDAIYMFFETKNPITSQGDIAAAVSEDAGVTWQQVGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKQNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKIEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLVLVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTWKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRTRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >OGLUM03G30160.3 pep chromosome:ALNU02000000:3:28671274:28677523:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MFFETKNPITSQGDIAAAVSEDAGVTWQQVGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKQNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKIEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLVLVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTWKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRTRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >OGLUM03G30160.4 pep chromosome:ALNU02000000:3:28671274:28677508:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MFFETKNPITSQGDIAAAVSEDAGVTWQQVGVVLDEEWHLSYPYVFTYKNKVYMMPESSKNGDIRLYRALDFPLKWELEKVLLEKPLVDSVIINFQGSYWLLGTDLSSYGAKQNREISIWYSNSPLSPWIPHKQNLIHNTGKMLSTRNGGRPFIYNGNLYRVGKGQGGGSGHGIQVFKIEILKSNEYKEVEVPFVINKQLKGRNAWNGARSHHLDVQQLPSGKLWIGVMDGDRVPSGDSVHRLTIGYMIYGVVLVLVLVTGGLIGTINCSLPLRWSLPHTEKRSGLFNVEQRFFLYHKLSSLISNLNKLGSLICGRINYRTWKGRVYVVVVMLILVVLTCVGTHYIYGGNGAEEPYPIKGKYSQFTLLTMTYDARLWNLKMFVEHYSKCASVRDIVVVWNKGQPPAQGELKSVVPVRTRVEDRNSLNNRFNIDSEIKTKAVMELDDDIMMTCDDLERGFKVWREHPDRIIGYYPRLSEGSPLEYRNERYARQQGGYNMVLTGAAFMDHGLAFKKYWSKEAEVGRQIVDSFFNCEDILLNFLFANASLTSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHVRSKCLAKFSEIYGNLTAKRFFNSRGDGWDV >OGLUM03G30160.5 pep chromosome:ALNU02000000:3:28676582:28676971:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASWVDVRGREGGLALQRRGESQMLMPGIRTSHMRIGSQGRGMDPLLLLGSFLLIAIWPQQMIIIGRNAGKC >OGLUM03G30160.6 pep chromosome:ALNU02000000:3:28676582:28676882:1 gene:OGLUM03G30160 transcript:OGLUM03G30160.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family protein 47 [Source:Projected from Arabidopsis thaliana (AT5G04500) TAIR;Acc:AT5G04500] MASWVDVRGREGGLALQRRGESQMLMPGIRTSHMRIGSQGRGMDPLLLLGSFLLIAIWPQQMVPVAFTCCSCGQW >OGLUM03G30170.1 pep chromosome:ALNU02000000:3:28674412:28676448:-1 gene:OGLUM03G30170 transcript:OGLUM03G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQRPGMLGIGCSNVLARARVQQCLAAASHSNIPCLPVLLHVNGNKKQGSSFLSSQRIGLSRRRRRDLSGVVVAEASAAAGVTPASSSSSPGGISVSDVLWPSAGAFLAMAVLGRMDQMMAYKGVSLTIAPLGAVCAVLFTAPGSPAAKVTILQKYNMFVAQIGCAAFGVLALSLFGPGWLARGAALSASIAFMTITGASHPPAASLPLLFIDGQKFHSLQLWYALFPGAAGCIILCLIEEEKKQIDRYLIVNL >OGLUM03G30180.1 pep chromosome:ALNU02000000:3:28684682:28688096:1 gene:OGLUM03G30180 transcript:OGLUM03G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTQPRASPRPKPTNCLLARWSSHTAPAAARVRPSSLPLIRSAPQPRPDSPLVISPFRSLAPLPTGHHRARDKQPSCSGCQAIAMAPCPAATTTRIGAPPFAATTARRPAWGATTTTKARASGLRQVEGPVSERAYSSSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKGYALLCVGFPTSDVEVYWLQFGRYFARGPVERDDYALELAMGYTVVQFCVEPT >OGLUM03G30180.2 pep chromosome:ALNU02000000:3:28684682:28688096:1 gene:OGLUM03G30180 transcript:OGLUM03G30180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTQPRASPRPKPTNCLLARWSSHTAPAAARVRPSSLPLIRSAPQPRPDSPLVISPFRSLAPLPTGHHRARDKQPSCSGCQAIAMAPCPAATTTRIGAPPFAATTARRPAWGATTTTKARASGLRQVEGPVSERAYSSSSPAPTHKVTVHDRQRGVVHEFVVPQDQYILHTAEAQDITLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDKVYWLQFGRYFARGPVERDDYALELAMGYTVVQFCVEPT >OGLUM03G30190.1 pep chromosome:ALNU02000000:3:28689966:28691958:1 gene:OGLUM03G30190 transcript:OGLUM03G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT4G38020) TAIR;Acc:AT4G38020] MLLARAPPPPAMPSAVSSPPQRESIHRPYRVPWTRGSVATAAVAKAAPFRGEQNHKQVASVANPLVKHCVRLRLSSAYRRSCRRILLVGLIPILEMCSFEFSTIETLLLLDGIELPEELYGFSGNVVYVSAAVMKKVSGMQSVDSTEAIAIIHMPKYFRDLDSDQGGAVLDEWLGSAKRILVLDGIQDPGNLGTLIRSACAFRWDGVFLLPACCDPFNEKALRAARGASLQLPVVSGNWSDLHALMAKSDMKMLAGHPESSSNGSERTHVLSKELADSLMSESVCLVLGSEGNGLSAETLQACELVNIPMQGTFESLNVSVAGGIFLFMLQTKQQKMAER >OGLUM03G30200.1 pep chromosome:ALNU02000000:3:28710127:28713993:1 gene:OGLUM03G30200 transcript:OGLUM03G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGPLENAVVDAAAEAVAAKAAVGGVGVGGSYAVLQCGEDSEYVRKAYGGYFEVFRALLAEDGERWRVYRAVRGELPGEEEAAGIDGFVISGSCSDAHADDPWIVALVDLIRRQHAAGKRILGVCFGHQVLCRALGGKTGRSKKGWDIGVNCIHPTAAMARLFSPIKLPVHMPIIEFHQDEVWELPPQAEVLARSDMTGVEMFRLGDRAMGVQGHPEYSKDILMSIADRLLRNDLILDHQVDKAKASFDLRQPDKDLWKKVCRGFLKGRLQSPQQQQHQKQQKAAQALVL >OGLUM03G30210.1 pep chromosome:ALNU02000000:3:28715789:28716216:1 gene:OGLUM03G30210 transcript:OGLUM03G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRGKEEGRMGCGERGVVAMPTMARQGGTGEKQGRERKREGGGKKRVGVAIASGGDASGTWPANLLSGLVGSCDHPNSVRAIVWSD >OGLUM03G30220.1 pep chromosome:ALNU02000000:3:28719578:28722491:-1 gene:OGLUM03G30220 transcript:OGLUM03G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSSARSRPAPRAAPVRNAPQPARQAPPPAPAQNGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAASATPIANATPSDSCSIHSKAFQDCINNYGSDISKCQFYLDMLNECRRGGASA >OGLUM03G30230.1 pep chromosome:ALNU02000000:3:28723401:28726939:-1 gene:OGLUM03G30230 transcript:OGLUM03G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G71840) TAIR;Acc:AT1G71840] MSISGEVPAGEGSDGEEVFINEEDIIHEIPIDEEDLPDRDDDEDDDGMGDMQEDDSQYAFRAHTGKLVEKNEFMIFLVLIIDEIFAVACSPTDASLVASGGKDDRGFLWKIGSAEDVLELAGHTDTVCTVAFSSDGNLLASGSFDGRINVWNTATRTLQGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNLWMWNADHNAILNTFAGHSSTVTCGDFTPDGKLICTGSDDASLRIWDPRTAQSRHVVRGHGYHTDGLTCLSVTSDSQTIVSGSKDNSVCVVNINSGQVVGSLDGHSGSIECVGISPSYNWVATGSMDQKLIIWDLGRQSIRCTCNHDEGVTSLAWLGPSRFVASGCIDGMVRIWDSLSGECVRAFAGHGDVVQSLAVSADGNSIVSVSTDGSALIFDISMFK >OGLUM03G30240.1 pep chromosome:ALNU02000000:3:28731674:28745908:1 gene:OGLUM03G30240 transcript:OGLUM03G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32820) TAIR;Acc:AT4G32820] MADRFLTLKNLASVFLQQGSAFYDNALRCYLQAVELDANDSVVWNHLGTLSCSMGNCMEKLLEVLIAICDEVACLSVAKLILRSWPSHHRALHVKKTIEDAEPVPFAPRGIDILEPKHAKLKFCNKRKSGDDETHHETVTKKSRQNAKLQLTEAKWMALLDGILSFLSSNNTKTNEDHGANTESQCDTKRSINGFAYNMMDVSLSTETLKTMESAGGNEHDLYHDGESVLSHDCRTAVKEKDTNSDREHPHERRSTRLERLRSRKSGKDEHESNGKDISHAITQFLDSFILKRTSIPEKIDCSGNGDASTPEALTYTPDREASDVKQFLSKISKNCGPLHIGYMLLEEIAQTNTPFQDYFVKFIELDKITRGWAQDRSAQCSLFLAELYYDQALCSGSPLASSELSNSSYHLCKVIQSVALELPFRTSDGAAKSTNLDLNMESHKEEVCSSDKTEKNASNMSRNSVNSVNSVSSNILCDETSECDSSSNTNCVFWIRFFWLSGCLSLSSDCKEKAYKEFNIALSLMRSSNEAKINREFVLLPHNKLVKLLTADRILREINLVKLESLLWHNDENINKITHTEFMELLPPLLLSTKDVYVGSAYGPPRESEKVISLELGALDVLISACENAKPMNIQVYLDSHRRKMQVLTVAAGMVGSVTTNEGKKSSDIEFMETMNRNRLESVVEAVKDVSRNASKAKAFVDQCDNPDGQDGFSSLVSIVGDFQSLLLTIMCAAVKMILSRKHSCTGTSYQADQLESSCLVDAAIAFCKLQHLDPMISIKIQALHDLLAEYGLCCAGRDGEGEEGTFLKFAIKHLMALDVKLKSQLNPNGMEEDAAENDRAEDVTTDEASVCDNKHNSEDEEESELDEIQSSIDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPLDNFLDGPDSCEKILSEIYETNGSKEAVLNVLFPGENGYEAFKKLSNASSEPYSEVYGNLYHYIAQVEDISASDKYTGFVLKKEGGEFVQQSANLFKYDLLYNPLRFESWQKLANLYDEEVDLLLNDGSKHISILDWRTNTTLIQRVEMGRRHSRRCLLMSLALAKTASDKAQMHEMLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCRNSMKHFQKAFELKAEWLYAFYLGKLCEKLGHSPAEAFSYYNKAVVLNPTAVDPVYRMHASRMKLLYTQGKQNLDAIQVVADYTYKQSTKEDVLSMLQSINNVKNSPSDHNDKCVLDSTAENKFVDPDLLDKVWHILYDDCLCALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSTRSSFTVNMWEIDGSVRKGRRKNPNIGGSKKNLEVSLSESSRKFITCIRKYMILYLNLLEKNRDLWTLERAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTSAIRNPEIRRVSGDASVENLLEKMFGVFMDHANLWADISTIPEVNSPELSESNLYSYIHQYIHLLESDVRLDVLEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMETTDQPAPLSSGLVLYIDLQPDELLISSPDGPAQFKGLDMNWFETFNRIKNIPIRQTSEDNMETAVTVMKSTYNFYRESSCGTFPSGINLYTVTPSQPPVEGLQQAPDAIENLDLSIPRKLLLWVYTLVHGRYSNISAVVKYCDEMKSRSKRGAPTSTATASQQTTVSPQVGSKEKSTHIDPSEAQEAAAPTPAPAAAIAPSQQEAGVAVASSPHEAQKTAAAAAASQLTRSSSSRAMESTGQDGGRGNDGTA >OGLUM03G30250.1 pep chromosome:ALNU02000000:3:28742625:28743005:-1 gene:OGLUM03G30250 transcript:OGLUM03G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSFHSVDIFSQWRRRTHLHPNWADLRRRSHQNHHRIDSAVAPIHLQSSLDPTASRNTACPSCQTGAALSQAPGHPAPSGNVQETKAPCDQPATAGGAQSLAFIESSCTSVGVDFIGLWAVMPR >OGLUM03G30260.1 pep chromosome:ALNU02000000:3:28746643:28750328:-1 gene:OGLUM03G30260 transcript:OGLUM03G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIVDRADGSDVSFSRDVPCTPPVLAARSPVFKMELLGSMAESTMPCVTLQNIDPATFKALLHFVYMDTLPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFTKVAVTDGYFHLRRDFPLIIEEIKKRIES >OGLUM03G30260.2 pep chromosome:ALNU02000000:3:28746643:28750328:-1 gene:OGLUM03G30260 transcript:OGLUM03G30260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKRIAGLVDGIRQGDGHDLSGASCVNNILLLPFKCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIVDRADGSDVSFSRDVPCTPPVLAARSPVFKMELLGSMAESTMPCVTLQNIDPATFKALLHFVYMDTLPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFTKVAVTDGYFHLRRDFPLIIEEIKKRIES >OGLUM03G30260.3 pep chromosome:ALNU02000000:3:28746643:28750328:-1 gene:OGLUM03G30260 transcript:OGLUM03G30260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEEGQGDFVLLFAIDWPLVDISEFMYSTGKKKSQCMNISLRTLFPPIFTFLKYILYAGDDDGTIPIPRSNLNGQLDDIVDRADGSDVSFSRDVPCTPPVLAARSPVFKMELLGSMAESTMPCVTLQNIDPATFKALLHFVYMDTLPSPTEAVRIGEVEADVCTEAVGERVGGDGGDDSRLRAYAERYHCPELKSKCLSFLMAEINFTKVAVTDGYFHLRRDFPLIIEEIKKRIES >OGLUM03G30270.1 pep chromosome:ALNU02000000:3:28751800:28764319:-1 gene:OGLUM03G30270 transcript:OGLUM03G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDTQRYKLADARSFHYLNQSSCIEVEGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAILHLGNINFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDCDNLEKALITRVIVTPEEIITRTLDPASALVSRDALAKTIYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKINSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREEINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTIVHYAGDVTYQADFFLDKNKDYVVAEHQDLLNASSCPFVAALFPALPEETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQISTYIARKQFVSLRRSATQLQSFVRGTLARKLYECMRREASAVKIQKNVRRHKARVSYLQLQEAAITLQTGLRAMSARKEFRFRKETKAAVHIQARWRCHRDYAHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQQQVEEAKAMIVKEREAARKAIEEAPPVIKETPVLVEDTEKINSLTAEVEQLKALLQTERQATETAKKECAEAERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGVAPYGEVKPLPDITPISLNPKEPETEEKPQKSLNEKQQENQDMLIKCVSQDLGFSSGRPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGTAIEAQENNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRPFLASRLMGGIGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNVLKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSEVISSMRIMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMKEIEVTDVDMPPLIRENSGFTFLH >OGLUM03G30280.1 pep chromosome:ALNU02000000:3:28775518:28777981:1 gene:OGLUM03G30280 transcript:OGLUM03G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASYAPPRPERPRKAACVVVLAPRRARYPAFPAAGRELRIASPLAAEAENVSVSVSLSLLDLDCLALDPGLTVRPIPVAGQGRAAASASAPGKVLERPNAGLVLITTARFYAVVLPPRDQLTHDESWAWAWTDVKVTSPQLSREATYKLSPKKSTSSRESANPFCGTRQCNSRWQLRKEQIEARGLPLTPDQKYCFRCLHSVQLHLFQKLLMEIWNNDWSKPEVAKTGLGSSAAMTTSIVAALLHYLGAVSLSCLGELSSDSAAARDLDLLHAVLMQFPH >OGLUM03G30280.2 pep chromosome:ALNU02000000:3:28775518:28777981:1 gene:OGLUM03G30280 transcript:OGLUM03G30280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASYAPPRRKRSDLERRRASSCLRRAARATRHFPPPAVSSGSRPPSLPKPRMAGQGGGVGVGAGEGARRGRFPRCWSAPTPASSSSPPLASTPSSSRPATSSPTTNPGHGDISPALPGGHLQALPEEIDVLKGICKPLLWNKAVQFSVAAAEVIVADKEGKDALDKLCFCKVATIFYSYREQIEARGLPLTPDQKYCFRCLHSVQLHLFQKLLMEIWNNDWSKPEVAKTGLGSSAAMTTSIVAALLHYLGAVSLSCLGELSSDSAAARDLDLLHAVLMQFPH >OGLUM03G30280.3 pep chromosome:ALNU02000000:3:28777706:28778396:1 gene:OGLUM03G30280 transcript:OGLUM03G30280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVILYPKLGAQLVSSLFSFEKIPVVTQEQGPLTFIQPTAGNHLDMMARDDVLAEDDVNMSSNGS >OGLUM03G30290.1 pep chromosome:ALNU02000000:3:28780412:28785455:1 gene:OGLUM03G30290 transcript:OGLUM03G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT1G27070) TAIR;Acc:AT1G27070] MLPLLLPLPVTPPPPLPSPTLTLAPASAPRRRLVLLAAAAPHHHHHHRRRRVYRRQRAAPTQTRAPRRTLSASNAARGEEDLEEAIYEFMRRSDKPGAFPTRAELVAAGRADLAAAVDACGGWLSLGWSSGGAEAGRASSSVGVHPDYPPEAGAAAAAGGASDLAQGAVWASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPRSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEVMIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >OGLUM03G30290.2 pep chromosome:ALNU02000000:3:28780412:28786758:1 gene:OGLUM03G30290 transcript:OGLUM03G30290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-AMP-activated protein kinase-related [Source:Projected from Arabidopsis thaliana (AT1G27070) TAIR;Acc:AT1G27070] MLPLLLPLPVTPPPPLPSPTLTLAPASAPRRRLVLLAAAAPHHHHHHRRRRVYRRQRAAPTQTRAPRRTLSASNAARGEEDLEEAIYEFMRRSDKPGAFPTRAELVAAGRADLAAAVDACGGWLSLGWSSGGAEAGRASSSVGVHPDYPPEAGAAAAAGGASDLAQGAVWASSREAEASPSGRQPETEEEETETKFGTGLDGMLTRLQRERERVRPPLPRSSDGAGGERDNVALMGQSGAPSHSATGGRYTPKVPDNGNIHSYHPQNGALEHNKSSKSLTNDAWRTWSLDKGGFSDFQAAEIHSTNSRKSFRHDGLDILAQDDVHGPSNGVAVHDYDINDVDSERDDIHARLQNLELDLTAALHTLRSRFDKVISDMSEGDGAKAPNGLSDDWEFEETKVMQAQEELRSIRAKIAVLEGKMALEIIEKNKIIEEKQRRLDEAEKALSELRTVYIVWSNPASEVLLTGSFDGWTSQRRMERSERGTFSLNLRLYPGRYEIKFIVDGVWRNDPLRPLVSNNGHENNLLTVT >OGLUM03G30300.1 pep chromosome:ALNU02000000:3:28785966:28790837:-1 gene:OGLUM03G30300 transcript:OGLUM03G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGEEAGQKLKSMDVDKLENGGDKPALKYHGWRAMPFIIGNETFEKLGTLGTSANLLVYLTQVFHMRSVDAATLLNGLNGTTSLAPIIGAFLSDAYLGRYLALAIASVASLIGMFLLTMTAAADGLHPAECGVGETCSKATSGQFAVLFMSFAFLVLGSAGIRPCSMPFGADQFDPHTESGKRGINSFFNWYYFTFTSAMLVSATVIIYVQSNVSWPIGLGIPTALMLLACVLFFMGTRLYVRVTPEGSPFTSIVQVFAAAARKRSLKQPKDPKQDLFDPPHTSAIVTKLAHTDQFRCLDKAAIVSGPDDVRAGGAAPSNPWRLCSVQQVEEVKCLIRIVPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRSFQIPAASFTVFAMLAQTLWIPIYDRLLVPRLRRVTGKDEGLTLLQRQGIGIALSTVAMVMSAVVEDRRRHIALTQPTLGTTITGGAISAMSSLWMVPQLMVLGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGAGSNWLAQDLNKGRLDLFYWMIAGIGIFNIIYFMICAKWYRFKGAAAN >OGLUM03G30310.1 pep chromosome:ALNU02000000:3:28804378:28808145:-1 gene:OGLUM03G30310 transcript:OGLUM03G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLALRSSVGSHSSALPPSYHHHRRLPPPQQQHPDPLNSVWIRRLHLLPNQPPPPPPPPPLPQPQHHHDAVSTDESRTPPPPPPPMGAPGFGPFRWSPRPLRGAPLAAWDAASPVRSGGGGGGTGPPMLSPFFRLPAPSPSPPVTDFGEFSPTMPLFEVGSSSGSGGFPGPSSRMIPGGSSSPFAMGVAAAAYPNHAVDMVPIRTLQIIVAQKVTRALVQTLHIWACASGETLLTLDLLQDIHDRQQSVIPRNFAMRSPSSGSQHDGFSYWNMGRFRRNTTTSLVSPTGVTPSSFGKKRNADSSNFLPLKFRKMGGAT >OGLUM03G30320.1 pep chromosome:ALNU02000000:3:28812911:28813657:-1 gene:OGLUM03G30320 transcript:OGLUM03G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBT1] MAAALSFFLLLLLLPAPLLLLPLAGNLPAAVLGEVRVERDLILVDLQDYGSGVGTLAVRPDVFSVAGFANRTGHWHALRGNDHLFRGDLVATPLPFGSSYGDLVGGVDNLLGLPLGSPFTSYATVVLSGYDGGGGGEAAAVKRALATLAVVICEGQRLHPILETILTRGRGARVAAEHLPYIEHWDAMWEELKRWRRTGEWGGGPFAGELRERASIGSAEEALAVVGWTFRQLLLGDGSIPAMCRAEL >OGLUM03G30330.1 pep chromosome:ALNU02000000:3:28816712:28827044:-1 gene:OGLUM03G30330 transcript:OGLUM03G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBT2] MLSKCLELNLARIADEHLPYIEHWDAMWHELGRWRQRGEWGGPFTGVLRERANIGSAEEALAVVGWTFRQSAKAARRRLGGGDAAPLLLLLRFLLNLAGHRGEVLSVSAAQQQDPPGDDLVFLKLRSGDGDGTVLAMHRHDISFAGFTNGSHHWHVFRGDEDAIPNARRLPFRNTYRDLIGGLHHVPGLPLGKAAAARAAGVLASYDPDAEEGTAAVKRAVAALSVMFTQALRLEPIRETVSSGWESGEARVAAEHLPYIEHWDTMSFEVLRWRRTGEWDGPFTEVLRRRAGIRSAGEALAIAKLLANRSFVQLLQDHSHSA >OGLUM03G30340.1 pep chromosome:ALNU02000000:3:28827126:28832138:-1 gene:OGLUM03G30340 transcript:OGLUM03G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBT3] MVQLSNATRMHATTTLSSPQLYTQFALDRVARSTTTIPLSKSKSSSHHPAAACRRRGRRKSSRSGLLLLLFFALAVYLLDLSASRGGSRRLRGGGGSVAAAAARSAARQAGISGDDVFLIDLDGGEATLAAHRHDLSFAGFANRSGHWHVFRGDEGAIPNACRRRLPFRNTYRDLIDPSLPLGRAAAAEATEAIASYDADAAGEEEAAAAALRRGVAAPVGDARGSHMRLKPIGETRVERVAGERRGACVAAAEHLPYIEHWDTMSSSGGGARGGGTGPFTELLRRRAGIRSAGEALAIDSLERLGEVGVQADRLIDLAMHFQAAFFLYFLLDLGGYRSDVLSVSDLEPPSDGLTGGLDADELAAVALQGHDLSLADFANRTRHWHAFRGREGLVPSAASVLPFGGDTYRDLIGGIRNLPGVPLGRAAMVRAARVLSSYDPAAFAAEGNEVEELGRALAAVTVMISEAARVKPINETVSSRWWGEARVAAEHLPYIEHWDTMSFELLRFRRTGRWDGPFTELLRKDAGIGGAEEAGAVAGVLIDRDLEELQLAHGI >OGLUM03G30350.1 pep chromosome:ALNU02000000:3:28834780:28840978:1 gene:OGLUM03G30350 transcript:OGLUM03G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTGSSSSAPAAAAAAAMAAAAEATDGPTLSVVSKRLRALRKKHNRILQMEESLAGGRKLNKEQEEVLRSKPAVVALIDELERMRAPLAAALAEELSSRPAPSSSAAPPPPASSSAAGAADSSVVEDLLALIYFGTLFDLKPQTEFVATMVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGAAVDLSAAVQESMVVSPEAPAVEESQAEGHKDEKEASEATEIYNDNQPNVADAQNVEDEAPVNPSEEFSAAEVEQEKFEADVEEQERNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRGGRGMGGGGYQNGRGGGGGGGYQNGRGGGEGGGYYYNEPGYYQQRGYSNRGRGGRSGGGNSYYNNQGGGSQGGGHAHPGRVELGANA >OGLUM03G30350.2 pep chromosome:ALNU02000000:3:28834780:28840057:1 gene:OGLUM03G30350 transcript:OGLUM03G30350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPTGSSSSAPAAAAAAAMAAAAEATDGPTLSVVSKRLRALRKKHNRILQMEESLAGGRKLNKEQEEVLRSKPAVVALIDELERMRAPLAAALAEELSSRPAPSSSAAPPPPASSSAAGAADSSVVEDLLALIYFGTLFDLKPQTEFVATMVARAQERDCCITYDYVTEDAADLLHQSDLDKVSALAALAASRPAAAVGVSHRDALQSCAQHARLWLRRADEPIHPESSITYAAVRAKLDKIMASDYYTAQPEMPEMGAAVDLSAAVQESMVVSPEAPAVEESQAEGHKDEKEASEATEIYNDNQPNVADAQNVEDEAPVNPSEEFSAAEVEQEKFEADVEEQERNADQQFTSRRPYQNQRGGGGRGGGRRGYQNGGRGGRGGRGMGGGGYQNGRGGGGGGGYQNGRGGGEGGGYYYNEPGYYQQRGYSNRGRGGRSGGGNSYYNNQGGGSQGGGHAHPGRVELGANA >OGLUM03G30360.1 pep chromosome:ALNU02000000:3:28843725:28849119:1 gene:OGLUM03G30360 transcript:OGLUM03G30360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCCVAPATTDEVGAPPRDHHHAAKKSPAPSATTTTATRQRHGQEPKPKPKPRARAKPNPYDWAPPRVLPARGGAAASAVRVLEGVVPHHPRLRVTDKYQLGRELGRGEFGVTHLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDADAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKAEDAQLKAIDFGLSVFFRPGERFREIVGSPYYMAPEVLRRDYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGAADFDREPWPRISRAAKSLVRQMLDVDPRRRPTAQQVLDHPWLHHAARAPNVPLGDVVRARLKQFSLMNRLKKKAMRVRGVRALLLMSSPAMASPFLCLTTQRCTQVIAEHLSVEEVEVIKDMFALMDTDNNGRVTLQELKDGLTKVGSKLAEPEMELLMEAADVDGNGYLDYGEFVAVTIHLQRLSNDNHLRTAFLFFDKDGSGYIDRAELADALADDSGHADDAVLDHILREVDTDKDGRISYEEFVAMMKSGTDWRKASRQYSRERFKTLSNSLIKDGSLTMAR >OGLUM03G30370.1 pep chromosome:ALNU02000000:3:28860911:28861216:1 gene:OGLUM03G30370 transcript:OGLUM03G30370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVATLSMVLTQALRLRLVGETVSSRWESGEARVAAEHLPYIKHWYTMSFEVLRWRRTGRWDGPFTELLRRRAGEALAVVHVIANKSFVQLLRAHSHGA >OGLUM03G30380.1 pep chromosome:ALNU02000000:3:28873825:28891024:1 gene:OGLUM03G30380 transcript:OGLUM03G30380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGNGRADSITIGVCVMEKKVFCSPMEQILERLRAFGEFEIIIFGDKVILEDPIEMYVMTTQVIDNLIIVLFKYRFIHTTSVVFFYGINIVHAWLWPKCDCLIAFYSSGFPLKKAEAYAALRRPFLVNELEPQHLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFMKPFVEKPVNGDDHRIMIYYPNSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPTEKQMSRDVCSAFRQMVCGFDLLRCDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPSLPWKSNEPVQPTEGLTRQGSGIIGTFGQSEELRSVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAVQLQDLLDATRQLVPPTRSGRESDSDAEDLEHIEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIRVPKRCGDGEEERPIEALMILKYGGVLTHAGRKQKKNISIEGLTNSRGGGGMAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASIEMDEAKARLHEIIITNAKAKNTNEPVEFPWMVDGAGLPANASQLLPKMAKLTKEVTAQVKLLAEDEDEKLALTNSFSRYDQAKAFGKTTIDVARIAAGLPCGSESFLLMFARWKKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLNLEGLEELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVSDPKFTEDEATFLPTKESEYQQKLQTRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTRELDYMSNIVLRMFENTEVPLEDEKRFRIEMTFSRGADLSPLEDKTSENTSLLQEHTLPIMGPERLQEVGSCLTLDKFEKMVRPFAMPPEDFPPAAAPQAFSGYFKGSGMLERLASLWPFHKGATNGK >OGLUM03G30390.1 pep chromosome:ALNU02000000:3:28896596:28904134:1 gene:OGLUM03G30390 transcript:OGLUM03G30390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBT9] MAEDKGGLDAVLKESVDLENIPIEEVFQNLKCSRQGLTSEEAQLRLQLFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRNGSWTEEEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPATKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMFVEIIVMYPIQHRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVGKGAPEQILNLAHKTQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWRFVALLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESVAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFIERPGFLLVFAFFVAQLIATLIAVYANWAFTSIKGIGWGWAGIVWLYNLVFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAHRTLHGLQPPDVKPFPEKTGYSELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIHQSYTV >OGLUM03G30400.1 pep chromosome:ALNU02000000:3:28904416:28908030:-1 gene:OGLUM03G30400 transcript:OGLUM03G30400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLDAFASSLARILAETAKEEVEALLGVPGEISRLEATLGDLRAVLSDAERARDRDAAVDRWVRELRDAMYDADDILDECQAAAGGEAATPVAMAGCCCCFRGVRVPALSCFRDPVRAREIGKRVRALNRRLDGIERRSSRFGFVSQTRIISSSPSPCCSRRADSGDGRRTAPGLIRSDVVGEKIAEDTRMLADILVSKTTDLDDAGGGCNLIPTIAVTGAGGIGKTTLARMVFGDATVQESFDARIWLFVGRDADEVTMLRSAIAHAAGAASCEGLAVAGDKALLERALQRAVTHRKVLLVMDDVWSDAAWNELLRVPLSHGAPGSRILVTTRNDGVAHRMKVRYLHRVDKLRRQDAWSLLKKQIVLNKSDEAELDELEDIGMQIVDRCDGLPLAIKMIGGLLLSKSRTRGAWMEVSRHSAWCKHEVNDEINKVVCLSYEELPSHLKQCFVYCSLFPRGEVVESRTIVRMWIAEGFVQDSTGSGLPEAVAAQYYKELVLRNLLDPSDGYYDQLGCTMHDVVRSFAQHVAKDEGLSINEMQKQTIGDALGTLKFRRLCISNKQVEWDALQRQVSLRTLILFRSIVTKHKNFLNNLSCLRVLHLEDANLIVLPDSICHLKHLRYLGLKGTYISALPNLIGNLRFLQHIDLCGCINVSELPESIVRLRKLRSLDIRHTMVSSVPRGFGKLENLVEMLGFPTDLDDSTHDWCSLEELGSLPNLSALHLEVLEKATLGQMAARSKLSSKQNLTQLELRCTSRISANGTVQGGISEEDCERIENVFEHLRPPPSIDRLTIAGYFGHRLPQWMATATAFRSLRRLVLEDYACCDRLPGGLGQLPYLDYLWIEHAPSIEHVSHDFILPPVGIAVDGNAPSTTTTTTKTEGAGIAFPKLKRLGFQGMLRWASWDWDEHVQAMPSLESLTVENCKLNRLPPGLVYHTRALKALVLTNAVSLESVENLHSLVELYLADNPKLEMVVNCSSLTKIEILRCPELKAFDRLPAVRSIVWEDLDADTLPGYLQEAKVKRLHINCNLSLLNLISLQDASSEEWRSVRHVQQLKVFGFKPQSETSECYFLYTKEPYHVETNISKA >OGLUM03G30410.1 pep chromosome:ALNU02000000:3:28923637:28933803:1 gene:OGLUM03G30410 transcript:OGLUM03G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALEPFAAKLANVLVGMAKEEVETLLGVPGAIAKLETTLADLSSILADADRRRIHDPGVERWVRELKDAMYDADDILDLFRAMEGGEDPGSPPRAAPAPSACWSALCRRSPAATRKIGRKIQALNRRVEEIAKRSSRFGFVSQVIHSSSALRLTDRPMCSCSSESSRNRKTGPSITRSDVVGDKIDLHTRNLVDLLIGNKLADGRTRARSSGDVIAVAITGAGGIGKTTLARMVFNDAVLESHFDKKVWLSVNQEVNEVHLLHGVIAAFGGSYHGCAGDKALLEDTLKHAVRQKRFLLVMDDVWSDRVWSDLLRAPLGACAPGSRVLVTTRNDGVARGMRAQHLHRVEKLDLGDSWSLLKKQVVLNEGDESEIDGLEDIGLKIVERSDGLPLAIKVVGGLLLNKGKTRDAWVNVYNHFAWSMTRSNDDINKAVYLSYEELPPHLKQCFVFCSLFPKDELIIRGVIVRMWIAQGASKLRHLSVSKTAIERVAIQKQVSLRTLLLFGRCITELTYFRNNISCLRVLHLQGVDLVDLPDYICHLKRLRYLGLANTGISAIPRGIGNLKFLQFIDLMGCRNFHQLPDSILKLQNMRFLDFRGTRLTSIPPGLELASSGSMAATAMLNSKKHLKILDLTFASRLTDNGMIEGTSNVIEEQERAEDVLSNLCPPPCVECLTVNGYFGYRLPRWMRTMSDFPSLRRLELKDYVCCKQLPVGLGQLPFLDYIWIDHAPSIVSIGHDLLFLSSSSADDQKVTTGTRITRKLQLHGLSRGDAGVAFPKLETLGLKGMLGWRVWNWDQQTPGMPALDVLTITGCKLRYLPLGLVHHATALRVLNLRNAPFPSLVELTSADNPKLQRISNSPRPRHIVVIRCPGLKVVKDLQSLRSVIWKDLDADALPEYLRETELNKLDVYCSLRLLKLISLQDGSY >OGLUM03G30420.1 pep chromosome:ALNU02000000:3:28933810:28935061:1 gene:OGLUM03G30420 transcript:OGLUM03G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDQRRIRLTGTSSTLRIRTRLKQIWAKIYDQWWARISSSLWIHRRAAALAHRTFKYNWPYGPRPDGLTQGPVIWPVPGTTRFDSGVGSYPCWPGPIAVLCLGYYLGKLGQHGPARSSGMRKRLIFNLCNRRHKE >OGLUM03G30430.1 pep chromosome:ALNU02000000:3:28937169:28940943:-1 gene:OGLUM03G30430 transcript:OGLUM03G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREGEGEVRRDMWGQEYRTASGGCAAALDEHYAHTMSFGRGRGHAVLRAAAADPRCALASSLAAHHVAPRDPARAAAFLAAAADNLGAATEYERAVFRALSALIGEERDEQVAIERHFELLKEFPRDLMSLKRAQLICFYMGRPDTSLRFVEQVLPENQDQNYIYGMLAFPLLELGRMDDAEKAARKGLAINKNDCWSQHNLCHVFQQECHFKEATEFMKSCSPSWAACSSFMLTHNWWHVAVCYLEGEFPTSKVLEIYDHNFMTELEKSDCEAAEVYLNALGLLLRLHIRGQVDLAKDRLAALLDALTNEKIWHVEWLLDLLVLWALSSMGEITRADNLLESLRSRVSSMDTKKQQVMQKAIQLAEAVYEYGKGEHKKVFDILGPDFDALSCKMIGASDEQVDVFNEVWYTVLINTGESSRAIEVLEKQIRKREGAPFLWRLLEKAYSLHGRAADASVASEKANALQAAYSR >OGLUM03G30440.1 pep chromosome:ALNU02000000:3:28942643:28945493:1 gene:OGLUM03G30440 transcript:OGLUM03G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRDVKTSQCDAATRKSSAAGYVHLTRSSSDRTAHAPRTRRLEPLQLLFHLSPIPFLPRPALLLLRTQKYQRTQKRREAKNRGDAMNVEEEVGKLKEEIQRLGQKQPDGSYKVTFGVIFNDDRCANIFEALVGTLRAAKNRKIVKYDGELLLQGVHDNVEITLLPPPAVAAA >OGLUM03G30450.1 pep chromosome:ALNU02000000:3:28977569:28978418:-1 gene:OGLUM03G30450 transcript:OGLUM03G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTWSEDAAAKEDEAFEEMSSLIPLANYSITVADFLTHYDDKGLDGCPAMHHLSLPAASLD >OGLUM03G30460.1 pep chromosome:ALNU02000000:3:28981445:28982566:-1 gene:OGLUM03G30460 transcript:OGLUM03G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGYDDDRLRELKAFDDTKAGVKGLVDAGVTAVPRIFHHPPDPTPVASAADAADADAIPVIDLARADADRDRVVAQVRSAAESVGFFQVVNHGVPARLTDGMLAAVRRFNERPAAAKAAFYTRDAARRRVRFNSNFDLFESPAANWRDTLFCQAAPDPPAPEELPADVRGVLPEYAAAARRLASSVLELLSSALGLETGRLGGMGCADGVSVVSNYYPPCPEPEATVGTARHSDPAFLTVLLQDGMGGLQALLGGRWVDVPPVAGALVVNVGDLLELVSNGRMRSVEHRVVANRSRDAARVSVAAFCNVDLGRESSRSGRLYGPIAELTAGGDPPRYRSTTVAEFLAHYDGKGLDGRPALHHFRLPAAASLD >OGLUM03G30470.1 pep chromosome:ALNU02000000:3:28984378:28985311:-1 gene:OGLUM03G30470 transcript:OGLUM03G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVASPHSTCQRRSSSIGTRIDVSCDDRNSEGELEEGKRKRKPSQGYDWTGRKVSFSFSLLFISGRGLLGASNPFLLSWAYSARTQAREQQRLNRRDRLELLVSPAIWGIGCSLPG >OGLUM03G30480.1 pep chromosome:ALNU02000000:3:28989296:28991491:-1 gene:OGLUM03G30480 transcript:OGLUM03G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDNNFGELSGMFCGLSYDGYTDHGSQSDYFRFADPQPAIVPQMDAGPSSAASSTASRAAVSSGTDNPEDWEFISDESLNYISRMLMEEDIDEKVSMYQEESAALRAAAKPFYDILGHKFPPSPDRQLVAWPLDSPSESSTSSYPHSLASSVTSSNISGAVDSSQRRYVGHSEYRSLSGHSSQPPVGPSSDVCNAMETLEDPLISNGRIPEYLFESFPTWDFRRGVDEAQKFLPGSDKVVIDLEAGGVAKHQEAGKAISLNGSKAEVLKVKKNRQSEDLDVMEGRNSKQSAFCSDEPDWIEMFDVLLRQTEKKATDLRKMMRFEASKNSQVAQPKGPSGTRSRGRKPTKKDVVDLRTLLIHCAQAVAADDRRTANELLKQIRQHAKPNGDGSQRLAYCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSLTKNASKVHIIDFGIYFGFQWPCLIRRLFKREGGPPKLRITGIDVPQPGFRPTERIEETGQRLAEYAEKIGVPFEYQGIASKWETIRVEDLNIKKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQDIVLKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPNNN >OGLUM03G30490.1 pep chromosome:ALNU02000000:3:29045912:29047849:-1 gene:OGLUM03G30490 transcript:OGLUM03G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDATSSSSRAPRPKTEELDAALHAMGFEIERVSPAEVTGRLLVTPTCCQPFKVLHGGVSALIAEGLASMGAHMASGYSRVAGVQLSINHFRSAALGDTVLVRAAPLHVGRSTQVWAVKLWKLDPSTKEKGAQISESRVTLLCNLPVPESVKNAGEALKKYSKL >OGLUM03G30500.1 pep chromosome:ALNU02000000:3:29052604:29055969:1 gene:OGLUM03G30500 transcript:OGLUM03G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMCREMDELRSEVEALTAECRAKAELAEGLKRAGAEQAARLREARAEAERQAREVAARDEEISSSGEARRELEARLAEKEQALRHLCAAHEGLRSSARERSDALEAEKRELVAALEESEARRLEQEAAARSCGEEVARLRRLLSEKDKKCSEAEQRALAPKEVMMRDDMLLKMEDQKAAVEGKLKWKSEQFRHLEDALKKVQDEFRAAKKEWGSDRSMLVDQIGTLEVNLDSKTRMAEDFRSRLEMCSQALAHEEGRRKLLKAEMSELKHLYGNVVSDYEEARSTIESLTAKRDGEIASLRSSLAEKVTLLKEMEYGKARLEQENEDMRSSLKEHQEAQIGGADAVVSLKVLQQKFRALEQTHRNCIDKLRDKEAEWKTQMEKLGSELDGCLSQLDSKDTLIKQMQIELLSSYSSLEMQAVQNWEASVALVIVESKLYDSCSYFETIQLDMQKNCAQLEHNFAAARKQLEEDNCAIAQSQAERAQQVEVIATLHQRIEQLEHMEKEREEMQRQLDTYNLDNASRDVHCLKGESSEEEKGLHEKLQKALSDLDEAYSAVSERESELSQIEINLHKQKQAMEHLEELKLSMENELKGYMDENNVLKRDLIATTEIEKSLREEKEKLLGALNEANSALSEKNCELRQSEIILHQQKQALEHLEELRVNMETEIKGYIDEICVLKRDLDATHMAKIEAEKTYSEENEKLLCALDEVNCCLLDKKNELDQVTENLHQQMQAVEEFEKLRVSMETELGRYMDENSVLKSDLVSALNSKMDAEESLREEKDKLCSIIDERCRNIDELQQHIAVLEEENLDKKLDVAGLIKSEADRSIQEVNRKYSEIVEVFDKKLLELETRLSFFEQKYTCREQELMEMFDQEEADWYTLIAEKENAISEIQENVESAQVDIKHLVESAAEKLAEVQVEVRQLYCLAGNLNSLNLIQEHDNLFKDMLIEECERELKAVQVNLALEKQQSNNLKNDLEQLKAKATAEMLENAKEHLEVANKLRSLEERKEVLDEHVGELKSRTKNMCNAFVQERKYLFDELTGLVDTIGATIHVDEDLMTSLTKIMHKVNNEEAFRNSSSKEMLSSENINARNSAPLVRNKSVQLPDRRLPLKEHNY >OGLUM03G30510.1 pep chromosome:ALNU02000000:3:29056101:29059281:1 gene:OGLUM03G30510 transcript:OGLUM03G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLILHFLFSLVYRGSETDKIRPESPAYCPVNFITDVPVISSPMFIVRLNVAEDNMTNICFRKEGLHWSKQPRTVQACCKEVLVAGIRLANRHAGIVDKEFHIPLFRGQGISFCTQLIESACLTESLIESLSHETLQRHGYWLQRLSPNYHSNSQQALRLSISMATMAAFAASWPPAGRAPLTFLDMEGSGRTGHAGGGRSRRRWQQQQQQLWRRRS >OGLUM03G30520.1 pep chromosome:ALNU02000000:3:29062571:29063386:-1 gene:OGLUM03G30520 transcript:OGLUM03G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEETTMRLLCSHGGRLVPCGPGGGLRAQELAAGGGHSRQCFDDRRRLQSCCWCCHRRRDQCAAVPQPARPVRQLPAAMSKNVNGARQAAPAVSAAAKATGPVVFELENRRACWEFE >OGLUM03G30530.1 pep chromosome:ALNU02000000:3:29068982:29074675:1 gene:OGLUM03G30530 transcript:OGLUM03G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSLLLCWCSLLLLLLAAAAPPALALPLCTDSRAPVPLNGTTLGFCGGGGSGSSSCCGAADDAALRKRFEAMNVSDAACAGVVKSVLCAKCNPYSAELFNSSSKIRMVPVLCNGSASASSTQSKDSTQDYCKLVWETCKNVTILNSPFQSPLQGGATLPSSSSKLTDVWQSENDFCTSFGGSSDNQSVCLNGNEVSFSTSEPSPSPKGVCIERIGNGTYLNMAPHPDGSNRVFLSSQAGKIWLATVPEQGSGGILQFDEASPFIDLTDEVHFDSEFGLMGIAFHPKFATNGRFFVSYNCDRTQSSNCAGRCSCNSDVNCDPSKLGSDNGAQPCQYQVVVAEYSAKVSSSNVSEATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGNKGDPFNFSQNKRSLLGKIMRLDVDGVQSQSQIINQSLWGNYSVPKDNPFSDDRDLQPEIWALGLRNPWRCSFDSERPSYFYCADVGQDLYEEVDLISKGGNYGWRAYEGPYIYHPEWTPGGNTSLNSINAIFPVMGYSHSAINKNTGSASITGGFVYRGSSDPCLYGRYIYADLYASAMWTGTETPESSGNYTSTLIPFSCSKNSPIPCESASGSNQPSLGYIFSFGEDNNKDVFLLTYKGVYRVVRPSLCGYICAAEKPETNNNGTSPSGSSSLASGRRIGKLAVVMAFVLCALFF >OGLUM03G30540.1 pep chromosome:ALNU02000000:3:29078282:29082327:1 gene:OGLUM03G30540 transcript:OGLUM03G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRHNQTRQIPFATPIPPASIDRLSSPARRPSSPAPARRRAFHVHNTPPPRPHRTNSYDTPPHARIFPAGYARHHALRDNEAPPSSSLPASCSPSPTHITVHTPLLLPPRHCIHPISMDTHAVPRAAATVDLRWLLSVAAGAVFALLLLLAASPPFPLRPASLFTTTSPRRALPPLFVESSSTLSAPPPTPPPSPPRFAYLISGSAGDAPMMRRCLLALYHPRNSYILHLDAEAPDDDRAGLAAFVAAHPALSAAANVRVIRKANLVTYRGPTMVTTTLHAAAAFLWGRGGGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSDIGWKAFARAMPMIVDPALYMKTKGELFWIPERRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGEFRNTTVNSDLHFISWDNPPMQHPHYLADADWGPMLASGAPFARKFRRDDPVLDRIDADLLSRRPGMVAPGAWCGAAAAADGDSNSTTTGGAVDPCGVAGGGGEAVRPGPGAERLQRLVASLLSEENFRPRQCKVVEAN >OGLUM03G30550.1 pep chromosome:ALNU02000000:3:29089769:29091569:1 gene:OGLUM03G30550 transcript:OGLUM03G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGEECLCVDDVGIEDMYPNVYSSRAVKKLEPRELLEPDSSIAQFELQTTRDELSPTICQSSQPLRLAPKNSRSCWLKP >OGLUM03G30560.1 pep chromosome:ALNU02000000:3:29091761:29093102:1 gene:OGLUM03G30560 transcript:OGLUM03G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGGGGGQMVVVVLLVCVVLVSSAAMAGGARTGPVHLAGGTASSSSAPGPAVATPRGDAAGATTMTATTTTMTAAATTATFAADPYKDSKRKVPNGPDPIHNRFCKRGCRSLKKTRFGVEWKVSCQVDDDDDDDHIMDDGE >OGLUM03G30570.1 pep chromosome:ALNU02000000:3:29098029:29103446:1 gene:OGLUM03G30570 transcript:OGLUM03G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSVNSSHLYRSTSVQDFKSWRGSREWESLSSQFPNHGNEEAASSPRQVWWSLALFPLPPRGRPPIPLPRFCVVVVVTRSAPARCPDLDNQHLLLRGMEKSKEGKLSEGAGACRPGSTRTHWYTVVTASSIPAPEPTAPIRSAATVSAPTHTPPSAAAAGMYRSSSLCTLASRIPGITICCSRSRLATSRDDDPDTTTHALDSAAHAASTNATYIAAWSGFAAALAALAGAVT >OGLUM03G30580.1 pep chromosome:ALNU02000000:3:29103071:29104595:-1 gene:OGLUM03G30580 transcript:OGLUM03G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSSSSSSLFRLLDLVRPFVPLLPEVREPDGRRVPFRRKLACTAAALFAFLACSQLPLYGLHRAAAAGGGADPFYWVRAILASNRGTVMELGITPVVTAGTLVQLLVGSNLVRADSSNPDDRALLSAAQKLLSIVITAGEATAYVLSGAYGSVGVLGAGNAVLVVLQLVLGGMVAIFLDELLQKGYGFGSGISLFTAANTCEGVVTRALSPATVDRGRGAEFVGAVTAAAYLLATRASKLSAVREAFFRGGGGGGLPDLRGLAATCAVFLAAVYLQGVRVALPVRPRNAPRGHRGGAYSVRLLYTSGMPVVLLSSAVSSLYLVSQALYRRFGGSLLVDLLGKWTPDAAVPVGGIAYYVTAPASAASAAANPLHAAMYVAFVLAACAALSRAWVVVSGSSSRDVARRLREQQMVMPGMREASVQRELERYIPAAAALGGVCVGALTVAADLMGAVGSGAGMLLAVTTVYQCYEAFEKEKTY >OGLUM03G30590.1 pep chromosome:ALNU02000000:3:29105658:29109180:-1 gene:OGLUM03G30590 transcript:OGLUM03G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRGRRPRSPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVSSPEGLYLRDVISHLNMVRGKGMAAMYSWSCKRSYKNGFVWHDLGEDDLVHPATDGEYVLKGSELLDQSSSGQFYQGTNGNQKQQSRLKEGARLPLPREASYSSSPPSVIVREAKPRRSPSVPSLEEDDSPVQCRVTSLENMSPESEPQRTLLSRAGSASPAEFRVYKPTGCVDAATQTDDLGRRSVRKVPEMHKKSLSTDHDSVVREITEYRQSQPRRSAELQGIAREAMSQCHTPLSIPSSRGKSESLESLIRADNNALNSFRILEEDDIIMPTCPKLRPANVLMQLITCGSLSVKDHENIRLVEGYKPRFPNMKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPADRYSALKRSSSYNAERSNETLDCARPDEDTVNTSRARCLPRTPILSSFLHPKSEAMKSPISDCRRSSSAGPDCSLASSGDGSKRFTDATGAPGSRIDSFRKEEKLVKIEESCRQELES >OGLUM03G30600.1 pep chromosome:ALNU02000000:3:29115486:29120184:-1 gene:OGLUM03G30600 transcript:OGLUM03G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVVSFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAHPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALNGRKKVNEDLKKEANFFGDIVIVPFADSYDLVVLKTVAICEYATRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >OGLUM03G30600.2 pep chromosome:ALNU02000000:3:29115486:29120184:-1 gene:OGLUM03G30600 transcript:OGLUM03G30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRRAAAGCGCGRRLRPLLMLLPFAALLSVATFSLHSPVGLVVPAAVTVATSTDTDTASSHHHHHGLVGDAVSGIDIRALNATPPLHAAAVRAFRSGGRLLREAFLPGAAPPPAVGGGPDPSPPRCPPFVALSGAELRGAGDALALPCGLGLGSHVTVVGSPRRVAANAVAQFAVEVRGGGDGDGDGDEAARILHFNPRLRGDWSGRPVIEQNTRFRGQWGPALRCEGWRSRPDEETVDGLVKCEQWGGNYGSKLNELKKMWFLNRVAGQRNRGSMDWPYPFVEDELFVLTLSTGLEGYHVQVDGRHVVSFPYRVGYSLEDAAILSVNGDVDIQSIVAGSLPMAHPRNAQRNLELLTELKAPPLPEEPIELFIGILSAGSHFTERMAVRRSWMSSVRNSSGAMARFFVALTRVVSAKYIMKCDDDTFVRLDSVMADVRKIPYGKSFYLGNINYYHRPLREGKWAVSFEEWPREAYPPYANGPGYIVSSDIANFVVSEMEKGRLNLFKMEDVSMGMWVGQFVDTVKAVDYIHSLRFCQFGCVDDYLTAHYQSPGQMACLWDKLAQGRPQCCNPR >OGLUM03G30610.1 pep chromosome:ALNU02000000:3:29124420:29129053:-1 gene:OGLUM03G30610 transcript:OGLUM03G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] MAMPPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAEA >OGLUM03G30610.2 pep chromosome:ALNU02000000:3:29126704:29129053:-1 gene:OGLUM03G30610 transcript:OGLUM03G30610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] MAMPPLTTILLLAAAVGATCATSATASAAPLHGIANDLLPEYGLPRGLIPETIASYTFDNDTGDFEIRLTSTCYIWFGSHLAYFEDAIRGRIAYGTITGLSGIQAQKFFVWVSITTIVAHPDQGTVEFRAGFISEALPESDFAEVPVCGAGARLRGAAGLARQLGLQLPAVAED >OGLUM03G30610.3 pep chromosome:ALNU02000000:3:29124420:29126322:-1 gene:OGLUM03G30610 transcript:OGLUM03G30610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT1G55265) TAIR;Acc:AT1G55265] MSPQALLLAAAAAAIVVAGAASAAGGGVQPNGAANDLLPKYGLPRGLIPDSVASYSFDEATGEFEIHLAGTCYVWFGSHLVYYERSVRGRLSYGAISDLSGIQAKKLFLWVSVTGIVAHPDQGTVEFQVGFVSEALPASQFDAVPACGAGAQLRGAAGVIRELGLLPVAEA >OGLUM03G30620.1 pep chromosome:ALNU02000000:3:29137025:29141658:-1 gene:OGLUM03G30620 transcript:OGLUM03G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDDTHKLTSHTQHPPTPPPPHQKSAGPTPIPPPPPEKKSRHEPSPTIHRVHHPTHSCGKQKPSPKPTPHAPRRAAPQRNATATQPSPPPPPPQKACDLTRPTAAASSGAAGDHRTYSNPAFQVSGSIEEAWYDSFAMSESDGEDDFHSVQDDAFSLNGFENDAALSTRDANGGSFNGSSHSSEQHYRKPRSSELSRGNLENGVRSSVSHEDVASVSAEDSAHGGGGRILDDCGLLPNNCLPCIASAVGVNEKKRPLSTSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGSQVQLCLLEKKILNSWSHIDPGTFRVRGSNYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRYVQLPDVQISSKLPPLLVVNVQVPLYPASLFQNEIDGEGMSFVLYFRLSDAYSKELPPSFIENIRKLVDDHVEKVKAFPMETTIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEVDIDMHRFSYISRKGFETFLDRLKICMLDVGLTIQGNKAEELPEQILCCVRLNGIDYTQYKPLMTHGA >OGLUM03G30630.1 pep chromosome:ALNU02000000:3:29206171:29206683:-1 gene:OGLUM03G30630 transcript:OGLUM03G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLLLLLLLGGAAAAEEPPAAPSSSPPPHKNATLSEILPRYGLPPGLFPASVTAFSLAANGSLAVDLGGPCYAHYEYLTYFEPRVTGVLRYGSLTGLSGVKVRRFLVWFDVVRVKVDLPPPPRYVYLDIGWITRKLPADEFESPHECEDSKKCRLSSALATVAAWFQV >OGLUM03G30640.1 pep chromosome:ALNU02000000:3:29215931:29219016:1 gene:OGLUM03G30640 transcript:OGLUM03G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBW7] MAHVLVVPYPSQGHMNPMVQFARKLASKGVAVTVVTTRFIERTTSSSAGGGGLDACPGVRVEAISDGHDEGGVASAASLEDAVYHYVHEGKLAVPAPEQELATSRSAAFAGLPEMERRELPSFVLGDGPYPTLAVFALSQFADAGKDDWVLFNSFDELESEVLAGLSTQWKARAIGPCVPLPAGDGATGRFTYGANLLDPEDTCMQWLDTKPPSSVAYVSFGSFASLGAAQTEELARGLLAAGRPFLWVVRATEEAQLPRHLLDAATASGNALVVRWSPQLDVLAHRATGCFVTHCGWNSTLEALGFGVPMVALPLWTDQPTNALLVERAWGAGVRARRGDADADDAAGGTAAMFLRGEIERCVRAVMDGEEQEAARARARGEARRWSDAARAAVSPGGSSDRSLDEFVEFLRGGSGASAGEKWKALVREGSEAAASEM >OGLUM03G30650.1 pep chromosome:ALNU02000000:3:29219932:29227226:-1 gene:OGLUM03G30650 transcript:OGLUM03G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKSMEYGFKAAGLVFVVLLLQQAPVLIRATDADPLQDFCVADLDSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTDATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDTGVVELLKSKFTAMECFKTTLAGVVLVVLLLQQAPVLRANDPDPLQDFCVADLDSEVTVNGYPCKPTPAAGDEFLFSSRLATGGDVNANPNGSNVTQLDVAGWPGVNTLGVSMNRIDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGSLDTGNRYYSRVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >OGLUM03G30660.1 pep chromosome:ALNU02000000:3:29227338:29228111:-1 gene:OGLUM03G30660 transcript:OGLUM03G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSFKTIAAGVVLFVVLLMQQAPVLIRAADEDPLQDFCVADLDSKVTVNGYACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMXANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTEATMVVSFNSQNPGIVFVPLTLFGSNPPIPTPVLVKALRVDAGVVELLKSKFTGGY >OGLUM03G30670.1 pep chromosome:ALNU02000000:3:29230507:29231193:-1 gene:OGLUM03G30670 transcript:OGLUM03G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCFKTIAGFVIVVLLLQQAPVLIRATDADPLQDFCVADLDSEVTVNGHACKPASAAGDEFLFSSKIATGGDVNANPNGSNVTELDVAEWPGVNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVLRGELLVGIIGTLDTGNRYYSKVVRAGETFVIPRGLMHFQFNVGKTDATMVVSFNSQNPGIIFVPLTLFGSNPPIPTPVLVKALRVDASVVELLKSKFTGGY >OGLUM03G30680.1 pep chromosome:ALNU02000000:3:29241249:29246565:1 gene:OGLUM03G30680 transcript:OGLUM03G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPAVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFSDEEFWSISVYGHVDGGDEICRGNVLPAFSFRSWSWLAERTLTALQPGQFQGISTILDGMCGLLALTRVGSRRVIKISALFMIFFSLFGKFGAIIASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >OGLUM03G30680.2 pep chromosome:ALNU02000000:3:29241249:29246565:1 gene:OGLUM03G30680 transcript:OGLUM03G30680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPAVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFSDEEFWSISVYGHVDGGDEICRGNVLPAFSFRSWSWLAGLLALTRVGSRRVIKISALFMIFFSLFGKFGAIIASIPLPIFSALYCVLFAYSAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >OGLUM03G30680.3 pep chromosome:ALNU02000000:3:29241249:29246565:1 gene:OGLUM03G30680 transcript:OGLUM03G30680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVKAEELVAFVPKEQYDGVDYCITSPPPWLTAVLLAFQHYLVMLGTTVIVATILVPLMGGGHVEKAIVVQTILFLAGINTLLQVHLGTRLPAVMGASYAYIYPAVAIILSPRFAIVVDPFERFVYTMRSLQGALIIAGVVQAIIGFFGIWRIFIRFLSPLAAVPFVTLSALGLFYFAFPAVAKCIEVGLPALILLLLFTEYAAHFFARGSFLFGRCAVLVTVLVVWIYAEILTAAGAYNERSLVTQFSCRADRSGLIHGAPWVRFPYPFQWGYPIFFADDCFVMIAASFSTGTLMAVTRYAGATFCPPSVFARGVGWQGISTILDGMCGTLTGSVASVSVGLDASRKQKGYKDLSLVHDLLLATAAAGLCFLQYCNLNTLRTKFILSISLFLGLSIPQYFREYEVFYVFGPVHTHSPAFNVIVNVIFSSPATVAAILAYLLDCTHTYWDGPVWKDRGFHWWEKFKSYRHDPRSEEFYSLPYGLSKYFPSF >OGLUM03G30690.1 pep chromosome:ALNU02000000:3:29244992:29246227:-1 gene:OGLUM03G30690 transcript:OGLUM03G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASATSSRPKRDSPRIPPNYVSLRDLQELRRKEREEEEEQEMQQRRREVEAAAADKAEEEWRGSSEKSRGGSERSRGGERWAPVPHRASPPPPQARTEVAATARKVDGAIGAMAVAHRDAPPPPARVEAAAKKMDMEIGVVAVAHRVAPSPSRSSHGAVKKMDVAVGVLAAPQSEAPLPLPPPRREDAAKKKGRAIRGDAVRKGADEAAATPASAFQGRPKPKEKGKVAAGTKQPTAPAETATASSPGGTPEEKRKSKGKKASGDQGTAPVTSDAPCAPAEAAGASSRGRDNPASRRNRKKGAVSNSPDGKAPQPAPISNSPAAELGGNRRSGGALGTNGETKPEPVAEKPPVVEAKSTAPAASVVVGPTRPPSIGGPRRQHAGVWVPKVVAIPGPSRHSVVSVRKNN >OGLUM03G30700.1 pep chromosome:ALNU02000000:3:29275102:29277816:-1 gene:OGLUM03G30700 transcript:OGLUM03G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 family protein [Source:Projected from Arabidopsis thaliana (AT3G26360) TAIR;Acc:AT3G26360] MHRKEKIEGRSSSNATHTLGGGGGGGGREPAMQALARAARGILPATAAAPAARVQQARGIVVHVKDGNLERALGVMARKMRSSGIERLIRARSQIHHHVKDSEKRVLARKALMQRVRSQELGKKLRDILIKKIRGQ >OGLUM03G30710.1 pep chromosome:ALNU02000000:3:29280021:29280365:1 gene:OGLUM03G30710 transcript:OGLUM03G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPARAPAITKFLKPYVLKMHFTNNFVSAQVIHTPSATVACSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFRGIPAVSVSMSRDQTYHGKVRAVIDSLRAAGVKLL >OGLUM03G30720.1 pep chromosome:ALNU02000000:3:29281718:29290225:1 gene:OGLUM03G30720 transcript:OGLUM03G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIREETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNDGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSRRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELNIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >OGLUM03G30720.2 pep chromosome:ALNU02000000:3:29281718:29289617:1 gene:OGLUM03G30720 transcript:OGLUM03G30720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTPAADAQPLDEKELERKLKKDQKAKEKEEKRLKAKAKEAARLQAQAASDGPKKSEKKQRKKAVEDENPEDFIDPDTPHGQKKFLASQMAKQYSPTAVEKSWYSWWESSGYFGADAASSKPPFVIVLPPPNVTGALHIGHALTVAIEDSMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERNLTRHDIGREEFVSEVLKWKDEYGGTILNQLRRLGASLDWSREVDYLEIREETMLKVPGYNTTVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPEDGRYKHLHGRYAIHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINNDGGAQFVGMPRFTARVAVIEALKAKGLYKETKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNTMAQAGIDAVRSRRIEIIPQQYEQDWYRWLANIRDWCISRQLWWGHRVPAWYVILEDDQEKILGSDNGRWIVARNESEANLEAQQKYPGKKFELHQDPDVLDTWFSSGLFPLTVLGWPDDTADLKAFYPGSVLETGHDIIFFWVARMVMMGMQLGGDVPFEKVYLHPMIRDAHGRKMSKSLGNVIDPVDVINGISLDGLLKRLKEGNLDPNELNIATEGKKKDFPDGIAECGTDALRFALVSYTSQSDKINLDIKRVVGYRQWCNKLWNAIRFAMGKLGNHYTPPATISVTTMPPICKWILSVLNKAIGKTVTSLEAYKFSDATSAIYSWWQYQLCDVFIEAIKPYFFNDSQEFESARAASRDALWVCLDTGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMVSEYPSVVKEWTDDKLENEIDIALDTVNKLRSLKPPSDTNERRPAFALCRGQEITATIQCYQSLVVSLSSTSSLKILTENDETPPDCATAVVNKDLSVYLQLQGALNAEVELEKLRKKREEIQKLQHALSQKMEASGYKEKAPQNVQEEDMRKLTSFFEQLEIISEAEKKLDAKTGNN >OGLUM03G30730.1 pep chromosome:ALNU02000000:3:29291239:29292015:1 gene:OGLUM03G30730 transcript:OGLUM03G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAEVGSHGCDPPKTMANAVKSKRRYGDNAAFVSPPCAVGPCPYSAPFSYAAPGVAATTTTARDNVVAFASGGGGVAATTPAPAKKRARAQGQFLGADHVVVDLDPVVNQANHQHQQQQQQHGLRRRTAEAAEIERWRRHAMASLVDAAKRAAVMKDMEIERAWGLNRALVARLRGVQAQALAWRDAALSNRAEATALRAELERALQPPPPPPPPPAEPGDAESCCYGDNGDLLGGGEEEVGSDRLIHEAGVPVLR >OGLUM03G30740.1 pep chromosome:ALNU02000000:3:29293277:29294803:-1 gene:OGLUM03G30740 transcript:OGLUM03G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVPPLLVILVLLQSATRLPVAETSTADEEYMHRLAAATGAERLLGWKADSDPCNGSWVGVTCAPFDGNRIIQIDVRGLLRGGGTLPELDRQAGSLSHLRMLDLGDNNLTGPVPTLFLDRLLTLRLDGNAFSGLPHSFFHGMPELHYFSISDNPRLEEWGLWSDLLSLTELRVFNASNANINGTLQVFLGNLGAFPALAEVSLARNRLTGVVPEKLVSQSIAKLDLSSNGLSGSINFINNLASSITDLRLDHNHFSGPFPADLSGLYLLSVFSVAHNRLTGVVPPSLARVWRLSWVSVSDNLLQGPVPELPDSVKTDFAEAAVKGSFCRLDVHGPCDQETSSLLSVAAAFHYPEILAVSWRRDDPCDGWLGIHCGDGDGGGGGRNKVTGVNLSRLGLNGTIDPAFASLLYLEAIILAGNNLTGTVPASILQMPSLRVLDVSNNALEGTVLSVRHDVLILADGNRGGLNVTAIAASGSFSSSRFQLSEATTPFLTFAAVFVALFGY >OGLUM03G30750.1 pep chromosome:ALNU02000000:3:29294955:29299161:1 gene:OGLUM03G30750 transcript:OGLUM03G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEAVTPSPPLLLGVACGDEGARAGLPCLGDADVEGGAAVAGGLDLGDVVWAEIDGEVAEVEQLKLRWRRRQLGGRGLGSGKGARKKGSSAVARELGGGERARWWG >OGLUM03G30760.1 pep chromosome:ALNU02000000:3:29298408:29300698:-1 gene:OGLUM03G30760 transcript:OGLUM03G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITAINASRGGLVASLNGTDLSKLAFLSDLDLSFNELDDDLPVLPAPLPRLLSLDLRSNSFYSIPDGFFAGFPALQTFAFDDNAMLIKDIPNDVVTCSNLRSFTANNASICGTFPDYFGNATLFPRLERLSLARGTGSRRDFDGGGESSLNSRIDLFIPDMESLVEARLDDNAFTGPVPDATRLVNLRVFDASYNDLCAPLLPPSSLAAAEPPAAELPPPPPQFELLDLRYFTVDLSPDHITEVKSTGHCCSTFDVCVAKTWQARPCALIAAGDAEQERRRRGNCFYLVLATGSSGEVAGPTSSTWCASSGTRRRGSPPSSLAATKLPAAELPPSPPPSSPPPSSLAAVELLRHR >OGLUM03G30780.1 pep chromosome:ALNU02000000:3:29312085:29318665:1 gene:OGLUM03G30780 transcript:OGLUM03G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAAFLYLAGFLSDSSGGSVLTETDKTTVLKLQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNSIKRQKAGSQRSSGFSNEYIVITILVAAEGVHKLPSINGSGDLKTALQKLGAIPSRKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >OGLUM03G30790.1 pep chromosome:ALNU02000000:3:29316299:29329253:-1 gene:OGLUM03G30790 transcript:OGLUM03G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloride channel D [Source:Projected from Arabidopsis thaliana (AT5G26240) TAIR;Acc:AT5G26240] MARLAWTRLPTADGAGAGAEGPGPVPASPSSAGYELFAGGVESLDYEVIENYAYREEQAQRSKFWVPYYVMLKWLFSLLIGVGTGLAAIFINLAVENFSGWKYAATFAIIQHSYFVGFFVYIVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCKSGKCGHFGSGGFIIWDISGGQEDYSYQELLPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVKFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKFYKKLNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDFFNEGLYEVQAQLRGIPLLDSRPKQVMRNMSAKDACKNQKVVSLPRVSRIVDIISVLRSNKHNGFPVVDRGQNGESLVIGLILRSHLLVLLQSKVDFQNSPFPCGPGILNRHNTSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSHVVGLITRQDLLLEENGNNVTTELQSTSVRLHFPDESAAAAVMECVIGVVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEFIQKNLHLYQFRNNIPLSTAATANFTRGELATALRKNPYYVNVLLAGYDSDVGASLYYIDYIATFHKIEKGAFGYGSYFCLSLMDKLYRPDMSVEEAVDLVDKCIKEIRLRLVVAPQNFIIKIVDKEGAREYARRAYTDSPPEAATSEAATVAA >OGLUM03G30800.1 pep chromosome:ALNU02000000:3:29340149:29340511:-1 gene:OGLUM03G30800 transcript:OGLUM03G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPCVAAAVRRSMQEAAAGAGAVSYAQAQQGSPAAPGPRSTARSVETLVVIVAAIVLVAVLAGVVARACGGRHVAPSGDRDVEGWVERRCRSCLDSGLPPPPATAQQPQGSSKASDAK >OGLUM03G30810.1 pep chromosome:ALNU02000000:3:29347293:29351363:1 gene:OGLUM03G30810 transcript:OGLUM03G30810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTVQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >OGLUM03G30810.2 pep chromosome:ALNU02000000:3:29346891:29351363:1 gene:OGLUM03G30810 transcript:OGLUM03G30810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVHAAELNHRNNYGPLSSPNPISLRIRARLSLARAREPVAAAAASSSSSEEPEPQRRRAPPPIGRPLGEREREGEREIDRVLLAAASAPWLLTASMESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTVQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >OGLUM03G30810.3 pep chromosome:ALNU02000000:3:29347589:29351363:1 gene:OGLUM03G30810 transcript:OGLUM03G30810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTVQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >OGLUM03G30810.4 pep chromosome:ALNU02000000:3:29347725:29351363:1 gene:OGLUM03G30810 transcript:OGLUM03G30810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFLVGPASMESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTVQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >OGLUM03G30810.5 pep chromosome:ALNU02000000:3:29347190:29351363:1 gene:OGLUM03G30810 transcript:OGLUM03G30810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRPGGTNLVEPRGQGALPSGIPIQQPWWTTSAGVGAVSPAVVAPGSGAGISLSGRDGGGDDAAEESSDDSRRSGETKDGSTVQEKHHATSQMTALASDYLTPFSQLELNQPIASAAYQYPDSYYMGMVGPYGPQAMSAQTHFQLPGLTHSRMPLPLEISEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKARKPYLHESRHQHAMRRARGTGGRFLNTKKNEDGAPSEKAEPNKGEQNSGYRRIPPDLQLLQKET >OGLUM03G30820.1 pep chromosome:ALNU02000000:3:29357558:29358475:1 gene:OGLUM03G30820 transcript:OGLUM03G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQPPPAREPLLPSSASPPPYLDARAGADADADSYVLLVPVRLRRLRRGCRCRCLGPLLSTLALLSLAGFLLWPADPDVSVARLRLAHVSVSARPTVRVTISAALKVRVRNPDLFALDYGRLDVDIGYRGAPLGRVTSGGGRVRARAVSYIDANLSLDGISVVEDAIYLLEDLARGSVPFDTVAEVEGHVHLFFLSIPVKEGCDTMIGIFFVPNKGLISDHLSSSSRFTFHLEANVPYCGQCNTNSDSMRKADFLST >OGLUM03G30830.1 pep chromosome:ALNU02000000:3:29368069:29369100:1 gene:OGLUM03G30830 transcript:OGLUM03G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGVGTSTQGSQAGRAHTQPGLTIGRGFRTSPPDVFAGVGTSAHGLHRAWKSEQEASLGTRVWRINDCKES >OGLUM03G30840.1 pep chromosome:ALNU02000000:3:29398433:29399208:-1 gene:OGLUM03G30840 transcript:OGLUM03G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRWLRRRHCFGPRHQTLGSTLTSKEVFTWANSNNQRLLHMMDGCYCATSSSSLYHTATSIHDSMSTTARHPVALSTTSQQYS >OGLUM03G30850.1 pep chromosome:ALNU02000000:3:29404913:29406886:1 gene:OGLUM03G30850 transcript:OGLUM03G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZBZ4] MDANHDKVVESGSRGGRGPVRTICVFCGSRRGNRPSFSAAALDLGKQLVERELDLVYGGGSGGLMGLVSKTVHDGGRHVLGVIPSALLPEEVSGETLGEAKVVRDMHERKSEMAKHADAFIAMPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGYYNNLLSLFDKGVEEGFIDAAARNIFVLADNAGELLTKLTEAAAAAAAAVEGGDGDQVDGEATAAAAGLKRKRS >OGLUM03G30860.1 pep chromosome:ALNU02000000:3:29408039:29410329:1 gene:OGLUM03G30860 transcript:OGLUM03G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRPLRWLQATRLATRGEATRPGCYLVLTSSSSLDSTALRLQACKAGCDCNRGPFLLKATSASEINDEPATLGNGHIASWQQLASLSMTLPGGGVN >OGLUM03G30870.1 pep chromosome:ALNU02000000:3:29412557:29415585:1 gene:OGLUM03G30870 transcript:OGLUM03G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRRRSGAARDPLAERPYRYDHEDRIVRNRSALLDAINGHYAAALDRLPVEEMPALIPRLLKAGLCAGFSDPVSNIVVNTLSYKRLPERKPMVLGRPVDGKTAARRRRSALSRIVADTSDVTWHSPNHSRLRDMRMPLRSFEALVAFLVACFPYLPTWEALQYLRLANADLLAAARLVEEDRNTKAFSLASRTTKTALRCAAVAAWQPKPRAFVNRSFSLAARMEQVSGILAKEGRLSCTDVVNLHGLLTNHRPRSPGLAGVTAPQFLQNKVKQPPFVRTKSLKNIVVDKIYGLYLQVLAKMPREFLQRRYHRGLLKAGHCYGPFMNPAHNIVLNTVWYDTMFPAEEEYSEVAMICSRTLVSTACRSLLCLVAYLRACFPTVSRQQAIRYLLLAEVNLQRAIEMAGQEGHAMKDKFDRGIGFKAAATAAHHPDRDALVNFYLSAFFGPLPLKACGSFDVQLLSLMLSQEPSTSPHCSFETVPVLTEGASRLLSNIKQDFEAEQNFICSKGPEYDLHVICGLNPYVIKSGVSPLHYGDSSCKIRYKSKYSHVNFLASPRGSHSSDTVIPTLFFAECCNDNDITDEPLCWPIMGHPGRCFHCEYEGVKVVHPESQKYHGRDIDFEEMACKSHSNGIVNEDLVSSGESVTYSVGISQEDCIYFDFRRDVKCANFLNAHARMLEQRHCF >OGLUM03G30880.1 pep chromosome:ALNU02000000:3:29427465:29429920:1 gene:OGLUM03G30880 transcript:OGLUM03G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAIETNTAKAQHYELPTSFFKLVLGRHLKYSSCYFRDNSTTLEDAEVAMMELYCERAQIQCGQTILDLGITGICNSVTQKAFIEEQYKERQLSNVDIFLADINNFEMDRSFDRIISIGLFEHMKNCEALLRKISMWMKEDTLLFVEHFCHKTFAYHFEDNNNNDDWMTRHFFYGGTMPSASLLLYFQENVSVIDHWLVSGTHYARTSEEWLKRMDTNLASIRPIFDATYGRDASTKWLAYWRTLFISVAELFGYNNGEEWMMTQYLFKKK >OGLUM03G30890.1 pep chromosome:ALNU02000000:3:29496882:29500250:1 gene:OGLUM03G30890 transcript:OGLUM03G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNRSKLLGAINGFYAAALDRLPVEAMPALLPRLLKAGLCVGFLDPVSNIVVNTITYKKRVPVVAGGDPDGKSAAIPTRRRRRKALSRIVADTSNVGVCGPSHRLLRDMGVAARSLQALVAFLTNYFPYLRTCEALEYLRLADADLSVAVRLIEQDRSSDAFSFASLTTETALTCAAIAGWHPNPKSLVERLYSIASQIGEASNLLSMEGCLSCRAVKNISRLVKHQQQEPVDLVGATFLPRSLEIKEKQPPFVRMNTLKSILLDKIYGLYLDVIACLPMDGLRMRYHRGLLKAGHCYGPFENPVHNIVLNTVWYETMFPPQEEVSVQMICSRSLVRVACRSLNALVAYLRACFCTISEQQAMRYLFLTGANLWGAVEMARQECHAERNMLGLDLAWLQPPQHIILILMHL >OGLUM03G30900.1 pep chromosome:ALNU02000000:3:29500560:29501999:1 gene:OGLUM03G30900 transcript:OGLUM03G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSGDRARARGHHGDDDEEGVAVVGEDYPYCSDSDSDDDDDVDRYVFLARQPAPPVDRDDADADAGAEGTGCCKRKRRVVDDDDDGDGFSPRQPALLDGSGGQAAEEEDDDEGSSDDDDAMAPRGEAGGGGRGRGVGKVSRKKARLVDLIRSPLLPAELDGSDKAAPPPPRGVCPKKIAVVVQAKNRKRRRFVDGGEEQEPQPQPPVRGKRSRGPDLEASEGLQTASAAAAAAAAAAAAAEAAERASKRFVCSICGRCFGSHQALGGHVLGHKKKAKNAAIAAAARDATTTAVTEAVAPASQRQSFFAADINGQDERGCDGAEGSRYDDDEEKSPVVVDDVAHGDGEENDKIAGVVVASSHDGDSDVGKMKIVQHKCDECGKVCLTGQALGGHMSKHRRTRPAANGGEGPATATVADGGAQTVRLIGDDVCLQRAVAIAGFHSAQ >OGLUM03G30910.1 pep chromosome:ALNU02000000:3:29508034:29513210:-1 gene:OGLUM03G30910 transcript:OGLUM03G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 9 [Source:Projected from Arabidopsis thaliana (AT2G40090) TAIR;Acc:AT2G40090] MWRRAATAALALGAGAGGAAIAYSDDPSATLKICAHLPPRLLRDAATAATIAFDYQYSLWGLDPGTPAWVSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRASMLKRCPVSSYEQVCRVFGKDMGESPETVFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVIDIATVGLIVNTLHYIFPSFDYRWLVAEIRESAPKELDFLNEAKNSEKCVQNFRRLSPHIAGSIYVPKVYWTLSSSRILTMEFMDAKEVTDVKGIKELGIRPVDVSNLVSKAFSEMIFKHGFVHCDPHAANMMIRPLPQDSKKTFGWRRPQLILLDHGLYKELDYATRISYASLWKALVFADEKSIKENSVKLGAGEDLHALFAGVLTMRPWKSVIDPAVDHLVLDGSSNDRSELQMYASLYFPQISELLRRLPRVILLMLKTNDCLRSVNHALLKKLLAC >OGLUM03G30920.1 pep chromosome:ALNU02000000:3:29515982:29519863:1 gene:OGLUM03G30920 transcript:OGLUM03G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC01] MGRLFVMHLEGKVYSCKHCHTHLGLSSDIISKSFHCKHGKAYLFNKVVNVTSGVKEDRMMITGMHTVSDIFCVGCGSIVGWKYEAAHEKRERYKEGKFILERYKVSGPDGSHYFVTHDAHVGGSDVDDV >OGLUM03G30930.1 pep chromosome:ALNU02000000:3:29521768:29522621:-1 gene:OGLUM03G30930 transcript:OGLUM03G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEPSTSDSLVLATATNDRASCVGLVELLSENPAALARYPVGTEYDWEDEVTPASDVGDAEGAGSDFDLDFAFAPPVSAAKLVPADDIFAHGRIVPAYPVFDRNLLDLSPGDVAEPASTAAPSTDTYCAWTPGSAPSSPSLDIAARSTRGNCNSETTAKGVPAASTVVERERGRGKRREGRKVGPTCGWG >OGLUM03G30940.1 pep chromosome:ALNU02000000:3:29527643:29529892:1 gene:OGLUM03G30940 transcript:OGLUM03G30940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTPISASAAAGVDGVGAAVALAAATKKSAAAAAAVAEMAKTLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAVLRLLLSLPSVDVNRRCGSDGTTALHCAASGGSPSCVEAVKLLLAAGADADATDASGYRPADVISVPPKMFDAKIALQDLLGCPKAGHGVLRVVTRAANSMSSPVSSPTAEDARSPSASVMMTTKFADLPRVVTSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATATMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDIDSQLINDLCYSRIGSSTGNHTSRTKSLNPSNLDDLFSAEMVSSPRYSNADQGGMFSPSHKAAFLNQFQQQQQALLSPINTVFSPKSVDNQQLPSHSSLLQASLGISSPGRMSPRCVESGSPMNSHLAAALAQREKQQQTMRSLSSRDLGPSAARASGVVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTTAESINSVGPSPLMPPSVSNGEGSSLNAPLDGHDQAAVIGALLEQMQLDQHIGSLAT >OGLUM03G30950.1 pep chromosome:ALNU02000000:3:29533397:29535880:-1 gene:OGLUM03G30950 transcript:OGLUM03G30950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phytoceramidase (aPHC) [Source:Projected from Arabidopsis thaliana (AT4G22330) TAIR;Acc:AT4G22330] MADSMVSSFWGPVTSTTELCEENYAHSSYIAEFYNTVSNVPCVLLALVGLVNALRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYLYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFLVRFQVVFKLHYVGLCLLCIPRMYKYYIQTKDMAAKRLAKLWVLTLSLATLCWLFDRMFCKKLSHWYVNPQGHAWWHILMGFNSYFANTFLMFCRAQQRGWEPKITHLFGFLPYVKIQKPQKRE >OGLUM03G30960.1 pep chromosome:ALNU02000000:3:29535918:29537031:-1 gene:OGLUM03G30960 transcript:OGLUM03G30960.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGPHDPVADTRGRGPRQFEVEKAKKKKKRESETNESPTDPSTEEKERASRAESATKGKQGNLAVLSLHPCPSTRRAAYEREPHLEVFICCTHDLAPAEVTSETAR >OGLUM03G30970.1 pep chromosome:ALNU02000000:3:29540260:29540760:-1 gene:OGLUM03G30970 transcript:OGLUM03G30970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC06] MADRDRAGQYYQQQRGQVGETVKGILPEKAPSASQALTVATLFPLGGLLLVLSGLALAASVVGLAVATPVFLIFSPVLVPAALLIGLAVAGFLTSGALGLGGLSSLTFLANTARQAFQRTPDYVEQARRRMAEAAAHAGHKTAQAGHAIQGRADQAGTGAGTKTSS >OGLUM03G30980.1 pep chromosome:ALNU02000000:3:29542584:29545760:-1 gene:OGLUM03G30980 transcript:OGLUM03G30980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G27640) TAIR;Acc:AT3G27640] MATRPRSSPSFFGGLRARELGGGRVPLPRASAARLPYLADLSSDPGGRGSGVIAVEHAGDPAIPFAISFCKAEQISHLLAVADEDGYVGIYDTRRRLPSSSSSLEKSAETKMSDWVAHNNAIFDVCWIKDGSQLLTASGDQTELVFKSLFTAELIVTGSRDGSFALWDLRIDPKTPNGHREACLMSSLVVKQAHSPTQRNRTRSRAKAASTSITSVLYLKDDISIATSGAADNIVKIWDTRNIKLSLSNRSSQAAMQPLEGVKHGISCLSQDSYGAYIAASCMDNSALHMDKGPIKAYTGSKIESFFVKSAISPDGTHILGGSSDGNVYLWQVDQPERGPIILEGHEGEATSVDWCASEVGKIATSSDDSKVRVWNTERRVFPNTSSPTVIRKRITAPNTGSRSASHELATTSRDVGVAACTSADGELPTGSRSPLQPRVLEFGTPESAKKRAFRLFQEDSLDIRKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSSS >OGLUM03G30990.1 pep chromosome:ALNU02000000:3:29548592:29558739:1 gene:OGLUM03G30990 transcript:OGLUM03G30990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >OGLUM03G30990.2 pep chromosome:ALNU02000000:3:29548592:29558739:1 gene:OGLUM03G30990 transcript:OGLUM03G30990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >OGLUM03G30990.3 pep chromosome:ALNU02000000:3:29548592:29558215:1 gene:OGLUM03G30990 transcript:OGLUM03G30990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pescadillo-related [Source:Projected from Arabidopsis thaliana (AT5G14520) TAIR;Acc:AT5G14520] MPKHYRPAGKKKEGNAAKYITRTKAVKYLQISLATFRKLCILKGVFPRDPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFREIKVHRKKVKKAFAKKNKDLADRLLNRPPTYKLDRLILERYPTFVDALRDLDDCLTMVHLFAALPAVEGERVQVQRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYYQAEVQGQKITWLTPHALQQVLTDDVDFNVMLTFLEFYETLLGFINFKLYHSINVNYPPVLDPRLEALASELYALCRYMSSGRVPGNSEPAGLIEDKEDEDNKESSKTDESELRLAQLQHQLPTNEPGALMHLVQESTAADADDADAKECRSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFDETDEDITHQIVDRPTQSHVFLSREYVQPQWIYDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAAQSQVLPLPSLGDEDMENSLVEAIIDRSESNEIADKKRKLEMLEKQYHDELRMEYEGKTFSNRTADNQPDVVDKSDTKEADDHMEDSHKQAEKDAADISKTLMSRKQRGLLQAIEINQERKKDKVNLLKKRKKNADSSASAKGR >OGLUM03G31000.1 pep chromosome:ALNU02000000:3:29558669:29561266:-1 gene:OGLUM03G31000 transcript:OGLUM03G31000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03150) TAIR;Acc:AT1G03150] MTTIRRFCCDDLLRLSSVNLDHLTETFNMSFYMTYMARWPDYFHAAVSPGDRVMGYIMGKVEGQGESWHGHVTAVSVATEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >OGLUM03G31010.1 pep chromosome:ALNU02000000:3:29564013:29565219:-1 gene:OGLUM03G31010 transcript:OGLUM03G31010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGDRARRQLRRYRGRWRIGGEEGDREVRRLACGGAAVAESGMICGVVLTSGFVILLLLF >OGLUM03G31020.1 pep chromosome:ALNU02000000:3:29568642:29572775:1 gene:OGLUM03G31020 transcript:OGLUM03G31020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC13] MLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTNLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNIFLSCLRLSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRIPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTTAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCDIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >OGLUM03G31020.2 pep chromosome:ALNU02000000:3:29568642:29572775:1 gene:OGLUM03G31020 transcript:OGLUM03G31020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC13] MLGGLKDKLTGKNGNKIKGLAVLMSRKLLDPRDFTASLLDNVHEVFGNSITCQLVSATVADQNNEGRGIVGSEANLEQGLTNLPSVSQGESKLTVRFNWEMDKHGVPGAIIIKNHHSTKFFLKTITLHDVPGCDTIVFVANSWIYPVGKYHYNRIFFANNSYLPSQMPEALRPYREDELRYLRGEDRQGPYQEHDRIYRYDVYNDLGEPDRDNPRPVLGGSQKHPYPRRGRTGRIPTKKDPNSESRLSLLEQIYVPSDERFAHLKMSDFAGYSIKAIVQGILPAIRTYVDLTPGEFDSFEDILKLYRGGLKLPSIPALEELRKSFPVQLIKDLLPVGGSYLLKFPKPDIIKENEVAWRTDEEFAREILAGLNPMVIRRLTEFPPKSTLDPSKYGDQTSTITPAHIEKNLEGLSVQQALDSNRLYILDHHDHFMPFLIDINSLDGIFTYATRTLLFLRDDDTLKPLAIELSLPHIEGNLTTAKSKVHTPASSGIESWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVYKLLQPHYRDTMTINALARQTLINGGGIFEQTVFPGKHALAMSSAVYKNWNFTEQGLPDDLIKRGIAIKDPSSPSKVKLLIKDYPYATDGLAIWQAIEQWVTEYCDIYYPNDGVLQGDVELQAWWKEVREVGHGDLKDADWWPKMQSLPELTKACTTIIWIASALHAAVNFGQYPYAGYLPNRPTISRRPMPEPGSKEYTELDENPEKFFIRTITSQFQTILGVSLIEILSKHSADEIYLGQRDTPEWTSDPKALEAFKRFSRQLVEIESKVLNMNKDPLLKNRVGPANFPYTLMFPNTSDNKGAAEGITARGIPNSISI >OGLUM03G31020.3 pep chromosome:ALNU02000000:3:29565020:29567530:1 gene:OGLUM03G31020 transcript:OGLUM03G31020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC13] MEGGSNDFPGDLLRAVLQRLPPPDLARAACVCRLWRGVASDRAVLEAAFASPWGVRRVVGEPETRAFWRAASLARFALSHTVRRGDTVPGIALKYSIQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILQGSTCYIEMDYNARREVAVFYPQGRPSGKAESSTNTAAAERRSRRILESVKRSLHTDDGTAAYYLSVTDGDPRAAMMEYSEDLRCTSLEEICCMRTESKSC >OGLUM03G31020.4 pep chromosome:ALNU02000000:3:29565020:29570513:1 gene:OGLUM03G31020 transcript:OGLUM03G31020.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC13] MEGGSNDFPGDLLRAVLQRLPPPDLARAACVCRLWRGVASDRAVLEAAFASPWGVRRVVGEPETRAFWRAASLARFALSHTVRRGDTVPGIALKYSIQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILQGSTCYIEMDYNARREVAVFYPQGRPSGKAESSTNTAAAERRSRRILESVKRSLHTDDGTAAYYLSVTDGDPRAAMMEYSEDLRWERQQTGH >OGLUM03G31030.1 pep chromosome:ALNU02000000:3:29567938:29573311:-1 gene:OGLUM03G31030 transcript:OGLUM03G31030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRRPAAAIWRFRGGLERVDHRCALTAVDRDDGGSLLSASPDPGVGNKHNSVTARDIMEGDGLEEELGTVVVLDDDGPWHTMLVHLPVEPHSNMYKLMQSKRGRNGERSVLWSATVALTSWQVMLFPKTSWTLSRSEAVKSLGSSPLQLHSILDKH >OGLUM03G31030.2 pep chromosome:ALNU02000000:3:29567938:29573311:-1 gene:OGLUM03G31030 transcript:OGLUM03G31030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRRRRPAAAIWRFRGGLERVDHRCALTAVDRDDGGSLLSASPANMYKLMQSKRGRNGERSVLWSATVALTSWQVMLFPKTSWTLSRSEAVKSLGSSPLQLHSILDKH >OGLUM03G31040.1 pep chromosome:ALNU02000000:3:29573878:29574192:-1 gene:OGLUM03G31040 transcript:OGLUM03G31040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASSPRVVACVVVALLLLSFAVEPLEAVAAAAAPATATKPIRCRKCDHACKKSCKGYGRNSDCSVPCGDPSNKAGCKSCLQAYYSKCLNYCGQACRAVCIN >OGLUM03G31050.1 pep chromosome:ALNU02000000:3:29577498:29577764:-1 gene:OGLUM03G31050 transcript:OGLUM03G31050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAVAVCIVVLVLLQSSAVERAEAQAAGPQAAPMACSQCDQMCNSSCEGAGSEPCGYACGSCQGCMQAYFYRCLPRCGDYCRSNCV >OGLUM03G31060.1 pep chromosome:ALNU02000000:3:29587121:29592425:-1 gene:OGLUM03G31060 transcript:OGLUM03G31060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEFRSGRRAAALVVVICAVLLLSSAVERAAAQVPCSKCDHACKKSCKGYGRDSSCSLPCGDPSNKAGCESCLDAYYLKCLNYCGQSCHQIMAAASGRRRAAAFAVVICAALLLLSSAVERAAAQVPCSQCDQACKESCKGYGRDSSSCSAPCGDPSNKDGCESCLEAYYSKCVNYCGPSCHVTCTSG >OGLUM03G31070.1 pep chromosome:ALNU02000000:3:29601510:29606794:1 gene:OGLUM03G31070 transcript:OGLUM03G31070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC22] MLGGIIDTITGSSKQSRLKGTVVLMRKNVLDLNDFGATVIDGLGEFLGKGVTCQLISSTAVDPNNGNRGKVGAEASLEQWLTSSLPSLTTGESRFGVTFDWDVDKLGVPGAIIVKNHHSNEFFLKTITLDDVPGRAGAVVFLANSWVYPADKYRYDRVFFANDAYLPSQMPAALKPYRDDELRNLRGDDQQGPYEEHDRVYRYDVYNDLGSPDSGNPRPILGGSPDTPYPRRGRTGRKPTTTDPDSESRLSLVEQIYVPRDERFGHLKMADFLGYSIKGIAEGIVPAIRTYVDTTPGEFDSFQDILDLYEGGLKLPDVPALEELRKRFPLQLVKDLLPAAGDYILKLPMPQIIKQDKEAWRTDEEFAREVLAGVNPMMITRLTEFPPKSSLDPSKFGDHTSTITAAHIGSNLEGLTVQQALDSNRLYILDHHDRFMPFLIDVNGLEGNFIYATRTLFFLRGDGTLAPLAIELSEPMIQGDVTAAKSTVYTPASTGVEAWVWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLSPHYRDTMTINALARQTLINAGGIFEMTVFPGKYALWMSSMVYKNWNFTEQGLPADLIKRGVAVEDATSPYKVRLLIKDYPYAADGLEIWHAIEQWVGEYLAIYYTDDGVLRGDAELQAWWAEVREVGHGDLKGAAWWPRMDAVSELRDACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYGELGRDPERAFIRTITSQLQTIIGISLIEVLSKHSSDEVYLGQRDTPAWTSDARALEAFRRFSDRLVEIEGKVVGMNGDAGLKNRNGPAEFPYMLLYPNTSDVTGAAAGITAKGIPNSISI >OGLUM03G31080.1 pep chromosome:ALNU02000000:3:29606537:29609489:-1 gene:OGLUM03G31080 transcript:OGLUM03G31080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNHATVKDDEILHLKQSWELAESRLDHHNTKTKLAKSWERLAKSLLLRAKPQPVLPHARAAKKRMLFATIRGFYLQAMARLPADELRARYHRSMLKAGHCYGPLDPVFNIIVNTIWYDQAAFSQSKPCTLQMISTKCLMRIVARSFYGLLSFLCTRYPDCSPDQAMGWLQMANADLRIVDPALGYMSNKITRTDNISMSFCCNLPLQVGIGCLCFRAEASRRPVTPSAGVHEAYAAAAAAAFHPAPLAQQELLASPDNPAKLGFVYLHALQHGDRLCSDDVTLISLLFRKRHFELRHQQQPEPKRLCNDAYIALCHRRFKFWLHHDLVCKNVEVALATFNLDKVHKYRLHFICGVNECVSGLEYGPVRSNSPWRIYKYNHSHINILAICDDPQSANDPATLFFAECSNYSVHEESWCIPVVSPHRDTELVRCIYCESKGTRIVHPGEKSFHGRDTEFEKVMRGERLFPGLQRGSYSNIRLAERIDADWVDNLEEDCIYITACAADNDRRVNPLNYPPMYRERVLPCCEAASDRS >OGLUM03G31090.1 pep chromosome:ALNU02000000:3:29623907:29630306:1 gene:OGLUM03G31090 transcript:OGLUM03G31090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC24] MQVQGFFDRLTGRNKEAWKEGRIRGTAVLVKKDVLGLGDFHASLLDGVHNILGHKEGVAFRLVSATARDPSNGGRGKLGKPAHLEELVVTMKSTAAGESVFRVAFEWDESQGIPGAVVVTNSNRSEFFLKTLTLDGVPGKGTVVFVANSWIYPADNYQYERVFFANDTYLPSKMPAPLIPYRQEELNILRGDGKIGPYKEHDRIYRYDYYNDLGQPDKGSKLVRPVLGGSQELPYPRRGRTGRAPTKTDPNTESRLPLLDLNIYVPRDERFGHLKMSDFLGYSLKAIVEGVLPIIRTYVDTTPKEFDSFQDIMELYEGGLKVANASALAEIKKRVPFELIKSLLPVAGDQVLKLPLPHVIKEDKFAWRTDEEFAREMLAGVNPVMIKRLTNFPAKSTLDPNVYGDHTSKITEAHIKHNMEGLTVQNALKGNRLFILDHHDHFVPFLDKINKLDGNFIYASRTILLLKDDGTLKPLAIELSLPHPDGQQHGAVSKVYTPANTGVESQIWQLAKAYASVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDSMNINALARQTLINADGIFEKTVFPGKYALEMSSVVYKNWKFTEQALPVDLVKRGVAVPDPTSPYNVRLLIKDYPYAVDGLVIWWAIERWVGEYLAIYYPNDGVLRGDEELQAWWKEVREVGHGDLKDQDWWPKMDTVQELTRACTIIIWIASALHAAVNFGQYPYAGFLPNRPTVSRRPMPEPGTEEYAKLERGGDEADLVFIHTITSQFQTILGISLIEILSKHSSDEVYLGQRDTPEWTSDAKALDAFKRFGSRLVDIENRIKDMNGNSALKNRNGPVKMPYMLLYPNTSDVTKEKGQGLTAMGIPNSISI >OGLUM03G31100.1 pep chromosome:ALNU02000000:3:29633569:29641513:1 gene:OGLUM03G31100 transcript:OGLUM03G31100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRGIESLATGDGRHHLSRTLGPVLLISMGYIDLGKWVATIDAGSRFGYDLVILVLLFNLSAILCQYLSMCIGMVTGKNLAEICREEYSPSICVILGIQAGLSLLTAELTMLSGISVGFNLVFEYDDPIAGLYFASVVVNLLPYTMSYLGKRMAGTLNACVAGFALLCFVLGLLVSQPKIPVDMNAMFPKLSGESAYSLMALLGGNGQRQSTTLSLGALFHDHLFSILFIFTGVFLVNYVLMGSAAVESNNTLVTFQDSVDLMNQMFMNPMAPIVFLVILIFSSHVISLTSIIGSHAILKNFFGVNLPHSAHHLLLKAVAMVPTMYYAKVAGSEGIYQLLIICPVIQAMFLPSSVIPVFRVSSSRVIMSRYKISLYVEILAILAFLLMLFTNIIFAAEILFGDSTWTNNLKGNTGSPVVLPHAIVVLISCASITFTLFLAVTPLKSASNEPETQELSEHSQREDPDTTYQREASNEPETQELSEHSQREDPDTTYQIEVSNERETQELSEHSQIEDPDTFYHREELSLVEQKEDHTTSTINAIPRISSESYQTSALEHNDFPDITVESGHGTQQLTAFVPTIPEVSSSIKHKEPKSVVIDRTEPVPKVCTATVVEHNTAENIKMKSTTSKHVQEEAGASMDYDTEASYNAEVSKSSGNKAPPISDDPTSLTLSKGRDSDAGYRGSNLSRLPGLGRAARRQLAAILDEFWGHLFDYHGKLTQEANAGRFNFLLGPYPKAVRSDNQAIEASRSPLMRDAIRGSATIQKSWDSRAKEVSSPGFNFGLQMGRIGSSNWSESMCLSNADIPRPTSTLFEQNTQFYSNYNVPSYPDNQFYQPATIHGYHLATSLKSMNASHSTHSSISLDPRRLPRSSESAGSNYADSARYARNQDVIGSQGTASQNTTMSCLDTMTVERAFYNPASVNEIEGVGSSAYSKKYHSSPDISALIAASRNYLPNEVNLGGAAGSSSYFSNLACERSQYVNLGSSSTAQFALSKHSQPNFHRDTSSMQSSVNPSTESIWAQQPFEQLLGVSRAELNKGEGNTDQRSSGVTKDDFSNKEYEVKLLQSLRFCIMKLLKLEGSGWLFEQNGGCDEKLIDQVAVAERVSQHTTENQLSADLQLHSSDEDLQPLQRNDNRDANCMSLLPKCGDDCVWQAPLIVSFGVWCIRQILNLCLVESRPELWGKYTYVLNRLQGILDPAFSKPRKPMKGCICLQKVAKPISGTFTTAGMILEMIKDVEQAISSRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKTSAGQ >OGLUM03G31110.1 pep chromosome:ALNU02000000:3:29642742:29643119:-1 gene:OGLUM03G31110 transcript:OGLUM03G31110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASFVDDDLAPPPSLMTMAGATTRRPGGAQWCRGLDGGGGERGQRGEQAAVKAEEVPAVSRWAVVVAAASEAWLPASTCGLRRSERKTATPAGKWRERAADNGEDTRRRGGRRGTPPGAPGAR >OGLUM03G31120.1 pep chromosome:ALNU02000000:3:29645832:29654926:1 gene:OGLUM03G31120 transcript:OGLUM03G31120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAEGDAAAAAAVLGADPAGLTALLGDLTSPANEARSRAEGMFHALRASHPDALALRLAHLLLSPAHPSAPMAAVLLRRLISPGSQAFVYPGLSPATQSSLRALLLSAASATGLSKSISKKLSDAVAELASFLLPSNAWPDLLTFLYKSIASSSSPPALQESALNILARLASHLAAGFPNLHALLLSALSHPSSADVRVAGLNAAISLIQSLPSAADRDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVGSMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVLMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFASEEWKRRHAALVTIAQIAEGCARVMIKNLEQAHAASAILNFSENCRPDILTPYLDGIVGKLLSLLQTGNQMVQEGALTALASAADSSQEHFQKYYDAVMPYLKSILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVSVTSAGPEDENGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKSQSQGRDESYLKQLSDYIVPALVEAIHKEPDTQICASMLESLNESIQLSGTLLEEGQVRSIVDGIKEVITASALRRRERTDRAKAEDFDSEEEDLLREENEQEDEIFDQIGDCLGTLVKTFKTYFLPFFDELSVYLTPMLAKDKTVEERRIAICIFDDVAEHCREAAVRYYDTYLPSLLEACTSENPDIRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDGIDASQVVPAWLSCLPIKNDLIEAKIVHEQLCTMLEKSDRELLGHNNQYLPKIVSIFAEILCAGKDLATEQTFSKMVNLLRQLQTTLPPSVLASTWSSLQPQQQLALQLKSNRFDSSMAIVVRGRLRLDSVGHWENLKKEPVFTF >OGLUM03G31130.1 pep chromosome:ALNU02000000:3:29655996:29658494:-1 gene:OGLUM03G31130 transcript:OGLUM03G31130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNYPSILRIRHAEPPIRLSKIQSKANPLCECATATHPHSGEPMDVLKRELQRKRQLLDADFGGRKLLRRAEIERREMERIREAERRLLLQKQLRSSSSHHRAASRSTTTPGAPDGDESSRAEHRGDGEEKEGAPLLPREEVIRRLRVLRQPATLFGEDDAARLRRLHAVLEDPAALADVEAAEIGEGQTNDFLRDIQALRAKAVAASASAAAKPKAGAATAESGGETREEVPFEELCDEDKIMTFFKRLMSEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLEPLFKLCKKKHEDVDRQKLALPEDVRGSLLEVVRCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYANSVAHIMNDETTRKYLQSVKRLMTFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLRLVAAS >OGLUM03G31140.1 pep chromosome:ALNU02000000:3:29659584:29663071:1 gene:OGLUM03G31140 transcript:OGLUM03G31140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G32150) TAIR;Acc:AT2G32150] MASTAAASSPFDCVLFDLDDTLYPGSAGIGLATKRNIDEFLMARCGVTAERAAALRVELFRSYGSSLAGLIALGYDVHPDEYHSYVHGRLPYDRIAADPQLAGLLRSIPQRKILFTNSDRAHMRKALQRLGVDEGCFDAVVCFETMNPHLFGEAPCASGDDRPGVILKPSPDAIVAALRVAGTNPHRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGSLRRAIPEIWGGVAVAVAGEQLDHGAAEKTKGMRSDLDSIIQPTSVLA >OGLUM03G31150.1 pep chromosome:ALNU02000000:3:29665597:29668056:1 gene:OGLUM03G31150 transcript:OGLUM03G31150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCLLPSTPPCCSCGAAGGGGSPSRTPRLARTAAPFASSSSSSSGAASSGGGLQLACRRRRAGVARGGGGKGDGGASGGAEFFGEDGVVEDMDGYLNYLSLEYDSVWDTKPSWCQPWTILLSGAVAIAGSWLPIHSAVITGGVSFVICAWWYIFLYSYPKAYTEMIAERRRKVASGAEDTYGMEKSQ >OGLUM03G31160.1 pep chromosome:ALNU02000000:3:29668822:29677954:1 gene:OGLUM03G31160 transcript:OGLUM03G31160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMITGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGRLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDVYEMLDLLTWELIA >OGLUM03G31160.2 pep chromosome:ALNU02000000:3:29668822:29678887:1 gene:OGLUM03G31160 transcript:OGLUM03G31160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMITGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGRLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDVYEMLDLLTWELIA >OGLUM03G31160.3 pep chromosome:ALNU02000000:3:29668822:29678275:1 gene:OGLUM03G31160 transcript:OGLUM03G31160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMITGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGRLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDVYEMLDLLTWELIA >OGLUM03G31160.4 pep chromosome:ALNU02000000:3:29668822:29675347:1 gene:OGLUM03G31160 transcript:OGLUM03G31160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVRTKRPPPQPLPRRPPPPKPSAESKPAKARAPATSLLESLKSFKSRLAAGPPLAPTPKSFKSYAETCASILRLCSAAAAASGTAAASSNLPLVLSIHAHALVSGLTADGSVASHLLTAYAAFARAADRDGAFRDCVSVVGAASPFAYDFMVREHVKAGDIVSARRLFDGMPERSVVSYTTMVDALMKRGSVRDAVELYRQCPLCSVPFFTAMITGFVLNELPKDALGVFHEMLSCGVSPNEITLVSVIKACIGAGEFDLAMSIVGLAMKSNLLDKNLGVRNSLITLYLRKGDADAARRMFDEMEVRDVVSWTALLDVYAELGDLEGARRVLDEMPERNEVSWGTLVARHEQKGNAKEAVSLYSQMLADGCRPNISCFSSVLGACASLQDLRSGRKIHNQTLKMACSNNVFVSSALIDMYCKCKQLPDAQMIFYSLPQKNIVCWNSLISGYSNNSKMVEAEELFKKMPARNVASWNSIISGYAQNRQFIDALKSFHAMLASGQSPGEITFSSVLLACASLCSLEMGKMVHAKIIKLGIKESIFVGTALSDMYAKSGDLDSSKRVFYEMPKRNDVAWTAMIQGLAENGFAEESILLFEDMISAGITPNEQTFLAILFACSHSGLVEHAMHYFEMMQACGISPKAKHYTCMVDVLARAGRLAEAEDLLLKIESKSEANSWAALLSACNIYRNKEMGERAAKRLQELDKDNTAGYVLLSNMYASCGKWKDAAEMRILMKGINLKKDGGCSWVQIRGQYQAFFSWETKHPLLPDVYEMLDLLTWELIA >OGLUM03G31170.1 pep chromosome:ALNU02000000:3:29677246:29678085:-1 gene:OGLUM03G31170 transcript:OGLUM03G31170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLRRARWALVDHPAVASFRWEPGRTPASTPSFAAAVICAYLATVFLLHRRVVPLPSPHPRALRAVSALHSAVLLALSAAMAAGCVLSVAATAPSAWWAFCFPPGGATAASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAAVIAMCYLWLATRQSLMPIALATNTAVHVAMYGYYLCCSLGLRWPPRWKRAVTELQIAQFLFSFAASAVMLWRHFAAGGCEGMAGWAFNAVFNASLLALFLDFHGAAYAAAKGKKSRSEVVKEE >OGLUM03G31180.1 pep chromosome:ALNU02000000:3:29680377:29680865:-1 gene:OGLUM03G31180 transcript:OGLUM03G31180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSQKKKRPSGPNPSIKSKASSSGQKPKPSKPTEEEREEKAAAAVAAEKPKKKKATNEIDEIFQATKSSGKKRKQQQQQGEEESVRAKKPKERSEGAKKSNKAKKGSKGRDTDDDDEVEEKRPRRRTADGLAIYSADELGFGKSDAGGTPLCPFDCDCCF >OGLUM03G31190.1 pep chromosome:ALNU02000000:3:29681516:29683999:-1 gene:OGLUM03G31190 transcript:OGLUM03G31190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPPVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESMHQQTELSPDNMTELDVADIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >OGLUM03G31190.2 pep chromosome:ALNU02000000:3:29681516:29683999:-1 gene:OGLUM03G31190 transcript:OGLUM03G31190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKIPLEVAHTLVEIAEVARYAIEHRRGHGPAHDGVSPPPVDGEEAERLRAENVILRARLADDLSILRELQGEPCVSQECPADLHNRLVAAVNNASFLAQLEKIRDESMHQQTELSPDNMTDIPYTEGGGKNGSWVLVACDKPGANMEEISGIDNENYVLVNDDDIIDGMTSFIARCILEDPKSKSISPVELQKAVAMALSTLNDKWKWMSIWEAGKVLYILATWGITIVGLYRSRHVLKIAAKGAVVSAKFVMKAL >OGLUM03G31200.1 pep chromosome:ALNU02000000:3:29681531:29683924:1 gene:OGLUM03G31200 transcript:OGLUM03G31200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLADTTAPLAAIFSTWRLLYNLRKIKGNSQRKKGDISVWTATKTQDPFFPPPSVYGISATSSSGFDSSIKQKVIYIVVGTM >OGLUM03G31210.1 pep chromosome:ALNU02000000:3:29686146:29689381:-1 gene:OGLUM03G31210 transcript:OGLUM03G31210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDCIEPLWPTDELLIKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEQLDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGGTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSTVSINLPVVNQVFSSNRAIIIPHTSPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSYAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIAAIKRLSVSVMLAPDLPLCAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPDFHPTASDDNFYLKVQIKDTGCGISPQDLPHVFTKFAQSQPGGNRGYSGSGLGLAICKRFVTLMGGHIWLDSEGTGRGCTVTFVIQLGICDNTNAYQQKLIPLVWPSSGDADFVGPVPNAPNEEKGQASLKSRYQRSI >OGLUM03G31220.1 pep chromosome:ALNU02000000:3:29689409:29690485:-1 gene:OGLUM03G31220 transcript:OGLUM03G31220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHSSGREATIASTRRAVGFFFSPPPLLPFPGGGLVVADLTAPHWLPPCRAACLCASGWLSYLPFLRRVLLSLLSPRALAPPPLGHRRSPPPPTTTREGVW >OGLUM03G31230.1 pep chromosome:ALNU02000000:3:29695197:29704261:1 gene:OGLUM03G31230 transcript:OGLUM03G31230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGFFIGPDCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >OGLUM03G31230.2 pep chromosome:ALNU02000000:3:29695197:29704261:1 gene:OGLUM03G31230 transcript:OGLUM03G31230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >OGLUM03G31230.3 pep chromosome:ALNU02000000:3:29695197:29704261:1 gene:OGLUM03G31230 transcript:OGLUM03G31230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARAETSKGSIQRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >OGLUM03G31230.4 pep chromosome:ALNU02000000:3:29695197:29704261:1 gene:OGLUM03G31230 transcript:OGLUM03G31230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWREALFRQIATFLLLFFLNILLSSFMIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >OGLUM03G31230.5 pep chromosome:ALNU02000000:3:29695197:29704261:1 gene:OGLUM03G31230 transcript:OGLUM03G31230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLHVVSFLVVLLLQLRGNTLPNGDIYVGSFDGLVPHGPGKYMWTDGALYDGEWDKSKMTGRGLIQWPSGASYEGDFRGGFIDGAGTFKGVDGSVYKGSWRMNKKHGMGTMVYSNSDTYEGFWNEGLPDGFGKYTWADGNVYIGRWKSGKMNGSGVMQWINGDTLDCNWLNGLAHGKGYCKYASGACYIGTWDRGLKDGHGTFYQPGSKIPCNLEVSDCLTSHDGTSASSSSNEKITIGLLFLLQKLCKNWRLRRFLHRPRRISNGTTPVFDDNSGSHLCQDVSSKSFSADDQCLQDSEVDKDSVYEREYVQGVLIMEQPKNEDSRMSESGIAQENNWEKQAKGPMETIYKGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIKMYFPCEGSQYTPPHYSVDFFWKDYCPMVFRNLREMFHIDAADYMMSICGGDSLKELSSPGKSGSIFYLSQDERFVIKTLRKTELKILLKMLPKYYNHVKAYDNTLITKFFGVHRITLKPGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHIALDCMFLESQSIIDYSMLLGIHFRAPNHLKSITSCQNALESTGISAETECSVALHHEETISSKGFLLVAADEPGPAVRGSHIRGSMVRAAEGGYEEVDLVLPGTGRFRVQLGVNMPARARKVQEDVNVEVENRDTIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPNLYSRRFISFLEKVFPEQD >OGLUM03G31240.1 pep chromosome:ALNU02000000:3:29702462:29706095:-1 gene:OGLUM03G31240 transcript:OGLUM03G31240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-protein interaction regulator family protein [Source:Projected from Arabidopsis thaliana (AT1G15200) TAIR;Acc:AT1G15200] MAAATEKTAEEIRRELQELQRQHREISERLRDPRGLRRGAPAAAGPGGPRPLRGFPRPAVDLVDQSAPKRRILSAVVKVEDTEAKEDVKKEAEAERPEGGSAAAEGGERRDGGFRRDGSQRMPRRELDMSLPEPLPREFPKDEDQSLVKRNKRMLGKLLVGTLEKFQQEDKKLSNTEAYMRRSEVQRKADQKAREESERLRQQEREQAIEKRKRDMMLRARVAAKAEEKRLELLYMQWAEHHKKLSNFLRTTAEPPIYYMPAKPIIDDPAIAEENKEKAFQEWKSERRAELTQFQKQVEEQYMSNVERQLERMQNARNARRGNGPSNMQEMDKELDTHRAEHGPKTRRVLEGGNDDEDDMDDMAVEDELMDEVLGINEPISDEQTKPSEEAADGVPVSEEVQ >OGLUM03G31250.1 pep chromosome:ALNU02000000:3:29710672:29712192:-1 gene:OGLUM03G31250 transcript:OGLUM03G31250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC48] MHVVICPWLAFGHLLPCLDLAQRLASRGHRVSFVSTPRNISRLPPVRPALAPLVAFVALPLPRVEGLPDGAESTNDVPHDRPDMVELHRRAFDGLAAPFSEFLGTACADWVIVDVFHHWAAAAALEHKVPCAMMLLGSAHMIASIADRRLERAETESPAAAGQGRPAAAPTFEVARMKLIRTKGSSGMSLAERFSLTLSRSSLVVVRSCVEFEPETVPLLSTLRGKPLAFLGLMPPSHEVRREDSEDATVRSLDAQPAKSVVYVALGSEVPLGVEKVHELALGLELAGTRFLWALRKPTGVSDADLLPAGFEERTRGRGVVATRWVPQMSILAHAAVGAFLTHCGWNSTIEGLMFGHPLIMLPIFGDQGPNARLIEAKNAGLQVARNDGDGSFDREGVAAAIRAVAVEEESSKVFQAKAKKLQEIVADMACHERYIDGFIQQLRSYKD >OGLUM03G31260.1 pep chromosome:ALNU02000000:3:29731040:29732236:1 gene:OGLUM03G31260 transcript:OGLUM03G31260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGWSGIHDDMLLLVVGRLPALDLLRFRAVCASWRAAAAIFVDGRGRPRPDRPWLLLPADAPDPDDGCRFVVSRDREVPVVALPARLGRDGGRGFVPLGSSRGVIVAADDRGEMHLLDPVTGKRRALPPVISLPLVDGVEGGPAGLNVRHGGGTVSRIDGLIHKAVPVPAPDGGLLVVVIYRQVHHRNQWATARPSDRAWKSVKPTSIPAVVDVAVHRGQLYANTRYGMVYAFPELRGLGSASPEIIPSVTRRPNAYVERSFLVESPPGSAGGRRGLMQVELLRPVAASGGGEDEEEGFVVRVLDECGETWEEADDIGDVAVLVDASGAVAASTRECPGLRPSTVYFAVDLAGETRVCAYSLAAAGKGKHKRIEVIESIPMAEGYKPPCFWFAPVYTP >OGLUM03G31270.1 pep chromosome:ALNU02000000:3:29737099:29738731:1 gene:OGLUM03G31270 transcript:OGLUM03G31270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAHSSQSPLHVVIFPWLAFGHLLPCLDLAERLAARGHRVSFVSTPRNLARLPPVRPELAELVDLVALPLPRVDGLPDGAEATSDVPFDKFELHRKAFDGLAAPFSAFLDTACAGGKRPDWVLADLMHHWVALASQERGVPCAMILPCSAAVVASSAPPTESSADQREAIVRSMGTAAPSFEAKRATEEFATEGASGVSIMTRYSLTLQRSKLVAMRSCPELEPGAFTILTRFYGKPVVPFGLLPPRPDGARGVSKNGKHDAIMQWLDAQPAKSVVYVALGSEAPMSADLLRELAHGLDLAGTRFLWAMRKPAGVDADSVLPAGFLGRTGERGLVTTRWAPQGRKLGVAVPRNDEDGSFDRGGVAGAVRAVVVEKEGKTFFANARKLQEIVADREREERCIDEFVQHLTSWNELKNNSDGQYP >OGLUM03G31280.1 pep chromosome:ALNU02000000:3:29742260:29742862:-1 gene:OGLUM03G31280 transcript:OGLUM03G31280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHHHHHHHSHQIKAPAPTWLIVKATPPPRDGAKKLAAAAYSPLLLSPSVWQKAQDAKKGKADGGDGALPASPRITCMGQVKGRPRRCSGARRGDRPAARAGSSGLLERLTLGLFGRRRRGRTSSRACSKVRDVPTCSSAQSRGKIYCGRGGAAAVCTLDPPLPVVIRRPAADDEAPTLWERRRGGGGKALETLRLT >OGLUM03G31290.1 pep chromosome:ALNU02000000:3:29746682:29751678:1 gene:OGLUM03G31290 transcript:OGLUM03G31290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGVVTFFLVRVFKVVAPVKMTFQIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMVLVSVGVVVSSYGEIHFNIIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFVPWFLLEKPEMDVSQIQFNYWIFFFNAVAAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESIITSLNIIGYAVALSGVVMYNYLKMKDVRANQLPADNAPDRATKDKKISNIYKPDNFMDSNDETTVGSLASEGAGVDEEAPLIPSSRLSYVTRTQTGSFNSR >OGLUM03G31300.1 pep chromosome:ALNU02000000:3:29753696:29756967:1 gene:OGLUM03G31300 transcript:OGLUM03G31300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKTLEMGAVETLIVWENLDVNRYVLKNSATGETAIKHLNKEQEADQSNFRDTSTNAELEVQDKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGVYEDSD >OGLUM03G31310.1 pep chromosome:ALNU02000000:3:29753823:29754071:-1 gene:OGLUM03G31310 transcript:OGLUM03G31310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTCVTDAAEAAGGTTATLCARSRDGWGSTQAAVAEDVLTRMDAAEAAGRTIAAFFAGGMDGDRRRHRGRGRRRRWARAN >OGLUM03G31320.1 pep chromosome:ALNU02000000:3:29757019:29757672:-1 gene:OGLUM03G31320 transcript:OGLUM03G31320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKIVVLAAAVSAAVALSFFYRFRCVHLPTPYVAGGGRRLIVVSNQQEVGEEMDLADGNVQLLCHGYGEHDHSRSVWSARADIPGGAAARLSLPAVRGDEVFEVLCSYRGANRCWAHGVRVFANPGHDNLFCSEHAGGCEVRFRKDGGVEKQYGSTSTPPIFMGFVPDFDNARDGACASSSCVGRTINRVIGQESCCDDSCGGWEKASPKKSS >OGLUM03G31330.1 pep chromosome:ALNU02000000:3:29758270:29771516:-1 gene:OGLUM03G31330 transcript:OGLUM03G31330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAHYLPGGGRRRLLVVVVALVVLDRAGARVRAADDDTGGLSRAAFPKGFVFGTATSAFQVEGMAASGGRGPSIWDPFVHTPGNIAGNGNADVTTDEYHRYKIITYIVFLLAQEDVDLLKSLNFDAYRFSISWSRIFPDGEGKVNTEGVAYYNNLIDYVIKQGLIPYVNLNHYDLPLALQKKYEGWLSPKIVGVFSDYAEFCFKTYGDRVKNWFTFNEPRIVAALGHDTGTDPPNRCTKCAAGGNSATEPYIVAHNIILSHATAVDRYRNKFQASQKGKIGIVLDFNWYEPLTNSTEDQAAAQRARDFHVGWFLDPLINGQYPKNMRDIVKERLPTFTPEQAKLVKGSADYFGINQYTANYMADQPAPQQAATSYSSDWHVSFIFQRNGVPIGQQANSNWLYIVPTGMYGAVNYIKEKYNNPTIVISENGMDQSGNLTREEFLHDTERIEFYKNYLTELKKAIDDGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSANWFKNMLQASGPGSKSGSGTSDSQVGSATSASHPVGSAISTLLYTPNSWPLCVRGCVCLRYCLVSLCEQLLPPPAGGVLRPRAVVMAARRANCALVLVLALALLAARDAGAAAVPKPNWLGGLSRAAFPKRFVFGTATSAYQVEGMAASGGRGPSIWDAFAHTPDGEGRVNQEGVAYYNNLINYLLQKGITPYVNLYHYDLPLALEKKYGGWLNAKMADLFTEYADFCFKTFGNRVKHWFTFNEPRIVALLGYDQGTNPPKRCTKCAAGGNSATEPYIVAHNFLLSHAAAVARYRTKYQAAQQGKVGIVLDFNWYEALSNSTEDQAAAQRARDFHIGWYLDPLINGHYPQIMQDLVKDRLPKFTPEQARLVKGSADYIGINQYTASYMKGQQLMQQTPTIAKNGKPIGPQANSNWLYIVPWGMYGCVNYIKQKYGNPTVVITENGMDQPANLSREQYLRDTTRVHFYRSYLTQLKKAIDEGANVAGYFAWSLLDNFEWLSGYTSKFGIVYVDFNTLERHPKASAYWFRDMLKH >OGLUM03G31340.1 pep chromosome:ALNU02000000:3:29772259:29783795:-1 gene:OGLUM03G31340 transcript:OGLUM03G31340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAQQQQQKQQGGVVRVDQASPASSFRELDDAFLQTQTKIWLGEVLHLRFDEAVIVADLLADGELLFQVSKVLWKRLVRMNKEQMKQSKVYIYERTSSGKSNGKYMPYPKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCMCIRLLSKKARTMRLTVPDFDIVTHTIAMPNYIVGGIRRSLEQPQCSSSGSSGYSPSANSKALNQQRVFGAENDQQCETHYDSDEAESKLSALEPEDSVSEDNISTLLKSGNMPKEEKEGYGDSEHGMHEEKSLSESVGSIDFGNMESDSVGSTPLFHKNESYCCIESPTDQCSRTRTIRCSLSSEESDSISSHLVVDSSKAKRTHGEHLEPLNGNGKRFANDPEKESDALQKVTFDQQCDLLACDVESVCSNCDSTPYSSLTPIDSACGKLPAVSEDDSACRGLELEFRCGNETDVSQKEDKQVKSEYKAENDSSAQMNENDVPKSGKGMLKSVAGGITLVGAVFFIAHLRRSKDRSFAGVIAPFSEKSVQGDSRAKKAGPVRDKTNHARGDKKKAIKVKLATARGHPMIGCDPEEKVAEFRLIQRWGGDALYDMKLKLNATGNQLSDWNQNQVNPCTWNSVICDNNYNVVQVFFVCSKLVCLMTDALLQNIGIYGIHWSSITTNWRASVFECFIFGGSCDEIATVILEETRSWSLPGNKITGGIPEQIGNLSSLTSLDLEDNLLVGPIPASLGQLSKLQILRLAYNKLSGSIPGSLFQVARYNFSGNNLTCGANFLHPCSSSISYQGSSHGSKVGIVLGTVVGAIGILIIGAVFIVCNGRRKSHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDSFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLRDSILEDFNMYLIVFNDAEFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLGAIVDRNLSSNYDGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIFNQEAIELSAGR >OGLUM03G31350.1 pep chromosome:ALNU02000000:3:29787345:29792704:-1 gene:OGLUM03G31350 transcript:OGLUM03G31350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWSRKQSSSSGRRGADSSSMDSSSRGGGGEGSGSGSRGRSSRLERRNAVKHIDYEAAGAGAGASSVPACASWSSSMSADRSLGLRPSRSLDLAVGGGGTDIRISGSVEGEVDELCRSLGLSGPEEFAIPVAAWEARKERSNSDLLPRSRFVSSPPVDDPSPMARTISAPEVIQCDLPPSFPASIPEESLNSSSNSTATDSAEEPTAAALGQESPKAAPAVAAVAPLAGLPLLSPKRGGGEVGIRGARPPVLSPPQPLMALAPPPMRRSIVAKDMSGVSAWDIVNSFAPSEEKSEVRTDDERVDASHMSDTEEEEEFADEGVAGVDGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRDSQVSAYTRQILNGLVYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVVNPKKTYGPQADIWSLGCTVLEMLTRNIPYPNVEWLLTKDNLVAAKFWLNSTFF >OGLUM03G31360.1 pep chromosome:ALNU02000000:3:29805177:29806228:1 gene:OGLUM03G31360 transcript:OGLUM03G31360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTTKEKNVIVTAYEEVPPAPRRRRPGSGRAGATSSSSSTTTAEVTTWRQAKAAPAAYQAGVAAGARYRGSNRRALLLAYAQHLRRRDQRGASGERPRVLLEWGKWKTQGHPGAGAGGDAVRRRRSLYCRFRLWTRAFLRRVRRIGENALCKNKEISEPANVG >OGLUM03G31370.1 pep chromosome:ALNU02000000:3:29808328:29809836:-1 gene:OGLUM03G31370 transcript:OGLUM03G31370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVESSSQSHETRGQGDAEEKHPQPAAATAAGGDGGRWRRRGAVKRFKLSARFADSEGTEDEDEDEDEYKSWIVSIHNDQCRQYDPKQRRFNSYRTFVGHAATPELLKHLDEDATIGPERRPLDALTEQELVESPYVLHVKILESDIGFPINLFGTVLIREHLKCVYIFRRDRDDCQLIKSSGEILNLIAPYVGPTDESIDFEINLKIRGNMGESNDRIFSKGFTEAPETSNSGQTKRVMLSSWLSTLELAYTTAHFTVQVAIGINILKGSSNFLGIIKACGTKNEGDAVLYGSEVSGTRIALGDDGSIALSHNVVVLHVDEMLLLKFFVYDDDMISKSAPIILTLGHNDESFNIEQGSYKLRVKLDWTRLICWAI >OGLUM03G31380.1 pep chromosome:ALNU02000000:3:29810404:29811360:1 gene:OGLUM03G31380 transcript:OGLUM03G31380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQLACSDGLLLAYRGILEEVSVYSPLTGFHATMPRYDELLTDTYFLHSVHGDEMNPTPNSFQVLAVEVEPEGELALQNYSSETGAWGPVIRPVNDKVLMPRVIHYPVAAIECQGAIHWLCGCWPSCDEPRRRCSLEGYDNITHSVAVDISTGHAWMTRLPKQCVMSSDNVSNNKMLVLATAADGRLALLRREDACTKVTIWVHAEEDSGRGSCGSDGDDGEARWVLSRSFDVRKLVEHAGLAHFRPEHKDWADLEVRLEWFCRRSRCVVIWVPYLGLFVLDLENMQMQRAAGDSQTHVWPYEMDLTVCYSSLKQYH >OGLUM03G31390.1 pep chromosome:ALNU02000000:3:29812919:29816165:1 gene:OGLUM03G31390 transcript:OGLUM03G31390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRRDIEAAGAGSGSGDDDSPAAKRGKPEAAGARPSLTRTEAAAAASVLALFLVGIFCVFRAAPRREFEQILRLPRSLADVRLLNKLASERHQNDEVFTLTLIPETCRDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >OGLUM03G31390.2 pep chromosome:ALNU02000000:3:29812919:29816165:1 gene:OGLUM03G31390 transcript:OGLUM03G31390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPRRDIEAAGAGSGSGDDDSPAAKRGKPEAAGARPSLTRTEAAAAASVLALFLVGIFCVFRAAPRREFEQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVVKGGILVVFTATAGASSCYFVSKLIGRPLISWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFINMASPIVDIPFHIFFAATLIGLIPASYITVKAGRALGDLRSVRELYDSKTLVILFLIGTVAVAPTILKRKRIYE >OGLUM03G31400.1 pep chromosome:ALNU02000000:3:29820464:29824902:1 gene:OGLUM03G31400 transcript:OGLUM03G31400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSLSLPLPCAVPASSLPPRAEPDASSSFHPPASSSSSPRGGRLALAAAQPGSGRRWVGRWRAGVSSFSFLAPFFAGNKEKENREKAERLKEELLAAIRPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRFDYFKIFNLISIKAPGSGKGELEITYLDEELRASRGDKGNLFILKMVDPTYRVPL >OGLUM03G31400.2 pep chromosome:ALNU02000000:3:29820464:29824902:1 gene:OGLUM03G31400 transcript:OGLUM03G31400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLVSLSLPLPCAVPASSLPPRAEPDASSSFHPPASSSSSPRGGRLALAAAQPGSGRRWVGRWRAGVSSFSFLAPFFAGNKEKENREKAERLKEELLAAIRPLDRGVDATAEDKERVEKIVQQLEEVNQVKEPLKSDLLNGKWELLYTTSESILQPQRPKFLRPFGTIYQAINTDTLRAQNMETWPYFNQVTANLVPLNSRRVAVRASRGDKGNLFILKMVDPTYRVPL >OGLUM03G31400.3 pep chromosome:ALNU02000000:3:29817102:29820506:1 gene:OGLUM03G31400 transcript:OGLUM03G31400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSMVSVPIATSSLPLSARGRGSSVSFPAPKKGGIGHGGLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKVTKDLSEEEVITLRKEVTKYMIEGDLKRFNRVAIERLKEIRCYRGIRHKLGLPVRGQRTKNNCRTLKGRRASVAKKKFININMNVENARMTYIVKRRK >OGLUM03G31410.1 pep chromosome:ALNU02000000:3:29824504:29828036:-1 gene:OGLUM03G31410 transcript:OGLUM03G31410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 37 [Source:Projected from Arabidopsis thaliana (AT2G17900) TAIR;Acc:AT2G17900] MASWEEQLRDELAGRDLAVASVPGKGRGLFAARSFFPGEVVISQEPYASTPNKISVGSNCDNCFASRNLRKCSVCRVAWYCGSACQREEWKLHQLECRAIAALTEDRKKMLTPTIRLMVRLVLRRKLQDDKMANLVQLILPSFELDLKEITHTFSKFACNAHTICDPELRPLGTGLYPVLSIINHSCVPNAVLIFEGRTAYVRALQPISKNEEDSEEDALLEGYRCNNQKCDGFLLPNAVDNSEVGSMYKTIEELERKLYHPLSITLLHTRETLLKIYMELQDWQTALMYCRLTIPVYERIYPPFHPMIGLQFYTCGKLEWLLEYTEDALMSLTRAADILRITHGTKSEFMKELLGKLEEVRAEASFRLSAGDEQ >OGLUM03G31420.1 pep chromosome:ALNU02000000:3:29828263:29833966:1 gene:OGLUM03G31420 transcript:OGLUM03G31420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDNFLQPPAAAAAPAAGGGKGLPVPATGDATNLTSSSSSTSSLTLSPPDFLRQVQAALKRHRPTGSMQSNQPRATRVLVSRGEGSTKAVANPSVAQNPEGKVMQQRRGPLGASRLRNAAPDQNKAVVSSQDELLLTTPLTLGTITDTHDQNGGQNHQPKSDTDLLVDRKKSSMEVSSSQMASANALVGEDFKKDLFYLTSDPQLTSQTGDNFPVAQVADDQGKNHKEIGIASAAVEMDIKYDAANLSRRIDEACDQNHGEPMTRCSAMGSSVTAVSLYSGPTIQSKSAAQIDQYASPAQMPQCGRESSGVSGHGSQKLHGVAMNHADCNTNKQQVDTNGGMDKPVSSSAVCLPSQGLSGNDQSLSAKDDGAPRRSKVEKERRKKNYDPDVFFKVNGKLYQKLGKIGSGGSSEVHKVISAECTIYALKKIKLKGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLQDDSLSPRDGRIKDDHYIYMVLEYGEIDLANMVAQEWKERNTSNMKIDENWLRFYWQQMLKAVNTIHEERIVHSDLKPANFLLVRGALKLIDFGIAKAIMNDTTNIQRDSQIGTLNYMSPEAFMCNEQDSGGNVIKCGRPSDIWSLGCILYQMVYGKTPFADYKNFWAKFKVVTDKNHKIKYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLEHPFLVPLVPRDLPSIDQDPCRLLMERVRVHWANPKLHSFIAELEKDQCRPATQM >OGLUM03G31430.1 pep chromosome:ALNU02000000:3:29843808:29853200:1 gene:OGLUM03G31430 transcript:OGLUM03G31430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATAAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQRDYVISMVVTLKQQGTQKKARQCLALPHRRMKGARAKENGMEGSRMLIKVALTTLESLVVAVDSEVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >OGLUM03G31430.2 pep chromosome:ALNU02000000:3:29843808:29853200:1 gene:OGLUM03G31430 transcript:OGLUM03G31430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATAAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLRQLETEKVDLENTLVDESQRQSKEYGSTSRSKNEKYSEGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >OGLUM03G31430.3 pep chromosome:ALNU02000000:3:29843808:29853200:1 gene:OGLUM03G31430 transcript:OGLUM03G31430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPPVPVSPAASAAAAATAAASSAVAGAPAVMPPPPPPLPPPPPRSNSAPPERRALRWAAGGGSGGGNSPPEGVKLNEIVGGGISGILYKWVNYGRGWRPRWFALHDGVLSYYKIHGPDRIVLSRETERGAKVIGEDSLRRLSRPSTSSSSSAHSNGHHLPRKPIGEIHLKVSSVRESRSDDRRFSIFSGTKRLHLRAETREDRAAWVEALQATKEMFPRMSTSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSERIVRTEFEVLHKQLMLLKQKQTLLLDTLQGSASESDDYNEPQDPAEDETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDTSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRAYEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNNEYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSENFSEAHLLWKRSKPPKFPTRYNFTRFAITLNELTAGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKGSWEGCPDIFGQVPNDLMITD >OGLUM03G31440.1 pep chromosome:ALNU02000000:3:29859432:29860715:1 gene:OGLUM03G31440 transcript:OGLUM03G31440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPFESSNASVRAAWKNVVDCLLKLKRFKLLPPSVVDQDGGASAVSSSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLFVGSEFENNLKIIQQCRIGSIFTESEKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIVLVSSANVHRFTTFWPQLHDCFAVVSQLPLFSPCPFAEKAIVALFRIAVRLLSGGGVDRMAEELVVTRFNYAACIEAAFGFAALKISPLDISTKILQLMVDSVNWLIQWHKSGYSDLGNTWSSSSSSSSSVVAAATTMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAKLG >OGLUM03G31450.1 pep chromosome:ALNU02000000:3:29872845:29876553:-1 gene:OGLUM03G31450 transcript:OGLUM03G31450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAPAMIAAAAGGGGGCDGEEADAGAAVVEKALPNGDVYRGGFAGGAPHGKGKYVWADGCMYEGEWRRGKASGKGRFSWPSGATFEGEFRGGRIEGQGVFVGPDGATYRGAWAADRRHGVGAKSYANGDYYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVISGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPRSCVDMPAISGTFFPPVGAGGGGAVRKRSSVEGVGDKASAVPRICIWESEGEAGDITCDIVDALEASMLYREATTVGGGVPYVRTQRSTRRVASGGTCWAPSAAATPEGKRPGQTISKGHKNYELMLQLQLGIRHSVGKSAAVPMRELSPADFDPKEKFWTRFPPEGSKVTPPHSSSDFRWKDYCPMVFRHLRKLFSVDPADYMIAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKLLIRMLPSYYQHVSQYRDSLITRFYGVHCVKPLNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRTWFRDLHEQLRRDCEFLESEGIMDYSFLVGVHFCDDLSASKTGLSTFTASPMRLPIHLSSLTNDRYSEFSMKRESFQGGGGMPELCFSDSDFDRIPDCRKPLIRLGAHMPARAEQASRRSEFDPFLLNGGGFLAPNQTGEVYDVILYFGIIDILQDYDITKRLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >OGLUM03G31460.1 pep chromosome:ALNU02000000:3:29878015:29879002:1 gene:OGLUM03G31460 transcript:OGLUM03G31460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKHGTNTERGRQVMLENRKGVCPYYRHGSTSSLLVELWVKDSTDHCINPDAHKHHTHMGIIRVRIERRLSQYNMG >OGLUM03G31470.1 pep chromosome:ALNU02000000:3:29886413:29887565:1 gene:OGLUM03G31470 transcript:OGLUM03G31470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALTLLTGSPLINHTSSVPSYSSPLPLSLSLSSLPPTIVVLPCRLRQSTTAVASVPMTPPTLPMNGTAATRAEAVQPIGCAHVSQRTRAGGGHPFLGGGDYQHNHLSTPNTPRRGPHRRYAPRHRRRLFALWLLSTPHLYHRHRMLSSTGHLHGVLADTLRGYSAIAIGSIYRLRLHGGSSNSLPWTKRAWRLLDSSSNTSLGGG >OGLUM03G31480.1 pep chromosome:ALNU02000000:3:29889227:29891906:-1 gene:OGLUM03G31480 transcript:OGLUM03G31480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHDSGAARDRAVEARRAGGRGRSGDAAVGAVLVFSEANRPYAFANPLSSLVDDAVLRSRCYAPVPGVHDCEPEALRRAADEAKVEVARLRGVAGRRFWWWEATNVEALGEAELPEFARALGRLRGTVVRRRAL >OGLUM03G31490.1 pep chromosome:ALNU02000000:3:29916102:29917403:1 gene:OGLUM03G31490 transcript:OGLUM03G31490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAVCRGPAMPAFEPSTWLRAAAENTYSKPEVAVDDRPAQADIWNAIQTDVVDKSATTGAKKAAAKQYVHPLVRRSSSLMSQKSLEVCTESLGSETGSGDFTASLDDVDMASLFGAPAAPASKRADQAAEDSFWQQSAAPEEAWERKELAAVNYHCSGGTRSPPRSFPPPLPSMSSRDAPCLQMRPRRQDGRLIVDAVVVRPRGYLHARRQGGRLLLSFVDCSAREQSAASKAAVATEKAPYFPVVDAKHDQDEEVAAEVEEDDEVEEEEEVEVVDRGTVVEVKVSTQPQTPTAAKVHRSTLVINKFVGSTPLTVADLQPRCNADAACAAAAAAEATTDAPAPALRRVPSSTSTTTLAAAVAVASTSTDGDDDDDEPHHPPGAAAPAADTKQLLLFTSRCRDKQELLQSVRQCRQLRQKPLFILEPYCIATS >OGLUM03G31500.1 pep chromosome:ALNU02000000:3:29925146:29925914:1 gene:OGLUM03G31500 transcript:OGLUM03G31500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFSAFLGCKTAGLRVTYSRSASARAPDRPRWSRERPVRENCKADHGISVRADESARQQRSRSRSDLWLRPRCCHLRVSAEAKGQAQLRQIQRRTPQGGRHRRVVISFDSYKGMLVKASVIALLVDATATVVVWSLRRGRRRRYAS >OGLUM03G31510.1 pep chromosome:ALNU02000000:3:29926478:29935712:1 gene:OGLUM03G31510 transcript:OGLUM03G31510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTIVMTTTTGVRSSSSASLPLAPPSPLAATPPPPPLLVVPPLSLMLSFPPPLFSWPGVARRCPRGESFLRIFTHRFRVAQGAEERGLG >OGLUM03G31520.1 pep chromosome:ALNU02000000:3:29938539:29938937:1 gene:OGLUM03G31520 transcript:OGLUM03G31520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPGLEGVDPAVLDSGAEDSPLLTLVTSTMRMAAVARATATIATSHARVDLAAPDSGAADPPPPSSAMMMTIMTTMARMTTMTMASRARADPSGARLGRGRFANVGLRSCGDCCGDRVPQLFLHHRATARSK >OGLUM03G31530.1 pep chromosome:ALNU02000000:3:29940524:29943413:1 gene:OGLUM03G31530 transcript:OGLUM03G31530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPTKLLAPSLPPSSSSPRISPRSTPVSLTSATPSGIHRPPGCGRLDTVGEGSELLDPIPEVAPVEGFGSVATFVAAAEHFMVFIQETRAKAEEACRLAVLMQKAAAAAAAGGGSDVAVALEICKKAAVATAAVGGGSSDATATSKVCKVTNVMHKEVAAPTDLIKEGAAEEEAYQPQPPILIPAPIARDFGGNMRGLTQSTMLANDSDHMTLFEKKASVGQIGIEEMRGKGKDVSSEEGSSEEVEASDDDVSMVIGGDAQDPYDDSGIEELVQDQGALEKLPTKPTKLANPNSLLRIPKGITESPSPPISRGAVGASRLAESPLSTSGNGMVSRHGEVGCAVRALGTSSWEELAGCSDGRAATHRWGTSSLAGTTTAVRQGPCRSRGQQLRKLQRCLQQACNL >OGLUM03G31540.1 pep chromosome:ALNU02000000:3:29962446:29963447:1 gene:OGLUM03G31540 transcript:OGLUM03G31540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFMDLELEPHAQQLAAAEEEDGAGGNEYGGGQGVNAGVPFGVDGAAAAAAAAAARKDRHSKISTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNMSKAAIREIMSDDASSVCEEDGSSSLSVDGKQQQHSNPADHGGGAGDHKGAAHGHSDGKKPAKPRRAAANPKPPRRLANAHPVPDKESRAKARERARERTKEKNRMRWVTLASAISVEAATAAAAAAAAGEDMSPTSPGNNLNHSSSTNLVSTELEDGSSSTRHNGVGVSGGRMQEISAASEASDVIMAFANGGAYGDSGSYYLQQQHQQDQWELGGVVFANSRHYC >OGLUM03G31550.1 pep chromosome:ALNU02000000:3:29963731:29978616:-1 gene:OGLUM03G31550 transcript:OGLUM03G31550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGSSIQRSVFVISLLNQFHHLYGFIWIRENLQQITPWRRTASMFPHDDRSRSDTLQQGDEKATFQWVKSSTRMQKLVLTNPLLMYMHERHIYLLAIKVSSLSVYREHLNMHTD >OGLUM03G31550.2 pep chromosome:ALNU02000000:3:29963748:29978616:-1 gene:OGLUM03G31550 transcript:OGLUM03G31550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGSSIQRSVFVISLLNQFHHLYGFIWIRENLQQITPWRRTASMFPHDDRSRSDTLQQGDEKATFQWVKSSTRMQKRGISTCSRSRYPAYQFTESI >OGLUM03G31560.1 pep chromosome:ALNU02000000:3:29985160:29985468:-1 gene:OGLUM03G31560 transcript:OGLUM03G31560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKVAPLVSSSPFSVLSLPAAVDPRPTLRAPPPLHPGLGVAPSCRTRSSGTLEWTPVKTFALMAKVVVIDDGWSHSVSAFQKWAVLAENLAGSYFVAATS >OGLUM03G31570.1 pep chromosome:ALNU02000000:3:29989357:29996331:-1 gene:OGLUM03G31570 transcript:OGLUM03G31570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARYGGFKQLWESNQKLPNVPSRNLKGFKMRRFFVGFATRGRYAWSYFLAGTEHYARLVLISARNVQSAVCPLKNACPYMMFKLR >OGLUM03G31570.2 pep chromosome:ALNU02000000:3:29989359:29996331:-1 gene:OGLUM03G31570 transcript:OGLUM03G31570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRRAQTWAGVGKTAQAAAAHAALFCFTLLLALKVDGRTAYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVKSKPTVDLKIVFLPLLAFEVIILVDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKSPNPGEASSSSAAIRYRDWESGLLLPSLEDHEQERLCGLPDIGGHVMKIPLVIFQVLLCMRLEGTPPSAQYIPIFALFSPLFILQGAGVLFSLARLLEKVVLLLRNGPVSPNYLTISSKVRDCFAFLHRGSRLLGWWSIDEGSKEEQARYGGFKQLWESNQKLPNVPSRNLKGFKMRRFFVGFATRGRYAWSYFLAGTEHYARLVLISARNVQSAVCPLKNACPYMMFKLR >OGLUM03G31580.1 pep chromosome:ALNU02000000:3:29998919:29999224:1 gene:OGLUM03G31580 transcript:OGLUM03G31580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRTALLSEYSDSGHDNGGRNGAVTGVVGHGVGAGGRGLHGYIACAVVLLASTPYAPLHVQGDELQHGVWTAKDDCRWRRAPAWDVDYEPTNNDASVPNS >OGLUM03G31590.1 pep chromosome:ALNU02000000:3:30004329:30010225:1 gene:OGLUM03G31590 transcript:OGLUM03G31590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLASASWVVLPPVQARVAAAVAVGPTCRVLLAYTPLLSGSARRRVVGRPLAPPRCSALEDPGPSDGGEGNGRVVEEGEEEVVRRKEQPRRRQRRRPVWRRILFASKKTRSIIILNALTVIYASDIPVLKEVEALTDPAVFNMVRFVVSAIPFIPFAIRAIGDRHVRNSGLELGLWVSLAYLCQAIGLISSDAGRASFLTAFTVIVVPLIDGIFGATIPKLTWFGAIVSLLGIGLLECGGSPPCVGDVLNFLAAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVAFSSVLWFLFKDSYVNVNDTSFESWTFGMFLDTATSFPWIPALYTGVFSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAAWVGAALVLCGSLTVQLFGSAPEKSKKVKMRSRNTLETPLKRQDYLSLSAIPVDSRKNIGSRLERKDKTL >OGLUM03G31600.1 pep chromosome:ALNU02000000:3:30006821:30016697:-1 gene:OGLUM03G31600 transcript:OGLUM03G31600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDSLGEITQPGHIYNNPNHQQATPLRHEPSSSYNTDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRS >OGLUM03G31600.2 pep chromosome:ALNU02000000:3:30006821:30016697:-1 gene:OGLUM03G31600 transcript:OGLUM03G31600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDSLGEITQPGHIYNNPNHQQATPLRHEPSSSYNTDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRS >OGLUM03G31600.3 pep chromosome:ALNU02000000:3:30006821:30016697:-1 gene:OGLUM03G31600 transcript:OGLUM03G31600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPFSAPVDFNNENHRAGNELTRTNMPLSVGDYGLQNGDATTFAVNTDTLVRHQLQGASLQNDLTAEDSITRLMDPETKGLYFRSRSQEEEILLLRKQIADASVKELQLLSEKHILERKLFDLRMAVDEKQEDAISGALKQLSQKKGHVEENMRLANDLKGEEEELYFFTSSLLSMLAEYNVRPPQINASAITAGTKRLYHQMQWKIKYLNDATRNNFHQYAQDPNDRNTGQMYHGSNYHQEIVAATPSNYFEENNGPREVRLDDSQFYRQDNQEYSADDDPLPGIEGFQIVGEPRPGFTLTACGFPTNGTTLCNFQWVRYLDNGTRQSIEGATMYDYVVTADDVDTLLAVDCTPMDDNTRQGELVTEYANNGSKITCDPEMQNTIDMHISNGRAHFNLLVLGYSSDEWELAILTLKRTGYHIKVKDEVLTEEKYSSNLQTKIPNGRTTQFVLVSSGGVNIPFNTQGISEPNNEDSDVRS >OGLUM03G31610.1 pep chromosome:ALNU02000000:3:30038425:30040305:1 gene:OGLUM03G31610 transcript:OGLUM03G31610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREYQEAGGSSGGGSSADMGSCKDKVMAGAAGEEEDVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGVSAPGAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPLPPIPPAPPAARHASTSSTVTGGGGSGFFELPAAADSSSSTYALRSISLPVVATADPSAADSARDTKRMRTGGGSTSSSSSSSSSLGGGASRGSVVEAAPPATQGAAAANAPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPTLAASQGGAMRKVAAYFGEALARRVYRFRPADSTLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPEGEADANEEPEVIAVNSVFELHRLLAQPGALEKVLGTVHAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGGSSGQAELSPPAAGGGGGGTDQVMSEVYLGRQICNVVACEGAERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKEGCLTLGWHTRPLIATSAWRVAAA >OGLUM03G31620.1 pep chromosome:ALNU02000000:3:30060003:30067613:-1 gene:OGLUM03G31620 transcript:OGLUM03G31620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon at the outer membrane of chloroplasts 64-III [Source:Projected from Arabidopsis thaliana (AT3G17970) TAIR;Acc:AT3G17970] MASSTAANLWVLLGLGIAGVLLAAKRLRRPARPDNGAFIARLELLPPPQPPPPQARHPLTGLCFAIADAFHVTGYITSFGSLEWAKTHDAATQTSLVVSSLVDGGAMCVGKTVIDEMAFSIHGENKHFGTPTNPAAPDRVPGGCSSGSAVAVAAGIVDFSLGIDTIGGVRVPGSYCGVLAFRPSHAVVPNNGVIPVAPSLDAIGWFARDPSVLRRVGHLLLRLPYADIRQPRHFYIADDCFEMSKVHARRLTQVVTKSVEKLFGRQVLGHVNLENYLASRIPSLRNNSNGHGDSKFSSLLALSRAMQFLHKHEFKDQHMEWINSVKPAVDACIVGNLSDDGESTINNSQDARKEVRAALGALLKDDGILVIPTVLGCPPKLNARELSSQDYNVQTSCLTSLASMSGCCQVTVPLGTHDKCPVSVSLIARHGGDRFLLDTIQTIYATIQEQVDALAKSNVSSKQAMSEEAAETAKEKGNIAFKEKQWQKAINFYTEAIKLNNKVATYYSNRAAAFLELASYRQAEADCTSAIDIDPKIVKAYLRRGTAREMLGYYKEAVDDFSHALVLEPMNKTAGVAINRLKKLFP >OGLUM03G31630.1 pep chromosome:ALNU02000000:3:30074595:30076546:1 gene:OGLUM03G31630 transcript:OGLUM03G31630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSFSRLRLRAGVVVAAALLLFAVVAPPAAALNIGLQSAGDGASKAGLCSRTCESDHCTTPPLLRYGKYCGILYSGCPGEQPCDELDACCMHHDNCVQAKNDYLSTACNEELLECLARLREGSSTFQGNKCMIDEVIDVISLVIEAAVVAGRLLHKP >OGLUM03G31640.1 pep chromosome:ALNU02000000:3:30078584:30081629:-1 gene:OGLUM03G31640 transcript:OGLUM03G31640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLNDEQLRFFDANGYLVLGSFSSAEEVRAMRDRMAELVDGFDGAGDVFSTKDHRQVKNDFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGANVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTDPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDEIDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLIHQSFENLSPVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >OGLUM03G31650.1 pep chromosome:ALNU02000000:3:30087253:30091532:1 gene:OGLUM03G31650 transcript:OGLUM03G31650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDSHFLALTAIVTVGYQLVFFIITALLRFDKVTDFAGSTNFIILAILTLALKGAWHFRQVVLTVLVVIWGLRLGLFLLMRILQWGEDKRFDEMRDNLGKLAVFWIFQAVWVWTVSLPVTIVNASDSDPSIEARDIIGWIMWLIGAGMEAIADQQKLTFKNSPSNRGRWCNVGLWSYTRHPNYFGEIFLWWGIFVASTPVLSGAEWLVILGPVFLTLLLLFVSGIPLLEASADKRFGQNEEYRTYKNTTSPLIPLPPAVYGALPGWFKMGFLFELPLYNRVPQRDPVS >OGLUM03G31660.1 pep chromosome:ALNU02000000:3:30091986:30092925:-1 gene:OGLUM03G31660 transcript:OGLUM03G31660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZC98] MATFLGSSPAFLARPVAAKPHLSCAQSPRPPSAQPPPEQQPPTPTPTQQQPMQAQPQARPRRAPAAAASGADSTDWVATSLTRRFGIGAGLAWVGFLAFGVVSEQLKTRFEVAQQLANTKDVEQEQEVVLPNGIRYYEMRVGGGDVPRPGDLVVIDLKGRVTGGEAFVDTFGDGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPALGFGDDGADFGDAAAQVPPWATLEYVVEVDKVSIAPA >OGLUM03G31670.1 pep chromosome:ALNU02000000:3:30095226:30097463:-1 gene:OGLUM03G31670 transcript:OGLUM03G31670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAADGMEKPKFLTREEREKLALERRQAAVTDQRRSALDLLQSLPRPPPPPPPPLSNPPRDSSSSHHRDSSDRDRDRDRDRDRDRDRDRDRERRRDDDSRRDRDRDRDRDRGDSSRRDRDRERGDRDRDRERGDRDRDRDRERGDRDRERGDREKDRLEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQSPHEARLLYGRGFLAGIDRREQKKVAAAHEKETRAEQRRKAGLDDRPEDDAVDKKEADAAAKYDAFDMRVDRHWTQKSLDEMTERDWRIFREDFNISYKGSKVPRPMRKWSESKLGTELLRAVEKAGYKEPSPIQMASIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEENEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDAKTIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMTKESEKMSRLQKILTDLGDKPAIVFCNTKKSADARAKDLDKAGFRVTTLHGGKSQEQRETSLDGFRNRRFTVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGLATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSVPDRPPRRNDTVYATH >OGLUM03G31680.1 pep chromosome:ALNU02000000:3:30099868:30103353:-1 gene:OGLUM03G31680 transcript:OGLUM03G31680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVVVTAALCKRSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFEPRGEGQGAGAEPLRRVLCDAHAAEVSRLGYCRAHRRLADAGDMCEDCVSASAAAAASSWMRRSELGERDLACACCGVALESGFISPPFLFPAPAACDVDCGHRRDAAMANLNRDVVFVSEEGPVIELFDEKPLEEEDPIGAMAGLAAQCAEIVGNVLQLVPLESADLSNVRKSAVSYESRGEGNDAMDHVTSKQCNVVLKNMANTSEDKSAVSSDDDDKVGDMVSKMIDEEITALVLSQDCIEDGFSCEIDGETTESLAADHQQFCEKHSGLKDNNQEISIGSEIPENEQGAVKQELLCVLTNPRGNEFGIDNLEGNTETVHQADLNNGWNSMPVEAGVHASETSTENNEEEWIQPGELSQKSNLMPIYSREHADEEIKEDRISLTEIKQGLDSVTIDSWEEVHLISNDGTKENQAEQSELNHQSTFMTVRAIEYVTDLFDANISAGNVNPTEAALPSLHQFSYGPSTSLNKLCPDYNDVESESAPDTPIHIEDIDGLHELPDHKAMTSDTKSVDLESIELVSVDQLKSALASAHKSLSTLYTELENERSAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALERLNELVVKREKEKQDLERELELYRRKVHLFEVKERRKMSRHKADDHNGSSSASSSAEDSDDHSQSFYEGDESAHGLNGSNGSIPTDAVLQETARHLGTLGCSLADFEEERLSILEQLKLLEERLFDLEDEDSDSVKMDKRLSEENHLMGASNGFSDDDSNFKLHDKRKGVSYRGKKLLPLFDDTTVEDGNDLLTRQDPEADHSTENVVLEPANEQDKLAIAHEIDQVHERLHALEADKEFIKQCVRSLKKGDKGFDLLQEILQHLRDLRRIEQRTRNSGELSPHYLHPYTD >OGLUM03G31690.1 pep chromosome:ALNU02000000:3:30111782:30112909:-1 gene:OGLUM03G31690 transcript:OGLUM03G31690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQTLPVPVSVELPPAAPNPPDPTAPLLPHADDPSAPPAAAAAARKLPVKRRSPPPRPSSPSSSDPASSDPAAKQQPQQPPPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPSPYTRSQLSEKLRRLKNKFRGMSARVARGLDPARLAPHDRDVLHLCSRLWDPANATTSPFAAAGDASSGNKRRRAAPRAGPLMPPDPSGDSNSHDYNGGISAGTPGAFGDGHGGEEMMYLEQESGHFGYHGDVAIAADGSLDGIVKVQPETLPALPSIGDIAVHSENGNGKAVVPRSNEHHMANAVLDIFEECLREVKANGITYGANVNGGSELARRWRAQRIDELDVLSRRLRLLVEDAAAAGR >OGLUM03G31700.1 pep chromosome:ALNU02000000:3:30114892:30119947:1 gene:OGLUM03G31700 transcript:OGLUM03G31700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLLALTLTSSFLRSSLLPAPSRRLPTGPLLSLRFCSAAGDVADAPAAAAAPDHPWPEWGDFLEKLRAKGYFEQPTLASRADAAEGEVAATAAAAAAAGEDPGASADNYPSKDLNRLKNACLKFGRERFDLLSVLPKQDIRAIVECGCPNIFRKPVNSAKRLREFLQIDEGDACSACKLRGSCDKAYVIPNAEDEARTVDVMRILLNYAIDPTSLSGENSVNGGVQESARKLLSELTMLSDTTIDPSIPKPVFQTSSKTKTFDKGIAKPKFSAGKGRETTETEMKKGDWLCPKCHFMNFARNKMCFKCEESRPKRQLIPGEWECPSCSFVNFRRNKVCLKCKHEGPENDTHDSQHGHNRWRNTRGADRSRSFDDSFDREDDGSDPDEGERRRAAVRTRAASTLGRSTGKSKIFDSIHEDGNGREDDSEDVLPYEGQRRHIVSKRATPAQRRFTAGRSK >OGLUM03G31710.1 pep chromosome:ALNU02000000:3:30121022:30122521:-1 gene:OGLUM03G31710 transcript:OGLUM03G31710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIELTKEYGYVVLALVAYAFLNFWMSFQVGKARRKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPLFFVTLLVGGLQHPLVAAGLGVFYAVARFFYFKGYATGIPDNRLKIGGLNFLAIFGLIICTASFGINLVLRESI >OGLUM03G31720.1 pep chromosome:ALNU02000000:3:30124729:30125233:-1 gene:OGLUM03G31720 transcript:OGLUM03G31720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRGSAMRGAVAVAFLAVVVSCIFLSGCGVADATTYYVGDSLGWSLGSGSWPSGKKFHAGDILVFRYLPWMHNVVAVDEDGYADCNPPPFSRYYTSGSDSVRLARGDNFFVCTRYGHCNLGMKMVVTAV >OGLUM03G31730.1 pep chromosome:ALNU02000000:3:30126813:30129674:1 gene:OGLUM03G31730 transcript:OGLUM03G31730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAFLSSLVDRLSTTALSGITSLWGVKEQVDSLIHELQAVECFLKDADLREIRRQATSNNNWFWLHSLRDAAYDAEDLIESVELHEGRYHTLNPLLQPLNSYRFAKQINEIKSRFQSIIDGWAKNASMLRELRDMSSSSSLTSAADSLWRRSSCHLGDDVVVGREEEVGMIIDRLLRCTAREVVGIVGMGGVGKTTLASLVYNKVSAIQTGGTSLRPDSPKGTSSRSSLERYFDACAWVPVGQNADALGLLKITSAQIGVELNLTQVAAAKNAMFRFLQHKRYLIVLDDIWTTETWLELSEAFPKSTNGSKILLTTRSKEIAVSADPSSLPYELDPLSDELSFQLFITKVFGLNHIDTRSCPPQLKDVGHQLSKKCGGLPLALVVLGGLLSGKEKQVEVWRNILKSMKWSNYEAGNQCLEILALSYNCLPYHMKLCFMYLGAFKEETEISVSKLTKLWIGDDFIPQQDGKTKEETANDYLHELIQRCLVQPLLPAHKQGFKRVRIHGLLCELARSEARESRFFYCENGDAVSKAEGKYYRRLALHTKLIAFHELSNSEKLRSLLIFPGVRESCVITVGHQALRPFSRAFCHTLFFFSLRGFQHNILEQKTSMKYIRVLELEGHERLAHDLKSVQSNLNHLRYMSLRNTNLREFPFPESNFPLLQTLDIRGTSIRKLPSIFETLDTLRHIYLNWMVSPNIRRLTNLQTLHGVVIPNTQAERDLMALTNLRKLRFSTTWGIEYRPKFSDGFDFDRYIAESGGWDENLALAGSLKQLGNLNSIFIIMPFSSAITSDIVQAVTSHEQLHKLNLQGRVHRHLLEDLHFSCIKSITLAGSWIASSPMESLGSLTTLCELKLKDDALRCSEVSCLQNSFPELRYLKISGLKKLRVFHVGNGSFPNLTRFSIHECTEFLSTVEVMEHATRLQVLKIKEMPSVLPDVTDFCHSRNINLIS >OGLUM03G31740.1 pep chromosome:ALNU02000000:3:30130946:30132453:-1 gene:OGLUM03G31740 transcript:OGLUM03G31740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGRGSAAGRRNAAMLAMVLLCVLLHGELAESAVYTVGDRGGWGFNSGGWLRGKRFRAGDVLVFKYSPSAHNVVAVNAAGYKSCSAPRGAKVYKSGSDRVTLARGTNYFICSFPGHCQAGMKIAVTAA >OGLUM03G31750.1 pep chromosome:ALNU02000000:3:30162052:30162702:1 gene:OGLUM03G31750 transcript:OGLUM03G31750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLHSSRGRQPKCQYTLYSAEGHERRTEFVSGGTRSASMEDEEKHQLAGITNTKSGETAMPYC >OGLUM03G31760.1 pep chromosome:ALNU02000000:3:30165678:30167842:1 gene:OGLUM03G31760 transcript:OGLUM03G31760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTLDVLLGRTTKQTARLKSMLGLAVTRLGVLRGHRQVRCAQARGDVEQLLRLGHPDRALLRAEQVIREQNTLDAFLMLESYCNLITERAALVDSHRECPEELREAAAGLIYAAARCGDVPELQEVKRLMAAKFGREFVSSAAELRSGCGINAKIVQKLSTKQPSLESRQMVLQEIAAEKGIAGVVVHVVHEPSSHDEDSGLSHRRRRRDGERRHRVHQPQVDLEEDGSSRYRNVEAAAQAAFESAATAAAAAKAAMELSRGESGGRDDDRRRPGGAQFDRADEETLAGGEVSGDGKKSGRIRRASLGRNYSSEIEDSAEDDEVVRHEITAESEVKPRGLTRSVPVSVRTKRVS >OGLUM03G31770.1 pep chromosome:ALNU02000000:3:30167640:30177710:-1 gene:OGLUM03G31770 transcript:OGLUM03G31770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHLETHLKSMIIQQSQIMLLMKPLWNIILEDLLAQETIMKLIPRTAYDPVMLAEKLAFKLMAQETVHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNNSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNRGKQIILGNVIDGEQPIDRKLGNENSMLAKENTLHDNWEDEEGYYIYHFGEVLQGHYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADREDKQHFLESLHMNLREVIKKFGHGTGLKLTAVRAYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMLLLEPRAAPKRTSVVLWRENPKVTDFGGPHRSVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >OGLUM03G31770.2 pep chromosome:ALNU02000000:3:30167640:30177710:-1 gene:OGLUM03G31770 transcript:OGLUM03G31770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHLETHLKSMIIQQSQIMLLMKPLWNIILEDLLAQETIMKLIPRTAYDPVMLAEKLAFKLMAQETVHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNNSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYSKSSSNYVREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQIILGNVIDGEQPIDRKLGNENSMLAKENTLHDNWEDEEGYYIYHFGEVLQGHYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADREDKQHFLESLHMNLREVIKKFGHGTGLKLTAVRAYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMLLLEPRAAPKRTSVVLWRENPKVTDFGGPHRSVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >OGLUM03G31770.3 pep chromosome:ALNU02000000:3:30167640:30177710:-1 gene:OGLUM03G31770 transcript:OGLUM03G31770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHLETHLKSMIIQQSQIMLLMKPLWNIILEDLLAQETIMKLIPRTAYDPVMLAEKLAFKLMAQETVHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNNSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNRGKQIILGNVIDGEQPIDRKLGNENSMLAKENTLHDNWEDEEGYYIYHFGEVLQGHYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADREDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMLLLEPRAAPKRTSVVLWRENPKVTDFGGPHRSVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >OGLUM03G31770.4 pep chromosome:ALNU02000000:3:30167640:30177710:-1 gene:OGLUM03G31770 transcript:OGLUM03G31770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHLETHLKSMIIQQSQIMLLMKPLWNIILEDLLAQETIMKLIPRTAYDPVMLAEKLAFKLMAQETVHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNNSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQIILGNVIDGEQPIDRKLGNENSMLAKENTLHDNWEDEEGYYIYHFGEVLQGHYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADREDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITVPEALSHPFITGNIGPSTMDRSMLLLEPRAAPKRTSVVLWRENPKVTDFGGPHRSVTLINNSKGVAKTLPFSFLPQTFSPFAGGGGGGGGAARRRCLPNLIASKQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >OGLUM03G31770.5 pep chromosome:ALNU02000000:3:30167640:30177710:-1 gene:OGLUM03G31770 transcript:OGLUM03G31770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEAARSHLSPRKHRRSPHDDDDAEDGASSPKRHKRGHHRHRHRVSPAAADPAEGEAEDGEILDQATAAVGVGVGRGLDADAGETGSVQGVLPAPEHGDNSDADLNIHLETHLKSMIIQQSQIMLLMKPLWNIILEDLLAQETIMKLIPRTAYDPVMLAEKLAFKLMAQETVHGEPDRYSNRRWETEERGCYKKRKKSGCHIGRHTDICNSEEKHLDERKHGSLVEKKVDLHGLAYHERRSGDGRFDQKASAHHGHGEGREMDRWNNSTRKKDEEWRNRKNDTARNSYKETDRVGSRYGEEKLNDSIDKRDKRGFRGKEMDACWSRAVNGNEGSISYTHANYGMSGIYKDGSSFGGDDTKAKCKRRPEEEKKEPYREEDEENYLENIEDRKQQEKSAHYIDNKEINNDPAATKQRSNNLRAKEDITNDHELSNVFVGAKFYNVRKSPTLPKISISLGTSDNKRATSASGLQEGSSRISHNKRTTSASGLQEGIPMRGKQIILGNVIDGEQPIDRKLGNENSMLAKENTLHDNWEDEEGYYIYHFGEVLQGHYEITARRGKGVFSTVVHAKDLKAQKDGCREVAIKIICNNIEKSGKREISILEKLGTADREDKQHCVRIISSFMHQNHLCLVLESLHMNLREVIKKFGHGTGLKLTAVRAYAKHIFIALKHLRHCGVLHCDIKPDNILVNKDNNLLKLCDFGSAMSAGNNEITPYLVSRFYRAPEIILGLPYDHPLDMWSAGCCLSELYTGKILFDGSTNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQNLNFLARKKDPITKTVVNRLLLNIKPKGVGSAISSCPGDDPKMISSFKDLLEKIFVLDPKKRITQNRPLNSQNVADALQKFSLKKTAVQKALDALADSGQISFKEYGKQKIYLARQDQFNIPNGEELEEMKKANIKLQEELADQKKAISEVESEVRGLQSNLTLAEIKSKEAKLQREVQEMEEKLNKLRNGVILVKPEDKKIIEESFSEKVNQWRKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVGVNLQSYSDMLTSLSKRRKVSR >OGLUM03G31780.1 pep chromosome:ALNU02000000:3:30179255:30179542:1 gene:OGLUM03G31780 transcript:OGLUM03G31780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLFITGLSTVITCSSAVVFKGAAMAVVLLLMLVQLPGYLSCLLLCAIRAAVERAMAATFAAARDAVSAVTDAAVGWRDAASSNSTAAVAFV >OGLUM03G31790.1 pep chromosome:ALNU02000000:3:30179549:30180754:1 gene:OGLUM03G31790 transcript:OGLUM03G31790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKVLLAEMLAIFGLVASLSCSPATTRRRTSLLGPEHSGESYTDLLTIIQNLFKPPACTASIDRSRSFSKPKTD >OGLUM03G31800.1 pep chromosome:ALNU02000000:3:30180825:30184204:1 gene:OGLUM03G31800 transcript:OGLUM03G31800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G09080) TAIR;Acc:AT1G09080] MARDKQSALIVAAFVLLCSGCLCGVADGAKGGRKTKGPVIGIDLGTTYSCVGVYRNGHVDIVANDQGNRITPSWVAFTDDERLVGEAAKNQAALNPDRTIFDIKRLIGRRFDDEEVQRDVKYLPYKVVDKGGKPYVEVRVKAGEVKVFSPEEISAMILAKMKETAESYLGQRVTDAVVTVPAYFNDAQRQATKDAGTIAGLNVPRIINEPTAAAIAYGLDRKGAGEMTNVLVYDLGGGTFDVSVLSLDHGVFEVLATSGDTHLGGEDFDRRVMDHFIRLVKRRHGRDIGGDGRALGKLRRECERAKRALSSQHQVRVEIEALFDGVDFSETLTRAKFEELNMDLFKKTLGPVRKAIAEAKLKKSDIDEIVLVGGSTRIPKVQELLKEMFDGKEPTKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLSGIAPAPRGVPQIEVTFEVDENGILHVTASDKAAGRSKSITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRSAVRDGGMAGKIGDDDRERMESALTEALEWLEDNDGGARTAEKEDYEEKLKEVEQVCGPIIKQVYEKNGDASAGAGDDDDVNEL >OGLUM03G31810.1 pep chromosome:ALNU02000000:3:30183747:30184001:-1 gene:OGLUM03G31810 transcript:OGLUM03G31810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAESAAQVVSLLAPLLVMVLVAAVLASASGAGSAREREEAEAEAEARAREWARFVFGPEADDERAPPPPSRRVLVVARHDGCG >OGLUM03G31820.1 pep chromosome:ALNU02000000:3:30185157:30186687:-1 gene:OGLUM03G31820 transcript:OGLUM03G31820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTSPTPFGGAAVRRRAPPASAAAATRLDLPLVGSRAALHVARARRGGVSSRTQRRLEERGKNKRRGGGSVTAPAPPDMDEDAAAGEGVDWEGEPLGFEVSTTPMPELPDPEKPDFWEGPQWDALGFFVQYMWAFGVFFGLVACGFAVATYNEGATDFRETPSYKESVQTQEFPEESESSGSDVFEGNPTEVAPALE >OGLUM03G31830.1 pep chromosome:ALNU02000000:3:30188456:30190828:-1 gene:OGLUM03G31830 transcript:OGLUM03G31830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT4G39670) TAIR;Acc:AT4G39670] MLGFRSQTPWSGAGAEPICGDAAAAVVAARKGMETPLTAVAEAFEELARRMEADGGELRLGPFGDTCALVSVLFSCLGMAFRFAEIEYVAKVNDLIGAAKSYGTLNDILDKDVENDCVKKQGSHSRNLRRVRLGLGLIKVLFEQFLSTQECSLYDAATTAYGQVCAPFHSWAVRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIDYIDNLFLSRSISLDWSP >OGLUM03G31840.1 pep chromosome:ALNU02000000:3:30198234:30202405:1 gene:OGLUM03G31840 transcript:OGLUM03G31840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >OGLUM03G31840.2 pep chromosome:ALNU02000000:3:30198649:30202405:1 gene:OGLUM03G31840 transcript:OGLUM03G31840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEASREENVYMAKLAEQAERYEEMVEFMEKVAKTADVGELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEAYVASIKEYRSRIETELSKICDGILKLLDSHLVPSATAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAENTLVAYKSAQDIALADLPTTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDNAEDGGDEIKEAAKPEGEGH >OGLUM03G31850.1 pep chromosome:ALNU02000000:3:30204786:30213333:1 gene:OGLUM03G31850 transcript:OGLUM03G31850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-displacement protein alternatively spliced product [Source:Projected from Arabidopsis thaliana (AT3G18480) TAIR;Acc:AT3G18480] MEASPAAAERDRSPPPPPPPPPPSSSAAAAAAMSSPLAVVSSFWKDFDLEKERGGLDEQGLKIAENQETSQKNRRRLAESTRDFKKASSDDKLSLFNSLLKSYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASMAEQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSLAEDSQKTLEALKDRERALQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRAAKETEVNLLLDEVERAQARLVSLEREKGDLRSQLQTTNEDATNSSDYVDSSDILESSLNAKEKIISELNAELRSIESTLSSERETHVNELKKLTALLSEKENALTELKKELQERPTRRLVDDLKKKVQILQAVGYNSIEAEDWELATNGEEMSKLEALLLDKNRKMEHELTQLKVKISEKSNLLEEAEKKIAELTAKAEEQQKLILKLEDDILQGYSSTDRRTSLLNDWDLQEIGSNEVAEGTDPRHAPQDQDQSSMLKVICNQRDRFRTRLRETEEELRRLKEKYEMLVVELEKTKADNVQLYGKIRYVQDYSHEKIVSRGPKKYAEDVESGSSDVETKYKKMYEDDINPFAAFSKKEKDQRYKELGLRDKITLSSGRFLLGNKYARTFIFFYTIGLHLLVFTLLYRMSALSYLSTTPANDEIILDAGNQTLPHAL >OGLUM03G31860.1 pep chromosome:ALNU02000000:3:30220851:30223663:1 gene:OGLUM03G31860 transcript:OGLUM03G31860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAATGAALGARTARACDGCMRRRARWHCPADDAFLCQACDASVHSANPLARRHHRVRLPSASSSPASSPRSAAAPRAGSDDPDAPAWLHGLKRRPRTPRTKPGGGGKHDASAATVAAAAASAVPDLEAEESGIVGDTDHDVGEEDDEDLLYRVPVFDPMLAELYNPVAADDEEQQIEQKPAARVVPFSEPSPEFASGSVEADGLSGFDVPDMELASFAADMESLLMGVDEGFDDLGFLDDEKPHVKLDLDMDMDFASISPAPEREERKRKRPEMILKLDYEGVIDSWARDGASPWFHGERPLFDPGESWPDFPAGSRGGLGAAVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRAAALPPLPLPRHQHPPPPPPPRALPPVPMMLAPRGAHGRYRF >OGLUM03G31870.1 pep chromosome:ALNU02000000:3:30222565:30239341:-1 gene:OGLUM03G31870 transcript:OGLUM03G31870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGVGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSAALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVSFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQIHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQAELRPCAPRGASIIGTGGRARGGGGGGGCWCLGSGSGGSAAARLTNRPFIRGRFSALSLRTSYRIFLANSRVRRFSLYRDTRASLSPPVTAVTAAPSPPRLPACTHA >OGLUM03G31870.2 pep chromosome:ALNU02000000:3:30222565:30239341:-1 gene:OGLUM03G31870 transcript:OGLUM03G31870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGVGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSAALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVSFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQIHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQAELRPCAPRGASIIGTGGRARGGGGGGGCWCLGSGSGGSAAARLTNRPFIRGRFSALSLRTSYRIFLANSRVRRFSLYRDTRASLSPPVTAVTAAPSPPRLPACTHA >OGLUM03G31870.3 pep chromosome:ALNU02000000:3:30224501:30239341:-1 gene:OGLUM03G31870 transcript:OGLUM03G31870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNELCDLVAAHPDLLLADKLAWLSSRCGAPPASASASAQRASRAHLHSLLALARLLPAGAGVGSSLPAPLLAFLASHAFLSPSFWPQSFAPAPFLSRLLPLLAAAPTCPALSSALSAALLAALDVADPASAPLARAFLSAAAASPPTLLPADAAPVASRLLLEFAGSEEAPPKAKGKGEYAAGEENGGVREVVQKFEEEEVEELERKEVAFRLIVHVLGGEGGLESDNVAKVRNAAAKQVRSLSEFLKIRKRDWREQGAQLKTRINTKLLCCQAAVVVLVRSVSAMDVDSKASKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGISQITVSRGGQLLPVLLIPLKPLVADMTGSSPGALFDAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKEKDAVPVMRLNVIRLLAELCVCLKKWEVVDMILPLFIEHLEEGDASSPSLLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKAVGSAENNTVPSEATTERIETLPAGFLLVATNLTSTKLRSDYRHRLLSLCSDVGLAAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQSNQTPAKPVSTSLNTMESISAIALQAVSGPYMWNSEWCVAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGNSNEKAAVGQRTALSAALGSRVEVAAMTTISGVKATYLLAVSFLEILRFSCNGGILSATSTLNKSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWMVQLTLHQFFEDISHEDRICDIGEGADIRESVISVHACFLIKSMSQRDENVRDVSVKLLTQLKEKFPQVLWNSSCVDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWITSALSYAPCTTQGLIQENFCKPSGAQRSQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDITLEVLSTAVVTATVKCNHAGEIAGMRRLFSSMGGMNTGMSPLGMQSAQPNQSFDEVFLSRFVRLLQDFVVTAEKNQIDNTVFRETCSQSTALLLDHMVSDSRANLDGFSQLIRLLCWCPAYICTPDAMETGIFIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLISGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSATHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFAYAPEWYESQNKSFAQREAQSVSIFVHCLQNERPSGSADSAPKSQGREGEPNMLDQIHPVWGSVDNYTTVREKRKQLLLMLSQNEADRLEVWAQPINTKDAATFRGKISSDKWIDHARTAFAVDPRIAFSMIMRFPTNSALSSEITQLVQTHILELRTIPEALPFFITPKAVDENSSLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDDDKLVEGYLLGAARRSNIFAHILIWHLQGECVPDEPGKEAAAPKATAFHSLLPAVREKIVDGFTPEARDMFEREFEFFDKVTSISGVLFPLPKEERRAGIKRELEKITVPGDDLYLPTATNKFVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFQAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRNQMGETTDGGLLEIFQQDYGPVGSPSFEAAREMFMISSAGYAVASLLLQPKDRHNGNLLFDSHGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWNQFLRLCVKGYLAGRRHMNGIITTVNLMVDSGLPCFSRGEPIANLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >OGLUM03G31870.4 pep chromosome:ALNU02000000:3:30222565:30224112:-1 gene:OGLUM03G31870 transcript:OGLUM03G31870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRPCAPRGASIIGTGGRARGGGGGGGCWCLGSGSGGSAAARLTNRPFIRGRFSALSLRTSYRIFLANSRVRRFSLYRDTRASLSPPVTAVTAAPSPPRLPACTHA >OGLUM03G31880.1 pep chromosome:ALNU02000000:3:30243171:30252287:-1 gene:OGLUM03G31880 transcript:OGLUM03G31880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHECPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDISALRKKSVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSXRAGRQTPKHPHISRPKSRTPHPSRAAPCVRASRAPFASSIPPTTSAPLCLPPRSPSLPPLSHPNPTKPRRGDPAATQPQLRRGGRSNVAAESPATHEPRVSVSRPRDADGILSEDLLNHKSAEDPDGSFYTGDDPDRSFYDRDDLDRSFYNGDDPDRSFYDGDDPDHLYGSDDGQPRKRSEDIILSRAQNGFACRESLVKETKKVFRSEDENGSKMVNQYVHLGKIGSGSYGKVVLYRSMKDGKLYAVKVLNKSYMMKVRVVRSETAMTDVLREVSIMKMLDHPNIVNLIEVIDDPNADKFYMVLEYVEGKMVCDNGLGEATSRNYLRDIISGVMYLHSHNIIHGDIKPDNLLVTSTGSVKIGDFSVSQIFEDDDDLLWRSPGTPVFTAPECCQGSAYHGRAADTWAVGVTLYCMITGHYPFLGDTLQETYDKIVNDPVQIPDNMNPQLADLLERLLCKDPANRITLQAVGEHPWVAGDQGPVVEYFCRCGFGRRKRDDLKGEVQ >OGLUM03G31890.1 pep chromosome:ALNU02000000:3:30264424:30266241:-1 gene:OGLUM03G31890 transcript:OGLUM03G31890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITATTHGLTEIADRLAAELGENIPSLPEGKARSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASEAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPPPGMPVSLIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFVDLHMGSHSYLRALATAPIIPIAVEKGWSESASPNVRGPPALVFTFPQMEDRLKAAYKATTDGKFPEALRQFLSILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFASMLLENNPNEAQARRARQVQQQCSGKKDSSELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQSR >OGLUM03G31900.1 pep chromosome:ALNU02000000:3:30285907:30288394:-1 gene:OGLUM03G31900 transcript:OGLUM03G31900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSTGHRAADLVLPSYPEARALSLSLNPSCSWPDAQICGGIDRVSWVVFSFYLLQMIVEAIASLAEENGSSQAAIARRIEAARGGGGLLPPSHPALVAAHLSRMSAAGELVAVAGGKYALRPTPPPPPPPADPAAAAVAEEEEEEEFLADDDSADDLPPPPPPKRGRGRPPXHGHPPRPLRRARRPRPRRPLPRHAAALRLRRXRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMVPPPPTRPGATAKPRPQFAEVGFV >OGLUM03G31910.1 pep chromosome:ALNU02000000:3:30291729:30296479:1 gene:OGLUM03G31910 transcript:OGLUM03G31910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIB zinc-binding protein [Source:Projected from Arabidopsis thaliana (AT3G25940) TAIR;Acc:AT3G25940] MAFWQARDFLFCGVCGTLLKFDSVRSASCPLCGFKRKAKDIEGKETRYTVTAEDIRRELKLDPYVILETTLKEEDVIVERATVNKECEKCKNPELQYYTKQLRSADEGQTVFYKCAKCRHEFNENQ >OGLUM03G31920.1 pep chromosome:ALNU02000000:3:30294832:30307037:-1 gene:OGLUM03G31920 transcript:OGLUM03G31920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSATSAGGGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSYSHELNSKGVRPFPLLKPRGFYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFVEDEVIMDQRDKIIQSADRQILAQPGADDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSETFPTPQKC >OGLUM03G31920.2 pep chromosome:ALNU02000000:3:30295160:30307037:-1 gene:OGLUM03G31920 transcript:OGLUM03G31920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSATSAGGGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSYSHELNSKGVRPFPLLKPRGFYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFVEDEVIMDQRDKIIQSADRQILAQPGADDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSETGGGYYV >OGLUM03G31920.3 pep chromosome:ALNU02000000:3:30294832:30307037:-1 gene:OGLUM03G31920 transcript:OGLUM03G31920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSATSAGGGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSYSHELNSKGVRPFPLLKPRGFYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFVEDEVIMDQRDKIIQSADRQILAQPGADDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSETFPTPQKC >OGLUM03G31920.4 pep chromosome:ALNU02000000:3:30295903:30307037:-1 gene:OGLUM03G31920 transcript:OGLUM03G31920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSRHKSSESSSPTDGSNSPRTPTSAPPAAGSPAAASSSSSSRSDKKKPKSETPRKRDKLFGGSASGSAASKGGAGAAPASASSSPSADGRKAAAAQLRDGGAGGASAAALSPILASSLGLNRIKTRSGPLPQEGHRIAAALGSSNLSRGQAQADPSATSAGGGGGGGGRKAGSSWADSTSGSRGKGKAAEHPARGATATSLEGKSSAKVKPNALRNHSGDLRTPTHIPDNVCAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSYSHELNSKGVRPFPLLKPRGFYNLKEVLKVIQVRFEKAKEEVNSDLAVFAGDLVGVMEKYADSHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKESGFVEDEVIMDQRDKIIQSADRQILAQPGADDTTTRGSKSDVRKSYSQEQHNLKWKRSQEIKPVKFLSPLDTTDVKKEVESPTRERISSWKPFPSPVPKPPKDPTPIKEESPNKKTDTPPAVSSQAELNSPVESTSHQSLPPKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVENHSAICASADRCDQKGVSVDERLIRVAEALEKLVESYTQKDLPNAVGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADSTISLDDIKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGRSAINESDDLPQIVELADIARCIATTPLDEERALSLLVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLLLCDSVDMDKVDSASTVMDEEDDVVRSLRASPVHPVKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARIYLAEVVLALEYLHSMHIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGSSLYGDDEPQMSEFEEMDHRARRQKRSAVGTPDYLAPEILLGTGHGTSADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNRKIPWPHVPEEMSSEAQDLIDKLLTEDPHQRLGANGASEVKQHQFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYEFEDSSDNGSLSGSSSCVSNHQDDMGDESSGFTEFESSSNVNYSFSNFSFKNLSQLASINYDLLTKGLKDDPPTKSET >OGLUM03G31930.1 pep chromosome:ALNU02000000:3:30308083:30309728:1 gene:OGLUM03G31930 transcript:OGLUM03G31930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSSAIHVHTSRSLQLATLALLSEMLGRGLLPTSHTRAAAAAPALLLAYGQRWKRGDKGRDNGERGGGGGRRGRREMMACGPIVLMDSSVRYGSSLDDGDEHAISNLNEAVAEETTVADQEDDHVGGDLQGGANHELPGGDFQGGEVPTMCFLLISTCMHLTTKEKYI >OGLUM03G31940.1 pep chromosome:ALNU02000000:3:30311647:30313709:1 gene:OGLUM03G31940 transcript:OGLUM03G31940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCAAECALSLACARWALRRLSLSGADDSASWPAASPSSFAPGPAIRRMVAEAGPAGCRLVFVGHSLGAGVAALAAVVADDFLPRTPAPLQHIFGSIFCLPCLLCFICMRDTFVSEEKLKDASKLYAPGRVFHIVERENCRCGRLPPQVRTAVPAEGRFEHVVLSCNATSDHGIIWIEKEAQKALDLMEQEELTLPPSQQKMLRVKETESLADHQKLSAGNPQEDDTLSSSSPFSSPRTSTTSSLRSESSSTRSEWDELVEIFLSDHEEDGDGRTNMCNRAGCLPCCK >OGLUM03G31950.1 pep chromosome:ALNU02000000:3:30314859:30317066:1 gene:OGLUM03G31950 transcript:OGLUM03G31950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGGKSDYESVRDARISENLARMEMLGLRRCAGELSAIASASASASAAASRRAGIGGTTPRRNPKPPRVLTPLRRSGRLVAIAAAAPAGSASGPRRSARLNGQTTEHKALPLKGSLSKFAAEEAEEEEEEEQEEKKAMVVIDKERVRILQERRCDSKGRGAVYDPVLGICCHFCRQKKLCGEEGCKRCGEGDLNQPCIGKTDCSSCHSSYGILCRACLKVRYGEDMDEVRKNKNWMCPHCIEEKGTKKFWICNSSICLKKRKLSPTGIAIYDAREQGYESVAHLLMDKLKCRAF >OGLUM03G31960.1 pep chromosome:ALNU02000000:3:30319473:30322281:1 gene:OGLUM03G31960 transcript:OGLUM03G31960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70650) TAIR;Acc:AT1G70650] MRHRVGPAAAALRRFSHLRPPAPPDPRLAFLRSEFDGREPGRQPPSPETREEPKGGERARSPVAVDIAHPWPEWVALMELLLQRGHLDASVFAGGAAGSPSKDSNVIRTACLRFGRERPELIRHLSRWDIQVALRYGCPSIDRKVVNSGKRLRAHVGLDEGEVCSQCNLRGGCERAYVKAWKEEIGRTVDVMRILLSYGLDIVTGNVENRACLNKTVKESIKSLLNEIVGFDSMGPGSSTEKPTHRMPKGQSSIPMKQGDWNCPKCNFLNFAKNIKCLRCNGEFEERYQLLHENQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRQLNPGEWECVSCNYLNFKRNAFCLKCGWKRPKSLNNQDSIESQRDLDDNKHSAISFIQDGIQSRRQILQNKAPLSDEDSDFWSSEEEGAYHSDNNIPPIKKDYKFLESFPIIGGRTAMSQDPLEREKWKDEMSRRNKGLLTKVSEESNRPSCPVRIPRSIEQIESGDDDDDISSWFSGGTSNINMKKA >OGLUM03G31970.1 pep chromosome:ALNU02000000:3:30326205:30331629:1 gene:OGLUM03G31970 transcript:OGLUM03G31970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGSAGVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDRPPTVRFQTRINMSCVNQETGMVEPSLFPMLGNWQREHTMQDILIGLKKEMSAPQNRRLHQPHDGNEDQRVEQKGLSLRCVIM >OGLUM03G31980.1 pep chromosome:ALNU02000000:3:30341040:30343845:-1 gene:OGLUM03G31980 transcript:OGLUM03G31980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAWRPASGRRVALAAMVVLSVVVAAAMADDLAGDARALLAFRDAVGRHVAWNGSDPGGACSWTGVTCEGGRVAVLRLPGAALAGRVPEGTLGNLTALHTLSLRLNALAGALPGDLASAAALRNVFLNGNRLSGEFPRAFLALQGLVRLAIGGNDLSGSIPPALGNLTRLKVLLLENNRFSGEIPDLKQPLQQFNVSFNQLNGSIPATLRTMPRSAFLGTGLCGGPLGPCPGEVSPSPAPGEQPVSPTPANNGDKGGNGGESGKKSKKLSGGAIAGIAIGSAVGAALLLFLLICLCCRSGRTKTRSMEMPPPPSSAPAVVAAGRKPPEMTSAAAVAPMATVGNPHAPLGQSTSGKKLIFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLTEPEFRDRIADIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRGSGRTPLNWETRSSIALAAARGVEYIHSTSSSASHGNIKSSNVLLNKSYQARLSDNGLSALVGPSSAPSRASGYRAPEVTDPRRVSQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVVRSEWTAEVFDMELLRYQNVEEQMVQLLQLAIDCVAQVPDARPSMPHVVLRIEEIKKSSERLEGRDPQQQASNLEAGDDQTSSKPESAEGLNPFAP >OGLUM03G31990.1 pep chromosome:ALNU02000000:3:30349531:30354979:-1 gene:OGLUM03G31990 transcript:OGLUM03G31990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEATGSAPRGAWRLDTGCRPILSVILSPIVTGPRQPRDGRVGLAHLAVSPKDPIPETDATETSSGARAPGVRAVRREPVLSTTSSSSSSSSPSPASRPCAISSLGAPDLPPWRDKNLFPWSIQKPCNYTICPMMFYAAYCHGYIQRVLADGLSFSQVAAAVEMLLSEIGLEKRHHVPAQEYKHETYKDQVCQESEQSSAASMFTSYPEKHTCHVDVDVDGWVRFCAASRARRIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAALPGVQKLSITSTLYIYDEVRQNLSQILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSFIYG >OGLUM03G31990.2 pep chromosome:ALNU02000000:3:30349531:30354979:-1 gene:OGLUM03G31990 transcript:OGLUM03G31990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLEATGSAPRGAWRLDTGCRPILSVILSPIVTGPRQPRDGRVGLAHLAMMITMNPNKALANKPCNYTICPMMFYAAYCHGYIQRVLADGLSFSQVAAAVEMLLSEIGLEKRHHVPAQEYKHETYKDQVCQESEQSSAASIKFVVKFGLRRKHTCHVDVDVDGWVRFCAASRARRIAFDFTPGAKNIFKGLPDDKYIFPLHVFSGPDSSPSHVRSLNLAYVCLNTTTTGFAGFANLKKLTLHKVLFLIMLPECTALEWLSIICCSYTELTLCKPLLRLRYLCLHYCNLEKIELEAPNLTSFDLINRPIPLALSESPKVMKFKLLHKSVRYGDNLDYICTELPAALPGVQKLSITSTLYIYDEVRQNLSQILRLAYLLEVAPVLEELELHFDISDFVIRQVIRADMPPYRHDKAQEGGHVWSLSLAGADRASTLHSSFIYG >OGLUM03G32000.1 pep chromosome:ALNU02000000:3:30357890:30365816:1 gene:OGLUM03G32000 transcript:OGLUM03G32000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSVTKKATTPFDGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPADKVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERVGADDFGIKYNMENGGPAPESVTDKIFSNTTTITEYLIAEDLPDVDISVVGVTTFSGPEGPFDVDVFDSTIDYIKLMKTIFDFESIKKLLASPKFTFCYDALHGVAGTYATRIFVEELGAAESSLLNCVPKEDFGGGHPDPNLTYAKELVDRMGLGKSSNAEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFSSGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGDKLVTVEDIVRQHWGTYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSDVVAADEFEYKDPVDGSVSKHQGVRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRDSQDALAPLRTGGVTLEIGRSDRMDEPRVAPVPCLALKHGADSDKPVLFSISDATAIDNNGGVDIPGLTNGNAWVTPQGWIRVRSASDASTFLQNPQDPDGKIPLPHLPRELPSTCSCRLSGKPNGSESCIVLLVETEEDVTVLWYCRFGGGGEGEGWVRHEYDVGTQWDIRPGKEGQREKVPICSIAACRGKFYFNATPESVGVLEFTPTPTAPVFGSIAIADPLPGGYGVLGAALGFLVEAEDDLYMVRLLLDRDFETVYDLIVYKMDFSEQQWHEVDDIGGRAFLLAPAYFGASRAADECGLEKDSVYVPYAHKKCFEVCKVEEKGDIDVVNLIEAPDAKIGMWIMPTD >OGLUM03G32010.1 pep chromosome:ALNU02000000:3:30367148:30370667:-1 gene:OGLUM03G32010 transcript:OGLUM03G32010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCE5] MSSSLLTDLVNLDLSESTDKVIAEYIWVGGTGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILHPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNNRYNAARIFSHPDVKAEEPWYGIEQEYTLLQKHINWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLFAGINISGINAEVMPGQWEFQIGPVVGVSAGDHVWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSNGGYEVIKKAIKKLGMRHREHIAAYGDGNERRLTGRHETADINNFVWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYLVTAMIAETTILWEPSHGHGHGQSNGK >OGLUM03G32020.1 pep chromosome:ALNU02000000:3:30375731:30378046:1 gene:OGLUM03G32020 transcript:OGLUM03G32020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01570) TAIR;Acc:AT4G01570] MWRAPIRGLASAAAARAGAGAPLSGLTDALLAARLANHLLTTPHIPPELLPAAPLPLPVRLHVLRHPALPPTSKLSFFLAATPPSCPLLAATFPVLVRALATHSPPLLDALLPFALASSCPSELLPALLSALLSASRVDAALALLDAAPPDLLPRLAAAAIPSLIASPDPISAVPAIRRLLPIASHPPPVRATNRLLLALSKENLYDDFRHVFGEMSRRGLPSNIRFYNICIHAFGKWRRLDMSLKLFAAMKTASPPLVPDICTYNSLIRALVVGARVADALVAYDEMKSFGIEPDVFTYRAIVDGCCKSFRMYDALRLFQEMRGSYGVKGDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYDLRRKGQLLDGIAYSIMVREFCKEGKGDQVAEAVELMKEMEERGFAVDLVTVTSLLIGFNKSRRWDLEEQIVKFIRDSSVLPDAIRWKSNMMSALQRPQDREKDGTSIFPFDGNIDDVMSLVNPVVCTGANEETPKDEPKDDWSLSPHLDHLAKHADHLNSSAIFTIDRGQRVQGMGAKTFDADMVNTYMSIFLAKGKLSVACKLFEIFTTLGRKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDIATYNLIIQGLGQMGKAEVAGSIISELSKKGVHMDIVMYNTLINQLGKAGKVDEANSLLEQIIGRGIKPDVVTFNTLININVKAGRLKEADKYLRKMIAEGIAPNYATETILVFLDKEIEKKRQQPR >OGLUM03G32030.1 pep chromosome:ALNU02000000:3:30384149:30389690:1 gene:OGLUM03G32030 transcript:OGLUM03G32030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-O-methylthreonine resistant 1 [Source:Projected from Arabidopsis thaliana (AT3G10050) TAIR;Acc:AT3G10050] MMRVAPETLQRQSGYLVRGRGEEGIGEGEAEAVGGDAAGGLGAMEYLTSVLSSKVYDVAIESPLQLATKLSERLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSREQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWRSVERLGATVVLKGDSYDEAQSYAKQRCEQEGRTFIPPFDHPDVISGQGTIGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGQRVMLEQVGGFADGVAVKVVGEETFRLCRELVDGIVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKKFAELVGRMNITEFKYRYDCNAKDALVLYSVGIYTDDELKAMVERMESSKLRTVDLTDNDLAKDHLRYFIGGRSEVTDELVYRFIFPERPGALMKFLDAFSPRWNISLFHYRAQGETGANVLVGIQVPPEEFDEFKSRADNLGYEYMSELNNEIYRLLLRDPKI >OGLUM03G32040.1 pep chromosome:ALNU02000000:3:30391546:30398418:1 gene:OGLUM03G32040 transcript:OGLUM03G32040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1C [Source:Projected from Arabidopsis thaliana (AT1G14830) TAIR;Acc:AT1G14830] MATMGSLIGLVNRIQRACTVLGDHGGGGEGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVEMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >OGLUM03G32040.2 pep chromosome:ALNU02000000:3:30391546:30398125:1 gene:OGLUM03G32040 transcript:OGLUM03G32040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DYNAMIN-like 1C [Source:Projected from Arabidopsis thaliana (AT1G14830) TAIR;Acc:AT1G14830] MATMGSLIGLVNRIQRACTVLGDHGGGGEGGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQQESIVQDIENMVRSYVDKPNSIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLAARRKEKEYFESSPDYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIAMINKTIDEIEAELDRLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDKHLSLQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLHYFRGPAEASVDAVHLVLKELVRRSIAATEELKRFPTLQTDIAAAANESLERFREDGRKTVIRLVEMEASYLTVEFFRKLPTEPDKGANNNTPANDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAVVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDSLVKRLELYKSARNEIDSVAWK >OGLUM03G32050.1 pep chromosome:ALNU02000000:3:30397335:30398331:-1 gene:OGLUM03G32050 transcript:OGLUM03G32050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAHCAPAANLSPSPGPCLPLRLRRRDRELPRPARAALQRRHAVGIVRTNRRRGAVACRRRRVRHEEEDEEEEYGHNEEMARLERYSEGARDQALLVKARVDDEVEVVLVFKGFSSRLSGRTAADPAMSVLPERAIIQTVDVVRGPFDPTNIEYLEKDLPWDDFKSRLH >OGLUM03G32060.1 pep chromosome:ALNU02000000:3:30406757:30411204:1 gene:OGLUM03G32060 transcript:OGLUM03G32060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIREKRFSQMNLSLHVPSRVPFQDAAAAARRQCPPPVAAASTSSTPASRASQFRLADFERVAVLGRGNGGTVYKVRHRETCALYALKVQHSGGGGELAGAEADILSRTASPFVVRCHAVLPASASASGYVALLLELVDGGSLDAVARRRAGAAFPEAAVAEVAAQALSGLAYLHARRVAHLDVKPANLLASTDGEVKLADFGIARVLPPRAGDHRAPPYAGTAAYMSPERFDPEAHGGRYDPFAADVWGLGVTVLELLAGRITAFKKSKMQNLLNCVITTKFNITNGMLQTTDLSAKQQGQERHFNNLLD >OGLUM03G32070.1 pep chromosome:ALNU02000000:3:30411523:30414832:-1 gene:OGLUM03G32070 transcript:OGLUM03G32070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKAVTWRDALAVVAEVLQQVKPEEITGVAGKLSDAESMMALKDFVNKMGSDKVLCEGNGPSPPVDLRSNYLMNTSIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVRATQAKVAYIGPPTDFNYDHEHLGTGPQTLVEIAEGRHPFCSTLQSAKNPVIIAGAGLFDREDQDALFSTIETVAKKFNVVRPDWNGLNVLLLHAAQAAALDLGLVANPTESIKSTKFLYLMGADDVDLDKVPDDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKILRALSEVAGAQLPYDSLSGVRDRISMVAPNLVHVDEREPCTISSEVKPPVKQQVSSTPFKTVVENFYMTDAITRASKIMAQCSATLLKK >OGLUM03G32080.1 pep chromosome:ALNU02000000:3:30415035:30420398:-1 gene:OGLUM03G32080 transcript:OGLUM03G32080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVLIERVQFYLRFHTRVLPRYDDGDEASATSRRSARLDAATSLPATNGWARSSPTDALSRWSLRRHAATNPRSSGEAAAPEPVGGARVELPSNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGEQFDADAASRFAAITLC >OGLUM03G32090.1 pep chromosome:ALNU02000000:3:30421125:30422156:1 gene:OGLUM03G32090 transcript:OGLUM03G32090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIRERRLPQLHISLDLPSCAGAANFRAAPASTSAAAAARAGELRMSDFDRVAVLGRGNGGAVYKVVHRRTSAVYALKVLHGGVGGGDHGAAAATEADVMRRAASPHVVRCHSVVAAAAAATSCPGDVALLLELVDGGSLASVAARAGAFPEAAVAEVAAQALSGLACLHARRVVHRDIKPGNLLVSVDGEVKIADFGIAKVVPPRRGGEHRAAYEYEGTAAYMSPERFDSELHGDGADPFAADVWGLGVTVLELLMARYPLLPAGQKPSWAALMCAICFGELPPLPDGAASPELRAFLAACLHKDHTKRPSAAHLLTHQFVAGRDVAASKLALRRLVAGA >OGLUM03G32100.1 pep chromosome:ALNU02000000:3:30427643:30431121:-1 gene:OGLUM03G32100 transcript:OGLUM03G32100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRTVKVSNISLNASKREITEFFSFSGDIEYVEMQSESERSQLAYVTFKDSQGADTAVLLSGATIVDRSVIITPVVNYQLPPDARKQSAGEKSSSAESVVRKAEDVVSSMLAKGFVLSKDALNVARSFDERHNILSNATATVASLDRQYGVSEKISLGRAIVGSKVKEVDDRYQVSELTKSALAAAEQKASIASSAIMNNQYVSAGASWLTSAFGMVTKAAGDMSSMTKDKVDRAEEERKAIMWEERNGLVSDYAKIHLDEPSSWEPAVLPLESVDEQKLQAV >OGLUM03G32110.1 pep chromosome:ALNU02000000:3:30454182:30457099:1 gene:OGLUM03G32110 transcript:OGLUM03G32110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MLRSNRNQPAFTCLRSCRRFRNSESYSSAMSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYGFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPELCGYAHSDDGCEFDLEFVLSSTEMNYTKSSNRCMFWFLQIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >OGLUM03G32110.2 pep chromosome:ALNU02000000:3:30454182:30457099:1 gene:OGLUM03G32110 transcript:OGLUM03G32110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MLRSNRNQPAFTCLRSCRRFRNSESYSSAMSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYGFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >OGLUM03G32110.3 pep chromosome:ALNU02000000:3:30454182:30457099:1 gene:OGLUM03G32110 transcript:OGLUM03G32110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MLRSNRNQPAFTWRFRNSESYSSAMSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYGFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >OGLUM03G32110.4 pep chromosome:ALNU02000000:3:30454182:30457099:1 gene:OGLUM03G32110 transcript:OGLUM03G32110.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYGFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >OGLUM03G32110.5 pep chromosome:ALNU02000000:3:30454182:30457099:1 gene:OGLUM03G32110 transcript:OGLUM03G32110.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein [Source:Projected from Arabidopsis thaliana (AT5G42770) TAIR;Acc:AT5G42770] MLRSNRNQPAFTCLRSCRRFRNSESYSSAMSSAMASSMAKNSSPFKVVLGSSSPARREILADMGYGFTVMCADIDERAIRREKPEELVKALAEAKAEAIKLKLHGEDSAQERDQPTILITSDQVMVSKGMIRERPKGQEEAREFIKGISISNLCLGIVPVNLLYLAKKFWLCVSLLLVGYSGDKAFAVNYVLVTNLSNGASKGGWDIPEIYFHHIPEDFIQSVVKEGHMTCVAGGLKLTHPSVLPFIKQLIGTMDSVRGLPRELTERLIQEVLGAK >OGLUM03G32120.1 pep chromosome:ALNU02000000:3:30456399:30457841:-1 gene:OGLUM03G32120 transcript:OGLUM03G32120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLPPWVVLDRNAFLHKPDDEEEDPGWATIRCDTTAYVTLRADVEGLRPVDELAPFDDGLKLLALVADPPRPSRLSIRLDGDPDEENGRRGFRSSVLLADTGFLVLSSCLPDTRGHKSYLVCDVAAADNAASLKMLPTLPMRFLPSVTYCPLPVRQQPDADGGGYLLAIFAMDMDADAEADGYLPQVLCLLPSSAPFDRRRWGTRRPIFPSEKPKSFTAHQTFSFQGSAYWVDLGQGILFCSCHDLMSGTNNINNNDDDDDDLQFGYIQLPDGCYVGFDSLYLTHLPSQYRDIRCISDSIRFVSIEGYNTDPPYDMLLSMWDLTPSSRQWHKVGSIHVGSLWEQEGFRRSGLPTNTSPTQPMLSSEEDGVVYLMAGDFYEEDEKHRSLHVFSVDMTTCEFVSAWRLPPWRHSGPPSLIGSDIFKHLKMDNLCQLVPPNTRAKVLPRPPKRDRGEGNVITVRPRKVQRVHHQGENV >OGLUM03G32130.1 pep chromosome:ALNU02000000:3:30460830:30463881:1 gene:OGLUM03G32130 transcript:OGLUM03G32130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGGGGSAAQPLQLAAAWVLMASGGRGIAARPTQFMGVAPFENGQYARLLDRGRGGYRFADESEERVRTDHHHGLILEGDSPHILLRGAYGRYVAGMPMGAGEGPRGGGVLETMDTDVMWRTVPGPRGGGVVLINASSYNGVLRALRANGKYQRWNTGVSLQYIDRFNARFSSMMEWEVQVIPTRVQRPPFQVGGEAWLCGLQRRGSGEIQVGVRVADDDGNFNIPGGEILLISGGSLIELGSALEERFNMRFGSMMEWEIQVIPTRFQVGQARRLCGLRRRGSGEIQVGVSVADHDGNFNILGGEILLISVRSLIELGSALEDRLSSSFRFWNMSIFIRAGSLGQPFPLLTDLPSELDYFEVVVFMVGTPGEKLLTDELTHSVFCTLLFFCQK >OGLUM03G32140.1 pep chromosome:ALNU02000000:3:30465293:30469024:1 gene:OGLUM03G32140 transcript:OGLUM03G32140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKDRMVRIREAVDEALAQANVKMKDSYIEYHHKKLDDDDTICSAGVMCNTHVYVKQRFRGGGNSARGLPFPAAIPLKSYIETQGYKKERLTRWFVEKKLPSSLIEPGFDDSGFFLNNSAKKCGAMLSETLEGTHKCSRCFDGFEESDIFYDPLKEEFIIAVPTIEFTPAAYKKDWKACKKILEKYFRYPTPTGKLHYPLMVDEMIGRIDKLEEIKNAGILWRDRVLLYRHPCFKTDAEMIAIFFQLVLHYHTLDPAERKIFVSCFGEDLKWGMKAELSRELKKVMNFTAYDKRGQLKLQKVYIENDGFSLIDMCRCALQHVVRPGMVSQVDVFVLLCFIFKDLVPKMIESMLKYSPSEISEGIDKSQNHLKMIEILGFLEPGRDLQAAEERFKEVARS >OGLUM03G32150.1 pep chromosome:ALNU02000000:3:30474382:30480758:1 gene:OGLUM03G32150 transcript:OGLUM03G32150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSASPCIQRIPSSIDRHQPPSLLSQKKNKQKKSIPSSTPPPPPRRRGKKKRKHRPAMAAAARSLPPWVVLDPVVRLHKPDGKENPRWAIIKCETTAYVTLRADVEGLRPDDELSPFDDGLKLLALVDEPPWPSRLSIRLDGDPDDEDRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATDNPSLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFPSEKPKKFTAHHAFSFQGSAYWVDLGQGILSCSCHDLISNTNDVQFRYIALPTGCYVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLLTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVLAWHLPRWRHVGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >OGLUM03G32150.2 pep chromosome:ALNU02000000:3:30474382:30480758:1 gene:OGLUM03G32150 transcript:OGLUM03G32150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSASPCIQRIPSSIDRHQPPSLLSQKKNKQKKSIPSSTPPPPPRRRGKKKRKHRPAMAAAARSLPPWVVLDPVVRLHKPDGKENPRWAIIKCETTAYVTLRADVEGLRPDDELSPFDDGLKLLALVDEPPWPSRLSIRLDGDPDDEDRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATDNPSLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFPSEKPKKFTAHHAFSFQGSAYWVDLGQGILSCSCHDLISNTNDVQFRYIALPTGCYVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLLTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVLAWHLPRWRHVGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >OGLUM03G32150.3 pep chromosome:ALNU02000000:3:30475298:30480758:1 gene:OGLUM03G32150 transcript:OGLUM03G32150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRSASPCIQRIPSSIDRHQPPSLLSQKKNKQKKSIPSSTPPPPPRRRGKKKRKHRPAMAAAARSLPPWVVLDPVVRLHKPDGKENPRWAIIKCETTAYVTLRADVEGLRPDDELSPFDDGLKLLALVDEPPWPSRLSIRLDGDPDDEDRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATDNPSLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFPSEKPKKFTAHHAFSFQGSAYWVDLGQGILSCSCHDLISNTNDVQFRYIALPTGCYVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLLTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVLAWHLPRWRHVGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >OGLUM03G32150.4 pep chromosome:ALNU02000000:3:30478360:30480758:1 gene:OGLUM03G32150 transcript:OGLUM03G32150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCFLQSQIHRPMDIRSASPCIQRIPSSIDRHQPPSLLSQKKNKQKKSIPSSTPPPPPRRRGKKKRKHRPAMAAAARSLPPWVVLDPVVRLHKPDGKENPRWAIIKCETTAYVTLRADVEGLRPDDELSPFDDGLKLLALVDEPPWPSRLSIRLDGDPDDEDRRGFLGGVLLADAGFLVLSSCLPGTRGDNSYLVCDAATDNPSLKMFPTVPMRFRPSVTPLPLRQPDGDGYLLVLFAMDMDADADDDGYLPQVLCLLPSSAPFDRHCWGTRRPIFPSEKPKKFTAHHAFSFQGSAYWVDLGQGILSCSCHDLISNTNDVQFRYIALPTGCYVDFDSLYLTAPPSQYRDIRCVGNSIRFVSIEGYNTLPGYNMLLSMWELMMPSSGQWRKVGSIRVGRLWEQEGFRRSGLLTNTSPTHPMLSTEDDGVVYLLMGEFYAEDEKDRSLYAFSVDMVTCKFVLAWHLPRWRHVGSPSLMGSDIFKHIKKHNLCQLIPPNKRDRGEASVLTVRPRKMQRDHLGTGSSRVQEKMR >OGLUM03G32160.1 pep chromosome:ALNU02000000:3:30482787:30485151:1 gene:OGLUM03G32160 transcript:OGLUM03G32160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKVFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHNFAGAVRNCKPPEVYKGKGILYIDEVIKLKPGKKQKK >OGLUM03G32170.1 pep chromosome:ALNU02000000:3:30487239:30497755:1 gene:OGLUM03G32170 transcript:OGLUM03G32170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPAPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEAGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRVMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVHRQNLCSRWGLAHPYPLSTPFSPSTPSSTCKRKKRRRSCATIAVPAPLPALTRKPSGTACFCGAQCATADGRGWNSGEVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEIVCQGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDAISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRQKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDHYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQDRSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQKNSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >OGLUM03G32170.2 pep chromosome:ALNU02000000:3:30487239:30497755:1 gene:OGLUM03G32170 transcript:OGLUM03G32170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGGLYTDILVHAPPAPSNPAPPAAAAAETLAPAPPAPNPNPTPTPASTKAAAAADEEEDDDWLLGGSDPVVGVDPTGDWADEEEDGGAAQPPPKREAAAAAAKPAPVVAEEADPLMGGVAGDAGAAIPGLSSSAAASAAAGSEEWDSDSEDDIQIVLNETDGRRRLGEDEGDDEDGEDLVIVADGPIPGMEEQDWGEDAAAAGAEGERKEGGEAGKAAAAPGGRIGYSGGGQGFHPQHHSMFKYVRPGATLGAPLGGAPTATGQFRPPGPPGPFAGRGRGDWRPGAGRVMNKGFGYGMPPWGGSGRGFGGLDFTLPPHKTIFDIDVDTTFEEKPWKYPGADISDFFNFGLDDEKWKDYCKQLDQLRLESTMQSRIRVYESGRSEQDYDPDLPPELAAATGHHDISADSRNKTDNGHTDFNTQGRVPTSMRPPVMTGRPIQVETGYGERLPSIDTRLPRMRESDSVIEGQSDDPLVDDSTVDQTEKDSQRGDKRTHGAEEGRPYTSEMNSSSALGKEEHKKRLPVSSEGDNATDVNGRSSPSYRTRGSPRGVRSSKGSSAREVESSNEILPRQTTSLKRNNDSQREKNPDEGSESKDGPEGSPAAADEAADKLSADHFDGNDGSLALVDSAEVDGDDAISDPHTVSETTTTDGDNLSHSGKKQKLISRAEQPTGHNSSDQDELRTRNSDNSRGRSGSSKDNQKRLESGEEVLQDRRSRRINDARRHHDGEDRNSRQKDEYLRDIKPDVERSHLASRSRDDTHHPYANRDRDMRGRSYDRVRDTEIWQRREESVHNRRAKEEDVRLEHNAEVGARNRNKMRPPVDRNDRIEDPHARKRLDDGDWRGSRPRERGDVVLNRRENIDDSHMKRKKDEENMRRMKPENEDIVHGQHGYRGRDDPNRRKRERDDGIDQKRRDDNARMREKADDHYHTKHKEDNWRQREREDRHRPKHDNTVTLQRDEGRGSGRGGRILDDKLVTSGRKQDESRSAGLSKEAQDRSRQNDPLRRDQGAEENNMQNRGRSDVHPRDENPNNSERNTRQEKPNNTHDGNRLSSNSGARQASRDRYRESTRKGRSSDINEHDLPKSSKRRREDHESHRGGKVDVKGVSEQKNSRDHTVSSKKGQNPQRESFVKQAEEDPMSDDENHEDSRRGRSKLERWTSHKEIDYSSIDNENAPTFSSIKSDVQAPTADELGKSEAAAAGNSELKSGGDNGQTSEKNAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDTEVQPPQIESASADLEVKPERPARKRRWTGTGS >OGLUM03G32180.1 pep chromosome:ALNU02000000:3:30498366:30510652:1 gene:OGLUM03G32180 transcript:OGLUM03G32180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MHGPSTWPTTPPQRRHGGEERRGRRRRGIFFLSQEISKTPNPCSLPRLRLARRGAMAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLDLCLLVMSATLVGVMSVLLEVKMYIRSFQQSYQPPSMLETILGTISQEIGVGRSARRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >OGLUM03G32180.2 pep chromosome:ALNU02000000:3:30498366:30509565:1 gene:OGLUM03G32180 transcript:OGLUM03G32180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MHGPSTWPTTPPQRRHGGEERRGRRRRGIFFLSQEISKTPNPCSLPRLRLARRGAMAAEDNPGYALRATLAGHRRAVSAVKFSPDGRLLASASADKLLRVWSTSDLASPVAELAGHGEGVSDLAFSPDGRLIASASDDRTVRIWDLGDGGGGGEPRLMKTLSGHTNYAFCLAFSPHGNMLASGSFDETVRVWEVRSGRCLRVLPAHSEPVTSVDFNRDGAMIVSGSYDGLCRIWDSATGHCIKTLIDDESPPVSFAKFSPNGKFVLAATLDSKLDLCLLVMSATLVGVMSVLLEVKMYIRSFQQSYQPPSMLETILGTISQEIGVGRSARRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYIWDLQSRKILQKLEGHTDTVIAVSCHPNENMIASGGLDGDKTVKVWVQKEEDQMEV >OGLUM03G32180.3 pep chromosome:ALNU02000000:3:30499002:30509565:1 gene:OGLUM03G32180 transcript:OGLUM03G32180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT4G31770) TAIR;Acc:AT4G31770] MAPTASMLFLSYHQLHRPASAAEAAPASRRKEAEEEGSNGGAAGGRVRVSLSSALSLLARRREAAPTPTPQAAAAKEVTRRGGGGGDGVAGEGEPEEAASLERRFEEAIAVEGCMHGELDKVYDTLRELEKAEGVKIDLLLCCGDFQAVRNENDLQCLNVKPRFREMKSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGHYERPPYNENTIRSVYHVRHYDVLKLMHVKEPLDIFMSHDWPLGITEYGNWQKLIREKRFFEEEVNKRTLGSEPAARLLNKLKPPYWFSAHLHCKFPAVIQHGEGGPTTKFLALDKCLPRRGFLQVIDIPSGPGPHEIQYDEEWLAITRKFNNVFPLTRMPFTMLDEQVDTQDDLQWVRNKLNARGAKPIDFVQTAASYDPSCQASNPSITVHCRNPQTESFLQLLNLPYLLDSSNSYGVSRNESSSQTGQALDSDDIELPDDEDDPADDDD >OGLUM03G32190.1 pep chromosome:ALNU02000000:3:30511747:30514620:-1 gene:OGLUM03G32190 transcript:OGLUM03G32190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTMEDKDEELSGPVVVAAVERLVRYEEMGSIWRQIETVVVYLMNMPLNATMQVPKLQARTASEASEML >OGLUM03G32200.1 pep chromosome:ALNU02000000:3:30533242:30533658:1 gene:OGLUM03G32200 transcript:OGLUM03G32200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEIEEQNKQAMQVPEPSPYGPSRQQGCMVKWPHRKAGMGKLLWQLKIDGGMGVSIMNMHC >OGLUM03G32210.1 pep chromosome:ALNU02000000:3:30535384:30538647:1 gene:OGLUM03G32210 transcript:OGLUM03G32210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAAMVQQQQYAAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >OGLUM03G32210.2 pep chromosome:ALNU02000000:3:30536074:30538647:1 gene:OGLUM03G32210 transcript:OGLUM03G32210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATQWFSNMVMDEPSFFHQWQSDGLLEQYTEQQIAVAFGQAGEADAAAAAAAMVQQQQYAAAAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHADAAAAAAFASAGQAQSAPYYGGASAAALKPKQELDAAAAPFSQARPVKRSYDAMVAADVAKAPAAAASRPASQNQEHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLGDAIKYVKQLQDQVKGLEEEARRRPVEAAVLVKKSQLSADDDDGSSCDENFDGGEATAGLPEIEARVSERTVLVKIHCENRKGALITALSEVETIGLTIMNTNVLPFTSSSLDITIMATAGENFSLSVKDIVKKLNQAFKLSL >OGLUM03G32220.1 pep chromosome:ALNU02000000:3:30540154:30541605:-1 gene:OGLUM03G32220 transcript:OGLUM03G32220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAPLPSWVVLNSAVRIAPGAVENEPEWAIKCSHRQAYPYAWRGVKEASASMARDVTLLARLVEPPDLSSLYIRLPADELRRPRFPMPDSGNGDDDEILDGDVSLSEGPLLRASVRAADEKLVILTSTLPDCDRASFYLIYNATKTSLSMIPLLPSYCSPSFTIRPLPMRRRSGGDGGDGDYSLAIMARTSVLDEQTRDPIDRDVLCLWPPPASAKPLPLSGRRGIEPWWVKQPHFPSQKPGSFVADTAFWADLAHGVLYCNCDDVLAGGYDVQFHYLGLPMECRLDDVDSCTGRGNPAEHRTMSYVGDSIKFVSIGDGLHPDLKVWALLPATMEWKKLHELSMATLWGLEGFKNAGLPENLPIHPILSTQQDGVLYLVLPAEEKVEEDIVVAVEEEDVAVTEQRYLFGLDVCNKRILSSRHLPDSGYLLGFDMFRCLDERCLCPHAAPSTDENGARPIPATRKRKLTSSPSPP >OGLUM03G32230.1 pep chromosome:ALNU02000000:3:30554816:30558023:1 gene:OGLUM03G32230 transcript:OGLUM03G32230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCI1] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >OGLUM03G32230.2 pep chromosome:ALNU02000000:3:30554904:30558023:1 gene:OGLUM03G32230 transcript:OGLUM03G32230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCI1] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >OGLUM03G32230.3 pep chromosome:ALNU02000000:3:30554901:30558257:1 gene:OGLUM03G32230 transcript:OGLUM03G32230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCI1] MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDRTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGCYRQLFHPEQLINGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPSGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESDENEDGDDGDEY >OGLUM03G32240.1 pep chromosome:ALNU02000000:3:30564826:30571209:1 gene:OGLUM03G32240 transcript:OGLUM03G32240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCI2] MVSGGRVGGGEGEAGEAAEVAVAMVDNEEEVAQAQAPPAAAVAARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDQKRPLSDQGPFDIVLHKLTGREWQQLLEEYREEHPEVTVLDPPGAIEHLLNRQSMLQEVSELDLSDCHGRVGVPKQLFVNTDPSSIPAAVMRAGLSLPLVAKPLVAKSHELSLAYDPISLTKLEPPLVLQEFVNHGGVLFKVYIVGDAIRVVRRFSLPNVDVGDLSNNAGVFRFPRVSCASANADDADLDPHVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLVQKEYKRRPSYSSCEG >OGLUM03G32250.1 pep chromosome:ALNU02000000:3:30573108:30579007:-1 gene:OGLUM03G32250 transcript:OGLUM03G32250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASCGVGDNPGSLSPSPAPPPRPTSSSIRRTPGDRPAGRPIVDRRRRIDSKSRGMEFSALLTSAGINIGLCALFLSLYSVLRKQPHNYGVYFGRRLAEEKFRQQVDYFSLERLLPTAGWIVKAYWCTEEEIRRVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKETNHGRIPAESLNVFTIANLKEGSRMLWVHCVALYVITISACILLYYEYKYISRKRLAHITGSPPDPGHFSVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMIYRKGSMQKFVDNAERVYRKFVRVKMSSFGQSRRSDLSRCGLCGVRASSFQQYRNKFINSKKPDLSDPEVIEAQKDCPGAIVFFKTRYAAIVASRILQSSNPMLWVTDLTPEPRDVYWSNLWIPYRQIWLRKIATLAASVAFMFVFIVPVAFVQSMMQLDQIEQLFPSLKNMLKKPFFVKLVTGYLPSVVLLLSLYTVPPLMMFFSSIEGSISRSGRKKSACCKILFFTIWNVFFVNVLSGSVLNQLNVFTRPRDMPSMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFRKCIFCYRDDPEYGYSFPYHTEVPKVLLFNLLGFTFSIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHSTLVFALVLTQTIALGVFTIKHATISSGFTILLIIGTVLFHQYCRHRFSSIFNSFSAQDLIEMDRDDEQSGRMEEIHKHLLDAYSQGTTNMDNSSSSRNGGAPIEMIMEDPAQDAQDSNQELCDAVKEVTGSIQEHANEM >OGLUM03G32260.1 pep chromosome:ALNU02000000:3:30585776:30588420:1 gene:OGLUM03G32260 transcript:OGLUM03G32260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMKHDENDEDPIDEPDLDKDDDLPEPGEHSADKDERSEDVGVFEDEERKERSQEDQEKTFHGDNVSSAVSHDPPSAEQDELLRRAQDKILYVDDASTAVPHDNQEAERREEEARKAREMTFRGDDVSSSVDHDAQVTKPLPEEQLKSMDSIFEGTTNLSNGITFRGPGVNESTAARELGAIPADASSHPNTSTVSESNTDTAPVNLGGNHTGSDQANSTSLKGQQEQQANSTAVLNNQIQLFTDLTSAAELNSPPNGTLASASTDAENATSVDRGHDGNTGTNKAEEGDTGKDLDLSTKIMNKAISEDEVVLE >OGLUM03G32270.1 pep chromosome:ALNU02000000:3:30590067:30595678:-1 gene:OGLUM03G32270 transcript:OGLUM03G32270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite exporter TauE/SafE family protein [Source:Projected from Arabidopsis thaliana (AT2G36630) TAIR;Acc:AT2G36630] MAAAAGLYGRLNRSSTRGFLAYVAAGTAGAAVLACFVTSAAEPRPGDAGANGGLRLSSRSARVWPDLAFNWRVVVATIVGFLGSAFGTVGGVGGGGIFVPMLNLLVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMLGITIGVELSVIFPYWLITVLIIILFIGTSSRSFYKGILMWKEETRIQMETREREEQSKSACTTKDVVIDPSCKEPLLSQPQPEEKSALDTFLFNLRWKNILVLMTVWSSFLVLQILKNNSQSCSTFYWVINILQVPVALSVFLWEAVHLCRESRARRMDGNWECVCEASIEWSPAQLIFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAVYLICISILAGFWGQSLVRKLVHVLKRASLIVFILSSVIFASALTMGVVGTQKSISMINNHEYMGFLDFCEK >OGLUM03G32280.1 pep chromosome:ALNU02000000:3:30614675:30616068:1 gene:OGLUM03G32280 transcript:OGLUM03G32280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAAGAHLFLSLATTLSPTAWPHHHFYLFSNKQLNSFDATAVLAFSTIVPLSDIAFSTTVLGFLVGAALPTLYILDGLCSGDTAGVTTASPHAFLLATQIFTEGLAAAWPRRFSLPVQPPLRAAAG >OGLUM03G32290.1 pep chromosome:ALNU02000000:3:30626060:30627277:-1 gene:OGLUM03G32290 transcript:OGLUM03G32290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFNSDAMTRRSIFAYLHGCKKMTARASTDHGVRAFTVRHETLLIENEGVTQLISKLIRMVPKLFLYFSPISSICNILCCLVFNIYIYIYIYIYI >OGLUM03G32300.1 pep chromosome:ALNU02000000:3:30627313:30627911:-1 gene:OGLUM03G32300 transcript:OGLUM03G32300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLSSHGLQSTEGGELHHHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQVPVAPRAGRLRAFFFSRAAAADDDDESSTNYKPLNWKCRCADTRRALDP >OGLUM03G32300.2 pep chromosome:ALNU02000000:3:30627313:30627911:-1 gene:OGLUM03G32300 transcript:OGLUM03G32300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLCSSSLLLLLLSSHGLQSTEAITSAAAAGGELHHHQIKEPPPEVEQTGGKGWAAMSEALIGSRPPRCEGKCAPCGRCEAVQVPVAPRAGRLRAFFFSRAAAADDDDESSTNYKPLNWKCRCADTRRALDP >OGLUM03G32310.1 pep chromosome:ALNU02000000:3:30629352:30634173:-1 gene:OGLUM03G32310 transcript:OGLUM03G32310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10040) TAIR;Acc:AT1G10040] MAEGEGEGGEKKSQRRRLRAACLPRPGCFTVSAADEGPSGSGGGGGGSRPAPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNGAVRTFDGVDVMGTRLAEERRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQITDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMVTNYGRLCVLSNVVLLTQTSVTIRLSTLTASSVIVGWRTSSIRHQHELPKPQNFINHVKYPHVVYVEKPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >OGLUM03G32310.2 pep chromosome:ALNU02000000:3:30629352:30634173:-1 gene:OGLUM03G32310 transcript:OGLUM03G32310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10040) TAIR;Acc:AT1G10040] MAEGEGEGGEKKSQRRRLRAACLPRPGCFTVSAADEGPSGSGGGGGGSRPAPTHLVVTVNGIVGSAENWRYAAKHFIKKHPEDVVVHCSGCNGAVRTFDGVDVMGTRLAEERRPELQKISFVAHSLGGLIARYAIALLYKSATEIDSHEEHEKQITDVSSNQLIDRGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYRISWIAGRSGKHLFLKDIEDGKPPLLLQMVTNYGRLCVLSNVVLLTQTSVTIPQNFINHVKYPHVVYVEKPKVQDTDFSDSMIYQAKNTSEMEELMLKGLNRIPWERVDVSFKKSRQRIFAHSTIQVKTYFFNSDGADVIFHMIDHFLY >OGLUM03G32320.1 pep chromosome:ALNU02000000:3:30636331:30638633:-1 gene:OGLUM03G32320 transcript:OGLUM03G32320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRADAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >OGLUM03G32320.2 pep chromosome:ALNU02000000:3:30636333:30638633:-1 gene:OGLUM03G32320 transcript:OGLUM03G32320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRADAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQSFDVLFGNCPYCSDPVAVKITDR >OGLUM03G32320.3 pep chromosome:ALNU02000000:3:30636333:30638633:-1 gene:OGLUM03G32320 transcript:OGLUM03G32320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G65740) TAIR;Acc:AT5G65740] MALHATSSRDSVRADAEGEPEWAGDASRRPAAFYSAVFAQIEEVGWERLVSGKGDGGVSCLVFRILDDQGRNHLLEITLPMNYPSSPPCLVADVPYLPELQWSKGSRLKDVVCQFQEHLKILQDYWSIMDDIDKVLWVVDPTKPTFAMSHRRIALDKKFHENLSTVLDFALPPPPSVNIEDDEQVDCGICYAKHLPIDDELGTHSGGTTDYTCENPSCSRAFHSVCLRDWLRAITTTRQYVKMINTQEAKTIFLPPPYELVSFDVLFGNCPYCSDPVAVKITDR >OGLUM03G32330.1 pep chromosome:ALNU02000000:3:30639821:30648870:-1 gene:OGLUM03G32330 transcript:OGLUM03G32330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISHHFGVVGASGVHGGHQHQHQHHHHPWGSSLSAIVAPPPPPQPQQQQTQAGGMAHTPLTLNTATAAVGNPVLQLANGSLLDACGKAKEASASASYAADVGAPPEVAARLTAVAQDLELRQRTALGGLGAATEPELDQFMEAYHEMLVKYREELTRPLQEAMEFLRRVETQLNTLSISGRSLRNILSSGSSEEDQEGSGGETELPEIDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKDARQQLLNWWELHYKWPYPSESQKVALAESTGLDLKQINNWFINQRKRHWKPSDEMQFVMMDGYHPTNAAAFYMDGHFINDGGLYRLG >OGLUM03G32340.1 pep chromosome:ALNU02000000:3:30668899:30671555:1 gene:OGLUM03G32340 transcript:OGLUM03G32340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSRPQQQRRPPWADEITTVSEGRRDAGDGDPLLHRIKSLTIAPPLLSGQSAASSEAESSLTDILVRKPSSSSATSGNLNPNVMFELFSLYREWQEEKAKKISETQEEIENKIETADALSIKLLQRFNYSVTSMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTSKMEPRESETLDPKTQS >OGLUM03G32340.2 pep chromosome:ALNU02000000:3:30668997:30671555:1 gene:OGLUM03G32340 transcript:OGLUM03G32340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESILSRPQQQRRPPWADEITTVSEGRRDAGDGDPLLHRIKSLTIAPPLLSGQSAASSEAESSLTDILVRKPSSSSATSGNLNPNVMFELFSLYREWQEEKAKKISETQEEIENKIETADALSIKLLQRFNYSVTSMRSTSHNLAEVRPLQVEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVQPFTTSKMEPRESETLDPKTQS >OGLUM03G32350.1 pep chromosome:ALNU02000000:3:30671640:30676972:-1 gene:OGLUM03G32350 transcript:OGLUM03G32350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELEGHRGAGRLPPPPPLLPFPKVSVQVYTVPSSSTAASAAAAGGARQAVAPATRDGGGRAAGVLDDPVKARIVGCPAEAAEEIAAAARVREARQRAAAAASRMPPAPEDPELDQFMEDYCKLLVECKEELSRPLQEAEEFLRTVESELNSINSGPPLTALISESKAGLDSSDDDEHEDGSGMEMMEAAEDEDLGIIDPRSDDKALKRHLLRKYSGYLGGLRKELSKKRKKGKLPKEARQKLLTWWELHYRWPYPSEMEKIALAESTGLEQKQINNWFINQRKRHWKPTEEMQFAVMEAYHHQSTDAAAAFYVDVDARLVGATAAAPAAAVYTARPDHGVWRA >OGLUM03G32360.1 pep chromosome:ALNU02000000:3:30681404:30682964:-1 gene:OGLUM03G32360 transcript:OGLUM03G32360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTYSNVNGGGGSFFPFRAGGDPERRGQPGVVSPRSGQSEGRRGRRSGGAGGDPERRGWRGGTDCGDHWRRGWRGASATSLPDPRLSVSTPVISTSRSTTSLRLYSGILRVGEVGTKLILRIMHEVLMAINRGDGNGGARAAARPTREVDPSLMTDD >OGLUM03G32370.1 pep chromosome:ALNU02000000:3:30700415:30702169:-1 gene:OGLUM03G32370 transcript:OGLUM03G32370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVVAEEKPQLLSKKAGCNSHGQDSSYFLGWQEYEKNPFDPVSNPSGIIQMGLAENQLSFDLLEEWLEKNPHALGLRREGGGASVFRELALFQDYHGLPAFKNALARFMSEQRGYKVVFDPSNIVLTAGATSANEALMFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCASANGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTASPRADLETIVDFVAAKGIHLISDEIYAGTAFAEPPAGFVSALEVVAGRDGGGADVSDRVHVVYSLSKDLGLPGFRVGAIYSANAAVVSAATKMSSFGLVSSQTQYLLAALLGDRDFTRSYVTENKRRIKERHDQLVDGLREIGIGCLPSNAGLFCWVDMSHLMRSRSFAGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVAMQRLRSFVDSATGGGDNAALRRAAVPVRSVSCPLAIKWALRLTPSIADRKAER >OGLUM03G32380.1 pep chromosome:ALNU02000000:3:30719861:30720349:1 gene:OGLUM03G32380 transcript:OGLUM03G32380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHNTGMPSQNLRRRSSPVETGREMDYAYLLRFECSLNHKYTIKIAVRKRDTEVRYGKARYRHGIVLPMRESLTVSRVQWARRFELAVSVIGYAISTRYCVSTERERHVVPIWEQQLEWCYRSRLLAARERDRYRV >OGLUM03G32390.1 pep chromosome:ALNU02000000:3:30728409:30729740:1 gene:OGLUM03G32390 transcript:OGLUM03G32390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVVVRRARVRARSQSPPGEFDSARRTRPRMEREEEMRGRGGKASARARSQSPPGGSGSAARVEVEGMGASAVPDDMLLEVFKRLSPLADIVRAAAVCRRWRLLVSGAGGLPAPPPYFGFFRNYAPSALPPFVPAAGVGLGLDHGALSVSPACGALLVDCRGRRLLLRELGAGSARELKLLVCDPLRKTSVSLPSRFVAGHKVACCALLPGAGAAFRVAVVLFGAAAHFDILVYSSAASAWEAATGALKKSMNPHQGPTVVIGDVVYKLQSEEDKYVMAVDATKMTLSAVPLPNTGMLLYAGNHWIGKTHDGRLCFFALREQLVLAKWVLESPGKWVEQPAVDLRALMNPATVGDLSRIKLSAKISDQLRGCKLVSFGGFCEGTGALFFVMADWVVSLDLATWRFERMWRNTDESRPLGDIFPVEMMVWPPVRRGDLGEKE >OGLUM03G32400.1 pep chromosome:ALNU02000000:3:30734791:30751448:-1 gene:OGLUM03G32400 transcript:OGLUM03G32400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT3G49725) TAIR;Acc:AT3G49725] MLRAAVSRLRAHLHPHPHAHHHHGLPSVTPAPLRSLSTRWGKRSSPTASPPADSDDEGSPRGLSLLPRDPERPPRLLVVQPRLRPGSLLDSKLAEALNLANSLEEPRDGFYQEGLAAKGAPPHLVVQNPSSRGRSHVDKFFGPGTVDNVKCYLRTSESEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGRLTFGSSGEAEVVSARGRGSGGRGFISGAGETELQLQRRRIQQRRVRLLAQIEDVRRTRAIQRSNRKRHGNSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPVQLVEAFHATLEEVVEADMLVHVLDSSAPNIEEHRSTVLQVLQQIGVSQDKINSMIEVWNKIDIVDNNDNDVTDDTEDEIFLTEGEEDKEEELFSENDVPAEESSFESLDDGTDSEYLSEENLEDNNGEISSSLEPSEMRAMNSVSSSSKDCFGELCGPETISTDGCSSTQPMSTCHVKTSAVTGTGLQELLELIDKKLTERQTIVERSYGPFDRKWRPSSSVVGEKAAEQPENCHLSRPIKAMPDDLVGKFEKKVFAESWRSLECWNFCLCLQELK >OGLUM03G32410.1 pep chromosome:ALNU02000000:3:30744618:30758916:1 gene:OGLUM03G32410 transcript:OGLUM03G32410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREDDRAGRGGGGGRTEQERRPSKAWGILIFGLIGATTATFAVAQVRRSVDWVYSQFNKMQTTSWRNASNSSNRGSFSEDARRRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRSYEAWRENGPPGGYNYVPRDDWYWQTDTSHSEHKNRRTYTPAGPRVYSMSHHYTVLGLDRSRTTPYTDAEVKNAFRTKAMEVHPDQNQDNREAAEERFKEVVKSYEAIKLERKNDATDPVDSAQQIAVSD >OGLUM03G32420.1 pep chromosome:ALNU02000000:3:30759192:30760997:1 gene:OGLUM03G32420 transcript:OGLUM03G32420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLSAPLRRRLCTAAVAAAAPDPALASSAELAYRLLRRHHSDPKRLTAALSGSGLDPTSPRLLDAVLRRCGAASALALHFFHWCSPSLPPPGPLPSSLALLAKSFSRASSAPSPSLLAPLPAQLLSPSLLSPVLRRLPPPRLLPFALSLLSARPNHDHPSLFLSLLESLAKTGHVAVAEQLVEELQPRLPLSLRHYTALLYGWCRMGKLDEAKHVLARMKAAEVAPDVVVFNTLLAGIVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDSVTYGTLVTAFCKAGRISQGYEFLDVMAREGLRVDASVYLGFFVTHEKKEQLEECLELMERMRECRCPPDLSIYNVVIRLACKLGETKQAVALWNEMETSELSPGVDTFAIMVTGLVGQGVLVEACGYFKDMVGRGLFVAPQYGVLKDLLNSLVRDQKLELAKDVWGCIMTKGCELNVGAWTIWIHALYAKKHVKEACMYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRKKGQKRSRLRQAGQNQSNRHADKTDLFDDFDDE >OGLUM03G32430.1 pep chromosome:ALNU02000000:3:30765495:30766913:1 gene:OGLUM03G32430 transcript:OGLUM03G32430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAPPPVLTLQVKKGRRGGETRQWRAGAVLRVGRVATGNDIAVRDAGASQRHLSIEFLPPPASRWAVSDVGSSNGTLLNGSPLVPTVPSPLSDGDVIKIGESSMLVVSIAPDSDPNPGPRRSSRQSAAVVGEQEKPPAVTRRGGRKNAAAAAVAVEPPIAEKEEPEPEEAPVVTRRGARKKAVQPPKAEEHEEGEEEVVAAVVTRRGGRKKAAEPPKPDEEEEQEKGKDEEQEEKEEEEVPVVTRRGRSRKAAPEAAVAPPPPRARSTRAAARRGKAVDTSLDERESEMAGKGRGRATRSNARKCRMAVPEDDDDDGEQQEGATAVAEEQIKDQPRAMAATDGEEEDDKVEAMDGEVEQNDKASEEEEVPVARRGRAPRAPKGKATASSNAHAASDNAVEEEDGGRGEGAAVEVEGESSGSSSLETMTLREWFQRMNVYLPRMINEAAEEALSALRERHRRIDEYISTLED >OGLUM03G32440.1 pep chromosome:ALNU02000000:3:30766981:30787170:-1 gene:OGLUM03G32440 transcript:OGLUM03G32440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSVPWRIPRSAPLSLLHALILSPSHTPPPISFDKAPPVLPPPRPSRSHAAVPPPRRSPAPAHLTTTSIPWSPTLRHLLRAGLPPPPTSQLPPLRGGGLHRRLPFLRAQRQSAIDEASGFWIRLPPSVTWLVASPRWSSLRQIARRRTDPIPLFICPVVKITTNGMRLGAEADSGVPAAPWFPLHFSLSSIGDDEQPTTMEINYFYKAETDGFRQGLGGCSESASRKAFAALPDLCKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKKVIARPERLSYLNIIEHSDSSRKFHKEN >OGLUM03G32440.2 pep chromosome:ALNU02000000:3:30766981:30779659:-1 gene:OGLUM03G32440 transcript:OGLUM03G32440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLNTVRCGRYTSTPLDIAACPPLSVLSICRRPRLCIFAIRNAVSMGAHPSICLFYGLTCSDLVPSSGLCTQELLARFPLHFSLSSIGDDEQPTTMEINYFYKAETDGFRQGLGGCSESASRKAFAALPDLCKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKKVIARPERLSYLNIIEHSDSSRKFHKEN >OGLUM03G32440.3 pep chromosome:ALNU02000000:3:30766981:30779659:-1 gene:OGLUM03G32440 transcript:OGLUM03G32440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPLNTVRCGRYTSTPLDIAACPPLSVLSICRRPRLCIFAIRNAVSMGAHPSICLFYGLTCSDLVPSSGLCTQELLARPRLMDFVKGLEDAVVESASRKAFAALPDLCKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKKVIARPERLSYLNIIEHSDSSRKFHKEN >OGLUM03G32440.4 pep chromosome:ALNU02000000:3:30766981:30771280:-1 gene:OGLUM03G32440 transcript:OGLUM03G32440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVDFASGDAAEWRAALAAYDRRLAALGKPDLVEVDSFYRRDLPDLLRRRDPEPFLAKPELVRLLQWKLSRGKWRPRLMDFVKGLEDAVVESASRKAFAALPDLCKAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKEYTLKQYLAFAEKLQTKSKELSSGEEVFTTSDVERALWSSAVASKSLKAPPGNDLENKKVIARPERLSYLNIIEHSDSSRKFHKEN >OGLUM03G32440.5 pep chromosome:ALNU02000000:3:30776828:30787170:-1 gene:OGLUM03G32440 transcript:OGLUM03G32440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSVPWRIPRSAPLSLLHALILSPSHTPPPISFDKAPPVLPPPRPSRSHAAVPPPRRSPAPAHLTTTSIPWSPTLRHLLRAGLPPPPTSQLPPLRGGGLHRRLPFLRAQRQSAIDEASGFWIRLPPSVTWLVASPRWSSLRQIARRRTDPIPLFICPVVKEQKLTLVFQQPPGAHPSICLFYGLTCSDLVPSSGLCTQELLARFPLHFSLSSIGDNEQPPTMEIIYLLRYPLFYELKGACAPHCPLWASLRCIIALTVRRGQDRKGATSRGWSRCARLQRIVRRYVLVDMLTDLTEICLGEYVVCGALGLLELL >OGLUM03G32450.1 pep chromosome:ALNU02000000:3:30790205:30799450:1 gene:OGLUM03G32450 transcript:OGLUM03G32450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34910) TAIR;Acc:AT4G34910] MAKRKQRKAATEQEVENHDEATVAAEAGPENDGHTAHAAEEAAAAEEGVEREGGGEGGAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIPKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEADLLLSYRCEDDIKALVPHIPRSCQSILMSATSSADIEKLTKLLLHNPFILTLTEVGHAKDDLIPKNISCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEERQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKDVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKSMGFKGGSGRSSDPLKTFSAEGKSRRRGRKERDGEQDRRKRKKVES >OGLUM03G32450.2 pep chromosome:ALNU02000000:3:30790205:30799450:1 gene:OGLUM03G32450 transcript:OGLUM03G32450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34910) TAIR;Acc:AT4G34910] MAKRKQRKAATEQEVENHDEATVAAEAGPENDGHTAHAAEEAAAAEEGVEREGGGEGGAEGEEGPDAAARGGEEGKEEEEREVSFDELGLDEQLKRALRKKGLDKATPIQREAIPLILEGKDVVAKAKTGSGKTFAYLLPMLHELLKLSAEGRIPKSAPNVFILVPTRELCQQVHNEASSLLEFCTSKLKVVQVNASMSDKDIKVALSGPPNILVTTPACVASCISKGIIRGSSIKESLSMMILDEKLPVHPDVCNVKISCDAKDKMLYILALLKLELIQKKVLIFVNSIDSAFKLRLFLEKFGIRSSVLNAELPQNSRLHIIQAFNARLFDYLIATDDNKSKEERQANKGNKKDSRVSRKQLQQTLDAEFGVVRGIDFKNVFTVVNYDMPPDPAGYVHRVGRTGRANKTGASISLVSPKENGIFEDIENMLKDVENRDTSCISPFPLLTKNAVESLRYRAQDVARSVTTRDIKEARRQDIKNEILNSEKLKAHFDENPRDLDLLKHDKLLSNKEIPAHLRDVPEYLIDPTTKEASNVVKLSRAAMDIDKPRRRKSMGFKGGSGRSSDPLKTFSAEGKSRRRGRKERDGEQDRRKRKKVES >OGLUM03G32460.1 pep chromosome:ALNU02000000:3:30799366:30802478:-1 gene:OGLUM03G32460 transcript:OGLUM03G32460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGTRGSCDLAVREQGKIGVSEQLEMYSGQQSDQCPGPNSGKEFLEVNWDSVALHQKMGYNSGAFGFQAYPMVLEDREGLYRSPNGTFCQNIQLSDDHSSGAKRRKGIDDHIALLNPSASSRIQNVGDQQTEVSSQQERISMEEDNQKSCSKMQSKEDSSDGDGTKEDYVHVRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELSFDIEQILSKQMMLSQDRHLAFYGVDSGSSALVAHFNQGIMQPEMLCNVSNPADVLQGTTIQDISTVNQIPAMWEGLQNIPHLNYNPGGAMAEGSTNNSGIYDPKFCQKLCLVLVIYQTHGNVPPFCTLQVP >OGLUM03G32470.1 pep chromosome:ALNU02000000:3:30809188:30811288:-1 gene:OGLUM03G32470 transcript:OGLUM03G32470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-dependent gravitropism-deficient and yellow-green-like 3 [Source:Projected from Arabidopsis thaliana (AT1G17870) TAIR;Acc:AT1G17870] MASSSLVTSLLYSSSSSSNTATSTSSRRSFSLFSKNQYCKPRPLRRSSSLLLVRCSLQQQQEEKAAPAAESHHAGGGQDDAATASHHAVEGENGVADADGGGVKKSKEEEEEEQQQQEVDWRSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPLAGLLRGLARGQLAREKERLELAENTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRKPVEEVRPKLEKKIAEAAGTDVTLWFMEEKNDDITKQVCMVQPKAEIDLQLEITKLSTPWGYLSAVALAVTTFGTIAIMSGFFLKPGATFDDYVSDVLPLFAGFLSILGVSEIATRLTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARAASAYLTSVALAVSAFVSDGSLNGGENALFVRPEFFYNNPLLSFVQAVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPCGRLEGGRIAQALFGRGAAAVLSFATSVALGAGAIIGGSVLCLAWGLFATFVRGGEEIPAQDEITPLGSERYAWGLVLAVVCLLTLFPNGGGTYSSDFLGAPFFRGGI >OGLUM03G32480.1 pep chromosome:ALNU02000000:3:30813766:30815464:-1 gene:OGLUM03G32480 transcript:OGLUM03G32480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSQAANGSVSARRLPSKQPGRHGGSGSSSVPNPYPLFATTRLLPHRRRRRLALSGADARRGALAAAGEGPSGSPATTTATEDPVLVGVTDEGVPLEGVIQFDKPGDAAAESKLVSYAKLGLLASGDVLCLLVFSAIGRFSHGLPVLDAETFKTADPFIAGQENVTAFSPCLSFFGGRIAYRMGCCAGWLLSAYLLGGFGDDAKGRNGVGNAVVVAAKSWAVGIPLGLAIRALSSGHIPPTPFILVAMGSTWVLLTGWRALVSLLFSTGQSQQDDVYRRGSPFELFEVKKVLQIIGIFFYTCN >OGLUM03G32490.1 pep chromosome:ALNU02000000:3:30818454:30821978:-1 gene:OGLUM03G32490 transcript:OGLUM03G32490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCLRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICYYELVNYIS >OGLUM03G32490.2 pep chromosome:ALNU02000000:3:30818454:30821978:-1 gene:OGLUM03G32490 transcript:OGLUM03G32490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCLRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICYYELVNYIS >OGLUM03G32490.3 pep chromosome:ALNU02000000:3:30819616:30821978:-1 gene:OGLUM03G32490 transcript:OGLUM03G32490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTSLPVPRVSLPPSARPAAAPRHGLLIPGRRGCLRLRGSPAAPAAAASGSPSVPSSSPEAGSGIGDALGGVAIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDSAGVKLIAVGVGTPDKARILAERLPFPLDYLYADPERKAYDLLGLYFGIGRTFFNPASASVFSRFDSLKEAVKNYTIEATPDDRASVLQQGGMFVFRGKELIYARKDEGTGDHAPLDDVLNICCKAPAA >OGLUM03G32500.1 pep chromosome:ALNU02000000:3:30823184:30824788:-1 gene:OGLUM03G32500 transcript:OGLUM03G32500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVASLSRAALAGAPAATRATASRVNRAVVPAASRPRGGRLCCRRSLTAVSAAAGASPPVSPSPSPDGGSPGVWDALGGVSVLAAGTGEAVQLRDLWDPTEGVAVVALLRHFGCFCCWELASVLKESMAKFDAAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYDVLGLYHGLGRTLISPAKMYSGLNSIKKVTKNYTLKGTPADLTGILQQGGMLVFRGKELLYSWKDKGTGDHAPLDDVLNACCNQTS >OGLUM03G32510.1 pep chromosome:ALNU02000000:3:30846162:30850318:1 gene:OGLUM03G32510 transcript:OGLUM03G32510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYRHPTLKEGAVVGGGDGPGWRGGGGDGGGGRGCAVEADTVAELQGAAVAAAAAVSEAVKVAAPFLLGASCSPGHGGEQMLSFSSSASSCSSGGGGAAVAAAAAAGGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANSPVPHSLLIPIRRSLTSPYSPAYFGSSTLGWGSFQLGYSGSADPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMPAAVAAAAASATQPSAPAAHSGGAVAGLAINHQHQQMKNYAANTANPCSLQYSRDLANKHNESEQVQDSDSLSMLTSISTRNTGSLFPFSKQHNPFEVSNSRPDFGLVSPDSLMSSPHSSLENVNLLTSQSLNEQQSSVSLQHFVDWPRTPAQGALAWPDAEDMQAQRSQLSISAPMASSDLSSASTSPIHEKLMLSPLKLSREYSPIGLGFAANRDEVNQGEANWMPMFRDSLMGGPLGEVLTKNNNMEARNCLSESLNLLNDGWDSSSGFDSSPVGVLQKTTFGSVSSSTGSSPRLENHSVYDGNSNLRDDLGSVVVNHPSIRLV >OGLUM03G32520.1 pep chromosome:ALNU02000000:3:30852036:30852734:1 gene:OGLUM03G32520 transcript:OGLUM03G32520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGVATSRRTRRAAEQEVQPPPLFAANPIFLCEDDGSAGRLIKPGGGVRGYGPGSLHALVRGRGRDVAADDDDDDGGNKLLPLPLPPCRAHREGRGGTRVPTAWHVGPTIVVGPHGAVVRIRAPPPPQQHDPFLAAYVACTKGRAGAGNKKKKKKAKAAVRGGCGMWNGWASGARYARVMSCRHGGAVTVLQGATPLPAVAGYAESPAHPTLDLSRLPAVLPGRRRGHN >OGLUM03G32530.1 pep chromosome:ALNU02000000:3:30852790:30856205:1 gene:OGLUM03G32530 transcript:OGLUM03G32530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G50000) TAIR;Acc:AT1G50000] MTSAQSAPPPLHPRVLRAFVCSLRLLGGGSAAPAPAPGRIRRERLGSGPASTALKRDRRNGEEVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVVSQSKLAFVASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >OGLUM03G32530.2 pep chromosome:ALNU02000000:3:30853345:30856205:1 gene:OGLUM03G32530 transcript:OGLUM03G32530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G50000) TAIR;Acc:AT1G50000] MSPAATFSALLRGLPPPRGRRLLVAAAARAHSTAGGSRARGGLPQVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVVSQSKLAFVASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >OGLUM03G32530.3 pep chromosome:ALNU02000000:3:30853345:30856205:1 gene:OGLUM03G32530 transcript:OGLUM03G32530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT1G50000) TAIR;Acc:AT1G50000] MSPAATFSALLRGLPPPRGRRLLVAAAARAHSTAGGSRARGGLPQVRIQGDEFWHMTRVLRLGINDRVELFDGAGGLVEGSIQKVDKGGSDVKLLEDARLIAPDGIQWHELGACSVTPLLTERCHTVAENRVDRLQRLVLAAVKQCQRIHGMLLNPPIQIADLQPVVSQSKLAFVASAESPPLLSTLPKSCNEESGLLIVGPEGDFTEEEVNVLKASGAVPVGLGPCRLRVETATISLLSALMLWSDAHRQETLKCR >OGLUM03G32540.1 pep chromosome:ALNU02000000:3:30859388:30864363:1 gene:OGLUM03G32540 transcript:OGLUM03G32540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYITTAGGGAAAEAPAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRPSLGSLLWSHLGKLSNNFGYIKS >OGLUM03G32540.2 pep chromosome:ALNU02000000:3:30860349:30864363:1 gene:OGLUM03G32540 transcript:OGLUM03G32540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain repeat 1 [Source:Projected from Arabidopsis thaliana (AT5G65890) TAIR;Acc:AT5G65890] MKYVSGPYFEPDFDPLLYRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLMGRKLTDPSLPEFIQRALVPCQRPGGNGPSPRFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITQVLADNGCHVASGQAWTHSGRAAGVLYITTAGGGAAAEAPAPAPSRWEHIEGLVDAVMGAREKLTGERHWVSMSAPVEGRVHTERRLHQLMHDDRDYESGPAATPVDEEHFSMGDKAATTARLARRVETRVSIESWEERGYAVVKMTSRDRPKLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSNAERQKVSRCLVAAVERRASHGAKVEVRAADRSGLLSDFTRMLREHGLSLLRVELKRRKDEAVGTFYLVTDAGGEVRAEALHAVRARVGKVGISFEVAKDAPGWPPVRKTSVPAPPAEAAPAVATPAAPPAAEGQERPRPSLGSLLWSHLGKLSNNFGYIKS >OGLUM03G32550.1 pep chromosome:ALNU02000000:3:30863306:30867723:-1 gene:OGLUM03G32550 transcript:OGLUM03G32550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSLATSLNIPEDDPGADDDPDSYTAAAAPSPSARNPHPPQSVHPHPPHSAAAEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPPSAEARYGGGTAQTPPEIAGIRSDFAEIGGRFKSGISRISNHKAMSGFSRIASNFFADEDEEEEELVDAVRGYGVEEQREELRFRAEEVATDRVRHEADDYEVRHGWEESVRHRVDGDEASHEVDDDEGRHDEWEERLKHEADGGEVMHKELDDHELELETVRHEEEEEEVEEEWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDDSDGPFSYFDMSNAQQEHALAIEQLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQCLQHQSKFETEQLFHRKDDFGMHSEEDTSKDIPEVFPSMLQQTASVIPITDFETEKHPIQVTEVAVVDKSVIKEQLTKDGSKTPNVLQESFDDDIDDWFDEEAELAGHTTIPIGDEEDVSFSDLEDDDGK >OGLUM03G32560.1 pep chromosome:ALNU02000000:3:30869750:30872908:-1 gene:OGLUM03G32560 transcript:OGLUM03G32560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSAAGEGRRGGDGGETAGGRRGGAARGRRGAVRGLPRRPPPTAHKAHEAEEEAAGGTAATTWQITEDISKVHITKIITRQITAAAATSPFVHFLFYYLSCSSHALSTCIVQLPFLSSIPKKYIHLFLIRAKPFRSMEFGRSPALLLLVGFLWSQLQFGAEAAGTTVFTLRNNCTYTVWVTTLSRNIAVGGRWGRRLRAVAQRQRLIPSPGCGSERSKFQKQLHHTKQESPNPEQQKRQTEPKKKQGWIDQWSHGGIMVVGRPSSSSVARAVVLLAAAMLLSTLSPCCCASAGGGGGARRREKQQQLHPVILIPGAGGNQLEARLTEEYAPSSLACRVWPVVRGRGGWFRLWFDPSVVVAPLTRCFADRMTLFYDSVADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNVLASTLEKAGYEEGFDLFGAPYDFRYGLAGPGHPSRVGSAYLERLRKLVESACAANGGRPAILVAHSLGGLYALQMVARSPPAWRAANVKRLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRTAESNLWLLPAPRVFGNTTLVVSRHHNRSYSAKNMTQFLRDIGFAEGVEPYRERIRPLVEVLPEPGVPVTCLVGTGVDTVESLVYGEGGFEAGPEKVVYGDGDGTVNLDSLVGPIKAWSDSPEQVVEVVELPEVSHSGILKDKSALDQILRIIDAINLNATTSSSSINRSSQDVLYN >OGLUM03G32570.1 pep chromosome:ALNU02000000:3:30876984:30877445:1 gene:OGLUM03G32570 transcript:OGLUM03G32570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAAGTRGMRALAILGRCVRAPFRALVRARDLYVSRMAACAGGGGGGGAPVGLVAVPRCQSHGFYRSAAGGSTDDDIRELIRLASRAGGPPRPPGVGPRSQSVAIGRIDEDEPCEFGLDGEARALAMAPKSKSCTVGPTARTAHRVGPVA >OGLUM03G32580.1 pep chromosome:ALNU02000000:3:30880596:30884763:1 gene:OGLUM03G32580 transcript:OGLUM03G32580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIKYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYESYRYYLSFLWMINNLTREALKIKKGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFSGDHDLTLPFLSTQAWIKSLNFSIVDEWRAWHVDGQAAGFTILYANNLTFATVKGGGHTSIETNPKQGFAMGKRWLDNKPL >OGLUM03G32580.2 pep chromosome:ALNU02000000:3:30880596:30884763:1 gene:OGLUM03G32580 transcript:OGLUM03G32580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVWIKYVGVDEETGTELFYYFVESERSPSTGPVILWLTGGPGCSGFSGVVFEVGPMKYVLEPYNGSLPRLVYNQYSWTQMASILFLDTPVGSGFSYAHDPKGYNVGDISSSLQVVTFLKKWFNDHPRYLSNHFYVGGSSYAGKVIPIIMKFISEGIEQRQQPLLQGYIVGNPITGSKIDDNFKIPYSHGVGIISDQLYESYRYYLSFLWMINNLTREALKIKKGTVGEWIRCKTGLPYVQDVASSIKYHFDLTTGGYRALVFRFTILYANNLTFATVKGGGHTSIETNPKQGFAMGKRWLDNKPL >OGLUM03G32590.1 pep chromosome:ALNU02000000:3:30895471:30901056:1 gene:OGLUM03G32590 transcript:OGLUM03G32590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYFNPTNEICANVLNAIDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPPARPTVNCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYAMDVPSTIQYHLNLTTRGYRALVFCGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >OGLUM03G32590.2 pep chromosome:ALNU02000000:3:30895549:30901056:1 gene:OGLUM03G32590 transcript:OGLUM03G32590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRYVSVDEETGTELFYYFVESERSPSTDPVILWLTGGPLCSGFTALVFEVGPINFVLAPYNGSLPQLIASILFLDTPVGSGFSYTRDPKGYNVGDISSSLQVVTFLKKWFNDHPSYLSNHFYVGGSSYAGKGYMVGSPLTDPKYDRNSIIPYAHGVGIISDQLYEAAVANCKGDYFNPTNEICANVLNAIDNLMSELDNGDILLDKCAGRLIPKPINGVSSRALLEEYSRLSEPPARPTVNCFSYRFYLLNIWMNDKATRDALKIKKGTVGVWTRCNTEVFPYAMDVPSTIQYHLNLTTRGYRALVFCGDHDLMVPFLGTQAWIRSLNFTIIDDWRAWHLDGQAAGFTVMYDNNLTFATLKGSGHAPISYKPKQGFAMGQRWLDRKPL >OGLUM03G32600.1 pep chromosome:ALNU02000000:3:30903581:30924556:-1 gene:OGLUM03G32600 transcript:OGLUM03G32600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-type calcium-transporting ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G10130) TAIR;Acc:AT1G10130] MEDAYAKSVAEVLAAFGVDPTKGLSDEQVASGSKLLVKSCCWWSSMLGFTAKTSCPKKKNITNTPSVYMSVLILTDQARGTPFWKLVLKQFDDLLVKILIAAAVISFLLARMNGETGLAAFLEPSVIFLILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRTIEMLSHQLRVDQAILTGESCSVAKELESTSTMNAVYQDKTNILFSNITNRDNLHLNEYGHFLLSIRFIAVILYLLSAFFASKGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKKHRFLKLHRTLFSFQVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPITDEYSISGTTFAPDGFIYDAGGLQLEFPPQSPCLLHIAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESVMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPEGQQSLSYDDEANLTFIGLVGMLDPPREEVRNAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLEDFTGYSYTASEFEGLPPLEKANALQRMVLFSSFTGCCRVEPSHKRMLVEALQLHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVSEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVNGWLFFRYLIIGAYVGLATIAGFVWWFVYSEDGPRLPYSELVNFDSCSTRQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLAIHPWSNLWLVGSIVLTMLLHISVLYIEPLSALFSVILIDEVLKFFSRSSRGRRFPLRLRRREILPKESRDN >OGLUM03G32610.1 pep chromosome:ALNU02000000:3:30929078:30932419:1 gene:OGLUM03G32610 transcript:OGLUM03G32610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVASMDDVKDARPAALPPLQPQGRVFPTGMLKVFLGFLLLGVCLSAAGMYMARHTLAAAAPALFRPCLGAWGAAGAPPPPPPPPEGLERWTRGPDRVEHAMADGELLWRASFVPRVPGYPYRRVPKVAFMFLTRGPLPLAPLWERFFRGHDGLYSVYVHALPSYRANFTTDSVFYRRQIPSKVAEWGEMTMCDAERRLLANALLDISNEWFVLVSESCIPIFNFNTTYRYLQNSSQSFVMAFDDPGPYGRGRYNWNMTPEVELTQWRKGSQWFEVNRELAIEIVRDTLYYPKFKEFCRPHCYVDEHYFPTMLTIEAPQSLANRSITWVDWSRGGAHPATFGRGDITEEFLRRVQEGRTCLYNGQNSTMCFLFARKFAPSALEPLLELAPTVLGFG >OGLUM03G32620.1 pep chromosome:ALNU02000000:3:30932762:30934786:1 gene:OGLUM03G32620 transcript:OGLUM03G32620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: photosynthesis; LOCATED IN: photosystem I, chloroplast, thylakoid membrane; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Photosystem I reaction centre subunit N (InterPro:IPR008796); /.../4 Blast hits to 34 proteins in 14 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G49975) TAIR;Acc:AT1G49975] MAQPQGKLVDTIRRPFTAASTFHRSATRHLQPLAMLAQRNGISRRGLLTFLTSTAAIPEAGESRKALLQEYLKKSKENKEKNDKERLDDYYRRNYRDYFGLIEGPARQKNEDELTESEKGILEWLDKNK >OGLUM03G32630.1 pep chromosome:ALNU02000000:3:30934391:30935094:-1 gene:OGLUM03G32630 transcript:OGLUM03G32630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKEEEYQMMCMALQNENRHYERFKIQSIVAIDEKFYFDISASELGVIKLNPNPTFTTIQVKTLKVSRNCWELAFPHLVVESRGRLYLVVYDRHCIRDMCLFKMDFSRLEWCSVDRLYDQIFFVGKLHFTASYCARQLGLKQGLPVCFSI >OGLUM03G32640.1 pep chromosome:ALNU02000000:3:30938496:30948345:1 gene:OGLUM03G32640 transcript:OGLUM03G32640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metalloendopeptidases;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G42620) TAIR;Acc:AT5G42620] MEDRASPGGGVCGRVLRLASVQIILILFLTQGACSSSRDGKTSPPQGLDAGEKDIYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHERRENMERLRGRHLLGVSSWHASQKNVKKPIRIYLNYDAVGHSPDRDCKTVGDIVKLGEPPVPSIPGTPVCDPHGDPPLVGDCWYNCTVEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYIEDGVANADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRSQVTSQILDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFVISPCNLWKGAYRCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQTGGPVQFPGFNGELICPAYHELCNTVPVPVSGQCPKSCSFNGDCIDGTCHCFPGFHGHDCSRRSCPAKCTGHGICKANGICECESGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCQKGSAILPSLSMCHDVLVRDADGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNTACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWLMTITSPGVSSL >OGLUM03G32650.1 pep chromosome:ALNU02000000:3:30949692:30950148:1 gene:OGLUM03G32650 transcript:OGLUM03G32650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVLSHPSRVIVGRKPSLGSFESRRTAAAAFPSLLFLKTSFWHPLRGDLAWVPLLV >OGLUM03G32660.1 pep chromosome:ALNU02000000:3:30950683:30952508:1 gene:OGLUM03G32660 transcript:OGLUM03G32660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAGLRQHCALGRAIAVVAICLLVIVGTYLLSSPAAGDGDTEEEFFSVRRRRRSSVDAGDDDLEAAVRGAAYANGTLIVSVLNRAYADEDGGLLDLFLRSMREGEGTEQLIAHVLLVAMDRPAFLRCRRLGGVRCYQLPAAQDGADDLSSEQLYMSDGFIRMMWRRIRLLGDVLKLGYSFIFTDLDVMWLRNPLPRLEYRAEEEDLLISSDQFNGRPGDIAGNELNTGFFFVASNNRTAALFDEWHAARDRSAGMKEQDVLNDMKRRGALRRLGVRARVLDTARFSGFCQDSRDAREVATVHANCCRTMRAKVADLAAVLAAARRRLDGDGASPVLRWPPHSQCVKSWE >OGLUM03G32670.1 pep chromosome:ALNU02000000:3:30952755:30955510:-1 gene:OGLUM03G32670 transcript:OGLUM03G32670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITTQLRSALLSPAASPSRRARRAPSSVRCDSSAASSPSASASLDADFDKKQFRHNLTRSDNYNRKGFGHKKETLELMSQEYTSMISSPFPFFFFSLLDWGLTGGGVFLVSGDVIKTLKENGNQHTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDRIWLTNEIIHNPTVNKRLEDMGVQNIPVDAGIKDFDVVEQGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKGDYTSIIHGKYSHEETVATASFAGTYIIVKNIAEASYVCDYILGGQLDGSSSTKEEFLEKFKNAVSPGFDPDVDLVKVGIANQTTMLKGETEEIGKLVEKTMMRRFGVENVNDHFIAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNKISYKLNHGELVEKENWLPEGPITIGVTSGASTPDKVVEDALQKVFEIKRQEVLQAA >OGLUM03G32680.1 pep chromosome:ALNU02000000:3:30958758:30962725:-1 gene:OGLUM03G32680 transcript:OGLUM03G32680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFARPGHHLPLVLPPLPQPPATTTSLSLNHLAQRRRGTPRRHVVVVSSAGGGGSSSPPAPDDDPGNFDKHEYRRRMIRQGNYNRKSFGHEILGAINLEYTSELISEMKRNGGEYVTMDGLVRLILAEAHGFCLGVDNAVRLAYDARVKFPDRRLWLTNQIIHNPTVSERLDEMGVKIIPVVSGVKDLSVVEDGDVVIFPAFGFTVDEMVTLNRKNVHIVDTTCPLVLKVVHMTERHIKGHYTTIIHGKYAHEETVATASFADKYIIVKDITEAKYVCDYILEGQLDGSSSTKEKFLKKFRDAVSPGFDPDIDLERVGVVNQTTMLKGETKEIGILIEQTMMSKYGLDQNNKQEHFVRVGTICNATQERQDAMYKLVEKEVDLILVVGGWNSSNTSHLQEIGELSGIPSYWVDGEQRIGPGNKISYKQKNGELVEKDKWLPHGAITIGVTSGASTPDKVVEDVLQKVFEIKRQELGEATEQ >OGLUM03G32690.1 pep chromosome:ALNU02000000:3:30964300:30966270:-1 gene:OGLUM03G32690 transcript:OGLUM03G32690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEHRGSGGSPRSVEIRRGERVWDASTPATMEAVEELTQLSESMRQVASLLADDDPCDDSTPRRLSTFVNAVALGNVGAGKMAVLNSLIGHPMLVIGEASNLLHGAAPVPMSSVLLAVRVLMRWMWLDVVGVRLLLCGKSCQLQWMKKYFIWAELYGLKKSVELCGLKKLYGLEKYMG >OGLUM03G32700.1 pep chromosome:ALNU02000000:3:30989409:30990839:1 gene:OGLUM03G32700 transcript:OGLUM03G32700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDGRTDGWMDGMDGRMEPSPAADKPRYRVAGPPAPAARCGSDGAGEPPPLGSSQHDEERCNIRRPSKDYSSTDHVS >OGLUM03G32710.1 pep chromosome:ALNU02000000:3:30991516:31002451:1 gene:OGLUM03G32710 transcript:OGLUM03G32710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRRQQAFHPVAAEEEEEEGLVVVGRRSTADDGDSGAAGMGIAAPPCQPGQTTTFVISQPTSSKSSPAAIVVRPPATASSSSMSHSQGFHQPSSGAVFGFSSDGFDGRPGSGQDHQQHEQQQQQHVAQQSRRDKLRVQGFDPAAAAAGHGLLPIEGDEHGADPGAMYDHAEAAAAGASNMLSEMFNFPSQPPTGPSATELLASQMNANYRFGFRQAAGLAGGEGGWFGGGGAAGRTGLVLGGASLGSLGETSSPKQQAGGMAGLAADPAAAMHLFLMNPQQQQQQSRSSTSPPPSDAQSAIHQHHEAFQAFGGAGAAAFGGGAAAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQAAAAAASVQQQLPMALHGQVGVLGQQLHGGGYGGPAGIAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGRGSAPNNPNSSKAAAGAASSSGAAQSPSSASKEPPQLSPAERFEHQRKKAKLISMLDEARIHLSSPLACMAPSIYSPPPTHRRHASADVDDDDVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRGTCEALGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGDDPSGADDTHSPTTTAAAHHQHRHGQLMVEHGGASSGGGAALSSHKHEPGVVAGPSSSSAAAVADAAFVGIDPVELLGGDGAAADDLYGRFDPAGAVRVRYGPAGAAAGGAAAAAGDVSLTLGLQHAGAGNAGPDGSGRFSLRDYSGC >OGLUM03G32710.2 pep chromosome:ALNU02000000:3:30991516:31002451:1 gene:OGLUM03G32710 transcript:OGLUM03G32710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRSRRQQAFHPVAAEEEEEEGLVVVGRRSTADDGDSGAAGMGIAAPPCQPGQTTTFVISQPTSSKSSPAAIVVRPPATASSSSMSHSQGFHQPSSGAVFGFSSDGFDGRPGSGQDHQQHEQQQQQHVAQQSRRDKLRVQGFDPAAAAAGHGLLPIEGDEHGADPGAMYDHAEAAAAGASNMLSEMFNFPSQPPTGPSATELLASQMNANYRFGFRQAAGLAGGEGGWFGGGGAAGRTGLVLGGASLGSLGETSSPKQQAGGMAGLAADPAAAMHLFLMNPQQQQQQSRSSTSPPPSDAQSAIHQHHEAFQAFGGAGAAAFGGGAAAGVVEGQGLSLSLSPSLQQLEMAKQAEELRVRDGVLYFNRQQQQQQAAAAAASVQQQLPMALHGQVGVLGQQLHGGGYGGPAGIAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGGGRGSAPNNPNSSKAAAGAASSSGAAQSPSRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIAAQLRGTCEALGEKDAGTGSGLTKGETPRLRAIDQSLRQQRAFHHMGIMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMIEEMYQQECKELEGSSGAGDDPSGADDTHSPTTTAAAHHQHRHGQLMVEHGGASSGGGAALSSHKHEPGVVAGPSSSSAAAVADAAFVGIDPVELLGGDGAAADDLYGRFDPAGAVRVRYGPAGAAAGGAAAAAGDVSLTLGLQHAGAGNAGPDGSGRFSLRDYSGC >OGLUM03G32720.1 pep chromosome:ALNU02000000:3:31009308:31010687:-1 gene:OGLUM03G32720 transcript:OGLUM03G32720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFVLTVAAVGAAALLFTTDIRKSGATFRRNARQIRAWLEEESASAASRSAKDAPPKKLNGDIPKEKPKEDGH >OGLUM03G32730.1 pep chromosome:ALNU02000000:3:31012946:31014578:-1 gene:OGLUM03G32730 transcript:OGLUM03G32730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLERTWCLEIPQPEESDHHIKLDISIYTKACMKDNYSTEKQCEKKKRKNRSRACLVAYTSGLVSPAVKRQQDENTHIQDAELLVTCTFEKYQYSDLEIA >OGLUM03G32740.1 pep chromosome:ALNU02000000:3:31016881:31017588:-1 gene:OGLUM03G32740 transcript:OGLUM03G32740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAPVEDGGGGGGEERATGRSSCHVAWLAWWREQVRCLVASTLLPCDADVLGLSSSRRPPPPTVVRGTLFLPSTGGDRRVRLFLHEHDPSPSPDENHQAILVLDLPPGLSGADIAAAGRIVLECQRQWINDGGDNGGALLESAKWLVYCNGRRVGFAARRGEASDAEGWVLEKLWAVTAGAGRLPGGAGVEYMRGRFERTVASSDAESFHLVDPIGWLGFNGNDGLSIFFHRI >OGLUM03G32750.1 pep chromosome:ALNU02000000:3:31019100:31026459:1 gene:OGLUM03G32750 transcript:OGLUM03G32750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCSYNVRLETYLKKPPLAEEQRDLGVSDDGEQTDNNAPATSTATATAAAKRPARTQNNR >OGLUM03G32760.1 pep chromosome:ALNU02000000:3:31020694:31021284:-1 gene:OGLUM03G32760 transcript:OGLUM03G32760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAP (Cysteine-rich secretory proteins, Antigen 5, and Pathogenesis-related 1 protein) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66590) TAIR;Acc:AT5G66590] MAAASSRWQRMAAACFVLAALAMWAAPAACGARAVPRGAGEGVAAQQANASSSSSAAADEFLAPHNQARAAVGVAPLRWSGDLAAAAARTTSQQQGGQGRRCGFADMSGSPYGANQGWASYPARPAEVVASWVAQGRYYAHANNSCAPGQQCGTYTQVVWRRTAEVGCAQATCTTGATLTICLYNPHGNVQGQSPY >OGLUM03G32770.1 pep chromosome:ALNU02000000:3:31029755:31032809:-1 gene:OGLUM03G32770 transcript:OGLUM03G32770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SSXT family protein [Source:Projected from Arabidopsis thaliana (AT5G28640) TAIR;Acc:AT5G28640] MQQQHLMQMNQGMMGGYASPTTVTTDLIQQYLDENKQLILAILDNQNNGKVEECARNQAKLQHNLMYLAAIADSQPPQTAAMSQYPSNLMMQSGARYMPQQSAQIMAPQSLMAARSSMMYAQPALSPLQQQQQQAAAAHGQLGMGSGGTTSGFSILHGEASMGGGGGGGAGNSMMNAGVFSDFGRGGGGGGSGGKEGSTSLSVDVRGANSGAQSGDGEYLKGTEEEGS >OGLUM03G32780.1 pep chromosome:ALNU02000000:3:31042563:31042787:1 gene:OGLUM03G32780 transcript:OGLUM03G32780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLKLLLVLVLLVSSSDGSDASRHLKGDAIERSGAAAGEAVMMRAVMVSKAKAGHSGCTYDPNTTIGRRCRP >OGLUM03G32790.1 pep chromosome:ALNU02000000:3:31046884:31050554:-1 gene:OGLUM03G32790 transcript:OGLUM03G32790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDAKVFKGWIETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIEDVIKQQSSSTVKPVFPI >OGLUM03G32790.2 pep chromosome:ALNU02000000:3:31046884:31050415:-1 gene:OGLUM03G32790 transcript:OGLUM03G32790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDCCCDYETVDAINEEVLHPILQELVTLPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPYSGLSPDSMICQEGKPQATVDRTLDAKVFKGWIETDNPWTYDDETDNAEMTYVNLQLNPERYTGYTGDSARRIWDSVYKENCPKYPSEEMCQEKKALYKLISGLHSSISVHIAYDYLLDESANLWGHNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEEDLKTQSLVKQLLYNPKLRSACPLPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGENHLNQSLQLQRNEVIALVNLLNRLSESVNFVHEKGPSIEDVIKQQSSSTVKPVFPI >OGLUM03G32800.1 pep chromosome:ALNU02000000:3:31054539:31055745:1 gene:OGLUM03G32800 transcript:OGLUM03G32800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELDQITEIVLIARTVHCRSNQPNMAGSIKLSLTLVLLISGLVMLGKIESSETAAAACNMLCARGTYITCCNRPGEALYGCACKCAPPGGKDCVVHYADGSTSNII >OGLUM03G32810.1 pep chromosome:ALNU02000000:3:31055802:31056582:1 gene:OGLUM03G32810 transcript:OGLUM03G32810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLSLACVLLISGLVMLERIEHTEAVCTLFCAKGTYITCSNHPYEQLYGCACRCAPPDGVDCVVHLADGSTQQC >OGLUM03G32820.1 pep chromosome:ALNU02000000:3:31059202:31060094:1 gene:OGLUM03G32820 transcript:OGLUM03G32820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLSLAVVFLLSAVVVMSAMGGAEEARCSVVCIQGGYITCDNYPYQKLDGCACECAPKDGQNCVLHLEHGPPSNCPPQA >OGLUM03G32830.1 pep chromosome:ALNU02000000:3:31060933:31062352:1 gene:OGLUM03G32830 transcript:OGLUM03G32830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATAAAADCSTVRCIQGGYITCKNYPGKKLDGRPVAETAPEQAASENYSPTLSWVHANRLIKNLRVELNRKRRKTVLGNHDRDPDLTQEFSDAATMRCHCQPRMALRDSNGGTLAASSLRISWTSNGCCRGWWPLTAASSRRRLSAV >OGLUM03G32840.1 pep chromosome:ALNU02000000:3:31062377:31063386:1 gene:OGLUM03G32840 transcript:OGLUM03G32840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKLALPMALLLCGLMVIGSIQSAEAQGGKFCPQFCYDGLEYMTCPSTGSQHLKPACNCCIAGEKGCVLYLNNGQVINCT >OGLUM03G32850.1 pep chromosome:ALNU02000000:3:31065136:31065660:-1 gene:OGLUM03G32850 transcript:OGLUM03G32850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12 family protein [Source:Projected from Arabidopsis thaliana (AT4G36420) TAIR;Acc:AT4G36420] MASRLLHLRRLLPSARPSDGGGGGGGAVAAFSTVTPTPRVSALVDEICGLTLIEASSLTDALRGRLGVDQLPPLAILTGGAAPLAGGGAATGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAIGAKIVLE >OGLUM03G32860.1 pep chromosome:ALNU02000000:3:31066803:31067117:-1 gene:OGLUM03G32860 transcript:OGLUM03G32860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVELLDMGVRVAARFHSHCPQTARMYYKPPQTTTTADGRRRRGDGAAGKQAAAGFDAVSSATAARPFAAAGEELGGFRATAPSGFDFEFDTAQAVVYDVVA >OGLUM03G32870.1 pep chromosome:ALNU02000000:3:31068251:31068989:1 gene:OGLUM03G32870 transcript:OGLUM03G32870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLWVLLPACGLLLHFANAAAVARRGAAAGLRGRWRSDGSAKGAGSGGSSSSLPDWKLTGRGASVGCGGSHVPPMFRWWIRMERRTTAVEGSERKLSPILWASNGYAFKRGNPPEGIVEVPLPPRQEALGENLVQDFGRMMTASFGVATLMRALF >OGLUM03G32880.1 pep chromosome:ALNU02000000:3:31070192:31074486:-1 gene:OGLUM03G32880 transcript:OGLUM03G32880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >OGLUM03G32880.2 pep chromosome:ALNU02000000:3:31070192:31074486:-1 gene:OGLUM03G32880 transcript:OGLUM03G32880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTLNKDTYKGKMISSVQFTILSQLQKQVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >OGLUM03G32880.3 pep chromosome:ALNU02000000:3:31070192:31074486:-1 gene:OGLUM03G32880 transcript:OGLUM03G32880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFLSIMTVTTELNKDTYKGKMISSVQFTILSQLQKQVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >OGLUM03G32880.4 pep chromosome:ALNU02000000:3:31070192:31074486:-1 gene:OGLUM03G32880 transcript:OGLUM03G32880.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFFYNRAKQRYLQGKDDQLRPVHHLVSAAEAGALVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKVTAILLTYPYQAYSFTLLILINSPQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >OGLUM03G32880.5 pep chromosome:ALNU02000000:3:31070192:31074486:-1 gene:OGLUM03G32880 transcript:OGLUM03G32880.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:folate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G66380) TAIR;Acc:AT5G66380] MPPGASPAASAEAWTWENAAAGAAAGFATVATLHPLDVVRTRFQVSGGRGCYDLPPYRNTAHAVYTIARSEGLRGLYAGFYPAVLGSTVSWGLYFFLSIMTVTTELNKDTYKGKMISSVQFTILSQLQKQVCLFTNPIWLVKTRLQLQTPSHHTSRYSGFSDALRTILKEEGWLALYRGIGPGLLLVTHGAIQFTAYEELRKALIFAKSRQTRTDNRSCDDSLNSIDYAALGAGSKVTAILLTYPYQAYSFTLLILINSPQRPGSDGTPKYKDSWHVVKETARHEGVRGFYRGITSNLLKNLPAASLTFVVYENVIKLFKAAKEKT >OGLUM03G32890.1 pep chromosome:ALNU02000000:3:31078832:31083376:-1 gene:OGLUM03G32890 transcript:OGLUM03G32890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPPADGRDPPADYGAAGDGAVESAAAEALLSAASEQLTLVYQGEVYVFDPVPPQKVQAVLLVLGGSDMPPGLVSMAVPTTFDEKSTTVAARRVASLMRFREKRKERCFDKKIRYSVRKEVAQKMKRRKGQFAGRADFGDGSCSSAPCGSTANGEDDHIRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPLNAPKMTVQHPADLSKTGDTDDSKANLCAEHNQTTMKTDTEMVPEQEQKADVLPPTKEEDSMAAS >OGLUM03G32900.1 pep chromosome:ALNU02000000:3:31084033:31088270:-1 gene:OGLUM03G32900 transcript:OGLUM03G32900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP glucose pyrophosphorylase large subunit 1 [Source:Projected from Arabidopsis thaliana (AT5G19220) TAIR;Acc:AT5G19220] MAAMDLRVAAPASVAAAARCGTSLARPWPARAVGGGGGGGRGRRLSVRTSVATTEAAAAAVGASEDAALEARDSKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHLSRAYNFSNGVAFGDGFVEVLAATQTPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIDDVLILSGDHLYRMDYMDFVQSHRQRGADISICCLPIDDSRASDFGLMKIDDTGRVIAFSEKPKGDDLKAMQVDTTVLGLPQDEAKEKPYIASMGVYIFKKEILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALAEQPPRFSFYDANKPMYTSRRNLPPSMINNSKITDSIISHGCFLDSCRIEHSVVGIRSRIGSNVHLKDTVMLGADFYETDLERGELLAEGKVPIGIGENTKIQNCIIDKNARIGKNVTISNSEGVQEADRTSEGFYIRSGITIVLKNSIIADGLVI >OGLUM03G32910.1 pep chromosome:ALNU02000000:3:31091545:31098524:1 gene:OGLUM03G32910 transcript:OGLUM03G32910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRVHRCGGGAVAWSPSPIVALVASPCKSQVAAARADGSLELWLVSPGSVGWHHQLVRLPPPLHTPAAPRFLRANLGLCGDLIWRFCWVQTIQGDAESRVTSLVWARSGANGRLLSSSVDGSVAEWDLFHLRQKTVLDSVGVPVWQMAIEPSVDLMDSENKGSKVTANGHANGRTDSDSSSVDDGENTDDEDDSANTSLPYRANELQRLALACDDGSVRFYNVPESGALTYYRSLPRVSGRMLSVAWSNDAKFIFSGSSDGLIRCWDSTSFYEKYRITAGLGGAGSGNELCIWSLLFLRCGTLVSGDSSGSVQFWDSRHGTLLQAHTYHKGDVNALATVPGQNRVFSAGSDGQVILYKISKDELVADKEVAKEQVRKWVYVGYVRSHTHDVRALTMAVPICREDALPEEKTKKIRRREKPLEFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARESIVNGDSVMLVQSANWLDVLLVVVQNKLTPSTSSRGDATVRHLARLKSKGSRKIISSATSTNGTMLAYSDCVKPSLFALRHKGGKKFTLDKLELPKGLPNSQCMLFSIDSSSLILAGRDGKIYAFVYSLLFLKANVLHFAKQAVDIATREISNVFQPMRKMDGASKEPPVTKMFLSADGQWLAAVNCSGDIYIFNLEVQRQHWFIPRMNDGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGDWSKRNTHHLPRRFQEFPGEVIGLSFPPLSSSSVVVYSARAMCFIDFGLPVVQDGQLPNGVVAEKIDSQKGSNKKLKRKAREEELRQEIRNNFDFFAFKDPVLFVGHLSDNSVLMVEKRWMDVVEGFGAPVHRHIYGT >OGLUM03G32920.1 pep chromosome:ALNU02000000:3:31096908:31098389:-1 gene:OGLUM03G32920 transcript:OGLUM03G32920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYIPKQTFAFCHSLLSSRLLPSAAAASSPTAPTLPVQALLTTAGLLPPRHPDLSLVALNSLLRVLSRRASSPAHPLLAFRLLLLMLSPASPLPPPDHLSFPFALSAAAAAVSPSPGTQLHALLVKNGLFPSDHYVTTALLQLHAARPDDARRVFDELPRREAIHYDLVIGAYARAGMAAEGLGVFRAMFMDGVAPDAVVLTTAITACAQAGALECGEWVHRYVERSAPGLLGDAFVGSALVSMYAKCGCLEQAVRVFDGMPERNDYVWGTMVGAFAVHGRAEEAVSCLDRMAREDGVRPDGVAVLGALSACAHAGKVDDGLRLLREMSRRYGVAPGHEHYACTVDMLCRVGRLEDAVALIETMPMAPLASVWGSVLTGCRTYANVELAEVAAAELGKLGAGADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIRKVPAYSAVEVDGVVISFVADDQAHPQRVEIWEVLRLLADQMGGELDEGETMAELS >OGLUM03G32930.1 pep chromosome:ALNU02000000:3:31113073:31116205:-1 gene:OGLUM03G32930 transcript:OGLUM03G32930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVERPRPESAIEENEIRITAQGLIRNYVSYATSLLQDRRIKEIVLKAMGQAISKSVAVAEIIKKRVPGLYQDTNISSVSITDVWEPIEEGLTRDDSSCFDDFNYLISQRSGQELSWFMLNNPGNSRASSRRHHHHSAKFVNHHLIMKTPMSGVVAEEGGVDVEGVGVEEVMVVMVDMETIKVGTTKVVGTMITKVGMVAMIIREDMVAMIIKGDMAVVDMATTKADMETTKKMVDITEDGVACAGGAIGITVAGTNEAEVAVFLVEGDMAAAGGEEWVAVVDEETEDPSSEQMGEENPWATGDI >OGLUM03G32940.1 pep chromosome:ALNU02000000:3:31127539:31129802:1 gene:OGLUM03G32940 transcript:OGLUM03G32940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGVYHLFYQYNPEAAVWGNIVWAHAVSRDLVNWVMLDPAIYPTAPFDENGCWSGSATVLPDGTPAIMYTGIDADGQQVQNVAYPRDASDPYLREWVKPSYNPVIAPDAGVNATAFRDPTTAWRGPDGVWRLVVGTKDNHRGIAALYRSRDFQRWAPAPRRALHSGDTGMWECPDFYPVVTSHGVGGGGGVKVKHVLKVSLDLKRYEYYTFGEYDHAADAYVPDAALADGDDGLRYDYGNFYASKTFLDTARHRRVLWGWANESDSAADDVRKGWAGVQAIPRKVWLAPDGKQLMQWPVAEIESLRGNHVNVTDKLVRGGGGGNYFEVSGLATPAQADVEATFQVMDLDKAEPFDPAWRGADAQAVCAARGADARGGVGPFGLWVLASDELKERTAVFFSVFKRDDADVARVGGGKKHVVLMCNDPSRSSYAEQLYKPTYAGFVDIDHSVVESFGGHGKTAILARVYPTKAVGDKARLFVFNNGESDVKVTNLNAYDMGSAKITTDA >OGLUM03G32950.1 pep chromosome:ALNU02000000:3:31130086:31132029:-1 gene:OGLUM03G32950 transcript:OGLUM03G32950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDATMIASPFPAVEKCSSKDRGRDTIVADLDGTLLCGRSSFPYFAHMAFETGGVLRLLALILLAPLAGVLYYLVSESAGIQVLIFASMAGARVADVEAVARAVLPKFYCSDIHPESWRVFSACGRRCVLTANPRMMVEAFLKEYLGADIVVGTELVVWRGRATGLVRSPGVLVGENKAAALREAFGDASPEIGIGDRRTDYPFMRLCKEGYVVPVSPTPRPVPREELPKPVVFHDGRLVQKPSPALALLTVLWIPIGFVLACLRIAAGALLPMRVVYHAFRALGVRVTVKGTPPPPASRETGQSGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKALDPFYFFMNPSPGYVVTFLSKLPGELTCNGGGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYRALAGNDGTVVSKPNIDEKKAMGC >OGLUM03G32960.1 pep chromosome:ALNU02000000:3:31154228:31171252:-1 gene:OGLUM03G32960 transcript:OGLUM03G32960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLAQRNAAMSGLPSSGVQQPGGSISARFASNNLPVGMSQLPHGHSGVSSRVNVGGGPAFSSSLNIGGTIQGLSSNLGAGGNRNSVPGMSVSPALGNLGPRITGSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNIGSGGSPQMMNMMGSSYPTSGGSLSQNQIQGGNNSLGSMGMLHDANDSAPYDMNDFPQLTGRPSSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGYKGSTSDYAMELHHKEQLHDNVPVMQAQQYPMSRSVGFNLGSNYPPNRQQHQQGANSVQNAGPQNIGLRSSASQTSSLGSYEQLIQQYQQPQTQNPFRLQQVSSATQSYRDQSLKSIQGGQTPPDPYGLMGLLGVIRMNDADLASLALGMDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGEPEFHIPACYNAEQPPLLQPIHFQKFQTLTLFYIFYSMPRDEAQLCAASELYNRGWFYHKEVRVWLTRIPNVEPLVKTPHYERGSYGCFDPNNWETIRKDNFVLHYDQIEKKPAIPSSSQTVRGNISWSGFEY >OGLUM03G32970.1 pep chromosome:ALNU02000000:3:31173769:31174989:1 gene:OGLUM03G32970 transcript:OGLUM03G32970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77405) TAIR;Acc:AT1G77405] MSSPSRLVPQLLVALLQRRRFDATLRPSPAFRGFSPPTIAAALAAIPRLLLPRFSRRLCPQRPFPSPSSAPTRRLAAALTLAFLSWSHSDANPRRPAHLTEPPLRAAALSLARARALPALFRLLRDHAPLVSTAALTDVIRALGEEGLPRHALAAFHRARQFHCSPDAQCYNTLLAALCRNGRFKDARFLLDQMERPGARCGPDSYTYTVLISSYCRIGVETGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLRKGCSPNRVTYNSFVRYYSVVNEVDKAVKWMREMVARGHGGATSSTYTPIIHSLCESGRISEARQFIIEMAESGHLPREHTYKLVKDEIEKAHEEALPVELCQSIDAGIKERFQQVLRMKPIMRSVTR >OGLUM03G32980.1 pep chromosome:ALNU02000000:3:31176258:31179092:-1 gene:OGLUM03G32980 transcript:OGLUM03G32980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCS9] MFGRDPWGGPLEISNADSATDDDRSRDLDRGALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCMVLDRKIFMWTVGTILGVGLFIGFVMMIVKLVPHKRPPPPPPDQYTQALHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTVRKSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHVKELIKWGTDYLLKTFNSSADTIDRIVAQVGVGDTSKGGAQPNDHYCWMRPEDIDYPRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVRGAKALYKFGRLQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNTYLSVATAPGMAKHAGAYWLDSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPMYNSFNFTKGGMIQLNHGRPQPLQYVVNAAFLASLYSDYLDAADTPGWYCGPTFYTTEVLRKFARSQLDYVLGKNPLKMSYVVGFGNKYPKRAHHRGASIPHNGVKYGCKGGFKWRETKKPNPNILIGALVAGPDRHDGFKDVRTNYNYTEPTLAANAGLVAALISLTNVHVKSGIDKNTIFSAVPPMFPTPPPPPSAWKP >OGLUM03G32990.1 pep chromosome:ALNU02000000:3:31182781:31185720:-1 gene:OGLUM03G32990 transcript:OGLUM03G32990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT3G28460) TAIR;Acc:AT3G28460] MASSSALASSPFLPPLSTPNPRALSLRLPARRLPVASSAAPSGAAAAASARERRRFLERYGLNPDDFEDDAEAEPREERTRDRRKRRSGRGEAEDAPAKAAAEPRETHKMLQVLGGKVRRRKLLSPKDRNVRPMMEVVRGAAFDILQSAGGFPASLRPGRWLDLYSGTGSVGIEAMSRGCSEAHFVEMDPWVVSEVLKPNLECTGFLDVSHIHMIRVENFLANAEKSSGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGEDCFILVEYPLKTDMTESCGSLIKVADRRFGMLITGKERCLDSVTALLNSNEWLSSSRNYQQ >OGLUM03G33000.1 pep chromosome:ALNU02000000:3:31187177:31188112:1 gene:OGLUM03G33000 transcript:OGLUM03G33000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:syntaxin of plants 111 [Source:Projected from Arabidopsis thaliana (AT1G08560) TAIR;Acc:AT1G08560] MNDLMTKSFMSYVDLKKAAMKDLEAGGDGVELPEVGVTDERLKGFFQETEAVEEEMAAIRDALARLNAANEEGKSLHQPDALRALRGRVNADIIAVLRRARDIRARLEAMDRANAAQRRLSAGCREGTPLDRTRTALTAALRKKLKDLMLDFQALRQRIMSEYKDTVERRYYTLTGEVPEEEVIERIISEGRSEELLCAAVAEHGKGAVLATVHEIQDRHDAAREVERSLLELHQVFLDMAVVVESQGEQLDDIERHVNSATTYVQGGNKELRKAREHQRSSRKWLCIGIIILLLLVLLVIVPIATSFKRS >OGLUM03G33010.1 pep chromosome:ALNU02000000:3:31191334:31194771:1 gene:OGLUM03G33010 transcript:OGLUM03G33010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRLPRPHRGDEHLEPADQPWRPPGVPGGKGDRPADAGVSPLRRPVDAAEHGRRRGAGARRAVPAVAGADAAPAKQLRRRRARRAGGRRRRRRAGAVPAADARLPAVAPGQERCRGGRPGRRRGRRAAAAAAARAAGGGVVRRGARRAPDRAHRPQDAPDHRVREPHHRERRRPVADRRLRRLLRPRRVPRHRPQRQGQARHAQEPRRLLRPDHRPPAPIVPHTHTHSTLAPSAAGGSPDYIQV >OGLUM03G33020.1 pep chromosome:ALNU02000000:3:31193327:31194361:-1 gene:OGLUM03G33020 transcript:OGLUM03G33020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT4G01600) TAIR;Acc:AT4G01600] MATSWAAPPPGYPYAQGQGGAQPPHPPQSTAVAVTPVSNGVGNPYVIVTPASASPSTCQSLRKALERYGRKLEDGTRKAADTTGNIWHHLRTAPNMADAAVARLAQGTKVYAEGGHDRVFTQAFGVVPGEQLRKAYACYLSTSSGPVIGTLYISTARLAFCSDSPISYHAPAVAVAGAAPAHPPEAIYYKATTFFIASVVLPLNQVKSVNPSASMTNRGERYIQIMTTDNHEFWFMGFVSYDKALKNLYEALQRRA >OGLUM03G33030.1 pep chromosome:ALNU02000000:3:31198154:31198900:1 gene:OGLUM03G33030 transcript:OGLUM03G33030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAAPPVRGYCPAAASTSSVARVRMPASFVSAPRRVSVRLRAAAVAEVPRGLQLRREEERGLGFFGGVFGGEEEEVVEKVGEERVEGWMRESIAEIVRHIGEAPFLVHLFNDDDGGSGRGGAGRVTVRRETASAESWPDVRRRWGPGGMRRPDGIILVEQIAAAVEEGGASAGAGAAEAARQVWGLVVQARGMECASCYVLDTCRVRSPAGLCTHFCLARAQCFGDPLELQLRNAWLNRLSGRR >OGLUM03G33040.1 pep chromosome:ALNU02000000:3:31201017:31203343:-1 gene:OGLUM03G33040 transcript:OGLUM03G33040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISLVIQHILHLDFQSSSQAHDFVGVKMQRAIQAIGSHGSLLKSAVLRHISAPKPSMLPAVYSRSMSVSSAQIEESGFETATVADILKSKGKSADGSWLWCTTDDSVYDAVKSMTQHNVGALVVVKPGQDKSIAGIVTERDYLRKIIVQGRSSKSTKVGDIMTEENQLITVKPDTRVLQAMQLMTEKRIRHIPVIDGTGMVGMVSIGDIVRAVVSEHREELNRLNAYIQGGY >OGLUM03G33050.1 pep chromosome:ALNU02000000:3:31215212:31222788:-1 gene:OGLUM03G33050 transcript:OGLUM03G33050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMIYRSGLEMMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMVIAKQAIFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKDYRSSSLKTEVNKTTPFQGKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISKNAGFHEVHIQKTKVPPKENVLSFGKKSSVDEAPPGKGVSRWRSDESSEDEDDKRTSGYMESGASLATRRRARFSRR >OGLUM03G33050.2 pep chromosome:ALNU02000000:3:31215212:31222788:-1 gene:OGLUM03G33050 transcript:OGLUM03G33050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMIYRSGLEMMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKDYRSSSLKTEVNKTTPFQGKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISKNAGFHEVHIQKTKVPPKENVLSFGKKSSVDEAPPGKGVSRWRSDESSEDEDDKRTSGYMESGASLATRRRARFSRR >OGLUM03G33050.3 pep chromosome:ALNU02000000:3:31215212:31220812:-1 gene:OGLUM03G33050 transcript:OGLUM03G33050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MMMSKLSQLQKFAVQLAGISSVVEVMITSFSEAVSAHVNDLHQLMESTLKAKQHLEAMMWCIRHKFLEDIPSRHTNLASWSSDVIKRKADAKERKWPEFSDKSSAYNEANQGILFIEQALQNLGIQESNSGSEEGVEIVCLQSEQSSSMFCSTIDQFSVDKYPFKDLREAVDVLFLHGSSDMFLYYLFDRHWTRPDSEWRYLVDDFAATFGISRRTLLECLEACSLLPKISCKETHPKIAQVLLERHKPDVALVVLKCTGRDTFSSAANIEKDDTESLSEAVTAVRVRIEYGHLTEAFMYHRSYCSKVKEQRSADMSHVEDANSYKSSWMYHVEVMMTEFCNICIERNFVDRMIDLPWDSEEGKHLHKSLLDCAREMPMEPCGSLLVVFYLQRYRYLEAYEVDRSLQSFEQNALETASEEKASKIRTIAQWRQSLVTKCIEMLPEAEREDMRTIGSAERNQFAVQTMQNSSPANHMVKSPNPVIAFSLSATPIPQKKSSPLQSRNINVLNDSVGLNSSARSEFGRKVPSILQCRPVPLSSPISNVRSTAGGLFPSMGQNGEGPYLKGTKELSFTKGESGFKKGTRPAGYDSLPMYFNMGSVDTPMKDYRSSSLKTEVNKTTPFQGKDSVGKGEFDFGSRAEKPFILSGTGAGQNGHSKISKNAGFHEVHIQKTKVPPKENVLSFGKKSSVDEAPPGKGVSRWRSDESSEDEDDKRTSGYMESGASLATRRRARFSRR >OGLUM03G33050.4 pep chromosome:ALNU02000000:3:31221291:31222788:-1 gene:OGLUM03G33050 transcript:OGLUM03G33050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT2G39810) TAIR;Acc:AT2G39810] MEQNALEQLASIDLIELCKEARIEHCRATRDLSSCGRYVQHVLNSCGHASLCAECSQRCDVCPICRSPIPDTGNRVRLRLYYKCLEAGLISKQHDERFQEKEDHSDPVNLDVQRLHSLFDVALQNNLASLICHYTTDVCLDENAVSSDPLLAFLLDEVVIKEWCKKAVNALISEINMICIQQMLDFK >OGLUM03G33060.1 pep chromosome:ALNU02000000:3:31223833:31225775:-1 gene:OGLUM03G33060 transcript:OGLUM03G33060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERVKAEALQILGRFEALPRLVVFDLDHTIWPLYCDCCSIGDSPRLFRHAKGIMCALKEKGIAMAVASRSSTPDIANAFLDKLELQPMFVTKEIFDSWTHKTEHFQRIQRTTGIPYESMLFFDDEHRNFATVSKMGVTSILVDWDGGVNLEMFKLGLNNFAAKFAASSTDKDEQTSFNG >OGLUM03G33070.1 pep chromosome:ALNU02000000:3:31227525:31235334:1 gene:OGLUM03G33070 transcript:OGLUM03G33070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMELAQTDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >OGLUM03G33070.2 pep chromosome:ALNU02000000:3:31227525:31235334:1 gene:OGLUM03G33070 transcript:OGLUM03G33070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin H;1 [Source:Projected from Arabidopsis thaliana (AT5G27620) TAIR;Acc:AT5G27620] MADFRTSTHRERWIFQTNDLMDRWGAANQRATETLVQYGTTRLKVDPVDGSLSYPEPAPDHGSSGVKPLSCEEERLMRVFYEQKIQEVCSAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCIYSSCKVEENHVSAEELGKGIQQDHQIILNNEMIVLKSLDFDLIVYAPYRSIEGFVDDMEDLRQTAISQVDKMMLTDAPLLYTPGQLALAALHKSNDMHKILNFERYLESVFSRQHSDCPIEQFVGSINMINYLVEQLKIPTPKDMRHIDRKLKHCLDPSSQDEHKKKEKKSKHKSKRAANEAQLDS >OGLUM03G33080.1 pep chromosome:ALNU02000000:3:31228052:31230178:-1 gene:OGLUM03G33080 transcript:OGLUM03G33080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPPTLTSSHSLSPSRSSPRRTRRLRETPTHPQSRSDTTTARHDPPTRARAVGVRGGGGNCESAGVRGRRRREEEEEEGFCSSSSINTTTRAGLGQMGLRGASPANSDSARPSKKPVGETSRPGPTSRSLASPPPVIHPSRSDEPSRRDAGGERLLRRRPPPQMGDERVKAEALQILGLFQALPRLVVFDLDYTLWPFYCECRSKRESPSLYRHAKGIMFALREKGIDMAIASRSPTPDIAKVFIDKLEIKSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIESISKMGVTSVLVENGVNLDMFKLGLSNFATNVAASSTSKDE >OGLUM03G33090.1 pep chromosome:ALNU02000000:3:31238447:31241047:-1 gene:OGLUM03G33090 transcript:OGLUM03G33090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G58730) TAIR;Acc:AT5G58730] MAPSPAAAMPLAAEPDEVVVEVEEEEERGVKGGGGVAGLDEVEGLVVGSYCHDVLLRGGRVVGETLGGAAAFVSNVLDAASPARASLAVVSKVGHDFAYATAAAPARHPPVLCASPTTSFHARFSDDAASAHAPDRQLRRVHACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGEAKGGGAVRHVALEATPYARLLPRVAFLKASSEEAPYVGVETARRRCCVIVTEGRDGCRLYWDGGEARVAPFPAVQVDPTGAGDSFLAGFASGLLWGLSATDAALLGNFFGAAAVSQVGVPTFDPKMLQAVKQILEKAVKRPCTHINGNTFTFQRSSIHDELHKSLQEAAMLVCEQKQANSPATDNGDVCSINELTSLPS >OGLUM03G33100.1 pep chromosome:ALNU02000000:3:31246745:31256598:1 gene:OGLUM03G33100 transcript:OGLUM03G33100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT4G02030) TAIR;Acc:AT4G02030] MNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLAKIKEQSPNMQ >OGLUM03G33100.2 pep chromosome:ALNU02000000:3:31246761:31256598:1 gene:OGLUM03G33100 transcript:OGLUM03G33100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps51/Vps67 family (components of vesicular transport) protein [Source:Projected from Arabidopsis thaliana (AT4G02030) TAIR;Acc:AT4G02030] MATAGGAAAAAAPQAMDEKARRTRDLLASFYNTDPSAAAAAAAASSAARPSPTAASASPLDTINSASFDPDVYMNVLVQQSNLEALLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEANMEQLLSKITSVQSRSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFHDCKKASEEAIDLVTQHLKTKLYSDSEPIESRAEAVVLLKQLNFPVDSLKSNLLEKLEDCLLNFQKEPTQASIGDISKTFRAYLIIFPDSEKRLIELAQALFSNRYETVRENLRKRIPSTDLLAMLRSLWEDATAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISDVLARTPSTSNGKLEESQLQTAMETSKVKVLQGCIDLLQEFHHLIDGNTELLVKLRDLVIDWVQEGFQDFFQKLDGHFHMLSGRSKSPSQEMESVQIDKVPTALVLMLAQLCVFIEQTAIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQVLPGLIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISAVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKTSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLINAKLAKIKEQSPNMQ >OGLUM03G33110.1 pep chromosome:ALNU02000000:3:31257051:31259892:-1 gene:OGLUM03G33110 transcript:OGLUM03G33110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQPPAAAAEQQAGSGGGGGWGGWGLSIFSEISRNAVEVAKSAIADIQQPPEQDGLKVFDSSVETITTGTWQALGSAWKSGSLLVQKLETSASSLAETIQQGELPAKASVIAPTILETGKSFTAKGMEVLERVGKETMEFIIEETGMDVDKGTGEGDPQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLVAEQKTYYDGKLKEIQQIFSLSTKIDEDGPDSNKGKKIEAADSDSDAEMKKLCDSSVSKAAKMAAGFTTALGGLSPNEIVKRTTNRLETIHSEGVHRLSEMCCLAVSQLLLLGKSVISASSKPKNEDDENDVKIEWPEDPISKAKIIRWKAQSISVDIEKVSASFATGISDVAEAYMAAIQNALADKKDDLSNQNSVQEKAKYISNHLNTDQTSAVSKLQDALQYLAYVVVCSSMPSV >OGLUM03G33120.1 pep chromosome:ALNU02000000:3:31260174:31283743:1 gene:OGLUM03G33120 transcript:OGLUM03G33120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLTQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDMFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERDNSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVKKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OGLUM03G33120.2 pep chromosome:ALNU02000000:3:31260174:31283743:1 gene:OGLUM03G33120 transcript:OGLUM03G33120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLTQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDMFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERDNSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVKKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OGLUM03G33120.3 pep chromosome:ALNU02000000:3:31260174:31283743:1 gene:OGLUM03G33120 transcript:OGLUM03G33120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLTQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDMFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERDNSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVKKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OGLUM03G33120.4 pep chromosome:ALNU02000000:3:31260174:31283743:1 gene:OGLUM03G33120 transcript:OGLUM03G33120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLTQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDMFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERDNSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVKKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OGLUM03G33120.5 pep chromosome:ALNU02000000:3:31260174:31283743:1 gene:OGLUM03G33120 transcript:OGLUM03G33120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAAPECTDAMGSEAAAGDVAETEEVVVASEAEYSWPQLRFDLPPRRLYHFAGQFRSAAAAASASAGNFLKGVKWSPDGSSFLTSCDDNSLRLFYLPEEAYSTEAEHPAEAAVGGEDSYGASIQVNEGEPVYDFCWLFAGYNKAIRVFDVHRPGRDFEQHSLLKGCEGPTGIISSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRSSDTTNQRIHFDIEPCGRHLATGGQDGMVHIYDLQGGQWVTAFQAAADTVNGFAFHPYLPLATTSSGHRRFGMEDEIEEELSLAGIFNKGDRSYAVRRAAVSAYAALCAVLCAHEAPGGLPDRFVAWGLPLLGEPASAALLAEGLRELLATGDVPAVERFVPPLLAACRDVLEDERTSLTVLRCLLGLLTLVAAKFPHCFRPQFVDIVDLLLGWVFVPDLAESDRSTIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNSLKELIAGPLEGLAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYGMFVDVLTQSLEVASSVQLVAALKTNLQVLSLQNLGLRASAVEALLDFSSFLSRLRLHPNHTVVTNVATTYLFVLQHGLEDVVDQAISSLMKELELLKSLLETGRASYPDIQNLSLGSNSETQSKSNSSTFSLVGYSEHQLLSLMKFDLKILLATISVDTKKRNDKAASLTSFISAKLDPFGTPFHDFLEMQFHIFSILHRLSSMDLSSTIAPSKANGSGDSGSQTQLVIESRKSFCDCKNKFMHKYGKLVVWGLNASSSMTLKLEALAWIDMFGNLVLGMERDVDKRNISYEVHEGATLLNTILFAILDCAYDREPKVRLHVATSLEILFLGRLINPMDFSVVTQVLLDKLSDPDNAVKKGFSRLLSIALPITTYTFGLLENRWSYQHSPDTANMSKHCMSWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLFFSYRGKKDMFSGQTDVSGDSDGNELFKGPDVDRTIIDRIYSVNNLAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVPNLLAPEATEGEGRYIAPSDTSLLPMRLLLDFVEALKKYVYNAYEGSFVLPAPPKASSLFFRANKRVCEEWFSRICDPMLNAGLALHCNDAVIHYCSSRLLDIRNLAASSLKDNSRMGGATESHHAFRERLEADFLKVLRHASLALCRCHETDALVGLQRWAISTFYTYFEQDKQLVRGVSDSQNHFSWMSGLIYQSQGKYEKAAAHYSHLLQFEEGLASMESDGIQYIIARVIECYTSLSDWKCLEGWLSELQVLRAVHAGKPYSGALTSAGNELNAVHAMACFDGGDFHSAWGYLDLTPKSSSELSLDPKVAVERSELMLLRAMLQSHSKPDKAREELNKAKLMLDEALSVVPLNGLTEAAACAGQLYCIFAFEETTELACPNRTNQSPALMDYLLRLLQDPIDRINQDCNIWLKIFKVYRTTQPSSLPTLLLCQKLASLARKQSNLKLASRLNQYIINHPLSSSDEMEKEMLTLNIKYEGALLKHDQGKKEEALTDLWSLVRATVLSTVSDSSGAGTPLIAKACLKFSTWMERDNSTHIMNMILPKVIEDINDSGGFRNGAEKLLLGDNGSVSASNSHVVSQEIIGIARKTSWQLCPSMGKAWHSYASWCITHANYSLSGTDSKLQNSLFPALQSELSPDRFHLTDNEKSEVQEIIRNFCADKDGNYVDCSISPTAGCSYNSEGNPIVSLIEQTICLLETAAGAPGSEACDGEGPSVRLSSELTVLFCKCDSAKDSSMTLIGKLIEIWWSLRRRRVSLFGHAAHAYFQYLSHSSTGLQPSYHRDVLKGKTKSYTLRALLYLLHIILNYGVELKEIVESGLSTVPLLPWQEIIPQLFARLSFHPEKIVKKQLESILVKLGKLSPCSIVYPTLVDINACEGEPSDELQRILDFLVKQYPKLVKDVKLAIEELGMVTVLWEEQWLSTLQDLHSDVLRRINILKEEAARVAANSTLSSAEKNKINAAKYSAIMTPIVVALERRLASTSREPKTSHEVWFHKEYNAQLKSAITALKTPPGSPSALGEIWRPFDSIAASLATHLRKSCISLSEIAPQLAALSTSNIPMPGFEKQIFSSSESSFADSHGTITISSFCKEVTVLSTKTRPKKLVLQGSDGQKYTYLLKGREDLRLDSRIMQLLEAINSFFYSSSDTRSRNMAIRFYSVTPISGRAGLIQWVENVSSIYNVYKTWQKRSQLAQAQLSSVNTVNNSIHKSVPPVPRPSDMFYGKIIPALKEKGIKRVISRRDWPLDVKRKVLLELMKETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMIGHVLGLGDRHLDNILMDFSSGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMAVLLRNKDIILMLLEVFLWDPLMEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHQDLLVTNLPATLSSLKKFLDTLEQYEVISAMFYHAEKERSSALQSETSAKSMLAEASSLAEKSRTSFELHAHELAETKAAANDEANKLAVWVEKHGRILEAIRDNSIAGVESCMQLNSKDDALSLISAVLESEVPLTVVPEPTRAQCSELDREVSQLILELQGGLSAALESLGEYALVLQQVLPVNYITTSPVTGWAQALQLSVSSGSEDLLPLAKRQAAEVIAKVQGEGINLVQQRYRDLLNQMESYVVCIERLARERSELMNSIGFENEVRSKERILSAFMNSIQLPSPKRDMGNIPFLQSGNVGVKTPAHEDIQDETGIVLSILGIAVGQLYSDVRAKVSELSSKVTGIAKFRTDEAGLQADAGTSLQLFDQQVEKCALISGFVGEVHGVMEAKLVEMNTAYAKPQHGQWASTFQRILCSSTNMIEQMTEVFLPEIIRSFISYNSEVMEAFGSVSQIRGSVDTALEKLVRIELERASMTEFEQSYFMKVGRITEQQIALEEAAMMGRDHLSWEEAEELASQEEACRAQLEQLQETWSQKDMTISSLMKVESSAMNSLLSSKQYFSSLVNVDQESEFHLRRSKALLSILTKPLADLEALDHMLSACGLFPYHDHCFFVWKLSLLDSILDLCMHEISSSVEHSFTTNQLYTALKTKLTNHVEKQVYRYIMERIAPAFILQLDKEISDLLQLSQGRRESGQPKRDSAAVGRIAVMLEEYCNAHETARAARTAVSLMQRQSNDLTEALRKIVLEIIQVEWLHDLSSPHAQKSKVLSQNILSDDKFISVLLNISRGNLLDKIQSSVSLVTRSIECLQACENTSVSAEGQLERAMGWACAGPNTSGAGSSTTKASGIPSEFHDHLLKRRKLLRVIQEQASDLANICTSVLEFEGSRDGIYLIPEDKSSGQSTDRGRTWQQTFLNLLTRLDAAYRSFTCAEQEWKLSQFNMESAGKSLYSVTNQLSVVSSRAKSALECSVYIFPVNLQDTLVSMYERASEVTASLSGFKHVSQDRTALTSECGSLLEEVLAIAEGLHDVYIVGKEAAVMHNSIMSNLSKANTILLPLEASLSADLAVMSEAISKEREKNNTSMPLIHGKALYQSYIIRIRDAYKNLEPLVPPLADDAKELHSLMTKLGRLSSIHAGSLHKALEVLGESETVKSQDMPSTHADILQSDSSIEKDKGSSGSREGGSQDLVTTTDLSLQDECWISPPEHSYTSSSGYTTELTQITSSENIENMDPLLVDRPVIEAPGANDQERGADSESDSSSNKQLFINNVTLTNVNSVDEVEISLSKERKSENENTNLPFKQIRGQECDNSDPKSYPDSVTRLTRGKNPFALSILKQVEHKLHGWDIDGTRSLKVSEQVDHLLKQATSIDNLCNMYEGWTPWI >OGLUM03G33130.1 pep chromosome:ALNU02000000:3:31283873:31289561:1 gene:OGLUM03G33130 transcript:OGLUM03G33130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine transhydroxymethyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT4G37930) TAIR;Acc:AT4G37930] MAMATALRKLSSDALRRQPLSRITPLYYMWPKQLNAPLEEVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSAVLFRPKLIVAGASAYARLYDYDRMRKVCDKQKAILLADMAHISGLVAAGVVPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKGVNKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVMSNCAKFAQSLTAKGYELVSGGTDNHLVLVNLKSKGIDGSRVEKVLENVHIAANKNTVPGDVSAMLHDHAGTPALTSRGFVEEDFAKVADFFDAAVNLALKVKAAAGGTKLKDFVATLQSDSNIQSEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >OGLUM03G33140.1 pep chromosome:ALNU02000000:3:31297584:31298018:-1 gene:OGLUM03G33140 transcript:OGLUM03G33140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAIPDLIRYEAEITSSRGLFGKRMTVAPGVLVSGGSPNEEGQDWKQIEDGRRRPVAVSYSHGRCLRCIAEGRREEEEEVGRSRRGKDLDLDDSEGIWRDCHPSRPPARWQGGGLP >OGLUM03G33150.1 pep chromosome:ALNU02000000:3:31305955:31309145:1 gene:OGLUM03G33150 transcript:OGLUM03G33150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCV5] MLGGIIGGLTGNKNARLKGSLVLMRKNALDINDFGATVIDGISEFLGRGVTCQLVSSSLVDPNNGNRGRVGTEASLEQWLTSLPSLTTGESKFGVTFEWEVEKMGIPGAIIVKNNHAAEFFLKTITLDNVPGHGAVVFVANSWIYPASKYRYNRVFFSNDTSLPSKMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGEPDSGNPRPVLGGSPDRPYPRRGRTGRKPTKTDPTAESRLSLLENIYVPRDERFGHLKMADFLGYSIKALVDGIVPAIRTYVDLTPGEFDSFKDILKLYEGGLKLPSIPALEELRKRFPLQLVKDLIPAGGDYLLKLPMPHVIREDKKAWMTDDEFAREILAGVNPMVIARLTEFPPRSRLDPARYGDQTSTITAAHVERGLERLTVQQAIDGNRLYVVDHHDHFMPYLLDINSLDDNFIYATRTLLFLRGDGTLAPLAIELSLPHLQDDGLITARSTVYTPAARGGTGAGAVEWWVWQLAKAYVNVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMTINALARQTLINGGGIFEMTVFPRKHALAMSSAFYKDWSFADQALPDDLVKRGVAVPDPASPYKVRLLIEDYPYANDGLAVWHAIEQWATEYLAIYYPNDGVLQGDAELQAWWKEVREVGHGDIKDATWWPEMKTVAELVKACATIIWIGSALHAAVNFGQYPYAGYLPNRPSVSRRPMPEPGTKEYDELARDPEKVFVRTITKQMQAIVGISLLEILSKHSSDEVYLGQRDTPEWTSDAKALEAFKRFGARLTEIESRVVAMNKDPHRKNRVGPTNFPYTLLYPNTSDLKGDAAGLSARGIPNSISI >OGLUM03G33160.1 pep chromosome:ALNU02000000:3:31309915:31311384:1 gene:OGLUM03G33160 transcript:OGLUM03G33160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSDGEGAGGGLPRSHPSNLPLPAPHSDPNLQFSGTDDDFSNRHSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSDASMAGLGGTPAMAPGTSLIGSLVREEGHIYSLAAKTDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTDSAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAIVAAFDGLVFTGSADGTVKVWKRELQGKGTKHVAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGERHLVHGGVLRGHKKAVFCLAAAGSLLLSGSADNTIYVWRRDGGVHSCLSVLTGHTEPIRCLAIVEDNKDNAAVPVDAVDSSFASGSSTRWIVYSGSLDKSIKVWRVAEDAPDALLHGPGGGDAPQMFDRYPGDSFGASSSSFR >OGLUM03G33170.1 pep chromosome:ALNU02000000:3:31315044:31319167:1 gene:OGLUM03G33170 transcript:OGLUM03G33170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVRGGATRGQAWFCTTGLPSDVVFEVQDMSFHLHKFPLMSKSRKIHRMVMEHEAEQPAGQRRRRRRRRRRGSEGSNAGEEGDEQTEIEEAEEEEEDEEEEEEGQAFCIAFPDFPGGPGTFETAAKFCYGVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLAARAEAFLEQAVLRHPGEATKALKSCEELLPHAEELGIVGRCVEAIAARSSAASRSWFDDLAVLGLHMYKRVMAAMAARADVRTEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQRDLLEAVVASLPADKCSGRVVTAKFLFALLRTAHILRASDAACAALERKAATQLEHATLEDVLIPSYSGATETLYDVDCVERVVRHFLAEEEDHGEAEASTSAAAAITEEAPAPAATTLSRPSAVAMVHVGKLVDSYLAEIASDANLKPAKFCELALALPDHARVYDDGVYRAVDIYLKAHPRLAAEERDRVCGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLSSAGAGATQTRLHLHRYQQPRPAAMAARHAADAGGGRGEAAWRSTATQDSQVLRLDMDSMRNRVQDLERECSSMRRAIKKIDGRSAAASPRHSDAGDDDAASADGSSRPANWRSRYGCKFSTQVCDSHARNVVASRASRMGMSP >OGLUM03G33180.1 pep chromosome:ALNU02000000:3:31346251:31348706:1 gene:OGLUM03G33180 transcript:OGLUM03G33180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGGGGGGGSGRLLRGATAKAFHGDGSSHHRMMPSSSSSVAAGGGGGVAGPCRIPSLKFPSLWESKRQGGGVGSRAAERKAALIALGAAGVTAPERERGGGVVLLPEEARRGADLLLPLAYEVARRLVLRQLGGATRPTQQCWSKIAEATIHQGVVRCQSFTLIGVAGSLVGSVPCFLEGCGAVVRSFFVQFRALTQTIDQAEIIKLLIEAIDMFLIGTALLTFGMGMYIMFYGSRSIQNPGMQGDNSHLGSFNLKKLKEGARIQSITQAKTRIGHAILLLLQAGVLEKFKSVPLVTGIDMACFAGAVLASSAGVFLLSKLSTTAAQAQRQPRKRTAFA >OGLUM03G33190.1 pep chromosome:ALNU02000000:3:31357855:31358481:-1 gene:OGLUM03G33190 transcript:OGLUM03G33190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRIIFCVVIAAAVLAVVLLATVSPLPGRHKGGGGGRDHPWTLTVYIHTTTAAAAAAAAAGTVSPPQRQQQASAFVFRHRMTAGPESASRTVGAATGFVLPTEAAGSAMSVFDTVHLAFDVAGMSGSVCVQAAAGDGGGGEKAPRPTRRRGECGDPEVLRVVGGTGDFAFAAGGDGVLRALCAPRLFGGAAAAKVLRLELSVAHAKG >OGLUM03G33200.1 pep chromosome:ALNU02000000:3:31391261:31391773:1 gene:OGLUM03G33200 transcript:OGLUM03G33200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACNSCEATAVAAVNGRSASGEATAARVVLADGALRRFPGGTRASQAVKAAGGGGGGSSWFLCSADGLELGAAVAAVGGGDDEELQPGQLYFVLPAAMRRRPLQAEEMAALAVRASAALVGDHDGPLVFPEAAASAADPRAAGKGCRRSRTRRHSRGRDFVPDLGAIAE >OGLUM03G33210.1 pep chromosome:ALNU02000000:3:31397814:31398575:-1 gene:OGLUM03G33210 transcript:OGLUM03G33210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMRLGGADPMTMEVAIGVEEATQKLPPIPLSAPTAHPLLEAQSGFEAQEELAAQEELAAQASKEVVPDVGCFTPFAPP >OGLUM03G33220.1 pep chromosome:ALNU02000000:3:31398846:31399341:1 gene:OGLUM03G33220 transcript:OGLUM03G33220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNILFLVLRLNTILTHCECPKETIARKGTTDNRWMLGNADGRNVISGA >OGLUM03G33230.1 pep chromosome:ALNU02000000:3:31404745:31409351:1 gene:OGLUM03G33230 transcript:OGLUM03G33230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQAN >OGLUM03G33230.2 pep chromosome:ALNU02000000:3:31404745:31409351:1 gene:OGLUM03G33230 transcript:OGLUM03G33230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDATIAFPLIVAATFARKFHGAKQAN >OGLUM03G33230.3 pep chromosome:ALNU02000000:3:31404745:31409351:1 gene:OGLUM03G33230 transcript:OGLUM03G33230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGGGVRDMDALEGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLPGLLASLATTGFQASNLGDAVDVVNQMLDWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGEFSLPGTLLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLQEQSTENVWTPSKVIARLGKEINDENIRLMNGEAIHATPRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKGTLHGS >OGLUM03G33240.1 pep chromosome:ALNU02000000:3:31409992:31415300:1 gene:OGLUM03G33240 transcript:OGLUM03G33240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein [Source:Projected from Arabidopsis thaliana (AT1G56290) TAIR;Acc:AT1G56290] MLSGVKFIPREQIGEDALGGAGSAGSDSSEDRRRRKKRGRKGRDKEERRDRRRRRRRSKYGSDSEEGSDSGDSIDEEEEKGLSRSKHRRKHQRRRHEFSDDDDDDDEESSGSRKSKLGGAAGDDDDEEEDEGIGGEELRASDIVRKEMGLEWMLKSASSGRAESSQARGADKDEEEVAPEEVKKANPKELNPYLRDNGSGYPDESSPSNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGKQLEEVVGERWGSLGHLAASVSASRAAPSHAHLHAIRGRKAGQAGSSEEHSKENPKEGQQGGDSGRREYLKDVSSRHHAMRKPKPDSVPWKRNRQNISSEDQALISSAIAGINKFSNDGSFLEKINNLESKTVNVLTAEVDELKSDKGSSKKAPSVSTQKLNANQLAAKILQLRMKGKHEEAEQLSREMEAVLENEDTAVEEPRHEVRSSTRNTIKPSAADRRKREEDADRHLANKIMHNKQYNMSKSIEDEYDFGDAPSKKGKRRNKDAHEERRSTHRLTTQKERCMYCFENPSRPKHLVVAIGNFTYLMLPQLEPVVPGHCIILPLQHESATRTVDRSVWEEIRNFKKCLLKMFAQQDKDIVFMETVISLAKQRRHCMIECIPIPSEVSNNAPMYFKKAIDEAEEEWTQHDMKKLIPTKGNLHQVIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLREEDMHRRRRHESMDNQKQAVANFMKDWEPFDWTKQLD >OGLUM03G33250.1 pep chromosome:ALNU02000000:3:31415678:31416100:-1 gene:OGLUM03G33250 transcript:OGLUM03G33250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNDVRSEDRRPQAVASDQASFPMTHGTHTIAVPLGTGCLFWMNFASLDWMHRLVLPTYLLLLAWHADAGMLHLLAFRASLEHKATKD >OGLUM03G33260.1 pep chromosome:ALNU02000000:3:31418423:31421845:1 gene:OGLUM03G33260 transcript:OGLUM03G33260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTAAPRHSCAKLSVAVEDPKAGGGEAVFVKATWHPTRFSLAVTDGGAAWVAQASDAEVRLRAEQWDQPVADYLALAERYLAFQQPSSTYSFHDAANGNRRLSWTFEKQGTKLEWRWKLQPAPNTQQTIAEILDFLMDANIRLSEEVVRKTQSFDKLKQESEKCLQQSERFNIEKAEFEQSTFSKFVAVLNSKKAKLRQLKDKLTELESADKAPKEEDENSTDKTELFEEASDKDASVNDEPSETGGGDLHSSPEKTAATSRGGRGRKRTRK >OGLUM03G33270.1 pep chromosome:ALNU02000000:3:31422191:31436295:1 gene:OGLUM03G33270 transcript:OGLUM03G33270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIKKQRRREFLGGNPVTGAETWRGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >OGLUM03G33270.2 pep chromosome:ALNU02000000:3:31432749:31436295:1 gene:OGLUM03G33270 transcript:OGLUM03G33270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMESYYGAFHADEAAFFFPHHVPASPELPFGLIASPEPEPEPEQAAAEARQSAFQEYGGAVHAGAPAAAGAVTTGGTNIHRRVMDVLGRMGGGGGGGGGEKGEGEEMEEEEEVPQRRRRGQGADVESSRGFRHMMRERQRREKLSQSYADLYAMVSSRSKGDKNSIVQSAAIYIHELKVARDQLQRRNEELKAQIMGHDEQQPCVTVQFEVDEPSSSIDSMIAALRRLKGMSVKARGIRSSMSGNRLWTEMNVETTIAACEVEKAVEEALKEVERNQPDSDAPFPGSKGWTQTSHVQNVF >OGLUM03G33270.3 pep chromosome:ALNU02000000:3:31422191:31424071:1 gene:OGLUM03G33270 transcript:OGLUM03G33270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRIKKQRRREFLGGNPVTGAETWRIKYQHRST >OGLUM03G33280.1 pep chromosome:ALNU02000000:3:31425421:31425817:-1 gene:OGLUM03G33280 transcript:OGLUM03G33280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAAALGADGFHAACLELRERAARRNMVTEEALPTYQSMANRFESARDVTGADGTAWARWICRWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLISSGMAMHAPVSPYHGFSYVAF >OGLUM03G33290.1 pep chromosome:ALNU02000000:3:31448712:31452413:1 gene:OGLUM03G33290 transcript:OGLUM03G33290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADHPGAHFGGPARVLDSTSTAAALPCLYQPRGREREREREGQPLPLLVVVVSTGGGLSPGSSEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAAATAAKTPNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >OGLUM03G33290.2 pep chromosome:ALNU02000000:3:31448712:31449391:1 gene:OGLUM03G33290 transcript:OGLUM03G33290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGADHPGAHFGGPARVLDSTSTAAALPCLYQPRGREREREREGQPLPLLVVVVSTGGGLSPGSSEGGAAAGVMAMAVEWSGGAQVVLGLCQVA >OGLUM03G33290.3 pep chromosome:ALNU02000000:3:31448396:31452413:1 gene:OGLUM03G33290 transcript:OGLUM03G33290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMEEANREAVQSCHRVLTLLSSPHSQLVPNKDLAAATGEAVAKFCSVASRLNNGNGLQGHARVRKIKKPLPIFDSNLFLESPALAAATAAKTPNPSPITSLQLFPRYHQMEGSSSKDPVRIPAQFPKRLLLDNPAVDSDGPSRGPPLQLIQPVSVAPPAGTPHPALPSAHLHFIQQHQSYQRFQLMQQMKMQSEMIKRSGLGEQGGSNGGGKGVNLKFDSSNCTASSSRSFLSSLSMEGSIASLDGSRSSRPFQLVSGSQTSSTPELGLMQRRRCTGREDGSGRCTTGSRCHCAKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVLAAQPA >OGLUM03G33300.1 pep chromosome:ALNU02000000:3:31471677:31473423:1 gene:OGLUM03G33300 transcript:OGLUM03G33300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYFFLQSLLLCVAAVALLQLAKVATTMRRRPRTPPGPWRLPVIGSMHHLVNALPHRALRDLAGVHGPLMMLRLGETPVVVASSRGAARGVLKTHDANFATRPRLLAGEIVGYGWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVTARVEEIPAAAAPSTPVNLSVLFHSTTNDIVARAAFGRKRKSAPEFMAAIKAGVGLSSGFKIPDLFPTWTTALAAVTGMKRSLRGIHKTVDAILQEIIDERRCVRGDKIRNKNNGGAADDQNADENLVDVLIALQEKGGFGFHLDDNKIKAIILDMFAGGTGTSASALEWAMSELMWNPAVMKKLQGQIREAFHGKAVVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIDTCELDGYTIPAKSRVIVNYWDDAEEFKPEWFDDNAIDFMGGSYEFIPFGSGRRMCPGFNYGLASMELVLVAMLYHFDWSLPVGVKEVDMEEAPGLGVRRRSPLPLCATPFVPAAVSADY >OGLUM03G33310.1 pep chromosome:ALNU02000000:3:31477116:31477913:1 gene:OGLUM03G33310 transcript:OGLUM03G33310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCX7] MRNSTSSAAAQPAPASAAMYGSYASPSSGAGGYAKIPTYPPPPSAYPAAPPPPVMGQPVPPPPAQLHDPTAPPSPIAKAAELVTRFREQGQALIAARRPWGEVFRAPAFSRPPSVGEAVARARRNAAYFRANYALAVLAVVAASLLWHPGTLFALLALCAAWFFLYFARPASSAGQPLRLLGMEFEDGTVLAALTGVTVIALLFTNVGWNVIGSVMIGAALVAAHATLRSTDDLFLTEQEAAGDGLVAAGMSAAGPILPTYVRIA >OGLUM03G33320.1 pep chromosome:ALNU02000000:3:31480798:31485416:-1 gene:OGLUM03G33320 transcript:OGLUM03G33320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARGGVRRVRREALVACMTCPLCKGLLREATAITECLHTFCKECIMEKIDDEEVDHCPVCNIDLGCDPEEKLRPDHNVQDIRNKVFPLKVKKVGAPKAPTVTLPVKRKQRSLSSLVVDTPRVAVQTGLTGRRTKTARRTAVSHVNSPGNNGTIKLANKSEGRDHKTQKISAAQSAKMTKTGNKKKNNTDVEATIQSSSEDRKDDHTIDKEDLKKPLNSLVDTANRTKFFRSDPKGQAAKEDKIKNSIKLLAEDDTEDKLVVTGRKVMPCSNKLKVKEENNRSPSQSASSKDKTTSDYELRKGQHADSQQGQIGSTRTGALHDGITRPVWFLLVPSPDQKQDPKLPQLPTYYVRIKDGSLQTSLIQRYIMNKLDLASEDEVEITCHGEAISPSTTLQGLLELWLKSSPVEQVQASLGAQAKEFVMELGYRRPQRSPSS >OGLUM03G33330.1 pep chromosome:ALNU02000000:3:31487271:31490466:-1 gene:OGLUM03G33330 transcript:OGLUM03G33330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLLRSLPSLGRALLSSSPAPARMLSAAASDALIEIKPGEISMVSGIPKEHIRRKVLLWTYSDLCSVDRFASPRRSVWESLEMSRFGRFFWLLLGLGIEPGELGRNVLVHARPRRSAGSSPRNTLFVSARPHNDVMLKKLGGISPVRLFCDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNVSSHRGTPPTIEVVVVEVCDVEGGAIAERIRITPSLKSEV >OGLUM03G33340.1 pep chromosome:ALNU02000000:3:31491975:31493602:1 gene:OGLUM03G33340 transcript:OGLUM03G33340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMIRVLLVEDEEINRIVARAALKAAGGGDVVDEAENGEVAVQRVRDAAAPYDLVLMDKQMPVMDGHEATRRIRGMGVTTPIVAVSSDGLPADVDAFITAGADDFTSKPLSKEKLGVILAKFRLA >OGLUM03G33350.1 pep chromosome:ALNU02000000:3:31494520:31499344:-1 gene:OGLUM03G33350 transcript:OGLUM03G33350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVAGEAAAAAAAAGAGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >OGLUM03G33350.2 pep chromosome:ALNU02000000:3:31494520:31499344:-1 gene:OGLUM03G33350 transcript:OGLUM03G33350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVVAGEAAAAAAAAGAGGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITAEEVLLRDPLDDNVIPVVEELRRRLAPSSATQHDVEGAEEDESPFEFRALEVTLEAICSFLGARTTELESAAYPALDELTSKISSRNLDRVRKLKSGMTRLNARVQKVRDELEQLLDDDDDMADLYLSRKLAGAASPVSGSGGPNWFPASPTIGSKISRASRASAPTIHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGIFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >OGLUM03G33360.1 pep chromosome:ALNU02000000:3:31509444:31515434:1 gene:OGLUM03G33360 transcript:OGLUM03G33360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAELKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGARIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEIIFTDDVSLQVFIEHLQELAVQG >OGLUM03G33360.2 pep chromosome:ALNU02000000:3:31509444:31515534:1 gene:OGLUM03G33360 transcript:OGLUM03G33360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT5G43670) TAIR;Acc:AT5G43670] MDFAELEAVEGLRWPWHAWPPTASAAASLVVPTSVLCTPLHPAAPDLLPLLPYAPLRCGGGGGGGGGGGGGCGAALNPFSRVHHATARWACPFCGASANPFPRLLAPDALPAELFPTHSSVEYALPPDAAEAGGGPGPPSVVFVVDAATSGPELAELKAEVLRVVQGLPEGVRVALVSFAASVWVHDLGFEGCTRVVVMNGERELESEKIQEFLGVRDARYNKLAMPRSTKVQRFLLPVSECEFNITSAIEDLRSMSACPRGHRPLRATGAAISTAVALLEGCCSPNAGARIMVFTSGPTTVGPGLVVETDLGKAIRSHRDIFNGNAPLIDKAREFYKKVANRLTAHALVLDLFACSLDQVGAAELRYPIEVSGGLMVHTESFESEQFKSCLRHIFNREGVGYLNMNFNATIEIVTSREVKICGALGPCISLHRKNSSVSDKEIGEGGTNYWKMSTVDSKTCIVFFFRVDCSHNTEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAGPRSPEIAAGFDQEAAAAVMARLAVHRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSTNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALLVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSDGSEIIFTDDVSLQVFIEHLQELAVQG >OGLUM03G33370.1 pep chromosome:ALNU02000000:3:31514030:31516135:-1 gene:OGLUM03G33370 transcript:OGLUM03G33370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZCY5] MAGADVDVGTELRLGLPGGGGAAAEAAAKAAKRGFEETIDLKLKLPTAGMEEAAAGKAEAPAAEKAKRPAEAAAADAEKPPAPKAQAVGWPPVRSFRRNIMTVQSVKSKKEEEADRQQQQPAANASGSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSLALQKMFGTFTATGNNMNEVNGSDAVTTYEDKDGDWMLVGDVPWQMFVESCKRLRIMKGSEAIGLAPRAKDKYKNKS >OGLUM03G33380.1 pep chromosome:ALNU02000000:3:31520053:31520918:1 gene:OGLUM03G33380 transcript:OGLUM03G33380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNSTRSTPLEADGSIDPPPLSLSLLSVPTDNRSVIHKTGTINAATPGVTTINVAARMHIGSGSIIPEAGIVVVAAPEVVGRR >OGLUM03G33390.1 pep chromosome:ALNU02000000:3:31534839:31540090:1 gene:OGLUM03G33390 transcript:OGLUM03G33390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MFRFITRIVFFFCFFNRLVWPLQVATLLGLCHAAPPRRTPPPPPPPRRHRLGGAAGAGGEAALSGRPPPLPNSDGGTAARGDGRRLRPDARSRLAGGGMASTAGAGRPPWDPTVSLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAPAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGRCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNTALRVFDEAGEKDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAELSMVTNFPYFSSPPEHIKCISALTICMVMLASPLGKCIRAVEKTDVLLNFHSLHITSSIHDEYKYY >OGLUM03G33390.2 pep chromosome:ALNU02000000:3:31534839:31540090:1 gene:OGLUM03G33390 transcript:OGLUM03G33390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G04750) TAIR;Acc:AT3G04750] MFRFITRIVFFFCFFNRLVWPLQVATLLGLCHAAPPRRTPPPPPPPRRHRLGGAAGAGGEAALSGRPPPLPNSDGGTAARGDGRRLRPDARSRLAGGGMASTAGAGRPPWDPTVSLRLGHPALVLLERCGGGARPFKAILAHMLRLRLAFETFPMSRLLHFATVSFPHHARAAETLFEHFTPRPNLYIYNLMLSSAPAAAAASSSPSRRPAALYMSMLASSIHPDEQTFLSLLKSVDAERRSVGKQVHAHVVVTGLHSRVYLRNSLIKMYLDAGDVEAAEAMFRCAPTADAVSCNIMLSGYVKGRCSGKALRFFRGMASRGIGVDQYTAVALLACCGRLKKAVLGRSVHGVVVRRIGVADRGLILSNALLDMYAKCGEMNTALRVFDEAGEKDGISWNTMVAGFANAGLLDLASKYFGEAPARDIISWNALLAGYARYEEFSATMILFHDMLASSVIPDKVTAVTLISAVAGKGTLNSARSVHGWVVKEHGTQDSFLASALVDMYCKCGSIKLAYAVFEKAVDKDVTLWTAMISGLAFHGHGDVALDLFWKMQAEGTEPNGVTLVAVLSACSHAGLFDEGCKIFDTLKQRFNIEPGVEHFGCMVDLLARSGRLSDAVDLARRMPMKPSRSIWGSILSASSACQNTEVAEIASKELLCLEPAEEGGYVLLSNMYAAEGQWNYSNEVRENMERKGVRKSAGMVMLASPLGKCIRAVEKTDVLLNFHSLHITSSIHDEYKYY >OGLUM03G33400.1 pep chromosome:ALNU02000000:3:31539401:31540733:-1 gene:OGLUM03G33400 transcript:OGLUM03G33400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGLARWCLGGTDLGDSCAGYGDGDGDPDQRRRTCGADEDCGDGGVGVDRGWLGAAAVEGERLRKRRWTIGGGRRAEARWERGERSRKEMGVRGNREITDEEITRGGRWERRDGEEIAIGVRQRSGWRGGFWGQRHGGGGGVRVRERSERVARRLGAANQSGARAITRLMEGPERILLYKYKAIIAFEICPATLVQFPHLLPIPNNQVME >OGLUM03G33410.1 pep chromosome:ALNU02000000:3:31540676:31544703:1 gene:OGLUM03G33410 transcript:OGLUM03G33410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGPCRQDTIAPTLHPPSPSLSAVSSTPDSGSEKVTMVPSLSSGLSAAAAFPDSCRGIEQSLIAVQSGTQPRRGQPPLPLEAPLPVQTHSSGYIGRLQSGKICSAVDYFTPVIQAIKVTLEILRGVYIL >OGLUM03G33410.2 pep chromosome:ALNU02000000:3:31540676:31544256:1 gene:OGLUM03G33410 transcript:OGLUM03G33410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGPCRQDTIAPTLHPPSPSLSAVSSTPDSGSEKVTMVPSLSSGLSAAAAFPDSCRGIEQSLIAVQSGTQPRRGQPPLPLEAPLPVQTHSSGYIGRLQSGKICSAVDYFTPVIQAIKVTLEILRTA >OGLUM03G33410.3 pep chromosome:ALNU02000000:3:31542243:31544256:1 gene:OGLUM03G33410 transcript:OGLUM03G33410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQLSEWLLVPSLSSGLSAAAAFPDSCRGIEQSLIAVQSGTQPRRGQPPLPLEAPLPVQTHSSGYIGRLQSGKICSAVDYFTPVIQAIKVTLEILRTA >OGLUM03G33410.4 pep chromosome:ALNU02000000:3:31542243:31544703:1 gene:OGLUM03G33410 transcript:OGLUM03G33410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELIQLSEWLLVPSLSSGLSAAAAFPDSCRGIEQSLIAVQSGTQPRRGQPPLPLEAPLPVQTHSSGYIGRLQSGKICSAVDYFTPVIQAIKVTLEILRGVYIL >OGLUM03G33410.5 pep chromosome:ALNU02000000:3:31540676:31544082:1 gene:OGLUM03G33410 transcript:OGLUM03G33410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKVIICMCFSFDSCLQIDSHCRRRCHTKRRLGRSSVADSLPLGCVHHLPDSPAVYLISVIGPLCLMVL >OGLUM03G33420.1 pep chromosome:ALNU02000000:3:31546602:31552443:1 gene:OGLUM03G33420 transcript:OGLUM03G33420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKEVVDFVVHGLPGLCSYDVVLSVLYIAGIILEDLHNTGGGACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >OGLUM03G33420.2 pep chromosome:ALNU02000000:3:31546728:31552443:1 gene:OGLUM03G33420 transcript:OGLUM03G33420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQFPVGRIHRQLKQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKEVVDFVVHGLPGLCSYDVVLSVLYIAGIILEDLHNTGGGACMEGLTSEQMVAFQEAFLLFDKNGDGCITLEELAAVTRSLGLEPTDQELNDMMREVDTDGNGIIDFQEFLSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPTELRTVMTNLGEKMTDEEVEQMIREADTDGDGQVNYDEFVIMMKNAERKISG >OGLUM03G33430.1 pep chromosome:ALNU02000000:3:31555908:31563779:1 gene:OGLUM03G33430 transcript:OGLUM03G33430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGSGGGAEAHARSRKYDYVENSNLVLGSGSGSLPRGGADEHTGEPETLRGRIDPRSFGDRAVQAKPPVEPPRRRKARDAADHDIGHRPDAKRRRRAASACTAQREVSVLSLIDDVVYRPRTKETRAAYEALLSVIQRQLGGQPPDVPGSAADEVLAILNNDKIKSPEKKRGIDKFLDPISDQMFHQLVSIGKLITDFHDTAVCDPASALDENFGVAVEFEQNEDDEGSDSDQVLDDLDEDDGDTMLNAAGAMQIGDELDDDDMHNSNEELAINAQDIDAYWLQRKVSQAYEDIDPQVSQELSLEIVSFLSESDDKDVENRLVTLLGYDNFDFIKLLVRNRLKIFWCTCLARAEGQEKRKMIEENMLSDPTLSPILEQLHATRVSAKDRLKNMEKSIRDEAKRLTEKQNAGINVARDQRVVKRDMESGWLKGQKQLLDLENLTFHQGGLLMVNKKCELPPGSFRTPHNGYEEVHVPALKDKPYETGEKVVKISDMPDWAQPAFAGMTQLNRIQSKVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSARLKEYNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRIYTQMVKLLTIDEIHLLHDNRGPVLESIVSRSVRQTETTKEHIRLVGLSATLPNYQDVAVFLRVHSGGLFHFDNSYRPCPLAQQYIGITVKKPFQRFQLMNQICYEKVMAAAGKHQVLIFVHSRKETAKTARAIKDIALANNKLTCFPKVESASLEILADHANHVKSNDLKDLLPYGFGIHHAGLTRVDRELIEGLFADKHLQVLVSTATLAWGVNLPAHTVIIKGTQVYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTEHSELQYYLSLMNQQLPIESQFISRLADHLNAEIVLGTIQNVREACTWLGYTYLYIRMLRNPTLYGLPADIMETDNTLDERRVDLVHAAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYVSHRTISTYNECLKPTMGDIELCRLFSLSEEFKYVSVRQDEKMELAKLLNCVPIPVKESLDEPSAKINVLLQAYISRLELEGLSLSSDMVYIRQNAGRLLRALFEIVLKRGWAQLAEKALNLCKMIDKHIWNVQIPLHQFPSIPKEILMKLEKKELAWERYFDLSSQEIGELIRNPKMGMQLHKCIHQLPKLNLSAHVQPITPTPFWLIVEDNGGDNILHHEYFMRKKQYMDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVSFRHLTLPEKYAPPAELIDLQPLPVTALRNARYESLYCAFKHFNPIQTQVFTALYNSDDSVLVAAPTGSGKTICAEFAILRNHQKAVSGDSNMRVVYVAPIEALAKERYKDWESKFGELARVVELTGETAADLKLLDKGEIIISTAEKWDALSRRWKQRKNVQQVSLFIFDELHLIGSENGHILEIIISRMRHMANHIGSNIRIVALSASLANGKDLGEWIGTSSHSLFNFPPAVRPLPLEIHIQGWDVAIFEARMQAMSKPTYTAITQHAKHGKPALVFVPTHKHARLTAVDLCAHSSAESGGTPFLLGSEDEMDTFTSGVNDEALKYTLKCGVGYLHEGLSDFDQELVTQLFLGGRIQVCVASSKMCWGRSLPSHLVVVMGTQYYDGRGNSHTDYPVTDLLQMMGHANRPLQDDSGKCVILCYAPRKEYYKKFLFEAFPVESHLQHFLHDHMNAEVVAGLIENKQDAVDYLTWTFMYRRLAKNPNYYNLQDVSHRHVSEHLSELVETVLNDLESTNCLAIEENIYLKTLNLGLIASYYYVTYTTIERFSSMLTQKTKLKGLLEILASASEYADLPSRPGEQKSIERLVHHQRFSVEKKVRYDDPHVKANALLQCHFSRRTVEGDLAADQREILLPAHRLLQALIDVISSNGWLTLALNAMELSQMLPHFTEELARRCQENEGKAIETIFDLAEMSTHEMQDLLQLPSSQLQDIVGFLRRFPNIDMAFQVLEGDGGSVTVQVTLEREMADLLQSEAGPVHALRFPKPKEEGWRLVIGDRSTDQLLAIKRVKLQKRARVKLEFAAPAEAGRKDYMVYLMSDSYLGCDQEYEFAVDVKDAGGD >OGLUM03G33440.1 pep chromosome:ALNU02000000:3:31564990:31567910:-1 gene:OGLUM03G33440 transcript:OGLUM03G33440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQAAFAASMFPQLAQRRGSDLAVVVAPLAPAPARVAMRSGGTAAAAAARGVRCRASLIEPDGGRLVELVVPEEGGRREAARREAAALAHQVRLGRVETEWLHVLSEGWASPLRGFMREAEFLQALHFNAIRGGDGAMVNMSVPIVLPLGDAQRRAIEASGARRVALVDAADRPLAVLSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLEMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDADHGKKVLSMAPGLEKLNILPFKVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRQSPPDGFMCPGGWKVLVEYYDSLTPSADSSKLREAVAA >OGLUM03G33450.1 pep chromosome:ALNU02000000:3:31587769:31588753:-1 gene:OGLUM03G33450 transcript:OGLUM03G33450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEANPSVAHSASPSSTTLSASSCSPPNTTAVSMVMASWIWPATRGKKKAGDDVVDRPSTMWWIGRQPRFLVSIKAIASAVRRGVVHATSDMTVSRLARLRNLIAVSDMSGKDGEVDLRGGVAAKTGWAEPARAAADRMMGVSAELAVRWRQ >OGLUM03G33460.1 pep chromosome:ALNU02000000:3:31588650:31591073:1 gene:OGLUM03G33460 transcript:OGLUM03G33460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVVFGGEQLEAERVVEDGEAESGIDGGVGGGRGWIRRRMRTDPARRLPLPPLVSLPRGDGDRVWIRRRWRRLRVDRVAAASSCGGFEGSGSSRSYPVAAAPPSPEWRLTAASLPWCWQQLPAPLAAPHLPDVEPTLLVYKDGESIDEEEDEEEEEEGIER >OGLUM03G33460.2 pep chromosome:ALNU02000000:3:31588650:31590582:1 gene:OGLUM03G33460 transcript:OGLUM03G33460.2 gene_biotype:protein_coding transcript_biotype:protein_coding METAVVFGGEQLEAERVVEDGEAESGIDGGVGGGRGWIRRRMRTDPARRLPLPPLVSLPRGDGDRVWIRRRWRRLRVDRVAAASSCGGFEGSGSSRSYPVAAAPPSPEWRLTAASLPWCWQQLPAPLAAPHLPDVEPTIFSCAYNPTN >OGLUM03G33470.1 pep chromosome:ALNU02000000:3:31593179:31599769:-1 gene:OGLUM03G33470 transcript:OGLUM03G33470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G04210) TAIR;Acc:AT1G04210] MRALKELELSIVPPRPSACSVLVEVAALKCLTKLTICHFSIRYLPPEIGSLRKLQELDLSFNKLKNLPNCITELGALKFLKVTNNKLVDLPSGISSLRCLESLDLSNNKLTSLGSVKLISMLTLQYLNLQFNRISNSCVIPAWVCCDMRGNGENNMKPGKLKSIAVVSNTSAESRSMNHTCNASRLCSHPEASANLKVHPTQKTKKGWKRRDCLQQQARQERLESSRSKLNDDYVDEMAVNMTEDESPLHDMENKSEMKGIDEEASLQDLPKETSSISEDLSCIVDDDSYGHIKDSGMMLQDHNEEEKPGLSMKSHGNCSCISGNTDILSRRRIRSVENELEDSASSVHDAAVVVEENPSETSKHSWKSKRHPDMDCNPKPSKCPRPFDECSKLSYKYSVQSFCSIDDHLPDGFYDAGRDMPFMPLEEYERSIGLYAREVILLDREQDEELDAIASSAQILLSNLKMPSCFVADEDAGQDLLRASVLALFVSDCFGGCDRSASLSRTQRAIVSLRKEQPFVCTCSAGSICDSTEASKRINNLYGHFDFTGLCDKSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKGNTWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIILDDQGYTPRSPFPSVSLCKEIETTASSSVYYCKIGAVDAAAKVRYLDTRCASSDEVKNFEYKLLAEVRMLGALRKHQSIVEIYGHQLYSKWVQADDDKEYKILQSTIMMEYVKGGSLKGYLTKLLKEGKKHAPIDLAFYIVREVACALLELHKKLVIHRDIKSENVLVDLDLERSDGTPVVKLSDFDNAIPLHSLSHTCCIAHLGTYPPNVCVGTPCWMAPEVLRAMRDKNQYGLEVDIWSFGCFLLEMLTLRIPYQGLPDSEIYDLIMRKKQRPRLTQELEAFWTLDKPITRLELGITSDAHAEKLRLLIDLFYQCTKGIASERPKAEAESVDETASQIGLRQDGKLCWVVLAGQQIWPRLV >OGLUM03G33480.1 pep chromosome:ALNU02000000:3:31604869:31607372:-1 gene:OGLUM03G33480 transcript:OGLUM03G33480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDPTVAKCPEGLRSPPVAGGAVAAGGAGALMKGFAGAHADAVTVSLGPAGALAYSAANQSPLVPRLFGAVNDIFCLFQGHIENIASLKQHYGLSKTATEVTILIEAYRTLRDRGPLPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSENHLVFSDNVDLLKASCGNSFAPFPKGCFYTTSGGLQSFEHPLNELKPVPRVGSQGQMCGSNYKVDSEAKKDSGIPRVGSAADWSNHF >OGLUM03G33490.1 pep chromosome:ALNU02000000:3:31608957:31625804:-1 gene:OGLUM03G33490 transcript:OGLUM03G33490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWSTLLSKVVFAGQQEQEQPPPPPPPPPGSSSSPLNRQKQGDPGQTTPRLSAADEGGSVGGGGVAAASGSSPSAASASPASSSFPDYIHVPIGNKGKNELELDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKEHSSVAELVTKLVEAHVFAFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSEITELGICPGSNLLYAVEVLVTQTIDKQPLLDSGILCCLIYILNSLLSPDESSQKSSPVGQEVSTSEKSKDWGPMLSRRLEIEASVVHTMKALASHPSAAPSLIEDDALQVLFHMVANGSLTVFSQFREGLVPIHTIQLHRHAMQIKVLLMAVKDFNPQNGDAAYTMGIVDLLLECVELSYRPEAGSVRLREDIHNAHGYQFLVQFALTLCSLHKNQVLQSSPKLASEDGVNPPHRSEQDTFTSDLSPQLSRLLDVLVNLSQTGLSENYVGKSMKSSHGKGTGHNRSRTPSVDKFADEILEINSPKVKDLEAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGGFPPALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTILSFFVKLLSFDQQYKKVLREVGVLGALLDDLKQNKLFFGEEPQNRTPKSAQRMSSASSFRKTVDNKDAILSPKLMASGSTKFPMFEDEGTITVAWDCLFYLLKRAEPNQQTFRSSNGVNTILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLIEILKSGMVSTLSGSQQKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTTLHSFQNDSENEETESSLLTHMKIFGFLMRAMTAAVYSNPVNRIRLHTILSSNTFYDLLSESGLLCVDCEKHVILLLLELALEVVLPPTSNLQVESISSENPEDESGFLSATSFGLSRLDKERIYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETINPFLEGSSPILNHALRIVEVLGAYRLSSSELRLLVRYILQLKVKCSGHLFVNMMDKLIQIEDVRQGSISLAPFIELDMSKAGHSSIQVSLGERTWPPVSGYSFVCWFQFQNFFRSHPKEAEKTSKGSYSKRNGQVMRIFSVGAVDDANTLYAELYLHDNGVFTIATSNSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQSSVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPAIRAKVSDLSWRLRCCYLFEEVLTPGSICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLELEVIAPSGSQRVDSAMKQGNSRLESSGIVWDMERLRNLSLQLSGKKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYVCNQCTIGDTVQTVGGIPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKDMQSLRGYHLLALFLHRRMSLFDMQSLDIFFRIAACGASFPEPQKSNMNRTASYASGISPESSLDDLTLPKFGDDMSSIGSHGDLDDFSAQKDSFSHLSELENADLAGETSEFIVLSNADMVEHVLLDWTIWVTAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFVIMTFDPPELTPNRQIVREAMGKHVIVRNMLLEMLIDLQVTINAEDMLEQWHKVVSSRLVTYFLDEAVHPTSMRWIMTLLGVCLTSSATFALKFRTSGGFQGLNHVLPSFYDSPEIYYILFCLIFGKPVFPRVPEVRMLDFHSLMPSDENCGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNNNLSHLNGTLVADLVESTPDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLTKMCPPFSAVCRRHDFLESCVDLYFSCVRSDCAVKMAKDLTSAATDEKCMHDDDNESLKDTFSNLPQDQEQSAKTFSIASFPQEQKSSSSGSSGMHNSFETAEVKADDSSNQASSTTFLNGQANQVVQSAHDQGQMSAPSSNGIADSHQPADSPTSASMNNIGSPVLSERSAHKAASTPTASPMAPFASWPGSAGSYSDGRQLTASPSMSSTISGIDLDSSPDLKTNIQGSPAVNTLFPINSKLLLDIDDLGYGGGPCSAGATAVLDFIAQILADIISEQLKATLFIESILECVPLFVDIDSALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPVGVLRTLEFLMSMLQLSNKDGRIEDAVPSGKGILSIARGGRQLDPYIHAILKNTNRMVMYCFLPTFLKNMGEDDLLANLAFLTETGRSLGIFKPSQEDYTVDICTVLQLLIANKRLVLCPSNVDNDLMCCFCINLMALLRDKRLTAQNLAVDLLKYLVVHRRPSLEDLLVSKPNQGQQMDILHGGLDKLLTGSTTAFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRKKDMGRKLREIAKLDSRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQQLVHERGIFPVRQLSTEPAWQLCAVEGPYRMRKKLEPSKFKIDTIHNVLASNLGLDDVKITKKEDGHMVMTSGSDTMSGLNLLTYDTERKDLDAADFASFKDEDDIFKGGSTVSPPIGWTDDKSSINEQSLHSATEHGAKSSSFSYHMTESVHGKSEFNSPRRVPSVKGTDTRTSEDKSEKELLDNGEYLIRPYLEPSEKIRHKYNCERVAGLDKHDGIFLIGELSLYIIENFYIDDSNCIYEKGNEDELSVIDQALGVKKDVLGSCDSHQKSPSTWGATAKVLLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREDVFKNLTAMNLPRNSMLDTTISASSKQDSGEGSRLFKIMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEGGEEEFRKRYDSWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSMENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENQFNLDLGEKQSGEKVGDVVLPPWAKGSSREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDSVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVLPHPLRYSAYLTHQEIRKTTSSVSQIVTYNDKILIAAANSFLKPVNYSEYISWGFPDRSLRILTYDQDKLVSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFFKDGTRRLLRMEKALCAHTAKITCIYVSQPYSLIVSGSDDCSVILWDLTSLAFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSVNGDCLAVVNTSQLPSDLILSVASTTNSDWQDTNWYVTGHQSGAVKVWKMVHYTSDEAANSKSKSPPSTLGGMSLNGQTQEYRLLLQKVLKAHKHPVTALCLPPDLKQLLSGDASGHLFSWSLKDDSFKVS >OGLUM03G33500.1 pep chromosome:ALNU02000000:3:31637270:31643492:1 gene:OGLUM03G33500 transcript:OGLUM03G33500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLHGTALFIRPSAYQEVKSSPKHAIVPHYNLLGCSAFYNPVEGHHVQKPHIVPSCKVNFTRASQYLYRSLSERTTRHWLHRFHVNASSDEDFRSSRNIAISLFKRYKNVIDRGGGDNLKEFVSAGVNAYALGCTEEGLRKELMDIEDSGLEIEALRSNGGGTSLTFKVHSFEMKSIKSHWQDMKLYVRLPVKTLQLEQMAVTGSSEEPSVVASRMQLVFSTLEVVSPQWPRV >OGLUM03G33510.1 pep chromosome:ALNU02000000:3:31639686:31643255:-1 gene:OGLUM03G33510 transcript:OGLUM03G33510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:emp24/gp25L/p24 family/GOLD family protein [Source:Projected from Arabidopsis thaliana (AT3G22845) TAIR;Acc:AT3G22845] MAVGWRPAMLLLVVAVAAWRGEALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVYTLKGKSGDKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRRRVMFYTIAEYLAFMGASALQVVYIRRLFSKNVAYNRFITLLAIED >OGLUM03G33520.1 pep chromosome:ALNU02000000:3:31646540:31647100:-1 gene:OGLUM03G33520 transcript:OGLUM03G33520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMVVNSHAKATRERHYIVEAERCRDCLESAKEEYWARGRELQVPRSAPQGGGCRVAHQGCLLQCRQAPPRSSLPSLHAREAEMGNMGEGGDGSKHWSWLPGLELEETESRPCLGIAAVVVDSSIASPPPIAPTISREHGRRQLLEADGRGSPATALLNVVSPKLW >OGLUM03G33530.1 pep chromosome:ALNU02000000:3:31648183:31650317:-1 gene:OGLUM03G33530 transcript:OGLUM03G33530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPNIKKVVPSSSNLGVLRGRSIRLHLYLVS >OGLUM03G33530.2 pep chromosome:ALNU02000000:3:31649121:31650317:-1 gene:OGLUM03G33530 transcript:OGLUM03G33530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >OGLUM03G33530.3 pep chromosome:ALNU02000000:3:31649121:31650317:-1 gene:OGLUM03G33530 transcript:OGLUM03G33530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLRVIVKEEELDFAAAAAAGEGSPSSWAVGVMDLPRPMEGLGEAGPPPFLCKTYEVVDDPGTDTVISWGFAGNSFVVWDANAFAAVLLPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKELLKTIKRRRPPPSSPPSSSSSSSSSQHQQQPAAACLEVGQFGRDGVVNRLQRDKSVLIAEVVKLRQEQQTTRAQMQAMEERISAAEQKQQQMTVFLARAMKNPGFLQMLVDRQAGQHGARNRVLEDALSKKRRRPIEYLLTRNGETCAAGESAAMLAADGVAEPDGDTTPRGDGGGGGDTESFWMQLLSLGLEEKQREDGVAGGVQESNSGGADVDNDEEDDDDDVDVLVQSIYHLSPK >OGLUM03G33540.1 pep chromosome:ALNU02000000:3:31653831:31655297:-1 gene:OGLUM03G33540 transcript:OGLUM03G33540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAIGGGGRRRRLRVFFLPFFAKGHLIPMTDLACRMAAARPEEMDATMVITPGNAALIATAVTRAAARGHPVGVLCYPFPDVGMERGVECLGVAAAHDAWRVYRAVDLSQPIHEALLLEHRPDAIVADVPFWWATDIAAELGVPRLTFSPVGVFPQLAMNNLVTVRAEIIRAGDAAPPVPVPGMPGKEISIPASELPNFLLRDDQLSVSWDRIRASQLAGFGVAVNTFVDLEQTYCHEFSRVDARRAYFVGPVGMSSNTAARRGGDGNDECLRWLSTKPSRSVVYVSFGNWAYFSPRQVRELALGLEASNHPFLWVIRPEDSSGRWAPEGWEQRVAGRGMVVRGCAPQLAVLAHPSVGAFMSHCGWSSVLEAASAGVPVLAWPLVFEQFINERLVTEVVAFGARVRGGGRRSAREGEPETVPAEAVARAVAGIMARGGDGDRARARARELAERARAAVGEGGSSWRDIHRLIDDLTEATASPEPQLQ >OGLUM03G33550.1 pep chromosome:ALNU02000000:3:31658033:31660007:-1 gene:OGLUM03G33550 transcript:OGLUM03G33550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAMAGGMATGPGSRVTRYAKSTAASVTPVRPGKTHALSALDNAMERHAVYLVLYYRAAPELDREPLKESLSDVLSQYPAMTGRLTRPAAAAAAGGGEGGEGGGATAAVHHGWIVKCNDAGVRTVDATAAATLDEWLATASGEEEMDLAYFEPMGPDPYIWSPFYVQLTEFADKSYALGLSCTHLHNDPTAAVLFLNAWAAAHRRDSPYPPFLHSPALAAKSAAPPPEHPLLAAKSRGSPDTGGEMSSATFRFSAAALRALLSAVEPGTTPFAALAALFWLRRRAAAVPEEELWPAIEWLHARQAAGGEPFQMYGPELTCMALDHVPLYGAEFAAGAAPARAACRVGGASGEGLVIVLPSAEGESARDVAVTLPAAVTARICRDGEVLRYGADVVFGPKVDTQAS >OGLUM03G33560.1 pep chromosome:ALNU02000000:3:31668947:31670045:1 gene:OGLUM03G33560 transcript:OGLUM03G33560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEVLGVARGQKGAEDGDGAPRGAVVLSRQCRFREGGVEHEAAVHATRGGDNGGEGEVRVSIDGKRVAKVSCVGWGFRSNRATVLTDVLIEPMGWAVFGAPMGCHYCYFYDALHITI >OGLUM03G33570.1 pep chromosome:ALNU02000000:3:31685766:31689551:1 gene:OGLUM03G33570 transcript:OGLUM03G33570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKEFRGISPHRRASSRRTPPRKHWICIEDKFRAAGDYVSQKSSSVFGKKKVEPMVKDAAAPGKGGRLKMESSNAQPQDSAYSVPKNPSMTCCRKRTDGATFLEDLKDHIEEFIHASMDEHKTCFKHHPKDVWDVKGCRGAFGGCQGS >OGLUM03G33580.1 pep chromosome:ALNU02000000:3:31691772:31693592:1 gene:OGLUM03G33580 transcript:OGLUM03G33580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRPPPKGPEWAGGDAEAGMARPLYPMMLESPQLRWAFVRKVYAILSIQMLLTIAVASVVVFVRPVALFFVSTPAGFALYIFLIILPFIVLCPLYYYYQRHPVNLLLLALFTAAISFAVGLTCAFTKGEVILESAILTAAVVVSLTAYTFWAARRGHDFSFLGPFLFAAVMILMVFALIQIFFPLGRVSLMIYGGLAALVFCGYIVYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRASDS >OGLUM03G33590.1 pep chromosome:ALNU02000000:3:31708598:31709901:1 gene:OGLUM03G33590 transcript:OGLUM03G33590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSHETLRLIHELLPSPVDRRHMGQTLIVNQRIFTQQLSHRMPPRTGYRQQTPPWTRTHPRPPPAMTSRLQLSYSPRLPVSLLAQLLRLSNSSHDRYLAQSSQALGTLVRIIY >OGLUM03G33600.1 pep chromosome:ALNU02000000:3:31711977:31715539:1 gene:OGLUM03G33600 transcript:OGLUM03G33600.1 gene_biotype:protein_coding transcript_biotype:protein_coding PARRHDGTGATSFGSGGVGDGVPSLSRAVRPQRRRVRRRCRGGTHGVSRRLISLLLLVGERRGKPSSWLDLVSPCSVVAATSSPLSWPPHPPPKKSPTTGFIDDGDDVQ >OGLUM03G33600.2 pep chromosome:ALNU02000000:3:31712014:31715568:1 gene:OGLUM03G33600 transcript:OGLUM03G33600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMYSSSRVAHSARYGGTSSSSSSQRQTVPLSSAPSSTPKTCGHAAGNRIGSYSAKTRASAR >OGLUM03G33600.3 pep chromosome:ALNU02000000:3:31711898:31715730:1 gene:OGLUM03G33600 transcript:OGLUM03G33600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTAMMYSSSRVAHSARYGGTSSSSSSQRQTVPLSSAPSSTPKTCGHAAGNRIGSYSAKTRYALFTHED >OGLUM03G33610.1 pep chromosome:ALNU02000000:3:31717189:31718497:1 gene:OGLUM03G33610 transcript:OGLUM03G33610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSHLKIPSAKAESRSSSVGGGSAGGGGGQRCVVVVEYQHGGTLKTLLYKHRDKKLPYKKVVQLALDMARGLSYLHGEKIVHRDVKAENMLLDRKKTLKIADFGVARVEAGADGDDMTGQTGTIGYMAPEVLQGRAYDHKCDVYSFGVLLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPKAMADIMARCWDANPDNRPEMSEVVALLEKIDTSRGKGGMTPVPEHASQGCSCFGFSRGSA >OGLUM03G33620.1 pep chromosome:ALNU02000000:3:31726847:31727086:-1 gene:OGLUM03G33620 transcript:OGLUM03G33620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGAALGCAPQLVKQEPLDGRHRTRHQLAGPPSPSFRLLIAGEEGDRERRIWELGFCPSRRFIRREDDRGRLSDRTA >OGLUM03G33630.1 pep chromosome:ALNU02000000:3:31727619:31728408:-1 gene:OGLUM03G33630 transcript:OGLUM03G33630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLAVPEINFKQGDANSFDPLCTIGDREDPVACPLPTTTSTSRPVTTSPAFEWRDPPGGGRLPPLDLCLPRASGLMPRPRRSPLCRLRRLRVSAGRLLLRSAHDFGPGGVALGFDPAPSCANDGGYILCDAWLRTAFLYPPCSDDYRLLCAGNVGMIPRTAADGKHRIRLVAELQPDMRFVPLPEGCKLPRTPAMRTPQAKYRCVNVSDGELTFVQIHDDAAGAQSMIMISMWTLQF >OGLUM03G33640.1 pep chromosome:ALNU02000000:3:31754419:31763285:-1 gene:OGLUM03G33640 transcript:OGLUM03G33640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent RNA helicase, mitochondrial (SUV3) [Source:Projected from Arabidopsis thaliana (AT4G14790) TAIR;Acc:AT4G14790] MAVAAALLRRRALYSALASPSWLHDTSSCYICSISGTHSLVNHPNLRLQRGYHNSGKFDLTDLTHPHIWYPNAREKKRNVFLHVGPTNSGKTHNALKRLEASSSGVYCGPLRLLAREVAQRLNKANVPCNLITGQEREEIEGAKHSSVTVEMADMTTEYQCAVIDEIQMVGCRSRGFSFTRALLGLCSDELHVCGDPAVVPLIQRILEPTGDVVTVQYYERLSPLVPLKTTLGSFSNIKAGDCVVTFSRRSIYMLKRRIEMGGKHLCSVVYGSLPPETRTKQATMFNDQDSNLNVLVASDAIGMGLNLHISRIIFSTLEKFDGICNRELTVAEIKQIAGRAGRYGSKFPVGEVTCLNSDHLPLLHSALKSPSPIIEERFLDKAKLSPDYFIADCEDMLKVAAIVDELPLGLYDKYLFCLSPVDIQNYAKKGIVRLKEIFTPGTLQVPKSHNQLKELESIHKVLELYVWLSFRLEDSYPDRELATSQKLIEEYLERSGWQQNGRKDFLQKPKRLHQEYDASQLRKYFQEIDVRSK >OGLUM03G33650.1 pep chromosome:ALNU02000000:3:31763723:31768106:1 gene:OGLUM03G33650 transcript:OGLUM03G33650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50230) TAIR;Acc:AT5G50230] MTMVEAEAGKEAIRRALRSLRRRHLVEEGAHCPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLLIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSAWCGLGPLATADKHHIYIWT >OGLUM03G33650.2 pep chromosome:ALNU02000000:3:31762817:31768106:1 gene:OGLUM03G33650 transcript:OGLUM03G33650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50230) TAIR;Acc:AT5G50230] MVEAEAGKEAIRRALRSLRRRHLVEEGAHCPAIEALARPFAAQAVEWKEKAEKHELELQQCYKAQSRLSEQLVTEIEEGKASKALLKEKETLITTMQTELEQTREENTQLKQSLEEKTSALDLLIQEHQAVKAELEQALTKQKVAEDENRNLIDRWMLEKMKDAERLNEANAMYEEMVLKLKSAGVGGIQHNALQEADGIIRRSEAGYMDIMETPIPSTCRITIRAHDGGCGSIIFQHNTDKLISGGQDQTVKIWSAHTGALTSTLQGCLGSVNDLAVTNDNKFVIAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSCVLASSSNDHTIKIWDLQSGFCKSTIMSGSNANSLAFIDGDTLCSGHRDGHLRLWDIRSAKCTSQTFAHLDVSSVSVSRNRNFILTSGKDNVHNLFDPRTMEVCGKFKAMGNRVVSSWGRPCISPDENSIAAGANDGSVYIWSRLKKDGVPTILQGHSSSVVSSAWCGLGPLATADKHHIYIWT >OGLUM03G33660.1 pep chromosome:ALNU02000000:3:31768903:31769745:-1 gene:OGLUM03G33660 transcript:OGLUM03G33660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSKVQEMILRRRSRSMNSGGGGVQQSHLSGQHASSTTVSCDGGGGGAGGKSATAACALLGSPRLLHCASLPSGSHAKNGGGGGGGSEPETPYSMSPTSVIDAAAAFAPSPDAGGSKRRPWCDWGAGTHGLADALDCTGDDDDGHRQSVLAAASRAVKLQAQPPQQQHPLLRSCSLDRRVEFGVKNKSSWLPLRVAGGSGDSAPAAESPAEMQMEPSSEDYTCVISRGPNPRTVHIYGDRVVEGGGGATTAVALAGESSPRPINLPAPAREARGSLSL >OGLUM03G33670.1 pep chromosome:ALNU02000000:3:31769367:31775856:1 gene:OGLUM03G33670 transcript:OGLUM03G33670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDRGRV >OGLUM03G33680.1 pep chromosome:ALNU02000000:3:31774744:31778635:-1 gene:OGLUM03G33680 transcript:OGLUM03G33680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03110) TAIR;Acc:AT1G03110] MEDAAVEEAEVSGGAAEFAPALVAAHPLGRSVAVAVGPELRVFDLKASSAVSLSDNSGGGSHSDAIRAISFSANGALFASAGDDKLVKVWKTDSWCCIRTITSEKRVSAVAISNDGTYVTFADKFGVIWLVTMGESGGEQEPTDNKPVSIFGHYCSIITSMKFSPDGRFIATADRDFKIRITSLLKKPLRGAHEIQTLYRALPSHAFQKVRAFFCLEAVRLWDYINGCLLDTCQVRDKVGELLEPNETEDNNLSVADMCPTNDGSLVAVAIQSLNGVMLLACDLIAKKLSFLKVVTTEKCYIPTSLSSSFSADLLWTVMGASNMPNQATSQLCTRLKIIPHFKKDPLAGCDHVPTVLEDSEVPHGEKLLLALQGSLDIAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKNRNARRGIFAASAITGLSPASDLPVEFHRKTMQFELDLDEILFPGSKNRYRCCLLSLLSALLLVSSLMGKGNDRELEFIPNPGEDG >OGLUM03G33690.1 pep chromosome:ALNU02000000:3:31782096:31782518:1 gene:OGLUM03G33690 transcript:OGLUM03G33690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMVAVVMSCECCGLEEECTGEYVGGVRAYFGGRWLCGLCSEAVKYEAGKSSPRAPVDVEEAVRAHMAFCRMLKRGGPAERVAEGMCQILRTASWKQRRRASGSSSSSSSSSPSPSPRAAPERHHHHRAPSTLSVQLI >OGLUM03G33700.1 pep chromosome:ALNU02000000:3:31796960:31813717:1 gene:OGLUM03G33700 transcript:OGLUM03G33700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREMAARRAPGAATTPPTTATRCSTTASSCSSNSNPSVSAAAARTPPPTIVVPWDGVAGGGGCYYPGCRKDANCACEMCLASINATRDLLPPEAASARRWFAAAARDRKPAPRPLFGGADTTPHGSSVTEPWTPPMRSTAKSRRPRQQQEAAGGGGGGAGRKTPGGSHDWALYAATVLGFLLLLWVDSGLVPEIAARGFGPKLSPEAVARLATEARLAPGGLSHKLRALERMLGQLVGGEKGISNCSSHDSVWQFEQNDQRVFYWRCAVYKSAAEEVTVWGSPLRTSGLLPRALPARHLTILSGKITEWSDGRVWPTVRASNGSSWSYGGRSSPAVRLEAETWVVEYQRSVVFEGTRLIPAAAELVASRCSAVARRARQRLARRRFHGGAGGIQANPT >OGLUM03G33710.1 pep chromosome:ALNU02000000:3:31815268:31821224:-1 gene:OGLUM03G33710 transcript:OGLUM03G33710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLLSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >OGLUM03G33710.2 pep chromosome:ALNU02000000:3:31815270:31821224:-1 gene:OGLUM03G33710 transcript:OGLUM03G33710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLLSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >OGLUM03G33710.3 pep chromosome:ALNU02000000:3:31817696:31821224:-1 gene:OGLUM03G33710 transcript:OGLUM03G33710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKGKYSPGASGSGSGSGGGNAGGGPRPGGRETVVWSEKMNEYLIDALLHQQAIGNRGEGRFHSAAFDSIISGVAERFGVAIDRSNIKNRLKSIKENFHECENLFQNQSGFKWSAMNKKFYADPTVWREYIERKPEARKWINKPIDHYDRLLELFGKDRERCLASGSPKSPPAKKARRDPPKEKPQRTPTNGLVSPIVKSLKDMESQRTPTNVLLSPIIIKSSKEMVNENEVPSEAVTEINIAEEQDLSEKFTSENGAMPVEGMPYAPENWPCPGDQWSWKVGNRASATGHWLDRYLTPPSRFRDATGKKTSFTSRLKVEEFIKTEFPDMDPNTFFSMFIWKIPAKGHCIQRVFPSGAVQPPDQRLGSDISSLAVVRQRSLGCSGFSQAWS >OGLUM03G33710.4 pep chromosome:ALNU02000000:3:31815270:31817482:-1 gene:OGLUM03G33710 transcript:OGLUM03G33710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFGRTNSLRRIHERYLLPPSESNTDAGGGEVRRVFCPYARQADPAGPCKARNNLCKLEREGFIESSPAQDCDLCCKMPDFCRECCCVFCRRVVDYSFGGYSYIKCEAVLEENKICGHIGHLDCALRTFMAGTVGGCIDLDMQYCCRRCDNKTNLMLHVEKFLEICQSLQSRDDIEPILNTGLCLVRGSRQTRAKSLESIMRSAMAKLKCGVDLAEVWKMEDNGINSTPSAEVSPATGGVTVLGIQQAPEEDAPPGFPYYVDLADNDLQRAVENLPAYITEDQHTLSVRFEDSIDHALKELKRSQEAEYKLAEQKLYSQKDHVLSLYRQLDSERSVLADPMPLADDDGSLYSTLITNVMKRVDQVKSEEEKLKVMLGIADGFGKTPSGVIQEHFGLPADTAN >OGLUM03G33720.1 pep chromosome:ALNU02000000:3:31821510:31821955:-1 gene:OGLUM03G33720 transcript:OGLUM03G33720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNVAVAPCQLDRDVADQRSVDLTTSLPHPHPTEREYGYSHTLSLASRSLARSFPSSSTLLHLSLRFFAGKP >OGLUM03G33730.1 pep chromosome:ALNU02000000:3:31824539:31828514:1 gene:OGLUM03G33730 transcript:OGLUM03G33730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >OGLUM03G33730.2 pep chromosome:ALNU02000000:3:31824539:31829395:1 gene:OGLUM03G33730 transcript:OGLUM03G33730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPYVYQSQEGSVTAFQISGGDVQVLQVMVKSQEKLTVKPGTMCYMSGNIQTDNNYLPENDGGVWQWIFGKSISSSVFFNPGSDDGYVGISAPFPGRILPMDLANFGGELLCQADAFLCSVNDVSVTSTVEQRPRNIEIGAEVILKQKLRGQGMAFLVGGGSVMQKILAPREVITVDAACIVAMTTTINFQLKTPNQPRRVVFSGGNQLTASLTGPGVVFIQSLPFHRLSQRIASRSVAAPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >OGLUM03G33740.1 pep chromosome:ALNU02000000:3:31828997:31829905:-1 gene:OGLUM03G33740 transcript:OGLUM03G33740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGQPRRPPPDGSGARPVMYGDVFDVSGELAGQPVAPRDAAKLQSAEEAVLGEAQKGGPAAAMQSAAAINARAGHVGRAQVTGAIADEGVAVAETELPGRRVVTESVAGQVVARLATPPRVVATQPSGALDKDAVTIGRALESVAAATAAAAGKPVDQSDAAAIQAAEMLATGRTVTVPGGVAAAAQAAADHNAAPAARDEDRIKLRDVLTGARGKLPADKAATREDAERVASAEVRNRPDMATTPGGVADAVTAAARLNQERPTRSF >OGLUM03G33750.1 pep chromosome:ALNU02000000:3:31831364:31832001:-1 gene:OGLUM03G33750 transcript:OGLUM03G33750.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVTSSCLFILTTRRPRRGGRRRVRQQGQDRRGAGGGGEGGRGPARARVRRGGDKRRGGARGRGRRPRRRRRAGAGGGGRQRRCRAARGGHGDHHRRRPRVERDGDAADGEGGDGGGRGGGGGSGGGEGPRRGDQALRCERGARRDGEAQPGGRRVPVEEERAATGSRRIYTRSGRAVRPNL >OGLUM03G33760.1 pep chromosome:ALNU02000000:3:31833229:31841505:1 gene:OGLUM03G33760 transcript:OGLUM03G33760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNGSKRSPVPETKDGAMKDLEKSTSLEVDDSTIDGDVDLGGGLTVVGRKRKDGRSSVDENGASTKRILRSNSMKMHVDAETAGGVALDVCKGDILEKKQCDIIAEGDRGGVLTVDTCNAEEDGEVTGAVVSDAVVEAVRCSANNVESLGLAEVEIAEGNGLDADIQESDGEMDKADDKLSTPSEEQNESGGGTSVGGINDSQENKGVNGLCQGEVIDPLATANGDELSMGLSRSASGRESIEQEDTVMCASDDQKVENHCQFDDKHKEAEISQIELAVDNHIMLTDCTNQKKGIDSPVNETKGDSTPDIVFIRRKSITRKTCEAKQVKSEDEVRFEKRVTRSATVRQREVSASMCVGATNDANLESKERKEDVHHYTRKVGSTVRSKVHHTGVAECDTDTKKKLKGNVTTRRNSDAIANDDPPSITQNKESKTQMKIDIKSQPLTRRGSIVNKTEDAVSGLDQNICSSAITDKNDIELTDSEGVKSENKAAVRKSILSVGAKIVASKKRILESGLDKTSGESPVAIPSLKKARDTSSDTELEQPKKSSGKKLTRNNCGSSKKGMSTRRQHQSQTAKLSTSVNCSNKNESKLSQNESDDDGTGSDTSLKNTYVRRTRSGGVVPKKQEDSSESEEPIILRKNHQRGKYSGKRAGSTPRKVKAPKGNRKEVKASSLKSSGPSEQINTGSLREEKQKISDHIKGMLLDAGWTIDLRPRNGRNYLDSVYIPPSGKGSYWSVTKAYAVFLEGMESEKKGRAKDQRPSKKSVGSPGKSHVSEEILSKLKRIVVNKRRTKVELQKLKKRKHGLLKKQKTSKRNSRGSKNKISNSRKLHLGSERKKRGGCALLARGSNKDGGSSTNGFVPYEWKRTVLSWLIDLDIIDINAKLKCVDETHSKVLLEGVTTRDGINCRCCSKVFTVLEFVAHAGGPVSKPYRNVLVDGLDTDLLHCLINAWDKQSDSERQAFFPISTETDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLELEEHSRQDAQDIAEVDSSLCTCSQCEEKYHPGCSPETTNTSNVSSQAGDLFCQQSCRLVSIRFLGSNDIYGTVNSALMQSLRYIYSLFSCDIHYRGKLFEGLRNLLAVKKDLEPEFSCRIIQRIHENVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYNCGSNFVRMDFRGFYIFVLERGDEIIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAIAELVDTWTSKFGFSPLDVSEKQEVKSTSMLVFPGTGLLQKPLLKKTSPEENSSSQEVDGVFSELESGKTSNLANEDSLCSANAETQGSAAPCYGDNSKDASACNGNVSQ >OGLUM03G33770.1 pep chromosome:ALNU02000000:3:31842666:31844048:-1 gene:OGLUM03G33770 transcript:OGLUM03G33770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II 11 kDa protein-related [Source:Projected from Arabidopsis thaliana (AT1G05385) TAIR;Acc:AT1G05385] MPSPPPHAPMSLMASRCYCCSSPPSPSPKQRRDAKSKQQQQHIRGARLAVTTRRALLAAAIVVVGSGTAGRARAAEEGGGGEGEGVVGAIKSIFDPNERTKSGKVLPKAYLKAAREVVRTLRESLGEDDGGDVAKFRRGADAAKESIREFLGGWRGQQAVAAEESYVALEKAIRSLAEFYSKAGPFASLPKDVKDKILANLNTADAFL >OGLUM03G33770.2 pep chromosome:ALNU02000000:3:31842666:31844048:-1 gene:OGLUM03G33770 transcript:OGLUM03G33770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II 11 kDa protein-related [Source:Projected from Arabidopsis thaliana (AT1G05385) TAIR;Acc:AT1G05385] MPSPPPHAPMSLMASRCYCCSSPPSPSPKQRRDAKSKQQQQHIRNISPPPSIFVSRGGARLAVTTRRALLAAAIVVVGSGTAGRARAAEEGGGGEGEGVVGAIKSIFDPNERTKSGKVLPKAYLKAAREVVRTLRESLGEDDGGDVAKFRRGADAAKESIREFLGGWRGQQAVAAEESYVALEKAIRSLAEFYSKAGPFASLPKDVKDKILANLNTADAFL >OGLUM03G33780.1 pep chromosome:ALNU02000000:3:31847342:31860875:1 gene:OGLUM03G33780 transcript:OGLUM03G33780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEGLVNGYQLEEHKILIEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKSSSSAGKGSNRSRTTTGNLFSRMVQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQAPRAVRVRSSRGSLKSVHSNSLSRKTSSVHWQSIIKCLNHTLETMNNNHVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSITTPNRSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >OGLUM03G33780.2 pep chromosome:ALNU02000000:3:31847342:31860875:1 gene:OGLUM03G33780 transcript:OGLUM03G33780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEDYTREEIDWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKSSSSAGKGSNRSRTTTGNLFSRMVQNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQAPRAVRVRSSRGSLKSVHSNSLSRKTSSVHWQSIIKCLNHTLETMNNNHVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSITTPNRSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIVC >OGLUM03G33780.3 pep chromosome:ALNU02000000:3:31850185:31861338:1 gene:OGLUM03G33780 transcript:OGLUM03G33780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin-like protein XIF [Source:Projected from Arabidopsis thaliana (AT2G31900) TAIR;Acc:AT2G31900] MASRGTPVNIIVGSHVWAEDPDDAWIDGEVVEIRGGDATIVSTDGKTIVASLASIYPKDTEAPPAGVDDMTKLAYLHEPGVLHNLACRYGINEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAIADACYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKFKVGDPRSFHYLNQTNCYEVANVDDAREYLETRNAMDVVGIGQEEQDAIFRVVAAILHLGNINFSKGQEIDSSKLRDEKSVYHLKIVAELLMCDEKALQDSLCERVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATNIIGVLDIYGFESFKINSMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLSTTEPHYIRCVKPNAVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAAELVDSSDEKAACAAICDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLTRKEFINLRKASIQSQKFWRARLARIFFEHMRRNAASIRIQKHARTHSARKSYLQMYESAIVIQTGLRAMAACNEHRFRRETKASIIIQTRWRQHKAYVDYKKQKRATLILQCLWRARIARKELRKLKMEARETGALKEAKDKLEKRVEELTWRLDVEKHLRIDLEEAKGQEISNLKSVLQEMQEKLSEAHAAIEKEKEDAKLAIEQAPPKIVEVPVVDNAKVELLTSQNKELEDELVTFRTKAEDLEKRLLEVQKESDELSREILEKDSKLNQLQEMIERLETNLSSLESENQVLRQQSLLASADDDKSKQIESLESKIAILESENQLLRSKSSVAVQAVITPEVIQPSAMEEEVVVPPIKNLSKQKSLTDRQQENHDVLIKSLAEDRRFDNGRPAAACIVYKSLLHWHSFEAEKTNIFDRIIHTIRSSIEHAESSTELAYWLSTTSTLLYLLQNTLKSSSSAGKGSNRSRTTTGNLFSRMNARSSSLGSGISSGYSGMVGRPDTASKVEAKYPALRFKQQLTAYVEKIYGMIRDNLKKEINPFLIMCIQAPRAVRVRSSRGSLKSVHSNSLSRKTSSVHWQSIIKCLNHTLETMNNNHVPPMIIRKTFNQAFAFMNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSVTTEEYAGTSWDEFQHIRQAVGFLVLHQKTHKTLEEITDELCPVLSITQIYRIGTMFWDDKYGAQGLSQEVIGKMRTMATDDSITTPNRSFLLDDDSSIPISLDDIARLMLDIDLSDVEPTPLLRQNSQFHFLLQHHATQTDGIPEEGSRSSWAVQLPPDASVGAEANPGPATTNHGKHTS >OGLUM03G33790.1 pep chromosome:ALNU02000000:3:31864507:31868859:1 gene:OGLUM03G33790 transcript:OGLUM03G33790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPGAGDQATDMMQKLSLESKKEGAAPDATKKPAGMPYGSASAGDAQNAASPVDRSITPLLQEAVNANILYQTNGYGPSAYYYPTGYDGSANEWDSRYAAHDGTEMPPSVYGDMYGYGYAPYGPYPSGSPVPTVGHDGQSYGAQHYQYPGQYYQQPAPTNASHGVNAVNSQSEMPSVAAHQARVPVESAKASANGTANGMANTNSSSLARKQTHQNVSVANNGSYGGGTLQGGPSANNYGHSGLHSPVQWYDGPVYSNGHQRSNTNSTSYGSNSYSAKNQSQRPTANLMGMHAQIPSSGMGLTSPSYHTRMYPDNRLYGQYGQYGNALKTGLGFGSNMYNSRNNGRWGIVDSKYKPRGRASFGFGSENQDGFTELNRGPRSGGFKHQKQFGPSVTIAVKGQALPSVGKQENSAIPDKGQFNQEGFPVTYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSECPVFLFFSVNTSGQFVGVAEMVGPVDFEKTVDYWQQDKWNGCFPIKWHVVKDVPNNILKHITLDNNDNKPVTNSRDTQEGSLDNASHEKEKNAIDGKSTAQKQALSKEGTPIVGEMLNASKSAVESSVTNGN >OGLUM03G33800.1 pep chromosome:ALNU02000000:3:31873865:31874095:-1 gene:OGLUM03G33800 transcript:OGLUM03G33800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEEDVNPLPSSITDVAVALRALKPHLLVAGWEGRGPSELTAAEARERRELAMVELKLPATVADLRLDPGGAVEL >OGLUM03G33810.1 pep chromosome:ALNU02000000:3:31874770:31875573:1 gene:OGLUM03G33810 transcript:OGLUM03G33810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVMAPLMLHGRVAIVTGGAGGIGSAVSRHLASLGARVAVAYIGDPAPANELVSGINDGYLRAEEEEKRGPRAIAVEADVSDAARVRALFDAAAAAFGGEIHILVTTAAVLDFAYPALAETSEAAYDAMFGVNARGTFLCCREAANRLARGGRGRIVTFSSSGVGSLRPGYAAYAASKAAVEVMTKILARELRGTGITANAVAPGSTGTPMMYTGKTEEDMARYIAEAPLGRLGMPDDIAPLVGFLASDAGGWINAQVIRCNGGTI >OGLUM03G33820.1 pep chromosome:ALNU02000000:3:31878004:31884417:1 gene:OGLUM03G33820 transcript:OGLUM03G33820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDERAHGIMGQTKEQIKYLLGVPSHGFDRSNMDDDESASERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKQEGKIPPDEPSILPAISAFSRDDKRRPGFSTPQATKKFREREWDRERGMDFDLMPPPGSNKKTTAPMDVDQTIDPNEPTYCICHQISYGDMIACDNDNCEGGEWFHYTCVGLTPETRFKGKCAKQDSGSTAHVLVRRSERFQKMHEGILSNESSSSSPSASCAPAPPPPPPERTASNPLVAQRRASVVADDDRDMKSGGTMANSESTGSMARWVDTAADRATGDGEAAFLAVNSVASASGCSVTTHLASTPLASGGAAEASSTSMEPVGLPLTSRSDHAPLGDHGGIPSPPPPAAPPQRRSSDTPPRMTARPPRPSATVVWITSDVTRPPGSSTCAALPLRSSILTAQLPPTASKLTPWPANSAAR >OGLUM03G33830.1 pep chromosome:ALNU02000000:3:31883071:31884617:-1 gene:OGLUM03G33830 transcript:OGLUM03G33830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 5 [Source:Projected from Arabidopsis thaliana (AT1G55370) TAIR;Acc:AT1G55370] MAFCTPTATTPPHATPPPLTTLWKQLAFCNSSRLAAAAAAAAAAAGGQXXXXXXXAAAAAPAPPNVDYLAAEFAGHGVSFEAVGGSCAVKMELRNGSAAHVLLPGGLVTSYKPAMWHGAPTEVIHTTVAEGLGGRAVIRGGVSLDLRCGGAAGGGGDGMPPWSPSGAWSLRDVRGSPTGSIEVELASAAPPEASGVEARCVVTLHPEALATEFTARNAASPSPVALSAAVSTHLRVSTPDATYAVGLQGSDYRAIDPVLSEFAIVPPDFMSRSSSATTLARRWATKGFDAVLSGGGGGGAGAQEADGEEDDDYKRMTEEMRNSICVQRRGFEEVYVFSPGSKYQWYGKYAYVCVGPAMLEPIVLSPGATWSGAQYLRNPNL >OGLUM03G33840.1 pep chromosome:ALNU02000000:3:31889607:31893945:1 gene:OGLUM03G33840 transcript:OGLUM03G33840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARATRRRAEEAEMEAEAGTEGDDGDGGGSSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTGHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSRCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTDYHGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLESTIDPSKDPRKSGVPLVAPSARTDDPPPKAHAPSVNGGLTRNQKKKIRRKAKRAAAATSEGSGTVASGDTDGSDDRGNLSTANEGSPNQDGDKKEEGEGSRRGSKGTRKKMALEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDSYDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLVEKYEFSDIDANGMAEFLVPILDFVPEKRPSAAQLLQHPWLDVGPLRRQPKRPSDLTQNSSDDGVSEKQRIENEERDAMAVNLGNIAIDGASSKTTEDPQASTMQNKTNATPAK >OGLUM03G33850.1 pep chromosome:ALNU02000000:3:31894227:31895083:-1 gene:OGLUM03G33850 transcript:OGLUM03G33850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinone reductase family protein [Source:Projected from Arabidopsis thaliana (AT4G36750) TAIR;Acc:AT4G36750] MGKGGGCIPSKRHRAAAAAAAPASHSHQRERTSIPAPAAAPRPVRIYVVFYSMYGHVRLLARAVARGVGSVPGARAILFRVPETLPPAVLARMEADDGGGGGDGEDVIPVVDPDGLPDADGFLFGFPARFGAMPAQMQAFFDSTVPLCRHQRLAGKPAGLFVSTGTQAGGQETTAWTAITQLAHHGMLFVPIGYTFGEGMLEMGELRGGSPYGAGVFSGDGSRPPSELELALAEHHGKYMATLVKKMVHGAS >OGLUM03G33860.1 pep chromosome:ALNU02000000:3:31895238:31896477:1 gene:OGLUM03G33860 transcript:OGLUM03G33860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPDEPEKAASGAGAGDPPPPPFLEVKCRSSGEVRRFAFGTTARYALHAVNRKLAPGAPAALHVEAVKDGEEPVSFGPAAPLADYGDGWKLQTITEQDAPGYYQTPASDTRRDDTKQSAKNPRDQETMAAYITKIVLAFVFIFLLGGLFTYLLETLPDMFQPASEPQPL >OGLUM03G33870.1 pep chromosome:ALNU02000000:3:31896985:31900986:-1 gene:OGLUM03G33870 transcript:OGLUM03G33870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin hydrogenases [Source:Projected from Arabidopsis thaliana (AT4G16440) TAIR;Acc:AT4G16440] MASSSSSASSRFSPALQASDLNDFIAPSQDCIISLNKGPSARRLPIKQKEIAVSTNPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFITRINSDKAVIVSVSPQSRASLAAFFGLSQSQVFRKLTALFKSMGVKAVYDTSSSRDLSLIEACSEFVTRYHQNQLSSGKEAGKNLPMLSSACPEKTLGSFILPYISAVKSPQQAIGAAIKHHMVGKLGLKPHDVYHVTVMPCYDKKLEAVRDDFVFSVEDKDVTEVDSVLTTGEVLDLIQSRSVDFKTLEESPMDRLLTNVDDDGQLYGVSGGSGGYAETVFRHAAHVLFDRKIEGSVDFRILRNSDFREVTLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPAKGQSAKDLIQLLEDVYIQDVSVSNPFENPIAKRLYDEWLGQPGSENAKKYLHTKYHPVVKSVASQLQNW >OGLUM03G33880.1 pep chromosome:ALNU02000000:3:31902295:31908761:-1 gene:OGLUM03G33880 transcript:OGLUM03G33880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGILLLPLSSSPSRAPRPPVPLAALLVYHRRLDVFALRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPLNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVAKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >OGLUM03G33880.2 pep chromosome:ALNU02000000:3:31902295:31908761:-1 gene:OGLUM03G33880 transcript:OGLUM03G33880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G04895) TAIR;Acc:AT5G04895] MRGGLRRGLGILLLPLSSSPSRAPRPPVPLAALLVYHRRLDVFALRSFCSSGGGYAVEQFSDDEYDHEYEDHRPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSEMYGKVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLPDKCGTGNGSEMAEKAENVNLDEQQDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKEKERLLAAIARNQVIVISGETGCGKTTQLPQFVLESEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGIKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTYPVRAHFLEDILERTGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKTSSFETYGSRTRDSLSNWNPDCIGFNLIEAVLCHICRKERSGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKPPLNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPAPLAVQNAVEFLKMIGALDENENLTDLGRYLSMLPVDPKLGKMLIMGAVFRCIDPILTVVAGLSARDPFLLPQDKRDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDAGLVDSDANTNNSLSHNQSLVRGIICSGLFPGITSVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVAKGSMAGHLKMLDGYIDLFMDPSLCECYLQLKEELDKLVQKKLEDPSFDIHKEGKYILYAAQELAAGDLCEGRFVFGRETSRARLSSSDDTKGNIIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAIVEFKGMQFAGKPKRNKQLAERDAAIEALGWLTQTSGTKLQDDGDDSPLDLTDNMLKLLSRPRRRSRNNSRK >OGLUM03G33890.1 pep chromosome:ALNU02000000:3:31911471:31915671:1 gene:OGLUM03G33890 transcript:OGLUM03G33890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G32450) TAIR;Acc:AT5G32450] MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTDQQLVVSEVVGEVTSTAPNADLDQPTEANASPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDKRVGISEKINSGITVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKGPAVVA >OGLUM03G33890.2 pep chromosome:ALNU02000000:3:31911471:31914391:1 gene:OGLUM03G33890 transcript:OGLUM03G33890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G32450) TAIR;Acc:AT5G32450] MEGERRAVRTVRVRNISDLAGEREVREFFSFSGEIEHVDIRCDGVATGRTAYVTFKDPKALEIALLLSGATIVDRVVNITPAEDYIYIPVTDQQLVVSEVVGEVTSTAPNADLDQPTEANASPTTGRVYVSKAHDVMTTVIARGSAMRQDAVNKAKAFDEKHQLRANASAKINSFDKRVGISEKINSGITVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQIAVSNLTAKVSQ >OGLUM03G33900.1 pep chromosome:ALNU02000000:3:31916816:31918342:1 gene:OGLUM03G33900 transcript:OGLUM03G33900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAPEWVEKGDNAWPLAAATLVGLQSVPGLVGPRTEKDREAFPPNNVLLTLAGAGLLLWMGWTGFNGGAPYAANVDASVTVVNTHLCTATSLLVWLLLDSFVFGRLSVISAVQGMITGLVCVTPAARLVLHKRSRLLARVDDTLAVIHTHGVAGSLSGVLTGLLLLAEPRFARLFFGDDPRYVGLAYAVRDGRAGSGLRQRSLAGIAFVVALNVAVTSAVCLAVRVAVPQLAAGGDAIHGEDAYAVWGDGETYEQYSVHGGGSNHGGFPMTANPVASKADEMIWIQGVLTLVMFG >OGLUM03G33910.1 pep chromosome:ALNU02000000:3:31922018:31924586:1 gene:OGLUM03G33910 transcript:OGLUM03G33910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPTTMWRSPACLLVAAVLLSAVAAATAGEEYVKYKDPKKPIGERVDDLLSRMTLAEKIGQMSQIERANATSAVIEKYFVGSVLSGGGSVPSEKATAKEWQQMVAKMQKAALKTRLGIPIIYGIDAVHGHNNVHNATIFPHNVGLGATRDPKLVKRIGQSTAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTKLVQLMTSAMVPGLQGDAPARHPKGTPFVAGGMNVAGCAKHFVGDGGTRDGINENNTVLSFHDLMRIHMPPYDDAVIKGVASVMISYSSWNGVKMHENRFLITDILKNKLKFRGFVITDWQAVDRITTPPHKHYYHSIQETIHAGIDMVMIPYDYPEFVDDLTTQVSNGSIKLDRINDAVSRILRVKFAMGLFENPLPDPRLAGELGDKEHRQIAREAVRRSLVLLKNGKSGEKPMLPLSKKAGKILVAGSHAHNLGFQCGGWTVSWQGQGGNNVTAGTTILEAIKAAVDESTVIDYTEHPDKSSIAESAKEYDYAVVVVGEEPYAETEGDNLNLTIPSPGPEVIKDVCGLVKCVVVLVSGRPLVVEPYIGAMDAFVAAWLPGTEGHGVADVLFGDHGFTGKLPRTWFKSVDQLPMNFGDKHYNPLFPFGFGLTTKP >OGLUM03G33920.1 pep chromosome:ALNU02000000:3:31951573:31955983:1 gene:OGLUM03G33920 transcript:OGLUM03G33920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITTGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQTHSS >OGLUM03G33920.2 pep chromosome:ALNU02000000:3:31950019:31955983:1 gene:OGLUM03G33920 transcript:OGLUM03G33920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITTGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQTHSS >OGLUM03G33920.3 pep chromosome:ALNU02000000:3:31951570:31955983:1 gene:OGLUM03G33920 transcript:OGLUM03G33920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLHKFSVILLMLCFATLGSAQYVKYKDPKQPVSVRVKDLLGRMTLAEKIGQMTQIERENATAEQIAKYFIGSVLSGGGSVPAPQASAQAWASMVNEMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGEATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPKVVQSLTTLISGLQGDVPSNDVGRPYVGGSKKVAACAKHYVGDGGTVKGINENNTIIDTHGLLTIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHHLITDFLKNKLRFRGFVISDWQGIDRITSPPHKNYSYSIEAGIGAGIDMIMVPYTYTEFIDDLTEQVNNKIIPMSRIDDAVYRILRVKFTMGLFESPFADSSLADELGKQEHRELAREAVRKSLVLLKNGKSSYSPVLPLPKKAGKILVAGSHADDLGRQCGGWTITWQGQPGNNITTGTTILSAIKATVDPSTTVVYSENPDSSVVTGDKYDYAIVVVGEPPYAEGFGDNLNLTIPEPGPTVIQTVCKSIKCVVVLISGRPLVVEPYIGGIDAFVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMNVGDAHYDPLFPFGYGLTTQTHSS >OGLUM03G33930.1 pep chromosome:ALNU02000000:3:31965771:31966657:1 gene:OGLUM03G33930 transcript:OGLUM03G33930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGRDARRRRGGTAAARARGSPATGRWGLGAPGGSKAARQGAARGRAGGGTRRRADTAAAKEATRQAGSSAGQQQGRRQAGQHQPGNHQGSKASNSAKRQGNNSTIEDLSKLPLMKNNWRYGVLVSHIVSSSIGYFKIVLTYSQFT >OGLUM03G33940.1 pep chromosome:ALNU02000000:3:31971758:31976656:1 gene:OGLUM03G33940 transcript:OGLUM03G33940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRLFLLFLSLSVSCRCFRRGGSQQFRRTVERRKKMIFFGGRIGRIVAAFMRPCLSIALAAPTTPGSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSAVQIRNCKRITLLLLLVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >OGLUM03G33940.2 pep chromosome:ALNU02000000:3:31971758:31976656:1 gene:OGLUM03G33940 transcript:OGLUM03G33940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >OGLUM03G33940.3 pep chromosome:ALNU02000000:3:31973665:31976656:1 gene:OGLUM03G33940 transcript:OGLUM03G33940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGGGGGGRRHCSGVLESLEDCFTGFLIVLLEWHAQVRRRLLPLLRRQRMAVLAAPVVAAVLLLTWAAYGEAQYVLYKDATKPVEARVTDLLARMTLAEKIGQMTQIERQVASPQVLKDYFIGSLLSGGGSVPRKQATAAEWVSMVSDFQKGSLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTELIPGLQGDVPANFTSGMPYVAGKNNVAACAKHFVGDGGTQNGVNEDNTIIDRRGLMTIHMPAYLNALQKGVSTVMISYSSWNGIKMHANHDLVTRYLKDRLNFKGFTISDWEGIDRITTPAGSNYSYSVQAGVLAGIDMIMVPNNYQSFISILTSHVNNGIIPMSRIDDAVTRILRVKFTMGLFENPMPDSSMADQLGKKEHRDLAREAVRKSLVLLKNGKTSDKPMLPLSKKAPKILVAGSHADNLGYQCGGWTIEWQGDTGRITVGMTILDAVKAAVDPSTTVVFAENPDADFVKNGGFSYAIVVVGEHPYTETKGDSLNLTIPDPGPSTVATVCGAAQCATVLISGRPVVVQPFLGAMDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNYGDAHYDPLFPLGFGLTTQPRTY >OGLUM03G33940.4 pep chromosome:ALNU02000000:3:31971758:31973416:1 gene:OGLUM03G33940 transcript:OGLUM03G33940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRLFLLFLSLSVSCRCFRRGGSQQFRRTVERRKKMIFFGGRIGRIVAAFMRPCLSIALAAPTTPGSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSAQQLYSRVAS >OGLUM03G33940.5 pep chromosome:ALNU02000000:3:31971758:31972821:1 gene:OGLUM03G33940 transcript:OGLUM03G33940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKKLQQRLFLLFLSLSVSCRCFRRGGSQQFRRTVERRKKMIFFGGRIGRIVAAFMRPCLSIALAAPTTPGSLSHFLALLYKEAIAGRRSTREQEARLFFFFSIFSPTIATFFLPATRLSGKTKRPSFHPWRFLSP >OGLUM03G33950.1 pep chromosome:ALNU02000000:3:31977133:31977435:-1 gene:OGLUM03G33950 transcript:OGLUM03G33950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKRGIRDWIGDTQRKRNHKPCRLFFLLSLSSHPPRLRLRNHETDRGFGMRPRQREMNSGEGKNSKHLSVGSPQRVQGCGGSPGSPRRGHIALSAPP >OGLUM03G33960.1 pep chromosome:ALNU02000000:3:31979175:31988043:-1 gene:OGLUM03G33960 transcript:OGLUM03G33960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20930) TAIR;Acc:AT5G20930] MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAAPVPSPPPPHHLVVPSAPATTFMDQEELPESSSSDDDNGEEFLIQKNILKRPRSPDGDHGLAVGNFEGSANEAVKHSEVMDTRPSIDISNRKKQGRGRGRGGAGRGRGSKTVDQTRATSTSSAVVANGRHDILTNMESRSSAVLGNDDKAALQEELSLLRGKVAILEEELSKSRQESTEYRQLSDRLAKELKDLKEQDQQKKSKQLKVLSDLLIAVSKAERQEARIRIKQESFRLGNVGVMRAGTVISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDVLLQDEICKSRLTSIKREEEQYLRERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHNRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRTQKIIHYDLKPGNVLFDEVGVAKVTDFGLSKIVENDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKELIRRCLTYNQAERPDVLTIAQEPYLSYAKR >OGLUM03G33970.1 pep chromosome:ALNU02000000:3:31987594:31991706:1 gene:OGLUM03G33970 transcript:OGLUM03G33970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAGAEGTTRWCGGGGDGTGAALPAIRASSLASLDEDGLELDERCCTIPSSTRPREPPDGSTRGCSTNRRWAAAPPRAEERANETDQNGAAAGSRCLRLLPSSRLLLTPPPRAATARVYRRRGGGPGAAAPPTRGRRIRRRVGIHRILHRRRHLSPLLFLSPLFLFFPRTPTPRRHYAQRNNGNPARLGPPRPIYSSPLRPMGSSCRGGKFLTSAASIGEEGEEWGVGDSNGERCGGESEGRGERGGG >OGLUM03G33980.1 pep chromosome:ALNU02000000:3:31990728:31991565:-1 gene:OGLUM03G33980 transcript:OGLUM03G33980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGASPAAAAATSGEPGAAAGTMKVVVAVDASEESLNALSWALDNVIGRRAGAVSVVVVHAQHGPDHFVYPVAAHVHFAFHETDTACACACVTEAAIAYAPASAIESMRKAQEEISRKVSATGAIVEGDAKEAICQAVEEMHADMLVLGSRGLGKIKRAFLGSVSDYLVHHACCPVLVVKPTKAHDK >OGLUM03G33990.1 pep chromosome:ALNU02000000:3:31992813:31995701:-1 gene:OGLUM03G33990 transcript:OGLUM03G33990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04130) TAIR;Acc:AT1G04130] MALLMDPGAGGPLTESEKADLDAIAAIKESAAAEYKEKGNRLVKMGRSHYADAVDCYTKAIAQMEPLPPPPVPSPDASVLFANRAHVNLLLGNHRRALDDAARAVQLSPSNVKAYYRAAKAAIALGLLPEAAAFCRRGIEQDPANEELKKLLAQVDAQQSEQDRHRAKVAQAVSAAKDIVAAIEKRGLKLGKAAYQELTGVKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFVPHLDAGVGTVLSKSEILKILLEGTMDSKSLPESLLDEEDGENDDGNSSTITSSDKGSVKWINVKEGKTLQEVLQHKDFIIPAIPVFFVVSRKSTFYREFKAGNWSLP >OGLUM03G34000.1 pep chromosome:ALNU02000000:3:31999438:32007528:1 gene:OGLUM03G34000 transcript:OGLUM03G34000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44050) TAIR;Acc:AT3G44050] MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQTGSGKTHTMLGDIENGTRRNNVNCGMTPREKEIRKEEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLDEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVTTVDTQYEDVEMRDATDDEDLEVKHVHDSAISTFKEILRLVRVKLENVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADIEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >OGLUM03G34000.2 pep chromosome:ALNU02000000:3:31999438:32007528:1 gene:OGLUM03G34000 transcript:OGLUM03G34000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G44050) TAIR;Acc:AT3G44050] MPSDCGDDDHGGGSAPAGFELQEDPSFWKDNNVQVVIRVRPLSSGEISVQGQKRCVRQDSCQSITWTGHPESRFKFDLVADEYVTQENLFKVAGVPMVDNCMAGYNSCMFAYGQIREDAKKGVHVENLTEHEVSNAREAMQQLVEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQDSLGGNSKTTIIANISPSNCCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQHLKKEVSRLQGLVNSDKAECTSSSGFICESPSTLKWNQGQGSFSPLMFDKRAMQRKDYDAALVAAFRREQETEAKLKAMIAAKLVAEQLATQRAEEVRSFKMRLRFREDRIKRLEQVTSGKLSAESHLLQEKEDLVKEVDALRGLLDRNPEVTRFAMENLQLKEDIRRLQTFVDEGEREMMHEQIIVLQDKLLEALDWKLMHEKDPINKDLSFLGESADEEMEFLRLQAIQNEREIESLRKNLSFCLESKEKLERRVDELTLELEAAKKYHEESEAVELQVQTEVDLHDLPDAQTELKTLVDAIATASQREAEAHETAIGLAKANEELRTRLTVLIEDNKRLVELYEHAIANGEVNQDGGHPAIPQIEGVNEQQSSHSYGGAAANGVLPDDKPESATILPADNSSSEVSDSKIMDGQCNHKDNFSRSELTDLQLQLDEMHEENDKLMGLYEKAMQERDEFKRKFFEGSNSVTTVDTQYEDVEMRDATDDEDLEVKHVHDSAISTFKEILRLVRVKLENVHDKLVTTQDAVEYFKLLEMASTKAEELSASIQHHCLELKHDQEDMNALKAELSQSQESKEALESKYFSPVASCWNLDLKTKALVGSKFDVSLELLNQKKEQLSHLQTLKKEFSVASTKARESETALRSKIDGLKVKLRSFEAQRKEAERVLFAIDNIDTSTPTLSKPVNFGKASELLRSEEERTKLLSELKKSREQLIMVQKEIKSMNRHDDIDCKIASLESEVENCCLTLLEADIEKFVRDNTLTEIWKEEQKDMDCLLVDYQECVFKVNLKEEKIRACEESLQHQTRSLDDMNSKLNQAMRDLGEHLRDRTPCDLDASMLHVSDKVKGDLDAMALHVAEAVQLLLVQGENQTNP >OGLUM03G34010.1 pep chromosome:ALNU02000000:3:32009577:32010074:1 gene:OGLUM03G34010 transcript:OGLUM03G34010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERGEEEEVEEDEARPRPGCGGGEAAGQAAANCAAVCCCCPLALLEILLLVTVRLPAGVMRRVMRRRRQRRRKSRSGGGGGGGGGGEGGASSPSGSAKAMIAAASAFDMMDDEAAAAAAASSARGETDADAELELEIMRSRFYSGGFWRSPSSGSSSCASSLRR >OGLUM03G34020.1 pep chromosome:ALNU02000000:3:32015573:32017730:-1 gene:OGLUM03G34020 transcript:OGLUM03G34020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGCRCVVVDACVREVRLASPYRIHQIFRFTTPRRLALAHRGDTYKARDEGQCHWRDKAGSATRREGGESEGGERRRRRLMASPAVVAFAVAVAALAAFCGTDPLRTGSMVDFPGFVPHVVELPDASEMPPHADTRERLRGAEIRFRGEVQGPESVAFDPLGRGPYTGVADGRVVRWDGARWVYFAHSSPNWTAELCGHKASPLDYLKDEHICGRALGLRFDRRTGDLYIADAYFGLLKVGPDGGLATPLATEAEGVRFNFTNDLDLDDDGNVYFTDSSIHYQRRHFMQLVFSGDPSGRLLKYDPNTKKATVLHRNIQFPNGVSMSKDGLFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNDKGEFWVAIHCRRSIYARMVSRNVRLRKFLLSLPIPAKYHYLMQIGGKLHALIIKYSPEGEVLDILEDTTGQVVRAVSEVEEKDGKLWIGSVLMPFIAVFDYANAS >OGLUM03G34030.1 pep chromosome:ALNU02000000:3:32024026:32030745:-1 gene:OGLUM03G34030 transcript:OGLUM03G34030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZD78] MGRSRGVPNSGDDDTNHRSKRRRVASSGDAPDSLSAACGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKTKAQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSVLPGDLTPKDESPFSPPRVKVEDALGEGLAGRSPSHIAGGANKKASNVGQFKDGANVAKVEDGHVDRSIGVKKPRYSADEGPSLTELSRYNSKRHEFDPEYDDDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVLEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEHEVNAQKAKESGQLLSNTKVVHKTNRPMKIESDGNLDQKKGGASLDSTGRDSPKTTGHAGTKHWDDWDIVGFPGAELLSTSEKNLCCQNRLLPNHYLKMQEVLMQEIFKGSVAKKEDAHVLFKVDPAKVDNVYDMVTKKLGTNEEAPTV >OGLUM03G34040.1 pep chromosome:ALNU02000000:3:32031665:32033780:-1 gene:OGLUM03G34040 transcript:OGLUM03G34040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKPAKNLPVPPAAAAAAAAAKNGSGGKLPGLSRKLFQKGSSEPKKKALTEVKNGGNTRTLAMVLRSERELLTQSKEQEDEIAALRLQLEQKDTEVERLKDLCLRQREEIRTLKDAVKADKHTPRSCFDDEYCSSPRTPVLNEETAFSLECSIGEDDTPNYGSPDEMFSKDLNPCLTPCISKSKSEEYEQPINSHRSGRKAGQDSLSCGSRSRPMSKSSDHHKPTSGTNSKRRVYRSDQDKFHQNLF >OGLUM03G34050.1 pep chromosome:ALNU02000000:3:32037681:32038852:1 gene:OGLUM03G34050 transcript:OGLUM03G34050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRLVESEVDERREQKRASKEGDPRKKMAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVCLSSLQFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >OGLUM03G34050.2 pep chromosome:ALNU02000000:3:32037681:32038852:1 gene:OGLUM03G34050 transcript:OGLUM03G34050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRRLVESEVDERREQKRASKEGDPRKKMAAAAAMAMKDPSLWHKVAAISGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPDVFGGLLTAGIVLFSGTCYTVAYLEDRKYSSTAPLGGFAFIAAWASLLF >OGLUM03G34060.1 pep chromosome:ALNU02000000:3:32039849:32042277:-1 gene:OGLUM03G34060 transcript:OGLUM03G34060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNQKRQGQELGSNEQSATRVILLLCLILHFLATSAQQEDPNWEKQWCIADQQTPDDVLQQALSWACGPGGANCTMIQPNKYCYFPNNVKDHASYAFNSYWQKFKKQGGSCYFNAAAMVTDLDPSHDSCHFEVVP >OGLUM03G34070.1 pep chromosome:ALNU02000000:3:32042526:32051896:1 gene:OGLUM03G34070 transcript:OGLUM03G34070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tornado 1 [Source:Projected from Arabidopsis thaliana (AT5G55540) TAIR;Acc:AT5G55540] MGDKMITRKLSFNQKDMDVTEIDLQDYKDVDSIAFYQVPTNVGSGMSMESERLVRVHACTDHNGVSFLHKLLHRLLEHKEMYSNVVNLLFHGIEWQTEGVQLLCSFLGPGSSVKQVEFQKNVFGTKSSAALVPLSEMIQRNNTIKAIVFSECRIGASGVKLLASALAYNRSMEEVQLLDDSIGAKGAEELSKMIEVNCVLKLLVILDNSSIAAAPIFSAVLARSRRVEVHVWGHCRDTRGGMNSCKIAEFQAGTGSLRIYNNINSTGLQRIACAMAWNTTVTTLDMSGVPLKSKWTKELRGVLERNRMLKTVKLTKCCLRDKAVVYIAAGLFKNSYLESLSLDGNRFGGVGLEHLLCPLSTFSPLQRQANLTLKVLSFGGWQTNIGRYGITAILQMLETNQSLLQLAICDDVNPWIEEIDLHETPLHVAGKTREIYEKLGQNGSSVVPNDLLDLPLSAPTCCQVFLCGQELSGKSTLCSSIKHCMNSMKLPRMDGIRTSKTPIEQMSHTNEYGMNIIFDGNTKLTMCNIGGPEESIPLHDFMFVVHGGPRIFMIVSSLIGKPADKYPKSIDVIEQELIYWLKFVASNSRRRVSHSFIPCVTIVLTHYDKVSHLAEGLQLIVAAVQRLREDFCSYAEIYPTVFVVDSRSQVSVSKLTHHLRNTTKTVLQQAPQVYEVCNDLIRYLHNWRLKNDKSVVKWSEFCEICQLSIPVLRLRSRHDNAEKLDTRRRAVAKSLHDLGEIIFFEELGVLIMNCEWFCQDILSQLGALKSIKIENSGFVRKQDLEKILQEKLCNQIQRSNWRAGASLQSGDIINMLLKLELCYEQDPGNPNTLLLVPAMLEESKEGIQRWQLTMPECRYAGRHMECEDTHMFLTNDFFPRLQVRLHNKIMCPGNQQGAVYNLEKNLIYTVIDGVHVRVELGMKLGSSIDVLACSTRNVTDMVRLLHKSVITTILNMSPSMTFKESIIRPDCVKYLIPQRFRTTQLLPVKKIKHILLSLPAESFYDYQHTWSAVENNKRVILMSGLDHARDLLSDDDFHDVLHRRYYDLQHLATELAVTPDNLQQSETIAESDAVDPSILGIAKGVEMVLQRLKRIEQGIQDLKEEIARLRYYEYHLVTELHRKMDYVMNYSIQLEDRKVPQLFYLVSLDSRSKKLVTRILPGMRSLRVHMLCEFRQEMHVLEDQVGCDLIQVDNRAVQSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSVMYGATTSALSLGALGAAAMYGKARNNGSQSGTNDMEDDMKTARQWLVDFLKGQGILTGMDIAQRFGLWRVRYRDDGHIAWICRKHIVARADEIFELPL >OGLUM03G34080.1 pep chromosome:ALNU02000000:3:32048157:32051728:-1 gene:OGLUM03G34080 transcript:OGLUM03G34080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASLKSPVAAEEQAATAAAAAAKGEGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFLCALLIVLTTQILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLVMSFVYREEVQLPWWGMLFAFALAFVVTLPIGQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >OGLUM03G34080.2 pep chromosome:ALNU02000000:3:32048157:32051683:-1 gene:OGLUM03G34080 transcript:OGLUM03G34080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:oligopeptide transporter [Source:Projected from Arabidopsis thaliana (AT4G16370) TAIR;Acc:AT4G16370] MASLKSPVAAEEQAATAAAAAAKGEGERCPVEEVALVVPETDDPTTPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGQFMAAVLPSREVRLLGGRLGSFNLNPGPFNIKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQSLSFLCALLIVLTTQILGYGWAGMLRRYLVDPADMWWPSNLAQVSLFRALHEKEGGDGGKGSSSRGPTRMRFFLIFFFASFAYYALPGYLLPILTFFSWACWAWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIANTAAGFVMFIYLIVPLCYWKFDTFDARKFPIFSNQLFTASGQKYDTTKVLTREFDLNVAAYESYGKLYLSPLFAISIGSGFLRFTATIVHVALFHGGDIWRQSRSAMSSAAAKMDVHAKLMRRYKQVPQWWFLVLLVGSVAVSLVMSFVYREEVQLPWWGMLFAFALAFVVTLPIGQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLGSIDNICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPARLFGRHGLYRNLVWLFLAGAVLPVPVWLLSRAFPEKKWIALINVPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFKYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTAVDHCPLASCPTAPGIAVKGCPVF >OGLUM03G34090.1 pep chromosome:ALNU02000000:3:32085463:32088889:1 gene:OGLUM03G34090 transcript:OGLUM03G34090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVIPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFTMTDPDGQHHRRGSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >OGLUM03G34090.2 pep chromosome:ALNU02000000:3:32085463:32088710:1 gene:OGLUM03G34090 transcript:OGLUM03G34090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLSLHHPCNSRTGFLGKRQGICLHVIPAGRVGFVRKTIECKESRIGKKPIEVPSNVTLTLEEQFIKAKGPLGELSLNYPGEVKVVKEESGKLRVSKTVETKRANQMHGLFTGSKGFDKKLQLVGVGYRAAVEGKDLVMNLGFSHPVRMAVPEGLKVKVEENTRIIVSGYDKSEIGQFAASIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >OGLUM03G34100.1 pep chromosome:ALNU02000000:3:32097101:32099895:1 gene:OGLUM03G34100 transcript:OGLUM03G34100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTIIVKVDLECERCYAKIDRVLTRIRDKGEFVIDDIEFDVKHNKVIVSGPFDPDKLADKLCCKACKIIKEIEIVDLPPPPPPPAPEPKPEPPKKEEPQPPPPKEEEKPEPPPAVIIVQPPAPAPEPEPEPEPEPEPEPPKKEPPPPPPPKQEPCPPPPKVVEVPYPWPYPYPFPSWPSDCCCHHGHGGCHCCSCGKAPAPAPPPPQYIPCYPPQQPYPCGGYRIVCEEDPSYACAIM >OGLUM03G34110.1 pep chromosome:ALNU02000000:3:32103336:32103998:1 gene:OGLUM03G34110 transcript:OGLUM03G34110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAALQAQRENGRKIMSGSSIKEVIIWPLQQHIFFPSSKIVPYRPQALRVPGITINAGGRTSNAIQIAEPHATNLNQSRGQAPSHRIEWLRCLWSPLSPSPSPDASSPREEDRWVNGSMRMQGEEGSPRVARKGELGGREAAAAARPTSSATHWIENFMLSLSAPTQNQAMGQQIDPHTATPSISITVPPKLAPHGPLPLLEEKGLGQQIDPHAIAGNR >OGLUM03G34120.1 pep chromosome:ALNU02000000:3:32110686:32121030:1 gene:OGLUM03G34120 transcript:OGLUM03G34120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDVVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >OGLUM03G34120.2 pep chromosome:ALNU02000000:3:32110686:32121030:1 gene:OGLUM03G34120 transcript:OGLUM03G34120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDVVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >OGLUM03G34120.3 pep chromosome:ALNU02000000:3:32110686:32121030:1 gene:OGLUM03G34120 transcript:OGLUM03G34120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome C [Source:Projected from Arabidopsis thaliana (AT5G35840) TAIR;Acc:AT5G35840] MSSSRSNNRATCSRSSSARSKHSARVVAQTPMDAQLHAEFEGSQRHFDYSSSVGAANRSGATTSNVSAYLQNMQRGRFVQPFGCLLAVHPETFALLAYSENAAEMLDLTPHAVPTIDQREALAVGTDVRTLFRSHSFVALQKAATFGDVNLLNPILVHARTSGKPFYAIMHRIDVGLVIDLEPVNPVDLPVTATGAIKSYKLAARAIARLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECKRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCSATPVKIIQDDSLTQPISICGSTLRAPHGCHAQYMASMGSVASLVMSVTINEDEDDDGDTGSDQQPKGRKLWGLMVCHHTSPRFVPFPLRYACEFLLQVFGIQINKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWVLGSTPSEAEIKNIVAWLQEYHDGSTGLSTDSLVEAGYPGAAALGDVVCGMAAIKISSKDFIFWFRSHTAKEIKWGGAKHEPIDADDNGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANKNNNAKSIVTAPSDDMKKIQGLLELRTVTNEMVRLIETATAPILAVDITGSINGWNNKAAELTGLPVMEAIGKPLVDLVIDDSVEVVKQILNSALQGIEEQNLQIKLKTFNHQENNGPVILMVNACCSRDLSEKVVGVCFVAQDMTGQNIIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGIKREDVVDKLLIGEVFTHHEYGCRVKDHGTLTKLSILMNTVISGQDPEKLLFGFFNTDGKYIESLMTATKRTDAEGKITGALCFLHVASPELQHALQVQKMSEQAAMNSFKELTYIRQELRNPLNGMQFTRNLLEPSDLTEEQRKLLASNVLCQEQLKKILHDTDLESIEQCYTEMSTVDFNLEEALNTVLMQAMPQSKEKQISIDRDWPAEVSCMHLCGDNLRLQQVLADFLACTLQFTQPAEGPIVLQVIPRMENIGSGMQIAHLEFRLVHPAPGVPEALIQEMFRHSPGASREGLGLYISQKLVKTMSGTVQYLREAESSSFIVLVEFPVAQLSTKRCKASTSKF >OGLUM03G34130.1 pep chromosome:ALNU02000000:3:32122378:32129957:-1 gene:OGLUM03G34130 transcript:OGLUM03G34130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDFCGSLRVHQQQLKRQPMERIRVDIRRKFKDGIEVSEKFRDKRLQHDKENGSAEEYNLLQVYHCWSLKLNGFIGESRDMWPVVKRSDGSSPEWVRREKRTVQLEFFLVIPWFLQQSLHSGNPYQNIEENLIITLEKTYEEVAKSAGANHHRLRVPPWRGTTTSGVTPWRPAPAAAATTIHSGHGDDDDARRKPAATGVLRAAASLAVPAGLGQSRDLHHLHAISAHRAATSPHRWSQPAEPSGRRLYAPPEPARRVAASLPPGHRLSLSLEPTRRVATSRPPVRRLSALPVHLSAQPELARRVAASLPLGHCLSLPREPARRVATSRPPVRRLSARPELADRIAAGAPLHAAGASSLSCGLPTAGSPPLPTAGASLSSRDLPTAGSPQELARWVAASPRRRSSPTESPPVLVGKTIAKPGARALNPAGAQQQWRARAGHPSQAAQGRSGERERRSTRGVPSAGGKIQANPMDDNSIQQSLLADNPNVLQRKPSEGVNRFRRCRSTPSTDPLQGPPEKGSSVKAKELFKEMRPSFRLVGLLLFIYLLVGVLAFYAVMDEISGKRTNRVLDALYFCVVTMTTVGYGDLVPNNDTTKLLACAFVFMGMAVVALFVSKVADYLVEKQEVLFFKALHTNLKGGETKMLRAIETNRIKYKFYTNALLLVLSIISGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIITSTIIMAQFFMYLAEIYTERRQKMLANWVLTRKMTKMDLEAADLDDDRQVGAAEFVVYKLKELGKINQEEISSFLEEFEKLDVDHSGTLSPYDLTLAQSAQ >OGLUM03G34140.1 pep chromosome:ALNU02000000:3:32130121:32132650:1 gene:OGLUM03G34140 transcript:OGLUM03G34140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFVLAVLVMSGAAALGRELAGDGAAAAAAADVAMASRHEKWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAAEKDGGGGHRLATNRFADLTDDEFRAARTGYQRPPAAVAGAGGGFLYENFSLAAAPQSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARRGGLAAESSYPYRGVDGACRAAAGRAAASIRGFQDVPSNDEGALMAAVARQPVSVAINGAGYVFRFYDRGVLGGAGCGTELNHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >OGLUM03G34140.2 pep chromosome:ALNU02000000:3:32130121:32132650:1 gene:OGLUM03G34140 transcript:OGLUM03G34140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTFVLAVLVMSGAAALGRELAGDGAAAAAAADVAMASRHEKWMAKHGKTYKDEEEKARRLEVFRANAKLIDSFNAAAEKDGGGGHRLATNRFADLTDDEFRAARTGYQRPPAAVAGAGGGFLYENFSLAAAPQSMDWRAMGAVTGVKDQGSCGCCWAFSAVAAVEGLAKIRTGQLVSLSEQELVDCDVRGEDQGCEGGLMDTAFQYIARRGGLAAESSYPYRGVDGACRAAAGRAAASIRGFQDVPSNDEGALMAAVARQPVSVAINGAGYVFRFYDRGVLGGAGCGTELNHAVTAVGYGTASDGTGYWLMKNSWGASWGEGGYVRIRRGVGREGACGIAQMASYPV >OGLUM03G34150.1 pep chromosome:ALNU02000000:3:32134764:32144292:1 gene:OGLUM03G34150 transcript:OGLUM03G34150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRAPFPSGIPAMTRCSRHQPPAPPTVVVIDEDDDDLGDGPSDEEVFIIDGDAAKGRASSGCKTKRGNSSCSNVINLDDDDEEEEEEGKEEGGGGDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVLKKGGPISTSGANAKPSVPDAHDCSKGNASNANEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSPHIDEAFEPEQCTDYSFISANRVFPACSSANWKDQSPTFVSTPEKLDEKLSDGTYARKDETLIDAHNKSTTKNKEMCPEPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLDANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILHALGIAVEGGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKMLRV >OGLUM03G34150.2 pep chromosome:ALNU02000000:3:32134843:32144292:1 gene:OGLUM03G34150 transcript:OGLUM03G34150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGRFHRAPFPSGIPAMTRCSRHQPPAPPTVVVIDEDDDDLGDGPSDEEVFIIDGDAAKGRASSGCKTKRGNSSCSNVINLDDDDEEEEEEGKEEGGGGDRAGPSSAGAAAGSPAVTTPGRVSPRNRYGLDYVSDSYESDSSEGHSDGDDGSDCEILDDASGSARKQWEKAASRKSMPQGFRKSTSTSSAESSTQPDESEENDAECDINEYIRKYFSEDVLKKGGPISTSGANAKPSVPDAHDCSKGNASNANEAEDCNATSRIDPDPACNDEATHSQNGSVPEKTAERSQSPHIDEAFEPEQCTDYSFISANRVFPACSSANWKDQSPTFVSTPEKLDEKLSDGTYARKDETLIDAHNKSTTKNKEMCPEPDNGSLDGRLTEDPPLSSRCDCSKQSEKKSAHLDANCCASAASSNKNPSANVILGGCMPPQKDLVDGPEKSGQPAVAQVAADIQDGLIGAREKHKESDEYKRAQEEEWASRQRQLRIQAEEAQRLRKRKKAEAMRLLDMEKRQKQRLEEVRESQRKNEADIQLKEKYRGVVRLELESMERRYIDMASILHALGIAVEGGEVKAAYKQALLKFHPDRVSRSDMYQQVKAEETFKFISRLKEKMLRV >OGLUM03G34160.1 pep chromosome:ALNU02000000:3:32139326:32162152:-1 gene:OGLUM03G34160 transcript:OGLUM03G34160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEIDVEAAPPQPPWNGNCSNGHGGGGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGREREREGGRRRRRRRKNRRKMGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKENPCSFLQLVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >OGLUM03G34160.2 pep chromosome:ALNU02000000:3:32139326:32162152:-1 gene:OGLUM03G34160 transcript:OGLUM03G34160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNMLKTLERYQRYIYASQDAAAPTSDEMQNNYQEYVNLKAHVEILQQSQRNLLGEDLAPLATNELEQLESQVVRTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEIDVEAAPPQPPWNGNCSNGHGGGGGVFSSEPPQPEHFFQALGLHAVDVNQPPAPPPGGREREREGGRRRRRRRKNRRKMGRGKVQLKRIENKINRQVTFSKRRSGLLKKANEISVLCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKELVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >OGLUM03G34160.3 pep chromosome:ALNU02000000:3:32139326:32162152:-1 gene:OGLUM03G34160 transcript:OGLUM03G34160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSTKGKLYEYATDSCMDKILERYERYSYAEKVLISAESDTQGNWCHEYRKLKAKVETIQKCQKHLMGEDLESLNLKELQQLEQQLENSLKHIRSRKSQLMLESINELQRKEKSLQEENKVLQKELVEKQKVQKQQVQWDQTQPQTSSSSSSFMMREALPTTNISNYPAAAGERIEDVAAGQPQHVRIGLPPWMLSHING >OGLUM03G34170.1 pep chromosome:ALNU02000000:3:32185860:32186353:-1 gene:OGLUM03G34170 transcript:OGLUM03G34170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTTGMAGGAVLAGEDKGGATRRQMRRRGHAARDGGRGQHRRSWGRRLSSAEGGGAVEVCDDGDRGPRGLRQASRADATLPLDWADDAAAVGGDEYGPSGGEAGNDLTASGRRGGDHDKLGAGPCSARSVVAPSGKIIS >OGLUM03G34180.1 pep chromosome:ALNU02000000:3:32193617:32195893:-1 gene:OGLUM03G34180 transcript:OGLUM03G34180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLTLYARLADDHPDCTILSIRADERFHRSMVQELLEKQASETHKILYVVDRVGALVDPDEFVFEEGPMPCKADGYVDIADKNLIVLAAAASVFSNSVGMHLLPRLRCHPRINILSLVYAKGWKVVDFMNKYYGAGKYGQLMAECLKLYVRLADGGLDQSELAITANDGVIHSIIEEELTEESGCLEMDLHGIVDASCVTGYVEIADKNLIILTMSFSFARDNYYLIYDATLRSLSMMPHVDAHPYCQAYYPCDPLPVRYGDECTLVLLARNLEYQKEEQGCNYYHRDMLCLWPSPPSSEKPPLLLRDTPGPSIEPWHLKEPVFPEGKTPSELRHHVKFTSRSHAFWADLKKGILCCRCSDLFDSYHVNFSFIELPHGCECDALDMPDTAPAEIYRTMGCTSGSSIKFVSISFEDSMPVDEKTMTVWTLDTASWGWTKDVELSLGSLWELEDFKKNGLPETQPVYPFLRKEEDEDNALYFTLSSSLLGPISECGEPAVHHMCRFDMRSMRLESSPLSFPPDMIVPQRLFGSEFFRYLDRHVQVPGCGKGKRKLNEDY >OGLUM03G34190.1 pep chromosome:ALNU02000000:3:32195906:32201707:-1 gene:OGLUM03G34190 transcript:OGLUM03G34190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSSSLSPPSSPPPSWVLLNPSVDNHGLGIDPTQKGWEVVECRKDYCGAGKYGHMMAGCLELHVRRAADPLDLSELAISANDEIVRYIIEGELSEQAPEDKSSFPCLREVDVDMMEGPLSYVTGYVRMADENLIVLSVSFPFTDDQYYLVYDAILQSMSMIPHISSGPFCQGYSPSNPLPVRCGDMCTLVHFARNMEYDEEEGEYIYRDMLCLWPPPPSSKLPPPPLPNAYTCPSIEPWYFMEPCFPMEMTLVQFRHHVKFTSSSYAFWADLTQGVLCCCCSDIFGDNYSVDFSFIELPPGCEYESCDTLDVSDIGPAEMFRTIGCTTGDSIKFISISFDDSVPETEKTVTEWMLDMATMQWTKVEELSLGTLWELEDFKKYGLPKTKPLYPLLRKGEEDDGALYFILSNGWDDYAEHYMCRLDMRSKRLESTRLSSPQGFIGACQLVGSEFFRYLQNECLEPAHGKGKMKFAGDPTAAEEGYTNKSEGCGE >OGLUM03G34190.2 pep chromosome:ALNU02000000:3:32200155:32201707:-1 gene:OGLUM03G34190 transcript:OGLUM03G34190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPSSSLSPPSSPPPSWVLLNPSVDNHGLGIDPTQKGWEVVECRKDYCGAGKYGHMMAGCLELHVRRAADPLDLSELAISANDEIVRYIIEGELSEQAPEDKSSFPCLREVDVDMMEGPLSYVTGYVRMADENLIVLSVSFPFTDDQYYLVYDAILQSMSMIPHISSGPFCQGYSPSNPLPVRCGDMCTLVHFARNMEYDEEEGEYIYRDMLCLWPPPPSSKLPPPPLPNAYTCPSIEPWYFMEPCFPMEMTLVQFRHHVKFTSSSYAFWADLTQGVLCCCCSDIFGDNYSVDFSFIELPPGCEYESCDTLDVSDIGPAEMFRTIGCTTGDSIKFISISFDDSVPETEKTVTEWMLDMATMQWTKVEELSLGTLWELEDFKKYGLPKTKPLYPLLRKGEEDDGALYFILSNGWDDYAEHYMCRLDMRSKRLESTRLSSPQGFIGACQLVGSEFFRYLQNECLEPAHGKGKMKLNEAYGAD >OGLUM03G34200.1 pep chromosome:ALNU02000000:3:32197843:32198187:1 gene:OGLUM03G34200 transcript:OGLUM03G34200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGNALHDGEASGAAAADGVGRATNETARRVCLCSLPCIVGPIEWWSGVGVGARRFTEHAQLMAALGSAGRDDNKVDMLYWTRASHAAATAVSSMGAGDEQMDLELRL >OGLUM03G34210.1 pep chromosome:ALNU02000000:3:32208715:32209005:1 gene:OGLUM03G34210 transcript:OGLUM03G34210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTPVIAATAMVVLLPDFRESLCSIADRRWPRRSAPREQWRSMPMPAWEEKRRGCHVSKTRDPYCHGTEIVWFCIV >OGLUM03G34210.2 pep chromosome:ALNU02000000:3:32208176:32208710:1 gene:OGLUM03G34210 transcript:OGLUM03G34210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTATPPPPEPPPTTEELRHLHRHDGHEELSQRMSGASFRSASSSGTTGGRRGRGKGGDGFLVAVCLPRMQAGQSWTGSLPPPLPNSLASSPSPSCLHLPQRLPSRRCVWLGWERPQ >OGLUM03G34220.1 pep chromosome:ALNU02000000:3:32210649:32216632:1 gene:OGLUM03G34220 transcript:OGLUM03G34220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSDARQAAAATATTPCRDEELLCGPKGQRRGGPRDEVPLDLQRSPRPPEGGEMASAVASGSEPKQVRQWVWRRVVEVEEQQVVHEIAVCSSSLFSSRRPYPHRCRRHDCRKRQEAYMGFKLGLRRGLLGRRVSPEVQNPNKVAVAVLLPRQAAVDSSRSLVILGRRRLEASEQGEREEEMPTLTKLYSLEEAARHNTADDCWVVVDGKIYDVTKYLDDHPGGADVLLEVTGKDAKEEFDDAGHSESAKELMQDYFIGELDPTPNIPEMEVFRKEQDVNFASKLMANAAQYWPIPATVVGISVVIAVLYARQK >OGLUM03G34230.1 pep chromosome:ALNU02000000:3:32214862:32217671:-1 gene:OGLUM03G34230 transcript:OGLUM03G34230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGAGSARSVAWGASALLVAALLLSAPSATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNPPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPLNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTNDGRRATQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVNCPTCSCGCQNNGTNPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYRMNYTQWNLVIQHPNFNNITQLFSFNYKPLTPYGGRINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSMAFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLPLLAFWIVLATFLAYA >OGLUM03G34240.1 pep chromosome:ALNU02000000:3:32222020:32225584:1 gene:OGLUM03G34240 transcript:OGLUM03G34240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G15640) TAIR;Acc:AT5G15640] MDTTTRAKIPSLHHQTEINWDDLDKTKLYVVGAGMFSGVTVALYPVSVIKTRMQVATGEAVRRNAAATFRNILKVDGVPGLYRGFGTVITGAIPARIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLGGLSASLCSQAVFVPIDVVSQKLMVQGYSGHVRYKGGLDVAQQIIKADGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRIIWSAFDRWNDKESSPSQLTIVGVQATGGIIAGAVTSCVTTPIDTIKTRLQVNQNKPKAMEVVRRLIAEDGWKGFYRGLGPRFFSSSAWGTSMIVCYEYLKRLCAKVEEV >OGLUM03G34250.1 pep chromosome:ALNU02000000:3:32226285:32240508:1 gene:OGLUM03G34250 transcript:OGLUM03G34250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPSNQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANSHDSNAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVLSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCVVHLYMLLKLYTDDTVPNLVGKFWLFLAFMKPDVYSTVVVHGDDDDDDARGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFIRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGKSSCSLDLWHEVREFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSTMQDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVIGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >OGLUM03G34250.2 pep chromosome:ALNU02000000:3:32226285:32240579:1 gene:OGLUM03G34250 transcript:OGLUM03G34250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVQEAKLLRQVNALIVAHLRDHNLTQAAAAVAAATMTPLAPPEPDGDDSVPSNQLLRLVAKGLAVEREETGRGGGAFDSAAAAAGYGGLLPPLGTNAVDFSTQDVKGSSKSFPKHEARHVSDHKNVVRCAKFSPDGKYFASGSGDTSIKFFEVAKIKQMMLGDSKDGPVRPVIRTFYDHTQPINDLDFHPESPILISAAKDNTIRFFDFSKTVARKAFRVIQVDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDINTFTCYLSANSHDSNAAINQVRYSSTGSLYVTASKDGSLRIWDGISAECVRPIIGAHASAEVTSAIFTKDERYVLSCGKDSCIKLWEVGSGRLVKQYVGAVHRQFRCQAVFNQTEEFVLSTDEQNSEVVVWDALTAENVARLPSGHTGAPRWLGHSPAEPAFVTCVVHLYMLLKLYTDDTVPNLVGKFWLFLAFMKPDVYSTVVVHGDDDDDDARGGGGGGRHALAEDDEEEDPSSLPPLLQRLPKDFGGGASFDEYDDPYSSDLDDASLSATVVVKRGAPASTSASSRSPFLDLRRSSPRAAEVDPYSTFVVHGTARSGGASSPRESASGTFIRRSGGSSSPRESVSGTFIRRTGSPSSPHESISGTFIHHTSGASSPRDTAQGGGGFGSSFWSPAVGQSEELRQPALLVQQQHQQQQNSRRKPSVSSVPESVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAIKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIVMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGKSSCSLDLWHEVREFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMLKHKFIEKCNPGASKMLAKIKEAKKIRAKVAAETELSGPDSTMQDATVRINDDFGETVPTNPQQQTNHETYDGGAGDFGTMIVHPEDGDEVDESPIFPSSEFIPGLGSINSFTHDPKRAELISNFWAENTADIEANKEQYLDEHPDMQEAKTMPPSTGTVKKLKVAEGTMPRHGNQVSSASPGVASTMTKLNSSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSDSVIGNGLAGSNRTDALEAVRELFSGDGQTKKGRKGQNEVPLPPGVHHRLTTSPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >OGLUM03G34260.1 pep chromosome:ALNU02000000:3:32241276:32246889:1 gene:OGLUM03G34260 transcript:OGLUM03G34260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transporter associated with antigen processing protein 2 [Source:Projected from Arabidopsis thaliana (AT5G39040) TAIR;Acc:AT5G39040] MRCTGIGEGATASRQVIPVSVALALPPVLHPRRCDAAKHVNVSIGARRNSARHEANTVRYKKGASHCLSLASSSQSPSPRAAAPHPHKPSTTTTHPPIRWPPAAGAEGGGPAAMGKNLRIKTGNRAPLLAQGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVIATMALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALDDVTGTILYIVIIVEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTSIGLGFMFATSWKLTLLALVIVPVISIAVRKFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEVLRYGEKVDETLKLGLKQAKVVGMFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQILDRVSSMANSGDRCPTNENDGEVELDDVWFAYPSRPSHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLYGVPLPEISHQFLHRKVSIVSQEPVLFNCSIEENIAYGLEGKASSADVENAAKMANAHNFICSFPDQYKTLVGERGIRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLSRDGIYTALVKRQLQGPRFEGTSNATAEIEPISNGQ >OGLUM03G34270.1 pep chromosome:ALNU02000000:3:32251305:32252432:1 gene:OGLUM03G34270 transcript:OGLUM03G34270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPASRCVLMDPGVPDYVFSIDQTEQGWASIDLKKKRYQGCGRYGPLLAESVKLYARLAEEHPALSRLAVCADKNIIRDIVAEELKKKQAFKVADLPIRAIEFDNVDEISGVTARVEVADKNLMVLSLSFVFTSSKLKHYLLYDAIHASMSMIPIPNWCCDIYLPSNPLPVRYGDEHALVLFAKDYPYKRGGRSTCIDLLYLWTSLLPPPPPREKMYPNPSGEPWHTRKPRFPKETPASFCHHVKFTSSSHAFWADLTKGVLCCRIKDLMDSFFVHFDFIELPPGCKSDALDDSDTGPAEMFRTMGCGTGDLIKFVSISFDDSVPEDDKTVTEWTLDMDTLQWTKGEELRFGTLWELDDFKKDGLPETEPVYPC >OGLUM03G34280.1 pep chromosome:ALNU02000000:3:32252464:32253081:1 gene:OGLUM03G34280 transcript:OGLUM03G34280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKRLVSNPLSWRPDKIVPSGTLIYSQRLVPDNRKMDSGKVQSWVEMESANIIGSEEVDENMQREISRKQMVWCGSQVDLFCQSS >OGLUM03G34290.1 pep chromosome:ALNU02000000:3:32259219:32272601:1 gene:OGLUM03G34290 transcript:OGLUM03G34290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADIGADENGSRWCGGGRQVRRGCPPPGGAGTGMAPAARGGSGGRALPGHKISEKAKHVF >OGLUM03G34300.1 pep chromosome:ALNU02000000:3:32268754:32269218:-1 gene:OGLUM03G34300 transcript:OGLUM03G34300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEVVTPPYDRTKRMVIPDELKVLRLQPGHKYCLLGQLSKE >OGLUM03G34310.1 pep chromosome:ALNU02000000:3:32270500:32273012:-1 gene:OGLUM03G34310 transcript:OGLUM03G34310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKXKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPALVEIFVFIWRTSQLQKMSECYVRNNPFGQPFITQSDPHWSFYAQIAS >OGLUM03G34310.2 pep chromosome:ALNU02000000:3:32270500:32272831:-1 gene:OGLUM03G34310 transcript:OGLUM03G34310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKXKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGHILPFSLNPTVILTATIIHWALVEIFVFIWRTSQLQKMSECYVRNNPFGQPFITQSDPHWSFYAQIAS >OGLUM03G34310.3 pep chromosome:ALNU02000000:3:32270500:32272831:-1 gene:OGLUM03G34310 transcript:OGLUM03G34310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKXKRAAARKKRGGSPASENNGEEHADAQGCLPDISTSKSENYKERAFSTQGDLPQKAGCDLEANLCAESVQSSSSQLVHSNENSNPKRPEVKNANSAGNSEHCMLDTRKTTDHDTSSMPLQDSRFDANVRIDGLHSTTEERVCQSTVGDGFTGMSNASYNPYSVQENQRIGPFMREPYTLHSHFLHPSHVRGYIGNKFMGFPPVHPMNAFDPFNQGFNFFHTGNIPPYGVSDVHRGLNIYGLSTMGKWEYDYGRHMDYTNVERNELRMTEEAYLSTHNSANFIRPSSLPLTYQQKPPITLLPRVSLTGFRKKKLLILDLNGLLADINQDYHNSHMADAKDMSKCTFTGHKTLENIHKPLVLKELRKLWNKEEPDLPWEQGYYSPSNTLLVDDSPYKALRNPALVEIFVFIWRTSQLQKMSECYVRNNPFGQPFITQSDPHWSFYAQIAS >OGLUM03G34320.1 pep chromosome:ALNU02000000:3:32291612:32292095:-1 gene:OGLUM03G34320 transcript:OGLUM03G34320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLMKGRTVLVIAHRLSTVKSADTIAVISDGQIVESGTNDELLSRDGIYTALVKRQLQGPRWTPFPDDPLNVNGYEFIHSENATQSRTTVQTHSNCHELLLCLTQLTRLFFIECNYALMA >OGLUM03G34330.1 pep chromosome:ALNU02000000:3:32297169:32299527:1 gene:OGLUM03G34330 transcript:OGLUM03G34330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >OGLUM03G34330.2 pep chromosome:ALNU02000000:3:32297169:32299527:1 gene:OGLUM03G34330 transcript:OGLUM03G34330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGVCAPRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTVRGMIPHKTKRGEAALARLKAYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGQLSKEVGWNYYDTIRELEEKRKEKAKVAYDRRKQLAKLRVKAEKAAEEKLGPQLEILAPIKY >OGLUM03G34340.1 pep chromosome:ALNU02000000:3:32301762:32306117:-1 gene:OGLUM03G34340 transcript:OGLUM03G34340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRSAASSSFFLLLLVLAVRVRASSDRVQERDRSALLELRGAAGLLGRWPTGSAVADHCSWPGVTCDASRRVVAVAVAAPPASGSSELAGELSPAVGLLTELRELSLPSRGLRGEIPAEIWRLEKLEVVNLAGNSLHGALPLAFPPRMRVLDLASNRLHGEIQGSLSDCKSLMRLNLSGNRLTGSVPGVLGSLPKLKQLDLSRNLLTGRIPSELGDCRELRSLQLFSNLLEGSIPPEIGRLRRLQVLDISSNRLNSPVPMELGNCMDLSVLVLTSQFDAVNLSEFNMFIGGIPESVTALPKLRMLWAPRAGFEGNIPSNWGRCHSLEMVNLAENLLSGVIPRELGQCSNLKFLNLSSNKLSGSIDNGLCPHCMAVFDVSRNELSGTIPACANKGCTPQLLDDMPSRYPSFFMSKALAQPSSGYCKSGNCSVVYHNFANNNLGGHLTSLPFSADRFGNKILYAFHVDYNNFTGSLHEILLEQCNNVEGLIVSFRDNKISGGLTEEMSTKCSAIRALDLAGNRITGVMPGNIGLLSALVKMDISRNLLEGQIPSSFKELKSLKFLSLAENNLSGTIPSCLGKLRSLEVLDLSSNSLSGKIPRNLVTLTYLTSLLLNNNNLSGNIPDIAPSASLSIFNISFNNLSGPLPLNMHSLACNSIQGNPSLQPCGLSTLANTVMKARSLAEGDVPPSDSATVDSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRQSRRSIRRREVTVFVDIGAPLTYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDSEMFLIYNFLPGGNLERFIQERAKRPIDWRMLHKIALDIARALGFLHDSCVPRILHRDVKPSNILLDNEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQEAMEHQRDEVGDLDQMICYQIQGMRA >OGLUM03G34350.1 pep chromosome:ALNU02000000:3:32310549:32312527:-1 gene:OGLUM03G34350 transcript:OGLUM03G34350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAPRLLLMALMAAALAGRSEGAWCICRQDMPDSTLQKTLDYACGDGADCKPIQQSGACFSPDTVKAHCSYAVNSFYQRNNQNSQACVFSGTATLVTTDPSSNGCMYPASASAAGTGTPTSGTGGSTGVDGPPGMGLGPSSFNDNSGASLLPEVGTAMWILILACSIMVLNFS >OGLUM03G34360.1 pep chromosome:ALNU02000000:3:32314779:32317406:-1 gene:OGLUM03G34360 transcript:OGLUM03G34360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLAEGVMELAVGAPESNSSFSSSPSGATPSSGGPMWWVSGCHGTVYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCMPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNETSGFESLARTFVISGAVVAADVLLKTIYVFGFGVSLFIDVDQGTGGKWGLWFLHKLVLTGVYGLIVFMYHSRWRDRLPAKPAYYNYVCAMLLLNGISLFGCFLVATGAGFGLWLYNLTSVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >OGLUM03G34370.1 pep chromosome:ALNU02000000:3:32319341:32325739:-1 gene:OGLUM03G34370 transcript:OGLUM03G34370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGWGTGKGDVEEERNQIMQKIFGDESGEEVVVEEEEEEDPEEYLAHDGDDAMSGGDRGSGGGGGDGGQLQDRRLASPAAEVVEEEYGLEDNGHGGDQWEEGQGESQGSSGMAQEIEADSHDVELGNQSTQVHMKGVNTAEGGHVKRTITSETRSTENEEDHEVLHDAFDDNDKDGLAPYGSRDDNKHAHESLMNDEGPYEELLPEDMVDEDKHYEPDENIEHELKDKPLGPPLNLVVPRMLPPGQPDRMNVIKVSNIMGVNPKPFDPETYVEEDALTDESGGRKKIRLEDNIVRWKIVKNADGTESRESNARFVKWKDGSIQLLIGNEVLDISVNDSNHDNSNLFLRNGKAIIQSLPLPLLSIDHHHVHKLTTDFFSGAYAITRKASTEDEKTIKMQTWIDENDPEKVKEEREKAEGENIRASSSLQRKREQVKRKYSQPLRKRRKLTPGFLEDALEEDEAPGVGYNQRRGPGHAHFEDSLEAEALSKRHVTNAKKANVGKAVPSPSVPKHQVNEYSKSESEESEYETDVEDIDNSPTNGREDDMDEEEEEDPEEVIGDTSMSDENNEEQEHVKERKGFNSDDESPPRKQPLNRRKTVVFDSDDE >OGLUM03G34380.1 pep chromosome:ALNU02000000:3:32330457:32331896:-1 gene:OGLUM03G34380 transcript:OGLUM03G34380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHDLHTLRQSSRAIQTSIIEVTAGLAKVTELVLALRAGFAEQNVAVETSTTTPPPLPTVSKAEVLQPLAPPSPPRAAGSPPPTLPTAEVLQPRATAPPAPPSPLFVFAVVLPHLPMVLLGLAEMPLHQSSPWTNIRRVQSGDLQGRHGFLVLRGVGASCALQVHGSVLRLRYRAAAPLSLRSLGWGPPISVDSMLTWNSRVTLDLLFYFQNKIHSRDVKGLIIGDESRCQDNHHVEINLQLAGKLLPKEEGKGTRMTWVIGTPQPSGMASTAARGRAVSKEGWRCYGQNSAPLIIR >OGLUM03G34390.1 pep chromosome:ALNU02000000:3:32331964:32334629:1 gene:OGLUM03G34390 transcript:OGLUM03G34390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVARREGEAAAASPPRSLGEAEQRRGETRDRECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDESEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELV >OGLUM03G34400.1 pep chromosome:ALNU02000000:3:32337122:32338193:1 gene:OGLUM03G34400 transcript:OGLUM03G34400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVFSIAILFLLVDYFRCRRRRGSGSNNCENKGMLQLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFVNRPRFPSQLPASFNGTSLGSANYGPHWRNLRRIATVHLLSAHRVSGMSGIISGQAATWMYRAATASAAGVARVQLNRRLFELSLSVLMEAIAQSKTTRPEADADTDMSVEAQEYMHFVDELNPLHGAANLWDYLPALRWFDVFGVKKKMLAAVNKRNAFLRRLIDAERQRMDSDVDGGGDGEKKSMISVLLSLQKTEPAVYTDSVIMTLCTVSAFTCKSSVFYLFPSIPTDMHFHILATQSRIERL >OGLUM03G34410.1 pep chromosome:ALNU02000000:3:32353348:32354495:1 gene:OGLUM03G34410 transcript:OGLUM03G34410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYIAIFSIAILLLIHFLLFRRRGRSNGMPLPPSPPAIPFLGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQLPASFNGTTLAFAHYGPHWRNLRRIATVHLLSARRVSSMSGVVSGEMHYVVFSLGKQ >OGLUM03G34420.1 pep chromosome:ALNU02000000:3:32359548:32363295:-1 gene:OGLUM03G34420 transcript:OGLUM03G34420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKVNESGIKDTSVNCSTSSFQQDANYEFSNRALQHGYSVPGPVFEEKSFSAAQEFVQNSHQFDHFLRPFRPGQCEGMQMPNDSLDITQRSILSNASCLDHAEEITSYDTDGYDDRTISFGSSCSTIPASYPYISPLQRNHLISDTRDCTWTALMQESLEASNSNNGLNEDCSDLTFSNTEFSGGNKMQNQVVWDNGCLTSPSFTSNFLPFPGDAESAFTSASTVCNLQNFVDLPHDMNNNEQDKPSSELRAPQQKGATRSHICQHRDEMHSAEWGTYPGNEDSDLMPAAQDKQNKVLHAQFNSSVINIDGSAGSGMEKLHGLYECEEQMEIDSLLNSFSAPSDAFSQSYEIFQKSESFVGLDKKVKLEESVSATCFSNTVPCMQAGAPESAISDGSSCHQQYNSTSQVTDLFYTSASQWATTSSSVLPLPFCGSNPVSCLGANGEDHLLTDDHTLLHEQRRAVCGTSYDLTDNVANPVLEFTNILDGQSSLNKTYISHDGLVATNGVWKGHRDVMENHPLGVYSSSHARHPQMELPMTRTSHVLLPPPNLSNNPNSSFVRGTELKKAELMGAYSTTENYLDLDNSERKGIICPKSSEQNVAENICNKAAEYQCNDYSQIVCNQQTVLLPLNKASHFGGLPTKKFDGKLVSRQKKRKRATSLLSWHAQVMSGCSKTHHTRKPELDWAHATRRLVEKVDAENMKTKNSTFVSQAQKRFAFTTKLMQYILPVLPDRLLAANAIDSCETIVYRTSRLALPDAFNPAISSVSDANNFIPTESMPQNQTSTSEKEDDKLVPEVLETFTMRAERATTFQDLATETRDLERWSILHHFIKLHKYSRLHEDDVSNTRPKPCRSTIRKHAGPDQVPVDFLNSVRCRLLN >OGLUM03G34430.1 pep chromosome:ALNU02000000:3:32364719:32365340:1 gene:OGLUM03G34430 transcript:OGLUM03G34430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATSSGGGGGGGGAGVNDPRQPSTARQYTPPKLSPQDLPIDYAGFLAVVFGVLGVMLRYKVCSWIAIIFCAQSLVNMKNFENDLKQLSMAFM >OGLUM03G34440.1 pep chromosome:ALNU02000000:3:32378320:32381414:1 gene:OGLUM03G34440 transcript:OGLUM03G34440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPFMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRGIHLAAIPDGLAGDEDRKDLNKLIDAYSRHMPGHLERLIGEIEAGAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFWAASTACLAIMLKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHTSLLSWNNSGAAEGQHIIFDLVCRNNKFNNDLAEMTVCNSFHEAEPAVFKLFLDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVVYVAFGSLAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVEWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVEAGEDSIVTREEVRSKVEQVVGDGEIKERARLLRDTARACVSEGGSSHRNFRKFIDLLSE >OGLUM03G34460.1 pep chromosome:ALNU02000000:3:32383763:32384245:1 gene:OGLUM03G34460 transcript:OGLUM03G34460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSSSHSPLPTMMASAPSLPRADAMGSPGDLNHSDIAGYMPPELGLLADLALLYLNSNRFCASSRQSSPAVAGAAPTVEDGEDGAALQLRPASGAQIRSSPSSIRCHLSLLSLSSLLFTGGGRGNTGAQSSVLGLLPHPARLLHRSLGRGARRAASL >OGLUM03G34470.1 pep chromosome:ALNU02000000:3:32386729:32388129:-1 gene:OGLUM03G34470 transcript:OGLUM03G34470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGESHVLALPFPAQGHVIPLMELAHCLVEHGVKVTFVNTEVNHGRILGALDDASHGGELGGVDMVSISDGLGHGDDRSDLGRLTESLLSAMPSELEKLVGRINASASAAGGGGREVTWMVADVNMAWAFPVAKKLGLRVAGFCPSSAAMFVTRIRIPELVRDGVLDERGMPRWRGAFRLAPAMPPVDTAEFSWNRAGDPRGQPAIFRLILRNNAATHLAEAIACNSFEELELGAFAVDVPGRVLPVGPLASGGKPVGGFWPEDASCAAWLDAQPAGSVVYVAFGSIAALGAAQLAELAEGLALTSRPFLWVVRPGTASERCLDGLRRRAAPRGRVVGWCPQRRVLAHASTACFVSHCGWNSVVEGVSNGVPFLCWPYFADQFLNQSYICDVWRTGLRMAAPAPADEAPARLVARQLIRRKVEELIGDQETKARAIALRDAASLAVGDGGSSRRNLTRFLDLIRS >OGLUM03G34480.1 pep chromosome:ALNU02000000:3:32389370:32391673:-1 gene:OGLUM03G34480 transcript:OGLUM03G34480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHVLVLPMPCQGHVIPLMELSHRLADEGFEVTFVNTEVDHALVVAALPPGGAAELRQRRIHLTAIPDGLAEDEDRKDLNKLIDAYSRHMPGHFERLIGEIEAGGGRPKVRWLVGDVNMGWSFAVARRLGIRVVSFSPASTACIAFMRKIPKLIEDGVLNEKGWPERQETLQLAPGMPPLHTSLLSWNNAGAAEGQHIIFDLVCRNNKFNDDLAEMTICNSFHEAEPAVFKLFPDLLPIGPLVADRELRRPVGHFLPEDAGCLDWLDAQPDGSVLYVAFGSLAIFDARQFQELAVGLELTGRPFLWVVRPDFTPGLSTAWLDAFRRRVAGRGVIVEWCSQQRVLAHAAVACFVSHCGWNSTLEGVRNGVPFLCWPYFCDQFLDRSYITAVWRTGLAVAAGEDGLVTMDEVRSKVEQVIGDGEIRERARLLRDTARACVSEGGSSHKNFRKFIDLLSE >OGLUM03G34490.1 pep chromosome:ALNU02000000:3:32395954:32398970:1 gene:OGLUM03G34490 transcript:OGLUM03G34490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSMRFSISTDETIDYFLRFTIFSPHKLLVDIDNSKKTTSMAAATQPHVMVLPFPAQGHVIPLMELSHRLADQGFKIDFVNTEFNHDRVLKALAEKGAIPGGIRMLSIPDGLDPADDHTDIGKLVQVLPAAMLSPLEKMIRSEKIKWIIVDVSMSWALELATTMGVRIALFSTYSAAIFALRMNLPKLIEDGILDETGNVKKHEMVQLMPPIDAAEIPWVSLGSTQERRRYNIQNVFKTNRLMALAEMIICNTFREIESEALELLSNALPVGPLLAPTSGPTGHFLPEDMTCLTWLDTQAPGSVIYVAFGSSTIFDVAQFHELANGLAVSDQPFLWVVRPNFTNGIQEDWFNEYKDRIKGKGLVISWAPQQRVLSHPSIACFMSHCGWNSTMEGVLHGVPFLCWPYFSDQFCNQSYICNVWKTGIKLCRDKKGVVTQEEIKNKAAQLLEDKEIKERAVTLKTTARASIQEGGSSHQNFLELVNLLREQ >OGLUM03G34490.2 pep chromosome:ALNU02000000:3:32393513:32396557:1 gene:OGLUM03G34490 transcript:OGLUM03G34490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPRPQPHVMVLPFPAQGHVMPLMELSHRLVGLGFEVEFVHTDFNRDRVINAMANEAGAIPDGIHMVSFPDGMDPAGDRADIAKLGDGLPAAMLGGIEEMIRSEGIRWVIADVSMAWVTELAATVGVHVALFSTYSAAVVAHRLQVPKLIQDGVLDEIGNVRRNEMIQLRPTMPPVLAVELPWVTLSGTPDGRRMVIQNVFKTNPTISSAEVIICNTFQDIEPGALALVPNVLPVGPLEAPATSRLAGHFWPEDTTCLAWLDEQDACSVIYVAFGSFTVFDMARVQELADGLVLSGRPFLWVIRQNFTNGAGEGWLEEFRHRVSGKGMIVGWAPQQSVLSHPSIACFVSHCGWNSTMEGLRHGVPFLCWPYFADQYCNQSYICNVWGTGVKLQADERGVVTKEEIKNKVEQLVDDKEIKARAAKWKHAACTSIAEGGSSHENLLKFVNLLREQ >OGLUM03G34500.1 pep chromosome:ALNU02000000:3:32393829:32400236:-1 gene:OGLUM03G34500 transcript:OGLUM03G34500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRCRAPASSSLAPTASSAGVALRRCADAGDSEVAARPLTRRGSGWGEAGLQEASDRPYKHRSSVPDLPCRCVAELFADAAPRRGAAESRGVGGAAWVDAGRGARGFEEEEEEDASQPPRSNPVPRAGGGAYRSRRGWSRRAEWCILRWSHTAMKWSHLRASAKIPPVFFGAAFVQDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAKHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLVCDFTARTF >OGLUM03G34500.2 pep chromosome:ALNU02000000:3:32393831:32400236:-1 gene:OGLUM03G34500 transcript:OGLUM03G34500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRCRAPASSSLAPTASSAGVALRRCADAGDSEVAARPLTRRGSGWGEAGLQEASDRPYKHRSSVPDLPCRCVAELFADAAPRRGAAESRGVGGAAWVDAGRGARGFEEEEEEDASQPPRSNPVPRAGGGAYRSRRGWSRRAEWCILRWSHTAMKWSHLRASAKIPPVFFGAAFVQDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAKHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLVCDFTARTF >OGLUM03G34500.3 pep chromosome:ALNU02000000:3:32393831:32400236:-1 gene:OGLUM03G34500 transcript:OGLUM03G34500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRCRAPASSSLAPTASSAGVALRRCADAGDSEVAARPLTRRGSGWGEAGLQEASDRPYKHRSSVPDLPCRCVAELFADAAPRRGAAESRGVGGAAWVDAGRGARGFEEEEEEDASQPPRSNPVPRAGGGAYRSRRGWSRRAEWCILRWSHTAMKDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAKHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLVCDFTARTF >OGLUM03G34500.4 pep chromosome:ALNU02000000:3:32393831:32399544:-1 gene:OGLUM03G34500 transcript:OGLUM03G34500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGGGRRSPGELGALPGWTPAGEPEASRRRRRRMRASRLAQTPCRARAGGHIGRAAGGAAARSRERQGYLGMVHPAMEPYCHEDCQLKGDILGNLLGIKWSHLRASAKIPPVFFGAAFVQDAILDQLGDLQPVRHNGGGVSREQGDVDTHRGGQLRHPGHGHIGDHPPDPLRSDHLLDAAKHGGRQAVTQLGDVGTVTGRVHAVREGHHVDPIRDRPRLVRHGVDDAVAVEVGVHEFDLEAEADEPVRELHERHDMALRREGKHHDMRLRTRRRRSRHGCQWSVLVCDFTARTF >OGLUM03G34510.1 pep chromosome:ALNU02000000:3:32402451:32412448:1 gene:OGLUM03G34510 transcript:OGLUM03G34510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDE4] MTGRMCSSVQELELHGTRISSVNAISALCEATGANVTEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMGKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKNAHGLCILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNVVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >OGLUM03G34520.1 pep chromosome:ALNU02000000:3:32416800:32436976:-1 gene:OGLUM03G34520 transcript:OGLUM03G34520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGTYLPTLDI >OGLUM03G34520.2 pep chromosome:ALNU02000000:3:32429762:32436976:-1 gene:OGLUM03G34520 transcript:OGLUM03G34520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPAAAATATAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRIFSIASAVLTGTVDFSTTILSDLDTSAIILAALSQSAALPAPRPRVLVGVFTDTKMMSASATCFSTSVLKKRFLPLHSLTTSSSPGS >OGLUM03G34520.3 pep chromosome:ALNU02000000:3:32416800:32429716:-1 gene:OGLUM03G34520 transcript:OGLUM03G34520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSIAGHLRAITAMVGPPTSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGTYLPTLDI >OGLUM03G34520.4 pep chromosome:ALNU02000000:3:32417246:32429716:-1 gene:OGLUM03G34520 transcript:OGLUM03G34520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTSIAGHLRAITAMVGPPTSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHCHHTASFQRGVGGAAVAAHIHGAAAVALAEQMSAFVSPPPQPHMLYGLPRLHPPSSSETAVSCSMPTTTSLQELNNGEGLQRPGYNNSPQAAVTIAQRPPSPSVPPAVSFDKGLLDDIVPPGITKTFFV >OGLUM03G34530.1 pep chromosome:ALNU02000000:3:32429664:32436282:1 gene:OGLUM03G34530 transcript:OGLUM03G34530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIDDLFKPDRVLIGGRETAEGRKAVQALKSVYAHWVPEDRIITTNLCEELDENS >OGLUM03G34540.1 pep chromosome:ALNU02000000:3:32444051:32450831:-1 gene:OGLUM03G34540 transcript:OGLUM03G34540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 [Source:Projected from Arabidopsis thaliana (AT3G29320) TAIR;Acc:AT3G29320] MATASAPLQLATASRPLPVGVGCGGGGGGGGGGGLHVGGARGGGAAPARRRLAVRSVASDRGVQGSVSPEEEISSVLNSIDSSTIASNIKHHAEFTQVFSPEHFSPLKAYHATAKSVLDTLIMNWNATYDYYDRTNVKQAYYLSMEFLQGRALTNAVGNLELTGQYAEALQQLGHSLEDVATQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKHGLFKQIITKDGQEEVAENWLEMGNPWEIVRTDVSYPVKFYGKVVEGTDGRMHWIGGENIKVVAHDIPIPGYKTKTTNNLRLWSTTVPSQDFDLEAFNAGDHASAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFERRAGDSLSWEDFPSKVAVQMNDTHPTLCIPELMRILIDVKGLSWNEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIEKIDGELMNIIISKYGTEDTSLLKKKIKEMRILDNIDLPDSIAKLFVKPKEKKESPAKLKEKLLVKSLEPSVVVEEKTVSKVEINEDSEEVEVDSEEVVEAENEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAAIHSEIVKEDVFNSFYEMWPAKFQNKTNGVTPRRWIRFCNPELSAIISKWIGSDDWVLNTDKLAELKKFADDEDLQSEWRAAKKANKVKVVSLIREKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAKDRINSFVPRVCIFGGKAFATYVQAKRIVKFITDVAATVNHDPEIGDLLKVVFIPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAQGKFVPDPRFEEVKRFVRSGVFGTYNYDDLMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDKAYRDQKLWTRMSILNTASSSKFNSDRTIHEYAKDIWDIKPVILP >OGLUM03G34550.1 pep chromosome:ALNU02000000:3:32456193:32462066:1 gene:OGLUM03G34550 transcript:OGLUM03G34550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRGGLDWGMMKAGTRRLRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >OGLUM03G34550.2 pep chromosome:ALNU02000000:3:32456193:32462066:1 gene:OGLUM03G34550 transcript:OGLUM03G34550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRSLADVCDGVKRRLGLGDDEGRDEEAGLAGGSSRPAAAAAAVAGPPGECYACTQPGVPSFHSTTCDQVHSPDWDADAGSSLVPVQAQPSAAHHAAAAAARWVFGPVLDPRSKRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQLIDQNLQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >OGLUM03G34550.3 pep chromosome:ALNU02000000:3:32456193:32462066:1 gene:OGLUM03G34550 transcript:OGLUM03G34550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide-regulated ion channel family protein [Source:Projected from Arabidopsis thaliana (AT5G15410) TAIR;Acc:AT5G15410] MPSLSFLRFLSGRSLADVCDGVKRRLGLGDDEGRDEEAGLAGGSSRPAAAAAAVAGPPGECYACTQPGVPSFHSTTCDQVHSPDWDADAGSSLVPVQAQPSAAHHAAAAAARWVFGPVLDPRSKRVQRWNRWILLARAAALAVDPLFFYALSIGRAGQPCVYMDAGLAAAVTALRTAADLAHLAHVLLQFRVAYVSRESLVVGCGKLVWDPRAIAAHYARSLKGLWFDLFVILPIPQVIFWLVIPKLIREEQIKLIMTILLLLFLLQFLPKVYHSIYIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRVASCLQEECKIKNTCNLISLACSKEMCFHLPWSDKNGLACNLTSFGQQNIPDCLSGNGPFAYGIYKGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLEPTSNWLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKYERERWAAITGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFVLQGKLRSTQPLAKGVVATCMLGAGNFLGDELLSWCLRRPFLDRLPASSATFECVETAQAFCLDAPDLRFITEQFRYKFANEKLKRTARYYSSNWRTWAAVNIQLAWRRYKARTTTDLASAAQPPSAGGPDDGDRRLRHYAAMFMSLRPHDHLE >OGLUM03G34560.1 pep chromosome:ALNU02000000:3:32466408:32469260:1 gene:OGLUM03G34560 transcript:OGLUM03G34560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G03430) TAIR;Acc:AT2G03430] MSATMDIDGAAASAAAGGGSRSEKELFSAAESGDAAAFSSLSPADLAAALALRDEDGRSLLHVVRVLAAVGGDAAASVVNGKDEEGWAPIHTAASSGKAEIISILLDQGANVDLTTDAGRTALHYAASKGQLNIAETLIAHSANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGADVDAVDKTGQTPLMHAVISEDKAVALLLVRHGADVDIEDKEGYTVLGRASDSFRPALIDAAKAMLEG >OGLUM03G34570.1 pep chromosome:ALNU02000000:3:32471576:32472208:-1 gene:OGLUM03G34570 transcript:OGLUM03G34570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRRRAALLVVAVCACAALPSTTTANKFSINWKPNTNYSDWPAQHGPFYKGDWLVFYYTAGQADVIQVDAAGYNTCDATNAISNYSKGRTYAFELNETKTYYFICSYGYCFGGMRLQIKTEKLPPPSPPAAAKDKSAAAFTASRASLFYAAAAAVLAAILRMF >OGLUM03G34580.1 pep chromosome:ALNU02000000:3:32486404:32493698:1 gene:OGLUM03G34580 transcript:OGLUM03G34580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVPARLFVLRMLRVVRLNPLQRGAASVVEFRTVKSRIVYFRCVDSPIFDLVHLMRRLQNTHRLVPFHGNGGSLARMGAKVEGDNYMLGYYAMGDFNMDANGRWSPYHDEKMSNGHMCNGFMTKPANGYSEYDKEMLTRTMLEHEAIFRQQVYELHRVYKIQRDLMKQYQNKDIYAYPMLEDASKTNSPSQLPPNGAKMSWPIQTPPMSITYKKASIAEHGVMNHPLKFLREGSVQSSPNGFPPSDVALNARQGTFDLQLSADHYVDDDNASDNGPIDFLGVAPDKKPQNNADLTLVSPEGLGRFSDNSSTSGLHATNNVGGRQVVDLNEPITGTYMGRANGSVSRGLSYTLENSWHQSILKPSTANFNYNKEYSKEKHLDEGTSSNFFAANAKTKQEEKQLIDKGKQVSSIHVFTPRYSDANPQMSMKGVDGRSASNNQFFHQGQNGSIGWFARSPLEAPAINNFPRLDRSHNSSLGALAPPMSIPRIDHPSGASPIGSCTVDPRSSAINNATFQPIPSFKGSSTVNQSIGTSILKVKKNEDLDGNCPGFALDPFCASRPQHQVAISSDEEQTECLMFEHSARHHENPHFANDKGPKNFNLNEALSDGQEDYLVEQDGGSVSSLPQSKASGFPWLIKTTDTCTRPSDLQNPRKVFAHSNRIVIDLNSNTDRKEAALTIHSLSDSASTSLDCGVKKESQDCGIKKDEAFGDITTRTEVACNTTQESATCLPVLCQEYVPGDDKAANGGDKKSSAPVRNFIDLNDDAPNEDNSESSVVSHECHVVSLQNNHGKRKFVIDLEVPACEEGVAWDFNQECSPSGKLDVTQEADDAHFTCTKIAAESIVALSMHVPTIAETPDDMLQWFADLALSSTDDHVEQAEAHDCVNNSSDDGLDSFESLTLKLEETKIDEYWSRPQAPEIPNDEQAGLSVNLLTKPKRGQQRRRRQKRDFQKDILPGLTSLARPEIIEDIQLLEGLVQASGGSWQSSLTRRGRYGGRPRGRKPRKNLSETIEEEEVPVSPPAKPDTAKPDAAEIEASDRGIIGWGRTTRRCRRPRCPSGYNISAAS >OGLUM03G34590.1 pep chromosome:ALNU02000000:3:32494085:32499053:1 gene:OGLUM03G34590 transcript:OGLUM03G34590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69400) TAIR;Acc:AT1G69400] MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASISVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPFDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKLVEEHQIFFEMKQHF >OGLUM03G34590.2 pep chromosome:ALNU02000000:3:32494085:32499053:1 gene:OGLUM03G34590 transcript:OGLUM03G34590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G69400) TAIR;Acc:AT1G69400] MGWRRREGGGAVAGAASRLRFAPSSNHLLVSSWDSGLRLYDADACELRMEAKSEAALLDCCFQDEAVALTGGSDGSITRYDLHSGAQGTIGQHHEVVSCIEFSQITGQVVTATLDKKLMFWDSQTRNVNPNSIKNLDSDVASISVCEMYILAAIEREVYIYDMRNLIGPVKVKDSPVEYHLRSLHSSPEWKGYAAGSVDGVVAVKYFDRGTDGDMGYVFRCHPKSRDGRSSMVPINSIGIHPLYVLYFFFVHLPAVMFLKVNFFFFETNLKVMWTHWFLIGSDKTFVTGDNEGYVIAWDAQSKKKLHEFPIYSGSVASIAFNHNGQIFAVASNSNYQESDKLVEEHQIFFEMKQHF >OGLUM03G34600.1 pep chromosome:ALNU02000000:3:32500166:32501770:1 gene:OGLUM03G34600 transcript:OGLUM03G34600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDF9] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGHIVIKNRPCKWSGNHASLLVDTKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTD >OGLUM03G34610.1 pep chromosome:ALNU02000000:3:32503436:32514870:1 gene:OGLUM03G34610 transcript:OGLUM03G34610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVDKYQQVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILDNMSAKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKRLSSLKPHHHLRRRVGDHGRTPDLSNANRVAGEGEGGDRFRRRRVAAVTAAAIDRAKSPEEGDSFRWFWKYSAQAVGASQSNPNTSRSDPLSWSAAVVVIDREALPLHKQRKLTRAAAAAMADRRRSDGGGGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSTMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQPSGGAHQMKEET >OGLUM03G34610.2 pep chromosome:ALNU02000000:3:32503436:32505878:1 gene:OGLUM03G34610 transcript:OGLUM03G34610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDNLRRFFDVNVGKWNGAFYVGFFQEEKAFALRYQTAGMLETVLRAGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSREGNGRVRAFHIMDPKGVLDMLIIFHEKQGSEVPLMYSSDDADITNSDRIAPLLGRWEGRSVTKRSGVYGATLSEADTVVLLEKDRNGQLILDNMSAKSGSSTTTTVHWTGSANNNLLQFDGGYEMTLLPGGMYMGYPTDIGKIVNDMDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFFETKV >OGLUM03G34610.3 pep chromosome:ALNU02000000:3:32507264:32514870:1 gene:OGLUM03G34610 transcript:OGLUM03G34610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRRSDGGGGGGGMQQQPFTSPGQERVFDGGGVPGQVAAPYGSDFDQSSYMALLAAGAVGVGVGVQPTAAPWAVEEDVAAAPPGISLAPQFSMANYAPPPSYQHPATLVSPPLAAGLHPYPPYLHGVDAPPPQWPPRPAPPPSFSVLDLAAAAAPHEQRHSMQQLLLRAAAFGGGMHAAAAPAPAAAAAIEQPAKDGYNWRKYGQKQLKDAESPRSYYKCTRDGCPVKKIVERSSDGCIKEITYKGRHSHPRPVEPRRGGAASSSSSTMAAGTDHNAGAAADDAAAADEDDPSDDDDTLLHEDDDDGEEGHDRGVDGEVGQRVVRKPKIILQTRSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTADGCNVRKQIERASADPKCVLTTYTGRHNHDPPGRPPAAANLQMPGPAAMSLAGGGTAHQQPSGGAHQMKEET >OGLUM03G34620.1 pep chromosome:ALNU02000000:3:32511288:32513704:-1 gene:OGLUM03G34620 transcript:OGLUM03G34620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSTTRRRRRSRKLSVAARKFRRKVSAAIADAPIARSGGGAGGEVAAANCFARHEVVHVEAPVSNVTLHLTQLQWQHSQMDAGSVICEEAWYDSVSILDSADSEDDDLDNDFASVSGDPLPDVTATATSTSTSLLDAVHRLRSIASVEACQDDDPPGKAEESNAAADAAAADECCCSSGGGLKESAASSTRPPFPPSIPSNKIQPMPIVSVSPHSQKKKSAVVRLSFRRRSYEGDEMTEMSGSTNYLYRPRAGSSLPCSTGEKLSDGCWSAIEPSVFRVRGESFFKDKRKSPAPNCSPYIPIGADMFACTRKINHIAQHLALPSLKAHETFPSLLIVNIQMPTYPATVFGENDGDGISLVLYFKLSDSFDKEISPQLKESIKKLMGDEMERVKGFPVDSNVPYTERLKILAGLVNPDDLQLSAAERKLVQTYNQKPVLSRPQHKFFKGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVLDLGLTIQAQKAEELPEHVLCCMRLNKIDFADSGQIPTLIMSSDE >OGLUM03G34630.1 pep chromosome:ALNU02000000:3:32521090:32522081:1 gene:OGLUM03G34630 transcript:OGLUM03G34630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVSHIPQVSLLTESGGTKDDLRLPSDEALLTQIKDGFAEGKDLIVTVMSAMGEEQICALKDIGPKN >OGLUM03G34640.1 pep chromosome:ALNU02000000:3:32527868:32530376:1 gene:OGLUM03G34640 transcript:OGLUM03G34640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 5 [Source:Projected from Arabidopsis thaliana (AT4G29910) TAIR;Acc:AT4G29910] MSQPVTPRRTTRSSASASPSPAPASPTSPPKSRSKPSPRRQLLAAAAAPPKEDGSSADALLAELPGRRAQAMDLLWLLAPAPALPLMLHGGAATGKTRALLLALRHLRPSQRLVYAALRSLPSPRALFASLLFQLSATPFSTSSRHRVPDKPSDFVAALRDALTGIVSQGEVVYLVFDNLEVVRSWDKGGQLLPLLLRLHDLLQLPQVVLVYVSSATPDAYYSMTGSVEPNYVYFPDYTVDEVRDILMHDHPNPKLYSSFLSVALKPLFRVTRRVDELSAVLEPLFRRYCEPLGDLKAVPDEGMKRRLFEHVQSHLAVALNETFNVPMRASMDEIKDGGSAGKGSAKRQFAGKDGLSSELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGLDNRKRKRKSSQASMHMKDTIVEEMLMKGPGTFPLERLLAIFQCITSVSEDILDEFDCPDNMASESGTTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVNFPLSKYMYRR >OGLUM03G34650.1 pep chromosome:ALNU02000000:3:32531521:32534052:-1 gene:OGLUM03G34650 transcript:OGLUM03G34650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61350) TAIR;Acc:AT5G61350] MARSMLGWKRVPLFSILLILSITNIATTYAIASQADRFVPRDNYLLSCGAPAAVQLDDGRTFRSDPDSASFLSTPVDIKITAKNSLASGAPSSQLYLTSRVFSDISTYSFFISQPGRHWIRLHFLPIPDDHYNLTTATFSVSTDDMVLLHDFSFIATPPNPVLREYIVATQGDTLKIIFTPKKDSIAFINSIEVVSAPPSLIPNTTTGMAPQGQLDISNNALQVVYRLNMGGPLVTAFNDTLGRIWLPDAPFLKLQAAANAAWVPPRTIKYPDDKTNTPLIAPANIYSTAQQMASTNTSDARFNITWEMVTEPGFSYFVRLHFCDIVSKALNSLYFNVYINGMMGVLNLDLSSLTVGLAVPYYRDFIIDSSSIINSTLIVQIGPGTTDTSNPNAILNGLEIMKISNQENSLDGLFSPKRSSQLGKKTMTGIGLAMAVMAAALAVVMCCRRRHRPGWQKTNSFQSWFLPLNSTQSSFMSTCSRLSSRNRFGSTRTKSGFSSIFASSAYGLGRYFTFVEIQKATKNFEEKAVIGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTDIKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPTLPRDQVNLAEWARTWHRKGELNKIIDPHISGQIRPDSLEIFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDIVDGTSNQFPMKSLEVTSGDSMEKSGNVVPSYVQGR >OGLUM03G34660.1 pep chromosome:ALNU02000000:3:32539051:32543879:1 gene:OGLUM03G34660 transcript:OGLUM03G34660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGGGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAATAALLRADRPGQQLA >OGLUM03G34660.2 pep chromosome:ALNU02000000:3:32539292:32543879:1 gene:OGLUM03G34660 transcript:OGLUM03G34660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEEIITEAAGARGYMEMLGLGEEAADYLMCLSPSSYLSSPAASTTTAVASPTCASYLAPHPYHHLLSFSGQDQYHGDDVFGLQYYGGDQVIPAVVPQKSSPTTECSSSVSSMSSSPTATAISSSKSPAFKKKGSRGCDQRKATAPAAATTTNKRPRVRRERLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQVLSSPYLQRLPPSARVPEQERGTPAAEEQPPALRPSDLRSRGLCLVPISCTEHVAGGGAGTGHGNGADLWSVAAGMAKATATVTAAVERSKEAAAATAALLRADRPGQQLA >OGLUM03G34670.1 pep chromosome:ALNU02000000:3:32544283:32559178:1 gene:OGLUM03G34670 transcript:OGLUM03G34670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPEILKKAQAEIDASVGYSRLVTANDVPQLSYLQCIISETLRLYPAAPLLLSHESSADCTVNGYHVPSGTMLLVNAVAIQRDPMVWKEPNEFKPERFKNGESEGLFMIPFGMGRRKCSGETLALQTIGMVLGTLIQCFDRDRVDGVEIDMTQGSGLTNPKAIPLEAMCKPCEAMCDVLQKLLCCPGHRLRSNLCAGWRWRSTGTDSTRRTIKGYHNPAPPRRDSGNHENLTLQFVPSARARCISDAWIVSARSDPFHLLLEAQAPLGIKADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGAARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVGVLHRSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDESEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCRRRRGSGSNNCENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYTDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGESEGLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDVFRELL >OGLUM03G34670.2 pep chromosome:ALNU02000000:3:32544283:32559178:1 gene:OGLUM03G34670 transcript:OGLUM03G34670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLNHPEILKKAQAEIDASVGYSRLVTANDVPQLSYLQCIISETLRLYPAAPLLLSHESSADCTVNGYHVPSGTMLLVNAVAIQRDPMVWKEPNEFKPERFKNGESEGLFMIPFGMGRRKCSGETLALQTIGMVLGTLIQCFDRDRVDGVEIDMTQGSGLTNPKAIPLEAMCKPCEAMCDVLQKLLCCPGHRLRSNLCAGWRWRSTGTDSTRRTIKGYHNPAPPRRDSGNHENLTLQFVPSARARCISDAWIVSARSDPFHLLLEAQAPLGIKADALSQIAAVHQSHRNTSHIRELSLAMDNAYIIAILSVAILFLLHYYLLGRGNGGAARLPPGPPAVPILGHLHLVKKPMHATMSRLAERYGPVFSLRLGSRRAVVGVLHRSRRAVVVSSPGCARECFTEHDVTFANRPRFESQLLVSFNGAALATASYGAHWRNLRRIVAVQLLSAHRVGLMSGLIAGEVRAMVRRMYRAAAASPAGAARIQLKRRLFEVSLSVLMETIAHTKATRPETDPDTDMSVEAQEFKQVVDEIIPHIGAANLWDYLPALRWFDVFGVRRKILAAVSRRDAFLRRLIDAERRRLDDGDESEKKSMIAVLLTLQKTEPEVYTDNMITALTANLFGAGTETTSTTSEWAMSLLLNHPDTLKKAQAEIDASVGNSRLITADDVTRLGYLQCIVRETLRLYPAAPMLLPHESSADCKVGGYNVPRGSMLLINAYAIHRDPAVWEEPEKFMPERFEDGGCDGNLLMPFGMGRRRCPGETLALRTVGLVLGTLIQCFDWERVDGVEVDMTEGGGLTIPKVVPLEAMCRPRDAMGGVLRELAYIAVFSIAILFLLVDYFRCRRRRGSGSNNCENKGMLQLPPSPPAIPFFGHLHLIDKPLHAALSRLAERHGPVFSLRLGSRNAVVVSSPECARECFTDNDVCFANRPQFPSQMPATFYGAGFGFANYGAHWRNLRRIATVHLLSAHRVRGMAGVVSGEIRPMVQRMYRAAAAAGVGVARVQLKRRLFELSLSVLMEAIAQTKTTRPEADDADTDMSVEAQEFKNVLDELNPLLGAANLWDYLPALRVFDVLGVKRKIATLANRRDAFVRRLIDAERQRMDNGVDGGDDGEKKSVISVLLSLQKTEPEVYTDIVIVNLCAALFAAGTETTAMTIEWAMSLLLNHPKILKKAKAEIDASVGNSRLINGDDMPHLSYLQCIINETLRLYPVAPLLIPHESSADCKVNGYHIPSGTMLLVNVIAIQRDPMVWKEPNEFKPERFENGESEGLFMIPFGMGRRKCPGETMALQTIGLVLGALIQCFDWDRVDGAEVDMTQGSGLTNPRAVPLEAMCKPREAMSDVFRELL >OGLUM03G34680.1 pep chromosome:ALNU02000000:3:32560697:32560966:1 gene:OGLUM03G34680 transcript:OGLUM03G34680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYIAIFSIAVLLLIHFLLFRRRGRSNGRPLPPSPPAIPFFGHLHLIDKPFHAALSRLAERHGPVFSLRLGSRNAVVVSSPEXINKN >OGLUM03G34690.1 pep chromosome:ALNU02000000:3:32566373:32567687:-1 gene:OGLUM03G34690 transcript:OGLUM03G34690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLTQRYKYHLQVLQVLIFQYMHHLETFCNFCSSLVTFLLIEHLKKPHELLYRTHISRSTILKTGGNKVPSTQLVI >OGLUM03G34700.1 pep chromosome:ALNU02000000:3:32569947:32579688:1 gene:OGLUM03G34700 transcript:OGLUM03G34700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAYIAVFSIVILFLLVDYLRRLRGGGTSNGKNKGMRLPPGPPAVPIIGHLHLVKKPMHATLSRLAARHGPVFSLRLGSRRAVVVSSPGCARECFTEHDVAFANRPRFESQLLMSFDGTALAMASYGPHWRNLRRVAAVQLLSARRVGLMSGLIAGEVRAMVRSLCRRPAAAAPVQLKRRLFELSLSVLMETIAQSKATRPETTDTDTDMSMEAQEYKQVVEEILERIGTGNLCDYLPALRWFDVFGVRNRILAAVSRRDAFLRRLIDAARWRMDDGEKKSMIAVLLTLQKTQPEVYTDNMITALCSNLLGAGTETTSTTIEWAMSLLLNHPETLKKAQAEIDASVGNSRLITADDVPRITYLQCIIRETLRLYPAAPMLIPHESSADCEVGGYSVPRGTMLLVNAEREPERFEGGGCDGNLSMPFGMGRRRCPGETLALHTVGLVLGTLIQCFDWERVDGVEVDMAEGGGLTMPKVVPLEAGKLMYTDEVPKLNGLRDCRKSCARQGSRRLVGVALRPFTVQAPTLSPAPSTGAGSPPPSAGAGSPLLWAKRRRPPCHAATTASSKKRRWEDGGAVDGGGGGGEGGWGRGGLRRPRQERRSSASTGSMRMVVLGWAVDVARDSGALSSVVLTCDGYSSALYFSLWDSVPLPATASPDDGFPLPRFPDVCVQRSQXMVRRIYAAATVAPGGVARVQLKRRLFELSLSVLMEAIAQTKTTRREAPDADTDMSMEAQELRHVLDELNPLIGAANLWDYLPALRWFDVFGVKRKIMAAVNRRNAFMRRLIDAERRRMDNYDVDGGDGEKKSMISVLLTLQKTEPEVYTDTLIMTLCAPLFGAGTETTSTTIEWAMSLLLNHPEILKKAQAEIDMSVGNSRLISVVDVHRLGYLQCIINETLRMYPAAPLLLPHESSADCKVGGYHIPSGAMLLVNVAAIHRDPVIWKEPSEFKPERFENGRFEGLFMIPFGMGRRRCPGEMLALQTIGLVLGTMIQCFDWGRVDDAMVDMTQSNGLTSLKVIPLEAMCKSREAMCDVLRKFM >OGLUM03G34710.1 pep chromosome:ALNU02000000:3:32576586:32579216:-1 gene:OGLUM03G34710 transcript:OGLUM03G34710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like family protein [Source:Projected from Arabidopsis thaliana (AT4G34270) TAIR;Acc:AT4G34270] MTKAAEAWEGPTAAELKAAGAEAIPGGVRVKGWVIRSHNGPILNSASLQLFEDKLQTTHLPEMVFGESFLSLQHAQTGIRLYFNALDALKAWKHEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYNGSDALVQNPDSIQTSLDEPRNLCWEDSEDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRVYCSFGSDESKPVVLRECCWREATFASLSAKGYPSDSAAYGDPNLIAHKLPVVMQKIQKLKLPN >OGLUM03G34720.1 pep chromosome:ALNU02000000:3:32580812:32584528:1 gene:OGLUM03G34720 transcript:OGLUM03G34720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:semialdehyde dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G14810) TAIR;Acc:AT1G14810] MQAAAAAVHRPHLLGAYPGGGRARRPSSTVRMALREDGPSVAIVGATGAVGQEFLRVISSRGFPYRSLRLLASERSAGKRLPFEGQEYTVQDLAAPGAFDGVDIALFSAGGGVSRAHAPAAVASGAVVVDNSSAFRMDPEVPLVIPEVNPEAMAHVRLGKGAIVANPNCSTIICLMAATPLHRHAKVVRMVVSTYQAASGAGAAAMEELKLQTQEVLAGKAPTCNIFSQQYAFNIFSHNAPIVENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKPLDEDTAREILRAAEGVTIIDDRASNRFPTPLEVSDKDDVAVGRIRQDLSQDDNKGLDIFVCGDQIRKGAALNAVQIAEMLLK >OGLUM03G34730.1 pep chromosome:ALNU02000000:3:32585058:32586130:-1 gene:OGLUM03G34730 transcript:OGLUM03G34730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNTTTTLALLLLLLLASSSLQVSMAGSVAVMCSGSNTGSELKVENCGIQKYNLLSIVS >OGLUM03G34740.1 pep chromosome:ALNU02000000:3:32589250:32590014:1 gene:OGLUM03G34740 transcript:OGLUM03G34740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAARDDDLGNGDLDDDPSNPRRRSRGRTEAEAARPPIHAASPEAARLAETGGGERRTAGRIRRGWRSHRRRRHLDEAKTSMALSSSTIGGGGEPPVAGAAGETRVAGSEGGAWRRRAAVATFTKVAPSALLTASAAQGGRYRSSLRSPRWPSPVPVPHLAGGNKAKALLSDGDDDGELGQRMQASDGAPPSPWWRQARAEGASARRRYAEAGRARAGVRGWCGWWGERGEKDGGAHRWPMAEGGQRLAKFG >OGLUM03G34750.1 pep chromosome:ALNU02000000:3:32590794:32592765:-1 gene:OGLUM03G34750 transcript:OGLUM03G34750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKKRAATGLTKPRLVSPALQAVVGAAEIPRTEALKRLWAYIKQHNLQDPADKKVIVCDEKLKVLFAGQERVGFLEVAKLLNPHFVK >OGLUM03G34760.1 pep chromosome:ALNU02000000:3:32594003:32598303:1 gene:OGLUM03G34760 transcript:OGLUM03G34760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRGGEKEAVGRGGRRAASGEGERDSDAALSMEADAIFGRVIPLYHYYIILPHINAVRLCTRSVGKAREEEEEEDEWKRAEGRGEESTE >OGLUM03G34770.1 pep chromosome:ALNU02000000:3:32594911:32597054:-1 gene:OGLUM03G34770 transcript:OGLUM03G34770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAVARCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANLAMEDQAQVLASPAATLVGVYDGHGGADASRFLRSRLFPHVQRFEKEQGGMSTEVIRRAFGAAEEEFLQQVRQAWRQRPKMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLTDEHNAASEEVRRELTALNPDDAQIVVHARGAWRVKGIIQVSRTIGDVYLKKQEYSMDPVFRNVGPPIPLKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVSFRDLKTIEKGVRRHFHDDISVIVVYLDRHRGRRHTRVVDSSSNCTNAPVDIYSSNSGQSVETLQAHRGSGW >OGLUM03G34780.1 pep chromosome:ALNU02000000:3:32599115:32602450:-1 gene:OGLUM03G34780 transcript:OGLUM03G34780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G65860) TAIR;Acc:AT5G65860] MAAAAESKAGKSPEELLCAAAESGDAEAITGLLAEGADPTHFDASGLTPLMHAATGGHAAVVQLLLDAGAPWNALSPTGISAGDLASDSATFDLLLDHALRSELVLGTVARRQAGPADSPAESYLESRVSFSEERVMDADSKAVMMEWERPLMEAHARAVCSGGKVLNVGFGMGLVDTAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVKVVFGRWQDVLPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLSEEIWNGVKQKYWQLDTYHLPVCQAESEPEQ >OGLUM03G34790.1 pep chromosome:ALNU02000000:3:32602757:32604211:1 gene:OGLUM03G34790 transcript:OGLUM03G34790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77360) TAIR;Acc:AT1G77360] MGGVRPTLFDARKVFVRMLSSGVGGGDALVDTADPAKRLFKLIISCRKASAVEHELDHSGVRVTPDVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSYHTVVASLAKIRQYQLMWDVVAVMRREGAVNVETFGIIMRKYARAQKVDEAVYTFNVMEKYGVVPNLAAFNSLLGALCKSKNVRKAQEIFDKMNSRFSPDAKTYSILLEGWGRAPNLPKMREVYSEMLDAGCEPDIVTYGIMVDSLCKTGRVEEAVRVVQDMTSRGCQPTTYIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDIVVYNALVSAFCKAKKFENAFRVLNDMEGHGITTNSRTWNIILNHLISLGRDDEAYKVFRRMIKCCQPDCDTYTMMIKMFCENDKVEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKREVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMNILIQEPLFD >OGLUM03G34800.1 pep chromosome:ALNU02000000:3:32611400:32613694:-1 gene:OGLUM03G34800 transcript:OGLUM03G34800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRHGERCVILAVAAVALVAAATATAAAAVGGERRTYIVHMSRSAKPNDFVEHGEWYAASLQSVSDAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLLVNPEVRYELHTTRTPEFLGLDRTDALFPQSNTGSDVIVGVLDTGVWPERPSYDDAGLGPVPAGWKGKCEEGNDFNASACNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGSAVRGADLLGYAAGTAKGMAPHARVATYKVCWVGGCFSSDILKAMEVAVNDGVDVLSLSLGGGTADYYRDSIAVGAYSAMERGIFVSCSAGNAGPGSATLSNGAPWITTVGAGTLDRDFPAHVVLGNGKNYSGVSLYSGKQLPTTPVPFIYAGNASNSSMGALCMSGSLIPEKVAGKIVLCDRGTNARVQKGFVVKDAGGAGMVLANTAANGEELVADAHVLPGAGVGQKAGDTMRAYSLSDPNPTASIVFAGTQVGIQPSPVVAAFSSRGPNTVTPGILKPDLIAPGVNILAAWSGSVGPSGLAGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHQDWSPAAIRSALMTTSYNGYPNGNGILDVATGLPATPLDVGAGHVDPSKAVDPGLVYDIAAADYVDFLCAINYGPMQIAALTKHTTDACSGNRTYAVTALNYPSFSVTFPATGGTEKHTRTVTNVGQPGTYKVTASAAAGSTPVTVSVEPSTLTFTKSGEKQSYTVSFAAAAMPSGTNGFGRLVWSSDHHVVSSPIAVTWT >OGLUM03G34810.1 pep chromosome:ALNU02000000:3:32618699:32623400:1 gene:OGLUM03G34810 transcript:OGLUM03G34810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MALRAAELRRLVVLLRGEAALPLPLAWRGRPVRTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVVTGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRKKWSITTFLELQSSHIRQLCKKIFNGGKESS >OGLUM03G34810.2 pep chromosome:ALNU02000000:3:32618699:32623429:1 gene:OGLUM03G34810 transcript:OGLUM03G34810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37480) TAIR;Acc:AT4G37480] MALRAAELRRLVVLLRGEAALPLPLAWRGRPVRTAARDDELAGKSAYEVLGVGETSSSAEIKASFHRLAKETHPDVAAAAGSSRFLQILAAYEILSDSQRRAHYDIYLRSQKRVLQKHPRPSHHVYPNPSGSGIVVTRESNVVEWLKWYRLTIDDIVTKKRVVTGSGYFDKLESELYTAIHAAYYGPDVRSVDLLPDCFEAEERSVYETPELLHLVSGRDLFGIVSLADRVQQLPDAFQEKQTLPGFKTYGISQHVSTNLEKGTVHASPVYIHKREIDKNDGPHSDAYKDIELWICGKLVATATRSPKCNCIDKSDVEDHIHVFLVPNEVASSDFTQELPILGTITGLATTGEEGSCCVYDSRGIKTHLIVKHRTLMVKHMHWYQVGDEISPCECRCSRARLPPSRYWLFEPRCYMHDTGGWYIETFGRDKKGRKILSPRQWDGSNEHSERRLHPAMYLAALAYRSLDIEDAQRKKWSITTFLELQSSHIRQLCKKIFNGGKESS >OGLUM03G34820.1 pep chromosome:ALNU02000000:3:32624585:32625447:1 gene:OGLUM03G34820 transcript:OGLUM03G34820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKVFTKIRSFGLLYNGIPFGRDDTAVSGRDTYQKMILGKYQVIPTGYQVISIGYQSLGVVYGKVAMAPLYVYRSVFACGDIEHSVGNEEIYGVLSLYMLVVLCADDGSEGSTFALYFLICRHVRAGLLPCVSADTTGEELAVAGLCVDDAAVGAVASPLMSAMRARWRGIGSCRGCCCCSGRAWSGIAPL >OGLUM03G34830.1 pep chromosome:ALNU02000000:3:32626209:32631033:1 gene:OGLUM03G34830 transcript:OGLUM03G34830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MKGGVIRSGGGVGGIGVGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDAPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKRQENLLLWVT >OGLUM03G34830.2 pep chromosome:ALNU02000000:3:32626209:32631032:1 gene:OGLUM03G34830 transcript:OGLUM03G34830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33910) TAIR;Acc:AT4G33910] MKGGVIRSGGGVGGIGVGGGGGGGGAGGGLMRTRLRLPVVLLSCSLFFLAGFFGSILFTQDPQGEEELDAPMRRERLMEAAWPGMAYGESGEPEPSLIPYQILSWQPRALYFPQFATSQQCENIVKTAKQRLMPSTLALRKGETEESTKGIRTSSGTFLSSDEDPTGTLAEVEKKIAKATMIPRHHGEPFNILRYEIGQRYASHYDAFDPAQYGPQKSQRVASFLLYLTDVEEGGETMFPYENGENMDIGYDYEKCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRDKSKAV >OGLUM03G34840.1 pep chromosome:ALNU02000000:3:32632061:32637406:-1 gene:OGLUM03G34840 transcript:OGLUM03G34840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAPPPRSRPHPPSSSVAVPAAAAAAAVIAAALASSFLALLQPPRRAPVAAGSRVGMSKARVYADVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLIDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTEALNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAVDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRARPQ >OGLUM03G34850.1 pep chromosome:ALNU02000000:3:32640262:32641078:-1 gene:OGLUM03G34850 transcript:OGLUM03G34850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMPMEQVFEHYDKDTLKMAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKNQSNMSTSSPNGFAEYSRAALDAMRSYEQCYGAATRRGAAVHHAAAAARAALSLVPAVEYAQSPEEEDAEETDDEEEAAAAELELTLAVGAAASAKKRYSRNEHHSPGQSFSSSSTESDVLVTGVRDADAASPPYHHKRRPGPAAAFDVVQVDDGGVQAAPPPPPLLFHWLSLRMA >OGLUM03G34870.1 pep chromosome:ALNU02000000:3:32653784:32656545:1 gene:OGLUM03G34870 transcript:OGLUM03G34870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDJ2] MGAGIRILVVMLAVAAAGSGVVAQLRRDYYASVCPDVETIVRDAVTKKVQETSVAVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKARAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPSAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >OGLUM03G34870.2 pep chromosome:ALNU02000000:3:32653475:32656545:1 gene:OGLUM03G34870 transcript:OGLUM03G34870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDJ2] MGAGIRILVVMLAVAAAGSGVVAQLRRDYYASVCPDVETIVRDAVTKKVQETSVAVGATVRLFFHDCFVEGCDASVIVVSSGNNTAEKDHPNNLSLAGDGFDTVIKARAAVDAVPQCTNQVSCADILVMATRDVIALAGGPSYAVELGRLDGLSSTASSVDGKLPPPSFNLDQLTSLFAANNLSQTDMIALSAAHTVGFAHCGTFASRIQPSAVDPTMDAGYASQLQAACPAGVDPNIALELDPVTPRAFDNQYFVNLQKGMGLFTSDQVLYSDDRSRPTVDAWAANSSDFELAFVAAMTNLGRVGVKTDPSQGNIRRDCAMLI >OGLUM03G34880.1 pep chromosome:ALNU02000000:3:32660723:32662094:1 gene:OGLUM03G34880 transcript:OGLUM03G34880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQHQRSIEHCSIGCFLASPPPRFFPARTRSAPGELRMKLVVFLIRGCPGEVLLRPIVPAKEGLRTRTKWHILQRFCKLEIISIETETMITISSRSIIKSRCKKSNKKILVFFLSMSVKFLLITTRRSLSVQKRSSTFSQLLH >OGLUM03G34890.1 pep chromosome:ALNU02000000:3:32666426:32667337:-1 gene:OGLUM03G34890 transcript:OGLUM03G34890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKLARVDIAELKQRLVKRLGRQRAGQYFAHLTRLLNLKLTKVEFDKLCYATIGRENIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGIALPSARNVGAVVDSGDGDFARERAVAGKVLSVEDGEEVEQVRSAPCVQSRSPITAPLGISTTPSYGARTRRLDDPMVSCYDSHHLLDTGSLFKGLQRRLESDGIGVSVQGVEVLNRGLDEFLRRLIKPCMELSRSRSSGRRVTKGNAMFAARMNGLQQANHGHCTTLQDFAVAMESDPHLLGTNWPTQLEKIQATSFGE >OGLUM03G34900.1 pep chromosome:ALNU02000000:3:32676812:32681216:1 gene:OGLUM03G34900 transcript:OGLUM03G34900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPTTHTLYSVPAFSVFTLPPPPLTTSPLPLAFSPFHSTSLALRTESAAPLLLLRFVRLGRSLPISKPQTPTPTRAEISSRSAAMVAAGAAAAAAAAPRVNPSPSPHRRRASSALSPSKSANSNANANADAARGGGGGGGGKPKGKAVPSRYLLAPSSKSTSTSSSSTTTTNSSATSNSTSTSASTPSRRFASPLPRRSSSVDRPRPTSNAAAGGGDALGPNGATTTTTRSLSVAFQGRAYFLETSKAKPATSPSPVRRPVAAASTTPERRRPSMGTVPERGKVFEGGHSQQRWPMSARAAHGFEGNPLTKSLDCSLDKRGAAVLAAVRSLRQSMVFEEGVRRASFDSGDYLMSSDTESVSSGSNSGSQDAGMGRARSSPKGMSVPARFLQDAAASRPNRLADPSTPFMTHSSGFASSPRTAPVKKSLLNGFVSSPLNRPIRQPSPSKLVGSRRMSSPSQPRGSVGVSASYGDQHGRSSSGYGLDSQVKRRWLGCSKVDCEHLLRILCNRHLQWRCVNAQADAALAAQKMTAEKYLSDAWITTLGMRKSVALKRFQLQLFRNNWKLMTVLKGQMDFLEEWSFLERDHANSLSGIVEALTATILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLSGTSILVSDLAKITTQERTLMDQSRELLSTLASMHVKYCSLQGQRVQTTTHRRRVLIAELSNAKPM >OGLUM03G34910.1 pep chromosome:ALNU02000000:3:32684984:32689741:1 gene:OGLUM03G34910 transcript:OGLUM03G34910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCAARSRLLPLATAPQLLRCLLLSSAAAPRYAHPHHHHSNSRRRRRPLAPTVYAAAAAAAAEAPLPMPPRIGRATRHPGGAASVARVYADANSQRPKEYWDYESLDIEWGEQDGYEVLRKLGRGKYSEVFEGFRPGGDERCVIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDDESKTPSLIFEYVNNTDFKVLYPTLLDYDIRYYIYELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLCLIDWGLAEFYHPKMEYNARVASRSYKGPELLVDLLDYDYSLDLWSLGCMFAAMIFRVDPFFNGQDNYDQLVKITEVLGTEDFYNYLEKYGLELDPQLERLVGRHNRKSWSMFVNSGNRHLASPEAIDFVDRLLRYDHQERPTAKEAMAHPYFNPVRST >OGLUM03G34920.1 pep chromosome:ALNU02000000:3:32709321:32709554:1 gene:OGLUM03G34920 transcript:OGLUM03G34920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEARWGDAMAAEVREDEEKWQRLRTRHAMAASLRGGCKGQRWLGWRTRSRKCREGARDGGGLVTSFPRPQAQPPL >OGLUM03G34930.1 pep chromosome:ALNU02000000:3:32714822:32717767:1 gene:OGLUM03G34930 transcript:OGLUM03G34930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPTTFEGAREMEVVEVREYREDRDRAAVEEVERECEVGSSGGGEAKMCLFTDLLGDPLCRIRNSPAYLMLVAETATGGGGGGNGREIIGLIRGCVKTVVSGGSVQAGKDPIYSKVAYILGLRVSPRYRRKGVGKKLVGRMEEWFRQSGAEYSYMATEQDNEASVRLFTGRCGYSKFRTPSVLVHPVFGHALQPSRNAAIRKLEPREAELLYRWHFAAVEFFPADIDAVLSKELSLGTFLAVPAGTRWESVEAFMDAPPASWAVMSVWNCMDAFRLEVRGAPRLMRAAAVATRLVDRAAPWLKIPSIPNLFAPFGLYFLYGVGGAGPASPRLVRALCRHAHNMARKGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYNHGPLGDWTKAPPGRSIFVDPREF >OGLUM03G34940.1 pep chromosome:ALNU02000000:3:32722959:32723770:-1 gene:OGLUM03G34940 transcript:OGLUM03G34940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSMEALHAAVLKEEQQQHEVEEATVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLARGGHHRVQAPPPLSASAPPPAGAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPAAPVLAPAPVVALLPSAEDREPATSSTAASSDGMTNRVHRCSICQKEFPTGQALGGHKRKHYDGGVGAGAGASSTELLATVAAESEVGSSGNGQSATRAFDLNLPAVPEFVWRPCSKGKKMWDDEEEVQSPLAFKKPRLLTA >OGLUM03G34950.1 pep chromosome:ALNU02000000:3:32728013:32729205:1 gene:OGLUM03G34950 transcript:OGLUM03G34950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDELQQPGQVQWTPAPEEKSEIAVQFFTAPYPFQNGQLDHGEHHALGGIGACSSVHWQPDRGTCYWPPPLSGDGGGGSGSGSSGTGEGGYIGERCYYVGEPDVPIGLNLLVGDNDGAGVVLRDAAPQAKRRTQAGHGGDLGRQKKKARVSDKRNQESMQSGSCSDNESNCSQVNRRKVDRVAGGGNGKVPARRRSATIAQSLYARRRRERINGRLRILQKLVPNGTKVDISTMLEEAVHYVKFLQLQIKVEVQIVCHDQMLSSDELWMYAPIVYNGMDLGIDLNISPPR >OGLUM03G34960.1 pep chromosome:ALNU02000000:3:32730282:32737006:-1 gene:OGLUM03G34960 transcript:OGLUM03G34960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPIPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLLN >OGLUM03G34960.2 pep chromosome:ALNU02000000:3:32730530:32737006:-1 gene:OGLUM03G34960 transcript:OGLUM03G34960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWKRSVSPSPSPSSSSASASTPASPARASTSRVGGGVPSRRRDVVGFGWGGGSDPQPRLTRQRRLRHVDDIEVGVSALGLDSSPSPAAPSSCPSSRDSVGFGLLTASSTPISRTASNMEVAPPRSSSSPVLLPHPLPLPDEGDSPCRGSGRPLPSPKLFEGDCNGSAVESNLLGVSEIGSDRASLFPRVMAKTVQKNPEHGDLRSNGTNGITCGQRRKAFKEKLQDKSSAETLTFRLNIPAKSAPSSGFSSPVQSPRRLSSVDFLSTATSTQGANLSSAQSVWSPDLYGSSPRCASPEKIMGSQERSPRSSPLRSPVLRSKNPSAPPSPMHPKLFPENHVSRPEGNGSVNFHPLPLPPASVSPKQTNFSHQPIPKVDAPSMAGQWQKGKLIGSGTFGCVYEAANRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSEYIEDRFYIYLEYVHPGSINKYVNQHCGAMTESVIRSFTRHILKGLAFLHSQKIMHRDIKGANLLVDVNGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVQATLVKDVGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLHKDPSIPDSLSPEGKEFLRCCFRRNPAERPTASKLLEHPFVHNSNNFNQHSALHSPTGLKSTDTGHNARDKKSCKIVSCMRGKNMITTGETSSARSPGSLSNRVAVGLTALPNLETRSLSPTPMSLRSSPGSAAHTPSMHFSIAYHQPSPLPRPNGKEAINLFTLKHDELPT >OGLUM03G34970.1 pep chromosome:ALNU02000000:3:32740590:32747695:1 gene:OGLUM03G34970 transcript:OGLUM03G34970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVERLREVLRSSDLNTTTTAILRRRLEEDFGVDLSDKKLFIREQVDLLLSEVAGKAEQEEAEVPKEEEPETGAEAAGGEPGGAEGEGEEEEEEEEEEEEEEEEEEDSSGSRKKRRSDGANTDGKRKGGGFTKLCSISPTLQEFVGASELARTEVVKKLWAYIRENNLQDPSNKRKILCDERLKKIFNVNSIDMFQMNKALTKHIWPLNSDGPVTSASPERSTSKEKPQKRERNEGKKQKGGSSGSGSGFLVPLQLSDDLVKFIGTGESMLSRSDVVKRMWDYIKENKLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >OGLUM03G34980.1 pep chromosome:ALNU02000000:3:32756891:32759617:1 gene:OGLUM03G34980 transcript:OGLUM03G34980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGMGLDLSLDLKMFAARSAVRMAAAAAKEATGVEACIRSLEEERRKIEMFRRELPLCARLLADVIELMKEEAGKRRKDGDDAEAKAEDGDKTKWMSTAQLWVDSRGSDADSENDRRSGSTSPASRLLGGAEESSSRAVSPPPYFRREERVVLRPAMPLLPPASHRSPPPAAAAAATAAGDDHRHVVASSFATAVPSPVPAALSLQAQAQQQQQQARKSRRCWSPELHRQFVAALQQLGGPQVATPKQIREVMKVDGLTNDEVKSHLQKYRLHNRKSPGTASASHSIVLVGDLWASQEVSCSQSGSPQGPLQLSGSGVAVSAATAGDSCCEDDDKSEGYVRK >OGLUM03G34990.1 pep chromosome:ALNU02000000:3:32765557:32771560:1 gene:OGLUM03G34990 transcript:OGLUM03G34990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGAGAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTMELVAVKYIERGEKIDDNVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKTADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYSIPENVDISPECRHLISRIFVGDPSLRITIPEIRSHGWFLKNLPADLMDDDSMSSQYEEPDQPMQTMDQIMQILTEATIPPACSRINHILTDGLDLDDDMDDLDSDSDIDVDSSGEIVYAM >OGLUM03G35000.1 pep chromosome:ALNU02000000:3:32775919:32776965:-1 gene:OGLUM03G35000 transcript:OGLUM03G35000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKGASALNHASLPVVLQPIVSNPSPTSSSSTSSRSSAQATQQRSSSATSSPHGQGQGGGAAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPAPVAKPPMSCKAAPPLGLGGGPVSWASGQQAATAHLMALLNSARGVQGHGGSNVHRLLGLDTMGHLQILPGAPNGAGAGTAASLWPQSAPRPVTPPPHMDSQLGMGTLGHHDVLSSLGLKLPSSASSSPAASYYSDQLHAVVSNAGRPQAPYDVATASLPCTTAVTSLPSALSSVSAAAPTSNTVGMDLPPVSLAAPEMQYWNGPAAMSVPWPDLPTPNGAFP >OGLUM03G35010.1 pep chromosome:ALNU02000000:3:32787508:32791786:1 gene:OGLUM03G35010 transcript:OGLUM03G35010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPSTPYVSADAKDLICKLLVKDSNKRLSLDDIMKHPWIVKNADPSGSCSYSVSLRDLVKAGVCEFE >OGLUM03G35010.2 pep chromosome:ALNU02000000:3:32787508:32791302:1 gene:OGLUM03G35010 transcript:OGLUM03G35010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPEWSMDDFEIGKYIGEGKFGKVYLAREKQSGYVVALKVTFKAKLDKYRFHAHLRREIEIQHGLDHPNVLRLFAWFHDAERVVLVLEYAARGELYKLLRTVRRFSERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAVRSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEAAEQDDTLRRIVKVDLSFPSTPYVSADAKDLICKLLVKDSNKRLSLDDIMKHPWIVKNADPSGSCSYSVSLRDLVKAGVLIK >OGLUM03G35010.3 pep chromosome:ALNU02000000:3:32791664:32794912:1 gene:OGLUM03G35010 transcript:OGLUM03G35010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPLPLLCLLLLMLLPSPSSSASFSFATASSNASLSTFSDSDPEPELAREPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAARVGAVQRYEFRARAGSASALVRLADESVEWRRPAAPAVEEVDGPDGLDVVPGDGALGFRPGVRDVDLVGPVEVRVASGGDGGSIELQLPSRNATYAGLKRVIVAAGVALKVIGAQKVIFTHPHSIGLLTNGSLLASNNDPSRIWPLSYATCAPILQVSVVGSVMIVVNESNVLGRRRSHDTVELLSEKCEVDVANRLISVCVFCSISSRLPRLDKILKTWFSNKTQDSKSMQFIQAKVTSIPLIKFRLELERDITEEDGIWENISEWKTVPMVQRVALDVVAKVEEEGRLKAMSVKKVKKPYPVVDASSWSSLTSNISFTKFMSFVLPPEPLTLDVKW >OGLUM03G35020.1 pep chromosome:ALNU02000000:3:32795541:32797670:-1 gene:OGLUM03G35020 transcript:OGLUM03G35020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITMSYSGYVAQNLASSFGLRCTAAATASSGAAPGAGARFLQDALSRPFCLFASSRHSEYHHDADDHNHPKPKPKPKAKALPAASAIAANGGGHSLLLSRSCATKAPLNDPPSSLAVGLLTVFTSGMGSATGRVGASSLSASPSISSAFNPAALLPFLQATKWLPCSDLITSAAPSRKSARPVDVAKAPTAAPAATPVSRTKPAPAPSPRPAHVPSPAVAAPSKVGVKALVGSGVINSGVINSSGASSNVGVGVKPLVGSGAINSGAAGMVRKSSPALGAAAEVSRRNWLSRWVSSCSDDAKTVFASVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYVFREPNILDIVIFRAPPVLQALGYSSGDVFIKRIVAKGGDTVEVRDGKLLVNGVVQDEEFVLEPLNYEMDQVTVPQGYVFVLGDNRNNSFDSHNWGPLPVKNILGRSVLRYWPPSKITDTVFEHDAVHCTAGIS >OGLUM03G35030.1 pep chromosome:ALNU02000000:3:32801222:32802528:1 gene:OGLUM03G35030 transcript:OGLUM03G35030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVGASNRSTSRENKGNHRVSRRYGVDGITVFGRAHEVKLTAKVDGRVYRCIKVREGMFLTLATEYNMPALIGCPGDEPRMLTPYVDDDEDEDDATESPTQARGITAW >OGLUM03G35040.1 pep chromosome:ALNU02000000:3:32804125:32807244:-1 gene:OGLUM03G35040 transcript:OGLUM03G35040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRVVEDEAEADLQALARRFSFSSPSSPSPPSSSRKPPLPPRRSPQKRPGLPTIPENGPPAGTATATPKRTRPPTSTCAPPPPPHARHAPMTGQTPVAGSRSSRPPRGRGQMEVDSPQPSRALHTPPTGQRQVTRHPPPAARTMFQESPVASVSPPQQQQVAVPVSAALREFERRRAAAAEAALRQLQLQVWEAARQQSQQLRLYTVEGRAAGYGTKWVELHPQSQELLLHIEYGLLRILDLLLGDWGDVHRVLHFWGNPSWGSLSRLPCIFPFFSVRNKMREYKHESDLLDQCSRLYDPSVSSRSFELYATQISQEIGSTSTIMDREMVSIRSLMAVVKEMMRNTDSAIRSYQKLRPNFIRRYSGTANTGFAHHAGPSGAPTYFNQPSAIVPTFDFYSGVAMRPSPFMQHTVSKFENRLEECSRMVGELEQLIQIKNDKNYSNEFESLSTVVPNVYDYLIHVATQVENLHQYAEIMRTHYRNAWRLMGDCSDPFLEADRREAAKQEATARIVHPTGVDVSVLASQPLQSSSPTGVTSSSTRSILRTPLSALPWFSIQTSPAPSPSPFSSSGSMLPPTPFGSASTLALGSTPARFASSALGGTSLFRTPPGGTHNILCVTG >OGLUM03G35050.1 pep chromosome:ALNU02000000:3:32807733:32809680:-1 gene:OGLUM03G35050 transcript:OGLUM03G35050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTALRPLAQPATATATATAFSASRTAAAAGRRGSAAGVVVRAVRNYDSIPKREPFSSSRSVLDEFLRQEKPLVQRTKDQITDYCTTLEGDECCSCWDAYFELNKLEQELPKEEIARMVKDSEGDPRYLINSIHHRSDLRKKMAEKSHNSLSSNSLGQAAKPRPFPVPDGLPKTQEELAEEQEALMPESSYTRLLRRMGRFPDWSSPQDSDSSFPVNSSSVP >OGLUM03G35060.1 pep chromosome:ALNU02000000:3:32810662:32811785:-1 gene:OGLUM03G35060 transcript:OGLUM03G35060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRASGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSGTSSGELFLMELPRAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >OGLUM03G35060.2 pep chromosome:ALNU02000000:3:32810662:32811785:-1 gene:OGLUM03G35060 transcript:OGLUM03G35060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTATLLPLPLARAAPARLFFPAVSSRASGRVVRVGRHGAPEREAALSWRGAADESVNKEKHLVEHTKDRMSAELETVVKDAGGDMGHLISAIHRRAQARKTAAESSSSPGDDHSTKTKPYFPAPDELPKTAEELEGETEAAMPESTHTRLLRRMADHD >OGLUM03G35070.1 pep chromosome:ALNU02000000:3:32822175:32824982:1 gene:OGLUM03G35070 transcript:OGLUM03G35070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLANCATLLKNRPPRPPAAGGAAGGSGRRLQESKLREALEEASEDGSLAKSRDAALLDDGGGGGDGGGEEGSAVGRSRSLARLNAQREFLRATAVAAERAFLSPDALPALEEALATFLSMYPKYSSAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPSDSTASFTLSEITANLSNHALYGAAEKGTCEHDVKARIMEYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMAQSARDKGAKAYSAWFKWPTLKICSTELRKLISTKKRRRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMSCLQSPNGGTGTGMVRIMPVFPQYLSDSVDGFDGVLDGLEDDTIIPIEEGSASNSLHATHLPAFSGAYSSAQVREVIEDEMDQDSSDRDGASTIYEENESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSSKGKLGSPLPASWFSGRKNVKKTSPKVPSKLRRSPIPDNHVVSFDAAVRSVSQELEHGKDFTEEDCSQNGIKNVVPIKVSEIEEDQDGKQNKRFVKFSCANGPAEGSSTSVFGGCTARGNGSTSEICSEAKDSAIRRENEGDFRLLGRREAHNSRFNGGRFVGVEEAERVSSMGRKVSFSMEDSRLCRNSETAETSGYAMGDEDDDEEYSDYDDIQDGRREPEIICKHLDHVNQLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVPLVYIYGPKIKYERGAAVAFNIKDCSTGTSLINPETVQKLAEKEGLSLGIGFLSHIRIMDNQKQGVVDVGLSSSLCRPTSNGRREKKSSKNDIIGIEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQQRLSSIPEDSER >OGLUM03G35080.1 pep chromosome:ALNU02000000:3:32828184:32831600:1 gene:OGLUM03G35080 transcript:OGLUM03G35080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDGPDLELELVEPGTCQRVHSTNRARKRETGRGKRTSTCGPSRANQDPSGTNCAFSFSQAKDKLLPCHHPFRRRLILPSSPVQNPLPPSRSQPDPLQPPLRHCRPHSSSSSIAKNHHEMASLSMATTLPSLAGAAPAARKRSGVTYVEGMNAYSGLKALNKVTLLGVRKTADYSFAKVVAKLSPAGGKSRGGAFGAQCNAAGEIFRIAVIMNGLVLVGVAVGFVLLRVEAAVEESE >OGLUM03G35090.1 pep chromosome:ALNU02000000:3:32829314:32835590:-1 gene:OGLUM03G35090 transcript:OGLUM03G35090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQVVAHLGRSDLAVACSDAGDDDGGGGGGDEMTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITRGHLLEEIIRVADSKGGWLRAVLLTGHGFHQLAAVLSLSETNFMGK >OGLUM03G35090.2 pep chromosome:ALNU02000000:3:32829314:32833459:-1 gene:OGLUM03G35090 transcript:OGLUM03G35090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTSKIFALFALIALIALSASASTAITTMQYFPPTLAMGTMDPCRQYMMQTLGMGSSTAMFMSQPMALLQQQCCMQLQGMMPQCHCGTSCQMMQSMQQVICAGLGQQQMMKMAMQMPYMCNMAPCTSGIMDNKWRRSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITRGHLLEEIIRVADSKGGWLRAVLLTGHGFHQLAAVLSLSETNFMGK >OGLUM03G35090.3 pep chromosome:ALNU02000000:3:32829314:32835590:-1 gene:OGLUM03G35090 transcript:OGLUM03G35090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQVVAHLGRSDLAVACSDAGDDDGGGGGGDEMTVFHFLNCAALTFGPHVVYYSATPLSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLLLEEIIRVADSKGGWLRAVLLTGHGFHQLAAVLSLSETNFMGK >OGLUM03G35090.4 pep chromosome:ALNU02000000:3:32829635:32833459:-1 gene:OGLUM03G35090 transcript:OGLUM03G35090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTSKIFALFALIALIALSASASTAITTMQYFPPTLAMGTMDPCRQYMMQTLGMGSSTAMFMSQPMALLQQQCCMQLQGMMPQCHCGTSCQMMQSMQQVICAGLGQQQMMKMAMQMPYMCNMAPCTSGIMDNKWRRSEYDTIGTCVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKIFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEYIFQGLEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPM >OGLUM03G35090.5 pep chromosome:ALNU02000000:3:32833609:32835590:-1 gene:OGLUM03G35090 transcript:OGLUM03G35090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAQVVAHLGRSDLAVACSDAGDDDGGGGGGDEMTVFHFLNCAALTFGPHVVYYSATPLLCGLH >OGLUM03G35100.1 pep chromosome:ALNU02000000:3:32836127:32836333:-1 gene:OGLUM03G35100 transcript:OGLUM03G35100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYKILALFALLALSASAATTITTMPYLQPTIAMGNMDPCRQYMMQTMGTDSYATMFMPQPIALLQQ >OGLUM03G35110.1 pep chromosome:ALNU02000000:3:32837955:32838359:-1 gene:OGLUM03G35110 transcript:OGLUM03G35110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYKILVVFALLALSASAATAITTTIPYFPSTLAMGTMNPCKLYMMQTLGMGSYATMFMSQPIALLQQQCCMQLQGMIPQCHCGASCQMMQNMQNAICGGLGQQQMMMKMVMQLPYVCNMAPANFQLFPYGCC >OGLUM03G35120.1 pep chromosome:ALNU02000000:3:32846634:32848190:-1 gene:OGLUM03G35120 transcript:OGLUM03G35120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCGRRTAYLTNGSGVAEARTAGSGAPKLGMVGSATSEFRVSGSGAPEFMVAGTVRGRVGDVEARRRPEISGDGRRGLSMALGSGVVPRSPMVLTPALSSSLARYDLDLAWWRQEGGGDPDLEWWRHGSDLGRWVKEAATFGGGRRRPAVGGRKRRETERRRRETEQQSRGIMPPREPSWDLSVFMKGRGVCDDGRR >OGLUM03G35130.1 pep chromosome:ALNU02000000:3:32851548:32858720:1 gene:OGLUM03G35130 transcript:OGLUM03G35130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNLPNLSLRISPPAVSSAAAPVSSGTPTTAARTTLPTGVITDAEGGGEVAAFFGNPSSGSEPPGLSLGLGPTTPAHADAGGGRHGDHHLQPQGCAPFKRAAARASQLPAGSKRSVRAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSSHIASGEAQLQQQAGMEVAMEAAAGGGGNGGGGGGGVVLPMMPACDDMVGICSSPAPPAAATSSAAAYFLCATTTSTATAPLAVVPSPPAPTIPTRRTDQTPVLEKGVAIVDSLHRCQKHNYSPVLQDALHQGAEEDHLITGNLPMGGASAQASIEAMATTNSSSPASSSPSLASLEQLLPEDSFAPNLEISLGRQNWNMDHPEELSLKYL >OGLUM03G35140.1 pep chromosome:ALNU02000000:3:32859257:32869492:1 gene:OGLUM03G35140 transcript:OGLUM03G35140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clast3-related [Source:Projected from Arabidopsis thaliana (AT3G18940) TAIR;Acc:AT3G18940] MEHAVVEGESFSPDCSTLLMPALSIGNVGQLAVDLLVSSSRARRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESPSHRLAFIQQRSPIITGMVVSFAKNVANFISSIEKDHVVILSSLDSGKRRIIDASSDMQVYYLSSCNEDGSDPKCENLGWKKLEEYDPSQQRWKCLASLVEGGHLSEDMTGDPEEMTINDYYSSLPFAALFSACKAKGLKVTCVLCYCSEGDNMPESFQLAEAACKLVAQGPEQFHGNGSNGWTIPLSWKSLRELGRVQFCGCADRQAAEPDESLRTPCPQEICKSIKIPSKNYCGVKKKRTENKMTGRRRL >OGLUM03G35150.1 pep chromosome:ALNU02000000:3:32867290:32868828:-1 gene:OGLUM03G35150 transcript:OGLUM03G35150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allene oxide synthase [Source:Projected from Arabidopsis thaliana (AT5G42650) TAIR;Acc:AT5G42650] MATAAACISFASPSPARVVIRRQTRASASASATDRQEVVSPKRRLPLRKVPGDYGPPVVGAIRDRYEYFYGPGGRDGFFAARVRAHRSTVVRLNMPPGPFVARDPRVVALLDAASFPVLFDTSLVDKTDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAPLKTLLFYLLSHRRQQVIPKFREVYGDLFGLMENDLARVGKANFGVHNDAAAFGFLCQGLLGRDPAKSALGRDGPKLITKWVLFQLSPLLSLGLPTLVEDTLLHSLRLPPALVKKDYDRLADFFRDAAKAVVDEGERLGIAREEAVHNILFALCFNSFGGMKILFPTLVKWLGRAGARVHGRLATEVRGAVRDNGGEVTMKALAEMPLVKSAVYEALRIEPPVAMQYGRAKRDMVVESHDYGYEVREGEMLFGYQPMATKDPRVFARPEEYVPDRFLGEDGARLLRHVVWSNGPETAAPTLHDKQCAGKDFVVLVARLLLVELFLRYDSFDVEVGTSTLGSSVTVTSLKKATF >OGLUM03G35160.1 pep chromosome:ALNU02000000:3:32910199:32914503:-1 gene:OGLUM03G35160 transcript:OGLUM03G35160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37200) TAIR;Acc:AT4G37200] MDYMGSEAEVKNSQSRKVTAGPDDGDKQSDKPRLARKRACRPGSSMARLRATWRRVGHPHHHRLHLRAFDSGRERLLTLTLLEWFFLLRLPCRLANVGILGGEMAVVASRCTGLLLPDLGASLAGFRRRRSTPASSLSLRPRRARRRLGSLSCIAPPDSAEPQTDEPAAKDDSTEDKAEASSASQDAGNPTFPNKDLSRRIALASTIGAVGLFAYQRLDFGGVSLKDLAANATPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGEPTVPHARVVGQFSSAESRKVHQVADPRSHG >OGLUM03G35170.1 pep chromosome:ALNU02000000:3:32916607:32919282:1 gene:OGLUM03G35170 transcript:OGLUM03G35170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHLHKFPLMSRSKKLHDLITNRESRAAGGGEQDEEEEEDAGEIREEVVVLEVDEDSDVHRIRLPDFPGGAEAFEQAAKFCYGVKLDLTPATAAPLRCAAERLGMSDDHSDDNLISRADRFMSHTVLRNPRDAIRALRSCEGLLPLADDLGLVSRCVDAIAAKAAASTPTALFGWPINDDAAGGGGGGDRPRRKNNAGAGATWFDDLAGLSLATFTCVIAAMKERNVGPEIIEGALIAYAKRSIPGLSRSGRHVGGATAAAAAAPSSDGEQKALLETVIANLPEETIKSNAHTGTAVGATTARVLFGLLRTTSILQASESARDMLERRIAARLPDAAVDDLLIPSYSYLVETLYDVECVERIVRYFLEGRDADDGNDDGSEPETPGREASRRAMLAVGRLMDAYLGEIAADANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLREEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGQGEEEGDSDAGGTWRVATRGNQMLRLDMDSMRNRVQELERECTSMRKAIEKMDRRGGGSTPGERGATPAMEGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >OGLUM03G35180.1 pep chromosome:ALNU02000000:3:32919347:32920237:-1 gene:OGLUM03G35180 transcript:OGLUM03G35180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPMLAAPPPPAAAASPRCRGFLPAAAATGSRRHRGGCRLLRRSAPPRVGSVTSTVRTRHEEGRLDRDELRRLCQEPNPEAAVNLLDEMLVRGGAGALADLAPEEQAAVLQACGEARSLASLRRAHRLLSKSLPGIATPILHMIATLYCKLGARGDARRALEGASRPQGKDHEQEQKHGDEAKRREAYEKVRELHEEIRAAGYVPDTRYVLHDIDEDAKARALMYHSERLAIAFGLVSTPPGTPLRVIKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGACSCGDYW >OGLUM03G35190.1 pep chromosome:ALNU02000000:3:32921470:32924413:1 gene:OGLUM03G35190 transcript:OGLUM03G35190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFMSYLAMKTDAAGGEAAQAALIDADLQELGVAARKLANHALVLGGGLGFGTTFLKWLAFFAAVYLLILDRTNWKTNMLTALLVPYIFFTLPGGLFSLLRGEIGKWIVIIAVILRLFFPRHFPDWLELPGAVILLIAVAPNLFASTFRGDLVGIFICLIIGCYLLQEHIRASGGFRNAFRKGNGVSNSIGILLLFIYPVWALVLNFL >OGLUM03G35200.1 pep chromosome:ALNU02000000:3:32941877:32943798:1 gene:OGLUM03G35200 transcript:OGLUM03G35200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCEGAAGGWRTGRGRGPAPSGAPAASASASGRPSSRRRPCCSCPSASSSSAILPSGPGNTFTSSCQLKCGGHRSSAPIPWISLLSQILWEVSAVGDDGFLVLVPNGRFLTLQPLVHRLPVTTADC >OGLUM03G35200.2 pep chromosome:ALNU02000000:3:32941708:32943798:1 gene:OGLUM03G35200 transcript:OGLUM03G35200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRCEGAAGGWRTGRGRGPAPSGAPAASASASGRPSSRRRPCCSCPSASSSSAILPSGPGNTFTSSCQLKCGGHRSSAPIPWISLLSQILWEVSAVGDDGFLVLVPNGRFLTLQPLVHRLPVTTADC >OGLUM03G35210.1 pep chromosome:ALNU02000000:3:32955737:32956267:1 gene:OGLUM03G35210 transcript:OGLUM03G35210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICVSCDAVAEGAATARVVMPGGELREYSQPATAAMALEEAGEGWFLCDADGVGFEGRVVAVPGDEELRPGQIYFVLPAEARRRSLTREEVAALAVKASAALVKAAAAAAASSPAGGRRRRGAVAPLVFPLPEEDDTAVAAAAPKPAVARKRRVASRGVRASKFSPDLTAIPESE >OGLUM03G35220.1 pep chromosome:ALNU02000000:3:32957271:32960834:-1 gene:OGLUM03G35220 transcript:OGLUM03G35220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAMEKKGHRAFAKAIKSFGSSERHKRSKSDLEDMCAKDALYASDKTCVQPKPDAVKVKVKSDINAEVQPGRGAQSFLRKEILQLEKHLKDQQVMRGALEKALGPNATAAPVNVSNENPMPKDAKELIREIATLELEVKNMEQYLLTLYRKAFEQQVPTFSPPDHRGAPKPPVPAMAAVSSQPVQLQKSPSVKASRKNNRADAMLRSSYPPPSRRTLNDPVMTGCSTSGCSSRLGESDVLRCQSALSYRGICSSRISPSEDSLARALRSCHSQPFSFLEEGESTAAGVISLAEYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHGSSSSPTSSFSSTSAISPQYLGDIWSPNYKRESTLDSRLINPFHVEGLKEFSGPYNTMVEVPLICRDSRRLKEVEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKYGVPQNNLKKTSLLVKAACKIAGRSINVAVIQSMVLGCNTHCPGQWLRTLLHPRIKSKVGKVGHVWQAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATVGIWKEQKILLPKLVEAYAKDVKLSSQGLVDMVQRYLPESLRMAMQKCQQSRSSKIIEWVPHNLNFRYLLSRDLAFPHLN >OGLUM03G35230.1 pep chromosome:ALNU02000000:3:32963797:32964476:-1 gene:OGLUM03G35230 transcript:OGLUM03G35230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAGGEQRRWPELESGGGGGGGGRSRGRERRRWSEPRRRWLEQESGGMGQQRWPEPESRGRGAVAVAVAGVEGESGGGDRSRGRGGRGWRWCGPEPEEGAAAVVEAREEGVLGWQWSSRVDVEVSPDISQVSPDRYRVIPNMYHLIPRKYRLLCGKNRMISNRYHMIPRKYHLKHGRIA >OGLUM03G35240.1 pep chromosome:ALNU02000000:3:32971380:32975044:-1 gene:OGLUM03G35240 transcript:OGLUM03G35240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSLIHGISISVSDDEEASGRVRVRVRRKRHRHPASAARRRLLRRAARLCAPLLLATLAVSLLLYESYRLSPSSPTPPPAANLTRVDHTGAARAADGARKPCLKILGPEKLQNLELPEVPEQNLSVQKVVYKSSLLHLGDDDSSRTEISRFNSFTGYQTLNEREESFKMKELITLHCGFYNENGGFKVSDVDKNYMRSCSVVVATCAFGGGDDLHQPIGMTEVSIKKVCYVAFWDEVTRAAQEEEGNKIGENLMIGLWRIILVRDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEGKAIVKKHKATPEEVKIQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHAPLTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRMPGVHLFPVCARKDLVNSFGHRRKAKPLARERR >OGLUM03G35250.1 pep chromosome:ALNU02000000:3:32976293:32977827:-1 gene:OGLUM03G35250 transcript:OGLUM03G35250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S9 [Source:Projected from Arabidopsis thaliana (AT1G74970) TAIR;Acc:AT1G74970] MALSLTTAFSHLSLPSTSKSHPLPLLHLRFPSSSSSSRRAARLALAASAASAAEPVEVEEAPAEDGADEVVAVEDELSGPALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGRVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENSYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVTLRGEGLLTRDTRIVERKKAGLKKARKRPQFSKR >OGLUM03G35260.1 pep chromosome:ALNU02000000:3:32986499:32986783:1 gene:OGLUM03G35260 transcript:OGLUM03G35260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDSPATSPSRLSHIALLQTPSMEEDEEEGGELLVVDMEMTGEDELLSLNGGGGGHEGQFCLITRWRSENDFENAQKGQNGKVAVSTRFILAF >OGLUM03G35270.1 pep chromosome:ALNU02000000:3:32987785:32990452:1 gene:OGLUM03G35270 transcript:OGLUM03G35270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCANTITILNITERTERVNGTGLLELWEDKENKSIVGWFNVEQIQFRPATKRRTKKSQVASH >OGLUM03G35280.1 pep chromosome:ALNU02000000:3:32990479:32994486:1 gene:OGLUM03G35280 transcript:OGLUM03G35280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >OGLUM03G35280.2 pep chromosome:ALNU02000000:3:32990807:32994486:1 gene:OGLUM03G35280 transcript:OGLUM03G35280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFKVGSLDARATKIRNVPIAVTPEGFWCCPSQAVLQKTMKNQNQQAKPKGGASPLASKASSVQRAPTISSERRAHSTPTRSRINSDEQKCAPAENSTPNPPKVANDRPQKQHKISVGFGQLEMSDLKVVLYGKDGVAVKMSVHRNILAENSTWFADKLSRQSPMSSMEVPDCEDVEIYVETVGLMYCNDAKQRLIKQSVPRVLRILKVAELLGFQACVLSCLEYLEAVPWVGEEEENVVSSVQHLQSGNYGVSPILKRVCSDLTSPPNDTFVHIIELVLKSGEDRGRREMKSLVLKLLKENSSCTSTSVDIYAETLYSSCQNCLESLLTLFRQATADDFAEQSLDLKEPVFRQIALEADNLLWLTEILADRNAAGEFAVMWSNQGELAELHSKLPTKSRHLVSCVTARLFVAIGKGEMLPSKDTRKLLLDVWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWKRTFVRPYVEQGNQSQPGRS >OGLUM03G35290.1 pep chromosome:ALNU02000000:3:32995315:32995872:-1 gene:OGLUM03G35290 transcript:OGLUM03G35290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASVPKPAKRLSRKRSFRLGLPLLCGQSDVASPRGGGGGAAAARSSSSGRRQGELHRIFQHFDRDNDGKISGAELSAFFASMGDEMPAPSPGGGGGAAGYMLDFAGFVALMERGGSQEEDLRSAFEVFNAVESAGRITARGLQRVLAQLGDERSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >OGLUM03G35300.1 pep chromosome:ALNU02000000:3:32997420:32999266:-1 gene:OGLUM03G35300 transcript:OGLUM03G35300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c biogenesis protein family [Source:Projected from Arabidopsis thaliana (AT1G49380) TAIR;Acc:AT1G49380] MFSSPVFLGLLALLAASLMACTYTTQIPIVKVARRWSFMHSAGSIRKQEFAESLPRASIQDLGVILMGYGYEVFTKGPSLYAFKGLAGRFAPIGVHIAMIFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPKGVLSFAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFDLDGKEVMRKTIKVNDPLRYGGVTIYQTDWGFSALQVKKNGEGPFNLAMAPLKLNGDKKLFGTLLPLENSGSSNVKGISMLARDLQSIVLYDQEGKFVGVRRPSSKLPIEIDGNEIVIEDAIGSTGLDLKTDPGIPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVIGGKTNRAKLEFSEEMNRLLDKVPELISVNENKIDSKQSAT >OGLUM03G35310.1 pep chromosome:ALNU02000000:3:33003913:33005016:1 gene:OGLUM03G35310 transcript:OGLUM03G35310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRLVAFSHRRRRWILLAAGGAAAAFGAYKIYHHPAVAARRRRLARLAGAVAAFLDAAAASADAAALVASDLSDFVRSGSDELPRSVTQLAKLAASPEVSATVAAISEAITAGILRGVGSDSGLGSGGGVALSDRLVDRLFSESGERLAAAIAGSFARHLVAAIYSAPSTPGETSSPMKWVNLIATGKGQKAISNWVEVFVSTAVGVFVDKTIHINTYDQLFQGLTNASHDGKVKELLVSVCNGAVETMVKTTHHVMSNANYKSVGSGSNGAGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFALWKMHAGAKKGGNTVMDSGLRAMQYMTDKSMVIATICITLCLHVLNGTRLLVTA >OGLUM03G35320.1 pep chromosome:ALNU02000000:3:33010918:33011385:1 gene:OGLUM03G35320 transcript:OGLUM03G35320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRSRLSWLWRAPARALGRARDMYVRGMTGCARCVPADAAFGYPVFVPSSAAASMRSNSFGSDSRFGGGADDDLRELIRAASQRRAAEQEREARAVARSQSMASGISMARIDEDAPCEEFGGAGVMHYPRSQSCVGGVGGRIAHCHRKVAALA >OGLUM03G35330.1 pep chromosome:ALNU02000000:3:33013005:33015031:1 gene:OGLUM03G35330 transcript:OGLUM03G35330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDRPPAQDCRGEGGNFGGGGGRRGGWRQRWRCPMRSGSGSDGGGYDKAGMDSGKYVQYTRVRWRRWSGCTPSAPSPDSLTASSYSASYPSSPTSSPSRRSRSGSQNRSLQMFDKKRMKE >OGLUM03G35330.2 pep chromosome:ALNU02000000:3:33012469:33015031:1 gene:OGLUM03G35330 transcript:OGLUM03G35330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDRPPAQDCRGEGGNFGGGGGRRGGWRQRWRCPMRSGSGSDGGGYDKAGMDSGKYVQYTRVRWRRWSGCTPSAPSPDSLTASSYSASYPSSPTSSPSRRSRSGSQNRSLQMFDKKRMKE >OGLUM03G35340.1 pep chromosome:ALNU02000000:3:33029112:33029648:1 gene:OGLUM03G35340 transcript:OGLUM03G35340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVQEALDFDLDAMTSGFGFTPWAADTCPTLEQLMASSASPSPSSSLDDNAAAAEEENGEVEEEEERRRQRRKMSNRLSARRSRARKQQRLEELRGESARLRAENRELAARLHAVARHGLAARCQNARLRAEAAALARRLLALQRLARGRHMMITASPPPPPPTAPGAAAVLASLMA >OGLUM03G35350.1 pep chromosome:ALNU02000000:3:33031493:33033414:1 gene:OGLUM03G35350 transcript:OGLUM03G35350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERKLFVAGLPQQTREGDLRGHFARYGEVVHTRVVLDMASGNSRGFGFVEFADEAATLRALADDEMPNHVFRGRKVDVKRAERRHAHKQTSPSIKNQNDSVQKNQFIFQKKVFVGGLHENVTVKDLISYFEKFGTITDAVVMRNRITNRARGFGFISLDSHEAVCKILLNRFHNLNGRDVEVKIAVPKSPTYSEAKYYHMRMDMSLSPITYYDGMVHVHPYTPYTFGCVTPLAHLTHLGYGYGGPIDYSCYAYGGPIGHQHDLVGSYYYAKDYSKTTPIDLDTTDTTKK >OGLUM03G35360.1 pep chromosome:ALNU02000000:3:33040178:33040393:1 gene:OGLUM03G35360 transcript:OGLUM03G35360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATADDDDRSVRLQGRLVAALPPCPPSLAVVGGRRRRMRRAGRDADKGLTWTTRFGHRSGSVGPGWGGGDG >OGLUM03G35370.1 pep chromosome:ALNU02000000:3:33043601:33044916:-1 gene:OGLUM03G35370 transcript:OGLUM03G35370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRQRVQARGDSRYGRRVGREGASGRGMHLRDDTVGREEPHRPGMSTGQGRCRRTKTTSGGSRAFPTVDGGCAVEPLRLGARKGAIFSVSARDALTRAACRDGTYYDRIQNQLEMLCEQHTPVHDESMKPMEIASETAACNAHRRRFYVCIVCPGLASCGEVVW >OGLUM03G35380.1 pep chromosome:ALNU02000000:3:33064751:33068413:1 gene:OGLUM03G35380 transcript:OGLUM03G35380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNSSSSSGSMAATAGGVGGWLGFSLSPHMATHCAGGVDDVGHHHHHHVHQHQQQHGGGLFYNPAAVASSFYYGGGHDAVVTSAAGGGSYYGAGFSSMPLKSDGSLCIMEALRGGDQEQQGVVVSASPKLEDFLGAGPAMALSLDNSAFYYGGHGHHQGHAQDGGAVGGDPHHGGGGFLQCAVIPGAGAGHDAAYAHAALVHDQSAAAMAAGWAAMHGGGYDIANAAADDVCAAGPVIPTGGHLHPLTLSMSSAGSQSSCVTVQAAAAGEPYMAMDAVSKKRGGADRAGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKILESSTLLPGELARRKGKVGDGGGAAAVADAAAALVQAGNVAEWKMATAAALPEAARTEQQQQHEHGGHQHHDLLPSDAFSVLQDIVSTVDAAGAPPRAPHMSMAATSLGNSREQSPDRGVGVLATLFAKPAAASKLYSPVPLNTWASPSPAVSSVPARAGVSIAHLPMFAAWTDA >OGLUM03G35390.1 pep chromosome:ALNU02000000:3:33073389:33076566:-1 gene:OGLUM03G35390 transcript:OGLUM03G35390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSGLWGGKLAAGGGGGGGGGDHYRGTPVVVKMENPNWSISEISSPDDDDDEEFLVGGRRKGGRGKNAKQITWVLLLKAHRAAGCLASLASTAVALGAAARRRVAAGRTDADASAAAAGESPVLRSRFYAFIRAFVVLSVLLLIVELGAYINGWDDLAASALALPVIGVESLYASWLRFRATYVAPFIQFLTDACVVLFLIQSADRLIQCLGCFYIHLKRIKPNPKSPALPDAEDPDAAYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNFLVQVLDDSDDPTTQTLIREEVLKWQQNGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALDDSGGWMERTTVEDMDIAVRAHLRGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHQKTESAPNLDAIAKEQSAPRKDVKKKHNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQIE >OGLUM03G35400.1 pep chromosome:ALNU02000000:3:33085026:33087361:-1 gene:OGLUM03G35400 transcript:OGLUM03G35400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIPSSKRDMARGAEDDGEEEEEEEYDRVFYEDIEAPKFVDLTAPDAARPSDDPSWFCLRIGCDQNHEHVDPEALHRSFFMRVMAARSPNVRLQKAIRRRNQSSMLKCPHSAPPKPPRSRIARLSTATEAAAKPRLKTHRICTLRASPTRTKAAKVEASGARKKALTTPRSKPVRPRQDPFLSVKHQKQEPVAAAARKGTVAKALFMATPKKDASKTPAKAQAAPPLSEVCSKMRKLNLACREVPSRYLCQSSNPKSSKKCDQTAVKSVKAAQNSRPDERKKKKILGCSLQHASSEAGKENRNGRENTAADENACPEGASSSEEPKEVMQESRIEVETSQADNCDDDKENLSYVDQPTEQMVIISHSDGENLQQLENNENVPRKVAKMQSKLNAEQGGKLKKTTNPKPFRLRTDERGVLKEANPERHQTLTENNSTAAVRQIGRCRDGKGRDKPTCGEKQKKQIRNVATGQVDEAKRVLNSIRCNKVKPAMTNGKTVGKSQGASRVASSTRSTKITSGSMAPSKVGKEKSTSVKLSRLQAAAA >OGLUM03G35410.1 pep chromosome:ALNU02000000:3:33095417:33096675:-1 gene:OGLUM03G35410 transcript:OGLUM03G35410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQHLARQAAAAASDLQIKQEASRGANEADGLAAGANYTWHHHHAMAVPVHPMSAPMVVEGGRVGDDVDESIRKLLFKLGGNPFAASPAPPCIPPPPMYEEAPSFVPPLAHGVPLNEGGMQCSSVLPALELDESFHFNHVKLDGLECLFGMGDHQNMRWNEVSPLVYPNNAVASSSQGMQQYCLVEEPADLGMQ >OGLUM03G35420.1 pep chromosome:ALNU02000000:3:33096786:33104768:1 gene:OGLUM03G35420 transcript:OGLUM03G35420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVISIMRPSARQQLIAGLFLGPGLCAPFVSEVIWRSGHEKVTSEQKIDQTGSRMAGCMRKLLLLPLHTTTQAPGRWRLHNVLATFLHVARGRSKLLACIHGASLRCGNAIPRDTVPSAWDGIASSCTISLSHVFSACVTAADGVPPIVVTLLQ >OGLUM03G35430.1 pep chromosome:ALNU02000000:3:33110746:33117224:1 gene:OGLUM03G35430 transcript:OGLUM03G35430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGHDGHHHGGGGGAAAAAAVQGQVSPAGAEAAVKAEIMSHPEYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLADSNCEGTGSSEEEQDTSCPEAEEIDPSDKQLKHQLLRKYGGSLGDLRQVFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGKPFVVDGMYRLGS >OGLUM03G35430.2 pep chromosome:ALNU02000000:3:33111491:33117224:1 gene:OGLUM03G35430 transcript:OGLUM03G35430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFASLAGGGSSSTTARLPELISPENPDHISPPPLLYQLLAGPESSARQHGHDGHHHGGGGGAAAAAAVQGQVSPAGAEAAVKAEIMSHPEYSALLAAYLGCKKVGAPPDVLTKLTAVPAAQQLDEADGHPRRRHEPQRDDDPDQLDQFMDAYCSMLTRYREELERPIQEAAEFFSRVETQLDSLADSNCEGTGSSEEEQDTSCPEAEEIDPSDKQLKHQLLRKYGGSLGDLRQVFSKRTKKGKLPKEARQKLLHWWELHYKWPYPSEMEKMTLAQTTGLDQKQINNWFINQRKRHWKPTPVAGTAFPTMEAAGGGFRHSGHGGGLAAAAALPLYMGKPFVVDGMYRLGS >OGLUM03G35440.1 pep chromosome:ALNU02000000:3:33122577:33124607:1 gene:OGLUM03G35440 transcript:OGLUM03G35440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGVGSLLAGLAVVLAGFVVGAGGSDDRFVYAGFTGAPLALDGTAAITASGLLELTNGTAQLKAHAVHPAALRLHGGDGGGAVRSFSTSFVFGIIPPYFDLSGHGIVFFVGKNNFTAALPSQYLGLLNSANNGNVTNHIFGVELDTIVSSEFQDPNDNHVGIDINSLKSVAVNTAGYYDDKTGAFHDLSLISGKAMQVWVDYDGAATQITVSMAPLKMSKPTRPLVSAVYNLSEVLVDPVYVGFSSATGTVRSRHYVLGWSFAMDGPAPAIDIAMLPKLPFYGTKARSKVLDIVLPIATAVFVLGVVVVVVLLVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKAKMLLGIGGFGRVYKGVLPKSNMEVAVKKVSHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELILVYDYMPNGSLDKYLYDDKNKPTLDWTQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFLLEVTCGRRPVEQAMQDNQLMLVDWVLEHWQKGSLTKVIDARLHGTYNIDEAILVLKLGLLCSHPLPGARPSMRQVVQYLEGDMPFPELTPTHLSFSMLALMQNEGFDSFVMSTSLPSEMMMTIGTMSGLSGGR >OGLUM03G35450.1 pep chromosome:ALNU02000000:3:33127330:33129372:-1 gene:OGLUM03G35450 transcript:OGLUM03G35450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVATLFLLLLLDLAVANGAVDEFAYNGFAGAGDGELVLDGAASVTPDGLLRLTGGSGEKGHAFYARPLGFRNGSGGGGGVRSFTSTFVFGIMSSFTDLAGHGIAFAVSSTRDFSGAAAAEYLGLFNRATNGDPASGRVLAVELDTMYTPEFRDIDDNHVGVDVNGLDSVAASTAGYYTPGGSFRNLSLTSRRAMQVWVEYDAGDARLDVTLHQLTKPKPARPLLSVKPANLSAAFSDQMYVGFSSSTGSDDTSHYVLGWSFSLSGIAQDLDYAKLPSLPPVTATAASTKHMPVKIWLPVSLSVTVVAAIVMFLLFRRQRRAIYVELVEDWEVEFGPHRFAYKDLHKATKGFHDDMVLGVGGFGKVYKGVMPGSDIDVAIKKICHDSKQGMREFIAEIVSLGRLRHRNIVQLLGYCRRKGELLLVYDYMINGSLDKYLYGEGKPILNWAQRINIIKGAASGLLYLHEEWEQVVIHRDIKASNVLLDSNMNGRLGDFGVARLYDHGAEPSTTTIVGTMGYLDPELTRTGQATTSSDVFAFGAFVLEVVCGRRPVQPRAAAGGERLVLVDWVLRSWRSGEIAGAVDARLGGGFAAGEAEAMLKLALLCTHRLPAARPGMRRVVQWLDGGGGCGDVLDRLSPGHMDVAAPAFLCHDDDDDDDFVAMSFPSASTATSPTTRFTG >OGLUM03G35460.1 pep chromosome:ALNU02000000:3:33132003:33136455:1 gene:OGLUM03G35460 transcript:OGLUM03G35460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVEISYLPQTAKPTKTTTKNKEGNRRRISQATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGPDGLFENKHH >OGLUM03G35460.2 pep chromosome:ALNU02000000:3:33132090:33136526:1 gene:OGLUM03G35460 transcript:OGLUM03G35460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWDHVNEEFIVGRARSTVLEDEALGRHRDAHAREKFQCFAWWAWTMILTPYNSSMQDPMAYLRTSTINSVHTICHAYQWNNSSGVRRVHVRTKADDIHFICLKVTEISAVVVFVAGKAIVGNVTVAVVVFFFFFTGLDVSLTASITIAHLIRRDAPFHFGLGGNIAPATDAPTNAITIRRVAGEDNEALPAQPAPRHLTVHGSAAALHGHCTSPVACELVHQPDTDGD >OGLUM03G35460.3 pep chromosome:ALNU02000000:3:33132090:33136455:1 gene:OGLUM03G35460 transcript:OGLUM03G35460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAARSGLRSLAARAKATAAPAARRRMSSSAHDDAHETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGPDGLFENKHH >OGLUM03G35460.4 pep chromosome:ALNU02000000:3:33135977:33136526:1 gene:OGLUM03G35460 transcript:OGLUM03G35460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSVHTICHAYQWNNSSGVRRVHVRTKADDIHFICLKVTEISAVVVFVAGKAIVGNVTVAVVVFFFFFTGLDVSLTASITIAHLIRRDAPFHFGLGGNIAPATDAPTNAITIRRVAGEDNEALPAQPAPRHLTVHGSAAALHGHCTSPVACELVHQPDTDGD >OGLUM03G35470.1 pep chromosome:ALNU02000000:3:33135888:33136604:-1 gene:OGLUM03G35470 transcript:OGLUM03G35470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPSSRCHRSKKLLRPKHILQVVLLVAVSVWLVYQLTRNRRRAVAVEGGGAAMDGEVTRRRLGRKGFIVFAGDASDGDGVRRSIGGRSNVATEAEMERGVTSDQVGDGDRGGEGDVETGEEEEEDDDGDGYIADDGLPGDEDDDGGDLRHLQADEMDVISFGPHMNSSDARAVVPLVGVADGMNRTAVINTSVNDSAVSLNPPVTGSLRYNHREATGNIEALGGLEPTITNDMEEH >OGLUM03G35480.1 pep chromosome:ALNU02000000:3:33156642:33157976:-1 gene:OGLUM03G35480 transcript:OGLUM03G35480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTAPCYCKIRLGRMPWQVAAAPLVVADGGEQAPSGALAAAFHLSKADLEWFARKPSLLFSSSSSSRGPATLKVAVYAGRKGTTCGVSSGRLIGKATIPVDLKGAEAKAAVVHSGWICVGKKSGGKGGSAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRSVVQTERDAAAAAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGAWLILRPAGDGSWEPWGRLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLAESSIAASKGGKFAIDLTSMQPQSRGGTPGCSPRGSGDFSQWPLASYSYRGFVMSSSVQGEGRCSKPTVEVGVPHVGCAEDAAAFVALAAAVDLSMDACRLFSHKLRKELSHLRSDVLR >OGLUM03G35490.1 pep chromosome:ALNU02000000:3:33163164:33171643:-1 gene:OGLUM03G35490 transcript:OGLUM03G35490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRRAELQASHSIARAELEPNNPQELQSPDSALSLFRLARLSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITFRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSSRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIMRRQNFLMGLVRLET >OGLUM03G35490.2 pep chromosome:ALNU02000000:3:33163164:33171643:-1 gene:OGLUM03G35490 transcript:OGLUM03G35490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRRAELQASHSIARAELEPNNPQELQSPDSALSLFRLARLSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITFRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMADPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSSRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIMRRQNFLMGLVRLET >OGLUM03G35490.3 pep chromosome:ALNU02000000:3:33163164:33171643:-1 gene:OGLUM03G35490 transcript:OGLUM03G35490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKADNLAGYNRQRPFGQRLQSPDSALSLFRLARLSSARSPPFGPKFPSAAHRHPLHLTSSGGEHALASSPSQSQPPRAGLDPEATPPASIASRFESKDQEKLGVQLSSMPQVRPASAEKPTRQWAAWTRQEEQSFFNALRLEGKNFEKITFRVQSKNKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTVAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDECLSSSSPILNRTAGNESSSVKLLPVDVSNGSKVASSKGAVFKRGAEPNSNNKSGSTKWDLSATITVKQKRRAGGGIASTAYKKWERDAMAGVSLVADAAEELERNTVNVDARTLSPSSNNVCTVDDPGTNRMNEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTVSSRKKISSVLEHLNRKWGSSNIASGELLLFPYCAHREDLATYQRWTTRDTVVVADVFLSVNSPPVFRLRYGWFSLAELEGGSGISSTHFKNCMMPEDIHAKSPSDACVQKDGTFLNSCAPEEHLCNSKDRPALFLAMTFSTGKSAKGQEQHSDFPASWFSRQKQEKDSTNQANLGMDCAIISEGEWADTLTDISVGYLLTEASIGTNTDCPGTAIAKNTLFLENPCSYDSFDAAVALHASRHKTVEQPALASHSTIWGAEETCDEFSFNLAASRKQESSNTSASSSPGSDSGVLPSTSQGFQGFLQDLAGAKVADNPCMDDAKDMEALCANSPPRSKSDSGLKDQSLADLYWADSLGPLDLEIPSVRYQAEDLLLGDSQNSWNRMMVNSLDAFRNLSFFMADKNDSIPSIMRRQNFLMGLVRLET >OGLUM03G35500.1 pep chromosome:ALNU02000000:3:33172581:33176624:-1 gene:OGLUM03G35500 transcript:OGLUM03G35500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRCLVAVAVLLLVGSHAARGEERRRLLLARQDVLALHGLRGSIGVRAEYWPVKRYPCSWSGVVCRAGRVAELRLTGLRRTRAGARSQGFTVDPLRELTALEVFNASGFPLPGRIPAWFGTGLPASLEVLDLRSTSVNGTLPADLGMSGNLTTLDLAGNSISGSIPATLFLIKGLKFLDLSDNNLTGELPNVSISAGDGTGVFNASGNSLYGAIGDVLGPLRKRFRQVDLSRNYFTEVIGTGFGNSSDGAVDINMNCLSGSSQRSQGDCEAFYKRIGVSLPEPPNALPSPSKKGVKWKYVLAGVLAAAAFLVVLFLVALVFCLMKSGGRRPRGRGLEQNEEGIRSGRRSSSVNPVMLSSMAASPAANGAVKGLPTIVDEFTYEQLHNVTGGFGDDNLVKHGHSGAIYLGELESGFNVVVKKVDLKSSNKNLGELGFLAKNSHGRIVPLLGHLATDEEELLVYKYMAKGDLTSALHRKSVDAEEGLRSLDWITRLKIAIGVAEALCFLHDECSPPLVHRDIQASSVLLDDKFEVCLGSLSDVCTQQSEGSRSFFSRMLRSSKSLDKNASGPPASCSYDVYCFGKVLLELITGNFGVSGSNDTGSEEWLARTLDFIDAHDKEGLSNIVDPSLVVDEDHLEEVWAVSIVAKTCLNPKPSRRPLARYILKALENPLRVVREELQLNSSLTSTSSRSSWHFAFHGDSRRSSELRPTLGQSARKQSVNSQGSDEDEDEEEDSFSFKRASREILPDPVELEKDAVV >OGLUM03G35510.1 pep chromosome:ALNU02000000:3:33186966:33194791:1 gene:OGLUM03G35510 transcript:OGLUM03G35510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49650) TAIR;Acc:AT3G49650] MPSIRAPASKQTATLQVAVKCRPLTDSEQRRSRHIIQVIDDKNVVVLDPDLSKDYLELIQNRTKERRYSFDHVYAPGCSNADVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFDLVKKDDSKDTFEVSCSYLEVYNEVIYDLLEKSSGHLELREDPVHGIMVAGLRSIKVHSADKILELLNIGNSRRKTESTEANSTSSRSHAVLEITVKRKQKGQYGSQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGHLDTHVEDYKRMIDNLQVEVSQLKKELAEKEHQLSVKPTEKAADNELSWLNILSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARQQVKDKDSAVLQALTSRRQVILDNIRDNDEAGAGYRKDIELNESRKRQLQDMIEEATSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIYNQRESLRSLWNIIYGTGLNQKQISKLAAKQGLTIEGCPLPVSSPDVTTPPSFSPHGRLSPFMSFPSPQSQPYSPSACFVQHGFSTMSYLRNQHETPTVCRQEHLSSYYMMSECSPFDGDGKQKTNGRSMPYFSTPGKPKEMYNFSPGTESERTPCSKEYPTSYSRNGDSRFLVYRRKAVRKEGHHQHSSIAHYQAGRLPLEPVPTSSANRPNTNVTLSRISVSSGSFNNLLGVTHLTYSGDHHSCQLR >OGLUM03G35520.1 pep chromosome:ALNU02000000:3:33208488:33213966:-1 gene:OGLUM03G35520 transcript:OGLUM03G35520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDS4] MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNKDSAPHGDRVQTLMKIQIEKQKHLLLQNSW >OGLUM03G35520.2 pep chromosome:ALNU02000000:3:33201984:33213966:-1 gene:OGLUM03G35520 transcript:OGLUM03G35520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDS4] MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNKDSAPHGDRVQTLMKDSDTPRMRAPNGYR >OGLUM03G35520.3 pep chromosome:ALNU02000000:3:33211150:33213966:-1 gene:OGLUM03G35520 transcript:OGLUM03G35520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDS4] MQPDSASHRIARVAAHLSPSPRPQMEEGVRPAPCRAKGGAPGFKVAVLGAAGGIGQPLSLLMKLNPLVSVLHLYDVVNTPGVTADVSHMDTTAVVRGFLGPNQLEAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRSLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVARANTFVAEVLGIDPKDVNVPVVGGHAGVTILPLLSQVHPPCSFTPDEISYLTKRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGDAGVVECSYVASAVTELPFFATKVRLGRAGAEEVLPLGPLNDFERAGLEMAKKELMESIQKGIDFMNK >OGLUM03G35530.1 pep chromosome:ALNU02000000:3:33203313:33207612:1 gene:OGLUM03G35530 transcript:OGLUM03G35530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLLLLVGVAAAAADADADADALLAAKAAMSDPTGALASWGGNGTRTNTTAAAAAHCAWAGVTCSSRGAVVGLDVSGLNLSGALPAELTGLRGLMRLSVGANAFSGPIPPSLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLQSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGVAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQKLSKADLSSNALEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >OGLUM03G35530.2 pep chromosome:ALNU02000000:3:33203658:33207612:1 gene:OGLUM03G35530 transcript:OGLUM03G35530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRLLLLLVGVAAAAADADADADALLAAKAAMSDPTGALASWGGNGTRTNTTAAAAAHCAWAGVTCSSRGAVVGLDVSGLNLSGALPAELTGLRGLMRLSVGANAFSGPIPPSLGRLQFLTYLNLSNNAFNGSFPAALARLRGLRVLDLYNNNLTSPLPMEVVQMPLLRHLHLGGNFFSGEIPPEYGRWGRMQYLAVSGNELSGKIPPELGNLTSLRELYIGYYNSYSGGLPPELGNLTELVRLDAANCGLSGEIPPELGKLQNLDTLFLQVNSLAGGIPSELGYLQSLSSLDLSNNVLTGEIPASFSELKNLTLLNLFRNKLRGDIPDFVGDLPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNRLTGTLPPELCAGGKMHTLIALGNFLFGAIPDSLGECKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVSGVAAPNLGEISLSNNQLTGALPASIGNFSGVQKLLLDRNSFSGVVPPEIGRLQKLSKADLSSNALEGGVPPEIGKCRLLTYLDLSRNNISGKIPPAISGMRILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFSYFNATSFVGNPGLCGPYLGPCRPGVAGTDHGGHGHGGLSNGVKLLIVLGLLACSIAFAVGAILKARSLKKASEARVWKLTAFQRLDFTCDDVLDCLKEENIIGKGGAGIVYKGAMPNGDHVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAIEAAKGLCYLHHDCSPLILHRDVKSNNILLDSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRMMTDSNKEQVMKVLDPRLSTVPLHEVMHVFYVALLCIEEQSVQRPTMREVVQILSELPKLAPRQGEVLSHAVDGFASNPPAPVPSGSAEALTGDAKDQQQQQTNSESTTPPDLISI >OGLUM03G35540.1 pep chromosome:ALNU02000000:3:33218139:33220942:-1 gene:OGLUM03G35540 transcript:OGLUM03G35540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLARQAAQALRARQMAQLGPSATAMQGHIRTYMGAGKPTRFKGQDEEKEKLAKEIAKDWNAVFERSINTLFLTEMVRGLMLTLKYFFEKNINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAANLESESLYR >OGLUM03G35550.1 pep chromosome:ALNU02000000:3:33222893:33229401:-1 gene:OGLUM03G35550 transcript:OGLUM03G35550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT1G75210) TAIR;Acc:AT1G75210] MLFARRPLATAASHLAPFSPPLLLFFASASSSCSSAASPSSASGPRGCSAVRMETGAVEPASTGAIWSTPSVEPRTISVGKEIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYHGTIEKLVKDLGYPEELLTWQFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFREMSKEEKVSAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPSGTDYPLMYRDVRSAVDLCHRDGTLKRMVAKDPSRYINEDLAIVPMLEMIRKSGRSTFLVTNSLWDYTDVVMNYLCRPYTSDVSSSHNHKWLGYFDVVITGSAKPGFFHDGNRAGLFEVEPDSGKLLNADLHIGSPRSGQQSSRPIHKIYQGGNVGHLHRLLSVASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEQEVKLLSESKSTRKELRHLRMERDSIEDKIHRLEWSLKFENLTEDEKEKLFSEHDILLQKKEHVRRLHQEAQRQHHHKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFALYSPNKYYRPSEDYMPHEFDVLGL >OGLUM03G35560.1 pep chromosome:ALNU02000000:3:33232758:33236284:1 gene:OGLUM03G35560 transcript:OGLUM03G35560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: thylakoid, chloroplast thylakoid membrane, chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Twin-arginine translocation pathway, sign /.../uence (InterPro:IPR006311); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42765) TAIR;Acc:AT5G42765] MKAHTKTKQIPELPMSGPMRAIHSLAAAPAAPAPATETATRPLRRRTSAARASRESSRQPQALPDLPSRRAALLALVLAGSTPRPPAAAAAFSLGIRTNTSLDSALVAARRRPCSHLFSSPVVLAVVVCWDAAAGPKELLREQKKKSARFLLAPIAASREILLKAQSLLASANVGADDVEEVGRMLAAAGRDCVPRQRNSLVSLQSRSGVEVFDGITLQVCTFSLILKNAASLLTDKDPLKVEADARLAELIQSFSDLGTVVDNSNIELTADREKMKDGLLSTVSAIDKFEQSVKDCLGI >OGLUM03G35570.1 pep chromosome:ALNU02000000:3:33238906:33240359:1 gene:OGLUM03G35570 transcript:OGLUM03G35570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREICGGKVNYMWKTSLQKRDKEVGMQGGAPGTRMPPGHRICTPPSSVSAFRFCAVMMLLADLTANWSRFDCPID >OGLUM03G35580.1 pep chromosome:ALNU02000000:3:33239805:33240879:-1 gene:OGLUM03G35580 transcript:OGLUM03G35580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRYQWECLLAHILKKRIIIADDWENSYGKIKILFHEFIMEDCDDGKPIFMGVCDGQFIQLFKADGTPEEFGLATLAYILWKRNILIAARVLKGVLCPNNNVAESLACSALMQDCLLREDVDHLSILTDSGAVHRAISGEPVSEHGPNSDEYALLKFLASKFKTCTSTQQPREVIFPVDQLIREMEESTNVRDSLEKVLAKFAPHFGVQPLFRLSQNSSAKDIINSLGGRILNYAHKAQEKSYIHVDENFKLQPYIHITNDLFPLKVVLVFDSFEKKPLSFQNEVNKLLPRGTVKLVEGECTPFTICRVSFLGLCANAMFFSD >OGLUM03G35590.1 pep chromosome:ALNU02000000:3:33264287:33266854:-1 gene:OGLUM03G35590 transcript:OGLUM03G35590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G42760) TAIR;Acc:AT5G42760] MSKEEEEREKVTVAAAAAAAAAAASEVVVVVNGGGGEEEGEGVRALHARVEAEWGPVMQSACQTAAARALWGRAVRDPAAGVLAGGRFLAALRERMRRDEEAGAREVHGVMIAVRTLWFDARVEAAVASLGGAAQVVLLGAGMDARAYRLSCLKECTVFELDFPELLEMKTDLLHEAMSSANNQKLTMMAKSLTRVPADIRDGDWITKLQSYGYVPERNTIWVLEGILYYLHHVHAMQVLETIVACRTSVHTVLLADFMNKNAVSLSRAMYHFYHDSPDLLLPSIGFSQVTLSQIGDPQAHFGLLSHPQNLFDKLRRLPRSVETNPEDGTPCCRLYLVEASAFPDDQITKQGI >OGLUM03G35600.1 pep chromosome:ALNU02000000:3:33269356:33273936:1 gene:OGLUM03G35600 transcript:OGLUM03G35600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19430) TAIR;Acc:AT1G19430] MTVSRGPPGRPAASSGCLGCWRRRPPLGFGAKVTIAIALGLSFAIVWTSLSPTSSSQQISTERSSFAADIVDPPPASHNHTATTTTTAAAGGGHRKPRPTTSRSHKKRHPPAGSHPHRPNATASPDSTPANADHAVQSKPAEPEPEPGTELEEEEVEQDQEEKEAELPMPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRLPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDEMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLNNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSVKKTTWRP >OGLUM03G35600.2 pep chromosome:ALNU02000000:3:33269356:33274087:1 gene:OGLUM03G35600 transcript:OGLUM03G35600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19430) TAIR;Acc:AT1G19430] MTVSRGPPGRPAASSGCLGCWRRRPPLGFGAKVTIAIALGLSFAIVWTSLSPTSSSQQISTERSSFAADIVDPPPASHNHTATTTTTAAAGGGHRKPRPTTSRSHKKRHPPAGSHPHRPNATASPDSTPANADHAVQSKPAEPEPEPGTELEEEEVEQDQEEKEAELPMPEESGDGKAPEEESEKAPELELDELSEGDGYGEEAEEGQKKAPKKTKKQPPLFSSSAHYHWKHCGAKSGHHYIPCVDFDGDGSQRHRERSCPRLPATCLVSMPKEYKPPAPWPERKEKVWYGNIGHPRLSSYVKGHGWLNRTGDYLMFPPDEWEFKGGSRHYVEAIDEMAPDIDWGKNIRVVLDIGCKSAGFGVALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSKRLPFPSGAFDAIHCGDCNIPWHSNGGKLLLEINRILRPGGYFIISSKHGDLESEEGISASMTAICWNVIAYNSDDVSEAGVKIFQRPPSNDEYDLRAKKDPPFCKEDQNKAPAWYTLIRHCLHKAPVGIEERGSEWPEEWPKRIETFPEWLGDLQTRVEADHKHWKAVVEKSYLDGLGIDWSNIRNVLDMRAVFGGFAAALASKKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLNNRCKQPVSIVVEMDRILRPGGWAIIREKLEILDPLEKILKSLHWEIVMAFRKDKAGIMSVKKTTWRP >OGLUM03G35610.1 pep chromosome:ALNU02000000:3:33274447:33278138:1 gene:OGLUM03G35610 transcript:OGLUM03G35610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavodoxin family protein / radical SAM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G75200) TAIR;Acc:AT1G75200] MPPPFPTATAAASTTSHLALLLLLSSSSVFFLYKSLRLRRNNPPSPPPGQVPAPTPTLLYASATGTSKALAAGLSRRLAEAGFTAHPADAAAFDPDDLPSLPLLLLVLPTHDGGAPPPAAAFLARWLEESAADFRAGAALLSGLRFAVFGVGSRAYGETFNAAARSFSRWLRALGAAEVVAVGEGDVDGGDLEVVFEEWCGRVVRVVKGEEIGEGHNGESDGFDELEEEESDDDDDEEEVDGGEVDMEDIAGKAPAARRRNGKVEGALSNGGENGVRDMVTPIIRTSLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDDPLDIVNAAIDQHTKMVKQMKGVPGVKPERLAEGLSPRHCALSLVGEPIMYPEINVLIDELHRRHISTFLVTNAQFPDKIKTLKPITQDSLKSLHDKDQRTVYRLTLVKGWNAEEIDGYAKLLSLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKDFSEALALKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFRSQDYMALTPSWAVYGAEEGGFDPDQSRYKKERRHGAAALKD >OGLUM03G35620.1 pep chromosome:ALNU02000000:3:33278315:33280729:-1 gene:OGLUM03G35620 transcript:OGLUM03G35620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G22070) TAIR;Acc:AT2G22070] MRDAAALELHAADAAAAARPAMVAASDHYARLLQLCQTAANPGAGRAIHARAVKAGLLASAYLCNNLLSYYGETAGGAGGLRDARRLFDEIPAARRNVFTWNSLLSMFAKSGHLADARGVFAEMPERDAVSWTVMVVGLNRAGRFGEAIKTLLDMTADGFTPTQFTLTNVLSSCAVTRAGAVGRKVHSFVVKLGLGSCVPVANSVLNMYGKCGDAETASTVFERMPVRSVSSWNAMVSLNTHLGRMDLAKSLFESMPDRSIVSWNAMIAGYNQNGLDAKALKLFSRMFHESSMAPDEFTITSVLSACANLGNVRIGKQVHAYILRTEMAYNSQVTNALISTYAKSGSVENARRIMDQSMATDLNVISFTALLEGYVKIGDMESAREIFGVMNNRDVVAWTAMIVGYEQNGRNDEAIDLFRSMITSGPEPNSYTLAAVLSVCASLACLDYGKQIHCRAIRSLLEQSSSVSNAIITMYARSGSFPWARRMFDQVCWRKETVTWTSMIVALAQHGQGEEAVGLFEEMLRAGVEPDRITYVGVLSACSHAGFVNEGKRYYDQMKNEHQIAPEMSHYACMVDLLARAGLFSEAQEFIRRMPVEPDAIAWGSLLSACRVHKNAELAELAAEKLLSIDPNNSGAYSAIANVYSACGRWSDAARIWKARKEKAVRKETGFSWTHIRSKLHVFGADDVVHPQRDAVYAMSARMWEEIKGAGFVPDLQSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRVMKNLRVCNDCHAAIKAISKVTDREIIVRDATRFHHFRDGLCSCKDYW >OGLUM03G35630.1 pep chromosome:ALNU02000000:3:33281195:33284881:1 gene:OGLUM03G35630 transcript:OGLUM03G35630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF59) [Source:Projected from Arabidopsis thaliana (AT3G50845) TAIR;Acc:AT3G50845] MTVGMINANPVVHERPERAAHPHPADAIDALDVFDTVRDIKDPEHPYSLEQLSVLSEESVSVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKIDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDSHSH >OGLUM03G35640.1 pep chromosome:ALNU02000000:3:33287584:33291672:1 gene:OGLUM03G35640 transcript:OGLUM03G35640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVAFETARKIIMHPLYTPRSSPWLDLKVFYVRVSNCEVDESAPDRLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKMTEEATFVSTDSIRMTGSVRFQVFDKNDLLLTGDLELCSANGVVGESKNSSKRWNMKCQPASSCNGFLKGKPSTASESVHPVIEVYLAGTFCGTPIILTKTVQHISRRKSQMKLKLDSIPENEATEQQKEELNEDSLQVSESQNPKSEQDVDVDYNSLYSRQDFIEGEDGELSWFNAGVRVGVGIGLGICVGVGLGVGLLVRTYQSTSRNFRRRLP >OGLUM03G35650.1 pep chromosome:ALNU02000000:3:33292240:33296032:1 gene:OGLUM03G35650 transcript:OGLUM03G35650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPDLGSDFAQKLLLDLRRRRERLGFDSPAPPQRTSSSNAAVLPRDSHSSSQRPHRSQKPQQAAPRAGIAEATANRSHPQSGNAIAGAGKPRHRATPVANSHQIVPFQGGGSAKQKPANSSIDVQMALAIALSNRGKLQNIELVSRNGSIFFRDTDHAMPESHLVSPSAHVGKVAIGVKKLNDILMAYSSGGGARDTGRRSSVDIGRELFGGAMDLEESLSMLVMLQEASDYMEGSGNGKVLLLEGKENLKNSVRSPSSARLVEIVDEDSEAEQAKNSKSLSMQIVPHKNSQGFSTPNSSSVLQVSTVTGNNSRSNASKADKDDAKVRMPNVIAKLMGLDNLPSTKVANKGMEKFVKPEALSRKDRRTNVVGGRLPIQIIGSERVSSKGHNMNLLPGEWKIGLKNYEVYKSANLQVSNSSSIPAADKQTRQTMRQMLSKMENAERRGSLGQQLHEEKKLTEETNQQKVANVGCRTDAGKKMDFLKRIRKISGSRQVTEEKHIIQEESTTGKKQTTSMKQLLGTDSEDKSKITRVKFNKENLATTEIKNAARGKNGKTDQRKRQSYNKQTDVHSMPKKSQKYREMKSKEGIQNLEHKRSTKSEATQLKILPYTAEIQQENGKHEEDNDIVKPSDSHGDGGISEQFAGMVEDSRTTGMASPDQFMKKITEVTSIDVHASDRSNVVDQSVTQTNDDTSSNTASETTQTPETFTEGEHHQNLQLVEFKEKPLDDLGDAKETSNPTDLQDQKMHVVSCDSFTENQLLLMRMLLKDQYLLETAKALVRNPVPVHFVNASADRWSEKGSSLFSDIAREVIRKKGKRTEAIVEVSMANTTNMKLQYLDDLIMELDGDIESLNISKKSQHLGEDCTAENLRMILHRDMQNNHPDANSMWDFGWNRISDLPIERNEVVRDLEKNILGGMITDVARELIELSVRHGCCACEA >OGLUM03G35660.1 pep chromosome:ALNU02000000:3:33296243:33297648:-1 gene:OGLUM03G35660 transcript:OGLUM03G35660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRRRACVCPNEVTTSTGSTGDLHACHETGAAAGDRSSSPSLLDLPLDVLLEIVARCDAATVLSLAATCKALRRIILGDDFRRRLALRAAANGGFDPALLLGVSYRLHEHGGAEEDDGRLVQAYGRRVRFDDSLLGSFTPVASRDGILVLRREHRDASSGGGGAGQLYSTTRAARLNTLTGNTSSPPTRTFCDDDFTYPPALLTIAGAGAGCSFELLVADSHLTTQTYQSQNGKWGARRAIAMPPDHPRLGAPVDGCSAAVTGRTVHWLCHKSRPNSGEHAFVVLSVDADTARGTVTELPRECIGGKTGAFDVHGLHLAGSAADGRLRLVAAGMQAISVWTLSPSPEAEEEADRWSQQAVAIHVQRGIGKTLFGESWKPIRLDESGRLRSTTRFMGFAERSGVGILWMEGGGGLARFSLATGELAVLRRAPAAGGVRDAGHVSCVFLHEVDLASLLRTMTCL >OGLUM03G35670.1 pep chromosome:ALNU02000000:3:33297968:33299398:1 gene:OGLUM03G35670 transcript:OGLUM03G35670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKHLRDGSCFDEPAMQPAAKRLRARAAATAEARTTTTTTLPVDLLLEIVARLDAATTVRCAAAGKSIRRAILDPSFRRIRLALRAAANGAGFDPTLLFAVSYKLARLDDPPVLIVEDPQSAAGGAAAPFAVSGKFLRRIVEPPPPPPPSYRPVLPIYKSYDSELKHSETVASRDVLVVLRKRPVGVRAFCTIPRQQLCICNSLTGDTTRLPMSDVVDVYPPAFLTVGGAGRSYELLVMDKRLQTQTFSSEDGKWGAIRAMEELPHPISSPLYAHRPLVVSRRNAVYWLCPERLGGVTADLHILAVDVGAGRRRASRIELPPDCLSRMKPFGWQSDGIILAPSPSPDGELSLIVAEVLVISQWTLLPSSSSSSSEGGSPAARWSRQVVISRLAIDRQAGHDMFMGVVCFHGLGLVSGAVLMQVRVLDTVLIALLHLASKECLILRRWDKMSRPSELCLHEIDLASVLQSMKHFLI >OGLUM03G35680.1 pep chromosome:ALNU02000000:3:33302268:33309483:1 gene:OGLUM03G35680 transcript:OGLUM03G35680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDU4] MASSALICDTEQWKGLQIGRAHYDFVFWCGEQAHVGEIQKTHLRHLMHDVERCKAMTACLLIDSEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNAVSVGIGGSFLGPLFVHAALRTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKQFTGYFQTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >OGLUM03G35680.2 pep chromosome:ALNU02000000:3:33302881:33309483:1 gene:OGLUM03G35680 transcript:OGLUM03G35680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDU4] MASSALICDTEQWKGLQIGRAHYDFVFWCGEQAHVGEIQKTHLRHLMHDVERCKAMTACLLIDSEYEGIYLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFRGDKINSTENRSVLHVALRAPRDEVINSNGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNAVSVGIGGSFLGPLFVHAALRTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLPFESGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKQFTGYFQTPEQLHSEKVPEHLIPHKTFQGNRPSLSLLLPSLSAYEIGQLLAIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRVEGKPVLGFNSSTTSLLTRYLAVEPSTPYNTTTLPKV >OGLUM03G35690.1 pep chromosome:ALNU02000000:3:33310368:33312414:-1 gene:OGLUM03G35690 transcript:OGLUM03G35690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPPPKSSPPLMAASPSPTNSSSSSSPAPSVSPPPAPSNPHGGGGGAPPPSPARVPSPPSRSSGGGSGSEDVARSALASARRGGYNAMVEIVFAAVGAAALLVLLVAACLCCSRKTAPRRKRKKKPHNPVTHFDADTSGSKGGGGRDTSGPKPPPPPPWLAEPRAAPSTSDAAGMSKGTFTYEQLAAATGGFAEENLVGQGGFGYVHKGVLAGGKAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGLPVMPWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELVTGRRPIDAGAADHPWPASFMEDDSLVEWARPAMARALADGDYGGVADPRLEGSYDAVEMARVVASAAASVRHSAKKRPKMSQIVRALEGDMSLEDLNEGMRPGQSMVFGTAETGGSISEASGSYTFDMDRIIQEATAARLESGRLDDVSFSGEMSAEWKQPPHRVSR >OGLUM03G35700.1 pep chromosome:ALNU02000000:3:33314863:33316680:-1 gene:OGLUM03G35700 transcript:OGLUM03G35700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVILSDGESNTAAVVLDGSDDSDGTASSDDIDTAASDVYNYMARSRPLVSHFGGGGGVNGGPPPPLFYDGPLRAVVAAAAAAAPPAPPKFVKEVRYSYGEALSIGGGDEIKQRPAASEIEATTERSIDAASQEDTSCEIGNAGVVICDGDVVEHTADVDGGGATTDDISVVDVTDVAEQSADVDDGGATTDDNSVVEVTDVVDNTDMAHVDDGGATTDDDSVVDVTDVGEHTADVDGGGDTTDDISVVEVTDVVDNADMAHVDDGGAATDDNSVVEVTDVAEHTADVDDGSASTDDCIAVVEVTDVVDHTATAHVDGGAAADVISVVEVPPLTTVSAAPPPPPTTSEVDGEHESSIRSPPTPAVVAASRATNPPPPRRRTSRAPRLPWRYRPVIDEHKSKSGDAPPTPPAVMVSPAATSPPPLDARTSGEVDKSVVVINDEPVHVLTAAAAAAAAAAAAAMKATASDSGGKKDTAHGGGSRCMVIREVGEEEEASHHAAYEARRRAEAFHATIKAAAAAEAPSQPPPADRRHGSGQSAALEARTQPPPATTNAAAEARTQVATERRRGSEPSAADMADFAIAYLFSSLCMILYSFLLASYFY >OGLUM03G35710.1 pep chromosome:ALNU02000000:3:33336104:33338317:-1 gene:OGLUM03G35710 transcript:OGLUM03G35710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSDSDGDSVELHDGSDVGGSDSESGFAVVQVRGRAAAAGGADTPAALPHTTIAVEAVPLQPSPSPPGFFKTVSYRQAFSGIASELVAASSHAPVLDAAEEDKEDITEVSPAIAGGEHENAEISDVAESNNDHVDSNIAAAEDTTFSGEEDLDDETDGDIECFDEDDGICEENPDDEIFDDDEEESDPEEDDTGSSDLETDSDEYTESTDEESDYEEEDTTDLESDSDEDTESTDEESDYEEEIDDEEIDDESVEEDINLDDELMGFASGLFGDDDTESSHDEEDLDDDDDESLDDDGSECFDEEDIICAENPDDEIFDDESVDTGSSDEEESDDEEDSYSDEEIDDEEESDCDEEIDDEEEEEHGGNKYDAIDNESFGEEESVCMEQSYAEEEWPEFTGVPVSYDDIDTDSDMEIDGGKYDDIDSESLYEEESVSDEQSDDEEEPEEFAGGGYDDIDYESLNGDDFEEYLQVLADGGIDNESFGEEESVLDDEVMDFFHGLSDEFLDFFYGDTLYDDETESSCDEECEHVCVCGRCMELIDGEEFYQLTGDEFDATQLGEEIGGDASGVDGEEPSDAGESDHDTAPDAGDGEAHGNSADMAGENSAAATAEPASTPSQFRQAMQQAAARDQAAEAMVRAADAIDSYMRAAAGGLAAHDVEALSQGATSLRAMAAAPSFAVGVDVSASNAAAATAAAFLPDTLARQDGVVSLAVFYLLFGVVYLLLRICALN >OGLUM03G35720.1 pep chromosome:ALNU02000000:3:33339920:33341197:-1 gene:OGLUM03G35720 transcript:OGLUM03G35720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEAESREASRQRRRRERAAAVERFVGVPLADVVRENALVHLPPAAAARLRVVHPSWASHMSSPLFAVAHAAAPRRVSGVFVPSAGFLPFDGDDDAVPSPSLSFVPASSELVVLSSSRGVACCFSPADDAYAVCNPATASWTAVPSPPWRSWPRPAIVVLFDTSAYNFRGDFTLVCPFESEPGSSGAYCFQVFTSGTGAWWVTDAMSPAEGLVAASGVAAGATAWWRTSIGTAVGYTPVTGRVDLVTCPGDSDQWEIGSAAGMLHCAVIDGGDVVVFRLHEHGIWEVTTRVAVAEILQPSQPPPPARATTSTEIVASQQHDESSAEEEEGSRAVVAAANAPLRLDDGVRLLGFQGAEVEVVVLAGRRLVAFDARTRRRREVAVPDEVDAAWDGAEHAAHINTLALIAPAALAAEPPLAKPPADP >OGLUM03G35730.1 pep chromosome:ALNU02000000:3:33342548:33343669:1 gene:OGLUM03G35730 transcript:OGLUM03G35730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILPDDLMPEIAVRSDIVSIVRCAATCKSLRGRILEEEFCRRHNNAATSLLRGVSYRFRCDLNTFVGVTQAASSPSSSPLPRFDAGILNTFEPMVSRDGLVVVLEDYVYAGPDRFNMCVCNTITGDVTSLPAMDPAMKVKRRLIYPPALLDVGDAGRSFELLVADNELHTQTFTFSSKDGGGGWGAARTIRMDAGHRKPSIPMPFHHVPGRRRARRALARRHGAVLGAPSPRRGHLLPARRRGVRRDDGATAGLRRQDAGLQEGQPSAHARRVAGRHGVEPGRGGARADLGVDVGGRIIGGGDDNGGEVEPAGGDHEAGDRPERGSRENVQQRLLRGVRGEERCRALALASFWARAAQPGDERGARCAPR >OGLUM03G35740.1 pep chromosome:ALNU02000000:3:33346889:33348427:1 gene:OGLUM03G35740 transcript:OGLUM03G35740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSTLPDDVLLEIFVRSDAASIVRSAATCKSLRRRILHQQFRHRHRAGNGNASLLLGVSYRLRTDLNTFVGVTSSSSPLRFNASLLESFELMASRDGLLVLKQRVANNAGDGGEHRSNGFFFKGRSYSFNVCVCSIFTGGGDVTTFLPPMDPAMHVNMESHKNIYPPALLAVGAAGGGGGRSSFELLVMDCNLRTQTFSSENGGWNAVRAAHLAPGHHQRRPRMPVPNSLPAIFLLRRSPHQMVEKMPESSGWLRRRMGRGGGGDVDAPATADAAGGGGDVYAAALKPRRPWWGRCDGCSAASMRRRPQRPR >OGLUM03G35750.1 pep chromosome:ALNU02000000:3:33346901:33347592:-1 gene:OGLUM03G35750 transcript:OGLUM03G35750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSELGTGIRGRRWWWPGARIHGREERGDVAAAGEDAADTHIETVAPPLEKEAVAAVLAAVAGIVGDALLEDEEAVARGHELERLQEAGIEAERRGRRRNAHEGIKIGAEPVGDAEEEAGVAVAGAVPVAELLVKDAAAERLAGGGGADYGCSVGAHEDLEQHIVRER >OGLUM03G35770.1 pep chromosome:ALNU02000000:3:33357098:33359426:1 gene:OGLUM03G35770 transcript:OGLUM03G35770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLRTASPCISRTKKEATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVRRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >OGLUM03G35770.2 pep chromosome:ALNU02000000:3:33357098:33359426:1 gene:OGLUM03G35770 transcript:OGLUM03G35770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLVAGLSVAAAAMGSRYMLQAWQAFRTRAAMPRVRRFYPGGFEREMTRREAALILGVRERAAFDKIKEAHKRVMVANHPDAGGSHYIASKINEAKDMLMGKGKSGSMF >OGLUM03G35780.1 pep chromosome:ALNU02000000:3:33361335:33369915:1 gene:OGLUM03G35780 transcript:OGLUM03G35780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRCIGGNGDSSATAGMAVWMRRLCCIGGGGDSSGSEGNGLDATAALHRREAGDGEDYIRVV >OGLUM03G35790.1 pep chromosome:ALNU02000000:3:33372440:33375195:1 gene:OGLUM03G35790 transcript:OGLUM03G35790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSKEFARDQAAMDKKIKSNVGEVVLAGDEEEDGDVVLPGFRFHPTDEELVTFYLRRKVARKSLSIEIIKEMDIYKHDPWDLPKASTVGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAATAAVNSNSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAIAAADASPCMQKAEVWTICRIFKRSITYRKQQQQQQQAWRPPAPATVTVKAPPPGDSSSNTGSFESDGGGDEFMNCGLTPAISQQQHGGAGRHQMMSTMSCNGGYFFNDGIHHSHSHHKLHHSQWGSLQMALPAPEPKPEPEQKPLSSPAMTIAFHQNDHGFPAAAADFYKDGYLEEIARMMEVADPSPTGFYDCRY >OGLUM03G35800.1 pep chromosome:ALNU02000000:3:33376749:33379366:-1 gene:OGLUM03G35800 transcript:OGLUM03G35800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLGCFRVAGYSGEGRREREQLVSSPSVSPPVDAPKVGERKRPPSKNALSAVFLREDEGSRVEHSTSLGANGITERMKVDQEHKNEVRLLKHRDALVETTNEIIKGPENTDQSQTHLTCLPAISDELQFMEGQKVEDCITPSGSHQSFTLPEAMSSSWKGCDASSQNDFEAVSKNIEVEGVGNDDSAINCGNKLTALDSPSFTCRDDINLVESKSSPISTPSEATAEIHTPATTHAPDLEELRNENNTRTCSEHTYEAVSSVEASGTCEKLRLESCQPNISDEDFKCAKNDSLVSVELSISNECSLFQSSEGSVSSCNKRRENSSTESVDKCVKSEPTVHSSRKVLKGNDSEVEFPSLSQWLKPPNPKKVFRDEPLTSDRSHSAKSSEEDRPIIGLVAAHWRDTEPDTFTPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDEKLLSQRKCSSGSTSQLSGLEGEENDTAASNSNYLYVAAIT >OGLUM03G35810.1 pep chromosome:ALNU02000000:3:33380927:33382669:-1 gene:OGLUM03G35810 transcript:OGLUM03G35810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSSSSSWGPSPALVTAVVALLGLGLAAYIVGPQLYWHASEALGRSTGACPACDCDCDARPLLALPEDCSKQFKDVKSRASGEETEKSFTELLIEELKQREEEATQAQQQADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSSEALVEQRKLTALWEERARELGWKPGNIKPHQM >OGLUM03G35820.1 pep chromosome:ALNU02000000:3:33386293:33389388:1 gene:OGLUM03G35820 transcript:OGLUM03G35820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDV9] MDPSPGLQRRPAAAAVAAARGEGAEHPRGQRVLHGDIDPPPRARPAVQKLAIAAIVVLGCLQFLPATHFRDPADPQRNWIPFDRSRNPVDLPDEVGSVNVFSWISCLDLRTLAVLTNSTISSSSDPHNISFNFLIPERGTDKSPYYKLKAVLPDSNVTVASQKKIEDKLNVATPEGNLFWSFPNELSPIIIGTTQFSQKRYVYISADSIVKGKIEDLGRIDLGTYAIAAVEDCTKRIGDYVSIDVLNAVQKTAPKNLVYTEPYDKDACLLDFDVLVVEPRKLRKDLIDSIMVWFRAFSLANPRDQIKLAVTLALYDNYLKLPSNWKRADANSDILNYNGPKNVCSEGGRQLQEEGNGDEWQLYLDQKSLAVLS >OGLUM03G35830.1 pep chromosome:ALNU02000000:3:33389354:33395364:-1 gene:OGLUM03G35830 transcript:OGLUM03G35830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAAASAAADADPSLRQGGDAADADEEEEEEEAAPSSAAGVGVGVGVEERCRAMMEVVKKDAVGGKWRVSKLVVEHNHEVEVAPCGEGEVAAAVPVMGMEFDSVHAAKGFYYGYGQRVGFKARTGSNRRSVGNGVMIMQRFLCSRGNYANRRNKANGLDELKEEEVQDGAAGKRKRGANNKARNRNPVKNNSEVIEVESSAEKGVGTSVPNNGQDTRKMRGSKRGRTKKDVTEKDEKPVVELEAEKEDEVVAQDGDDVEEQKGEGEEEMEEEVQVEVQEKRGRGRPRKADAEGNALQARVLRELGLRASQYTNEERKKIVSKYLSKRQSRPVSARPAKIASRQALAERRKRGDGGRFLASEGLTQPSERRSKRLEKQNLKKEDKGESLPEPEEAKVPLRVDPPTISNDIPNHLRTPTSQFLAPSHIMQGFPGISPFGQEPAPAPLQQPHLQQPPFHSGPQIPQAPPPDIQSLQFLSSNPQLGHQATDQSQYTIPVWDFL >OGLUM03G35840.1 pep chromosome:ALNU02000000:3:33403044:33411509:1 gene:OGLUM03G35840 transcript:OGLUM03G35840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRERPLEVVAPPATPLSPSKRLRRAGLVVMWLRRKPKPVGVEQMAARLIRQMQVQMVKLFMLLMFLVARLGNVERLLLEQPYLIRRLLEEHFGIFQRSLMESIQDTVRKAVHSEASILDLIHMKERQTTLLSGGAYQHPRPNISEGFPQTGGSTRMVKLFFVDVERPEDPLFTGSPVQWQNGANAKVAIFENGSQITQGDLSKLQIEILPVHDDFFTERGPANFTKEEFNKQIYMCKGKESVLKTVNLTNGEANLGSFFFTESSHGKRLRLAARVKYQDLAVRVQEATSYSFVVKDRRSKLNKKSNSPSKEEGIHCLKKISLKGKRCNDLAGKYITKVKHLMRCYHRDPAGLQKLTGMKNEDWNTMISHATTSDPGDEIHSYRVEKNTIIFFNDFFALVGMSVDGSYAPYHANNLNQLQQRKMNKWKESAYQKFEELEKLGCLIPDHVMINGQPVPVSPKNDASHSIQANPTCFNHQIALEESGREGPSMQHNGTSYSLTEENILNGLGSGLVQSTILSQNTAVVPGADPRHSGYASTSTADAAGTSCPVTDGVGPWDYPIFSDLYPNVMLFQEPSGLIYGHVVEADQAFLPDSHELANADNQFTGGNDDSAPFD >OGLUM03G35850.1 pep chromosome:ALNU02000000:3:33410793:33411500:-1 gene:OGLUM03G35850 transcript:OGLUM03G35850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit F [Source:Projected from Arabidopsis thaliana (AT1G31330) TAIR;Acc:AT1G31330] MAALAAASTAFAAKPRLARAPSSPAARFSVSCSASGNNNNGEMAQSLAASAKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKQSIKKLQSSLKKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELAVKLLPRGFIWPVAAYRELITGNLVVDDADIGY >OGLUM03G35860.1 pep chromosome:ALNU02000000:3:33425310:33426064:1 gene:OGLUM03G35860 transcript:OGLUM03G35860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGVAAIQRKILAFLSHQSSQAIRIIRDFRDLMGLRGVCSIRDDPEPGKDISEKDLESDEAIWALYERWCEAYEKERDHAEMTRRFEMFKNNAEYIYSLNSEITSEAEQLILGPYCDGFNEKDKAEFLHDFGHFNGVHEFVE >OGLUM03G35870.1 pep chromosome:ALNU02000000:3:33440327:33441576:1 gene:OGLUM03G35870 transcript:OGLUM03G35870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADALVVAGQAKARHPLSQIAESGTHRLLLKQWLKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASILLLFLSASASTSAACRRSWIPCLVSLLSSLAMLWALRYKADTEAVLERLLAREREDALLLGKCVAELKRKGLEFDLLKEVDALRRAKSLRVEAKGGAGGERPKRWAARDLAVFLLFGAACGVLVLTRGSDVQNYLPWDKDFLLEQKIVMHCYQSVSGPGLSTSANFL >OGLUM03G35880.1 pep chromosome:ALNU02000000:3:33442887:33452497:-1 gene:OGLUM03G35880 transcript:OGLUM03G35880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWLIWLTMDMSSGGVRLPCLALEHAGGGSDKPVLFSISERKAIDGGGDIPGLTNANAWATPQGWILVRDAAAAATFLQNPRDSYDTIPLPHLPQDDLPPRRCTCLLSGKPAGGDGCVVLLVHPFSTVFWHCRVGGDGGGEWAKHEYDIGTQTVDDASLRVEKVPICAVTAAARRGAFYFNADAAGNLGVLDLASSSAAAAPAFASLDVDAWELGDVDHAHFFLVESEGSCTCLVYELGGAGMTDCETRVHRLSEHEQPPRRRWRRARDLGGGRAFVMAPWYFAASCDAGECGLEADCVYMFYPGEDACVKISSVRERGGEEFVGVPPASRASWILHNTIFGMAPLPVPCLALQQQQQHGPESVKTTLFNIFEGQDIACDIDALTNNSSKFWVTPHGWILARDHTSLSTFLFSPQNPDEKVQMPHLPDDLPRTCTCLLSDKPTLPGCIVLLVEPNANVIWHCGVDGKKWARHEYDIGTQLFDPVSDLHEKVPICPIAACRGKFYFNSESLADIGVLEFSPTPVFLVGSEEELYMVSLVYGFGCDMIDGETQIHKVDFSEQRWCRADDLGGRAFPASSWVFRCIVFGRRLWTGGGLRLHNASPVVSVPVPCLSMEQRDEPAHKPAVFSISDKKAIIGGDIPGLTNANAWFTPQGWILLRLSTATFLQNPQDPQDKIHLPHLPDGLSTRCSCQLSGKPSLPGCIVLVVEPVATVIWHCRIVDDEWTRHEYDIGTLPFDPPIDGKDHDDVVICQIAACQGKFYFNSFFDTIGVLEFTPTPVFSSIEIVDPIPGGLGVTGAAHVYLVESEDELYMVCLRIVYEFTIYDMTIHKMDFLSRQWRRADEIGGRAFFLAPLYFGASCSVDEYGLEKDSVYVSYAVDKCFEVSKVEDDETERRGDRLCHQADLASPQFTMHKTNRVPCLALTADKPALFSISEKRAINNNIPGLTNTNSWVTPHGWILVRDTASTATFLQNPHDSTDKIQLPHLSQDVHSSSTCLLSCKPPIPGCVSSDDEKWVKHEYDIGTQPLDPPLDGKDHEKVPICSIAAFQGKFYFNGDFESIGVLEFSPAPTFSSITIIDPIIGGLGVMGMANVYLVESLDELYMVCQMYDSDMETIYDVTVYRMDFSKQQWCVAEDIGGRAFLTASCYFGASRSADECGLEKDCVYSIFARDKYFEVCKVEDGETEEYDLIEAPDSQGGMWILPVEKK >OGLUM03G35890.1 pep chromosome:ALNU02000000:3:33448974:33449210:1 gene:OGLUM03G35890 transcript:OGLUM03G35890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSSSDFRMQRRYLAVESVHQSYTSDVPSDDDPPSTCLPKGRAGRQVTPPPAGHRSAEGFRPARRRVSGGSGRRLLP >OGLUM03G35900.1 pep chromosome:ALNU02000000:3:33452591:33452809:-1 gene:OGLUM03G35900 transcript:OGLUM03G35900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVLVISLPFIFFSILLGFGCYFLGKHKGREEMRTGVGAQVYGTPLPPPGVGAPPPPEPFTAKKDGPENLV >OGLUM03G35910.1 pep chromosome:ALNU02000000:3:33455271:33456239:-1 gene:OGLUM03G35910 transcript:OGLUM03G35910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPFPCLALYEGANTPKPTFFDIFEEAMIECAIDSLTNNKKKLWSTPQGWILVRDDAAAATFLQNPRDSGDKIHLPHLPEDLPSKSTCVLSGKPTIPGCVALLVEPFATVIWYLHVGEEDGEWTRHEYDIGTQRLDPPIDGEDHEKVPICSIAACRGKFYFNGGLSDIGVLEFSPSAAAASPVFSSLELAGEFEVVYRAKVFLVESGEDLYMVMLVYHSFRCDKTDYETRVYRMDFSEQPPRWRAAGDLAGGAFLLSPWYFGATCSAAELGLHEDCVYAFVPGDDEVPTCLKMSSVKDGWDDFVDVPAAHRALWILPTDS >OGLUM03G35920.1 pep chromosome:ALNU02000000:3:33457419:33457685:1 gene:OGLUM03G35920 transcript:OGLUM03G35920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIFSVHRGRVPVLVGEGKEMERTVIHMEELHHPYFFVLLELAAMEFGHEQEGVLRIPCSIKQFQAIVELIRSSMLKVKMACLLSRC >OGLUM03G35930.1 pep chromosome:ALNU02000000:3:33459045:33461652:-1 gene:OGLUM03G35930 transcript:OGLUM03G35930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMRSHSNASSGMGVAPDIRDTFLELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYSTSKDRIKQELDGFHYEIQATDPTEVDLEVLRERAH >OGLUM03G35940.1 pep chromosome:ALNU02000000:3:33463458:33472448:1 gene:OGLUM03G35940 transcript:OGLUM03G35940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDX1] MAASSADLADDGFPAPRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRIPLSIPCVASPMDTVSEAAMAAAMASLGAAAVVHCNTEPHLQASIVRAAKSRRLPFVSSVPFFSPASTPSLSDFAGHDYGLVTERGDSLSKLVGVAVAAETSSRQAPLPVSEYMRPAPRSVSASFDFEQAAAFLADEGLDYAPLVSDDGEVIDLITVNDVERIRSYPKLGKPSLGADGKFVVAASIGTREDDKRRLEQLVKAGANAIVVDSSQGNSIYQIDMIKYAKKMYPEVDLIGGNVVTIAQAQNLVASGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGHRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHELLRSETIRLEYDPTTSILSGLCHPGKRDPLPSAAAGGPPIFIFGCARLEPGGAIPLIRFQKLQVPDRPMAKRTVEINNTATAQLVSPARGPHVNCMLGPTEVTRLSTHGGVRRKQPRLLRFATLLVVSGSGSGFRRAAARSRAAKEGEAMREILHIQGGQCGNQIGAKFWEVICDEHGVDATGRYAGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGIIFNTIDPRFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMAATFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEEAEAE >OGLUM03G35950.1 pep chromosome:ALNU02000000:3:33473972:33476757:1 gene:OGLUM03G35950 transcript:OGLUM03G35950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWFAVPTIWLPVVCWLLVKSIRMGHTIQEVGLMALFGIFIWTLIEYTLHRFLFHIETKTYWANTAHYLLHGCHHKHPMDSLRLVFPPTATAILCVPFWKLVAFFATPTTTPALFGGGLLGYVMYDCTHYYLHHGQPSKEPAKNLKRYHLNHHFRIQNKGFGITSSLWDYVFGTLPPSKTTGKNN >OGLUM03G35960.1 pep chromosome:ALNU02000000:3:33479442:33481822:-1 gene:OGLUM03G35960 transcript:OGLUM03G35960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT5G44450) TAIR;Acc:AT5G44450] MDSRGFDSEGREFSSATEMWAHEIGAAADAPVSAAVAEPAPAPAAGSNGVAGEEEAGGGGKREEWYSKAIAYWQGVEASTEGVLGGYGCVNDVDVKGSDAFLRPLLAERFGAARRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTECMEVGEDTHKAANFYCVPLQDFTPDEGRYDVIWIQWCIGQLPDDDFISFFNRAKIGLKPNGFFVLKENIARNGFVLDKEDNSITRSDAYFKELFKKCGLYIHSIKDQSDLPKELFAVKMYALVTEKPKIQKNGKRRRPKNSPRMIRS >OGLUM03G35970.1 pep chromosome:ALNU02000000:3:33482712:33486277:-1 gene:OGLUM03G35970 transcript:OGLUM03G35970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT1G56050) TAIR;Acc:AT1G56050] MATMSRRALGSAFAGFTRTPAMTPTATLPSSCASPARLLRWRRSAGVGARRFASGRNARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSKETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYIVQEGDVMLFRFNEYFLWL >OGLUM03G35970.2 pep chromosome:ALNU02000000:3:33482712:33486277:-1 gene:OGLUM03G35970 transcript:OGLUM03G35970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT1G56050) TAIR;Acc:AT1G56050] MATMSRRALGSAFAGFTRTPAMTPTATLPSSCASPARLLRWRRSAGVGARRFASGRNARISMSLRAGIVGLPNVGKSTLFNAIVRNLPSASSEEFRAVMNSFLAGSNSRRKKAILIICAFEEFFSIHGLVLVEDEVGMRRQWNCAPTVENGKAQAANFPFCTINPNVGVVAIPDARLHVLSKLSKSKETIPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVSGKVDPKSDIDVINLELIFSDLDQIEKRLDKLKKSKTKDQQVKVKEQAERTGLEKIQTVLMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDNNPHVKEVAKLATDLESGMVTISAQVEAELAELPLEERVEYLKSLGVTESGLGNLVKATYDLLGLRTYFTTGDKETKAWTILAGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGVAREKGLLRLEGKDYIVQEGDVMLFRFNEYFLWL >OGLUM03G35980.1 pep chromosome:ALNU02000000:3:33487106:33489664:1 gene:OGLUM03G35980 transcript:OGLUM03G35980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21300) TAIR;Acc:AT4G21300] MRFPQRRLLIRRVELTPPPRPCCLRTTTFSTTAAAASPDRRLLAVLRGCVSPSHLSLGLQVHGRAVTAGLHATDTALQTRLVGMYVLARRFRDAVAVFSSLPRGAAACALPWNWLIRGLTMAGDYRSALLFYLKMWAHPSAPLPDSHTFPYVVKSCAALGAIALGRLVHRTARTLGLDGDMFVGSALIKMYANGGLLWDARQVFDGMAERDCVLWNVMMDGYVKAGSVSSAVELFGDMRASGCEPNFATLACFLSVSATESDLFFGVQLHTLAVKYGLESEVAVANTLVSMYAKCKCLDDGWKLFGLMPRDDLVTWNGMISGCVQNGFVDQALLLFCDMQKSGIRPDSVTLVSLLPALTDLNGFNQGKELHGYIVRNCVHMDVFLVSALVDIYFKCRAVRMAQSVYDSSKAIDVVIGSTMISGYVLNGMSQEAVKMFRYLLEQGIRPNAVAIASVLPACASMAAMKLGQELHSYALKNAYEGRCYVESALMDMYAKCGRLDLSHYIFSKISAKDEVTWNSMISSFAQNGEPEEALNLFREMCMEGVKYSNVTISSVLSACASLPAIYYGKEIHGVVIKGPIRADLFAESALIDMYGKCGNLEWAHRVFESMPEKNEVSWNSIIASYGAYGLVKESVSLLRHMQEEGFKADHVTFLALISACAHAGQVQEGLRLFRCMTEEYQIAPRMEHFACMVDLYSRAGRLDKAMELIVDMPFKPDAGIWGALLHACRVHRNVELAEIASQELFKLDPHNSGYYVLMSNINAVAGRWDGVSKVRRLMKDTKVQKIPGYSWVDVNNTSHLFVAADKSHPDSEDIYMSLKSLLLELREEGYIPMPDLCCPTHLDSSTQVQQQ >OGLUM03G35990.1 pep chromosome:ALNU02000000:3:33491776:33492463:-1 gene:OGLUM03G35990 transcript:OGLUM03G35990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELVLGRIQAICRLSGHRQLQLFHGEGLRRLSPASYQSFCSSACGQVDTTIKDQYRFDRFSDPQVAHEDRQFIEFLDRMLDAIRNPQSLARIQREKLPKDLKILDDDI >OGLUM03G36000.1 pep chromosome:ALNU02000000:3:33493362:33495219:-1 gene:OGLUM03G36000 transcript:OGLUM03G36000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVHRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >OGLUM03G36000.2 pep chromosome:ALNU02000000:3:33493362:33495219:-1 gene:OGLUM03G36000 transcript:OGLUM03G36000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVHRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >OGLUM03G36000.3 pep chromosome:ALNU02000000:3:33493362:33495219:-1 gene:OGLUM03G36000 transcript:OGLUM03G36000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVHRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVSNLLKNGSFQANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIPSYVVVALNGEVQVLDEKSSVIP >OGLUM03G36000.4 pep chromosome:ALNU02000000:3:33493654:33495219:-1 gene:OGLUM03G36000 transcript:OGLUM03G36000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVHRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >OGLUM03G36000.5 pep chromosome:ALNU02000000:3:33493654:33495219:-1 gene:OGLUM03G36000 transcript:OGLUM03G36000.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44520) TAIR;Acc:AT5G44520] MDAVAGASVSSSSARLRPCPRPRRIGNVAPATVHRRSRRRAVTCAAAADADVVGLFDAAKLTVDRFVESGMVVGLGSGPASGLAIQYLGTRLRRGSLTGILGIPSSTISASEAEKAGIQVSSYEEGTQIDFAFTDADIIEEDTMTAVIGRRKTESGEPSFMVEKGIVKSADKLAFIIGHEKYVKGIEGSIPVLVKSVSNLLKNGSFQANWIDTAEEIDDLFLGDAEVWRRPSIGTAGPLGGDFPLVTKEGHHVLDVIFTTPIPDLGKVAESLEKIAGVVDHGIVSSIP >OGLUM03G36010.1 pep chromosome:ALNU02000000:3:33498282:33502341:-1 gene:OGLUM03G36010 transcript:OGLUM03G36010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZDY3] MWRENLGDSTERKVRYDKMSEHSESATEKIMSSIMDTIAENLPKQKSGKFDVGAASDKMKEKLFGRQKTIHRVLGGGKPADVLLWRNKKISSSVLALATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSSALSGAHTLPVLYEKYEDQVDDFLYNILGLLRDQYQKLDQGVLSKIPKGNMKFKKSERPGKPKRRNAMEKKLSILICLLLLAVSGHGLRILHDVDGDFGQGFAFGSKAAAAAAADETEPLDPLLDDYENEISHLEFEPVDAGSTPYAAGDADAAAPAPGPAAEAGSAAGSDSMKWWLPPSTIPSFPLFPGMPGLGMPLPGIPFKPIGWGSPAAPGQYAPDPPAGAGADGDADPSAASQVIN >OGLUM03G36020.1 pep chromosome:ALNU02000000:3:33504895:33507373:1 gene:OGLUM03G36020 transcript:OGLUM03G36020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQKFSRQSLHLALNEGRGLYSLRHMDVSKLFYPSMAEALEAEAKAKKKKNGANKIGSIGRLPTPSIHYQPHVSATSNPYNFTNVFALFGENKNKILFSDMEGHSSTYNTELHSFMIMPDLNSPKGPNCLAAHITRTAAHARYDFDIRPDVDYDFFAYNLHGEHTDSLYLMDMDQGKPSSFELLAYYPVGEWQWCSLPLPPFFDDPEHKACNNISYAVIDGTRICISSATATYSFDTVALEWSKIGDWVLPFHAKAEYIPELKLWLGLSASSPSDLCALDLSTAAMDSCDVLPMVHHVGLDADLPEGWSLKNRTLVNLGMGRFCITMFFHTADDGPQDYAYLSPCFSADKT >OGLUM03G36030.1 pep chromosome:ALNU02000000:3:33512739:33513873:-1 gene:OGLUM03G36030 transcript:OGLUM03G36030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVITLVQLTWTTSPTLSTPSHTWQRSLCLPASRSFTPRTQEIPASPPTLTGDQLLRLALVADKAMTAPPLTATEDLAIQSSTGTEAWFGGTTWKPVPVARVFGRIREALPATPAVETLTTCQQIEEALMRLELAAAAARTPSDDTLLPQPMSPAPLAVSPPRRLEDLASDAAADKILPAPLPEALLPQEMTPMPATPPPSALEPGSLPERASSPCAIAGLFTLPPPAIIASPPRSTLPCLRPVVLTRKVKLRPRQHSQATRRSERLAKQPARPTMERCQRVLFRRLGILHDEEDASVERILSQYMAMFDGPLPPHAIAALTAIFGLDDDDECAMDAALLPLVGEGITDVADEVEETLA >OGLUM03G36040.1 pep chromosome:ALNU02000000:3:33520834:33521274:1 gene:OGLUM03G36040 transcript:OGLUM03G36040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSSLAVATMVAVVLLLLGATATSTQAARLLDEELPTAAIPAIPGVPGVPAVGPGIPVIPGVPGVPVVGPGIPYVPVIPGVPGVPVIVPIFPGVPMIAGMTTFVPPIDPGAGAGFPGVPPASTIAQEDPQPPMPSVVPPVP >OGLUM03G36050.1 pep chromosome:ALNU02000000:3:33526418:33527932:-1 gene:OGLUM03G36050 transcript:OGLUM03G36050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFTDAWLPPPQAVVDRPPPVVAPPPLSRASSRPHACTSAGRIPCSSLGGGVGARARCRPSFFFSSFPSLYPSSGSMDLEAVPTVAVRRSVRNFQKVRSGARSGDGGVGGAVALAAMLPMEQGLRLVLQVTDVRPAAGALRRSQVRARVLVAFMAHLIRARAIRAGTIIRVLDYLFMDSNINTRHQHKELPRDEATFGYVNEAKETKSSSSLCHKVAD >OGLUM03G36060.1 pep chromosome:ALNU02000000:3:33542289:33542774:1 gene:OGLUM03G36060 transcript:OGLUM03G36060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSFMAMAMAMACVLLAASSRSTCYAARMLADTLATAAAAAAAPPAALPGLPAVPALPTTLPPMPAIPAVPQATLPPMPAIPAVPKVALPPMPAVPAVPAVVPNAAALPPMPAVPAIPAVPKVSLPPMPAVPAVTLPPMPSIPTVNVPMPFQAPPPSA >OGLUM03G36070.1 pep chromosome:ALNU02000000:3:33546203:33550322:1 gene:OGLUM03G36070 transcript:OGLUM03G36070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTMPPQVSGPYASGPQVAQQNQIPKAPASTVLPNSGAEQPPTSDGI >OGLUM03G36070.2 pep chromosome:ALNU02000000:3:33546203:33549569:1 gene:OGLUM03G36070 transcript:OGLUM03G36070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTMPPQVSGPYASGPQVAQQNQIPKAPASTVLPNSGAEQPPTSDGI >OGLUM03G36070.3 pep chromosome:ALNU02000000:3:33546203:33550322:1 gene:OGLUM03G36070 transcript:OGLUM03G36070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSTDNELVELLWHNGGVVAQPQAAQARVVSSSGRGQSASVLTGDDTETAAWFPDTLDDALEKDLYTQLWRSVTGDAFPAAAAAGPSSHHAPPPDLPPPAARPPMRSGIGSSWTGDICSAFCGSNHIPETAAQRCRDAGAALPPERPRRSSTHDGAGTSSSGGSGSNFGASGLPSESASAHKRKGREDSDSRSEDAECEATEETKSSSRRYGSKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDEAIEYLKSLQMQVQIMWMTTGMAPMMFPGAHQFMPPMAVGMNSACMPAAQGLSHMSRLPYMNHSMPNHIPLNSSPAMNPMNVANQMQNIQLREASNPFLHPDGWQTMPPQVSGPYASGPQVAQQNQIPKAPASTVLPNSGAEQPPTSDGI >OGLUM03G36080.1 pep chromosome:ALNU02000000:3:33555844:33563217:1 gene:OGLUM03G36080 transcript:OGLUM03G36080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 7 [Source:Projected from Arabidopsis thaliana (AT3G60830) TAIR;Acc:AT3G60830] MEAVVVDAGSKLLKAGIALPDQSPSLVMPSKMKLEVEDGQMGDGAVVEEVVQPVVRGFVKDWDAMEDLLNYVLYSNIGWEIGDEGQILFTEPLFTPKALREQLAQLMFEKFNVSGFYDSEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHIASKRFDIGGTDLTNLFAEELKKSNSSVNIDISDVERLKEQYACCAEDQMAFEAIGSSCRPERHTLPDGQVITIEKERYIVGEALFRPHILGLEDYGIVHQLVTSVSNVAPEYHRQLLENTMLCGGTASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWLGGAILAKVVFPQNQHVTKGDYDETGPSIVHKKGLPALCFNSLY >OGLUM03G36090.1 pep chromosome:ALNU02000000:3:33556159:33558084:-1 gene:OGLUM03G36090 transcript:OGLUM03G36090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPATSRFLLLPPRLAAARGISGQPPPSPHAAVPTSTPQAFHAHLASLAAPSRAAHPATLRSILAALSRARAAGLPLLPATRCLIDVGEVDLAIEHIKSIRSNFSSSFQNIINELMASLSTSASLQPVTRLISYLNSQGIVHDVGPWMGLMEHNYA >OGLUM03G36100.1 pep chromosome:ALNU02000000:3:33573135:33581161:1 gene:OGLUM03G36100 transcript:OGLUM03G36100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHDGSDVGGSDTESSFAVVQVRGRAADTPAIAVEAVPSQPSPSPPGFFRTVSYGQAFSGIASEHVAASSHAPVLDAAEEDIAEVSPVIVGGEHENAEISDVVESNNDHVDSNIDAATEVTTFSGEEDLDDETDGDIECFDEEDGICEENPDDEIFDDEEEESDPEEEDIGSSDLETDSDEYIESTDEESDYEEEDTTDLESDSDEDIESTESSHDEDDLDDDDESLDDDGSECFDEEDKICTENPDDESVDTGSSDEEESDDEEDSYSDEEIDDEEESDCDEEIDEEEEEEHGGNKYDAIDNESFGEEESCMEQSDAEEEWPEFTGVPVSYNDINTDSDMEIDGGKYDDIDSESLYEEESVSDEQSDDEEEPEEFAGGGYDGIDYESLNGDDFEEYLQVLADGGIDNESFGEEESVLDDEVLDFFHGLNDEFLDFFYGDTLYDYETESSCDEECEHVCVCGRCMELIDGEEFYQLITGDEFDGTQLGEEIGGDASGADEEEPSDAGESDHDTAPDAGDGEAHDDSADMAGGNSAAAAAEPASTPSQFQQAMQQAAARDQAAAAMVRAADAIDSYMQAAAGGLAAQDVEALSQGATGLRAMAAAPSFAXNFQTESNKMFLKIHIFRIAAAKDTTFSGEEDLDDETDDDIECFDEEDGICEENPDDEIFDDEEELDDDDESLDDDDIECFDEEDGICEENPDDEIFDDEEELDDDDESLDDDDIECFDEEDGISSTTMTLNALMRRTESENPDDEIFDDEEELDDDDESLDDDDIECYDVEDKICEENPDDEIFDDEEGIDCEEDLDDDDDDCESLDDDDIECFDAEDIICLETRTMKSSTNIAILVDDESLDDDDIECFDAEDIICEENPDDEIMSHLLT >OGLUM03G36110.1 pep chromosome:ALNU02000000:3:33593985:33594587:1 gene:OGLUM03G36110 transcript:OGLUM03G36110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDGGDSVELHDGSESSFAVVHENAEISDAAESNCDHEDGICDENPDDEIFDDDEEIDCEEELDDDDDDDKSLDDDDIECYDIDDKICEENPDDEIFDDDEEIDCKEELDDDDDESLDDDDIECFDAEDIICLENPNDETYQPSLHHIATYIDSMGSLI >OGLUM03G36120.1 pep chromosome:ALNU02000000:3:33657905:33658438:-1 gene:OGLUM03G36120 transcript:OGLUM03G36120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSSTQAAGSTLSWSSPRLGQDLAIGGEGLHEEWFAQLAGKTGHRHRQPLPRAHHQARERQLLPHAPLTHAAELGVTTPPLAAAVPAARSSGHRLHVALTPRQPPPPPRGPCAAATASERPSHRRLRHRAAPLPTRAAQPRSGQGGPNSNSTASDLAIVAGAALSSSGLVGAPTG >OGLUM03G36130.1 pep chromosome:ALNU02000000:3:33664580:33669201:-1 gene:OGLUM03G36130 transcript:OGLUM03G36130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIAAVAATLLLAAAVAATTLPTTSSARGIRLLEGGRSSPAAAVDDEEVATAVVAPTSAGAASEAPLSLMWWLVMALRDGGSAAAMSEGAAAAAPSPAEMYGDELGDLENKVPINFVLLISRQGKVRLTKWYSPYTQKERTKCPIAYVLLVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQRVETLGKDALH >OGLUM03G36130.2 pep chromosome:ALNU02000000:3:33664580:33669201:-1 gene:OGLUM03G36130 transcript:OGLUM03G36130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIAAVAATLLLAAAVAATTLPTTSSARGIRLLEGGRSSPAAAVDDEEVATAVVAPTSAGAASEAPLSLMWWLVMALRDGGSAAAMSEGAAAAAPSPAEMYGDELGDLENKVPINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQRVETLGKDALH >OGLUM03G36130.3 pep chromosome:ALNU02000000:3:33664580:33667152:-1 gene:OGLUM03G36130 transcript:OGLUM03G36130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLGLRWMNFLPFAVVGLLQINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLILTRGPKLCNFVEWKGYKVVYRRYASLYFCMCIDAEDNELEVLEIIHHFVEVLDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQRVETLGKDALH >OGLUM03G36140.1 pep chromosome:ALNU02000000:3:33677800:33678945:1 gene:OGLUM03G36140 transcript:OGLUM03G36140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRAVCRGWRSATDDPRGASARDPRFRPRHWAMLDEVFQTDARLFANAATGRFLRMDLPLLGRGRRYRLVASAPGGDLVLAGASPPARGARPQPVHRRHDALRGAAALRGRVRCPCHLRFAHPRPARRRLRQGLLRRHGQRRLLRVRGELRVRSHQAGRRRWPLRRRRRARVGGVDPGPRGHQDGAPSRRQNHKHLSREPRVPLLRGGIRGGSAAHLQDGQKDRADLQDEHGRGEGDRSLEEVKSIGGGRAIFVGATRCISINADKFNTIDGNRIYYQERDDLTSADIYMYELESEETIKIGGAIDSLNPVFLVSTEPLFSPIQLFCSYADEALRFRLEWEKILQSLPERLPDDIMASMGADLMGDFEDEFEDFEYEFND >OGLUM03G36150.1 pep chromosome:ALNU02000000:3:33680286:33680600:-1 gene:OGLUM03G36150 transcript:OGLUM03G36150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVFVAILAVAAAAAAAVSTTTAHGVAGGRRALDEYRSVLRVIVPLEVAGAPSSGSLDEDAAAALGPDLPEFGAAPAAGPAAAACGGDEVDCDNKVPVYGP >OGLUM03G36160.1 pep chromosome:ALNU02000000:3:33682604:33690281:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAAHGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OGLUM03G36160.2 pep chromosome:ALNU02000000:3:33682604:33689403:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAAHGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGHCPDS >OGLUM03G36160.3 pep chromosome:ALNU02000000:3:33682604:33689719:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAAHGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGISSVPHTT >OGLUM03G36160.4 pep chromosome:ALNU02000000:3:33682604:33690281:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAAAASAANVAVIGGGISGAVCASLLAAHGVAVTLFDSGRGAGGRMAQRREVMEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OGLUM03G36160.5 pep chromosome:ALNU02000000:3:33682603:33689509:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OGLUM03G36160.6 pep chromosome:ALNU02000000:3:33682603:33690281:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OGLUM03G36160.7 pep chromosome:ALNU02000000:3:33682713:33690281:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGCLNFPSGL >OGLUM03G36160.8 pep chromosome:ALNU02000000:3:33682713:33689401:1 gene:OGLUM03G36160 transcript:OGLUM03G36160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGTELRFDHGAPYFTVSNDEVARVVSGWEARGLVAEWKAMFACFDREAGKFTDFEKEGTIKKYVGVPGMNSICKSLCLEDGVVAKFGVTIGRMDWIQDRSSWLLASLDGRDLGHFDYVVATDKNIASPRFSGLTGRPPPLDLSLLPQLSMMIQDIPVRPCFALMLAFSEPLTKVPVQGFSFSNSDYLSWAFCDSSKPGRAHVLLNSQSWVLHSTAEYASKVINNIGPRKPSADALAKVAEELLKEFQATGLNIPQPIFMKAHRWGSAFPAIAISGDDKCVWDKSMKLAICGDFCTSPSVEGAVLSGMRGASKILGHCPDS >OGLUM03G36170.1 pep chromosome:ALNU02000000:3:33691383:33692989:-1 gene:OGLUM03G36170 transcript:OGLUM03G36170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAAAAAGQLDVDKLTYEIFSILESKFLFGYDDPKLVFSGGDEPQGAVKGTPARGPAVVERGKVCVLSIDGGGRAADGLLAGAALVSLEASLRRRTGDETARLADFFDVAAGSGAGGVLAAMLVARGGDGRPMFSAEDALAFLMRSLRRGWSGGGGGGAAGGIRALFRRPGAAFRKVFGDLTLRDTVRPVLVPCYDLASAGPFLFSRADAVETAAYDFRLRDVCAATCAGSDGSASAVEVRSSDGATRIAAVGGGLALGNPTAAAITHVLNNKREFPLAAGVEDLLVISIGSGECDNRPTGGAAASTSEIVRIAAEGVADMVDQAVAMAFGHNRTNNYIRIQAMGSPRASRGGMRCGGGGDGAGWGVAEEMLSQKNVESVLFRGKKLAEQTNAEKLEWFAHELVKERDRRRTAGALAPAVVKQQPSESAPATAAAADGHTPPPTSYSNLVSQMFTTIL >OGLUM03G36180.1 pep chromosome:ALNU02000000:3:33701701:33704848:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTVRNHPQLTMGFFLRPIGLAIGPICLYVAKAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLDACNIADGDSSINIFSAILNCSKVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >OGLUM03G36180.2 pep chromosome:ALNU02000000:3:33701701:33704848:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTVRNHPQLTMGFFLRPIGLAIGPICLYVAKAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLVLGDALLGNIVFMTMLFLEVQFILKLSFDIRRYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >OGLUM03G36180.3 pep chromosome:ALNU02000000:3:33701701:33704848:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTVRNHPQLTMGFFLRPIGLAIGPICLYVAKAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKGVLVLSILTHLRFSIAARYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >OGLUM03G36180.4 pep chromosome:ALNU02000000:3:33701701:33704848:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTVRNHPQLTMGFFLRPIGLAIGPICLYVAKAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKVGIIWYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >OGLUM03G36180.5 pep chromosome:ALNU02000000:3:33701701:33704324:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKEGEEERPRCVGCGRRVKTLYMQYSPGNIRLMKCDTCKAVADPYIECEFMIILIDLILHKTRAYRHLLFNKLRIYSSLDKVGIIWYREVLLAVIISSYFKLFLMAMMVWEFPSSVIFFVEISVLSSNTVALRVVTEFSKAHCFGVCFGAHAARYLTERWLLGAP >OGLUM03G36180.6 pep chromosome:ALNU02000000:3:33704370:33704848:-1 gene:OGLUM03G36180 transcript:OGLUM03G36180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGESPPSIFDRTVRNHPQLTMGFFLRPIGLAIGPICLYVAKAHQKRAVSSSRLVSSPAKLTDDATPPQPPPQPQPGDRRRRPRLPDPPRPHGGKAVPLSGLSPQLFWVVICLSPD >OGLUM03G36190.1 pep chromosome:ALNU02000000:3:33704849:33715498:1 gene:OGLUM03G36190 transcript:OGLUM03G36190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT3G22290) TAIR;Acc:AT3G22290] MGRIPSLKNFNAFPHAEDHLLKKTYSGAIVTIFGLIIMVTLFAHELKFYLTTYTVHQLRLDKYGHIIGTEYLNDLVEKEHGTHNHDHDHEHEDEQKKQEHTFNEDAEKMVKSVKQAMENGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAEKIFDGSSHVNVSHIIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKIVPTEYRYLSKQVLPTNQFSVTEYFVPKRATDRSAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTKSKTRSVLR >OGLUM03G36200.1 pep chromosome:ALNU02000000:3:33710458:33715155:-1 gene:OGLUM03G36200 transcript:OGLUM03G36200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMDLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNASKEMSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQEMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGAILGFVSP >OGLUM03G36200.2 pep chromosome:ALNU02000000:3:33711508:33715155:-1 gene:OGLUM03G36200 transcript:OGLUM03G36200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVQPPVLSDRLNPLIHHRSAFPASVVQPQDHGDSVPGLCSGSFIDTRGRLSSGSMTSEDSPALTPRWLSIKSNSSSDNCFEGSKRAVSWSDRHVFNPNGQVNYAEFMDLMKQELDTQLDRLKGDVTGLENFALPDNGYIIGTHLGMSLDVMLIEIDERFNALKLLLATVFRKAREMDSSSVSDLQWEHELQLEVINITIGEFISGLQEEMERKLYEQISMTNSMSKNWQDAIAQFASMRDDLGALSKLLLPSLQESHISHSKHETSSNRSNRWKYNIFGKKNKEDHSSRAEENKSFRKQKSMVVSEKSDFRHLNASKEMSIQLSSEDDLLRQIAKIKEEYEDLQIEAGVRDGVYQTVTRKLLDDSMNSMHDAATNFSTELSSLEAMISEKEKALCLSNEENRMLKEKIAELEQCLIQDKQEDPEVIKQESTEIILRDIEVAPHISPRRSHETPKQEMQYDELVKLNSSLEIASAALKEVENKNIDYNGIFTKNEQEKQLECILISIMKLSKEFVEIEQKLSVERSASRSEDLSDHCNHMVRQAVVLTKIGLWYKQMLETRRSELQKAEAKVVILGDKVNSHLNLLQKIYVTLDRYSPTLQQYPGLLDAFLKTCKLVAGLRSNQNKDDTTA >OGLUM03G36210.1 pep chromosome:ALNU02000000:3:33717130:33719632:-1 gene:OGLUM03G36210 transcript:OGLUM03G36210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZE20] MATAVASQVAVSAPAGSDRCLRSSGIQGSNNISFSNKSWVGTTLAWESKAARPRHANKVLCMSVQQASESKVAVKPLDLESANEPPLNTYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHGGNVPYWEGQSYGIIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGRTTSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNSKPGDKVKVTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPKYRFGGLAWLFLGVANTDSLLYDEEFTSYLKQYPDNFRYDKALSREQKNKNAGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >OGLUM03G36220.1 pep chromosome:ALNU02000000:3:33720776:33726335:-1 gene:OGLUM03G36220 transcript:OGLUM03G36220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLPPSLSIGAASFAAPSPPPPSPGASSSSAAAAAAPGAAKDRKMASAEQLVLDLCDPELRENALLDLSKKREIFQDLAPLLWHSYGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHSDTRIPFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDSEVIGFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVASVLSQMVQALADQPSPRLLKHIIRCYLRLSENSRACTALNSCLPNALKDGTLNNFLQDDHVTRRWLQQLLHNMTMAGMGGAPHGGLDHIMGM >OGLUM03G36230.1 pep chromosome:ALNU02000000:3:33732582:33734685:-1 gene:OGLUM03G36230 transcript:OGLUM03G36230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycolipid transfer protein (GLTP) family protein [Source:Projected from Arabidopsis thaliana (AT2G34690) TAIR;Acc:AT2G34690] MGSSDGDKPLRRIAASFEELEAVAKQQAPGPAMEVGSFARACSNVSVLFGCLGIAFKFAEMDYVDDLLEASKSISKLPEMVELDIQKGTVRQAGSHTRNMLRVKRGIDMVKILFEQILVTEGNSLRDAASKAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDENSAKVQMQTFIRASGPVILYVEDLFTSRNLGMDW >OGLUM03G36240.1 pep chromosome:ALNU02000000:3:33751995:33752816:-1 gene:OGLUM03G36240 transcript:OGLUM03G36240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G34620) TAIR;Acc:AT2G34620] MEASASASAAALSLHLPELPSRVKDKILSLELMGVDYGRALALNPALRDAAPESIHAVVTFLQSRGLHFKDLGRVFGMCPSLLTASVRADLRPVFAFLTDDLGIPDTAYRRVVVKCPRVLACSVRDQLRPALLYLRRLGFRDARALAFQDPILLVSSVERTMIPKLDFLAGLGMHRDDAVAMVLRCPALFTFSIERNYKPKFEYLVAEMGGGVHDIKAFPQYFTFSLDKRIAPRHRAAADAGVSLPLPDMLKATDEEFMEMLDKEIELQKQAA >OGLUM03G36250.1 pep chromosome:ALNU02000000:3:33753736:33760526:-1 gene:OGLUM03G36250 transcript:OGLUM03G36250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVASSAGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSCSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLATVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIAGDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASVSRSHGKC >OGLUM03G36250.2 pep chromosome:ALNU02000000:3:33753736:33760526:-1 gene:OGLUM03G36250 transcript:OGLUM03G36250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSGAAAEPPPTPVARYDRGGALIFGGRFAGVAVGVVGESGSRCLDRLCRGWVLEVFVGFVTGAAIARRDFGLVYGERSEAVSVDVWLNPRAGASYWPASITVLLSGEIYIPLGGNRAIFGPSSPAMQANVASIDWSGSRQASRVDSSSHVAPHAHQPSHSFDATGTALDSAPSCRPWERGDLLRRLATYKPTTWASRPKAASSLACARRGWVNVDMDKIECESCGAHLIFSTLTSCSPAEVSNAGEAFAEQLDASHHNSCPWRGNSCADSLVQLHLTQSALIGGFKDRCDGLLQFTSLPVIASSAIEHMRLTRSSQIDRLLSQSITFLSGELSYKAESTTGIDIQQDSSCSYSKARKLISLCGWEPRWLPNVQDCEENSTHSAKNADSVEPFFPRFAEHQKNSFSGSAKKDKGKGKRPLKDSGCSMRSPLLDCSFCGSTVKIWDFRSVSRPCRFSPNNIDAPETGKKLALTRGISAASGINEWVTDGMERDPAEGRDEEATNEGKSLSNAGVDLNLTMAGGLPSIQSSIPIASERFNGGLGRDLMIGQPTGSEVGDHATSYESRGPSSRKRNHEEGGSTVDKPQDRLQHADSIEGSVIDRDGEEVDDAAQDSDIPNKRSRGFDLFGSYLPSSSGAGPSRNFCFDPDADAGKFSHARAAGLATVDRDSMRESSVAAMDTVHSADEDSMESVEYYPGDGNDIDMPSSSAHRNIEMDDVLDLNYSNQAQQSACVQPASGSDGREIGGSSTNEGEEVLDAVTAPAFARDQLSVGISGGSVGMGASHEAEIHGIDVSLQRAESVVGDAEPNTELTETMGHTGESVPGPGLMDEFVPDEVDRQEPHGDSQDMVSQSVGQADSGSKIYGSTKADSVESGEKIGGHAVGHASRMHPSLSCNAGMQTGLDVSKEEVTQAGKLLIAGDVPMGLDYDPQNGLGATNGENDFESGLPEFDPVKHHNSYCPWVNGTVAAACCSNTESSSSSSPLSGWQLTVDALDTFQSLGQAQNHAMRSDSAASLYMDDHVTPNHKLARRASVSRSHGKC >OGLUM03G36260.1 pep chromosome:ALNU02000000:3:33760678:33764171:1 gene:OGLUM03G36260 transcript:OGLUM03G36260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LEM3 (ligand-effect modulator 3) family protein / CDC50 family protein [Source:Projected from Arabidopsis thaliana (AT5G46150) TAIR;Acc:AT5G46150] MMEEASTSASGGGGAAANGGQRGFPPARSGVFYRFTQQNLPAWKPAMTPGCVITIFLMIGVTFVPVGLVCLHASNHVAEIAHRYDIDCVPNAYKRNRQVYIKDSSISKNCTQEVKVKYLMRAPIYVYYELDNFYQNHRRYVKSRSDKQLRYGQKYTHSSCDPIERNDGLPIVPCGLIAWSLFNDTYGFTRGSTEIKVNRKNISWKSDREHKFGKDVYPFNFQNGSLIGGGKLDPALPLSQQEDLIVWMRTAALPQFRKLYGIIEEDLQADEIITMHIANNYNTYSFGGKKSLILTTSTWLGGKNDFLGYAYLITGSLSLFLTILFALIHVKNPRPHGDANYLSWNRPNSNS >OGLUM03G36270.1 pep chromosome:ALNU02000000:3:33769558:33773249:1 gene:OGLUM03G36270 transcript:OGLUM03G36270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNATGMSFNPRPVVDVRSSNPNNIENALRDVHSRTSELLAREGKGGLQLLIVILLEVSGSYGKIKRVCENDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHSPPGEDSASSIAAEIIEDLFSVGKDPVKVVNGGMIREFLIAFRKKTGRRPERIIFYRDGVSEGQFSRVLLHEMDAIRKLGYVVARAHWGKGVATAAVKRVVAAVFGEVEGLERVEALVDVRNAASQRVLEKAGFRREAVLRSYCVLKGEVRDMVIYSFISTDPLDTHVFKFKKDSIKSGRGSAGRVVEKQPIAPSPAAVRRRAPMEVTLRRFELSDVDAMMAWASDPAVAAFCRWEPYQSTEPLLAYLRDTVLPHPWFRAICLATGAGAGDGDGRPVGAVSLAPTADACRGELGYVVARAHWGKGVATAAVKRVVAARNAASQRVLEKAGFRREAVLRSYCVLKGE >OGLUM03G36280.1 pep chromosome:ALNU02000000:3:33783597:33787904:1 gene:OGLUM03G36280 transcript:OGLUM03G36280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAAADAAPTRQRSQQQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYSLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLN >OGLUM03G36280.2 pep chromosome:ALNU02000000:3:33783919:33787904:1 gene:OGLUM03G36280 transcript:OGLUM03G36280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRVRATGGXGQTGSTASRIYRVRATGGKDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAAADAAPTRQRSQQQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYSLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLN >OGLUM03G36280.3 pep chromosome:ALNU02000000:3:33783597:33785052:1 gene:OGLUM03G36280 transcript:OGLUM03G36280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVGDGEGGGGGGGRGKRGRGGGGGEMVEAVWGQTGSTASRIYRDRHSKVYTAKGIRDRRVRLSVATAIQFYDLQDRLGFDQPSKAIEWLINAASPAIDTLPSLDPAAFAAIPHAAAADAAPTRQRSQQQQQQLSNKSGCSSTSETSKGSDKEVTVASAPAQAASFTELLIAGVAASSAGGGAIGNGADCVGIAHPGKGGAEGASTYGFSAASSFGDAPPIGMVPAPPFNFSAPGADMAAHYSLAQDQLAAPPPPAGGDYNLNFSMSSGFLGANRGTLQSNSPSNMSGHHHHHHQQQLQRLDGSTISFLLGHAAAAAHPAASEGQITSTAALQLWDGFHHSGMKEKSKN >OGLUM03G36290.1 pep chromosome:ALNU02000000:3:33789029:33789724:-1 gene:OGLUM03G36290 transcript:OGLUM03G36290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKELVLLDFWVSPFGQRCRIAMAEKGLEFEYREEDLGNKSDLLLRSNPVHRKIPVLLHAGRPVSESLVILQYLDDAFPGTPHLLPPANSGDADAAYARATARFWADYVDKKLYDCGSRLWRLKGEPQAAAGREMAEILRTLEAELGDREFFGGGGGGRLGFVDVALVPFTAWFYSYERCGGFSVEEVAPRLAAWARRCGRIDSVAKHLPSPEKVYDFVGVLKKKYGVE >OGLUM03G36300.1 pep chromosome:ALNU02000000:3:33793728:33801999:1 gene:OGLUM03G36300 transcript:OGLUM03G36300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSSISRPVPPRPWQQQGYGNSYGGYGSSMYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGRGNSNTGFAMVSGAGFTRQEKMGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >OGLUM03G36300.2 pep chromosome:ALNU02000000:3:33797851:33801999:1 gene:OGLUM03G36300 transcript:OGLUM03G36300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVMEYQAIAKQKLPKMIYDYYASGAEDEWTLKENREAFSRILFRPRILIDVSKIDMSATVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPYLTLKNFEGLDLAEMDKSNDSGLASYVAGQIDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAAGRIPVYLDGGVRRGTDVFKALALGAAGVFIGRPVVFALAAEGEAGVRNVLRMMREEFELTMALSGCTSLADITRAHIYTDADRLARPFPRL >OGLUM03G36300.3 pep chromosome:ALNU02000000:3:33793728:33797598:1 gene:OGLUM03G36300 transcript:OGLUM03G36300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPPPKPWERAGTSSGPAPFKPPSGGSTSDIVEASGTAKPGEVVSAAESNVASNVNSSISRPVPPRPWQQQGYGNSYGGYGSSMYSSLGGFGGPYSSGGLYGNNMYSSYGGGYGGMYGSSGMYGGSMYNSGMGGPYGGYGMGMGAYNQGPNSFGPPAPPPGFWMSFLRVMHGVVNFCGRVAFLFSQNTQAFHMFISALLQLCDRTGMLYGELARFVLRLLGIKTKAKKGGVQGAETSSFEGPGHHFAEAPKANNSWDSVWTDDGRGK >OGLUM03G36310.1 pep chromosome:ALNU02000000:3:33816200:33817132:-1 gene:OGLUM03G36310 transcript:OGLUM03G36310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSPMAAQAADLSLTLAPSGGGGGGGGGGGGGGGGGSSSACIDGKDVRLFPCLFCNKKFLKSQALGGHQNAHKKERSIGWNPYFYMPPTPHPAGNAAAAAAAATPGGMSSVTTPSGSYGVVGGAAAAAAAVVGATAGVGGGGGVGGGLLPAHAYAGHGYAAVPTSFPIASHSSSVVGSGGLHYYAGTDCGAAAAGAAKTTTTAAAAATAVAGSESGVQVPRFATHQHHLLAVVRSGRAMLAAPDQPGAGRDDMIDMLNWRRGSHGPTASAAATTHSPASTTTTLTTFASADGSNNGEENEELDLNLSL >OGLUM03G36320.1 pep chromosome:ALNU02000000:3:33822867:33826862:1 gene:OGLUM03G36320 transcript:OGLUM03G36320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPDECPPGHPMYESTIIMAHGKGLTEEGLSEIHHRGPGRPRPKGHLREGRGRRGPMGFVSLRFTAVAPAASCSCQSIQFHFSRVVVLLGMMQAAVAARTGRPSVLGCLSCFAGCHEGTPKCFPSCND >OGLUM03G36330.1 pep chromosome:ALNU02000000:3:33825735:33830024:-1 gene:OGLUM03G36330 transcript:OGLUM03G36330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZE37] MSFPDEEEDEAFLLAVAATEEAALASSDSSKRRRLSMTSSTSSSPTSATPPPAAVPEGPYLAALKGSHSSAWKQQQETLSQARKRPGGSQTLATPGSGSGSGGAQVARGGACFKCGDSSHWARECPQSVPATGGGGGGGAFGGSGGGGGGYGDAGGAVEEKACPCGAGSCLVLTSNTPRNPGRKFYRCPMRDNGGCNYFEWCDNPSPGPANVSSNTVFQSDTSVAHMLCPCGAGACLILTTKTGKNVGRQFYRCPANQGISYLFLNVRSGHISREVALAAISSGVMNNSLGQLLHCKLQHNIILMLLQVAKFPARGAPPPASNAGKRTIGQRTAQINLQILILTKVGEHLLLQALPMRVSSVVRAGTGPMIAPPQIVVLVPSPAMSSPLLLWAHGTATDTDSRYL >OGLUM03G36340.1 pep chromosome:ALNU02000000:3:33828728:33840032:1 gene:OGLUM03G36340 transcript:OGLUM03G36340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZE39] MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPQKLSYCLGRTVTKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >OGLUM03G36340.2 pep chromosome:ALNU02000000:3:33833843:33840032:1 gene:OGLUM03G36340 transcript:OGLUM03G36340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZE39] MEDVENKLKDYEIVREGEAEIIINKKIAANEVFYNPVQVHNRDMSVALLRTFIAKREEEHKAMMDKRDKAHNKVSQSKSSGPNGENGSTGQHDEMDVDAEKETNKVADETEDLSTEATKTPSRKVARELKAPVVLEALAASGLRSIRYAREIDVLEKVVALDIDKACIEACKRNIKFNGASAMSKIEPHLTDARVYMLTHPKEFDVVDIDPYGAPSIFLDSAVQAVADGGLLMCTATDMAVLCGPNGEVCHSKYGSYPTKGKYNHEMALRILLASIESHANRYKRYIVPVLSVSMDFYIRVFVRVFTSANEVKKTPQKLSYVYQCVGCDSFHLQCLGRTVTKNNSVKNAPAIGPVVPQECSACGKKFTIGGPIWSAPIHDQEWVVSTLTEVKSMKDRYPAYDKITSVLTTISEELHDIPLFFSLHNICANVKCTSPSAVLFRSAVLNAGYRISSTHVNPLGLKTDAPWDVIWDIMRCWVKNHPVKEQPHDSVGTAILSKSPKLEANFSRAAAALSRAQAKKVKRFLPNPERHWGPKIRAGRKITSKHASLLGPDVVNRVINGAASTEDEKVAEPNNPTTETGGDATNEEDEPSTKRQKNGDVGLATEP >OGLUM03G36350.1 pep chromosome:ALNU02000000:3:33831896:33833110:-1 gene:OGLUM03G36350 transcript:OGLUM03G36350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G39120) TAIR;Acc:AT2G39120] MRPLAIRRREARLLPPLAVLVRSMAYVDVKMRWKKDASFDAVPVLSQARDLRPLVSLAGLLSPSPTPVSAVSKLRIPLEVPDRRVISFLRRFPAAFVESVGPEHNHPWFRLSGSAAGLLQEEREVFAARRADITSRLGRLLLMAPRRRLPLRAAQGMLWHLGLPEDYFRCRDYDIAQDGFRILTIGDSVCREEEDDGRELVLIDNGEHQEMPKSVLQMDAIRRFGSMETVPIPLFQSKGLRLKQKIEAWLKGFQKLPYVSPYEDFSGIDRGSDVSEKRVVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHRVFERHPHVFYLLLKEKTCFVVLKEAYLARGGTAIEEHPMLVVRRKYAGLMEESREIIRCRRSGKPFPSKHKDHEQIEDSEGANSAPILS >OGLUM03G36360.1 pep chromosome:ALNU02000000:3:33840448:33847771:1 gene:OGLUM03G36360 transcript:OGLUM03G36360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin4 [Source:Projected from Arabidopsis thaliana (AT5G46210) TAIR;Acc:AT5G46210] MVLADQEELAAPSASAPAGVAANLFRKKATLPQPSATRKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >OGLUM03G36360.2 pep chromosome:ALNU02000000:3:33840466:33847771:1 gene:OGLUM03G36360 transcript:OGLUM03G36360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin4 [Source:Projected from Arabidopsis thaliana (AT5G46210) TAIR;Acc:AT5G46210] MSHPHATAPKRPGHFSSSSAAAPGVTEKNGLHVDPTAAAARTGGRTNGEEDAEMVLADQEELAAPSASAPAGVAANLFRKKATLPQPSATRKPLRIKIGQPKLPTNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEVHISAKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLKLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYLQQSDIPDYLKHVETRLQEEHERCILYLEANTRKPLITATEKQLLQRHTSAILEKGFTMLMEANRVKDLSRMYTLFQRVDAIELLKQALSSYIRGTGQGIIMDEEKDKELVPFLLEFKASLDRILEESFAKNEAFSNTIKESFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKESTGIEDKELRRTLQSLACGKVRVLQKMPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKTLSHTLLITELFQQLKFPIKPSDIKKRIESLIDREYLERDRSNPQIYNYLA >OGLUM03G36370.1 pep chromosome:ALNU02000000:3:33848107:33859715:1 gene:OGLUM03G36370 transcript:OGLUM03G36370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16280) TAIR;Acc:AT5G16280] MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVADQRIKPVISEANESALPDLLSDPPQLEDVLSKPEAELCPLWIKKFNRALMRTLSFSEHETFDHPVACLLVVSSKDNEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLILHDQQDGPQEIAMNILAEMKSTLGLNDCKLLCINSSTEADGADAENSWLPYKSHGLHNQDGACWLNTDDLNEIKDFMQDLASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLYTFTSIESQIRVLGDYAFMLRDYELALSNYRLLSTDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMDSAFSTYLRIGSSGKRNATRCGIWWAEMLKTRGQYREASSVYYRVSNEEPSLHSAVLLEQAACCYVLSKPPMLRKYGFHLVSNMLFGHTEMLCLFTNNILGVISMIMWYGVLGIFDVAIKHLLEVIACSHQSLTTQSMFLNDFFHFVQSMGRKFDVYKLQLPVINMPSLRVIYEDHRTYASDADVNVSESIWQELEEEMIPSSSIVRTNWLEKSPDLRKYKDSCVCVVGEAVKVRIELRNPLQIPVAVSCISLICQLSTSLDASSAVNSVLTTGAGEDIANTKPAISTFEDDGNNFTVSKLDIVLGGSETKSVQLEVTPKVEGILKLHGIRWTLSDLLVGYQYFEFDTKRKTKKGKKGPRRTLSNTLIVIKGLPKLTGCIDHLPTHAFAGDLRLLKLNLRNQSEYAVKNIKMKLSHPRFVIPGELSEVNFEFPQCLRKHVQSEISTVSTKRTQGDAKGLLFTFSQDIKIQGGATFSWPIWFHAATPGNFSLYISLYYEMESPSEITYRTLRMHYNIEVFPSLNVSFAIRMCSSRLKEYIVRMDILNRTPSVSFILHQLSCNDSKWAISSLPLCDSIRSIETVSANQSVSCFFKIKDLGTNSCKEAENSSCRSDMLFLSREGNSNTEEFDISQSPITNFHYQERYQQGRLAKGPRDLLDFILISKAVGGNYSKSDPDVQLLSHHVCHCSAIDQSPIWWFMEGPRTVTHDFSKSYCEANIQLVIHNSVQHNISARVVTYDSVPDKSQTVNLQDSNSNQGGWYDVSLENDIKAISTAKGTHYQKQPSESISPFVWCSLSSAQVDLKPDTSTKVPLKVCIFMPGTYNLSNYQLQWKVHSSEVGQVDENQRSGGGQGHPFYVTVLQDA >OGLUM03G36370.2 pep chromosome:ALNU02000000:3:33848107:33848939:1 gene:OGLUM03G36370 transcript:OGLUM03G36370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16280) TAIR;Acc:AT5G16280] MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSVVDMLSPFSLFKKIDGDSPPRCSPLPIIVCVTLVAFHSIGFCDLMGNPLYAVPVRTASDQPYRLQMFKIRMVYASDVRKQDYEVILELSGSFWVVLWACSVWMPMVYESLDGHWLFWSRWRIRGSSQ >OGLUM03G36380.1 pep chromosome:ALNU02000000:3:33863523:33864518:1 gene:OGLUM03G36380 transcript:OGLUM03G36380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFSSSWKRTGGGGGGGDGDIESGGGVEMAPPPGAAAGASLDRFFEDVESIKDELRDLERIQRSLHDANEGGKSLHDAAAVRALRARMDADVAAAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMESFSSLRARISSEYRETVARRYYTVTGEQPDEATLDNLAETGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELHQVFNDMAVLVAAQGEQLDDIETHVGRARSFVDRGREQLVVARKHQKSTRKWTCIAIIILLVLILVVVLPIVLKFVNNNKSSSSSPAPATPSPPPPTA >OGLUM03G36390.1 pep chromosome:ALNU02000000:3:33865446:33867384:-1 gene:OGLUM03G36390 transcript:OGLUM03G36390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLSSRSRRACAEAASVLLVLLVVAPSVAAGGDTNGVYEPCADAAVQRGDGFTFGVAFAARGDFFSGGVQLSPCDGRLSLASKGAKLAVFRPEVDEISLLTVNTSAAGGFDPATSGGYMVAFAGRKYAARSPPVFVSNSSYTVTSFTLVFEFNKGTLQNLYWKANGCSACSGQPSFTCVDQSCAISTANCTGKGGSVDCSPGIQLAFSGTDKHEAVLNSWYEVSKLRQYSLVGLFSNLKDSLTSQFSIFF >OGLUM03G36400.1 pep chromosome:ALNU02000000:3:33868506:33871517:-1 gene:OGLUM03G36400 transcript:OGLUM03G36400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSGSNPKERTGHLDPQGNKRFSLPTSGVEAGKRMTNKSAVNRSLKVTKHHLASSIELPRRVELQT >OGLUM03G36400.2 pep chromosome:ALNU02000000:3:33868506:33871487:-1 gene:OGLUM03G36400 transcript:OGLUM03G36400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISARWLKSLVGMRKVEKQQQQSKEDGDGGRVAQKRDGANHFHCQNQHGQDHDNLGAPEEFPDENGPSEGDSNALSCSEPAFSSPNVPVPQTEEELKEIWAATVIQTVFRAFLARRARRALKGLVRLQALVRGHIVRKQAAITLRCMQALVRVQARVRARRVRIALESQTDQQAILQEKINETHVREIEDGWCDSIGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQARQHAAITAFQPDKNSWGWNWLERWMAVRPWESRFLGSYAADGIPVSSGAMQDEENAVYTPHKKHVRRQTSTLHSNILNQKTCLPNSEGGGSSSNRSGGSASAKSKLKLSSREGCDEISSRPSGLGTRSGSNPKERTGHLDPQGNKRFSLPTSGVEAGKRMTNKSAVNRSLKVTKHHLASSIELPRRVELQT >OGLUM03G36410.1 pep chromosome:ALNU02000000:3:33875459:33879794:1 gene:OGLUM03G36410 transcript:OGLUM03G36410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >OGLUM03G36410.2 pep chromosome:ALNU02000000:3:33875459:33878894:1 gene:OGLUM03G36410 transcript:OGLUM03G36410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTRYYEVLGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGPGGGMHDPFDIFSSFFGGGFGGGSSRGRRQRRGEDVVHPLKVSLEELYNGTSKKLSLSRNVLCSKCNGKGSKSGASMKCSGCQGSGMKVQIRQLGPGMIQQMQHPCNECKGTGETISDKDRCPGCKGEKVAQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLNLTEALCGFQFVLTHLDNRQLLIKSKPGEVVKPDSFKAVNDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPDQCKALETVLPPRPVSQYTDMELDECEETMPYDVNIEEEMRRRQQQQQQEAYDEDEDMHGGGAQRVQCAQQ >OGLUM03G36420.1 pep chromosome:ALNU02000000:3:33894813:33895082:1 gene:OGLUM03G36420 transcript:OGLUM03G36420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTADPRASARWCGSALGCAGANSGRGVAPARTAVSGGVEAGIGHEGNRCWRWKRSRLPQLNPSCLWAVRASLTEWIFLTLQIPILPV >OGLUM03G36430.1 pep chromosome:ALNU02000000:3:33895557:33896393:-1 gene:OGLUM03G36430 transcript:OGLUM03G36430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVARLARDVSAARDAARLAAAAASRAARSSAVASSSPCARSAAVVAARRAARSAAAAASRAARAVSRIPSYNLDDYSDYEPTPAPPSPLPSYGPEPADDETDKDFETDLVDSVTDKDFESDEAIWAFSAGARLTIRSVTMLRWLTGSRYSRKLQSLSNKDAPEEEKLCFGPYCDGFDEQQRAEFLLKFGHFHGIHEFVEQWKIDFPKPRKIDSPNQSP >OGLUM03G36440.1 pep chromosome:ALNU02000000:3:33899697:33900308:1 gene:OGLUM03G36440 transcript:OGLUM03G36440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGAPPGAPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAAAAGSSRRHRGGGGGGGGGGDGAGHEHDDVELGIDEATLKGYPEVVYGEARKEAAKAASAAATKKGGATTCSCCSICLDNYGDGDVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPLPTPLAEVTPLAMARPS >OGLUM03G36450.1 pep chromosome:ALNU02000000:3:33902878:33906166:1 gene:OGLUM03G36450 transcript:OGLUM03G36450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRRRFPVFLAAALLTLLLLPASAAATDVEYCRQGRDYPVKVSGVEIVPDPVVSGQPATFKISASTDKSITKGKLVIDVKYFFFHVHSESHNLCEETSCPVTGEFVLAHEQTLPSITPPGSYTLTMRLLDDGNKELTCISFGFSIGFISPLALI >OGLUM03G36460.1 pep chromosome:ALNU02000000:3:33906809:33913914:1 gene:OGLUM03G36460 transcript:OGLUM03G36460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGGDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGGRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTCQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDNLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSTVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGASSSGSGSVAFGAGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >OGLUM03G36460.2 pep chromosome:ALNU02000000:3:33906809:33913914:1 gene:OGLUM03G36460 transcript:OGLUM03G36460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGGDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGGRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTCQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDNLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAPPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPAVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSTVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGASSSGSGSVAFGAGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >OGLUM03G36460.3 pep chromosome:ALNU02000000:3:33906809:33914476:1 gene:OGLUM03G36460 transcript:OGLUM03G36460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGGDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLLMRKTFTRKVFFILIFLKWVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGGRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTCQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDNLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAPPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPAVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSTVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGAGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >OGLUM03G36460.4 pep chromosome:ALNU02000000:3:33906809:33914476:1 gene:OGLUM03G36460 transcript:OGLUM03G36460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPYGGVGGKIRRRPASRAAATPYERPPAAAAARRLAAAAAAAAAEAEDAYPPQGGGGGGGWVSRLVDPASRLIAGGAARLFSTVFRKRLDPAPAPAPPKSTPPGGDNEPNQGLPESTQTGSKPILEKGKNPTGASDNKALSEVEHLVEFDRLTDLLRARTVESDLSAPADNLDNKNEARNTMDGIGGSTSHGMAADHSIAADDPVCGASSPAELAKQYMNSRYSKENRPNSLRSQVLLKNKAEASNIAYDRRRPGGPFVQELSQFSNENSELPVNGYVTPGLHGRSAIYRMSCSPFFKGPSSSNDINMSPFSSSQTRANSLVSGGRQVLKRRGAELENELGSIGPIRRIRQKSNMMSTFRDARSSPRGNFLPSRTIGSDLTDGGSPIRDSPSSKRLLLGTCQSVEPAEARRNDEDGKISSDNVLAASPQSNKMAEKIFEQLNIIVPSPKEKLSLPQFAAGNASCSMSKQPVRQGNEPNGTSDPSSSQKFQPMDSVKHSLDPELNGSPSSKDNLRKDGSSKLLSHSFKDLGNKDIRSDNVALSSVAATTSSKPGFKMAVFEDLPEFDDDQEAPIPSKNSMGKTEVKTTDKKIDLKKEQKVEPILFKQKVESNSVQKAVSSPVSEKPIASALKDARPLGLFSPNDPENRATHDVPSDNNNGFKFPHVPSGTLLESSVSQVPLASNKDDKLISASSSIFGLKQSSTPDSEQTNTADVKTEARLGESVTKPTTLDITNLEGGNERERTEDVHKSSDKVLPSAPPFHFASAASTTASLSNGFSLPSSSKLSNVTPIDKPAVSLAPSTVSTTFAPSSTSPPVSSPIPAIPTFNFGSSTSMVAATKSDSTNTVAKPASSLLFGTGDAIAEAKSTAQDTANKASSNLSTTPISSNISSSPVTSSSAFSSIATFSSSTVAASNDGIASTTSASTAPFTFSSSGNNTFGFNSPAQPAGFSTSVDGSTTQPSATSTIFGSKLPQSEGTMSQPSKSSPVQFSSPFQTVTTTTGASSSGSGSVAFGAGTASTGSGITSFGTGASSSGPSTVSFGLGASSSGTGALLFGAGASSSGTGALSFGAGAGTSSSGPGTVSFGAGTSSGPGTVSFGVTTSSSGSLFGNSPFGSGTTFSGPGSGFAFSSPSSSAGSSLTMASTSMFSTSSTASSSPAFSNPFGSSSSPPSMFTFGQSASSGGGFSFGAQSSPAFSSQAPVFSFTSASMNSSTPQPAFGMTNANTSFGMGSPGNDQMNVEDSMADDTNQAAPAPAPIFGSSPFGQPASSPAAPVFGAPAVPSAGVFQFGGQQGSMQQNAAFPPAGGSLEFQGGNFSLGSGGGGGDKSSRRVIKVKRNPKKR >OGLUM03G36470.1 pep chromosome:ALNU02000000:3:33914671:33918967:1 gene:OGLUM03G36470 transcript:OGLUM03G36470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGFFQSVSTVLWKARQDGDDALPGANGAPDGGGQGRLPAPPPPTSDAPLAVQNKPPEHVKIVSTTDTASAEQDASKSSAGSDSGEAARPRPRVPPVKRVSSAGLLVGSVLKRKTESLKDKYSLGRKLGQGQFGTTYLCVERATGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQKGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFTDQTEEAALKTIDFGLSIFFRPGQVFTDVVGSPYYVAPEVLKKKYGQEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGRLDFQSEPWPSISEGAKDLVRRMLVRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDTDNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHMNKIEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVQLEEMIREVDEDNDGRIDYNEFVAMMQKPTMGLPAKKSGGLQNSFSIGFREALRMS >OGLUM03G36480.1 pep chromosome:ALNU02000000:3:33922236:33923639:-1 gene:OGLUM03G36480 transcript:OGLUM03G36480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVRGALVFFFLLLAGAAAETAPEEPTLPSAAADGAASSGTTSGAGAGTGINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQSLLLFHVLPARLPSGSWSAVSHPTLSGEEVELAAAANDGAMRVAHAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLADISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIYTDGRIAVQGIDAVLFPPDDTKTAPDTAPVRRAPAVTGTRKKNKLRRGQPFSSDLNLRFLLNY >OGLUM03G36490.1 pep chromosome:ALNU02000000:3:33923846:33932737:-1 gene:OGLUM03G36490 transcript:OGLUM03G36490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVCGALVCFFVLLVARAAAETAPEERPTLSSADVASDGNGNGARAGAGKAVGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQTLEHAVAEHNVTILAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEVVELAAADGGGMRVAHAAVSRPDAVVRTDGVIHGIESLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADILVNLTSLATELAQLVSEGYALTVLAPNDEAMTRLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPVETKTKPATARIRTAPAMTGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCHKSGGQYKQVPVGADDAELGERIIQQRGK >OGLUM03G36490.2 pep chromosome:ALNU02000000:3:33923681:33932737:-1 gene:OGLUM03G36490 transcript:OGLUM03G36490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPVCGALVCFFVLLVARAAAETAPEERPTLSSADVASDGNGNGARAGAGKAVGAGAVGGGIVSNSVLVALLDSRYTEMAELVEKALLLQTLEHAVAEHNVTILAPRNEALERDIDPEFKRFLLEPRNLNSLQSLLLFHVLPARLPSGSWPAAATHPTLAGEVVELAAADGGGMRVAHAAVSRPDAVVRTDGVIHGIESLLVPRSVQDEFNRRRSLADISAMPPTAAPEVDPRTDRLKKPAPPGDSPVLPISDATVPDPSVALASEPSDHYDGDSQVKDFIQTLLKYGGYNELADILVNLTSLATELAQLVSEGYALTVLAPNDEAMTRLTADQLSEPGSPENILYYHMIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAREADGSVKFGHGEGSAYLFDPDIFADGRISVQGIDAVLFPPVETKTKPATARIRTAPAMTGVSKIKHRRGKLLEAACQMVGILGQRSQFTRCHKSGGQYKQVPVGADDAELGERIIQQVAERWEQQQP >OGLUM03G36500.1 pep chromosome:ALNU02000000:3:33933775:33937039:-1 gene:OGLUM03G36500 transcript:OGLUM03G36500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKVKMESKLSSAAAFVEGGVQDACDDACSICLEAFCDNDPSTVTSCKHEYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNIRANRSNTAAVFHHPVLGDFEVPVGADDAELEERIIQHLAAAAAMRRSHRHGRRDGHRSRSVSHSRPQIVVFSRNEAIPGGSLHASSGQDEDHEQSSGLGSAHPFAALAAIDQGHMSGGSQLYVGHSDQGASNPSLHDERAMSRTSENQSAPVNQDTAGPSDLQSFSDTLRSRLQSASMKYKDSITKSTRGWKERWFSRSNTISGLGTEVRREVNAGIAAVSRMMERLETKDDTGPSAVPAASACSPSDANNQRTVSPNHAAVVNETSSTTCPERDAVCSEPPEIQRCFMIFGRSVCFRKFAEAFASRSRDIRLCTKGVMSHQFVA >OGLUM03G36510.1 pep chromosome:ALNU02000000:3:33941766:33945667:-1 gene:OGLUM03G36510 transcript:OGLUM03G36510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPSAAGQNGFFANVALWRPRPADAAPPALPPPSSARSDQAPEPVTIPPSEHSSHHSSRSADPSTPTAAAEQPANKAAPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTQEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIVGVIEACHSLGVMHRDLKPENFLFIHQKEDSPLKAIDFGLSIFFKPGETFTDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPNISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLQRVGANLMDSEIDALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRIEDIIGDIDQDNDGRIDYNEFVEMMQKGNNAMGKMGQHSTGNFGLGEALKLRCC >OGLUM03G36520.1 pep chromosome:ALNU02000000:3:33948024:33948518:1 gene:OGLUM03G36520 transcript:OGLUM03G36520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTVAMPSSSSSRRGGLRGPRPLPLKVSSSSRGSSPSSSASKGASKKAAAAPVIVYEHTPKVVHARPQEFMTVVQRLTGKPPAAPPLMMPAYDAPAMVEQGAAAACGAGGDPLLLTLGQRQAAAPAQPPAVPSPMMAAGMLLSPGFIFSPNTMQSIQELSPLF >OGLUM03G36530.1 pep chromosome:ALNU02000000:3:33950193:33952138:-1 gene:OGLUM03G36530 transcript:OGLUM03G36530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIGDPSPSSRRSVKRRPPARSPELSPKAWGGEAPELIRRLEELEEAAARLRGEKEAAEEAARELQAELDAERASAETATSEAMLMIERLQREKAAAQMEARQFRRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFSDDGEEEQHDEEDGEEVEQIDTAALQTDGSSGGDSISGMEVKAMVDDDEEGQFTPVEKEFEYTVDVRCASSTTKVSGAVVVGEFMGEGNAAAGGLYARVEALEADRAAMRREIAALRAERAQLVMARAMARRLCREVVAEQKAKKVAASPRSFSVLGVCKV >OGLUM03G36540.1 pep chromosome:ALNU02000000:3:33956886:33961822:1 gene:OGLUM03G36540 transcript:OGLUM03G36540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRDGGGDRGDPGGGGGGGGAGAPPYRPAAGSVWPLPGMTPRPPGPPPKYQQPGHQPAVVYRAPSAKEVEQKLFVSETALAPPAAAASASAGEAPVSKKGLAHPARRPGFGAAGNEVMIRANRFLVNVADNNLFHYDVSINPESKSRATNREVLNELIMLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKESLRLLFIVFCSAEREYKITIRIAGRTDFYHLQQFLHGRQRDMPQETIQGTNNAVLTTTCSAVMSQCPDPSSLPSLVTGVTLVRDLSVGEPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLMYLSFLCFCYNYLFVYMLFPVDDNGTRKTVVQYFWDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNNKQVTNILRATCQRPQQREQSIHEMVLHNMYTDDRFAQEFGIKLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMVTPA >OGLUM03G36550.1 pep chromosome:ALNU02000000:3:33966305:33969479:-1 gene:OGLUM03G36550 transcript:OGLUM03G36550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARESLTQQQQQQPTLLLFSSSATFKLLALHALLYACIAYLMPFLDDIMCSMLRYIQNFILLAHNKDKITHAMNASRGNARGPF >OGLUM03G36560.1 pep chromosome:ALNU02000000:3:33970121:33971882:-1 gene:OGLUM03G36560 transcript:OGLUM03G36560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFPSWSVLDGDASHDAAAYADQRPPLRCLRLATKSRHAWGFDALGDNVQAGFDAESLVWCLDLEARIADAPKLSSFSLRGGESSSGERLFPFVHAVDKNIVVFTSDLPQAPCSAYIIYDTIGKVLSMIPCEPSCCEVSRTTRLLIARRHPGYNDQSYALVLMAKTPKFAGEDDAKFAGGDDAKGKGKMPEFAEEDVLTGDEEDVLTGEDVFLGKAKKVEFAGGEDDGDSDINWQDVLLLWPSSSSSPWELTKTANLPNQWLDDESSFVADLTFSFEGHGFWADLLCGVLFCSCDDLLSDKVDRVDFSFINLPMGCQADVRYTGQVAAPEVYRTMGCAGGSIRFISIDGFLEYINPGDRYVTLWRLLLKSNTWVKEYEISLKELWNQQEFYNANLPMSMTPMYPILSSLEEHIIYFMLGEFNQDRDGIAFPVGAYYLLQVDMSCGRIVSSAPLPSACSLAPVVGGSDFISYLPHDTLYDRDVVSSVVSQSMSGCYQFGPATGMKGLQSGGGLGLLGNCSNLEGRLLVTILKGKF >OGLUM03G36560.2 pep chromosome:ALNU02000000:3:33970121:33971882:-1 gene:OGLUM03G36560 transcript:OGLUM03G36560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPFPSWSVLDGDASHDAAAYADQRPPLRCLRLATKSRHAWGFDALGDNVQAGFDAESLVWCLDLEARIADAPKLSSFSLRGGESSSGERLFPFVHAVDKNIVVFTSDLPQAPCSAYIIYDTIGKVLSMIPCEPSCCEVSRTTRLLIARRHPGYNDQSYALVLMAKTPKFAGEDDAKFAGGDDAKGKGKMPEFAEEDVLTGDEEDVLTGEDVFLGKAKKVEFAGGEDDGDSDINWQDVLLLWPSSSSSPWELTKTANLPNQWLDDESSFVADLTFSFEGHGFWADLLCGVLFCSCDDLLSDKVDRVDFSFINLPMGCQADVRYTGQVAAPEVYRTMGCAGGSIRFISIDGFLEYINPGDRYVTLWRLLLKSNTWVKEYEISLKELWNQQEFYNANLPMSMTPMYPILSSLEEHIIYFMLGEFNQDRDGIAFPVGAYYLLQVDMSCGRIVSSAPLPSACSLAPVVGGSDFISYLPHDTLYDRDVGLQSGGGLGLLGNCSNLEGRLLVTILKGKF >OGLUM03G36570.1 pep chromosome:ALNU02000000:3:33972737:33977554:1 gene:OGLUM03G36570 transcript:OGLUM03G36570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSSAEDIVPLALSKHRVSLHDVYVYHGRRVIAKSSTLESLKADRDSTFLIKPRMRGGCNDTIGGFKCIPLEQHIRSLGDSLFEIIWIPPDLRVSGFCSYLIILGKPARKIICQLLKLLEIIHAANRFASRFTIADLVFLPDLGCIAFKKGVKIRWNLRREEYKLNMGDVASIISCWFRFNRRKLEVLEAGIHELRPGQGDSPMFVDILVRDLRSPTHETGLSANYRGFYKNCSALRSCSAHMNLFTSLDIHKDFMVGSADWGNFVKALGDIKLPGWYLTAMRSPEMRRVLFFEFNDPHTGELRGKRYRALSVFSWLEFARIFIKHMKKGQCTDKQATALLCVIFSNIVPVVEKKLTYSYRPPAKEKSNESFTVEEILDPS >OGLUM03G36570.2 pep chromosome:ALNU02000000:3:33972816:33977554:1 gene:OGLUM03G36570 transcript:OGLUM03G36570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKSSAEDIVPLALSKHRVSLHDVYVYHGRRVIAKSSTLESLKADRDSTFLIKPRMRGGCNDTIGGFKCIPLEQHIRSLGDSLFEIIWIPPDLRVSGFCSYLIILGKPARKIICQLLKLLEIIHAANRFASRFTIADLVFLPDLGCIAFKKGVKIRWNLRREEYKLNMGDVASIISCWFRFNRRKLEVLEAGIHELRPGQGDSPMFVDILVRDLRSPTHETGLSANYRGFYKNCSALRSCSAHMNLFTSLDIHKDFMVGSADWGNFVKALGDIKLPGWYLTAMRSPEMRRVLFFEFNDPHTGELRGKRYRALSVFSWLEFARIFIKHMKKGQCTDKQATALLCVIFSNIVPVVEKKLTYSYRPPAKEKSNESFTVEEILDPS >OGLUM03G36580.1 pep chromosome:ALNU02000000:3:33992099:33993511:1 gene:OGLUM03G36580 transcript:OGLUM03G36580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSTPPSWCLLLQKVHCPDYIDGDYAKKQGLLSLDLLCESKRAWGFDDSDLVDGIDTKSMMEYVKVQARVTDAPNLSFLTMTLGGDEEEKAVFPILHAMDRNLLVFDLTFPDKIDGAYLIYDTIGKTLSMIPALSSLSSPDGMAHTTQVLIARPHAAVDDGSYALALLGKMGVVDKPGDMPVISWPDVIYQWQPSSSISPWKLIKNANLPQQWMADKSAFSADVAFSFEGHAFWVDLMHGILFCTCADLLSDDVKDVGFDSIDLPLDCLKFTPHSWTMAERQAYRTVGCTGNSIKFVSMHFRGCVKRGAPKVTVWRLEVYAKIWVKEHVLNLKTLWTQPAFLAANLPMDMAAMYPVLSMHEEHVICFMLGEYDLSASGVVLPSNVRHFLRVDMLSATLLSSAPIPSAYSYAPVVVPSDLTSYLPPTAAVAFSTVAPTVSPAAIATVVSPPNIPASPASNAFALPDKAP >OGLUM03G36590.1 pep chromosome:ALNU02000000:3:33994397:33995098:-1 gene:OGLUM03G36590 transcript:OGLUM03G36590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSTPAPYVVEDCGLNLQLLSDGTVNTLPPVPELRHPRCPPSSGRTSSTTPAAASSCGLGTYELPAVVLSADYRLPAAHGDAATVMSLVRDQVVATGDPWLSESSADFGRVFVSGDQASRLALPQLGLIRVQWLKKCGDVPAFVAAAGGDDGEAAAAWNTDGAYAREGGGAGGSKVLTPRRECDDSTRTGCNRFMASIVSN >OGLUM03G36600.1 pep chromosome:ALNU02000000:3:33996589:33997602:1 gene:OGLUM03G36600 transcript:OGLUM03G36600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTPAPYVVEDCGPNLQLFSDGTVIRFEDYNILPPPVLPPALSTVQWKDVVYDSGRGLKLRVYRPPAATVAGEKLPVLVYFHGGGYVIGSFEMDNFHACCLRLAHELPAFVLSADYRLAPEHRLPAAHDDAATAMSWVRGQAVASGGAADPWLAESADFGRVFVSGDSAGAGIVHHVALRLGSGQIAVDPARVAGCALLFPYFGGEERTRSEAEYPPGPFLTLPFSDQGWRLALPRGATRDHPLANPFGPESPAMDGVALPPLLVVVAQLDLLRDRDVDYAARLRAMGKQVEMVEFEGQHHGFFAVEPLGDAGSELVRVVRRFVYGHGGDAAASK >OGLUM03G36610.1 pep chromosome:ALNU02000000:3:34002110:34010404:1 gene:OGLUM03G36610 transcript:OGLUM03G36610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 family protein [Source:Projected from Arabidopsis thaliana (AT3G54720) TAIR;Acc:AT3G54720] MLSQPAAALARLPPASVRVLVGFGAALLVSLVVLHRRPAARGAAGGGGGGPAEDDPAARFLALSEGANATIAADLRALTAGPHLAGTGAAAGAAARVLSGFRAAGLRTLTREYTPLLSYPGHASLALLRADRTLLADLSLDEPADVGRRLVRPYHAYAPSGGAVAEAVFVNLGREEDYLTLERLGVSVRGRVAVAIRGGGYRGGVVRRAAERGAAAVLIAGHADGGVERGTVILGGPGDPLTPGWAATAGAERLDFDHEDVKRRFPAIPSMPVSGKTASAIIRTLGGPALPVEWQTGVGLPVDVGGVGPGPTLVNFTYQEDRKMGMIQDIFAIIKGYEEPDRYVILGNHRDAWTYGAVDPNSGTSALLDIARRLGIMLQSGWTPRRTIILCSWDAEEFGMFSKISFICVPFISFLHFEGSFFQIGSTEWVEENLEDLQSKAVAYLNVDCAVQGIGLFAGSTPQLDNLLVDVTRQVKDPDVEGKTVHDTWNKMTGGINIERLARTDSDFAPFLHHAGIPCMDLYYGKEFPGYHTALDSYHWMEKHGDPLFLRHVAIVEIWGLLALRLADDPVLPFDYQTYASQLQEHANAFSSMMENSKWVHLLNRSIEDLSDAGMEFLKEAKKLQDQNISDGYSLMRRRLLNDRLLLAERSFLQADGLQGRGWFKHLMYSPPEDYESKLSFFPGVADAISRSSNRSAKEQQAAVRHEVWKISRAIQRAADVLRGEFSNRNESLYSSVSVAP >OGLUM03G36620.1 pep chromosome:ALNU02000000:3:34011254:34012538:1 gene:OGLUM03G36620 transcript:OGLUM03G36620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEDDVEASSDSGGSAIIRRCAACKFLRRRCSRDCVLAPHFPASDPHRYACVQRVFGAGNTTRMLQQLPAQERGRAADSMAAEAYRRVQDPVYGCAGVINRLQNQIRAAQCELAWTHAQIAMHSAAAAAHARTTPPPRATLPPGQRDGGGVAGAPSSTQQATTSAAWPLEDFASEFSFP >OGLUM03G36630.1 pep chromosome:ALNU02000000:3:34015791:34022159:-1 gene:OGLUM03G36630 transcript:OGLUM03G36630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAAAARPVVVTVNGERYEAVGVDPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVVVSRYDAVADEVIEFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHAVQRRLSGFHASQCGFCTPGMCMSIYSALAKADRCSARPAPPPGFSKLTAAEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNAFWKKGAYDERADVGKLPAYSGGAAVYTFPEFLKSEIRSSMGQANGGAPAVAVTGDGWFHPKSVEEFHRLFDSKLFDERSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRRSKGVEIGAVVSISKAIEILSDGGAVFRKIADHLSKVASPFVRNTATIGGNIIMAQRLSFPSDIATVLLAAGSTVTIQVAAKRMCITLEEFLKQPPCDSRTLLVSISIPDWGSDDGITFESFRAAPRPLGNAVSYVNSAFLARSSVDGSSGSHLIEDVCLAFGAFGAKHAIRAREVEEFLKGKLVSAPVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDEPENANVPNGSCTDGTTNGSANSSPEKHSNVDSSDLPIKSRQEMVFSDEYKPVGKPIEKTGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKDVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFVDPVSEFAGQNIGVVIAETQKYAYMAAKQAVIEYGTENLQPPILTIEDAVQHNSYFQVPPFLAPTPIGDFNQAMSEADHKIIDGEVKLESQYYFYMETQTALAIPDEDNCITLYVSTQLPELTQNIVARCLGIPYHNVRIITRRVGGGFGGKAMKAMHVAAACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSNGKITGLHFDLGMNGGISPDCSPVLPVAIVGALKKYNWGALSFDIKVCKTNVSSKSAMRAPGDVQGSFIAEAIVEHIASELSVDTNAVRRKNLHDFESLKVFYGKSAGDPSTYSLVTIFDKLASSPEYQQRAAMVERFNAGNRWKKRGISCVPITYDVRLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGESLIDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRKSCAALVERMKPIKEKAGTLPWKSLIAQASMASVKLTEHAYWTPDPTFTTYLNYGAAISEVEVDVLTGETTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGIGFFTNEEYATNSDGLVINDGTWTYKIPTVDTIPKQLNVELINSARDHKRVLSSKASGEPPLLLASSVHCAMREAIRAARKEFAGAGGSPLTFQMDVPATMPIVKELCGLDVVERYLESFAAKA >OGLUM03G36640.1 pep chromosome:ALNU02000000:3:34031423:34032810:-1 gene:OGLUM03G36640 transcript:OGLUM03G36640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSSTTARGRTRSPPWTPSPSSSTSSSSTAPAITSASSPPKRPVSRRCCWRRRSPAPAAGR >OGLUM03G36650.1 pep chromosome:ALNU02000000:3:34037196:34037698:1 gene:OGLUM03G36650 transcript:OGLUM03G36650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRITLELAAVVVVVAAAVAGSLPATTASATAYRVGDDSGWDNGVDYDAWAHGKRFKVGDTLDVEFLYAEGAHNVVVVEDEGSFEACVAPANAPTLSSGDDTVALNQAGRWLFISSFDGHCQSGMKLAVAVTH >OGLUM03G36660.1 pep chromosome:ALNU02000000:3:34047382:34050620:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQFTIYGQLRLIYGMHKPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.2 pep chromosome:ALNU02000000:3:34047382:34050620:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.3 pep chromosome:ALNU02000000:3:34047382:34050620:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.4 pep chromosome:ALNU02000000:3:34047380:34050953:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFHYIIEGRVCYLTMCDHSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.5 pep chromosome:ALNU02000000:3:34047380:34050953:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLCDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQFTIYGQLRLIYGMHKPFGFQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.6 pep chromosome:ALNU02000000:3:34047382:34050620:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDLAFAVVSGLLDKMVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLCDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36660.7 pep chromosome:ALNU02000000:3:34047380:34050953:-1 gene:OGLUM03G36660 transcript:OGLUM03G36660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMVARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYFFQYPYVLCDTFIQKTKKLYLDTRTQRNLVKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRMYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM03G36670.1 pep chromosome:ALNU02000000:3:34059589:34060234:-1 gene:OGLUM03G36670 transcript:OGLUM03G36670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQMLAVAAAAVALAVLLPARGAAATEHMVGDGNGWILGFDYVAWAATKQFRVGDTLVFRYKGTNHTVVEVGGEDFKACNKTASANEWSSGEDRVALDKEGRRWFFCGVGDHCAKNMKLKITVLAAGAPAPGAPAAPPPPSSPAGKARARVAHAAAAAAVTAAAAAMLAL >OGLUM03G36680.1 pep chromosome:ALNU02000000:3:34071831:34087886:1 gene:OGLUM03G36680 transcript:OGLUM03G36680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPVLSHWRRTTDFCGGGGTAAPSAAVVCYGDTVTQLHIAGVRGAPPLPMNFSIGALVMALSRLPDLKVLTLSGLGLWGPLPDKIGRLAALEIVNMSGNYLYGGVPGGLSQLTGLQTLILDDNLLAGELPAWIGELPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKVRKKLTHHAGFLAGIAMAALAAASAIAVVAVVAVRRKNKKGVMVRPPAMLGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKGGAGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQHEQTRSGREKECEDSSARGSVWLQAATEDGNFETACNTGRRQAEDERY >OGLUM03G36680.2 pep chromosome:ALNU02000000:3:34071831:34087886:1 gene:OGLUM03G36680 transcript:OGLUM03G36680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASPMAGASHHLVVFLAALLALLPGSSQLQYSQTWTLFKIQQMLNHPPVLSHWRRTTDFCGGGGTAAPSAAVVCYGDTVTQLHIAGVRGAPPLPMNFSIGALVMALSRLPDLKVLTLSGLGLWGPLPDKIGRLAALEIVNMSGNYLYGGVPGGLSQLTGLQTLILDDNLLAGELPAWIGELPQLAVLSLRNNSLGGAVPASVGRMESLRSLVLASNNLTGNLPDMSGLTNLQVIDVGDNWLGPAFPALGRKVVTVVLSRNRFTGGLPGEITSFYLLERLDVSWNRFVGPFMPALLSLPAIRYLNVAGNRFTGVLSDKVACGDNLQFVDLSSNLLTGSEPACLRPDKKPATVVLVNANCLEATGGDASQHPSPFCQNQALAVGITHGGKVRKKLTHHAGFLAGIAMAALAAASAIAVVAVVAVRRKNKKGVMVRPPAMLGEDNSSSTSGYPSKMFADARYISQTVKLGALGIPPYRTFSLVELEAATDNFENSLLLGQDSFGEMYRGRLGNGTLVAIRSLKVKRNQSSLSFSRHIETISRLRHRNLVSALGHCFEYDLDDSTVTQLYLVFEYVQNGNLRSRISQGTEGRKLTWAQRISAAIGIANGIQFLHAGMMPGLFGNNLKINNILLDQNHVAKISSYNIPILGEAMKSEKGGAGGKHHTESPLLNDKTDIFDFGVILLEIVSGKPITSLYEVEIMKELMLWAVADEDLVRRRSFADQEVSKGCSDESLRTIMQICLRCLAKEAVQRPSIEDVLWNLQFAAQVQDDWEGDNRSSDGSMVSSSSRITKSSRFQHEQTRSGREKECEDSSARGSVWLQAATEDGNFETACNTGRRQAEDERY >OGLUM03G36690.1 pep chromosome:ALNU02000000:3:34082822:34087640:-1 gene:OGLUM03G36690 transcript:OGLUM03G36690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPVVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >OGLUM03G36700.1 pep chromosome:ALNU02000000:3:34090627:34098629:1 gene:OGLUM03G36700 transcript:OGLUM03G36700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 family protein [Source:Projected from Arabidopsis thaliana (AT5G35220) TAIR;Acc:AT5G35220] MGIINLINPTWIGTPTPESDMIFPVQNPTHGGNRNRGPRPLPTPILSAAGFPLPPPTTHVITTATSTPLCPAAHGRRRRGARELPHGPPHGLPAPPPQARQVPRGGDALALSRVRRVLLEGRGMRLGSGLRALRRCEDRLRCFSIDGGGGGGGGGGGGTGGEDGEKRGEEEAAAAAEAKVGGAVEEMRSERTRSGSFSSSSSSSSGTPGISNEPPFLSFSVDNIDTVKLLELLGPEKVDSADVKAIKEKLFGYTTFWLTREEPFGDLGEGVLFIGNLRGKREEIFAKLQQQLRELTGDKYNLFMVEEPNSEGEDPRGGPRVSFGLLRREVSEPGPTTLWQYVISLLLFLLTVFSCVELGIASKISSLPPEIVTYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQLFHEVGHFLAAFPKKVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPLAGAALSFSMFSVGLLLSSNPAGASDLVEVPSKLFQGSLLLGLVSRATLGYRAMHAATVAIHPLVIAGWCGLTTTAFNMLPVGCLDGGRALQGAFGKDALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIVSVFLVVLTLIPLWDELAEDLGVGLVTSF >OGLUM03G36710.1 pep chromosome:ALNU02000000:3:34101492:34106617:1 gene:OGLUM03G36710 transcript:OGLUM03G36710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGAGGGGIGGDASPVHVGSATEAGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISVFIALISDGIDVRVLFELKLLDQSGKAKHKGHSQFDRSLESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >OGLUM03G36710.2 pep chromosome:ALNU02000000:3:34099335:34106617:1 gene:OGLUM03G36710 transcript:OGLUM03G36710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >OGLUM03G36710.3 pep chromosome:ALNU02000000:3:34101492:34106617:1 gene:OGLUM03G36710 transcript:OGLUM03G36710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGAGGGGIGGDASPVHVGSATEAGVGRDIVPSPTSSRSVMQTVNGSHMFVIQGYSLAKGMGIGKYIASETFTVGGCQWAIYFYPDGKNPEDNSAYISVFIALISDGIDVRVLFELKLLDQSGKAKHKGHSQFDRSLESSPYTLKNRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSKPHSIHVPESDIGYHFGTLLDNQEGVDVICNVAGEKFHAHQLVLAARSSFFRSELFEHESDEEKNEVDTSNEIKEIVIDDMEPKVFKAVLHFMYRDNLVGDDELSASSSDCSIFDTLAGKLLAAADRYELPRLRLLCESYLCKHISVNSVATTLALADRHHAMELKSVCLKFAAENLSAVIRTDGFDYLKDNCPALQSEILRTVAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRV >OGLUM03G36710.4 pep chromosome:ALNU02000000:3:34099335:34100928:1 gene:OGLUM03G36710 transcript:OGLUM03G36710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDAGGGGGGGEASPPHAGSAAAMAGAGRDIAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGKHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKAKHKVHSHFDRSLESGPYTLKYRGSMCTNPNGKFDY >OGLUM03G36720.1 pep chromosome:ALNU02000000:3:34106541:34109403:-1 gene:OGLUM03G36720 transcript:OGLUM03G36720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT5G27740) TAIR;Acc:AT5G27740] MLWVDKYRPKTLDKVTVHDQVAQNLKKLVAEQDCPHLLFYGPSGSGKKTLVMALIKQMFGAGADKVKMENKTWKIDTGSRNIEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEFIGKKENLQLPFGFAARIAAQSNRNLRRAILFFETCKVQQYPFTSNQVAPPLDWEQYVSEIAADIMKEQSPKRLFAVRQKFYELLVNCIPPESILKKLLAELLKKLDSDLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVSTFG >OGLUM03G36730.1 pep chromosome:ALNU02000000:3:34112340:34115120:1 gene:OGLUM03G36730 transcript:OGLUM03G36730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLQWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPQDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAALKGVGHGDMPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKGVTYLPRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDDACDFQGLAMPTQTDPSTPACNFTIQIAATSAGHRRRAGAAVLALLALFRLFLLH >OGLUM03G36730.2 pep chromosome:ALNU02000000:3:34112608:34115120:1 gene:OGLUM03G36730 transcript:OGLUM03G36730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLAVLQWWLGAMVAMAAAASWSGGVLPAAEALGMNWGTQASHPLPPKIVAQLLQDNGIKKVKLFDADQDTLSALAGTGIEVMVAIPNVMLDSITDYDTAKEWVRRNVSRYNFDGGVTIKYVAVGNEPFLAAYNGTFDKVTLPALMNIQNALNDAGLGDSIKATVPLNADVYDSPQDQQVPSAGRFRADIADLMTQMVQFLANNSAPFTVNIYPFISLYLNDDFPVDFAFFDGGATPVVDNGISYTNVFDANFDTLVAALKGVGHGDMPIVVGEVGWPTDGDKHATATYAQRFYNGLLKRLAANAGTPARPGQYIEVYLFGLLDEDAKSVAPGDFERHWGILRFDGQPKYPVDLTGQGQNTMLVPAKGVTYLPRTWCVINTNAKDTSKLADNINFACTFADCTALGYGSTCAGMDANGNASYAFNAYFQVQNQKDDACDFQGLAMPTQTDPSTPACNFTIQIAATSAGHRRRAGAAVLALLALFRLFLLH >OGLUM03G36740.1 pep chromosome:ALNU02000000:3:34116417:34118105:-1 gene:OGLUM03G36740 transcript:OGLUM03G36740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLVFLTMAQESWKKEAEETGVHTPEAPILCVNNCGFFGSRMTENMCSKCYRDTVKAKTVATVVEKKPLASLSSTPLVAEVTDGGSGSVADGKQVMEEDTPKPPSNRCLSCRKKVGLTGFKCRCGGTFCSMHRYADSHKCTFDYKQAGREQIAKQNPLVKADKITKI >OGLUM03G36750.1 pep chromosome:ALNU02000000:3:34136315:34136824:-1 gene:OGLUM03G36750 transcript:OGLUM03G36750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKRKCPDDETACGSGAAAAMCVTGCGFFGSEATNNMCSRCYREHSADNDAVEAAAAANSDLELVGVAETTTKKARMSAVVPVAVASSSSAAAEQPAAKAATAPNRCAACRKKVGLTGFKCRCGGNFCGGHRHADAHGCGFDYKSAGKEQIAKQNPLVVADKLATRI >OGLUM03G36760.1 pep chromosome:ALNU02000000:3:34138950:34139765:-1 gene:OGLUM03G36760 transcript:OGLUM03G36760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFAAPAGRAGLGAVVLVAALRCPLFSFFALLLAAAKNRLTAADALLPRRCLAPLAGRHVAGWGRT >OGLUM03G36770.1 pep chromosome:ALNU02000000:3:34141143:34141784:-1 gene:OGLUM03G36770 transcript:OGLUM03G36770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRKCPANGDDGGVADHEPVAVGSFASPPPEKKAKLTVAVAVAPSSSSSATTAAAGEATAKREHGGFFAFARPENNTRLSVAVAPSSSSASTAAAGKATAKHEYGGFCAFARPDDKTRWRVAVASSAAAAADTSYSSSSPATGEQPEANRCATCRRKVGLTGFKCRCGGTFCGGHRYADEHGCGFDYKSSGRELIAMQNPVVVADKLAFRI >OGLUM03G36780.1 pep chromosome:ALNU02000000:3:34147469:34148353:-1 gene:OGLUM03G36780 transcript:OGLUM03G36780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIAWKIDLDLELRLASPSRVPPPPPISSEASRATVGTNGAASRTVSDLVVFAASLTLAAPDSDGVVDTAIGQDAVLPGGDAASKKRAKTDPDEETDGETSCRRRPPPPTAVAAAAAAVVSEPAWVRAELFPLHGLPMDMPPLRFIVAKLLQRSDFYPQQARFLLPSSAADNLHAFLSAQEGEACGLNETSRRRRRREKLAEATRGGGEKRREEPPRYEGVPVTVYLRGGLVCELKLSKFNGTKATVINGGGYAKFIADGGLVRGDRVEVLAFRRPPNYRLCFVIAKNDGYR >OGLUM03G36790.1 pep chromosome:ALNU02000000:3:34155805:34162808:1 gene:OGLUM03G36790 transcript:OGLUM03G36790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >OGLUM03G36790.2 pep chromosome:ALNU02000000:3:34156087:34162808:1 gene:OGLUM03G36790 transcript:OGLUM03G36790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFDSVERAVVHKAELHGAIMPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >OGLUM03G36790.3 pep chromosome:ALNU02000000:3:34155926:34162808:1 gene:OGLUM03G36790 transcript:OGLUM03G36790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRGGVWRARLRSKKVYDVQDADPAASPVSPAPRGRTGRRGGAAAGRGNKTVAEGGGRKALKPRGKGCRAVDLCEDQPCKDLPEVIARKAVTGKAQEDLGLNKVADRAANLMMDGESGDKFAAAEDESTTTPVPERVQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGGSRTGPDAQEVALKFEHRSSKGCNYGPPYEWQVYHTLNGCYGIPSVHYKGRLGDYYILVMDMLGPSLWDVWNSVGQAMSAHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGHPGSVDEKKLFLIDLGLASRWKEASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPELLCCFCPAPFKHFLEMVTNMKFDEEPNYPKLISLFDGLIEGPASRPIRIDGALKVGQKRGRMVVNLDDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNEDGLYISCVSSSANFWALIMDAGTGFCSQVYELSQVFLHKDWIMEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNAGYSHQVVELDFLYPSEGIHRRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSSFPSNHVKEKWSKNLYIASICYGRTVC >OGLUM03G36800.1 pep chromosome:ALNU02000000:3:34166845:34170288:1 gene:OGLUM03G36800 transcript:OGLUM03G36800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESNKKSKLSWSKSLVRKWFNIKSKANDFHADYDASKGRNGHGGEWRTSCSEREAGTAKKSRTDRMPKKNADCIRRGRTESDVSRLTEVQDYRIFASTWNVGGKSPSKGLDLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNVPAKKWVSLIRRTLNRNPGASSYGGYHTPSPVPDPVVELDADFEGSSRRHDNLSFFHRRSFQNLSQSLRVEGNYMSSQPRLDRRFSVCDPVSLGGRPSDFDGNFPCAGSPDDEYIEEDGSSGTYFSPFPYGYGTSIAMEENDEQPNTSRYCLVASKQMVGIFLTVWVRSELRNDVKNLKVSCVGRGLMGYLGNKGSISISMSLHHTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGAGDIKSPETILEHDRIIWLGDLNYRISLSYCSAKALVEMHNWKQLLEKDQLSYVRGESRFSDHRPVYSIFMAEVEIIRQRRRNMGCFNSRVEVEELLPYSYSFGDIKFN >OGLUM03G36810.1 pep chromosome:ALNU02000000:3:34175929:34178817:1 gene:OGLUM03G36810 transcript:OGLUM03G36810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKTSSSMARSQLAALLISLCFLSLASNAVGWSRRGEREEEDERRRHGGEGGRPYHFGEESFRHWTRTRHGRFSVLERFPDEQVVGAAVGGYRVAVLEAAPRAFLQPSHYDADEVFYVKEGEGVIVLLREGRRESFCVREGDAMVIPAGAIVYSANTHSSKWFRVVMLLNPVSTPGHFEEYFPVGGDRPESFFSAFSDDVLQAAFNTRREELEKVFERQREGGEITTAPEEQIRELSKSCSRGGGGGSGSEWEIKPSSLTGKRPYFSNNHGKLFELTGDECRHLKKLDLMVGLANITRGSMIAPNYNTRATKLAVVLQGSGYFEMACPHVSGGGSSERREREREHGRRREEEQGEEEHGERGEKARRYHKVRAQVREGSVIVIPASHPATIVAGEGESLAVVCFFVGANHDEKVFLAGRNSPLRQLDDPAKKLVFGGSAAREADRVLAAQPEQILLRGPHGRGSVSDV >OGLUM03G36820.1 pep chromosome:ALNU02000000:3:34179083:34182918:-1 gene:OGLUM03G36820 transcript:OGLUM03G36820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPAPSPPETSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSSAWRRAHVSMASDSCSEMAAAGVSGLAVGCLVAATAALLVADASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVVVYAV >OGLUM03G36820.2 pep chromosome:ALNU02000000:3:34180122:34182918:-1 gene:OGLUM03G36820 transcript:OGLUM03G36820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAAALVVVVLAVVVSPASGQVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRSSADCACLILTGNVPFSLPINRNLAISLTKLCNSMSVPLQCRDTASQIPPPAPSPPETSVQPNSAVDPTAMSPSPPIIQGQRPLLLPSSAWRRAHVSMASYDKS >OGLUM03G36820.3 pep chromosome:ALNU02000000:3:34179083:34179718:-1 gene:OGLUM03G36820 transcript:OGLUM03G36820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSGLAVGCLVAATAALLVADASAQTGCTAALINLYPCLNYISGNETSPTRTCCSQLATVVQSQPQCLCAAISGDSSSSIGGVTIDKTRALELPKACNVVTPPASRCNSAGGNTPGAATTTSPATQTPGATGAGTGVGSKTTPTAPYLINGGASLRGATGLVLALAAVVVYAV >OGLUM03G36830.1 pep chromosome:ALNU02000000:3:34183557:34185068:1 gene:OGLUM03G36830 transcript:OGLUM03G36830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRGSNGAVLGIDVAVVTALLAWRCAAAAAQAPPVASTDGGGSGCMPELVSLSPCMGYMSGNATAPAAACCSALSGVLRSSPRCLCMVLGGTAASLGVAVGEGAPGGRVEAEGGGAPGFIGHDGKGG >OGLUM03G36840.1 pep chromosome:ALNU02000000:3:34186640:34187976:-1 gene:OGLUM03G36840 transcript:OGLUM03G36840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALPEWIVLDRCVHPFEEIIREGEESEWEEVECTAWRASGCGDGDGDAAAEALARGLTLLVRLADPPAVSALAIRPADGVFLNAASVDVADGNLVILSAAFYGFPRRYYLIYDASLAITPHLPRFCKPSFTLKPLPVRRRRRPRVGEAVVVDDGDDHRNYVLVLMAINFNKDDIICLWPPDPSWSSSSSLPWQRKETRFPVEMNRPWEQYGFSADSVFTLNGIAYWVDLALGVLYCKTSDLLLSDRDVVVEFSFIDLPPGYRADRNLFRPKMFRTLGCVGGSIKFVSVDGYHKREETYFNTEDEEEEEDGDDCIIEPVAAAERKITMWSLIPGGNLGWKKDAEFSVGDLWMWEEFQSIGLPRQQPVNPILDPQEDGMLLLLIGDYYNDENDVLRCRDQHMITVDMKNQSIVCSTLLPCWLHLMVPDLVSSDLPQYLKSLR >OGLUM03G36850.1 pep chromosome:ALNU02000000:3:34188734:34202769:1 gene:OGLUM03G36850 transcript:OGLUM03G36850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTNVSDHLEGFLDVVRCPCQLPAACVDKASTLVATSCVVANCKFATGSQMDRSQEPPHAAAARGAVEVSLRRFDLADVDAMMVWASDPQVAAVCRWEPYESTEPLLAYLRDTVLPHPWFRAICVAAATFNGLEPLYS >OGLUM03G36860.1 pep chromosome:ALNU02000000:3:34202164:34203494:-1 gene:OGLUM03G36860 transcript:OGLUM03G36860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRQEPPPPPSRRQISVMVGGAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OGLUM03G36870.1 pep chromosome:ALNU02000000:3:34205767:34206132:1 gene:OGLUM03G36870 transcript:OGLUM03G36870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPAFYRWLADRYPQTVSDAVEEEPVELEPELGYVVARAHWGKGVATAAVRRAVAAVLGGEVSGLARVEALVDVDNRASQRVVEKAGFRREGVLRRHYWHKGRVRDLVMYSFVSSDQLAE >OGLUM03G36880.1 pep chromosome:ALNU02000000:3:34206655:34207185:1 gene:OGLUM03G36880 transcript:OGLUM03G36880.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTLRRFELADADAMMAWASDPEVTAFMTWEPYESVDSLRAFIRDTVLPHPWFRAICLAGDGDGDGGATPVGAVSVTPTADRCRAEVAVAVARAHWGKGVATAALRRALAAAFADLDGVERVEALVDVGNAASRRALEKAGFQQEAVLRSYCVVKGQLRDMVIYSFISTDPLVE >OGLUM03G36890.1 pep chromosome:ALNU02000000:3:34207567:34210437:-1 gene:OGLUM03G36890 transcript:OGLUM03G36890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLISEAGGKVIAISDVTGAVKNSNGLDIAKLMKHSLENRGIKGFDGGDAIDPRSLLTEECDVLIPAALGGVINKDNANEIKAKYIIEAANHPTDPEADEASTSDSIWQTNTPTATKCTICLKCFLSEFLLQILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTRGFRDVKEMCRSHHCDLRMGAFTLGVNRVARATVLRGWEA >OGLUM03G36900.1 pep chromosome:ALNU02000000:3:34211845:34217229:-1 gene:OGLUM03G36900 transcript:OGLUM03G36900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIANFLADILRWIGLILRDVLARKLHFSAQKFRRWNQSMLRNSIDLVKKQRDEHRYPNSSDPRGGIIQLYDQATDVTGQRTVPRPDNFNSSAGAWFVETAAPNHITGNRSLMSDLRPVDTYIVYTAGGAGMMVHGVGAVNTERVVIPDVWYVPGINANFVSVGQLAQLDFSTEFRRGVCSIIRGSDGSVVGKGYQGSNGLYELEFIKVPAATT >OGLUM03G36910.1 pep chromosome:ALNU02000000:3:34219140:34227057:-1 gene:OGLUM03G36910 transcript:OGLUM03G36910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRILPGIYDPIITSLRITSLLKNIKGGLVSVFVLQWISPWMFWLLRATNGIVLAILLASLVLVRGIRRGICRKTRYVHARHVENQHLTNINEQYMRPWRALPGNQDQAHLLPSIFSFDNGYPSSLYFQDVNGQSVPRTYVRSKSKIVFFLVRRKYVCRETRDVHARHTKHQYLRHYWRALLDNQDPPYLAIPTFNFDNVYPSTLYSQGVNGQSVPPTYVREARNVHARHGEHQHLTNIDEQYRRYWRDLHDNQDLPYLDPPTFYFDNGYPSNLYFHDVYGRSAPPTFVRVITWMLQQVLDDIKEGIVVVVAAVLNRPVMKWMLRFYAGRVVVAVVVMLTSCWIKTTKKRSRASASSSRPFELSPKVYVFCTGSSSSSSFGTAGGSNSALTFILDSGASYHATGCREIFLDDFQDEALDEIGNTIGAANGYAMSIRGRGSISLPGITLPSVLYVPELRVNVVSTSQLTQMDYYVKFDRNGCLVREVCTEAEVGRGRLTYNMLYQMDTLAVPLDRERCKYCELIGGQLFLT >OGLUM03G36910.2 pep chromosome:ALNU02000000:3:34219140:34227057:-1 gene:OGLUM03G36910 transcript:OGLUM03G36910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRILPGIYDPIITSLRITSLLKNIKGGLVSVFVLQWISPWMFWLLRATNGIVLAILLASLVLVRGIRRGICRKTRYVHARHVENQHLTNINEQYMRPWRALPGNQDQAHLLPSIFSFDNGYPSSLYFQDVNGQSVPRTYVRSKSKIVFFLVRRKYVCRETRDVHARHTKHQYLRHYWRALLDNQDPPYLAIPTFNFDNVYPSTLYSQGVNGQSVPPTYVREARNVHARHGEHQHLTNIDEQYRRYWRDLHDNQDLPYLDPPTFYFDNGYPSNLYFHDVYGRSAPPTFVRCEISRDLLFMSVFVPKYLKSYWLRSWAQLLKCDEEIKSVKTACLLIWVDFLGRVITWMLQQVLDDIKEGIVVVWMIFSKVLEFKIMGACLVCLLTFSVNGGDGVQVVAAVLNRPVMKWMLRFYAGRVVVAVVVMLTSCWIKTTKKRSRASASSSRPFELSPKVYVFCTGSSSSSSFGTAGGSNSALTFILDSGASYHATGCREIFLDDFQDEALDEIGNTIGAANGYAMSIRGRGSISLPGITLPSVLYVPELRVNVVSTSQLTQMDYYVKFDRNGCLVREVCTEAEVGRGRLTYNMLYQMDTLAVPLDRERCKYCELIGGQLFLT >OGLUM03G36910.3 pep chromosome:ALNU02000000:3:34219140:34227057:-1 gene:OGLUM03G36910 transcript:OGLUM03G36910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRILPGIYDPIITSLRITSLLKNIKGGLVSVFVLQWISPWMFWLLRATNGIVLAILLASLVLVRGIRRGICRKTRYVHARHVENQHLTNINEQYMRPWRALPGNQDQAHLLPSIFSFDNGYPSSLYFQDVNGQSVPRTYVRETRDVHARHTKHQYLRHYWRALLDNQDPPYLAIPTFNFDNVYPSTLYSQGVNGQSVPPTYVREARNVHARHGEHQHLTNIDEQYRRYWRDLHDNQDLPYLDPPTFYFDNGYPSNLYFHDVYGRSAPPTFVRVITWMLQQVLDDIKEGIVVVWMIFSKVLEFKIMGACLVCLLTFSVNGGDGVQVVAAVLNRPVMKWMLRFYAGRVVVAVVVMLTSCWIKTTKKRSRASASSSRPFELSPKVYVFCTGSSSSSSFGTAGGSNSALTFILDSGASYHATGCREIFLDDFQDEALDEIGNTIGAANGYAMSIRGRGSISLPGITLPSVLYVPELRVNVVSTSQLTQMDYYVKFDRNGCLVREVCTEAEVGRGRLTYNMLYQMDTLAVPLDRERCKYCELIGGQLFLT >OGLUM03G36920.1 pep chromosome:ALNU02000000:3:34256345:34267352:1 gene:OGLUM03G36920 transcript:OGLUM03G36920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exoribonuclease 4 [Source:Projected from Arabidopsis thaliana (AT1G54490) TAIR;Acc:AT1G54490] MGVPAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFAYIDHLFGLVRPRKLIYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLVAKEKSEAIDSNVITPGTPFMFVLSSALQYYIQLRLNHTPGWQSVKVMLSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLSLATHEVHFSILREVITMPGQHEKCFLCGQVGHLAVECRGSGQADKTVELPPIHKKKYQFLNIWVLREYLEKDLEIVDPPFKINFERVVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMNIYRREFTSMGGYLTDGGEVLLDRVEHFIQSVAVHEEQIFQKRARIQKAIQNNEERNRVQTENSEDNQYVDKVKLGEPGYRVRYYAEKFKEEAELKPIDQVQRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKCLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMNDPNSPLKSFYPKDFEIDMNGKRFAWQGIAKLPFIDERRLLAETQKLEETLTDEERFRNRTMFDILYVRETHPLAAQIAFLYQMCSQSPNASYIIPIDPAASGGMNGFLCLSERNCYSIAVTSPVKGFNGIAQNRVLNATYLNPQYHKHIPEPPEGVIIPAKILKPSDFKPFPILWHEDNSRRQPRERPQVSGALSGSVLGEAAHRLVKNSLQIKSGYSAGLLDMPYRGAPYGPGNRPRPAGPLGYERGFVENSYNGHMSRSVPNSHPQFFGHAQANRQNVRILERPNYRNNDSAIHSGMSQLTIQDGPRMHQNNRMQNSGFSPNQPHPNQYAGFPPQRPMQNSSFTPQRPAQYSGFPHQRPVQIGLQHQPAVNGIQPPLPPSAWIGRPISGVPAGVPAKQDPRMAMDRQPKQDNSRSQHDKRQQATKVVYRVKGQGPNGLSE >OGLUM03G36930.1 pep chromosome:ALNU02000000:3:34267975:34275071:1 gene:OGLUM03G36930 transcript:OGLUM03G36930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEC5] MAAPAAESAVVGVGVDSATSAAVRDGFAELERQQQLLATCTRLYQQLTEHFGSLERRLAARSETLRTKRRFLDVRTSRRLEALRRREASIDGSVSLALSRLDSLAKGDAGTTGSASADAAGIAEGLSSLCASMDSAGFFTFVVARRKEVDALRAELPDALKRCVDPARFAMDAVSEVFPIDKRAVRSPTDLAWACVLILEAVVPSLADPDPEIGAARPMVPQAARERARGMAREWKDAAEKKGGVEGAKPPDAHAFLQHVATFAVAEKEDKELYRRIVVSFSWRRQMPRLAITLGLEDEMDDIIEELITKGQQLDAVNFAYEAGLQEKFPSAPLLKAYLEDSKKIPSNSDNLSTSTGQSGSNANKKEQSALRAVIKCVEDHKLEAEFPLEDLRERLEELEKAKTEKKKAASSSSSGGSSGPANKRIRASTGGPMPPAKAGRLTDYTGTPSSPATTTTNATFIRSPSHASYGTASPYSYDRPAAHPLYCGQNTLAMREPYAYHHPSEVSSVGLGMSYPSPPMTYPAYAGYSNGIGYSNAMAPAFHHQAYYR >OGLUM03G36940.1 pep chromosome:ALNU02000000:3:34272572:34277341:-1 gene:OGLUM03G36940 transcript:OGLUM03G36940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGGGSSGGLGSEGESAAASAIGSLDLDGAAASSDNRPGETSSNGDSERWQTRQHSTDDISRSKSKPRYIKAFGVDLSADNVAVAIVYFVQGVLGLARLAVSFYLKDDLHLDPAELYCFDFHCSDSIPLFGYRRRSYLILSGLLGALSWSLMATIVDDKYSAALSIILGSLAVAIADVVVDSMVVERARGEPQSTSGSLQSLCWGSSAIGGVMSAYFSGSLVDTYGVRFVFGVTAFLPLMTSTVAVLVNEKRLPLGENATSSSDSGLIESSKEHIMQIWSSVKQPNIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVTLVTSIASLVGIGVYNSFLKEVPLRKIFFVTTIFGSALGMTQVLLVTGLNRVLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGGVTGGLLGAGLTQFLGVTKDNFQNLALLIVVCNLSSLLPLPLLGLLPDESPGVDNEQTKVD >OGLUM03G36950.1 pep chromosome:ALNU02000000:3:34279002:34280953:-1 gene:OGLUM03G36950 transcript:OGLUM03G36950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSHCESYCGPPLCYIPCLPKSKDAAGDAAHKSKDAAAADAVPAAAAEDKPPPVQKIEAAVAEKDGDDSKEVDGDEEEEDDDDVKTAVAVAAPPPAPLKSNLKKADCADSKCAEKGNVKWLDLLGKDLTEVKEFEPSESGDSMDEDDIAECVCAIQ >OGLUM03G36970.1 pep chromosome:ALNU02000000:3:34295103:34302382:1 gene:OGLUM03G36970 transcript:OGLUM03G36970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPSPLLPAKSKNPPPHHHHHNPLLAYLPHCTSLRALAQLHAVAVKAGGGLQAHPAFVTRLLTLCTEQGAEAPAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGGGGGAEEAARVFVRMMEEGVAPDTYTFVSLLKACASARAGEEGRQAHGVAVKAGAAEHEYVAPTLINMYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFAGDGSHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTAPGTTLRIVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGGPLYPEGDRGTESVFKKPKMIILKHKLLNLKGRKRLQQLQFYRRSIYRRRRRVVHRRRRRRRQRRDAAVRVAVHRRAVQERDALLAGALVGAAGDAVLAVVNVRHLEAAVRLAAEEVVGVGEVLEVREGADGVRDLAGEAVVRDVELLQRPHVADGLRQRAGEAVEAEVEHGELVQLADLRRDARRDAAVEEDQLVERLGHVADAARQAAPQLRQVRQHDHRRRRVAEALRQLEVEVVVVDEQRVYLLLEYRRRHLSAQMYLRSTYLRSGRQRSWEGKEPERWLLLTSISWRRWRLRRESGREPQKRLELRWKTARSVRRPSSSGRVPARSPWLRSTPATVRAHGSSGAAAQYTPK >OGLUM03G36970.2 pep chromosome:ALNU02000000:3:34295073:34303401:1 gene:OGLUM03G36970 transcript:OGLUM03G36970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPSPLLPAKSKNPPPHHHHHNPLLAYLPHCTSLRALAQLHAVAVKAGGGLQAHPAFVTRLLTLCTEQGAEAPAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGGGGGAEEAARVFVRMMEEGVAPDTYTFVSLLKACASARAGEEGRQAHGVAVKAGAAEHEYVAPTLINMYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFAGDGSHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTAPGTTLRIVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >OGLUM03G36970.3 pep chromosome:ALNU02000000:3:34295073:34304855:1 gene:OGLUM03G36970 transcript:OGLUM03G36970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLTATPSPLLPAKSKNPPPHHHHHNPLLAYLPHCTSLRALAQLHAVAVKAGGGLQAHPAFVTRLLTLCTEQGAEAPAHLAYARQVFDRIPHPGDVVWYNTLLRGYARGGGGGGGAEEAARVFVRMMEEGVAPDTYTFVSLLKACASARAGEEGRQAHGVAVKAGAAEHEYVAPTLINMYAECGDVRAARVMFDRMDGECVVSYNAMITASVRSSLPGEALVLFREMQAKGLKPTSVTLISVLSACALLGALELGRWIHDYIRKMRLDSLVKVNTALIDMYAKCGSLEDAIGVFQDMESRDKQAWSVMMVAYANHGYGREAISMFEEMKKQGIKPDDVTFLGVLYACSHSGMVSEGLQYFDSMREYGIVPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTAILWRTLLSACAGHGDVDMGKRVFERILELDDSHGGDYVIFSNLCANTGRWEEMNMVRKLMSEKGVVKVPGCSSIEIDNMVHEFFAGDGSHPHSQEARRMVDEVIEQLKLVGYVPNTSHVFHVEMGEEEKATSLRYHSEKLAISFGLLNTAPGTTLRIVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGVCSCGDYW >OGLUM03G36980.1 pep chromosome:ALNU02000000:3:34300269:34301716:-1 gene:OGLUM03G36980 transcript:OGLUM03G36980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRVSAAAAAALFVAVVVASPLLASSQQPAMAPAAAAAPTNNSRLEKAYVALQALKRAITDDPKNLTHSWCGPEVCGYFGVYCAAAPDDPCARTVAGVDLNHGDLAGTLPEELGLLTDLAVFHLNSNRFCGSLPDSLRNLHRLHEIDVSNNHLSGSFPSQLLCLPDLKYVDLRFNNLCGEVPAAIFEKKIDALFINNNNFDFKLTESFSNSTASVIVLANLPKLGGCLPSSIGDMAETLNELVLLNSGISSCIPPEIGKLDKLTVLDLSFNGFAGALPETIGHMRALEQLNVAHNGLAGEIPDSICALPHLKNFTYSHNFFCGEPHRCLEVPHVDDRQNCIAGRPDQRSGEECIAFLHRPPVHCDAHGCIAPLSPPPPPPVHYAPPPPVY >OGLUM03G36990.1 pep chromosome:ALNU02000000:3:34307775:34312239:1 gene:OGLUM03G36990 transcript:OGLUM03G36990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWSRLMCCSFSDAPAARASAAAGGGGGGARGCSSEFDSAIRSLRINPQPERLAHILDSASDFNLALRIFRWASYQRMPIHTVDTYARMIAKLGDAGNHDEIGGFLKEMVRLDVPGLEKVMNDLVQFLSGKNRFDEALLVIQHASSGNFKISVSSCNGVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLELALVQFDKMSKKRCIPNSRTFKILITALCSHGRADESADAFDKMLQLRCIPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQSDQHLYSALIRCLCENQLLDDAVTTVNDMIASGHALMRSTFVNIVDCYCTLGQFHKAVNFLEENDVAEIEAYNVLLRSLCKTGRVQDSVNYLTELHSRGLVNCQSWNIVITQFCNNGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGLYKNALDMFRRLDVSNLSLNSESFSQLVESLCHMKKIQEAAEVFKYHCKRGCNLTSESLEMLIQESCMVGMIREAIKMRSLAVCTGTSCTFTTYNTIFRALLHLKKEKDVLLLFAHMLMEGCLLNEYTYNCILRYFLTKETIFEAAILFNRMVKDGFVPDQETFELLVPEMALSSLLNMISESLLTVVNMDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMIEKGWVPDSRTHSILLSSSGREEPRESDEVNHTVDDDNMADSSNN >OGLUM03G36990.2 pep chromosome:ALNU02000000:3:34307775:34312016:1 gene:OGLUM03G36990 transcript:OGLUM03G36990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVQSRMWFARPHLLWSRLMCCSFSDAPAARASAAAGGGGGGARGCSSEFDSAIRSLRINPQPERLAHILDSASDFNLALRIFRWASYQRMPIHTVDTYARMIAKLGDAGNHDEIGGFLKEMVRLDVPGLEKVMNDLVQFLSGKNRFDEALLVIQHASSGNFKISVSSCNGVLCGLVKEGRGLRPFMRAYMEVVKAGVLPDVETLNWLIEVLCEAGHLELALVQFDKMSKKRCIPNSRTFKILITALCSHGRADESADAFDKMLQLRCIPDSSFCVQVLPLFCKFNKLKEARKLHQMMKEYKLQSDQHLYSALIRCLCENQLLDDAVTTVNDMIASGHALMRSTFVNIVDCYCTLGQFHKAVNFLEENDVAEIEAYNVLLRSLCKTGRVQDSVNYLTELHSRGLVNCQSWNIVITQFCNNGNIRRASELICRMIVSSFTADESTYSSVVSCYCKLGLYKNALDMFRRLDVSNLSLNSESFSQLVESLCHMKKIQEAAEVFKYHCKRGCNLTSESLEMLIQESCMVGMIREAIKMRSLAVCTGTSCTFTTYNTIFRALLHLKKEKDVLLLFAHMLMEGCLLNEYTYNCILRYFLTKETIFEAAILFNRMVKDGFVPDQETFELLVPEMALSSLLNMISESLLTVVNMDGMMSPRISNIIIYGLIKEGFKSEACKFLDQMIEKGWVPDSRTHSILLSSSGREEPRESDEVNHTVDDDNHTVSGYMDKVESSLTDSISDALHVMNDG >OGLUM03G37000.1 pep chromosome:ALNU02000000:3:34312457:34315786:1 gene:OGLUM03G37000 transcript:OGLUM03G37000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1000) [Source:Projected from Arabidopsis thaliana (AT3G04780) TAIR;Acc:AT3G04780] MAAATNAAAAAAAAPAPAPATPVPRGQVDLVDFIDWSGVECLNQDPAHSIVNALKQGYRDDEGLYLASDSDEQLLIHIPFMQVVKLHSALFKGPEEDGPKTIKLFCNKEHMGFSNVNDYPPSDSLDLSSNHLSESKPMQLKYVKFQNVRSLTIFIEDNQSGSDVSKILKIALYGTTVDTTNMKDLKKIEEH >OGLUM03G37010.1 pep chromosome:ALNU02000000:3:34315915:34317697:-1 gene:OGLUM03G37010 transcript:OGLUM03G37010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYMIENPQLRWAFIRKVYVIVSVQLLVTVAVAGAVNLVEPIKTFFQARTPEVLVAYVIIIISPLIMMLPMIYFRNKHPINLFFLLLFTICISFSVGLGCLSKNGTVIFQAAGMTAAIVIGLTCYTFWAAKRGCDFEFLGPFLFAATLVLFLYAIITIFLPMGRTGKLVYGCVAALIFSGFIIYDTDNLIKRYTYDEYVAAAITLYLDIINLFMALVTALQAADG >OGLUM03G37020.1 pep chromosome:ALNU02000000:3:34319392:34321356:-1 gene:OGLUM03G37020 transcript:OGLUM03G37020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKGHDLEAGGSSEPLYPGMVESPDLRWALIHKIYVILSVQLAMTAAVAAFVVKVRGVSEFFVSSNAGFALYIFLLFLPLIVLCPLRYYHQKHPVNLLLLGLFTVAISFAVGMTCAYTSGKVIFEAAALTAVVVISLTAYTFWAAKRGHDFNFLGPFLFSAVMVLILFSLIQIFFPLGKISEMIYGGLASLVFSGYIIYDTDNIIKRYTYDEYVWAAVSLYLDVINLFLALLRVLRAADN >OGLUM03G37030.1 pep chromosome:ALNU02000000:3:34321952:34325406:1 gene:OGLUM03G37030 transcript:OGLUM03G37030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRVVNPVKVESGPSTGVANGQPPRPMDGLADGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFVVWDPHLFGNVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEGFLRGQKHLLKSIKRRKPPNSSPSQQSLGSFLEVGHFGYEGEIDQLKRDKHLLMAEVVKLRQEQQNTKSDLQAMEQKLQGTEQKQQHMMAFLARVMHNPEFIRQLFSQSEMRKELEEFVSKKRRRRIDQGPELDSMGTGSSPEQVSQVMFEPHDPVDSLFNGVPSDLESSSVEANGGKAQQDVASSSSEHGKIKPSNGELNEDFWEDLLHEGGLDEDTRNPAIDDMNLLSQKMGYLNSSSTKSPQ >OGLUM03G37040.1 pep chromosome:ALNU02000000:3:34326639:34334338:1 gene:OGLUM03G37040 transcript:OGLUM03G37040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAELAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >OGLUM03G37040.2 pep chromosome:ALNU02000000:3:34330667:34334339:1 gene:OGLUM03G37040 transcript:OGLUM03G37040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDRAVAPSPEGLRHPGAAGDGAAELAERFRDARPGAVTVALGPGGANSLAYSSHGQSPLLPRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >OGLUM03G37040.3 pep chromosome:ALNU02000000:3:34326639:34334338:1 gene:OGLUM03G37040 transcript:OGLUM03G37040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPRRRLFAATDEIFCLFQGTIENIAVLKQQYGLHNKGSTEINIIIEAYRTLRDRGPYPADQVVRDINGKFAFVLYDCSNNSVFMATDADGSVPFYWGVDPDSRLVVSDDDEIVNKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRLDSKGEVCGTTYTVDAKAKKDSSIPRVGSAADWSSQY >OGLUM03G37060.1 pep chromosome:ALNU02000000:3:34353703:34354109:-1 gene:OGLUM03G37060 transcript:OGLUM03G37060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTTPLPLLRGAAAAAAARSCLHRPQVPGTDRSLEELPSIDTPPEFEAPPGLDVPMPPPGAPTPGPEQPGPSIPSPPMPEVPDVPRNPDVPPPKPPELDPPRPPPEVVPEPTPPDVEPPTFIV >OGLUM03G37070.1 pep chromosome:ALNU02000000:3:34354948:34356239:-1 gene:OGLUM03G37070 transcript:OGLUM03G37070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKNRAEEIFRDGDLIFTSERNLGARNVQMDYDIVRANQPAVVQTRCRWIIGDVTEVLDRNTWKLGKIAKMLKNNYFVIRLADCIQLKEFHISSLRVPASPHSNNQYSVADKKSEAKLAQRGQIPSDALPGRTNKKRKSTADTSFNPRKRTSRPENASRACVLDGSTTQNRFQFIREEAECSVASCSINDPDTTFTNAKKLQSLGFPDDAMSACPCTSGMEDDDAAAAAAAEEDDDDEPAAIAVHELELEAYQSTMRALYASGPLTWEQESLLTNLRLSLNISNEEHLLQLRRLLSSR >OGLUM03G37080.1 pep chromosome:ALNU02000000:3:34359920:34362089:-1 gene:OGLUM03G37080 transcript:OGLUM03G37080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARPLVSVKALEGDMATDSAGIQMPQVLRAPIRPDVVTFTHKLLSCNRRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNIRLRRIAVASALAATAVPSLVLARGHRIEGVPEFPLVVSDSIESIEKTAQSIKVLKQIGAYADAEKAKDSVAIRAGKGKMRNRRYINRKGPLIVYGTEGSKVVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTECAFKKLDEVYGGFDTPALKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKEVKLREARRNPLKNVAAVLKLNPYFGTARKMAALAEAARVKARTEKLDSKRTKLSPEESSKIKAAGKAWYKTMISDSDYTEFENFSKWLGVTQ >OGLUM03G37090.1 pep chromosome:ALNU02000000:3:34394595:34397908:1 gene:OGLUM03G37090 transcript:OGLUM03G37090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMPFQLKNGHHHHHHHGAMEGKPPVTPMQPQQHAPPPRVSRFRRLLVRVSASERLAGDGKERGEKEAEKVPAFAAGGEVEAGSVGLDRMVLSFMEDSAAVERPQRGRCNCFNGSNYEESDDEEDFFLPSDHSSASAPAAAGDALESLKGLVQSASVAERNLLADASRIAERCGKGYKGKAECRRAVADGLRALGYDAAVCRSRWEKASSYPAGEHEYIDAVVGEEVRLIVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRSGDKAAAAAKTVTSTTSATATPVSAASFSGAFELLFDRKQSGEIPAAEEKITVVVSPSPWRPTEEASKKKPPPSPSPPQLWQQQQQPPKAKVVTGLAAVL >OGLUM03G37100.1 pep chromosome:ALNU02000000:3:34405473:34412150:1 gene:OGLUM03G37100 transcript:OGLUM03G37100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVKRGEARRREHHYSSFPPNLPPPTLRPRRRRLQSRVPCRGSKLRIHSPKTLAPGSRRASRVAVRLLDPSPGGWRLTRRRRRWAIGARPRRIGGTWCVVLARGGGDLGGGGGGGGGGEAAEVLGSSAEMGRWAAGICAVALVWLAAAAAGDLEPDELERAFPIVEPDYGHTKLRLSQQGLDAIRRIETPIAVVGVIGPYRSGKSFLLNQLLSLSCEKGIWIWGTPVEMDIDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRGKMLLLNQQSFFGLSRGISCKENLFSKWSMKPSNGYLTKVVNQIRDSLAVMGNNSTAFSLPQPHLQRTKLCDMDDKELDPLYVKRRDELKQVVASITRPKIVQGKTLNGKEFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKMYRDKMDGLGLPVPVDRLQQVHETAIDQARMLFDKQHFGKHHAAQSILKLDDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFFHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIAKKTGQPIVTPATPQQDLPQELNAPEIRLI >OGLUM03G37110.1 pep chromosome:ALNU02000000:3:34413087:34416998:-1 gene:OGLUM03G37110 transcript:OGLUM03G37110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSVEEISDPFWVPPPPPQSAAAAQQQGGGGCVASGGGGGVAGGGGGGNAMNRCPSEWYFQKFLEEAVLDSPVPNPSPRAEAGGIRGAGGVVPVDVKQPQLSAAAAATTSAVVDPVEYNAMLKQKLEKDLAAVAMWRASGTVPPERPGAGSSLLNADVSHIGAPNSIGGNATPVQNMLSGPSGGSGSQLVQNVDVLVKQPTSSSSREQSDDDDMEGEAETTGTARPADQRLQRRKQSNRESARRSRSRKAAHLNELEAQVSQLRVENSSLLRRLADVNQKYNDAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPAASDMSSLSMPFNSSPSEATSDAAVPIQDDPNNYFATNNDIGGNNNYMPDIPSSAQEDEDFVNGALAAGKIGRTASLQRVASLEHLQKRMCGGPASSGSTS >OGLUM03G37120.1 pep chromosome:ALNU02000000:3:34420262:34423971:-1 gene:OGLUM03G37120 transcript:OGLUM03G37120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTFAVIPFQPINKWADAAKPSRAEVYTLSRARAHREATRSAASISSPSPLPSPPRRRLSPPRGLLCFVSAAEAGRGWAGVSARDREPGRLPLMEMEDSGRGVVGAGKRGVAETFSRLREQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIELGVPYSDPLADGPVIQAAATRALKKGATFDSVIAMLKGVIPELSCPIVIFTYYNPILKRGVSNFMAIIKQAGVHGLVVPDLPLEETALLRNEAVMHGIELVLLTTPTTPTERMKEIAKASEGFIYLVSSVGVTGARSNVNLRVEYLLQEIKKVTDKPVAVGFGISTPEHVKQIAGWGADGVIIGSAIVRQLGEAASPEEGLKRVEEYAKNMKAAMP >OGLUM03G37130.1 pep chromosome:ALNU02000000:3:34429883:34432716:1 gene:OGLUM03G37130 transcript:OGLUM03G37130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKNIIGAPRQHMAYFNYMGMLAAEGTYDKIEALLNQDIHPAAGGRGCGAASCSRRRVAGCVASCSRCRVAGCPGDLLPTRPGLPERREIRRKALHDEIIEAKVRLQSEKIKTTVPKWLPPEEGKQKGEAQTIGQCPMDVYTLGLFATCHCETYSLDERKTHRVVECVCNVGTRVTVRQKKQGTQKPHTIYSIRPKKKKSIPSILK >OGLUM03G37140.1 pep chromosome:ALNU02000000:3:34433600:34448209:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASSPAASSSSSSSAPAKLGAAPGRVAFRKLTAAAASAAASLRLDIDRAPAAPATERGLSSVSRTMSRLMEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIEVGVPFSDPYNDGPVIQASAARALAAGATMDGIMSMLAEVTPELSCPVVLFSYLGPIVRRGPANFTAAAKQAGVQGLIVPDLPYLEACSFRSEVIKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKLQLELNVHSVARAYVAMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAAWRLAAAAASVALEASPVPAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >OGLUM03G37140.2 pep chromosome:ALNU02000000:3:34433600:34449999:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGPANFTAAAKEAGVQGLIVPDLPYVETCTFRSEATKNNLELVLLITPATPADKMKAITAASGGFVYLVSVNGVTGSRQNVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKLQLELNVHSVARAYVAMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAAWRLAAAAASVALEASPVPAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >OGLUM03G37140.3 pep chromosome:ALNU02000000:3:34433600:34449999:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGPANFTAAAKEAGVQGLIVPDLPYVETCTFRSEATKNNLELVLLITPATPADKMKAITAASGGFVYLVSVNGVTGSRQNVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIADWGADGVIIGSAMVRQLGEAASPKQGLKRLEKLQLELNVHSVARAYVAMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAAWRLAAAAASVALEASPVPAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >OGLUM03G37140.4 pep chromosome:ALNU02000000:3:34433600:34449999:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGPANFTAAAKEAGVQGLIVPDLPYVETCTFRSEATKNNLELVLLTTPTTPPDRMKAITAASGGFVYLVSVNGVTGSRQDVNPRVEHLLQEIKQVTDKAVCVGYGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKLQLELNVHSVARAYVAMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAAWRLAAAAASVALEASPVPAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >OGLUM03G37140.5 pep chromosome:ALNU02000000:3:34433600:34449999:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGPANFTAAAKEAGVQGLIVPDLPYVETCTFRSEATKNNLELVLLITPATPADKMKAITAASGGFVYLVSVNGVTGSRQNVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIAEWGADGVIIGSAMVRQLGEAASPKQGLKRLEKLQLELNVHSVARAYVAMAFTTMKASPMSASSSSAPVLRRCVAPPARVAAAWRLAAAAASVALEASPVPAAAAVERRMSVSQTMSKLKEKGKTAFIPYITAGDPDMGTTAEALRLLDACGADVIELGVPFSDPYADGPVIQASASRALAAGATPEAVLSMLKEVTPELSCPVVLLSYLGPILRRGAANFTAAAKEAGVQGLIVPDLPYVDTCTFRSEAIKSNLELVLLTTPATPGERMKIITEASGGFVYLVSVNGVTGPRPKVNTRVEHLLQDIKLVTDKAVCVGFGISTPDHVRQIAGWGADGVIIGSAMVRQLGEAASPKQGLKRLEEYARRMKDALP >OGLUM03G37140.6 pep chromosome:ALNU02000000:3:34448444:34449999:-1 gene:OGLUM03G37140 transcript:OGLUM03G37140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVKASSPSSPATTRLTGALHGGAARVAARKLPAASLTLDRAPAPAGAERGMSSSVSRTMSRLREKGKAAFIPYITAGDPDMGTTAEALRVLDACGADVIELGVPFSDPYTDGPVIQASAARALAAGATLDGVMSMLKEVTPELSCPVVLFSYFGPIVRRGPANFTAAAKEAGVQGLIVPDLPYVETCTFRSEATKNNLELVLLITPATPADKMKAITAASGGFVYLVSVNGVTGSRQNVNPRVEHLLQEIKQVTDKAVCVGFGISTPDHVRQIADWGADGVIIGSAMVRQLGEAASPKQGLKRLEKYARSLKDALP >OGLUM03G37150.1 pep chromosome:ALNU02000000:3:34454693:34459999:1 gene:OGLUM03G37150 transcript:OGLUM03G37150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPQQQGPPEDDFFDQFFSLTSSFPGAAPGGRAAGDQPFSLALSLDAAAAAEASGSGKRLGVGDDAEGGGSKADRETVQLTGLFPPVFGGGGVQPPNLRPTPPTQVFHPQQSKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGGNQQIWEKWSTDGTERQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQQTQDGQPVKHEPNTPS >OGLUM03G37160.1 pep chromosome:ALNU02000000:3:34461865:34467195:1 gene:OGLUM03G37160 transcript:OGLUM03G37160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRAAAVRRPKSSSASSAGAAAERKRKRAAAAKTVSLKNQIRSTERLLRKDLPNDIRVAQEKKLEELKRQQELQNQLAIQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNADDASNKLSKLKEDLEYVRFFPKNEKYVSLFSGGNTPDMLEKRNKWRKQIKENLMAAAENGKDLEETASDDDTVDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSASGKAASGMSSDEKNQRQRDARVLMPPPRSLAPNRTRPGVKHVLSSSSNTSNSTSGGTFKNRRAANQPGDHNSNLSSNSDAHKPRRKRRHRKKKKLV >OGLUM03G37170.1 pep chromosome:ALNU02000000:3:34468170:34468936:-1 gene:OGLUM03G37170 transcript:OGLUM03G37170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEF7] MAAESIDAELRLGLPGSGGGDGAAAKKRRSTSSTVKSEASGTACCGGAGARDVDDGASPASKVQVVGWPPVGSYRRSTFQSSSSSTAAAAKGKGGGETDQGRKNKGGGLYVKVSMDGAPYLRKVDLRMYGGYRELRDALDALFGCFSADASASAAHFAVAYEDKDGDLMLAGDVPWDMFISSCKKLRIMRGSEAR >OGLUM03G37180.1 pep chromosome:ALNU02000000:3:34474356:34474746:1 gene:OGLUM03G37180 transcript:OGLUM03G37180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCCVFLRWPSTLPSLLGYRSLDDSKVAGLSSPVVTLPIPAAPREAVRKEESKATLFVDVAILFLSVLCAKYVTTDT >OGLUM03G37190.1 pep chromosome:ALNU02000000:3:34492738:34495994:-1 gene:OGLUM03G37190 transcript:OGLUM03G37190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAVKEGEGGIKARSCKGSASSRMRSTRPTPMGWSSTTARGVDTILKQFNVELINSARDHKRVLSSKASGEPPPLLASSVHCAMREAIRAARKEFAGAGGSALTFQMDVSLRPRRCRVVPRVANLIGSHRNTEISKIWSDILNRI >OGLUM03G37190.2 pep chromosome:ALNU02000000:3:34492738:34494357:-1 gene:OGLUM03G37190 transcript:OGLUM03G37190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVEADSNAGGIKARSCKGSASSRMRSTRPTPMGWSSTTARGVDTILKQFNVELINSARDHKRVLSSKASGEPPPLLASSVHCAMREAIRAARKEFAGAGGSALTFQMDVSLRPRRCRVVPRVANLIGSHRNTEISKIWSDILNRI >OGLUM03G37200.1 pep chromosome:ALNU02000000:3:34496209:34497096:-1 gene:OGLUM03G37200 transcript:OGLUM03G37200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGSIAGGFEIGQGLWTKVKQMTAFALGQLCDDLDKVHVILIQADTLSMIHQGEGWYPTMEILDCPGGSGYADRSNHHPKERPHLKDLMQSPRSCILLGDVAP >OGLUM03G37210.1 pep chromosome:ALNU02000000:3:34505934:34511249:1 gene:OGLUM03G37210 transcript:OGLUM03G37210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAAPGDAAGEEVGGGGDVVMVRRASVAACLTCPLCGRLLRDAATISECLHTFCRKCIHEEFVNKESCCCPTCNIDLGCSPLEKLRVDHSMQFVRSKIFPFKRRKVENPEIICPVASPVKRKERSLSSLTIPTPQVSIQKCLTKRRTKASCLRNFPLHSTSRGSKDTSKKLGGWRPLGCQLKLGKDKRSLKSSVKDTNRTKNKSGDTDDGAPASKAKAREPFTRYGRAAKRTGSKKLLMLKNKKKRFKAKQPSKKRRFRALWFYLLAAFDQRGVPTLPQLPAKYLRIKDVDLPASIIQKYLAQKLNLSSETEVEVLCGGRVVSQGMTLHDLADCWLEKGPKNRMRSSVGSPATGFMVTLFYRRPDVDVSSSPAPPQPDTESCHS >OGLUM03G37220.1 pep chromosome:ALNU02000000:3:34514237:34520314:1 gene:OGLUM03G37220 transcript:OGLUM03G37220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosine-specific methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEG3] MSEVDDIFITALIMPLDDDLEKDRGKGIRCSGFGRIENWAISGYDEGAAVIWVSTETSDYKCVKPASSYRSYFEHFSEKARVCVEVYKKLARSVGGNPQVDLEELIAGVVRSINSNRSFNGTVTKDFVISSGEFIYKQLIGLDHTAGNDDEMLATLPVLVALKDECKSRAGFTHLPAMPSNGTLRIKDGQDNGLIEDEDAKLARLLQEEEEWKMMKQRGKRGTSQKNIYIKISETEIANDYPLPAYYKPYNQEMDEYIFDSDIGMYSDDVPVRILDNWALYNSDSRLISLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAELAQLHSSSSKSGREDPGVPIYLSPIKEYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFAEVIKKVAEFDSRHPAFISSKAPTVERYVVVHGQIILQQFADFPDDSVKRCAFITGLLAKMEESRHTKLAIKKKSQQMRGENLNPSAKMGPILRKKLMRATTTTLISKIWGEYYATYFPGDTKEEDQNEPKEIDDDQEENEDNDAEEEVNVEDEKATMTPSTRSRKSSADTCKEIKWEGQTAGKTVSGEVLYKCVIVQDLSISVGATVTIEDDSGETIMCFVEYMYEKLDGKNMIHGIILQEGSQSVLGNAANDREVFLTNDCLEFEASEIKELVTVNIQSLPWGHKYRKENSEAKRVEKAKAEERKRKGLPVEYICKSLYWPEKGGFFSLPNDKIGNGTGICSSCERKPVGNEFKLLSESSFVFENITYNIHDFLYIRPEFFSQGEGHETYKAGRNVGLKPYAVCHLLSVHGPTGSRKANPESTKVKVRRFYRPDDISSTKAYSSDIREVYYSEDIISVPVVMIEGKCEVRLKDDLPNSDLPAVVEHLPPNVKFVTLTRKVPASKKNKGKQICDIELGGSDKPKDGQSENCLATLDIFAGCGGLSEGLQRSGVSLTKWAIEYEEPAGEAFGENHPEAAVFVENCNVILKAIMDKCGDSDDCISTSEAAERAAKLSEDKIKNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGETLPEWPEPMHVFASPELKITLPDGKFYAAVKSTAAGAPFRSITVRDTIGDLPAVENGAGKPTIHYGSGPVSWFQKKIRSDMASLNDHISKEMNELNLIRCKHIPKRPGCDWHDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQSKHRQIGNAVPPPLAYALGRKLKQAIDAKR >OGLUM03G37230.1 pep chromosome:ALNU02000000:3:34520998:34521675:-1 gene:OGLUM03G37230 transcript:OGLUM03G37230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein E [Source:Projected from Arabidopsis thaliana (AT1G08770) UniProtKB/Swiss-Prot;Acc:Q9FRR1] MAASTASWSRYGTVPAAAAPPLKREEVVGDGDGEVAAPSPSPATAAEAGVAFFSRARAAAGAAAGRPRAWREVLDATAFSRPESCGEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLAFLALFAAWLALYFGRGDGEPPLACMGREVDDRVVLAALSVATVLAVALSRAGLNLLVSLVLAAAAIGAHAAFRMNVYLDEREAYDGSSFMGSSYGGYALPR >OGLUM03G37240.1 pep chromosome:ALNU02000000:3:34525247:34527589:1 gene:OGLUM03G37240 transcript:OGLUM03G37240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATAPPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVSSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGSGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >OGLUM03G37240.2 pep chromosome:ALNU02000000:3:34525558:34527589:1 gene:OGLUM03G37240 transcript:OGLUM03G37240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATAPPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVSSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGSGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >OGLUM03G37240.3 pep chromosome:ALNU02000000:3:34525826:34527589:1 gene:OGLUM03G37240 transcript:OGLUM03G37240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVEEANMAAVESSKKLVAILSKSGDPFRLMAAVAETDEAVSRFGKVVTILSNRVGHARARLGKRRSSPPVDPGCLMDHPLAAAASSPAPSNGRLHFSSSAATAPPSPATAAAASSAANVTPAVVDRSLFLETTLLDLNSRGAPAPAASMAAAAKNSSKLAPAPMVSSSSSANHIQFQQPMKSFQFEQTPISDKFHIEMPRGVGSGGGKEVISFSFDNSVCTSSAATSFFTSISSQLISMSDAATNSAAAAAAPTTKKPSSCARKATADDDAGGKCHCPKKKSVSISPPKPREKKVVTVPAISDKVADIPSDNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDPAMLLVTYENEHNHAQPLDLSVVQQATANPQT >OGLUM03G37250.1 pep chromosome:ALNU02000000:3:34531638:34533805:-1 gene:OGLUM03G37250 transcript:OGLUM03G37250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVEAEVAAAGAPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAGSNFEGTVFRLGFYEAGSYFVKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OGLUM03G37260.1 pep chromosome:ALNU02000000:3:34540278:34541315:-1 gene:OGLUM03G37260 transcript:OGLUM03G37260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSLAGGDMKPLATLDDLDTIILFSYRWPLPSAMTSTRATTCCLVDTGIVAVGEARHELTRPDLAFPHLDMCLLVLVLGEEEAEVDPIGGGNPKGGGDMGFLVEEVVLNDSGGGLRFRQNIGGGPRGGGRLGVWEVEEDPDDSDGPMGSGDLAI >OGLUM03G37270.1 pep chromosome:ALNU02000000:3:34544625:34546428:1 gene:OGLUM03G37270 transcript:OGLUM03G37270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGAWGGDPWGGRLGQSERGVGVSPSSRGIPLYNHRFLRPDLLTHPPASSCSDKRSLSLSLSTPARLTRAPKLRIAAVVSALMATDVTATEPEVAAEEAAAAAPETTATAGDSKPAKEAKAKKAAAPRKARSTATHPPYAEMISEAIATLKERTGSSQYAIGKFLEDKHKDHLPSNFRKQLLVQIKKLVAAGKLTKVKNSYKLPPTRAPAAAKPKAKPAAAAKPKPKPKAAAKPKAAAKPKAKAPAKSKAAAKPKAAAKPAAKPKAAAKPKSPAKPAAKPKAAPKAKAKPAAKPKAKAAPKPKAAAVTKTKATSAPARRPAKAAKTSAKDTPSKKAAPAAKKPAAAAKKAPAKKAAPAKKAAAPARKVPARKAKK >OGLUM03G37280.1 pep chromosome:ALNU02000000:3:34550418:34555088:1 gene:OGLUM03G37280 transcript:OGLUM03G37280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGEGGGDPAAAAAARRRWDLTNKGAESIPMVKEAVEMSTDEESDGVVICPPDGNNDDREEAISSNNHDNCQEGEVTCVKDPVIDSETQEDKCVNQDSVKLIDQEKSGPPKSPSKPGISGSDRSKRTVPQPFALSSQRKSHGGNSKAAHPSGNGENSGDKSNSSPASLTKKTAPITPKKIAQPDHMLHHQEEDSCSVTSSTTASTRAGKTKATVGVAPSFVCADRADKRKEFYTKLEEKHKALEAEKNEAEARKKEEQETALKQLRKSLVIRAKPMPSFYQEGPPPKAELKKVPPTRAKSPKFTRRRSCSDAPPTPEAANTTAASSRSHRHSIANPKDANRVQCSPKNGVAAKTRAVKPVS >OGLUM03G37290.1 pep chromosome:ALNU02000000:3:34555836:34560043:1 gene:OGLUM03G37290 transcript:OGLUM03G37290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSTVITWRYQQLFVVLSSVILEWVLMLLLLFEGLLSYLVTTFASLCKLHPPCPMCTRLDHVFGTAQPGFYRDLMCNSHKAEASSWAFCHIHQKLVDVHSMCESCLLSFATNKKSNLATYRSLAGKLGVGIGNEGFRPSFSLDNSSEASVIKEDITNTLCSCCSSPLKVKSYPSMVLQNIASAIDTEVNTRHVSRDQLVEEISLVRYSELKTSDSESEPWQHGGVASLLDDAVDNLKEDFTLSHPKTKFAGVIPTDDIAQDQVAKNSDLIQLQNGGSDSKNSQVSAELYHFRADGNANLQSTDFSSKTVQHPTEDSDTTDKSEDDVWHNALDSISELSVTDKPAETSTAENEPKAEFTDRTAMKDSFKAHEDLQLLLSQVSPNDAINIPGVQEQAILNNITRALSLDRNYSGSISESMAIDEAEEHCTVDQLKKQIELDRKSISLLWKELEEERNASAIATNQTMSMITRLQEEKAAMQMETLQYQRMMEEQSQYDREDLQKMAAMVQELEAEIEGYKTKLRDQSLVNEIRDAMRISRSEECETSMSRTARSLSLFEDEKAYISKHLKKLRQKLHQFSNNGKFIDPKKIDDKEDTFDVTNSEDVYQDADEDSEMTNSENSEMTNVIRNGRNFRYLSNGTEGLTNGKDDPEGQYYAMVSENDLVNFEDEISELTAKLKALEADHSFLEHSINSLRNGQEGKELIHGIACSLRELRKMGITWKDCD >OGLUM03G37300.1 pep chromosome:ALNU02000000:3:34561106:34561351:1 gene:OGLUM03G37300 transcript:OGLUM03G37300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHVTFFAAVFVAIFLTSGGGRMSSTAARPTAVGGAGAPPAAVAVELAGTGTGTNASSQPSNCTYGNNVGGQCPPTPGAGH >OGLUM03G37310.1 pep chromosome:ALNU02000000:3:34564202:34564540:-1 gene:OGLUM03G37310 transcript:OGLUM03G37310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKYAAYGDLLGMTARVAVRAYSHCPQTARMYYKPPPTATATTAASGDKRSASAATASSSRSSSFGADNAGSSTGAAASPCASTKQQAAAAARVAFDGAGFILYGVERAA >OGLUM03G37320.1 pep chromosome:ALNU02000000:3:34565004:34567280:1 gene:OGLUM03G37320 transcript:OGLUM03G37320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RTE1-homolog [Source:Projected from Arabidopsis thaliana (AT3G51040) TAIR;Acc:AT3G51040] METDRSQPAPIDPRRARFPCCIVWTPLPLISWLIPFIGHIGICREDGVILDFAGPNFVSVDNFAFGAVARYIQVNSDECYKLLEPEGASTWDDALRKGVQEFQHRGYSLFTCNCHSFVVNNLNRLFYSGHDKWNVVSLAAVMFLRGRWVSTASVVKTFFPFALVITIGTLLGGTTFLIGLLAFAAVMTGWFLVGTYCIKSLVEL >OGLUM03G37330.1 pep chromosome:ALNU02000000:3:34567977:34573436:1 gene:OGLUM03G37330 transcript:OGLUM03G37330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39100) TAIR;Acc:AT4G39100] MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >OGLUM03G37330.2 pep chromosome:ALNU02000000:3:34567977:34573436:1 gene:OGLUM03G37330 transcript:OGLUM03G37330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39100) TAIR;Acc:AT4G39100] MAKTRQPQKRVLESFTIKGPDGVIKPGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >OGLUM03G37330.3 pep chromosome:ALNU02000000:3:34569736:34573436:1 gene:OGLUM03G37330 transcript:OGLUM03G37330.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G39100) TAIR;Acc:AT4G39100] MLMNYDAGDTVLMMAPDSSKKPYVARVEEIEATGPQASQVKFKVRWYYRPEESIGGRRPFHGSKEVFLSDHYDSQSADTIEGKCYVHTFRDYTKLRSVSAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDNLMIQCEDCSDWFHPSCVEITIKEAKKLEHFYCKSCIAENGKDLQKSNGATAQSEEKVQSKRRRR >OGLUM03G37340.1 pep chromosome:ALNU02000000:3:34574323:34578820:1 gene:OGLUM03G37340 transcript:OGLUM03G37340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLHPRFPSSHAAACAHRAAAAHRDARPALRLPELHATRRRRNNVACRATRAREAPPQQQNTAAALSKEAHKYFDHAVVTVRAGDGGHGAVLAMPASPSTDAPKSPRRRSDKGKRSGVKKVSYKRNYDGSVALPMGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGATGTLSSRMHNGFAGETLRIPVPVGTVVKRKKGAVLADLAHPGDEVIVARGGQGGISLIDVPEYRRRKAMALSPNIMRDVSDRVLIHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAAADDPVDDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAQDRLSSLAFEISSIGCEECDGNNTSEDSLNGNTGEHNTSSETKVEGGEKELRDYPRPQAVVGASVLKHIGIDEMLKEIRAALRKCFDHRLPEP >OGLUM03G37350.1 pep chromosome:ALNU02000000:3:34583515:34583724:-1 gene:OGLUM03G37350 transcript:OGLUM03G37350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVARAQEGGRDGGSRREKWPHQLLNGGDYGLSRWRQGEAIPTDGGEMGKEIAVEAEREAGIAVAERK >OGLUM03G37360.1 pep chromosome:ALNU02000000:3:34584395:34584871:-1 gene:OGLUM03G37360 transcript:OGLUM03G37360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAATRIPVLSSPDLVNDMSRQPFLSPVHADDVVAGDCGADEKQKTHIAQNFEGMTMIMHDEVAQQVNDKAEQALIVPQCVTLMISYMLFENDWPLDITFQI >OGLUM03G37370.1 pep chromosome:ALNU02000000:3:34586185:34591306:1 gene:OGLUM03G37370 transcript:OGLUM03G37370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGIGRATCAAWARWLGGGGDGPARLLVVYGRAATASSPPRIDLHAFDAGASAVAADPLVSFVMGHEEDDAPRAIAVHPSGDEFVCATAKGCRLFKLVTEESSVHLISKDAPTLQSIGPQKCLSFSTDGAKFAVGGEDGHLRIFHWPDLNLLLGEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWNIDEGAPLVNLSRSSDEKIECCCFSRDGNKPFLFCTLVKGHNVVTVVLDISNWKRIGYKRLLEKHISTLSVSLDGKYLALGSHDGDFCVVDVKKMEVLHLSKKVHLGSPISSIEFCPTERFLKYCWIVTVALVCLYCRVVISTSHKWGAECGKCG >OGLUM03G37370.2 pep chromosome:ALNU02000000:3:34586185:34591306:1 gene:OGLUM03G37370 transcript:OGLUM03G37370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVGIGRATCAAWARWLGGGGDGPARLLVVYGRAATASSPPRIDLHAFDAGASAVAADPLVSFVMGHEEDDAPRAIAVHPSGDEFVCATAKGCRLFKLVTEESSVHLISKDAPTLQSIGPQKCLSFSTDGAKFAVGGEDGHLRIFHWPDLNLLLGEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWNIDEGAPLVNLSRSSDEKIECCCFSRDGNKPFLFCTLVKGHNVVTVVLDISNWKRIGYKRLLEKHISTLSVSLDGKYLALGSHDGDFCVVDVKKMEVLHLSKKVHLGSPISSIEFCPTERFLKYCWIVTVALVCLYCRVVISTSHKWGAECGKCG >OGLUM03G37380.1 pep chromosome:ALNU02000000:3:34599965:34610082:1 gene:OGLUM03G37380 transcript:OGLUM03G37380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKERDSESSRRRRRRRRRSRSPSDSEEASDSSGSPRRSRSRNRRKSRRRDTPSSSGASDSQASDSGSDSGGRGRRRSGSRRKGEVTEEQIVEYMAKKAQKKAEKVAKKMKANAVSGYSNDSNPFGDPNLTEKFVWRKKIERDVSQGQKVDISVKGEKKKQRERMAEIEKVKKRREERAIEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLNFSEEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQINVKYWEALMVVCDWELGEARKRDALDRARVRGEEPPPDVLAEERGLHSSIEGDVKDLLEGKTSTELEEMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPDSIEQDKESEDEIDAKEKDATHVDDDDDDEEDDKRYSPEPIAEQTESHLDEEDGSFSPELMHGNEDEDAIDPEEDKAELDRKREAVVMEHQKKVQQAIAVKTRVPDEMEMKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYTIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >OGLUM03G37380.2 pep chromosome:ALNU02000000:3:34599965:34610082:1 gene:OGLUM03G37380 transcript:OGLUM03G37380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAESGVAGGGRGAAALLREVATVRFARQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVISGLINEVTPPWVVLAMGAAMNLAGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYVAIYGDDAKSLVLLIAWLPAAISILFVHTVRIMPYLPSRRRRRGADGELEASSAATSNDAFFCFLYISIALATYLLTMIVVQNQTNFSHTAYVVSATALLFVLFLPLVVVIKQEYQIKKELDDSLREPPTVTIEKPAAAAAMQMSTITTKPKTETPSSSSPAAASCCLGSCLKHMFNPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSIKTFISLISIWNYAGRVTSGFASEIFLARYRFPRPLMLTAVLLLACVGHLLIAFGVAQSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGSVASPVGAYVLNVRVAGYLYDVEAARQHGGSLAGGDKTCLGVQCFRKAFLIITAATVAGALISLVLVWRTRNFYKGDIYAKFRENAAVEATTNGNSADIAAEKKSTLANDEDSKKG >OGLUM03G37390.1 pep chromosome:ALNU02000000:3:34610899:34619640:-1 gene:OGLUM03G37390 transcript:OGLUM03G37390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGGEQRPPYSGRGEVPGRGGGGGGGGAPPYRPATGFVWPPPGMTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQSTAAAPAPSSSSSAPSATALAKEVEQKLFVSETALAPPATAASAAAAPAGEASDKDLAPVSKKGLAHPARPGFGAAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRESPSWNYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFFDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTDDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >OGLUM03G37390.2 pep chromosome:ALNU02000000:3:34610899:34619640:-1 gene:OGLUM03G37390 transcript:OGLUM03G37390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGGEQRPPYSGRGEVPGRGGGGGGGGAPPYRPATGFVWPPPGMTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQSTAAAPAPSSSSSAPSATALAKEVEQKLFVSETALAPPATAASAAAAPAGEASDKDLAPVSKKGLAHPARPGFGAAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQCPDPSSLPSLVTGVTLVRDLSVGEPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFFDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTDDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >OGLUM03G37390.3 pep chromosome:ALNU02000000:3:34610899:34619640:-1 gene:OGLUM03G37390 transcript:OGLUM03G37390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGGGRGGRGGEQRPPYSGRGEVPGRGGGGGGGGAPPYRPATGFVWPPPGMTPRPGPPQPQYPRPGPPAVVYGAPMPAAHHQGAYQPGGVYRAPSPGVPVIGGYARSTPVTIRAPPPSHSSAPAPYQSTAAAPAPSSSSSAPSATALAKEVEQKLFVSETALAPPATAASAAAAPAGEASDKDLAPVSKKGLAHPARPGFGAAGKKVMIRANHFLVNVADNNLFHYDVSINPESKSRATNREVLNELIKLHGKTSLGGKLPAYDGRKSLYTAGSLPFESEEFVVKLIDPEKKDKERAEREYKITIRIAGRTDLYHLQQFLLGRQRDMPQETIQVLDVVLRDYVTVSRSFFSTQFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIQFVEEFLNIRDTSRPLSDRDRVKIKKALRGVRIETNHQEDQIRRYKITGITPIPMSQLIFPVDDNGTRKTVVQYFFDRYNYRLKYASWPCLQSGSDSRPVYLPMEVCKIVEGQRYSKKLNDKQVTNILRATCQRPQQREQSIHEMVLHNKYTDDRFAQEFGIKVCNDLVSVPARVLPPPMLKYHDSGREKTCAPSVGQWNMINKKMINGGTVDNWTCLSFSRMRPEEVQRFCGDLIQMCNATGMSFNPRPVVDVRSSNPNNIENALRDVHRRTSELLAREGKGGLQLLIVILPEVSGSYGKIKRVCETDLGIVSQCCLPRHASRPNKQYLENVALKINVKVGGRNTVLERAFIRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFSVGKDPVKVVNGGMIRELLIAFRKKTGRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDRQICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENHFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKENVKDVMFYC >OGLUM03G37400.1 pep chromosome:ALNU02000000:3:34624892:34626500:-1 gene:OGLUM03G37400 transcript:OGLUM03G37400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPSYVVLDRVVHLDKEAVKEESEWAIMECRDRKTYLRNDHVGDEVVYGLSLLAQIAEPPDLSKLSIRLSEPPPVQVAARPEEILDDGSSVLDLPKRALNLHTSVQSVADDLIVFTSCLRNRTHRYLVYDTIGKSLSMIPCLPNRCDPSATFQPLPLRAGAGGDYTIALLGRDMRSDRETTRRFFQDVLCLCPPPPRPPPPSSFSAVTTPWQFKNPLFPPETPNRFKAHMVFSSGGQAFWANLAQGVLYCSCHDVLTGGYDVPFRYIPLPPECHLDPMNNQLRLCRTMNCVKDSIKFASIDMVPPDEAMMTTWTLTLATRQWHKDGELRVASLWELEGFKKAGLPKVKPTSPVLSMKENGVICFMLNAAEEGVYMVSLNMHTKNIMSSTRLSSCPTQPLGVLDCNQEEVVKNLTSSSALEWKDWRQTSCGAGADLI >OGLUM03G37410.1 pep chromosome:ALNU02000000:3:34629744:34630349:-1 gene:OGLUM03G37410 transcript:OGLUM03G37410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASVLAASSSSSPPPLALASWRWRPPPPPPPSLLAVAGAARGATNPRLALRLSAAASPPVTGESRAVAGTGRCLVAPMGGGETERDATAATAPDWGALARRLALGALGCAVLCCGGAAVAAEDSIKASGFGLRVAASLRRLGWADEAVVFTLATLPVIELRGAIPVGYWMRLDPIRLTVLSVLGFVSLAYLFPFGELCP >OGLUM03G37420.1 pep chromosome:ALNU02000000:3:34631082:34632914:-1 gene:OGLUM03G37420 transcript:OGLUM03G37420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSTMFTPPPAADDGGDSRVVAVHSTATWDEQWGAHKSNPNKLIVIDFSANWCGPCRFIEPAFKDMAGRFADAVFFKIDVDELSEVARQWKVEAMPTFVLIKGGKEVSRVVGAKKDELERKVNMFISSSSS >OGLUM03G37430.1 pep chromosome:ALNU02000000:3:34634011:34641628:-1 gene:OGLUM03G37430 transcript:OGLUM03G37430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSCKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDMRFIFHVNQDAVTQFVTRTPKPEH >OGLUM03G37430.2 pep chromosome:ALNU02000000:3:34634011:34641628:-1 gene:OGLUM03G37430 transcript:OGLUM03G37430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAAATSSAIWLVEDDILLKNAVETGASLEALAKGAVCFSCKFTLKEIQDRWNSLLYDPEISTQASARMVEYENDLSTSNPLKAKVINAKQKDLSFQKRKIDSVKNQYYAMRKRVRNEPCSTVDLGFLIDPCSCTMNGGQCVCGGLDKHSQGHHVVHNTEPGVSTMNCFGQQDGSYNGGQTMFVGMNGHSFPAKHAETDSMVKGGDIANSVPYGYSDVSQIYEQDAYTRKDPDTNEGNNVSLKGITDFQGSMQFQNLGSSNQCGSKVTESKTIVIADHCGVEHVHFPVNSSSRMQEPGSLQVIGQPEGSQTPVGSIWTEVDERGTFTLDDDKKIKTDNSDPLALQPNLDGGICAAGLDHAAITEGDFMDFPYFSNSEDLDLLNGENFLNIPHETNQEDLDDPDHVKNLLHPDEANICYDQTDPDHVKHNVDVSGIISVPTSLEVPYPGRFVECVLNTEDPEIPCNDDVIFPGESPLQCSATDFGQNSEHNTCLVSPATSPASNVEHSNVSDKALIKREDTTNTEPSSQPMNLSPPTSEQKEGSTAPSKGCVPLGAEPSEGPSTAGTLVHCHVDTNDANSCASNLPSISAAVFAEGSPCHLEQQNNFDDSLSFPLPNSVEVPDHMNYNSHDNQPELGDGAPLQNCIPPHELPDLGLQDPITTVPVSNQVEECSDNENDVPNYYDLEALILDQDLIPWVQDSEQHPEGVSRFQHPESRKSLIRLEQSARSYMNRAIVSKGAFAVIYGLHLRYYMKDSEVTLGRETEDIKVDVDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCSIFVNSKEVPSCKRIILSSDSLIEIKDMRFIFHVNQDAVTQFVTRTPKPEH >OGLUM03G37440.1 pep chromosome:ALNU02000000:3:34641956:34645283:1 gene:OGLUM03G37440 transcript:OGLUM03G37440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAKKVLKMAAGSEVIATAGKRRGSEVHAWRRISRCMSRSVFPISLFCPSPPLNFAMFHRFLLPGSTRYYHSLMKEKTICINSHKLLLMTMVEDTITKKVAGNICSSLSNARAIGAGICSFSTSAPRGYRRAFFTNSFEDMGILKDMALVLHAAFKGWKTKFQDIPPIVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRAHSVVAFQKCKARPDVWSEYYCLTLLEALMRCC >OGLUM03G37440.2 pep chromosome:ALNU02000000:3:34641956:34645283:1 gene:OGLUM03G37440 transcript:OGLUM03G37440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAAAAAAAAAKKVLKMAAGSEVIATAGKRRGSELFCPSPPLNFAMFHRFLLPGSTRYYHSLMKEKTICINSHKLLLMTMVEDTITKKVAGNICSSLSNARAIGAGICSFSTSAPRGYRRAFFTNSFEDMGILKDMALVLHAAFKGWKTKFQDIPPIVMLLGLACLTLHLALHYKMKKMETNLKQDMIKFRAEVKLEIEAAANAMQKEIASELAKSAQFRAHSVVAFQKCKARPDVWSEYYCLTLLEALMRCC >OGLUM03G37450.1 pep chromosome:ALNU02000000:3:34648368:34648802:-1 gene:OGLUM03G37450 transcript:OGLUM03G37450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAIDCPPAPRPTPASTPSTGLSSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAVVCLCTQHRGARPRWAASPPAATRRRRRHSRPAAALAFSRVTAAAAAASSSPASPRQPLPSRRPALPREDKRREE >OGLUM03G37460.1 pep chromosome:ALNU02000000:3:34651084:34653522:1 gene:OGLUM03G37460 transcript:OGLUM03G37460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAPPSLSPAACDEGRSGAGKRRRRRAAARARAAVEGSMNQVATTRCASTICPLLCCVLNVELKAEQSKIPHPSRRRRSGAHPPAVTTDCLLQKAEGERKRDGEGYESDSVTGSQSELIFLCTVRSTKGGLGIDRQRRSISPATMAAAALSRMGLRALPKIQPQPAATVIPPRLLSHGGLLRRKHLTPPPPPPLTPYRFFSSSVSESRGTSPSPKQESSRAPRQTEEGEELFRMQDEEKLKLLSLINNLKESEAIKREDPLFDITMKLVISSMAMLLVWTVSDILLME >OGLUM03G37460.2 pep chromosome:ALNU02000000:3:34651084:34652065:1 gene:OGLUM03G37460 transcript:OGLUM03G37460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGAPPSLSPAACDEGRSGAGKRRRRRAAARARAAVEGSMNQVATTRCASTICPLLCCVLNVELKAEQSKIPHPSRRRRSGAHPPAVTTDCLLQKAEGERKRDGEGYESDSVTGSQSELIFLCTVR >OGLUM03G37470.1 pep chromosome:ALNU02000000:3:34655687:34665532:1 gene:OGLUM03G37470 transcript:OGLUM03G37470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEJ9] MLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYDLYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDADSINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDELAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPNVDIKTVLSQLMDRLSSYAAASPEVLPEFLQVEAFAKFSNAIGKVIEAQVDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGNAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDNSTTKVMALVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQNDELDDEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILQGGPKRLPFTVPSLVFSALKLVRRLQGQDGDVIGEEVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMANVTRGSSGSVALFIEILNKYLYFFEKGIPEITNTVIQDLIELIRTEKQSENTVADPSTEAFFASTLRYIEFQKQKGGSIGEKYEQIKTT >OGLUM03G37480.1 pep chromosome:ALNU02000000:3:34664768:34667583:-1 gene:OGLUM03G37480 transcript:OGLUM03G37480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPLPFPFPDVPGRAPLAASPRLPLPLPLPLAWPGLGPARGGRRGTAVIPAATMPALQILQSKQASRHEQRAKQMLSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLVSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENSLLPNTIQENQQLGIEFG >OGLUM03G37480.2 pep chromosome:ALNU02000000:3:34664770:34667444:-1 gene:OGLUM03G37480 transcript:OGLUM03G37480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGAAAAGALSCTFRSPSPSPTSPAAPHWRPLLGFRSRSRSRSRGRGWGQRAVVAGAPRLFLPPPCRRFRYCSQSKLLGMNKGQNRCSLATFSSFGQSGISLNNEDLVKDKLLIDCGEDQDCVIDGIVALGKFDALHIGHRELAMYASKAGTPFLVSFVGIAEVLGWEYRPPIVAQCDRKRVLTSWAPYCKNVVPIEYQVEFSKVRYLTPRQFVERLSRDLKIQGVVAGENYRFGYRASGDAAELVKLCEEFGLSAFIVRSVMDTARSYNGVTTSVNSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLTVKENHLQERKRIMLPKSCMLNMPPADGLYENCDLVNGGHLGLCRVIINSETIEIEMKDENSLLPNTIQENQQLGIEFG >OGLUM03G37490.1 pep chromosome:ALNU02000000:3:34668521:34676168:1 gene:OGLUM03G37490 transcript:OGLUM03G37490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKQRGGGGGGGGAATEGGAATGGGAGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAPKGSETSRGFGFVQFATVEDAERSIQQKDGFSVAGRKIRVKLATHRAPLKERLQKKENAVQAKDADATNEAKDADATNEAKDANATNEADATSTAKHKETSHKTDTEPLQLLKKETTLSKEVSISNTAKVKSSEKQRVAKTVIFGGLRDFAMASEVFRLAGEIGTVVSVSYPLPKEEMELHGLERDGCTTDAAAVLFASVKSAWDSVVHLHRKEVKGAVVWARQLGGEGSKIRKWRVIVRNLPFKITVKEIMDIFSLAGFIWDVSIPQKSDDGASKGFAFVSFTRKQDAENAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAKSSTKDDELANVSDRGSDEESEDNLVGEDDSYELEQETSNCPADDDFKTEMDISRKVLENLIKSSERAEPSGNEGSDIDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAQEENTLPATKFEKQDAGLDRTLFISNLPFDLSNEEVTVRFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTPEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKAKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPVIRKVNILKNEKKSSSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENVEKVRLQKIWKDRRDKLREAAQDKARPLGDQSATDGPDANNRRAFNKGNKRKSHDRSSKLPYAGEGPAEDLSAAGDGGTVESMVEDKRKDQRPAKRARKSNKGTTALDGDRQDATPTADRNRTLSSKHNPADALAKRKNRNDSHSEQKRGRAQRKTKKELAGEGSVDKSLVEQYRSKFLQHGLNKTKG >OGLUM03G37500.1 pep chromosome:ALNU02000000:3:34678091:34681811:1 gene:OGLUM03G37500 transcript:OGLUM03G37500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFARAQVVRLKSHHDKFLYADEDEVHVTQDRNGAATNARWTVERVPHSPGVVRLRSRYGRYLSASNEPFLLGMTGRKVLQAPPPGGRATDSSLEWEPVKDGFQAKLKTRYGHYLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEIVQVLTPGHDRAPTSAAPPSPAHAPELKKPPPPPEAHHRPTKSYTGHPPPPPLEKDAPPQPPRLQEVHHRPTKSYTGNPPQPLEKDASPQPPPPKPAPSRLESSLSFSAPLHKVEGRAIYYHIADDKGDVDEDDETRSFTFNGSNLEELTHKLQEETGLDDIIICTRSPITGKLAPLRLQLPPNNAAMHIVLVQESSKVAKTFPWPYGP >OGLUM03G37510.1 pep chromosome:ALNU02000000:3:34684195:34685488:1 gene:OGLUM03G37510 transcript:OGLUM03G37510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPLLLAAAVAAALRAATAGFDPSRKLGDGGFGTVFLAYLPPGGRPAAVKRLHVPPSPSPSFPSASATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRCGVTAAAPPPPPLPWRTRLAMAVQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLSPPDACATGAGRELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDQPVLGEGAGVMASVEAVAELAFRCVAPDKDDRPDAREALAELRRIQGMLPEVSGLKGS >OGLUM03G37520.1 pep chromosome:ALNU02000000:3:34685555:34689897:-1 gene:OGLUM03G37520 transcript:OGLUM03G37520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVGRAVRLSFAVARIFSKAAAFFFFYAITESSNKEVTVGERKFPKEVVVAYVDYCKRASVCEENQRVCHMCVFIEQRCQNYIVKQSKKPGKLYATNYFGSSIITLAFDIVHLLPILDEATG >OGLUM03G37530.1 pep chromosome:ALNU02000000:3:34691249:34697272:1 gene:OGLUM03G37530 transcript:OGLUM03G37530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIVASIMSIGRLATALCSAILGALHKLSALPHIEEEHVGVLPSMVEAELPHLPEDLLVQILSRLEIPDLLRASSVCSSWHSAYTTLHSLGQYKRHQTPCLFYTSESAGKNVGCIYSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESAAIVKYENRSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYEDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDGDRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINRLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSPQLWSNWPSPSNANLRTREWQHSGNPPCCGVADQGSVSGSHQKKGLGVYVMRLRTKKGFLSSHWQPNRQQLTEFRFFHSSLQQSMETGIRLRNSPKFQANGDCHNRKRRKLKMSDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAPPPRRQPSFLEGCLAALCCCCLIDECCCDPSIIFVS >OGLUM03G37530.2 pep chromosome:ALNU02000000:3:34690049:34692683:1 gene:OGLUM03G37530 transcript:OGLUM03G37530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCIVASIMSIGRLATALCSAILGALHKLSALPHIEEEHVGVLPSMVEAELPHLPEDLLVQILSRLEIPDLLRASSVCSSWHSAYTTLHSLGQYKRHQTPCLFYTSESAGKNVGCIYSLAEQRTYKITLPDPPIRDRYLIGSSDGWLVTIDDKCEMHLLNPVTREQMALPPVITMEQVNPTYDESAAIVKYENRSQFWHDGVMFSSRSMGSIISPRWQQLFLTGRAFVFSETSTGKLLVVLIRNPFGQLSFARVGDDEWDYLPEYGRYEDCTYKDGLLYAVTTLGEIHAIDLSGPIAMVKVVMGKVMDIGDGDRNTYILHAPWGDVLQIWKTEEDDYIHPSEDDYDAILKNTASIEVYKSDLVEEKLVKINRLQDHVLFVGHNQTLCLRAEEFPSLKANHAYFTDDSQNWITEFKNNRRDIGVFNLEDNSRDELVSPQLWSNWPSPVWITPSLAKLNLILDM >OGLUM03G37540.1 pep chromosome:ALNU02000000:3:34699371:34704793:-1 gene:OGLUM03G37540 transcript:OGLUM03G37540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRGAPPAGLNSVNANCGCKIFSSMQMIFIFHWKMSRKISINTMTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMVNVKIENTVDSQIDSPYGSLTRQQLQQLRHHQLLQQQQQQFQQQQQVQQQQQQQQQFQHQQQQQQQQFQQQHQQQQQSQQLQQQHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >OGLUM03G37540.2 pep chromosome:ALNU02000000:3:34699371:34704793:-1 gene:OGLUM03G37540 transcript:OGLUM03G37540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLLLGEDGRGYDLARRLEACGAWRAWLGDAAHAALAQHLQTPSTWDAFLFPSSGGGSAAPPPRPLLLLQLRVRALLFDKASAALLPRGAPPAGLNSIFSSMQMIFIFHWKMSRKISINTMTWHGKFRSGDKDIPKRTSEGMSNYLKVCSVHKRKRAVFMDDQGHNISVPMSENGPSSKNAGDYSNLTDDTFIPEIRFPADCVPESAIPRTSETSRIYKIEVHGVLDNLPAPVSRNTAMLERFGMMPEYYKKGNKYRGKDGSRVEGKSLSQEQAMLMTRKLVARYLANAGFESGTAVSIDVLSEIIIKHISKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTTNRGANYPMQQDAQVQNQNALLHAQQLSRQFAPQMGINTQNLTPQQQQQLLQQQWLRRNQLASPRGPLTMADKNQAMVNVKIENTVDSQIDSPYGSLTRQQLQQLRHHQLLQQQQQQFQQQQQVQQQQQQQQQFQHQQQQQQQQFQQQHQQQQQSQQLQQQHQQQQQPQQLQQQHQQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNAQLAQQLAMSGGQNPQLAQQYKQMPSMSAYGMRMPPVKVEAFHELVSGDSSLKPDSDSNKLMSPK >OGLUM03G37550.1 pep chromosome:ALNU02000000:3:34705050:34708682:-1 gene:OGLUM03G37550 transcript:OGLUM03G37550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASYLSFSTTSTSSFTNAARHVSALSSKLLGVRFSATSAGVMSTSDRSSSTAANRRWESTSRIFMLAQNSCIEENPRQDS >OGLUM03G37560.1 pep chromosome:ALNU02000000:3:34707109:34716994:1 gene:OGLUM03G37560 transcript:OGLUM03G37560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDSTDVTVWWCAYSTPPPRTDGGGYYGWGGGGRAQENRRYYRLFFLDRHRELVINTYLPSIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDITARSSTGESGRRGSGSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGVGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHEMFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVGFAALMPCLLPPSTFLIFLRYGPKACLV >OGLUM03G37560.2 pep chromosome:ALNU02000000:3:34707109:34712227:1 gene:OGLUM03G37560 transcript:OGLUM03G37560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSSSSLLNGLNSGVVLSLIAVLWTVVWQNLQRLQLQTLVGRHMNRHARRLAALVDPYLSVTIHEYEGGRMKRSAAYEEVKAYLSASSARDVRHLRAEGAKDADKLVLSMVDGEEVSDVVAADDSTDVTVWWCAYSTPPPRTDGGGYYGWGGGGRAQENRRYYRLFFLDRHRELVINTYLPSIRRQGRAVMVQNRQRKLFTNISTHNWSDVDGLVRSAWSHVVFEHPKTFDTLAMDPAKKKEIMDDLDMFKNGKDYYARVGKAWKRGYLLHGPPGTGKSAMIAAMANYLDYDIYDIELTSVHSNTDLRKLFIETTSKSIIVIEDIDCSLDLTGARKKKKEAADDDGGGSKDGGAPPKPDMKKDASSKVTLSGLLNFIDGLWSACGGERLIVFTTNHVKKLDPALIRRGRMDKHIEMSYCCFEAFKFLAKTYLDVDSHRLFAAVDELLSEVDMTPADVAENLTPKSLDDNADTCLAALVKELEKAKENKSKGKNAHGEDKDEDEDEEDDDVEVVEKDKVFAL >OGLUM03G37560.3 pep chromosome:ALNU02000000:3:34712204:34714906:1 gene:OGLUM03G37560 transcript:OGLUM03G37560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAAWFGPVNSGLVLLILTMLLRNLQNFQLMQTFVARQLNRRARRLAALIDPYLSITIHEYDAGRMTRSDVFAETKAYLDGAVGTRDDVRHLNAEDARGGGGGGGAGEGGGGGPGSSSSKGLVLSMADGEEVEDHFRGATLWWSAHCEQDDDKGRRGGGGRASQRRSYRLVFHECHRDLVRSAYLPHVRDQGRAFMAMSRQRKLYTNIPSSRWGDDGSYMCSLWTEVVFKHPKTFETLAMDPEKKREIIDDLDMFKNGKEQHRRVGKAWKRGYLLHGPPGTGKSTMVAAMANYLGYDVYDMELTSVHTNTDLRKLLIQTTSKSIIVIEDVDCSSNLTGRRKATGDGEDDDDDAKTTTKKVIDRGGGVGGDSKVTLSGLLNFIDGLWSAFGEERLIVLTTNHVEDLDPALIRTGRMDKKIEMSYCDFETFKSMAKIHLDVDDHEMFAAVERLLPEVDLVPADVGEHLTAKNPRDDAGACLARLVNALQEAKAKKDAAERQDEDNGVVV >OGLUM03G37570.1 pep chromosome:ALNU02000000:3:34714516:34718212:-1 gene:OGLUM03G37570 transcript:OGLUM03G37570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEL4] MAPAPATTSSSKRSKKRKQPVAPPPESDSESEELSYDTAAADEEEGEEEAPNQMEELEEEEEEEEEQEEEKKEKKQKKEMSKEKKRKKEKGNEGGSGILTNMLFSELGVSEPTARAIREMNYTYLTQIQARSIPHLLNGKDVMGAAKTGSGKTLAFLIPAIEMLHHAHFMPRNGTGVVVVCPTRELAIQTHNVAKELMKYHSQTLGYIIGGNGRRGEADQLAKGVNLLVATPGRLLDHLQNTKGFIYRRLKCLIIDEADRLLEQNFEEDMKQIFKRLPLNRQTVLFSATQTEQVKEFAKLSFEKNEESTSKPVYVGVDDAETNATVEGLQQGYCVIDSARRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHAELLNFLQIECSDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDFIVQYDPPDEPKDYIHRVGRTARGEKGKGEALLFLLPQELKFLIYLKAAKISLTELVFNENKVPNLQSHLENIVGENYFLNQSAKEAYRSYILAYDSHSMKDIFDVHNLNLKDVAASFCFKNPPKVNIDLESSASKHRRKMRKVDGGRRHGISAANPYGRKGGDDKRQFARF >OGLUM03G37580.1 pep chromosome:ALNU02000000:3:34719348:34725122:-1 gene:OGLUM03G37580 transcript:OGLUM03G37580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPPRGRQQRRGEEGEGEGEDAVVELTPRRTRLPRACNSRPKVPPPPPPPPRQERARPPAGAAAGEEEETTPKCRVVTPLVAEPEAPAELPRWRLRGMWELASVINFLHVFRPLLNITVEFTAEELEEAILSPNNTLDDVHMPLLKSIPPVTRMAMGRGTWITVLCRKLKYWWNWVAEGDLPIVASHGAEIEMYKALEPATRLVILKAICDIRVEQEDIRNFIDSSLKRGYELPVFRKERIGGDSYGISYWYDEDPVLGHRLYREIRQVEYVKDPTKKAKGKGISNAPVVSYQWETVACNFIEFELAAEKLFSSRNRTEVSLGKKLKNNYLPEMEKIHKKKERLLKKQQREALLLDNRLTVNGFTSVRSRRERKRVTYTFDDYERSINEAIKPTKKSENSSEFITTSNRRVHPKRETATNGRLAGPSPLCNGFYGEYPQRSHGYQGSEWEKKPETLDRRQRKRSRRYTQDFVEAISDVDPNFDSDDDIIGEAVYDEEYLRSRKQYKARLLELDKEFQLEQVADDGNDEVEYPSSTSEDEKEPQRYKRLATCNTRGTNLRTIDGFQTCITRSKRSTRPHMKYHQYDLSGTDTELGKPGKIKVPDPDAGSDALNDMELSTTSQDQEEEGAEVNKERPPLLSPSRNNGSDGRRFLDLNEVAPVGGFDETQSRNGERRPPG >OGLUM03G37590.1 pep chromosome:ALNU02000000:3:34725984:34729670:-1 gene:OGLUM03G37590 transcript:OGLUM03G37590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPTLAAELWRTPHLGGGGGGGGGGRGLEAAASGVTEQSNGSRGGGGGGGAGRRRQREAPALEDDSSRIVSTSGGGGGGGQDLTDSEAKRFKASKSSGDNSSLRTEAETDSRNASKSGDQNPPPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKFGAQVYNTVPGLTFDPQTPREYAQGSTPSEWLHMQIGGTYERVT >OGLUM03G37600.1 pep chromosome:ALNU02000000:3:34731831:34735338:1 gene:OGLUM03G37600 transcript:OGLUM03G37600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQIPIAFLDRVKDDFTKRYAGGKAATAAANSLNRDFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQAGTQVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >OGLUM03G37610.1 pep chromosome:ALNU02000000:3:34742692:34743916:-1 gene:OGLUM03G37610 transcript:OGLUM03G37610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPAGSSSAAALAMAILLGVLVLMALVMDGGEKTGAPAIAAGRRMLVGAADAGQMRTLEDFKADDPFQDSKRRVPNGPDPIHNRGTGKSGRSPGRA >OGLUM03G37620.1 pep chromosome:ALNU02000000:3:34745364:34746849:-1 gene:OGLUM03G37620 transcript:OGLUM03G37620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLLVLFALLSVTAVVPVFLWPDKKGGASDVAVVVAAPPFNASSVTIISWKPRIFFYKGFLSDDECDHLVKLGKEKLKRSMVADNESGKSVMSEVRTSSGMFLDKQQDPVVSGIEERIAAWTLLPQENAENIQILRYENGQKYDPHFDYFQDKVNQLQGGHRYATVLTYLSTVEKGGETVFPNAEGWESQPKDDSFSDCAKKGLAVKAVKGDSVLFFNLQPDGTPDPLSLHGSCPVIEGEKWSAPKWIHVRSYDNASSMKQSEECSDLSENCAAWAASGECNNNAVYMIGTEDAPGQCQKSCNACSL >OGLUM03G37630.1 pep chromosome:ALNU02000000:3:34747751:34749706:-1 gene:OGLUM03G37630 transcript:OGLUM03G37630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRTLVIFLLLLLALVPALSRPDGGGGFYDPARVTQLSWRPRAFLYSGFLSHDECDHLVNLAKGRMEKSMVADNDSGKSIMSQVRTSSGTFLSKHEDDIVSGIEKRVAAWTFLPEENAESIQILHYELGQKYDAHFDYFHDKNNLKRGGHRVATVLMYLTDVKKGGETVFPNAAGRHLQLKDETWSDCARSGLAGLKCY >OGLUM03G37640.1 pep chromosome:ALNU02000000:3:34751032:34753850:-1 gene:OGLUM03G37640 transcript:OGLUM03G37640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPKRACRLALLAAGGAYLLFLLLFELPSVSISVSTASPAAAAAATTHRPRRRELEAASSSSSSSSPLRPLKTAFPSRRSPLAVSSIRFRRRNSSSIDASAASAFAAARPLMHHLLSSSSSPSPSSSPSPSPSTSDSCPSTISVPTHRLTSGGGGGNGGGVTVELPCGMGVGSHVTVVARPRPARPESEPRIAERREGEAAVMVSQFMVELLGTKAVQGEEPPRILHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGWASQPHEETVDGQLKCERWIRDDNSKSEESNAQLWLNRLIGRGNEVAADRSYPFEEGKLFALTVTAGLDGYHVNVDGRHVASFPYRTGYSLEDATGLSLKGDLDIESILSGHLPNSHPSFAPQRYLEMSEQWKAPPLPTEPVELFIGILSAANHFAERMAVRKSWMIDTRKSSNVVARFFVALNGEKEINEELKKEAEFFSDIVIVPFMDSYDLVVLKTIAIAEYGVRIVPAKYIMKCDDDTFVRIDSVLDQVKKVEREGSMYIGNINYYHRPLRSGKWSVSYEEWQEEVYPPYANGPGYVISSDIAQYIVSEFDNQTLRLFKMEDVSMGMWVEKFNSTRQPVKYSHDVKFFQSGCFDGYYTAHYQSPQQMICLWRKLQFGSAQCCNMR >OGLUM03G37650.1 pep chromosome:ALNU02000000:3:34755577:34769866:-1 gene:OGLUM03G37650 transcript:OGLUM03G37650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAASAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYRREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLAKYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDIDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPRLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNGTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELWRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGYEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >OGLUM03G37650.2 pep chromosome:ALNU02000000:3:34755577:34769866:-1 gene:OGLUM03G37650 transcript:OGLUM03G37650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAASAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYRREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLAKYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSKRKSKCVAKGDNDTNVGSKDPGCSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDIDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPRLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNGTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELWRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGYEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >OGLUM03G37650.3 pep chromosome:ALNU02000000:3:34755577:34769866:-1 gene:OGLUM03G37650 transcript:OGLUM03G37650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRDNHQWPPPHRGYDPRDPAAAWQGDASTSAAAASAAAGVNPYIYVPNPQYAPNPLNLVLNHVLHNPAALAAYHHQQQQQYHHHQQLLHSSAYHQNPTHNLQHRAAVPAPAAPAAAPSIQHRPVAPATAAAAPGQPQPKKKKKQKEPAPQAHPPPPLPPPQQQQQQQQQPKPQQQPGALERAQAAARKVRDEIIKAGEGVTGWKIAQAVLVALKVDSWGSLGVQLHEVPLLRDLFLVEGKVNTFIHCYVAARKIVSVYDLESEICKNESIGQFEELGLGPFLQHPLVAHYFSVPADLSLVPKLSSDEIINWLQKFMDNSKKKITVENFLDYLAEQKSVSGKENLGVRIQSLRLHISFLRQARRTEVSAVKIQGNTSGSGDGSCEKDLVKNRKFHLSKQALDERFSAITSRIKKLPGINKHIHFDSTDDETDGDSSSEGDAVDNSESKTGSAAIDNKDVDKRVSSCPYPSKTEEMERLGLKSETSKKPPLDSSKVKESSKKGYRREKRKSEENGSPTSSCKRPKKKQKVQMQKHELSPNCFLSKLEKFITTWKEACREHPVQQVLELLAKYYAETPKEKKKIIKFFSEYPGIGFLNVAVRAMGCGLLDSLYDAINVFNENKSSSNIPDTTTELMEVEPPPSKRKSKCVAKGDNDTNVGSKDPGCSVTADDVIRRITEFFESNRGVSRTDASQVRKSTFLRTLLDCETCITAKFSANQFSALGHGTFLEFLGKHEQHLPPKLSSFLKVGKLTHSSVEVSVLQQQIEVLLCQAGGNWLEDGEFSEDSFSKLLKRQFPTISFDIVQDKSGEGLLDSIERQRKNIEINNIMFSMSLLEKRWSGIVPGNHDTVDGLMNDIQQSCSVTVSSQEAIKCLLKAPMLSDLLTWSHWDLLFAPSLGSFMHWLLNTGPVQDLACIVTTDGRFIRVDPSATVDQFLEGIIQCSPFQVAVKLLSLLHVYNGSTNTPISLLKCYAQRAIGIIMDNGNDLLNTKSEGKSFSARNIWSDMSKDIDDIVHLVAKFVLDCLGHLPSEFRSLAADVLLAGLRTITKNCYSAILLEATETGQLCMLHDIGLSLGVAEWAEDCRRLCLTDEIHANIEMHASSRHPSTASGVAICENSNLLNATDIDIMKRSKSLPGKDNQIVAVSKNQNVLNIVTAKLDTAEFITNKSPTLGEVNPEEATLVIETIRREEFGLDQSLSCTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNTYVEDVEPTLAFILQDNGIVVLNNESGFSAENIRALCDIGNSTKKGSNQGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITEGQIGFVLPTAVAPYNTDSVSRMLSVEDVKDSSSFWNTCIVLPFRSKFKEGTGMHSIASMFSDLHPSLLLFLHRLKCIKFKNMMNDTLLVMRRKALGNGIVRISNGNDTMSWLVVSKKLQGTIVRNDVCSTEIAVAFTLQETQMGEYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADNAWNQWLLSEFPSLFVSAQESFCALPCFQGCPGKAVTTFMSFVPLVGEVHGFFCQLPHLILSKLRLTRCMVLEGSSSRWVYPCNTLRGWDEQTRILISDSLLLEHLGLGYLSKDIIISDTLSRALGIHEYGPKVLIDIISSICRVDGCIESLGLEWLCAWFISLHLSLMHHSSKNLPLTTSPEDLLCALRKIPCIPLSDGSFSSIADGPIWLPYDVLNSKPDSRSSMLNFPVLYSNLRTINPRLLSVSCQNKYLTEEMRANDLMDILLKMGVRKLSGHDIIKNHILVSLSNGTEANVANTMMIEYVSFIMLHLQSPCASCNFEKEEIMSELWRRPILLTNHGYKCPYDEPIHFSKEYGNSVDLCKLLLNVEIKWIELDSCYLMNRGSDSLPPFELKKWRQFFEEMGVTDFVQVVKVEKNISQADSSLAGRLSQGHHSGTPCIVYDWESPELVSILSTFSSKKCRENCVYLLEVLDKFWDAHYSAKARIHADATHSGENIAVESSFMNSIRTFKWIASAMDEDLHYATDLFYNTEDVRSILGSVAPYAVPQVCSRSLGKDIGFKIKVSHSDALMILKSWIASQTSFSASMDQMCKFYTFVSEGFATATIDIKREFLSCSSIFTPLNRARSNELVPGKFLSPKDLYWHDPTGCSEIITEKVISMKNKISMFPRKMLSSAYPSLCEFFTEACGVPKVPKTSDYVDILLGLSNAALPSEVANQVFRVFARWANDLHSANDNMNDILFLEGSLQKLETTILPTLGDKWVSLHPSFGLVCWVDDNELMQHFEDYNGVNFIQFGELSYEDKQLLYGRIAALLKSLGIPALSKVIYREAIFYGTVDNREKVTVISWLLPYMQRYIYKMHRDTYVNFQQNEITKLSNLQVIVVEKLFHKYKLKERESSCKRRFKCNCLLQGNNLYATQEADSHSLFLELSRLFFDGSPDLHFANFLHMVKTMADSGTTAEQIESFIVNNQNVPDLPEHEAVWSFSSLIIADQDVDCQRTEFQSICDSQKTEIRSTCELNISKHQRTSGVASSWPPNDWKTAPDFITSHNSQFTPNQETNLNNVVPSLDLTKTQCENSEDIVGPVDLEGDWITEDDFGSENTVLAERIGATGYEPHMVMSINSANLPAYLDLETGSSANSVVDIELTEFNDKLANVSEKRDRLCIKAPDRDKLLRIGKQGEAAAHQHFVDHFGSNNVRWVNQENETGLPYDIVVTHKSGFTEYVEVKATTNSYKNWFYITLREWQFALEKGNAFTIARVVLKDSKKANDKSNVLILKNPYKLCLNKSVYLALIIPQQYQTKRRYFEGNSDLQSEVNH >OGLUM03G37660.1 pep chromosome:ALNU02000000:3:34771672:34776023:-1 gene:OGLUM03G37660 transcript:OGLUM03G37660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPAAGATCRRFAIRGFVAVFLVYVLAALALESPLLVVPTPVPGAGAATAASRPLHLDGSGERGRGGAPARPLKRPHRETLSAAGRSSRRLPGIVSGLDLRRLNATRSGSLRKVAAEAAAAGARVFSELQTLAGTVTELDATGEEERSRCPHSIVLTGDEFRVKGRTVELPCGLTLGSYITVAATPRAAHADRDPKITLVREGDEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTSLRCEGWRSRADEEIVDGMVKCEKWIRDDEERSEQSKTSWWLNRLIGRTKKVSVDWPYPFVEDRMFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTAHPSFSPQKHLEMLPIWQAPPLPDEPIEIFIGILSAGNHFAERMAVRKTWMSAAQKSSNVVARFFVALNGRKEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTVAICEYGVRVVSARYIMKCDDDNFVRLESVKDELKKIPRGKSLYVGNMNYHHKPLRTGKWAVTYEEWPEEDYPTYANGPGYVISSDIAASIVSEFTAHKLRLFKMEDVSMGMWVERFNNTRHVQYVHSIKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQSGKAQCCIAAARVFIRINLKCTYI >OGLUM03G37670.1 pep chromosome:ALNU02000000:3:34778297:34781597:1 gene:OGLUM03G37670 transcript:OGLUM03G37670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPHPLPSPCRRLRCLLLLLLSRLLLSSASSLEEGRVLTVGEELMGETMPLRHGSRLYRLDGTRLSAWYEVKISYPASIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFNAQSSRPVYVLVTVEPEGVVAKPNVPERELAMFNIVCDELMLGIPHFAWWVGIGSLFCIALASVAPYFLPLHKLLNYEATELSDDDAAKLS >OGLUM03G37680.1 pep chromosome:ALNU02000000:3:34788218:34790301:1 gene:OGLUM03G37680 transcript:OGLUM03G37680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEM8] MIYLYGGIGGSTQRISPPTHHRDFCFSSGQAAALPPTPRRRHRITVSLPSPRRITASPRFPLVLPAGGGAGRAAPEPPARSLDPLSAGANNCRQEGVAISGLLDGTGEYTLLYEDYEGDRVLVGDVPWGK >OGLUM03G37680.2 pep chromosome:ALNU02000000:3:34788218:34790402:1 gene:OGLUM03G37680 transcript:OGLUM03G37680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEM8] MIYLYGGIGGSTQRISPPTHHRDFCFSSGQAAALPPTPRRRHRITVSLPSPRRITASPRFPLVLPAGGGAGRAAPEPPARSLDPLSAGANNCRQEGVAISGLLDGTGEYTLLYEDYEGDRVLVGDVPWGSYNFWTEKNSG >OGLUM03G37690.1 pep chromosome:ALNU02000000:3:34793720:34796769:1 gene:OGLUM03G37690 transcript:OGLUM03G37690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARWWVAAVVVAVAAMAGAAKGDFAADKAECADKLMALATCLTYVEEKATARAPTRDCCAGLGQVVAGSKKCLCVLVKDRDEPALGFRINVTRAMDLPSGCSIAATFSDCPKMLNMSPDSKEAEIFKQYAREHESNNATKPAPAAAAAATGSAGKATAATGDAGVGRRQRSSLAARAVAAAVLAAVFGLTVA >OGLUM03G37700.1 pep chromosome:ALNU02000000:3:34798903:34799207:-1 gene:OGLUM03G37700 transcript:OGLUM03G37700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPPPTTETDSGQRRTHARFLAIKGAGKTVQDRQFSAPAVELSDPSTSTVVASASMEMEQLTARFNDAVAVNGNN >OGLUM03G37710.1 pep chromosome:ALNU02000000:3:34801026:34805965:-1 gene:OGLUM03G37710 transcript:OGLUM03G37710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable protein S-acyltransferase 14 [Source:Projected from Arabidopsis thaliana (AT3G60800) UniProtKB/Swiss-Prot;Acc:Q8VYP5] MAWNVFRFCTALRGLGSIMILLVLSIVGVTYYAVVVYNYGPALFAGGASTLLALVVLLLFHFLLVMLLWSYFSVVFTDPGSVPPNWNLDFDEERGETAPLSGLDFNSQVNSQQSIAHNDTGHPRARYCRKCNQMKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHAYEKKTTPRWMYDIGRKRNFIQVFGNDKRYWFIPAYSEEDLRRMPVLQGLDYPVRTDLDGQEL >OGLUM03G37720.1 pep chromosome:ALNU02000000:3:34809507:34815403:1 gene:OGLUM03G37720 transcript:OGLUM03G37720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKSSRSRPAGHSAVLPVNATAGGGDGGVPLADKMKIFKTDNFDPDAYVQSKCHAMNEKEIRHLCSFLQDLKKASSEEMRRSVYANYAAFIRTSKEISDLERELLSVRNLLSTQSALIRGLSEGVQIDSLTTGSEGSAEEGTDEDQEPSEIQNWCTDFPEMLDVLLAERRVDEALDALDEAERVVADEKQKQTLTTADILAVKRAISDNRLKLANQLAEAACQSSTRGVELRASASALKRLGDGPRAHSLLLSAHNQRLQCSMQTIHPSSTSHSGAYTASLARQVFSVIAQALSDSLELFGDEPSYLSELITWATEQAMSFALLVKRHALVACAAAGGLRAAAECIQISLGHSSLLETRGLSLSSVLMKQFKPSVEQALESSLRRIEESTAALAAADDWVLTYPPSGIRTFARSSASSLLLQPKLSNSGHRFNSMVQDFFEDVGPLHSLQLGGSAMDGLLKIFNSYVNLLISALPHSLDDEANLEGLGNKIVRVAETEEQQLALFANASLLAEELLPRAAMKLSSVNQTGVNDIRKKSVDRQNRVAEQREWKKKLQRIVDKLKDSFCRQHALDLIFTEDDDTRLSAEMYINMDNTVEEPEWVPSLIFQELYAKLNRMASIAADLFVGRERFATFLLMRLTETVILWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILSGRGRFLSRHVHQVILKIIDRAMAAFSATGMNPDSVLPSDDWFIDVANDTISRISGNPRTANGDREVNSPTASVSAQSISSVRSHGSS >OGLUM03G37730.1 pep chromosome:ALNU02000000:3:34818735:34819406:-1 gene:OGLUM03G37730 transcript:OGLUM03G37730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTSSSAPLLVLTPDGVSTCVADPEPVQDFCVAVVPCAGDAAAGSGLPGLPPESTAVISDDFFFVGNTENRFGFNATLGNVQAFPGLNTLGVSINRGDFAPGGLNALHSHPRAAELVHVSSPYTRRVREHGGEVLSRVLGEGETFVIPRGMIHFQYNVGDVAAQVITAFNSQLPGVVAAAPSLFGSDPEIPDAVLAENYQVDVKIIRLLKSKF >OGLUM03G37740.1 pep chromosome:ALNU02000000:3:34822769:34829193:1 gene:OGLUM03G37740 transcript:OGLUM03G37740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT3G03960) TAIR;Acc:AT3G03960] MVGLGAMPGYGIQSMLKEGHKHLSGLDEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKAVEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLLGGGLHNSSVVRGMVLKNDAVGSIKRVEKAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLRISSKFELRRFCRTTGSIALLKLSRPNADELGYADSVSVEEIGGARVTVVKNEEGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELARRLKEFSLKETGLDQYAIAKFAESFEMVPRTLAENAGLSAMEIISSLYAEHAGGNTKAGIDLEEGACKDASIMKIWDLYVTKLFALKYSADAACTVLRVDQIIMAKPAGGPRRDAQPGMDED >OGLUM03G37750.1 pep chromosome:ALNU02000000:3:34830062:34832000:-1 gene:OGLUM03G37750 transcript:OGLUM03G37750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEN5] MTRDKAESSLKLWTPTTHTDTRCYLAIGEPVCSSCSGRDAAVAPAGTMGDGGGGGLDVVVFPWLAFGHMIPYLELSKRLAARGHDVTFVSTPRNVSRLPPVPAGLSARLRFVSLPMPPVDGLPEGAESTADVPPGNDELIKKACDGLAAPFAAFMADLVAAGGRKPDWIIIDFAYHWLPPIAAEHNVPCAVFQIVQAAAIAFLGPRWANAAHPRAPLDFTAPPRWFPPPSAMAYRRNEARWVVGAFRPNASGVSDIERMWRTIESCRFTIYRSCDEVEPGVLALLTDLFRRPAVPAGILLTPPPDLAAAATDDDDVDGGSSGDRAETLRWLDEQPPNHASAADADEFLPDGFEERTRGRGVVWTGWVPQVEVLAQAAVGAFLTHCGWGSTIESLVFGHPLVMLPFVVDQGLVARAMAERGVGVEVAREDDDEGSFGRHDVAAAVRRVMVEDERKVFGENARKMKEAVGDQRRQEQYFDELVELLHTGGGEINDEKYC >OGLUM03G37760.1 pep chromosome:ALNU02000000:3:34836390:34843425:1 gene:OGLUM03G37760 transcript:OGLUM03G37760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPSEVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEVESVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLMRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYANIHLSWLSPA >OGLUM03G37760.2 pep chromosome:ALNU02000000:3:34836390:34844176:1 gene:OGLUM03G37760 transcript:OGLUM03G37760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSRPEEVLPLVKLRVAAGRIKRQIPPEEHWAFAYTMLQRVSRSFALVIQQLGPDLRNAVCIFYLVLRALDTVEDDTSIPSEVKVPILKEFHRHIYNRDWHYSCGTKDYKLLMDKFRLVSTAFLELGQGYQEAIEEITRLMGAGMAKFICKEVESVDDYNEYCHYVAGLVGYGLSRLFHAGGTEDLASDSLSNSMGLFLQDLKYEENSEKAVQCLNDMVTNALSHAEDCLQYMSALKDHAIFRFCAIPQIMAIGTCAICYNNVNVFRGVVKMRRGLTARVIDETNTMSDVYTAFYEFSSLIESKIDNNDPNASLMRKRVDAIKRTCKSSCSLKRRGYDLEKSKYNSMLIMVVLLLVAIVLGMIYAK >OGLUM03G37770.1 pep chromosome:ALNU02000000:3:34843830:34848683:-1 gene:OGLUM03G37770 transcript:OGLUM03G37770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAQTGSGKTAAFCFPIISGIMSSRPPQRPRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMVKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGVRQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALENWLYTNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLARPLCELMQEANQEVPQWLERYSARSSFGGGGGRNRRSGGARFGGRDFRRDNRGGGGGGYGGGGGGYGGGGYGGGGGYGGGYGGGQGSTSSWD >OGLUM03G37780.1 pep chromosome:ALNU02000000:3:34852935:34857964:1 gene:OGLUM03G37780 transcript:OGLUM03G37780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEN9] MATSLQISIIYPPSLAPFQTFCPPPLLRLLHTRARPPTPRRDLGLGARDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >OGLUM03G37780.2 pep chromosome:ALNU02000000:3:34852935:34857964:1 gene:OGLUM03G37780 transcript:OGLUM03G37780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEN9] MATSLQISIIYPPSLAPFQTFCPPPLLRLLHTRARPPTPRRDLGLGARDAPPAARRMSSPHGGLDDQIERLMQCKPLPEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >OGLUM03G37790.1 pep chromosome:ALNU02000000:3:34858713:34861034:-1 gene:OGLUM03G37790 transcript:OGLUM03G37790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase (PAP2) family protein [Source:Projected from Arabidopsis thaliana (AT3G58490) TAIR;Acc:AT3G58490] MEAVAVAGGGAGLTRWQAAALSAVAGWVWAASSFDLTRRSRALVQPWVTRRVLAETPSIVRFQKVHHKLLDSFFSVLSCVVSVPFYTGFLPLLFWSGHSKLARQMTLLMAFCDYLGNSVKDAVSAPRPSSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGSHDNVMVVTGLSLAFLLVMLVGIGRIYLGMHSLIDVIAGICFGIVILAFWLAVHNHVDAFVVSGQNVTTFWASLSLLLCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHNPDVPLIFSPQLPLIVFVGRVLVGIPTILVVKFCSKALSKWLLPVMCNTLGIPIVSTCYVPALKASEKCKDKSDAKQGGYLQKVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLSL >OGLUM03G37800.1 pep chromosome:ALNU02000000:3:34863366:34867768:-1 gene:OGLUM03G37800 transcript:OGLUM03G37800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 18 [Source:Projected from Arabidopsis thaliana (AT1G55320) TAIR;Acc:AT1G55320] MAAAAAAARGSVWEIQQRDVEAAGLADADAGAFVAALRSAAAVATGGPDAAWEAVAAGVLRPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSQIDTKQTNLGRLMEAHGPRLLGSSYKDPVSSFHLFHKFSVEHQEVYWSMVLKEISIKFQQEPKSILDTSDKSRKGGTWLQGAVLNIAECCLLPWPSQNRTDDSTAIVWRDEGLDNHPVNRMSLKELRNQVITVATALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMSVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVAIPATGGFLGVSLRNGDMSWKDFLSCAAGRSSIYPTVYQPSDALTNILFSSGTTGEPKAIPWSQLSPIRCTCDTWAHLDIQPQDIFCWPTNLGWVMGPILLYSCFLSGATLALYHGSPLGRGFCKFVQDAGVTILGSVPSLVKSWKAGNFTEGLHWTKIRVLSTTGEASDIDDDLWLSSRASYKPIIECCGGTELASSYIQGSLLRSQAFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATDRLLNADNNKVYFDGMPIYNGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKVDPPISNVFNSLLSPLNFMKLNKYCSSYKQTSSVEIERICNRADEALLETAAVSIKPAGGGPEQLAILAVLKDRSPPCDANVLKSKFQRAIQKNLNPLFKVSYVKIVPEFPRTASNKLLRRVLRDQLSKELSNRSKL >OGLUM03G37810.1 pep chromosome:ALNU02000000:3:34869805:34878688:1 gene:OGLUM03G37810 transcript:OGLUM03G37810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHVPYAHKGLMRTRKKEDPGRYCKLQCLAASLIQNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYKAMAPLSLLLRSGARPASLPLRVDGAASQSIASLAAPPRRPWIGRGVALRLPTSVSAVSAAETETETPPVAEEVRAPAAGEERFDWLDQWYPVAPVCDLDPRKPHGKMVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNALAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLFPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYK >OGLUM03G37810.2 pep chromosome:ALNU02000000:3:34869805:34878688:1 gene:OGLUM03G37810 transcript:OGLUM03G37810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHVPYAHKGLMRTRKKEDPGRYCKLQCLAASLIQNIHLLYTVEFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALFKLIEPSYKISIDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYKAMAPLSLLLRSGARPASLPLRVDGAASQSIASLAAPPRRPWIGRGVALRLPTSVSAVSAAETETETPPVAEEVRAPAAGEERFDWLDQWYPVAPVCDLDPRKPHGKMVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYDMLVENLMDPAHERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYK >OGLUM03G37810.3 pep chromosome:ALNU02000000:3:34869805:34878688:1 gene:OGLUM03G37810 transcript:OGLUM03G37810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHVPYAHKGRRAAQDGDRGNQRRGVPVNARQGLLQVRRAVHDDQGKEKKKKQPTVMLVFLCIPVSPGRSRLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYKAMAPLSLLLRSGARPASLPLRVDGAASQSIASLAAPPRRPWIGRGVALRLPTSVSAVSAAETETETPPVAEEVRAPAAGEERFDWLDQWYPVAPVCDLDPRKPHGKMVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNALAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLFPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYK >OGLUM03G37810.4 pep chromosome:ALNU02000000:3:34869805:34878688:1 gene:OGLUM03G37810 transcript:OGLUM03G37810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAHFDKEGGGPLKMEIEETNVEGFLSMLDRGFFKFVAPCTFYGSPLQTPSQALLVWAFPRNVGVWMDKIIPRWYYHIGQNAILDSDIYLLHIEERNFATVGLDNWQKACYVPTSSDNMIITFRNWFRKYCKHQIGWATPITNQLPPTPSKDQLLDRYWSHVMQCTSCSGALKKMKALEVALQVASVAVVGFLAVAKGTVVTSVVQRSAVVAAAVLCFAASRWLANFIEKNFYFQDYKAMAPLSLLLRSGARPASLPLRVDGAASQSIASLAAPPRRPWIGRGVALRLPTSVSAVSAAETETETPPVAEEVRAPAAGEERFDWLDQWYPVAPVCDLDPRKPHGKMVLGLRVVAWFDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRTEPEYRDVLQRKRPPYFPDLDDPSFNTVFGVRDFPYGYRNALAILLRNGHIGMQLDILCRGSIAMQNLMDPAHVPYAHKGLFPQFQDKEDPGRYNIHLLSTVEFDQERGGPVKMKIEEANIDGFLSIQGENWGHFRFIAPCTINRSELPLETLEKQQQQPQGMLVFLCIPVAPGRSRVIWAFPQSVSAWPDKFIPRWLHHMVTNTVLDSDLYLLHIEERNFAAVGVDKWQKACYVPTSSDNMIITFRNWFRKYCKHQVGWATPMVNQLPTTPTKDQLMERYWSHVMQCTSCSAALKWMRAMEVALQVASVAVVGFLAAGKGTTVVTSAVQRAAVVAAAALCFAASRWLANFIEKSFYFQDYVHAYK >OGLUM03G37820.1 pep chromosome:ALNU02000000:3:34879901:34884353:1 gene:OGLUM03G37820 transcript:OGLUM03G37820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPLLSRARPPLLLRDAGVKLSTVRLPPPWRQQWKHTSGERRRRLSMPASAVAAETPPPHARAKEEEEAAPAVGGGEEGRFEWLDQWYPVAPVCDLDPRKPHGKMVMGLRVVAWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYFPDLDDPSFNTVFGIRDLFYGVHLLSTVESDQEGGYPVKIRTEQAKIDGFLSVQEDDVCYMKFDAPCTLYGKPFRTKEPQIDQGKEKKKKKQPEAMTVFLCVPVAPGRSRLIWAFPRNVDAWLDNIIPRWLYHIVTNIVLDSDSYLLHIEERNFATVGLDNWHKACYVPTSSDNMVITFRNWFRKYCKHQIGWATPMANQLPPTPTKDQVLERYRSHVMQCTSCSAALKKMKALEVALQVASVAIVGFLAVAKGSLAPSVVRRAAAVSTAVLCFAASRWLASFIEKSFYFQDYVHAYK >OGLUM03G37830.1 pep chromosome:ALNU02000000:3:34890218:34892728:1 gene:OGLUM03G37830 transcript:OGLUM03G37830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLHLLSLTRLSLPFRDTVTNPSTPRIPPWRRQWISPTDTRRRRLSMPVSAVAAEAPLPRAVDEKETPAAGEERFDWLDQWYPVAPERDLDKRKPHGKMVMGISVVVWFDGGGGEWRVVDDACPHRLAPLSEGRVDGKGRLQCAYHGWCFDGHGSCQFIPQAPALGPPVHKNSKACVASYPSVVQNNILWFYPRSEPEYKEILQRKRPPYIRELDDPSSVINSGVRDLLYGYRNYLQAIHKQLDASMFEFDQEGGLPIKMNIEELNIAGFHSNPEENWGYFKFIAPVTLIGSPFRAKPVVDQDNNNTNNKKQPEVTTVFFCIPVSPGRCRVIWANGYNLDGWFDKMIPRWWLHIKTNQVLDSDSSVLHIEERNYAAFGLDNWHKACYVPTSSDNLIIAFRNWFKKYCNNQVGWLTPMVNQLPPASTRVEVYERYWSHVMQCTSCSAALKWMRALEVALHVASVAVVGFLAAGKGTVVTSGVQRAAVVAAAVLCFAASRWLAGFIEKTFYFEDYVLADK >OGLUM03G37840.1 pep chromosome:ALNU02000000:3:34903024:34912691:1 gene:OGLUM03G37840 transcript:OGLUM03G37840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSLHLLFRARTSLPLRHGVPRPRAASLAPRRRLRQPRCTSTGRLSSMPAPSAEIETVAAPPVVGAEERFEWLDQWYPVAPVCDLDPRRPHGKMVMGLSVVAWLDGGGEWRVVDDACPHRLAPLSEGRVDGKGRLXTAAASGAWWTTRARTASRRCRRDASTARAASSAPTMAGASTATAPASSSPRLPPSALLYFFSSSPRSISKVHKNSKACVASYPSVVQNNILWFYPRSEPEYCDVLKRKRPPYVPEIDDDDPYELLVENLMDPAHVPYAHKGLFGELPKREDPARYEHGSPMKMRIEEASIDGFHSNLDGEWGYFKFVAPCTLYGTPFRTDLEDRNITTVGLDNWHKACYVPTSSDNLVIAYRNWFRKYCNHQIGWANPNPTVKQQLPQTPTRDQLLERAALKGMRALEITLQVAAVAVVGFLAAGKETAVMSGVQRTAVVAAAVLCFAASRWLANFIEKTFYFQDYIHEHKV >OGLUM03G37850.1 pep chromosome:ALNU02000000:3:34909478:34913564:-1 gene:OGLUM03G37850 transcript:OGLUM03G37850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor P (EF-P) family protein [Source:Projected from Arabidopsis thaliana (AT4G26310) TAIR;Acc:AT4G26310] MQILRRKLLEASRRLPFYFPSSPTAHYRGHAHAVATLAAVLRDQTAGSLAAAPWAAIQRRGAKMLGSEVKLGNVIQRRVLWITTYPIPLVNLQGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKSFTYLYQEGDNVTLMEPETFEQLEVSKELFGKTAAYLKDEMKVTLQYFDGRPMSASVPPRVTCTVVEAQPHSKGITAQPQYKRVLLDNGLTVLGLRAIPGRWRYYSKFGSQLAFSWVNFQPPCCRKTSPSFINNSVFNKFCSHRFFFSISHFEFCFPP >OGLUM03G37860.1 pep chromosome:ALNU02000000:3:34914393:34920130:1 gene:OGLUM03G37860 transcript:OGLUM03G37860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCAVVAAVAAVLLVAGAAAAGGGEEEEAPSTCARRGPGFVDALASRCPCIRIEPSPPVEVRGEAIDKELNLRRRGVTYSVLFYAAWCPFSSKFRPIFEALSTMFPQIYHFTVEESSAMPSLFSRYGVRGFPTILLVNETTMVRYRGPKDLSSLVDFYKETTGFDPIAYFDVDHQDSTGDFRPVTPGDRSLRKIAKDEPFVLLAVLFIILKVAAHFVPIVVSHLKTFLVVRVQNLNLGIRRGSSQLLERALNVLDVKRLCSKLRLSNKTRDLRKGASMWEPHGHVNSEGLTLLYTRNTFCVADAMPNLVVKITTPEAGAADSCLIYCDCYMYITEANKMFLEDAPFVCESIVYWRFIRWHPNPSTATVKIFNEGLSAVQFDMSRGTASMDAISLDEWELLPDNKSSYFMEEFTSDHGTVGGKDDQFLFGASLIMINMDHFTPASHPSPYDCILDDETKNIFLPIHVSEEVYVGDPVIKFKDIDVVKIESYREEFVPKVTEIFDAEEEAEMIKSPVSAEEVDVDDDDEVMAMVAPDQCVEEEEGAQKDKEHNGFSVGKLRVNGVGALCSFGVAAATLCIFLLGGRQQQLHKTQNQKTPFQMYADNERIQQVVQQASRLNQAVSTVMGGASTRASISFGGYYDGF >OGLUM03G37870.1 pep chromosome:ALNU02000000:3:34928427:34929302:1 gene:OGLUM03G37870 transcript:OGLUM03G37870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQAQFSGRPCVISVTWSKSLMGQGLSIGVDDLSNQCLCKADIKPWLFSKKKGSKRLDVEDGKIEIFWDLSGAKFGAGPEPMEGFYVAVVFDLELILLLGDMKKDAYRKTGANRPMLNAAFVARREHIYGKKIYTAKAQFCENGQFHDVVIECDTVGLKDPCLEIRVDKKPVMQVKRLAWKFRGNQTILVDGLPVEVFWDVHSWLFGSTTSNAVFMFQTCQAPEKSMPWSYSQVFRESQLQGLGFSLILYAWKLE >OGLUM03G37880.1 pep chromosome:ALNU02000000:3:34932511:34933948:1 gene:OGLUM03G37880 transcript:OGLUM03G37880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTRERMQVELKKMSLKFGVKILSLAALRRYKQEMLWMLWLSCTLNYSVGFFFWGGGGL >OGLUM03G37890.1 pep chromosome:ALNU02000000:3:34934344:34936787:1 gene:OGLUM03G37890 transcript:OGLUM03G37890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILWLIKAIDLKLMFIMSKKKKKRCRSNNKTLLVQSRKRKFNYMGPPPSSAHLAYYNSQIWPIFPNPPYDLGPRLVSSLFPPQKRGREGGRRRRREGREGEIAGSPPAAATMSSMLSAFSQWFVNPRRNPLARLHMQAISSRLRKYGLRYDDLYDPKHDLDIKEALERLPREVVDARHQRLKRAMDLSMKHQYLSENDQAQQTPFRGYLSDMMDLVKKERLEREELGALPLHQRTLP >OGLUM03G37900.1 pep chromosome:ALNU02000000:3:34938301:34945871:1 gene:OGLUM03G37900 transcript:OGLUM03G37900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPGWAVAVARASAAAWQRVACNPETLPADQVLGLLCCAPLHLLARLAAFLCIPFVPVQAMPRLLSPRLQGHPRRLLLLPPQEFVEVEPMYSPFPSSSSSSSDDDDDSDIEDGEIPVHGGTRAPPAGIQCCYRRSRRACEREREAKSSRVRSHHVRWDPLVSGWGGGTSAGCSHVPGRGWGVGPWGGNGTGAPPRHNGQVGGGPREWPE >OGLUM03G37910.1 pep chromosome:ALNU02000000:3:34947640:34951713:1 gene:OGLUM03G37910 transcript:OGLUM03G37910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVVVVAVVCLSCAAAAARSPAGRAHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGGEKPMVQLWHQGGRCPEDTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFVQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >OGLUM03G37910.2 pep chromosome:ALNU02000000:3:34947516:34951713:1 gene:OGLUM03G37910 transcript:OGLUM03G37910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATARGGGGVGWLVVVVAVVCLSCAAAAARSPAGRAHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPFLKNHTIQMRPNYHPDGLYDESKSGGGGEKPMVQLWHQGGRCPEDTVPIRRTKRDDLLRASSMRRYGKKRHPAPNPMSVDPNLLNEGGHQHAIAYVQGDKYYGAKATINVWAPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNVLCAGFVQINSEIAMGASIFPISSYSGSQYDISIMIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLGDSASMIEWGGEVVNSQLDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGVGTFTEQSNCYDVQNGNNADWGTYFYYGGPGRSSNCP >OGLUM03G37920.1 pep chromosome:ALNU02000000:3:34954200:34955121:-1 gene:OGLUM03G37920 transcript:OGLUM03G37920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPARTDVLRRTIPRVNRPLGGDVAPFRSPRRPSTLNRRKTEPFVSDNRHSMSLSPEKDRYYSTRGSPFPFEGNGFDFGGGMEEKGTTALPRFFISLSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKCARKRARGLKALCNESDSE >OGLUM03G37930.1 pep chromosome:ALNU02000000:3:34962015:34968094:-1 gene:OGLUM03G37930 transcript:OGLUM03G37930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19720) TAIR;Acc:AT1G19720] MELLLLHPPPFPSLLLLHKPYHFPSNLRHGRLQEPRVMASPQAPPLPLSSLQESRSARIRIPRDEPRPTPPARSSSSREEPRFVAETKLITMHSCAGRLGDAREVFDGMGRRDLLAWSAMIGAYAIRGMYSDVLALAVTMVEEGVLPDRFLITRILQACAYAEDLELGRALHSMAIRRGFMGRVKDVPVGNSVLVMYAKCGDLGWARKVFDKMVCRDLGTWNSMIFGYCRSAEWEEARHLLDSMRQEGTQPGVVTWNTLISSYARFGDLDVAMELLGQMEESGVAPDVVTWTSLVSGFVHMDRSDEALRCFIRMRLAGVEPNGMSIACAISACASLKLLNQGKELHSHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAWRIFSGIPDKDIFSWNSMISGYAQAGYCGKAYELFCKMENYGVRRNVITWNTMISGYIRNGDDERAFELFQMMESHGVKRDTATWNILIAGSVHNGYYDRAIRIFRQMQALLRRPDYITILSIIPAFTNLVASWKVREIHACIFHHNLELDGKIANALINAYSKSGNLASACAVFDMHSSRNIISWNCIILAHVLHGSPNEALDLFCQMKQEGVVPDHTTLVTVIKAYGLTGKVSEGSQTFFNMANDYNITPELDHYAAMVDLLGRSGRLKEAYELIDEMPLIPNSTVWDTFLTAAVMHGNVRLAHLAARELSAIDPGDPRVQRLGSSLQDLTGKTVDVPEEMTPNKGRNLDEVESCSIEIRNKVYVFSNGDNVGLEDTVAELKSMMFKMGHSMLDIGIGTLDVEEEKEEVVGVHFYVYWVKYLAKCPFAGLHAANLLNRISLAIKLIHQVDLRVGSHREYYHIEDGQTTLSKGTEVQKHEHGNVTLRVIDLNGKNEILQVVPIYTLLEQIRIILVDFNSTINIRDNGWEDRWVKSEWKKEDNTVGEWNHTAGKWNGDTNNKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLDGDVDQKKFGGETPYSILIDNTEKRTGSIYDDCDNLPPKKKKDPEAKKVDVMGKD >OGLUM03G37940.1 pep chromosome:ALNU02000000:3:34970564:34973421:1 gene:OGLUM03G37940 transcript:OGLUM03G37940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMNSVLVLMLGLAMAATSSAAVYKVGDTSGWTILGNVNYTDWAVKKTFHFFPSKFKYPQGIHNVVEVKKADYDSCTNSSPIATHTSGDDKIAIKAAGHRFFICGVPGHCAAGQKVNIRVLKPQRSSSSDAPSPAPAASKRGAAAAPSPAASSSPPESSSPTTDSSSSSTTTAPAPNASAAAAGGGAKAAFAAVALALVAATAMLK >OGLUM03G37960.1 pep chromosome:ALNU02000000:3:34983943:34986127:1 gene:OGLUM03G37960 transcript:OGLUM03G37960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPRGALLLVLLAVAAAASSAGATLAVKAPVPVPVPAPVPAHAPPQPKDAEGLLINGNFETAPRKVNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGDRASASQNVSVRPGALYALTFAATRTCAQDEALRVAVAPSLSPPADVAVRTLYSADTADTWAWGFRASSAAAQVTFSNPGVQEDASCGPLLDAVAIKELPTPYPTKDNLIKNEGFEIGPQVFKNSTVGVLLPPKQKDATSPLPGWIIESLKAVRFIDAAHFSVPAGKYAVELVAGRESAIAQVIRTVANRAYNLSFVVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGNGGFKPASFRFVAAGVRTRVTFYSSYYHTKVSDGVSLCGPVLDQVKVQPLKA >OGLUM03G37970.1 pep chromosome:ALNU02000000:3:35008769:35010935:1 gene:OGLUM03G37970 transcript:OGLUM03G37970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKEGRFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNNGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRDTKFVKSPFQEYTDLLAKPTKALMIDAPVENVEA >OGLUM03G37980.1 pep chromosome:ALNU02000000:3:35011228:35013541:1 gene:OGLUM03G37980 transcript:OGLUM03G37980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT5G19855) TAIR;Acc:AT5G19855] MAGVQVMPAVGAVAAAEGSCRAAGQRRGRGVSSLFAGDWRRRPRRAACTARVRGRRQQQQQQGLAVVCNLGGTYDEGFEDIHVQLINVFTYKAVKTVLTQLYEMNPPSYRWLYNFVAVNKPTDGKVFLRALGKEKQELAERVMITRLHLYSKWIKKCDHAMMYERISDENLALMRERLMETVIWPTDDTNTEKIG >OGLUM03G37990.1 pep chromosome:ALNU02000000:3:35018601:35023830:1 gene:OGLUM03G37990 transcript:OGLUM03G37990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARKLVRSSFMASVRALLALTFLLSGGAATAAAAMVRNGGSPSIYGSGGGEGAAVIGRGGRSLLQAAATTQSAVFSLDSYGAHGDGERDDTAALARAWSAACASAAPAVVLVPASRSYLLRQVTLSGPCESTIKLMVKGTLVASPDMSNWNESNRRYWIVVRGVDGLAVGGGGTIDGNGEGWWENSCKINRTLPCKGAPTALSFHTCDNLSVNGLKMVNSQQIHMIAPGWSWPTWDDCVSIEDGTHGLHVTRLVCGPGHGISIGSLGDDNSRAEVSDIFIDTVHLYGTTNGARIKTWQGGSGYAKDIVFQNMVMNSVKNPIIIDQNYCDSAKKCETHEGSAVEISNVVFKNIAGTTISKSAITLNCSKNYPCYDISLQDINLEMVDDNGATGSTCQNAKWRKSGTVVPQPCTSTN >OGLUM03G38000.1 pep chromosome:ALNU02000000:3:35020781:35027107:-1 gene:OGLUM03G38000 transcript:OGLUM03G38000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZER5] MDGAKSGKQCHVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGSQACPQCKTKYKRHKGSPPILGDESDDVDADDASDVNYPTSGNQDHKHKIAERMLTWRMNSGRNDDIVHSKYDSGEIGHPKYDSGEIPRVYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHPFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMANGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPISSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQASFVKDRRAMKREYEEFKVRVNALVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRRVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKQKKPGYFSSLCGGRKKTKKSKEKSTEKKKSHKHVDSSVPVFNLEDIEEGIEGSGFDDEKSLLMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSDWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLLYCILPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKASDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQKCEVHGVDEDVRHLSSGVVIS >OGLUM03G38010.1 pep chromosome:ALNU02000000:3:35029058:35030539:1 gene:OGLUM03G38010 transcript:OGLUM03G38010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZER6] MAAATADGHGGRRRLRVFFLPFFARGHLIPMTDLACLMAAASTDAVEVEATMAVTPANAAAIAATIAGNAAVRVVCYPFPDVGLARGVECLGAAAAHDAWRVYRAVDLSRPAHESLLRHHRPDAIVADVPFWWATGVAAELGVPRLTFNPVGVFPQLAMNNLVAVRPDIVRGGADGPPVTVPGMPGGREITIPVSELPDFLVQDDHLSMSWDRIKASQLAGFGVVVNTFAALEAPYCDEFSRVDARRAYFVGPVSQPSRAAAAAVRRGGDGDVDCLRWLSTKPSQSVVYVCFGSWAHFSVTQTRELALGLEASNQPFLWVIRSDSGDGGGERWAPEGWERRMEGRGMVVRGWAPQLAVLAHPSVGAFVTHCGWNSVLEAAAAGVPALTWPLVFEQFINERLVTEVAAFGARVWEDGGGKRGVRAREAETVPAGVIARAVAGFMAGGGGRRERAAAMATALAESARVAVGENGSSWRDIRRLIQDLTDATASQP >OGLUM03G38020.1 pep chromosome:ALNU02000000:3:35032779:35034132:-1 gene:OGLUM03G38020 transcript:OGLUM03G38020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEQHAPPPQPEPEVSLQLSAPATAADDVAAGDDEEVTVVTTYRDIHPLTPPSPTTTTTPPTRLGSAAYSWDTASSHRSVSSEEQFMTMSREFTAMVAAGTTMQTGPNDGNNGGDQLTSIGEDELEETNPLAIVPDSHPIATPARSRASQLEVVPAAGPSAPAPPVEARQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALERTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >OGLUM03G38030.1 pep chromosome:ALNU02000000:3:35039549:35040642:-1 gene:OGLUM03G38030 transcript:OGLUM03G38030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMVRTLRGDRVALDVDGATTTVAQVKGMVMARERIAVAMQRLFFAGRCLDDDHRTLADYGVRHDSLVFLSLRLATDAYQKEMHNVRLMQPETATTKQEMHQQQQLHVHVTADDEEEKAIKRKPVSRRALRKILSRLQVDAWTSQHDAKFLDLLLRHTHTGGGGARNVGELTGEDWSSIRAELNAATGSGFPVEELQRRLGEFRREFEAASRIKNHPRFSYDPRRRVVVAKQADWKNYILENPEAAAYEGRSPRHLGRLRAIFSGDGGGGGAKCRETKARSCLRKLLRNFRLRFKL >OGLUM03G38040.1 pep chromosome:ALNU02000000:3:35041617:35042567:1 gene:OGLUM03G38040 transcript:OGLUM03G38040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIANLELSTHSHTHTHTQRACSIDRPSPRTHDGERSSQPRRGGGGDRGVCGCRGGGGGRRGRRGGGVVRRRGERAGAVRAVPAGRRGAAGRAVLRRRAGAARDGGHGGGAARAVQVPGAVRAVVRRAPRPRAAPPGALQARTRHPRRRRHRLQQSYSYIHNIH >OGLUM03G38050.1 pep chromosome:ALNU02000000:3:35048069:35050508:-1 gene:OGLUM03G38050 transcript:OGLUM03G38050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHRKEDSVQSTVHTETRAHLNHLDDGARDGGGGALGVVAPRDDPVEELAALAELHDEVHVVLVLAARRLTMFGCDGMWRMISTSRRTSSTSTGVRSFRFDIDLHAYLSPVSASVHRTM >OGLUM03G38060.1 pep chromosome:ALNU02000000:3:35048362:35053080:1 gene:OGLUM03G38060 transcript:OGLUM03G38060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPATAEEGGKRRRRGKQKKANPFTVAYNRAPSSAGAAAGRPGLMVLRDPTGRDLGARYELGGELGRGEFGITYLCTEAETGDRYACKSISKRKLRTPVDVEDVRREVEIMRHMPSHPNIVSLRAAYEDEDNVHLVMELCEGGELFDRIVARGHYTERAAASVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKDSSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRHYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKREPWPRVSEPAKDLVKRMLDPNPMTRLTAEQVLEHPWLHDSKKMPDIPLGDAVRARLQQFAAMNKLKKKALKVIAEHLSAEEAADIKDMFDKMDVSKNGQLTFEDFKAGIRKLGNQMPDSDLKILMDAADIDKNGILDYQEFVAVSIHVRKIGNDEHIQKAFSYFDQNKSGYIEIEELREALVDEIDGNDEDIINSIIRDVDTDKDGKISYDEFAVMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSISDDTQ >OGLUM03G38070.1 pep chromosome:ALNU02000000:3:35054142:35055644:1 gene:OGLUM03G38070 transcript:OGLUM03G38070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRIKMADPVSSMKHITEMALKIKHAVETVKRNKEDCLQIRRRVMRGEWAKVGAAAMASACGRSIGGRGGAWWERRLQGGGERRPWQRAVGEAVASATTGNMGDDRSGGGVGPRREQRRRAAGAAVVVAARGRSGGRRRDGGSGRGVSARLEQGRPRRHAATKLTCGPHLLFYLFLGPKLNGFFYSLGVKISGFVVKGPQKISLLS >OGLUM03G38080.1 pep chromosome:ALNU02000000:3:35059436:35064251:1 gene:OGLUM03G38080 transcript:OGLUM03G38080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVYLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHVYASSPLLLNLLLYDDDDGGGDVMNLQSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVSGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAEAAVVRLRVRGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDVPVPAHELYKWTVEIQV >OGLUM03G38080.2 pep chromosome:ALNU02000000:3:35059436:35064251:1 gene:OGLUM03G38080 transcript:OGLUM03G38080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPRITVAEGRLVAHGRTILTGVADNIALTHASGAGLVDGAFVGATADEPKSLHVFTFGTLRDLRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRDGGGGGEAVYVVMLPLLEGQFRAALQGNDRDELEICIESGDKAVQTAQGTYMVYLPSFLDWFGWCTWDAFYTDVTADGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEDAGNAVVQEGAQFASRLIGIKENTKFQKTTTTAMADGGETAASAAGLKALVEEAKKEHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESAVAFPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRMALAFYGELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRAFHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGASLLKIWNVNKCTGVVGVFNCQGAGWCRITKKTRVHDAAPGTLTGSVRADDVDAIADVSGTGWTGDAVVYAHRSGELIRLPKGATLPVTLKVLEFELFHVCPVMTVAPGGGGGGVTFAPIGLLDMFNSGGAVEECDVVRALDAAGEAEAEAAVVRLRVRGCGRFGAYSSRRPARCALDAAEVEFSYDADTGLVALDVPVPAHELYKWTVEIQV >OGLUM03G38090.1 pep chromosome:ALNU02000000:3:35064801:35065361:-1 gene:OGLUM03G38090 transcript:OGLUM03G38090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZES5] MRAPSFFFLSLLLLLLLIHISIHCNAADSQLGSEKVTNLLFYLHDTLSGKDPTAVPVARAENAVPKPDNPVPFSTIYVVDDLLTEGPQRESKVVGNAQGMYISTAKKGLTLVLGIDFELTDGPYKGSSFVVYSRNPVMQGNGRELAIVGGRGLFRMARGFALLQTVYLDNVNGDAIIEYNVTLLHH >OGLUM03G38100.1 pep chromosome:ALNU02000000:3:35068201:35071387:-1 gene:OGLUM03G38100 transcript:OGLUM03G38100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKMFFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >OGLUM03G38100.2 pep chromosome:ALNU02000000:3:35068201:35071387:-1 gene:OGLUM03G38100 transcript:OGLUM03G38100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKKMFFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGVLALQYDRPAVLAIGTVFWAVSTGAVGVSQYFQQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGSIGGIGGSILATIMAGRDYWGLPGWRFAFLMVAFLSLLIGLLVYFYTVDPRKVSPSHFGDDEDHHERSHLIGNGIFPPQSIWKDSWIAARSVMKVRTFQIIVLQGIVGSLPWTAVVFFTMWFELIGFDNSSSAALNSMFAIGCASGSFLGGVIADRLSKYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWSAFAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGMVTEKIYGYNAKTVNLENGSVAGAYALSRGLLTMMIVPFGLCFLFYSPLYFVFKRDRENVRRLPSVKEQELI >OGLUM03G38110.1 pep chromosome:ALNU02000000:3:35087251:35092168:1 gene:OGLUM03G38110 transcript:OGLUM03G38110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYYAAAAAAAAAGGHPYAWPPPQSVPPMAGCAVPSAAAEGKSKRKTSGGPSGEDSSGSGDGGSEDSSERRDDADEKGLSPAKWRKLGHPDIEGETSQAAAMSEQNPVKAAPNLNIGMDIWSNSTMVAMPSGQVEVNAGTHLRRDKALSQMDERELKRERRKQSNRESARRSRLRKQERPTSFCHPPNYPVTFYGLTNQECEELSQKVTDLTAVNSTLRTELDKLKKDCEDMEAENSQLMDEMVQSEGSSVIATLSIKIDTSKDRHGSSSQLNKHTNDDSKG >OGLUM03G38120.1 pep chromosome:ALNU02000000:3:35088797:35093677:-1 gene:OGLUM03G38120 transcript:OGLUM03G38120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGSRVSGGGGGGGFNLASYKYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAELGAAKRQPEKDSSVGTRISRVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRTFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIDSKTVHYRKKSATIIRLRGSLDSKVNSSTFQI >OGLUM03G38120.2 pep chromosome:ALNU02000000:3:35090514:35093677:-1 gene:OGLUM03G38120 transcript:OGLUM03G38120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGGGSRVSGGGGGGGFNLASYKYKDPLLGRGGRSFLFGNTWFLLSTYPARLLHTADRRAPAAFFAAINRTPCVRTHCTGQSLLQRGIVMAACGYAFRRAELGAAKRQPEKDSSVGTRISRVVAMGSAGSTPRPEVSFRHRGVEYCKKVGVSLKCREPWGPSRTFWTNAIGPSYKLSFSVEPWLRDFSTSCVAPYSAGATEHQLSLDEAVQDKQMDNSTVGPDGKPRAPGPLKLVSGSCYLPHPAKEATGGEDGHFICVDEQAIGVADGVGGWADHGVDAGLYAKELMSNSMSAIKDEPQGTIDPSRVLEKAYTCTKARGSSTACIVALKEQGIHAVNLGDSGFIIVRDGRTVLRSPVQQHDFNFTYQLESGGGSDLPSSAQTFHFPVAPGDVIIAGTDGLFDNLYSNEISAIVVEALRTGLEPEATAKKIAALAQQKAMDRNRQSPFAAAAQEAGYRYFGGKLDDITVIVSYVTSASAT >OGLUM03G38130.1 pep chromosome:ALNU02000000:3:35094643:35099010:-1 gene:OGLUM03G38130 transcript:OGLUM03G38130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPFDEAELLALPASPVASPPRRLKRLKKSSQIATAAHPVVGSPPPPSPPPPPPLDEETLAQFPSPPTNPSPPPPPPLDADAAAEAAPSPALTSPPPNPSSSPLPPTDTTEEEEDDGLDPLFSETCGAAGWDPLGMPRMEGEGDEEEMLGGGLIEELRREKSAKKRLDMDEGEEGGGEIAMDAEVKGKRSKRRKKDEAPKDSARGKKRSEKERRVQLDSIHAESQRLLRETRSVSFKPSAQPVYKPISSVLEKIRLRKLEILKKSVSLLFLSATPNDDDDKEEDDVSSDPVSGTAGDLGAPQVKEVDAEGKDPKIDDIENEGGMNSGDVNQCDSVPENKDALNCDKDLDNCGSKDLDKELLENSQDNLEDKAQSSDNPNNAADEIQSPPSSSPTESTDDISSEDEEYNDKENIAPSTPKDDVNVHEPLQRALAGDSCPDDAILKDFLDVEAEEEDDSDDDMMRFKDNEEDDGSDENEVFNDLIEAGYEEGEIDHEKRNALHQKWLQQQDAAETNKFMQKLKFGHQEQKKVMDQDEDDAEDCEDESENEMSYDLTPTNVVRQNSEKAKQMIARMFTDDNDTYEHSDDEEIEEHLARQRISKREVHNSSFISPLEDDSSREVFSLIKKLNIAPQPKRRGKQVTSNHELLTVGRDNSASSKSSFLGRTASGSLASSHRSAYRTYVFGRDDSNSSNKSCLSTSESNADTDQTNSSQPKKAKFSSSQSKQAATKTNSKGDNSSGVSLIEVLRRSSSTSDKQEYTRQESCAVITESQAAHQFSAFKLSRRFSRVGARN >OGLUM03G38140.1 pep chromosome:ALNU02000000:3:35112728:35118789:1 gene:OGLUM03G38140 transcript:OGLUM03G38140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPPLAVSGVAVATLAVLGLAVFACRRWRRGASPAPPPPASSQDDDINMPLISDNLDDYSVSSNRSTVDESGIRIDRIITSPKTHGIVGKGATYPTESHVIEGETHVIDVTNSKTEELYLGNTLKRPAVANGPTPDVKHIRRDSGESNHNGTIPDIIVGSNLALEVIAGPSHGINHYMQSGNKSMLPVTLGRVPPSHLVLKDSEVSGKHAQIDWNANKLKWEIVDMGSLNGTFLNSRSVNHPDVGSRRWGEPAELADGDIITLGSSSKVSVQIELQNQQHVGVGIASDPMTGRRTGKKLHMEDVSCCQYPLIGVEKFGLFGIFDGHGGDGAAIAASRILPQNIANILSQQETKERVLSCHSASDVLRHAFALTEAALHHQYEGCTATILLIWFDQNEDCFAQCANLGDSACIMSVNGEIITMTEDHRVVSTTERARMANSGQPLKDGESRICGLNLGRMLGDKFLKEQDSRFSSEPYVSQAVRMTKACLASALIASDGLWDVISANRAAQLVLEGKQKYSEQKTSADKVAHHVLSEARKLRTKDNTSVIFVDLDTLRSDP >OGLUM03G38150.1 pep chromosome:ALNU02000000:3:35118371:35120678:-1 gene:OGLUM03G38150 transcript:OGLUM03G38150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05250) TAIR;Acc:AT3G05250] MAAAATSPSAASSSGGSGGNTAEGEMAAASAACACPICLDSFLDEAYLDTCFHSFCYKCICQWVKIVSTKHAEPLSSVQCPLCKTVNVSIIHGFNGESFERHYINQDPRKRHLSDAHDLITQFYSIRDIIGNTSSVQQFWKQRKYLRKNIWLQTWLRQEIQALTRDENVDAIIYHIHGVIESFMKRQEKGHASKMAPPEKRREEFKSLLMEAARPFLLGQTERFVAEVELFLVSHLNIDAYSRLRVQRLKESTSHVSREQDVLPQDRSLEDHYLYFLGDETDCNDEI >OGLUM03G38160.1 pep chromosome:ALNU02000000:3:35120837:35125832:1 gene:OGLUM03G38160 transcript:OGLUM03G38160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDVEFVDYDRDEEEEEDAMDEDDRGGGRGGRALPVPHIVSQGVMRSRGRLLGRSTSVLASNRDRFDSLADAGNPGHGPQRSIEGWILLVSGVKEDVEEDDLYNTFSDFGHVKDLHLNLERRTGYAKGYALVEYESFEEAQTAIKAMNGTQLLTRTVYVDWAFSRETHQYKAITSAISDSTSQACCLDMLTGSYYVRNPECSRSVFFDRWYERSLNRCMV >OGLUM03G38170.1 pep chromosome:ALNU02000000:3:35132300:35133373:1 gene:OGLUM03G38170 transcript:OGLUM03G38170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYMAVAAAPAPPASLTLLPRTTTVIRDRERFDAAVPVAPLVLRHGAGVKHKAVVVMGATGTGKSRLAVDLALRFGGEVINSDKMQIHSGLDVVTNKVTEEECAGVPHHLIGVARPDDEFTAADFRREAARAAAGAVERGRLPIIAGGSNSYVEELVEGDGRAFRERYECCFLWVDVDLEVLRGFVARRVDEMCRRGLVREVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSRGDGADEEERARMLAAAVAEIKSNTFRLACRQHRKIERLDRMWRARRVDATEVFRRRGHAADDAWQRLVAAPCIDAVRSFLFEDQERSSIAAGKPPLFAASKATSGNISVFASAAAAMAAAAAI >OGLUM03G38180.1 pep chromosome:ALNU02000000:3:35143480:35147258:-1 gene:OGLUM03G38180 transcript:OGLUM03G38180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRTSNDETSDDEPKKIVEVLMINSQSGPGLLFPKGGWENDETVEQAAAREAVEEAGVRGDIVQFLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELDSWPEQSTRRRTWLTVPEATSQCRYQWMQEALLTGFSDWHDNWSKGGGGDTNYDSL >OGLUM03G38190.1 pep chromosome:ALNU02000000:3:35153719:35173212:-1 gene:OGLUM03G38190 transcript:OGLUM03G38190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRDAPKPPTKKPYRGRRGGDAMGVAWSSRAAAKRDVRVAVIGDHGTGKSSLVATIATGRFPDQDDGVARVLPPARLPVDYFPARVPVTIVDTSSRPNTLERITTFWLPKIRRLLQSKVPVILAGCKVDLSDKQQQAGLENVLDFIMCTFREVEIYLECSALHRIKVDEVFYCAQMAVLHPTTPLFDKATRSIKPRCMMAFQQIFSLYDRYFLFMIVTRMVQSVRCFKVSLQPAEIADMKRVVQQHMIGGVNDNGLITFIGFLYLHVVFIAKGREETTWAVLRKFGYDNELRGERRERERRRAMAAAATAANLAGKQGVRVVVIGDPGTGKSSLVVSVATEAFPENVPRVMPPTRLPADYFPDRVPITIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSNNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTMRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDVRPLLADRESLAPCDVAVFIYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRDQSFYAV >OGLUM03G38190.2 pep chromosome:ALNU02000000:3:35154248:35173212:-1 gene:OGLUM03G38190 transcript:OGLUM03G38190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPRDAPKPPTKKPYRGRRGGDAMGVAWSSRAAAKRDVRVAVIGDHGTGKSSLVATIATGRFPDQDDGVARVLPPARLPVDYFPARVPVTIVDTSSSPEHRAKLIAECQAADAVVLTYACDRPATLERLSTFWLPELRRLQLKAPVIVVGCKLDLRDEQQVSLEQVMAPIMQTFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDHDRDGALSDVELNDFQVKCFNAPLQPTEIAGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNEIKLRDDLIPTIKRAPDQTLELTGQAIDFLRGIFNMFDTDNDDALLPAELDDLFSTAPENPWSNNPYVDCAERNVLGGLSLEGFLSKWALMTLLDPANSFANLIYVGYSGDFGSAFTTMRKRRVDRKKQQTQRNVFQCYVFGPRGAGKTALLQSFLGRQPSDALPMNGERFAANTVELSGSRKTLVFREIPEDDVRPLLADRESLAPCDVAVFIYDSCDEFSWQRTRDLLVEVATHGENTGYEVPCLIVAAKDDLDQSPLALQESTRVSQDMGIEMPIPISVRLRDLNNIFCRIVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGIAAYRVYAARKNTSS >OGLUM03G38200.1 pep chromosome:ALNU02000000:3:35173856:35176743:1 gene:OGLUM03G38200 transcript:OGLUM03G38200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52340) TAIR;Acc:AT1G52340] MSAAAAAASSPAPRLESKVALVTGGASGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFSEVRKVININLVGVFHGMKHAARIMIPNKKGSIISLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPYLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >OGLUM03G38200.2 pep chromosome:ALNU02000000:3:35173963:35176743:1 gene:OGLUM03G38200 transcript:OGLUM03G38200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52340) TAIR;Acc:AT1G52340] MSAAAAAASSPAPRLESKVALVTGGASGIGEAIVRLFREHGAKVCIADIQDEAGQKLRDSLGGDQDVLFVHCDVSVEEDVARAVDATAEKFGTLDIMVNNAGFTGQKITDIRNIDFSEVRKVININLVGVFHGMKHAARIMIPNKKGSIISLGSVSSVIGGLGPHSYTATKHAVVGLTKNVAGELGKHGIRVNCVSPYAVPTALSMPYLPQGERKDDALKDFFAFVGGEANLKGVDLLPKDVAQAVLYLASDEARYISALNLMVDGGFTSVNHNLRAFED >OGLUM03G38210.1 pep chromosome:ALNU02000000:3:35177168:35180600:-1 gene:OGLUM03G38210 transcript:OGLUM03G38210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGEASLGGFKIGPSTLVGRGVAIRVLLLSSLWRLRERAYAAASRVRGAALPVVAPWLHLRNTHGILLVVVLFALFLRRLSGARSRSRAALARRRLQCKKAMRYAASYEEWARAAKVLDKMSEQVSESDFYDKELIRNRLEELRRRREEGSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKDYIDEVSAQLKMVCESDTDDLLLEEKLAFVQETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIVAGSSVGSIICSIVATRTWPEIQSFFVDSLQTLQFFDRIGGIFAVTKRVMTYGALHDISQMQRLLRDLTGNLTFQEAYDMTGRVLGVTVCSPRKNEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKNRFGEIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMQLKELFNVNHFIVSQTNPHISPLLRLKEIVRTYGGRFAGKLARLAEMEVKYRCNQILEIGLPLGGLAKLFAQDWEGDVTMVMPATAAQYLKIIQNPTYAELQMAANQGRRCTWEKISAIRTNCAIELALDESIAVLNHKRRLKRSMERVASASQGYTCSSVIRTPRRVPSWSCISRENSTGSLSEDCFATTSSSTHQGIQVVATPNVIHNDGSESESETIDLNSWTRSGGPLMRTSSADMFINFIQNLEIESEFNTGNSSGSTVSKDSCPNNNSGVTAQGTDRSTDTSETGSCNTGNNIASQPSTSTSIAVSEGELLQPERSTNGILINVVKRKSVFGEHESEAETESYVDTTNLDTCDAISASDCPGDNKDAADSNDLSAAHTDSVTSQHSSADE >OGLUM03G38220.1 pep chromosome:ALNU02000000:3:35184898:35191589:1 gene:OGLUM03G38220 transcript:OGLUM03G38220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALBINA 1 [Source:Projected from Arabidopsis thaliana (AT1G08520) TAIR;Acc:AT1G08520] MAMATTALSASLPRLLPPRRRRFPTPSSSSPSAASTSTSRVVRLRAAAASAPSEVLDSTNGAIPSGKGGGGQQYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNYPEEWEEGLANQVQYDADGNLKTEIIKTPFVQIPLGITEDRLIGSVDVEASVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGVSNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVDIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVAISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVELVILPRSILSDNPQEQQDQQPPPPPPPPPPQDQDSQEDQDEDEEEDQELISCTLGQDDDEENEQQDQQIPEEFIFDAEGGIVDEKLLFFAQQAQRRRGKAGRAKNLIFSSDRGRYIGSMLPKGPIRRLAVDATLRAAAPYQKLRREKDRDKIRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDFAEVLLPPSRSIAMARNRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKKSTDPEATSDAPRPSSQELKDEILEVAGKIYKAGISLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALSDLKSS >OGLUM03G38230.1 pep chromosome:ALNU02000000:3:35191991:35197685:1 gene:OGLUM03G38230 transcript:OGLUM03G38230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGYHSPRFSEDIAFLPQWLQPHRPLAVGEHGKDSAAVSSPSCVNCAFIGGPAQEQHSCLNTMVNAASCSGFSLHLSGDEGTPTGTTPSNGNVVPFSLHLSSESASKLSSTQANGLNSVTCKDVLGGFCIDDQAQEIKTVPQNQAEAKDLREICKMSSEEINKTCDSKGHRRQQLSGRKVDVRKLRSADVNDAVELSIAASEAMVIAEMILLDSQSDKLATASLEAALHVKEARKQCFLEELEHSCGSSESDLDETDGLSELDETEMLDAFQDVGLSLVQTACASQGQNISGLKQEISHASSHPCDAEAHVLESSPEKQNIRWNSHDADTNDHVSDSLASHNKEGGVVAVQTNVGTRKHVKGLFNKETSFISESMDGMDEFPSPSRIASMEMAASSRASFLHKIKGSCEENQGAEAAQLCSQVVCSNLSLVDPLCSIVPCSISFNEGPPSQVPECIQSKGDKELISTKEFPSKQDLEGEAGPSCTPVSNILLRRRKYSSLRPFSTIAPRPYVSESTEPHNDVDEAVCQQGSFAAVTLNKKIRRVQASKVCVENNFEAGNLHEFSKVLKNPSYAQGVSEHQNSMKSLKRKKAQFSEAKISTRKTNNIRRTQTKSRFSWSDSRLIDTIEPREYIDNKEALFHGLDFLLTGFQSHKEKEIEPLIRKFGGYVLSRVPSCPLDKRSKLAELARCKPPIVLSPKKVSTAKFLYGCAINSWILNPSWLFDSIQAGVMLPPGKYFIRQVHAMQGISMFDQSLHLRKNTLLFDGVGFLILGKISFCSKFSNVIKHGGGQVFASLQGLVQSLKDRSSSHGIILVANEASASRHLSYCGLEHDIKTAPASWVIGSLYSGKLIPLKKDRCASFRKIKMPSFQQPQAFDMSQEI >OGLUM03G38240.1 pep chromosome:ALNU02000000:3:35198660:35201130:1 gene:OGLUM03G38240 transcript:OGLUM03G38240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCTEERKGNPCKRIIGGPMTSIIRPQLLCPLLRISLSQGKKKNLLSAEIVSTAGEPSKPELTSATAVARHDTFCAPHQQTREGEADQMVQSISSEGKIQVSTLVLTRGPKMCNFVDWHGYRVVYKRYASLYFCMCIDAADNELETLQIIHHFVEILDRYFGNVCELDLIFNFHKAYFILDEVLIAGELQESNKKAVLRLITTQDNLVEAAKEEASSLRNIIAQATK >OGLUM03G38250.1 pep chromosome:ALNU02000000:3:35203476:35204816:1 gene:OGLUM03G38250 transcript:OGLUM03G38250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPVEVAAAAAAAGRMQGEAVVPMMLPPFFMDSGIWPAAAGVVDVAASAEEEAAAAAAAQDRALAASRNHREAEKRRRERIKSHLDRLRAIDKASLLAKAVERVRDLKQRMAGIGEAAAAHLFPTEHDEIVVLASGGGGVGGAGGAAAVFEASVCCDDRSDLLPELIETLRALRLRTLRAEMATLGGRVRNVLVLARDAGGAGEGGDGDDDRAGYSAVSNDGGDFLKEALRALVERPGAAAGDRPKRRRVVSDMNMQAAA >OGLUM03G38260.1 pep chromosome:ALNU02000000:3:35218432:35221136:-1 gene:OGLUM03G38260 transcript:OGLUM03G38260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHGNPPLNAAEAVLKRPRSVASRKPRSKEQLISEFKDLSCTPSRSISPEDDAGVEGSGHRRKELYLNGPEARGSVPHRSDASRKIKRDDNRAAGDHDEQNKSSKSKDGKHTSEGVLALACTRNSGSPDGQHLPPKDTTSMPGLRKVKLKVSGITRPLHTKNIQEASDGGTLGTSDGSSHRHKQKDSGGHKHHQDKHDVSPSSDLVRKSKRIPKKRTLDGDSGDEDGGSGYLVKFKIAKVVPEQSIATDHAGEYDESLEDDIKKKRLSKVSKNKSLPYEVDEDFTMYRSGRDGRKKLKLVDSDDFIEEEPEMDEPKKRLSEADSHSDVKNETTGLITRQRALQGRGGNGQKDKASEVEAQAKKAEAAQRRKLQVEKAEREQQAEAVRKILGIDIEKKKEERKQKERDEKEKQEKTEEYRRNCIQCVMGPEGTVVTFPDKMGLPSIFDSKPISYPPPREKCAGPSCTNPYKYRDSKTKLPLCSLQCYKAVQGSSEAAQGSSETLTC >OGLUM03G38270.1 pep chromosome:ALNU02000000:3:35221161:35221895:-1 gene:OGLUM03G38270 transcript:OGLUM03G38270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETTRPFHHETPPTPTPPPRSPSPPNPPHLIVATGPAQYPTPPPNPNPSSPSSFPPLAAAAAAAGAGGSCWIEVPRVAKSVGA >OGLUM03G38280.1 pep chromosome:ALNU02000000:3:35224401:35225350:-1 gene:OGLUM03G38280 transcript:OGLUM03G38280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTPSSPLSRATPPRSPTAGGTPSRLAVAPPSPTTPQPHDGHAGGGARVTGTFYGHRRARITLAVQERPGSLPSLVLELGVPTAKLMQEISTGGGHVRVALECEKRPKKLPSAPPEQASVSLLEEAMWTAYVNGRRVGYAVRREASEGDLAVMQLLSTVSVGAGVLPGDVLAEPAGAEGDGEVTYMRAGFDRVAGSKDSESFYMVNPDGDAGAGAGGGTELSIFFVRV >OGLUM03G38290.1 pep chromosome:ALNU02000000:3:35226077:35228669:-1 gene:OGLUM03G38290 transcript:OGLUM03G38290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G38730) TAIR;Acc:AT2G38730] MASSGGAAISAGPTPPSAAAAASSVDWHLRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIVPKTAENFRQFCTGEHRKSGLPQGYKGCQFHRVIKDFMIQGGDYMKGDGTGCTSIYGTKFDDENFIAKHTGPGLLSMANSGVNSNGSQFFITCAKCEWLDNKHVVFGRVLGDGMLAVRKIENVATGPNNRPKLACVISECGEM >OGLUM03G38300.1 pep chromosome:ALNU02000000:3:35228975:35229367:1 gene:OGLUM03G38300 transcript:OGLUM03G38300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSLRKSNARLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRLGNAKDTRGTAFVVYEDIYDAKNAVDHLSGFNVANRYLIVLYYQPAKMSKKSDVKKKEEEITRLQEKYGLGSKTPSSAPDA >OGLUM03G38310.1 pep chromosome:ALNU02000000:3:35231308:35232369:-1 gene:OGLUM03G38310 transcript:OGLUM03G38310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36 [Source:Projected from Arabidopsis thaliana (AT5G20180) TAIR;Acc:AT5G20180] MKVRASVKRLCAYCKVVKRRGIVFIQCKANAKHKQRQGFSTLAEAAAACHPPPPPLLTNTSPAVAATVAEASKVAKQEPSMKFNWPLGLAALLKNSDK >OGLUM03G38320.1 pep chromosome:ALNU02000000:3:35232879:35235766:-1 gene:OGLUM03G38320 transcript:OGLUM03G38320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINPVAGEVAAATAKAPPPAMATVRAPLPANHYSPYHSASAAGSYAANTQSTSSPVSPASPAMISSSSSSLPPQQQRTWQPQPTTFSQANPGHAYQQDHLPAVAGRRFFPPPAMQMQYYHQQPAGVAMVGSGHPMAAPVHSSPLATTSGSNHAVVPDAPPQEPAKRRRRNTAAAATARRGRGRPRGATASSAHSAPPPPQQQQQPTTSAPAITAQRNDDVNQEDDNQSSKNSAEEAVVVAGSEPPAATSALAIVPRHGDVGDADRPVSPYSDIPGVRFTPTDQELIIHFLKPKYNLLDAMPTNIIVIKQLDVCKLNLDELHGDLGLGKSLDGAWYVFSPRSRYKERGVRPARGIKTTAVGYWKSNSAEADVVDDDGEVIGRVNSLTLALGHQPRGKATHWRMKEYRIPHLLRMKVVKLKLNSRGGTYKRTAYSLFPLDEWVLCKLYHSFAYKQKGKCKVHEEGSKSDRGVQDLSIDDDRKTCDIEANKPNGGVDNCNVNICDIEDSKPDGGLQDQSADDYNAHICNIEASKPDGALQDLSVDDYGICDIDFAPKYADPSSEEMHERNIGEI >OGLUM03G38330.1 pep chromosome:ALNU02000000:3:35236955:35239987:1 gene:OGLUM03G38330 transcript:OGLUM03G38330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OGLUM03G38330.2 pep chromosome:ALNU02000000:3:35237478:35239987:1 gene:OGLUM03G38330 transcript:OGLUM03G38330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OGLUM03G38340.1 pep chromosome:ALNU02000000:3:35240908:35254247:-1 gene:OGLUM03G38340 transcript:OGLUM03G38340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA GYRASE A [Source:Projected from Arabidopsis thaliana (AT3G10690) TAIR;Acc:AT3G10690] MALSAALRLPLPRLLWGPTGSLLAAAAAASRRRAAVVAVPAARFLSSSSSSSDGSRSVQPLRAGRDERAAAGEGGAAVKERVVPVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDSAVYETLVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDSLTEAMFLTDLELNTVDFVPNFDNSQKEPSLLPARVPSLLLNGSSGIAVGMATNIPPHNLGELVDVLSVMIENPEATLQELLECMPGPDFPTGGTIVGNQGILEAYKTGRGRVVMRGKTDIETIDVKSKRSAIIIKEVPYQTNKSTLVERIAELVEEKVLEGISDIRDESDRSGMRVVIELKRGADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLKEILQAFIDFRCSVIERRARFKLSQALERKHIVEGIVIGLDNLDSVIQIIRGTSNHAMARESLIKEFGLSDKQAEALLDITLRKLTSLERKKFVDEAKSLSEEISKLNELLSNKKLIFQLILQEATDLKNKFATPRRSFIEDSASTEVDDLDIIPNEEMLLILSEKGYVKRMKPNTFNLQNRGTIGKSVGKMRMNDNTSDFIVCQTHDHVLYFSDKGIVYSARAYKIPECTRAATGTPLLLSLSDGERITSIVPVNEFGEDQYLVMLTVNGYIKKVPLNAFSAIRTSGIISIQLAPGDELKWVRRCGDDDLVALASQNGMVIVNTCNKLRALGRKTRGVLAMKLKEGDKMASMDIIPATSHNMPETYSRVRDLSPPWLLFIADNGIGKRVPLNAFRQGNFNRVGLQGYKMTVKVTNRIKVKDISIRSRSARGVILMRLEHAGKIQSASLISAAEEEEEQDPESASLISEAEEPEKQDPEVSA >OGLUM03G38350.1 pep chromosome:ALNU02000000:3:35255792:35256190:-1 gene:OGLUM03G38350 transcript:OGLUM03G38350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSVTGDGAPHRRWRPRSVCFSSPRGALGDDDDKGKPHSARNYPTSHHRLLRSNSFLLHLVADEYLDTQCSDGDGEVENGHDITFLLPPPPLPTERERKNVLGHDGASASVGRRAERLQMPAFPPPAVHR >OGLUM03G38360.1 pep chromosome:ALNU02000000:3:35260827:35265204:1 gene:OGLUM03G38360 transcript:OGLUM03G38360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGDRYWCHHCEEVIEPVEPDMKCPSCDSGFVEEMGSAGFEPSTNLRSDRISLWAPLLLGMMGGSSRRARLRRQIMEDDDDDDDDEDDEDDSDHELEDLFRRQRRGSSLVRLLQTLRDDLRGLDDIGRDSDRDRERERRERLRERERERERMRERERERRRERTESLILINSNNEAIILQGTFGPDDNQDDSSNTSSGVSLGDYFLGPGLDILLQRLAESDLNRSGTPPAKKEAVEALPTVNIQEVLGCSVCLEDFEMGTKAKEMPCQHKFHSQCILPWLELHSSCPICRFQLPTEESKNPCESAGGIVSVNDDGDDAGTSSDVDSANQPGSPIFSALSALFSNPSSSSSSSSDDNAPHSSES >OGLUM03G38370.1 pep chromosome:ALNU02000000:3:35266268:35266909:1 gene:OGLUM03G38370 transcript:OGLUM03G38370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFVATFEYCSLAVSVSSLLIRFVLHPLVRDAIILVMSRAGASSLSCALLGLLAHDDSALFAADDRCAGAVAVVEPPPPLRRECELCARRGGAGLSRHDVAAVVASLGMVAAGEDDDDDDEACGVCEAVAAVEEMAEGKVAGEGELREAFYVFDRDEDGYVSAAELWNVMRRLGIEEGARYGDCVRMIAAYDGDGDGRISFQEFRAMMENAV >OGLUM03G38380.1 pep chromosome:ALNU02000000:3:35272277:35275341:-1 gene:OGLUM03G38380 transcript:OGLUM03G38380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVEAPLPPPSLSYEDGGNGDSNHTITMVVADVHSEPPLSGWWLARILLRWRRKIDELPRHVIYVIGAAAIVGTGYIIYLLVKRRRRPRDARPPLPGNGGQPPPGGDHPQAPKLKHLPDDRAASDGDDAEYDEDQGPGDGDETGGEGSAAYGLHDIAAFAVAFSNSPTGPTLAVENNPAFLALQQIKVAREICNNKAVRLLQLLNPEKSHFSIPWFERLTIFDVCPRPNLVESTSGSRDLQMVRPGLGVLTRPLPTKYRSLGDNFCERVLTSLMHETLKAVVAQYNASQLIIPREFKSFRKEFTHAIETKQVDEQEAQRAKFIVEKAEQHKRKAVITEQACLNRVKLRVHWSSLQLEEEELGIFEKVIYLTRCSHGSRVILDVGFTTTEENNTSRDEFSLLK >OGLUM03G38390.1 pep chromosome:ALNU02000000:3:35283567:35284202:1 gene:OGLUM03G38390 transcript:OGLUM03G38390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQLVASIDRGLVLSVSSLLIISRGVRAVSRALVRLALLLVHVVDEDDDYRYCAADAGDDYRYCAADAGDDGVVQPPRLRCCERCAGAPWLSRHDVAAVVASLGLVAADEDDEEGDHQAPCGACEAVAAVEKLAESKVAGEGELREAFRVFDRDGDGYVSAAELRSVLRRLGMEEGARHADCVRMIAAHDGDGDGRISFQEFRAMMENAV >OGLUM03G38400.1 pep chromosome:ALNU02000000:3:35286121:35286408:1 gene:OGLUM03G38400 transcript:OGLUM03G38400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRKAIIRRTCGACEAVAAVEELAESKMAGEGEQREAFHVFDRDGDGYVSAAERAAEAGHGGERAARRLRQDDRGARRRRRRPDQLPRVEDSGI >OGLUM03G38410.1 pep chromosome:ALNU02000000:3:35294437:35295529:1 gene:OGLUM03G38410 transcript:OGLUM03G38410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEDGEEKMAGKNKHGFPLGFRFVPEDQELLDIPDDKLRGAPLDRAHDAVFHEARILDFHTAKLYGATMGLAAMPFAAGMRHAWGRLFRWRGREALRAWQLPANRRLWGDPGSSASGWASSPRLTLCLLSSKPHAVVGVGHVAAAAAAEEGAGGWQEKGHLDALALALAPSTSPSASPGSPPAATPSSAPRHDAHPAHGGFAHRQYPAFLTGEQERWTRGGHDTKAVSDVVDIVVAARRVGVSEDSCGAGGAAPFDDDRYVEGHVGVFKLGLFSAQSETIRVLEFYVKGVRTNWGMHEFIRIIGPDNEVFTMLYLLVMIELFGLIWMQLVRS >OGLUM03G38420.1 pep chromosome:ALNU02000000:3:35295738:35297056:1 gene:OGLUM03G38420 transcript:OGLUM03G38420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLRCRGGATDHAGSTNTSAPPAAAAAADAAGNGARLRIGVVVGPEDEESATRSRAPPRWRPRLPCSRMTMTTTAVPPVQVTAKTLRCVSVIQQRNRQRRADFAGRKHLSSPD >OGLUM03G38430.1 pep chromosome:ALNU02000000:3:35297287:35299229:-1 gene:OGLUM03G38430 transcript:OGLUM03G38430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRFSLLLHLRRMISTVVTLSTPVEGSPLKFHPDLNKEVAIGEEFVEFLENELKIVDSSAAEVDANDPYTQSGGKNKQEGNTNTNTSTSSFDDSVSEIAVALENLKKELMVLGHEVAARAVLVHPLLVFPHLPVHAPATLVRSAGLGRREAERESRHGGGGGGDPS >OGLUM03G38440.1 pep chromosome:ALNU02000000:3:35301686:35302309:1 gene:OGLUM03G38440 transcript:OGLUM03G38440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDRGLAVSVSSLLIISRGVRAVSRALVRLALLLVHVVDEDDDYRYCAAAAAGDAVVQPPRLRCCERCADRIRGGEDDDAAAAARLSRHDVTAVVASLGLVAADEDDEEGDHQEPCGACEEVAELAESKMAGEGELRPAGGVPRVFDRDGDGYVSAAELRSVLRRLGMEEGARHADCVRMIAAHDGDGDGRISFQEFRAMMENAV >OGLUM03G38450.1 pep chromosome:ALNU02000000:3:35308864:35310143:1 gene:OGLUM03G38450 transcript:OGLUM03G38450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAALVAWLARDVSAARAAARLAVAAASRAARSSAVASRPRARSAAVAARRAACQAAVAASRAAHAVCYLDDYEPSDDDPEPGAFLTDFDESGDDFTDKDFESDEAIWALYERWCKAYDKKRDLAEMTHRFKIFKQNAEALHRSNEGASKYEKVYCGPYCDGFDEQERAEALLKFRHFPRVCEYIESLEIVFPKSREVDSPNQSP >OGLUM03G38460.1 pep chromosome:ALNU02000000:3:35316472:35317768:-1 gene:OGLUM03G38460 transcript:OGLUM03G38460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIEVPSPPPNLEYGVDLTSYLWVFLFGGHGGLRMRLIAGFFCLAAMLGLFGYGVYWMMKRRNRRGEEAPPGATGADPPDNPPPFTAAASVQGGADSPARGGRGSPPPASEPPGGATSLDEEDVPPQEVYPEETHFVILLFERPIIYDVRARPNLVESTSGSRDLHMVKIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKA >OGLUM03G38470.1 pep chromosome:ALNU02000000:3:35339485:35340103:-1 gene:OGLUM03G38470 transcript:OGLUM03G38470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTFVLFPSLGVGYLNPMVEMAKHLRRRGLGVVVVAFLRSLPDAVDALLLDAFCVDALDVAAELAIKAAYFFFPSGASALAALLHLTYYYRPRSMWKFRQQNTKHAARRLLACNQAEARHDRRDVVAREPRCGDVVLAARNGAAAGLCS >OGLUM03G38480.1 pep chromosome:ALNU02000000:3:35341744:35342288:-1 gene:OGLUM03G38480 transcript:OGLUM03G38480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNKVYLDSKDLLLGLQQLNVDSKNKK >OGLUM03G38490.1 pep chromosome:ALNU02000000:3:35361013:35366326:1 gene:OGLUM03G38490 transcript:OGLUM03G38490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGMRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGAREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAGAKKGSLRLDDWVLCRLYNKKNEWEKMQQGKEVKEEASDMVTSQSHSHTHSWGETRTPESEIVDNDPFPELDSFPAFQPAPPPATAMMVPKKESMDDATAAAAAAAATIPRNNSSLFVDLSYDDIQGMYSGLDMLPPGDDFYSSLFASPRVKGTTPRAGAGMGMVPF >OGLUM03G38500.1 pep chromosome:ALNU02000000:3:35363278:35368402:-1 gene:OGLUM03G38500 transcript:OGLUM03G38500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEX1] MKVIEKIQEAAADGRTVFSFEYFPPKTEEGLDNLFERMDRMVAHGPNFCDITWGAGGSTADLTLEIANRMQNMVCVETMMHLTCTNMPVEKIDDALTTIKSNGIQNVLALRGDPPHGQDKFVQVAGGFACALDLVQHIRAKYGDYFGITVAGYPEAHPDAIQSTEGATPEAYSNDLAYLKQKVDAGADLIITQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEITAALEPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALGILMNLGLIEESKISRSLPWRPPTNVFRVKEDVRPIFWANRPKSYISRTLGWDQYPHGRWGDSRNPSYGALTDYQFTRPRGRGKKLQEEWAVPVKSVEDINERFMNFCQGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGERSDSTSVGWGGPGGYVYQKAYLEFFCSKEKLDQLIEKSKAFPSLTYIAVNKDRESFSNIPTNAVNAVTWGVFPGKEIVQPTVVDSASFMVWKDEAFEIWSKGWACLFPEGDSSREILDKVQKSYFLVSLVDNDYINGDLFAAFKEI >OGLUM03G38510.1 pep chromosome:ALNU02000000:3:35369862:35371982:1 gene:OGLUM03G38510 transcript:OGLUM03G38510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 family protein [Source:Projected from Arabidopsis thaliana (AT3G54210) TAIR;Acc:AT3G54210] MDYAEFILETSSFEHRLFGIDARGRIVAMRHGRRVPKLSRPPDQRKALLRGLTTQLLKYGRIKTTRPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGEREGGYTRIIPTFPRRGDNAPMAYIELV >OGLUM03G38520.1 pep chromosome:ALNU02000000:3:35390295:35394951:1 gene:OGLUM03G38520 transcript:OGLUM03G38520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYSPGFSPARNLSPQIRSNPTDVDSQYLAELLAEHQKLGPFMQVLPICSKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNRSGNGFSPWNGLHQERLGFPQGASMDWQGAPPSPSSHVVKKILRLDVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPASIIDARLRHAQEVIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >OGLUM03G38530.1 pep chromosome:ALNU02000000:3:35396844:35397572:-1 gene:OGLUM03G38530 transcript:OGLUM03G38530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNPSLHELAAGAAPRGRVVRILVRDADATDSSSSEDEAVAVAQPRPRRRGKVGGGGGGGGGGVKRRVMEAGAGEARPTARFRGVRQRPWGRFAAEIRDPHLRRRLWLGTFDTAEEAAAAYDAASVRLRGSSAATNFASVRCYSLPPELPKPTISPPEAAVRPITLPIGTAKPTLLPRVKEEGESCGGRVKEEASSCEVQVLAAEPMWTMISGKRKKRSGCGTRVRAFHAVSARVEEVGGA >OGLUM03G38540.1 pep chromosome:ALNU02000000:3:35399542:35401988:-1 gene:OGLUM03G38540 transcript:OGLUM03G38540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLETFEAAKKAADAAAGADDSPEADRCLDAMRRLRGLRVTTDVLVSTQVGKRLRYLTKHPHSDIQSMATDLLGYWKKVVIEEGKKNGTTENVGSTNSAARAEKAQPMKVDKSSASGSVKPEKREVNVRGQKPESIKVEKITNNDSKNQQVKVERAPKEATRTPDTKKPSSVPNGPPKLTSLVKCNDPTRDKIRELLADAFSRVHGETSKDDREEVRNILDEVDARDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLLGQVRPERLVDISPEEMASDARKLENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >OGLUM03G38550.1 pep chromosome:ALNU02000000:3:35403192:35406004:-1 gene:OGLUM03G38550 transcript:OGLUM03G38550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 62 [Source:Projected from Arabidopsis thaliana (AT5G05230) UniProtKB/Swiss-Prot;Acc:Q6DBN5] MASPPPGAHRAASSSGALAPAAFPAAAHFASRAAAPFLRQQPHPGGGGDSDGDNAVEEVDEGDDDEDDEEEEAELADGAPCSSQQRCASTPGIGRAGMNRGNGMRQIEEEQQWQHSHIYNCGNEQYGHASSREDEPSTIPREMRVENGYGVIGRREGGPASSYWDLLRAHLSDPLTGILMDDAMILSCGHSYGSNGMQHIYRMKACGKCGQPITEDSIRPNLALRLAVQAFKREEESAKSLKRRRERLEQDKCGNDEPNPTEISRGKGVQFPFAVFDRVIIKGNKRTPERFVGRVAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKFTDGGQSSAMVANNAQNANWL >OGLUM03G38560.1 pep chromosome:ALNU02000000:3:35406896:35410071:-1 gene:OGLUM03G38560 transcript:OGLUM03G38560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01850) TAIR;Acc:AT5G01850] MSCGSDGGCRDGGGSEEFRRPRPSKVAAGDLVEPARCSDAAASPASWIDKKLLVDPKNLFIGSKIGEGAHGKVYKGKYGDQIVAIKVLNNGTTPEEKATLEARFIREVNMMCKVKHDNLVKFIGACKEPLMVIVSELLPGMSLKNYLNSLRPSQLDIHTAIGYALDIAHAMECLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPEVLYSTVTLQRGEKKHYTNKVDVYSFGIVLWELLTNKMPFEGMSNLQAAYAAAFKQARPPLPEETPQELVFVVQSCWVEDPAMRPSFSQIIRMLDAFLMTIPPPPPSESNEDAESEETASSLNGKNSAVSSIVSRATSKLSVVRHLFASKKAGNGRT >OGLUM03G38570.1 pep chromosome:ALNU02000000:3:35417973:35421357:1 gene:OGLUM03G38570 transcript:OGLUM03G38570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEX8] MHDGSVKDILDLWDAQGNVKAEEWRAQQARPRPRPRQDKAINRFLVRNIVEQAAVLRYARGLCHVLPKLYAKVHHCVSWNAIHAHIVSVRSCENRRNCELPQHFREVVVRLLHQLLPVPEFQLCAP >OGLUM03G38580.1 pep chromosome:ALNU02000000:3:35422463:35428950:-1 gene:OGLUM03G38580 transcript:OGLUM03G38580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDADADELRLVLYLPMDMAKGHGARRPAHLLPPLAGAPPPPPPFRPPPLRAAASNAEARVSFRGWLGGPRHWDLWVAKLRPLHDRLWRHLGILDAIVASTYRFKRDAALVLHLASFWSPATSSFAFPWGEATVSLLDVALLGGLPANGGPVPAPLPSHWRADEAALNAVRLGFNRSACKKAHHSAWISHFLTGPAADPVVEHAAFLSLWLTRFVLPGHPESTMRQSLFPLAVRMARGDRVALAPAVLASIYRDLRELKAFLSSSSAAATGELLSPLSVYAPFYLLQLWAWERFPAIRPAKANPIKAGEPRAARWNDVSNKIDPAVLRKALNSGSSFVWQPYTISVQPCGWVRGCHVSGNDELTSLAHCLRACELVGMDCIEQYLPHRVAMQFGLDQDVPGDVQRANDDCRVAWETYHLEGKNVAFFIPQSEPGVTARYAEWWRQPLPHSHLDVGAASTVVESKVSKRKVKKTLVAIEAEEEKERKLKKARVLPSNNDKKRKLQELYDAKLSDCLAAARDEGAGSCDRGSLPLSDMESEKALLSHVETINDDIVLLVPRKQTAAPDVNLIKDNMNLATGDRGSLEATPPVGMEEKDEMPKAQQTCNVEHPTHQPYCQETKAAPSTEITKGESSGIVLANVNELDRGRTPDVPNWHEEAVPSEAMEKEESRYHLSDVVCNDESIKEVVTVDKPLDVSSEPEGGATAMPEEKMLNVSVDMSLDATDWPEEGTTIMLELENEANLSVDESCRVSNSPEEVSATVGGDKEEKVAIDEVDEGNGTSEDVGTAALGSICSIEVAPGSKQEVDTGVINISHDAVTLPDEVLPVQQPNDGETTCRDFVTEEQRKACCIEEIGGENSQMVEKASKQKPHEAHQVNMVECGEDINPMKNDNEDEHDKIPQPLENAISDSNMTSVFSGVPEAENADTDKGLFLAKKDSEDMPKEVVGAEGSQQDQFTTSTHEVVDEHNEVAEVEPVLAEPNIHGQCDGEKPDEGKVLREKDTEENAKNALGVEQIERQDKALTESCIHEVEQVDGQSERLTRTGVEEKHAEITQEQENEFDNGVMETSKVSVNGAMPCSTASIQSEGEQKEASDKDMAEKKSNQDIESIDERDSLSDAAATVFEGADDHITLDTNEEATRKHTHDCGSICENKGTQMFQEGCKLDSGVKSDIDIMEIETQATEGIQNQETMELDKQEMEEEQNPGTTIENNKMKIPEEDASTFSCGEIQTDPHSTDVNEVEFTTGTQNDEHLDIKEELIMDRRLDCEIKYGNERPLEEANTFGGCDGGVDNIGVALDVNEENSIKEMQNQEIRSTEEASEFQAGETNLNNGAENVDEKRILEDASTLDIGDSTNAVVNGAESTEGTQILCAFNTEKELEVQEKQDQGTENENRNQNLVNTDSFECGVEPHGTLKTTHETLPTVQVVSTSGDTFSSKNEQNDVPCEDQNKSDAEVSESNQTAPKESERAIPPEHEDREEEKEENMENKIEISIGRENDEVSEQETSTEERIVAPSGMDDQDENNKGWAEESVQTYGRYASDPVNTSWQPGKFGKPGMEESRRTHSGRSIYLRDIKESQGRTRSETSNKLHINSAGYYSRHAVPEPVSVTREIKVPLYDSTRASGRDRGPELVVTGPPEETSRWRQEQYALQILEDVQNARVAEKTRMEMEIRILKAQVSSMQRQAMNLDRVGDFAVQRRFQKRVHLKKFRAIQPSSSTISYVEDNLLGLRRLNTLPQMEDSIPDCIEQD >OGLUM03G38590.1 pep chromosome:ALNU02000000:3:35431231:35435553:-1 gene:OGLUM03G38590 transcript:OGLUM03G38590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58370) TAIR;Acc:AT5G58370] MPLHVRIREKTSIASLLSSRRNWSGGGVDGGKKSGKREGAKTKGTRTTGRNKSEKREEHKEAQGNAGQRRRWSDTNGQSDAKKNASQAVRRKRKGDHDSWNGDHSDTPYSKSKLTRNGPSTMTRGKASARKGDRFRSETLDEDDLHSRKRSNSMVSSVSRGGRSNSMVSGITREGKSQSVFSRNAEASSKGKKFDTPTRVSRQKKAATDANLDDHGAESKKSDDSGQIAEEKPRPRCTRVLDKTGKKIRVAKKDPVSDIEETLPPKKRKRMKLDPYDTSNKRLEDSTAKQDVCSPEKIPEKSPPEETETSINAKFRAIQPSSSIISYVEDNLLGRRRLNEIKNAGYNVKLSAPLDNVPFSTSPERERIEENVFRNKLEFFAAAKISSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFRLASKLCLVDLPGYGFAYAKDEVKDSWQELVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERSKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVRPVMMVSSKTGAGVRNLRGVLGKIARFIKP >OGLUM03G38600.1 pep chromosome:ALNU02000000:3:35436894:35441719:1 gene:OGLUM03G38600 transcript:OGLUM03G38600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >OGLUM03G38600.2 pep chromosome:ALNU02000000:3:35437704:35441719:1 gene:OGLUM03G38600 transcript:OGLUM03G38600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >OGLUM03G38600.3 pep chromosome:ALNU02000000:3:35437858:35441719:1 gene:OGLUM03G38600 transcript:OGLUM03G38600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGHGVRTPANFPPRQKARLPRGPVHEKSLEQQKKGPSSSSPSVSSNKSPLQLAAAIVQPQKPLESPQHMVTPVRLQESPGPRTIPCSSGSVGSGSGAAPFDICIKRDDKCSIKLSRSLLEINREKRREREQLSKEAAPLQYLRPGMVLLKKFLKHDDQVDIIRRCQKLGIGSGGFYTPGYRDGGKLSLQMMCLGKNWDPNSRSYGDTRPFDGAQPPSIPEVFSKIVKDAIQASNEFLRQKARPANDVEELPPLSPDICLVNFYTSSGKLGLHQDKDETKPSLHKGLPVVSFSLGDTAEFLYGDVNDVDKASKVDLESGDVLIFGGKSRLIFHGVSRIKPKTAPNWLTDEAKLRPGRLNLTFRQH >OGLUM03G38600.4 pep chromosome:ALNU02000000:3:35437704:35438560:1 gene:OGLUM03G38600 transcript:OGLUM03G38600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGLNSESSQATSQILTGYKNLMFCRTEPRSIASFWRQEARKVMDSSKQQLAVWPVSAAKKYAGFDGKAAARERSGLANASFRVYYSLRAGAVPFLWESSPGTPKAAAVSSPARESSSAARGALPPISPPPSYQSVEMKKGGRCRPRSSWPAAAAAGDIVRALLGMLGLGKWRRRRHHHHRSSSTRRRSASVSELPPFASLPY >OGLUM03G38610.1 pep chromosome:ALNU02000000:3:35441872:35445066:1 gene:OGLUM03G38610 transcript:OGLUM03G38610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41080) TAIR;Acc:AT2G41080] MAQPSSPQEKVKHSPSRSHLFTSNRTAAPRRRWSTATALHPAAGISPATAGDGRGAAPVRALSLRSMVGLVALSLDTVATKDEFVRLCATGRLRDALRRPFRGVLWSDAARLFSHLFRACRALRPLRQLHAFAATSGAATDRFTANHLMLAYADLGDLTAARELFERIPRRNVMSWNILFGGYIKNGDLGGARKLFDEMPERNVATWNAMVAGLTNLGFDEESLGFFLDMRREGMHPDEFGLGSVFRCCAGLRDVVTGRQVHAYVVRSGLDRDMCVGSSLAHMYMRCGCLQEGEAVLRMLPSLSIVSCNTIIAGRTQNGDSEGALEYFCMMRSVGVAADVVTFVSAISSCSDLAALAQGQQIHGQVMKAGVDKVVPVMTCLVHMYSRCGCLGDSERVFFGYCGSDTFLLSAMISAYGFHGHGQKAIELFKQMMNGGAEPSDVTFLALLYACSHSGLKEEGMDCFELMTKTYGMQPSVKHYTCVVDLLGRSGCLDEAEALILSMPLTPDGVIWKTLLSACKTQKNFDMAERIAKRVIELDPHDSASYVLLSNIRATSRKWGDVSEVRKAMRDNNVRKEPGVSWVELKGHIHQFCTGDESHPRQKEIDECLEEMMAKIRQCGYSPDMSMVLHDMEDEEKEVSLSHHSEKLAIAFAFLSLPEGVPIRVMKNLRVCDDCHLAIKLMSQDKDDENKPKP >OGLUM03G38620.1 pep chromosome:ALNU02000000:3:35446274:35446843:-1 gene:OGLUM03G38620 transcript:OGLUM03G38620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVARFIIEVYFNTRSPQRRRAERKPTTRMAAAAAPTVVVVDARHCAAEATAFAVARVIGTTEHDFAVTDAAGAVVMRVEGAVFSLRKRTLLLDAARRPVLTMTDSTYLMSSMWHAFRGDSTSRRSVLFSVVKESVVQVRTKIFVYLGGYRSADQVPDFVIGGNYYGGACTVFAGNSDSDADAAIAQVS >OGLUM03G38630.1 pep chromosome:ALNU02000000:3:35448715:35449679:-1 gene:OGLUM03G38630 transcript:OGLUM03G38630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEAVPALAVVDARFVAGDAAALSVAKTLSLSGSDFTVTDAATGAVVLRVDGVLFSLRRRCLLADADRRPVLTVQESAMVMNRRWKVFRGESTSRRDLLFTVVKPSAIQLWGSTKVSVFLASNDAEQASDFRVTGSYHDGACAVSLGDSDTVIAKASKATPLSPPCSNHFRHFIEHLVGVLLDLTQKLFRAQIDRRFSVASALLGKNAYSVTVNAGIDYAFIVALVVVLDEMHFQP >OGLUM03G38640.1 pep chromosome:ALNU02000000:3:35454546:35465153:1 gene:OGLUM03G38640 transcript:OGLUM03G38640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYQIRNDYGLSDPELYAPGEKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLRQLEAEFPAVEKAIISQSDHSNYPHDDGVEWHTNLQIDQNMITQGDMPRFILDSYEECRGPPRLFTLDKFDVAGAGASLKRYSDPSFFKTEHSSDMIETDAVIEKKPRKIKKKALRWRKGETLESLLIANSESHTTSKDRSSRKVPPRTTKLKYRYPRESDHKNISRICREHLQEIISSQQKIFSNYSSRYYHPKFRLTDSSETASSFGEIDNFSARAQSSAKLELTKVVPINEFDTKGIAPTHINGSDCLEALEADDRQLQATQHEPDKVEDVCKRSLVEQNAMLSYSDRMQSVQEENLLSAMVPADQNDDRCRPDDTGSDQENFVDALNNMESEGEAHAEMKIKKDPGAKMELDELNFHRDEGENERHTEFSELGHVIDSSPWLNDSYNGGEPNHAISSNTNFSGVDCTNDEEPSNDVDLMEMDVSSSSSVFSDDNDVFRTNGNMNGFQQYQEASLSNDHHAVIAHSSDKQSSQKSSGLDGSSIESNDFIEKPFHSLEDDKNFAPDGTSVILGRPNDVSQCEEEIEVGNADDSLLQPTISNQEVHRSNNQLEGVAMHASVSSGKVASFPDMDPGMCTKDLELDNVVVPKETVANTPPTGLGTDHIHEHVDELDSGVAPINSSIQSDSTYESDDDDMAEDLNSLPEDDLYKHDVEDLYKHVLEDDGIIALGKGPCSTRANTHQEDPMEVSDVRGDFSNGQELPLLTETASPQGGEEDLADEVVVISSRDLNDEKKPSLAEVPLACGDASLLDSSASCLEHDESTETGEIAKSDEVLVNVEVAEESITGRFTDDMTPFQEDLPDGAKYSEDAEFLANPRVDNSRHDVQLQSSSPCREELETVKAPCENLCALDESREHIFEKSVLQINNLPQHIETKNTGEACSDIDDIQHLSALHCPKNPVCQEELPDETNLSADVQYHCDVEKGGAVILNSKMVEEQPENIDLVREPRAQDSFGTNPFMDPGYKANHALADPCPSYQPCFSEEEQDFISELLIPHGNMGIEDLNPVPVADSLWEPATPPDEVPLPSEVMTEEDFRSFCHEYHEMDLTATPESIDDKPASDSNVVSSSLVTSESEFLYCVSAVRTGVDQEESRDAPGDTLMHFSAKADPDDKAANSDLKSDEPFIDEKIHELGVPSVPMELEVEQHALHEVDSHGDSQLLDNDMIDETCSSPSGNSIAVKEKQETCANLVSRAFINERTDELEVPVSNSVLLEPSEEVHDSDEYNYQDVPWSSTDEGRDEVDAHPLSKQIQTQGSEALVLGELDSRAGPSCSVNEMADHVDAPPLSTVLEAEQEPEDCISGEHNSQVTKSSLVDEKIGELDDASPLSNTLLAEMEREVCVPGKSASQIASCSPTPSNEKIDELNAPPLSSSGLIELESEDCVSGDLDSQIIPCSSPNDKTNEPDGATSTHVLPVELEQEVCSFPELDSLVAPCSLNDDKVCELDEPACKQLESENGSYCLPQVDCQIEPCYSESVVLSEASTMSSANAMPSTEETYRLSSPVPPPDEPFSNVSYEDPQKPPPLPPLLWRLGKPRLGIASTKGHMLEPERGKGPVLHTSDAGMDNMPGCLSGMTESIEPVSSQEIKERHLDPILDNNERGVEFRRLATPPTANDVAVTEHVQLFSDACENIKHQERVSSSETEAEEHQNGTGITDVMDSHPPKPLFLVPSISQQGLQGSVFLSDTSDNGEHSSYTSRAVSEDEKTVDDHNAACAMDLHITSSSASSHVSENGCNQQSHGESLPVTSVDKVHTSDASCEDNKLKNHFITSEVCSDATNLSASGLLTEEENIHNVEDQYEGPLPSEESSGCLDYPHDDHNSEKEDIHQPDGYAASPGNNNHFDSSHEGGYLHAEQPPVMGWTVRPQMLHPNYGISMEENQFEPKVEDHLLIKKPVSIRNIPRNPLVDAVAAHDRSTMRKVSELVAPTDKSKPNERNLLLEQIRNKTFNLKPVSSAKQPTIRTPPRASTRNLKVAAIIEKANAIRQAVGSDDEDGDNWSESSDT >OGLUM03G38650.1 pep chromosome:ALNU02000000:3:35464277:35465060:-1 gene:OGLUM03G38650 transcript:OGLUM03G38650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZEY9] MSSSGPPAGDGRDDASGPGPAGAAAAADGSVPVSRSIVERWKMEPAAARARLLLRAVAWLFSLLALVLMASNKHGHGGAQDFDNYPEYTYCLGISIIAVLYTTAQVTRDVHRLSWGRDVIAGRKAAAVVDFAGDQVVAYLLMSALSAAAPVTDYMRQAADNLFTDSAAAAISMAFLAFLAAGLSALVSGYNLAMEVLV >OGLUM03G38660.1 pep chromosome:ALNU02000000:3:35465805:35473361:1 gene:OGLUM03G38660 transcript:OGLUM03G38660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGGAQRRRARVCPPRCCDTPLPSSPLTEAPPPSEAIAGCGEGKGERQRRLDLAHPPPPSSGAFSTLSSYSSTSAIACRSAAAVREGRGSAAEGGKQTRTTMTT >OGLUM03G38670.1 pep chromosome:ALNU02000000:3:35474630:35476533:1 gene:OGLUM03G38670 transcript:OGLUM03G38670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAKAPLLEGRRGATPAQTLGNIVVSIVGTGVLGLPYAFRTSGWLAGALGVAGAGAATFYCMLLLLDCRDKLREQEEEVDHDGNYTYGDLGEKCFGAIGRYFTEVTIILSQTGGSVAYLVFIGQNICSVFPTTAAGGEEPPRRVSPAAVVLAVLLPAEAALSFIRSLSSLAPFSILADACTVLAVATVVREDVQLLAGRGGSPFQGRSALAGLWGVPFACGVAVFCFEGFCLTLALEASMSDRRRFRPVLLHAIAGVTAVYVCFGVCGYLAYGDVTRDIVTLNLPNNWSTAAVKIVLCVALALTFPVMMHPIHEIVEARLFPSAGGWARKRAAVQASRVAVVGAVTAVACFVPAFGEFAAFVGSTVCALLSFVLPALFHLRLVGAAASAWRRAVDGGFLLLGLAFAAHGLYTVVSGL >OGLUM03G38680.1 pep chromosome:ALNU02000000:3:35494909:35499405:1 gene:OGLUM03G38680 transcript:OGLUM03G38680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNSTTLCNTCSCSCVHGSTGSLVKQPDIGSPKGRTRQPPRVDDDDDDDDGRPGDVKNAHLMSLDVAAERLRLFKADLLDYSSVAAAIAGCDDVFHVACPVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMIDVAAQQESSSAAGPRSCSSGRRSFLSRRAHAGLPSPPDGWRPPHFSPPAPFSLSARSPAGEGARGRMKNGWEGEGKGRRHGGDREQGGPTNMWVHYFLD >OGLUM03G38690.1 pep chromosome:ALNU02000000:3:35500748:35503509:-1 gene:OGLUM03G38690 transcript:OGLUM03G38690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein trichome birefringence-like 34 [Source:Projected from Arabidopsis thaliana (AT2G38320) UniProtKB/Swiss-Prot;Acc:O80919] MTTTGSTPPRKNRSNVTGGEGGSLEEYAWRAAGEAAAAKKATRAWGVSVSLRSHFSSLVLLLLLLLVALAVSATTKNGDPAETPHAPPLPPPASIKLPSSSSSGGGDGGGECDLFSGRWVYDEAAYPLYRESACRVMSEQSACEKYGRTDLRYQHWRWQPHGCDLPRFDAEKFLGKLRNKRLVFVGDSLNRNQWASMLCLIDTGAPELHTSINSSRSLTTFKIHEYNASVDFYWSPLLVESNSDHPLRHRVADRTVRAASINKHAAHWTNADVLLITSLSLTDAWGSFDNPAAVVAAAAEEGDEYAVSKVIDSLRAYELAVRTWADWMEFHVDRARTQLFFMTMSPTHLRSDEWEDAAAAAAGGNHGCYGETEPIAAEEYRGTSGTDMAFARAVEAEARRLGERGVAVRLINVTRLSERRKDAHPSVHRRYWDPVTDEQRRNPSSYADCIHWCLPGVPDVWNQLLYAHIVS >OGLUM03G38700.1 pep chromosome:ALNU02000000:3:35510684:35515227:-1 gene:OGLUM03G38700 transcript:OGLUM03G38700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSIPHHRMVVDHCASSRCPPAVAAARIRGRRSVSPVPSAADKISIGLAPPVHGRPPVQAPASSLIPCLQNPADKKQHLCDIGSSDLPCVTPPIRDILCPYLLFPIDTQCSTQVGTQEELVESEGWPSCRSSLGRRPGERATANLFLSFPPSRCHWSGRQQSQGRNDDGGGAPYLLSRARRPRAVDSLLRGQDSLSIFRAKDYDATMEFY >OGLUM03G38700.2 pep chromosome:ALNU02000000:3:35510684:35515227:-1 gene:OGLUM03G38700 transcript:OGLUM03G38700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSIPHHRMVVDHCASSRCPPAVAAARIRGRRSVSPVPSAADKISIGLAPPVHGRPPVQAPASSLIPCLQNPAVGTQEELVESEGWPSCRSSLGRRPGERATANLFLSFPPSRCHWSGRQQSQGRNDDGGGAPYLLSRARRPRAVDSLLRGQDSLSIFRAKDYDATMEFY >OGLUM03G38710.1 pep chromosome:ALNU02000000:3:35515305:35526686:1 gene:OGLUM03G38710 transcript:OGLUM03G38710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERWLKGWTADGGAISAFPARREDFVRRGSVEQNGVEGVSGDVNPGGGQAMGIGGGRAGGGGRSVRQRTARRLIGRGALMAERFPASRVRERNLRGCEWESEREADGPCGAREGAAASPIPLPHALTPETAPPSALPSPTASPICPARRQGCRLAAVYIAAHSVVIESVLGQESRRRRCPLRRSVPHCHRSPLPARPPPTPRRKPFCRQVSANAFHTELLLAATDPCCQVAADAFRTELLLAAPPRRRR >OGLUM03G38720.1 pep chromosome:ALNU02000000:3:35531535:35534711:-1 gene:OGLUM03G38720 transcript:OGLUM03G38720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRALAVVALLAAAAAIAAAQGESPELLPFAVGAAPEGCDVGEGEWVFDEAARPWYAEEECPYIQPDLTCQAHGRPDAAYQRWRWQPRDCSLPSFNATGMLEMLRGKRMLFVGDSLLRGQYTSLLCLLHRGAPGGGGGSRSFETVDSLSIFRAKDYDATIEFYWAPMLAESNSDGAAVPDDRLIRGAPMNKHSTFWKGADVLDMSKDIVEMEAAEAYRLVLHQVTRWLEGNVDPKSARVFFVTASPSHAGAGGECYDQTTPVGAADAASYRGSTSRRMVQVAGEVLAASRVPVGVVNVTRMSELRRDAHTQVYREQRWAKPTAEQLAADPRSYADCTHWCLPGVPDAWNELLYWKLFFPARDEAI >OGLUM03G38730.1 pep chromosome:ALNU02000000:3:35540802:35545224:-1 gene:OGLUM03G38730 transcript:OGLUM03G38730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPQHGGMAGHGGGRTRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLTRPPPHRRQIARPRAPSHHHGGGSSSGGGDVVPPFAVGAAAAAGCDVGVGEWVYDEAARPWYEEEECPYIQPQLTCQAHGRPDTAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMMFVGDSLNRGQYVSLVCLLHRSIPESSKSMETFDSLTVFRAKNYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTALEKHARFWKGADILVFNSYLWWMTGQKMKILQGSFEDKSKDIVEMETEEAYGMVLNAVVKWVENNMNPRNSRVFFVTMSPTHTRSKDWGDDSDGNCYNQTTPIRDLSYWGPGTSKGLMRVIGEVFSTSKVPVGIVNITQLSEYRKDAHTQIYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >OGLUM03G38740.1 pep chromosome:ALNU02000000:3:35546963:35552833:1 gene:OGLUM03G38740 transcript:OGLUM03G38740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTRAHKKPKRKAPCPRLVFPFLPLVPLRFALDRLAVRDRDIQELLVDNQRFAATHVALQQQLIAAQHELRAVSIAATRARAEREDEVRALAEQAARIEAEARAAVAARAEVDQVHADVQVLAGARTELVDRLQDLRGQLARFQAEAGKTESVRAQVETMRREIQKGRAAVEFEKKAHADNLEQSKAMEKNMIAVASEIEKLRGDLANAEKRATAVTATAPVANPGFPTTYGNSEATYPAPAAYGNSETTYAPTYGNTEAAYASTYGSSEAAYAAAYGNSDAYSTNQAHTRTDGNPHYMAPPVHYAQYDSQHTNVQR >OGLUM03G38750.1 pep chromosome:ALNU02000000:3:35554171:35563971:1 gene:OGLUM03G38750 transcript:OGLUM03G38750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine acid phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G09870) TAIR;Acc:AT1G09870] MAAPRTPLPLVLLLVSAALLAAAPLSPAAETGAAAFDVRRHLSTVTRYDVARGSNSVSSAPSMSDECRVIHLNLVARHGTRAPTKKRIKELDRLAVRLKALIDEAKQGPESDSLKKIPSWMKGWESPWKGRVKGGELVSEGEEELYNLAIRVKERFQGLFDEEYHPDVYSIRATQVPRASASAVAFGLGLLSGKGKLGPVKNRAFSVLSESRASDICLRFFDSCETYKDYRKRKEPDVEKQKEPILEHVTSALVNRYHLNFTPKDVSSLWFLCKQEASLMNITNQACQLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIVAKEENHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFAKIQREESLDIPPVPPQGRNWKGSVVAPFAGNNMLALYQCPGKTDGGKISRDQKSSYFVQVIHNEAPVSMPGCGNKDFCPFEEFKEKIVEPHLKHDYDALCKIRPVAREEPSSFSSRMSNFFLGLFSQKGYRVSAQDVKSEL >OGLUM03G38760.1 pep chromosome:ALNU02000000:3:35563126:35566250:-1 gene:OGLUM03G38760 transcript:OGLUM03G38760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDVDEFFGLCDPDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYDDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >OGLUM03G38760.2 pep chromosome:ALNU02000000:3:35563126:35566250:-1 gene:OGLUM03G38760 transcript:OGLUM03G38760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAQVASNPRTVEDIFKDYSARRGALVRALTSDKENLCLYGLANGSWEVALPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDSWLVSVAFFFAARLNGNERKRLFNMINDLPTVYEALVDRKHVRDRSGVDSSGKSKHSTKRTGEGQVKRSRVVAEEYDDDDEEHNETFCGTCGGLYNANEFWIGCDICERWFHGKCVRITPAKAEHIKHYKCPDCSSSSSKKTRL >OGLUM03G38760.3 pep chromosome:ALNU02000000:3:35566256:35566486:-1 gene:OGLUM03G38760 transcript:OGLUM03G38760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRLPGRAASRDQTKPGPFYIGPVEGSSRNPVFCIRLGSSATPRTVAAHRRSSAAARRRRRDRVLVGAV >OGLUM03G38770.1 pep chromosome:ALNU02000000:3:35567181:35568865:-1 gene:OGLUM03G38770 transcript:OGLUM03G38770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OGLUM03G38780.1 pep chromosome:ALNU02000000:3:35570084:35570440:-1 gene:OGLUM03G38780 transcript:OGLUM03G38780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATVQSRWALGPGAHVLARLVDLAAVDAGADLHGGGGGELPLEGGVLVILDGVVGAAGEEPRDGGPPVAKAVTVQFLVVQSLAPCRSTSRHSASSSSGLHGPFILSHSASTPISK >OGLUM03G38790.1 pep chromosome:ALNU02000000:3:35577998:35582280:1 gene:OGLUM03G38790 transcript:OGLUM03G38790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >OGLUM03G38790.2 pep chromosome:ALNU02000000:3:35577998:35582280:1 gene:OGLUM03G38790 transcript:OGLUM03G38790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPERSGTSSSSVLNSGDAGGGGGGGGGGGGGGGLFRFDLLASSPDDDECSGEQHQLPAASGIVTRQLLPPPPPAAPSPAPAWQPPRRAAEDAALAQRPVVAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLEADINFNLSDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKFRGVTLHKCGRWEARMGQLLGKKYIYLGLFDTEVEAARAYDRAAIRFNGREAVTNFEPASYNVDALPDAGNEAIVDGDLDLDLRISQPNARDSKSDVATTGLQLTCDSPESSNITVHQPMGSSPQWTVHHQSTPLPPQHQRLYPSHCLGFLPNLQERPMDRRPELGPMPFPTQAWQMQAPSHLPLLHAAASSGFSAGAGAGVAAATRRQPPFPADHPFYFPPTA >OGLUM03G38800.1 pep chromosome:ALNU02000000:3:35586683:35587087:1 gene:OGLUM03G38800 transcript:OGLUM03G38800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFPSCCPVAANVVAGAHRIPPPELLHRPPLERMVVLAGDEHHPMLPLPSIVGEKVKNSGARSSPFDAARRLHYQCRPEHGHRACHTTPLTNVAGGARIRPSAMAALPSAAAVVAPAPADDAAAACAAWRR >OGLUM03G38810.1 pep chromosome:ALNU02000000:3:35603757:35605684:-1 gene:OGLUM03G38810 transcript:OGLUM03G38810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFKDMKSLSCSSPASTAICPSLERQPMVRPHKGGAIAASPLCQVPGEPRTVHRQDCRRGQQHQHKAAAANGGELVSPAGSSRYLLSSRAAAAEEIQEVEASAAPAVDAKVVREEQAGSDVKNTLTQEQVVVLKVSLHCKACAGKVKKHLAKMEGVTSFNIDFAAKKVTVVGDVTPLGVLNSVSKTDESVCDYISALSVVIA >OGLUM03G38820.1 pep chromosome:ALNU02000000:3:35611553:35615751:1 gene:OGLUM03G38820 transcript:OGLUM03G38820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT5G13520) TAIR;Acc:AT5G13520] MPPVDPHSYTDGDHPVTAKAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSASTASDPPSPIPFSLADAADPVLGSALTLTLPPDTTSFLLTFSTSPSASALQWLSPPQTASSLPFVFSQCQSIHARSVFPCHDTPAARITFDLLLNVPTQLSAVAAARHVSRRDPLPSDHRGACDDALWCAPGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKNYISTFKFKSIDTETFLEFLKTNVPGIENQIDLQLWIEGTGIPPDAMEPESAIYKKICSLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCRCYFNEVEKCLKQVGRMKYLRPLYSSLARCSGEEKMLAHRIFSEAHEFYHPIARSVAESILSKHG >OGLUM03G38830.1 pep chromosome:ALNU02000000:3:35616154:35617157:1 gene:OGLUM03G38830 transcript:OGLUM03G38830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDNHDSSNADKGFHGAYPSGYPGAYPLMQGYPNSPGQYPTPGGYPSAPPGQYPPAGGYPGAQYPPAGYPPSQGGYPPGAYPPSGYPQQPGYPPAGYPGHGHGPPMQGASGYGALLAGGAAVAAAAVGAHMVRPGGGGGHGMFGHHGGKFKKGKFKHGKYGKHKKFGRKWK >OGLUM03G38840.1 pep chromosome:ALNU02000000:3:35624520:35625533:-1 gene:OGLUM03G38840 transcript:OGLUM03G38840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRWWRRRATRQQWGEDLRAGSGGGAAGERRAGSPAGRHPRGPRPRGGVCSVKFGCSTTTAGSFPADGLVGLSGDAVSLVTQLGGEASLGRRFSYCLVPHSVNTSSAFNFGTLANVTELGAASTPLVAGDVDTYYTVVLDFVKVGNKTVHRRRAPASSKQTEQASPDLTLEFGGGGGRAAVALKPENASVTVQEGTLCLAIVATTKQQP >OGLUM03G38850.1 pep chromosome:ALNU02000000:3:35627167:35628122:-1 gene:OGLUM03G38850 transcript:OGLUM03G38850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF13] MAAVSEVEVDGVVFPPVARPPGSGHAHFLAGAGVRGVEIAGNFIKFTAIGVYLEEGAAVPALAKKWAGKSADELAADAAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAAWKAAGVYTDAEGAAADKFKEAFKPHSFPPGASILFTHSPPGVLTVAFSKDSSVPEGAVAAAAIENRALCEAVLDSIIGEHGVSPAAKRSIAARVSQLLKAESTGDVAAAEPAPVSA >OGLUM03G38860.1 pep chromosome:ALNU02000000:3:35629942:35632810:-1 gene:OGLUM03G38860 transcript:OGLUM03G38860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQGAGGGGGRRWTQRPCRTGGGGVVRRTVAALAKEQGATAAAAVPRRAEGTGLSLVGKQRCIRGVSNFRSNTGSTTENDYICSDSRVFNHRNSSGTSTRCSAGEQLKNGMGSCHSQPSVLAELMHFDAAKAKTSFSSSRRSKFSYNRKSLHGSSTTSSYGSPCHPMFNLSKHSTNPKPPPPLKNSAPMSNFSYQLVRSAESPKNAKYSLSEKMSHLLKPPNSSSHQNGNFTVGALKRRHNIAHFGGAINKLMKNEFHKKATPSEGRHWQTLLDNSLIRQNKLYCSEPRNEESTEQSWSSTDSESEKAVCFSSSGSIADLHASVSTDTSDSSDHSMSSSCLSVNDRWKMTFKKVHCALAANLDSMYVTNHKELEQPSPVSVLEIPVEDFSVTKSIKLDLHPESELVRCPSVECTAEVGEIGISDYALGVDGLDASLNGEAIQLVEDIFEEFGDEEEREFSYVLDILIVSGIHGTAEDQLYKVCQSLDCPAGYDVFEKLEKKYMKVAEWSRSDRKLIFDMVNTILSEILAPCLDMHPWVKSARKMAPVWGSEGLLEKILQMLVQRREELGLSKTKPEKKALDRKWPDLSDCIDRVGRDVENMIKVDLLEEMLLDLFS >OGLUM03G38870.1 pep chromosome:ALNU02000000:3:35635139:35638824:1 gene:OGLUM03G38870 transcript:OGLUM03G38870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNSIDHFTSDALFDIAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKSEGEAEAAAAQKSACCGS >OGLUM03G38880.1 pep chromosome:ALNU02000000:3:35638621:35639541:-1 gene:OGLUM03G38880 transcript:OGLUM03G38880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLTFGAEPEMVDSASIAQEVMLLLARSGGGGAGYVVGHGGEAPRVFECKTCRRRFPSFQALGGHRASHKRINTSCSAPKVVVFDFFYVLRDQ >OGLUM03G38890.1 pep chromosome:ALNU02000000:3:35640947:35647759:1 gene:OGLUM03G38890 transcript:OGLUM03G38890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF17] MAAATAAAFAEPKTKYDRQLRIWGDQGQAALEKASICLLTCGPTGTEAMKNLVLGGVGSVTVVDGSKVEQSDMGNNFLLDAECLGQSRAKSVCSFLQELNDAVNAKFVEESPLVLIDTNPSFFSQFTVVIATQLPERSLLKLDDICRKANIVLVAARSYGLTGLVRISVKEHNVIESKPDHFLDDLRLHNPWVELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGRLPSTRQEKNEFKALIREHMLNLDEENYKEAVESSYKVSVTPGISDEIRQIIDDSSAEVNSSSSDFWVLVAALKEFIANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAESDCLALEHHVKDILKRIDRDPDSISRAYIKTFCKNARKLRVCRYRSMEEEFSSPVLSEVQKYFTDEDYCFVMNFYVLLRAVDRLAANYNRCPGIFESEIDEDVPRLKTAAVSVMSEMGMNGAPLSEDLITEMCRFGGAEIHPVAAFIGGVASQEVIKWINLSSG >OGLUM03G38900.1 pep chromosome:ALNU02000000:3:35652492:35653006:1 gene:OGLUM03G38900 transcript:OGLUM03G38900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPRQEEEVSLALALSTDCSSTASDSSAAAAGGAARRKRARRRSVVATSGEGEFVCKTCSRAFPTFQALGGHRTSHLRGRSNGLDLGAIGDKAIRLHRAADKEHRDKHECHICGLGFEMGQALGGHMRRHREEMAAAGGGSSADDWVWRCDARPEGIAAEPPVLLELFA >OGLUM03G38910.1 pep chromosome:ALNU02000000:3:35656043:35657513:1 gene:OGLUM03G38910 transcript:OGLUM03G38910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPRDGEVISLSLSLTLGAAADSGERKKPRRGSSPAASGSGDFVCKTCSRAFPSFQALGGHRTSHLRGRHGLALGLAAATAKETTKKVQEKPAAAATHECHICGQGFEMGQALGGHMRRHREEAAAAAAAVHAPPVLLELFGRPLATEHRPRQGGCRGKIAIEVIESRSGGGHRAGPNLEVFELGHVRSGIGATALAVTEESDTRGLGIDGASSCEALEGGCEADHWSLGRGADGEGEKRAGAGEAEDKGIRRT >OGLUM03G38920.1 pep chromosome:ALNU02000000:3:35658459:35662569:1 gene:OGLUM03G38920 transcript:OGLUM03G38920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVYSDPTDSIDLMGISLANSSSGVAIHDDCKLKFNELQSKRMHRFITFMMDNKGKEIIVDKIGDRTTSYEDFTSSLPEGDCRFAIYDFDFLTAEDVPKSRIFYILWSPDNAKVRSKMLYASSNERFKKELNGIQLEVQATDAGEISLDALKDRVK >OGLUM03G38920.2 pep chromosome:ALNU02000000:3:35658459:35662569:1 gene:OGLUM03G38920 transcript:OGLUM03G38920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDVLKDHTS >OGLUM03G38920.3 pep chromosome:ALNU02000000:3:35658459:35662569:1 gene:OGLUM03G38920 transcript:OGLUM03G38920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANATSGVAVSEECKARFQELRAGRAHRFVVFKIDDAMRQVVVDRVGPRDAGFDELTASLPADGCRYAVYDHDFTVSDATATAAAGEGGEAPRSKIFFVSWSPAAADVRSKMVYASSNEGFKKELDGVQIDLQATDPSELTLDVLKDHTS >OGLUM03G38930.1 pep chromosome:ALNU02000000:3:35663674:35666290:-1 gene:OGLUM03G38930 transcript:OGLUM03G38930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQKQKQEQEQEQEQEQESQQEQEPKPKPSARKAADLICKNCRRPGHFARDCQSTATCNRCNLPGHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >OGLUM03G38930.2 pep chromosome:ALNU02000000:3:35663674:35666290:-1 gene:OGLUM03G38930 transcript:OGLUM03G38930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVCRCYNESIKEQEQQQEQKQKQKQEQEQEQEQEQESQQEQEPKPKPSARKAAHFAAECTSETVCWNCKQSGHIATECKNDALCHTCSKTGHLARDCPSSGSSKLCNKCFKPGHIAVDCTNERACNNCRQPGHIARECTNEPVCNLCNVSGHLARNCRKTTISSEIQGGPFRDITCRLCGKPGHISRNCMTTMICGTCGGRGHMSYECPSARMFDRGLRRF >OGLUM03G38940.1 pep chromosome:ALNU02000000:3:35668158:35671639:-1 gene:OGLUM03G38940 transcript:OGLUM03G38940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G46450) TAIR;Acc:AT3G46450] MASSSKNFQSSSKPGSDRKYQGALVASPAKAISPKTVKQIVPGKHLILSGESTSHLASFLVKVIALEVVRRFSKARCPFIWNSIQALQVLGYPPFRWIQQWAPLKFIVQGIQKLSTPLLFLSVTTTLCDRSSKRNDELGSNTEAPDVPSESDETASTSGIRDVADGTKDTEPENWLISLFKELEKQGITLPERFNEDELRRFYIAANGDFSSLLSSVKKTIRWRETFHILTLHELEKWSHLVFWHGFDTMLRPCLIVRLGLACSSLAPSDRPRFGQAVVSQIDNGIVYLTNKEDPRITVLLDCHGISPFRFPMQMMRSFITIVQENYPNRLGVLFIVRLPPVVRVIAQTLIQVLKPSTKQKLRFEGESYKKTLAEFLQIVPTFLGGKCSCPQCEKPRNISVIQAGEGSKSQPRQITIDDGSPVASMNFDEAELPSPYSCENAIRAAIIGVLMLWVFIAFLAGMNDPESISSHAP >OGLUM03G38950.1 pep chromosome:ALNU02000000:3:35679956:35680831:-1 gene:OGLUM03G38950 transcript:OGLUM03G38950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINKYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >OGLUM03G38960.1 pep chromosome:ALNU02000000:3:35685871:35690709:1 gene:OGLUM03G38960 transcript:OGLUM03G38960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKMWPYKVIPGPGDKPMIVVQYKGEEKHFSAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLFEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVDAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDNNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMGGGMDDDAPAAGGSGAGPKIEEVD >OGLUM03G38970.1 pep chromosome:ALNU02000000:3:35693388:35696876:1 gene:OGLUM03G38970 transcript:OGLUM03G38970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSVPAVYLDPPNWNQQQQQAHHGQLPSGGNGGGGGGGGGGVDAHHHHHHHHQLPPMPPHHGGLMAPRPDMVAAALAASGGGGGGGGGGGPTGGTAVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSSSTSAAGSASATGGTSSSTSSTATGGSSSAAAAAAMMPPQAQLPFLASLHHPLGGGDHYSSGASRLGFPGLSSLDPVDYQLGGAAAAAAAIGLEQWRLPQIQQFPFLSRNDAMPPPMSGIYPFDAEAAADAAGFAGQLLAGTKVPGSSGLITQLASVKMEDSNAQSAAMNSSPREFLGLPGNLQFWGGGNGAGPGGNGDGATGGSGAGVAPGGGGGGGGWADLSGFNSSSSGNIL >OGLUM03G38980.1 pep chromosome:ALNU02000000:3:35704271:35705142:1 gene:OGLUM03G38980 transcript:OGLUM03G38980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQARAGSIGEQRRLVQLQFFADAIFQVSSFPLLLQKQARIKWFRFAFKNVCCILFYYRLDLSDDRISSSAHLKCPSYVCERNIFSSIVVESM >OGLUM03G38990.1 pep chromosome:ALNU02000000:3:35711795:35713227:-1 gene:OGLUM03G38990 transcript:OGLUM03G38990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAVAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGICK >OGLUM03G38990.2 pep chromosome:ALNU02000000:3:35712126:35713227:-1 gene:OGLUM03G38990 transcript:OGLUM03G38990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPSVPPGRHSYLAATPLRRLNPSAAAAAVAVAVLTLRRRKLTGTVRWRRRRRRAALFVGLRSIGYGLMAMTIGIFLSFSV >OGLUM03G39000.1 pep chromosome:ALNU02000000:3:35714959:35718175:-1 gene:OGLUM03G39000 transcript:OGLUM03G39000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFCCGGGAGGRGGRHVAPAALPSDPAYDEGLGHSFCYVRPDKFVVPFSADDLVADAKAAAAAEGEATTFRAISGAALSANVSTPLSTSVLLLMPEESSASATASSGFESSESFAAVPLQPVPRFSSGPISAPFSGGFMSGPLERGFQSGPLDAALLSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARTEKFQDSADLGSPDAAAAAVAACGGDPCGLQWAQGKAGEDRVHVVVSEERGWVFVGIYDGFNGPDATDFLVSNLYAAVHRELRGLLWDQREQNVQHDQRPDQPGSAPSTTASDNQDQWGRRRRTRRSRPPRGADDDQRRWKCEWEQERDCSNLKPPTQQRLRCNSENDHVAVLKALTRALHRTEEAYLDIADKMVGEFPELALMGSCVLAMLMKGEDMYIMNVGDSRAVLATMDSVDLEQISQGSFDGSVGDCPPCLSAVQLTSDHSTSVEEEVIRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYISCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPHGDRRRYHDDVSVIVISLEGRIWRSCV >OGLUM03G39010.1 pep chromosome:ALNU02000000:3:35727523:35734986:1 gene:OGLUM03G39010 transcript:OGLUM03G39010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKIKLTLLSTQTLPMQNLKISTKKTEETITSEELENTEVLVEDQKELAEMLEQVLICMGRAVEEADERNLDDFKWLGQCAAILREAEQRGNVLVGTIFAGRDGDGKAGSEKADDLYGFAHGVSLPEGADSWKLHELEERLQQALVDIGESVEIVPVLDIRRMEWLARWADVLKEAERQGYGVLDAVRAIADKEIMECDLEIDQLRSFVHSVESLAEDMEYFDSLELPGKFISILSKTE >OGLUM03G39020.1 pep chromosome:ALNU02000000:3:35735007:35736815:-1 gene:OGLUM03G39020 transcript:OGLUM03G39020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPVSSPSAPRLSPSAARAAEQHCLRLLAASSTPTSLLQSVAFLLKSGLHANSLVLTRLFAASASAAPALLDPLVAALLRPSIPLDAFLANTLIRAHATSPIHSLRLRAAAFFPLMLRGAVVPNKFTFPFLLKACAALPGSPDVGLQAHAAALKFGFATDQYVSNTLIHMYSCFGGGFLGDARNVFDRMPKESAVTWSAMIGGYVRGGLSSDAVELFREMQANGVQADEVTVIGVLAAATDLGALELARWVRRFVEREGIGKSVTLCNALIDTLAKCGDVDGAVAVFEGMQQRSVVSWTSVIDALAMEGRGKEAVRVFEEMKVAGVPPDDVAFIGVLTACSHAGMVDEGCGYFDAMKVEYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPIQPNPVIWRSLVSACRAHGRLELGESITRSLLHEYPAHEANYIMLSNVFALTQRWKEKSEIRREMSKRGIKKVPGCSIVELDGEVHEFIAGDESHPQYKDIYRMVEEMARELRRVGHIAATSEVLLDLDEEDKEGALQWHSEKLAIAFALLRTPPGTQVRVVKNLRVCSDCHAAIKCISQVYRREIVVRDRSRFHRFKDGSCSCKDFW >OGLUM03G39030.1 pep chromosome:ALNU02000000:3:35737437:35741150:-1 gene:OGLUM03G39030 transcript:OGLUM03G39030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39630) TAIR;Acc:AT2G39630] MAAAGWPLSSSVADLHPASLSLTLLLASLVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSAAFFEDPNSLNKVRCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSLAPSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGSGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKSAMYLL >OGLUM03G39030.2 pep chromosome:ALNU02000000:3:35737437:35741150:-1 gene:OGLUM03G39030 transcript:OGLUM03G39030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39630) TAIR;Acc:AT2G39630] MAAAGWPLSSSVADLHPASLSLTLLLASLVHPLPPSAPFLLRLLALLIPSPRPSRAQVVVVVLGAAAFFFEHIRKIGCTHSLERTEVSAAFFEDPNSLNKVRCPSIYDPAEKYISLIIPAYNEEHRLPEALTETLNYLKQRSAVEKSFTYEVLIVDDGSTDHTSKVAFEFVRKHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVRALAKKAESSLAPSNSPSQRLSDAEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGSGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMAEVSVNWTEIPGSKVRMTSILHMVFELLLIKSAMYLL >OGLUM03G39040.1 pep chromosome:ALNU02000000:3:35742893:35751614:1 gene:OGLUM03G39040 transcript:OGLUM03G39040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGWGARIKDGSPQPGASGMFSRSGAKDGSRLSGCSSRASSASMPPTAKTECEILQSANVKIFSFSDLRIATRNFRPDSVLGEGGFGSVYKGWIDENTLSACKPGTGIAVAVKRLNQESLQGHREWLAEVNYLGQFCHPNLVKLFGYCLEDEHRLLVYEFMPRGSLENHLFRRGSHFQPLSWNLRMKVALGAAKGLAYLHSSEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEMMSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSHVGAQTVATLALECLSYEAKMRPSMEAVVTILEELQEFSHVDRKPAAERRQESTTGTGKKAPTANASKNSGKPRRKSLGETREKIGPNPTALVRSH >OGLUM03G39050.1 pep chromosome:ALNU02000000:3:35748876:35751015:-1 gene:OGLUM03G39050 transcript:OGLUM03G39050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAPRVLVLVVATVVALRVSPAAGRIPGAYGGGEWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNSGQSCGACFEIKCVNQPGWEWCHPGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKKGGVRFTINGFRYFNLVLITNVAGAGDIVRASVKGTSTGWMPMSRNWGQNWQSNSVLVGQALSFRVTGSDRRTSTSWNAAPAGWHFGQTFEGKNFRV >OGLUM03G39060.1 pep chromosome:ALNU02000000:3:35794650:35801265:1 gene:OGLUM03G39060 transcript:OGLUM03G39060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAGGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVTLDPLLSSHQRDFDLARCCIVACQNLGPLEKGGVIVGVDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDAAISCELGMRVLSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIFMELRTVGLQREAPKCSDLKAQAQPAAFVRVWHRESAAEL >OGLUM03G39060.2 pep chromosome:ALNU02000000:3:35794650:35801265:1 gene:OGLUM03G39060 transcript:OGLUM03G39060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAGGTADFFYREAQRLGYVARSAFKLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDAAISCELGMRVLSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIFMELRTVGLQREAPKCSDLKAQAQPAAFVRVWHRESAAEL >OGLUM03G39060.3 pep chromosome:ALNU02000000:3:35797568:35801265:1 gene:OGLUM03G39060 transcript:OGLUM03G39060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G13830) TAIR;Acc:AT5G13830] MSGAGGTADFFYREAQRLGYVARSAFKVSAFLLTPLARTVCQLLPSHARSRLLCPSQLIQIQKQHKLIAPGAAVLDLGCAPGAWLQVACQNLGPLEKGGVIVGVDVKKVKVPSAHCDSRVRTVCADVMALMKQQARAMSPQERGFSVILSDMCPVVSGITTKDAAISCELGMRVLSLAVGKMKAKDSDCIAILEKFQSSTEPDPDEDGILRRGGSLVIKFLENEDIPGFGKFCKEKFKKVSLLRPKATRSSSREIFMELRTVGLQREAPKCSDLKAQAQPAAFVRVWHRESAAEL >OGLUM03G39070.1 pep chromosome:ALNU02000000:3:35795092:35796834:-1 gene:OGLUM03G39070 transcript:OGLUM03G39070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVYNKLKERSDQFVVRGLVRTEESKQKIGGGNDVYIADIRDRDHLVPAVQGVDALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDTAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKSEQYLADSGVPYTIIRPGGLQDKDGGVRELIVGNDDELLQTDTKSIPRADVAEVCVQALQYEETKFKAFDLASKPEGTGTPTKDFKSLFSQVTARF >OGLUM03G39080.1 pep chromosome:ALNU02000000:3:35799298:35800761:-1 gene:OGLUM03G39080 transcript:OGLUM03G39080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWNGSGAYLFGKWAPSLLSPEYDQAVAGGVTRGARPKLNYKAASTTENAIFIRIWLSGALKLL >OGLUM03G39090.1 pep chromosome:ALNU02000000:3:35801626:35801895:1 gene:OGLUM03G39090 transcript:OGLUM03G39090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMGHGEEVAAAMEEEELQGWETPRREECRIPVVPPQCPAPPRKRPVALPELGKERREPPKGGYFQPPDLESLFVLAPPRRQASSCA >OGLUM03G39110.1 pep chromosome:ALNU02000000:3:35814213:35816318:-1 gene:OGLUM03G39110 transcript:OGLUM03G39110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVLAVLRWRQRRWLVELVALLLLLARGGAAAVDEFLFPGFSGDGVATSGAAAVTSTGLLQLTNETKEVFGHGFYPKPVSLRNASTGAAASFSTTFVFAIVPKYPDAHGHGLAFALAPSVAVPGAVAGKYLGLFNTSDSTGQIKNKIVAVELDTARDDEFADIDDNHVGVDDNSLKSVNSSPAGYHDAATGGKLASVNLIGGEPLQVWIEYDGDSAWLEVTVSPAGMLRPAAPLVSCTVNLSSAVAGDTYVGFSAANGAAASSHYVLGWSFRLGGGGRAQDLDLAKLPRLPSPSKPKKTLPPLIILTILLLSVVILLLAAAAVAALVVRSRRYAEEEEEWEIEYGPHRISYKDLHGATKGFRDVIGAGGFGSVYHGVLPRSGVEVAVKKVSHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELVLVYDYMANGSLDKHLFAGGERTALSWEQRGKIVRDVAAGLLYLHEGWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGANPQTTRIVGTLGYLAPELSKTGKATTSTDVFAFGAFLLEVACGRRPMEFTVDDDSPGLVELVLEHWKAGEITAARDPRIGDCDEDDLEVVLKLGLLCSHPDPRRRPSMRQVVQILEGAAPAPETLPEDLECGVGQFYGESFDEFVTGFPSTSEITTSTTQSTDEQQRLVGCVQLSTADFLKTT >OGLUM03G39120.1 pep chromosome:ALNU02000000:3:35822137:35827696:-1 gene:OGLUM03G39120 transcript:OGLUM03G39120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHMSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRTHAQGGEAPSSGGAGDGAAGKEFSWSSIILPFLFPALGGLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >OGLUM03G39120.2 pep chromosome:ALNU02000000:3:35822137:35827696:-1 gene:OGLUM03G39120 transcript:OGLUM03G39120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHMSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYSGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRLLFGYDIGATSGACISLQSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLAMHGAPLYIAETSPSRIRGTLISLKELFIVLGILTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >OGLUM03G39120.3 pep chromosome:ALNU02000000:3:35822137:35827696:-1 gene:OGLUM03G39120 transcript:OGLUM03G39120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59250) TAIR;Acc:AT5G59250] MAAKVGLFPSSSLTTSPHAIAAAPTNAPRPRGARGLASPGRSAAAMDAFHMSSQAAEPLLRTTGAAAAAAAAATATSNPRLRVRNPDPNPPRPNYSRRRFFYSGARCGHMRRAARRPPAVAPEMARPGRSSRGRPSSFRKIAPLSISLSAELSGTTWFSLSSIQLGLVASGSLYGALGGSLLAYRVADFLGRRIELVTAAALYISGALVTGFAPDFVLLIIGRLLYGIGIGLTGYLVGSLEIDVVGGWRYMFGFGAPLAVIMAIGMWNLPPSPRWLLLRAVQGKASVEDNKKKAIQALRSLRGRFRSDRVLADEIDDTLLSIKAAYAEQESEGNIWKMFEGASLKALIIGGGLVLFQQITGQPSVLYYATSILQTAGFAAASDAAKVSILIGLFKLLMTGVAVFKVDDLGRRPLLIGGIGGIAVSLFLLAAYYKILNSFPFVAVGALLLYVGSYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPANIFLLFGAISLLSLVFVILKVPETKGLTLEEIESKLLK >OGLUM03G39130.1 pep chromosome:ALNU02000000:3:35832298:35832795:-1 gene:OGLUM03G39130 transcript:OGLUM03G39130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSALFLAFVLLAVFLGALPFAESSGRHHHHHHHHSHLHGRGTSKSRGIERYLLSWSDFLLGSGAKLKEKYLGFFSGEGERGGGEARSLAAKGAAAAWPCCDSCGGCTKSIPPQCQCMDARPAGCHPACKSCVKSSLSVSPPVYQCMDRIPNLCQRRCTAAAR >OGLUM03G39140.1 pep chromosome:ALNU02000000:3:35838782:35841049:-1 gene:OGLUM03G39140 transcript:OGLUM03G39140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRGGGHGEAAVDGGDERWVEDSSVDYQERPPLRAATGSWKAAMFIILIEFSERLSYFGLATSLMIYLTKVLQEEMKFAAKNVNYWTSVTTLMPLVGGFLADGYLGRFSTVLFSTLIYLSGLILLAISQLSPRLKPERNLHLHETLFFVAIYLVSVGTGGHKPALESFGADQFDDGHAAERVQKMSYFNWWNCALCAGVLLGVTVIVYLQEKVGWGDGAVVLAAVMAASLAVFLAGWRHYRYRVPEGSPLTPLVRVLVAAARKRHLHLPADANELYEVKPQNIKRRLLCHTDQLRFLDKAAVVEHDGGEERRGAWRLATVTQVEETKLVLAMVPIWVATLPFGITAAQVSTFFIKQGSVMDRRMGPHFTLPPASTFAMAAIGMIVAVAVYDKVLERYLRRLTGGERGLSILKRIGVGIAFTIVAMAVAATVERRRLRSASPASMSVFWLVPQFLLMGIGDGFALVGLQEYFYDQVPDSMRSLGIGLYLSVIGAGSFLSSQLITAVDRVTSHGGAAAGWFGKDLNSSRLDLFYWLLACIGVANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIECAAAAAAAAY >OGLUM03G39150.1 pep chromosome:ALNU02000000:3:35856804:35859438:-1 gene:OGLUM03G39150 transcript:OGLUM03G39150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLSFLETSALEALNVEKAFQTILKDIHQIISKKALAAQEAAGSGPPTQGTTINVTDSAVNTKRGCCYS >OGLUM03G39160.1 pep chromosome:ALNU02000000:3:35861164:35864496:-1 gene:OGLUM03G39160 transcript:OGLUM03G39160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPKQEELQPHAVKDQLPAISYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYNGIADPHEKFVRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQIILLVALSQYIPKLVPLLGTAFERFAIIMSVALVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFIVGFSVFMGLSVPQYFNEYTSVAGYGPVHTHARWFNDMINVVFSSKAFVGGAVAYLLDNTLQRHDSTARKDRGHHFWDRFRSFRTDPRSEEFYSLPFNLNKFFPSF >OGLUM03G39170.1 pep chromosome:ALNU02000000:3:35866123:35871652:-1 gene:OGLUM03G39170 transcript:OGLUM03G39170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEARCTAVAGDVAEAAARGEQRWQSGGMGAPGPAESGAGSSSPPHRYGEPKHQMVPALREMITEVMQKSSIEKLFMAIEPLIRRMVKEEIESAFANHAIMMARTVMDVVPPTSKNFQLQFMTKLSLPIFTSSKIEGESSLSITIALVDTVTREVVASGDESLMKVEIVVLEGDFEGGEGDDWTAQEFNNNIIRAREGK >OGLUM03G39180.1 pep chromosome:ALNU02000000:3:35874023:35877843:-1 gene:OGLUM03G39180 transcript:OGLUM03G39180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 2 [Source:Projected from Arabidopsis thaliana (AT1G74850) TAIR;Acc:AT1G74850] MALVSTTATVASPSYHYCDLLFPPARRWCCRARRRGGGRGRVAVVAARAPREAAAAAVVVENGGHSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPSESRTALSYTSLIAAYARNALHEEARELLDQMKASGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDPSPSVRPDLTTYNTLLAAAAVRALSDQSEMLLRTMLEAGVLPDTASYRHIVDAFAGAGNLSRVAELFAEMAATGHTPDPSAYLGLMEAHTRVGATAEAVAVLRQMQADGCPPTAATYRVLLDLYGRQGRFDGVRELFREMRTTVPPDTATYNVLFRVFGDGGFFKEVVELFQDMLHSEVEPDIDTCENVMVACGRGGLHEDAREVLDYITTEGMVPTAKAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAFAKGGLFQEAEAIFSRMTNNAAIQKDKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSMVTVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASMIKGEYDDDSNWQMVEHVLDNSNLEGCDYSLRFFNALLDVLWWFGQKGRAARVLDQALKYGLFPELFRDTKLVWSADVHRMSVGGSLVAVSVWLNKLYDRLKGDEDLPQLASVVVFSLIARRGQMEKSTVTRGLPIAKVVYSFLNDTLSSSFHYPKWNKGRIICLKSQLKKLQAAIDSSNGAAISGFVPMTNSHLPSPGSKLYTRESQADNGSAHLTDETLVEEKESELLAL >OGLUM03G39190.1 pep chromosome:ALNU02000000:3:35878034:35880470:1 gene:OGLUM03G39190 transcript:OGLUM03G39190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13440) TAIR;Acc:AT3G13440] MAGGDSAAVSAVEGRLSELSTNSDEKGLPRRGKSLSGRTLNTAQIQLVASHPEVYEPCDDSFALVDALLSDKAQLLMLKPRLCMEIGCGSGYVITSLAIMLRQLGSGTQYLATDINQHAVETTQATLEAHGVHADVIATDIVSGLEKRLHGLVDVVVVNPPYVPTPEEEIESNGIASSWAGGINGRQVIDRILPAVRELLSERGWLYMIALEDNDPLDICHLMNEKGYASRVLLKRCTEEESLYVLKFWQDASTGTNASHAARSPRSESSWLSQLPFRSLWHKNA >OGLUM03G39200.1 pep chromosome:ALNU02000000:3:35881467:35891931:1 gene:OGLUM03G39200 transcript:OGLUM03G39200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSAAGDGGSGIMESMVEDKRKAQRPAKRARKPNKVSDGDRQDATPPTADGNQILSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSKFLQHGLNKTKG >OGLUM03G39200.2 pep chromosome:ALNU02000000:3:35881467:35891932:1 gene:OGLUM03G39200 transcript:OGLUM03G39200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKNVNGKVVAKRTVAVDWAVPKKVYTVAAAKSSAKDDELVNVSDKGSDEESEDNLVGEDDSYELDQEASNRPADDDFETEIDISRKVLENLIKSSEKAEPSGNEGSDVDTDTETEQDTSEKKQKQTHLPASVPAADKLENSKRVAEEENTLPASKFKKQDAGLDRTIFISNLPFDLSNEEVTERFSAFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFIKSRALKIMKALDKESAHKKELEKSKNEVEDRRNLYLTKEGEILAGTPAAEGVSDADMNKRSWLARRKAEMLQSPKFHVSRTRLIIYNLPKTMTINDVKKLCREAVISRAHKQNPIIRKVNILKNEKKSNSTAQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALENIEKVRLQKIWKERRDKLREGAQDKARPLGDHSATDGPQANNTRAFNKGHKRKTHDRSSKLSNSGKGSAEDLSAAGDGGSGIMESMVEDKRKAQRPAKRARKPNKVSDGDRQDATPPTADGNQILSSKHNQAGTPTKRKNRKDSHSEQRRGKAPKTKKEPAGEGGVDKSLVEQYRSKFLQHGLNKTKG >OGLUM03G39210.1 pep chromosome:ALNU02000000:3:35892379:35893839:-1 gene:OGLUM03G39210 transcript:OGLUM03G39210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADVAFKALTAGLGVATLYLAGTFSVNVYRGLSWHSEQSVSKTLARLDHQTLDFSPPLAGEPLIRDLSRSPECYFDLM >OGLUM03G39220.1 pep chromosome:ALNU02000000:3:35895021:35896538:1 gene:OGLUM03G39220 transcript:OGLUM03G39220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPSPAVEGGAAAAAAGHGGRERHVLLFPLPYQGHINPMLRLAGVLRARGFAVTVFHTHFNAPDAARHPEHRFVAVPDGMSGARPPPVSVGDVVKHIRALNAACEAAFRDRLAAVLAEYSRDAVACLVADAHLLRMVEVARRLAVPTLVLRTGSAACFASFLAYPLLCDKGYLPSSQLDMPVSELPPYRVRDLMHIGRDGHELMCELLARAVAAVKLSSGLILNTFDALEAPELAKLRRDLAVPVFDIGPLHRFSPAADGSLLHQDRSCLAWLDAQAAESVLYVSFGSLASMGARELVETAWGIAGSGVPFLWVVRPGLVRGRRAAPDEPTRLLLPEGFEAATRRRGVVVAWAPQEEVLRHRAVGGFWTHSGWNSTTESLAEGVPMLCRPSFGDQMGNARYVEHVWKAGFEVGGELERGAVEAAIRRLMAESDGGEMRARARDLKKAAAECTGKAGSSETAIVKMVTHMLSL >OGLUM03G39230.1 pep chromosome:ALNU02000000:3:35897180:35907681:-1 gene:OGLUM03G39230 transcript:OGLUM03G39230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQLTSLREQCARLLEAVEAGEIAGHAAARLFGSYHSKLRVLLAVHGGGHLDAAAARRPAARWTDRSPPPRQSLIASMMRTLEYAMDEAKDFMSVTDEQDEAEEGDDGDDGKASTAMRACCYYQPLRPPCPSIPPGHKPQQHHLFLSSSRSKDVKLRAILDEDRLTGARPWYSLFGMSFSDLYDHFPESVWDICEDSPADAIEKKEHKGWMQLRNAMNNRYIRALDTNFDESFVDSIVFDKTLYKYAILGCKVNADGIMNEGIISSSERNKIIHALERMKDDIEIGKFKWRDGADVHTSIVEALADMIGDQAKGLAVESKCDSCLMILETWSKNSIDHIMTQLKQLQAALVLLAIKNDGFVLPGEKEIEGTSLLMRIVKALDSDASKLRNCLGGICSTDGVIFLGLSTKSVVEILDLSIQLVKSISFDMEKAQNCLPRGYDDVMRFAHFLTTKGIDSVTAYALVHLCLDKQLQPSELTLDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKQMLKWCSKLRIDPAATICS >OGLUM03G39240.1 pep chromosome:ALNU02000000:3:35909588:35916967:-1 gene:OGLUM03G39240 transcript:OGLUM03G39240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLLVVVGSSAAAWADVPAGSRVQVVQVACGARRPATTSMAVRCVGRGGGKTGPVLGKPSDDDVRACMDLLLSPPPSLQVFACTKSVYEVVEACSFRDFSCVLLVLLCMSAVARFLRQIEPSICFLGSGVKQALSRCVSWGSFLFLPVHDAFLSQIEPSMCFLGSGVEQTKLVKIAFRMAVVTAVFLRRVQANSNCADMSLQVSSLQEECARFLDSFVAGEEIAGHDAVRMLVGCHDKLTVVLSAYGGAGRDDVDEGQAPPVVVPPGGQIDTRLLDLFLIGTSELPTNWSDRTLVAQLTCSLYLAIEAVTKFIATTEKGVPLEQADAEGSHQSLHVASKAAGLTSSSSRSKDVKLRAILHEDSVTGVRPWFSLFGMSFSDLYDHFPESVWDICEDSPAEALEKKEHKGWMQFRSEMNSRYNKAVDISFDDRFADSIVFDKTLYKYAILGCKVNADEIMNQGVISASERNKIIHALERIEGDIEIGKFKWRDGADIHTSIVEALADMIGDKAKDLAVESKYDSCLMILETWSKNSIDHIMTQLKQLQVALVLLAIKNDGVILGEREIEGTTCRESLLLRIVKVLDYDASKLRSYHGSISCSNDGVMLLRTSYPENQMFSKLSSMASFAESINNCIPNHLRQLLEKVLSRRNILLLTPNGETTIYDTVLSKFGSIEQTRHHGDVAISKCLTIGFGNVRESPQTCEIEDAKHYLFSSTKSVVEILDLSIQLVQRILFGMEKAQNSLPRGYHDVMRFTHFLTTKGIDLGTAYALVHLCLDKKLQPSELILDKHELKQIDFHCERAHYLLEYKGSIFGDSTDLDACKKMLKWCCKLRIDPAATISS >OGLUM03G39250.1 pep chromosome:ALNU02000000:3:35927580:35931891:1 gene:OGLUM03G39250 transcript:OGLUM03G39250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAAADDGSGVPVFAEYSLDELRVATDGFSPERIVSEHGEKAPNVVYRGTLFSTGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSVRLANLIGCCCENGERLLVAEFMPHETLAKHLFHWETKPLSWAMRVRAAFYVAQALEYCSSKGRALYHDLHAYRVLFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVIPESVVYSFGTILLDLLSGKHIPPSHALDLIRGKNYLVLMDSCLEGHVSSSDGTELIRLASRCLQYEGRDRPNLKSVVSALGNLQKDASAPSHALLGIQHDKENTERISLSAIAKVYARADLDEVHEMLENDGYCEDERATFEVSFHSWTGQQVSDSILVKKHGDSAFQSKDFATAVECYSRFIDTGVMVSPTMLARRSFVYLVLGKLQEGLADAKKAADISPEWPTAHYLQGMAYLAMGMEPEGHEELKQGAALEAERNAR >OGLUM03G39260.1 pep chromosome:ALNU02000000:3:35933378:35934533:-1 gene:OGLUM03G39260 transcript:OGLUM03G39260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPEPTQLEKEQMFGMMEKEMEYRVDLFNRLTQTCFDKCIEKRYKEAELNMGENSCIDRCVSKYWQAS >OGLUM03G39270.1 pep chromosome:ALNU02000000:3:35934926:35938459:1 gene:OGLUM03G39270 transcript:OGLUM03G39270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 13 [Source:Projected from Arabidopsis thaliana (AT3G09210) TAIR;Acc:AT3G09210] MSLAYPLLRLPCRCSLAAAAAPPPPRASPGPTISVSMSVDGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >OGLUM03G39270.2 pep chromosome:ALNU02000000:3:35934926:35938459:1 gene:OGLUM03G39270 transcript:OGLUM03G39270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 13 [Source:Projected from Arabidopsis thaliana (AT3G09210) TAIR;Acc:AT3G09210] MSLAYPLLRLPCRCSLAAAAAPPPPRASPGPTISVSMSVDGGEGELTGRERRKQRGERRELRARDWKEEVQERLIHEPARRRKKPPKRTWRENLNLDFLAEHGPQWWLVRVSMAPGTDYVDLLTKAISRRYPELSFKIYNPSIQVKKRLKNGSISTKSKPLHPGLVFLYCTLNKEVHDFIRDTEGCYGFIGATVGSIKRQIKKPKPIPVEEVESIIREEKEEQERVDREFEEMENGGIVESFNKPVEDSELMLMNKIKRQFKKPISKGGSNHNAFTPGASVHVLSGPFEGFTGSLLEVNRKNKKATLQLTLFGKESFVDLDFDQIEAVDT >OGLUM03G39280.1 pep chromosome:ALNU02000000:3:35939116:35940597:-1 gene:OGLUM03G39280 transcript:OGLUM03G39280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT5G58960) TAIR;Acc:AT5G58960] MANKAVTIGDLIHRVATSCLSNRLPGSYAVSDSGDTDFDDDDDDDPFADAISGAGGERRRTPSSSEEAEAEAEADDEGEDGGEGGEEEDEENLKIWEEKRKVKAAAAVAASGAERAREAETLMAEVFDAVSGVRRAYSDLQGAHCPWDPDKMRSADAAVVAKLRHLARLRDRFRRSVATGGHIPGPIPTAPPLREAVAPYEAALDDLRRQLQAKQAEVDGLKEKLAVASNRRNSRHHPSKHNASGGGGGAPTAELFAACAEQARAAIRAFAGHLLQLMRAAGLDLAAATRSLTKIPVSSPQLAKHALEAHVTRVLLVGFEHESFYLDGSLSSLLDPAAFRRERYTQFRDMRGMEPAELLGLLPTCPFGRYAASKFAALLPPRVEQAVLGDGEHRRAVEGGAHPRTPFYGEFLRAAKAVWMLHLLAFALETPPSHFEAGRGAEFHPDYMESVAGGRGGGAAGMVVGFAVAPGFRLGNGGVVRARVYLVPRGGRP >OGLUM03G39290.1 pep chromosome:ALNU02000000:3:35943749:35954927:1 gene:OGLUM03G39290 transcript:OGLUM03G39290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in exine formation protein (DEX1) [Source:Projected from Arabidopsis thaliana (AT3G09090) TAIR;Acc:AT3G09090] MRPLLAFAAVCALLVAAAAPAAAEEEKANKFRQREATDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVHKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTQGVDSMKRASKEEPVESKPNSTRGQENMDVLNNLNSTDAGNNSSLSTTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKAATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYVDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYFFDHEYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWERPTVGDVNGDGRTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >OGLUM03G39290.2 pep chromosome:ALNU02000000:3:35943749:35954897:1 gene:OGLUM03G39290 transcript:OGLUM03G39290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:defective in exine formation protein (DEX1) [Source:Projected from Arabidopsis thaliana (AT3G09090) TAIR;Acc:AT3G09090] MRPLLAFAAVCALLVAAAAPAAAEEEKANKFRQREATDDMLGYPHLDEDALLKTKCPKHVELRWQTEVSSSIYATPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKLPGWPAFHQSNVHSSPLLYDIDKDGTREIVLATYNGVVNFFRVSGYMMMDKLEVPRRKVHKDWYVGLNPDPVDRSHPDVHDSSIAKKAASEESHPNIQDKPVVNESSKESQSRSTNDSTTQGVDSMKRASKEEPVESKPNSTRGQENMDVLNNLNSTDAGNNSSLSTTTENASHVQRRLLQTDEKSNQAGSSETDASDTGTAKAATVENSEPLEADADASFNLFRDVEDLPDEYNYDYDDYVDETMWGDEDWKEQQHEKAEDYVSIDAHILSTPVIADIDRDGIQEMVIAVSYFFDHEYYDKPEHLKELGGIDIGKYIASSIVVFNLDTRQVKWTAELDLSTDSGNFTAHAYSSPTVVDLDGDGNLDILVGTSFGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTADVHGNVAAWTAEGEEIWERPTVGDVNGDGRTEVVVPTVSGNIYVLSGKDGSKIQPFPYRTHGRIMSPVLLLDMSKHDEKSKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKHFWVEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGERRIVVNAAYNEPGKQRMKLPTVPVRTTGTVLVEMVDKNGFYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFSVLVILRPQEGAPLPSFSRNID >OGLUM03G39300.1 pep chromosome:ALNU02000000:3:35951939:35954380:-1 gene:OGLUM03G39300 transcript:OGLUM03G39300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPAAKRQFSTTSQGKEVSGISSCKPTYQRYQSCPPEVYRRQASSYSVPSSEISRSSVRSSGSFRAAAQSLAGVFSCFVPRKSRNEDELEISRTTISQGSRSTGYQVSIDPGTGYPQESTELTVAEIFKATSNFSDKNIIKQGSYSSIYRGKLRDGSEIAIKCARKLNSQYASAELRRELEILQKIDHKNLVRFLGFFEREDESLTVVEYVSNGSLREHLDESCGNGLELAQRLNIAIDVAHAITYLHEFKEQRIIHRNVRSSNVLLTDTLTAKLAGVGLARMAGGESSESEDTQGKSAAGYVDPEYLSTYELTDKSDVYSFGVLLVELVTGRPPIERRRDLDPRPTTKWALQRFRGGEVVVAMDPRIRRSPASVATVEKVMELAEQCVAPARKERPSMRRSTEALWSVRREYHRRQDAPAAAAAVAAAPTQDRSSDWVKVEFNHNHSKLITANYSLRPILSAVVGFRI >OGLUM03G39310.1 pep chromosome:ALNU02000000:3:35956804:35960089:-1 gene:OGLUM03G39310 transcript:OGLUM03G39310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDLPPGGSGQKPPGGPPGNRKGKRGAEAPPATSSSTPTTARRSKRLAGAPPDHPAEAGPSSTNARRSSRLAGAPPATPGAAAAAPTSSSPTAARRSNRLAGAPPDPPAAAAAPPTSSSPTTAMRSNRLAGAPSDPPAAATAGPTSPSPTTARRSNRLAGAPPDPPAAATAGPTSKTARRNKRLAGSGDPVEAGQTSPSSTTARQSKRSAGKSPAIPEGSGQPSSAEKSKRTADASSADPAEARPSSLSPTTAPVRTTAVSVSVRKAAEGQRRTTTSGRGDAAEQEAMREAVLYVRRERSVVAPDDLTSPHNEPRVAAAMALSWARSAGAGGLWNTRGRARAHVQPMREVILGARRERTVLAADDPASPYNEPRVAAATTLSWGSCGTPRSAKTMREAVLQAPAHDPASPYLEVQEVEVSKEFGCTQQ >OGLUM03G39320.1 pep chromosome:ALNU02000000:3:35965089:35968589:-1 gene:OGLUM03G39320 transcript:OGLUM03G39320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQISLEHRYKECRPRRNNSCPTKTLVGKDQLKELEHRRPSPSVIAKLMGLDVLPPAYVAHNRHQEFKDVFEVSEEPQEAVTKERSHNFPKGLPSLKRSALKLRKLMPSKSPYGDETFDNNVVNQDGFDRLNSLEINNPLFEKHPYDVNCSPNYRYEKDSTSSTFRKYPVGLGNSSLKEIVVLELGLGEVQHSGNAFSTPEPSDVNKNFRRKMKQAEFSTTNRDSQNLLGTKDIDVPRIKGERHLTSNAVDSLLKRQDSSLDQYNTVDTDNTGSSQKCVSSEVNSRKSNRSSSNSSPWKIRRKYEEGAIGSKTLAEMFALSDSERLKRDSDSHVQIQDNKLNRGDNNDKEGCFIVLPKHAPRLPPHSLLDKNSSCERSPHDIFFSNTSISHNSGQFHFDSLWDKPTRQQISSPTQDDLRNASCARYHTLEQHRSASPSYDNTRNNSWHLTDDFSTFACINEKVLFTTDEDLLRKPTETVHSSFGSRLSGEQKVSASPFHCGVYEAITISDHTCAAKSRRSLKEVDRPSPVSILEPPTDEDSCCSGYLKNDSQVMPSIDKQIYGCELRYEQEVSLSSDNDNDSSDQSLEAFEVEEEKEFSYLLDILISSGVIVADSQLLFKSWQSSGYLVGPHVFDKLERKYSKVATWPRPQRRLLFDLANSVLSEILAPCIDTHPWAKLSRNCCPVWGPEGPVEVVWQTMVRQQEELAVAHPDDKILDPEWLEFGEGINMVGWHIARMLHGDLLDDVILEFLSGFVAS >OGLUM03G39330.1 pep chromosome:ALNU02000000:3:35970533:35970892:1 gene:OGLUM03G39330 transcript:OGLUM03G39330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGSRVAAAGVAPASSSSSAGRRRPSRVAMAVGATRGKPAPAEEEKSLADFIFGFIFKKDQLVETDPLLNKVDGAPPSGSTVSRKPPAKKPAASAADEEGGGGGFNLGALFAKKG >OGLUM03G39340.1 pep chromosome:ALNU02000000:3:35971816:35974473:-1 gene:OGLUM03G39340 transcript:OGLUM03G39340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G07290) TAIR;Acc:AT1G07290] MAARLLFDLRSDMVSAASMSLDYRPEYDDIEEAKSPNTSVAAVAVKNADASVYKIIHGFLKQKNNSIIKVAANVARKAASNKLSRKTSDVFDSLIQKQQSKWGNKTGPLLSGICYCIASCSMILLNKVVLSNYNFNAGISLMLYQNLISVIILLVLELFGVISTEKLTWKLIKVWIPVNLIFVGMLVTGMYSLKYINVAMVTILKNMTNILTAVGEIYIFRKGQSKKVWAALCLMVISAVCGGITDLSFHPVGYMWQLFNCFLTAGYSLTLRRVMDVAKQSTKSGSLNEVSMVLLNNALSIPLALILIVIFDEWQYVYEVEVTRDPMFWAFATASGLLGLAISFSSVWFLHRTGPTTYSLVGSLNKIPISVAGILLFNVPVFLLGYSLQRQKCPNVYL >OGLUM03G39350.1 pep chromosome:ALNU02000000:3:35976213:35978549:1 gene:OGLUM03G39350 transcript:OGLUM03G39350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G47120) TAIR;Acc:AT3G47120] MNPLTQVKRTQVINQKEALLGIGEDGSWHAKFKDSAYVFVGGIPYDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIVRVDHVSKYKKKEEEDEEELQKKREARGVCYAFQKGECNRGASCRYSHDEQRNANTGWGSKEESKARWEHDRHHEPPMSHKKFPSSAGEQRFPDRAKEENKSTGREGQSSRSEAYKDRDSRLRHSDRGSKDHDRYRHDRSPERSRGDRQRNNDRYAQGRDEKSERYRSEVKHDEGDQKRSRRDTDSSGHYERRGNEDSERYRKSRR >OGLUM03G39360.1 pep chromosome:ALNU02000000:3:35979117:35984223:-1 gene:OGLUM03G39360 transcript:OGLUM03G39360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVLSLRIAPSTPPLGLGGGRFRGRRGAVACRAATFQQLDAVAVREEESKFKSGAAEGCNILPLKRCIFSDHLTPVLAYRCLVREDDREAPSFLFESVEQGSEGTNVGRYSVVGAQPAMEIVAKANHVTVMDHKMKSRREQFAPDPMKIPRSIMEQWNPQIVEGLPDAFCGGWVGFFSYDTVRYVETKKLPFSNAPEDDRNLPDIHLGLYNDIVVFDHVEKKTHVIHWVRVDCHESVDEAYEDGKNQLEALLSRLHSVNVPTLTAGSVKLNVGQFGSALQKSSMSREDYKKAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEVYRALRIVNPSPYMAYLQARGCILVASSPEILTRVEKRTIVNRPLAGTIRRGKSKAEDKVLEQLLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNVERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDQMEGKMRGPYSGGFGGVSFRGDMDIALALRTIVFPTGSRFDTMYSYTDKNARQEWVAHLQAGAGIVADSKPDDEHQECLNKAAGLARAIDLAESTFVDE >OGLUM03G39370.1 pep chromosome:ALNU02000000:3:35987273:35990811:1 gene:OGLUM03G39370 transcript:OGLUM03G39370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific phospholipase C1 [Source:Projected from Arabidopsis thaliana (AT1G07230) TAIR;Acc:AT1G07230] MAGGGGRERRGGGRLLVGVLLLTLVVSGHCLESTHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLSRTRPDIDGLNGTQSNRLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPAPMSGFAQNARGMGLGMPQNVMSGFKPESVPVYAALADEFAVFDRWFASVPTSTQPNRLYVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCEMFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALIITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFDRLGVRVPSFLISPWIEKRTVIHEPNGPQDSSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPEKLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASPSSDLSSEL >OGLUM03G39380.1 pep chromosome:ALNU02000000:3:35992027:35994999:-1 gene:OGLUM03G39380 transcript:OGLUM03G39380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01470) TAIR;Acc:AT5G01470] MSMLPSETEMSWSPATARKRALQSQDEQRDPKLHKPTPTPTPMETALFSAASLFRDADDDQDEMQVDADEQVQSVQYEERAHKFPGMELKIREFSSHQLNANMLWPGTFLFADWLVKNKSILHGRRILELGSGTGALAIFLRKAFQVDITTTDYDDGEIQENIAYNCKANDLGVLPHIRHTWGDQFPVLIPDWDIVIASDILLYVKQYPNLTRTLSFLLKEYKGCSQNAGSSASTAITNKSGTQVPVKFPIFLMSCRRRIGKDQSLFFEECEKAGLEVQHLGALVYLIYTKQ >OGLUM03G39390.1 pep chromosome:ALNU02000000:3:35997237:35997919:-1 gene:OGLUM03G39390 transcript:OGLUM03G39390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVLGDENRVLARRNKSLTREEVEAFWKQHGGEMMSGSPLGSPAAGGMARSAPVSRSKAHASSPRGGRIDPATRVEGFFPHDDAAAAAAESPSKSHDWWTRSNWAFLNEPPQEEIAGKAQSYAPQFHVARIATGNA >OGLUM03G39400.1 pep chromosome:ALNU02000000:3:36002917:36003896:-1 gene:OGLUM03G39400 transcript:OGLUM03G39400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWNSPVLGDEKKVRLMRNRSLTREEVDAFWRRQQRKQPPSSSTSSEPNATTSPLASPRAAANVVSPLASPRAAGDISPLAASPGRAQQEMSSSRCTLALRRLERMNSMPSPLARTVMTRADDHPYQSYSHSEPPSPAAPHAGDHRHQRSSFAADHDDDDDDVASTSSECWWTRSSWAFLNETPSPEQQMFGKSQTYACVQFHVSRVVTGNA >OGLUM03G39410.1 pep chromosome:ALNU02000000:3:36033040:36036420:1 gene:OGLUM03G39410 transcript:OGLUM03G39410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX domain gene 4 [Source:Projected from Arabidopsis thaliana (AT5G15330) TAIR;Acc:AT5G15330] MKFGKDFRSHLEETLPAWRDKYLAYKSLKKLIKNLPPDGDPPPVAAAAEVPAGDGDGDGGIALGNWFARVLDMELQKLNDFYIEREEWYVIRLQVLKERIERVKAKKNGAFTSKSEFTEEMLEIRKAFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRARHQPFFTTEPLTRLVRECEANLELLFPIEAEVLESASSSAKLQPQNDDAASHDPASSVDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLARFFHGEDGEACSGAITSESDSYSDSQIEDAEDDDKEVQSREQNTAQNAAEGQPRDE >OGLUM03G39420.1 pep chromosome:ALNU02000000:3:36036846:36040174:-1 gene:OGLUM03G39420 transcript:OGLUM03G39420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAAGYTAAALVCAAAATVIALVHIYRHLLHYAEPIYQRFIVRLIFMVPVYAVMSFLSLILPDNSIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVFVILKPILVVITFILYAKGKYADGNFSVKQSYLYITIIYTVSYSMALYALAVFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLAAKSRFIKNAEKAADLQNFVLCVEMLIAAIGHLFAFPYNEYAGPNGRPSGDFKGSLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDSTQRKYPSASTVPSGRDVELSGISVMPANSAVTSSVSSNQADQEETMSTPIKDRVDNVGLYDLTDLLDVDLSNYPAKVPAISDVRKQ >OGLUM03G39430.1 pep chromosome:ALNU02000000:3:36043994:36049505:1 gene:OGLUM03G39430 transcript:OGLUM03G39430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (RH3) [Source:Projected from Arabidopsis thaliana (AT5G26742) TAIR;Acc:AT5G26742] MLPPPRHINPRLPTTSRPAARFISYHFPPLSLSLPPPPFSHLSSPMASLLTLPSLSLSNPSASAAAAAGAGAAPSLRLRAAFRCWALRRAGGGRWAAAGAIASPNSVLSEHAFKRLQLSDEEEEEEGAYGSDEEGAYGSDEEGVEAVGGGEGDEDELAIARLGLPEQLVSTLEKRGITHLFPIQRAVLIPALDGRDLIARAKTGTGKTLAFGIPMIKQLMEEDDGRSVRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVKYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPGWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTSTSKRTVLSDLITVYAKGGKTIVFTKTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKAGTAILMFTNSQRRTVRSLERDVGCRFDFISPPAIEDVLESSAEHVIATLRGVHTESIQYFIPAAERLQEELGPNALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGYGRGFFSPRSVTGFLSDVSSAAADEVGKIFLTADEKVQGAVFDLPEEIARDLLSMELPPGNTITKVTKLPALQDDGPATDSYGRFSNSDRGFRNRRSRGGGSRGGRGGWDSDGEDRFRRGGRSFRSDNDSWSDDDFGGGRRSNRSSSFGGRGSSYGSRSSSSFGGRSSSFGSRDR >OGLUM03G39440.1 pep chromosome:ALNU02000000:3:36056440:36056817:1 gene:OGLUM03G39440 transcript:OGLUM03G39440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRVAAKAPAAAAAWPYVEYMARWERQVERRQLFLRSYHFSRDVELSPRARARRVVWVGLRRLRRAAATGLRRLRARLRLCFAWVSRRRNIHRRGARFGRYGRFSGGAAHAPAPAASSSMCFW >OGLUM03G39450.1 pep chromosome:ALNU02000000:3:36061456:36062412:1 gene:OGLUM03G39450 transcript:OGLUM03G39450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEIALEPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPRFTSKRKTSGRRQRAVGGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSAWPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPWAPTMEVAGGGDEFIETTNGPCMGEEEIIQRLAAGETLDDILGTNPN >OGLUM03G39460.1 pep chromosome:ALNU02000000:3:36063008:36065128:1 gene:OGLUM03G39460 transcript:OGLUM03G39460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT1G48350) TAIR;Acc:AT1G48350] MLASPALAGARAFAATVSGSLGIPIPAISAPSPSQARRRASLVVVAKVKVSTPQADRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKSCTLVSASTMHKSLSKDLEYSAGPTVEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAARENGLDF >OGLUM03G39470.1 pep chromosome:ALNU02000000:3:36069581:36083810:1 gene:OGLUM03G39470 transcript:OGLUM03G39470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVRPRPAAAAIIIAAVFGAAAAAAGGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFAQASSRGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVVTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAAVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLDDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRRPASSHRKTRLGSGGDSDALRLPRTLLIRFISLSRSISSFIQDNFLASFSLENPTRATDTRDDHLSTSPHPSIPSSFTGAQRSPASTQLNLTCAAAAAGKPPNKLAAAGPLRRCSGLPREDTRGGFRGRHGGCRLLRSESDAAEPSTDLNPRPPPWRAAVAPGDGMVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWAGDAGHNLTADDDFFTSTIVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKSVDGRHLVTPGLEGFYGAGEHESKELNPWGIYYGTNYVATHRAAAATFFRNWTRSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDGDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRRTRLGNSLSCGGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >OGLUM03G39470.2 pep chromosome:ALNU02000000:3:36069581:36079109:1 gene:OGLUM03G39470 transcript:OGLUM03G39470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTVRPRPAAAAIIIAAVFGAAAAAAGGGMVGVDGTQFVVEGGRTIYFSGFNAYWLMMMASDPARRAAVVAAFAQASSRGLNLARTWAFSDGGDQPLQSSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLTNNFDDFGGKRQYVRWAADAGHNLTAGDDFFTSSVVKSYYKNHVKAVVTRVNTVTGVAYKDDPTIFAWELMNEPRCDADPTGGMVQAWVEEMAPYVKRVDGGRHLVTAGLEGFYGDGEHESKELNPWGIYYGTNYVATHRAAAVDFATIHLYPDVWLWGSTADEQAAFFRNWTRSHVHATAAFLGKPLLVTEYGKFLWKGGGANKTQRNYFLDVVLDAIYASASRGGPLVGGAFWQLLLDDDVVAGMDDLRDGYEIILAEDSRAASIIGEHSEQLASLNGQDAEALRRRRRRPASSHRKTRLGSGGDSDALRLPRTLLIRFISLSRSISSFIQDNFLASFSLENPTRATDTRDDHLSTSPHPSIPSSFTGAQRSPASTQLNLTCAAAAAGKPPNKLAAAGPLRRCSGLPREDTRGGFRGRHGGCRLLRSESDAAEPSTDLNPRPPPWRAAVAPGDGMVAVDGTQFVVDCGRTIFFSGFNAYWLMMMAADPALRGAVATAFQQASAHGLNLARTWAFSDGGDQPLQSSPGVYNETMFQGLDFVIAEARRHGIYLLLCLTNNFDNFGGKRQYVRWAGDAGHNLTADDDFFTSTIVKSYFKNHVKTVLTRVNTLTGVAYKDDPTIFAWELMNEPRCYADPTGAMVQAWVEEMAPYVKSVDGRHLVTPGLEGFYGAGEHESKELNPWGIYYGTNYVATHRAAAVDFAXDTCSTCHAYLICSCFTA >OGLUM03G39470.3 pep chromosome:ALNU02000000:3:36079008:36079538:1 gene:OGLUM03G39470 transcript:OGLUM03G39470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIILNNHCEGCAIDIRKAVEKMFGVKRVWVDMYGRRVVIAGRKVDATKLRERLRRKTGKSVTIVSDGTPPPPDDVPFVGMMHLAPSPPYYPLPAPPPPPPPGSYLYGGNYPCQNPYHQYVQGGMPARFVGDDTYDDGCCSMQ >OGLUM03G39470.4 pep chromosome:ALNU02000000:3:36082287:36083810:1 gene:OGLUM03G39470 transcript:OGLUM03G39470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACINFSSSRATFFRNWTRSHIDATAAYLGMPLLVTEYGKFLWKEVGANKAQRNYFLDLVLDAIYASASRGGPLVGGAFWQLLLDGDIVAGMDSLRDGYEIILAEDSRAASIIGEHSEQLAALNGQDADVLCRRASSHRRTRLGNSLSCGGGDTLELLLRMVLACFVSLSRSISSFIVQNFILL >OGLUM03G39480.1 pep chromosome:ALNU02000000:3:36078147:36081333:-1 gene:OGLUM03G39480 transcript:OGLUM03G39480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAAAAVIRHRTVEANGISIHVAEAGGEGGDGAAVLFLHGFPELWYSWRHQMEHLAGRGFRCLAPDLRGYGDTDAPPEIESYSAFHVVGDLVALLDALGLAKVFVVGHDWGAIIAWYMCLFRPDRVTALVNTSVAFMRHEPGVAEEEFAPAHARHIIRRTLCNRFTVHKAGKPESEESPPPPPLPLPAWLTEEDVDYFAAAFERTGFTGGINYYRNMDRNWEMAAPWADAKVQVPTKFIVGDGDLTYHYAGIQDYLHKGGLKAEVPLLEDVVVIPGAGHFIQQERAEEVSDLIYNFITKFIPQPN >OGLUM03G39490.1 pep chromosome:ALNU02000000:3:36086075:36090744:1 gene:OGLUM03G39490 transcript:OGLUM03G39490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSEGAWQGDNPLRFSLPLLIVQICLVVVFTRGLAYALRPLRQPRVIAEIIGGILLGPSALGRNKRFLDNVFPKDSLTVLDTLANVGLLFFLFLVGLELDPASLRRTGRTALAVAAAGISLPFALGVGASLVLRAAIAPDAPRGPLIVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDITAWVLLALAIALSGSGSPLVSIYVLLCGVAFVGFATVAVRPVLVFMARRSPEGEPVKESFVCAILVIVLAAGFATDAIGIHALFGAFVIGVLVPKEGACAGALTEKVEDLVSSLFLPLYFVSSGLKTDVTTISGAKSWGLLVLVMTTACAGKIGGTVAASLLMRVPLREALALGMLMNTKGLVELIVLNIGRDRKVLNEEAFAILVLMALVTTFMTTPAVTAVYKPARRQASYKHRTVERADADSELRVLACFHASRGIPTLINLVEASRGTRRSKLTMYAMHLVELSERSSAISMVQRARRNGLPFASRRGHEGGGGGGGEVVVAFEAFQRLTAVTVKPMTAISDLDTIHDDIVASALDKRAAIILLPFHKMLCHDGTLEPVDRAFHQVNVRVLRDAPCSVAVLVDRALGGAAQVSAPDVSYSVLLLFFGGADDREALAYASRMGEHPGIALTVARFTAAADDAAEDDDAIQKHISNVRKAGNDGAFKYDEVSAHGRQEVAFAIKTLGRGKNLVVAGRSAAVATPLVDKTDCPELGHVGSYLATPEFSTTSSVLVVQKYDSRGDTGTSSSSHAGGEATVEESGVPIRRP >OGLUM03G39500.1 pep chromosome:ALNU02000000:3:36110303:36114781:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPIRIRAAGARAELEHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGMVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPAMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >OGLUM03G39500.2 pep chromosome:ALNU02000000:3:36110303:36111552:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPIRIRAAGARAELEYGQSRGQREELQ >OGLUM03G39500.3 pep chromosome:ALNU02000000:3:36110303:36114781:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPSTPSMPSIGELLDPSSPSPIPLLPHPLPPLSSHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGMVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPAMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >OGLUM03G39500.4 pep chromosome:ALNU02000000:3:36110303:36114781:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPIRIRAAGARAELEYGQSRGQREKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >OGLUM03G39500.5 pep chromosome:ALNU02000000:3:36110303:36113963:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPIDSSSWTMFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANHDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDSSTPAASAAMLNTGNFVLYDMNRQVIWSTFSFPTDTLLAGQNLRPGRFLLSGVSQSNHASGKYRLENQQDGNLVMYPTGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKILFHANQPSNASPDMEIYYRLTFDPDGILRLYSHVFFKLGRAPTTEVEWLEPGSDRCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCACDIAMFSDSYCSKQMLPIRYGRMPGNTTLFVKIYTYQTISGTRQRAMSIHANSALISGVSLAIFSLFVLLVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGMVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPAMRPAMKSVALMIEGAIEVHQPPPPASYSQSLT >OGLUM03G39500.6 pep chromosome:ALNU02000000:3:36110303:36114220:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MAKILYITQTGTRRRLHFPRYRHRPPPDFLTRGSGGATAHRRTSTARPSTPSMPSIGELLDPSSPSPIPLLPHPLPPLSSHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGMVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPAMRPAMKSVALMIEGAIEVHQPPPPASYSQSLT >OGLUM03G39500.7 pep chromosome:ALNU02000000:3:36111570:36114781:1 gene:OGLUM03G39500 transcript:OGLUM03G39500.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZF96] MFPHILFLSLLLLVPEDPNFAAGASANESFITLGSSINTSSTQYWSSSSGRFAFGFYPNGEGFSIGVWLVIGVSRTIVWTANHDEPPIAGGSIIFGHGGALQWSRTPSTPGSQLNPISDSSTPAASAAMLNTGNFVLYDMNRQVIWSTFSFPTDTLLAGQNLRPGRFLLSGVSQSNHASGKYRLENQQDGNLVMYPTGTIDSGSAYWSTWTFNMGLLLTLSLDPNGTIWMFDRKNSYTKILFHANQPSNASPDMEIYYRLTFDPDGILRLYSHVFFKLGRAPTTEVEWLEPGSDRCLVKGVCGPNSFCHLTVTGETSCSCLPGFEFLSTNQSTLGCWRALPTGGCVRNSSNDETRVTTTMVEVKNTTWLENPYAVLPATTSIEACKLLCLSDCACDIAMFSDSYCSKQMLPIRYGRMPGNTTLFVKIYTYQTISGTRQRAMSIHANSALISGVSLAIFSLFVLLVASLLLICRHRRSLAHMTMTAPRQEDSRIDGNIVGLRSYSFQELDLATNGFGEELGKGAYGMVFKGVVADTNQDIAVKRLEKMAEDGQREFNREVRVIARTHHRNLLRLLGFCNEGIHRLLVYEYMPNGSLANLLFHSDASPAWSKRVAIALDVARGLQYLHSEIEGPIIHCDIKPENILIDSLGIAKIADFGLAKLLIGNQTKTFTGIRGTRGYLAPEWSKNRAITVKADVYSYGIMLLEVISCKKSMDLKRAGEEYNISEWAYECVMFGDAGKVADGVDEAELVRMVNVGIWCTQSEPAMRPAMKSVALMIEGAIEKNDEVCLKRCKVQQGSSVYHQFKYMSLHHGTP >OGLUM03G39510.1 pep chromosome:ALNU02000000:3:36115453:36116409:-1 gene:OGLUM03G39510 transcript:OGLUM03G39510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEIALEPGYVFHPSDDGLITLFLRPSIAKIPFEDRLINHADVYSADPAELVGEHRPAPGTHGSSSVWYFFCSPRFTSKRKTSGRRQRAVGGGGGGESVWKSEGGKKAVIGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDAIDGADKQVLCKVYRSPRAVCAEARTAAAAKSADSPCSGSKRKADDGADHPEAPPSAWPRQEEAGSEHGEQPAILPELDLDALLSAPMDDSLGVEFDTATTEQYMRYLMNDEPLPWAPTMEVAGGGDEFIETTNGPCMGEEEIIQRLAAGETLDDILGTNPN >OGLUM03G39520.1 pep chromosome:ALNU02000000:3:36119131:36121635:-1 gene:OGLUM03G39520 transcript:OGLUM03G39520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >OGLUM03G39520.2 pep chromosome:ALNU02000000:3:36119133:36121635:-1 gene:OGLUM03G39520 transcript:OGLUM03G39520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRLLAASTKIVGVGRNFVAHAKELGNPVPKEPVLFLKPTSSFLHAGVAGAAIEVPEPVESLHHEVELAVVISQRARDVPEASAMDFVGGYALALDMTARELQSAAKSAGLPWTLGKAQDTFTPISAVIPKSDVANPDDLELWLKVDDELRQKGSTSDMIFKIPSLISYISSIMTLMEGDVILTGTPEGVGPVRPGQKIKAGITGLIDVEFDVQKRKRSFST >OGLUM03G39530.1 pep chromosome:ALNU02000000:3:36122227:36124814:-1 gene:OGLUM03G39530 transcript:OGLUM03G39530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRHRTVEANGISMHVAEAGPGGGTAPAVLFVHGFPELWYSWRHQMGHLAARGYRCVAPDLRGYGGTTAPPEHTSYTIFHLVGDLVALLDALELPQVFVVGHDWGAIVSWNLCLLRPDRVRALVNLSVAFMPRRPAEKPLDYFRGAYGDDYYVCRFQEPGVEKELASLDLKRFFKLALIVQTTGSSAMSIKKMRANNREVTLPPWLSEEDISYVASVYAKTGFAGGINYYRCFDLNWELMAPWTGAKVLVPTKFIVGDGDLAYHLPGVKSYIHKGRLKKDVPMLEEVVVIKGAGHFIQQERAQEISDHIYNYIKKFNTGVSSPKSSRL >OGLUM03G39540.1 pep chromosome:ALNU02000000:3:36133379:36134047:-1 gene:OGLUM03G39540 transcript:OGLUM03G39540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSMAMFVVVLMCASAMAAQARLPPGSSPLVAACTAGPFSKLCVKDLGHRLLDIQTVLTSVSNHGAAIAGAPGQVDFRSLVAVAMEAATESGAVAATVFEGKLPGFNKSVPDFKACLDNCSVTVSSAMKEIHGAAAALKAGDDEVAKTLVLRAINDVTMCTYSCRELNGDMAVILEHSLVQFQKMMRIAVNFISKMKKSPLPPPPRSTPPAPPTPHRLH >OGLUM03G39550.1 pep chromosome:ALNU02000000:3:36137446:36148041:-1 gene:OGLUM03G39550 transcript:OGLUM03G39550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRINSPLARYIKRPPTPSSCSQHKQQLATLTITSPAKESRRRSMAKPSAAPVTGVPVGSAAWSTGLCDCFDDCSLCCMTCWCPCITFGRVAEIVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAGAAGAAPAEMARPHHNDWSSGLFACFNDCEVCCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHGHCLYSCCYRGKMRDSFHLPEEPCCDCCVHALCLQCALCQEYRHLKRLGYDPSLGWLGNNQHPDKPTAGAASGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRVAEIVDRGSTSCGHSGALYVFLAVITGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAPAVQHMGR >OGLUM03G39550.2 pep chromosome:ALNU02000000:3:36137446:36146811:-1 gene:OGLUM03G39550 transcript:OGLUM03G39550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRGCLTTVCPCITFGRSAEIVSRGERTCCAAGVLCVLLGFFAHGHCLYSCCYRGKMRDSFHLPEEPCCDCCVHALCLQCALCQEYRHLKRLGYDPSLGWLGNNQHPDKPTAGAASGVPVGSAPWSSGLFDCFDDYGLCCMTWWCPCITFGRVAEIVDRGSTSCGHSGALYVFLAVITGFQWIYTCTYRGKMRAQYGLSGEPCGDCCIHCWCEPCALIQEYRELAARGYDPKLGWHLNMERRAAAAAAPAVQHMGR >OGLUM03G39550.3 pep chromosome:ALNU02000000:3:36147155:36148041:-1 gene:OGLUM03G39550 transcript:OGLUM03G39550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRINSPLARYIKRPPTPSSCSQHKQQLATLTITSPAKESRRRSMAKPSAAPVTGVPVGSAAWSTGLCDCFDDCSLCCMTCWCPCITFGRVAEIVDRGSTSCGTGGALYGLLCAFTGCQWIYSCTYRGKMRTQYGLAEAGCADCCVHFCCEPCALCQEYRELVARGYDPKLGWHLNADRAGAAGAAPAVQYMGR >OGLUM03G39560.1 pep chromosome:ALNU02000000:3:36152868:36153473:-1 gene:OGLUM03G39560 transcript:OGLUM03G39560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRGSVRLLLLILLLRPAAAGSPLMMTCAKTPHPDVCITVLGAIPECRNTGDPRVLAENAVRSAATIGAAAGTFARAELDIVKDTDMWQCLDECAQDIEEAVSHLDDTEGGVVDLDAKFKDVRLFMDVAERDTWSCEESCRDAPDSTVKATLLDKNEAFEKFMRVTGALIEMVIGTAGEPAPEPSADEHSDELIPDVQL >OGLUM03G39570.1 pep chromosome:ALNU02000000:3:36160073:36160381:-1 gene:OGLUM03G39570 transcript:OGLUM03G39570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRFLLHCHGFVIAAAVNGERFELRRDGGDPGESLLEFLRSRTCFPGAKLGCGEGKATPTISPSSSAALLPFDRLRRASLMVGAGVRGGGLV >OGLUM03G39580.1 pep chromosome:ALNU02000000:3:36160599:36162796:-1 gene:OGLUM03G39580 transcript:OGLUM03G39580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAGPVTGVPIGSAAWSTGLCDCFDDCGLCCTTCWCPCITFGRVAEMVDRGSTSCATGGTLYALLCAFTGCQFAYSCVYRGKMRAQHGLGDAACGDCCVHCWCESCALCQEYRELVARGYDPKLGWHLNVELF >OGLUM03G39590.1 pep chromosome:ALNU02000000:3:36164313:36164870:-1 gene:OGLUM03G39590 transcript:OGLUM03G39590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAPVTGVPVGSAAWSSGLFDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGASGALYALLAMVTGCQCIYSCTYRGKMRAQYGLADAACGDCCVHCWCESCALCQEYRELVARGYDPKLGWHLNVERGAAAAPAVQHMGR >OGLUM03G39600.1 pep chromosome:ALNU02000000:3:36169561:36170220:-1 gene:OGLUM03G39600 transcript:OGLUM03G39600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSAAAWSTGLLDCFDDCGLCCMTCWCPCITFGRVAEMVDRGSTSCGTSGALYALLATVTGCQFVYSCVYRGKMRAQYGLGDDAACADCCVHFWCNKCALCQEYRELVARGYDPKLGWDLNVQRGAAAAAAPAVQHMGR >OGLUM03G39610.1 pep chromosome:ALNU02000000:3:36183179:36183724:-1 gene:OGLUM03G39610 transcript:OGLUM03G39610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLRLVVVAAAVAISLRGAAATAVTVEDACRHTTHEAYCVKALSARPESRAAALDMPALAEAALSMAAESGAAATSFVRNLAKMPGGMPPECLEGCVAKFQEAVAELRRSEAAMEVRHDAAGAKAWVTEARADGETCMDECRMTEGGAAPEIADRIDELAKLCSIALALTDASMSKHP >OGLUM03G39620.1 pep chromosome:ALNU02000000:3:36184023:36188491:-1 gene:OGLUM03G39620 transcript:OGLUM03G39620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAVAAAGAAAASGTALLAYILLACCRPQPAPEAGEEEEEESRLLSSGAEARGREAGDGGEEEEEEWPYRPPSTCCEAAAVAARTARRTWDLTVGRWGLHGIAFGIKRHMKRQGDLQHEYSGNDCLQLKGHDAHTEVAYLLEHLKICMFYSKKTFSAFLQFGGYNQEDILIHKARARLMQPSFALVRDKKSKCFLLFIRGAISTKERLTAATAAEVPFHHIVLSEGQISNVVLGYAHCGMLAAARWIANLAKPHLHKAVQEFPDYQIKVIGHSMGAGIGAILTYILHEHHEFSLCTCLAFAPPACMSWELAESGKEFVTSLINRNDVVPAFSKVSAENLRAEVMVSSKLDDEQDQAHFSLFTAISKRVAFIKSHMLSVSHPTEKNTDPDSSISEPLLKHVPEITQPVTNGLSTDCNQHQTDLVANTEQDFSAVSVVTSEEKIVLSSNDNVISTKSVAGSGFAAQGDVNINGSLDTEQEQSSLTGQEEPESLKQNCDIKDKLKEPLPTCSSRQFFPPGRIIHMVAMASPDPNPGEGSSSNEIISIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLLKDDNVDTITNDL >OGLUM03G39630.1 pep chromosome:ALNU02000000:3:36192962:36194608:1 gene:OGLUM03G39630 transcript:OGLUM03G39630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMADGGGGSKAQAVREVCAASAAFSACPHRRRQRSPPFVDWYLVLAVADAASEDAVRRRYRQLALQLHPDKNTHAKAELAFKIVSEAHACLTDGARRRAFDDERAESYCAACHDRFRHRAERRTPPATTATTTSTGGARHGKYRGGGGGCGGRRTPVAAQALREVQNRLRDECRVIDSCLKANGGGARRRQSFPLFDPSDRLRFPGYPHTRPPPFAAEFCRFEENLAADRNQRWCGTGAGESPVYQIRTAPERAARTKRHW >OGLUM03G39640.1 pep chromosome:ALNU02000000:3:36195420:36200544:-1 gene:OGLUM03G39640 transcript:OGLUM03G39640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 24 [Source:Projected from Arabidopsis thaliana (AT3G16810) TAIR;Acc:AT3G16810] MAGGGDLQSPKKRKRDAAGKPKTLAKGGDDGKKWKRPSDANMAQGGGGGEVKVKKEPVTAKEKRLAAKEMAESRKKKRKRNYSLEKELTVLWEKMRCHNVSSTERSKLVSEALRKMDGKYSEIAGSHVTARVLQTCVKLCSQSERDAIFEALQPDLLTLSLKKYAVFLVKKLIKRATKKQFEWFISSLHGRVAKLLRHTIGASVVDFAYQLATPPQKRRLLLELYSTELQLFTDLTGQKTHSLLETISNLGLQKSSVLQHMTTVIYPILEKGIVEYPIVHTAVLEYFTIADKTSATDVIRQFIPLLTQGSSAIDGDEPSVAPELQKKLKAKKKRLTEPLLVRIMNTREGLKIGVSCLKHGSAKDRKKIIKSLKGHIMKLALSDYGCILLVAILSIVDDTKLVTKIVIQELAKHLKQLIFDKDEASESAAEDIPENKVDVATNKEQDGSEGMQTENKVDAATNKEQDGSESMQSASDSKKDPFQRRHELLIKSELAEVLIQTLIENVGELLRTNFGKDVLHEVAVGGEDNILEGITDRIYSLHNAIASDAARPKTEDTEHAFDNYHSSRLIRRLILESPAFAAILWKKALEGKCKTWADGHSSKVVAAFLESPDSKVRDLAKAELQPLVDRGILKIPDYKAVEK >OGLUM03G39650.1 pep chromosome:ALNU02000000:3:36201685:36203566:1 gene:OGLUM03G39650 transcript:OGLUM03G39650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSVEKGSGSIDPDERTASGEPKACTDCHTTKTPLWRGGPSGPKSLCNACGIRYRKKRREALGLDAGEGGAERQEKKKSKRERGEEVTMELRMVGFGKEVVLKQRRRMRRRRRLGEEEKAAILLMALSSGVIYA >OGLUM03G39660.1 pep chromosome:ALNU02000000:3:36206979:36210987:1 gene:OGLUM03G39660 transcript:OGLUM03G39660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSGGATSSSSRNSVERFYLPPHSRRQQQQQQRLRSPTSPSLSPSPSPRSGRHKAAAAAPPAVAAAAAVGAGVLTDGDSRVDSDDSSSTSSKPSVASTATATTTAADVNVTAVEESGNLERFLTSTTPSVPFQYLPKTSLKMWRTGDCTNTSPYFCLEDLWESFREWSAYGAGVPLLLNGSDSVTQYYVPYLSAIQLYADPSRSVSRTRRLGDESDGEYLDASSESSSETDVDRLRVSSVEATHGMANGSLRTDDADGYASASSPIFQYMERDPPFCREPLTDKVSILASRFPALKAFKSCDLLPSSWMSVAWYPIYRIPTGPTLEDLDACFLTFHCLATPSKDSDSTTPACPGFGGISPCANATGKLSLPAFGLASYKLRSSIWASDGTQGQRVTSLMEEAGNWLSCVQVEHPDFRFFVSRSAALSTSAYGT >OGLUM03G39670.1 pep chromosome:ALNU02000000:3:36211956:36212180:1 gene:OGLUM03G39670 transcript:OGLUM03G39670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLEEFRGGSAPPRDGDERTVASSSSTPWWSGDPEAKRRRRVAAYKAYAVEARVKASLRRGFRWIKDRFVRRW >OGLUM03G39680.1 pep chromosome:ALNU02000000:3:36212802:36215292:-1 gene:OGLUM03G39680 transcript:OGLUM03G39680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosylformylglycinamidine cyclo-ligase, chloroplast / phosphoribosyl-aminoimidazole synthetase / AIR synthase (PUR5) [Source:Projected from Arabidopsis thaliana (AT3G55010) TAIR;Acc:AT3G55010] MAAAADRLLHLLRAAPASPAPAAAAAPSSLGRGAFSHKPRRSLRLPSLAAAGASGMRRVAVACSPAGAGGSGVEGDEEGMTYKGAGVDIDAGTELVRRIGRLAPAIGGFGGLFPFGDQFLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYKEGEYDLSGFAVGAVKKDKVIDGKNIVEGDIIIGLPSSGVHSNGFSLARRVLEKSGLSLNDQLPRNDGMTTTVGEALMAPTVIYVKQVLEIISKGGVKGIAHITGGGFTDNIPRVFPSGLGAKIFTAAWEVPPVFRWIQEVGKIEDAEMRRTFNMGIGMVLVVSKEAADGILEGTHGPNHAYRIGEVISGEGVHYV >OGLUM03G39690.1 pep chromosome:ALNU02000000:3:36219036:36224185:1 gene:OGLUM03G39690 transcript:OGLUM03G39690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKPTSKGHGFFSLFDWGKKSKKRLVSGNGSDSPAPRVSENFRESDSGTPSTRPNSFLEDAPSLKESSEHSCSSSVVDDEALARRGPTVVARLMGLDSMPAASSSGSYTMPLTVQQSPQNSTIHDEFIGRSYVGSPHKMPGSPHKMPGSPIDRFRMEALPPRFAKRTLSVAQNKLSPMKNPNHISSRNAADIMEAASRIIGTGVEVISPYRVRDVGYANTVRVYSQREIAIVQQRPPRMNEALKKRDGLTSYRLPTGKPLDGSLKSSGNTSASVVSQSNGGAPVGPKVKASSRSSPDSRATNVQGREDISKISRKLATRDPERRMVERNGINQGKNNNQVGMASSSNVLVQNNRKQNAMVKHKVNSKPPTPNRQRSNTHSINGTMRKVGTAGTPSENNTQGNRNVELRSTGHANRRQNSTAKSIPKPGRLPDGRIHSVKTRPSDKDIADRSQRRVRHNIVIDEQSPFSMNKKKISTDIVSFTFTAPVDKPLSGYRLPNHLVEKQFMKNASSVPNSSETSSAKFDSIDGDYLGLLLEQKLRELTSGVRSPYCKPAKDVRIYAPSSVLEDSQSACETSSIASTDYDRESVQSYKDGKGSFTQTDLASKSGQSSQSVKYDNDAMDQMEIERLHLSPLSTWDASVSTETGSSTESWRSANGTKVFSSTEGATTSDSACFSKFLEADAFSEYSDTASSITVTTTDIPPSDSSSSSRMDCRQEIDFIREILNTSPLNGQICSGLERFINSDILDLQLLEDLNGDIRLAVGVAEGKTLRMNRRLLFECVNEILSVRCAYYFNAGYGSWFLGMAILKKLTAEEIYAEMTDLKVAEEWMVDELVYKEMSSPLGSWVDFKLESYESGIDITTELLGSLIDEMVADLLLVSDTSL >OGLUM03G39700.1 pep chromosome:ALNU02000000:3:36225670:36231070:1 gene:OGLUM03G39700 transcript:OGLUM03G39700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKIELDHKDMVHDSTIDYYGKRLATASSDSTVQISSIGGASAPSQLLATLSGHYGPVWRVAWAHPKFGSILASCGYDGRVIVWKEGAAGQWSQAHVFDNHKSSLNSIAWAPYELGLCLACGSSDGSISVMTMRPDGGWDSATIGQAHPVGVMAVSWAPATALGSIVGSGELVQKLVSGGFDCVVKVWAFVNGSWKQDSALPSDMHTDCVRDVSWAPVLGLAKSTIASASEDGKVVIWTRGKDGDKWEGKVMHDFEAPAWRVSWSLTGNILSVAAGSGDITLWKEASDGQWEKVTKVEP >OGLUM03G39710.1 pep chromosome:ALNU02000000:3:36232171:36233256:1 gene:OGLUM03G39710 transcript:OGLUM03G39710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIGKGLLVAGLAPVPGTGDGDGRVWYVFTPVRVLGSRGARKARTVGGGGGGGGGECWHAEGGPKDVKGSAAGGKLQKFSYKIKTALGAVVKPGWLMVEFSFPGSDHLALCKVYRSPRTSRYGAPSPPSSAASSPSRAAPPPVSSTSGRKRKAEEESDHPEAPASSAPRRTLPAPEQHVDVDAAAASEPDQGGYLSTDQLDSVAAFVQEHEGDEEFYKSLGFDERSDPQCWTSFFLSALEEFGPAPETNAAAVAVAAVEPGPCPEYEEHDDTATTAASSHAYDSATAELVNLSDKEFYDIIFSGDQQGGAAVAG >OGLUM03G39720.1 pep chromosome:ALNU02000000:3:36233897:36237156:-1 gene:OGLUM03G39720 transcript:OGLUM03G39720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRSAAAVAAVVALASVAAVAGEVFFQEKFDDGWEDRWVKSEWKKDDNTAGEWNHTSGKWYGDADDKGIQTSEDYRFYAISAKYPEFSSKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGETPYSIMFGPDICGYATKKVHAILTKNGKNHLIKKDVPCETDQLTHVYTLIIRPDAKYSILIDDTEKQTGSIYDDWNIIPPKNKRDPEAKKPEDWDDNEYIPDPEDKKPEGYDDIPKEITDPEATKPEDWDDEEDGEWTAPTIPNPEYKGPWNQKKLKNPNYKGKWKAPLIPNPDYKDDPYIYAFDSLNHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKAAFDEAEKKRLEEESANSKIDDSDDDASDDEDEADDDKADVVAEQTKDKGDEKPQDIKVSADEKPKSSKDDSSAAKKDEL >OGLUM03G39730.1 pep chromosome:ALNU02000000:3:36238603:36240667:-1 gene:OGLUM03G39730 transcript:OGLUM03G39730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related [Source:Projected from Arabidopsis thaliana (AT3G06610) TAIR;Acc:AT3G06610] MAAAGDESAAAAAAAAAAAGGAAAGEAAVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >OGLUM03G39730.2 pep chromosome:ALNU02000000:3:36238603:36240667:-1 gene:OGLUM03G39730 transcript:OGLUM03G39730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding enhancer protein-related [Source:Projected from Arabidopsis thaliana (AT3G06610) TAIR;Acc:AT3G06610] MAAAGDESAAAAAAAAAAAGGAAAGEAAVDSKDLQQQSKALDKLTDRVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPADVEIIANELELDKKIAERTLREHKGDAVAAVRFLLR >OGLUM03G39740.1 pep chromosome:ALNU02000000:3:36242049:36245797:1 gene:OGLUM03G39740 transcript:OGLUM03G39740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G17090) TAIR;Acc:AT3G17090] MLGALLRLLSACGGVWPTSPAPPARSSSSSSAAAAADQAAAEGRDGLLWWRDLALCHAGELSVAVVQGNHVLEDQCRVESGPPPLAATCIGVFDGHAGPDAARFACDHLLPNLREAASGPEGVTADAIRDAFLATEEGFLAVVSRMWEAQPDMATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILSANPSIIARCLQPSDCFIIFASDGLWEHLGNQQAVEIVHNHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKVRRHFHDDITVIVLFINYDQLAKGHSQGQSLSIRCALDH >OGLUM03G39740.2 pep chromosome:ALNU02000000:3:36242449:36245797:1 gene:OGLUM03G39740 transcript:OGLUM03G39740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G17090) TAIR;Acc:AT3G17090] MLGALLRLLSACGGVWPTSPAPPARSSSSSSAAAAADQAAAEGRDGLLWWRDLALCHAGELSVAVVQGNHVLEDQCRVESGPPPLAATCIGVFDGHAGPDAARFACDHLLPNLRGEHRPPSPFLPAAAPPETRDLGLIRAEAASGPEGVTADAIRDAFLATEEGFLAVVSRMWEAQPDMATVGTCCLVGVVHQRTLFVANLGDSRAVLGKKVGRAGQITAEQLSSEHNANEEDVRQELMAQHPDDPQIVALKHGVWRVKGIIQVSRSLGDAYLKHSQYNTEQIKPKFRLPEPFSRPILSANPSIIARCLQPSDCFIIFASDGLWEHLGNQQAVEIVHNHQRAGSARRLIKAALHEAARKREMRYSDLMKIDKKVRRHFHDDITVIVLFINYDQLAKGHSQGQSLSIRCALDH >OGLUM03G39750.1 pep chromosome:ALNU02000000:3:36246531:36254715:1 gene:OGLUM03G39750 transcript:OGLUM03G39750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G17030) TAIR;Acc:AT3G17030] MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALDRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVSLFGMVTSVCKASTSGTYFYLEIEDATRVVLMKLNFIGLWSLGRVGVGHMVYMSGLTCTLSSTNKLEVAWTEKEPGSLFVNISLLPALLNSTCLHNLSLLSDLPHSTNRTHICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKSLPVWEITRAQKCE >OGLUM03G39750.2 pep chromosome:ALNU02000000:3:36246531:36254715:1 gene:OGLUM03G39750 transcript:OGLUM03G39750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G17030) TAIR;Acc:AT3G17030] MDSAAASADGGAFLEFVDYAISMLSSSSSGGNGDESPGAGPAPARPPWGWAVAQVLKSCCAYSSGVTAAILLSDLFQSWTEQRKSLTAKRKVELTNLLKTRNRRRRLPNTITIDSIHEKNFLSPKSVLEAVVIDVFVIPGTNIYMLTLGDMWSTSTIDLYLHRRYYNYIGQHDVLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVMLLDEEEDEDAMLLAAQFCTYSFSSMMLDENRNNISYSFYARIEKIESLEPFGSTERKQIVLVDNDDAKIKFVLWGEQVLLANLFSVGSMLALDRPFIANYVHNNHEESQELCLEYGSATQVYLVPIAQQEEQVLLTPTQIRSQGSRLSCVPSDHMASQVTLPRDLHGSVDFSKYPFRVARQGRCWSYGVHVWFDLYLEFNKQICHVRLDHIDVNSLKLHLTIADDSEKVFAWCIGQTAVEFLQISPDEYMELPEDERAMYLYTLQNENFTVAIANTSQRIEAYIEGEKSLPVWEITRAQKCE >OGLUM03G39760.1 pep chromosome:ALNU02000000:3:36256502:36262608:1 gene:OGLUM03G39760 transcript:OGLUM03G39760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate/galactokinase family protein [Source:Projected from Arabidopsis thaliana (AT3G06580) TAIR;Acc:AT3G06580] MAARVPGGGGAAAAAEAEVVPTFSSLEPVYGDGSPLDEARLRFARLADKFHAVYAARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRRAEGKEVRVANVDDKYPICVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDMGGPVGLDVVVDGTVPTGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCQSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPPGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMETKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEESYTTEEIEKITGQSLTSVFQSSQTSLDVLRAAKHFKLFQRAFHVYSEARRVYAFRDTVLSKLSAEDMLQKLGDLMNESHYSCSVLYECSCPELEELVKVCRDNGALGARLTGAGWGGCAVALVKEGIVPQFILNLKETYYKSRIDRGVINQKDLGLYVFASKPSSGAAIFKL >OGLUM03G39770.1 pep chromosome:ALNU02000000:3:36267150:36272680:1 gene:OGLUM03G39770 transcript:OGLUM03G39770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKAFTKSLLFHSNKIVRRLKSFVLHAHPPPPPPPPPHVVRRPPSSDELPAAADVTVCKVEGGLLMSPSTFPYFMLVALEAGGLFRGLLLLLLYPVLLLLGHDRATKLMVMVSFAGVRKEKDGSPSFRVGRAVMPKLFLEDVSAEVFDAAARRRRRLVCVSSMPREMVEPFLKEYLAVDAVVAPELRAFRGYYLGLAESDGEVMQRLDMEEVIGMKECGGAGDGDGDGQEVYVASEWARRRWRPLHPRRYAKPLIFHDGRVAFRPTTSATLAMFVWLPLAVPLALLRVALIVVVLPFSLAAPIAAALGIHCRCIAASTLRAAAALDLFVCNHRSLLDPLYVSAVAGRADLAAATYSISRLSEILAPIRTFRLTRDRAADRAAMQAHLSRSRRGGLVVCPEGTTCREPFLLRFSPLFTELGADVQPVALHSEVAMFHGTTAGGCKILDPFFLLMNPSPAYVVHFLDPVAGGGGGPEVANEVQRRIAETLGYTCTALTRRDKYLVLAGNDGVVANNKSN >OGLUM03G39780.1 pep chromosome:ALNU02000000:3:36273048:36277397:-1 gene:OGLUM03G39780 transcript:OGLUM03G39780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G49580) TAIR;Acc:AT5G49580] MARKGSQSKSVLNHASPNLQNAANCEVLNTPESDVMDGENRSSHVQGGSNVSGVNYGQKTKGIKKNNRSNGISSSGKSDDRASKKQSVDTNYDIGNSGENDNELSSSTSKARRDNKRSSRRGCGKNSSIEQTPMPVFAEKVLEKTRCIACMAASIFRASVMYIMEESKLLLERNRPAITTFMAIVHKGHDYVRSKIKYTYPICRAWMFSAGKLILLLLAVWFNCNIRGFDSLLRLGTNSLLTVLWCSTLSVFAMIGLKKMLILMVIAAAVVAFVGLGFAVLVIAVAAVVILWLYGSFWTTSGIIILGGASFFLKHERFALLVTCLYSMYCAKSYVGWLGLLLSLNLSFISSDVLVQLLKNNVDNNKSAGSSRNSEQNSGKSGFFGEFRQSSADNTSQSEYAQPSDRGPGDPSTSGAEKELTSEDEVSRLLNCTDHYSALGFHRYENIDVSLLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSASQKKGGSGIFRQGFSPSEGVDEGPYGLSRRIACKKCGDFHLWIYTGRAKSQARWCQDCNDFHQAKDGDGWVEQSFQPVLFGLLHKPELPHAYVCAESIIFDVTEWFTCQGMRCPANTHKPSFHVNASLLKQNSGKGSTSAQRGGGIPNGVNMDGGIDEEEFFEWLQNAVQSGMFESFGAQNEPPSPGSGSNAKGSNSSSKKKKKGKKQW >OGLUM03G39790.1 pep chromosome:ALNU02000000:3:36283407:36284969:1 gene:OGLUM03G39790 transcript:OGLUM03G39790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQADTKQLLHCIMSKPRMDGKVAIVTGGASGIGEAAARLFASCGATVVIADVQDELGEAVAASVAGGGCRYVRCDVTDEAQVEAAVAAAVAEHGRLDVMVSNAGVLLPTGPVVDMDLAALDRVMSVNFRGAAACVKHAARAMVSRGTRGAIVCTASVASCQGGFGPAAYTASKHAVLGLVRAAAGELGRHGVRVNCVSPGGVATPLSCGLTGMSPEEMEAAAEPHNVLRGKVLKAADVAEAMLFLASDQAAFVSGHNLVVDGATTAVNYAVLQSVGL >OGLUM03G39800.1 pep chromosome:ALNU02000000:3:36285360:36288564:1 gene:OGLUM03G39800 transcript:OGLUM03G39800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKADRSLRQNEGVTLKRLRIDIEDLNLQGIEALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCASLSG >OGLUM03G39800.2 pep chromosome:ALNU02000000:3:36285360:36288564:1 gene:OGLUM03G39800 transcript:OGLUM03G39800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases [Source:Projected from Arabidopsis thaliana (AT4G01880) TAIR;Acc:AT4G01880] MGRAPAKRKPSSPPPPPPPGRCHFWLPNKRRHCANTPLPTSQYCGNHLPDSASDAGAPFRRLVPCPVDPSHTVLEENLEAHVGKCPLKKQAAALAAQPFYSKGINSGGGEGGGGVTSAAKRALVHKLTKDELRALIEKIKLAHASAAMAMRDSFLVTDACDNWMRNQVDRKVPYQEKHVTQQASIIGNMEAFGLLQKGGEVAEENGVKNAPAVVEFGAGRGYLTQMLADCYGIRNVFLVERRSYKLKALSGLHYLAIGKHLCGPATDMTMMCCLHERYNQAHEKEYGKSNLCGLALATCCHHLCQWNHYANKTFLSGLGITEEDFHTMTWFSSWAVDGDHSSPDSSLEVEDSSVEDRCGKAEKSDVEVSGIDRLIRSIPAGERAALGFMCKDIIDTGRLLWLREKGLDADLVSYVPSNISPENHLLIAKCASLSG >OGLUM03G39810.1 pep chromosome:ALNU02000000:3:36289305:36296025:-1 gene:OGLUM03G39810 transcript:OGLUM03G39810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARVGAQSRHLYGGGLGEPDMDRRDKRLFGWDLNDWRWDSDRFVATPVPAAEASGLALNSSPSSSEEAGAASVRNVNARGDSDKRKRVVVIDNDDVEDDELVENGGGSLSLRIGGDAVAHGAGVGGGADEEDRNGKKIRVQGGSPSGPACQVEGCTADLTGVRDYHRRHKVCEMHAKATTAVVGNTVQRFCQQCSRFHPLQEFDEGKRSCRRRLAGHNRRRRKTRPEVAVGGSAFTEDKVSSYLLLGLLGVCANLNADNAEHLRGQELISGLLRNLGAVAKSLDPKELCKLLEACQSMQDGSNAGTSETANALVNTAVAEAAGPSNSKMPFVNGDQCGLASSSVVPVQSKSPTVATPDPPACKFKDFDLNDTYGGMEGFEDGYEDSPTPAFKTTDSPNCPSWMHQDSTQSPPQTSGNSDSTSAQSLSSSNGDAQCRTDKIVFKLFEKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWKELSDNMSSYLDKLLNSSTGNFWASGLVFVMVRHQIAFMHNGQLMLDRPLANSAHHYCKILCVRPIAAPFSTKVNFRVEGLNLVSDSSRLICSFEGSCIFQEDTDNIVDDVEHDDIEYLNFCCPLPSSRGRGFVEVEDGGFSNGFFPFIIAEQDICSEVCELESIFESSSHEQADDDNARNQALEFLNELGWLLHRANIISKQDKVPLASFNIWRFRNLGIFAMEREWCAVTKLLLDFLFIGLVDIGSQSPEEVVLSENLLHAAVRMKSAQMVRFLLGYKPNESLKGTAETFLFRPDAQGPSKFTPLHIAAATDDAEDVLDALTNDPGLVGINTWRNARDDAGFTPEDYARQRGNDAYLNMVEKKINKHLGKGHVVLGVPSSIHPVISDGVKPGEVSLEIGMTVPPPAPRCNACSRQALMYPNSTARTFLYRPAMLTVMGIAVICVCVGLLLHTCPKVYAAPTFRWELLERGPM >OGLUM03G39820.1 pep chromosome:ALNU02000000:3:36301337:36301751:1 gene:OGLUM03G39820 transcript:OGLUM03G39820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGCRPIARLFLWEKLAVAYAYARLVWIGPHVTHMHDLRSRNAQGDRSIELASAPTHRNMNEQYTHDLKIEILYSKMHDAFVRVHMSVTKSRVFDCKRDVSGIFVIL >OGLUM03G39830.1 pep chromosome:ALNU02000000:3:36306937:36309584:1 gene:OGLUM03G39830 transcript:OGLUM03G39830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLCSRQPLFPSSLSSIALLSPTLPSTLPNFHLHFLHQRLFCSVRGILCQKHLSASMATSWSVAFTIFFSCILLLETISSSVASLDCSYGSAQVTILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVAAAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWMGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYAYGAGYSPGCRWAAARAPAPGSASANPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKV >OGLUM03G39830.2 pep chromosome:ALNU02000000:3:36306937:36309917:1 gene:OGLUM03G39830 transcript:OGLUM03G39830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPLCSRQPLFPSSLSSIALLSPTLPSTLPNFHLHFLHQRLFCSVRGILCQKHLSASMATSWSVAFTIFFSCILLLETISSSVASLDCSYGSAQVTILSSSVVSEQQNVYLFLKPFQSTRSCSARRLAGEFVNGVVVPNLRLNVTGVVVTANERQLGALRCTLESVQAELAVAGLGRSVKVSPELSLPSLRAMAKCRRRGEKHWRRVMEFVRRSGSFVVVEMGAEEKADLAVADVAAAFEEGVGVAFRISGRAARSAAEMARLIGDADKGRRWMGVLAEVASPSPRRELAAAARTTARDVFAPVTNPTTTPATNPVTVPATNPAMNPVTPGIVTVPSTNPATGYSNNPNLPPLYPEPTPVTMPDPTTTTTPTPFMNPVTAPTMPSPVTNPATTPAVTNPTTMPYPYPPQQGGVMPTTPTYQPPATMPAAGGQTWCVAKAGLMDAALQSGLDYAYGAGYSPGVTGTVPVGGGAGAGAGVGVGVTPMGPAVGGTGGAGVTPMGPAVGGGSGSTVLNANSPGGNSMYGSDSNPTSLTGAAAAALSSGWVLCLVWIFTFAYVKEKV >OGLUM03G39840.1 pep chromosome:ALNU02000000:3:36310512:36312835:-1 gene:OGLUM03G39840 transcript:OGLUM03G39840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cyclase family protein [Source:Projected from Arabidopsis thaliana (AT5G22100) TAIR;Acc:AT5G22100] MGRDKARRLSGSRHFRQRVVLATLTSTAITIDDIRSGGAAPGLRPHELRYKPGVIVGGRDLEHDCGVHRGIGYFLEPLILLGLFARAPISIRLKGITNDTKDPSVDTFRMVTLHMLKQFGVPLEGLELKIESRGAPPLGGGEVFLRVPNINSTLKAANWADEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSAGYGVSVVAETTTGCLLSADATVSYPNVDEMNEESENLELTSPEDLGVQVASMLLEEVAQGGVVDSAHQGLLFILCALSPPDVSKVRVGQLTPYAIETLRNIRDFLDVKFIIKPDPNSNTVTLKCVGAGVKNLARKTS >OGLUM03G39850.1 pep chromosome:ALNU02000000:3:36318041:36322653:1 gene:OGLUM03G39850 transcript:OGLUM03G39850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWRVVAGFWWDPIIQAHTFLLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >OGLUM03G39850.2 pep chromosome:ALNU02000000:3:36318041:36322653:1 gene:OGLUM03G39850 transcript:OGLUM03G39850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSASLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >OGLUM03G39850.3 pep chromosome:ALNU02000000:3:36318167:36322653:1 gene:OGLUM03G39850 transcript:OGLUM03G39850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVWRVVAGFWWDPIIQAHTFLLLRVLLVFITMVGTQWSNVSSTYCKDMASSVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGRWLTGSFSLISHLTLSLDKDAEIIGSPDSSDWPVIDPLPSYGRGANGTIDGQGAIWWDWFHSNTLNYTRPHLVELMYSTDVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCIDHCYVRNGDDVIVIKSGWDEYGISFARPSTNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSMHGIRIKTAPGRGGYVKNVYISDVSMDNVSMAIRITGNFGEHPDDKYDRNALPMISNITIENVVGVNVGVAGILEGIEGDNFSSICLSNVSLSVQSMHPWNCSLIEGYSNSVIPESCEQLRTDCGQTPICYDGGSSSAIHAQAARHRLSSASRLLNPLLKLAML >OGLUM03G39860.1 pep chromosome:ALNU02000000:3:36322949:36325588:-1 gene:OGLUM03G39860 transcript:OGLUM03G39860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >OGLUM03G39860.2 pep chromosome:ALNU02000000:3:36322949:36325518:-1 gene:OGLUM03G39860 transcript:OGLUM03G39860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPTRQCPLPGPISTASTGDKATQAHPSLQATNTSHHTRHRSSPPNLALRSAPLLLLPWRRLRVRYDVNDTGTPVVACCGAWGAGTVGFFVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYQGVDCGHGGPFGAVVVRNDEIVVSCHNMVLDYTDPTAHAEVTAIREACKKLGKIELSDCEMYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTAYYQKANLEIRRADGNGALIAEQVFENTKEKFRMY >OGLUM03G39870.1 pep chromosome:ALNU02000000:3:36327283:36336564:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQVCKSSSLNFIHDNSKAMEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAFRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTISENFEK >OGLUM03G39870.2 pep chromosome:ALNU02000000:3:36327283:36336564:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQVCKSSSLNFIHDNSKAMEAIVEGSKYECLLFDLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAFRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTISENFEK >OGLUM03G39870.3 pep chromosome:ALNU02000000:3:36327283:36334423:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIRGIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQVCKSSSLNFIHDNSKALPHPNFFFDVFQ >OGLUM03G39870.4 pep chromosome:ALNU02000000:3:36327283:36334423:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEESVEKIKAANDKFSLGRGKLLAPVANLTGSTSTAGKEPKCILGGPGQVMKARSPLQVCKSSSLNFIHDNSKALPHPNFFFDVFQ >OGLUM03G39870.5 pep chromosome:ALNU02000000:3:36327283:36334423:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCRSGRWRPIYSIARKRPKLGTIAHSEVYRYPLRPRVAWPEARERRLLAAPRRRAWSSPPPPAVAMGIKGLTKLLAEHAPGAAVRRRVEDYRGRVVAIDASLSIYQFLIVVGRKGTEVLTNEAGEVTRSLKRDGSSEDLNRAIEVGDEDLIEKFSKRTVKVTKKHNEDCKRLLSLMGVPVVQAPGEAEAQCAALCENHKVFAIASEDMDSLTFGARRFLRHLTDLSFKRSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCIGGQRALKLIRQHGCIEEVVQNLSQTRYSVPEDWPYQEVRALFKEPNVCTDIPDFLWTPPDEEREAFGTSSELNRIYINCREGQVMKARSPLQVCKSSSLNFIHDNSKALPHPNFFFDVFQ >OGLUM03G39870.6 pep chromosome:ALNU02000000:3:36334538:36336564:1 gene:OGLUM03G39870 transcript:OGLUM03G39870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASCHHPPAYQPLSRLSWQREIHIDPCSQQLPYSPGEITDLDDTLYPFSSGINLACRKNIQDYMRHHLRIEESQIADMCLELYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYDNLHFDPVLRTLLLSIPQRKIIFTNSDKAHAEEVLCRVGIQDCFEGIICFETLNPPTPTCHGLHKPLSSISDELSSDLDDLDESDGFRPKSPILCKPSIEAMEAAFRIANVDPEKTIFFDDSVRNIASGKAAGFHTVIVGRPTLVPGADHALESIHNIKEALPEIWDGWSESDVVLASTASETTISENFEK >OGLUM03G39880.1 pep chromosome:ALNU02000000:3:36338073:36339895:-1 gene:OGLUM03G39880 transcript:OGLUM03G39880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNMLSPSQLSKIKTMLQSRPDICKRNSHLKFCYSLRNRNVFVPKTHKPRLGPLQYKKEKEPVYDGSWQTPTCAKVALEHYNRSNEDEYEMVKALDSVSSFFNGVWVHVNFLAKLKGATQCPDLVPKFFFAEVKSDFDGRSCVSCVKIDPGNPEATPIRGCGICQNNEIYHPAVGGHRGDRKSAS >OGLUM03G39890.1 pep chromosome:ALNU02000000:3:36354259:36369936:1 gene:OGLUM03G39890 transcript:OGLUM03G39890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSALDDDDDDAATVSSSRSSSAATSPSRSPSPLVPRAAVLGAPRVAAQLSSTEDDNGGGEDEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAGSRVGFEDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVDAEVVNGIEPEPLVGESVDAEVVNGVVPEPLVGESGGSDGSGMHVEDELEMEGGKSDNGIAELPPVVSALEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANVDDLHFVTDDGHNDDAEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEEEGEVNRKEIEFFDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVSVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSKTIITLTHSAAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKTVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYCDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRDNVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFIDRNY >OGLUM03G39890.2 pep chromosome:ALNU02000000:3:36354259:36369308:1 gene:OGLUM03G39890 transcript:OGLUM03G39890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPIRARLSALDDDDDDAATVSSSRSSSAATSPSRSPSPLVPRAAVLGAPRVAAQLSSTEDDNGGGEDEVFDESTDYGDDEAAGEVLDELSNGFFRIARVPPPSEDSSPISGGEPVVSVSSPTESGYFGAAEGSLKEEGFVGARNFADVFDAGSRVGFEDANGVTAGAKNTGVESSLEGSFQSSGSVVGVFDDTDVTTIGDLVSASDGSPLNVDKQGDQDSGAEVVNDEPVDAEVVNGIEPEPLVGESVDAEVVNGVVPEPLVGESGGSDGSGMHVEDELEMEGGKSDNGIAELPPVVSALEKQDTDLELRNDDSGAKDGADNHEDATNFVDSSTGYVATGDGASELLEVPANVDDLHFVTDDGHNDDAEETDGGYEASDGYVSMPTFGNNNAVEIPVNESEHNVPASKGRRFGLGDSDDEFHDDGVEEEEGEVNRKEIEFFDYAALAELLRAATPGQGMAKVFPIESSVPLQVPPTTVSVPRKNVASSPVLEVAPNPENEMTEEERKLYRKVDVARIKYLRLIHRLGYDTEHHIAIQVLYRLSLVEGFRRIRVANHSSELESAWKKALQLEAEGTEDLEFSCNVLVLGKTGVGKSATINSIFGEDKSKTSAFLPATTAVKEISGVVGGVKFRVVDTPGLGTTHMDEKSNRKVLNSVKKYIKRCPPDVVLYVDRIDTQRQDANNLSLLQCITSVLGSSIWSKTIITLTHSAAAPPEGPSGIPLNYEMFVTQRTHAIQQSIRQATNDPRFENTSALVENHHLCRRNTEGEKVLPNGLIWRRLLLLLCYSVKTVETNSLSARVASPANLFSLRFRMPPLPHFLSSLLQSREHPRCAADQDVGDIDPDELINEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYCDEYDYRTKLLEKKQLKEQLRRLKEMKIEGNNHDVLGDNDNPDDEYETERSVMPDWALPSSFDSDDPAYRYRCLDPKPNFLVRAITNPDGWDHDCGFDGVSLQYSLDAANAFPASLWVQVNKDKRESTIHLVSSISAKHRDNVSSLAGFDIQTIMDQLAYTLRGESKFKNSKKNTTTGGLSMTFLGDTMVTGAKFEDKLSVGDRLTLLANTGAVSIRGDTAYGVNMEATLREKDYLMGQDLAILGASLVRWHKEWSMAAKLDSQFSMGRASNVAVHVDLTNKLTGRVSIKANTSEQLKIALLGVCSMTMYLWNRMHPFIDRNY >OGLUM03G39900.1 pep chromosome:ALNU02000000:3:36361177:36361404:-1 gene:OGLUM03G39900 transcript:OGLUM03G39900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPHFTGEGSGSWAHEVKQALRDKLRRAYGTAGAARPAASAVSRGPSHGGDDCRGSASEDPIRRVMFLAPWGHT >OGLUM03G39910.1 pep chromosome:ALNU02000000:3:36369110:36375960:-1 gene:OGLUM03G39910 transcript:OGLUM03G39910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGLAYPDRFYAAAAYAGFGAGGATSSSAISRFQNDVALLLYGLYQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNPEPVVDIEMHKPKEDPKVILASTNGTSIPEPKTISENGSSVETQDKVVILEGLSAVSVHEEWTPLSVNGQRPKPRYEHGATVVQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKFQAGSTDSSKSAQVSSCAGHSLISWGNKFFSVAGHTKDPSENITVKEFDPHTCTWSIVKTYGKPPVSRGGQSVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLAPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSSVEGRVPLASEGMTLVHSNYNGDDYLISFGGYNGRYSNEVFALKLTLKSDLQSKTKEHASDGTSSVLEPEVELSHDGKIREIAMDSADSDLKKDDANELLVALKAEKEELEAALNREQVQTIQLKEEIAEAEARNAELTKELQTVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEREVELLRRQKAASEQAALEAKQRQSSSGMWGWLVGTPPDKSES >OGLUM03G39920.1 pep chromosome:ALNU02000000:3:36376956:36377734:-1 gene:OGLUM03G39920 transcript:OGLUM03G39920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATSPTISISMLLISSLFGSATRRSALNNISPFALVDPITDADDTIDARHDRLNVRQCHAGVPRRAVEIADDREGADMLRDIMDDDKRGEIRFDMLGLSREDVKVMVEDNMLVIRGEHSKEDGEGTGGSDDGWWKECSMSSYNMRLVLPNVGDKGKVHTELKNGVLFVIVPKTEVKHKVIEGVEQRGEGREEDGRKKVEDRKEMDEKCDGGGMILIL >OGLUM03G39930.1 pep chromosome:ALNU02000000:3:36378708:36384840:1 gene:OGLUM03G39930 transcript:OGLUM03G39930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36360) TAIR;Acc:AT2G36360] MTPQLQPKQMHWARADSSDFGGQIPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDIAVYDVENRIWYTPECNGSGSDGQAGPSPRAFHVAIVIDCNMFIFGGRSGGKRLGDFWMLDTDIWQWSELTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELSVTGSVPPPRCGHSATMIEKRLLVFGGRGGAGPIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKLLATSNEPPPPRAYHSMTCIGSRFLLFGGFDGKNTFGDLWWLVPEGDPIAKRDLVPNVDSDSKPSNVTGGAQHSASQESQAGESPMIDLAKRLGISLSLEASASFVDEINDKELIELSSMLFGESPPTGDQHACIQALRDHWTSIPANSIQLQELGPLLRDYQRLILRRYLENSFTSFYEKEVHRFFHLKNASERTLEGKEA >OGLUM03G39940.1 pep chromosome:ALNU02000000:3:36385775:36388512:1 gene:OGLUM03G39940 transcript:OGLUM03G39940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFF9] MATCTLATSCVSLSNARTQASKVAAVKSPASLSFFSQGMQFPSLKASSKKLDVSAMATYKVKLITPEGQEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDAQQEEGYVLTCVSYPKSDCVIHTHKEGDLY >OGLUM03G39950.1 pep chromosome:ALNU02000000:3:36389394:36392809:-1 gene:OGLUM03G39950 transcript:OGLUM03G39950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OGLUM03G39950.2 pep chromosome:ALNU02000000:3:36389394:36392809:-1 gene:OGLUM03G39950 transcript:OGLUM03G39950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTTAEREIVRDIKEKLAYIALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >OGLUM03G39960.1 pep chromosome:ALNU02000000:3:36395056:36398070:1 gene:OGLUM03G39960 transcript:OGLUM03G39960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGAAVLVALAVTCGLIWSRSRRLSKEMRDIPGTMGWPVVGETFSFISGFSSPAGILSFMRDRQKRFGKVFKTYVLGRMTVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGDEHKKLRRLIGEPLSIDALKKHFDFINDLAVQTLDTWLDRRVLVLEEASSVIIKLFTLKVIANMLISLEPEGEEQEKFRANFKIISSSFASLPLKIPGTAFHRGLKARNRMYAMLDSVIARRRDGGEVRNDFLQTLLRKHAKDGAAADEDDGGGGGGDRDADKLTDAQLKDNILTLLVAGHDTTTAGLTWLIKFLGENPEALQKLRVMNETLRRATILPWFSRKAAQDFSIDGYEIKKGTSVNLDVVSIHHDPSVFADPYKFDPNRFDGTLKPYSFLGFGSGPRMCPGMSLARLEICVFIHHLVCRYSWTPLEDDDSVQPTLVRMLRNNTPAGSQEIKQQQLLRGKVTRNSYFSGKSDKEQQPRSIAQIFRSLKHDHSRAVTRNGTIQEATQQQQLRKGQGQWNNNQVAKPIRVTKKHYTRQQWPSHAKPHMID >OGLUM03G39970.1 pep chromosome:ALNU02000000:3:36395974:36400873:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQQAGRGGPHLVGSWAHGPHMPPPNQTEGKSIRFAAAAAAAFVFLAEMADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGYGGGGGDRYSRDRLRTMVYHEKASTLLSTTRWWMKTQISRRARLIPGHIRGPLPNPRKLYGFNGLRVLAEELDEPRQPRRRRVVAGDEQRQDVVLELRVRQLVRVSIAAAAAAVVLVGGGAVLGVLPQQRLEEVVADLAAVPPPGDHRVQHRVHPVPGLLDRSNNFDFRDHPSLGH >OGLUM03G39970.2 pep chromosome:ALNU02000000:3:36396858:36400873:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQQAGRGGPHLVGSWAHGPHMPPPNQTEGKSIRFAAAAAAAFVFLAEMADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGYGGGGGDRYSRDRSDEVVDEDADLEARQAHPGAHPRPAAESQEAGSAKTDGSWWMDTTSRFTDVPFLISYPASHANTIEISKWCFT >OGLUM03G39970.3 pep chromosome:ALNU02000000:3:36396858:36399912:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGYGGGGGDRYSRDRSDEVVDEDADLEARQAHPGAHPRPAAESQEAGSAKTDGSWWMDTTSRFTDVPFLISYPASHANTIEISKWCFT >OGLUM03G39970.4 pep chromosome:ALNU02000000:3:36395895:36396494:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPQRLGPHVSDPRPSNFTRSAIQEHRASGFSPRNLMSHVSPAVVVSWPATSSVRMLSLSCASVSLSASRSPPPPPPSSSSAAAPSLACFRSSVWRKSLRTSPPSLLLAITESSIAYIRFLAFSTDQTILISEIIHLLVTDHAGHGEMQFLGS >OGLUM03G39970.5 pep chromosome:ALNU02000000:3:36397466:36399912:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEEYRCFIGNLSWSTTDESLKDAFGKFGNLTEAKVVFDKYSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRAITVDKAQPQGPGRDRNGDRDYDRDRGSRYDRGRDFGGGGRAPRGSGGGGDCYKCGKPGHFARECPSGDGGGRGDRYGGRDDRYGGGGGGGGRYGSDRGGDRYSGRSRDGGYGGGGGDRYSRDRSVE >OGLUM03G39970.6 pep chromosome:ALNU02000000:3:36395926:36396494:-1 gene:OGLUM03G39970 transcript:OGLUM03G39970.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPQRLGPHVSDPRPSNFTRSAIQEHRASGFSPRNLMSHVSPAVVVSWPATSSVRMLSLSCASVSLSASRSPPPPPPSSSSAAAPSLACFRSSVWRKSLRTSPPSLLLAITESSIAYIRFLAFSTDQTILISEIIHLLVTDHAGVFDYLRNRFVP >OGLUM03G39980.1 pep chromosome:ALNU02000000:3:36403773:36404411:1 gene:OGLUM03G39980 transcript:OGLUM03G39980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWEDWGKDDCDCGWKKCLIWTAAIAGVGGLIVLLVFAFALVFPPKATADDAVLLRLALSPGSPPSNSTVSYNATVTLSLRNPNLYRGISYDPVAVAFSFNGTRFDESATVPAFYHRPRKTATFHVTVGGAGKPVPKLTAAGVAAFRAENETGRFEVEVRLDTVMQYKARKARCPLAVICPLQLQLVDPDVAATAFQRTKCTVLRAKKSGC >OGLUM03G39990.1 pep chromosome:ALNU02000000:3:36407275:36407904:1 gene:OGLUM03G39990 transcript:OGLUM03G39990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYESECCGGRGSYRNRRFALGFWFGLAILAAIAIIVVLAVGYGRVSSLRVAVDDASLTRFAVTATSVAYNLTVALVVRNPNWAMGVTYRSLEASYLFHGKRFDGAAAVVSSGYEQAARKTEVFRLSSGSDAAPAALGSGGEREYRKESDNGGVFDVEVDLSGEVKYALHSAWCRVEARCPLKLQLAAAGSVAFQETTCDMLRSSQSGC >OGLUM03G40000.1 pep chromosome:ALNU02000000:3:36409036:36410976:-1 gene:OGLUM03G40000 transcript:OGLUM03G40000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPSLPVVLIFLLLVLIITSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQSLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHEMIDD >OGLUM03G40000.2 pep chromosome:ALNU02000000:3:36409034:36410321:-1 gene:OGLUM03G40000 transcript:OGLUM03G40000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPVVLIFLLLVLIITSQFEWKQQVGEAEANPAATRRRQQVILREDAVKEKIILAQEKNIQQLNGLIQSLQLQLLHCRLSNSTAHTTSNKSTNNSAVEGHEMIDD >OGLUM03G40010.1 pep chromosome:ALNU02000000:3:36412325:36413733:1 gene:OGLUM03G40010 transcript:OGLUM03G40010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQRYGEELGYLHANIIKTILTIFCCLSIFLDSSCTVRFSLSWLGVHKTRQGIFDAVLKGLIDFQSVLKISNSEKYLIGKMLSQCPSEPLPVGAAFHAAVAIEYLNKNAAGPSA >OGLUM03G40020.1 pep chromosome:ALNU02000000:3:36415475:36425437:1 gene:OGLUM03G40020 transcript:OGLUM03G40020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLGRELLEAARAPEFAGWLRGLRRRIHQHPELADHFNGKVKLVFQPAEEGGAGGYHVLKEGVLDDTQTIFAVHVATDLPAGVVGSRPGPFLAGSARFTATITGKGGHAAAPHHAVDPIVAVSSAVLSLQQIVARETNPLQGAVVSVTTIKGGEAFNEHRTSALVRAELDALGVAYVWPIAQTGVVATVAGAAGPGPVFGLRADMDALPIQVN >OGLUM03G40030.1 pep chromosome:ALNU02000000:3:36437015:36445727:1 gene:OGLUM03G40030 transcript:OGLUM03G40030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAATTLGRELLEAARAPEFAGWLRGLRRRIHQHPELASMTTDGLSYLVNRIREVIEGQAAVNRCTAAVDFMEDKLRPYPATVNDEGMYAHAKAVAESMLGEANVTVSPMCMGAEDFGFYAQRIPAAFFGIGVGSNGNDGGGMAETTKNQLHSPHFVVDEEALPVGAAFHAAVAIEYLNKNASGRSA >OGLUM03G40040.1 pep chromosome:ALNU02000000:3:36445739:36451148:-1 gene:OGLUM03G40040 transcript:OGLUM03G40040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLKVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWSRRPVEGSLFVVKRNTQPRFQFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPLSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQEML >OGLUM03G40040.2 pep chromosome:ALNU02000000:3:36445739:36451148:-1 gene:OGLUM03G40040 transcript:OGLUM03G40040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGSGGGRWRRLKVRPDLASDQEGTRTLNLTVLRRLDPAVADILIIAAHVVLYSFDDNIHQWFIVMNRKNTENLTEDLLGGFEYQVQVPYIMYHNAADEITGIWFYDPQECEQVGYLFSRIQKAFSRVSPKAKVSVTESEYEKPEVVPAVPSNEDTLKQPTSSIMVLDNAKYDFLSALLKGAACVGATMDEASAVQSNKSVGMVHSSTHASPHAIPPQSPAIQSNKSFGMVHSSTQAPLAIPPLSPAIRSNKSVGMIHSSTHASPFDIPPQSPAVQSNKSVGMIHSLTHASHLAIPPQSPALHGLHPSQISSVPVMPHDAHRSSSTSTIQPTSLANPLFFPPMPSLQTASHAASSLCSAAPLHPPITVQQLQSAPLHQPFSLPTASSIPPPYGMPLLQPFPPPNPSPFLTSGVSYGRPVITRDQLKDVLLSLCQEML >OGLUM03G40050.1 pep chromosome:ALNU02000000:3:36454210:36460700:1 gene:OGLUM03G40050 transcript:OGLUM03G40050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFH8] MEASAGLVAGSHNRNELVVIRRDGEPGPKPVKHTNGQVCQICGDDVGLTPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEEDVDDLENEFNWRDKTDSQYVAESMLHGHMSYGRGGDLDGVPQHFQPIPNVPLLTNGEMADDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDADLPLMDEARQPLSRKIPISSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQQSQLAPVDFFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSLEPRAPEWYFQQKIDYLKDKVAPNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCICCCCFGNRTNKKKTAKPKTEKKKRLFFKRAENQSPAYALGEIDEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNIASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM03G40060.1 pep chromosome:ALNU02000000:3:36467911:36469035:-1 gene:OGLUM03G40060 transcript:OGLUM03G40060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLVGDGAAPAAAEPAPAAAACRWTRQKDKLLETLVARCAMNRQCVGGWDAIAAAFGDDTTAAQVEQRYGEIAAEVRRVMEEPWDAEDPAIAAAAAAVPAAPVKHAAAGPGSDGGGEEGKVVVEKKSGPWSEEEHRQCLRGIEEIGHGRWTQISIEYVPSRTPIQIASHSQKYFLRMAKPKEDRKRKSIHDTPYHLHLPNAADAHAHQQQQ >OGLUM03G40070.1 pep chromosome:ALNU02000000:3:36469287:36476046:1 gene:OGLUM03G40070 transcript:OGLUM03G40070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAAGIRLDSGDLAYLSVETRKFFHAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >OGLUM03G40070.2 pep chromosome:ALNU02000000:3:36469287:36476046:1 gene:OGLUM03G40070 transcript:OGLUM03G40070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAANGAGGGGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKLTDTEITFLRSAMPTCEDGFFEYLSSIDCSDVEVYAIPEGSVVFPKVPLMIIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGLDDIIDRTLASSDGSNKCEDFVSLVQNWLARIKDAGSLRGTFRETNLSELAAFTSYALAFPNSFLALVDTYDAAGIRLDSGDLAYLSVETRKFFHAIEEEFGFIGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVSIPCKKRCYRLFGKEGYPLVDIMTGEDEPAPKVGERLLCRHPFNESKRAYVVPQHVEELLKCYWPGTSSEPREELPSINEIRSRCMGYLDRMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >OGLUM03G40080.1 pep chromosome:ALNU02000000:3:36477209:36488088:1 gene:OGLUM03G40080 transcript:OGLUM03G40080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHGEEAAGKAYKLAEDRFLVKDIAGALRAAREARRLFRSLPGLANAITAYEVHAAAATSRAGGRNWYAVLAVGDRSAKTSSGGGGVTHESLKRQYHRLCLVVHPDKNRSAAAAGAFRLLQKAWDELSLRHPPRAAAAAAAPGQARPSGTRTVQCPHCGCSFVALFGDLLLSGVQCVHCKQQATTPLAPGLFGNSQQCNSRLVRVYLATSSSPMATGRDTEEAYELAENRFLANDIAGALRVAREAQRLIYPAALPAGLANAVAAYEVHHAASRSDGGRWYAVLAVGDPSAPTTSSGINGAVITHKSLKQQYRRLCLVLHPDKNSSAAADGAFKLLQEAWGELFLLHPPGSGATPVSWSSPPPPAAAEAPEWKAPRQAKPRRRAMRCPHCGCSFVAVVSDAVSGVNCLDCNRWVSTSSQSGPAPSPPPKPPPPPPPHQRETPSPSPPPQPQFPCPGNCSRCGAKFTATVSIGTLRASIDHSLRCNPSPMATGRNDEEEAEKAYELAENRFRANDIAGALHAAREARRLFPPLPGVASAVAAYEVHHAAASRADAGDKWYAILAVGDDSSATTSSGTNGAAAVITHEDLKHQYHRLCLLLHPDKNAAAAAEGAFKLLREAWDNLSLLHPPGSPASPPVSCPPPPPPPPPGPRRISCRKCRGSFFTVVGDGVSGVNCVHCNRWVSLFPCPARCARCGVRFTETVSTGTRLLRCAACERSSYVFPKLQLILFASIDHASPMATPGRVEELAAENAYKLAENRFLADDITGALRAARAAQRVFPALPGLANAIAAYEVHAAATTSRANGGGKWYAILAVGDDSATTSTGISGAAVITHESLKQQYRRLCLVLHPDKNSSAAAEGAFKLLRAAWDKLSLLHPPGSAAAPVSCSPPPATAQPPDWMPRQPGPHRRTMFCPNCRCSFATVVDDGVSGVNCVNCNHWVSTLWQTGRAPPPQQQQQSSSRFPCPTPCPGCDAKFTGTVSIGKHLLPCRACNKCFLVFVKSPNEAYAWIPTPKEKMPYTWWSEYWARHPEMAAAASRRRGAAADEEGPARRQGEAPMVIYCKRCDREFVREPDEFGVTCRWCRRPTAVGEKEAIVSDDESAAAATAEAGDVPFASMVCAGKWHLRCKTCSKYTMVDVQGPDMATCSR >OGLUM03G40090.1 pep chromosome:ALNU02000000:3:36488570:36490994:-1 gene:OGLUM03G40090 transcript:OGLUM03G40090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAKAKASGGGRRGGAKDPTDALRSDKKRRDMDDSDDAELDSDMKEIVLLLRQIKDKAHKDGQKKTEQTLSSVATEIQTIVQDAKGKFEKERQNYLKVLSKTSKECEGLLKNEYTKFQATHDKFCKEKAAHMQTLKDLFSKFEDAKEKLLIQYELQRKKEKATLSELEKTFSEKITNAEESLKKMKQDDKSIVNLRKSIGSFLDPDDEFGADDD >OGLUM03G40100.1 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEIFHQTYVQSVIILKRQKLRADGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.2 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.3 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.4 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPDSDILLYKYELTKLSVRFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.5 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREVWNILTAYEKLPEFVPNLAISRIIRRDNNKVRILQEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.6 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEIFHQTYVQSVIILKRQKLRADGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40100.7 pep chromosome:ALNU02000000:3:36491778:36502617:1 gene:OGLUM03G40100 transcript:OGLUM03G40100.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Streptomyces cyclase/dehydrase (InterPro:IPR005031); BEST Arabidopsis thaliana protein match is: Polyketide cyclase / dehydrase and lipid transport protein (TAIR:AT4G01650.1); Has 30201 Blast hits to 17322 proteins in 780 /.../es: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08720) TAIR;Acc:AT5G08720] MTSRVLVSSSSSSSAAAAAAFLPRIPNPCANPSHRGAPPCGAALWRHHSGRAVTTAAAAAATGDHWGAEYHGGGGGRGGKSAAGPGVQCDVDVVSWRERRVFASVAVAADVDTVWRVITDYERLAEFIPNLVHSGRIPCPHQGRVWLEQRGLQQALYWHIEARVVLDLKEVPDAVNGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLGALACRAENIYLGNQRHGTAKFSGAGSRFHNFRNATTENDAIAPSKFTETPPSGLGGVLASPPSELNSKWGVYGNVCRLDRPCVVDEIHLRRFDGLLEHEGAHRFVFASITVKAPVREEGCKGLLYMVLHARVVMDLREKLEREISFEQVEGDFYSFKGKWRLEQLGDQHTLLKYMVETKMHKDTFLSESILEEVIYEDLPSNLCAIRDYIEKAEAESGNSTSSSIVASNADTIAIDYAEGRQSEQASTSCSSSPVKQRPKVPGLQKDIEVLKSELEKFIAKYGQDGFMPKRKHLRLHGRVDIEKAITRMGGFRKIASIMNLSLSYKNRKPRGYWDNLENLQEEIRRFQKNWGMDPAYMPSRKSFERAGRYDIARALEKWGGVHEVSRLLSLELRRPRRRANSDDESKAGSSYAITNKHASKPNKPSVSPDKQKWLLKLKDLDANWIEY >OGLUM03G40110.1 pep chromosome:ALNU02000000:3:36499476:36502052:-1 gene:OGLUM03G40110 transcript:OGLUM03G40110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G34300) TAIR;Acc:AT1G34300] MRRKAAGDAALVGGCFLLLLLPLLSHGADMPLGSSLSPANQALWSSPNNTFSLSFTASPTSPSLFVAAITYAGGVPVWTAGNGATVDSGGALRLSSSGDLQLVNGSGAVVWSSNTGGQGVTTAALQESGNLLLRNSSATLWQSFEHPTDTVVMGQNFTSGMNLTSASYQFSLDRNTGNLTLKWTGGGTVTYFNKGYNTTFTGNKTLSSPTLAMQTNGIVSLTDGLLTSPVVVAYSSNYGESGDMLRFVRLDTDGNFRAYSAARGSNAPTEQWSAVADQCQVFGYCGNMGVCGYNGTSPVCRCPSENFQLSNPADPRGGCRRKIELQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGSSCVASTALSDGSGLCFLKVSNFVSGYQSAALPSTSFVKVCFPGIPNPPLGGGGSPSGRASGVRGWVVAVVVLGAVSGLVLCEWALWWVFCRHSPKYGAASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFADAPGGRMPWPTRFAVAVGTARGITYLHEECRDCIVHCDIKPENILLDEHHNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLELVSGHRNFDVSEETGRKKYSVWAYEEYEKGNIAAIVDKKLPGEDIDMVQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSLTSATTATGVSGSGSTSMVSTFTSSAAPPAPTPSPNVDEVQQEMAVGRSASARIRDRASRSLLGQEPYMTM >OGLUM03G40120.1 pep chromosome:ALNU02000000:3:36509333:36514246:1 gene:OGLUM03G40120 transcript:OGLUM03G40120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFJ2] MSSSATVVPLAYQGNTSASVADWLNKGDNAWQLVAATVVGLQSVPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGEKLLPIWGKARPALDQGLLVGRAALPATVHYRADGSVETAAVEPLYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTVGAFSLWGGGFLFHWGVIDYCGGYVIHVSAGIAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPAAGVVQGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVAVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVV >OGLUM03G40120.2 pep chromosome:ALNU02000000:3:36509333:36514246:1 gene:OGLUM03G40120 transcript:OGLUM03G40120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFJ2] MCGWQVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMAVLNTNICTAMSLIVWTCLDVIFFKKPSVVGAVQGMITGLVCITPAAGVVQGWAALVMGVLAGSIPWYTMMILHKRSKILQRVDDTLGVFHTHGVAGLLGGLLTGLFAEPTLCNLFLPVADSRGAFYGGAGGAQFGKQIAGGLFVVAWNVAVTSLICLAINLLVPLRMPDDKLEVGDDAVHGEEAYALWGDGEMYDVTKHGSDAAVAPVVV >OGLUM03G40130.1 pep chromosome:ALNU02000000:3:36519717:36519905:-1 gene:OGLUM03G40130 transcript:OGLUM03G40130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSWRGIELRNDGKAALDDGRHLPELRKREREAVVRKEKASASERPELTGGGGGTGMTWQ >OGLUM03G40140.1 pep chromosome:ALNU02000000:3:36522680:36523837:1 gene:OGLUM03G40140 transcript:OGLUM03G40140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSADHFSNNNNNQAMYLEQDEDFSQMIMELCDFDASSTTQARHGGEAAAAAAGDARAVLTYLTFLEQKIGHLRGIISSTPNPPPQIVAAELSCIVVQLVSISKNLAAARRGGDDADADAKHDGSSDADEGADGDGERAPPRGSYEVVQIEKEEILAPHVHFCGVCGKGFKRDANLRMHMRGHGEEYKSAAALAKPGGSPSRSPAAADAAARRRFYSCPYVGCKRNREHKSFQPLKTPTCVKNHYRRSHCDKSFTCRRCNVKRFSVVADLRTHEKHCGRDRWVCSCGTSFSRKDKLFAHVAIFDGHSPALPPEDYDDDAASGQLPHAAGEAVGRTVDTNRFFSDGLMIKGSMDDERGSLSPMGLDYCEFDGIDLFAAAAFDF >OGLUM03G40150.1 pep chromosome:ALNU02000000:3:36524533:36525601:-1 gene:OGLUM03G40150 transcript:OGLUM03G40150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G09620) TAIR;Acc:AT4G09620] MASQMRLMKQMCVGFFSHPVCDLFSSPNARFSKKKYGGRLTIQPNIEFGKTQNSRTQRKWRTFSADQAQATVVDAGDNKTWEEAKQILTSLDYSIEDADKMLKKAFGWIHSPYWSEERKKEVPNAEVVSGVLNYIRTLGLSDDDLRKLLKKFPEVLGCDLDSEVKLNVGKLDSDWGINGKTLRSLLLRNPKVLGYNVDCRGDCMAQCTRCWVRF >OGLUM03G40160.1 pep chromosome:ALNU02000000:3:36528550:36536134:-1 gene:OGLUM03G40160 transcript:OGLUM03G40160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFJ7] MLSRGRCFPAASRIRPLVRAFCDAPPSLQDAAAGVPSSQDHTEKIDGVNARPDELDIAIVGGGMVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQKQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHINENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPDESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYVSKRIALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIADGVSVGADFGDISMLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLLQSSTSSARRYSFSRFLRVIHLSVPSYSYHGNHIIYQFERNGAIASLVAGVSNRIVAVAGESNSTSCPPKFLLLLTTRKKPRTPRPLEGGKGMSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPVDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >OGLUM03G40160.2 pep chromosome:ALNU02000000:3:36528550:36536191:-1 gene:OGLUM03G40160 transcript:OGLUM03G40160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis monooxygenase COQ6, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFJ7] MVGLAVASNMPLTKHLRVGIIDSNPALKSRNYLKKDGVPDSRVSTITPATISFFRDIGAWDHVQKQRHAFFGKMQVWDYTGLGYTRYSARDVGKEYLGCVVENKVLCNSLLLRLQEENGDIEKMIYPTRLISLALPSKSRQAPTREAISSGYPPEELNRSNLVKLELSDGQTLYSKLVVGADGSKSNVRQTAGIKTTGWNYPQSAIICTVEHINENDCAWQRFLPSGPIALLPIGDNYSNIVWTMSPDESLRHKSMSPEDFVKSVNNALDFGYGPHPHSGSLDYYMEKLFSDIGSTAASTKECFEVPPKAIGVVSERMAFPLSLKHSHDYVSKRIALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIADGVSVGADFGDISMLKRYENDRKAANVAMAALLDGFQKMYSVDFGPLNVLRAAAFHGAQYISPLKKNIISYAMGDAKWPLLQSSTSSARRYSFSRFLRVIHLSVPSYSYHGNHIIYQFERNGAIASLVAGVSNRIVAVAGESNSTSCPPKFLLLLTTRKKPRTPRPLEGGKGMSSSSASAVPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWNHGPAVQPCKCPICRRLINLLVPANVSIDNDDDPQIQHVLGEVQHYNRIFGGTPRNLTQRLQDLPFFIRRLFRELLDPQRTLPLVFRARMVMMVALSAIYVLSPVDILPENVLGLFGFFDDFLVLLIVFLHLAAVYRSLLLYRHGGH >OGLUM03G40170.1 pep chromosome:ALNU02000000:3:36537063:36540953:1 gene:OGLUM03G40170 transcript:OGLUM03G40170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G71870) TAIR;Acc:AT1G71870] MAIPLQGKAQQQQAEGGKGGGAADDDGDDQPSVASELRELWGMAAPVTALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSKNYDLLTLSLQRAVLLLTLAALPIALLWLHVGPILVALGQDPTISASAAAYAAYALPDLAASAVLQPLRVYLRSQGITKPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMVLFLLAYIRWSRACDATWKGWARPAAVASGLAGLVRLAVPSCVGVCLEWWWYEVVTVLAGYLPDPAAAVGAAGVLIQTTSLMYTVPMALAACVSTRVGNELGGGKPRRARMAAMVALGCAVVIGVVHVAWTAAFSREWVELFTREAAVVRLAAAAMPILGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVTLAFGARVGFGGLWYGLLSAQAACVALVLFAVVWRTDWHLEALRAKKLTGLEMIAAAAEGDDDECKRLIAPLPPPDGHDVAVDVV >OGLUM03G40180.1 pep chromosome:ALNU02000000:3:36555829:36558214:1 gene:OGLUM03G40180 transcript:OGLUM03G40180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRYAARKICGRALQRPRVVYPAVTSPALEVERRLLPMLIRGEMPHISYTRHFSSSATPQPPLANNNKSISGHRLPCPNPAADGKFHNNIDPASFETLVQEKKDELFRLLLQMDLHNGSCEKSAEENKAILRLLRQFRAETQDNGTLSGADTKNLANRYVLPVSIILSGIIIVAQGHYISHL >OGLUM03G40190.1 pep chromosome:ALNU02000000:3:36562348:36563905:1 gene:OGLUM03G40190 transcript:OGLUM03G40190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTCHPGXCCLNFRVDIEYRVVLTFTVSTSLAAMEGYVPLAGYEICLQVWLTISLLNDALALAGQLFAGAISSAVLLVAAPKFGLGGVWNGLTLFMSLRAIAGFWRLGSKGGPWKIIWSETE >OGLUM03G40200.1 pep chromosome:ALNU02000000:3:36567327:36578642:1 gene:OGLUM03G40200 transcript:OGLUM03G40200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMDGGEHAGERRKAKGYGEKARRAMEKGGSSSEQTFFMMWTENPRNADAAAAAAGAAGGGQDGVLERTRGAGARPCKEVDDDDAANAASRPAVPVARVEQELDRKWSWSCSRWCARATAPAARRTSPTSPPRSPRPRHAHRRPPTRRSTSGASVTFDLLFLLPFDESLILFSFVVTAARQLFHVLLAFLRTWDGKYGVCFHCLLNICRTGIDNLNWLCFFGTERVRGGSGDRINGSIRNSGAAAVGGELPLGRIRTKGRGRAARTTEPRRTVAHDSRRTRVVAAVDGGELSPGCSRTRGGGQRLGRWPLSLTKDGRMSFSVVAAK >OGLUM03G40210.1 pep chromosome:ALNU02000000:3:36579327:36584327:1 gene:OGLUM03G40210 transcript:OGLUM03G40210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLHKGNRLASLMARRCTGVFMARRTTLFTAADGGALMMLQRARSSHAIRQAHVSRNFVKTTGVCNGFSSGSSFGNLNVWYSIWKEPINAAYRISFIIGSVSGVSCTVYYGIKYHVDDCVEEKLKEERKSYDLRLKEERRKTQGGEKVL >OGLUM03G40220.1 pep chromosome:ALNU02000000:3:36599167:36619559:-1 gene:OGLUM03G40220 transcript:OGLUM03G40220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDESFKRPGTIPFKWELQPGVPKQQPHHGGGAGAVGSSSASSSPAAAVATASTLLLPPRLLAPPPAAAAYHGGCDTGANILASTTPSPSSSSHRRSMSARFTASLVLPFTRPRRGRSPSHGV >OGLUM03G40230.1 pep chromosome:ALNU02000000:3:36622021:36626083:-1 gene:OGLUM03G40230 transcript:OGLUM03G40230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVSKKHHQQGGGTTTMEEGAVDGAGGGVAEEGCSGEEREGVAGGGEGEREGRTLVVGVRADAASRTLLTWTFINVAAPGDRIVAVHVVLASAPVAAATTAVDFDTMLAVYEGFCNLKQINLKLKICKDSSVRKALVREANLFGASKVIVGIAKKKRGISSLHSVAKYCSKKLPAKCAVLAVDSGKIVFRRESNVHSGKVSAELPGCGDNEMYCEVPFLARQCKEEPLPLHEPPRDGGGGAGDEEEEHDVGTKETGPENAVSGEQQPSGVDPAEFSPDQVQGDVDPSDKGEESTADQKDEISELPGEGASVLYCVLPERNGHSAASMSSRQSDDSTEPPTEGNGELYCILPPRNDHSGRSSGDSSRSTASRKHDDSASLSAEGDGELYCRLPRTGHLGRSSGGSKRSFSAKGLIRRSSSFSSDIQKDVSVCTTTTEQTSSMVSTEAEDSPKNAARDVDTPSSSPMSLRRMIEGRPDRCRLRRRIFNHQRSSSFEWAKISMVQWAMRLPSRYTSVSDNKSFKSDASPRLNCDSECESTSAVDTESMFSFSLYDISWPPNEVESLQEKYSSTCRLFTYEELKLATSNFSPDMLIGKGGTSQVYKAQLFDGTLSAVKILKPSVDAIQEFVTEVEIATSLQHDNIVSLRGFSSDNYSLVLVYDYMLQGSLDKALHGKHDSKDSLSWEKRNKIAIGIAKALEYLHHGSVTQSVIHGDVKSSNILLSEDFQAQLCDFGLAKQVSASTPHLTCTDITGTFGYLAPEYFSHGKVNEKIDVYAFGVVILEIISGRRPIRTGCSKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSQMLKLLEGDDETIHWARTQVTASFDGSDEEAVAAPDSNMQSHLNLALLGVEDDTLSHCSTEQTMDTSADGYWSRSSSFD >OGLUM03G40240.1 pep chromosome:ALNU02000000:3:36636683:36638731:1 gene:OGLUM03G40240 transcript:OGLUM03G40240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAALLAAALLASPAAPAVSASGGTIVFTTLGRSRYEFDIFSLPLAPSPHNPAAEELRLTDGVSVNYNGNFAPASDSILFVSERNGSLNLYLSPVPSSRREALESASSSAATLSPLLPWEPIALKDRPSLTPDGSRLVYVSTAVPAAEPRSSWAAVYSTELSTGRTRRLTPLGVAAFSPAVSPSGEWTAAASPGAAGWSGEVEDLRTDIYVFRTADGSRRSLAIRDGGWPSWADETTVFFHRRDSDGWYGVYRAEISVTGDGVEAASVERITPPGFHAFTPAASPGAPGLVAVATRRAGSDYRHIEVIDVSDDGKNAYFEVTRPVAPRVHHFNPFISPDGARVGYHRCRGRGNGDSPLLLENIKSPGSPDTFSLFRIDGSFPSFSHDGKKIAFVGLPGMYVVNPDGSGGRRKIFSGNAFSTSWDWKRNGVIYTSIGPDFASESTEVDVVAISLGDDDDETISMKKLTVGGENNAFPSPSPDGKWVVFRSGRSGNKNLYIIDAEDGEAGGIRRLTEGPWSDTMCNWSPDGEWIAFASDRHAPGSGSFAIYMVHPNGTGLRRVVHSGDGGRTNHPWFGPDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIFTVDIDGSNIRRLTHNSFEDGTPSWTPYLLEPRDVGETLQASGRCAFQDCHWLNIQDAAQPEELIYGKSC >OGLUM03G40250.1 pep chromosome:ALNU02000000:3:36641953:36655026:-1 gene:OGLUM03G40250 transcript:OGLUM03G40250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMWHARLLFDGMPRRDAVSWNTLIAGYSGLGSPRLALDAFRGARASGDGVDRFTFAAALASCAREGNWRNGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVMLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQADEFIASVLINLYSKARSVNDSLRCFDMTLKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKETDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKLTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNEIASLAVLIACSHQGLADEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGITNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEALIPGRLLPFRLPPLPLPPSPTRSIGKSNASIAFLFFSSRSPPQTPPIPYPPHTLSVAPPQPPPHPLQRRRSTGLPSIDGVTPRDGWRRRGRADAPRRRSPVGAVAASLHRRPDESLAPPPIPGLLDPACEQVRNGLFGNPKICRLGSSSPCAVSRLNRGGGKQSRGGEGILQLNPHVPSWIRPCLKGVDKLDESSGDTGHIAMIDDEADFGNITDATEGQAAAKFHGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDLGDVFDWY >OGLUM03G40250.2 pep chromosome:ALNU02000000:3:36641953:36655026:-1 gene:OGLUM03G40250 transcript:OGLUM03G40250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAYRPGLFLRNNLLALYCRCGDMWHARLLFDGMPRRDAVSWNTLIAGYSGLGSPRLALDAFRGARASGDGVDRFTFAAALASCAREGNWRNGRVVHGLAVVSGLARTAFLTNSVIDMYAKCGMIDEVRLLFDRAEERDEASWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDSFALGGILKACSELGDSEDVRRMLHGCVVKVGLDLDVFVGSAMVDMYAKNGGLEEAIKVFDCIPNQNVVVYNAMIAGFARLGNDPCPEIRIEAVMLFSNMLKKRIKPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGLQADEFIASVLINLYSKARSVNDSLRCFDMTLKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKETDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKLTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNEIASLAVLIACSHQGLADEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGITNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEALIPGRLLPFRLPPLPLPPSPTRSIGKSNASIAFLFFSSRSPPQTPPIPYPPHTLSVAPPQPPPHPLQRRRSTGLPSIDGVTPRDGWRRRGRADAPRRRSPVGAVAASLHRRPDESLAPPPIPGLLDPACEQVRNGLFGNPKICRLGSSSPCAVSRLNRGGGKQSRGGEGILQLNPHVPSWIRPCLKGVDKLDESSGDTGHIAMIDDEADFGNITDATEGQAAAKFHGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDLGDVFDWY >OGLUM03G40250.3 pep chromosome:ALNU02000000:3:36641955:36653859:-1 gene:OGLUM03G40250 transcript:OGLUM03G40250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKEDVFIWTSMITAFVQNEHFEKALYLFRELLYTRKETDQFTISSVMSACAALSVPTTCKQIHCHAVKTGLDQFTVSGNSQIAMYRNIGDLKASKLTFEQISCLDTFSWSAMILSYAVHGYESKALELFEKMKNLGVMMNEIASLAVLIACSHQGLADEGLRYYENTIPDDGFSLDVKLKACMVDLLGRVGKIADAEDFIMSSGSENDPILWHALLRACRVHGDKERCTKIGEKLMELEPFSASSYVMLYNLYMDAGKISLAMRTRGLMRERGITNEAGISWTDFGGSIHNFIDGDNSCSHNTIHTTLEELLVRVKQKTEHGGTNIWELEFQSRKLSESSISRHGELLAVAFGLTTLPSVAPVRVMKNQRISWESHETLKLLSEALIPGRLLPFRLPPLPLPPSPTRSIGKSNASIAFLFFSSRSPPQTPPIPYPPHTLSVAPPQPPPHPLQRRRSTGLPSIDGVTPRDGWRRRGRADAPRRRSPVGAVAASLHRRPDESLAPPPIPGLLDPACEQVRNGLFGNPKICRLGSSSPCAVSRLNRGGGKQSRGGEGILQLNPHVPSWIRPCLKGVDKLDESSGDTGHIAMIDDEADFGNITDATEGQAAAKFHGNACASGGILDTPSEDVVTVSLGLVNNPDVALDSSTVFASVHKVSQNEENNDDLSHVATHKESMVVSDTQAPPTCCSAKTIDDLADFEGLCDDTHVEEERVAKFQPKFRVKTSKAASKSQRTNQKAGVSTVDVVSQNKEDGKDQAGCNDKQLHSPTRHQESVQISYSQAHLGTHNSTIDDVANSDSIMEEPAQEEMAAKFQPRLRPKAGGASPGVAETIDAACVATPEFGVSSADVVSQDTEEDSHREGLSDGSCQKYIDEEAITTSGTGPPQDLDATVDLDSHAEMVNPHPDGSPLIIGEPSAETTVKFQPYVRRKKGKGKSVSFVPPNVSHAHTPTDTNSETSNSSHFCKDIATGESLSNLPQQASEKICISGEHHPDDQECNDPENQYHEGEPYDHVIEQEPERDVRETGTPMILRNRGKLQKDGIPEHTADDIMDEDFGEPPSDEQDNDSGDEYTARGKQKGRRKSREKNINKEPSRGTKRTSGDSTIEESQKQKLQKNKSKTSSRGQKKTSKDSSVEQPEKKLTHRIRRKRMEEVKTLLETPDHEIDRMKLSVTHLRLLQEAKERIKASFVGKEIPSGPSSSNHSTSQFGDMDDEYNEQDNWDNDRTENHVVENTTKLNYHSYMNRQTRAKWSKSETDKFYEGLQQFGSDFAMIQHLFPDKSRNQVRQKFKAEEKKHPMQVHDAIMHRSRDNLYFKEVIKKLNIEDVQPDINNTHEQEGTSNEEDPGNKNIPGGLINEEENGLDWSDKELDMHRSEVEEKEHVSTNDDDDDLGDVFDWY >OGLUM03G40260.1 pep chromosome:ALNU02000000:3:36665114:36669406:-1 gene:OGLUM03G40260 transcript:OGLUM03G40260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFL1] MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCAGDNARRGGVGAGGGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKKAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPVNSYGPRQPWHDLHCKIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQSGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQGQTMSMMYKIIADALRMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYRWSADHDQGPPRGQECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRALPGHDCFPDVGGKVLGTQTSLPNALTT >OGLUM03G40260.2 pep chromosome:ALNU02000000:3:36665114:36669406:-1 gene:OGLUM03G40260 transcript:OGLUM03G40260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFL1] MGKSSADLSASSESAVLLHGDLDIWITEAKCLPNMDIMSERMRRFFTGYGACGSSCAGDNARRGGVGAGGGVRPKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEERFRVEVAHAVSRLEFHVKDNDVFGAQLIGVASLPVDRILSGAPAEGWFPIDGHCSSNPMRPPPELRLSVQYRPIDDNPLYRGGAGAVPNAYFPLRRGGGVTLYQDAHVADGGLPAIQIAGGRAYEHGRCWEDICHSIVEAHHLVYMVGWSIYHPVKLVREPTRALPGETPSTLGELLKKKAREGVRIVILLWDDKTSHDKFLLKTDGVMHTHDEETKKFFRHSGVHCVLVPRYASTKLSIFKQQVVGTLFTHHQKCVLVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTAFNKDFHNPTFPVNSYGPRQPWHDLHCKIEGPAAFDILTNFEQRWRKATKWKVNLKKVASWHHDTLIKINRMSWIVTPAADEANAHVCEEKDPENWHVQVFRSIDSGSVKGFPKIVQEAESQNLVCAKNLKIDKSIHSAYVKAIRSAQHFIYIENQLFVTHILHSYYDWNALVRSGADNLIPVELALKIASKIKANEQFAVYIVLPMWPEGIPTAAPMQQILFWQGQTMSMMYKIIADALRMQGLVEAHPQDYLNFYCLGKREVAAGDSMSQTSLCNDNSTLRSAQKLRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGCRDTEIAMGAYQPHYRWSADHDQGPPRGQECFGRPETGECVRRVREMAEENWRAYVSPEMEETKGHLMCYPLKVDKDGRVRALPGHDCFPDVGGKVLGTQTSLPNALTT >OGLUM03G40270.1 pep chromosome:ALNU02000000:3:36670561:36674128:-1 gene:OGLUM03G40270 transcript:OGLUM03G40270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQEASHNAAGLTEQFVEALNYYAALFDCLRGARVGGAHARGAVAAQGGDQEHRGVRRRERHERLERWLEGAGFGRVPLSYYALLQARRVAQGLGCDGFKIREEKGNFFLCWQDRALFSSPENAAPAAAPAPAPAPTPAPPPPPSSPPTKSGIPPRYDLDAKWDACLDLSIRRVAYSTLGGTFAGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKVSTVPSAHSEGEDK >OGLUM03G40280.1 pep chromosome:ALNU02000000:3:36675797:36679944:-1 gene:OGLUM03G40280 transcript:OGLUM03G40280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDGERLPVAVGALPWQQQQPTLVLLWIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLRNSKTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCMIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFDNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYSCCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDSTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTAKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASQTEIDEIASVAEMCLKTKGAKRPKMKEVELRLQLLRAARSRAYKEDLQRSSEIKPLLTPKYKCTSLNSTKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >OGLUM03G40280.2 pep chromosome:ALNU02000000:3:36675797:36679147:-1 gene:OGLUM03G40280 transcript:OGLUM03G40280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLLWLILVIHIFIPLRGCVLYNIGYLLVSHLMGSTKETIIASMQLVLLWIIASTVMLVASGAPPPTAASLAPCPKTCGEVNIWYPYGIGPGCFRQGFELTCDTTSKPLKLFLRNSKTQVISLYPSGTVLASIMYTIPMIHGVDTYNLSWDSPGRNLNVETYNYLAFLGCGIGVYLFHPDTGNLVGHCMIKCASMEEMHMATEGGICNGMGCCTVTFPVLFRGFRVTIVKSNETIPQPFDNITIKAFLTFRPYIFSIADLLSNKINASTVGASMAYLSTVIADEPNCPTARLDNKTQFACGSNNCIDVANGGYSCACPGNSDDGNPYLLDDCKQEFNPTPKKNCSRSCGSTNIPFPFGLEPGCFAKRRFQLSCASNRTLIGRPPAKYEVTNISLDEGLLYVNKLSEFEDANTKYLSVYYGGSGYFGQQLIYGLEKSDLSEEYGVWKWSVTNLTCEDAKSKSAYACVSTNSECLDVTHGKLYIGYRCKCSLGFEGNPYVQNGCTDIDECSIPNYCNGTCYNFKGSYSCCPHGMSYDRVRRQCTSNKRQNIVLGLAIGISSGFGVLALTLIAAILFKRWKRSTRKKIRRAYFRKNKGLLLEQLISSSNNVTPNTRIFSLEDLEKATNNFDSTRILGYGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHGLLHGDLSTNCLLTWDDRMRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDGTFTAKVSDFGASRSISIDQTRVVTIVQGTFGYLDPEYFYTSQLTEKSDVYSFGVILVELLTRKKPIFLNCLGEQKNLCHCFLQSLRDKTTMDILDSQVVEEASQTEIDEIASVAEMCLKTKGAKRPKMKEVELRLQLLRAARSRAYKEDLQRSSEIKPLLTPKYKCTSLNSTKNVEMGLVANPESQVVSRCYTMEREMMYSSQFPR >OGLUM03G40290.1 pep chromosome:ALNU02000000:3:36680632:36681390:-1 gene:OGLUM03G40290 transcript:OGLUM03G40290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEIALEPGYAFRPSDDGLITLFLRPKIAKIPFEHRLINHTDVYSADPAELVGEHRPAPGTHGSSSVCKRKASGRRQRAVGGESVWKSEGGKKAVNGADGRRVGYLQKFSYGVYESSSSGSARTFTRLGWCMTEYGLDDDATDGADKHVLCKVYRSPRAVCAEARTAAAKCSGYKRKADDGEDHPEAAPPSARPRQEEAGSEHDEQPELDLDALLSAPTDENLESTFSTAATAVALALVV >OGLUM03G40300.1 pep chromosome:ALNU02000000:3:36683496:36684926:-1 gene:OGLUM03G40300 transcript:OGLUM03G40300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFL6] MRTKTFVLFPSLGVGHLNPMVEMAKHLRRRGLAVVVAVIDPPDNDATSADATARLAAANPSITFRLLPAPPSPDAGAHPARRALDALRLANPVLREFLRSLPDAADALLLDAFCVDALDVAAELAIPAYFFFPSGASALAALLHLPYYYPDVPSFREMGMALVRLPGMPPLRAVDMVATVQDKESDATKVRLYQFKRMAEAKGVLVNSFDRLEPKALNALAAGVCVPDKPTPRVYCIGPLVDAAAGKNGERHPCLAWLDAQPRQSVVFLCFGSKGAFPAAQLKDIARGLESSGHRFLWAVRSPPEEQSTSPEPDLERLLPAGFLERTKHRGMVVKNWAPQAEVVRHEAAGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNRVLMVEEMKVAVALDGGEVGGALVAVAAEEVEAKVRLVMETEEGRKLRERVVETRDMALDAINRGGSSEIAFDEFMRDLEKMNSLENGGGRSC >OGLUM03G40310.1 pep chromosome:ALNU02000000:3:36686391:36688366:-1 gene:OGLUM03G40310 transcript:OGLUM03G40310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGAKMPSPPPAAAAGRLVKVGLLGGAAIYAAFNSLYNVEGGHRAIVFNRLEGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPLPEKLPTIYRSLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAQLIGEAINNNPAFLALRQIEAAREISHTMASSNNKVYLDSKDLLLGLQQLNVDNKNKK >OGLUM03G40320.1 pep chromosome:ALNU02000000:3:36689355:36694220:-1 gene:OGLUM03G40320 transcript:OGLUM03G40320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGLRHGGVGSSSRPGHGFKGPASSVECLGREMLEMQLRDSKPDVGDEKNTERDVVDGSSAEAGHIIATTIRGRNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIVGLKHYFFSTTERDELYLNLVLEYVPETVNRIARQYSRMNQRVPLIYVKLYTYQICRALAYIHNCVGICHRDIKPQNVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKRLPPEAVDLVSRFLQYSPNLRCTAMEACMHPFFDELRDPNTRLPNGRPLPPLFNFRTQELNGIPPEAIERLVPEHARRQSLFMALRT >OGLUM03G40330.1 pep chromosome:ALNU02000000:3:36696274:36701158:-1 gene:OGLUM03G40330 transcript:OGLUM03G40330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G57770) TAIR;Acc:AT1G57770] MASAALASRALPPPPRHCARRPRAPPTTAPRPRRGCAAAARPARCRAVAADERPADPSIPEGEDGALSGVAERPEADVVVIGSGIGGLCCAGLLARYNQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVYVPEGQFLSRIGPTDFLKDLDAFVGADAVQEWKKLLDAVIPISAAAMALPPLSIRGDLGVLSTSAGRYAPSLLKSILQMGPQGALGATKLLRPFSEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCSLEYPLEGSGAIIDALVRGIKKFGGRLALRSHVEKILIENGRAVGVKLQSGQIVRARKAVVSNASMWDTLDLLPPDAVPRSYQDKVKATPQCESFMHLHLGFDVENAREDLGIHHIVVNDWNKGVDADQNVVLISVPTVLGNGLAPPGKHVLHAYTPGTEPFSLWEGLDRKSAEYRRLKEERSEVMWKAVELALGPRFSREKCDVKLVGSPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGRQICG >OGLUM03G40340.1 pep chromosome:ALNU02000000:3:36702886:36705696:1 gene:OGLUM03G40340 transcript:OGLUM03G40340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSNIAKKRKGVIVKIVTRYKAPGVETTPGQRCGNDYLTENNYPKPPLNILYGGRRHFACTGFFIEWTNGYRIILTSASLGGIGGPLVDLDGNVLGMNFYDKKIGTPYLRWHVITALLKLTSVVTLEGPLPCYAGVYLPGWNGGDNANLNRVFDQTACTSKYCFVLPQSPPVAPDWTIETGNSVTLNRWPVPLPYWRQPDDMEEEEPPRGFEDMYTYVDGVRLCNF >OGLUM03G40350.1 pep chromosome:ALNU02000000:3:36706398:36708060:1 gene:OGLUM03G40350 transcript:OGLUM03G40350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGNEAHKAQPNGLLWAYWEAHFKAFFFFSPRALPFVSTRKPRNQERKKSRTCDMDQEFHFDPFTGGDFDYPKGESFSGDASSGFSSSGGCPSSCDFDYPDGESFSGGASSGFSSSGSVQLRVRGHPGRVSESFSGGAASGELDYLGSSGCSSSGGDDLHGGCPSSCGEQLRVPGYPDRDGELFSGGAASGGFDYPGSCGRSSSAGEELHGHPYRDGYSFSGGAASDECHFLGRSGFPSSGGERLLVHRHPDRYRCGEPFSGGYARGHGDLLGWSSCHSSCSQLLQDPATIVIVINDGAHAHGGGLAQAGQASSMSVPLVKSKQGTSLVPQLKLGPSAAPNLLHWLMEHILWDALEFSISWTTLRRHQPKLPSEWSWWMAMGSPFIQIDG >OGLUM03G40360.1 pep chromosome:ALNU02000000:3:36710759:36714195:1 gene:OGLUM03G40360 transcript:OGLUM03G40360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVSRLMATCVQEEKELEEKEEEEDENEEEEEEEEDPQPYVPSHPLPSEEVRDGYSIREAHAALDYYNANHTGAEYELVKPLMAACVFFKRRMWYHVSLLARRKGQTTAPPIEYFFAELREGASDSFIVEACTMIENPQSCSGNKCSLCPTRYEIVHPSEEELLCGKEGDVKDFLRLRNLSPLPFTCPVTVPEIEIVVEK >OGLUM03G40360.2 pep chromosome:ALNU02000000:3:36713616:36714575:1 gene:OGLUM03G40360 transcript:OGLUM03G40360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVHGKDGAEEAPAGASHHSSCYCSFSSSSPPSSDDRPGVRAIPCSSHHDEREIAEADCAGAGAGVHLSGCSSSPENALAHGYISWKLDLPPPILVASCPSDHVVKELGRTRSEQAHLPSLRFSGEQPIQGARIQVAPRDTASCAISSGEDHPSFIMTVISESAEFQFGHPRREDLERNLYSENYELFDSICNRL >OGLUM03G40370.1 pep chromosome:ALNU02000000:3:36721484:36722431:1 gene:OGLUM03G40370 transcript:OGLUM03G40370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALVSRAAAAMLGDASDEAELRRWMEEEDARRQAIEEEEEDYLCTRPLPSEEVRDGYSIWKARAALHHYNANHPGAEYDLVKPLMAACVIFRGNMWHHVSFLAHRRDQLVAPPVEYFFAGLRDGCSDDDSIVEACTMIENPQSCSGNKCSFCPEQYQIAHPSEQELLCGKEEHVKDFIRLMNLSPMPFTCTTEPR >OGLUM03G40380.1 pep chromosome:ALNU02000000:3:36723305:36726853:1 gene:OGLUM03G40380 transcript:OGLUM03G40380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQAGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDAKSDIEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETRLISEVEYYLTNLISAKMFIMNVNGHSLSMEESVFQTHMESAKLGNHISVASTSSSQGLGTSTTGLNEESGDTEGLKFPFMDSETESLTPAEVKQLHELYRQVVTRYTLLSKALRKKANEFQASATWFRGFQYTLQQIFCAERYLFALVQWWVNVVTVTL >OGLUM03G40380.2 pep chromosome:ALNU02000000:3:36723305:36727342:1 gene:OGLUM03G40380 transcript:OGLUM03G40380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPTSPASRLDFYDFIGRMRRPAAADLFHSIRSFLASLSQAGEPNAEVDGGRVQTFFAEMETAIRDHPLWANATNQEIDNALEGLEKYIMTKLFDRAFASSAEDAKSDIEISEKIGLLQHFVRPHHLDIPKLLHNEAAWLLAVKELQKINSFKSPREKLSCIMSCCQVINNLLLNVSMSNDRTLSGADDFLPILIYITIKANPPQLHSNLKFIQLFRRETRLISEVEYYLTNLISAKMFIMNVNGHSLSMEESVFQTHMESAKLGNHISVASTSSSQGLGTSTTGLNEESGDTEGLKFPFMDSETESLTPAEVKQLHELYRQVVTRYTLLSKALRKLSVDEDQLLNSVDD >OGLUM03G40390.1 pep chromosome:ALNU02000000:3:36727769:36729260:-1 gene:OGLUM03G40390 transcript:OGLUM03G40390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxysteroid dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT4G10020) TAIR;Acc:AT4G10020] MEQVVNAVLDLVVPPASMVMLAFAWPTLSFLRGVEWVVKTLTVENMQNKVVLITGASSAIGEQIAYEYARRNANLVLVARREHRLFAVRENARALGAGQVLVIAADVVKEDDCRRLVGDTISFFGQLNHLVNTVSLGHDFCFEEAGDTVAFPHLMDVNFWGNVYPTYAALPYLRRSHGRVVVNAAVESWLPMPRMTLYSAAKAAVIDFYESLRYEVGDEVGISVATHGWIGGEASGGKFMLEEGAEMQWKGEEREVPLAGGQVEAYARTVVAGACRGDAHVKHPSWYDVFLVFRAFAPDVLAWTFRLLLSMPSPSPPASARRHQLAALPAPPLHPLLEYPSARSPGRAAQQHKLE >OGLUM03G40400.1 pep chromosome:ALNU02000000:3:36731324:36732668:-1 gene:OGLUM03G40400 transcript:OGLUM03G40400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPAPWEQGGDEYDYLFKIVLIGDSGVGKSNLLSRFTRNSFSLDSKSTIGVEFATRTIQYYCFPKGPLLNCINLVTQVEGKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKATTFENVKRWLKELRDHADSNIVVMLIGNKIDLKHLRSVSLEDATSFAEREGLSFVETSALDATNVDKAFQTVLTEIYRIISKKALAADEAGAGAGAVREGQSIQVSATDSSSFTSRCCSF >OGLUM03G40410.1 pep chromosome:ALNU02000000:3:36734647:36745723:1 gene:OGLUM03G40410 transcript:OGLUM03G40410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKVSERRPPRITSNVKQSLRILKFWKDYERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >OGLUM03G40410.2 pep chromosome:ALNU02000000:3:36734647:36745723:1 gene:OGLUM03G40410 transcript:OGLUM03G40410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSAAYSAASAIVVVMAKGKGRGGRGGPSGANKDYERRQTSGPQPATRYRKKKVIKEVLPDDTDFYEDASSTLHYTNQGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREIKVVVVFDAAASGLSTHKETYKGVDVVYSGDLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEIKESEKELDEELKETRSTSLQGKLFQHKLKPKVVHALKDLRNKLEEEERRKR >OGLUM03G40410.3 pep chromosome:ALNU02000000:3:36737736:36745723:1 gene:OGLUM03G40410 transcript:OGLUM03G40410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMALSISSTAAATALLPTLPLSHSRLRVAPRRAAARVARAVSAGYAAGFYGGAAAAAGGKDDEVGDEEGSSSGFGAGVGIGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVAGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPESLDALQMRLNADDDVIRSTSFKVRKRKAF >OGLUM03G40420.1 pep chromosome:ALNU02000000:3:36738239:36741270:-1 gene:OGLUM03G40420 transcript:OGLUM03G40420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSENPTVTERGGGGKDRRDDDGGEKKEGGGGFMEKVKDFIHDIGEKIEGAVGFGKPTADVSGIHIPHISLHRADLVVDVLIKNPNPVPIPLVDIDYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPISLIYDDIKSTYNDIKPGSIIPYLVRVVLLIDVPIIGRIKLPLEKSGEIPIPYKPDVDVEKIKFHRFSFEETTATLHLKLENKNDFDLGLNMLEYEMWLGDDSVASAELTESATIEKQGITTMQVPFSFRPKDFGSAVWDMIRGRGTGYTIKGKIDVDTPFGNMKLPISKEGGTTRIKKDDDDDDENHKMK >OGLUM03G40430.1 pep chromosome:ALNU02000000:3:36743623:36749673:-1 gene:OGLUM03G40430 transcript:OGLUM03G40430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERSKRRKAPEDDRRHPGIEIQKERTELNLEKAEETMIVIGIGATIGTAAMIVIGIEIMTVRVAMIQGEGNVLDPGSAGATIGTESGATSVADFGRPQQAMLHKSVRSISRNV >OGLUM03G40430.2 pep chromosome:ALNU02000000:3:36744103:36749673:-1 gene:OGLUM03G40430 transcript:OGLUM03G40430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMRKQLDVLMGANRNGDVREVSRKYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCPKVHSLQLRKDYEEVKAKGTENYDRELEEMIDRLIVECERKIQRALKRLADEDAKAAIAISVSEVTQTDEVLQLSKEIKEKMKEVDTYGKTDDKIKTMEVVEELRSKRADMQATLLLDAFNKDRASLPQPTPTPQMASVPAPAPPDARTQEMINEKIKKAEELGEQGMVDEAQKVMEEAEALKKLAARREPTSDPTKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEERSKRRKAPEDDRRSREYSKDRNGQASRDRDSERKDRVEPRESRRDHDRDRDRRHDRDRRHDRDRDRDYDRSRGHDSRRRERSRSRERRRHDRY >OGLUM03G40440.1 pep chromosome:ALNU02000000:3:36750963:36758720:1 gene:OGLUM03G40440 transcript:OGLUM03G40440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDPDSSPAPPHRDWFFPPAPPFLPSSRARTPRAPFPSTSRSSNPYSFSDRRPPPTPRSRSRSPLPPPEQQKQQQPPPPTPPPAPRRRDPRYAGVRRGDVRTLAAEKAAAAAAAPTAAPVNGSKSAASATTLRWSGMVSVAAIVLCFSSLVRSNSSLHDQVHHLKGQLAEATTKLQSCITESSMDMSSILSYQSNNSTSQNRGLKNFSLLLSLSMLYAPLLILKYMDLFLKLRNSQDSEEEVPINKRLAYRVDIFLSLQPYAKPLVLLVATLLLIGLGGLALYGVNDDSLLDCLWLSWTFVADSGNHANAEGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLVLGWSDKLGSLLNQIAIANESLGGGTIVVMAEKDKEEMEADIAKMEFDLKGTAIICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGIKVASYGGKIILNPDDFYVLQEGDEVLVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIVVMRGYLPKDFVVPKSPERILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPEMDRERKLIDGGLDFSRLENITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPFREAMVSHVTRGSFCEGSWIGEMQQASDKSVIISEILDPRTKNLLSVSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPADLYLREDEELNFFEVMLRGRQRKEIVIGYRLEDAERAIINPPDKVSRRRWSAKDVFVVITEKE >OGLUM03G40460.1 pep chromosome:ALNU02000000:3:36768453:36771841:1 gene:OGLUM03G40460 transcript:OGLUM03G40460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIVDVAGACGQQALDGSNPAVARVRQLIGGGVQESSDGWSRCWEEGVTPWDLGQPTPAVVELVHSGTLPAGDATTVLVPGCGAGYDVVALSGPGRFVVGLDICDTAIQKAKQLSAAAAADGGDGSSSFFAFVADDFFTWEPPEPFHLIFDYTFFCALHPSMRPAWAKRMADLLRPDGELITLMYLAEGQEAGPPFNTTVLDYKEVLNPLGLVITSIEDNEVAVEPRKGMEKIARWKRMAKSD >OGLUM03G40470.1 pep chromosome:ALNU02000000:3:36770798:36774665:-1 gene:OGLUM03G40470 transcript:OGLUM03G40470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPAAQEALKALALGPDVSRVSSGDVLADALADLRLALNLDPLPRRAAEGFALFFDDLLSRAQARDWFDHVVPSLARLLLRLPTLLEGHYRAAADEARGLRILSSQDAGLVLLSQELAAALLACALFCLFPTADRAEARLPAINFDSLFAALCYNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLPRRPESDGIMYPDMDTWMKSGVPLCKFRVFSSGLIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLYAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEVTQWILRHRWTVGELWDMLVEYSSQRLRGDTNEGFLTWLLPKDIPNGSTGCACMAILACI >OGLUM03G40470.2 pep chromosome:ALNU02000000:3:36770939:36774665:-1 gene:OGLUM03G40470 transcript:OGLUM03G40470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGDLRSILPYLPVVLRGGALFWPPAAQEALKALALGPDVSRVSSGDVLADALADLRLALNLDPLPRRAAEGFALFFDDLLSRAQARDWFDHVVPSLARLLLRLPTLLEGHYRAAADEARGLRILSSQDAGLVLLSQELAAALLACALFCLFPTADRAEARLPAINFDSLFAALCYNSRQSQEQKVRCLVHYFDRVTASTPTGSVSFERKVLPRRPESDGIMYPDMDTWMKSGVPLCKFRVFSSGLIEDEEQEALEVDFANRYLGGGALSRGCVQEEIRFMINPELIVGMLFMVSMEDNEAIEIVGAERFSQYMGYGSSFRFTGDYLDSKPFDAMGRRKTRIVAIDALDCPTRLQFESSGLLREVNKAFCGFLDQSNHQLYAKLVQDLNTKDNCPSVIPDECIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRSFINYYTFESESLKRLEEVTQWILRHRWTVGELWDMLVEYSSQRLRGDTNEGFLTWLLPKDIPNGDVDYMCE >OGLUM03G40480.1 pep chromosome:ALNU02000000:3:36775094:36780615:1 gene:OGLUM03G40480 transcript:OGLUM03G40480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQCCFTAMGKMRKSKRTADHNLTRSSKNEGEISSTKSLISGDLNSGSNQDVWAILSVEVASNLSKSVVSLTLSDGNTLIYACSGIAIECQEGSGTIFLTSASLVTAFYDTEEVYDNLKIEVRHEGNEVLKGYLAKYDLDKNFAVVYTMESLDVHIVLAENSRDRYINKKLVAVGRDKHGVLMAKSVMVAGCRDSNRSEDSKEIRLISEDWEGGPLFDFDGKFVGMNRFSVMDRTSVLSWVSILIILKHYLPSLQNRILKRLQNVKRVRDGERPTGELPDYHPEAPVYRGGLNTEQFGYLNSMGYPKPPINVLDDGMILANSFEETFGDLCGEDLWSEINKKVPCDIHQNVVALASFKGKRRSFACTGFFIEWKGCTTILTSASLVRESDDGNKIDENLRIEVLLPNKQLREGTLQHYNLHYNVALVSVKDKDFHARPANIQLDHNHGPGVAAVGRCFESGKLMAARTDVVDWSGTLDCEMFLIRSSCKITKAGIGGPLVDLEGKVIGMNFYDKKIGTPCLPWNVILMVLACFEKESSGGEVGSGSDPCGAPGWKIPRDESVRLNRWPVPLPYWRPHDDVDEQEPPEGCEHIYTYRNGERYCYRFRRQM >OGLUM03G40480.2 pep chromosome:ALNU02000000:3:36774802:36780615:1 gene:OGLUM03G40480 transcript:OGLUM03G40480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPTPRPRVLAAAPCHVPSRRRRRVCDGLCSAAAADNGCAESPDVVLECKRLDRLVKSGRLADALDLFDRMPRKNVVAWTSVMSGCTRNGRPEAALAMFADMVESGVAPNDFACNAALVACADHGALRAGEQVHSLAVRAGFAGDAWIGSCLIEMYSRCGSLPAAKEVFDRMDSPDVVGYTSLISAFCRNGEFELAAEALIQMMKQGLKPNEHTMTTILTACPRVLGQQIHGYLIKKIGLRSQSVYSSTALIDFYSRNGEFKLAKAVFDSLHCKNVVSWCSMMQLYIRDGRLEEALQVFGDMISEGVDPNEFALSIVLGACGSIGLGRQLHCSAIKHDLITDIRVSNALLSMYGRTGLVEELEAMLNKIENPDLVSWTTAISANFQNGFGEKAIALLCQMHSEGFTPNDYAFSSVLSSCADVASLDQGMQFHCLALKLGCDSEICTGNALINMYSKCGQMGSARLAFDVMHTHDVTSWNSLIHGYAQHGDANKALEVFSKMRSNGVKPDDSTFLGVLMGCNHSGMVEEGELFFRLMIDQYSFTPAPSHYACMIDMLGRNGRFDEALRMINDMPFEPDALIWKTLLASCKLHRNLDIGKLAADRLMELSDRDSASYVLMSNIYAMHGEWEDARKVRRRMDETGVKKDAGCSWIEINNEVHTFASRDMSHTNSDSIYQMLGELVAVMQDFDELEPFDVHIC >OGLUM03G40490.1 pep chromosome:ALNU02000000:3:36781713:36787037:-1 gene:OGLUM03G40490 transcript:OGLUM03G40490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >OGLUM03G40490.2 pep chromosome:ALNU02000000:3:36782773:36787037:-1 gene:OGLUM03G40490 transcript:OGLUM03G40490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWFCCNCHFDEEDDHGKERSNAQGNKMDAKQKSSKPPAGQPEPEIAPLTIDVPELSLEDLKQKTDNFGSNALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSQASRLKHENLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWTQRVKIAIEAAKGLEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWVSCLYHLKAESSIRSFPRVTKHTSTAF >OGLUM03G40490.3 pep chromosome:ALNU02000000:3:36781713:36782467:-1 gene:OGLUM03G40490 transcript:OGLUM03G40490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNATPRLSEDKVKQCVDPRLKSEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQKPAVPAASEPAPATEN >OGLUM03G40500.1 pep chromosome:ALNU02000000:3:36791065:36795234:1 gene:OGLUM03G40500 transcript:OGLUM03G40500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASSAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLAE >OGLUM03G40500.2 pep chromosome:ALNU02000000:3:36791065:36795296:1 gene:OGLUM03G40500 transcript:OGLUM03G40500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAATAGTYSPASSAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGTDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDASSLAE >OGLUM03G40510.1 pep chromosome:ALNU02000000:3:36795876:36800588:1 gene:OGLUM03G40510 transcript:OGLUM03G40510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGRFPKKPSKSGDKDPIGSIQERMLKTD >OGLUM03G40520.1 pep chromosome:ALNU02000000:3:36800849:36804090:-1 gene:OGLUM03G40520 transcript:OGLUM03G40520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48460) TAIR;Acc:AT3G48460] MAARRSDVILLLLLLIAGVSVPAAAFETVYAFGDSFTDTGNTHSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLADRLALPGFLPPYLSPAAANATHGVNFAVAGATAIEHEFFARNNLSVDITPQSIMTELAWFEAHLRRSPAAARAVGDALFWVGEIGANDYAYSFMAATTIPQDQIRNMAVDRLTTFIEALLKKGAKYIIVQGLPLTGCLPLTMTLARPEDRDNISCAATVNQQSHAHNRRLQASLRRLRRQHPAAVIAYADYYAAHLAVMAAPARYGFTEPFKTCCGAGGGAYNFEIFSTCGSPEVTTACAQPAKYVNWDGVHMTEAMYRVVAGMFFQDGRYCHPPFSTLLARRNKGN >OGLUM03G40530.1 pep chromosome:ALNU02000000:3:36807745:36814193:1 gene:OGLUM03G40530 transcript:OGLUM03G40530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OxaA/YidC-like membrane insertion protein [Source:Projected from Arabidopsis thaliana (AT1G24490) TAIR;Acc:AT1G24490] MDSHLLLLARPRALALAAARAPAGVAGFRRPASPRRVAPRRVVLRPVAALGGGGGFAEVGELFGRVEAFLYTVADAAVSASPEVVQGGGGGTKEAAGDWLSGITNSMETVLKVLKDGLSALHVPYPYGFAIILLTVLVKAATFPLTKKQVESAIAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAARQSGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYVSSQVMQPPQNNDPSQQGAQAVVKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIDKLAKEESTNLGKPEPAIKSDPLPKVGKPPASQEPKPSGPQRGERFRKLKEEESRRKMFLEKAEQTEQAGTQAGIVDGKQNSDASGDNIDEQESHENEPIIANGNGGLSHSTNEMIPNGSMKEDIIQESTDSHSSVIDPTSHDAHKSRDEENEQDAV >OGLUM03G40540.1 pep chromosome:ALNU02000000:3:36814257:36814604:-1 gene:OGLUM03G40540 transcript:OGLUM03G40540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHRTLLLLVAVLFAAAAVALADDAKPTILTPVATTPLGSFDGDSPADDAMDDEDAAPVGAPIGTTMTEPKPELTTTPGAAGEAAGGASAGYSLGVASHVGAAAAFVAGVFAF >OGLUM03G40550.1 pep chromosome:ALNU02000000:3:36815810:36816688:-1 gene:OGLUM03G40550 transcript:OGLUM03G40550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGGGSGGQPSCAAVSLSKYLQRKLWKRINGGKPRRKRRPEMRSASGGGEVPVSVELMTTSSWSSSSVRSPEAVVRVVMQGGVVEAYGGVVLACTVIRKHPPGLCLAYPDVFRNPHGARVRPLQPLFPGEKFYLLPERTIERLQRQIPESSVGAFDNADEEEEDTQDYSSGAASSEEEEEAACDDDGGDECAARRWCCAREYFEAKERWEECQFKKMVARGLAVEQSTEKETATKKKKKNGRRRRKKRNSAAVPSTGCRTSRAPATTRRTWEPSLPSVEEERESSPPSERS >OGLUM03G40560.1 pep chromosome:ALNU02000000:3:36817642:36820760:-1 gene:OGLUM03G40560 transcript:OGLUM03G40560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G23700) TAIR;Acc:AT3G23700] MLPENGRPRCIPNPNQIKSVRPFASSKIIRLVPPAFPSPPRPLIPPSSLPTTTTTGGGAMLAAAAALRPAPTTTAAFSPTTARSPPPTLLSFAPPRSHQRFHLSATAEGAGTTAAQEGASASAPAPPVDEARLAQFAADWQAARAERDQGKILKLQVIRANSGGLIVRFNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGSSISVKVVEVNEEERKLVFSEKDASWFTHSSLVKIGAIYDGIVGSVFHYGAFVHLRFPDGNYHLTGLVHISEVSWDLVQDVRDFLNEGDTVKVIVVNIDMEKSRIALSIRQLEEDPLLETLDKVIPLEADQSPSAGIISSDSSPSEADLLPGLDGICNELLQEDGITDVQFGRRALEKRVVSQDLELWLSSVPAKDNQFKLLARAGRQVQELYLTTSLDQEGIKKAVQSVLGRVP >OGLUM03G40570.1 pep chromosome:ALNU02000000:3:36821243:36822924:-1 gene:OGLUM03G40570 transcript:OGLUM03G40570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKLLSPSSPIYTTLSSRLARINATRHTPPPPKSSRSARSLTSFLLIRATMSSSSSSSDAVAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEVRMIKLLVLSFHLFFMPGAFTHQDFAGHKGTISTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIDGEGVFGREKASPAAAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >OGLUM03G40570.2 pep chromosome:ALNU02000000:3:36821243:36822924:-1 gene:OGLUM03G40570 transcript:OGLUM03G40570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKLLSPSSPIYTTLSSRLARINATRHTPPPPKSSRSARSLTSFLLIRATMSSSSSSSDAVAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTISTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIDGEGVFGREKASPAAAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >OGLUM03G40570.3 pep chromosome:ALNU02000000:3:36821243:36822924:-1 gene:OGLUM03G40570 transcript:OGLUM03G40570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKLLSPSSPIYTTLSSRLARINATRHTPPPPKSSRSARSLTCTTASNPKSKSKHPRLLLLIVSLILLAAFLLIRATMSSSSSSSDAVAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEVRMIKLLVLSFHLFFMPGAFTHQDFAGHKGTISTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIDGEGVFGREKASPAAAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >OGLUM03G40570.4 pep chromosome:ALNU02000000:3:36821243:36822924:-1 gene:OGLUM03G40570 transcript:OGLUM03G40570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSMMKLLSPSSPIYTTLSSRLARINATRHTPPPPKSSRSARSLTCTTASNPKSKSKHPRLLLLIVSLILLAAFLLIRATMSSSSSSSDAVAAAAATFEKPRTVVKKLLAESQPEGDGATVRRSIGRYELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTISTGDVQWMTAGRGIVHSEMPAADGVQKGLQLWINLSSKDKMIEPRYQELMSKDISCAEKDGVEVKIIAGEAFGVRSPVYTRTPTMYMDFTMQPGSQLHQPIPEGWNAFVYIIDGEGVFGREKASPAAAHHCLVLGPGDGLSVWNKSGEPLRFALVGGQPLNEPVVQHGPFVMNTRAEIQQAMEDYYYGRNGFEKARHWSSTA >OGLUM03G40580.1 pep chromosome:ALNU02000000:3:36824039:36827029:-1 gene:OGLUM03G40580 transcript:OGLUM03G40580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFDLLGEADGESGEAAVAILVGKKKAEADAFAAANPQPTKKGAKDVKGKNNGQENGSGGRNYDYDYYNRGYSNNNGGYGYQNYNYNGNNSHGYQNYNYNGNGQGHYNGGYQPIHQGNGQYQNNGNYHGDYGYDGQYAENYNNGQRQVYNGGQYRKKNLQYRPKEKQLSETASACSAENKSEDKLDSASETGKKESVAGDAVAKPVSGPEESTGDIAQDNSKKDGGDPEKKKVRNTLSGSAKRKLKKKQDSKVSGKTEKEAEKAEVLKEEEKKDMTLEEYEKMLEEKRKALEDSKSEGRKVTAEVFEGMQLLEKKKLDDENASKKAENTSKKAENEQRKEPAKQVKAPKAINLNDLLKPADGQAYRPRPPPRRVHEDGFSQGYYSGGGGPGRGNGGFRQNSRDHSAEPHGNGYQERRDVGYNGGYQGRGNGGYQQRGYNGNNNGGYQQRGYNGNTNGRFQQERAVNSGNGGYRQGGYRGDGGYQHGRGYSGNGNGGYQQGQGYSGNGGYQQGRGYSNNGGYRRGGNQGNGRNESDSILSPAHFPALTGTIPATAEKVQSQSQASSSPAPAQAQPQAQTQSSSSSSGAAPAPAQAKSQAPPPAPAQAKSQAPPAQAKSQAPAQVKSQAPAPAARTKAPSKAQS >OGLUM03G40590.1 pep chromosome:ALNU02000000:3:36827825:36828505:1 gene:OGLUM03G40590 transcript:OGLUM03G40590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVTAAVNMWDLLTVDDPGHVPGTVGAAESKSKRKKNKKKNAAVDDGDGEVVVATAADAPAANAEVAKGSEPAGKAAQMSSGNQQAAASNDDIDGGAPPAAAAAGGGRRSPCLTVVGEMVKAVVAAGLVAFFYAVVTAPPPTV >OGLUM03G40600.1 pep chromosome:ALNU02000000:3:36830880:36839598:-1 gene:OGLUM03G40600 transcript:OGLUM03G40600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRRGGVPRCDAAAASPSAGSTLEHPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSERINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLWHSHEQGQQVVFETITLDDVATLIYTSGTSGIPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKMLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRLGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >OGLUM03G40600.2 pep chromosome:ALNU02000000:3:36830880:36839598:-1 gene:OGLUM03G40600 transcript:OGLUM03G40600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRRGGVPRCDAAAASPSAGSTLEHPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSESIALVVDSPQFFNRLAESFISRINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLWHSHEQGQQVVFETITLDDVATLIYTSGTSGIPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIVSVPLVYETLYSSIQRQISSSSTARKFVALALIKISLLYMEAKRIYEGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKMLVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGVKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRLGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >OGLUM03G40600.3 pep chromosome:ALNU02000000:3:36830880:36839598:-1 gene:OGLUM03G40600 transcript:OGLUM03G40600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSASGQMAALCSPSPTLPTASLLLLRRRRLRLLHPPPSAAALLLLLPARRRGRHHHRRRGGVPRCDAAAASPSAGSTLEHPVRRKCSPLLESALLPGGNGLTTHDWMAVPDIWRTAAEKYADRVALVDPYHEPPSELTYKQLEQEILDFSQGLRAIGVAPDEKIALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELFQIYTHSERINARFIVLLWGEKSCLNSEVVNGIPLYDFKDITQLGRESRNTLWHSHEQGQQVVFETITLDDVATLIYTSGTSGIPKGVMLTHRNLLHQIKNLWDFVPAVPGDRFLSMLPPWHAYERASEYFIFTYGIQQVYTTVKYLKGTVLSNNPVKPSFIVYMVNWLSARIVAALLWPLHNLAKMLVYKKIHSAIGISKVLGTVGHPVKHTEIKVVDMETGEVLPDGSKGVVKVRGPQVMKGYYKNPSATNKVLDQEGWFDTGDIGWIAPHCPTGPSRKCGGMLVLEGRAKDTIVLTTGENVEPAEIEEAASRSDLINQIVVVGQDKRRLGALIVPNYDEVLATAKRKSILDGNNELAKDKVLNLLYDELRTWMVDCSFQIGPILIVDEPFTVDNGLLTPTLKLRRDKVTAKYHREIDALYK >OGLUM03G40610.1 pep chromosome:ALNU02000000:3:36841611:36844013:-1 gene:OGLUM03G40610 transcript:OGLUM03G40610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSRLPLQLLLVVGAAASETAHFLGVNYGRLGDDLPPPHRALELARSAGAAAVRFNDSNATFLSPAAASGLVFVPGVAATLLPFRRNRRLRYLFVGNEVLSDPTTKSRWFQLVPAMANLDRALRRHGMRRVRVTTTLGMDALDGQNVFPPSAGVFRPDIADAVVRPLLAFLERTDSYLFVDAYTYFTWSANHTIVPLHYALLEPSPGYQYHDPGTGLSYTNLLDHMLDAVVAAMCRAGHCGVRLALAETGWPNAGDLDQFGANARNAATYNRNMARHLASGAGTPRRPGMRMPAFVFALFNEDLKTGPATERHWGLFHPNGSAVYEVDLTGRRPPASYPPLPPQATNDAPYPGKLWCVVGAAVNETAVREQMEAACADEAALCAPVRAGGECYLPNTVAAHASYVFSAHWNRFSKVYGGWCYFAGLAMETTTDPNL >OGLUM03G40620.1 pep chromosome:ALNU02000000:3:36846079:36850442:-1 gene:OGLUM03G40620 transcript:OGLUM03G40620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >OGLUM03G40620.2 pep chromosome:ALNU02000000:3:36846081:36850968:-1 gene:OGLUM03G40620 transcript:OGLUM03G40620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTADGWNCCGIIRGLDTTYPRLIAQFTESSSKKGRQGGMAEFLFEDIFTVTRLDPDGKKFDRVSRIEARSDQFDMYMQLDVATDVYPMHPGDRFTMVLVPTLNLDGTPDSAFFTQAGRKTLADKYEYVMHGKLYKISEDKDTSDQNAKKVEMYASFGGLLVMLKGDPSSAANFELDQRLFLLMRKV >OGLUM03G40630.1 pep chromosome:ALNU02000000:3:36852927:36853136:-1 gene:OGLUM03G40630 transcript:OGLUM03G40630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSALGLPICWPRPGKARRLHQEGDGSPSATNQTTSSKASPSFPGSPSGWVRWRTSDRGDEAEAAMGDC >OGLUM03G40640.1 pep chromosome:ALNU02000000:3:36854444:36859008:1 gene:OGLUM03G40640 transcript:OGLUM03G40640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLDVHVVLSEHLKDRYAKKKLVAVSRNKYGGLITKSVIVGSHHNSNRSEVCHDISVMAEDWEGGPLFDFDGKFVGMNKFLAMDTTFILSWMSILIIFKHYLPTLQNRILKRLQNLKRVRDGEGPGELSDCHPEAPVHRGGLDKEHVEYLNSLGYPKPPVNVLDDGLILAYSFEENFGFVYGEVIKKFPCDIHQSVVALASFKGERRFFACTGFFIEWKGCTIILTSASLVAESGDRNKIDENLRIEVLLPNEQRRQGTLQHYSLHYNVALVSVNDMDFHARPANMKLDNECPPQVAALGRCFESGKIMAVCGDLVDWTGTLDCNFLIRSSCKTTKAGIGGPLIGLDGKVIGMNFYDKKIGTPYMPWDVILKILACFENESSAAEIGNGSDPSDAPGWKIPGDGSVKLNRWPVPLPYWRPHDDVEEQEPPEGCEYQCTYRNGERWCYR >OGLUM03G40650.1 pep chromosome:ALNU02000000:3:36863603:36864929:1 gene:OGLUM03G40650 transcript:OGLUM03G40650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEEDVDGDGDDGGEAAGAEEGSGPFFPILLFPRRLEDGGGGAIEAGDGGGDKVGDGGGADPAISFSAISIISAGQKLICSHSSASSWKVGCSSDSPILLFPNRRNHVHVGFVIRVELGLPEQFRLIGLMLELLRFNDESRGDPLLSPVTHTPKSTAQQQTSVLCRFRGGSRWGLTVCQADCTSFEAQGSSRRGFAADPCRLAPFSDVRLFQEGCFRSSVNPPFLRMALLLGYVMGFIP >OGLUM03G40660.1 pep chromosome:ALNU02000000:3:36870574:36871164:-1 gene:OGLUM03G40660 transcript:OGLUM03G40660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPAAPPLLEGEELMDIPVEKRARKSMVVVRDANGEYCSGCVLKSKGKYTYVLTQSSFASGREDTLKICFFDQIEREASAFASGDTFCLLRTKRHTDCRPIKKMRGQVMPEPMVVFAPSSATSAYHIPGFVISTFDETISMVLSATSWERATMPRRVSMGIAD >OGLUM03G40670.1 pep chromosome:ALNU02000000:3:36878811:36882000:-1 gene:OGLUM03G40670 transcript:OGLUM03G40670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVRGGVVIHPGRRGRLVASGCLPLEGARSEGRRRWSFFGAREGGGGRLRRPWRASWSVQGARFREHTSRRPVAVVALKQRSSWNGGEGCFPPCAAATFTAGNMLLSNLKDLFDDSELDVSTVGVTDAGANGGGKVQSLLQDIKQVFDKMVSPTTAAQPPPKLWQSLS >OGLUM03G40680.1 pep chromosome:ALNU02000000:3:36883647:36889198:1 gene:OGLUM03G40680 transcript:OGLUM03G40680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFR9] MVAVASASPLTAAAAPSMCCSPPAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLLLYLDCH >OGLUM03G40680.2 pep chromosome:ALNU02000000:3:36883647:36888263:1 gene:OGLUM03G40680 transcript:OGLUM03G40680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFR9] MVAVASASPLTAAAAPSMCCSPPAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLLLYLDCH >OGLUM03G40680.3 pep chromosome:ALNU02000000:3:36883647:36888263:1 gene:OGLUM03G40680 transcript:OGLUM03G40680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFR9] MVAVASASPLTAAAAPSMCCSPPAFLPLRAHRRRIFRAEAMKTQQEKKQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISMLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEGTTENCHSLTQEISQLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKFTIDRPFYVLLLYLDCH >OGLUM03G40690.1 pep chromosome:ALNU02000000:3:36891649:36897365:1 gene:OGLUM03G40690 transcript:OGLUM03G40690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13020) TAIR;Acc:AT4G13020] MERYKVIREIGDGTCGNVFRAYNTETNEIVAVKKMKRKFFQWEECISLREVKECNLYDVIRERQAAFSEEEIRNFMVQILQDMWAVGAILAELFTLSPLFPGGSETDQLYKICAVLGTPDHTVWPEGMNLPRSSSFNFFQIPPRNLWELIPNATLEAIDLIQVGNWVPRPLHASHTKTIETRPNPRLELNLWDFGTEPEDNYLDLTLSLKPSFPGTDFSNNVPEHTKEEILLYPGFENPPVQSGFWPLVASDRPMGDVPAMSSWPQAYVVDGQATLPAVGFSGSPFGLSPLQPNLFENRSFATPIRQVNFF >OGLUM03G40700.1 pep chromosome:ALNU02000000:3:36900837:36906724:1 gene:OGLUM03G40700 transcript:OGLUM03G40700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAARVRRPRLLRHQEEGRRTARARARGGGGGGVCGGGGGDLRRRREEVVVGDPGPEAAVPCLAASRYEKTARPDGQLASHLLEKEKHKEKKHKKDKKDKERKEGKEKKDKERSKDKHRDKKDRKEKHKDKKKDKSKDKSRELEEGTERHSEALHGQKVGESSRKSEEIKDPKSREDLVTRTQNEKGATIQSVQNFSVSNQRGREGFSAAPALENERTAANKMHSHSINASRKTEGLGQKSISINQQKNGTAIRRGDNITSSSQRTSDVFIAAPTAEKERVKVARPLSNSTDSAPKKDGMGQRINNISILVQKRTDSPNKETAKKEAGTNSPLLPSPANTMHKGNGKVGRPMEIPTQRFDSPSTSSATAGTDRGMPRSSIPSPSITIRRPNGLVRPPESISISSKKPDAGGASPAMGKEKEQGGRILQNNIIDPKQINSKPPTMEKITDGRTERMEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKRDKEAKKEKEEQNNNKEHDKLRENSINYQVDNSLHMKSSTPPLAPPADDAKAAQADENLKKRKNHEMNGYLQNHHDTMRPTKLPRPALSNTPVENGTASHVAAPLSSVKPEAINIEKAIRQHKKEEKINGNQEGQRSSVEPRLHDPLAASENGAPTKKLPHPDSKYLSQIYNIPEAPQMMEWHGHDDQDWLFDHDGTQPKKPESQTEADGASQVWAQPLKIDQADVIALPYVIPY >OGLUM03G40710.1 pep chromosome:ALNU02000000:3:36907243:36907777:1 gene:OGLUM03G40710 transcript:OGLUM03G40710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQFLPNKNCLSPDFPGRGRAEAGALGKAIVRRVLGKADSAYAISQTHITQCNGNATARQPKREEETEEK >OGLUM03G40720.1 pep chromosome:ALNU02000000:3:36910942:36912571:1 gene:OGLUM03G40720 transcript:OGLUM03G40720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRGSAGVFPFSIGCMSQSAVDVADPHDKKSTTTTLNDPSSSSASAAAMAAAAQSAEEEAGSEKVKGAAAVASSGIVATGVQRLIKGIKSLSQIFAMYDDEEEDEEEREMVIGYPTDVQHVGHIGWDGMNKVGGMVNAFSLPSSLSLRQLEMAMEAAHA >OGLUM03G40730.1 pep chromosome:ALNU02000000:3:36914039:36920674:-1 gene:OGLUM03G40730 transcript:OGLUM03G40730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFS6] MRMRVSLLLLAAAAVAAAAEAAPPSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWAPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNRTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGCRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPVSSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRYKFAHLHGSPEAC >OGLUM03G40730.2 pep chromosome:ALNU02000000:3:36915310:36920674:-1 gene:OGLUM03G40730 transcript:OGLUM03G40730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFS6] MRMRVSLLLLAAAAVAAAAEAAPPSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWAPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNRTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGCRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVSQKFSKQRN >OGLUM03G40730.3 pep chromosome:ALNU02000000:3:36914488:36920596:-1 gene:OGLUM03G40730 transcript:OGLUM03G40730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFS6] MGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNRTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGCRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPVSSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMVCNV >OGLUM03G40730.4 pep chromosome:ALNU02000000:3:36914490:36920674:-1 gene:OGLUM03G40730 transcript:OGLUM03G40730.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFS6] MRMRVSLLLLAAAAVAAAAEAAPPSTLAGPTRPVTVPPRDRGHAVDLPDTDPRVQRRVKGWAPEQIAVALSAAPSSAWVSWVTGDFQMGAAVEPLDPTAVASVVRYGLAADSLVRRATGDALVYSQLYPFDGLLNYTSAIIHHVRLQGLEPGTEYFYQCGDPAIPAAMSDIHAFRTMPAVGPRSYPGKIAIVGDLGLTYNTTSTVEHMVSNQPDLVLLLGDVSYANLYLTNGTGTDCYSCSFANSTPIHETYQPRWDYWGRYMEPVTSRIPMMVVEGNHEIEEQIDNRTFASYSSRFSFPSTESGSFSPFYYSFDAGGIHFIMLAAYADYSKSGKQYKWLEKDLAKVDRSVTPWVIAGWHAPWYSTFKAHYREAECMRVAMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSYADEPGRCPDPLSTPDPFMGGGFCGFNFTSGPAAGSFCWDRQPDYSAYRESSFGHGILEVKNETHALWRWHRNQDLYGSVGDEIYIVREPDNLQPVQLGGGGGGCRRGGGGAGMVSLAAYSPCSTVAGVPKNKGNGAASSTHKESIMRHVVVQCATSWDTPRTSTTNGSHAEPSAVVKAGTAPLIQALKSTANQDVSCFHFPGHNRGKASPPSLSELIGSRTFLHDLPELPELDDLFSPKGVILDAQKRAAELFGSFKTWFLVNGSTCGIQASVMATCSPGDYLIIPRNCHISVISALVLSGAVPKYIVPEYNSGWDIAGGITPSQVDKVVKELEEDRKKVGAVLVTSPTYHGICSNIQGIVNVCHLRGIPVIVDEAHGAHFRFHRNFPSSATEQGADLVVQSTHKVLCSLTQSSMLHMAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPVSSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMVCNV >OGLUM03G40730.5 pep chromosome:ALNU02000000:3:36914039:36915236:-1 gene:OGLUM03G40730 transcript:OGLUM03G40730.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFS6] MAGDLVDADKVSQCLQLLQSSSPSYLLLSSLDAARAQLSENAESFDEPVSMALETKHQLRIIPGISVLDLSSFLSDFPAIDPLRITLSASDLQLSGYEADDFLAEEHQIVSELVGTQAVTFAVNLGTRRHDVQRLVHSVKHLSEKYFSENGSSSRKENPVSSPLDKFSIKLTPREAFFLKKRRVSIEDSLGEICGELICPYPPGIPVLIPGEIVTQDSLSYLMDVRDNGIAISGAADGELKSIMLSSPERKRKPQSEHSIRYKFAHLHGSPEAC >OGLUM03G40740.1 pep chromosome:ALNU02000000:3:36923318:36926524:1 gene:OGLUM03G40740 transcript:OGLUM03G40740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein binding [Source:Projected from Arabidopsis thaliana (AT4G14147) TAIR;Acc:AT4G14147] MRLFVALANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >OGLUM03G40750.1 pep chromosome:ALNU02000000:3:36927801:36929974:-1 gene:OGLUM03G40750 transcript:OGLUM03G40750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVVLAEAAVALLLMVKVGPLREAAMRGVEQAKTGKGPATVKTLACTLSVILMSSVASILKIQNRGLKLGTVSPMDQVLWRTHLLEASLIGFTLFLAFVIDRLHHYLRKLITLRKAANTSREEVEKLQMENRLFREKEEKSSSEIKKLHQEIAKLNESMKKLKSESEDHERKALEAEAHVNALQKQSEELLLEYDRLLEDNQILQSQLHYKG >OGLUM03G40760.1 pep chromosome:ALNU02000000:3:36932767:36935945:1 gene:OGLUM03G40760 transcript:OGLUM03G40760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWRVVNHHDDGETGVKAIGRGRGSDHHHHITHRIQHHQQPERKGKQARKQQESDAIMVKRDSSSSSSSSYLFFFLSIPPALPSLFLLLLLLLSRERIRARSSVLRREGPTFAAHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREDDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >OGLUM03G40760.2 pep chromosome:ALNU02000000:3:36932767:36935945:1 gene:OGLUM03G40760 transcript:OGLUM03G40760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNWRVVNHHDDGETGVKAIGRGRGSDHHHHITHRIQHHQQPERKGKQARKQQESDAIMHKGVPKFGSWEDEDRGEHLYTQYFENARKGKSPGRSVNPNNHHGDTEALSKASPLHAGSDPAMRKPKDDRRSNREDDLRQHETTVRKPYAESPNHRYGDHTNYDNAVRKTGIEKSPVHPRHQARAANKGGVSSPSRDRRGSLEGNRGSAPTTPGRSKFRSTGRGDETPDRGSAVPKFGEWDEKDPSTGEGFTDIFEKVREEKQSGTGNAPVMTSEADYIKRYQQRKYESTGCSCFSWFKN >OGLUM03G40770.1 pep chromosome:ALNU02000000:3:36937113:36937494:-1 gene:OGLUM03G40770 transcript:OGLUM03G40770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGSMKSADGGASVRCGGSYVLPFVCVGPRRKPSPVIHWAGSGYVFGRRNLLGALSRMGNGGILDVVTTVVASFSESCLCGVAVGLAAFGHS >OGLUM03G40780.1 pep chromosome:ALNU02000000:3:36937508:36942337:-1 gene:OGLUM03G40780 transcript:OGLUM03G40780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELMATMVVGPLLSMVKNKASSYLLEQYKVMEGMEEQHEILKRKLPAILDVIADAEEQAAKHREGVKAWLEALRKVAYQANDVFDEFKYEALRRKAMGHYKKLGSMVVTKLIPTHNRFAFCRRMGDKLIKIVNEIEVLIAEMNAFRFEFRPEPPMYTMKWRETDSKISDLSMDIANKSRKEDKQEIVSRLLAPASEGDLTVIPIVGMGGMGKTTLAQLIYNDPQIQKHFQLLLWVIVEAAHKQKNGNERAELKEVVSGQRYLLVLDDVWNRDASKWEALKSYLQHGGSGSSVLTTTRDQAVAQVMAPAQKAYDLKRLKESFIEEIIKTSAFSSQQERPPELLKMVGDIAKKCSGSPLAATALGSTLRTKTTKKEWEAILSRSTICDEENGILPILKLSYNCLPSYMRQCFSFCAIFPKDHEIDVEMLIQLWMANCFIPEQQGECPEIIGKRIFSELVSRSFFQDAKGIPFEFHDIKNSKITCKIHDLMHDVAQSSMGKECAAIDTKVSKSEDFPYSARHIFLSSSRPEAIWNATLEKGYPGIQTLICSSQDGEALFYNKHVIEDFQNLSKYRSLRALKVRGGSFLKPKYLHQLRYLDLSYSTIKALPEDISILYHLQTLNLSHCYYLRRLPKGMKYMTALCHLYTHKCCSLKSMPPSLGHLICLQTLTCFVAGTCSGCSDLGELRQLDLGGQLELTQLENVTKADAKAANLGKKEKLTELSLEWTGQEYKEAENNHKEVLEGLTPHEGLKVLRIYSCGSSTCPTWMNKLQDMVRLELYGCKNLEKLPPLWQLPALEVLCLEGLDGLSWLFNSDTYTPFAFCKLKELTLSNMTNFETWWDTNEVQGEELIFPEVEKLLIKICRRLTALPKASNVISESSGRVSTACQMGGSRWNSREEATFPQLDKLTIRWCPELTTLPEAPKLSDLEISKGNQQISLQAASRHITSLSSLVLHFSTDDTETASVAKQQDSSDLVIEDEKWSHKSPLELMDLRRCNLLFSHPSALALWTCFAQLLDLKIRYVDALVSWPEEVFQGLVSLRKLHIYGCKNLTGHTQARGQSTPAPSELLPRLESLEISRCDSFVEVPNLPASLKLLEITGCPGLESIVFNQQQDTTMLVSAESFAQPDKSSLISGSTSDTNDHVLPRLESLVIGGCVRLEVLHLPPYIKKLDISSCKELQSLSGKLDAVRELSISYCRSLKSLESCLGELASLQQLKLFYCKSLESLPKGPQAYSSLTSLEIRGCSGIKVLPPNLQQRLDDIEDKELDACYEGNLQFLNRSTMRIHNSLLRCGVGRQQIRRLQGRIRPPHAWIWRDGGARELAAVGRLLRCRSAPSPFLELLPFSVGSFYVGLRRQLVSGGSSGCQSNAIQSRVLLSSKAGEEAGGWWNGGVLGQLSGAVVDIG >OGLUM03G40790.1 pep chromosome:ALNU02000000:3:36945076:36950313:-1 gene:OGLUM03G40790 transcript:OGLUM03G40790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: protein transport, Golgi organization; LOCATED IN: vacuole; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: COG complex component, COG2 (InterP /.../009316); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G24840) TAIR;Acc:AT4G24840] MADLAVAPPPPQQPTDLFGEPIEAHPPWFKPDAFLRPDFDPDAYVADLRSYVPLDSLAAELRSHLASLRAELVGLINRDYADFVGLSARLKGVDAAAARMRPPLAELRDKVASFRAAAAAGLAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPTAPSDSSNVEAVSGDKGYSGNVATPPNVEDGTDVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRVQGATKLLDGSLERCFVDGLQHRDDKVIYNCLRAYAAIDNTSSAEEIFRTTVVSPLLQKIVPQNYAKVVAGVSSDDLENDYEQIMQCVEKDCKFILEISSLANSGLHVFDFLANSILKEVHLAIQKGKPGATSPGKPKQFLKNYKASLIFLDFLEGYCSSKTAVTKFRSGPAYADFMRQWHVAVYFTLKFQDIAGGLDSALTATITPVGTHENQGKLKTLLLKQSVKLLESLQACWSDDVLVFSHCDKFLRLSLQLISRYTTWLSSGLAAHKASDGGTSSPADAEWALSVPLEDFIYVMHDVNAIIGELSESGQFVKHVNQLLASCPTEVLALVKQSILQAVEPLKELLPAVMNVMIGVIVKRSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGDRIHYLSEDDKAKLRRGSTDKITSIYYDMVSEVVTVARKTESSLQRLRQGAQRRVGANTDASDNIISDTDKICMQLFLDIQEYARNLRAIGIDAREIESFRALWQCVAPRDKQDNIQF >OGLUM03G40800.1 pep chromosome:ALNU02000000:3:36951612:36964031:1 gene:OGLUM03G40800 transcript:OGLUM03G40800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDAVACNAVLHRYVRRGRVDEAFALLRTVGQCSGADASSPWNDSFVLATVVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGYASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFKADAVSWTTVIGGCKAQGNEAMMQKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMIALSYFHALCRQPIPGPLVGGSAASKDVNKWLDEIIGGYDSSIREFHGGDDQKLLISLLKILCQHYGKLCSPFGSDPSQEGMDGPEMAVTKLFSIFGAHKGQYGAIVHCMKNIPSENQIQSYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPAYVFNVENPTVDGNYVNWKRIALTDVDVMWFRDPFRHISMGADIAISSDVFIGDPYSLGNFPNGGFLFVRSNDKTLDFYRSWQQGRWRFFGKHEQDVFNLIKHEQQAKLGIAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKMHDLRGVLDVWRNYTAAPPDERRSGKFQWKLPGICIH >OGLUM03G40800.2 pep chromosome:ALNU02000000:3:36950520:36966639:1 gene:OGLUM03G40800 transcript:OGLUM03G40800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDAVACNAVLHRYVRRGRVDEAFALLRTVGQCSGADASSPWNDSFVLATVVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGYASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFKADAVSWTTVIGGCKAQGNEAMMQKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >OGLUM03G40800.3 pep chromosome:ALNU02000000:3:36950520:36966639:1 gene:OGLUM03G40800 transcript:OGLUM03G40800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIIDVRNCIQLLRSCSAVAGQQLHQLLLKSGHVPSSLPPSNSLLLMYARCSPLHQHDARRLFDEMPVKNCFSYNSVITSHLNSRDHHAALNIFRSMPERNTFSWNTIITGIVSTGNLDMARSLLIEMPVKDAVACNAVLHRYVRRGRVDEAFALLRTVGQCSGADASSPWNDSFVLATVVGACADWMKYDFGRQAHARMVVSKIEQDLVLSCALVNMYCKCGDLDSARYVLNGLTQVDEFSLSALIYGYASCGHLHEAIRLFDRKEEPSIAMWNSLISGCAFACCGNDAFALFARMMRSDVLPDSSTYASILNVCGFSVMVNPGQQIHGCGLKCGAVNDIIVASALIDFYSKCGLWEDACRAFRELRFHDTIVLNSMITVYSNCGQIEEARRIFDMITGKSVISWNSMVVGLSQNGHARDALGLFCEMHRLGLRLDKVAIASALSASSSICSISFGEQIFSLATVLGLQSDHVVASSLIDLYCKCGSLANGCRIFEEIDKPDEVLWNSMLIGYASNGYGHEALELLELMKTKGIKPSERTFIAVLSACCHSGLVKEGLTWFHRMQADFSVSPSAEHYACVTDLLVRAGRLEESVEFIENMPFKADAVSWTTVIGGCKAQGNEAMMQKVAKKLMEMESSHPSLYVQLSSGLASLGDWTKSAEIRSMMYERRITKNPGYSWIDS >OGLUM03G40810.1 pep chromosome:ALNU02000000:3:36970378:36981066:1 gene:OGLUM03G40810 transcript:OGLUM03G40810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFU1] MLGGGKMKGGETMGGGGGSGSSSISPLVSFVLGAAMATVCILFVMSASPGRRLADISAWTNADDAPPLPLPLQDAAADSNDSLAAAAAANVTVVAAPAPAPVQAPAPASPYGDLEEVLRRAATKDRTVIMTQINLAWTKPGSLLDLFFESFRLGEGGVSRLLDHLVIVTMDPAAYEGCQAVHRHCYFLRTTGVDYRSEKMFMSKDYLEMMWGRNKFQQTILELGYNFLFTVKTSSIDQFISSSMAPKVAVTEPTGRQAASFVLGCVATLTVMLLFQYQAPPDYGRAARSPVQFSTSRDQLLLHCSGNGTAAPPPVIARGGEEANITGKPPTTATTVAEQPTKPPASPPTHHIPATSTDLEEEGGEFRGLAAAVARAATDDRTVIITCVNHAFAAPDSLLDIFLEGFRVGDGTPELLRHVLVVAMDPTALTRCRAVHPHCYLYTMPGLDVDFTSEKFFASKDYLELVWSKLKLQRRILQLGYNFLFTDVDIVWLRNPFKHVAVYADMAISSDVFFGDPDNIDNFPNTGFFYVKPSARTIAMTKEWHEARSSHPGLNEQPVFNHIKKKLVKKLKLKVQYLDTAYIGGFCSYGKDLSKICTMHANCCIGLQSKISDLKGVLADWKNYTRLPPWAKPNARWTVPGKCIH >OGLUM03G40820.1 pep chromosome:ALNU02000000:3:36978942:36980223:-1 gene:OGLUM03G40820 transcript:OGLUM03G40820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFTRHSPPGIGLCPGWQPGVVLPVSKNTLEITDLGLEADAAVGVHGADLAQILAVAAESADVGRVQTPKKVSCVLLKLKNPLFLL >OGLUM03G40830.1 pep chromosome:ALNU02000000:3:36981052:36983698:-1 gene:OGLUM03G40830 transcript:OGLUM03G40830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01980) TAIR;Acc:AT3G01980] MLNESMGEGDAAYAKRVLLTAAGDDVSRGIASTLATHGCRLVLVGDEGALAGTAEEARRGGGGGDAVAVVGLDLHGCDEAAVDAAVGTAWRCFDGLDAMVNCYSYEGEVQDCLNISEDEFKKTMKANVMTPWFLVKAIAKRLRDSESSCGGSVVFLTQIIGAERGLYPGAAAYGTSLGAIHQLVRLSAMELGKHKMRVNAVCRGLHLGDRFPVWVGKEKAEKATGEVMPLRRWLDPEKDVASTVLYLVGDESRYMTGSTIFVDGAQSIVRPRMRSFMIRSSNCGDGGNRSIDRLRLGA >OGLUM03G40840.1 pep chromosome:ALNU02000000:3:36984501:36988170:-1 gene:OGLUM03G40840 transcript:OGLUM03G40840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKKGLARNATTEHINPPNSRKSSRSTQAAAAEHKVNDLITSSSKKQIGGGLLKKNRALRGGKKLNSIYDSAGTGNDVAGVPSSSFFNHKQSHENDEDRSCDSIFSPSFHNQKEDVTDCLSEGLVHEEVIHEPVQNAEYVTNSISCNTFDGLSKHSYDIHMQSACGSTLEDDEFSELGSLSPEVSAIYLAMQHSKLECIDEQSQDSTSTDGCADPDETVELDYFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPRTTLVLDLDETLVHSTLEPCEDSDFTFPVHFNLREHTIYVRCRPYLKEFLETVASMFEIIIFTASQSIYAEQLLNILDPKRRLFRHRVYRESCLFVEGNYLKDLSAFGFQLDNGVPIESWFDDRNDRELLTLLPFLQSLVGVEDVRPCIARKFNLREKVATAPSLSMHF >OGLUM03G40850.1 pep chromosome:ALNU02000000:3:36989026:36993099:1 gene:OGLUM03G40850 transcript:OGLUM03G40850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGAVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYGRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALIDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQHLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLHPPATLLMPQETMRDVRIGGYEVAARTRVMEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMGAANVEFTLANLLHCFDWALPEGMAPEELSMEESGGLVLHRKAPLVLQDEKVIPQEAD >OGLUM03G40850.2 pep chromosome:ALNU02000000:3:36989026:36993099:1 gene:OGLUM03G40850 transcript:OGLUM03G40850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSKLLALPQQWQLLLLLLLPIASLLLVIGRNTGGRRRRRHLRLPPGPARLPVLGNLLQLGALPHRSLRDLARRHGAVMMLRLGAVPAVVVSSPEAAQEVLRTHDADCCSRPSSPGPMRLSYGYKDVAFAPYDAYGRAARRLFVAELFSAPRVQAAWRARQDQVEKLIGKLTRPEPEPVELNDHIFALIDGIIGAVAFGSIYGTERFAGGGRKRFHHLLDDVMDMLASFSAEDFFPNAAAARLFDHLTGLVARRERVFQQLDAFFEMVIEQHLDSDSSNAGGGGGNLVGALIGLWKQGKQYGDRRFTRENVKAIIFDAFIGGIGTSSVTILWAMAELMRSPRVMRKVQAEIRATVGDRDGGGMVQPDDLPRLAYLKMVVKETLRLHPPATLLMPQETMRDVRIGGYEVAARTRVMVNAWAIGRDAARWEEAEVFDPDRFEAKRVEFNGGHFELLPFGSGRRICPGIAMGAANVEFTLANLLHCFDWALPEGMAPEELSMEESGGLVLHRKAPLVLQDEKVIPQEAD >OGLUM03G40860.1 pep chromosome:ALNU02000000:3:36993659:36997850:-1 gene:OGLUM03G40860 transcript:OGLUM03G40860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPDTNGGSAAAAAAAAAAAAAPLGDPAAEASGAQAAAGPPYSKRRRRPSVRLGDIGVHSAAAADVPLPQRRHHKTSSSSHPRPPRRAHPEDALDPLNHSSAAAHRRGQKPAQRRPRTAWIPAAPGTDGYEEEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPGSMGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSAACLAQQEYLALDKQKVAINGSLACWM >OGLUM03G40860.2 pep chromosome:ALNU02000000:3:36994564:36997850:-1 gene:OGLUM03G40860 transcript:OGLUM03G40860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHPPEPDTNGGSAAAAAAAAAAAAAPLGDPAAEASGAQAAAGPPYSKRRRRPSVRLGDIGVHSAAAADVPLPQRRHHKTSSSSHPRPPRRAHPEDALDPLNHSSAAAHRRGQKPAQRRPRTAWIPAAPGTDGYEEEAEHYYDDADQSDSAAAAAARARVSGSRDASGDESDGVADWGLPNGRLPGSMGYGGVKAWLDGLGLSRYAPVFEIHEVDDEVLPLLTLEDLKDMGIGAVGSRRKLYAAIQKLQRSDSVILNTSWFKTFWMAA >OGLUM03G40870.1 pep chromosome:ALNU02000000:3:37000828:37006681:1 gene:OGLUM03G40870 transcript:OGLUM03G40870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALRFAAAVARDSPAAAAPPRVGRGEQQYLACAAAARPGGRCSRRRGLVARCQSGAAAVVLNKGDAASVAAVASSATGFTVAMKFGGSSVASAERMREVADLILSFPEETPVVVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKELHVRTIDELGLDRSIVSGLLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEATYPAVAKRLQGDWIDDPAIPIVTGFLGKGWKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNICANAIPVPYLTFEEAAELAYFGAQVLHPQSMRPAKEGGIPVRVKNSYNRRAPGTVITKTRDLSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWCRELIQQELDHVVEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCIQALHSAFFENGFLSEVEEEDLQHNGSPVSSNGVIYGN >OGLUM03G40880.1 pep chromosome:ALNU02000000:3:37010408:37010719:1 gene:OGLUM03G40880 transcript:OGLUM03G40880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISLPGEVEGLFKGLDAVGWRWLQLHTRWPRMAVTVQGPVTVDGVRRLGIEVNVGVRFSSFHGVLDALASRRSSAASRSPPTSRNSLEKGVTPWRAVGSST >OGLUM03G40890.1 pep chromosome:ALNU02000000:3:37013896:37015847:1 gene:OGLUM03G40890 transcript:OGLUM03G40890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGDRDSDPFARVIFELGWIGVMIATGYVQRDIMENDYERVSLSRSELFKLGALYYAGCAGLGGNLFYWFMDVIDSPGARPWINTRR >OGLUM03G40900.1 pep chromosome:ALNU02000000:3:37016864:37018237:-1 gene:OGLUM03G40900 transcript:OGLUM03G40900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLLLSPRLAVAPLRPHPRLGLTPTAVCAMENWKLSGLMDNGTKAGLLGVWMVLFTVFAARKFQQPIKDDIGDKSVFMFNALPEEEKKALIQKLEMQTETDG >OGLUM03G40910.1 pep chromosome:ALNU02000000:3:37019710:37023036:-1 gene:OGLUM03G40910 transcript:OGLUM03G40910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCKQQERDGHRDDGDVTEWKKVAELRAVVEAQDPACKFKRYVVYVLDRTCARLGGNGGQEKFAAVADLQGWGYYGNCDIRAYVAALEIMQNYYPERLGRVFLIHVPYVFMAAWKIIYPFIDDNTKKKFVFVADKDLHATLRDAIDDSNLAEDYGGKLKLVSPLINGATESNRRR >OGLUM03G40920.1 pep chromosome:ALNU02000000:3:37026154:37028130:-1 gene:OGLUM03G40920 transcript:OGLUM03G40920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAAPLLLAAALFLVVAGDGSEPTPTPTPWPAQFHAKLLMEFHGNLSLADLWYDWPGGRNLHVIRYQLAADEPFYDNEWNNGTSFFYTPARRACRSAAVGVGILPPNWLVPGSVYLGRHPADGFDCHVWAKADFITYYEDTLTKRPVKWVFYTGRTSHVMSFEEGAVLEDAKWQAPEYCFGKGDETETSNDNALISEPVAGHDKGFFPRREF >OGLUM03G40930.1 pep chromosome:ALNU02000000:3:37029429:37029806:1 gene:OGLUM03G40930 transcript:OGLUM03G40930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGSAMAAVGVLVLLCVLLHGEMAESAVFTVGDRGGWGMGAGSWANGKRFKAGDVLVFKYDSSAHNVVAVNAAGYKGCTAAPRGAKVYKSGNDRVTLARGTNYFICNFPGHCQAGMKIAVTAA >OGLUM03G40940.1 pep chromosome:ALNU02000000:3:37030661:37033182:1 gene:OGLUM03G40940 transcript:OGLUM03G40940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFV6] MERPRNSSTKPYNPRTPQHLSSPAPPPPPPPASPSSPRLASRRRPRFAKMNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATTQAKEENDDDVPELVPGENFEEVAQETKA >OGLUM03G40940.2 pep chromosome:ALNU02000000:3:37031030:37033182:1 gene:OGLUM03G40940 transcript:OGLUM03G40940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFV6] MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTGTTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIAANTWVVSGSPQTKSPDNMEHLKRIAEEMQKQVAAAGATTQAKEENDDDVPELVPGENFEEVAQETKA >OGLUM03G40950.1 pep chromosome:ALNU02000000:3:37033690:37034337:-1 gene:OGLUM03G40950 transcript:OGLUM03G40950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFPNSRVKSTAAANKPSSISSHSPANKIMSRTTSAPNLPALAAPRVISPAAAAAAQAKKIARTTSSSNLAVAKPRPRPPPPSISKKPDAPSAARPWPPSSNPKTVVARKPARPASEFSEPPVAAVTRSVHPARRLTCGTAVYVRTRYVKITARCCLVIWLPARVVSSSDAYHYTVKYAADLHAMFAGRVVRVPVGHVRPAPHRAAAGERSIW >OGLUM03G40960.1 pep chromosome:ALNU02000000:3:37035335:37038722:-1 gene:OGLUM03G40960 transcript:OGLUM03G40960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding Elongation factor Tu family protein [Source:Projected from Arabidopsis thaliana (AT4G02930) TAIR;Acc:AT4G02930] MAAAAVLRSHGARRILSYPTLRAAVISGPTALPDASAAAAAAPQQPPPLAGTLWARSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAVAFDEIDKAPEEKARGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKSFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTPSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVQRGQVVCKPGTVKTYQKFEAEIYVLTKDEGGRHTAFLSNYSPQFYFRTADVTGKVVLPDGVEMVMPGDNVTAGFELISPVPLEPGQRFALREGGRTVGAGVVSKVYS >OGLUM03G40970.1 pep chromosome:ALNU02000000:3:37038532:37041258:1 gene:OGLUM03G40970 transcript:OGLUM03G40970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G54900) TAIR;Acc:AT3G54900] MAATAAASVAAISPLPRASLPRPVSARVPLLPRASPPTWRLSVGSARARSTRCLAAAGGGGLAPEMRATLDKVVGSHKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVDAYKNGELQETLEKAMLS >OGLUM03G40980.1 pep chromosome:ALNU02000000:3:37042483:37046316:-1 gene:OGLUM03G40980 transcript:OGLUM03G40980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSTNLDAAIESLLNVEKQMRLAGDVAGTRKAAIDIVELCYKAGAWKTLNDQIVVLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGQIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADPSKEKKKPKEGDNIVQEAPAEIPSLLELKRIYYELMIRYYSHNNDYLEICRCYKSIYEIPSIKEDPSKWIPVLRKICWYLVLAPHDPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVIQWTSLWEFFKDEYANEKNFLGGALGDKAAEDLKLRIIEHNILVVSKYYSRITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVCFRTAQDSNGILNSWAANLEKLLDLVEKSCHQIHKETMIHKAVLCLAFYGQILQSQDVKLFSAQASPVCFGNSCVNDLKYCSADALVLNMI >OGLUM03G40990.1 pep chromosome:ALNU02000000:3:37049068:37053995:1 gene:OGLUM03G40990 transcript:OGLUM03G40990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFW2] MAPPPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFQLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >OGLUM03G40990.2 pep chromosome:ALNU02000000:3:37049068:37052200:1 gene:OGLUM03G40990 transcript:OGLUM03G40990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFW2] MAPPPPPPPPPAAAASPTPMLLALTGLSTFSDRLVEFFNHWNSFIRYATAISDDLPPLPAADQPPNLADVAEPESTTPHSPVAVDEPESSTAPNPVAVAVAEPKPAPVPQPEEPRPNRGGDPSAEHMGRICERMGSGELLRFVISRMGDLSWLLRAVPPALRRAPNPAELVLRAIGRYYIRPGGRHTEAACELLLLSYVRAGCPLRPGQEAGDDHLRAEAREAALSWRSRLVRSKGRVAAAAANDARGLLLLMAAFGVPVEFPSQEIFELLHAAGGLACAEVLKCSKHFLDKLRDVVAHMLNRGIYHQTVATIIAFELQDAFQLSAIATCVIERVGRTKDQDSQEQHHLPGSKENDEEKLALLRLLSKYVEDPKQCSTENFSIADRIAMLEQSLAKPHQAFTGTKRKRTAQEDSVECTRGPKCSYTPAASSASRNKNLEG >OGLUM03G41000.1 pep chromosome:ALNU02000000:3:37054096:37057631:1 gene:OGLUM03G41000 transcript:OGLUM03G41000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSSLLVRPVATRLSAASLPIVVRARRRVAVVTAAAPERKPAAAASSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARYESMRPHVSGPGLGEAGRYKT >OGLUM03G41000.2 pep chromosome:ALNU02000000:3:37054096:37057825:1 gene:OGLUM03G41000 transcript:OGLUM03G41000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSSLLVRPVATRLSAASLPIVVRARRRVAVVTAAAPERKPAAAASSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETP >OGLUM03G41000.3 pep chromosome:ALNU02000000:3:37054096:37057698:1 gene:OGLUM03G41000 transcript:OGLUM03G41000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSSLLVRPVATRLSAASLPIVVRARRRVAVVTAAAPERKPAAAASSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACASY >OGLUM03G41000.4 pep chromosome:ALNU02000000:3:37054096:37057874:1 gene:OGLUM03G41000 transcript:OGLUM03G41000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTSSLLVRPVATRLSAASLPIVVRARRRVAVVTAAAPERKPAAAASSSNYVVVPLDAAPSGITRPLVEILRDLNKRVPDTVVRSSRRRASPSDPVIPWYHANRMLSFYAPGWCGEVRDVIYTDNGKVTVIYRVTVRGTDGEVHREAAGTTSLNDARFDDPVAAAEEAAFCKACARFGFGLYLYHEDETP >OGLUM03G41010.1 pep chromosome:ALNU02000000:3:37058816:37064008:1 gene:OGLUM03G41010 transcript:OGLUM03G41010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPPALKRPKLEKDDYESAYWPRPAASNASSASKPHSTATATATAATQEDEEDDIAEEAVLALIAHRERDVERCKLKLSHYQSLLDTAEKKLAEAQDRLARYRDRKPPPPPTHRDPKPSLPPTTTQRDPKPSPPQHKAPERPQLVIPGANNRPPPRPEPMPGLKKTAAPSSSSASVPPERPRALEKKPKLKIEQKEHQNLIQSVKKSSATVLRFYGGTVICSQHKRKLRCLELCPVNDQLVATSALDGIVTLWQVQPKGPAISLLSTTDCFSPKHRWPEDVAWHPHGELIFAVYSADNGDSQVSVMNRNLSGQKKVSFLPVKPHTKGIINNINFMPWSDVCFVTGGSDHAVILWQEKDDSWNHKKVHKDLHSSAVMGVAGLQQKSTILSVGSDKRIISFDLAAGRTESKNLIDYKCMSVLPNPCDFNLYMVQTAAPGRQLRLFDVRLRQTEVHTLGWKQESSESQSALINQSWSPDGWYLSSGSADPMIHIFDIRHNGQNPCQSVQAHQKRVFKALWHRTAPVLTSISSDLNIGIHKYS >OGLUM03G41020.1 pep chromosome:ALNU02000000:3:37064835:37071327:1 gene:OGLUM03G41020 transcript:OGLUM03G41020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEPSSAAEEQPPQAAAPPPRRGVPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPPARGAAQEAAAAAAPALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESVIHHTASVGDVEGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >OGLUM03G41020.2 pep chromosome:ALNU02000000:3:37064835:37071327:1 gene:OGLUM03G41020 transcript:OGLUM03G41020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEEKTSVKSEEPSSAAEEQPPQAAAPPPRRGVPPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTEMAEQLQKTVQSPPARGAAQEAAAAAAPALDPSKYVSTMQQLMQNPQFVAMAERLGSALMQDPAMSSMLGGLTNPAHKEQLEARIARMKDDPSLKPILDEIENGGPAAMMKYWNDPEALQKFGRAMGVGPSGEGAAAAGGEHEEAEEEGGEEGEYEDESGLKKALEEGVDKDEEDSEGRRGLHFACGYGELKCAQVLLEAGAAVDAVDKNKNTALHYAAGYGRKDCVALLLDHGAAVTVQNLDGKTAIDVAKLNNQEEVLKLLEKHAFV >OGLUM03G41030.1 pep chromosome:ALNU02000000:3:37072330:37078827:-1 gene:OGLUM03G41030 transcript:OGLUM03G41030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AFG1-like ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G25530) TAIR;Acc:AT2G25530] MIPRLHRAIARRSLLTSTALLPAVARHRDAPLPSAPGLPRLLHHAPGASDQARKSGPLTLYRDLVSQGKLQHDIYQENVATQLDNLLRRLEQYEMEMEDYHARLSMWENTREKQRRRLLVQEAEDKQRDGVWIDEKRGFLDKLVSRKRRGNIEPGVGKWVSYLNREKKLDTLVGQKPVAPIAPKGIYLYGNVGSGKTMLMDMFYGATEGLIKHRRRFHFHEAMLEIHDHMHDVWKRRDEDKSIESSAFSWISSLPFDGKIKEWLIGEEKYKQNTQQKHILLAVADKFLVDRQANKSGASILCFDEIQTIDVFAVVALSGILSRLLSTGTDGMQREIFLDLLSKLDENCNKILVGTETDYRRLIPTDGLTQIHYFWPLTSDIRSMYEAMWHDITRQTGGNIISVTIPVMFGRYLEIPKSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISDIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTDEGPLFDLESFQFEGEAEGAKLRRDVLAEGNVGAAPSPTGLVAILSGQEEMFAFRRAISRLIEMQTSLYLERVERVHSSLQQQSSVLTKSSTVSQSAPSV >OGLUM03G41040.1 pep chromosome:ALNU02000000:3:37081751:37086295:-1 gene:OGLUM03G41040 transcript:OGLUM03G41040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03510) TAIR;Acc:AT1G03510] MHSRHQRLASLTKLLTSHVNAGRHRDALAFFSRMVSDPSLPPLSDPSFAYAFPLALKSSSALRLPSAAAAAADSLHALAAKCGFLSSPFFASALVASYGACASPALARRLFDELPHRNAIVCSAMISVHIRSGDLAGALRELDLMDVAPTASCFNSVIAAVAESGEHPARAIDLYRRMQRMGVLPSLITLLALVPSCTALGALSSIKEVHGFATRHGMFASCHLGSSLIEAYGRCGSLAGARNVFDQVQERDVVVWSSIVSAYAFHGHADVAMSLFRHMELDNVRPDGIMFLGVLKACGHAGHADDALKYFDVLTKRFGVEACGDHYSCLVDVLGRAGRLRQAYDVIQTMPVKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGMGMHDKAEQVRREMEQRGVRRLPGSSWMIHCKSRQAVRSASSTTSGHQQMHVGHSTSQYHGWFRLPGRASQSTSHHPLSVQGALAASINTQSPYLASLGS >OGLUM03G41040.2 pep chromosome:ALNU02000000:3:37081180:37081746:-1 gene:OGLUM03G41040 transcript:OGLUM03G41040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03510) TAIR;Acc:AT1G03510] MSRSQLMHMYKLGDLTPAPSMTIPMAHSSRPTLGFPLGTALLIFVIFSLSGIFSCCYHWDKLRAFLWSRHPDVILQEGQHTVISIASPSKTTSDHKNEKAEKECGLPVIMPGDRIPKFFARPCPHEKCLPAAEEEEAEVQVKCSVPQSS >OGLUM03G41050.1 pep chromosome:ALNU02000000:3:37087449:37091575:-1 gene:OGLUM03G41050 transcript:OGLUM03G41050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZFX4] MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLEIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYISETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARPPNAGLGAGARFGAMGADPQAQ >OGLUM03G41060.1 pep chromosome:ALNU02000000:3:37099533:37100186:-1 gene:OGLUM03G41060 transcript:OGLUM03G41060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALPCAAALFLVLLLAPLLASAESPISLPPASAPTASTPAADERLHPADAALAPSQPPSEASSSAAALSPPAPPETSPLPAPSHSPPVPHSAAPEPSPMEHSAAASAPAPSAAKAKQGGDDEEDDDDKEKDKSSTPAPAPAAEEIKAAAAGDKAGEEDGETERHELNGGKKAGVVVGAFSAAAVVGLAAVVWKKRQANIRRSRYADYSARLELV >OGLUM03G41070.1 pep chromosome:ALNU02000000:3:37101050:37102633:-1 gene:OGLUM03G41070 transcript:OGLUM03G41070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLRGGGGSGAGGMAWEVLKRHFSRKRAVDVRRINPKVPKEEAVAISGRLLQIFTDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLNCVGTGNSKKFLYSPYSADADKSEEAAAEELSKAAASQGGKATKGQQKKRATALR >OGLUM03G41080.1 pep chromosome:ALNU02000000:3:37102807:37106331:1 gene:OGLUM03G41080 transcript:OGLUM03G41080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT3G56830) TAIR;Acc:AT3G56830] MKWDYMGLAQEERKAKAKAAGSAAPHHPTKSKPTTPSHLISPPRWRLRPPSGGDRGQLCRPHMSALRLCRGAIKSPCARKLAAAAAPCARRGTPAPHQKKQATFRLLRASPSFRTNSRQMQWSIEAMTDDSADQSGDNNTRLFSAIQSFLSKLYGKLKKLRKGLPLKILFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYRASFAFLGRIRKMITIFNYWKAGLTLGLFLDSFKYEVDEFLESCNPFNIDINIFSLW >OGLUM03G41090.1 pep chromosome:ALNU02000000:3:37104772:37106319:-1 gene:OGLUM03G41090 transcript:OGLUM03G41090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25270) TAIR;Acc:AT4G25270] MALPATVSHTTCAIQRRRRSTAPARRRGPPSRRHPHSGEAFTLRAAPPPATQPTLDRVLSDLESHPRLLTPDLLDSLLAALPLHPSPRRNLARLRRLLSVSLLRRYPALARRLLHLHASLGLVSYAHHLFDHLLPARAREDAFPWNCLIAGYAHLGRHEDALALYLQMEEDAVPRDRFTFASALQACAGVGSVELGHAIHRDVVRAGLASDVPVCDALVDLYARFGDVRRALQVFDAMPDRDGVSWNIMLAGCLRHGLSQQALELWRRMLREEHEPDSITLSTMLLILPSVCDNGKWGLEIHAWAIRHGLETELSVANALIRMYSDKNEQSHALWVFESMTTRDLQSWNAIISAHLQDYRILMIFRRMVDSGMRPDETTFALVLSACDNLGLVEGGMRLFSEMENEYRIPPTMEHYTSMVNMLGKAGMIHEAYEFMSKRKPLDNEPTVLRALLQACLMHRNARVGEIIAKRLIELEPDNERNFVMLKEIYQNAGSLVEVEKVKKTMRDRGLSCRS >OGLUM03G41100.1 pep chromosome:ALNU02000000:3:37109913:37111490:1 gene:OGLUM03G41100 transcript:OGLUM03G41100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGKIKTVVVVVMENRSFDHMLGWMKSLNPEIDGVTGDETNHLDAADPTSRAIRFGDGAEYVDPDPGHSMQAIYEQVYGTPFVDARATPITPPGVPSPPMAGFAQQAEKEKPGMADTVMNGFRPEAVPVYRELVRQFAVCDRWFASNPASTQPNRLFVHSATSHGLVSNDTKLLVAGLPQRTIFDSLHDAGFSFGIYYQYPPSTLFYQSLRQLKYAGNFHPFDLAFRRHCAEGKLPNYVVVEQRYFDLKMLPGNDDHPSHDVSEGQRFVKEVYEALRGGPQWEEALLVVTYDEHGGFYDHVPTPVGVPSPDGIVSAAPFFFEFDRLGVRVPALFISPWIEPGTVVHRPSGPYPTSEFEHSSIPATVKKLFNLKSFLTNRDAWAGTFDVVLTRDAPRTDCPATLPEPVKMRPTTEAAEQAALTEFQEELVQLGAVLNGDHADEDVYPRKLVEGMTVAEAASYCNAAFKAWMDECDRCRKCGEDGSHIPTVVKPPPPSTSSSGSSSFASKLLSCFACGRPNKN >OGLUM03G41110.1 pep chromosome:ALNU02000000:3:37112084:37114779:-1 gene:OGLUM03G41110 transcript:OGLUM03G41110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73-I [Source:Projected from Arabidopsis thaliana (AT1G61010) TAIR;Acc:AT1G61010] MASSVAAAPTAGGPPGKRQASGGREGDQLVITPLGAGNEVGRSCVYMSFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYRLLLSDYVKVSKVSVEDMLFDEQDILRSMDKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLKAAELPQFSPDICIIESTYGVQQHQPRHVREKRFTDVIHTTVSQGGRVLIPAFALGRAQELLLILDEYWANHPELHKIPIYYASPLAKKCMAVYQTYINSMNERIRNQFAQSNPFHFKHIESLNSIDNFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNSCVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSTFLDELQPPNIVLVHGEANEMSRLKQKLISQFDGTNIKVVNPKNCQSVEMYFSSEKMAKTIGRLAEKVPEAGESVNGLLVKKGFTYQIMAPEDLRVYTQLSTANITQRIAVPYSGSFEVIKYRLKQIYESVESSTEESDVPTLIVHERVTIRLESESYVTLQWSSDPISDMVSDSVVAMVLNIGREGPKVVPVEEAVKTQEETERVAQKVVYALMVSLFGDVKVAEEGKLVISVDGQVAHLDGRSGDVECENATLRERIKTAFRRIQGAVRPIPLISS >OGLUM03G41120.1 pep chromosome:ALNU02000000:3:37119495:37121048:1 gene:OGLUM03G41120 transcript:OGLUM03G41120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGLREQRAFARMSPEDRAWLIKGKSVVLTCLASFLLLLGGSIKAPKTCTDGMFLGPIIGISLFLIVMFVMGSCGLKKNDGDLYDCYLLGVFLAILLLLAFIIFGYVAVGGIDLGHAANAREYNLRECKRGWLKGRVTHSSHFWASTSACLRRSHVCNGMTNLVRDPDTGIFVPRPSSFERWAKRHGVDADPRVMSPIESGCCKPPSSCGLTYVNGTTWISTPASAGAPAAVAQVTNNNNKDDDCSRWSNDHQTLCFQCDSCKAGFLRHTSQAWSVAAIYIVITFIGLILSSLALCADQVTGNNNI >OGLUM03G41130.1 pep chromosome:ALNU02000000:3:37123631:37124795:1 gene:OGLUM03G41130 transcript:OGLUM03G41130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTLFLAFSFLFSSPVGCRAGTAEDSAVAAGRGAARLLPTTATWRSLPVARGAPRGGRCSRRSAAAAVAGAARPTRPTWGLHVLPHVADGVLCLEALYTAGGVRSGERRGRRDAAPDGGCCVAHGAAATCACTTAFSALTGDLRHRFRVAHDRHVSSFTPEALAFDNRCKVFASCKGRFNEYGIRPFHAHQLPVGVQPGGAGETKNMSRQEHHQHGRWQARIGRVSGNKDL >OGLUM03G41140.1 pep chromosome:ALNU02000000:3:37125077:37125388:-1 gene:OGLUM03G41140 transcript:OGLUM03G41140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAAESSDARHDSGGDERQERGRAHRPSSIAMAWSTCLSSSDAAVPTSDHDQAAFFLSRKSSMLIDEGVRPWEHGRCHERARAVEPLELVGVAASAQPGGA >OGLUM03G41150.1 pep chromosome:ALNU02000000:3:37128863:37129222:1 gene:OGLUM03G41150 transcript:OGLUM03G41150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSDLIPSPVVPQQIAADGLVAYMGTIMAPVQEQDKQLVVDLAPTAADQLARMCSAGEPPWVRQRGAEVMAMEEHARMFRCEILLASMNYQGQRCEMPFIQCTNTAPLNTAQACWLAV >OGLUM03G41160.1 pep chromosome:ALNU02000000:3:37136235:37137452:1 gene:OGLUM03G41160 transcript:OGLUM03G41160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGMRMGVIEAGRFMRMSRERQARHLVGMGVVLTLITSILILCTATRAPTHCTRGVFLWPCIAIGLFFMVVFILGLCAAKNNNEGLFACHLLGVFIAILALIGFIIFGYVAIGPGIDLSDVKAREYNLDDYKSGWLRARVDDAAYWATTSACLRGDRGAGCKGMTQLPPSSCGFTYVNGTTWTPTPAAATNNNVDCSRWSNDQQKLCFQCDSCKAGFLDHTRKAWSSAAFFPIYCLIAAILSCWSGLEYGGVLHE >OGLUM03G41170.1 pep chromosome:ALNU02000000:3:37138130:37138532:1 gene:OGLUM03G41170 transcript:OGLUM03G41170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNRVGFRGGLRIVWTPYRLETELVKPIKQTADMKGLGFLDHTRKAWRLELGCLLSRLLLDLGHSFMLVRFRIWRGYP >OGLUM03G41180.1 pep chromosome:ALNU02000000:3:37149662:37158426:1 gene:OGLUM03G41180 transcript:OGLUM03G41180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACIACVKQEDGGRRQDTPRAGAGAGDDTPTCRDPVKTLTSQLKDMVMKLSGTSRHHGQQRRGGSPPPRGRTTSVYRSGYYRPGMVQDDMAVPPATYLGGGGTSMSSASSTPAWDFARPAEGEAREWVAQVEPGVQITFVSLAGGGGNDLKRIRFSREMYDKWQAQKWWGENNERIMELYNVRRFSRQVLPTPPRSDDGERESFYSQVGSTRGSPAATPSPAPLTPDRVTSWSAFVRPSSASRQQQQHSFRPLSPPPPSSSNPSERAWQQQQQPQRAGKSPAAASDAMDAARTTSCSSRDEVSISNASELEVTEWVIQDEPGVYITVRELADGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >OGLUM03G41190.1 pep chromosome:ALNU02000000:3:37153025:37155317:-1 gene:OGLUM03G41190 transcript:OGLUM03G41190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLALDGCLCIQQIATLQLRLHNAILHCYLIKTEEESKYDLFHTSHNWESWESIGEMAMDHATTVVKRPGQKRRETCFEVKDRYWPDD >OGLUM03G41200.1 pep chromosome:ALNU02000000:3:37158084:37162421:-1 gene:OGLUM03G41200 transcript:OGLUM03G41200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P protein subunit P38-related [Source:Projected from Arabidopsis thaliana (AT3G45900) TAIR;Acc:AT3G45900] MAAAAPPPPTPPPASSPAPPQDPATGGDALASYLGLSFAFFLASLPGGTPAARHLASLQSRGRVLATRLLAAEDQLRQLRARRRDDARANARAAEIFAGHRASWMDNERRLLARATAATDEAASLRARLAEAEADAAALRARVDRLEREAAERDDLINALLAATRAADADLRTTDDDPEPRDAEQHHHHQLAAAALDLDPAEPRGADADAEALAAAAALYAQQRQQQEGFGSDDFYTAAAASGMQQPWMERSKGWQDLKYETAEPMYNTKHAVPRRESPWKVDVESSGVPAKLQLLEQELINLEKIGNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEYLLEAFHLQHRATETRQKLSALQAETAKGSFGDELTAEAKMSTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >OGLUM03G41210.1 pep chromosome:ALNU02000000:3:37167431:37170598:-1 gene:OGLUM03G41210 transcript:OGLUM03G41210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYGPGLSWKAVSYWIRIQKPLRLPPIFLSLCTRISTLRTAGTLAAARRRRFFLPLVAAPSWRAGGMSSHRKNFRRRTDDAEDAYGDDSSNSKPTATKTQTPPVPKPRSPRRQGASRLSFVEDEDDDDAEEGPLSQRRRPAATVRQARTASPAAATLHRLTPARDRLKSSPAVAAAVPAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPPPPPTAEAPRQRLPGAAASPAPATNTTAAAVEPVVILKGLVKPMSQASIGPRNPSQNEDKDEDESEEEEEEEEGPVIPDRATIEAIRAKRQQLQQPRHAAPDYISLDGGGVLSSREAAGGSSDEDDDETRGRIAMYAEKSDSQRSTKGVFGVINNRGPAASLGVINDGFREVEDEKDDDEDEEERKWEEEQFRKGLGRRVDDASAQRAANGGPAPVQVQPQPSGYSIDPRYQPSFSGVLPGTSIFASGSAEFLSIAQQADVASKALQENIRKLKETHKTTVDALVKTDTHLTEALSEISSLESGLQDAERKFVYMQELRNYISVMCDFLNDKAFYIEELEEHMQKLHENRVTAVSERRAADLADESSVIEAAVNAAVSVLSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINMQKRMDLKRREEDRRRRKIRSESKRLSSEGRSANNEHMEGELSTDESDSESSAYLSSRDELLKTADLVFSDAAEEYSSLRIVKDKFEGWKTQYPLAYRDAHVALSAPSVFTPYVRLELLKWDPLHETTDFFGMEWHKILFDYGEQNSESGTDPNNVDKDLIPVLVEKVALPILHHRIMHCWDILSTQRTKNAVDAINMVISYLPTSSKALHQLLAAVNSRLTEAIADISVPAWGSMVTRTVPGASQYAAHRFGVAIRLLKNVCLWKDIFAKPVLEKLALEELLKGKILPHMKSIILDAHDAIARAERISALLKGVWSSPSQKLQPFIDLVVELGNKLERRHMSGISEEETRGLARRLKDILVELNEYDKARAILKTFQIREAL >OGLUM03G41220.1 pep chromosome:ALNU02000000:3:37170580:37173820:1 gene:OGLUM03G41220 transcript:OGLUM03G41220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLRSASSLRGVLLRHCSVGTTSPPQVFSRVSDLQAPGCIAWRHFSTCKPNPLPKLDGFGSVTCLYSQARWASQAAAVKETENSGSKISIGPKSKQIKEDDKDDGLVYQGPISSTIRKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSATTTAALHWFVSPYIHKLRWRPGSDSFEAEIMSWLATPLTRTIKFADVRPPETNRPFVTFRAEGNFYFVDAEHFPNKALLARLTPKHPNESAFKNL >OGLUM03G41230.1 pep chromosome:ALNU02000000:3:37173147:37175468:-1 gene:OGLUM03G41230 transcript:OGLUM03G41230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDRKRPAPFAGFSPFARSLLFSASSSKPLPPPEDPPAAAADEIPSGIAGSSRDMPPPKRAKRAEPSSDEERYSSDDESYSSDSDDSDDASEELDTVQADFAFYDPKPGDFHGVKLLLKTYLDSKPWDLTGFVDLILEQTTVGTVVKIADDEEEGEGNGADGSSAGGNDDLFGLISVLNLGRYGEHRCIKDLKDYLLAVCGDKDTKKKLKQMLGDKAPDVGLLVCCRFVNFPYELVPKMYDSLFDEVSWATEDERKTPAKQKAKNSTEEDEPIIYPKLEDEIFRELSSWSFTFPIRSEQSAQQEMKNYKEMGLVMAVKAEAIPKFRKKLEDLLSE >OGLUM03G41240.1 pep chromosome:ALNU02000000:3:37175786:37176352:1 gene:OGLUM03G41240 transcript:OGLUM03G41240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like (avirulence induced gene) family protein [Source:Projected from Arabidopsis thaliana (AT3G02910) TAIR;Acc:AT3G02910] MGTAAGDAAEQPPAAPEAKRVAAPRTLVFTYGTLKRGFSNHGLLQDLARDGDASFVGAATTAPRLPLVCGPYRVPFLLNLPGAGHRVSGELYAVTPRGLERLDELEGVSRAHYERLPISVLLAEGAQVDAVAYYAHRGYAEDLWARSGEKGYPEYSPAVADGYIRRKDRPQQLTFLEQIRVFVSSQSS >OGLUM03G41250.1 pep chromosome:ALNU02000000:3:37177282:37189059:1 gene:OGLUM03G41250 transcript:OGLUM03G41250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46840) TAIR;Acc:AT5G46840] MAKKNKEPASDDAAAIRTLFSADNPFRRKPSDDQPAPPAPTSAAPRGKHPEPEVAEPSAKTRRDEPRRKRKRDEVEAGHERRRLGGAPAPPLPVVGEKRKVPEEVAAAAGAGQEEEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEIESVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFYGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTALTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQRPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKNFGCCICANLVQLSPLPEDLLGGVGAVGVDGDGADEGAEGAANDGWGGGEAEDGERASVLAGVGGVRHGAAQEVRGMEAAIWFWSVASAASKGDEEDGKACEREEGIATRMTTRRLRR >OGLUM03G41250.2 pep chromosome:ALNU02000000:3:37177282:37189180:1 gene:OGLUM03G41250 transcript:OGLUM03G41250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G46840) TAIR;Acc:AT5G46840] MAKKNKEPASDDAAAIRTLFSADNPFRRKPSDDQPAPPAPTSAAPRGKHPEPEVAEPSAKTRRDEPRRKRKRDEVEAGHERRRLGGAPAPPLPVVGEKRKVPEEVAAAAGAGQEEEEAFDDESKLLRTVFVGNLPLKTKRKALTKEFAAFGEIESVRIRSVPLTDTKIPRKGAVIKGKINDSVDNVHAYIVFKDEQGARAALSHNMALFYGNHVRVDMACPPRKKLKGEGPLYDRKRTVFVGNLPFDIKDEEVYQKFCGSSGSEGDVEAIRVVRDPDTSLGKGIAYVLFKTREAANTVVRKQDFKIRDRLLRLAHAKSADATPKKTTDAGKTKGGSKHKTALTPSSKSHEGSDKTKRKASTLSYQGLRASKSGVVKKAKVSQRPSYQGKQQGRTSETGHDASSQKAKRPAVAARKAKQLAKKRKLDAQTPENTHRSKKAKK >OGLUM03G41260.1 pep chromosome:ALNU02000000:3:37177953:37180912:-1 gene:OGLUM03G41260 transcript:OGLUM03G41260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAFTDKNAVFRRLKAKPENKMCFDCSAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVDTKYTSRAAELYRQILQKEVAKSSADNVLPSSPVAASQPQNPSDDFPEFKLPEAPAESTNGKQEPDVTNSQKAPTQTPKAPTHPTFATSVKKSIGAKKIGGKTGGLGVKKLTTKPSESLYDQKPEEPKPAAPVMTTSTTKSGPSLHSRFEYVENEPAVDSRNGGTQMTGHVAPPKSSNFFQEYGMDNGFQKKTSTAATKTQIQETDEARKKFSNAKAISSSQFFGNQSREEKEAQMSLQKFAGSSSISSADLFGRRDMDDSNLDLSAADLINRISFQASQDLSSLKNMAGETGKKLTSIASNFISDLDRIL >OGLUM03G41270.1 pep chromosome:ALNU02000000:3:37182450:37184581:-1 gene:OGLUM03G41270 transcript:OGLUM03G41270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46210) TAIR;Acc:AT3G46210] MEESRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >OGLUM03G41270.2 pep chromosome:ALNU02000000:3:37182450:37184581:-1 gene:OGLUM03G41270 transcript:OGLUM03G41270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46210) TAIR;Acc:AT3G46210] MEESRADGRNPNQLRPFSCTRNPLDRAHGSARWAQGDTIVLAAVYGPKPGTRKGENPEKASIEVVWKPMTGQIGKQEKEYEMTLKRTLQSICLLTVHPNTTTSVILQVVGNDGSVSFCRNLLPCAINACCAALVFAGIPLKHLAVAIGCGVLEDGEVILDTNKAEEQQLKSFAHLVFPNSRKSASSKEPNQKEEDSERGLITSITHGVMSEEDYFSCIERGLAASSRISDFMRTTLQKQAPGDV >OGLUM03G41280.1 pep chromosome:ALNU02000000:3:37188534:37189064:-1 gene:OGLUM03G41280 transcript:OGLUM03G41280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease III family protein [Source:Projected from Arabidopsis thaliana (AT1G24450) TAIR;Acc:AT1G24450] MAHLLSLLVVILVAIPSSRSHALPSSSSPFDAALATLQNQIAYRFHAPDLLRRAMTHASYSRENGRALAVLGLAASQSAAALRALAADHDASASAVSRRARDASGEAACVAAAARVGIPSIVRVAAGTKPTAPPVVCGALRALIGAVAVDANSTHAAEEVFWKLHVLTAASAKAAM >OGLUM03G41290.1 pep chromosome:ALNU02000000:3:37191141:37196798:1 gene:OGLUM03G41290 transcript:OGLUM03G41290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVAAAAAAAGAVTTAVAPPPGAAVSNGVATAPPPFLMKTYEMVDDPATDAVVSWGPGNNSFVVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPTHGNNQVQQPQLPAAPVPACVEVGKFGMEEEIEMLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMEQRQQQMMSFLAKAMHSPGFLAQFVQQNENSRRRIVASNKKRRLPKQDGSLDSESASLDGQIVKYQPMINEAAKAMLRKILKLDSSHRFESMGNSDNFLLENYMPNGQGFDSSSSTRNSGVTLAEVPANSGLPYVATSSGLSALCSTSTPQIQCPVVLDNGIPKEVPNMSAVPSVPKAVAPGPTDINIPEFPDLQDIVAEENVDIPGGGFEMPGPEGVFSLPEEDDDSVPIETDEILYNDDTQKLPAIIDSFWEQFLVASPLSVDNDEVDSGVLDQKETQQGNGWTKAENMANLTEQMGLLSSHHTG >OGLUM03G41300.1 pep chromosome:ALNU02000000:3:37197665:37198845:-1 gene:OGLUM03G41300 transcript:OGLUM03G41300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZG01] MAAAVVAVLWACMMMMSIAPASLAASGFEEVPTIAFDEGFSPLFGEDNMVKSADGRTVSITLNRYTGSGFISSDYYHHGFFSASIKLPKDHTAGVVVAFYLSNGDVFEKTHDELDFEFLGNRYRHEWKMQTNVYGNGSTDRGREERYLMPFDPTADAHRFSILWHSRLIVFYVDGVPIREVPRTAAMGADYPSKPMALYVTIWDGSTWATDNGKYKVNYKRGPFTAVFSDLVLRGCTARSDIRLATTADDQDRCAAAEEDLMESDEYSSTMAMTARKRMAMRRFRQRQMLYTVCYDTNRYPEPFPECDVNMAERQMYWQWGESKVVRPRVRPRPGRRSKRRPSPAATAIPPPVLVSLQQAD >OGLUM03G41310.1 pep chromosome:ALNU02000000:3:37205305:37208407:-1 gene:OGLUM03G41310 transcript:OGLUM03G41310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSLDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSISGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPIVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >OGLUM03G41310.2 pep chromosome:ALNU02000000:3:37205305:37208407:-1 gene:OGLUM03G41310 transcript:OGLUM03G41310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPIVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >OGLUM03G41310.3 pep chromosome:ALNU02000000:3:37204235:37208407:-1 gene:OGLUM03G41310 transcript:OGLUM03G41310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSLDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPMYGVGAHLAPANSSNICVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGAVKQAFQKTEN >OGLUM03G41310.4 pep chromosome:ALNU02000000:3:37205305:37208407:-1 gene:OGLUM03G41310 transcript:OGLUM03G41310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKNAIALDEHVLDSTIRKRKRVGATQCAEANDAPNLSQNGPKQNLALFPDSTGHKKSKMMCSADDILERYNNFKISGMPVRVMSHQHGGWRDFPEDVVNSVQQSFQLKRPITSAVFQNRHILLDFMQMVCLDSVMAISKPIAWIDDHGKCFSLDSCAGVIPSEPLQHGKNEFLKSSHDLSSSYEAHEHDGMSAAESSSSASFDAVLSDVQEVNNVVEDKQKVLNESGEVSGENKKGHLSRMNETADGAMQAPRNNQSGQRADSAVRNLLFQGSGHLFTEKDIIGIYRTPMLDQLGRSRYSLFQKEVQVTKNQRGNANERYAWLACTKGTMEEMMMNGALEIAKPLQGPIVGLSDIDENGIIRMMLCRVIMGNVEVVFPGSNQCQPTSESFDSGVDDLQRPKHYIIWDANVHKHIYAEYAVIIKVPYMNNGDTASNISEIRNSGALDNPTKDDSLQTIASSGDEQQACMLGRAPSPRSPSSPWMPFSMLFAAISAKVPRSDMDLVHKYYEEFKRRKISRPDLVKQLRQIVGDKLLVSTVVRLQQKLPPMAATEQAPRAPGRGGGASP >OGLUM03G41320.1 pep chromosome:ALNU02000000:3:37251306:37256000:1 gene:OGLUM03G41320 transcript:OGLUM03G41320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMEEEAANAATAQAAAAGDLADVVARANARAFLVSTPHHHPSPLHPLPPPPPPMPQAPHQYYPAPQITIPYHHHHHHGELRRPTTIAYTDAPVPFETAGPPSTVVDSYHHLTPGDAGYGMPRPLALQISQHALCGGGDVVMGSGGAGAADDGEEAIRISPLTPSAHHQMMKRKNEVKKVVCIPAPPATSSRGGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYARSHHSTHATASSSRHKQQQQQQTNQLQPALITSSSSSSSSPFNLYADVVLGGQQANMMMTTTEGAGTGLGIQPSAADEVFAELEELEPDNPTMINANMQVYSTTSRPGVSSYDHQWHKF >OGLUM03G41330.1 pep chromosome:ALNU02000000:3:37269727:37277472:1 gene:OGLUM03G41330 transcript:OGLUM03G41330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATVKGRYEGDKATAAATLAFTPSAADLRFKASATDAAFARGPSLEGLTLTLEKPGSFLLDLKPHSKDVRFQFMNSALLLDRRVSLTYTHSTTLSPGPAKPPARTALDGSLTFDPANKLSLSHTLGSSGCRVKYSYAHGQDRLTTIEPCFDTANNAWDFAVTRKFQGGDAIKATYQASTKLLALDWTRDSKIGASFKCANMADSISELPIALKTARQPVLATSTSLCVKSLHHGHLNSTSLLPGKLDNHHRLLLASTPRSRRLVLALRGSRRRRRLLLTSLCEEPPSPPAARLTTPRATATAWCLHHCAEEPSSPPTARLATPRSHRCRLLLLASPHRGAFVSVTRQAGSPELPSVAASFDLSDQSKAPKLIAESTWNYEI >OGLUM03G41330.2 pep chromosome:ALNU02000000:3:37269727:37277472:1 gene:OGLUM03G41330 transcript:OGLUM03G41330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRRADLSVGWADLPPELLEGIMKLMSPLERVTVRLVCSSWRTCARASFPSDLAFEAPRLLLRRPGPPRGPLAFFSLRRAEILPFALPARLSAARCCGHMGGWLAMALDDDREIALCSVTSGESVGIPRPPVFPVAKVVLSAPPTTRGWVVAVLGRSGTIALLQPDAEGEGGRWMAMEDGAKHGGFEDMAIWRGRLCALGGDGAVVAYRVSLGARVAAARVLRAAQHPVGYAAGAGGQQRVRGRVCMYLVVDMSGSLVVVQREYSVRRDAVEVEVEVSRFAAEERKWEAVEELAAGEALFVGSVVSVAARATEGSGIRGNCVYMARREVELIAPHAIGVYSLADGEADGLAISGGHSLAAEPVWIAPSLA >OGLUM03G41340.1 pep chromosome:ALNU02000000:3:37287833:37290624:1 gene:OGLUM03G41340 transcript:OGLUM03G41340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKKALTNPASPSASASASTPKKSTATSKDRSTPKPRKNPNPKEEAPPPPPANNKRLNPQGGSNRKKKADAGTPSKKPKRQPPEPKPRKHKGVKSEKPHRVSGEGEKPTPTKKKKKESSKEPKREKQQASAPMSTPSKKNKEAKRDTGGAGKPTPTKRKLGDVDPPQERPSGEGQASSPTPAKKRKDKASAAEAVADHGAGSFPMARVRQIMRAEDATIRPSNEAVFLINKATEIFLKRFADDAYRNALKDRKKSIVYDNLYFQRS >OGLUM03G41350.1 pep chromosome:ALNU02000000:3:37292897:37293667:-1 gene:OGLUM03G41350 transcript:OGLUM03G41350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPVQEQRHLVHIRSKMLFSEILRSIGANEARYNCHAVTDGYVGSAEASVYGVRGGEEPFLVRAHGIPAIRP >OGLUM03G41360.1 pep chromosome:ALNU02000000:3:37295757:37296186:1 gene:OGLUM03G41360 transcript:OGLUM03G41360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWSESENARFEQALATYDSDNPNRWELIATAVGGGKTADDVRRHYDHLQHDVTTIDDDHSHAAGEALPNGNNNNNTNKGNRNQA >OGLUM03G41370.1 pep chromosome:ALNU02000000:3:37298933:37300021:1 gene:OGLUM03G41370 transcript:OGLUM03G41370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECWEKKPRNLGGSLPVPNVQDLAARPDHLTPTLLRRYLRPHHLPLPADDHHAMAMIPVVDFARLIDHHEAAAKLRHACEEWGFFQVINHGIADETVEEMKRDVMAFFNLPLADKAAFAQQPEWIEGYGQAFVTSEDQTLDWSDLYFLTTQPPSYRDLRFWPPETSSTFRRSMDRYSLETQRVATELLRAMTRNLGLRDADKMTRLAAAQSMRMNYYPPCPAKERDRVLGVSPHSDAVGLTLLLQVSPVKGLQIRRGDDWIPVDPIPGALVANVGDVVEMVTNGRYKSIEHRVVVDAAQERVSVAAFHNATFGSTYGPLEEMVVGGEARYRSISVEDYVRLVVSSKLQGKNILDAVKIITTT >OGLUM03G41380.1 pep chromosome:ALNU02000000:3:37302577:37315438:1 gene:OGLUM03G41380 transcript:OGLUM03G41380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSSSSPSLAEQLEPLSRRLLHGKPTPTQHAPEPTWVNPSKPKPTVLSLRRHRRRSPSSHPSSAPLQPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLCWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCLGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAPQPLLLLGGVLLPKSESINRARDGTGTNRRRRRGEGERDQRGRSFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >OGLUM03G41380.2 pep chromosome:ALNU02000000:3:37311521:37314502:1 gene:OGLUM03G41380 transcript:OGLUM03G41380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MDCIIFRESGVRHNAVMDENDQLLYHFRERSVTKVVQVDSPTSLLGALRTRNVLPVTVFRGDLEVWVYKKTSEEKFPTLKKYSDKAPASDKFASHEVKVDYEYKSVLEPDTVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKVLRPDVSNGDTVHLFYFNRHYFMKDVFSFVPEPGNTKAVAAVSALARAMSEMNKVAILRCVWRQGQGNVALGVLTPNISSAKNVLDSFYFNIFPFAEDIREFQFRSFSSLPSSSQPTKEQQEAADNLVKMLDLAPPGREEILKPDFTPNPMLERFYRYLDLKSKQPDANVPPLDKCLKKITEPDPDVIDYQAPLIKKLGNVFELKENPKKKKARTQDRLTYTGADDQAKLLEEPSAEKAGVSEALYPPKKKAGEIGDHNPVQDFEAMLTQRSSSTWVQTAIEEMQKYITALIQDSCDRDNHQKALECLVALRKACIIEQEPNEYNGFVTKLCQKFRPAGEAKFLQLLSSKNASLISKEEAPDR >OGLUM03G41380.3 pep chromosome:ALNU02000000:3:37302577:37307479:1 gene:OGLUM03G41380 transcript:OGLUM03G41380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G46580) TAIR;Acc:AT5G46580] MAPLPAAAAAPAFLFLSPPGKLPGGKPRLKPSCAASTSSSSSSSSSSPSLAEQLEPLSRRLLHGKPTPTQHAPEPTWVNPSKPKPTVLSLRRHRRRSPSSHPSSAPLQPLLRAIRALPDAADLAPTLHDFFPPASPPSTSDALLLLNYLHPSWRKSLSLLCWLRALPDGAFPLDTIVFNVALKSLRAARQWPQAERLALDMLASGVPLDNITYSTLITAARRCRQFDKAVEWFERMYASDGVLPDEVTYSAILDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYDGIQFVFKEMREVGIKPNIFVYNALLEALGKTGKPGLARNLFEEMTAEGVEPNARTLTALAKIYGRARWGRDALQLWEQMREKKLPADNILCNTLLSMCADVGLVGEAEQLFSEMKDPDLGDVPKPDKWSYTAMINIYGSSGDADRALQLFAEMVESGIEPNIMSYTIVIQCLGKAGRIQEAVDVLEAGMAKGLKPDDRLCGCLLSVVALSSGDETEVILACLEKVRSNLVKLIRMLGDARVGVEDLRVELKGILNSAAPEVRRPYCNCLIDICRNHGYPSERAVELFRLARHYGLYSKIHTRKEEEWSLDLRSLSVGAAKTAFDDWMKTIREHEEEEEEALPQTFSVYTGSSTHKFAQGLATAVASHLEQVGAPFRASDSQLGSFISSRDDLLSWLHTTMSSPDVAPQPLLLLGGVLLPKSESINRARDGTGTNRRRRRGEGERDQRGRSFHGVPAEERLHWRSFLVKLGADNLKGAKNEELLVASHKSVSIVYTMIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >OGLUM03G41390.1 pep chromosome:ALNU02000000:3:37315230:37317265:-1 gene:OGLUM03G41390 transcript:OGLUM03G41390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZG16] MAAPITAATSPLSPASRVQVMCSMLNPTSASFSRQTASFPSIRLRPVPSRFQALSCSAKQDTIDKVCEIVKNQLAVDEGTAVSGETKFVDLGADSLDTVEIVMGLEEAFQITVDESSAQVIQTVEDAAALIDKLVAEKDA >OGLUM03G41400.1 pep chromosome:ALNU02000000:3:37319329:37329844:1 gene:OGLUM03G41400 transcript:OGLUM03G41400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGVSTVPIMFSSSPDGSYPQLLHLASLSGILKCICRYFKNSQGNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >OGLUM03G41400.2 pep chromosome:ALNU02000000:3:37319329:37329844:1 gene:OGLUM03G41400 transcript:OGLUM03G41400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MFSHGADSAHDAGAVSTGASGVPTRFVWPYGGKRVYLTGSFTRWTEHLPMSPVEGCPTVFQAICSLSPGIHQYKFCVDGEWRHDERQPTITGDYGVVNTLCLTRDFDQINTILSPSTPGSRMNMDVDNDNFQRTVSLSDGIIQEGPQRISEAAIQISRCRVADFLNGQTGYDLLPDSGKVIALDVNLPVKQSFHILHEQGIPVAPLWDSFRGQFVGLLSPLDFILILRELETHGSNLTEEQLETHTISAWKEAKRQTYARNEGSWRANHHLVHATPYESLREIAMKILQNGNLPILSQPVCTIPLGTWVPKIGDPNGRPLAMLRPNTSLSAALNLLVQAGVSSIPIVDDNDSLLDTYSRSDITALAKDKVYTHIRLDEMTIHQALQLGQDANSPFGFFNGQRCQMCLRSDTLLKVMERLANPGVRRVFIVEAGSKRVEGIISLSDIFKFLLS >OGLUM03G41400.3 pep chromosome:ALNU02000000:3:37327497:37329843:1 gene:OGLUM03G41400 transcript:OGLUM03G41400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of yeast sucrose nonfermenting 4 [Source:Projected from Arabidopsis thaliana (AT1G09020) TAIR;Acc:AT1G09020] MAPATTAAMALAPPPSHHHHHHHVKQLQLPPSVSVSVPRRSGFLGRALPAAAHPQPLLAAESRRSSAVSVRMSWDGPLSSVRLIMQGRNVKLNEKVKEHIEEKAGRAVAKHSQLVKEVDVRLSARGGELSRGPKICRCEITLFTKRHGVIRAEEDAESTYASIDLASSIIKRKLRKIKEKETDVRHLKGTKPPVSDWPPSSLDNNDDDAQAQLKDLEEAVGAEDEDTVLTKVVRTKVFEMPPLSVEEAMEQLVNVDHNFYAFRDEKTGEMNVLYKRKEGGFGLIVPKGDGHLHKETIPNSDHHHPSLAA >OGLUM03G41410.1 pep chromosome:ALNU02000000:3:37347672:37349731:1 gene:OGLUM03G41410 transcript:OGLUM03G41410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDILMLQEQEVVFDAAVLSGQTEIPSQFIWPAEESPGSVAAEELEVALIDVGAGAERSSVVRQVGEACERHGFFLVVNHGIEAALLEEAHRCMDAFFTLPLGEKQRAQRRAGESCGYASSFTGRFASKLPWKETLSFRYSSAGDEEGEEGVGEYLVRKLGAEHGRRLGEVYSRYCHEMSRLSLELMEVLGESLGIVGDRRHYFRRFFQRNDSIMRLNYYPACQRPLDTLGTGPHCDPTSLTILHQDHVGGLEVWAEGRWRAIRPRPGALVVNVGDTFMALSNARYRSCLHRAVVNSTAPRRSLAFFLCPEMDKVVRPPEELVDDHHPRVYPDFTWRALLDFTQRHYRADMRTLQAFSDWLNHHRHLQPTIYS >OGLUM03G41420.1 pep chromosome:ALNU02000000:3:37359819:37360070:1 gene:OGLUM03G41420 transcript:OGLUM03G41420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLASLPRFLHNPLFSSLSRCPPHPPPLATLAVALTLGWRAAVPRRKVVVAPSRCAGYLHRTVGHGDKGRCHRRQGGRGAP >OGLUM03G41430.1 pep chromosome:ALNU02000000:3:37363305:37367585:1 gene:OGLUM03G41430 transcript:OGLUM03G41430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPSVAVVASGGSGGIGDGRGWIRRRWQRPRTDPTTENEELLRTSNGNNRIWAQEVSDWRGHAWRIAAANVLKADVETFRGLGLNLKQIEHWTTLPTEVSRRLPVTMQVERSAAPVDSAAAQPMEEVGRQLPATMQVERPAAPIDSAAA >OGLUM03G41440.1 pep chromosome:ALNU02000000:3:37367589:37368020:1 gene:OGLUM03G41440 transcript:OGLUM03G41440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDRRLPTTSQVERPAAPVNLAQAQPMEVVSRFPMTAQVERPVAPVDLAPTQPMEAGLRRSWQRDGGLAAENGGGEKEPARSPTMFCRRRSGTVRRRPTSRATVIWRHQRSKAWRRDGGMAMENDGGEEDFARARARAVRV >OGLUM03G41450.1 pep chromosome:ALNU02000000:3:37368878:37370865:-1 gene:OGLUM03G41450 transcript:OGLUM03G41450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAWLSPASRLFHPPRSSTAAFFSASGLPRLPRRSSCALATSTRGRRLRLGATDQQQQQGKQDGDEVVDSNVLQYCSIDGKGNKAEKRSLGEMEQEFLQALQAFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYAAGNPIMSDAEFDQLKLRLKKDGSDIVTEGPRCSLRSRKVYSDLTVDYLKMFLLNVPATTLALGLFFFIDELTGFEINIFQLPEPFGFIFTWFAALPLILFIAQSITNAIVNDFLILKGPCPNCGAENLSFFGTILSVSSGGETNKVKCADCSTEMVYDSKSRLITLPEPSQ >OGLUM03G41460.1 pep chromosome:ALNU02000000:3:37372824:37374356:-1 gene:OGLUM03G41460 transcript:OGLUM03G41460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLLLLLLLPAAAAAVSLPPSNKVVFILGGQSNMAGRGGVVGSHWDGMVPPECAPNPSILRLSPQLRWEEAHEPLHNGIDSNRTCGVGPGMSFANALLRSGQFPVIGLVPCAVGGTRMADWAKGTDLYSDLVRRSRVALETGGRIGAVLWYQGESDTVRWADANEYARRMAMLVRNLRADLAMPHLLLIQVGLASGLGQYTEVVREAQKGIKLRNVRFVDAKGLPLEDGHLHLSTQAQVQLGHMLAQAYLNYGTSTL >OGLUM03G41470.1 pep chromosome:ALNU02000000:3:37374720:37376008:1 gene:OGLUM03G41470 transcript:OGLUM03G41470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTTHHIGGASQARSPSLRTTVYWTGLDWRSRSSPLLPAPITLPSGFKPSSSPPILAINTFLSSLSTQQGHPKLYNLVRVHVPTDVVWGRGKRMWDVIVLGMMAIGPPAKGGGIDWSEGVGRSKVDDGENESERKKEEKQIWRIRNKSGTNRI >OGLUM03G41480.1 pep chromosome:ALNU02000000:3:37377479:37378279:1 gene:OGLUM03G41480 transcript:OGLUM03G41480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQLQLQVLALLLLLATTATATATPTPTLIFLLGGQSNMGGRGGATNGPWDGVVPPECAPSPRILRLSPELRWEEAREPLHAGIDVHNVLGVGPGMSFAHALFRAIPPSTVIGLVPCAQGGTPIANWTRGTELYERMVARGRAAMATAGAGAGARMGALLWYQGEADTIRREDAEVYARKMEGMVRDVRRDLALPELLVIQVGIATGQGKFVEPVREAQKAVRLPFLKYVDAKGLPIANDYTHLTTPAQVKLGKLLAKAYLSTL >OGLUM03G41490.1 pep chromosome:ALNU02000000:3:37381599:37381877:-1 gene:OGLUM03G41490 transcript:OGLUM03G41490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTGGKEEEKEKLCRRCKATYAPSGNTRLSCRFHPSYFVCRRHDDQKRYYQLRDGDPPYAAKFYDCCGAEDPDAAGCTTDFHLSYDDADA >OGLUM03G41500.1 pep chromosome:ALNU02000000:3:37389697:37390434:1 gene:OGLUM03G41500 transcript:OGLUM03G41500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZG29] MENSREQQPESSPANNNSKKKKKKKKTASRFRRVCVFCGSSPGKKASYQVAAVQLGQQLVERRIDLVYGGGSVGLMGLVSRAVHGGGGHVVGVVPNGVLPRELIGETLGEVRAVGSMHQRKAEMARESDAFIALPGGYGTLEELLEVITWAQLRIHHKPVGLLNVDGYYDSLLAFIDKAVHEGFVSPPARRIIVAAPTASDLLCKLEEYVPPPHDATALKLTWEMSTVSEQHAGSIYSPKPDMAR >OGLUM03G41510.1 pep chromosome:ALNU02000000:3:37393990:37403687:-1 gene:OGLUM03G41510 transcript:OGLUM03G41510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNESSFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >OGLUM03G41510.2 pep chromosome:ALNU02000000:3:37393990:37403687:-1 gene:OGLUM03G41510 transcript:OGLUM03G41510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLRDLSQPIDVPLLDATVAAFYGTGSKEERNAADQILRDLQNNPDMWLQVVHILQNSHNLNTKFFALQVLESVIKYRWNALPTEQRDGIKNYISDVIVQLSSNESSFRQERLYVNKLNIILVQVLKHEWPARWSSFVPDLVAAAKSSEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRPELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPMAAYRNLTLQCLTEVAALQFGDFYNVQYVKMYTIFMMQLQAILPPGTIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEASPENRAALLLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAASVNMMGLQAQMLPGVDGTITAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSSLATTIGDLEPHQIHTFYESVGHMIQAESDNTKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPILADYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDASSVPYQYTDNAMFVRDYTIKLLGSSFPNMTPTEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDEMVDS >OGLUM03G41520.1 pep chromosome:ALNU02000000:3:37405508:37405933:-1 gene:OGLUM03G41520 transcript:OGLUM03G41520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLQHRRRSWTARLLSSASLPPARLLVFFAIVIFFLSVSSYVDYKAIERRAEIGLRVFAAPLAAVTIFLLFLVLQHRRRYWTLRRQVHHHHAYADQAEAAGSGSPWVVALLLLLLLLMLSFQSSVHSIWFRPLWDSADY >OGLUM03G41530.1 pep chromosome:ALNU02000000:3:37409653:37413982:1 gene:OGLUM03G41530 transcript:OGLUM03G41530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00090) TAIR;Acc:AT4G00090] MAAATATAAASLVSLPLLSAIFGAAIALLFLSGYLRRKRAAIAHLPPSATAAAPDQPKQVRPSNQNQPKKGHQRSHHAVDKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIITASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDEKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >OGLUM03G41530.2 pep chromosome:ALNU02000000:3:37409653:37414148:1 gene:OGLUM03G41530 transcript:OGLUM03G41530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00090) TAIR;Acc:AT4G00090] MAAATATAAASLVSLPLLSAIFGAAIALLFLSGYLRRKRAAIAHLPPSATAAAPDQPKQVRPSNQNQPKKGHQRSHHAVDKDAAKKHHHLDVNTLRGHTDSVTALHFSDDACNLATVCADGAVRVFRVDDVSSKSFKILRINLPAGAHPTAIAFSQGASSVVVAAQALLGSSLYMYADVGAPPAGGNKQQGKLSPPEIKWEHRKIHGKESVLNLAAARATYGTGDGSTILISCSEATDIKIWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLKGHKSAVTCLCFALNSEQIITASKDGTIRVWNINVRYHLDEDPKTLRVLPIPLHDSKGSVCQYDHMSVSPDEKVLATTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTIPNGGTPAFVLATAGVDKKVKLWLAPKAIST >OGLUM03G41540.1 pep chromosome:ALNU02000000:3:37420275:37421693:-1 gene:OGLUM03G41540 transcript:OGLUM03G41540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT5G51670) TAIR;Acc:AT5G51670] MAIESWLTKVRSAIISSKPSPSSSSWRSKTVGILALEVATLMSKLVHLWRSLADAALARLRHHLINLDGVRKLVSHHDAALLALACAELTDALRVAAHSVAALATRCADPFLRDFADAFADFADTGRDPHRWVSTWKDMDTRAHKMDKQVAATSALRTAMEDLADAEHGLRKLLQTSSSRRLSATNISLAAEQQQLIFAKKQEVKHLKQTSLWSSTFDAVVSSLARAAFTILARIKLVFGAAHDHRPTTTPLHRSLTLSSAVHPSSVDVQVQPPVSRKSMSMDMGMGEALYLERQRQRQSGLLERSAAALVPPPGTLGAAALAPRYAWVIISIERMARSPRLVGAEERDELYGMLTASVRAQLRARLRGTVAAAEPGLAGQWRAAVGGILEWLAPMAHATVRWQAERSLEQQRKTTREMETQTLVVQTLQMAERGKVEAAVAELLVGLNYLCRFHKEITTCRTRTCHHDDAP >OGLUM03G41550.1 pep chromosome:ALNU02000000:3:37424705:37425638:-1 gene:OGLUM03G41550 transcript:OGLUM03G41550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPCCVLVVRCCSGSLPSPPPPPPRPSPSNNLRIARREFVLRSSELATLAAIFHLSGTKPRYLGVQKSPPSLALCPATNNCVSTCEDITDSIHYAPPWNYNPKDGRRAKPITKHEAINQLIQVVTQTKPDNFTPRLVEKTDDYVRVEYESPIFGV >OGLUM03G41560.1 pep chromosome:ALNU02000000:3:37426181:37427620:-1 gene:OGLUM03G41560 transcript:OGLUM03G41560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT4G22790) TAIR;Acc:AT4G22790] MTPPPPSPPHERKTWAESVASEFRTQRGIAFPLIAMNLTWFAKLAVTTAFLGRLGDLQLAAGTLGFSFANVTGFAVLTGLCAAMDPICGQAHGASNGKLLRKTLVMATTLLLGASIPIAFLWLHVDAVLLRFGQQADMSSNARSYVVCLLPDLAVTSFVNPLKSYLSAQGVTLPTLFASALALALHVPLTMWMARTRGIQGVATAVWVSDLAVAVMLAGYVLVSERRRKAGGGGGWVEQTRGEWVRLLRLAVPSCLNTCLEWWCYEILVLLTGRLPDARRTVAVMAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGEAWAARRAGMVSIVGGAVGGVGGGVAMVAARRAWGSIYSSDAGVREGVGRAMEVMAVLEVVNFPLNVCGGIVRGTARPAVGMYAVVAGFYVLALPLGVALAFKARLGIQGLLLGFLVGAAASLAVLLTFIARMDWPAEAQKARTRTTATVAQFHQHDEVVQP >OGLUM03G41570.1 pep chromosome:ALNU02000000:3:37432191:37435091:-1 gene:OGLUM03G41570 transcript:OGLUM03G41570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKQQMMNKLGLLVVAVAVAVAMAPAGSFASSSGRGAPMVPAVIVFGDSIVDPGNNNNLKTQIKANHAPYGMDFANSEPTGRYSNGLIPTDFIVQGLNVKQLMPPYLGVELSPEDLKTGVSFASGATGYDPLTPVIVSVITLDQQIEYFHEYRKRLVGVVGEEETARIIDGALFVVCAGTDDIANTYFTTPFRSVEYDIPSYVDLLVSGAAKLLDQVAALGARRIGFVGLPPIGCVPSQRTLGGGPHRRCEEKRNYAAKLFNSRMEEVIAAKTNPATTRMVYVDIYTILQELVENGDKYGFTETTRGCCGTGTIEVTGLCDARFVDICDNVSNHVFFDSYHPTQRAYKIIVDYIFDNYLQFLLA >OGLUM03G41580.1 pep chromosome:ALNU02000000:3:37441936:37447316:-1 gene:OGLUM03G41580 transcript:OGLUM03G41580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSASASADPPRRSPWRHPSNGGNPNPNGDAVIDTTSWPALSEAARNPPKPPPCIDSPSEGQGKQSSRHKPARRGGAGADHSPSPRDDRATSWDHGRHHHHNNNSGGRRGSFGGRRRGGGGGGFDALYRAPIGPYVRGATAPPPPPPPPMAVAPPPFLPPPLRPFAAPLLFHHDMASPVSPVSPIYYVGPPPPPEALRPLPPFPPTMLAPPAYPYYHPQPQPDPEPEPDADPQQHRANLLKQIEFYFSKDNLCTDVFLRRNMDDQGWVNIALIAGFNKVQESTDDLQYIKDTIQSSSILEMLDDKIRRQNDWNKWVIPRESNTDVLPSPNINNLTAHLGSVGLQESAASSSSMVDENHHEILTNGPTSGNNQAPVVEDGAGKVLFVYPVIEVP >OGLUM03G41590.1 pep chromosome:ALNU02000000:3:37449409:37454029:-1 gene:OGLUM03G41590 transcript:OGLUM03G41590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G28070) TAIR;Acc:AT2G28070] MDPYRSSSSSASSPAAALAMGRRHYYLPARPARPISFEDSPDWADDDVDSIHLATASASASLPTTAYPSPSPTPSSSSAACRGGERKVAGATLVWKELSVSLTRSRSGSGSADRRVVKSSTGYALPGTLTVIMGPARSGKSTLLRAIAGRLRPAERMYGQVLLNATNTRLPYGSYLPGLFSSKTSIVEDAIAAMSLADYADNLIGGHCFINSLPAGERRRLSIARELVMRPHVLFIDEPLYHLDSVSALLLMVTLKKLASTGCTVIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKSSADSVAVESLVAKLMEKEGPHLKSKGRASNTTRIGVLTWRSLVIMSRNRKYFWSRFALYMLLALSVGTIFNNAGHSLSSVMVRVSAIFVYVSFVILLSVSGVPAHIDEIKIYSHEEANQHSSTMVFLLGHFLSSIPFLFLVTISSSLVFYFLIGLRNEFNLFMYFVATMFMCLLANEALMMIVAYIWLDTYKCTLTLICLYVIMMLVGGYFRIRGGLPCTVWKYPLSYVSFHVYAVEGLLENEYVGTSFAVGAIRTIPGVQAVGGSYDISSSANAKWVNLLVLFVMAVGYRVVLYVLLRLNVRKHMRLLGSWCRWSWTPQSDYYSSN >OGLUM03G41600.1 pep chromosome:ALNU02000000:3:37455014:37460467:-1 gene:OGLUM03G41600 transcript:OGLUM03G41600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSSRSASLPGPARRLGVVRASAKEIAFDQGSRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPEDAGTWAATAQCKTYDRGGMDGPTNQHRVSFVLQQELGLLCLW >OGLUM03G41600.2 pep chromosome:ALNU02000000:3:37457291:37460467:-1 gene:OGLUM03G41600 transcript:OGLUM03G41600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSSRSASLPGPARRLGVVRASAKEIAFDQGSRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPEGSLTM >OGLUM03G41600.3 pep chromosome:ALNU02000000:3:37457291:37460467:-1 gene:OGLUM03G41600 transcript:OGLUM03G41600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPSTCASSSSLFLLLRRDRRSSRSASLPGPARRLGVVRASAKEIAFDQGSRSSLQAGVEKLAAAVAVTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVHSLVEELEKKSRPVKGSGDIKAVAAISAGNDDFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKSLVEFENARILVTDQKISSIKEIIPLLEQTTQLRAPLLIIAEDVAGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEFQAKDLGLLVESTTVEQLGIARKVTISQSSTTIIADVATKDEIQARIAQLKRELSQTDSAYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSKFVPAIKEKLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVEKIKESEWEVGYNAMADRHENLVQAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKASAASGAPEGSLTM >OGLUM03G41610.1 pep chromosome:ALNU02000000:3:37460478:37460674:-1 gene:OGLUM03G41610 transcript:OGLUM03G41610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKKRRGDTLKTAEAGPECSGKPPFLSRRSRFGFNQKSPNLKTRERSQQPPPSPSLGRKP >OGLUM03G41620.1 pep chromosome:ALNU02000000:3:37461664:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVFGLRCTTTRYIQKEVQVTSYGEQKEKVVAFLDQQLVYTPLGWV >OGLUM03G41620.10 pep chromosome:ALNU02000000:3:37462339:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.10 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQCNIRHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >OGLUM03G41620.2 pep chromosome:ALNU02000000:3:37461664:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVFGLRCTTTRYIQKEVQVTSYGEQKEKVVAFLDQQLVYTPLGWV >OGLUM03G41620.3 pep chromosome:ALNU02000000:3:37461664:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVFGLRCTTTRYIQKEVQVTSYGEQKEKVVAFLDQQLVYTPLGWV >OGLUM03G41620.4 pep chromosome:ALNU02000000:3:37461664:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVFGLRCTTTRYIQKEV >OGLUM03G41620.5 pep chromosome:ALNU02000000:3:37462339:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >OGLUM03G41620.6 pep chromosome:ALNU02000000:3:37462339:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >OGLUM03G41620.7 pep chromosome:ALNU02000000:3:37462459:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERVFGLRCTTTRYIQKEVQFVI >OGLUM03G41620.8 pep chromosome:ALNU02000000:3:37462339:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQHVSYTQFRALSDQFYRTTEHHRFVRQQIVKQLESYPEIYAGYVPMDYREYLKKMIKHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >OGLUM03G41620.9 pep chromosome:ALNU02000000:3:37462339:37464767:-1 gene:OGLUM03G41620 transcript:OGLUM03G41620.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYEQDPDVLRWSLHLLLPPAAALHYQQRTSSVDCDEMIAHALQEELSYAQSQSLSSSILHLPPSAPPLKEDEQDDAPPPPPPFSSCSTPADYTNTNNHNAHDCLIEFVDDFSALDGQVGKRLHDMIPIPHVPKTNGDIPSVDEAFSDHQRLLHRLELYDLAELKVEGDGNCQFRALSDQFYRTTEHHRFVRQQIVKQCNIRHFHFVATKSTRNGEWGDHVTLQAAADSYGVKIFILTSFRDTCYIEILPVVQKSERELPVMENKRKRWWHF >OGLUM03G41630.1 pep chromosome:ALNU02000000:3:37465696:37465947:-1 gene:OGLUM03G41630 transcript:OGLUM03G41630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKREFFFCSGGLGFTNVALVLFTTWFYSYEWCGGFSVNEIDSIAKHLPSPEKVHDFIGVLRNKYDVE >OGLUM03G41640.1 pep chromosome:ALNU02000000:3:37472956:37473621:-1 gene:OGLUM03G41640 transcript:OGLUM03G41640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPHRRHGDGDVDGSASGSGSARLTAGLINFLESRRAGAMSTTNSSSSVSVPAMDAHGQEEEEEPMQVQQQQAFRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEEAALAYDQAAFAMRGSAAVLNFPMEQVRRSMDMSLLQEGASPVVALKRRHSMRAAAAGRRRKSAAPAPADQEGGGGVMELEDLGPDYLEELLAASQPIDITCCTSPSHHSI >OGLUM03G41650.1 pep chromosome:ALNU02000000:3:37480778:37487375:1 gene:OGLUM03G41650 transcript:OGLUM03G41650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYVTAGSTTPPPHLHQLLSGTGAAPPPSSQDDAHPHPHPQSRRTVPARRLRQRLEQEVSELKKQLRNEEAVHDILNRALQHSNTTKSSSSLSSPSVLHNIPAFIPHKAKELLAELVLVEEEIARLETQIRSMKKAAATTTTSSIIHQNAITLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIISNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNNTSSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTSTSTRRRLMIPSLIHSNMHDFAKDLDSLVRWICDQLPTSWSLRKSMVDCLSLRWSGHRLNIHHLVDVIPYDYDFHYLLPM >OGLUM03G41650.2 pep chromosome:ALNU02000000:3:37480778:37487375:1 gene:OGLUM03G41650 transcript:OGLUM03G41650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGRLRNPCLTMHQPWASLLVHGIKRVEGRSWPSPLTGRLWIHAASKVPEADTIKAMEEFYREIYALDGITNITFPHHYPVSRLLGCVEVVGCVTSQELASWEHVPQSVSTQPYPITTTCTFPIPIIFFTTCRLEALTDFCWLCENPQKLVVPFDMRGYQGVYNLERRIYEGAVRGLSPVQGPLPVNFPLPDPTNPLSLNPGSLQLHSSRSAALDKSPSVTAAIAGARAAATQYSRNNTATTSTPTEETRQRFSRENHADNTSGPSIVHDRSPVLQNQNLPSLALTNPPYLKNQTMPSFVQNNLPNLQNHNLSYLPHQNLSADVSNRRVSLLQNRSPSSLLHSGQSYLQNQNAEPRRSPRLQNEPPSRLVAVALRGLKRMNVSEGGEQSAPKRWPE >OGLUM03G41650.3 pep chromosome:ALNU02000000:3:37481718:37487375:1 gene:OGLUM03G41650 transcript:OGLUM03G41650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKELLAELVLVEEEIARLETQIRSMKKAAATTTTSSIIHQNAITLTHDDTVNANVNSGGSAAGDHANIKSMFFISQAINGLDTSRHHHPLMTIISNKPPTPSPKLNSLDDTSSSSNKKKKMVQQPNKLSERIVKCLICIFIRLLRSSRVADLQLQQQDNNNNNNTSSRSSSSSPSLTRQHQGGGGGGSFRIDTSLVMNKQQQQQDCRSGQQDHYGIFAIPDSIVRDIGPYKNLVSFTSSAFDLRGFSTSPLLTKLRGMLEALQHVDLRFLTHHQKLAFWLNIYNTCIMHGILHNGLPSNPEKLLALKNKATLNVSGQKLNALVIENFILRQPSSVKEEFWKCEVDVEEQQVRSRYGLNSSEPNILFALCCGNRSSPALRIYKADRVMMDLEKAKLEYLQASLVVTSTSTSTRRRLMIPSLIHSNMHDFAKDLDSLVRWICDQLPTSWSLRKSMVDCLSLRWSGHRLNIHHLVDVIPYDYDFHYLLPM >OGLUM03G41660.1 pep chromosome:ALNU02000000:3:37506739:37507823:1 gene:OGLUM03G41660 transcript:OGLUM03G41660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDRLRDLQAFDDTKAGVKGLVDAGVTTIPAIFHHHPLLLDDAEEDADVIPVIDLQADVDRGHLVGQVVNHGIPGELLEEMLAAVRRFNEQPAEGKKAWYSRDSGRRVKFNSNFDLFQSPAANWRDTLLLELTPRPGPAAEEIPPACRGVVGEYVEAVQRLGGALLELLSEALGLPPEYLGGLGGGLATMAAHYYPPCPEPHLTLGTTRHSDPSFLTVLLQESKGLQVLMRQRQRWVDVPPVAGALVVNIGDLLQLVSNDLFRSVEHRVLATTAAAEPRLSVACFFRPDYACTRVYAPVTTTPPPPLYRSTTMPEFLSHYRAKGLDGRSALHHFRIPPPSSPPH >OGLUM03G41670.1 pep chromosome:ALNU02000000:3:37508946:37524034:-1 gene:OGLUM03G41670 transcript:OGLUM03G41670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVEEINENDLVVNCTSGKKVTINVGSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYALNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELGPHPFAIADRSYSQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDDNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPSEDCKKYKLGEAKTFHYLNQSNCIELDGLDDSKEYTDTRRAMSIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSMPKDEKSQFHLRTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALSRIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNQQLQSLLETLSAIEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRTFFEFINRFGILQPKVLGRSHDEVAATKMLLGKANLTGYQIGKTKVFLRAGQMAELDALRTEILGLSAKKIQTKVRSHVARKKYVMLQHSATQLQASHCRCYLVLSNYKRMMKAIITTQCAWRGRVARRELRELKVAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADVEEAKAQENKKLQLQLQDLQMQLNDTKELLKREKESTKAEMEKTLVPEICVDTTQVNELTVENNRLKALVVSLETNIEEMKQKFGETDNVRDEWCKKATDAESQINELKSMMQSLQEKLNSTEAENHVLRQQAMRTRPDNMPLLNMHRKSNLANGSLPGDEQTPHGTSMEYGRTSYIERQQESVEALINCVVENVGFSEGKPVAAVTIYKCLLHWRIFEAEKTNVFDRLIQIFGSAMQKQESNTDLAYWLSNSSSLLIILQKSLKPVGSSVTTPLKRTQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPAFLFKQQLTAFVEGLYGMIRDNVKRDISSVLTLIIQVPSIFARKIFTQIFSFINAQLFNSNGEYVKQGLQELEAWCTQAKPEVIFKKFRISYDEIINDLCTALSVQQLYKICTQYWDDKYNTESVSEEVLNEMKTLMNGKDASDGTLKSLMNEKDASDGTFLLNEEIRYVLKYNI >OGLUM03G41680.1 pep chromosome:ALNU02000000:3:37528604:37535439:1 gene:OGLUM03G41680 transcript:OGLUM03G41680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPVIPFHLLKLHWFMYRLSLLTLCPTIFKDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIERTGAVQTFHGHSSHIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >OGLUM03G41680.2 pep chromosome:ALNU02000000:3:37528604:37535439:1 gene:OGLUM03G41680 transcript:OGLUM03G41680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNWEADKMLDVYIYDYLVKRNMHNTAKAFMTEGKVATDPVAIDAPGGFLFEWWSIFWDIFDARTRDKPPQPQPQPQPPPPIPIDIKSREQQMRLQLLQQQQNAHQQRRDPPLNAAMDALNSDVSAVLASKMMQDRMRNPNPTDSDASHQLLDANRIALLKPATNQTGQLVQGASVNMSALQQIHSRNQQPDMKGDAAMSQRSMPTDPSTLYGSGMMQPKSGLVSTGLNQGVGSVPLKGWPLTVPGIDQLRSNLGVQKQLMASPNQFQLLSPQQQLIAQAQSQNDLARMGSPAPSGSPKVRPDESDYMMKLKMAQMQQPSGHRLMELQQQQQQLQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGLPVASNMNIVQKSSLICGADGTSGLASSSNQMDNLDSFVDFDENVDSFLSNDDGDGRDIFASLKKGSSEQDSLKGLSLSEFGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNLNMDTKIEEHTNFITDIRFKPNSTQLATSSSDGTVRLWNAIEIFTRNLQRFYALVTTMEKFVSGKLVKVKFHMKQGGTGRVRFQPQIGQLLAVATGSIVNIVDVEKEASLHSLPKVHTNEVNCICWDEKGERVASVSQDTVKVWSVASGACIHELRSHGNQYQSCIFHPRYPNVLIVGGYQTMELWSLSDNHRNTVQAHEGLIAALAHSQFTGMIASASHDRSVKLWK >OGLUM03G41690.1 pep chromosome:ALNU02000000:3:37535100:37535414:-1 gene:OGLUM03G41690 transcript:OGLUM03G41690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMVRRQRQQKQQVMVVVMVCWALLATAARGNCRDECLAGCQGWAIICHLSCNSACLGEVGISAMSTATPQSITDQDQQHPSQQQQQAQQSVSVLKGLDPDKI >OGLUM03G41700.1 pep chromosome:ALNU02000000:3:37536313:37544509:1 gene:OGLUM03G41700 transcript:OGLUM03G41700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPETRLDSAVFQLTPTRTRCDLVVIANGRKEKIASGLLNPFVAHLKVAQQQIAKGGYSITLEVDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAITGQGGDNLGLRSVEDYNEKLAECIGGSKTNYDLDGDKSLILYKPGIQPPPPVQNDNATQEENSKVQLLRVLETRKIVLRKEQAMAFARAVAAGFNIDNLGFLITFADRFGASRLMKACTQFTELWRRKHETGQWIEVEPEAMSARSEFPPFNASGIMFMGDNMKQNLETLSISNGDANGEDAAKADQRTAQHSGAPSEYLHGPYQSAYPPWAIHPPYPMQGMPYYPGVNPYYPPPYPPMDDPRYHHSERRVSRKHSSDSKDSETLDDESGQSGSEIESSHGHKLHKKGKRSGKKKPSVVVIRNNNVTSKKHGSSESESQTSSDVASEDSDDSHTEYSKRKNKRSSSKKKESRKIILEPGDEYSRDEVAHRQDGDQGNWNVFQSFLLRTEEKTKDNDADLFATERGPPPARRKESRTTDDPLLLVERDSTDFNEGKTIGFNSAHGRIRSRKMLSGDELVISAEGRSFVDGDIKEIEAGGGGYRRGASEDFIVYGQEKPMDSGSYLDPLAEGQYKSPTLMEKNMHSVADESFMIPVRSNSQDNLGPESCTAIDIDVELPGTVKKTTDAKAGDQLFYEPDELMPEREYEDVTYGYDPAMDYDSQMQIQPAIMVEDANADDVSLGVEGEVKKLEKDKKLRLQECLDKKKDASARRLPSSKTRLTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKQERQKRIAARSSTSNSISTPQQVKVKPSPKTSPSTYKSSKFSDAEPGSFSPLRKLPARTTAESDHQKTGKASKLSDSSTNAVSKSTSSLAAMKKEKNGRNELSSERLKKLAEPKSNALTDRPSNSKSASMDHSRRKSMPEDTQTKKISAIMQLDQRKSATLPELKVKSPRAPSISVKNKTIAREIRDGDPGGKSPPTLEVTDGKKADVEVSRISNSDDNVVVEKTVVILENEVVSTPPLILPPGRTSENETSSNDRTQKPSMELEYTAIRAPPSPAVLPEAENPTIHRHNDQGNYEVMTEHLKDETEELTLSAVEKPYQAPFARVTSLENDSATIHAYPHALSVESETPVHAESIRARVLDPVSTVSVEETPEANEKPRNKESKGFRKLLKFGRKSHTSGTMDSDASSVDGALAGDGMILAP >OGLUM03G41710.1 pep chromosome:ALNU02000000:3:37549053:37549895:1 gene:OGLUM03G41710 transcript:OGLUM03G41710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGSGSNPKDYQDPPPAPLVDTGELGKWSLYRAAIAEFTATLLLVCISVSTVIGEKRQSGEGGAGVLGIAWAFGGLIFVLVYCTAGISGGHMNPAVTFAMVLARRVSLPRAALYTMAQCVGAVCGAGLARAMHGGGQYARHGGGANELAAGYSAGAGVAAEMVGTFVLVYTVFSATDPKRKARDSHVPVLAPLPIGLAVLVVHLATIPITGTGINPARSLGPALVLGLGTTKAWSHLWIFWVGPFAGAAAAMIYHHYILRGAAAKAFASSSYRSPHF >OGLUM03G41720.1 pep chromosome:ALNU02000000:3:37554528:37555948:-1 gene:OGLUM03G41720 transcript:OGLUM03G41720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24580) TAIR;Acc:AT5G24580] MGEQVKLNDDKEEGGKEKNSKQVEEVAPAAEKKEEAAAAADAGSKEEEQVQPPPAPVILGVELHCTGCARRMRRCILRSKGVQGVEVDMGGNQLTVKGIVDPQALCARLRHKTLRNATVISPPPPPTSTEDQDQHQPSPPPPLVHSQVSDVTTVELLVNMHCEACAQQLHKKILKMRGVQTADTNLSTGKLTVTGTVSGDKLAEYIHRRTGKLATVVVPPPPKPKEEEEEKTNDDKPPPPPAAEADKKDEENKPAAEDGSSKLQNEAEGNANNKEAAAAAEEEAPEEEKAAAIANNKQQQEEDGSVVVEGFPPEEMMKRMHLYWPPYGHGYTSYYHHHHHQGGQAHPCANLHSWVPPPPPPPPPPPPVYYSSYVMLDRPPPPPPPPPPPQLFSDENPNACVIS >OGLUM03G41730.1 pep chromosome:ALNU02000000:3:37564911:37569547:-1 gene:OGLUM03G41730 transcript:OGLUM03G41730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MAMAAAVHRFLGVFPAPSTPTPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNVWFRGTETLPFRGTSGPVAQPCGTVSLSQPKERYRVARGHGPACGSCGTVALPRNRPAGWAVPRFGRVYLRKISVTDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDEEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCVEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >OGLUM03G41730.2 pep chromosome:ALNU02000000:3:37564911:37569547:-1 gene:OGLUM03G41730 transcript:OGLUM03G41730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17850) TAIR;Acc:AT1G17850] MAMAAAVHRFLGVFPAPSTPTPPPPPRQLSPRPHHIPPSLVRCCSSSPVCAATVAVAQPQEFVVVTFYKFVSIDDPRAEVSRHLHFLQGRDIHGRIYMNEQGINAQYSGPHKDAVAYADWLRKDHRFRDLLVQTSPSLCGHAFPRLKLRYKPSLVQLEGGCSHLPLVDPSMRATPLTPSEWRERLEARKCLDVSSSEAAGDSSGRRLLLLDVRNDYEWDIGHFQGAQRPNVDCFRSTSFGLSESEQEMDSSDPLNGIDKENTDILMYCTGGIRCDVYSTILRKKGFRNLYTLKGGVSNYLKEEGSAGWVGNLFVFDGRLSLPPATYKPGAGDDDDEEEEEGRNRSSSELGRCYACGSEVVELRHRNCANIDCNRLYLCCGRCVEELRGCCGEGCTAAPRLRPLLPSHQRYHKWHLYRHLDLGAPSSPS >OGLUM03G41740.1 pep chromosome:ALNU02000000:3:37569674:37572725:1 gene:OGLUM03G41740 transcript:OGLUM03G41740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFVVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >OGLUM03G41740.2 pep chromosome:ALNU02000000:3:37569674:37572725:1 gene:OGLUM03G41740 transcript:OGLUM03G41740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFVVRNGFSKIQCLRLCPEASNFTRIITKQAIASASESDAEHQHRAYMHLSYALLLDDPQDCIIRCIGASTTDNFPEETIQNTLVPTDWVAMMRPSYWSSAGHFDPAVPECLFYRLRSDLCLVQQINIQPFRGQPTHPPSFFLLLLLNTSITCLLHPAFFQYGDPIYSAKYVRFQMGYPKTPLPSQLLVSHDNEGQLAADDNYIWTYTSPQFPMLQESVLQSFKLPRAVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >OGLUM03G41740.3 pep chromosome:ALNU02000000:3:37569674:37572725:1 gene:OGLUM03G41740 transcript:OGLUM03G41740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPGPEEEKEEEEARFDFLEWIGPDTSAAVFTFLDHPADLARASAVSRSWRRFVLCIGGVVKIEFLGRVQKQEMDDLYYICISHVQIVGIPLPRELGVDPYKNGVVLKYYPDTRRSGVCHGESSGDDGRNSPSKWRNFTTRILHSSSARRLGWNQAILNRLFGAHDASEEEEEET >OGLUM03G41750.1 pep chromosome:ALNU02000000:3:37573021:37574943:1 gene:OGLUM03G41750 transcript:OGLUM03G41750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRLRRSASSWAAAVADHARSGRHDAALTVFRRVLAVHPATAAADELACSALLRCCDARLAYQIHAQACRRGLVASNPVLACSLLAFYAAAASSPTAAIPPACNLFDEMAHKDAVSYTAMISALVRAGAAHWRQALALYPCMLQAGAPPTQHTFAQLLSACASGHLHRQGTQLHAQLLRWGAGLNLVLKTALLHMYCNCGNMGYAHTVLHSTPQTDVVLWTAIITGYARSGDLQSALQMFRSMTRAAVLPNAFTYAALISACSSFRALQPGRQIHARLFKFGLEHDTSVCNALVDLYSKSSSRLLDLLHTFHAVDKPNVVSWTALIAGLACHGRDEEAFLAFSQMRLSGVLPNSFTVSTLLKGSSSSLAFLHARKIHGYILKTSFESLDAAVGNSLVDVYVRFARMDDAWAVATTMAFIRDRFTYTSLARGLNQMGLQQRTLEMFVRMFHEDVDIDGFSLASFLSSAASLASIETGKQLHSCSVKLGLSSDISVSNSLIDMYSKCKCMEDARSVFQSISEPKVVSWNALMSALVSNEYYNEALSAFEDMTLVGAKPDGITFSLMLFACNRSGLVDIGIKYFNSMGNLFGVLPQRSHYTLFLDMLGRSGRLTEAASTIDVIPIQPDLVNVQGSIGILKSL >OGLUM03G41760.1 pep chromosome:ALNU02000000:3:37576125:37577571:-1 gene:OGLUM03G41760 transcript:OGLUM03G41760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G41470) TAIR;Acc:AT5G41470] MMINSALTAASFQSPPTFIKLQVQLRPQKRRLQHQQQLLIVGNNGAITIGKQEFVLKPVQATLGPNSTGGRGGSPLPDVIQQFYSSLNEKDSKRLENLIAPDCIIDDNAYYKQLDIKSTQTYFRRLMDAMGKNFKFAIDEVSQGVEPTFAVMWHLEWNGKTIPFTKGCSFYICSRKEAALVIRKIHIFQESPVKPCKFSLEILNIATNLFDTFPNIAEGLLNNPEQAIQPFVRLYKSFVKPFIVPFLAYYTHFWTYLAKVLTMMLHLLYRIIKWYV >OGLUM03G41770.1 pep chromosome:ALNU02000000:3:37578175:37579437:-1 gene:OGLUM03G41770 transcript:OGLUM03G41770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLCFLFLLLQLLLFPRVAAIVLAATPVLLLAAFLLALVLVYSEPNNNNEPDHLIPIRIRSRNPHAHDHASSCAASGSSDDDDDAQDSDSESVSEPADDEKAAVWTTEDEKSIQNIGSLELERNAAVEKLMSSRSMHRYYAADRDLIDLDLDGDGHQLPPGSAPSMHRNPFFFHDDQQAAASTAKLFSRHESFRPYFVADRTQQPVVLESSGGGGSSSSSSSSSSASGDRAGQHMKQEAVADFSSSPKAMVVTVDAELPNPKSMVTVDVELISDSSDDDDDDIMSLPGQQITKVASSMSDDDDGESSFEVESITRQVNETLHAHAAAAAAAAAAAAREGREEKEEKNKLASIEEDERRERDVFSVSVPVPPANSATINGDGGGSPPPAATTAAPKAVVAAARYKTPSKKAVLGFFRK >OGLUM03G41780.1 pep chromosome:ALNU02000000:3:37582784:37589933:1 gene:OGLUM03G41780 transcript:OGLUM03G41780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPASLVSSPPPACCLQLHRLLRPAALLPSPPRLRLRLRPLRADSSPSPDAFAGWSDGDEQDEQDKSPGPFRGLLGPGLAGLFFLAGLTFAAISFRSNAANGPKAQIDTSSTNSQEAPYSTDNTCKEDGLKDAQVSLPSDSKGETSLDDEAHSSTDPLPVQVNATAEGSTEHETQHPLQNSELVPPDKYVPSEDAGQAHNLVASDGAESLVSPLSNSTEPSIAAYDSPDKLYGVGPSEGTPYVEDTLNCEITLPENQHLGETLTSDTMLGSGDASLIQEISDTAASSDAKDKDTEQNPELHRKNGISPSRMPDYTEYGHADQLLSFGSNDVSTEANKPGNGVETLASNQKEGADELENQNNLYESTTPDKSFASSGIPAPTLLSAALQVRTGQIMVPAAVDPAQASALAALQVLKVIEPDAQAGDLCTRREYARWLVVASNCLSRNTSSKVYPAMYIENVTELAFDDITPEDFDFPFIQGLAEAGLISSKLSRSDMNVPLDVDNLHNLFSPECPVSRQDLVSWKMALDKRQLPEVDKTVRNEKILILLSWYKRPAGCSDVKTFCGQSMYKASGYMDVDKINAAAWPALVADLDAGDQSITALAFGFTRLFQPDKPVTKGQVALALSTGDSADVVMEELARIEAEKIAEDAVNAHGELVAQVEKDLNATFERELTKEREKIETLEKLAEEARVELDKLRAERVEENNALIRGRASVESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKNRIEKLQKEIENDRQAVVQLQYELEVERKALSMARAWAEDEAKKAREHARALEEARNQWERHGIKVVVEGGLEDDASAGVTWANAGKEHQVDEAINRAGSLLEKLKSMSAEIKVRSCHSLERVIQHVRSFISILKQGAEEATQRFTDLGAAAALKAKKLSSEAQDNVYVFGSTIGDKSKRVVEDCKEGLEKFVHRFKTD >OGLUM03G41790.1 pep chromosome:ALNU02000000:3:37591174:37593249:-1 gene:OGLUM03G41790 transcript:OGLUM03G41790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAICDLLATNHTTIQDGGASKYSIKHDANGNTHVSDLTIVDVLSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLCLQRCRLQGSQFARYGSQHG >OGLUM04G00010.1 pep chromosome:ALNU02000000:4:80344:84017:1 gene:OGLUM04G00010 transcript:OGLUM04G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZG78] MSKLRWLIMAFLVCLLLLTPKDLEGLQLVGAIRNHLFWSTSSPLHHLPDLLEEESVQVNEMELWGDDDGRRRMMGEEVRRQAGAGRMSEVKMGGDRPLVAVMKKEKHGAKKKKDDDSSGMVVVGLSAACVALVTLVGICFCACRDSESSSSPYDLRDEKPLLSLNLSDGPSRKSCATTIDVSRLGALTAECEQHLHGGAGAGDHNTTNYNLRKPAGVGSMSMNKVSMQSQAMRMSSHEITTIAGAGRVENKVSTIAPSAAAAAVASAGGGQVPAAPPPPAGPPPPAPPPLPPSHHHHHGHHPPPPHPLPPGAGAGAGTGAPPPPPAHPAAPAPPPPAPSPSAAGAGSGPPPPPPPAAPAAPRPPGPGPGPPPPPGAAGRGGGGPPPPALPGGPRARGPPPFKKSPGAAAAAAQADPNKAKLKPFFWDKVTANPNQAMVWDQIKAGSFQFNEEMIESLFGAQSTEKKSTDAKKESGKEATQFVRILDPKKAQNLAISLKALSVSAEQVRAAVMEGHDLPPDLIQTLVRWSPTSDEELRLRLYAGEPAQLGPAEQFMRAIIDVPYLYQRLDALLFMAALPEEAAAVEQSFATLEVACEELRGSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAARAASGGGGGSSISSISSSDDLILLQSQSSIGSNSGRSSVDASSLEQEQDETERYRQLGLGVVSSLGDDLQNVRKAASFDADALTITVASLGHRLVKANEFLSTGMRSLEEDSGFQRRLASFVQQSQEQVTRLLEDEKRLRSLVRATVDYFHGSTGKDEGLRLFVVVRDFLGILDKVCREVKEQAAANAKAKKQQQPTPAPRSRQSSQSSFRDPRQQIQDRRAAALSRNNSSSSSSDSDD >OGLUM04G00020.1 pep chromosome:ALNU02000000:4:131397:138203:1 gene:OGLUM04G00020 transcript:OGLUM04G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHQMQKLIKRTPRLLSRGRNAKVSLEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQSTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKGTDGIKTK >OGLUM04G00020.2 pep chromosome:ALNU02000000:4:131397:138203:1 gene:OGLUM04G00020 transcript:OGLUM04G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSNTNTNTRSGGGKDKHDETSPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHQMQKLIKRTPRLLEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQSTEEVKGDVSPSIIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKGTDGIKTK >OGLUM04G00030.1 pep chromosome:ALNU02000000:4:140408:142051:-1 gene:OGLUM04G00030 transcript:OGLUM04G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMEVQVPLLGMGTTMGALALALVVVVVVHVAVNAFGRRRLPPSPASLPVIGHLHLLRPPVHRTFHELAARLGPLMHVRLGSTHCVVASSAEVAAELIRSHEAKISERPLTAVARQFAYESAGFAFAPYSPHWRFMKRLCMSELLGPRTVEQLRPVRRAGLVSLLRHVLSQPEAEAVDLTRELIRMSNTSIIRMAASTVPGSVTEEAQELVKVVAELVGAFNADDYIALCRGWDLQGLGRRAADVHKRFDALLEEMIRHKEEARMRKKTDTDVGSKDLLDILLDKAEDGAAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMVAELMNHPEALRKVREEIEAVVGRDRIAGEGDLPRLPYLQAAYKETLRLRPAAPIAHRQSTEEIQIRGFRVPAQTAVFINVWAIGRDPAYWEEPLEFRPERFLAGGGGEGVEPRGQHFQFMPFGSGRRGCPGMGLALQSVPAVVAALLQCFDWQCMDNKLIDMEEADGLVCARKHRLLLHAHPRLHPFPPLL >OGLUM04G00040.1 pep chromosome:ALNU02000000:4:160860:167296:-1 gene:OGLUM04G00040 transcript:OGLUM04G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRRKKKGRIKKREESLPERGGHIETASTYRTGYVVAKKRRFSSPTNPQPLKIKSTGYLQQLRYIHTYKRGDGDGGGEREGPEEKEERKIERMENWKRAGQLQIKYLSMYMGGTHIGPAL >OGLUM04G00050.1 pep chromosome:ALNU02000000:4:161010:165187:1 gene:OGLUM04G00050 transcript:OGLUM04G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MHTAVVFVKKHSVIGVVEASRQAGRQAGKGRGRKKGRIEEAEAVGLETLAYLLDAMVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >OGLUM04G00050.2 pep chromosome:ALNU02000000:4:161392:165187:1 gene:OGLUM04G00050 transcript:OGLUM04G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELMO/CED-12 family protein [Source:Projected from Arabidopsis thaliana (AT1G03620) TAIR;Acc:AT1G03620] MHVFDAMVGNRIWFGGLFTSSGRRRQINAEKTFELSPVQEQRLQKLKERLNIPYDQTRRDHQEALRALWSASFPDAELSSLISEQWKDMGWQGPNPSTDFRGCGFVGLENLLFFATTYPASYQRLLLKKQGMRATWEYPFAVAGVNVSYMLIQLLELNAERPKSLPGINFIKDVLEATKQQLERELSLEDVNGIRDIPAYNLLYK >OGLUM04G00060.1 pep chromosome:ALNU02000000:4:167421:170596:-1 gene:OGLUM04G00060 transcript:OGLUM04G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRALCTSVRRDPEQQEVKRRGEAPSPRCGGGSRLGGFFSAISSSHSSSNPATTTPTLRCRTKPKPQSSSSDQQQQLPSSAPAPKKRMPLLQALSVPSSPRSPSRFALLKASILPNKSRCGVCSHGVKTGAAAVFTAECSHSFHFPCIAAHAHALAAATALSCPVCAAPWRQAPFINKRTTTTDDHKRKSYDDDEPLLAPKAAAGAFNPIPEDDEDDATEFRGFFPARPRSGLAVTLAPDAALVSAGRRHGKYVVAVRVKAPALRSSPSTRAPIDLVTVLDVSQGMMGDKLHMLKRGMRLVIASLGPADRLAIVAFSGAAKRLLPLRRMTRQGQRSARQIVDRLVVCAAAQGQEQPQAVCVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQQDAIRRPPAAPPATRFTHVEIPIGPGEEPARSALVAEEDDDQFSEHAFAKCLGGLVSVVMQEVQLELAFPTGEITAVYSCGHGQQAVALGGGGGGAAVSVSLGEMYAEEERELLVELRAPLSQSHPHSLSVRCSYREPASQETVRGAEQQLVVPALHGGSSSRRLHDLFVATRAVAESRRLAELNDYATAIHLLSSARAVVVQSAEQGLVGILDTELSDMRWRRGQSAGRRRGGESEETPVGTPRARGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >OGLUM04G00070.1 pep chromosome:ALNU02000000:4:174411:175411:1 gene:OGLUM04G00070 transcript:OGLUM04G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLLIHGKKKNAQNLPICRNPLPFFLRARGGTVGHCCPSPPYVGGVSIVNLGRLQPPSASILEGRRRGPLVFPGLPLIPYPAWSWVLAFVPRTNVG >OGLUM04G00080.1 pep chromosome:ALNU02000000:4:222572:232243:1 gene:OGLUM04G00080 transcript:OGLUM04G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLQHSHLHTVFAAATTTIGVGQFPRRRAKFPTSLVLLPRCTSSSSSGAPMDANPPTTAMYPLHRCKTIYLVRHAQGVHNVEGEKDHSAYMSPQLFDAHLTPLGWNQVDCLREHVKKSGLAQKIELVITSPLLRTMQTAVGVFGGENSVDGVSAPPLMVENAGHSSRPAISSLNCPPFLAFEACREHLIENDEDVLWEPNVREANSSVAARGMKFIDWLWTREEKEIAIVSHSGFLYHTLSMYSRECHPTIREEVGKHFANCELRSMVLVDTSMLGSDSPSYNYPGSIPAGLDLPSDAAP >OGLUM04G00090.1 pep chromosome:ALNU02000000:4:228239:231701:-1 gene:OGLUM04G00090 transcript:OGLUM04G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSTQLTDAVWKNFFQGKLTYMHWNKGGEAMAPIESTTGGTLLVRKLVNLSPTQVFVGDVVLLKDPEKSDDLIVRRLAALEGYEMVSNDEKDEPFVLDKDQCWVLADNQSLKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSRMAMNQDSPVLAVELDVEEMAKNNKV >OGLUM04G00100.1 pep chromosome:ALNU02000000:4:233320:242137:-1 gene:OGLUM04G00100 transcript:OGLUM04G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEELCLKKRKRPSAFHDILNA >OGLUM04G00100.2 pep chromosome:ALNU02000000:4:233701:242137:-1 gene:OGLUM04G00100 transcript:OGLUM04G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid amide hydrolase [Source:Projected from Arabidopsis thaliana (AT5G64440) TAIR;Acc:AT5G64440] MGKPPRAMTPVEEVDLSAVRYQSPSLQAPHLTGFSLRAFVWLMESPLFGRLLTSVLKSQNNITRMLQDTVIPERPMYLPEYPPQEPEQGVLLLGDDRDPVDRVEEALHCLPPYDPSLRWPAGDKPPFLYWKIRDFAHAYRSGITTPSVVAEHIIAGVEEWSNKKPPMPMLVYFNADDLRKQAEASTKRFQQGNPISILDGIFIAIKDDIDCFPYPSKGATTFFDKIRSVEKDAVCVARLRKCGVLFIGKANMHELGLGVTGNNPNYGTARNPHSIDRYTGGSSSGPAALVSSGLCSAAIGTDGGGSVRIPSSLCGIIGLKTTYGRTDMTGALCDCGTVEVASPLAASVEDALLVYSAIAGSRPMDKLTLRPSPLCVPNLVSPDNNNILGSVKIGKYTEWFHDVSDRDISNTCEDALNLLCSSFGCQIEEIILPELEEMRTAHVVSIGTESFCDLNPHYRAGKRTEFTLDTRTSLALFGSFTSTDYVASQRIRRRIMYYHNEAFKKVDVIATPTTGITAPEIPQSSLKLGESNYVVSAYLMRFVIAGNLLGLPAITVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAIEVLCNYIINIKYRVWVSPAASLDWTVLSMNPDEYLNISKTVHYIWPFHCKFTSNSCENLYIFL >OGLUM04G00110.1 pep chromosome:ALNU02000000:4:250180:255479:1 gene:OGLUM04G00110 transcript:OGLUM04G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHPLPPLGADSVVKCAGSPSSPIGVIYNFEIPVTPTPSSPYFTHRRKPGSAEKLIETYRSSLIHRWFATVYITPLLGASFVINFIAEPVPDCQSSSSVSLTGSGRELREIRIACTKFTEITAVRF >OGLUM04G00120.1 pep chromosome:ALNU02000000:4:256381:263456:-1 gene:OGLUM04G00120 transcript:OGLUM04G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYIFLGLLLFSLQAPPCPAATDTLKAGQVLSAGDKLVSRNGKFALGFFNPSTNISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPDLKLTQLKISQDGNLAIVNHANESIIWSTRIVNRTEASMNTSVLLHDSGNLVIQSTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGPGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNSSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKQSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMENFTRKSPRDWDLGDRTGGCSRISPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGMFLLIWRNRFEWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGSVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLNWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFAPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFAINKLHEGDVRNLVDPQLCDDFSLEEAERVCKVACWCIQDDEHDRPTMSEVVRVLEGIQELEMPPMPRLLAALTKCSDVAGADEIVNVHADDQPRSSAHRKLPAILVSMRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTSKSSDTSTNSPGWYLGIWFNKIPVFTTVWVANRERPITIPELNLTQLKFSSDGNLVIFNHATESIIWSTRIIIDSHRTQETSSTNTSVVLLNTGNLVIESTTDVVLWESFDYPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTSSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKSKRKPIVGVVTTVSIISLVLLIMLMVLVMVWRNRFKWCGVPLHSSQGSSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQSDKRLLVYEHMLNGSLDTHLFQSNATILKWSTRYQITIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSYGIVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >OGLUM04G00120.2 pep chromosome:ALNU02000000:4:256381:263456:-1 gene:OGLUM04G00120 transcript:OGLUM04G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYIFLGLLLFSLQAPPCPAATDTLKAGQVLSAGDKLVSRNGKFALGFFNPSTNISKSSDNISSSWYIGIWFNKIPVFTVVWVANRERSIAEPDLKLTQLKISQDGNLAIVNHANESIIWSTRIVNRTEASMNTSVLLHDSGNLVIQSTSNAVLWQSFDYPTDVALPNAKIGWNKVTGLNRVGVSKKSLIDMGPGSYSVQLYTNGTRRVTLEHRNPSIEYWYWSPDESGMKIPALKQLLYMNPQTRGLVTPAYVNSSEEEYYSYNSSDESSSTFLLLDINGQIKFNVWSQDKQSWQSLYTQPVDPCRSYDTCGPFTICNGNSQPFCDCMENFTRKSPRDWDLGDRTGGCSRISPLDCTRNTSSTDIFHPLIHVTLPRNPQTIQEATTQSECAQACLSSCSCTAYSYQNTSTCSIWHDELFSVNQDDGIEIHSQDVLYLRLAAKDLQSLRNNKRKPNVAVVIAASVIGFVLLMVGMFLLIWRNRFEWCGAPLHDGEDSSGIKAFRYNDLVHATKNFSEKLGAGGFGSVFKGMLIDLTTIAVKRLDGDRQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGRKRLLVYEHMLNGSLDAHLFQSNAGTLNWSIRYHIALGVARGLAYLHQSCHECIIHCDIKPQNILLDASFAPKIADFGMAAFVGRDFSRILTTFRGTVGYLAPEWISGVAVTPKVDVYSFGMVLLEIISGRRNSPNEYTSDNYHVSYFPVQAINKLHEGDVRNLVDPQLCDDFSLEEAERVCKVACWCIQDDEHDRPTMSEVVRVLEGIQELEMPPMPRLLAALTKCSDVAGADEIVNVHADDQPRSSAHRKLPAILVSMRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTTKDLQSLSKSKRKPIVGVVTTVSIISLVLLIMLMVLVMVWRNRFKWCGVPLHSSQGSSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQSDKRLLVYEHMLNGSLDTHLFQSNATILKWSTRYQITIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSYGIVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >OGLUM04G00120.3 pep chromosome:ALNU02000000:4:256381:263456:-1 gene:OGLUM04G00120 transcript:OGLUM04G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYIFLGLLLFSLQAPPCPAATDTLKAGQVLSAGDKLVSRNGKFALGFFNPSTNITGADEIVNVHADDQPRSSAHRKLPAILVSMRPQSYAFLGLVLLLFSLLPLAPCSAANLNNDTLLAGQALAVGDKLISNNGKFTLGFFQPDAGTSKSSDTSTNSPGWYLGIWFNKIPVFTTVWVANRERPITIPELNLTQLKFSSDGNLVIFNHATESIIWSTRIIIDSHRTQETSSTNTSVVLLNTGNLVIESTTDVVLWESFDYPTDVVLPGAKFGWNKITGLNRQCISKKSLIDPGLGSYSVELDTNGTKGVILMLRNPPKVYWYGLTSPTLIPELRSLLAMDPRTRGLIIPTYVDNSQEEYYMYTSSNESSSSFLSLDMSGQIMLNVWSEANQSWQIIYAQPADPCNPFATCGPFTICNGNSNPVCECMESFTRKSSQDWDLGDRTGGCSRNTPLDCTISGNRTSSADMFHPIAHVKLPYDSESIQDATTQSKCAQACLSSCSCTAYSYQNNICSVWHGDLFSVNQNDGIENHFDDVLYLRLAAKDLQSLSKSKRKPIVGVVTTVSIISLVLLIMLMVLVMVWRNRFKWCGVPLHSSQGSSGIIAFRYSDLDHATKNFSEKLGEGGFGSVFKGVLRDLTVVAVKRLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCQSDKRLLVYEHMLNGSLDTHLFQSNATILKWSTRYQITIGVARGLSYLHQSCHECIIHCDIKPQNILLDESFTPKIADFGMAVFVGRDFSRVLTTFRGTVGYLAPEWLSGVAITPKVDVYSYGIVLLEIISGMRSLPNVHSSNSHHAAYFPVQAISKLHEGDVQSLVDPRLSGDFNLEEAERVCKVACWCIQDNEFDRPTMGEVVLVLEGLQEFDMPPMPRLLAAITRSSNVAEM >OGLUM04G00130.1 pep chromosome:ALNU02000000:4:273286:276288:-1 gene:OGLUM04G00130 transcript:OGLUM04G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQEYIKQGGQQLVAAILGIGTAVPPYVLPQSSFPDYYFDISNSNHLLDLKAKFADICEKTMIDKRHVHMSDEFLRSNPSVAAYNSPSINVRQNLTDVTVPQLGAAAARLAIADWGRPACEITHLVMCTTVSGCMPGADFEVVKLLGLPLTTKRCMMYHIGCHGGGTALRLAKDLAENNPGGRVLVVCSEVVSMVFRGPCESHMGNLVGQALFGDAAGAVVVGADPVEANGERTLFEMVSAWQDIIPETEEMVVAKLREEGLVYNLHRDVAARVAASMESLVKKAMVEKDWNEEVFWLVHPGGRDILDRVVLTLGLRDDKVAVCREVMRQHGNTLSSCVIVAMEEMRRRSAERGLSTAGEGLEWGLLFGFGPGLTVETILLRAPPCNQAQAV >OGLUM04G00140.1 pep chromosome:ALNU02000000:4:304428:304839:-1 gene:OGLUM04G00140 transcript:OGLUM04G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPTRRGLSHRCPSSLELTLGDDVDSDHSRGDHGVAGGSGCRSEEVERPGGQHDGEDDGRNPPSLSPTKPDPPLFRHRHLSRSGHYDVGSEIGGKKVCDISSALICRHESPPLAPPPRVAPKSFACLN >OGLUM04G00150.1 pep chromosome:ALNU02000000:4:305660:308194:1 gene:OGLUM04G00150 transcript:OGLUM04G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMTPAADGDDDETTCMRALELIFTFVVPMTLKATIKLGLLDALTGGGRALTADELVSAAQLPAEAASSVDRMLRLLASLDVVKCASTDTGGEAAVRRYTPAPVCRWFAGERSLAPLAMFLLDDDYLSTWNQLPAAVAGGGGQVAFEKARGMPMFEYMGTNRRLNTLFNQAMVQQSTVVIGKLLERFQGFDGVSVLVDVGGGTGATLEMITSRYKNITGVNFDLPHVIAQAPSLPGVKHIAGNMFESVPNGDAIFLKSMLHLHNDEDCIKILKKCHQALTHNGKVIAVEILLPAIPEPVPTAQNPFRMDMIMLNNHWGGKERTEPEFAKLAVECGYTGVFRATYIFANYWALEFSK >OGLUM04G00160.1 pep chromosome:ALNU02000000:4:310811:319184:1 gene:OGLUM04G00160 transcript:OGLUM04G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT2G28450) TAIR;Acc:AT2G28450] MEMEMKDNKAPSPPPPMESDIAGEKRKREDDASSSAVLDAANNTGGAQHPMWKTSLCSFFRRRAASSADGCSHGDSCRYAHSEEELRPRPDGTWDPTSDRAKKLRKVAADEVEEEVVTIDNKALDKCLVGLPRGWANDRLKTFLQDKARTNYSSILPPALLLGISYATAKKKKGMTVGFVTFENIEQLKNAIEVLTENQSGGKEIKIADANRRSHQKLHTEKPVSDNGVATENGTSVDVPPGETSAPEAAISNKKSVRDAVTPLAHMSYNDQLEHKKNSVAQILKRLTRNARKACPTGIPLPDWVFKSKEIGGLPCKLEGILESPVINGYRNKCEFSVGFSLEGKKTVGFMLGNFREGVTAVEEPVDCPNVSEISCKYALMFQDFLQSSSLPVWNRVDNCGFWRQFTVREGRCRAQAVAQNAETQISEVMLIVQVCSTGVDDALMKDEFDKLTVALQQGAATCSPPLPLTTIVVQDHKGISNAAPADCPSIPLLVPKVDQSEGTVDKTRIHDHIGNLWFSISPTAFFQVNTLAAERLYTLAGDWANLNSGTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAERNALINGVSNCRFVCGKAEDVMGSLLTEYLGSPQQDIPVSEGAVSGTVKDEEVIDGSKNSSENLDSSMQKNDNGESQQLGDAPADSSSSAIDEIKGNSNDRVGNGLEGSHDEYNEVAGEDIHGEASLINESVDLKVSDCLEDRKTSDDGSSISNNDVTAATACQFEDIVAIVDPPRVGLHPTVIKALRTHPRIRRLVYISCNPDSLVANAIELCTPSSEKQEKNKGNRGWRTMSSAGLARQRTKSMPNSEPFVPKRAMAVDLFPHTSHCEMVMLFER >OGLUM04G00170.1 pep chromosome:ALNU02000000:4:322954:326506:1 gene:OGLUM04G00170 transcript:OGLUM04G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLHLQGTSTATTVPLQGDPASDHHPHLSIDIPPAAASMSPAPTQAAADITPTPTTSILSTKASTPAGSCSSRSTSVAPKPQRSSSFMLRQTVKSLLPVGSFKSSVKFFNARISRTSSLPVTDVSQEQADKTWTTHAVDKAGHMYRSQSLPMNMKKLNNGKSFKRMNSLGGVYRVVPSTPSVPVTSSNVIPDIVPSEPGDEDGEDIAEEEAVCRICMVELSEGSDTLKLECSCKGELALAHKHCAMKWFTMKGTRTCEVCNEDVQNLPVTLVRVQSMQQPELQTNPANASRYDRLRMWQGAPILVIVSILAYFCFLEQLLVARDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWMYATIQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEIIRWRAARAAAAPPAQTRHRRRRHGRRQQQPPPAQPAASSAAVADVENPPV >OGLUM04G00180.1 pep chromosome:ALNU02000000:4:336128:336520:1 gene:OGLUM04G00180 transcript:OGLUM04G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSNDTVNVASEVSSILSKLNDHLAGADEAKEPAGTSIITLAGENNGATMEVAGDVEDLVVVEAGGDEDDEEEEESVVSAYTNSNYQALNNSVLVAGSCAVKDPGVHVVIVEHVDEIRDYDDDVRDE >OGLUM04G00190.1 pep chromosome:ALNU02000000:4:343097:344814:1 gene:OGLUM04G00190 transcript:OGLUM04G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1295) [Source:Projected from Arabidopsis thaliana (AT2G46890) TAIR;Acc:AT2G46890] MAAGVKNMVIAILVPLPSLLFFFSFVRPTTTPSPVSSWCAAHPLLVANLLFLFNVDLLFWLIGNLLSNHWLIDLYWTVIPVMLLHYYRAHPAAVADTARSAVAVALTWVWSARLTHNYLRREGWQWGKREDWRFAEMRGQYGRAWWWMSFFAVYLSQQVFLIGICLPMYAIHSTTQPWGAWDVVATMACLAGIVIAHFADTQLHRFVTTNEKLKKVGEPTVPTMEAGLWRYSRHPNYFGEQLWWWGLYLFAWNIGQPWMVVGPLVNSLCLGYVTVLVERRMVKQEHRAEAYKLYQKRTSVWIPWFRKPVPQPYNHKDSSNQNSLKISHS >OGLUM04G00200.1 pep chromosome:ALNU02000000:4:347088:349665:-1 gene:OGLUM04G00200 transcript:OGLUM04G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAEVESSPAATGKLRYNSPLVQVSLIGLVCFCCPGMFNALTGLGGGGQLDHSTADNANTALYSCFAVFGVLGGAAHNLLGPRVTLLAGALTYPLYAASFLYYNHHPSRQAFPVTAGALLGVGAGLLWAAQGAIMTSYPPPSRRGSYISLFWCLFNLGGVLGGLLPFSLNYHRAADAASVNDATYIAFMAFMLLGAGLALLLLPASRIVRDDGSRATRMSYSSVSTEGWEILKLFANWRMLLVLPAAWASNFFYTYQFNNVNGRLFTLRTKGLNNVFYWGAQMLGSAAIGYFLDFGFGSASRRRRGLCGVAAVAVLGTAIWAGGLANQLRYADGNWGERLIDFKDGRRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDTQILSRYVGFYKGVQSAGAAVAWQIDTHKTSLISQLIVNWALTTVSYPLLALLVFLAVKEEDSSVSSVEDGKEKDSKLSAPTSFH >OGLUM04G00210.1 pep chromosome:ALNU02000000:4:353670:356394:-1 gene:OGLUM04G00210 transcript:OGLUM04G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25280) TAIR;Acc:AT4G25280] MWRRQVGALLLRHRSMPSSTLRHHHPLPVPDQSPPLASNLLLRLFTSQSGEGGDGATKPFIAFVLGGPGSGKGTQCVRIASDFGFAHLSAGDLLRSEISTGSEKGELILNIIKEGKIVPSEITVELIRKAMESSDAKRVLIDGFPRCEENRIAFERITGTEPDLVIFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYTSRGKVHKINATGTEEEIFGAVHKLFSSLR >OGLUM04G00220.1 pep chromosome:ALNU02000000:4:359462:361463:-1 gene:OGLUM04G00220 transcript:OGLUM04G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLGLGAIFQSGCPLLPPRPAVRRAPTRRRAVATKISCIGWDPEGVLGPPQGGHIARLEFRRRLERDSDAREAFERQVREEHERRRQEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNQPFFDYIQREIAQIKFSITRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVSAKERLTKILQSSDKKSTLLEMVERNELNMSILTLLDENIASAKTNNQEEAVAFMENVRSSILKYITVCLNI >OGLUM04G00230.1 pep chromosome:ALNU02000000:4:362996:363512:1 gene:OGLUM04G00230 transcript:OGLUM04G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMYPRGDGHVIFEVLAYGRHSQPPPAYVSSSDWARCSIDSRTGTGTPSRRLPTCSTRATNRGAAVKHPYNVTTTAVVGDSCLWISTEGVGTFAFDTVRDTSSKQGEWALPFRGNAEHVAEHDLWFGLSRHGDKLCALRQHPPPFDAELPAGGLQRKRERGGGGGGRERR >OGLUM04G00250.1 pep chromosome:ALNU02000000:4:373644:375990:1 gene:OGLUM04G00250 transcript:OGLUM04G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETVRSAIVGEAIRGIFSGVISKYEDNSNGGDNIERLEMAQIKLEAAIKTSNKWQITDTPLLRWQKKLKRASEECDDTLRKCKQRALEEKEIEVQVKQSSFPRRVAHATKSFIVSFIGHNNDDYSSSAIVRRFERIADSADSFLRFVQLGGRPRRYLFFDPLIAHLFAGKSLRYQTLHDGSQYHFFSIRPMSFEERGLEAMLFFVYEDCKVPKNSFRLGFILRLSESTDVMGITVKCLQSVTPHFKSTAEIVVRELTQLPTQDFSWLPPYHEYGSMEHWDNVQTTLTQWFRPDPLCCSKGYIPACSSSSYTKKYGTCSLEKFPLLKLWFLFMPHDSVEDLEPTNAAESYALEAIDGEKQHKGHVDVHPHQLDEMLLPKAINYLYHNAEATTYQMYWKPKHGSAHLSVEKTSMATPPQARRTTRRQGRMNKIRGLQMQEQIKNGQCWKQVQRLFSVLQMVSVIVKFHSSFIHPSCSFPVCIKMVAIDGLDHALLDRSGVHAGPVIRTLYVQQHVAMSALHGKDRDMQQKVTLLWPYKLNQISAFSGCWLAQYEPEMPLILSLQVCYGTSFTSKFNQELNLEAYILVYKMHPSYTLLSPI >OGLUM04G00260.1 pep chromosome:ALNU02000000:4:378053:378912:-1 gene:OGLUM04G00260 transcript:OGLUM04G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >OGLUM04G00270.1 pep chromosome:ALNU02000000:4:384537:396343:1 gene:OGLUM04G00270 transcript:OGLUM04G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MVVGSIEIPFSIGCLADIPKVSSIALLQIPLPSSPRFFLSTTPKTLFSSSLHVPIRSLFTNVVEDIDDISPPRLVVDLNLETMILLVDLDGRGARYWWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >OGLUM04G00270.2 pep chromosome:ALNU02000000:4:384537:396343:1 gene:OGLUM04G00270 transcript:OGLUM04G00270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MVVGSIEIPFSIGCLADIPKVSSIALLQIPLPSSPRFFLSTTPKTLFSSSLHVPIRSLFTNVVEDIDDISPPRLVVDLNLETMILLVDLDGRGARYWWIHHVRRLSAAKVSADALERGQSRVIDASLTLIRERAKLKAELLRALGGVKASACLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMNVVSESVKTVMEEDPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNIYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSKDREKLESLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGDVVAGDVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >OGLUM04G00270.3 pep chromosome:ALNU02000000:4:384899:396343:1 gene:OGLUM04G00270 transcript:OGLUM04G00270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MIVLYYYYIESTLPKKRVIFYEVQVEWCGGGGAGGKSVSTMASPSGAGKPPAVLLLRPVDPPFAVALRERYRVLDLLSSGQPLPAFLAAAAAAPDPPRAAVVMGGGSIRADAALFDAVPSLRCVVSTAAGVDHIDLAECARRGVVVANSGTVYSGDVADHAVGMVIDVMRRVSAAERYVRRGLWPVQGDYPLGSKVSGKRVGIIGLGNIGSLIAKRLEAFGCVISYNSRNPKRSLPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVVVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPVMLP >OGLUM04G00270.4 pep chromosome:ALNU02000000:4:384899:396343:1 gene:OGLUM04G00270 transcript:OGLUM04G00270.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:arginase [Source:Projected from Arabidopsis thaliana (AT4G08900) TAIR;Acc:AT4G08900] MIVLYYYYIESTLPKKRVIFYEVQVEWCGGGGAGGKSVSTMASPSGAGKPPAVLLLRPVDPPFAVALRERYRVLDLLSSGQPLPAFLAAAAAAPDPPRAAVVMGGGSIRADAALFDAVPSLRCVVSTAAGVDHIDLAECARRGVVVANSGTVYSGDVADHAVGMVIDVMRRVSAAERYVRRGLWPVQGDYPLGSKVSGKRVGIIGLGNIGSLIAKRLEAFGCVISYNSRNPKRSLPYTYYADVRALAADSDVLVVSCALNSETRHIVGGEVLDALGEGGVVVNVGRGANVDEAALVRALREGRIAGAGLDVFEGEPKVSPELREMENVVLTPHVAVWTAESRSDLRDHTVANLDAFFSGDPLLTPVMLP >OGLUM04G00280.1 pep chromosome:ALNU02000000:4:400634:402352:-1 gene:OGLUM04G00280 transcript:OGLUM04G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSYLIFAPGAGCVGRPPNSSSSHVAVVTAASPPSSSLATPGEAGLQAPRNSLDLLDADLLRRDIQIGVQIEPAFDALARPRPSAPTSEAETPRTPSLVARLMGIDGLPDSPSPATSSNSKPREKKKRVIPESISLRQPLRDLSRSLPDTPRASTSSVRPAAAGAPTWDVVDHPRLSLQVLKDNVLDRARQYMSMPTSPTSLSKKKKTRSRRDATAAADGRSSKENAVREIVRQARETVTNRKSRKNAAAAAIGKENASPVHHHHQSGKENAPPAAKQAAAPPTRAPLAEQQPHAPRLPLQPRPAPPPPPPQQQRAKPSRPPPPPPPLDPPPRAAAPPAKCKRPDGCERFATRIKKPAPPPILPAQPSPTSSTDVRDIVVSGERKITSSTPAVTAPPATVEEDPEYVYLRAVLERGGFMRARAAALKGHSVETPVDPLVFHLLELELPADEARLGPLRHRWNRKLLFQLTQEMLAEQLLGLDPTSPSTSSGAALVARLWRRARSFPAADCRVVEDILALVAADVEAAARARRVVERRLVAEEGEDVAEEVAERVLDALLDAEIAAVAGGE >OGLUM04G00290.1 pep chromosome:ALNU02000000:4:431852:433396:-1 gene:OGLUM04G00290 transcript:OGLUM04G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVAAPPATGGKRPVLLLRRINDRLAAELRSRFRVLNFYESGAPLLAFLAAAAAGPDPPLAAVVVAGGAIQVNAAFLDAVPSLGCVVTTGAGVDHIDLAECARRGVAVAGAGTVFSTDVADHAVGLLVDVLRRISASDRYVRRGLWAARGDYPLGSKLSGKHVGIIGLGSIGSLIAKRLQAFGCTISYNSRRPKDSVSYNYFPDVTDLAAASDVLIVACALNDETRHIVDSSVLEALGKDGVVVNIARGGIVDEAELIRALKEGRIAGAGLDVFEKEPDVPAELLSMDNVVLTAHEAVFTTESNWDLADLMIANLEAFFSGGPLLTPVLPK >OGLUM04G00300.1 pep chromosome:ALNU02000000:4:434602:436896:1 gene:OGLUM04G00300 transcript:OGLUM04G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPVLLLCRLFPGTFTDVAHRFRLLDFYASALPIHAFLAAVAAHADPPRVVLVFGGGPIPVGAELFDAVPSLRCIITVSAGTNHIDLRECARRGVQVANAGGIYSTDVADYAVGLLLDVLRHVSAGDRFVRRGLCPEQRGGDFLPLGSKIGGRRVGIIGLGSIGSAIARRLEAFGCVVSYHNRRRREDVAYAYFPTATDLAASSDVLVVACALTAETRRIVDRGVLDALGERGVVVNVARGANVDEAELVRALAEGRVAGAGLEVFDDEPNVPPELWAMDNVVLTPHQAIFTPESMADLSRVVLANLDAFFAGEPLLTRVKHRGGIPNPLPDCVPAVYDAIKSVFQKTIDHSLTWCW >OGLUM04G00300.2 pep chromosome:ALNU02000000:4:436519:440359:1 gene:OGLUM04G00300 transcript:OGLUM04G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSPDAGKPLVILPRPLYPEFAAALDGRFRFVLAADADEGNAAEARAVLVPALTPVSADLVARLPKLEIVVATSTGVDHIDLDACRRRGISVTNAGEVFSPDVADYAVGLVVAVLRRVAAAEAYLRRGRWAADGDYPLATKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >OGLUM04G00300.3 pep chromosome:ALNU02000000:4:438609:440359:1 gene:OGLUM04G00300 transcript:OGLUM04G00300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATQDGSSSKPIVLLADPLIPEFEQELAPSYRLLPAADADEAAAASARALLTVDLPAVTAAQIDALPALELVVASSAGVDHINLAACRRRGIAVTNAQNAFSADAADYAVGLLVAVLRRVAAADAYVRRGAWAAAAGDYPLASKVSGKRVGIVGLGSIGGLVARRLAAFGCVIAYNSRSPKASAPYKFYPSVRELAAESDVLVLSCALTEETRRMVGREVMEALGKGGVLVNVGRGGLVDEAELVRCLREGVLGGAGLDVYENEPEVPPELWGMDNVVLSDHRAVITPESIQGVVDVVKANLDAFFSGKPLVSQVQL >OGLUM04G00300.4 pep chromosome:ALNU02000000:4:436519:438915:1 gene:OGLUM04G00300 transcript:OGLUM04G00300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSPDAGKPLVILPRPLYPEFAAALDGRFRFVLAADADEGNAAEARAVLVPALTPVSADLVARLPKLEIVVATSTGVDHIDLDACRRRGISVTNAGEVFSPDVADYAVGLVVAVLRRVAAAEAYLRRGRWAADGDYPLATKK >OGLUM04G00310.1 pep chromosome:ALNU02000000:4:448334:450205:1 gene:OGLUM04G00310 transcript:OGLUM04G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZGB7] MAKKNYGQVYNILGWGDPYFTVNSHGHLAVKPHGRDTMSGQELDVHSVVHKALATTITTNDGDKKPQFPMILRFPDVLKNRLDSLHAAFHGAVESTGYASRYQGVFPIKVNQNKAVVQDLVTFGHGYSYGLEAGSKPELLIAMSCLAKAKPGAYLVCNGYKDADYVALALSARAMGLNAIIVLEMEEELDIVVEQSARLGVEPVIGVRAKLLTKIPGHFGSTAGKHGKFGMLADKIYEVAGKLKKMGKLHWLKLLHYHVGSMIPTTDIVYNAAAEAAGIYCALVKEHGATGMTTLDCGGGLGVDYDGTRSGSSDMSVAYGLEQYASSIVQAVRLTCDDNGVPHPVLCTESGRAMASHHSMIILEALSAIPEPQDEEDTHHRLLSKIQDLSSKQPRTAHTVNGGGGVDAMHSHAVELKKHGIEMYKLAKKLSKRVTGDANGIYNYHMNLSVFSLVPDFWGIGQLFPMMPVSRLNEKPTINGTLVDITCDSDGKVEKFIRDAVTLPLHPLDDAAAEHGGYYVAALLSGAYQEALACKHNLFSGPTLVRVESAGGGGAFKIVSVELGPTAEEVIGTMRYDVKNDISDVIEKVATENGVWPMVEPLMKKGLTTMPYLNDYKPPKTTF >OGLUM04G00320.1 pep chromosome:ALNU02000000:4:454686:457674:-1 gene:OGLUM04G00320 transcript:OGLUM04G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFRLPLAVVLLLAIICVLLASPSCHADDFTATMTNEHEKEHRLMMMMGRFHRWMATHNRSYASADEKLRRFEVYRSNMEFIEATNRNGNLTFDLGETPFTDLTHEEFLATYTGGVRLPSERRGMQDVSDEEDAVITTSAGYVASAGAGRRTAAVPESVDWRKKGAVTPAKHQGQCAACWAFAAVAAIESLHKIKGGDLISLSEQELVDCDDTGEATCSKGYSDDAFLWVSKNKGIASDLIYPYVGHKESCKKQLLGVHNATVRGVVTLPENREDLIMAAVARQPVAVVFDAGDPLFQNYRGNGVYKGGTGCSTKVNHALTIVGYGTNHPDTGENYWIAKNSYGNLWGDNGFVYLAKDTADRTGVCGLAIWPTFPTIR >OGLUM04G00330.1 pep chromosome:ALNU02000000:4:462242:462724:-1 gene:OGLUM04G00330 transcript:OGLUM04G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLFLSSSSTTSNSFTASLAIVGSGKAATTPATTTTGIALAVASISLSTICCAVRHHLPRRELLRARHPPSPAALARTLTPPNCAGTTSPPALPAALCRTSSCTRPRSAVPAEAPHRPAPHRTVTGALPLPPAHHLPSCSGRSGLPGLAPAHDRGTPS >OGLUM04G00340.1 pep chromosome:ALNU02000000:4:473723:476218:1 gene:OGLUM04G00340 transcript:OGLUM04G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 90.1 [Source:Projected from Arabidopsis thaliana (AT5G52640) TAIR;Acc:AT5G52640] MAAADVQMGGAAAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKPSKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWSEKTTEKEISDDEDDDIDDSKGKEKEGDIEEVKDKKKKKKVKEVSHEWVQINKQKPIWLRKPEEISREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEWLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNVVKKCIEMFFEIADNKEDYAKFYEAFSKNLKLGIHEDSQNRGKLADLLRYHSTKSGNELTSLKDYVTRMKEGQKEVYYITGESRKAVENSPFLEKLKKKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDDDAKERKRSFEPLCKVIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMGAYMSSKKTMEINPENGIMEELRKRADADANDKSVRDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDDDDAAAADDDADMPALDDAAAEESKMEEVD >OGLUM04G00350.1 pep chromosome:ALNU02000000:4:517367:521284:-1 gene:OGLUM04G00350 transcript:OGLUM04G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEAKTDMRSNVETYIDLWSERKKRRNKRRRPAPRPASGESRVPRPCRFLRAPSITAASSPPRAPSSPPSTADAPRTLHRRRLLAAPRRPRPAPPPRRRRTPTPLDALDRRCLFAALDRQRPAPPGRSHLRRSRSPSSEVFRKWKMLWGRKLQGYSAANASSGHDCPLAFWQFLHQSYPPRMAQGNYGELDTTFAQKNEGTSIAEAIL >OGLUM04G00350.2 pep chromosome:ALNU02000000:4:517421:520659:-1 gene:OGLUM04G00350 transcript:OGLUM04G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPAHLAITSRRVLDLARSAIALERRKWKMLWGRKLQGYSAANASSGHDCPLAFWQFLHQSYPPRMAQGNYGELDTTFAQKNEGI >OGLUM04G00350.3 pep chromosome:ALNU02000000:4:518588:521284:-1 gene:OGLUM04G00350 transcript:OGLUM04G00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGEAKTDMRSNVETYIDLWSERKKRRNKRRRPAPRPASGESRVPRPCRFLRAPSITAASSPPRAPSSPPSTADAPRTLHRRRLLAAPRRPRPAPPPRRRRTPTPLDALDRRCLFAALDRQRPAPPGRSHLRRSRSPSSEVFRKWKMLWGRKLQGYSAANASSGHDCPLAFWQFLHQSYPPRMAQGNYGEL >OGLUM04G00350.4 pep chromosome:ALNU02000000:4:517421:520659:-1 gene:OGLUM04G00350 transcript:OGLUM04G00350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMPAHLAMKWKMLWGRKLQGYSAANASSGHDCPLAFWQFLHQSYPPRMAQGNYGELDTTFAQKNEGI >OGLUM04G00360.1 pep chromosome:ALNU02000000:4:552010:552990:-1 gene:OGLUM04G00360 transcript:OGLUM04G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAVSTGDRGREAIRWWIRLPLCAPYARIQLRRRGSSAVAGGASADPAPPSSRVDPARVKTMGRRQDGGNLHGAYGINAKSMHAMISQFLDQRIRRHGVDFYPVN >OGLUM04G00370.1 pep chromosome:ALNU02000000:4:557181:560316:1 gene:OGLUM04G00370 transcript:OGLUM04G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPSMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQRSF >OGLUM04G00370.2 pep chromosome:ALNU02000000:4:557181:560276:1 gene:OGLUM04G00370 transcript:OGLUM04G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPSMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >OGLUM04G00370.3 pep chromosome:ALNU02000000:4:557181:560276:1 gene:OGLUM04G00370 transcript:OGLUM04G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLGVGGVAGAGAGLPLVLRRRTRSRTPPPSMVMTPLHRSCFFFRPQPSSLSHYPSPSPCAADDLFTVDYDPEEEEEEDEEGSPWEGAVVYRRDASVHHLEYATTLERLGLGDLSSPHSRARAATMGILILSSPNLTGTKDETPVLVSLDVARRRGRLRLDGIVRTVITLGCYGCAEPAPQGIFANFSLLLTEGRVEEPDVVDLGTIFEEEQTKAPVLTGSQEDGDDEDIDWDDRLHFPAGEKEIDISKHIRDIIHLEITLDALCSPTCKGLCVGCGENLNTSSCSCNTEKQQAKAKNVQRRGPLKDLLKPLQR >OGLUM04G00380.1 pep chromosome:ALNU02000000:4:568821:571037:1 gene:OGLUM04G00380 transcript:OGLUM04G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWRQVDSGDMTMKNEASFTTTLRFTIISSPTATVGSLAFVVVPSLNAADGALPPALNTANSTTTSNNHSLAIDLASIMSGYNNSSSSNRSTSTGVINYTVWIDYDGIRRRMLAYIANDGDPKPSEALYATPLTMSDRVPNKAYVGEIFGFLSWNMTVERAAAADKRAGSAGLSKTRKLAYGLVAVILFFSLICVAFIVTIVFLRKMNNKIKDQLDNLTKMNNKTNDQLDNMRACVAIKLTYSELSDATNGFSTDQVLGEGGFGVVYKGKLSTGKDIDGEKQERLVAVKRFEDQGYSQLFNDFLDEILAIIPLRHNNIVQLVGWCCEEQEVMLMYEYMHNGSLDNHLFKCSHLPWDIRYRIVKDVAAGLHYIHLELPHDVVLHRDIKSSNILLDDDLRGCLGDFGLAEGGITSSSTATAGGISSSSTATAGTGSASINAVGTSGYIAPEYKKSGVATTASDVYAFGVVILEIVTGRTVFVGDTHLVDWVRNVLHENGGNHSLLEAVDGKLTGDGRWRGYDSAEAERLLLLGLACTSTHPSHRPTTRDVLKIIDKSLPPPAVGGVRLPSSSVHDAGDASVTTNKRSRFTSSITTFFFQGRHTCIRSEVAQV >OGLUM04G00390.1 pep chromosome:ALNU02000000:4:589630:590457:-1 gene:OGLUM04G00390 transcript:OGLUM04G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEKNLTSSGEPIRLAFDGKTLPVHYEASQPNTICTARLASCFIKPHYQQNGCIDEIAVPCMRRTWELHPRWDNHDAASIS >OGLUM04G00400.1 pep chromosome:ALNU02000000:4:591718:601812:1 gene:OGLUM04G00400 transcript:OGLUM04G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGQENHQRRAVHPEDSKWTEYFINAPVLSCSCQTLEESIKERRDKLVTEVRCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRYDVDALLSLYEAAHLGKCDEELLKNAVVFTTGCLSAMADTGQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNQDILELAKLDFHILQQMHRDEARRFSLWYKELNVGSTLGPYIRERPVECYFWSLCVFYEPQYAKARMMFAKLTKIFSLFDDTFDSYGTLEELHLFNNAVQSWDEGGAKQIGENFGYVMSLLCKTLNEFVADGASPLGIDCTKKTIKEASRCMLQEIIWREEGQVPLLRDHLEFSTITTLYWAMACISFVDPMDTNNGVAVFRWAISSPKIIENSAMITRLMDDIAGHEYEKERSGIPTTVECYMKEHGVTIQDAKKALWCLVEDEWRSINQEFLSNTTVPVPLLTRVINLARAMETMYKTIIGYTHCSSIINPISNVLDKCVSH >OGLUM04G00400.2 pep chromosome:ALNU02000000:4:592509:602057:1 gene:OGLUM04G00400 transcript:OGLUM04G00400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLGKGNIMVMGQENHQRRAVHPEDSKWTEYFINAPVLSCSCQTLEESIKERRDKLVTEVRCMIQGYTSSKIHLSHGMKIVDAIERLGVGYHFHEEIGMFMRVLNDTPARENDMAEAALRFRLLRQHHYNAPSDVFGCFLDKNGDFKETLRYDVDALLSLYEAAHLGKCDEELLKNAVVFTTGCLSAMADTGQLPQPLLEKVEHALTSPTQRRMKRLEAKLYISIYENDEDSNQDILELAKLDFHILQQMHRDEARRFSLWYKELNVGSTLGPYIRERPVECYFWSLCVFYEPQYAKARMMFAKLTKIFSLFDDTFDSYGTLEELHLFNNAVQSWDEGGAKQIGENFGYVMSLLCKTLNEFVADGASPLGIDCTKKTIKEASRCMLQEIIWREEGQVPLLRDHLEFSTITTLYWAMACISFVDPMDTNNGVAVFRWAISSPKIIENSAMITRLMDDIAGHEYEKERSGIPTTVECYMKEHGVTIQDAKKALWCLVEDEWRSINQEFLSNTTVPVPLLTRVINLARAMETMYKTIIGYTHCSSIINPISNVLDKCVSH >OGLUM04G00410.1 pep chromosome:ALNU02000000:4:620827:628731:-1 gene:OGLUM04G00410 transcript:OGLUM04G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPNMLRLLFLVCCSSSLAASAAASSSSGSACSCSSSDETSYSFPSTRIEDTLALLDDAEISSNNGSTILHLTPAASWNKSGTALLPTPVTLWRRLDSQTTAAQPGSYSKQDASLNTSFTMRVQYANAKHSPADDAAAAAAGLNNGLAFVIVPTINGLPPPGSTAAFAAEFDVRRQPDDNRSITVSITTGGNIIAAATTNQTNCSVWIDYNGDKHRLLIYIDLQDRPKPEKPCLDVPLNLSSVVPDRAFIGFSASTTTTTGGSSAMDELLLHRYSIVSWSLTVKLPPSPHGLDFEWKVILPAVVGTVAITAIMNVIVAALYLNSKYNKLKMELVLTEALRRLPGTPREFKHAAIRKATNNFDEGRKLGNGGFGAVYRGTIRSSSSAGKNGAAVSSSSVEVAVKRFTRDENRCYDDFLAEVDIINRLRHRNVVPLVGWSYEKGELLLIYEYMPNGSLDRQLFPKEKPGGVLGWTTRYSIVTDIAAGLHYVHHEHEHMVLHRDIKASNILLDAAFRGRLGDFGLARIVVGLDKNSYTDVGVAGTWGFIAPEYSVSHKATRKTDVYAFGVLLLEVVTGRRALCKFQGTFQLLVDWVWRLHREGSLLDAVDNGVASSSSSTEEFDADDAIRLLLLGLACSNPNPSERPSMTEVVQVVARSAAPPDVPLVKPAFVWPPEGGVEVDSSGSDVDASLCEWEEEETSSSSDAHAVRVQ >OGLUM04G00420.1 pep chromosome:ALNU02000000:4:657934:659145:-1 gene:OGLUM04G00420 transcript:OGLUM04G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVLLLAVSGSPAMADVVSYSFAAVGGGRAASNGLVVATNSSILSPATFLFDAQLFPEKYQMRVGSAAVDALRSDGFLLLADTLQLWRAAAAGMPPALEASFNTTFTFLSSAIAFILLLDSFPPLASHRGVSAPTDGGAMTTTNPNATGSLATVEVGTVNSYGRAVEHHLWVYVAAAGEARPAKSVIDLPLNLPGRRITQRAFVGFFAGTVRDAVLGIRDWNLTVDRIPGDGRLQREDVDEQVKKGTPPSPWLVALLAVLGAVAAVVTVASVVVCYMVSRRRALETERIRQYTKYYFPATECE >OGLUM04G00430.1 pep chromosome:ALNU02000000:4:664690:673882:1 gene:OGLUM04G00430 transcript:OGLUM04G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGAPARSFVAVVLAVVALSAIVAVAGTKKALSTVDVEEELDAARAAIRRAARQHRRGGGGDVGSSNWLRFYGGEADYDLLSRVYRNPAAFYRSYVEMERRFKVYVYEEGEPPILHEGPCKNIYTIEGRFIEQLELMSPSDAGGGVRTWDPTRAHAFFLPFSVSQMVKFVYRPPSQDRAPLRAIVADYVRVVAGRHPFWNRSAGADHFMLSCHDWGPYASRGQPELYTNAIRALCNANTSEGFRPGKDVSVPEINLYDGDMPRELLAQAPGLESRPLLAFFAGGRHGHVRDLLLRHWKGRDAATFPVYEYDLPAAGDYYSE >OGLUM04G00440.1 pep chromosome:ALNU02000000:4:673793:678138:-1 gene:OGLUM04G00440 transcript:OGLUM04G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFHLQGCQSLVAAGAMLGVAKEAKMLCDWMKKENKLQIIITSVLCELEESRLIRIRALDVMMTILQQSSFPSSKCLSGAGQSEKSQV >OGLUM04G00450.1 pep chromosome:ALNU02000000:4:694811:695722:-1 gene:OGLUM04G00450 transcript:OGLUM04G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVVLALLGVATAAAAAAGDVVSYSYPAFNATTTRDDSLVAATNASILTTARLLFDPDFPHGFNVSEGFLLLSGDIDVWRDGVGSAGAPAREASFNTTFTVVAAASPVAFVVLLDRYPPLLDQSGLRGSNVSSAADGDDGNATNSLVAVEVGTVKSYGRESPDVGLNVTVTPNRTTAPSGSTVWIQYDAVEHRLSVHVAAAGEPRSSNALLDVPLYLAGGRTTQTALVGFFGGTIGDIIAGVRGWELTVERLRGDDGGGGKKRTSWVVILLAVVGSVAGVAAMVSVVVCRFVRKRRHMEPKH >OGLUM04G00460.1 pep chromosome:ALNU02000000:4:701290:701886:1 gene:OGLUM04G00460 transcript:OGLUM04G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVRRRHPDVHVKALEGIVSANTFFTVAVFIGITGTITPSSSIPPNCVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLATDDFYGDGEQKPPPSDDCEEMPAWRAAAPRERRRAVLRFARPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGLVSCNIPLAVGTTFALAVLVVAGLVFYGATVAYALTHYLP >OGLUM04G00470.1 pep chromosome:ALNU02000000:4:703669:710923:1 gene:OGLUM04G00470 transcript:OGLUM04G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPAAAAAATATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQQARDDPPPPPPHSPLNPAPEDAPPQLDPPPPALIEKAKLPPVREEVEAVLSRGAGVHEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLSELSDGEMDAQLKVLSFLSHWGLINFHPFPPAVQGSYLIPAPDQAEVTAPIHTPSLLSEPTLAEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQANWGDIAEHVATKTKAQCMLHFLQMPIMDSFLHDGDVNETSQEAAEQVSAEQGTSRVTEKMEVEDKAEEIKTNDRKSAAKPKLNLTETEVNLDDNAANNDTKSSGDINVDVCSNTGVSNRSSDTEPTKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGDPVMALAAFLSGLVDHDDVATLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDGGRKDKDASSNPSVTDKNDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKSAPDNIRGHSSTSLPVSLNNTNEPCSISSQEASAGSTKDTSHTKRIEGDEPIYEDPPLEGKVELKKGYIQDHNSIKKIVADDVSIQRLQRAAASAISAAAVKAKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIITSRMAALPPRPNHQPGMPGSRLPVGYGVNQHLR >OGLUM04G00470.2 pep chromosome:ALNU02000000:4:703669:710923:1 gene:OGLUM04G00470 transcript:OGLUM04G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKPSPPPAAAAAATATATYRRRGKPKPKPKRKAAKPPSPSPPPKRQQARDDPPPPPPHSPLNPAPEDAPPQLDPPPPALIEKAKLPPVREEVEAVLSRGAGVHEIHQIEKQALPSFFDGISLRRTPEIYLGIRNFIMKKFHSNPQMHLELKDLSELSDGEMDAQLKVLSFLSHWGLINFHPFPPAVQGVSELFESITSADTEEKISVVEKLFQFETVQSYLIPAPDQAEVTAPIHTPSLLSEPTLAEDSITQAESSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYDKGNLDAGMSQTDFIIMESAEIPGFGGTSWTDQETLLLLEALEIFQANWGDIAEHVATKTKAQCMLHFLQMPIMDSFLHDGDVNETSQEAAEQVSAEQGTSRVTEKMEVEDKAEEIKTNDRKSAAKPKLNLTETEVNLDDNAANNDTKSSGDINVDVCSNTGVSNRSSDTEPTKKETSGENTSNIVNDVLKYAFEAVGHIPKIEDLGSFTEAGDPVMALAAFLSGLVDHDDVATLCCSSLKAISDMSPALQLATMHCFILQDPPNDLKDPPVSISFANTDGGRKDKDASSNPSVTDKNDNLKEESALSVEEPNTTSTSSKNTRKLSNAKESKDESPQVEPKPSSANDCDNPISQVAKSAPDNIRGHSSTSLPVSLNNTNEPCSISSQEASAGSTKDTSHTKRIEGDEPIYEDPPLEGKVELKKGYIQDHNSIKKIVADDVSIQRLQRAAASAISAAAVKAKLLAKHEEYQIQRLAALVIDKQLHKMQAKMSVFTEADNLVLRAREHTERTRKKLLMERSAIITSRMAALPPRPNHQPGMPGSRLPVGYGVNQHLR >OGLUM04G00480.1 pep chromosome:ALNU02000000:4:711829:715166:1 gene:OGLUM04G00480 transcript:OGLUM04G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMARQPLLRLLLAAVAAAAMLSFLLLLAPPPDALLSAFLTPSSPYAHRPKLLFLLAGQSNMAGRGALARPLPPPYLPHPRLLRLAASRRWVPAAPPLHADIDTHKTCGLGPAMPFAHRLLLQTDSEEVLGLVPCAVGGTRIWMWARGQPLYEAAVDRARAAVADGGGAIGAVLWFQGESDTIELDDARSYGTKMERLVADLRADLHLPNLLVIQVGLASGEGNYTDIVREAQKNINLPNVLLVDAMGLPLRDDQLHLSTEAQLQLGNMLAEAYLKFNSSRGSML >OGLUM04G00490.1 pep chromosome:ALNU02000000:4:716738:718333:-1 gene:OGLUM04G00490 transcript:OGLUM04G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLTLSSTALLRLIKSLSPAVRGAHLSASSIHCLLLKAGLLHVGAHLPTALLSAYDALGSPDHARRLFDEMPEQGLVPRTAMARAHVASGQAAQAIAVFGDMVADGVFPDNVALAVALGACHGAGSWTARRNPGKMIHALIVTSGVVPDVFVSTELIRVYGECGELAVSRRVFDDMPSRSTITWNAMLHQYARHGKVDMAYELFLAMPRRDVVSWNTVMAGYCVAGRCREALGLFRQMVSPSSCAVHPNVPTMSTILGACAGAGCLETGIWVHAYIERKRMNDDGYLDRCLIDMYCKCGSIDNALQVFEKAPRKRDLFSWTTVICGLAMHGRATDALRMFDMMQGYGICPDDVTLVGVLNACAHGGLVDEGLGYFYSMEAKFRITPKIEHYGCMIDLLGRVGRLQEAYSMIRTMPMDPNTVIWGAFLSACKVHGNMELGKIAAEEVTRLDPDDPWGRVMLSSMYAKAQDWIGLARERREMNSMQVKKTPGCSSIELKGKVHEFVAGGSQHPQYAEICSVLQDVEAQTNVG >OGLUM04G00500.1 pep chromosome:ALNU02000000:4:719097:724263:-1 gene:OGLUM04G00500 transcript:OGLUM04G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT2G17975) TAIR;Acc:AT2G17975] MAGGGGAGSSTGGSASGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPPDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALSMAGMAMPAYANYIARMQGLAGFKMNMNFGMAGNAALQQQLLASANWPYALAGRYGMQAAGWPFGGNNANQFSAAPKDWRSGDWLCSCGFHNYSSRTQCSAPVPSGIPSTTMKTTVPDTSSTLGTKRLASEELANEWDNKRLNPGNASYPLSTAGTDNLFGGIEQGAGSSNGQTPYSKFDNGNSIALPSGQVSAMPGLIGKGAKWREGDWMCSNCNNHNYASRAFCNRCKTQKEASKHGAHNQMHT >OGLUM04G00510.1 pep chromosome:ALNU02000000:4:753746:756880:1 gene:OGLUM04G00510 transcript:OGLUM04G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAVPAIYSLTSQFSSATTHARAPPRCACACVPGRQGAEQPLASMEAEQAAAAAVAAEEARRFRWDAFRSAPSEPQREAVRGLSPKLPNRCRALMARLVCLPPPPQDGDRDGDGDDETLGALLAFWVKAMKPKRADWLLVLKELTAMESPLLAQVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALMDMYSKAGDLTRAKEIFKEIGLLGLPIDKRVYGSMIMAYIRADMLDRAEDMISKMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLTDRINEAMIVTRNMRSAGITPCDKCIALILGAYEKVNRLEGALAFLTELEENGVIIGQEPSQLLAGWLRRLGVVQEVEQVLKDLAVDRKNTLEVDRKNILASDRKIKRRSKSKRSFASSLQHK >OGLUM04G00520.1 pep chromosome:ALNU02000000:4:755613:767226:-1 gene:OGLUM04G00520 transcript:OGLUM04G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALLHAHGVDEIGQGVDAARASVLELQRFSKAVKLAGFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKVKKGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINPFSMRIAKFVVNKSDLAEKDIPALADLTGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYIKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSGKEKSNASAKKSKKKKSKGEIDGEEMDLDKPSKLAAEAEPETEKKKKKKKHKLEEPQDQEMVVNGNVEQDETPKKKKKKNREASEDVEPKTATEGKKKKKKNEVLQYLFDFLNNTKSSEPSCKQLRRLLANNNAILLELCQKSQCSF >OGLUM04G00520.2 pep chromosome:ALNU02000000:4:756446:767226:-1 gene:OGLUM04G00520 transcript:OGLUM04G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALLHAHGVDEIGQGVDAARASVLELQRFSKAVKLAGFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKVKKGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINPFSMRIAKFVVNKSDLAEKDIPALADLTGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYIKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRMARYLANKCSIASRIDCYSGKEKSNASAKKSKKKKSKGEIDGEEMDLDKPSKLAAEAEPETEKKKKKKKHKLEEPQDQEMVVNGNVEQDETPKKKKKKNREASEDVEPKTATEGKKKKKKKSKTENDDV >OGLUM04G00530.1 pep chromosome:ALNU02000000:4:767939:788695:-1 gene:OGLUM04G00530 transcript:OGLUM04G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQWACRLRSAFYDVEDILDVADYNRLENKQHPDASSLKSQLCHHDAAHFSIRDKERDDIVRMLRDTWGEPKSWIIWAMGLKNYGLRLVFTMLSLIWAKIGWAITGLGPRLDPARSEATGFSVDKIYQKMLEAATGKPSSEFSNLDTLQMKFGKERRIKPPPNTATLMDAEHKLLPRGTVVDELKLAKHNTEASTHLYE >OGLUM04G00540.1 pep chromosome:ALNU02000000:4:788718:788915:-1 gene:OGLUM04G00540 transcript:OGLUM04G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAVGWAISILGWLASAITTRLFNHGFSLLTFHISDKLRDLESRILPRLALLLEHAERIDPS >OGLUM04G00550.1 pep chromosome:ALNU02000000:4:809362:810502:1 gene:OGLUM04G00550 transcript:OGLUM04G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTSRMRIRPARDGPHCGGHRRLRRQRSWAAASEEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAAATLLLFPSSDEAAAAWEKPRRPSPVAWNLLLQFIKAGFYAKASPSFPEFPPNKEVPFQKGCSGHKQVEVSVPSSSLFKTVTVRCGHCSNLLPVNIGGLLLPTSAAATVATAPPPPSPPPPPPAAHFPHSLNLAPPANPPHHHSLLVLAS >OGLUM04G00550.2 pep chromosome:ALNU02000000:4:809362:810398:1 gene:OGLUM04G00550 transcript:OGLUM04G00550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPTSRMRIRPARDGPHCGGHRRLRRQRSWAAASEEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAAATLLLFPSSDEAAAAWEKPRRPSPVAWNLLLQFIKAGFYAKASPSFPEFPPNKEVPFQKGCSGHKQVEV >OGLUM04G00560.1 pep chromosome:ALNU02000000:4:811734:815037:-1 gene:OGLUM04G00560 transcript:OGLUM04G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase-like family protein [Source:Projected from Arabidopsis thaliana (AT1G19920) TAIR;Acc:AT1G19920] MREHEYLQSLHFNCIRLPDGAGVVNMSLPIVLAIGDREKEEIGASPDVALHGPDGGVLAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIAQAGNWLIGGDLEVIEPIKYNDGLDHYRLSPQQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGFKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSKDFLFISGTKMRAFAKSGENPPDGFMCPGGWKVLVDYYNSLQTEEAAVATV >OGLUM04G00570.1 pep chromosome:ALNU02000000:4:819215:819538:1 gene:OGLUM04G00570 transcript:OGLUM04G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAAVGVESATSVAVRNDFAELERQQLLLASRDLHAPVPAAHVGSLERRLAAHSETLRTKCHFLDVRTSRRLEALRRREVSIDGSFSLGLSQLDSLAKSEAGRNT >OGLUM04G00580.1 pep chromosome:ALNU02000000:4:820093:821352:1 gene:OGLUM04G00580 transcript:OGLUM04G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVFFTFVVARRKEVDALKRCVDPARFAMVDKRAVRSPLVPQATCERLFSISGNFTVEFMIRISHLGTYKIFRIRLQRRGALRYITTLRPNLQKGLVEILNSDTSVSSNQVSVATKDEYKPQSTGAWALRLQMI >OGLUM04G00590.1 pep chromosome:ALNU02000000:4:822237:826500:-1 gene:OGLUM04G00590 transcript:OGLUM04G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAAIPPRPAPTPPAPAPAPPQVFLRRSVLPPPPAPHRAPPPPGAHVHYFRAASPIPIFRAAASSRPPRPPPPSTTAAAAPPPAAPAVTPARPPPQQPAVAVAPPPATTTATATEEVAAPATGNPMANAADNEEKNERETVQSEVAKGETVQGPDKESTTGTVKGIKRPRKPKGFKKGSLRSNEGDAGPSLFSPNNCRYDSSLGLLTKKFINLLEGAEDGTLDLNKAAETLEVQKRRIYDITNVLEGVDLIEKTLKNMIRWKGFDMSKPKERERQISALKEEIESLYDEESRLDDEIMEAQEKLNALRVDEDRRKLLYVSKEDINAIPRFQGSTLIAVNAPRGTYIEVPDPNLDMDIYKDLDNQEKHYQIVFRSAMGPVDCFLISNHQETFNADQQMADNLDAAVTSGSSQAPQQMDYVQASEIGESNGVREHTSEPSKRDDPVPGIVKIVPSDDIAADYWLSSDADVSMTDTWGT >OGLUM04G00600.1 pep chromosome:ALNU02000000:4:833136:838983:-1 gene:OGLUM04G00600 transcript:OGLUM04G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic initiation factor 3 gamma subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G45730) TAIR;Acc:AT2G45730] MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPSQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDMTTRIVQAPLSDLCSLQNSGDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSSKEQSIDIDIPEPLLDEHVNQDGNSSLDSKGDEDGSSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >OGLUM04G00600.2 pep chromosome:ALNU02000000:4:833136:838983:-1 gene:OGLUM04G00600 transcript:OGLUM04G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic initiation factor 3 gamma subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G45730) TAIR;Acc:AT2G45730] MAAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKVGNRTCSLQPLVGRPFGSLFSVGPSGLVPCADAPSSRDDTTQDAADGPSQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGVSGDEIVEALIANSSTFGKKTVFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPARTGFMRVDALSLLLSMANVGPYSDVLVVDMVGGLVVGAVAERLGGTGYVCSTYLGSAPSSIDIIRMYNLSSDMTTRGPCEALEVITEVGNTHYVVEVVLKSWAIAGNILISLPFRIVQAPLSDLCSLQNSGDVSSGLNDSIQGEAQEPTAVPVENTQPSVPQPTDTAVPDEKTQSSKEQSIDIDIPEPLLDEHVNQDGNSSLDSKGDEDGSSIGPKSLKAGKAPSPERMKYWSEHGFSSLIVAAPGHDVESFVADLLPLLSYSAPFAIYHQYLQPLATCMHSLQVSKMALGLQISEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIHNGDACNGSK >OGLUM04G00610.1 pep chromosome:ALNU02000000:4:839431:839728:-1 gene:OGLUM04G00610 transcript:OGLUM04G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSDMSSMGGESNSIARWMDGCRSMSR >OGLUM04G00620.1 pep chromosome:ALNU02000000:4:841726:842629:-1 gene:OGLUM04G00620 transcript:OGLUM04G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAGSCEMCASCCTLLLLLVAALLILSYSVVFNVGVTVEDATLTRLNLAGANGTDLAYGISLTVAVHNPNMAVRAEYTRPLAAELRLATGGYELLRAARLADAGQRVEAAETDRFGVADEGMAARLGGAALVELVKEMGAGEEVSSLELKITGEFCCEAVGFVFGQPSQKA >OGLUM04G00630.1 pep chromosome:ALNU02000000:4:842723:844556:-1 gene:OGLUM04G00630 transcript:OGLUM04G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQGCYCCIVCCILVVLLPVLLLLILAYGVVVPVRVTAEDAILTRLDLAGANGTGLAYSILLTR >OGLUM04G00640.1 pep chromosome:ALNU02000000:4:845532:849155:1 gene:OGLUM04G00640 transcript:OGLUM04G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G26610) TAIR;Acc:AT5G26610] MERRDRGGGSSSRNPHGAPVEEEEELEDDFEFRLPMSHRPTENLETEGLEQASVDTQLTSSNVGFRLLQKMGWKGKGLGKNEQGITEPIKAGIRDAKLGVGKQEQDDFFTSEDNVQRRKLNIELEETEEHIKKREVIAEREQKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMKEMQSSSSRDDRQKREQQREEKELAKFAQLADAHRKQQQQKQEPSESSSERITMKNLPNPSNQDQRKTLKFGFSKMAPSKAPVGNVSKKPKVATKMSSVFGNESDEES >OGLUM04G00650.1 pep chromosome:ALNU02000000:4:853003:857052:1 gene:OGLUM04G00650 transcript:OGLUM04G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTLLLPSSSSSSKTATATDTSDRRRHHHHHNHTKRKKKPPPPSLSPTPRTPPPAGSGSHRAMAASSKKSTKQVVVAAKTTTGHRPKKAAAPTTPSRGGAPSASAAPVSSSWEQLKSLLSCRSATAAARVHDPAAPSSALSRLXPARAARRSAPSATWSTPPAPPPRRPPPPRPSTATPPRSPAPPAAPTAPPPPPPAAVVATTPPSVASPAATSAAPSTSSPCQGGIRGHGSCAHARSVGRCSPRPTAWSTTKPFAMLCRNWGRRTRGETSWR >OGLUM04G00660.1 pep chromosome:ALNU02000000:4:861056:866891:-1 gene:OGLUM04G00660 transcript:OGLUM04G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAEEWTWIKAGSIDEAAGRVIELLEDTSKGNVMYFHGWFGLGASAVLRAVAKRLTMRPSPSQGRKRWEKMIHVDCSVWQSKRALQKAIAQELQLPWSLMALFDQHDEEDDFSGVEQSARGVIQDVSQAILSILASRTFLVIFHNGSNGYIDLQECGVPVITGILNKTVLWTSRSSFRITVPDIDNVSKEDRDKLAGLSDVAICADNEDDADNEDDDVKFIGMLLHEEAEEVARYTGVPQSAGMSTELVKKCVMYQLMLRQQHVDYTQHWDTHAANYWVCSGIIQNSSDTTSSTSCHSSSPWEIAQALYNNLILEFLTMDDYNSDPTFAEAIQRALRLPSDFVDESSFFRTCDAAGNNNVDATTACCRQKSLEAKMFQHPSARSLRVIHLFDCTFSFASPPFLSCSSLRFLLLDHCKDKHNLGSAPNSTSAGDTDKETGISSGACFQKLWVLDLSYTDWYWLLSVEAQDLMVELRELNVKGVKHWSINHLLRDGNNSSAGVGSSTKPLGLLNLVKLQVTTEPITEDQHQSQVWKEDQVAATLFPNLSSCKIVKTIILDGCFELKRIDPHVLPPSLESFSFSSSSNDNDVHVSAKIESISFRGCTQLKSLLLRGLFQRLKQLDVSETCIKTLDLRAMRGNGSLKELFLLGCKELRAILWPKQDVSLEVLHIDTSSIELDHAAGVVESSSFSPVEFKWYISLRDDRLLRSLNYTNYPLGEPRIEISSPPTSVAIATTDDSELGRKMSTRRPTAIRRAEQPWPMTTKSRRPAADNNKIYADVDSTIQHLQLQATMNGNWMWPYKQEGSTSHYISLQDDKRMQTKPLSSPSLPGSICERASGLHVHDSLSVASITSHSNEARKWNNLEWCRVERCPNIEGVVFTPPSTGSDIIFSRLETFWASQLARARHILDRGKWVQLYFDVFFFLKVLHLDCCPRLIHAFTLYDNRLRYVYRELEILEIVCCGDLKDVFRVDHNNQELLETIEFPKLKHIHLHELPSLQRICGHRIVAPQLETIKIRGCWSLTRLPAVGIASTHKPKVDCEKEWWDGLEWDGLEKGHHPSLYEPTHSRYYKKKLPRGSMLRKHWILLEKPLVIRVEAAGREAWQHVGCTLHFLS >OGLUM04G00670.1 pep chromosome:ALNU02000000:4:873000:873509:-1 gene:OGLUM04G00670 transcript:OGLUM04G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRFLAEPLKATTVTCIPRLRGGGGGAGAGARRHRRAASSGGGAAPPVSSSVLDRVRDVVLRLAMLSAASTTTKQGALPQQQTTTTTATPIRTSPGRPAAATSRRAAAVRMSPAAPAYADSYRSEAVDDCIEFLKRSAAGVGVGVGGAPAVAAVGVMNSPSPLHACAM >OGLUM04G00680.1 pep chromosome:ALNU02000000:4:896463:898772:-1 gene:OGLUM04G00680 transcript:OGLUM04G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGGGSGGDGVTVVGRAEIDMRAPFRSVKEAVNRAATTPPAIWPTFSQQQVSGGVAAVTRHVPAELEEARQELEKERSEKKKMAGCILSLQEELSNTMSELNKLKARDDDGDGGEAAAKVIDLQVEDLKFVEIDDDKPRLRQQSSPAATVTAAAGSASPGEFQKRRYVTFADPPPPTASAYDRAPPQAPLPDVVIELRHRHNHSSTPSPPQLREVRFMRQMSAGHGMMKAAAAAADQEGRKKKKSLIPLVGALFMRKKKMSSSSSCSCHNDDSAINPRTSF >OGLUM04G00690.1 pep chromosome:ALNU02000000:4:910658:914414:-1 gene:OGLUM04G00690 transcript:OGLUM04G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAGESGGGRLGSGNGGSELALPHVDPMATAVGRSSVEEIHRRPPPPLLLSILSASSTGSNFLSSPPPPFSLSSPSQQAAALQGWRGDG >OGLUM04G00700.1 pep chromosome:ALNU02000000:4:915360:918252:1 gene:OGLUM04G00700 transcript:OGLUM04G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAPLWFTSLAGLGAAYLTVVFLRLLPYLALYLRRPKDLRRCYGEWAVVTGPTTGLGRSMAMELARRGFNLVLLDLDRDNLREVSEAIREAHAGAVACRTVVFDLSTVGTGAGEEGMRRLREAVDGVEVGMLVNNAAVARPGALYFHEADVERLVAMIRVNAMALTAVTAAVLPAMARRGRGAIVNVGSGSTVAVPSFPLYTVYSSTKRYVEQLSKSLYVEYKGKGIDVQLQVPFYVHTNMLSAAIKDRMLLPAFVATPDDYTRAAARWVGHGHIAVPDAGQQLQWFLAAFVPDFAHDWYRLRKHLQHRAILWNLI >OGLUM04G00710.1 pep chromosome:ALNU02000000:4:921688:922633:1 gene:OGLUM04G00710 transcript:OGLUM04G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPSHIRGAAPASQGHESAFRSNWRKTSAFRSKPSKATVNGVHRMGTVKGPPGRKLPRITLALYVRPPLKEWRRANLKIAANKGWPKMRYPRAPVHREMIINGHIYPVLKVAKWMQKYPSDSETSSPDLRSRYDFSSQFVGLSVIVTFQTPKRMLRQGKLVLPAKESWSMNALWQAM >OGLUM04G00720.1 pep chromosome:ALNU02000000:4:928816:930166:1 gene:OGLUM04G00720 transcript:OGLUM04G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNDGSDDGDDPGGGGRAAAAAVACSSASFRYLRPERGIEASREKAELVIFSAVDKAFAAATGLVPADDIGTLIVACSFTTPTPALADVVVRRYGLRADVRSVNLSGMGCSGALIAIGLAENLLRVAPPGSRVLIVATEILSSMLYTGRKREMLVPNVLFRMGAAAIIMSNSPEKARFRLGPIVRTLTAARDGDYRCAFQEEDDEGITGNNLSKDLPVVAANALKGHLVAFGLAVLPTSELLRVAISFINHKIINKFFMITTTKNDNNSCYRPGFHRLFQHFCIHPGGRRVLDEASHMTLHRFGNMASSSLLYELAYIEAKGRMRKGDRVCMISFSPGIDCSSVVWECIKPTDHHLHHGPWAACIDRYPVQLPKIVKRPA >OGLUM04G00730.1 pep chromosome:ALNU02000000:4:934826:938844:-1 gene:OGLUM04G00730 transcript:OGLUM04G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACQPFGEILPSPFSRDEAPPAVDKLLRVLLLDRDFWYGVNDECPASTTVVASQRRLAAAASSRSYRRCQKKARAKKAVENDREKEKKKKNHTRGDQHCKSAMAGGGDGVTVVGRAEIDTRAPFRSVKEAVVLFGEKVLAGELHAGAGRRLLATSDQLQQNRAATTTTPPARWLTVNQVKQVAGAVAATRHAPAPATAELEEAKQELEKERSEKKKMAGCILSLQEELSNAMSELNKLKARDNDNDDGGEAAAKVIDLQVEDLKFVEIDDDKPQPRQQSPSPGEFQKRRYVTFADQPTAASAAYHAPPPLPDVVHHRPAAAAPPPYREVRFQRQMSAGHEAVKVVKAAAEQEARKKKKKPLIPLVGALFVRKKKSSCHDDSALNSRTAF >OGLUM04G00740.1 pep chromosome:ALNU02000000:4:939058:939306:-1 gene:OGLUM04G00740 transcript:OGLUM04G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPISNSSSAVWNTKAGHCRQAIANSLPFYIPFTMLRIFLFDATLFHPLQRRECYRVIADSGASTECHRRFSVIAPATVD >OGLUM04G00750.1 pep chromosome:ALNU02000000:4:946415:948162:-1 gene:OGLUM04G00750 transcript:OGLUM04G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTPGPYSGVSTLALVARASALGVGVVYGAVKLSILKSILERQFWSRVRQQNLTKRRRQMRITEDRNAWR >OGLUM04G00760.1 pep chromosome:ALNU02000000:4:949005:954290:-1 gene:OGLUM04G00760 transcript:OGLUM04G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGRGRGARVAAPAGDSGKGAQAEWLRIYDGIVAMLRKTQAQVEELVAERDHLAAFVKIQHDFMVSRVGRLQSSLQQARKADAIRKRYEAANMEILLGDKDREARSYQKIAELTENDLEDFRISIAALAAENYELKEKLKEVERHAELAENTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDTNQRNRKCRSQDPPSRDKSTNLQATPPGRKVKISRQHASSSKQKQVQSRNNSRKQKIEGDKSEVGEKRKRALPSSSGLQRCSARQQAKSSASPVVQPLLFSPIFRVPKQAKV >OGLUM04G00760.2 pep chromosome:ALNU02000000:4:950594:954290:-1 gene:OGLUM04G00760 transcript:OGLUM04G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGRGRGARVAAPAGDSGKGAQAEWLRIYDGIVAMLRKTQAQVEELVAERDHLAAFVKIQHDFMVSRVGRLQSSLQQARKADAIRKRYEAANMEILLGDKDREARSYQKIAELTENDLEDFRISIAALAAENYELKEKLKEVERHAELAENTVDHHIHSPRDLRAELKKLKHAYKTLSSEKEKEVSALRAEKDFVWNQLRTMENDYTDLLKKKKIEAAQATEAAQKLQKNLEELQDQNKGNEIGRLQAEAVDAKMNISILEDKLQEMLSLVKEKDLEIEQLKHGQPMTSQINKKDTNQRNRKCRSQDPPSRDKSTNLQATPPGRKVKISRQHASSSKQKQVQSRNNSRKQKIEGDKSEVGEKRKRALPSSSGLQRCSARQQAKSSASPVVQPLLFSPIFRVPKVKTPTPP >OGLUM04G00770.1 pep chromosome:ALNU02000000:4:956338:960831:-1 gene:OGLUM04G00770 transcript:OGLUM04G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNOM-like 2 [Source:Projected from Arabidopsis thaliana (AT5G19610) TAIR;Acc:AT5G19610] MARTAAAVSDDDDDGPPAYTVPRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEATFAGLIQSLKNLRGLLFQPRHGAWRCSDPAMYLKPFLDVVQSEESPPAATGVALSSVLKILRIDVFDECSPGARDAIQAVLTAVSSCRVERIADPGAEEAVLLRVLQVLAALLRARAAPLLSDHAVCNAVNTCFQVVQHAASGRGSELLQRTARHCMHEILQAVFARLPDIPAADAADADDLSVAGFGARCMADIFNFLCTLLLNAPDMVATGDGHGAFITEEDVQLFSLVLINSAIELGGEAIGKHPKLLRLIQDDLFYHLIHYATESSPLVLSMICSTALNLYHFLRRFLKLQLEAFFMYVLLRLCGGGGGAQLQEVAIEGLISFCRQPTFVIEMYVNYDCDPMLRNVYEEVGKLLCKAAFPASSPMTTVQLQAFEGLVNMLTTIADNVEVDKAPDHAAYAVDISDYRLFWVERWDATAATGSGNNETWVDFVRKRKLRKKKVAIAANHYNRDEKKGMEYLRLSQLVPTPPEPRSMAFFLRYSPGLDKNKIGELLGDPEEQSLRVLKEFTETFDFTGVILDTALRTYLETFRLPGESQKIQRILEAFSERFYEQQTAEDLPREYLSELFHSISGNAITVFSQASAAAEMTPTRWADLVKRSRAIDPFTPCDFKHKLTREVFVTVSGPAVATLAAIFDYTDDEDILNQCVEGLISVARIARYGLEDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTITNRFGESVRGAWKNVVDCLLKLKRLKLLPPSLVDQDGGAGAAVSTERLGHRAKSESGVIFPSSHRGAGTSRHVSGMIGRFSQFLSLDAGGESLLSVGSEFENNLKIIQQCRIGSIFTESGKLPDESVQNLGRALIFAAGGKGQKFSTPVEEEETVGFCWDLIAVVSSANVHRFTTFWPQLHDCFAAVSQLPLFSPCPFAEKAIVALFRVAVRLLSGGGGGGDRMAEELVFKSINLMWKLDKEILDTCCEGISECIVKLLMEHAGNVQTPIGWKTVLHLLSVTGRHPETFDQSVAAMIKLMNDGAQVVTRFNYAACIEAAFGFAALKISPLDISTRILQLMADSVNWLILWHKSGYSDPGNAWSSSSSSSSSSAAAMMMMEDASRMGNLATSMFIKLAEALRKTSLVRREEIRNQAVAELGRAFALAAAGELELGPTGSLACFNLVIFAMVDDLHEKTLEYSRREGAERETRSMEGTLAAATELLADVFVLFLGTLAQGAGFRTFWLGVLRRLDTCIKSDLAAGGGAGVMQDLVPRMLKRMIVEMKEKGVLVAMEGDELWEITHIQIQWIAPAVMEELFPD >OGLUM04G00780.1 pep chromosome:ALNU02000000:4:960852:971836:-1 gene:OGLUM04G00780 transcript:OGLUM04G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGFKIITATVAWGPPVQTLRDHIQQANRKREYITISNDMQLVRGFLGTLVAYHLEGVGGFTNNEVGDVADDVGCEAHVEEHEGYAEQHLADVGGMQVSVADSGKRGDGPLNAIHREMQAAKCSANSVTCIHMQKDYKLKIGDVHSLHSWFTFIPPYTRALPPCDRSN >OGLUM04G00790.1 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQGSTSVAILPVTMSPAMAARSELLRPAFGKASPSLGRFVVNPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASVALVVTDLVVDVFFAIDIAISFFVAYRDTPTGLLITDRRKITTRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFSYLWTRLIKLLCVKSCPFHTVTLFALHFAACISLWMAFNYKIKELTWIGSQIHSFEDRSFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHYLESLKVQTKEVAFVSGHLCNENSTVLGRATEFAVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLILLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >OGLUM04G00790.2 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQGSTSVAILPVTMSPAMAARSELLRPAFGKASPSLGRFVVNPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASVALVVTDLVVDVFFAIDIAISFFVAYRDTPTGLLITDRRKITTRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFSYLWTRLIKLLCVTLFALHFAACISLWMAFNYKIKELTWIGSQIHSFEDRSFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHYLESLKVQTKEVAFVSGHLCNENSTVLGRATEFAVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLILLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >OGLUM04G00790.3 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQGSTSVAILPVTMSPAMAARSELLRPAFGKASPSLGRFVVNPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASVALVVTDLVVDVFFAIDIAISFFVAYRDTPTGLLITDRRKITTRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFSYLWTRLIKLLCVKSCPFHTVTLFALHFAACISLWMAFNYKIKELTWIGSQIHSFEDRSFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHYLESLKVQTKEVAFVSGHLCNENSTVLGRATEFAVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLILLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >OGLUM04G00790.4 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRQGSTSVAILPVTMSPAMAARSELLRPAFGKASPSLGRFVVNPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASVALVVTDLVVDVFFAIDIAISFFVAYRDTPTGLLITDRRKITTRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFSYLWTRLIKLLCVTLFALHFAACISLWMAFNYKIKELTWIGSQIHSFEDRSFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHYLESLKVQTKEVAFVSGHLCNENSTVLGRATEFAVDESKDATHKLLPCKEPKRVVIHEQLPNETGTTLYPSPGKLILLPDSMQELMKLSEKKFGKAARGILTVEGAEVEDIEVIRDGDHLFFSL >OGLUM04G00790.5 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHVVRISHTHLL >OGLUM04G00790.6 pep chromosome:ALNU02000000:4:961948:965742:1 gene:OGLUM04G00790 transcript:OGLUM04G00790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFGSMNQLPEAMREQMLASVQLRFRTEEQLQQEVLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVSKMKAKFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPRGMAGEIGVMFSIPQPFTIQSRRLTHVVRISHTHLL >OGLUM04G00800.1 pep chromosome:ALNU02000000:4:966260:972797:1 gene:OGLUM04G00800 transcript:OGLUM04G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38890) TAIR;Acc:AT4G38890] MPPHRAATMAATAAAAAAAPPADLPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANGGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGMCPFTSLDQLCPYGLTCRFLGTHKYIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNGDEKKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSITCVEPRPTKKSKVESDEIDKHGAGTLNTKVESEDPNLSNGLEVPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEIKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >OGLUM04G00800.2 pep chromosome:ALNU02000000:4:966260:972284:1 gene:OGLUM04G00800 transcript:OGLUM04G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38890) TAIR;Acc:AT4G38890] MPPHRAATMAATAAAAAAAPPADLPDSSPAASSPPRPSPEELVARAVAPVKPAFLRPPPVRDAPKDEGKANGGGAVVAEKKSKRQLKRERKQEQKSSSHLCIEVGKSGNVSSCKYGDSCRFSHDIDAYLAQKPADLEGMCPFTSLDQLCPYGLTCRFLGTHKYIHAASGNLSEKHEINALNKDIQKLLWKNKYKFPKASAQIKLLGLKEVIKSKPDAANDDKKVNHDNLDGNGDEKKEPLCNPPVNAECDSTLCEELDRSEGEPLIDNSITCVEPRPTKKSKVESDEIDKHGAGTLNTKVESEDPNLSNGLEVPSNNASSCRTDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITVHGRSRQQRYSKLADWDYIYQCAQKAPDQLHVVGNGDVFSFTDWNKHVSGCSKISTCMIARGALIKPWIFTEIKEQRHWDITSGERFNILKDFVSFGLEHWGSDSKGVETTRYFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMISDSAADWIRISEMLLGKVPEGFTFTPKHKSNAYDRAENG >OGLUM04G00800.3 pep chromosome:ALNU02000000:4:972578:975822:1 gene:OGLUM04G00800 transcript:OGLUM04G00800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38890) TAIR;Acc:AT4G38890] MAEDFGAFMERFVLPPPPPPPPSPSSQQLPLHGLTFAIKDIIYGENAHYGTPANPCAPGRVPGGSSSGSAVAVAANLVDFSLGTDTGGSVRVPAAYCGIFGLRTSHGLVSAQNVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKHPTHVTIPMDCFQILGSPDDHTYQIVNASVAKKFGSHAIDNANLGDFVSDNVPSIGKFIADFSESELPSVPALSVISHVMFSLLRSQFKANHAEWVNSVKPNLGPGLRENIHGAIASGDDEPLEEFLAVRAEFKSALAALLKDHGILAIPTVPGPPPMVGIQAAPLDSYQARAFSLLDIAVVSGFCQVSIPLGTRNGLPVSVSLVARHGADHFLLNVAEELYQTLIDEAAKAWAS >OGLUM04G00810.1 pep chromosome:ALNU02000000:4:976965:977396:1 gene:OGLUM04G00810 transcript:OGLUM04G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGRLDPVLLSAIHADHAPSVGPVFLVGSSTRYTSTASTTNSLATLSPPLLLGFSASLASMLSFPSEPFPTTSRQSLERGGAAVVVAGFGGGRDAGGRSQIWEREQRRWPEPGEGATAVPGRQWSSASSSGMYRVILIGIT >OGLUM04G00820.1 pep chromosome:ALNU02000000:4:977928:981016:1 gene:OGLUM04G00820 transcript:OGLUM04G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase 1 [Source:Projected from Arabidopsis thaliana (AT1G08980) UniProtKB/Swiss-Prot;Acc:Q9FR37] MAMAGGGGDYGAFMERFVLPPPPSQQLPLHGLTFAIKDIFDITGRVTGFGNPDWARTHAPAAATSPVVLAALAAGATSLGTTIMDEMAYSINGENTHYGTPTNPCAPGRVPGGSSSGSAVAVAANLVHFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSAENVIPMAQMFDTVGWFARDLSTLSRVTKVLLPLPDDTVKQPTQVTVPMDCFQILGSLDDRTYQIINASVAKRFDSQIIDNRNLGDFISDNVPSIGKFIADFSESELPSVPALSVISHVMRGLQRSQFKANHAEWVNTVKPNLGPGLRERILEAIASGDNEPLEDFQAIRAEFKSALAALLKDHGILAIPTVPGPPPKVGMEAAPLENFRARAFSLLSIAGLSGFCQVSIPLGTRNGLPVSVSLVAQHGADHFLLNVAEELYQTLIDEATKAWSS >OGLUM04G00830.1 pep chromosome:ALNU02000000:4:982899:987849:1 gene:OGLUM04G00830 transcript:OGLUM04G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >OGLUM04G00830.2 pep chromosome:ALNU02000000:4:983895:987849:1 gene:OGLUM04G00830 transcript:OGLUM04G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTVEELRRIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLFYEMSDESLKLYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDTLLGDVQVYPEKGTVAFSAGLHGWAFTLSSFAKMYASKFGVDESKMMERLWGENFFDPATKKWTNKSTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKADEKDLMGKALMKRVMQTWLPASNALLEMMIYHLPSPSKAQKYRVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGRVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEADACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLAEAIDDGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMTSDPLEVSSQANQLVLDIRKRKGLKEQMTPLSDFEDKL >OGLUM04G00840.1 pep chromosome:ALNU02000000:4:989428:991174:-1 gene:OGLUM04G00840 transcript:OGLUM04G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidylprolyl cis/trans isomerase, NIMA-interacting 1 [Source:Projected from Arabidopsis thaliana (AT2G18040) TAIR;Acc:AT2G18040] MASSATETETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLADLRDQILSGRANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGEMSDTVDTDSGVHIILRTA >OGLUM04G00850.1 pep chromosome:ALNU02000000:4:1004303:1005610:-1 gene:OGLUM04G00850 transcript:OGLUM04G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHKRRALLQLLRRTGDLVAAVEAVAEALEDAYMDLDGDKWRGGGDRDKFVEVMVTDGCFLLEVMRTAEVDGEVDDYAANDPVFSHHGELYVFLYVRRDMLMTENQLPLLVLLRLFAVVCGPHKEACCYQHSQADINIDQVGPDNDG >OGLUM04G00860.1 pep chromosome:ALNU02000000:4:1005659:1005841:-1 gene:OGLUM04G00860 transcript:OGLUM04G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRKGKAAEPWVDAVEEQLRQDGVADRVAEAARWRKHSAYRVPAHIKKAAAASPYQL >OGLUM04G00870.1 pep chromosome:ALNU02000000:4:1005861:1057128:-1 gene:OGLUM04G00870 transcript:OGLUM04G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAWWGRRSREPPPAEAAAAREGGDGGVGRAAPVRSGGRRRVGRGESGAAGGAVAWGAEHAYPIQHHAEKCKTPEATQAAPKGGLSVAMAVLEEVMEEGGLLMMPPQQIERVDGALGEGMNQEQVKLSLLSLQMEMEEIQHPVLPEVMEEEVVGSDQLLEERLGLEAEFLVGIVDQNLALDPKKRMFGGVMFISLSLPMDLSPTSDKARGSSSGSGNFVVGLEQVDQGMAKLLDGQAAEAQRSPEFASSKPYPYATSRNHAAPSPPIPHRELLLPLGPFPPSGASPPPLPSPRQRRSFSAAPSPPIWSLS >OGLUM04G00880.1 pep chromosome:ALNU02000000:4:1056907:1060713:1 gene:OGLUM04G00880 transcript:OGLUM04G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVKYNIFHIDQ >OGLUM04G00890.1 pep chromosome:ALNU02000000:4:1057133:1058832:-1 gene:OGLUM04G00890 transcript:OGLUM04G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLSLTVGGGAETGGGVEGDGGNEWGCEGSDVYGNGGDVVLDGRDRWSSASAVGVAPVGVDWRCGQWLARWSRREGERWRFGDAGGGACRSRSAMSAAAPDGSDRGGDRRGGRRPRDGEASAVMRAAAPVGRWQGFDGNRDADVHWVGLRRRRNLHRSTSTKYSPNAASRHIDAPPWASTTLRAGYPADLHGQKRQDGGGVPREEPAATRRNRRPVDAGRWG >OGLUM04G00900.1 pep chromosome:ALNU02000000:4:1058853:1085885:-1 gene:OGLUM04G00900 transcript:OGLUM04G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGMGMVKLQSVAGWQIASVMRGVGDVVKHHERGGEVTTARVGGEQSICDYRGGAMEQPPAEHGGRRACLAGVAREWTVTEVGGGCVRGGGTGSINYYIKGFAICDPGIGHAPSAYPRFRLEGSRCGYKNRITSHPHRRSHHCSTSRQPLFPTSGSRPAVTAGLNRLRGSPSNPKLNLTSNLLNPRCSHRQNSGEKGPNRFGETEYDVEAECTNKMAIDAAPVDNSAANLEAFKAYPKKNVIWARLFEGPTLGDNFAPLETKEATFEQTKIVASTLTKAKPTGNKKGGLAAKRKPRQLEIIQLSQPEIEMPACRWITSRDVSCGVDVEDERM >OGLUM04G00920.1 pep chromosome:ALNU02000000:4:1083809:1084067:1 gene:OGLUM04G00920 transcript:OGLUM04G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLCRHRARTHLPPHPLPRHPGQASTPATVLRRGLLHRPSPVVANALLTAYARCGDLTAALVMFDYISDSTHDARNLLAII >OGLUM04G00930.1 pep chromosome:ALNU02000000:4:1085899:1088460:1 gene:OGLUM04G00930 transcript:OGLUM04G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57430) TAIR;Acc:AT3G57430] MAAAAATSPPPPPPPTHPPPTSATVRSLTAAGNHAAALRALSSITMASPQQQLDHSALPPAIKSAAALRDARSARAIHAAALRRGLLHRPSPAVANALLTAYARCGHLAAALEVFGSISDSAHDAVSFNSLISALCLFRRWDHALAALRAMLAGGHPLTSFTLVSVLRAVSHLPAAAAAAVRLGREAHAFALKNGLLHGHQRFAFNALLSMYARLGLVADAQRLFAGATPGRGDVVTWNTMVSVLVQSGMFDEAVQTLYDMVALGVRPDGVTFASALPACSRLELLDIGREMHAYVIKDDELAANSFVASALVDMYATHEQVGKARQVFDMVPDSGKQLGMWNAMICGYAQAGMDEEALRLFARMEAEAGFVPCETTMASVLPACARSEAFAGKEAVHGYVVKRGMAGNRFVQNALMDMYARLGKTDVARRIFAMVDLPDVVSWNTLITGCVVQGHVADAFQLAREMQQLEEGGVVPNAITLMTLLPVCAILAAPARGKEIHGYAVRHALDTDVAVGSALVDMYAKCGCLALSRAVFDRLPRRNTITWNVLIMAYGMHGLGGEATVLFDRMTASGEARPNEVTFMAALAACSHSGMVDRGLQLFHAMERDHGVEPTPDIHACVVDILGRAGRLDEAYAMVTSMEAGEQQVSAWSTMLGACRLHRNVHLGEIAGERLLELEPEEASHYVLLCNIYSAAGQWTRAAEVRSRMRRRGVAKEPGCSWIEVDGTIHRFMAGESAHPASAEVHAHMEALWGEMVARGYTPDTSCVLHDMDDGDKAAVLRCHSEKLAIAFGLLRAAPGATIRVAKNLRVCNDCHEAAKFLSKMVGREIVLRDVRRFHHFRNGQCSCGDYW >OGLUM04G00940.1 pep chromosome:ALNU02000000:4:1090652:1093839:1 gene:OGLUM04G00940 transcript:OGLUM04G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVFGILCKIGSILSSHLTQAFVAHLGKEVSVFVEIESSIKQIRSEFRLMQAFLQDGQEKESHSRLAETFLHEVQQASFEVEDILDEFVYLFGQKQTASLKSLRNCFPKSKSMMHWQRLAAELKEAQNRLQNLRNLKVQYNIDLSEESPSSIRYEDSQVHTIQHIKHNNKIVGFANERDCLQELLMTNERSCSIISIWGMGGSGKTTLVKTVFERKAIKSRFDCLIWVTASQTYDITEIMRKIIQCALKETCPADLESMCSEGVALKLQGTLQGRTYMMILDDVWDTNVWFNLEPFLDVNSRGSKVVITTRINDVASLADDKNRLQLRGLNEAESWDLFCMWAFRHTEDQTCPLRLERVARQIVGRCEGLPLAITAVGNLLSFKRLDSFEWDKFYNQLNWELHNRLDNQGLNLVTRLLGLSYRHLPGHLKNCFLLSSIFPEDYIIHGKRLSRLLIAEGLVEPRKNMTLEEIATEYIEKLVDRCLIQVVRRDKLGRIWQLQMHDIVRELAISISEKEGFCMIYTSKEAHTSLIGCEPRRLSVHENYDRVQQSINAQRVRSFYPYQLDSDYSVISNVQWVSTTARYLKVLELSNIPITTLPRDIGSLFNLHYLGLRRTKVKQLPESIDRLQNLRTLDIYLTEIGKLPSGVTRLRLLRHLIAGKAEATYFGLADVYSGVQMPNGTWQSLDINVFTGISASNKLVEQLAKLTQLRSLKLSDVKSTHYAKLFASISKMRLLQSLLIETANRDECVSLEALNPAPHHLELLFMKGKLHESVIGCHLFEAGWFPKLQTLTLAELQNVNSIVIQEYSMPNLYNLALIGLKNLEYLPQGMEFLKSVEEFNLVGMHHKFMEDVQAGSSYEKVKHIPVVDYFDQSKGRWDRLSRVYGKDQDNKHQQ >OGLUM04G00950.1 pep chromosome:ALNU02000000:4:1095713:1099548:-1 gene:OGLUM04G00950 transcript:OGLUM04G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVFVGNLDYDTRHSELDRLFYRYGRIDRIDMKSGFAFVYFEDERDGDEAIRALDGYPFGPGRRRLSVEWSRGDRGSRRDGYSKPPVNTKPTKTLFVINFDPINTRVTDIERHFEPFGKLSNVRIRRNFAFVQFETQEEATKALEATHSTYESDSPLLNLVEIPYAKLPDFLICHVNKLLDRVISVEYAFRDDTERGDRYDGARGGYGRRDDSPYRRSVSPVYRSRPSPDYGRQRSPVYGSYDRSPVNDRYRSRSPVRRSRSPLANRRAYD >OGLUM04G00960.1 pep chromosome:ALNU02000000:4:1100664:1101628:1 gene:OGLUM04G00960 transcript:OGLUM04G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADAILSSQVAGECLKINKLAAASPVKVVQVQKPSKETKNISAAPVAAAAVKVVVSKQVMKPRFAVELDGLNCFETLVPR >OGLUM04G00970.1 pep chromosome:ALNU02000000:4:1104627:1108402:1 gene:OGLUM04G00970 transcript:OGLUM04G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate dehydrogenase E1 alpha [Source:Projected from Arabidopsis thaliana (AT1G01090) TAIR;Acc:AT1G01090] MAAASSFTAAAKFLAPVAARSAGDYKPPLPLPASASLRPGRKPAPRLRTALAVSSDVLPGNKAAPAAAAHSAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPARSVMAELFGKATGCCRGQGGSMHMFSEPHNLLGGFAFIGEGIPVATGAAFAAKYRHEVLKQSSPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKSHYAARDPITALKKYIIEQNLATESELKSIEKKIDDVVEEAVEFADASPLPPRSQLLENVFSDPKGFGIGPDGKYRCEDPLFTQGTAQV >OGLUM04G00980.1 pep chromosome:ALNU02000000:4:1113447:1115886:-1 gene:OGLUM04G00980 transcript:OGLUM04G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALAVVGEAPPETPALPPPRAAAEDSCRNNELVAVVPRTAVAAAAPVEDEGLAVDTGDLMHLRRLVEEKDGGPSWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHDTLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >OGLUM04G00980.2 pep chromosome:ALNU02000000:4:1113449:1115886:-1 gene:OGLUM04G00980 transcript:OGLUM04G00980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDLLMGVFRQPTLGGVALELASLAAPLWLAALVGLLIGWAWRPRWALAVVGEAPPETPALPPPRAAAEDSCRNNELVAVVPRTAVAAAAPVEDEGLAVDTGDLMHLRRLVEEKDGGPSWIHMMDKTLPTMRYQAWRRDPEGGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNTWDDMLLQHDTLEECTKTGTMVLRWVRKFPFFCSDREYIIGRRIWASGKTYYCVTKGVPRPSVPRCNKPRRVDVYYSSWCIRPVESRNGDGSMTACEVLLFHHEEMGIPREIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPLSKCAAMAHVNTKVDPDELITAEDKTEASSTNNAEAEKPKHWTGNIPKVILVGGAVALACTFDHGLLTKALIFGTARRFAGPGRR >OGLUM04G00990.1 pep chromosome:ALNU02000000:4:1144438:1150969:1 gene:OGLUM04G00990 transcript:OGLUM04G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRPRTPLLTALLLVSLAAAAATLSQGCDGGERRALLRSIKPLFVGGEFGYGDAWNESTDCCGWEGVVCGGGGGGHRVVSLSLVKAGIAGAVDGAVFAAFTALRELDLSSNGITAFSLPSAGGEHAFQKLSKLSLLHNSLTDEGVAALVINLNTLLELYLGRNQLLTTSWISNLMSLRAVDLSQNFLHGYNGISSPLFVCIQGNLYHFCGTKFSSNICVLVLSNYDIDLYLFTMIYTGICNLHQLEYLHLGVNMLHGTINSCLGKLHQLKYLNMERNFLTGEIAPNLLINLTKLETIHLGVNNLTGTFMLSWLANSSNLVDVVLSHNYNLKIETELVRWTPLFQLVYLNLSNCVINRRSDGVVPTFLSTQLSLFGIDLSHCSLQGRIPPWLFYNLSDFVLLNGNRMDLIDMDGLGGNMTSLVQVLDLSENKILMSILTNFGSIFQFLDYCDMSSNRLYGGIPSLVEATSLEVLDLSSNNLSEEILPTLIGNLSILKSLLLSNNDLTRPMPPFHWNLGSLEHLSLENNRFSGRLSKLLSNSSKLKTLNVRNNHLSGIIPDGLLSFQQLGVILLGGNDFHGPIPLDLCFNNYLHFVDLSNNQFSGEIPNCFYNDFWTDLPMYFNDDPFSGNITERMSVDFTTKGENLTYMGEPLVLMTGIDLSMNQLSGTIPPPLGFLRQLKSLNLSHNQLVGPIPETFMYMQDMERLDLSYNHLNGSLPMQLANLSFLCSFNVAYNNLSGEIPFEQQLGTFDESAFEGNDNLCGEIINKNCSSVLHQNQGGVFDAIDTPLVFWSFVFGCFALGFWGTVALLIWDEGCRRRLCDLMDALMFKVGWEFVP >OGLUM04G01000.1 pep chromosome:ALNU02000000:4:1155680:1166954:1 gene:OGLUM04G01000 transcript:OGLUM04G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLSRQCLLLTFLLHFFLQTAWSLHALKQSEPPRKIYIVYLGERKHDDADLITDSHHAMLTSVLGSKEEALESIVYSYRYSFSGFAARLTKAQASKLRRLSDVVSVKENQIHQMHTSRSWDFLGMDYRQPNGLLAKAKYGDGTIIGVIDSGVTPESASFADTGYDPPPKKWKGICQVGPSFKAISCNRKLIGARWYIDDEILSSISNNEVLSPRDVEGHGTHTASTAGGNIVQNVSFLGLAAGTVRGGAPRARLAIYKACWSGYGCSGATVLKAMDDAVYDGVDVLSLSIGGTKEDVGTLHVVANGISVVYAGGNDGPIAQTVENQSPWLVTVAATTMDRSFPVVITLGNGEKLVAQSFVLLETASQFSEIQKYTDEECNANNIMNSTVKGKIAFCFMGEMLNNKQQTSYPDVTTAVAAKGGRAVILPRFYTETILQDDPIITDLDIPFVPIDYEMAQRIDEYISNGINGNYIPRAKISLTQTRIGDEISAPKVAVFSSRGPSSIYPGVLKPDIAAPGVSILAAAQIPYYKGVSYHFDSGTSMACPHVAGIIAVLKSIHPKWSPAALKSAIMTTALTYDNNGMPIQANGRVQKIADPFDYGAGFVNPVMAADPGLIYDITASDYLKFFNCMGGLGSGDNCTTAKGSLTDLNLPSIAIPNLRTFQAMTRTVTNVGQVNAVYKAFFQAPAGVEMVVEPPVLVFNKDRRVQSFRVTFKATRKVQGDYRFGSLAWHDGGSHWVRIPIAVRIVIEEIYSKIS >OGLUM04G01000.2 pep chromosome:ALNU02000000:4:1166698:1175149:1 gene:OGLUM04G01000 transcript:OGLUM04G01000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKANSYAPIYSISCVVGQQSQSKKIYIVYLGERRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRGK >OGLUM04G01000.3 pep chromosome:ALNU02000000:4:1172836:1175149:1 gene:OGLUM04G01000 transcript:OGLUM04G01000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAGVHRGGCCRLLFLLVTALLLRRSCVVGQQSQSKKIYIVYLGERRHDDADVVTGSHHDMLASVLGSKEVALESIVYSYRHSFSGFAARLTEAQASTIRGK >OGLUM04G01010.1 pep chromosome:ALNU02000000:4:1202189:1205168:1 gene:OGLUM04G01010 transcript:OGLUM04G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTYWNGVGCSAAGQLKVIDDAIHDGVDILSLSLGGPFEDPDTLHVVAKGIPVVYSAGNDGSNAQTVENSSPWLLTVAAATMDRSFPVVITLGNNDKFVAQSFAISGKTSSQFGEIQFYEREDCSAENIHNTVKGKIVFCFFGTKFDSEPDYYNITKATSEKGGIGVTLPKYNTDTLLGDTLLTLPIPLVAVDYEITYRIYQYIKENDGTPKVKISLTQTTIGKVSAPKVAAFSSRGPSYIYPGVLKPDIAAPGVTVLAAAPKAFMDAGIPYRFDSGTSMSCPHVSGIIAVLKSLHPKWSPAALKSAIMTTALTNDNNGMPIQANGKVPKIADPFDYGAGVVNPNMAADPGLIYDIEPSDYFKFFNCMGGLGSADNCTTVKGSLADLNLPSIAIPNLRTFQATTRTVTNVGQANARYKAFLYPLLMTVDPPVLVFSKEKKVQSFKVTIKATGRPIQGDYSFGSLVWHDGGIHWVRIPIAVRIVIEVIYSKIS >OGLUM04G01010.2 pep chromosome:ALNU02000000:4:1192809:1202184:1 gene:OGLUM04G01010 transcript:OGLUM04G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRNIPKVADPFDYGAGFINPNMAADLGLIYDIAASNYLKFFNCIGGLATGDNCTTAKRSLADLNLPSIAIPNLKTFQTATCILTNGRWEKLHRCRLRFLVRVDLQWGIGLEPHRPDSPPPLLVVVIVTRASSVSLSRCLSCGEKNLSSPLLVVVVVTRAGTGSPSPPPHQRSRRKSPRSPQGEREKCGYSITPESPSFADDGYGPPPSKWKGVCQVGPSFKAKSCNRKLIGARWYIDDDTLRSMSKDEILSPRDVVGHGTHTASTAGGNIIHNASILWLPAGTVRGGAPRA >OGLUM04G01020.1 pep chromosome:ALNU02000000:4:1206215:1206993:1 gene:OGLUM04G01020 transcript:OGLUM04G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLHQMWLPFVTCNPHLTNPFFQHNNSESGSYVPKAKISLTQSTIEGEISAPKVTAFSLWGCSSIYPGVVKTDIAAPRVSVIATAQTSYDNGTPYHISPGTSMSFPRV >OGLUM04G01030.1 pep chromosome:ALNU02000000:4:1224948:1234866:1 gene:OGLUM04G01030 transcript:OGLUM04G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSRQSLFLSFLLQFLLQLPWSSHALKQSEPPTKLYVVYLGERRHDDADLVTDSHHDILASVLGSKEAALESIVYSYRYSFSGFAARLTKAQASIIRGLPDVVSVRENHIHQLHTSRSWDFLGMDYRQPNGLLAKANYGEDIIIGVLDTGITPESPSFADDGYGPPPSKWKGICEVGPSFEAKSCNRKLIGARWYIDDDTLSSISKNEILSPRDVEGHGTHTASTAGGNIVHNASILGLATGTVRGGAPRARVAMYKICWSGSGCSAAVQLKALDDAVYDGVDVLSLSLGSPLEDLGTLHVVAKGIPVVYSAGNDGPIAQTVENSSPWLLTVAAATMDRSFPVVITLGDNHKFVAQSFVLSRQTTSQFSEIQVFEGDDCNADNINSTVKGKTVFCFGTKFDPEPDINSIIKVTGEKGGTGVIMPKYNTDTLLQDDPLTLPIPFVVVDYEIAYRIYQYTNENDGTAKVKISLTQTTIGKVTAPKVAAFSSRGPSSIYPGVIKPDIAAVGVTILAAAPKDFIDLGIPYHFESGTSMACPHVSGIVAVLKSLHPEWSPAALKSAIMTTALTYDNDGMPIQANGRVQKIADPFDYGAGFINPNMAADPGLIYDISASDYLKFFNCMGGLGSGDNCTAVKGSLADLNLPSIAIPNLKTFQVATRTVTNVGQANAVYKAFLQPPVGIEMAVEPPVLVFSKDRKVQSFKVTFKVTRRPIQGDYRFGSLAWHDGGNHWVRIPIAVRIVIEEIYSKIS >OGLUM04G01040.1 pep chromosome:ALNU02000000:4:1240179:1245452:1 gene:OGLUM04G01040 transcript:OGLUM04G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFSDQLYIVYLGERQHEDADLVTASHHDILTSILGSKEETLRLIVYSYRHGFSGFSAMLTQSQARKIAGLPGVLRVTENQIYKAHTIRSWDFLGLDYKQTNGLLAKARYGEDVIIGVVDSGITPESPSFDDNEYGPLPSKWKGICQVGPSFGANSCNRKIIGARWYAYDVPNGTLNTEILSPRDVHGHGTHTASTAGGNIVHNVSCLGLATGTVHGGAPRARLAIYKACWATPDGSAGCSDAGVLKAFDDAVHDGLCATAVVVQRHQLFPPTANPCCYHRSDLVP >OGLUM04G01050.1 pep chromosome:ALNU02000000:4:1249452:1250557:1 gene:OGLUM04G01050 transcript:OGLUM04G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVGVGGGLKLMRARGLLVFSEMMALLLICLVMMSSSVNTCQGRSLLAASVGEEKVPHFEQPVGCFEPPCR >OGLUM04G01060.1 pep chromosome:ALNU02000000:4:1250524:1251960:-1 gene:OGLUM04G01060 transcript:OGLUM04G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPGGSGGGRLALPSAISGGIGGSGMGGRAAAQWDLVTSMGRRRFKWRTQLSSVHGVVGGQDQTNGGGTGGIEAVYGKCGPVCTSFHPGADYSLHTRPYKVSGSTQKLQKRLKPGAQVGTENMRKASIHQQPQEEETPGLVHVSLSIQIWS >OGLUM04G01070.1 pep chromosome:ALNU02000000:4:1252145:1261669:-1 gene:OGLUM04G01070 transcript:OGLUM04G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTRSPWCCRRRTAIKLFTTLSLLLLLPTTTKVCRSCSDGERHALLRRIQPLIGPEFSSNGRLDWDEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNGMIDFSINMWLRLQFTQHLVYLDMGSNFLTGEIGQNLLSNLTQVEEVHLGDNNLTGTFDFSSLANNSELHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRCNGIIPTFLSAQVSLSGIDLSICSLQGRIPSWMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGRVPSLAEAVSSLQVLDLSFNRLDGEISLEFIGNASILTSLLLSHNDLTGPMPPFHWIPRQLIHLSVENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPVGFLRQLKSLNLSHNKLVGSIPDTFMYLLKMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGEIVDKICLMNLNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILAGRMETGIRRNRWTMTEKHAFAWIQAPQRSLVPVGITNPD >OGLUM04G01070.2 pep chromosome:ALNU02000000:4:1252145:1261669:-1 gene:OGLUM04G01070 transcript:OGLUM04G01070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTRSPWCCRRRTAIKLFTTLSLLLLLPTTTKVCRSCSDGERHALLRRIQPLIGPEFSSNGRLDWDEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNGEIGQNLLSNLTQVEEVHLGDNNLTGTFDFSSLANNSELHSIVLSNNYKLEIETELVRWTPLFQLEYLNLSNSIVNKRCNGIIPTFLSAQVSLSGIDLSICSLQGRIPSWMLLYNVSLGFLLLRGNSMDFLDTGNLGANVTSSMEVLDLSNNMISMPMPYNLGSLFPYLKYLDMSSNMLHGRVPSLAEAVSSLQVLDLSFNRLDGEISLEFIGNASILTSLLLSHNDLTGPMPPFHWIPRQLIHLSVENNQLSGGLPPLLMNCTNLENLNVRNNRLSGVIPVGLLNFEKLGALLLGGNQFHGVIPWDICLNNNLHFIDLSNNRFSGEIPGCLYSVFWSELPMYYEDDPFGNITQRRQTYVEFTTKGESLTYMGMPLELMTGIDLSMNRLSGTIPSPVGFLRQLKSLNLSHNKLVGSIPDTFMYLLKMESMDLSHNHLNGSVPVELANLSFLSFFSVAYNNLSGEIPFESQLCTLNGTAFEGNENLCGEIVDKICLMNLNHSHDSDDEMHQLLSTDTMDTPLIYWSFVAGSFAIGFWGIIALLIWNTTFRSRLCSFMDGCMSKMGWILAGRMETGIRRNRWTMTEKHAFAWIQAPQRSLVPVGITNPD >OGLUM04G01070.3 pep chromosome:ALNU02000000:4:1252145:1261669:-1 gene:OGLUM04G01070 transcript:OGLUM04G01070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRTRSPWCCRRRTAIKLFTTLSLLLLLPTTTKVCRSCSDGERHALLRRIQPLIGPEFSSNGRLDWDEAVDCCRWEGVTCSVAGRRREAAGGRRVVSLSLPGVGIAGAVDAAVLAPFTALEKLDLSGNQITSFSAANRSDMVVGAVLNNLTALTELHLAGNEITTTGWISNLTSLQVIDMSSNKLHELNVETGIRRNRWTMTEKHAFAWIQAPQRSLVPVGITNPD >OGLUM04G01080.1 pep chromosome:ALNU02000000:4:1263449:1263756:1 gene:OGLUM04G01080 transcript:OGLUM04G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPNRGFMHMLSQGSQSQTSGNGSQNSTSPQFPSIFSQSQFSQSSTPTFQNFHPFGAPTNYQPYGNSTPSFHAASTLVTLYTSEFSRFSSSGKLGVLT >OGLUM04G01100.1 pep chromosome:ALNU02000000:4:1270415:1270813:1 gene:OGLUM04G01100 transcript:OGLUM04G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIWGEEPVDAPEGWGGGGRGGEGVVAAIRTTSSRSRPESMAALMEGFWIGIGRELDGEALRDRELLLRRPRLLGIEASEAGLMRRPTAPDQAMQAAATMESLSFFLDKAAMESRLGIASAAGGTEEVTGG >OGLUM04G01110.1 pep chromosome:ALNU02000000:4:1271743:1275328:-1 gene:OGLUM04G01110 transcript:OGLUM04G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSWNQGSSVCSWAGVRCNRQGRVSVLDVQNLNLAGQISPDIGNLSALQSIYLQKNRFIGNIPDQLGRLSLLETLNGSSNHFSGSIPSGLTNCTHLVTLDLSANSITGMIPISFHSLQNLKMLKLGQNQLIGTIPPSLGNMSLLTTLDASTNTIAGEIPKELGHLRHLQYFDLSINNLTGTVPRQLYNISNLAFFAVAMNKLHGEIPNDISLGLPKLHIFIVCYNKLTGHIPPSLHNITKIHSIRISHNFLTGKVPPGLQRLSKLVWYNIGFNQIVHTTSILDDLTNSTKLEYLGIYENQIVGKIPNSIGNLSSSLENLYIGGNRITGQIPPMIGRLTRLTLLNMTDNLLDGEIPLEISYLKDLNALGLSGNNLSGPIPTQFGNLTALTMLDISKNRLAGSIPKELGHLSHILSLDLSCNNLNGSIPDTVFSLTSLSSILNMSYNALTGVIPEGIGRLGNIVAIDLSYNLLDGSIPTSIGKCQSIQSLSMCGNAISGVIPREIKNLKGLQILDLSNNRLVGGIPEGLEKLQALQKLNLSFNDLKGLVPSGGIFKNSSAVDIHGNAELYNMESTGFRSYSKHHHKLVVVLAVPIASTVTLLIFVGVMFMLWKSKCLRIDVTKVGTVIDDSILKRKLYPLVSYEELFHATENFNERNLVGIGSFSSVYKAVLHDTSPFAVKVLDLNKIGATNSWVAECEILSTIRHRNLVKLVTLCSSIDFTGNEFRALVYEFMTNGSLEDWIHGPRRHEDSERGLSAVEVLSIAIDIASALEYMHNGSCRAGQIVHCDIKPSNVLLDGDMTAKIGDFGLARFHTQTCVRDEESVSTTHNMKGTIGYIPPEYGYGAKTSTSGDVYSYGIMLLEMITGKSPVDQMFGGEMNLEKWARVSIPHQADEVVDKRFMIKAGSGESSAAGQQQQQVDTVDSKLLLETLLVPMVDVALCCARESPDSRISMHDALSRLKRINEKFLKSLAVSTP >OGLUM04G01120.1 pep chromosome:ALNU02000000:4:1285035:1291654:1 gene:OGLUM04G01120 transcript:OGLUM04G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFSGAEVVMIVAITICLYTFAFVSGIILAWGLDKKPPRASNFTVDRRRRLSSINNGNNAEDHHGRQAAAAVVVPRAVSTRPTTMNPISSPSSSTKVSTGESLTTMERRSAAKVGLWGGSGGRPFDIRPSATVPRRQNSISLYHSDGAIHSLYYDYYMKSQRRGGGGDELKLIKLSADEQVTSVEGTIGRFRDVDEPVITSLTFRTNAG >OGLUM04G01130.1 pep chromosome:ALNU02000000:4:1293974:1295749:1 gene:OGLUM04G01130 transcript:OGLUM04G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVLSGISVVILVIVCISLYTFAFFAGLGLGQKLERTSKRRHRRRDQPAAGEDEEDDHGRSRRQAAAAPAVETAAAGDYKLYSSAMIQHPHHRAIITTTAAAAARSRPVVTQVGAWGGCGGRPFDMIPSTIPRRLNSIALFHSSGAIHSLYFDYYIQQQQQHGGRDRHGGGQLKLMNHAVEGTVGNFRDVDDPVITSLTFHTNAGRKYGPYGGNGKQGTPFSIPVGKGCIFVGFWGRCGWLLDAIGVYVSPQS >OGLUM04G01140.1 pep chromosome:ALNU02000000:4:1297455:1299143:1 gene:OGLUM04G01140 transcript:OGLUM04G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLERRFSWLAVVTIVLVSNSVYTCSFLAGVALGRALERSNNLHPSSVNGAVDDDTEYSVLPGRRSSSSLVGKKVGPWGGSGGWHYFGIGGSSSRSSPVLPRRLNSIVLYHSRGAIHSLYYDYYVQIHPQKQGGGGGYGQLNLVKNGPWGQKYSFDFIAVRETIKLSDDEQVIAMEGTFGHFRDVVEPVITSLTFRTNAGRTYGPYGGGEPGSGTPFSVPAEEGRIIVGFWGRAGWLLDSIGVYVRRER >OGLUM04G01150.1 pep chromosome:ALNU02000000:4:1364746:1372277:1 gene:OGLUM04G01150 transcript:OGLUM04G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKGVTVSSASKLRKAFGSSFLSIDCGLDRDYSTDIQAGGITYVPDGTYVDAGVNGKVTTVYRDDWKGPPYQTLYTLRSFPSSVTGDRNCYSLPTNEGDKYIVRLEFLYGNYDGMDSTSLQFNLTLGVNHWDTVILDTGTNYGYKAYAAVFDAWSRWTPVCLVNIGSGTPFVSTVELRPLESLAYPTVNQSLSLYERRSMRSGTDFDIIRFPDDKYDRYWYAWELTENEHYSNISTPLAIEPDTDFKVPLHVLQTAFVPDNKSREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEELSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMTIKHEYGIRKNWMGDPCYPSNSVWDGVECTSHGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDRDMCKKPITSSSSRNRAATLAVSVVAPVLVVAMLVVAYLIWRAKRKPHDDSPMVPQLICSPRHPTNHLDHLKKPENRRFTYEELEKFTDSFKRLIGHGGFGNVYYGCLEDGTEVAVKMRSESSPHGLDEFLAEVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWARRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKAYHSDSQTHISATVAGSVGYIDPEYYVTGRLTERSDVYSFGIVLLEVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTTDIASQRPMMSAVVTQLKESLELEEAPGNKGDIENVARDDTSSMSMFSPSAR >OGLUM04G01150.2 pep chromosome:ALNU02000000:4:1364746:1372277:1 gene:OGLUM04G01150 transcript:OGLUM04G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKGVTVSSASKLRKAFGSSFLSIDCGLDRDYSTDIQAGGITYVPDGTYVDAGVNGKVTTVYRDDWKGPPYQTLYTLRSFPSSVTGDRNCYSLPTNEGDKYIVRLEFLYGNYDGMDSTSLQFNLTLGVNHWDTVILDTGTNYGYKAYAAVFDAWSRWTPVCLVNIGSGTPFVSTVELRPLESLAYPTVNQSLSLYERRSMRSGTDFDIIRFPDDKYDRYWYAWELTENEHYSNISTPLAIEPDTDFKVPLHVLQTAFVPVGNSNELVLPLKRRDRLPGDHLVILHFADFQDNKSREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEELSIKLVATATSALPPILNAYEVYSRIIHEYPMTFSQDFEAIMTIKHEYGIRKNWMGDPCYPSNSVWDGVECTSHGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNLSCNQLTGTIPDYLRKSNGSIVFSYESDRDMCKKPITSSSSRNRAATLAVSVVAPVLVVAMLVVAYLIWRAKRKPHVSTPVSTDDSPMVPQLICSPRHPTNHLDHLKKPENRRFTYEELEKFTDSFKRLIGHGGFGNVYYGCLEDGTEVAVKMRSESSPHGLDEFLAEVQNLTKVNHRNLVSLIGYCWEKEHLALVYEYMSSGNLSDYLRGKAGLGGTLNWARRIRVMLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKAYHSDSQTHISATVAGSVGYIDPEYYVTGRLTERSDVYSFGIVLLEVTSGEPPIIPGNGHIIQRVMQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTTDIASQRPMMSAVVTQLKESLELEEAPGNKGDIENVARDDTSSMSMFSPSAR >OGLUM04G01160.1 pep chromosome:ALNU02000000:4:1374868:1376389:-1 gene:OGLUM04G01160 transcript:OGLUM04G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLKRSLPQLTSAPSIFICPNIRPLTSGGQNGQNIYSSRTPSDYYLTTVDDSIKIKLPRLSPPKRSLSNQPIIYAKVFKISKGVTQISPSPIGSAPPSGKISDLPNAVEEQRLKLNAFFKHLVSLIKTVRDIPGSIEQDQRDIDEIDHIHLHAINAIQNFLGL >OGLUM04G01170.1 pep chromosome:ALNU02000000:4:1385528:1396189:-1 gene:OGLUM04G01170 transcript:OGLUM04G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAFHRGGHCRLLFLLLLALSLRRSCVVGQQSQSKKLYIVYLGERQHEDADLVTATHHDMLTSILGSKEETLKSIVYSYKHGFSGFSAKLTESQAIKIAGLPGVLRVTENQIYKTHTTRSWDFLGLDYKQANGLLANARYGENVIIGIIDSGITPESPSFDDVGYGPPPSKWKGVCQVGPSFEAKNCNRKIIGARWYIDDDAKNILIPEEVLSPRDVNGHGTHTASTAGGNIVHNVSFLEQAVGTARGGAPRARLAIYKSCWTTSQGPGCSGAGLLKAMDDAVHDGVDILSLSIGGPIEDPGTLHVVANGIPVVYAAGNDGPIAQTVENSSPWLVTVAAATMDRSFSAVITLGNNEKFVAQSFIRKQSAIQFSEIQVYTSGDCNADKMNSTVKGKIVCCFVTNFDRQLDYVTITKAVAAKGGRGVILSRYTTDTFTPYSLVSLDVPLVPVDYEIAYSIFQYCINSKTGVPKAKISFSRMTIGSEISAPKIAAFSSRGPSPVYPGVLKPDIAAPGVSILAASPKTSISKGVPYNFDSGTSMACPHVTGIIAVLRSLHPEWSPAAVKSAIMTTALTYDNNGMPIQANGKTPKIADPFDYGAGFVNPSKAADPGLIYDIAASDYLKFFNCMGGLATGGNCTTTRGSIAELNLPSIAIPNLTTFQGVTRTVTNVGQVDAVYKSFLQPPAGVEMVVEPPVLVFSKEKKVHSFKVTFKATRRVQGDYRFGSLAWHDGGSHWVRIPIAVRIVLEDIYSKIA >OGLUM04G01180.1 pep chromosome:ALNU02000000:4:1420505:1420711:1 gene:OGLUM04G01180 transcript:OGLUM04G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCSGCQRVDSSASASAAADVIRLEVGMTKGERSLFHINSVVLEAGPAGGPAAAWWRSPWTRYCSST >OGLUM04G01190.1 pep chromosome:ALNU02000000:4:1420964:1427296:-1 gene:OGLUM04G01190 transcript:OGLUM04G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSASDRPRISGPCSAARLAEDGPVQEEGRRQEDSEEEGPKEDKENKNEEKIIGEGPGGSSNKQQVRDEEEIDSAETGEKVHIPEYRKDDSEDSEGESGKKKKKKKVQKAAVAKRQSSRLIRDGVPVSLKARREYLRRTTFQLVFPAAVSNL >OGLUM04G01200.1 pep chromosome:ALNU02000000:4:1428995:1429418:1 gene:OGLUM04G01200 transcript:OGLUM04G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKTSQALSHQSDPILTASHRRKRSASEEMQVMKIKLKPFVAPVLRPTQTASSGFAVTFVRPGSIANVSESPLPKGSVQFFFLM >OGLUM04G01210.1 pep chromosome:ALNU02000000:4:1434694:1466243:1 gene:OGLUM04G01210 transcript:OGLUM04G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSRCRIVIVVLLVLLLPLQTSCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTTILGRQEPLSKLYALISVTHGFSGFAAMLTESQAQEIAELPEVRSIKPSSVHRLHTTHSQDFLGLDYTKPTGLLHDAKYGDGVIIGIIDTGIWPESASFSDDGLGPVPSKWKGTCQTGQEFSSNQCNRKIIGARWYDKHLTPKDLEGEYRSARDANSHGTHVASTAAGALVPNVSFHGLAAGYARGVAPHARLAVYKACWGQGSCDEAAVMQAIDDAIHDGVDLLSLSLGGPSFEYSTSLHAIEHGITVIFSAMNDGPAPRTVTNASPWVISVASVTMDRAFPTVITLSDSTSNFVGQSLFYDTDDKNDNWYEVYQSSPRSVSLTSPTIQPVMNIVLAIDALKEAGAKGIIFAAYAFDMLDAVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAHTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFKSGTSMACPHVSGVIALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDLKEYTSLFDCFSAANSSCESESRNLNLPSIAIPNLKEPTTVLRTVTNVGQADAVYKAAVQSPPGVKISVEPSVLQFSQSKKKQSFKVTFSMTHKFQGGYLFGSLAWCDGGAHYVRIPIAPCQVGCLPWQPASSSSQHSSSSFHCKHPTLWSPNPTIKHQKSKEEALESIIYSYKHAFSGFAAMLTESQAQKIAELPEVRSIKPSRVHPLHTTHSQDFLGLDYTKPTGLLHDAKYGDGIIIGIIDSGIWPESASFSDHGLSPIPSKWKGQCQAGEAFRSNQCNRKIIGARWYDKHLSVEDLKGEYRSARDAHGHGTHVASTAAGALVPNISFHGLAAGYARGVAPHARLAVYKACWGLGASCHDVGIIKAFDDAIHDGVDVLSLSIGKSGDEFFSSFHAVKNGITVIFAAGNEGPAPRTVTNASPWVITVASATIDRAFPTIITLANGSSSIVGQSLFYQPKDNNNWYEIHHSSCLIKDGEKINASLASGKIVFCYSPLSVSITSPFGYVSHAVKAAKEAGAKGIIIATYGLDILDYFEKCGAMPCIFVDFDAVGQINSSGDENTTPLVKIAPARTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQDDAIYKAVVQCPPGVRISVEPSVLQFKQGKKKQSFKVTFSMTHKVQGSYLPVHYGDNDGGPAAREELYVAYLGEKQHEDPEKTTASHYDMLTSILGSKEEAIESIIYSYKHGFSGFAAMLTESQAQQLAELPEVHSIKPSSVHPLHTTRSQDFIGLDYNKPTGLLHDAKRGDGVIIGVIDTGIWPESASFSDDGLGPVPSKWKGICQAGQEFSSNLCNRKIIGARWYDKNQTPKDLERNYRSARDAIGHGTHVASTAAGALVPNVSFHGLAAGYARGTAPHARLAVYKACWSRGCKEADVMQAVDDAIHDGVDVLSISAGFPSLEYYSTLHAVNNGITVVFAAGNDGPAPRTVTNASPWSISVASATVDHKVDDFPPTTYISSTATVAREAGAKGIIFPTYALDAVDFIQDCGTIPCVLVDFDVATHMLYALNRSTEWVVKVSPALTWIGNEVLAPRISTFSSRGPSPLFPQFLKPDVAAPGSNILAAVQDSYKFMSGTSMACPHVSGVAALLKALYPDWSPAIIKSAIVTTASNDRFGFPILADGLPQKTADPFDYGGGFIDPNRAVDPGLAYDVDPKDYTPFHDCFLAGHSSCESQSRNLNLPSIVIPNLKVPTTVLRTVTNVGQADAVYKAVVQSPPGVQILVEPSVLKFSKGKNKQSFKVTFTTKHKVQGSYLFGNLAWHDGGAHYVKIPIAVRPVISNNYYSDV >OGLUM04G01210.2 pep chromosome:ALNU02000000:4:1434694:1466243:1 gene:OGLUM04G01210 transcript:OGLUM04G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAACNEGSRCRIVIVVLLVLLLPLQTSCQQSTTKKLYVVYLGDKQHEDPEQTTASHHDMLTTILGRQEPLSKLYALISVTHGFSGFAAMLTESQAQEIAELPEVRSIKPSSVHRLHTTHSQDFLGLDYTKPTGLLHDAKYGDGVIIGIIDTGIWPESASFSDDGLGPVPSKWKGTCQTGQEFSSNQCNRKIIGARWYDKHLTPKDLEGEYRSARDANSHGTHVASTAAGALVPNVSFHGLAAGYARGVAPHARLAVYKACWGQGSCDEAAVMQAIDDAIHDGVDLLSLSLGGPSFEYSTSLHAIEHGITVIFSAMNDGPAPRTVTNASPWVISVASVTMDRAFPTVITLSDSTSNFVIVLCNSPRSVSLTSPTIQPVMNIVLAIDALKEAGAKGIIFAAYAFDMLDAVESCGSMPCVLVDFEVAQQIKQSADENTALVVKVAAAHTWIGGEVLAPKISAFSSRGPSPLYPEFLKPDIAAPGSNILAAVQDSYKFKSGTSMACPHVSGVIALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDPNRAVDPGLAYDVDLKEYTSLFDCFSAANSSCESESRNLNLPSIAIPNLKEPTTVLRTVTNVGQADAVYKAAVQSPPGVKISVEPSVLQFSQSKKKQSFKVTFSMTHKFQGGYLFGSLAWCDGGAHYVRIPIAPCQVGCLPWQPASSSSQHSSSSFHCKHPTLWSPNPTIKHQKSKEEALESIIYSYKHAFSGFAAMLTESQAQKIAELPEVRSIKPSRVHPLHTTHSQDFLGLDYTKPTGLLHDAKYGDGIIIGIIDSGIWPESASFSDHGLSPIPSKWKGQCQAGEAFRSNQCNRKIIGARWYDKHLSVEDLKGEYRSARDAHGHGTHVASTAAGALVPNISFHGLAAGYARGVAPHARLAVYKACWGLGASCHDVGIIKAFDDAIHDGVDVLSLSIGKSGDEFFSSFHAVKNGITVIFAAGNEGPAPRTVTNASPWVITVASATIDRAFPTIITLANGSSSIVGQSLFYQPKDNNNWYEIHHSSCLIKDGEKINASLASGKIVFCYSPLSVSITSPFGYVSHAVKAAKEAGAKGIIIATYGLDILDYFEKCGAMPCIFVDFDAVGQINSSGDENTTPLVKIAPARTWVGGEVLAPKISTFSSRGPSPLLPQFLKPDVAAPGSNILAAVKDSYKFQSGTSMACPHVSGVAALLKALHPDWSPAIIKSALVTTASNDRYGLPILANGLPQKIADPFDYGGGFIDPNKATDPGLAYDVDPKDYDLVVNCESANSSCESIFQNLNLPSIAIPNLTMPTTVLRTVTNVGQDDAIYKAVVQCPPGVRISVEPSVLQFKQGKKKQSFKVTFSMTHKVQGSYLPVHYGDNDGGPAAREELYVAYLGEKQHEDPEKTTASHYDMLTSILGSKEEAIESIIYSYKHGFSGFAAMLTESQAQQLAELPEVHSIKPSSVHPLHTTRSQDFIGLDYNKPTGLLHDAKRGDGVIIGVIDTGIWPESASFSDDGLGPVPSKWKGICQAGQEFSSNLCNRKIIGARWYDKNQTPKDLERNYRSARDAIGHGTHVASTAAGALVPNVSFHGLAAGYARGTAPHARLAVYKACWSRGCKEADVMQAVDDAIHDGVDVLSISAGFPSLEYYSTLHAVNNGITVVFAAGNDGPAPRTVTNASPWSISVASATVDHKVDDFPPTTYISSTATVAREAGAKGIIFPTYALDAVDFIQDCGTIPCVLVDFDVATHMLYALNRSTEWVVKVSPALTWIGNEVLAPRISTFSSRGPSPLFPQFLKPDVAAPGSNILAAVQDSYKFMSGTSMACPHVSGVAALLKALYPDWSPAIIKSAIVTTASNDRFGFPILADGLPQKTADPFDYGGGFIDPNRAVDPGLAYDVDPKDYTPFHDCFLAGHSSCESQSRNLNLPSIVIPNLKVPTTVLRTVTNVGQADAVYKAVVQSPPGVQILVEPSVLKFSKGKNKQSFKVTFTTKHKVQGSYLFGNLAWHDGGAHYVKIPIAVRPVISNNYYSDV >OGLUM04G01220.1 pep chromosome:ALNU02000000:4:1500954:1505063:1 gene:OGLUM04G01220 transcript:OGLUM04G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVLLCLAIAAATAVCAASSGAPPSPDAAAVAVGSCPTYSGSGYSSASDGGNQEEYDPNKENPCKRSCGSMAIPFPFALLSACSGSKRFLLNCTSNKTLIGIPPAQYQVINISLDDGVLFVNKPSNLGDIITTPTVANELHDFDFSGSQGIWRWAVANQTCHTARTDQLSYACVSNYSLCVDRSTGYHCKCSLGYGGNAYIEDGCVDIDECSLPNFCNGNCQNLPGSYRCSLCPRGSIFDPAKRVCIYGHGLHPAGLLIGLGCGIGVLFLVVGLILFVRRWRRHMQRKIRKEYFRKNKGLLLEQLMSSDENIAHDPKIFSLEELEKATDNFHSTRILGCGGHGTVYKGILLDQRVVAIKKSRIVEQNEIDQFINEVAILSQIVHRNVVKLFGCCLESEVPLLVYEFISNGTLYDLLHGEQSTAFSLTWEDSIRIALEVASALSYLHSAATIPIFHRDVKSANILLNDNYTSKVSDFGASRSISIDETRVVTIVQGTFGYLDPEYFHTCQLTEKSDVYSFGVILVEILSRKKPILVNCFGEKQNLGHCFLQTQQNGTIMEIVDPQIAKEANESEINEMASLAEICLRIRGEERPKMKEVELRLQLLRAMITERNRQELLRNNGIGPSVQSNSSTTSVTRSVVLRAGIGISTDQDITRCYTMEQELVSWTDLPR >OGLUM04G01230.1 pep chromosome:ALNU02000000:4:1518091:1521262:1 gene:OGLUM04G01230 transcript:OGLUM04G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISPIFYTSKVVKYAKEAGAKGIILATYAFDTLDAFEICGSMPCVLVDFDVATGLYYALVQNTELVVMVTPALTWLGNGVLAPKISTFSSRGPSPLFPKFLKPNVAAPGSNILAAVKDSYTFKSGTSMACPHVSGVAALLKALHPDWSPAIIKSAIVTTASNDRFGLPILADGLPQKPVDPFDYGGGFIDLNRAVDPGLAYDVDPKDYIPFHDCFLAGNSSCEFESRNLNIPSIAILNLKEPTTVLRTMTNVGQADAIYKAVVQSPPGVQILVEPSILKFSAGMNKQSFKVTFTTTHKVQGNYLFGSLAWHDGGAHYVKIPIAVRPVLSNNYYSDV >OGLUM04G01240.1 pep chromosome:ALNU02000000:4:1533563:1538942:1 gene:OGLUM04G01240 transcript:OGLUM04G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAGEVDWREEIFQKIKSFKDAYLSEVLEYNQIVHVPKLTEEQLRSLPVENAEKLRRIRHVKKIIAIMLDLLNTQKSNVHKGMQNIFPIFQQYMGQLRLSISKSKARNTVAKIGCQSQNCSENSHIVNLGSNTAPFTCDARQQKQQEQVISAKTSRMEQAIMTRTPTPQQESHGCHLLGVPSSCFSPKALQPSSTNTLEECFTLSPVTQTVQPIQVASPHVTSPSAYGKSSVPKPRVARVVSHSASIKSRLASSPSRPEGVHAASPNSTSVESTLPIPIAKPGTVRAASPCTPVKSTSQSQLRKPAVTEVDSCRASMTSKLKSPVGKPETAGAASPCASVKSTVSLDVDSVTEFLQHGVVAPTVANGGSSNQAIHTLVSAAPPKAAHQADDQVQNGAEEMDAKKPFSRLIETLLSSSPEALRHSSNSMRLAIWEADQIPAPSPLPYRPRNGKMKRDFDHVTSRPISSPLRSMDESCMTYECVAFEDESSGEYNAKRQKTQVNANDAMVDEIKTINNKLVDTVINIADENGTDEIIYQNGGGMLIKLSYNSMSLSPSLKSLFGASEMTIVMPVKLLVSADYPKSSPILVDSDDEQRRLSDISYAIAVAFGRAVDELPKPRSIEAMAMAWDGCVRRAVTEVAHRHGGGTFSSRHNQWRAG >OGLUM04G01250.1 pep chromosome:ALNU02000000:4:1546099:1547529:-1 gene:OGLUM04G01250 transcript:OGLUM04G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLTLLLATFFFCLAVFRRTSRRAPAAIRQPTVEIHDAALARRALVDYADAFIDRPAILPFTGPPGRRRSDNLTTVPYGPQWRVLRRNLTGGILHPSRAGLLAPLQRTAVEALVAGIAAARVGGDGEVLVRDVVRDALFPVAARFCFGDGIGERDVRDLQRVLREFELDVVVEGFGGSMVASLVHWWRLRRFVASSRRQAEVFLPLISRRRRTQHRDDADGGVFRPYVDSLLDLRVPVGDGDAAGEGKEEHHLTHRALTDDEMVGLVSEFLGGGTESVVSCVEWTLAHLVIEPEMQDKLRLEVAAAEADRHGGERGTTPAAYLRAVILESLRMHPPVPLTMRDVRSPQAVEHLSLPGGGARVHFILGDIGRDGKAWTDPDEFRPERFMAGGEAEGVGPLPGPREVRMMPFGAGRRYCPGMGLGVAHACLLVAALVREFEWAAATGGVDLTEVNGFFKMMRTPLRARATPRRTSA >OGLUM04G01260.1 pep chromosome:ALNU02000000:4:1563059:1567427:-1 gene:OGLUM04G01260 transcript:OGLUM04G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSVLLLMLFVFTTLCCLALLRRAARRARAPAAVQLPTIEISDAAVARRALVDYADVFANRPFLPFPVALVTGRRRRRSDNLTSVPYGPHWRVLRRNLTAGIFHPSRLGLLAPLQRDAVDDLVAGISESAAGGAVPVVVRDVAYAAVFRLAARMCFGDGVGERQVRALRRVIREFVLDVGVANNVFPVSTSTALARLRRWRRVRRLLSSRRRQAELYLPLIDERRRRMARRRDRDADGGMFRPYVDALIDLRVPGDGGSTPLTDDEMVSLLMEFLAASTESVVSCIEWTLAHLVIDAEAQNKLRREVGDVGDGEHVHGGRTPYMRAVILESLRLHPPVPFVIREVVGGAAPPVLDELAMAMPMSGGGARVHFVIGDIGRDSKAWRDPEEFRPERFMAGGEAEGVGPVPGPKEVWWAVGHLRAAAVTFSLLTTLIFSPSAVLSP >OGLUM04G01270.1 pep chromosome:ALNU02000000:4:1581720:1583165:-1 gene:OGLUM04G01270 transcript:OGLUM04G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSMNAAPAMATMNSTNPTLVRYSSVRLQAAGEERPNLTGEERPNVPRARRPSAPTPAPPAAGANVSGGGGSSSVFTRALHAAQARKMHQRKQLPGSAVSNSKKTSGIKGKKVVQEKIYTRCTPAILSDLFSGFGDQQKELVKQMGFDGLLSVRLTKLNKQFGAWILCKLDPSSGNLFAGSRHEICLTCEDVSLLLGIPCGRKEILLAIKYEVKYVKAYMCEIFEKDSFDGLTIVTIQRILEKKFNMTMTVHEQIIFKTAFIIFVVTKFLAPQSVNNHISIRYMKALVDVENIHKYNWAEFWTPATAAAGGGVDLTQQDDFFNVMRTPLRARATPRPRAPA >OGLUM04G01280.1 pep chromosome:ALNU02000000:4:1614012:1619882:1 gene:OGLUM04G01280 transcript:OGLUM04G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLHAGYLLLLLSMPLELDASRLMTEEAAATPPVSFSFNFSDPSTYSLDDLMFEGDATKPKHGLVDLTASRSSGRMSYAHPVQLYDNTTGSDEVASFSTRFTFAISPIENYTRADGLAFFLASYPSRLPANSFGGNLGLINDGTTTAFGSYRFIAVEFDTCNNTFDPDPERSIDHIGIDINSVVSSFNTTILPNYSLNGTMTAHIEFNGKTQTLVASLWLTGHPWSADHDYYQVSARLPDPVKLLLPPQVAVGFSAATARYTEQNQIMLWSFNSTLVLVHQVSADRHTRAWLLAESIVGGAFGLALVLWFLLSCLKQKRIRNTFEKGTGGARRFGYYDLATATDNFSENRKLGEGAFGVVYSGFLKRLDREVAVKKIFREPSGENHKDFFAEVSTISEAKHKNLVKFFGWCCRGHSRNILCFMCSCWWKKRNMELFLVYELVNNGNLNNHLHNSDAAAALPWPTRYKIVKDIGSALLYLHHDCKPYILHRDIKPGNILLDKSFNAKLADFGLSRIANMDSATLLTTAVGSVGYIDPQCMKDGKVRFNRSSDVYSFGILLLEIACTGNSREHIWDLYEDGGNFVVESADKRLLATEGGFDNIEMERVIVLGLWCSSSEKDHRPTMWDVMDILNHGAPLPDRDYIVNSTLASTNDVQDTGSNHDEAPLFSLGMQ >OGLUM04G01290.1 pep chromosome:ALNU02000000:4:1623998:1624420:1 gene:OGLUM04G01290 transcript:OGLUM04G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRFRKEGQSEIHAIYSLTDELRRSSPGSQELTTTASDRSWRRRRQSPSSVHDSSVYLRAFEEEVVTILVAQQLGRRCTGSSGTAKRRAAALWTPRMLTSTTPDHVSWIRLWRSSPEKP >OGLUM04G01300.1 pep chromosome:ALNU02000000:4:1625954:1626525:-1 gene:OGLUM04G01300 transcript:OGLUM04G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGIISPSIGKLDKLQRIALHQNRLHGPIPSEIKNCTELRAIYLKANYLQGGIPSEIGELIHLTILDLSSNLLRGTIPASIGSLKSSI >OGLUM04G01310.1 pep chromosome:ALNU02000000:4:1645927:1651680:1 gene:OGLUM04G01310 transcript:OGLUM04G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZGP2] MAEAAARRWAIAAALVLLVLLAGTVALIGGGGGSGGRRLLAGLRAGSTAAASGTRRWLRDSSWPATAAAAAAASRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >OGLUM04G01310.2 pep chromosome:ALNU02000000:4:1645927:1651680:1 gene:OGLUM04G01310 transcript:OGLUM04G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZGP2] MTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >OGLUM04G01310.3 pep chromosome:ALNU02000000:4:1647231:1651680:1 gene:OGLUM04G01310 transcript:OGLUM04G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZGP2] MPYKIRGDDGDGDEASSAAMTVPGAVDDPEEVVSQVHMSIRNSTARRKLGYLSCGTGNPIDDCWRCDPDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPKKGTLRYAVIRDEPLWIVFKRDMVITLKQELIMNSFKTIDGRGANVHIANGACITIQYVTNVIIHGLHIHDCRPTGNAMVRSSPSHYGWRTMADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTIWKGWNWRSEGDLLLNGAFFTPSGAGASASYSRASSLGAKSSSMVGTITSGAGALSCRGGSAC >OGLUM04G01320.1 pep chromosome:ALNU02000000:4:1659235:1659848:1 gene:OGLUM04G01320 transcript:OGLUM04G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRTTHDMAMHGGTKLSGSLAAAQWRLVRQGYGGDEVKKWLNVGTECRLRKAITDVHAFAMDIVRARRQSSSVQDRDDVLSRFVTSDEHNDEVLRDIVLSFLIAGRETTSSGLSWFFWLLSSQPDVMACITNEVRAVRKATGTCPDEPFGFDALREASSVRGGRHAPRRHTRPR >OGLUM04G01330.1 pep chromosome:ALNU02000000:4:1659877:1663785:1 gene:OGLUM04G01330 transcript:OGLUM04G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAAIWGEDCLEYRPERWLSEDDTARIIAKHASISFSFTLNKALKMYHWCSAALHIGDTSRVKGKMDGGDQLGVYRVLCPTSKWASTTPVYVKGEGPLSVMEREGPQAVKTEMARWPLVDSA >OGLUM04G01340.1 pep chromosome:ALNU02000000:4:1667723:1668466:-1 gene:OGLUM04G01340 transcript:OGLUM04G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHPLLHHLQQPTLAYTWDPQLALFDHVAGAGDQVAFSTASELRQALLRALAELDAARAAHQAELRRMESEAARLAALVASAAAERDELRRHCHSLLLLLHHHSQPAAPPTPTPQVSSLPAAHVVAVPAVADELAALDAANEAELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPPAPADIPPFNPTKAADADNNSFSSASATSSSPESNCSGGGGHAPLVPPALPYHMIPFCM >OGLUM04G01350.1 pep chromosome:ALNU02000000:4:1677490:1680871:1 gene:OGLUM04G01350 transcript:OGLUM04G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit F [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZGP8] MAGRPSIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTTREDIAIVLISQYVANMIRFLVDSYNRPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >OGLUM04G01360.1 pep chromosome:ALNU02000000:4:1680953:1682307:-1 gene:OGLUM04G01360 transcript:OGLUM04G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGRLYHAAICGRRWRQVRRVLARIRRLVNAEDSRRWSGTIWQYCTRDDSKDVWYDLFNKIRWEWRKKENTYSGTTVIPDRVMEPVFNSLLKILHVDDNESREESEPIRHDRDQDIPPSYDPDNPMDSMGLLKAERGLRALRQAARDQHDRDIHLKFGRFIRGEVQEQIIIWHIATNIYLRTRKEDTYMAKHGCFGSHEKLCMIFAPPIT >OGLUM04G01370.1 pep chromosome:ALNU02000000:4:1682356:1701790:-1 gene:OGLUM04G01370 transcript:OGLUM04G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSMSFDRKNLDYTRSASMNEGRNNVLCASSALAWDSFPEARGTIRSNIDKQKRDKLKKKEEKAGDEGRRAKSSALSTKDPDYALLQAHANFSACKAALVDISSAEESTLQEWSWPNTWIVLQREVSLLYNIMYTKAGVIHTWHGYLLSCDPNSFALNKGQLLP >OGLUM04G01380.1 pep chromosome:ALNU02000000:4:1721435:1723824:1 gene:OGLUM04G01380 transcript:OGLUM04G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRALGPEVQHDVGFSADLIVSESGEKAPNVVYRGRLIASARQRIWTAAASSRAAPRADAPPHGHGSSAAIASFTTSDDGLPSPSPPPTSGGSLRGALRMGTAAVAPSSLLALPIPTTLFRRDIPAMGHRLEYPLSRMIQWVVADDSGSVDEDRWRLMEFTGRSMHLLRWDLNALQWTLCVRAGRHGRITPLLIDLPRSWETLHVVLVRPNTAGRQWAVIGVATDNGCSNDDIEQSVVDKLDNDEYERHKVMTTEGSSLD >OGLUM04G01390.1 pep chromosome:ALNU02000000:4:1746221:1750709:-1 gene:OGLUM04G01390 transcript:OGLUM04G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLLRTGYLGLLLFLLSMLLDASDHLIAGAAAAPPVFSFNFSAAPYPSTYSQDLVFQDDAVEPQKAGAPVELTCTWNDQVCRRGGRMSYAHPVQLYQLAANGRISKVASFSTSFTFAIRPIDRNGTCRGDGMAFFLASFPSKVPYRSAGGNLGLIADNKAPKDLAPDDRYTQYSTKRIRNVFDKGTGGARRFEYRDLAAATDHFSEDRKLGQGAFGAVYSGYLKLLDHQVAVKKIVRESSEGHKDFFAEVRTISEAKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLNDYLYKSQSSEVLSWQTRYKIAKDIGSGLLYLHHECDPHILHRDIKPGNVLLDENFNAKLADFGLSRMANQDNATLLTTAIGSEGYLDPQCLKHGKVPFKRSSDVYSFGIALLEIACARRHREQIWDLYRSGGNIVEAADTRLTMGGGLDMREIERVIVLGLWSSALQTQHRPSMRQAMDVLERDGPLPDLNSLIVVNTTLASTTEEDASSAPAGNRYDCDEAPLLIAG >OGLUM04G01400.1 pep chromosome:ALNU02000000:4:1762387:1764028:1 gene:OGLUM04G01400 transcript:OGLUM04G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELLKFLLVILNNMSPICRNIDADHKKAIAVGFSIGGGLILVLLVWSIVSWWKWRKTNREFDKGTRGACRFNYHHLAAATNHFSKDNKIGAGAFGEVHKGFLTQLGREVAVKKILRESRAGNKDFFDEVQIISRAKQKNLVELIGWGMKGSSIIDFMCCRRQKNTNLFLVYEFVDNGNLHMHLYEKEAVLSWRIRYKIVKGIISALVYLHHDRHPYILHRDIKPSNILLDTNFNARLADFGLSRTADNGTIQSSMVVGTENYLDPECRKTGKFNRSSDVYSFGLVLLEIACKKDENSYAQVWERYIDKTLMHAADDRLQGSFDERQMERVIVLGLWCCQPNIEMRPTMEQAMDFLESDGPLPKLAKPEITSSSAPSN >OGLUM04G01410.1 pep chromosome:ALNU02000000:4:1786986:1790948:1 gene:OGLUM04G01410 transcript:OGLUM04G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISHLLLRFFLLLLLLAFSLDDAPHHHYCTEAAPTTLPPPPPPFSFKFDFSNTYTYRLEDLRFEGTAAVHGATVDLTCNVAQCTTGRMSYGRAVPLWDRATNEVASFATDFVFKIVTPDNVARGDGMAFFLSSYPSRVPPKPSGQSFGLITGDANDAGDGPDRFVAVEFDTYDDTFERPKQIGDHIGIDVSSVADSINTTSLNFSRNGAMRASITFDNVTRMLVATVQFTEPPGSRSAPAVQVSAKLGDPRALLPSEVAVGFSTANGATFQLDQILSWSFNSTLASPHPVVKGHHKKKGAAGKFAIVGAPIFLLLVWSILSWWKWRSSSRDIDKRTGGVRQFKYNELAAATNQFSSENRLIGAGPFGEGYKGFFKEMGRHVAIKKISKESRSEGRNKDFYDEVKTISSAKHKNLVELVGWCMKRRWNMFDFMCWCREKAYTIFLVYEFVDNSNLRVHLHEKEAVLPWTTRYKIVKDICAALVHLHHERRPFVLHRNIKPSNILLDKEFNAKLADFGLSRTADKVGKARYLDPECRKTGKFKRSSDVYSFGIVLLEIACKKDENSYAKVWSRYLEKSLMQVADDRLRSEFDERQMERGIVLGLWCCQPNIDMRPTMQQAMDFLESDGPLPELAEPETSSSKIGN >OGLUM04G01420.1 pep chromosome:ALNU02000000:4:1850757:1853024:-1 gene:OGLUM04G01420 transcript:OGLUM04G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMIRSVFLLNSWVIRTLVLFSFTAHLVLVVLAGFRRREAIGVRVTILWAANQLGRSAATYALSKLALGSTPQELQLVTLWGAFLLLHAAGPDNITAYSLEDNILSTRQKFEMFFQVTGALYAMYKNIVVRSGTGTMVWVSSFMFILGIVKYWERAKAVQLANLKNMRSSIKKKKEEEEKISRRRRSLRYVWRASSWLEQDCEEEALLVAHGLLDITKGAFVDSSVDEHRIPEYVAKRREIFPKSGWEMLYNVVDMELSLMYDILYTKAAMVHTWHGYAIRFISPLITTTAFLLFWFDSKQGQRMADVLITYVLLTSTVLLDIIWLLRAVVSTWTYSFLNDRPRLWFHHALLCSGKWRFLRRLVFSLDLSQFLAEGPSSYRKWSGKIGQYNLLHECTRDKHKRSSNYLSSLVKKVVSEDQWMEYEYHNLRGTHISPGVKKKLFDCIWEFMRLAYPVEDGAEEKTKKEEDEKKKKEKKEEEKKKPDEHHHVEAVRKLEEVLDFLPEFQESILILHIATDIFLLYTKSEQSPSSKIDVEVIKALSNYMMFLVAVRPGMLPGLKLRSLYEATDDALAKVWPKQESSSRCKSGSRKKCLADILRDKEKHDITSDTREKPDKWRQGYRTKNWKPKYITELYTLSIVLSDGIKLANILLHWLRCSYGVKFPKSDYESKFQQMFPKLTEILKVEMYDDPCKFAKLLEHIFLEWVRLLINASVKCTRDSQAKQLSRGGELTTIVWILVEHAGIFHVDRHQR >OGLUM04G01430.1 pep chromosome:ALNU02000000:4:1950749:1952105:-1 gene:OGLUM04G01430 transcript:OGLUM04G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAAWLMFAVGAAKNAERVWALYQGNLSTIRKAVDSGFGRRQEEHPIVEINGDGSPQDLLLYAHSQFKVCKVILVDSSSELVDVDTSKLGDTVFSIKWEWEKRWTVFQMEVSLLYDILYTKAGVIHTWHGYFLRVFSPLAAAAALLLFHLSASASTTMDSHSAAVRVDVGITYTLLIGAILLDIVSLVSAAGSGWAYAFLVSEPRRQRHGWLYHEAVCNGRWHQLHAGLEYLSHLVNAHDRRKWSGAINCPRQQSKKKYTGPTVVIPPDVMKLVFNELERIILMKEEIDEIKIKSGVSRYGHDVKPSGETMQSGSEPAHLTKAEKGQHAVAELSDRDRMYLERFICHEISIIIWHIGTDVYLRTCEGSKKQDTVVRAIKLLSNYLMFLMVEHPTMVPGIDLRNYYRRTYKTLSDHAGNANGDPDKLAEILAQKGSESGL >OGLUM04G01440.1 pep chromosome:ALNU02000000:4:1984216:1989739:1 gene:OGLUM04G01440 transcript:OGLUM04G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWRSLSRGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESWCLWVVYLVSYEMLCEFFNCFESSTQLLRMKGSKASFPNICATIQHLSERWCRKLDWSICICCNKVSSQSIRRCSWCSMPNNFKWTAMTSRKTSRNS >OGLUM04G01440.2 pep chromosome:ALNU02000000:4:1984657:1990101:1 gene:OGLUM04G01440 transcript:OGLUM04G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAALADQFLTPEKPTRKVAAAAGHIWTPDRPEQPSAAVRKAHGSSGGVAGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESWCLWVVYLVSYEMLCEFFNCFESSTQLLRMKGSKASFPNICATIQHLSERKPQSIDVALLWPGRFVLCDFPGWHECLEILEVHSREVSLASDASLEDVASLTERFTGADPSLASLVHMPFYLVGLQFISTHCETTSEEFEGQA >OGLUM04G01440.3 pep chromosome:ALNU02000000:4:1984657:1990101:1 gene:OGLUM04G01440 transcript:OGLUM04G01440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAALADQFLTPEKPTRKVAAAAGHIWTPDRPEQPSAAVRKAHGSSGGVAGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESYEMLCEFFNCFESSTQLLRMKGSKASFPNICATIQHLSERKPQSIDVALLWPGRFVLCDFPGWHECLEILEVHSREVSLASDASLEDVASLTERFTGADPSLASLVHMPFYLVGLQFISTHCETTSEEFEGQA >OGLUM04G01440.4 pep chromosome:ALNU02000000:4:1984657:1990101:1 gene:OGLUM04G01440 transcript:OGLUM04G01440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAAAALADQFLTPEKPTRKVAAAAGHIWTPDRPEQPSAAVRKAHGSSGGVAGVRRAALELRQWSERGAASLAPDEDELEAVERQLDVGPTSVRSPVKRKAKLPESWCLWVVYLVSYEMLCEFFNCFESSTQLLRMKGSKASFPNICATIQHLSERKPQSIDVALLWPGRFVLCDFPGWHECLEILEVHSREVSLASDASLEDFYLVGLQFISTHCETTSEEFEGQA >OGLUM04G01440.5 pep chromosome:ALNU02000000:4:1984617:1990101:1 gene:OGLUM04G01440 transcript:OGLUM04G01440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGRTPQEEELVTLWAAFMLLPAGMPDNMTAYALEDGALSFRQRYEHDAEEQEILPKTWDENRTLYKVVEMELSLMYDILYTKAAMVHTWGCYAIRVAFPFTGATAFLLFWFHSEDGQPTADVFITYILLAGTIILDIIWLLKALVSTWTYSFLNDRPRIWLHHALLCSGKWRLLRRLIVSLNLFRFLLNKEPTSYRMWSGTMGQYNILHECTSNDRD >OGLUM04G01450.1 pep chromosome:ALNU02000000:4:2011994:2012935:1 gene:OGLUM04G01450 transcript:OGLUM04G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVSKHLFERIWKSLKSAYPPTVPIDKAKATLPPAPFPPQHTGRADPAEMMPPTKQIVRQRELEDADLNFSPACQESILIWHIATDVFLLCSHQYSSLSKEVQAIKLLSDYIVFLVAVRPNMLPGLKLRSLEKGEAGQSPSRNGTEAKLKECAAQQMETRCQRTRTGSGLQARKGKDSHAKQLACGGELTTIVWILNEHAGIFRIDGDQGDDDKDKLTSSYI >OGLUM04G01460.1 pep chromosome:ALNU02000000:4:2013975:2016438:-1 gene:OGLUM04G01460 transcript:OGLUM04G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASLMVQIFTSAAYFWRKWTIEIVVLFSFVLQLVLLLFAGIRRRRARGVRVFFVWLSYQLANSTAIYGLGHLSINGTLVSREHEQLVAFWAPLLLVHLGGPDNISAYAIQDNQLWLRLLVTTFFAKILAAGYAIFVTSSSGSGSSSLAMLPAASWLMFVVGVVKYGERIWALYNGHLSTIRSTIEKQKQEEAKREKKRGDSEQGGARDPPTPPAVRRVLAWIRWLVNAEDSRRWSGTIWQHNMLQFCTRDDSKDFWYDLAKKIHVEWRKKDNTYSGTTVIPDRVMKQVFSYLIDILRIDDKYKDDEAQKDKDEDATPTGQSGIPLDSTGLLKAERGRRILKKLAKKDGDNTVYDLFGRLLRDEIQQQIIIWHIATDIYLRTSDKAETTEYVEAINLISNYMMFLVVERPYMVPGLALRTIYSKTIEDIIQSRIGFSVQSLAEKLSVNNRKHNKVSLSALPLALLLVKRLNKYDGARLEFLFKVWVEMLHYVSHQCSRESHAKQLSSGGELTTVVWIMAEHARNFYI >OGLUM04G01470.1 pep chromosome:ALNU02000000:4:2026617:2028504:-1 gene:OGLUM04G01470 transcript:OGLUM04G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFIVVLLAARVAHGSTGASPAICFWKKALPDPLPEALMAAVERGGSRVLHVLDPGFPVASHRLGQQQVAEHGVLVEHVVAQQGPSPKINPADGGATECDDLTLVVQWKSTSLGVWGMGSNLPPSHGVVDGGHEASDDVVGPAGAADNGDLLVAEGGCAGSAAAGARRRRPCRRSSRRI >OGLUM04G01480.1 pep chromosome:ALNU02000000:4:2032073:2043559:-1 gene:OGLUM04G01480 transcript:OGLUM04G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIAHAQDPTPAIWSVPAAAIRRWSQRWSCRCQIRRLPSATTSRVPAFSTAAATLRAMKLHSTTHDFPHHRGNQLARGVKIYLRYSWVRRVMEVAATAPPYPSESDLAAAEVAPCAWGRSGGRMRHLLASRPQSSVAAVRAALPCWIR >OGLUM04G01490.1 pep chromosome:ALNU02000000:4:2081150:2081628:1 gene:OGLUM04G01490 transcript:OGLUM04G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSPSRLGFRRCHVRACRSCGISVQASGAAASYFVSISQANCMAESYGWTKTETWYM >OGLUM04G01500.1 pep chromosome:ALNU02000000:4:2105511:2107687:1 gene:OGLUM04G01500 transcript:OGLUM04G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAHYRRMAAAGTDQMPGVGSLIMSGTNAVVQFYCAWAIEVAVVSSFILQLFLIIFAGIRRRHPSRFLRGAIVWIPYLLAVFSTTFGLGHLSISSRPPERQQLVAFWAPLLLLHVGGPDSTTAYTIDDNRLWKRQAFKFLPQVFSTASVLYKTFSAAGGPMFPAAWLMFAMGVAKYGERVWALYQGNLSTIRKAVDGQQEQQQPKVEIKGDGSPQDLLLCAHSQFKVCKGALVDSSSEFVDTSELGDTVFSNKWEWEKRWTVFQMEVSLLYDIMYTKAGVIHTWHGYFLRVFSPLATAAALLLFHLSTSASVAMDSDSAAVRVDVGITYALLVSAILLDIASLVSAAGSGWAYAFLCPATVQEEVHRPNSSDSSDVMKLVFDELERVILRKKEMTEIKSAAPRSGHDVKPSGETEQSGTKSRPSPNPSFPTNSVGLIKAEKGQHAVAELNLKDGRDRKYLQRYIRDEIQEGILIWHIATDVYLRTCEGSKKQETIVRAIKLLSNYLMFLMVEQPTMVPGIDLRKYYTQTYKKLSTNHAGDANGDPDRLAQILAQKKSVNPVLKQNDEKQALRGNALRLATKLALKLAELKVSATKKKYKVDMVTFLFYMWVELLLYVSHRCSRESHAKKLREGGELTTIVWLMAEQAGKFYIDKKLSKEDDVD >OGLUM04G01510.1 pep chromosome:ALNU02000000:4:2108806:2112763:-1 gene:OGLUM04G01510 transcript:OGLUM04G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGLVRHRWGRANIGAPCDAVVLVGWGNGGTAAACHRQSCLPHHRDIDVLASLVIRRRRDGPCKGKSGTDRVDPTQEHPAALSTSSDIDEPTKAPVYILFAVFLALVDSKLDRDISQFISSLRGLVIFSFIAHFFLVFFAGLRRHQPTGVRMFILWGANQFARWAPVTVLGTLSVGSTPQKEQLGGDAMLWISSVVLLMAIGKYWEGAYQALMRGNLKNMQSSRKKMKNKRTKSVRNSLQIARRGGREPNEEQLLLAAHDMLSITKDAFIDFLDKTNADEQEALSDTWDEKLYRVVNMKLSLMYDLIYTKAAMVHTWKVYAIRCASPIAGATAFVLFCLNSKEGQSTVDVIITYVLLAGTVILDIKWLLRAVASTWFYSFMDEKPRSTLLCSGKWRLIRRLIVSDLNLFRFLANSKKPTRYRMWSETIGQFNLMEECTRYESEPRTKNLKSSMFKQFAPEDKWMEYEYQHHPADSTTQHQVKAIKALSDYMMFLVAVRSSMLPGLVLSSLYEAVSDALAGIWKENGGSDPSSRTSSSTMRKKRLANILIEKDRKEGKFVEKDESEPDKGFLIVLYDTSNVLSEGTLLARFLLGEIPSIHYAAKTLEEKFDRQYPFLMELAQSRSGYHRTADFLAVTTDAILREWARQLNNVSIRRTRDSHAKQLARGEFTTVVWILAEHARILRVKKPN >OGLUM04G01520.1 pep chromosome:ALNU02000000:4:2117246:2117672:-1 gene:OGLUM04G01520 transcript:OGLUM04G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMPNCSRGLLLLLLALLACFSIPGHVYGKNLDYTRSASMNEGRNNVYINGLVPVPCNIFSPSK >OGLUM04G01530.1 pep chromosome:ALNU02000000:4:2122105:2122601:-1 gene:OGLUM04G01530 transcript:OGLUM04G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMTNCSRGLLLLLLALLACFSIPGHVYGKNLDYTRSASMNEGRNNVNINGLVPVPCNIFSPSKVCSRNVCYPSLQACEANCKPIV >OGLUM04G01540.1 pep chromosome:ALNU02000000:4:2149468:2153455:-1 gene:OGLUM04G01540 transcript:OGLUM04G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKQVKLKVRGASETEVKNVLRQEFKESIDYKNCSKNPESSSLKVEVRGTVDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKDLERMKRQKEDLELKLREKREEKKVLQADKTAAEEELKRLKRDKEHLNLKVDTKRKENSRLEEEKNKLQRKIKDLEQKHKGDKKQVKLKVRGASETEVKNVLRQEFKESIDYRNCSKNPESSSLKVEVRGTVDVGKLYERLKKMASSVKIESVIPDDVKEEIERYKKDLERMKRQKEDLELKLREKREEKKALQTEKTAAEEEQKRLKRDKENLNLKVDTKRKENRRLEEENKKLQRKIKDLEQKHKGGTSIEYHGVEVHQKMNHMHQQVHMHEVVRKLKISDNDHGNANGRGHGQLLLQFGHGRN >OGLUM04G01550.1 pep chromosome:ALNU02000000:4:2162925:2164511:1 gene:OGLUM04G01550 transcript:OGLUM04G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMVRTVFLLNSWVIRTLVVFSFAAHVTIVFLAGVRRHKAIGLPITILWAANQLGRSAATYALSKLALGSTPQELQLVTLWGAFLLLHAAGPDNITAYSLEDNVLSTRQKVEMILQVSGAVFAMYKNIVIRSGSGTMVWVSSFMFIMGIFKYWERAKAMQLANLENLRSSIKKKKETRRRGSLRNVRRPSSSKHDNDEEALLVAHGLLDITKGAFVDSSVDEHQIPEYAARRREIFPKSGWGMMYKVVDMELSLMYDILYTKAAMVHTWYGYAIRAASPFATSMAFMLFWFESKQGQRKTDVLITYVLLGGTVLLDIRWLLRAVASTWTYSFLNDRPHLWVHHAFLCSGKWCLLRRLIVSLDPSLILAKEPSSYRKWSGKIGQYNLLHECTCDKDERIRDYLSSVVEKVASEDMWMEYEYHNLRGIHISQYFKKKLLDCIWDYMYLAYPGEDVEEKKEKEEKKEKKEKEAEKEPEGPPPPMMPVEHHNVENIRKLEEALDFLPEFQESILIMHIATNVVFMYTESE >OGLUM04G01560.1 pep chromosome:ALNU02000000:4:2169240:2172113:-1 gene:OGLUM04G01560 transcript:OGLUM04G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPRSSSHPLPVLLQPDGQLDAAKAMFASSLHPESRDVYVHEMNTRTARENLGALPALLV >OGLUM04G01570.1 pep chromosome:ALNU02000000:4:2178852:2179811:1 gene:OGLUM04G01570 transcript:OGLUM04G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPASDAEAATGRSASAPAAGTNLAEGERIDAVPSAIYQREGKAPVVEGSVSDVTLTAPHFVPADFATRPEITPFVDGVCQVIAPTEGLGLFTELNEFDESCAAVESLFVRGLAAHLSAKKSALEQLDRYRLRIRKSEEDLRHKEDERRVVAETLKKANAENSLLHAHGCDHIKEFPDFVKEEWPSNTQYSGAALRAFRKGFWEDGGRDCAKVRLRENLERIVRNEEGAAANSEKDARPSGRSPGHEGESNGGQDHPEV >OGLUM04G01580.1 pep chromosome:ALNU02000000:4:2192089:2194962:-1 gene:OGLUM04G01580 transcript:OGLUM04G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPPRSSSHPLPVLLQPDGQLDAAKAMFASSLHPESRDVYVHEMNTRTARENLGALPALLV >OGLUM04G01590.1 pep chromosome:ALNU02000000:4:2202894:2205197:-1 gene:OGLUM04G01590 transcript:OGLUM04G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGWMSTLMSIRNFMFSIRGVVALSFVAHVVLVLLAGVRRRQATGGATLLLWVANQIARWAPTAALGMITIGSTVQERQQATLWAAFMLLHAAMPDNITAYALEDSVLSLRQRVDVIVQVFGPVSPAYILYLNTVAMPGDSMLWVSSFVCLMAIAKYLEGAYYALQRGNLENMRSSRKMEKKKKEMISRSLQNASRGGRKPDDEQILLIAHDMFYITKNAFMDFLDKKCDDDDEQEALSGTWDETLYKVVSMELSLMYDILYTKKVMVQTWGGYAIRFASPFLGATAFLLFWFHSKQGQATADVGVTYVLLGGAVILDIKWLLRAVVSTWTYSYLNDRPRSWLHHALLCSGKWRMLRRFILSLNLFRFLANNNNPTRYRMWSGTIGQYNLLSECTREEGEKTSNLWSSQWKKNALEDTWMEYEYHNSRGIQISRDFRKKLFDRVWKNMELAFPERIPVEYPLPLPPYVMASMEFDLPLPAPAPKPIIGFDQELNDALDFTPDLQETILVLHIATDIFLFHTESGPNQDQSEWGKAIKALSDYMMFLVAVRPTMLPGLALSSRYEALLDALGEQWEEIKNSSSFNNSMTREKCLAKSLLDKEMKKNGRTPMRTFKWYQGNKTEILSPGAYLSVLYDSSYILSYGARLAGLLLKWKPGSKIKIEDEVLEDKLKRQFPDLMKSGEVTETELEYQMPKEVTDIIFREWVRLLINVSIRCMRNSHAKQLARGGELTTVVWILAEHARILRVKKTTKRKPADSYDGLGIHVSR >OGLUM04G01600.1 pep chromosome:ALNU02000000:4:2209997:2210242:1 gene:OGLUM04G01600 transcript:OGLUM04G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSLDKGPGPNDIIVAAVAGRGRDIAWVKVEGVDEAIGGRGGELMGGEGWAVRGREAEEGTPSLRRGHSCSGTDEEKRK >OGLUM04G01610.1 pep chromosome:ALNU02000000:4:2211191:2215178:1 gene:OGLUM04G01610 transcript:OGLUM04G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRDHSMSAKELKMTVSVLIKGNGPVTVSSSSGRSVTNNATDNFIDGVSKQIIGFQQHKTEINDLKRQNKELSKQNKELMDQYQELPALRKKYEDLTKKYENLTKKRNEDLAKLKEKKEDVAKLKKEKEDMEKEIRRLQEMIKKLQGGCCDDCVIISSSSGKSLTNNAAENIMEGINKQILGAEQYKMENRDLKRQNKELSKQNKELMEQSKELMELPALRKKHEELTKRYESLTKKRNEDLAKLRKEKEDQQVDFKKEKEDLEREIRQLKEEIKQLECCCVIL >OGLUM04G01620.1 pep chromosome:ALNU02000000:4:2217398:2218165:1 gene:OGLUM04G01620 transcript:OGLUM04G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSEVISSSSSGTNSAAEKIMEGISKQILGAELYEMENRELKMQNKALSKQNKVLMEQSRELMELPVLRQKHEELTRRYESLTKKRNEDQAKLKKKGDLAKLKKEKEDQQVDFKKEKENLEREIRELKEKIKQLECCCVIL >OGLUM04G01630.1 pep chromosome:ALNU02000000:4:2222144:2229131:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVAMDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >OGLUM04G01630.2 pep chromosome:ALNU02000000:4:2222144:2229131:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVAMDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKAFNLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >OGLUM04G01630.3 pep chromosome:ALNU02000000:4:2222167:2229131:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVAMDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVSEKHDSHISWYLLRFAMPILLLLRWAHSSSSKICRTHRPAKEVIRLSEVYRCLSCLASMRK >OGLUM04G01630.4 pep chromosome:ALNU02000000:4:2222167:2229131:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPVAMDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKAFNLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVSEKHDSHISWYLLRFAMPILLLLRWAHSSSSKICRTHRPAKEVIRLSEVYRCLSCLASMRK >OGLUM04G01630.5 pep chromosome:ALNU02000000:4:2222142:2227203:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEDMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >OGLUM04G01630.6 pep chromosome:ALNU02000000:4:2222165:2227203:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVSEKHDSHISWYLLRFAMPILLLLRWAHSSSSKICRTHRPAKEVIRLSEVYRCLSCLASMRK >OGLUM04G01630.7 pep chromosome:ALNU02000000:4:2222165:2227203:-1 gene:OGLUM04G01630 transcript:OGLUM04G01630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHDGEADELPPPPPVPANVVPIKADDVESEVPANKPAKPKRFPMARPGLGRKGQPIQLLANHYKVSVKSSEEYFFHYNVILKYEDDRPVDGKGVGRKVIDKLQQTYRSELSSKDFAYDGEKSLFTIGALPQVTNEFTVVLEDVSTGKTAANGSPGGNDSPGGSDRKRVRRPYQTKTFKVELCFAAKIPMNAISQAIKGQESENSQEALRVLDIILRQHSAKQGCLLVRQSFFHNNPNNFVDLGGGVMGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLANQKVDHPDRIDWQKAKRALKNLRIRTTPVNSEFKIIGLSDRNCNEQMFSLRQRNGNNGDVDEVEVTVYDYFVKNKGIELRYSGNLPCINVGKPKRPTYFPIELCSLIPLQRYTKALSTLQRSSLVEKSRQKPQERMSVLNDLKAGNGEDIFPRNGRWNFNNKKLIQTCSVDKWAVVNFSARCDVRNLIRDLIRNASAKGIQMAEPFDVFEESPSLRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPQRVNDQYLLNLLLKINAKAFNLGGINSLLQIEASPSIPLVSKTPTVILGMDVSHGQPGQSDRPSIAAVVSSRQWPLISKYRASVHTQSPKLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHVIVFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQSGSPDNVPPGTVVDKQVCHPRNYDFYMCAHAGMIGTTRPTHYHVLHDEIGFSPDDLQELVSEKHDSHISWYLLRFAMPILLLLRWAHSSSSKICRTHRPAKEVIRLSEVYRCLSCLASMRK >OGLUM04G01640.1 pep chromosome:ALNU02000000:4:2256960:2260013:-1 gene:OGLUM04G01640 transcript:OGLUM04G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARSWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAERGRDCSYFRKSSLREPPPEVIWLEELEDHQPPEGFVVARGLYKGPVIRR >OGLUM04G01640.2 pep chromosome:ALNU02000000:4:2257168:2260013:-1 gene:OGLUM04G01640 transcript:OGLUM04G01640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKSLSNACKVSFSPDGPISDEALERVRALLDEIRPIDVGLDNEAQIARSWNNSTRQPNGRRGRNGANQFTSPIKYLHIHESESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGTLHAESYDWIDVTDPTDQLQELSVRPARLVRDREMSAPETTILYPNRGGNIHTFRAITPCALFDVLSPPYSAERGRDCSYFRKSSLREPPPGM >OGLUM04G01650.1 pep chromosome:ALNU02000000:4:2274447:2319875:-1 gene:OGLUM04G01650 transcript:OGLUM04G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELFGGCEGGMAICQLRLMAILTGGYSRPKWVVGLRWSSNSGGSHRWILGVDKVAQATDTWLSR >OGLUM04G01660.1 pep chromosome:ALNU02000000:4:2319907:2320243:-1 gene:OGLUM04G01660 transcript:OGLUM04G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREGHWRKVEHSGHQRNLLSGSNTSGGGRNCSMAAFNREMTAHGAQVDGGGSNERGIGHFERLARTFHELLSIRETCGRTLGLVEVGGDQHSRSVELNTPGDVARAF >OGLUM04G01670.1 pep chromosome:ALNU02000000:4:2329551:2330144:1 gene:OGLUM04G01670 transcript:OGLUM04G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELMVVVSRAVVVGVSGASCVSGGGSRHAKSGRAPACFYANGLTAAWVGLNIGDSGKDDPAAAEIGMATASGLHGLRAAKERREMDQRLSKGIAPKLTRERVIDDDGRRLYLKKKGSISLITIPQFNSFDGLAAGFLAKTGAQWFGQRPTGWTGTASRRTAALWMPGATTSSTQGV >OGLUM04G01680.1 pep chromosome:ALNU02000000:4:2339027:2339712:-1 gene:OGLUM04G01680 transcript:OGLUM04G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIGVIKYGERTWALRCGNIETIRNSLKKEPRTKCHFYLEDRPRQRSFKVAEADEDEYLVRRAHALFHICKLAVVDDYSVDEVGDTRDGNTFRHLTNEEKYAVMGMELSFMYDILYTKAGVIHTRRGYCIRVISPLATAGALLIFQFSGKAGDIAAESTRVKLDAQLPVYHTMELASARSSVQWKMGSVSSHNYISSPHFQHYGNQ >OGLUM04G01690.1 pep chromosome:ALNU02000000:4:2354709:2363644:-1 gene:OGLUM04G01690 transcript:OGLUM04G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKVSMPNNGVPSKLIRSIIGQTYDCLPQEIILASPENHTLVNMGDFYIKKHHLSCLLTKNEFVNDNIISAYIHCIKEAQSKTNKKIQGLQNHLDIIGQRHDLPSHEWRDLNVVTWPIIEQLQEDMEHFRDKLAGILLCWKTNMEAKASDVVQVEDTDNSDDVVITATGFGVSPMFRKDIDLAGSVGSWSKIHYEVVKCKSDVDELRKQFLLHLLMYEQNECESNIPSGVGGEAVGAGAAPRCAGGAWCSSVGTRPEQVAQGTARRVRPWAWRRALDIIVIDDTEVCAATAEDGGGCEHRGGPPAALPCGCLTPHE >OGLUM04G01690.2 pep chromosome:ALNU02000000:4:2354709:2363644:-1 gene:OGLUM04G01690 transcript:OGLUM04G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKVSMPNNGVPSKLIRSIIGQTYDCLPQGLQNHLDIIGQRHDLPSHEWRDLNVVTWPIIEQLQEDMEHFRDKLAGILLCWKTNMEAKASDVVQVEDTDNSDDVVITATGFGVSPMFRKDIDLAGSVGSWSKIHYEVVKCKSDVDELRKQFLLHLLMYEQNECESNIPSGVGGEAVGAGAAPRCAGGAWCSSVGTRPEQVAQGTARRVRPWAWRRALDIIVIDDTEVCAATAEDGGGCEHRGGPPAALPCGCLTPHE >OGLUM04G01690.3 pep chromosome:ALNU02000000:4:2354709:2363644:-1 gene:OGLUM04G01690 transcript:OGLUM04G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKVSMPNNGVPSKLIRSIIGQTYDCLPQGLQNHLDIIGQRHDLPSHEWRDLNVVTWPIIEQLQEDMEHFRDKLAGILLCWKTNMEAKASDVVQVEDTDNSDDVVIVGSRSVGSWSKIHYEVVKCKSDVDELRKQFLLHLLMYEQNECESNIPSGVGGEAVGAGAAPRCAGGAWCSSVGTRPEQVAQGTARRVRPWAWRRALDIIVIDDTEVCAATAEDGGGCEHRGGPPAALPCGCLTPHE >OGLUM04G01690.4 pep chromosome:ALNU02000000:4:2357410:2363644:-1 gene:OGLUM04G01690 transcript:OGLUM04G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSKVSMPNNGVPSKLIRSIIGQTYDCLPQEIILASPENHTLVNMGDFYIKKHHLSCLLTKNEFVNDNIISAYIHCIKEAQSKTNKKIQGLQNHLDIIGQRHDLPSHEWRDLNVVTWPIIEQLQEDMEHFRDKLAGILLCWKTNMEAKASDVVQVEDTDNSDDVVIVGSRQREINSRWDMK >OGLUM04G01690.5 pep chromosome:ALNU02000000:4:2354709:2357201:-1 gene:OGLUM04G01690 transcript:OGLUM04G01690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIWVQSSRPHTIKLSLKKLQSVLKNGPLDRDCFNMTIRSVGSWSKIHYEVVKCKSDVDELRKQFLLHLLMYEQNECESNIPSGVGGEAVGAGAAPRCAGGAWCSSVGTRPEQVAQGTARRVRPWAWRRALDIIVIDDTEVCAATAEDGGGCEHRGGPPAALPCGCLTPHE >OGLUM04G01710.1 pep chromosome:ALNU02000000:4:2416346:2420827:1 gene:OGLUM04G01710 transcript:OGLUM04G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSASWFAEIFTDERVRTLSHQVSTLGDRVWELEHKNTRLLGEKGKLEKQLEETKAAVQAISSEKEEVERSLKGENDKLQLEVLTAEEKYSQSAAEVEKLQKELVALAEVKEVAAKAFDDEKAKMMMESVDLKSRLEEIQGNMDMIKSENDKLRSEALVAEQKLNICEAEIERLKMELGALTEANEAAAKAFDTQNEEITKELEDLKTKLEEIKTNKDLAESENGKLRSELLSAEEKYSQSEAEVKYLKQVMGAVVEAKEAAAKAFAAEKEDIMKESDNLKRKVKEIQDSKLLVESENDELRSEILSMKQKHGQFEVEVTSLKKELGALEEAKEITAKAFEVEKAEILKELEDLKRKVVEIQTNKDLVEVENDKLRLDVLSAQQKQSILEVEANNLKMELGALVEAKKVATKAFDAEKAKITKELEDVKRKMEEIQVKKDLVEGEKDKLRLEILIAEQKHSMSELEVKRLKMELGALAEANVTAVKSFDAEKEKFIREMGDLKRKIEEIQVSKEAAEEVGRNKNAEADRLRAELVKIQVSLSQLQASYNELDAKHSLLNVEKNSAQKALDVEKVEACKLKSKFEELENYKAEKDEEAGKLKAALEEKKSEIDVLIKDNELLRLAVAEAQEKNKGSILSCLSPCGSK >OGLUM04G01720.1 pep chromosome:ALNU02000000:4:2590559:2590771:1 gene:OGLUM04G01720 transcript:OGLUM04G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEALLQKNRDKEDELRALRKELKDLKSGMPGAVVKTLPPAGSSTCPCHGIDNADEPFVDRSPSRSRSQE >OGLUM04G01730.1 pep chromosome:ALNU02000000:4:2633687:2635093:1 gene:OGLUM04G01730 transcript:OGLUM04G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELEDLKRKFEELQTNKDLVEGENDKLQSKVLAIEEKYGQSEAKVKWLEQKLEEKYSQSEAEVNWLNQILRVVVEAKDVAAKAFEAEKVEILKESGNLKRRVEEILVNKDLVESENDVLRSDILTMKQKYNQFEVEVKSLKNELEAFKEEKEVTAKAFNVEKTEILKELEDQKMKVQEIQAKKDLVESENNVLQLDIVTTKQKYNQFEVEIKSLKNELKALEEEKEVTAKAFNVEKAEIIKELEDLKRKVQEIQANKYLVEGENDKLRLDVSIAEQKESISEAEAKRLWKILDALMEAKEVTTKAFDAEKEKIMKELEDLKRKVEEIQASKDLVESEKDKLRMEILMAWQKFDAEKEKFMMEAEDLKRKVEEIQVGKEAAEKVVHDKDAEAHRLRDELVKIRVSLSELQASYNELDAKYSCLNDEKNSVQEALDAEKVEAWKLKSKVQELENYNPEKDGETENYNAA >OGLUM04G01740.1 pep chromosome:ALNU02000000:4:2701998:2703260:-1 gene:OGLUM04G01740 transcript:OGLUM04G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGCSMWEMASKNPAQNSVINNAMVVNCQTYLELVVAVQGHVFNGLSSLVDVGGGHGTSMEVISREFPHIKCSVLDLPHVISQAPAGNGKVQFIAGDMFKSIPPADAVVLKSDDDCVKILQRCKEAIPARKDGGKVIILEMVRGLGPRDSKIKDMEAIQDMLLMFLNGKERDEQEWKMIFSAAGFSNDYKILPVLGPLSIIEIYPCNQCQRQLPGSPSATSTTDYRHRL >OGLUM04G01750.1 pep chromosome:ALNU02000000:4:2746466:2747575:-1 gene:OGLUM04G01750 transcript:OGLUM04G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVFEWAKTSFYLFQIESIPVHVRSIIPSLFSDADRYSWEDGPYELERKNNRLSQEKSNLESRVRWLVNENTKLSNEKSYLESRVRRLVNENTELSNEKRRAAYVSSTLEYRVRELEHQNTKLSAVLVKQREDTRKAGLLFMNAADTYQHVADKQIRTKEEELANTRKTGLLLMNAADAYQEVAKKQIKAMVEDLKDARKAVLVVMDAADTYQQVAEKKIKDKVEELRVLGVHKAEMDARAASLESELEAALAKNHELEAYYSKVLIENNRLWSGMELVEAKETSTNAFDSDEAEIMKELEDHKMKVEENHSSKDLRKGENDKIQLEVLTAEQKNSMFEAGVKRLKMELDVLVEAQKRQNPEAIRRP >OGLUM04G01760.1 pep chromosome:ALNU02000000:4:2756283:2756642:1 gene:OGLUM04G01760 transcript:OGLUM04G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSEGGGGDGIPWTEYWVQGDRRRAIVKVALARVSLTMCGGCRGTGKRCFGDGGERRDGGADGGLPRDGEVDAAAADVEGALVWVAQRQVHPRFSSVCLGQFRCEDGGDWRNRDEE >OGLUM04G01770.1 pep chromosome:ALNU02000000:4:2786635:2787524:-1 gene:OGLUM04G01770 transcript:OGLUM04G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHDQVELGFMAGRCNNGTMAMSNGSRVDDSGSPNDFQRRRHSTMPRGFQTLIRDRNWQSDEVALHPDQHLNYSLSAYMNVLIVDQT >OGLUM04G01780.1 pep chromosome:ALNU02000000:4:2809993:2812183:1 gene:OGLUM04G01780 transcript:OGLUM04G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTTPWFAELFTDDRVRTLSHQVMTLEDRVWELEHKNTQLLHEKGKLEKQLETKAAAQAISSQKEEVERSLKGENDKLQLEDLTMEENYSQSEAEVEQFQKELGALVEEKEVAAKTFDDDKEKMKMESEYLKRRLEEIHDNKDFMKTENNKLLSEALIVEQKQIMFEAEIKRLKMELGAVTEAKEVAAKAFNAQNEEITMKLEDLKRKLEEIQTNKDLVEGETNELQPEVFATEEKNSLSEAEIKCLKQILEVAMEAKEAAAESFDAEKEEIMKQSNNLKRKIEENQASKDLVESENDKLRSKMVTVKQKHNQFEADNKSLKIELGALKEAKEATAKAFDVEKAGILKELENPKRKVEEIQANKDLVERENDKLQLEVLTGEQKQSKSKAKAKSLKVELSALVEAKEATAKAFDVEKAKIMTELEDLKKKVEEIQGKKDLVEGEKDKLWLEILIVEQKHSMYELEVKRLKLELGALAEAKETAMNSFDTEKIKFIMEVEDLKRKIEEIQVSEEATEEVGRDKDAEADRLRAELMKIGVSLSQMQASYNELDGKNSHLNDEKNSI >OGLUM04G01780.2 pep chromosome:ALNU02000000:4:2809905:2811887:1 gene:OGLUM04G01780 transcript:OGLUM04G01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEKQLETKAAAQAISSQKEEVERSLKGENDKLQLEDLTMEENYSQSEAEVEQFQKELGALVEEKEVAAKTFDDDKEKMKMESEYLKRRLEEIHDNKDFMKTENNKLLSEALIVEQKQIMFEAEIKRLKMELGAVTEAKEVAAKAFNAQNEEITMKLEDLKRKLEEIQTNKDLVEGETNELQPEVFATEEKNSLSEAEIKCLKQILEVAMEAKEAAAESFDAEKEEIMKQSNNLKRKIEENQASKDLVESENDKLRSKMVTVKQKHNQFEADNKSLKIELGALKEAKEATAKAFDVEKAGILKELENPKRKVEEIQANKDLVERENDKLQLEVLTGEQKQSKSKAKAKSLKVELSALVEAKEATAKAFDVEKAKIMTELEDLKKKVEEIQGKKDLVEGEKDKLWLEILIVEQKHSMYELEVKRLKLELGALAEAKETAMNSFDTEKIKFIMEVEDLKRKIEEIQVSEEATEEVGRDKDAEADRLRAELMKIGVSLSQMQASYNELDGKNSHLNDEKNSI >OGLUM04G01790.1 pep chromosome:ALNU02000000:4:2827336:2828426:1 gene:OGLUM04G01790 transcript:OGLUM04G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGVDCRGCHGMVFKWTKTSFSIFQKDTIPVHELESQNTTLSNEKNDLERRVKRLEYENNKLSNEKRWAVDVYSRKISTLEYRVWELDHQNTKLSSELVRQKEDTRTVGLLFMNAADRYQHVAEVQIRTKEEELVNMRKASMQLMNAADTYQEVARKQIKAKENDLEDARKAILLIMNAADTYQQVVEKKIKDKVEELRVLGVQKAEMDARIASLESRLEAALVKNQELESTYVEALIENDRLWSVVERLMMGALVEVKEVAAKASDFEKVEIMKELEDHNMKVEEIQTNKNMMKGENDKIQSEVLREKQKHSLFEARVERLKMELDALVKA >OGLUM04G01800.1 pep chromosome:ALNU02000000:4:2832968:2833322:1 gene:OGLUM04G01800 transcript:OGLUM04G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLHFTGLGVLDATEGCQYTSLQPNCCRASLASYRAEAAFNRGQFPTGLDRGCPPFPLLAAYPAVQSTPAAIKVTNKLRPNR >OGLUM04G01810.1 pep chromosome:ALNU02000000:4:2856594:2857333:-1 gene:OGLUM04G01810 transcript:OGLUM04G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAWAQRLRLRQCRCQHGCTIRGKQLGGGGGGAEETGGQLDSYRAAAEEWHRSCGMDNNGQQGSWFVHGACRLLFQFQAQPKYDSCAAPREGVEDWGESQSQDGRKASVLRVAAAEGWLDGGATDTGTQQCAAVWLE >OGLUM04G01820.1 pep chromosome:ALNU02000000:4:2862295:2864612:-1 gene:OGLUM04G01820 transcript:OGLUM04G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGRWVMDIIWHTLLLGGDAVGNLWSSWSMEILLGVSFAAQLYTEKTMALRRANLASVRSSVERQRRRQQRHRTEGGGRRSTKLVFAGDDDGALVMKAHALFHICKNSMVDSSVETASNTYDAAAAADTKETLFQLEWPQLFRVMEMELSLMYDFLYTKAAVIYTWHGYAIRAVSPVFTAVSMVLVELSNVTGHHRRSDVVITRLLLVATFLLETASLLRAVGSSWTGFLLHRGLRHGWIRHEALCASRWLRFHHAMASVGRIANSQAHRRWCGKMGQLSVLQLITTGAGGHRESQDRSWDKECARYSEKNTMVIPPEVKEVVFRRVRQQLLDLRARMNRDAAETGAADMDLRKMAANLRTKRGQLALQSRNLLGELRWSLGDELQLGILTWHVATEIYLLLKMPVRCNG >OGLUM04G01830.1 pep chromosome:ALNU02000000:4:2870409:2877869:-1 gene:OGLUM04G01830 transcript:OGLUM04G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIIFHNYSSLTARGTHVNLAHTGVVHAGQPRQWPPPLEQLISTSLYTVTVSLVHQFMHRKVMLSAAAAACPCSYAAMLILAQGLGVPLFVTSDDPGWFYSVTGVTYTSVVEQWDRSDGAASGKFMSRTVPRVGAIETREP >OGLUM04G01830.2 pep chromosome:ALNU02000000:4:2870547:2877869:-1 gene:OGLUM04G01830 transcript:OGLUM04G01830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWFIIFHNYSSLTARGTHVNLAHTGVVHAGQPRQWPPPLEQLISTSLYTVTVSLVHQFMHRKVMLSAAAAACPCSYAAMLILAQGLGVPLFVTSDDPVWWVALSRGGIKDTNHTIHH >OGLUM04G01840.1 pep chromosome:ALNU02000000:4:2877931:2882524:-1 gene:OGLUM04G01840 transcript:OGLUM04G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGLPARDRRSRVRDATTTPATADDDAAVDYSNLPPDIVADIHARLTHLDRLTVAAVFGAAGHSMNPEAPWLAIPGGETAPAPPTKLYSISDRRAAAARAGEAAMRGCFFLGGSSDGGWLVTADKRSRLRMVNPVTGAHRALPAITTCPFFYTTSWAGRGSHVNLTAGPFMRVRHGGGPPPPPPPPEQLIGASLYTVTAGQVRQYVYRKVVLSAAARPGSYAAMLVLSPDLGAPMFATSDDPAWRVAPSRDGVEDAIHHRGRFYSVTYTGVVEEWDRRGGGGGGFTSRTVATAPLKPDDLKNRKYIAAAPDGKLMVVVKFFKDIKYQTRGRDGYLRRTHTVTDMRVLFKLLVLDDEESGRWRKKEEEVGDAAAAAALFVGVNASMCVAATSGGDLAGGCIYFTDDDVARGRPFDKSKDDDHWRYREDDREIVAGVYSLEKHRAHKMPVLDRRNGNADADDSGGGGDDDGEDDGEDDDDDEVKIWPPPVWFKPCTSAASSSS >OGLUM04G01850.1 pep chromosome:ALNU02000000:4:2882569:2884912:-1 gene:OGLUM04G01850 transcript:OGLUM04G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPKEAPHTLSLLSRIAASPAPHASAPLSHIAPLRIAPLLSRILRHCPPHRIHAASRFVASVVPGYRSHLPRLFGVGYSIDDWTA >OGLUM04G01860.1 pep chromosome:ALNU02000000:4:2886872:2900509:1 gene:OGLUM04G01860 transcript:OGLUM04G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLAPTTSRLSASASSLPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIESSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIKPRLENPSFRPSKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQLRC >OGLUM04G01860.2 pep chromosome:ALNU02000000:4:2886872:2900509:1 gene:OGLUM04G01860 transcript:OGLUM04G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLAPTTSRLSASASSLPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVSDGAIHGYDSKIELEPEHASLPDNVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIESSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIKPRLENPSFRPSKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQLRC >OGLUM04G01860.3 pep chromosome:ALNU02000000:4:2886872:2900509:1 gene:OGLUM04G01860 transcript:OGLUM04G01860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:limit dextrinase [Source:Projected from Arabidopsis thaliana (AT5G04360) TAIR;Acc:AT5G04360] MQMLLHANSLLLAPTTSRLSASASSLPGRSGTARPLPPPQGHAHSARAAARGTRRPPAVAAASPRTPMAVGEECAAAVASQGFVSDARAYWVTRSLIAWNVNDQDTSLFLYASRDATMHVAEKFPFIRSYRTFRVPSSVDVASLVKCQLAVASYDAHGRHQDVTGLQLPGVLDDMFAYTGPLGAVFSDKDVDLYLWAPTAQDVRVCFYDGPAGPLLQTVQLKELNGVWSVTVPRYRENQYYLYEVKVYHPSTSQVEKCLADDPYARGLSANGTRTWLVDINSETLKPASWDELSDEKPNLESFSDISIYELHIRDFSAHDSTVDCNSRGGFRAFTFQDSAGIRHLRKLSAAGLTHVHLLPSFHFASVDDNKSNWKFVDEAQLAKLPPGSDEQQAAIVSIQQEDPYNWGYDPVLWGVPKGSYASNPDGPSRIIEYRQMVQALNRIGLRVVMDVVYNHLDSSGPFGVSSVLDKIVPGYYLRRNVNGQIESSAAMNNTASEHFMVDRLIVDDLLNWAINYKVDGFRFDLMGHIMKSTMIRAKSAIRSLTRDVHGVDGSKIYLYGEGWDFGEVAQNKRGINASQINMSGTGIGSFNDRIRDSVNGGNPFGNPLQQGFSTGLFLEPNGYYQGNEADTRRELATYADHIQIGLAGNLKDYVLRTHTGEAKKGSDIYTFDGSPVGYTSSPVETINYVSAHDNETLFDIVSIKTPIGLSIDEKCRINHLASSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKIKPRLENPSFRPSKNHILSVFDNFVDILKIRYSSPLFRLSTASDIEQRVRFHNTGPSMVPGVIVMSIKDAQNEKCEMAQLDKNFSYVVTIFNVCPHEVSIEIHDLASLGLELHPIQVNSSDALVRQSAYEASKGRFTVPRRTTAVFVQLRC >OGLUM04G01870.1 pep chromosome:ALNU02000000:4:2901068:2902574:-1 gene:OGLUM04G01870 transcript:OGLUM04G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHLLLQLISMAGNGVGACRVQIIPAIIFLQLLFRALGAHGASSFSFTNSCQYPVWVGVLHGASSPALARSGFYLAPSGTYHLAAPSSGTWSGTFWARTGCAVDSSTGRFTCATADCGSGDVACNGRGPSPPVTLAEITLAAPGSGGQDFYDVSLVDGFNVPVRLAPSSSGGGGGGGDCHAVSCAGDVNAACPSDLRVVSGGGAVVACRSACDAYRSARYCCTGAYGSPAACGPTDYSQVFKAACPAAYSYAYDDASSTFTCFGASSYDVTFCPRS >OGLUM04G01880.1 pep chromosome:ALNU02000000:4:2910045:2911133:-1 gene:OGLUM04G01880 transcript:OGLUM04G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQEFQFFQGVEQEMLLLSHGGGGAAAPAPAAASDDVLAYHVSVLRDKVQQLEPLVGMVVSPAAAHGHLRRDAAAMAASSACSVLQEITAAASAVAHRLEQASAASSSFRRRVAFADDAHAGGEQMFAAGAHGGGEGGGDEADASLFHHQPTVFRAGATTTVAASSSGRGGGDDDGDGEVDVVELEASYLLARYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTAAALTSTAMKATARRCSYSCPAEGCRWNRRHPRFQALKSVVCAKNHYRRSHCPKMYVCGRCGGKQFAVLSDLRTHEKHCGELRWLCSCGTFFSRKDKLMGHVALFATAGHAPVPVAPEASPSTTTTGTTTERSRDCL >OGLUM04G01890.1 pep chromosome:ALNU02000000:4:2914975:2921717:1 gene:OGLUM04G01890 transcript:OGLUM04G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNDKEAAGEGATPRGADWEVVTLTASAYAAAPGPGGAGDRPAAETKGLDASQEGDQTKGLDASQEGRGSENALFMSGHFVFPPSEHENLPIDAGFDDIQHEKDAQEASTSVEDEGFKNVGGNYGAGSERIQFYDEGRNLSANDVEMMMGDAAEHGSFHAQDEGHGLDDDNDFDDSHDKSDLPSESADSKSRDSGAPCKCWLKKHMSCLYHQAKETNALWSVVVAAALVGLVILGRWHKDKLHLKNLKWRSGSTRVTNRAGGCFYGLLRCNL >OGLUM04G01900.1 pep chromosome:ALNU02000000:4:2919817:2920930:-1 gene:OGLUM04G01900 transcript:OGLUM04G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNYGNSPGMQMPPIGQMPPAAGQMPSTNPQPGQFGNPFYGASSGLIKTGLGAYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFLHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFSRGLIGWALQIVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVSRLLWAYSYFVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSTRQHYFLLFLAIAQFPLFFWLGNIGA >OGLUM04G01910.1 pep chromosome:ALNU02000000:4:2924353:2928297:-1 gene:OGLUM04G01910 transcript:OGLUM04G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHREGAGRAVHVEEERQLADANGVLGGTHMSKARNVLVATGLLAFAGAGLAFPFYFVKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >OGLUM04G01910.2 pep chromosome:ALNU02000000:4:2924353:2928297:-1 gene:OGLUM04G01910 transcript:OGLUM04G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHREGAGRAVHVEEERQLADANGVLGGTNPEQGEAAVVRPAASWKSKNKPIIDSSKPLPPQATFRGPYVNTGSRDIGPDYTDYPKK >OGLUM04G01920.1 pep chromosome:ALNU02000000:4:2930323:2934326:1 gene:OGLUM04G01920 transcript:OGLUM04G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S24/S26A/S26B/S26C family protein [Source:Projected from Arabidopsis thaliana (AT3G08980) TAIR;Acc:AT3G08980] MAGPWPLLRSILRNCVAGTLVGVTVNDRYASVVTVRGTSMNPTLEPQQGDRALVSRLCLDARYGLSRGDVVVFRSPTEHRSLVVKRLIALPGDWIQVPAAQEIRQIPVGHCWVEGDNPDVSWDSRSYGPIVMLSEFLIGLTRFSDITMGFIEQSHTMEFWKSTEVIT >OGLUM04G01930.1 pep chromosome:ALNU02000000:4:2935352:2939288:-1 gene:OGLUM04G01930 transcript:OGLUM04G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine synthase C1 [Source:Projected from Arabidopsis thaliana (AT3G61440) TAIR;Acc:AT3G61440] MERALMSLMRRRSLLQSGGRAPPAMAAAAFGSPFFSTLQQAAAADPVQSPGILPGLKIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELILTMPSYTSLERRVTMRAFGAKLVLTDPTKGMGGTVRKAAELYENHPSAFMLQQFENPANVKVHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPEILDMDIMEKVLEVKGEDAVKMARELALKEGLLVGISSGANTVAALELAKKPENKGKLIVTVLPSLGERYLSSALFEELRAEAEAMQPVPVD >OGLUM04G01940.1 pep chromosome:ALNU02000000:4:2949236:2949472:1 gene:OGLUM04G01940 transcript:OGLUM04G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRRTVCEGPSIIVNVSESMKSRRTSREGFKVLRAEEQFVKAPRSTSLKRRWIFFFFIVIIQELAHFDDIIKTLEIG >OGLUM04G01950.1 pep chromosome:ALNU02000000:4:2949926:2953982:-1 gene:OGLUM04G01950 transcript:OGLUM04G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILVAISVEEAVQKVIPYLEDTSSAADKSIYFEGWGGLGASAVLRAIAENPAPSLRKKFDRIRKKFDRIIHVDCSRWKSRRQLQRAIADKLELPQHVMDLFDRQDEEDDFSWVEESSRAEIADIRKEIYRAIKDLSCLLIFHNGSDDTAHINICISSTPKDATPKKKTMSYYSTQKVVGSPLHMPIVTTTQPAVCYKDVNLTMISSIDFDISSAPRHEPLDIHVEIGEGISYANMISEHALSAVSFVMNKAESLHVHDNFSITSVNPKHVILTGDKEIRWHCLKRCHVERCHRLNPVFSTDYTYISFRTLEAFSAAELMMANCIWSRGTNYWWYIYSFAELKSIHLHYCPRLTFVLPLSWPTLDSHLPSLETLHIVYCSELRQIFPVEEVALRKQPRGVLRFPKLKHIHLHDVPKLHQICEISRRMVAPVLETIRVRGCWALKRIPAIDGSLRGQDSRPIVDCEKDWWEKLEWEGMNVGHDPSLFEPRHSMYYKKALPRCSLLRMHL >OGLUM04G01960.1 pep chromosome:ALNU02000000:4:2956831:2959305:-1 gene:OGLUM04G01960 transcript:OGLUM04G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILRATSVEEAVQKVIPYLEDTSSAAHKSIYFEGRGGLAASAVLRAIAENPAPSLRKKFDRIIHVDCSRWKNPRQLQRAIADRLELPQHVMDLFDRQDEEDDFSGVEESSREGVTDIGKEIYRAIKDLSCLLIFHNGSDDTVDTSKLGFPLYDCMHL >OGLUM04G01970.1 pep chromosome:ALNU02000000:4:2962332:2968520:-1 gene:OGLUM04G01970 transcript:OGLUM04G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVVLSILSKLQSLGFCAHLRIGDAAASDPPSDLFDAVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFHSNGRENEEPLLECNGGDLRHPILKRKREDMVGMLDWVRELAENGGEAGTMASGSANGYYSLALAARKAVFAKRARRASMTTNGALLQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDMNELINVVENTNVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGELPVNYDNTETRKWLGTKVWPLENGNRKLSYFCNRVGKGREVVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQLKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIEKWIFPGRSDQEARENDT >OGLUM04G01970.2 pep chromosome:ALNU02000000:4:2964601:2968520:-1 gene:OGLUM04G01970 transcript:OGLUM04G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAGVVLSILSKLQSLGFCAHLRIGDAAASDPPSDLFDAVLAAFLREVYPGGREVRPLPAKLGDGSRVDLLRLFSAIRAAGGYAATSSSPAVWASAAESVCLDATLAAPVKLIYHKYLAALDRWIQRLVEAHGPFLDGNDGRKKPEPFFHSNGRENEEPLLECNGGDLRHPILKRKREDMVGMLDWVRELAENGGEAGTMASGSANGYYSLALAARKAVFAKRARRASMTTNGALLQEIFPMDCKCCMSSSTTGIDTQEKCSKKIQLVIPQAGSDMNELINVVENTNVPSIGMEQENNIIGQAKYESRKHHNSDNWLFTSQQRNKIPVGSEFQAQVPQWTGELPVNYDNTETRKWLGTKVWPLENGNRKLSYFCNRVGKGREVVCGCNLPGSVECVRFHVAERRLQLRRELDSAFYAWGFDRMGEEIALSWTDKEEANFKACVQLNAPSSGRNFWKRLHMLFQLKGRKELVSYYFNCFLLRRRCYQNRMTPNNIDSDDEDETEFGFLGNRLGHNATKYDSSKYTLCIESTHCMDLNQ >OGLUM04G01980.1 pep chromosome:ALNU02000000:4:2976650:2978838:-1 gene:OGLUM04G01980 transcript:OGLUM04G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSRQWRQRGWRRRVEETAAAAHGSLDVRRAEGAAAATRGGSGGGGGGCARRKQRASAPRELRRHAGTSRCGARWWRRTDEAVATAREESCGANPVLRPRRFSFLVLSRAAQLVSAYSVPSSSSRCQQ >OGLUM04G01990.1 pep chromosome:ALNU02000000:4:2985863:2989699:1 gene:OGLUM04G01990 transcript:OGLUM04G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMETELCSSRVLSPPRYESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLRVNLTKLGTPTLWRYWKHFNLVILGFIQAAKRLKTLYRS >OGLUM04G02000.1 pep chromosome:ALNU02000000:4:2998263:3000442:1 gene:OGLUM04G02000 transcript:OGLUM04G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPSLRDLSDELVGEILVRLPPDDPACLLRASLACKAWRCILADRALRRRLHRAPPVVGFLRIGMGEGDMRYGSRYTPNNGAASSRRPPPPAAATSRCGSLGHDLVVWDPFTNEHRRLPRPSPPLAITGRGFNAAVLCAAGGGGGGCDHRSCHGGPFLVALIWSHSPSPFLPHLPGATSARVYSSDTGEWSDTTTVEHHDVFYYLEDRSPLPCRSVLVGDTLYFTWSSTHAFELRLGGGQRRLSIVYGPPRPLPLIESSSPIFMMSMGDDAVLRCVEVEPEDEELCLRLRLRLWSRNVDDNGVAQWIRGRAIELEPLLPDGALQTPWIPSSVQLLGAVEGTDVIFVGTHSPDHPAAVYMVQLNSRRSRKVFDKCTSVVPYTSFCIPGIDDASTREGAREGASSAWQSQVAVARASESIKQEVCHSGVRKLYASLRWGIFIHTTS >OGLUM04G02010.1 pep chromosome:ALNU02000000:4:3001074:3006980:-1 gene:OGLUM04G02010 transcript:OGLUM04G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNDAENSERSEEKHAFPDRDPWWWTSSSGVSLQEASRSLLGTVDSPGDGNGNGGSGDGDLRWCLKAPCGDDDGLAK >OGLUM04G02020.1 pep chromosome:ALNU02000000:4:3007488:3008157:1 gene:OGLUM04G02020 transcript:OGLUM04G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGEEAGTVSLSFPRLSSTPSLKRQKQEARRLRQGCRRGLHQPHPRYLPTPTPPLDPHCCIVLLGEIWKLQWHGYHVRSSLLVDAPCYSVPDLHATGRHELLLPALHRLAYIDEEYLRTPGVKKGVAFVSLAARSAVSSGPARPRHPSCRGLGCCDVVLPWSPFGLFSILF >OGLUM04G02030.1 pep chromosome:ALNU02000000:4:3014411:3015622:-1 gene:OGLUM04G02030 transcript:OGLUM04G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEKRSEPDWSSGLPRKLLESIAKKLPSGRDAASFRLVCSPWCAALPLGTFYPMLMLPFDPDSPDDDAAVITFYCAMDDDDEATIDLRLPEVRGKVACGVSHGWLALMDGAAAVTLLNPFTGAAVALPPADRNVAMASYKTVSMVDGAWILHYISGATKPIKLSNMRDVFFREIVLSASPMNSRGVDCMAMAVLASSTLVAFCRVGDTRWTLVDSKLEYPVTCVVHCRDRFVAIGSLGEISIFSVDNTNGAAPLTASLLLLMPPPAHICQRSYMDINGELYLVGAILRITTWTRYEIVVYKCNLLDENPLWSKVEDSEDIAFFVSKYFNTGFGVASTSNIRWSCVYLSEPRLCTHEDQKGTVGSYLEMVDINTNESALQAYRPSIQGLGALCWIRPNLWS >OGLUM04G02040.1 pep chromosome:ALNU02000000:4:3023952:3024673:1 gene:OGLUM04G02040 transcript:OGLUM04G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRSTLTAFFWFGDTKWTLLDSKLEYPVACVVHCRDRFVAIGSLGEFSMFSGNKIDGVALLTASPSLLMRPPAHICQRSYLDMNDKMYLVGAILRVTGWTRYEIVVYKCDFLRFVAVGSLREISIFSGSNSDGVAPLTASPLLLVLSLAHIYQHSYLDMNDE >OGLUM04G02050.1 pep chromosome:ALNU02000000:4:3027216:3027624:-1 gene:OGLUM04G02050 transcript:OGLUM04G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEMVGGSADHWLHEKTTAVVGSTGRWRRTVAPMEGSGTAGSMMTKQHWVAGNARCLLREKTAAPAGSEDSWGEGGKDFGTGIRDLRVAWVGKWGMGERIFRSHNVLMDGRMEPRW >OGLUM04G02070.1 pep chromosome:ALNU02000000:4:3038487:3039695:-1 gene:OGLUM04G02070 transcript:OGLUM04G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRSPLDWSSGLPRELLEIIAKKLPSGHDAASFRSVCSPWRAALPFARFAPLLMLPFDPTSPSPPEEKTVVSFYSLVEEKTVSLPLPELHGKVVVGASRGWLALVDEAAAVSLLNPFTGSRVALPPADQRVAAASSRSVEMVGVGNDDGGGRWVLRCSSGDVSPVTLDKMREVFFSEIVLSTTPGGGGGGDGCVAMAVLADSSVVAFCRPGDTAWTLIETNVECCVSTVVHCHGSFLAIGCLGEVSIINIAGDDDAMPSPARPVSSLPEPAQMCHRSYLEVNGQLHLVGVAIRAFHGEWPFGHHAVVYKCDLAAGETPVWSMVTDAGDMAMFMSKNFNSGFGGASVSKIKSNCIYLSEPIYGSDHSPELVDISTGMSEKIACPTMEGSEALCWIRPNLWT >OGLUM04G02080.1 pep chromosome:ALNU02000000:4:3041576:3054048:-1 gene:OGLUM04G02080 transcript:OGLUM04G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPKRRRTRVLSTVGSGHRTVLRYRFARDPLFWLSSPKSLALAFHLPTPPTPLPPPRPAVAPIAAPSSSSPRLHRRRPHRRAVLLFAAPRRPRFAISPPRRRPSSLHRAPTSPSPGVARVVVLRSPSPAPMSPIHVASEGHASGNRPSAVLLFGQCRHRARHRPRAGLTFGPRAAAAADDDDDQRPSRRVLASSDAAWSPPPNADQMREEEGLAAGGPLLCRRPPPPLRLDPVEGRAPPPPAASPPARSNGGKGAAAEAHRPPPPLLPDLVEGRAPPPSISPPLTAPLPGHCPTATAPR >OGLUM04G02090.1 pep chromosome:ALNU02000000:4:3054680:3059198:-1 gene:OGLUM04G02090 transcript:OGLUM04G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAALRQRQPAAMEEEEEERAWRAALSRSFGRVDALAAVACACGRATVPACRCPLSGQTGAIIGSTAVVALLVRDRLVVSNCGDSRAVLCRAGDPLPLSSDHKPDRPDEKARIEAVGGRVVYLNGPRVRGILAMSRALAEGSSLLGPKGDKYLKPEVICEPDITMTVRTVDDECLILASDGMWDVISNETASDVARQCLEDGSPTSGRRAAGSGEAASSSAGAPAAAVGQESEPRCYRAAALLARLALGRESSDNISVVVIDLKGRG >OGLUM04G02100.1 pep chromosome:ALNU02000000:4:3092097:3092576:-1 gene:OGLUM04G02100 transcript:OGLUM04G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSAREHGHGHLHQQHQRPWSCSFGFSWPPLQWSPPPSSSSSSYTCGYCRREFRSAQALGGHMNVHRRERARLRQFPNPRPHPLPNLNLSPPPPPPPPPQQQQQCYCPGDRPAVVYSFFSTAAAAMAVTKGLEVDLELGVGGGMEEGLDLELRLGCS >OGLUM04G02110.1 pep chromosome:ALNU02000000:4:3123405:3123845:-1 gene:OGLUM04G02110 transcript:OGLUM04G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQQKLAQNGPTKHQTLTPLPDPLLLTLGARCSTSLAARVCLVSAVRRCPAPPSDGIPALLPPAPLACERPVQPPPVGILAPCTPVSTNAATCRQHHPQLRVFPRAVSKLEAPVGNTKLRPQTSQAEPSQFLAHRILMSQTELA >OGLUM04G02120.1 pep chromosome:ALNU02000000:4:3123948:3142277:-1 gene:OGLUM04G02120 transcript:OGLUM04G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNRGQVVIASLSITPDSLSNNTIKELALKYKINIQEQIEENIKRPKSIISLLPLDPPPPLVLSSSQPAPCSWRRIRPPTSMHQRIQPPLSRVDEGRSGGRDQAMMTRRTGPTPRPGRARPRLGCHVTSSSLAARDLIESNLSGSRSSAFTSLRHNTLLGGTRAYRRRAKGAPQPLEEKKTTVVVDTTWCLGFSPQATTRGGTASRDHAKREEEASDHADRGGGGATRRWWGRASRRRSFALYRTRY >OGLUM04G02130.1 pep chromosome:ALNU02000000:4:3133478:3134032:1 gene:OGLUM04G02130 transcript:OGLUM04G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQGSAVANRGGRANRKEGEDELRGWLMLLATLTASITYAAALNPPGGVWQADDAGNDFVAGYPVLLDKSPWRYYVFYYCNATSFASSVCIIVLLATNFYLSHTSVMVFNVLVALDMASLGAAFVAGSSSSKRFTAFNAGLMVCLVVLFLLWKLKFLMGKDQAGQNPASGGVANLQHGNSAL >OGLUM04G02140.1 pep chromosome:ALNU02000000:4:3160740:3161045:-1 gene:OGLUM04G02140 transcript:OGLUM04G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRRRPALRYLRPIDTMLGGHGFVKLPPLEDQLCFDADASAANGLAYYSAAAIRLLGGANSGAIGSDDDLWSFMQSAPPAPLPSAPLFPSHTATAASRP >OGLUM04G02150.1 pep chromosome:ALNU02000000:4:3168031:3172167:1 gene:OGLUM04G02150 transcript:OGLUM04G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLWIRVFFSWLLLSLPAAAAADFSHCGGCDDGDGGGGIWSTDNILQCQRVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPVAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSRRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVSHKLLEKLGCLVLSVTSGIQCINSFASAESSFQLVVLDLTMHTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >OGLUM04G02150.2 pep chromosome:ALNU02000000:4:3168617:3172167:1 gene:OGLUM04G02150 transcript:OGLUM04G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSLWIRVFFSWLLLSLPAAAAADFSHCGGCDDGDGGGGIWSTDNILQCQRVSDFLIAMAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITMFTYEPHSFHVVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLRIKARELDREVGMMKRQEEASWHVRMLTHEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPSESGSEMILTHQLRQMETEDSNSLSIAMDNPDVLEIKATKDAKVLAADSALGIASRGKLEAGPVAAIRMPMLKASNFKGGTPEVMETSYAILVLVLPEDGSLGWGEEELEIVEVVADQVAVALSHAAVLEESQLMREKLAAQHRDLLRAKHETTMATEARNSFQTAMYDGMRRPMHSILGLVSMMQQENMNPEQRLVMDAIVKTSSVASTLMNDVMQTSTVNREYLSLVRRAFNLHSLVKEAISVVRCLTGCKGIDFEFEVDNSLPERVVGDEKRVFHIVLHMVGTLIQRCNAGCLSLYVNTYNEKEERHNQDWMLRRANFSGSYVCVKFEIRIRESRGNLLSSSSSRRLQGPNSTSSEMGLSFNMCKKIVQMMNGNIWSVSDSKGLGETIMLALQFQLQHVTPVSGASSDLFRSAPIPNFNGLQVILVDSDDTNRAVSHKLLEKLGCLVLSVTSGIQCINSFASAESSFQLVVLDLTMHTMDGFDVALAIRKFRGNCWPPLIVALAASTDDTVRDRCQQAGINGLIQKPVTLAALGDELYRVLQNN >OGLUM04G02160.1 pep chromosome:ALNU02000000:4:3204142:3205402:1 gene:OGLUM04G02160 transcript:OGLUM04G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSEMSPLLVFKCRCIAAGCNRSGREISQSGSKFSSLGSRSCDRAFTSSLCSNGGSQELMSCKDCYSLLLPNTSKAITWLQLGIVSKAQLPIQQAHLPLKRLSLSLHFMFHMSESIMIVVSWKPGSTSASVTMPMSEVTIGTLKRMRLYCTMYQDEMNSLGSTKTMGELDQEYPALFQKLSHHSIQSYGRI >OGLUM04G02170.1 pep chromosome:ALNU02000000:4:3209696:3211381:-1 gene:OGLUM04G02170 transcript:OGLUM04G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT3G23160) TAIR;Acc:AT3G23160] MVAEPLVHKVLSMATSSSSSRKVKPVTTSSGKGGAAAAAAAAVEGVVDDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSQAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCTLPALLGFDHVYADLLAGRSDAAFAAASHSEATALVRKLDRLAAATAALYAELEALADLEQSARKLPTDEARRALEQRTRWRRHDARRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMRGLDLLAIGRSSRQCDQSRQLSGPASANNSGHVRTNFGDTKSGPIARIDVDTPRSVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDASCISTIRSGMLLPFSGEQGVSTMATKSGKVGRRARFGPKSTVTSLAPPSTIGGSALALHYANIVIIIEKLLRYPHLVGEEARDDLYQMLPSSLRAALRKSLKTYVKSMAIYDAFLAHDWRETLEKTLTWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCMEWQLQ >OGLUM04G02180.1 pep chromosome:ALNU02000000:4:3224221:3224547:-1 gene:OGLUM04G02180 transcript:OGLUM04G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFITESNILQQAQQAHYNTRTDVADRCLCKGIEKPEIARGQARRPYPAAPELGPTECSVDAAAAAREWGGHRCSRVGGYRRRERCSLVRNAPSGLKSGEDFTTACG >OGLUM04G02190.1 pep chromosome:ALNU02000000:4:3236965:3237797:1 gene:OGLUM04G02190 transcript:OGLUM04G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVLGRTGGRRAWDGGGTRGERGGQRAAPRRPGGCWRRRATATSPPPSRALRLRRRGDESSAQVGAVEVILPVEGRGERCGASSPVPTGSRRDPRPPSPSLSLSFSAQAEPHSRTIDFPSPAVAPAAARRRSRCHLILLLLLVFPASLLLCKTTPSHRQAAHICTTCTCMYTVRRFNFSQNSSVTLPWVDRVIAASSVPASGHADATLVRWVARDGDARVS >OGLUM04G02200.1 pep chromosome:ALNU02000000:4:3238416:3251349:-1 gene:OGLUM04G02200 transcript:OGLUM04G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGRRTPPPPPPRANPAEEGLGDGGSGGARPLPSFPLKIRMRGRRIRPATRGSPPLPCRSGGGEGDWRSSGMHRRPATGDRIYFSPYSLSAGDREGDGDGGLGPFQNVFPNLNLLAA >OGLUM04G02210.1 pep chromosome:ALNU02000000:4:3253357:3258600:-1 gene:OGLUM04G02210 transcript:OGLUM04G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDARDGGNPIPSPYSSPPPKQLQRLCLGLAAAAALPGISGGGGSACFGSSRGSHRSFSHLAIPHPSSPSLPISSTTPPIANFEDEDRVTL >OGLUM04G02210.2 pep chromosome:ALNU02000000:4:3254137:3258600:-1 gene:OGLUM04G02210 transcript:OGLUM04G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDARDGGNPIPSPYSSPPPKQLQRLCLGLAAAAALPGISGGGGSACFGSSRGSHRSFSHLAIPHPSSPSLPISSTTPPIANFEDEDRGKLPFDHIASTFQNGLFAKLHW >OGLUM04G02220.1 pep chromosome:ALNU02000000:4:3259487:3264016:1 gene:OGLUM04G02220 transcript:OGLUM04G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPSTANMREQNNTIIVSIAMTILLLVAFFCRIKKQAAMAAKNKSKKQPKLPPGPATMPVSGNMHQMMMNKPVFRWIHRLLDEMDTEILCLRLGRVHVITVASPEMAREVLRKNDAVLTSRPASFAWRAFSFGYKNTIGSTGDQWKKMRRVLASEILSPAMECRMLGRRDEEADHLVNYVYSHCNNGTVDVRHVTRHFCGNIARKLVFGRRHFSTPPQANSGGPGHDEEAHIDALFTALDYLSAFSVSDYFPALVGLDLDGHEELFNGLLNTFGRLHDPIIMERMQEWRALRRNGDERREVADFLDVLVSLEDAQGNPLLSLDEVKAETLGILIATVDNPSNAVEWALAEMVNNPNVMKKAVDELDVIVGKERLVEESDIQNLTYLKACIREAFRIHPYHPFNPPHVAISDTIIAGYLIPKDSHVILSRIGLGRNPRVWVNPLEFRPERHLNNATSTMVLAEPELRFVSFGAGRRGCPAVSLGTSITMMLFARLLQGFTWSIPPGADKIELQESVSSLQLSKPLLMQAKPRLLLHLYELDRL >OGLUM04G02230.1 pep chromosome:ALNU02000000:4:3287698:3288189:1 gene:OGLUM04G02230 transcript:OGLUM04G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGDTRMVNHSHNQLYGFVLAQPQLASRAAQPQPAASDVYSATRNFLNLTCHQKKNNVSYIFHNRKLGRDSAEPSGPSGDGTEKSGGGA >OGLUM04G02240.1 pep chromosome:ALNU02000000:4:3350298:3353307:-1 gene:OGLUM04G02240 transcript:OGLUM04G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITLHHHQQHPTTQQNGATTSTMDLSSDESRCRRLINRCAHPVFLYLSPRVVFGWVLRRLLYRSKQRGFLELASYKQRGRRQARPRRHRHRRWLITAAASPSLVSGGAATRQGHARGKRHEAAGGRQAATAARGFLNKLRPLPQFKHFLSLLQFKHFPRVANQLICCVIMTNIGVTKL >OGLUM04G02250.1 pep chromosome:ALNU02000000:4:3372931:3374265:-1 gene:OGLUM04G02250 transcript:OGLUM04G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSILVVVFALFAVAASLPPSAAARDAQVFKPTVAVDVVHQEQQQQQQQPAVNAIADVSQPSTSLVGLPGLPPLPPLPTIQIPELPPLPQLPTIQIPGLPPLQPLPAIQIPGLPPLPQLPTIQIPGLPTLQPLPTIQIPGLPPLPQLPTIQIPGLPPLQPLPAIQIPGLPPLQPLPTIQIPGLPPLPQLPTIQIPGLPPLPPLPSIPITLGSPGAQIPINSQSALATSTTPQVITECLSSLMQLMPCMEYLTKADEPAPPSICCDSFKSLVEKAPICLCHGINGDISKFMPAPIDFARMMSLPATCGVAPPVEALTKCFTGPVPPLMPAPTPAAAPSPGPSA >OGLUM04G02260.1 pep chromosome:ALNU02000000:4:3387411:3388919:-1 gene:OGLUM04G02260 transcript:OGLUM04G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15690) TAIR;Acc:AT2G15690] MASTAAAAAIRRPLLRSVAFSSSRSRSLLHLLSRPLSYSYPPSASPNHPHHQQWQPSPPPPPPSPPQGPPPQWSPPGNYHQQQAPPPPRHYYGPPQHQPRYNYGPPQPQAPPPRRNDYGPPPPQQQQQQREAVVGPGELIGLGREGRIKEAVEKLDKGARADPQAFYELAAACSNPKLLEELRKIHDFFLRSPFRADLQVNNKMLEMYAKCAAMNHARRTFDHMPDRNMDSWHIMIDGYAVNGLGDVALQLFEEMKTKYGIAPTAHTFTLVLNACANSEAIEEAFLYFDAMSRDHGIEPGVEHYVGIIEVLGKSGHLNEAVEYIEKLPFEPTATVWESLLNLARMNGDIDLEDRAEELLVSLDPTKVNPKKLPTPPPKRRLGINMLDGRNKLVEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >OGLUM04G02270.1 pep chromosome:ALNU02000000:4:3393194:3399208:1 gene:OGLUM04G02270 transcript:OGLUM04G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGSSSSGGWGSRRVEYGRTYIVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATRPVTAFGGFPCTAWFDVEDISVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAAALHSAACYAHGKFANSMPYPITLNAVISLSGWLPCSRTLRSKTESSHMAARRTSSLPILLSHGRVDEVVSYRNAERSVDTLRNSGFLYLNFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSH >OGLUM04G02270.2 pep chromosome:ALNU02000000:4:3393194:3399208:1 gene:OGLUM04G02270 transcript:OGLUM04G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGICHHVCISVCKVCQHCIGFLAPEKNASNPFYPAHPLSIPDSRCSSHDSLQRAQCEKPHCPAMQIQGIVRHIFPLQSFTINTDQLGLCTSYSSINSVHTQVVLYLSSISKQSTWCRKHHELLWKQLFW >OGLUM04G02280.1 pep chromosome:ALNU02000000:4:3398074:3402494:-1 gene:OGLUM04G02280 transcript:OGLUM04G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLHFLLFLVSASADCLLRPPLRLRRMPPPPRLPQGKEEVLLAAMCELSVLLLRVLEQTLES >OGLUM04G02290.1 pep chromosome:ALNU02000000:4:3402406:3404037:1 gene:OGLUM04G02290 transcript:OGLUM04G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRRGGRRRQSALALTRKRRKWRRAWPMILRSKMESSHIGCKKSCLLHILQCHGRVFDQAQGQLNPLRLPNGRQDLKYHGVQGLTDHRALRFRYKGYSNLFVFTVAFLEESGYCLEDGKASRSARDITA >OGLUM04G02290.2 pep chromosome:ALNU02000000:4:3402510:3404037:1 gene:OGLUM04G02290 transcript:OGLUM04G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWAAAQIIFLPGPARLEGTEGLSCYCLRGKDPEEQDGELTHWLQEELPPAYLTMSWKRLPNGRQDLKYHGVQGLTDHRALRFRYKGYSNLFVFTVAFLEESGYCLEDGKASRSARDITA >OGLUM04G02300.1 pep chromosome:ALNU02000000:4:3405238:3405789:-1 gene:OGLUM04G02300 transcript:OGLUM04G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQHRSCRCVKSNCVKLYCPCLSAYGYCSQNCRCTNCKNREYYEDFVEERVDMIKMKNPRAFDPKIVRVQDASEIEPQSSNAVPMPEVQVPRTFV >OGLUM04G02310.1 pep chromosome:ALNU02000000:4:3410095:3410532:1 gene:OGLUM04G02310 transcript:OGLUM04G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAGVVDAAEDVVKPAAARQPIRRQGSLRLRALAPGSINVRAGGAGDGSAASEYCHDAVAAAAEVIPLLTPLHAVPAAPAASDQVSSGRTARHLTEVVGGGGRCVAVEKTRLPAWWWHPAMPPFVNDQPASASAVGFVFQNCV >OGLUM04G02320.1 pep chromosome:ALNU02000000:4:3414541:3415305:-1 gene:OGLUM04G02320 transcript:OGLUM04G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLYKSGAVWKGFYRSNVIGKVFCGAVWKGFYQNLILLYPAALPLEPTKGEMPLAEVLRFNTKVDCLILNVIIMVSCGPLMVILRFGQNWYKSNMSKIPW >OGLUM04G02330.1 pep chromosome:ALNU02000000:4:3427173:3428468:-1 gene:OGLUM04G02330 transcript:OGLUM04G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVVITKSSPELVGLSTKPAPPPPGDISLSSFDEALAFAAFTSFHIFTNGIVEPAMAIKRALSQALVYYYPIAGRANFAAGERRLRICCTGEGVGFVAATASCALDDVKLFDPPFAAVLKELAVDYPAEGCGEDDPLLLMQVTEFACGGFVVGVTWNHVVADGLGIAQFLQAVGDLARGLPRPSVFPVSCGDGSLPALPPLVAAIEKTMLSLETKRFAYLDITIPSTMIERVKAEYAAAAGDVDSGEPCTVFEAVTAALWRSRTRAVISSDDPDAPAPLVFAANARKHVGAKEGYYGNCVTSQVAVPTSDEVANGDLKHVVRLIRRAKEEIPLQFKNAGGGGMNGKRVKQLAGVLFGYSAFYVASWRNIGFEAPDFGGGRAARVMCHFEPTGVPSCVACLPRDGGGASVLSLCVRDEHVDAFLAELATLG >OGLUM04G02340.1 pep chromosome:ALNU02000000:4:3428951:3466889:-1 gene:OGLUM04G02340 transcript:OGLUM04G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADERWPAVVEAKALIRVSLPDAGQHLNQQGRPVYLMDHGPVGPGYGEGAVKGLRDTNFDSPQNN >OGLUM04G02350.1 pep chromosome:ALNU02000000:4:3435028:3440174:1 gene:OGLUM04G02350 transcript:OGLUM04G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYTSRAPASGGVAAGDDDEEAACLQAFELMCIFTVPMTLKAAIELGLLDALAAAGDGRALTADELAAARLPDAAPDKAEAASSVDRMLRLLASFDVVKCSTEAGPGGEPPRRRYSPAPVCRLFTAGGNSHRGSLAPSVLFGVDEDYLCTWRQLAAAVGGGGPSAFERAHGMRMFEYMGTNRRLNTLFNQAMAQQSMIVIDKLLDRFHGFDGVGVLVDVGGGTGATLEMITSRYKHITGVNFDLPHVISQAPSIPGVKHIAGNMFESISNIGDAIFLKMILHMQNDEDCIKILKNCHQALPDNGKVIAVEIVLPTIPELAQTARYPFQMDMIMLSNSRGGKERTELEFAKLATDSGFSGALRTTYILANYWVLEFSK >OGLUM04G02360.1 pep chromosome:ALNU02000000:4:3469480:3470780:1 gene:OGLUM04G02360 transcript:OGLUM04G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISRSPATGVTAGGGDDEEATWLHALELISGFTVSMTLKAAIQLGLIDALTAAADGRALTAGELVAQLPAVDDAEAATSVDRMLRLLASFNVVRCSTEAGPGGDPLRRYSPAPVCRWFTAGDNHQGSLAPRLMLDVDEDNLSTWDFTIWR >OGLUM04G02370.1 pep chromosome:ALNU02000000:4:3490940:3493033:1 gene:OGLUM04G02370 transcript:OGLUM04G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEAAQCYLIWTCIEWNEGVNHVAGNMFESVPKGDAIFLKSMLLRNDEECIKILKNCHCALSDNGKVIVVDIVLPATPKPVPEAQSPLRMDVMMLNNLRGGKIRTEQEYAKLAMDSGFSGSFRTTYISANFMAIELCK >OGLUM04G02380.1 pep chromosome:ALNU02000000:4:3496751:3501914:-1 gene:OGLUM04G02380 transcript:OGLUM04G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPATAVAAGDEEEAACLQAFELMCAFTVPMTLKAAIELGLLDALVTAADDDDGRALTANELAARLPDALDKAEAASSVDRMMRLLASCNVVKCSTEAGPAGEPLRPRYSPAPVCRWFTAGGNSHHGSLAPSVLFGIDEDYLSTWHQLAAAVGGGGAVAFERAHGAPMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHITGVNFDLPHVISQAPSLPGVKHVAGNMFESIPNGDAIFLKSILHLQNDEDCIKILKNCHQALSDNGKVIAVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLAMDSSFSGTLRTTYIFANYWALEFNK >OGLUM04G02380.2 pep chromosome:ALNU02000000:4:3496751:3501914:-1 gene:OGLUM04G02380 transcript:OGLUM04G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYTPATAVAAGDEEEAACLQAFELMCAFTVPMTLKAAIELGLLDALVTAADDDDGRALTANELAARLPDALDKAEAASSVDRMMRLLASCNVVKCSTEAGPAGEPLRPRYSPAPVCRWFTAGGNSHHGSLAPSVLFGIDEDYLSTWHQLAAAVGGGGAVAFERAHGAPMFEYMGTNRRLNTLFNQAMAQQSMIVINKLLDRFHGFDGVGVLVDVGGGTGGTLEMIMSRHKHITGVNFDLPHSILHLQNDEDCIKILKNCHQALSDNGKVIAVEIVLPAIPEPVPTAQYPFQMDMIMLNNFRGGKERTELEFTKLAMDSSFSGTLRTTYIFANYWALEFNK >OGLUM04G02390.1 pep chromosome:ALNU02000000:4:3505034:3505487:1 gene:OGLUM04G02390 transcript:OGLUM04G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVRGGPSAFERAHGMPLFDYMGTNHRFNTLFNQAMSQQSMMVMNKLLDRFHGFDGIGILVDVGGGSVTLEMIISRYKHITGVNFDLPHVIAQAPSLPGTKSRNFLTSLIPIHFTTHAPQPPSPVPANME >OGLUM04G02400.1 pep chromosome:ALNU02000000:4:3520134:3525676:-1 gene:OGLUM04G02400 transcript:OGLUM04G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLEQCCLLLLLLCSCSSAAVAAASPAAMSSSRKAVDRLPGFAGPLPFSLETGYVAVGEARFFYYFIESERSPEEDPVLLWLTGGPGCSAFSGLIYEIGPLFFDFHGYKGGLPTLHYKANSWTKISNVIFVDSPPGTGFTYATTAEGFKSSDTIVVHQLYTFIQKWFDDHPQFSLNPFYVSGDSYSGIIIPTLTMEIAKGKESRNERHLNLKGYIAGNPLTDTTHDDNSKFPFLHSLGIIDDELYEVARKNCKGDYMTPPNSQCANSVQAIRDCIRDVNDLHILEPRCEEDGISLMSDNSASSHDRRTKLLESAVSSVCRNATYVLSKIWANDEAVRESLGIHKGTVTTWERCNHDLLYKKQIVSSVEYHLSLITQGYRGLVYSGDHDSVVSLIGTQGWLRSLNLSITHGWRPWYVDSQVVGFTRTYSNNLTYATVKGAGHTAPEYMPKECLAMVDRWLSGEPL >OGLUM04G02410.1 pep chromosome:ALNU02000000:4:3528155:3528884:1 gene:OGLUM04G02410 transcript:OGLUM04G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPPFPSCNTVDPTLPPPIGQPTTGRPTTASSLFGPYLRFHSPSLVTSMSTSATPSPTAQQSRRAHISATVELPNEAGRWAAAAAITDLIARRRRGEAEALPTIWWRRSQGPRQCQASRGSPIVGFPSLFPLPLASPPPLAMTSTKTGRVASTMTRSGCRGHPILLLMSVLRWWQHTMDLADGRRFLKRADLLELVALVAAGRGGRGGGTAWGLAIFTLTSSGEYFALRA >OGLUM04G02420.1 pep chromosome:ALNU02000000:4:3532891:3539627:-1 gene:OGLUM04G02420 transcript:OGLUM04G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAVLLAIVVAVVCVARTTPPAFAQTVQVWSECSPQNYTAGSAYGESLRGVLKDVVDAAVSGGGYAAANDASGAAHGLAICYADAPPQVCRLCLAMAAGNLSLACPRAVGGAMLYNNCLLRYAAGAPFLARPDMDQEFSFYNPNMTSAGDAAQFGAALSRLMDRLALAAASSSSSSRGRRFAFGQTNITGDDGSSLYAFVQCVDDLSPDDCRRCLQSIAASLPMTRGGRAYSLTCYTRFEVVPFYRPPTATNLVVEASPASAPASPLLPTGSRDGKPSKLRMRLALAVSTVAATLLVISCFIVVKIRKSGKFQLRIIGKNSNPKENIEELLDNYGSLAPKRYKYSQLKDMTGSFSEKLGEGGYGMVYKGTSPDGHSVAVKFLHDLTRNGEEFVNEVISIRRTSHVNVVTLVGFCLEGSKRALIYEYMPNGSLEKFIYAENSKTTLGWDKLYDIAVGIARGLEYLHRGCNTRIIHFDIKPHNILLDHDFVPKIADFGLAKLCNPKESYLSMAGMRGTIGFIAPEVFSRRFGVVSTKSDVYSYGMMLLEMVGGRKNLKASVDNPSEMYFPDWIYRCLADVGSLHSFDMEHETEEIARKMASIGLWCIQVSPSSRPTMSKGGFF >OGLUM04G02430.1 pep chromosome:ALNU02000000:4:3544952:3550830:-1 gene:OGLUM04G02430 transcript:OGLUM04G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase protein with RING/U-box domain [Source:Projected from Arabidopsis thaliana (AT5G43530) TAIR;Acc:AT5G43530] MHGDWPESPSQAVLYADEIAAVRAVLGAGLDKSRVVAALSRCGGNTERAINALLDDDATSAGVEDESKKGKGAKPAAPPVKAERDAGGAAPKPPPPPVKVEVLDDEPLGSQESNGCSARVKKEREDELLVKAPPPPTPDRVKKEEDYRCDGVAAKRGAATANAAGISLVPRPKKRSRVDDEAETIDLTATHPVPYLNPRPIRAVPPPEAMEMLESRRVRARPPPPSSDLRMVVAPPDAEFGEFPEERDWFLVGRSYVTGLSTNSGRRRLDAGELVHFSFPSLERTYGGIKVSNKKAAALAEIVRFSTNRAGEIGKLSTEWTKCLVPLVNSSKVKIQGKIVFPTVELRLMEEILLYVSFYIHRSVFTASGNNSSWDLLAPANVDYSTNPLYRLFRLLKLRAFTKADITPEELAAGKRPRNLRGDDNDEDEPMAIVGLENRHTAGQTFPEQGTDEQAISEAALNKIVGTAETYDLEEAEPPSTLVSVLKPYQKEALFWMSQLEKGIDADQAKKTLHPCWSAYKIVDKRAPAVYVNVFTGEATTQFQSVTQSARGGGKFLLEYEYLHLPNLMVLYVPSFALQILADAMGLGKTVMTIALIQSNPRGEIEQDKRGTRDRDTMAQTSRSSVRGGTLIICPMALLGQWKDELEAHSAPGALSVFVYYGGDRTTDLRFMAQHSVVLTTYGVLQSAHKNDGSSIFHRIDWYRVVLDEAHTIKSPRTKAARAAYELTSHCRWCLTGTPLQNNLEDLFSLLCFLHVEPWGDASWWNKLIQRPYENGDERGLKLVRAILRPLMLRRTKETKDKMGNPILVLPPANIEIVECEQSEDERDFYEALFRRSKVQFDKFVAQGSVLNNYANILELLLRLRQCCDHPFLVISRADTQKYTDLDELAQRFLDGVQRDSARRSAPPSQAYVEEVVEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPSGGPCPLCRSPITKSELITLPSQCRFQVDPENNWKDSCKVIKLIKILEGLQEKREKSIVFSQFTSFFDLLEVPFNQKGVKFLRFDGKLSQKHREKVLKEFSESKDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQKVQAGKQRMISGALTDDEVRSARIEQLKMLFT >OGLUM04G02440.1 pep chromosome:ALNU02000000:4:3554137:3557105:-1 gene:OGLUM04G02440 transcript:OGLUM04G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine/deoxycytidylate deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G20960) TAIR;Acc:AT4G20960] MVLSRNYSFFASAAAPPPSARPFAGSGDFQRRHLLLGAAAMASSPVSLSHRHHLTPRPAASASASTSTSLRRSRLPVGCAAAGLRCQAQAGDMDDDGVYIAGAWSWRAAGHTSPNPMVGCVVVRGGRVVGEGFHPEAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKDVVVMWGLTYERRPRSSLRQQLYIPASPVRRRSRLRRADEVQGHVLQLPSSIRFAVIPPPISLSVTVVDGMTDPNPIVASKGIERLQSAGIDVRVCMEEEALCRKLNEAYIHRMLAGKAFATLRTTLSMNGVVVNQIGTGADQPGGYYSQLLKECDGVIIYGISANMTSFPTSHETGAKQPLYIIIAQGEDSQLNIPFLKEECASEAEEKLVQKVVVELLPIWAVSKGPGHLAFGGSQSFPLKDVEHKEVNGCMLLEGYV >OGLUM04G02450.1 pep chromosome:ALNU02000000:4:3565435:3580615:-1 gene:OGLUM04G02450 transcript:OGLUM04G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MDYLKTVVPSQLMAERGANLVVINPGSSNVRIGFASQDVPFNIPHCIARHITQRKDDTPRLSVRDKVMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHEKSVGHQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVILGVLDIGRDAWIHREDWAKNGVHIGIREIETASLPSGTRIQEIKSDPSEPRSMETRETESQNHKSNNNESKSSQKIKSQELNHWGHCVSEAKHAAALRPSWLVCALPCATRRGGAEIIKQELGWWIDLRGGDWSFKEAIAIGSTRGKPWEGAATAFAHGGGGHRRCCPRWGRAPPNGPHVIFMKTVMGCRVN >OGLUM04G02450.2 pep chromosome:ALNU02000000:4:3565435:3580615:-1 gene:OGLUM04G02450 transcript:OGLUM04G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 9 [Source:Projected from Arabidopsis thaliana (AT5G43500) TAIR;Acc:AT5G43500] MDYLKTVVPSQLMAERGANLVVINPGSSNVRIGFASQDVPFNIPHCIARHITQRKDDTPRLSVRDKVMLNCHATPSQNAERERAYDIIASLLKIPFLDEEMPSANQALPPKMGRVDALSSQQNKDDSKFTWTDVMDRKRPVDKDADVDPLQRSTPDDTEPNSEENMYKEIIFGEDALKIPPSESYCLSHPIRRGHFNISQDYSLHQVLEDLRTIWNWILTEKLHINPRDRHLYSAILVLGETFDNREIKEMLSIVLCDLGFSTAVIHQEALAAAFGNGLSTSCVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHRTWPNFQTDPVNKPIDMLMLNKLKESYSQIRSGSFDAVSVVHSYEHEKSVGHQKTKLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYSSGNGGFGMWDNYPMFPTRLKKFDNIGLVEAIVGGTASTAGLAPVLEQRVLNTIPSNQPIEKAEVLQSRSYPLFVPWKGGVVIFMKTVMGCRVN >OGLUM04G02460.1 pep chromosome:ALNU02000000:4:3566949:3567122:1 gene:OGLUM04G02460 transcript:OGLUM04G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALASSKPATAATALASNRCGVLVLHEQQATGAAVASCPLRAANSGVGEQWEQL >OGLUM04G02470.1 pep chromosome:ALNU02000000:4:3581584:3583519:1 gene:OGLUM04G02470 transcript:OGLUM04G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVVGSKGKNGDTGRRPPWGDADGGEEQRRRLKESGEANLRELDPACGGAHPMKSMVAIE >OGLUM04G02480.1 pep chromosome:ALNU02000000:4:3585873:3593016:-1 gene:OGLUM04G02480 transcript:OGLUM04G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFTLQPSPVVDLRLIDEPGGKPFGFHFTALPILDGETPLVFVILLRPKGSPLSFASGTRLRLSRCLLFWMVSADTNKIIGGLTTAEYGRLLQCQLDGRANQVHDGELPAWANPAKVDEGASASKKCKRAMPKAAPGAQTQSRKVSKAPVVESEADDEDDKEDEAADGSRGEEASHGSTIAGSSASPLRQMDLEGRKLQRRSPRLRRRKVVQVA >OGLUM04G02490.1 pep chromosome:ALNU02000000:4:3596986:3604715:1 gene:OGLUM04G02490 transcript:OGLUM04G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFTASFQCVTLFGQPASAADAQPLLQRPFLHLHARRRRPCGPMLISKSPPYPASEETREWEDGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHSMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNSTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >OGLUM04G02490.2 pep chromosome:ALNU02000000:4:3597555:3604715:1 gene:OGLUM04G02490 transcript:OGLUM04G02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKSPPYPASEETREWEDGQHEHTDELRETTTTMIDGIRTALRSIGEGEISISAYDTSLVALLKRLDGGDGPQFPSTIDWIVQNQLPDGSWGDASFFMMGDRIMSTLACVVALKSWNIHTDKCERGLLFIQENMWRLAHEEEDWMLVGFEIALPSLLDMAKDLDLDIPYDEPALKAIYAERERKLAKIPRDVLHSMPTTLLHSLEGMVDLDWEKLLKLRCLDGSFHCSPASTATAFQQTGDQKCFEYLDGIVKKFNGGVPCIYPLDVYERLWAVDRLTRLGISRHFTSEIEDCLDYIFRNWTPDGLAHTKNCPVKDIDDTAMGFRLLRLYGYQVDPCVLKKFEKDGKFFCLHGESNPSSVTPMYNTYRASQLKFPGDDGVLGRAEVFCRSFLQDRRGSNRMKDKWAIAKDIPGEVEYAMDYPWKASLPRIETRLYLDQYGGSGDVWIGKVLHRMTLFCNDLYLKAAKADFSNFQKECRVELNGLRRWYLRSNLERFGGTDPQTTLMTSYFLASANIFEPNRAAERLGWARVALLADAVSSHFRRIGGPKNSTSNLEELISLVPFDDAYSGSLREAWKQWLMAWTAKESSQESIEGDTAILLVRAIEIFGGRHVLTGQRPDLWEYSQLEQLTSSICCKLSRRVLAQENGESTEKVEEIDQQVDLEMQELTRRVLQGCSAINRLTRETFLHVVKSFCYVAYCSPETIDSHIDKVIFQDVI >OGLUM04G02500.1 pep chromosome:ALNU02000000:4:3608201:3613004:-1 gene:OGLUM04G02500 transcript:OGLUM04G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSEATVTLVSVVTLPILLALLTRKSSSKKRRPPGPWNLPLVGGLLHLLRSQPQVALRDLARKYGPVMFLRTGQVDTVVISSPAAAQEVLHDKDVTFASRPSLLVSEIFCYGNLDIGFAPYGAYWRMLRKLCTVELLSTKVKXALVRNIEAAAGGKKPFTLATLLMSCTNTFTAKAAFGQACGGGLQEQFLTALGEALKFSNGFCFGDLFPSLRFIDAMTGLRSRLERLRLQLDTVFDKIVAQCESNPGDSLVNVLLRIRDQGELDFPFSSTHVKAIIVDMFSGGTETTSSTAEWLMSELMRNPEVMAKVQAEVRGVFDNKSPQDHEGLLHNLSYMKMVIKETLRLNPVLPLLLPHFCRETCEIGGYEIVEGTRVLINSWAMARSPEYWDDAEKFIPERFEDGTADFKGSRFEYLPFGTGRRRCPGDIFAMAMLELIVARLLYYFDWSLPDGMQPGDIDMELVVGATARRKNHLQLVASPYKPIAMQS >OGLUM04G02510.1 pep chromosome:ALNU02000000:4:3623575:3624148:1 gene:OGLUM04G02510 transcript:OGLUM04G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLCYNKKDGRGLGSMVIVESDIQVDAMINEYQSTQQGQEHAEIIDEHGLINEEYNDVSDYDSEEDELYVHEMVEEKKRWTEDPTEHCEGDTVVEDIFVQPKSMKTSKADVVTLQALLVSTNRNCEIATRNKKCERYLWVMKMIYALKTMMVLRCQLW >OGLUM04G02520.1 pep chromosome:ALNU02000000:4:3625274:3626575:-1 gene:OGLUM04G02520 transcript:OGLUM04G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVATFGKLDVMFNNAGVTGPPCFRITESTKEDFERVLAVNLIGPFLGTKHAARVMAPARRGSIISTASLSSSVSGTASHAYTTSKRALVGFTENAAGELGRHGIRVNCVSPAAVATPLARAAMGMDMDDETIEAIMEKSANLKGVGLKVDDIAAAALFLASDDGRYVSGQNLRVDGGVSVVNSSFGFFRD >OGLUM04G02530.1 pep chromosome:ALNU02000000:4:3628205:3628654:1 gene:OGLUM04G02530 transcript:OGLUM04G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQIYGLELRDFSEMSSRGFFDARFYDHTSLRHPTQPMFTYVQVKTITLHVFIATPMATSPVYAKNEVPTRESISVASETPSLSICPIGKTLIKKPDDKIHELWCPIQNSNSDAVKGCPIIQQMKAELDTCNLHRIQRYLVWCPVHKI >OGLUM04G02540.1 pep chromosome:ALNU02000000:4:3634850:3635289:-1 gene:OGLUM04G02540 transcript:OGLUM04G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSHVSADARKLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLVAELGPIKIQHV >OGLUM04G02550.1 pep chromosome:ALNU02000000:4:3643340:3652189:-1 gene:OGLUM04G02550 transcript:OGLUM04G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIRLVGKVAVITGGASGIGACTARLFVKHGARVVVADIQDELGASLHNINHVVEKICIW >OGLUM04G02560.1 pep chromosome:ALNU02000000:4:3655643:3657059:-1 gene:OGLUM04G02560 transcript:OGLUM04G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPATEPPASSPAIGFPSGSGDLHGARVVVADIQDELGASLVAELGPDASSYVHCDVTNEGDVAAAVDHAVARFGKLDVMFNNAGVSGPPCFRMSECTKEDFERVLAVNLVGPFLGTKHAARVMAPARRGSIISTASLSSSVSGAASHAYTTSKHALVGFTENAAGELGRHGIRVNCVSPAGVATPLARAAMGMDDEAIEAIMANSANLKGAGALKADDIAAAALFLASDDGRYVSGQNLRVDGGLSVVNSSFGFFRD >OGLUM04G02570.1 pep chromosome:ALNU02000000:4:3685177:3690550:-1 gene:OGLUM04G02570 transcript:OGLUM04G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEAVARSSLVLAPRRRRALGLLPAAAAAAPFVLDCRRRHNGGMRRPHVSFACSAELDTGRRQLPSTGTRAVMSSCPGYVEGRMVGENTSQINMGREARIRRHLENPEFLPSSYDIAWVAMVPLPGTDHLQAPCFPECVEWILQNQHSNGSWGVNEFDSSASKDILLSTLACIIALEKWDVGSEQIRRGLHFITKNFSIVIDDQIAAPIGFNLTFPAMVNLAIKMGLEFPASEISIDQILHLRDMELKRLAGEESLGKEAYFAYIAEGLEESMVDWSEVMKFQGKNGSLFNSPAATAAALVHRYDDKALGYLYSVVNKFGGEVPTVYPLNIFSQLSMVDTLVNIGISRHFSSDIKRILDKTYILWSQRDEEVMLDLPTCAMAFRLLRMNGYGVSSDDLSHVAEASTFHNSVEGYLDDTKSLLELYKASKVSLSENEPILEKMGCWSGSLLKEKLCSDDIRGTPILREVEYALKFPFYATLEPLDHKWNIENFDARAYQKIKTKNMPCHVNEDLLALAAEDFSFCQSTYQNEIQHLESWEKENKLDQLEFTRKNLINSYLSAAATISPYELSDARIACAKSIALTLVADDFFDVGSSKEEQENLISLVEKWDQYHKVDFYSENVKAVFFALYSTVNQLGAMASAVQNRDVTKYNVESWLDYLRSLATDAEWQRSKYVPTMEEYMKNSIVTFALGPTILIALYFMGQNLWEDIVKNAEYDELFRLMNTCGRLQNDIQSFERECKDGKLNSVSLLVLDSKDVMSVEEAKEAINESISSCRRELLRLVVREDGVIPKSCKEMFWNLYKTSHVFYSQADGFSSPKEMMGAMNGVIFEPLKTRGN >OGLUM04G02580.1 pep chromosome:ALNU02000000:4:3704335:3705493:-1 gene:OGLUM04G02580 transcript:OGLUM04G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRWLTAVEAKTLLWASPPDAGQYLSQQGGVHLGQEQQPGATPRRRHKQKAQFASAYSRSPSRMIAQFALMGCTVNILLHCGYEIMGYIERKMGAFMRVNLLLLNEEMWEAGSKWKTPQSKFVTVKTWGNTRKIENLERKT >OGLUM04G02590.1 pep chromosome:ALNU02000000:4:3709691:3711666:1 gene:OGLUM04G02590 transcript:OGLUM04G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFAKQNCQVNLLTNNPSSNPRFIMEINSAATLTLVSLLTLPILLALLTRKSSSKKRRPPGPWNLPLVGSLLHLLRSHPQVALRELASKYGPVMFLRMGQIDTVVVSSPAAAQEVLRDKDVMFASRPSLLVSEIFCYDNLDVGFAPYGAYWRMLRKLCTVELLSTKVVRQLAPVRNDETLTLVRNIEAASSGHGGGGGKKPVTLARLLTTCTNTITAKAAFGQACGVELQEQFLTALDVGLKFSGGFCFGDLFPSLRFIDAMTGLRSRLWRARGQLDSVFDKIIAQCEEHQGDSLVNVLLRIRDQGDLEFPFGTTNIKAIILDMFTGGTETTSSAAEWVMSELMRNPEVMAKVQAEVRRVFDNKSPQDHEGLIDNLRYMKMVIKETMRLNPVLPLLMPHLCRETCEIGGYEVVEGTRVVINSWAMARSPKYWDDAEEFKPERFEDGMADYKGSRFEYLPFGTGRRRCPGDTFGMVLLELIVARLLYYFDWSLPAGMQPDDVDMDFVVTATTRRKNHLQLVASPYKLAPIQI >OGLUM04G02600.1 pep chromosome:ALNU02000000:4:3739886:3756282:-1 gene:OGLUM04G02600 transcript:OGLUM04G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNGVNGTVLTGNAVAGELDEETTCKHAQELVYAFAVTMTLKAAVELGLLDTITTAADVDGGGSGMTAAELAEKIHATGKAEAASSVDRVLRFLASFGVVRCSTDTGPDGLVLRRYTPAPACRWLTSDRGEGSLGPLTVFAVDEDNFSSWHHMAAAVAGGGATPFERAHGLPIFEYMGTNSRLSALFDKAMAKQSMIVVNKLLDHSEVFDGVRVLVDVGGGDGSTLGMITSRYKHIKGINFDLPHVISEAPPRPGVEHVAGNMFESIPKGDAVYLKWMIHMYSDEDCIKILKNCHRALPENGKVIVLQSVLSATPEMTPTARDSFTMDMIMLVNFEGGKERTEQEFVKLATDSGFTGDFKLTYIFCNFYALEFTK >OGLUM04G02600.2 pep chromosome:ALNU02000000:4:3755152:3756282:-1 gene:OGLUM04G02600 transcript:OGLUM04G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTNGVNGTVLTGNAVAGELDEETTCKHAQELVYAFAVTMTLKAAVELGLLDTITTAADVDGGGSGMTAAELAEKIHATGKAEAASSVDRVLRFLASFGVVRCSTDTGPDGLVLRRYTPAPACRWLTSDRGEGSLGPLTVFAVDEDNFSSWHHMAAAVAGGGATPFERAHGLPIFEYMGTNSRLSALFDKAMAKQSMIVVNKLLDHSEVFDGVRVLVDVGGGDGSTLGMITSRYKHIKGINFDLPHVISEAPPRPGVEHVAGNMFESIPKGDAVYLKVLGELEYISICIITFSILIDTFQKLTC >OGLUM04G02610.1 pep chromosome:ALNU02000000:4:3761893:3789535:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDIEVAVESGSGASPSRNKLLSMVKKHSYLIGWTVIDAEADASNVEMDDKFWHEMLDLFFVHGRVSKGREEDDLVFFVNNMKLHGYRSSDYMENPPPFFVRRWAPKAAHEIEEASQPPPPSAISGTPDIGGSHVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGATSATKTFEKLMMAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYGLNVFLPAIIFLSVAEAAPYYWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.10 pep chromosome:ALNU02000000:4:3772346:3789535:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.10 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDIEVAVESGSGASPSRNKLLSMVKKHSYLIGWTVIDAEADASNVEMDDKFWHEMLDLFFVHGRVSKGREEDDLVFFVNNMKLHGYRSSDYMENPPPFFVRRWAPKQTQQQNS >OGLUM04G02610.2 pep chromosome:ALNU02000000:4:3761893:3789535:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPPHQPSSSGDIEVAVESGSGASPSRNKLLSMVKKHSYLIGWTVIDAEADASNVEMDDKFWHEMLDLFFVHGRVSKGREEDDLVFFVNNMKLHGYRSSDYMENPPPFFVRRWAPKVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGATSATKTFEKLMMAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSVISICGDLMVVSMIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.3 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGATSATKTFEKLMMAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYGLNVFLPAIIFLSVAEAAPYYWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.4 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYGLNVFLPAIIFLSVAEAAPYYWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.5 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGATSATKTFEKLMMAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYGLNVFLPAIIFLSVAEAAPYYWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.6 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYDWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.7 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKGGGNEVGILAVDAVSPVKCRFLAPVFSGSRRFDGGIYGLNVFLPAIIFLSVAEAAPYYWNSLSSTIGGIDYMDLNCKIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.8 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSSEGEKREIQKGERKLTFSPRQGGGNEVGILAVDAVSPVKCRFLAPVFSGSVISICGDLMVVSMIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02610.9 pep chromosome:ALNU02000000:4:3761893:3772016:-1 gene:OGLUM04G02610 transcript:OGLUM04G02610.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRKGKTERQRMSCRAKEVTKTVYASQSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPENTESKNPSSNVLSGATSATKTFEKLMMAILYPPDLDTVGRRCDRQCRDRRRRMNNQSCGDSNAAVTSPSPAPVLVVMASPRRATKKMAKATSAIVARKAENGIEHGVKERRREEPRGRVCVREREERRFHRREGEAIFRRRREGHFAAPSAAPERQAGAEVFVDGDSSIQKKKTMKKKNQKIKSEENDDYMDLSLLV >OGLUM04G02620.1 pep chromosome:ALNU02000000:4:3791766:3798442:1 gene:OGLUM04G02620 transcript:OGLUM04G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQLLLLAAVVLVHAAAAAGRAFQIEEATVDDIQIGFRNGSLTSKQLVLFYLDRIARLNPLLHAVIEVNPDALAQASRADAERRRAASPSSSSSGSCCGGLHGVPVLLKDSIATRDRLNTTAGSLALLGSVVRRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQGRVRLSYLLSLANFAIGFFHHKKSICSISCSMRFKHRISHCSCSEHGGRDTRDRNRWIHTLPIVVEFSGWDQTNGWVDKQGWPICRTVTDAVHVLDAIVGYDSRDAKATRAASKYIPPGGYRQFLKPDGLKGKRIGIPNGFFNFPNGTVQQIVYQRLLDTVRKQGAVVIENLDIANLAVIQDVLNNGEQIVLAAEFKSSLNTYLSDLSYSPVRSLADIIAFNNAHPIEERLKNFGQLIFLVAENTTGIGALEGAVIRQLNKLSADGLEKLMQDEQLDAIITPNDLVSTVLAIGGMPAITVPAGYGKMGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKAPKFLHGTF >OGLUM04G02630.1 pep chromosome:ALNU02000000:4:3843163:3846125:1 gene:OGLUM04G02630 transcript:OGLUM04G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDRLNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKASLSEWSNFRPVKSGWSARGGQTVVFVKLPMENPYVLSADPCGSSSGPAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVHVLDVIVGFDELDAEATRVASKYIPSGGYGRFLRMDGLKGKRVGIPNGFFTEGAYGKTQLRVYQKHLSTMRKHGALVIENINITTNLSAAQDVLYSNENIALQAEFKLSLNAYLSDLLYSPVHSLADVVAFNNAHPVEERLQDFGQPDLIAAQKTNGIGPVEKTAIQRLNELSADGLENLMRMHQLDAIVTPNSDASSFFAIGGMPAITVPAGYDGHGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRRMPSFKT >OGLUM04G02640.1 pep chromosome:ALNU02000000:4:3855619:3855834:1 gene:OGLUM04G02640 transcript:OGLUM04G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRLPLAAATMALAAAAAAFCCCCSAATGFEFNEATVDAIQLGFSNGSLTSTTLVRFYLDRIARLNPLS >OGLUM04G02650.1 pep chromosome:ALNU02000000:4:3922941:3927346:1 gene:OGLUM04G02650 transcript:OGLUM04G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLLLAIMALAAVAAATGFEFHEATVDAIQLGFSNGSLTSTALVRYYLDQITRLNPLLHAVIEVNPDALAQAARADGERATGRRCGPLHGVPVLLKDNIATRDRLNTTAGSFALLGSVVPRDAGVAARLRAAGAVILGKASLTEWSAYRPAPNGWSARGGQTLNPYVRSFTPCGSSSGSAVAAAANMAAVTLGTETDGSILCPASLNSVVGIKPTVGLTSRAGVVPISPRQDSVGPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGFKGKRIGIPNGFFTQEIFEKKQLRAYQKHIQLMRKHGAMVIENIDIAKNLTEVQDVLFSKEHIAMIAEFKLSLNAYLSDLLYSPVRSLADVIAFNKAHPVEERLKDFGQPYFIEAEKTNGIGPVEKASIQHLNKLSADGLEKLMRMHQLDAIVTPNDNGRVFFAVSGMPAITVPAGYDSQGVPFGTCFGGLKGYEPRLIEMAYAYEQATKVRMMPGFKM >OGLUM04G02650.2 pep chromosome:ALNU02000000:4:3923121:3925620:1 gene:OGLUM04G02650 transcript:OGLUM04G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRLLLAIMALAAVAAATGFEFHEATVDAIQLGFSNGSLTSTALVRYYLDQITRLNPLLHAVIEVNPDALAQAARADGERATGRRCGPLHGVPVLLKDNIATRDRLNTTAGSFALLGSVVPRDAGVAARLRAAGAVILGKASLTEWSAYRPAPNGWSARGGQTLVTPTTAPTISASFSSLFDRISSQGVCEIVHEESVRALVHPVRIEQRPICRTVSDAVHVLDAIVGYDALDAEATGAASKYIPNGGYGQFLRMDGFKGKRIGIPNGFFTQEIFEKKQLRAYQKHIQLMRYTKHF >OGLUM04G02660.1 pep chromosome:ALNU02000000:4:3930947:3932184:1 gene:OGLUM04G02660 transcript:OGLUM04G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERDGARMIGTVPRHHGIREPTWQEEHASMAGIPASNSSTNSSPWCRSENGNMEEGAKGSFDISHNFFLLFY >OGLUM04G02670.1 pep chromosome:ALNU02000000:4:3935114:3936044:1 gene:OGLUM04G02670 transcript:OGLUM04G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPLQLAAAIMALAAAATACRAFQIEEATVDAIQLGFKNGSLTSTALVRFYLDRIARLNPLLHAVIEVNPDALAQAARADAERASGRRGFGPLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVRRDAGVAARLRAAGAVILGKSNPAEWSSFRPLTNGWSARGGETLLLDDASSSTRRQDDNGAEEKKEKR >OGLUM04G02680.1 pep chromosome:ALNU02000000:4:3943253:3949968:1 gene:OGLUM04G02680 transcript:OGLUM04G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAERDERRSGRRWPDFNLRLTRYTSAVGQDKDGSHVANDGGHATNGGDRDEGGDGRGKRRRASRATAAVRRTQQMAATEPNDGGHAVDVADGGDRAGRLAVWRTRLRRRPRGTNAARRRKPICRTVSDAVHVLDNIVGYDALDAEATGASSKYIPPGGYGHFLRMDGLKGKRIGIPNGFFTKEKYGKKQLMVYQKHVALMREHGAMVIENIGTTENQTEVKNHLFEIDLVAMKAEFKLSLNAYLSDLLYSPVRSLADVIAFNNAHPVEERLKDFGQSFLTDSQKTNGIGPREKTVLKILKEISTDVLEKLMKKHQLDAIVTPNSDASTIFAIGGMPAIAVPAGYDNQGVPFAICFGGLKGYEPRLIEMAYAFEQATKVRRLPRYKH >OGLUM04G02690.1 pep chromosome:ALNU02000000:4:3950985:3953490:-1 gene:OGLUM04G02690 transcript:OGLUM04G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESATPSKRAKTAAGVATPQKMGKAAAAAAAAALADQFLTPEKPTPKVAAAAAAAEQIWTPEKPEQPSAAERRARSSGGVAFSVKGVRRAALELRRRSESGAASPAAAAAAAEDELEAVERQLVVGPAPVRSPVKRRAKLPESYEMLCEFFNCFESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYIMPEAIVINKILLRDETTCCMKPDLQVNLLVDVVEGVAKQKGETGYSALRRIFRQRLVDYFRDHPEGDDIPEHELPHPFGPTRSSAPQAIQEDIPKTVSALPLSSATDRQPVAMSHMPHSFKRMFSQRSVAAAAAATTSTTSPLSKVEPSITSPFSRKSLLGSPVSGSVSFVCESEGQEKSVEGVAFKSDVSEGTPAKFASTPVRLMAETPVLQTPKRPVSCTGYDTPPLKMAKRSARTKLFMTPTKDASSMDEKKQSTSASTLDGDDELLNFLPKSLLQSVKDKENRALEEKQTGFADRIKREKLIASLPSIFDIIFLIYQSRQRSVMTKQELIHKIIASNPKIVDRGEVEDQLKLLEEIIPDWISEKTARTGDVLCCVDTAMSQAEIRQRLYAAE >OGLUM04G02700.1 pep chromosome:ALNU02000000:4:3955784:3956333:-1 gene:OGLUM04G02700 transcript:OGLUM04G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREDGRLGGIGEKGNNGGIMRSWLKEIKRREGEVDEMGPTDMRMALASAHLEEIIWVHDWASGLRR >OGLUM04G02710.1 pep chromosome:ALNU02000000:4:3977810:3987418:1 gene:OGLUM04G02710 transcript:OGLUM04G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVGMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLHHTNSSSATGNPSQVASFSDGMTGQEVNLFGEHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >OGLUM04G02710.2 pep chromosome:ALNU02000000:4:3978050:3987418:1 gene:OGLUM04G02710 transcript:OGLUM04G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHRITMLSSSETCHLGSSSNNQAMDQQNLLPSNPTADEQNLLPNTLEDDDYPHYLLGSHEVGMPNGSVIGQQNTSLNLWDSAGSSSMGCVADHDSLFEAKREHFAPALSIRAPLIIGGRRHEGSSSLPSQSLNLDLNLNQADQFDSEDVDMIQSNGQPGINAFPLNRGLSIPEHVLHHTNSSSATGNPSQVASFSDGMTGQEVNLFGEHRSSCKRKNIDGSLAESSANGSSRNNQRNNIILEPSPSSHESTSGLTAPAPTNHVFSYSPVEQLNQNTNMSANAMLSDHYSLYGDHERERFLRNTRMRTSPNEYDQSSSNLLPEGSLRCSVYQPTQQQSLFIPVQPRASSSSTSLSRPYVPAVTQFSQNLHRAPSSGNFGSRIGIFPSSADTTNQLSSQDPNRSSVRGNFPEPLLLGSSLFPSDSAELLSMPGGRSNQQNSSSTIRTAVNIGAQQIAGLNASQPTSSSRGSVDIVRRSLQAASVPQSRGSSITSQQQRGHSSTSHEIRSHQPGSSSRANQQHYVRAVPHSVDRQNSNYLDLQSFMQSIAASRDGIRTVSESANQLVHLRNVVEQIRQGRGGRFEDPNFERALFARRASLIDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEDKIRTGLKQWKYVSIPIEEPLTGVEPCCICQEEYAEGEDMGRLDCGHDFHTACIKQWLVIKNLCPICKKTGLGT >OGLUM04G02720.1 pep chromosome:ALNU02000000:4:3987674:3989311:-1 gene:OGLUM04G02720 transcript:OGLUM04G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDRKVLDALDTAKTQWYHFTAVVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNDKKAAADMARVLNVELVDEQEKAAAATAAAAEEEAARREQYGLFSREFARRHGHHLLGTTVCWFVLDIAYYSQNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVLFIDIVGRFAIQLGGFFLMTAFMLGLAVPYHHWTTPGNHVGFVVMYASTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKMGAIVGSFGFLYAAQSTDPSKTDAGYPPGIGVRNSLFLLAGCNVVGFLFTFLVPESKGKSLEELSGENEMEAEPAAATNSYRQTVPDSG >OGLUM04G02730.1 pep chromosome:ALNU02000000:4:3999289:3999563:-1 gene:OGLUM04G02730 transcript:OGLUM04G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKKPINYLFLLLGEMLGLFSLDQLNFLCAHTNRHRIGAKDSMLYSTYLERYNQFIPGTLPSWLKLSLGPVFYESWWTSTPPPPALW >OGLUM04G02730.2 pep chromosome:ALNU02000000:4:3998816:3999286:-1 gene:OGLUM04G02730 transcript:OGLUM04G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEEGSQWCQCGVRIDFLAIAYLQHHQQPCHTSNIIMNIGATQQRKALGSSGSHHDAATSVNIANATNSGGNDNNNDDSNVLLNPPNPWATNQVAKHHSIAVPSPSIIKGSAW >OGLUM04G02740.1 pep chromosome:ALNU02000000:4:4022549:4025436:1 gene:OGLUM04G02740 transcript:OGLUM04G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELKVLNALDSAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPASKSPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIVSAAFKSRFDAPAYRDDRAGSTVPQADYAWRIVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKQAAADMTQVLNVEIVEEQEKADEVARREQFGLFSRQFLRRHGRHLLGTTVCWFVLDIAFYSSNLFQKDIYTAVQWLPKADTMSALEEMFKISRAQTLVALCGTIPGYWFTVFFIDIIGRFVIQLGGFFFMTAFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIVGSFGFLYAAQNTDASKTDAGYPPGIGVRNSLFLLAGCNVIGFFFTFLVPESKGKSLEELSGENEDDDDVPEAPATADHRTAPAPPA >OGLUM04G02750.1 pep chromosome:ALNU02000000:4:4046944:4050107:1 gene:OGLUM04G02750 transcript:OGLUM04G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNQQLRVLHALDIARTQLYHFIAIVIAGMGFFTDAYDLFSISLVADLLGHVYYHGELPRNIHAAVTGIALCGTVPGQLVFGWLGDKMGRKRVYGITLLLMVVSSLASGLSFSKHEGMNIIAVLCFFRFWLGGFGNLAAGIIGMIVSAAFKHSSASKIDYAWRIILMFGAIPAALTYHWRMKMPETARYTALISKNAKKAAKDMSAVLNVNITPDDEVINELARQDEYGLFSFEFLHRHGLHLLGTTVCWFVLDVTFYSLNIFMKNIFTEVGLLPRLDSEYHHTLQRMITMTAVHTFISLCGALPGYFFTVAFVDRIGRVKIQLIGFTMMTVFMLCLAIPYDQWLRHKNKYGFAVMYGLTFFFANFGPNTTTFIIPAEIFPARLRSTCHGISGAVGKIGAIVGVFGFLYTEYHIRIFLFVLIGCNLVGFIFTLLLPESKGKSLEDLTGEIEEFQEEDEGSEVALSRPIHTVPL >OGLUM04G02760.1 pep chromosome:ALNU02000000:4:4060893:4062579:-1 gene:OGLUM04G02760 transcript:OGLUM04G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSVYPEADSAVQVYPEAELDDLTCGDENMLAMFNVLKNKKFARLENLVLARNSFSRTVYNINTLSNLVYVGRVQITVDGDGRHIVYPRNTPTAEDIASGKMMKDMVVDSEELMHGPHLTTHGTNASNTPPIVVIQDEEAAATTTCEKMEMRKARHKLNRSLFQDEDK >OGLUM04G02780.1 pep chromosome:ALNU02000000:4:4077437:4114317:1 gene:OGLUM04G02780 transcript:OGLUM04G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAGEGGGGGGRRRWGLTSRRGDAGGGEGGEGDEEGLRRREAADSGGGREADVDGGALAGEKAARKGEGDEGVEKATALEGEQTPGQINKLLNERLDSWDKLVAIIGFADGGDIGERYQSLVRSYSFSKTISSKNVGIGYLICAARPTPGLVSLFAKTGTARRKGRVTSVSLSPSSFRSYAVVARSAMDRNHRQGFGAGGNGGNGGFARGFHLGFGVGNQHGGAPGGRGRGRGRGRPTGRPGPRHEFSHGGGWNNFGGGHGWSSGFGLNAGPTSGGFNGVGFPAPFGSVACPDGGGVSAGKFGVAPGPSAFPAASGGVFPVGSLPTGGVPSGGLQMDGGGLPSGGLSAVMPTAGGAHAGGASGGELKAAFHMPVVVPGSGLAGDGSSGLVSVSGIVSSSGVLGASSAAAAGQVSSLKIAESGKGIAQHNWQKVDGGGSQARGSGAMVSNTSSGPTARSSAGGFVPSGGVFGTELTVWMDQIPEHAMFEG >OGLUM04G02790.1 pep chromosome:ALNU02000000:4:4121343:4128930:-1 gene:OGLUM04G02790 transcript:OGLUM04G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARANLAALSIMALLFLGIVIGDAARETPGTYFSLGRMDGHNPTAAYGANTHSDSGDMNKGENSIAGHSSERKLGTTTDGAPINIAIVMLNIQTIPPSEHLLSKIMSTRKANLFALAVMAFFFVGIVIADVTQGNSEDLFLGRTDGHNHAAYGANTHSNSGVMNKGENSGADSNERKLMVSTTDGQTDGTMSPRHRSPDWYCQYTGKTEHCP >OGLUM04G02800.1 pep chromosome:ALNU02000000:4:4150558:4150800:1 gene:OGLUM04G02800 transcript:OGLUM04G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPLLILAMVMANAFGAVTSRTALREEAHLAHGVVKTTAEGTSIDNHHAIPRPEYDSWSSPGNMPGSGHDIGSQQAQP >OGLUM04G02810.1 pep chromosome:ALNU02000000:4:4153190:4153596:1 gene:OGLUM04G02810 transcript:OGLUM04G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLKARFKIVAVLLAFAMVAQAMAIRGTGTTAEQDNTGESTSAKHTLPQAVGSGTSVDNHHAIPRDQYSNHGGDDGGGSTGTGTKY >OGLUM04G02820.1 pep chromosome:ALNU02000000:4:4171931:4173239:-1 gene:OGLUM04G02820 transcript:OGLUM04G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHSTVFISYKYSMSSDYIFLQTKPGKGLQELMKMKAALLMILVVAVSFNLCACNIPGNMEEEHGMYKDVRAGKDMRKLIDIDGRTAPIGHDYDHVCPRVFSCIPPFANLCITAKTIFNIR >OGLUM04G02830.1 pep chromosome:ALNU02000000:4:4179103:4179357:1 gene:OGLUM04G02830 transcript:OGLUM04G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGAFLFVLLVFINLCTGMPRNMAKDGYHKTDLGLKVMRNLMSGTDGRTGPPSNDHQCPLGTYPNCQGVSQSTQGTEQDVGGN >OGLUM04G02840.1 pep chromosome:ALNU02000000:4:4180469:4181441:-1 gene:OGLUM04G02840 transcript:OGLUM04G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYRRRVLTAASTLVQAACGSVGGMPCVPAAREGGRSWSGYAAAAPLYTRELPGESMWTKAEALGSGAMRVARVQKQSSKAHGMQLRPYMQDN >OGLUM04G02850.1 pep chromosome:ALNU02000000:4:4181546:4188470:-1 gene:OGLUM04G02850 transcript:OGLUM04G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFGKPVWVVWDEGAGPKVERGSHLGVGWAHAWKRCGESRKRGGGSGGVVDQDDAAAAAVARMEMERRGAQGSSYVKKCLPKPIILASLWATKLTGPGLLAKHIAPRNMDIKIWEGSMETTDGTNGKRASEPSVEMDDYGKLPWSEAERRCNI >OGLUM04G02860.1 pep chromosome:ALNU02000000:4:4188656:4189089:1 gene:OGLUM04G02860 transcript:OGLUM04G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVSGELATTALVAARSKALLSRASRAGASFVGTRCWRLWISACGSELPCPRRRRAKTSSSMGAQDQGEMVTQGFILAQALNMR >OGLUM04G02870.1 pep chromosome:ALNU02000000:4:4189255:4209462:-1 gene:OGLUM04G02870 transcript:OGLUM04G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSYVDGGGGSGVKKVTGGGDRRRSSDGEGGSGRSPGVPDPVVPNHLETGSGAHHLEATTGDHHRYSGTKKIRRRSPASGRAGREARWRGGSRRREGRHRIE >OGLUM04G02880.1 pep chromosome:ALNU02000000:4:4211674:4213384:1 gene:OGLUM04G02880 transcript:OGLUM04G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNFRLKYDSYKENNFNSLQDTKGSQSMKPALVTVLVVLLLITTSTALARKLAADDGQQKAESQAKSEVNIDGKPSSGYGEHVCPRDMYPNCFQRMKKLTSSNHLG >OGLUM04G02890.1 pep chromosome:ALNU02000000:4:4213929:4214846:-1 gene:OGLUM04G02890 transcript:OGLUM04G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRKFFPTIVVLLLLVVTTAAQARECETPSNEFKGICMMVANCANVCLTEGFSGGKCSGFRRRCMCTKDC >OGLUM04G02900.1 pep chromosome:ALNU02000000:4:4219081:4219287:1 gene:OGLUM04G02900 transcript:OGLUM04G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVCAMLMLVIISSCTAATSKEKKGAEMNQLKDAVNQALAKAGQVNYAHSTNTDNNGKRTPCPLPC >OGLUM04G02910.1 pep chromosome:ALNU02000000:4:4232247:4232456:-1 gene:OGLUM04G02910 transcript:OGLUM04G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVAEPKPEAKVEIVEEQATREPMLPASSTSGCRPPPLHGPASSSSSSPPPPRVPPAACVGKVELQLP >OGLUM04G02920.1 pep chromosome:ALNU02000000:4:4234378:4235009:-1 gene:OGLUM04G02920 transcript:OGLUM04G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKFFPAIAVLLLLVIATEMAPAQARECGTESSKFSGMCMIQANCDHICKTEGFMGGDCRGFRRRCMCRTQC >OGLUM04G02930.1 pep chromosome:ALNU02000000:4:4240088:4241558:1 gene:OGLUM04G02930 transcript:OGLUM04G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSIHAAASSIAVLRRRRLFHRAAPCPNPPRRPAVRDWSADIQFAAAVRRRGDGPGRSDGEDGFTVVTTIMRQSTDSGETWHSKPKFAHVSNGMLRSIDLIVI >OGLUM04G02940.1 pep chromosome:ALNU02000000:4:4242647:4243484:-1 gene:OGLUM04G02940 transcript:OGLUM04G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRKFFPAIAVLLLLVVATEALAPAQSSVRPCETKSLRFEGFCMVEDNCANVCRTEGFVDGRCSTFMYDSACMANSARIKHQLA >OGLUM04G02950.1 pep chromosome:ALNU02000000:4:4243840:4244329:-1 gene:OGLUM04G02950 transcript:OGLUM04G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSDATSPNSWRPPHMATISAMSESLRFTNATDRLNSGCNARVTWEMAPRETLAASRSGSPWSAHDEVSLGDHRWVPRDSGSKGLQD >OGLUM04G02960.1 pep chromosome:ALNU02000000:4:4308581:4308937:-1 gene:OGLUM04G02960 transcript:OGLUM04G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRCSILVLLGLVLLFSNVIAEGASLNKAIFSRKGLKEERKLAVTGTASSLGGLQGQSTSTGVGGVSSNNAESTNSETGDSSSAYTPMSTATTTDSHHDMSMDQYRKIIHNSQMNKP >OGLUM04G02970.1 pep chromosome:ALNU02000000:4:4315037:4315529:-1 gene:OGLUM04G02970 transcript:OGLUM04G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTVAVLVMVAMLMMSVAVYSDDASSHGVTENQIAAAANAHGSNGGSVVTEAASTDSTAGASGTSSAGASGTNINSNYYVTMKGYTDYMKRIGGNKP >OGLUM04G02980.1 pep chromosome:ALNU02000000:4:4318219:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYVYTLGSNDIMPRRIGWAEPEVSVLGRRSRQAQLHGSLLHWYHRIKHMILVFDTMAESFRWMRAPNKTDNELNWERRADLFEMDSTLGLYCCNHGKTIVNIWALQDHEQEVWSIKYKVKLPVTCIRGELDMGDFWRVTVSSEDGVEVVLLECGQSVLCIDTDGKLLACLEHNGNDITVTPMKLKQSLVPHAFFPLLEGYLRRQPVSGLVTHFTPLAAAATMAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSGVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFINFVKTSKKTKPPSPAMSTVWMREGSLQWWRRCPDIEIAKNSMKNGNPKLCFAEIAMFINSISVSPHNSSTVWQMNM >OGLUM04G02980.2 pep chromosome:ALNU02000000:4:4318219:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFINFVKTSKKTKPPSPAMSTVWMREGSLQWWRRCPDIEIAKNSMKNGNPKLCFAEIAMFINSISVSPHNSSTVWQMNM >OGLUM04G02980.3 pep chromosome:ALNU02000000:4:4318219:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYVYTLGSNDIMPRRIGWAEPEVSVLGRRSRQAQLHGSLLHWYHRIKHMILVFDTMAESFRWMRAPNKTDNELNWERRADLFEMDSTLGLYCCNHGKTIVNIWALQDHEQEVWSIKYKVKLPVTCIRGELDMGDFWRVTVSSEDGVEVVLLECGQSVLCIDTDGKLLACLEHNGYLRRQPVSGLVTHFTPLAAAATMAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSGVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFINFVKTSKKTKPPSPAMSTVWMREGSLQWWRRCPDIEIAKNSMKNGNPKLCFAEIAMFINSISVSPHNSSTVWQMNM >OGLUM04G02980.4 pep chromosome:ALNU02000000:4:4320910:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYVYTLGSNDIMPRRIGWAEPEVSVLGRRSRQAQLHGSLLHWYHRIKHMILVFDTMAESFRWMRAPNKTDNELNWERRADLFEMDSTLGLYCCNHGKTIVNIWALQDHEQEVWSIKYKVKLPVTCIRGELDMGDFWRVTVSSEDGVEVVLLECGQSVLCIDTDGKLLACLEHNGNDITVTPMKLKQSLVPHAFFPLLEGYLRRQPVSGLVTHFTPLAAAATMAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSGVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFSDQLCQNLKEDKAALTGDVYCLDERRESAVVAEMPRCFWFLDSPPSSKTTFLALRVFAA >OGLUM04G02980.5 pep chromosome:ALNU02000000:4:4320910:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYVYTLGSNDIMPRRIGWAEPEVSVLGRRSRQAQLHGSLLHWYHRIKHMILVFDTMAESFRWMRAPNKTDNELNWERRADLFEMDSTLGLYCCNHGKTIVNIWALQDHEQEVWSIKYKVKLPVTCIRGELDMGDFWRVTVSSEDGVEVVLLECGQSVLCIDTDGKLLACLEHNGYLRRQPVSGLVTHFTPLAAAATMAAAAATGLPPPLSSGVALPEVLVVWDILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPVFTGCRNCDVLTVDRRRRLLHPVARFDSSVFCVEEASCDGILVLWRKRAIGAHSGVRFSICNPTTRQSGDIPLPLLTGFMLMGLYRHRPTGEYRLLLYRIELPASERLVDVLIPGDRNACYVYTLGSGDLPRCIGWPEAEANGASVLLHGSLHWYKRIEDMILAFDITAESFRWMRSPFGKAVWRAFHGLFEINCMLGMYCCDDGATFVDIWVLEDYEREVWSLKYRVELPVLEIRRELDVKRDLYSVMVSSEDGDVLVLVNCKRAMLYIGTDGKLLASLPHDNDGLSITPVKLRASLVPHAFFPSLLDYVVNASPFSDQLCQNLKEDKAALTGDVYCLDERRESAVVAEMPRCFWFLDSPPSSKTTFLALRVFAA >OGLUM04G02980.6 pep chromosome:ALNU02000000:4:4318219:4335717:-1 gene:OGLUM04G02980 transcript:OGLUM04G02980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAATRELPPVSPGLPLPEELVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLIAACEYDDIMYLIDVLTLTLGRRTGVAARLHPVARYASTADYLDSSRDGLLLISWNTGPPQYSICNPTTRQFGDLPLISGFMFLGLYQHRHTGDYRILLCRAEKPVLEDVIPGHVERDACYVYTLGSNDIMPRRIGWAEPEVSVLGRRSRQAQLHGSLLHWYHRIKHMILVFDTMAESFRWMRAPNKTDNELNWERRADLFEMDSTLGLYCCNHGKTIVNIWALQDHEQEVWSIKYKVKLPVTCIRGELDMGDFWRVTVSSEDGVEVVLLECGQSVLCIDTDGKLLACLEHNGNDITVTPMKLKQSLVPHAFFPLLEGYLRRQPVSGLVTHFTPLAAAATMAAAAATGLPPPLSSGVALPEVLVVWDILINFVKTSKKTKPPSPAMSTVWMREGSLQWWRRCPDIEIAKNSMKNGNPKLCFAEIAMFINSISVSPHNSSTVWQMNM >OGLUM04G02990.1 pep chromosome:ALNU02000000:4:4336787:4341304:-1 gene:OGLUM04G02990 transcript:OGLUM04G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTWQELLDGLEEEPEHSLAMVDPNPGATMQDQRCRTRRGGPAIQETVAAALTDGVQRKWLNQLGSHRF >OGLUM04G03000.1 pep chromosome:ALNU02000000:4:4337041:4338450:1 gene:OGLUM04G03000 transcript:OGLUM04G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGSSFRVPGQQLSRFHLGPSGLPLVKCPRCGSPIMECKSWRQGGRVFFKCEKNEQYVPEACTFFKWYDSYQRMLEGMELDITEEVPVPVARAAGGEGDMVDEGKIDKLTKWIRLLVLMNIGQGLLVLFGWELGLGLGSSDGWELEPACRDGSDGWELETA >OGLUM04G03010.1 pep chromosome:ALNU02000000:4:4372491:4376220:-1 gene:OGLUM04G03010 transcript:OGLUM04G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVGVLHEDDCDEVKFFEEPKKNLQSDDESVDERPGWLPDGWIMEVYRGDDGTICWICPFSGITFTMKSEVLYYLFSEMDQRFLESKNCAVGNNLTRTHEWLPKGWLVEIRASGDNMDKTKIYRTTFTHEGFAHHRCHVGCSFPNIFYMYPPDRVRLFSKDDVLLYIKEMKISEFDTDGQCNTRLPQGWVKEVVFRKTNAGRIRRDWHYTNPIKNYVFRTMRSTALYLEIGKVTIRAFVQKTSVHEVYSFEKFTHLHESLRKRLNLRWTNQLRTQWSKLQKLSLKEEILSDEQGSSSSKFVPEEQKFIRFSVVLCRGPSPVLDLLVSSPWCGGVGGVVALLEVAIAGGHLGSCGFGGNASGGICGWIWLHGAGGRANCSGCW >OGLUM04G03020.1 pep chromosome:ALNU02000000:4:4406402:4411406:1 gene:OGLUM04G03020 transcript:OGLUM04G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSVGILDDDDRDEVKSSEEATKNLQSDDDDVDGRPGWLPDGWIMEVYCGDDGSISRYYICPLSGTTFTTKSEVLDYLFSEIDHCFLESKNHAVGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPNRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTNTGKIRRDRHYTDPIKNYVFRTKRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLHESLWKRLKLGGTNQLRRRSSKLRKLSLKEEILSDEQGSTSVDYFGLPVPFFGLHSTLFSPFSSPQCDACPERQHSQVTRSSNYATMFRLAIHVVGVVRQKFTNYHPKALLIKVGWTSKISLASVDCSSICVRSSIQVERSLPMRHLSLASQLVLVRFIGEPSTEDCDSDNSDTAHGVGQNKVSHVKTTLKGREVMASTTVKRPRGRPPKRGNVRK >OGLUM04G03030.1 pep chromosome:ALNU02000000:4:4463599:4468295:1 gene:OGLUM04G03030 transcript:OGLUM04G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVKSSEEATKNLQSDDDDVDDRPGWLPDGWIMEVYRGDDGTFYRYYICPMSGSTFTMKSEVLHYLFSEMDQRFMESKNCAVGYNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLFSKDDVLLYIKEMKISGYDTDGQCNTSTQEKILTILEFDPKGLPQGWVKEVVFRKTNTGGIRRDRHYTDPIKNYVFRTMRSAALYVETGKVTIRAFVQKTSVHEVYSFEKFTHLGWQRYWRWIAGGGLGSCGLEGVSLVAVLVVATVGGVSHTELEAVRTTAVTVLVAMLAQCGSFIKVVVCLLDDPLVWWLTWRQWPLFTRAANGDSDYSGTLQEARQKKANLVKTTLKGKEAMASTTVKRPRGRPPKRGNARK >OGLUM04G03040.1 pep chromosome:ALNU02000000:4:4467148:4533049:-1 gene:OGLUM04G03040 transcript:OGLUM04G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNAHSSKLAALVNNGHYRQPPDKGVVQQANHNLAGAAALGKHRHEDWTWGEQGGVEYEEGDRETKKYPVDGAIITKIDLHYPAIWKPTWSVIDIIVDYKREMWSLKFQPAKQRQEPPLLEWSHKTTDTMRNNAHFSKLAALVNNGHCRQVSHQTRGSSSKQTTTLMKLPHWASIATRTGQGENKVEWSMKKGTGRPKKSIEPRP >OGLUM04G03050.1 pep chromosome:ALNU02000000:4:4472216:4473913:1 gene:OGLUM04G03050 transcript:OGLUM04G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTRIETVAKACTSANVSGDYVYKIEPLGEKDAKKLFVSRVFGSNSKESLSVEFVHSASSSKELCCLKELMDSILKKCAGLPLAIVSIAGLLSSYRSSSSGSIRMWQRISNSIGSQMEIYPTLEEMKQIIALSYSHLPYHLKACMLYLSIFPEDYVIKKKRLLLRWIAEGFVIGKRGLTMFEVAESYYDELISRSLIDADRIRLDGAVKAVKVHDMMLEVIVSKSLEENYVSFVGAQYGGGTPSYDSVRRLAIHGDGAPKHVVDMMSATHVRSLCTFGRQGNIAVLDRLAEFTLLKVLDLEDCKEVKDCHVKYICRLFLLRFLSLRNTNVRTIPSQISRLKHLQTLNLYRTRIKKLPTSVTTLERLEYLFFSHRWWRRAWEIPLGLENMMALRTLRAIRLPNDPNVVKEIGALAQLQILEIIIDNSNEEVLTNLADALDNTNNLRSLYVSDGGKDKLKNKLLNFLLRLKTPPLLLESMMIGGGGMDELPKWFSSLVHLVKIETRLVSLTGDQLLGGLCELPNLASVYLGFGSCTDDELLVLSAFKFPALKLLCGPSHFAENYPI >OGLUM04G03060.1 pep chromosome:ALNU02000000:4:4473924:4474133:1 gene:OGLUM04G03060 transcript:OGLUM04G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVETFTVHFYDNGGTGSPTLAGFEHLISLKKLEVIADSSKSEIDILEWLNVESARHQNKFEVAVKYN >OGLUM04G03070.1 pep chromosome:ALNU02000000:4:4529165:4534012:1 gene:OGLUM04G03070 transcript:OGLUM04G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPSFGILDDNDCDEVKSSDESTKNLQSDDNDVDDRPGWLPDGWIMEVYLGDDGTIYRYYICPVSGRTFTMKLEVLHYLFSEMDQCFTESKNHELGSNLTRTHEWLPKGWLVEIRAGGDNMDKMYKFYVYPPHRVRLFSKDDVLLYIKEMKISGFDTDGQCNTSSQENILAILEFNPKGLPQGWVKEVVFRKTNTGRIRRDWHYTDPIKNYVFRTKRSAALYVETGKVTIHAFVQKTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKHEKLALKEEILNDEQGSSSGKFPMSGTLSYFGSADVVSMLWRGGSAAGDGLLVVVWAVVAWREFLWWLCWWWQLWVELATRSLRHPRGDACLVRQLQQGCGLLAGRPPCLVAYLAVVAIVHEGSEFGGVGWTSKMSLDSVDYNSICVRSSILVERSLPAEDGDSDYSGTLQEAGQKKTNHVKTTLKGKEVMASTTVKRPRGRPSKRGNVRK >OGLUM04G03080.1 pep chromosome:ALNU02000000:4:4536849:4537787:-1 gene:OGLUM04G03080 transcript:OGLUM04G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAARTGLPTRRRGLPEEIVVWEILVRLPPKPLLRCRLVCRAWRRLTSTGDFLLTHHRHQPSLPLVDRYKCNEEFLLGIVSLDRRGAAARLQPVAQLDDTCYMMSSDASCDGLLLLSIGGIWWFSCNPITRQFSALHLLCGFMVMGFYKHPPTGDYRLLLYRNQELMSEHLIPGDRNTCYVYTLGSSDVPRCIGWPETSASGATVVLHGSLHWYQRSQDMILVFDTTAESFRWMCAPSDRMKCTLDSGNLFDMDGNLGMYCSNDGCTIVDIWVLQDYKREIWSLKYQVELPVPEIRGMLGERYHWRAMVLS >OGLUM04G03090.1 pep chromosome:ALNU02000000:4:4570501:4572617:1 gene:OGLUM04G03090 transcript:OGLUM04G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMYKFYVYPPNRVRLVTKDDVLLYIKEMKISGFDTDGQCNTSTQENILAILEFNPEGLPQGWVKEVVFRKTNTGRIRRDRHYTDPIKNYVFRTKRSAALYVETGKVTIRAFVQRTSVHEVYSFEKFTHLHESLQKRLNLGRTNQLRTRSSKLQKLSLKEGILSDDQGSSSGKFVPEEQKFISFFCVKLVSIMLSSGCAIYPVQFYHNNMLQTLCYN >OGLUM04G03100.1 pep chromosome:ALNU02000000:4:4583297:4587139:1 gene:OGLUM04G03100 transcript:OGLUM04G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVSASTGAMNSLLKKLAAMLRYEYKLHKDVYENIEFMKDELEAMRAFLLKMPEVEDPDVQAKLRVRAVRELSYDIEDYIDKSMVLIDHEPASRTRGFKEFIDKSKNLLTKLKTQHQIAKDIKGIKKQVIEASERFTRYKVDEGTSKLQNSTIDPRVCAIYKDASDLVGIHEPVEDIIKCFTYEDELAKDLKVVSIVGFGGLGKTTLANQVYRKLAAKFECRAFVSISRNPDMRSILKSLLSQICNQEYVQTDAWDENQIISTIRKLLEKKRGLQESNNHNYTQNRCSAKLRFSGIFDYEENCPSHLMEASNAILRKCGGLPLAIITTSSLLANKNTTDQWDRIQRSIGYALAENSDFKGMHKILSLSYFDLPQHLRTCLLFLTIFPEDFRILRMHLIDRWIAEGFIQGDSRQNLYKLGNSYFYELINRSLVQPLEIRIDGQARSCRVHDTIHDFLLSKSIEENFAAIINYPQLTCLSTPDMKVRRLSLIHGHKQSDIIISPSWNLSQLRSLTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVIATSVKELPKSTTQLQRLAVLYANDGTKLPDQLKNMQMLEEVVGIDVFRHSMEFLEELCELKNLWRLGINWDIDRLEGDKVSYKQIVASSLCKLEACNLHDLSIHRRKHFQLSIRRLALTIKEIDQDDLQVLGSIPSLTNLYLCLDPDQNVERSIAISDIHGFQQLELFTFQSTHTGLMFEAGSMPRLGQLSFGINVANFKSTYGGFCLGIQHLSCLTMVSVSTNRLGAKLGDVEAVEDAFRCMVEAHPNQPTLEIETDNLRE >OGLUM04G03100.2 pep chromosome:ALNU02000000:4:4583297:4587139:1 gene:OGLUM04G03100 transcript:OGLUM04G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEILVSASTGAMNSLLKKLAAMLRYEYKLHKDVYENIEFMKDELEAMRAFLLKMPEVEDPDVQAKLRVRAVRELSYDIEDYIDKSMVLIDHEPASRTRGFKEFIDKSKNLLTKLKTQHQIAKDIKGIKKQVIEASERFTRYKVDEGTSKLQNSTIDPRVCAIYKDASDLVGIHEPVEDIIKCFTYEDELAKDLKVVSIVGFGGLGKTTLANQVYRKLAAKFECRAFVSISRNPDMRSILKSLLSQICNQEYVQTDAWDENQIISTIRKLLEKKRIFDYEENCPSHLMEASNAILRKCGGLPLAIITTSSLLANKNTTDQWDRIQRSIGYALAENSDFKGMHKILSLSYFDLPQHLRTCLLFLTIFPEDFRILRMHLIDRWIAEGFIQGDSRQNLYKLGNSYFYELINRSLVQPLEIRIDGQARSCRVHDTIHDFLLSKSIEENFAAIINYPQLTCLSTPDMKVRRLSLIHGHKQSDIIISPSWNLSQLRSLTIFWGAKQLPTFSNFSTLRVLDLWFCAECGLENHHLETVTRLSQLRYLTIQGKKITELPRKFGDLKCLEVLDVIATSVKELPKSTTQLQRLAVLYANDGTKLPDQLKNMQMLEEVVGIDVFRHSMEFLEELCELKNLWRLGINWDIDRLEGESISNVNIWLSSLVSIRRLALTIKEIDQDDLQVLGSIPSLTNLYLCLDPDQNVERSIAISDIHGFQQLELFTFQSTHTGLMFEAGSMPRLGQLSFGINVANFKSTYGGFCLGIQHLSCLTMVSVSTNRLGAKLGDVEAVEDAFRCMVEAHPNQPTLEIETDNLRE >OGLUM04G03110.1 pep chromosome:ALNU02000000:4:4589882:4590975:-1 gene:OGLUM04G03110 transcript:OGLUM04G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSALPPRRRGLPEEIVVWEILVRLPPKPLLRCRLVCRAWRRLTSTRDFLLAHHRHQPSLPLVVGYGCDGGSLLDILTLDRRDAARPGLHPVARLKNAAHFVSASCDGILILNTTNYGEFYYSVCNPTTRQFADLPMLTGFFVMGFYQHRPTGEYRLLLYYQFRPEGSEDRYACYVCTLGSSEMPRCIGWMEEVATSMDYKTEFWSLKHRVELPVPDIKGKLDDGDDWSAVVLSEDGDVLVLVYYRQWLLYIGTNGKLLASFQHDVGCHYNTPLKLKQSLVPHAFFPLLKGYVVNARPFI >OGLUM04G03120.1 pep chromosome:ALNU02000000:4:4601637:4601878:1 gene:OGLUM04G03120 transcript:OGLUM04G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPNEAEDVQVASTSVEKSVAKLMDGGTDNAARRAPGRELAGGGRSGVTKPLMYLPVEAEGVQVASSDVEMAWPS >OGLUM04G03130.1 pep chromosome:ALNU02000000:4:4604022:4605347:1 gene:OGLUM04G03130 transcript:OGLUM04G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIVNKSSPELVGPATTKPVAPTVADVINLSSFDKAIGSYPFTSFHVFDNGIVEPAMTIKGALSQALVYYYPIAGRLVITGAADGGGDQLCVSCTGEGVAFVSATASCALDDVKLFDPPFAALLKELAVAHPAAGEAEADPLLLMQVTEFACGGFVVGMTWNHVVADGKGIAQFLRAVGEIARGLPRPSVLPVSCGDDSLPELPPLVAAMEKAMLTQESKQFAYLDVTIPSSVIGRVKAAFDDGGDVARSGGEPCTVFEAVAAVLWRSRTRAVLISGDSDADTPAPLVFAANVHKHVGAKHGYYGNCVTSQVVAATSGEVANGDANDVVKLIRRAKELISAQFENGGVAMNGAAARVERQLMSALFGYNAFYVASWRNIGFEAVDFGGGRPARVMCHVGPTAVPSCVACLPRDSGGASVLLLCVKEEHVDAFLAELESFK >OGLUM04G03140.1 pep chromosome:ALNU02000000:4:4607649:4613871:-1 gene:OGLUM04G03140 transcript:OGLUM04G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVQNCLAETRGDLGGRLCTPDEVQALTPKAIFHQELQIVSRVAKASSVPVDLHACCLVHWDHTVRVPLYKYQITPNTTGCGGADTWADFGSTEEMFCPAGTIADWVLPLKTGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVTPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQNNTPAILNRLCYPKWALEGFIIVNAKRYPGVWLITRCGLLFRSRFDIHHYMLCILVLFMYGLFFRIVAFVALILVKKR >OGLUM04G03140.2 pep chromosome:ALNU02000000:4:4607649:4613871:-1 gene:OGLUM04G03140 transcript:OGLUM04G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGEAFRRLPPPLLLLLLLAAGVARGQQTTAPPVVPDALNNLTRDVADYISHRFSFCVADPTEDWNEAFNYTSDLGFVQNCLAETRGDLGGRLCTPDEVKFYFSSLYDRKGEKNLFLKTNINCNRSSWVQGCEPGWACSVGSGPNPQGNIPPRTANCQPCCEGFFCPRGLTCMLPCPLGSYCPRATVNTTTGLCDPYKYQITPNTTGCGGADTWADFGSTEEMFCPAGTIADWVLPLKTGSCKEKTENENIVILGGCLVGMLFVFLLIIYNCSGQFLTIREKRKARSRENAIQLARQQLKAHEGWKAAKRLARKHVNDMQSHLSRTFSRRRSFRQHLDSENSGHRLQEAPLFMNQELSDSAAFSAHQSTGEISEVTPSVVVDVSDDGEIVAGKDRSAPKGKHRSTHTQIFKYAYGEIEKEKVRQQENKNLTFTGVLSMVSEQQKEITRPLLKVEFKDLTLSLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVAGYKVSGSVLVNGRHDNIRSYKKIIGFVPQDDVVHGNLTVEENLWFSAKCRLSATTAHRHKVLTVERVIDSLDLQGVRSSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLIVYNGPVKSVEDYFSTLGITVPERVNPPDHYIDILEGIVKPESGINAKHFPLHWMLYNGYEVPNDMKDDLKAIGEQRPHLGSSPSAGSTPHCLPHVRNAFAEERDRIEHHLSKPKDLSSRRTPGVIRQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDPNFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYLRERESGMSSLAYFLARDTIDHFSTIVKPIVYLSMFYYFNNPRSTITDNYIILLALVYCVTGIGYTFAICFNPGSAQLCSALIPVVLTLLSTQNNTPAILNRLCYPKWALEGFIIVNAKRYPGVWLITRCGLLFRSRFDIHHYMLCILVLFMYGLFFRIVAFVALILVKKR >OGLUM04G03150.1 pep chromosome:ALNU02000000:4:4627354:4627905:-1 gene:OGLUM04G03150 transcript:OGLUM04G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSDLILYNLVPAQPLNPSAIPNPNPDLSIAAAEPPSSDGATPRRVRPRKSPSSSDRHSKVAGRGRRVRIPAMVAARVFQLTRELGHRTDGETIEWLLRQAEPSIIAATGTGVTPEEAPPAAVAIGSSSVAAAAAAAGHGGAFVHVPYYTALLMQPPNADEPPMASAASASGTTAADENNN >OGLUM04G03160.1 pep chromosome:ALNU02000000:4:4649829:4651893:1 gene:OGLUM04G03160 transcript:OGLUM04G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLDHVLCFVFVVYAKLGLVASSAQYIVMWQLAPSMWIVDYFADYSIVPIILLFWCSSSGCRLSPFLMAPSFLARPSGRLVVLRPGSCLRRRRRLAAAPAIGLVAGDNLTVPLRCACPSLPQFAVVAKLR >OGLUM04G03170.1 pep chromosome:ALNU02000000:4:4656757:4664136:1 gene:OGLUM04G03170 transcript:OGLUM04G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAACLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVTEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >OGLUM04G03170.2 pep chromosome:ALNU02000000:4:4656624:4664136:1 gene:OGLUM04G03170 transcript:OGLUM04G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAACLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVTEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKVSIQEKDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >OGLUM04G03170.3 pep chromosome:ALNU02000000:4:4656624:4664136:1 gene:OGLUM04G03170 transcript:OGLUM04G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 41 [Source:Projected from Arabidopsis thaliana (AT1G08190) TAIR;Acc:AT1G08190] MSTAARRGHPPPHENGVGGHADDEPDEDGEEEEEEEEGEGEVEDDEEEEPRLKYQRLGGSVPAIVSTDAAAAIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATINDISFDADGEYIGSCSDDGTVVISSLFTDEKLKFEYHRPMKAIALDPNYYNNYRRFATGGLAGQVLVLTKKSWGGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPQLVWQDDTILVIGWGTSVKIAAIRTDSSQGLNGIQRSITASNEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPEEEDRENSFSNSVPSRQGTAQRPEIHLVSWKNDELTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHISWLLQHGWHEKALAAVEAGQGRTELLDEVGSRYLDHLIIERKYAEAACLCPKLLRGSPSAWERWVFHFAHLRQLAVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKKWPPTLYSASPVISAIEPQLNSSSMTDSLKEALAELYVINSQYDKALSLYAELLKPEVFEFIEKHNLHDAIHDKVVNLMILDSKRTVNLLIQHRDTIPPNEVVGQLLHASKSCDKRHLLHLYLHALFETDMNAGKDYHDMQVELYAEYEPRMLIPFLRTSQHYRLDKAYEIFAQKELVREQVFVLGRMGNAKEALSTIINKLEDIQEAVEFVTEQHDDELWEELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVTGTRVAEGSSRANERSSSLRALEIKSRTRCGARCCLCFDPLSIQDISVIVFYCCHAYHTSCLEGGLDLMKSNSSTQDSDEDDEGTPSGESRMRCVLCTTAAA >OGLUM04G03180.1 pep chromosome:ALNU02000000:4:4666143:4667296:-1 gene:OGLUM04G03180 transcript:OGLUM04G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARLLSAAAGPVLPDELVWEILARLPARGLLRCHAVCRDWRRLATSADFLLAHHRHQPPRPLVFGCARWRSGAAADADAAVDSVDLIRHPAERRRVLGFSDYRQHQSFKIHSSCDGLLLFVSGRAFYICNPATRQVTPLPALTGGGSQVTLYPHPSSGDGEYRVLKWKYPDAVCILAVGSSEKPRRIGLPEAFLPPVFWIDEIGFLPPVLLHGCLHWHLRKPEDAILVFDTVAESFRWMVSPNVDGYGAHLVEIDGGMLGIGIVTQGMAKLWVLQDYETESSVFLFLCDTKGNLREKIHLDNILPCAMRHCLKESLVNHAFFGRHGGAHVGQPQFFCGL >OGLUM04G03190.1 pep chromosome:ALNU02000000:4:4682648:4683781:1 gene:OGLUM04G03190 transcript:OGLUM04G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLQEKANKARFLTVITNGNVRRRWEVTCRTKGGFGSSTGVITHEGTNLITNVRALAISRSFFISHVAMSPAACAKIKLDSTSYVSTFYLKDRVLNAWSAEILGWRSLQHLVETRGDKRIYVPDLDLLKAGKGRRQTRRLRNDMDASEAGGPVRRCEDCLQYGHRTRDCKNNKEGTSSSMEPRQQRARRNRRGSQDMEEEWPYPLLSKEIDARHRAKKISDGNSCSSQAVLIPRTARWCGIDPRWKPRCING >OGLUM04G03200.1 pep chromosome:ALNU02000000:4:4702261:4703982:1 gene:OGLUM04G03200 transcript:OGLUM04G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDNAGELVRDAKTLWEKFRDGIVGTNQEVMAAVDSLRRKEGTRMVCPVPHMPPARPHMIPQMAPDVPTSHWQGEFAPFAGTNQGIPTIPQLSADFIGQGGFTSLGGPTQSVPLHAPTYGTNPWQGQSMDYGGTSFGGGVQGYMDFLQQDDWLFGQYSSHPNEIPYMQPPSTGDMSSFGGGSSSVPNELRTSQTDYAPHVTQPTQPEVGEGNDNDPCRSNRELHEPNRLSLSGPRHAAGARKKTTKKRAGTSRTTTDHDDDDE >OGLUM04G03210.1 pep chromosome:ALNU02000000:4:4712083:4714225:-1 gene:OGLUM04G03210 transcript:OGLUM04G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCSIRGVVRHRLAWLVLILCSWRVAAAQDQPAPKTDSVEAAALNTILGRWGKKASLEWNISGELCRGFASAEIDWDYYPTINPFIKCDCSFSNNTLCHITKLYVILYCLVFTVVQHIPLDAMPDRIYAKKHERSLIISVSKALKNHNSLYPKFGTCCIKTELASNRLSGPLPKELGNLTNLLSLGINLNNFSGELPEEVGNMTKLQQLYIYSSGFSGPFPSTISKLKNLKLLKASDNEFTGKLLDYMGSLTKLEEL >OGLUM04G03220.1 pep chromosome:ALNU02000000:4:4737771:4748078:-1 gene:OGLUM04G03220 transcript:OGLUM04G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWGLPRRGASPVDDGNDPDSSSSSGKQASVSGLSPKANAVVSRCARVLGTSTDKLHYDFDMQASDSIKQQINYARNFLEYCCLRALAQASQVAGYLSDKSIRRLNFDMMLAWEVPSSSSQLTVKVEVESTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSGQRSQRGERIVEIDGTLTTQPVLEHVRISTWPGRLILTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSATLTEPVILEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGIDKEETILKAVLSILRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSAQVSQPVASSARHSMSPFAVLSNLGVVSPINNGERLFVGEIVVGEMSALQKVVTESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIIRGWLVYFLVLVLLFSATFMFFTRLTSHVNPMMEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLASPSQFYRNEWICFCVYVTFEFHLIFFPLNKATDKTILALVVMALSLAIVPTRLLVLMMFLEVFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >OGLUM04G03230.1 pep chromosome:ALNU02000000:4:4771843:4772561:-1 gene:OGLUM04G03230 transcript:OGLUM04G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRRTTMVAGRAASTLKPYSRRASDKEEDNCGGGSSGGVHRELQIRWWLSSIASDPVAAVVGGGEDKTQLLPPLNGSAEDDGMGSNDLGGFHLFGDAAVDGVDFSTAVGGTVGVDPAVGDARLAGAWAILETVKEVDPAVAGTTMTTDGLLQLPPLSQIRQAAGRGHQVVAARLEHGLRWGSDDGNHGSHGDVCIELPQSPTQLHDGNRRLED >OGLUM04G03240.1 pep chromosome:ALNU02000000:4:4778464:4780648:1 gene:OGLUM04G03240 transcript:OGLUM04G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTEQELSTQDMLQGHIDLHHHLYGYHKSMALLCATDLGIPGAIHRRGGAATISDIVADTMIPPAKLPHLRRLMRVLSVSGIFAVEEDVYKLTPASRLLVGDKASCNFSPLVHLVVSPAMLTTFSSLSPWFRDGRNASPTALFEMAHGMPPWEMMKRDDTMNSALNDACVADSSFLMEIALRERGDVVFRGLRSLVDVGGGHGGAAMAIAKAFPDIKCSVLDLPHVISQAPDDGTHVLHCWDADDCGKILGQCKKAIPARGDGGKVILINPVIGYGVKQDSTLKETQVLADMNMIAIGGAEREEHEFKKIFLDAGFTESCPS >OGLUM04G03250.1 pep chromosome:ALNU02000000:4:4781037:4782430:1 gene:OGLUM04G03250 transcript:OGLUM04G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGRRRYAVGGRRWGGRWLPSVPPSQIWPEGGGGRRPVASVSGWMAGGRQRLPSLPPSLPDLAGERRGETGGRPAARGQKAAATTGGDGKLPPYLPLTPALRGFRERLAAAWRQDCVDE >OGLUM04G03260.1 pep chromosome:ALNU02000000:4:4794308:4799823:-1 gene:OGLUM04G03260 transcript:OGLUM04G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDGVTTTGRRLPRTAPAQTTLVLTLAAGRAVLAAAPPTVEASPALGLNYGTLLDYFV >OGLUM04G03270.1 pep chromosome:ALNU02000000:4:4801066:4803512:-1 gene:OGLUM04G03270 transcript:OGLUM04G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVKAQFSNSPNAYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTHTERSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYWEEGRQSEKKDTIEFSEFGGGTDDFNNYLDGLKPVTVRSVPNPEDAPPCTTRLNVPAMVIELGGLTGNYWHDFTDVFVPLFIGARRFGGEVQLLVVNLLPFWVDKYRRIFSQISRHDIVDLEKDDDRGVVRCYPHVVVGYGSRKEFTIDPSLDDTGGGYTMVNFTEFLRQSYSLPRDRPIKLGTNHGARPRMMILERTNSRKLMNLPEVAAAARAAGFEVTVAGGRPTSTYDEFAREVNSYDVMVGVHGAGLTNCVFLPTGAVLLQIVPYGRLESIAQTDFGEPARDMGLRYIEYDIAADESSLMDVFGKDHPMIKDPVAVHLSGWGNVAEWYLGKQDVRVNIERFRPFLTQALEHLQ >OGLUM04G03270.2 pep chromosome:ALNU02000000:4:4802270:4803512:-1 gene:OGLUM04G03270 transcript:OGLUM04G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGESKAATRGANRSSSSSSWKSRYIGYGLVLGFVLMLLYLMVKAQFSNSPNAYLGPATTSKTESIPATTYQGNQAWQEDGSRGLEEGHREEVASTHTERSTGQRQEKDDESEKQRTEKNSIEEQLGNDRSSNYWEEGRQSEKKDTIEFSEFVVKRGRVVDVVIN >OGLUM04G03280.1 pep chromosome:ALNU02000000:4:4819679:4825695:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGASQAAKAASQCRRHLTISPLNATPFPAVLSLLQDKWNPLPYALSILAVEFSNTLIITYAHPCLLFSPGLSDLGMMDEDATEATSVGDHGLMAVADLDREAVAEMEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKLGMGVLGPCISIPTSKHKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.2 pep chromosome:ALNU02000000:4:4820834:4825695:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKLGMGVLGPCISIPTSKHKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.3 pep chromosome:ALNU02000000:4:4816313:4825695:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPRSSAINITFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTVRMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.4 pep chromosome:ALNU02000000:4:4820834:4825796:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKLGMGVLGPCISIPTSKHKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.5 pep chromosome:ALNU02000000:4:4820834:4825695:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.6 pep chromosome:ALNU02000000:4:4816313:4825796:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPRSSAINITFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTVRMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.7 pep chromosome:ALNU02000000:4:4820834:4825796:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGEAQSRGWLMTDDASVGWLLGHGKKGTNVIVATTVSIGTILLVAVFIAVFIYRRKTTKTMIPPDNTGNEEDNDYVDPPTLNLTVLRAATRNFSAENKLGEGGFGEVFKGILEDGEEIAVKRLSKTSSQGFHELKNELVLAAKLKHKNLVRLLGVCLQEEKLLVYEYMPNRSLDTILFEPEKRQQLGWRKRFMIICGIARGLLYLHEESSQKIIHRDLKPSNVLLDEDMIPKISDFGLARAFGGEQSKDVTRRPVGTLGYMSPEYAYCGHVSTKSDMFSFGVIVLEMVTGRRSNGMYASTKSDTYESADSTSLLSYVWEKWRTRSLADAVDASLGGRYPENEVFSCVQIGLLCVQENPADRPDISAVVLMLSSNSTSLQTPSKPAFFFGSGSIASLDAAGGHAFFGGRGSEVAAISSNKMSSNPISENEVTISELQPR >OGLUM04G03280.8 pep chromosome:ALNU02000000:4:4816313:4819669:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPRSSAINITFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTVRMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGNPRQLTKAPSVQAARVATVLGGGVVKRRLHRRSGLSGRAAWPKRRRRRGASGNSPAPSSPRRRRNAPAQPSPYPPRQRWMLDDDELGPSRQRRMLDGGGHGAQSEADLDPLFLCAVAASIFFVEFARDLIFLVDDERRRGHPRKGRRRPEPRRRLRLSCGAGMSGGPSGDGAARSALGVGVGRRAERPC >OGLUM04G03280.9 pep chromosome:ALNU02000000:4:4816437:4818924:1 gene:OGLUM04G03280 transcript:OGLUM04G03280.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPSCRCNSGSIVFLLVEVVAILFTGATSKDTTNPFTSLDCPSPPPSASPSPRSSAINITFQSNVLALLDDLPSAAGPTGFASLSRGEGADRAFVRGMCRGDSTPDDCATYLRSAVLDINGHCNSNRRAAIWYDKCFLSYADTNASTAYENSYHAELYNVNNVTDKVGFERTYYALMSRLRARAANDTVRMFAAGEAVYDPGADNGTMYGLVQCMRDRTAAECDRCLNDSVQQLPSCCWGHQGGVVLGYNCYVRVEIYTYYDLTVDAQPPSPGPSASSSKPSIGEGQGEPASILQLTALWLYKMGLEDLDSKLYLF >OGLUM04G03290.1 pep chromosome:ALNU02000000:4:4871844:4875246:-1 gene:OGLUM04G03290 transcript:OGLUM04G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRMGRRRQPWTRWGTVAAATSLHITSLAYIGIPSLVITAENVAEAVDSIIDKLKKSDVSNVVYFDGWEGLGASAVLRAVAERLTLGQITDPELRFEKIIHIDCSRWKSRREMQRKIAEKLEMTQAKDLIDEQDEADDINGKDESSRDVINDVGIAVNDVLMSGRFLVVFHNGSDNEIKDVTNFGLPLYQPYKGNKILWTFRGRFRLSTKIQDKVQKADVFLAAEFHNKIYGMEFQDQSHWWDILCEEAAEIASNTCSGVAKLHPTTIAKCWLYISKLNFVGRDIIDYDWAVHASNYWVCDGIIQEWEIADSLQQEMWQEWDDPGLYHMMQNTDNWISTSHLISSNYGFLAASAVAQTVSSFFLAAHQIDTESKDTVELVEYFFKSKLNPAHLLQNYNDMFQHAENLRVLKLSLCTFRFASPPFLCCRGLRFLGLDNCLDLNIDAGEEVQSWNCFHGLWVLDLQYTQWVFSPQMIEAMNNVRELNVKGVKPHNLRHIWKRQHNKIQKFRVIKTIDQDYTATKDEKDPFTFTFSGMEKMEILDLSGNSTMQAFPDLSKATCLKTVTLDGCVGLDSVSDSNLPVSLEEFSLVAASEQYPKAANITKISLFGCCRLKKLILSGLPKLEELDLSGTILEKLDLDAMQAEKLNRLLLFGCLHLCSIKWSDVTKPQLDELHVDTVGVHLEGKRQNSLSPVQDDDKLFQSHVVIMDPRLLRFLRLFAMQSHHVHFCISPVFINYSKESKQCSSAYVDRTVAGNMYSDIFDRVVALSVAPVICPCPRLPLESKCNGSCKVEIRNRKQLQGNDNILGNFIDTVHSLNVHDDSWMTCIPGSNWGRIKWCCIERCPKLHSVFKLRDHDQIKAFSWLETFWASHLQTAHCIWSMEVKHVNVDSFKKLEYIHLDSCPRLIHVLPLSNNLPSLETIQILYCTSLIYVFPLNTANSKGTVSNDAIDFPKLKHVHLHELPSLKGICEAKIMSAPMLETILIRGCCSLRHLPDVKGLHEPRPIVYCEKDWWDNLEWPRKEGGYDQSLLYKRQSAQYYKKALTKGSILRSNLSTYLYKPISKKYSIDLHG >OGLUM04G03300.1 pep chromosome:ALNU02000000:4:4876731:4880097:-1 gene:OGLUM04G03300 transcript:OGLUM04G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTIKQYICRHTYLGTFIGVLMVLTLQRVKIGPWGGTGGHAWDEGGHGASAGSYTGVRRMSIGSSWCVSSMLFEYDDNGKRVKGTLHGERDNGIPEEELDFHGEVLTHMCGYHDNHLIRWLQFRSNRNRTFGPYGNLGEDQAGWTRFEVSMEHSGSIVGFCGRSDNFVDAIGVYVAVWNPERFYDSMSRHGVRVYRASPLRMDLRQIEEEKKKEEVERGRLQKEIEEGRESLRNLRLKLRVDVPQDQRKRQTRRELQVEQQKIELQLQELQQLARGRQEKRQTLEELQVEQQEIERQLQEMLQLVRGRQLEEMQQMVRGRYAEEEEIQQMVWAHPDSRYGDMRDVLHRRLLPQISDTLKSVVGSSLFAPS >OGLUM04G03310.1 pep chromosome:ALNU02000000:4:4876748:4880124:1 gene:OGLUM04G03310 transcript:OGLUM04G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHAIIEPLGIPDGDVDADGVHEVVTPAAEADDGPRVLHGDLEPRPSSLVFSKIAVWSERPVPVAPELQPPDEVVVMVAAHVRQHLPMEVELLLCASMHQGTFHTFAIVVVFKQHRADTPGRPYTHSAYSGVTASTGTMASFIPSMASSSTPRPYLHTLKCQDH >OGLUM04G03310.2 pep chromosome:ALNU02000000:4:4877334:4880124:1 gene:OGLUM04G03310 transcript:OGLUM04G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLSLRPQKPTMDPECSMETSNRVHPAWSSPRLPYGPNVLFRLLLNCNHRMRVPFTRLPLSSYSNNIELTHQEDPILIRRTPV >OGLUM04G03320.1 pep chromosome:ALNU02000000:4:4882976:4883289:1 gene:OGLUM04G03320 transcript:OGLUM04G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVTTDTRSSAACGDAKHATTTRLGLSSAEKQSARATMTRNRFAIGDSRENKCVPIINDEGEDTSGL >OGLUM04G03330.1 pep chromosome:ALNU02000000:4:4883318:4883597:-1 gene:OGLUM04G03330 transcript:OGLUM04G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPHVVAKSGHDSFSSAGAEVVVPSVHDTLASAPVDDDFRLVAIAGHTLRLAWPGSSP >OGLUM04G03350.1 pep chromosome:ALNU02000000:4:4941599:4948049:-1 gene:OGLUM04G03350 transcript:OGLUM04G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFGDGGGGGGEEEKREGDAGWGWDPMRSGTVQQEVDGVYGGGGGGGGGSFFSGMDGFGARLDEVGRRRGAAAQFFFYKNIHPCSWVFAPVFSRYIDCSPSDQEHFGNSESLSNGAPGLHLNGTREFNGQQFGPTRVHSVGAMLDHSAVNVGSPWIQTKTDDADFHRHAENHFMPNMGKMNAFARRDLQSTYLSDSDLSNALSRVNLSNHLEYDERGLAKDLLDEMEMRNHDRHFSSKIANDRRSPLSGNALCTLGCEHLDVHSLPSYGDGLLRRQNSIMDSPYVSRNNNHPMKNVDRLSLADQLALMQLSNLEENNYHSNADMVNIINPLRNRRNITDLDLIRSRNHFLEELIAQRCLQEDNVFQSKSGPSYNDNILYHDGPRFPYSRMQRSGSYAYSHLRGIPYLGDQQSRMLSSSRRTPGRNMGSQIYQDNPVANCLDLSSLDLANRSGACPLELVNVVGHVMEVSMDQFGSRFIQQKLEVASADDREKIFPEILTNAIALTTDVFGNYVIQKFFEFATESQLSQLADQLRGHFLQLSFQMYGCRVVQKVIDVVDLERKISIVGELKNSVLRCISDQNGNHVIQKCIECVPEDHIPFVIEDILQKIYPLCTHQYGCRVIQRVLEHCHNPATQSAVMDEIVERAFDLTEDKFGNYVVQHVLEHGRPEERSSIIQKLSGQVVNLSQQKYASNVVEKCLSFGTPDEREGLIREIVSSGQTFQGLMKDQFGNYVVQRILQTCDDKFLVVILSSIKMHLNELKNYTFGKHIVARVEKLIITGENRVRMGSKTSQCQQSLSCTDVDANPF >OGLUM04G03360.1 pep chromosome:ALNU02000000:4:4967049:4974310:-1 gene:OGLUM04G03360 transcript:OGLUM04G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAGGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTTAAAAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEKLMVEGCDWMSSGGVNVLCGVGVLSTPYAVKQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGYAAFGTTGRIVISACCIEYLILESDNLSKLFPNAHLTIGTCTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGDSTESQFTLNLPSNLVASKIAVWTTELLPPNRQTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVQIRIIFIELLKYFNLIVVQYRNYYYVSFPTAESLPHPMSDCLPPTI >OGLUM04G03360.2 pep chromosome:ALNU02000000:4:4967049:4974310:-1 gene:OGLUM04G03360 transcript:OGLUM04G03360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSVSDRSFLIDSDEEDDAAAAVEDGKRRGHGGDESDDGSDSSSSCGTPRVAAGGGGGGGRGSQPSSYTQQWPQSYRQSIDMYSSVQSPNLSFLGTPTLSRLSNSFLTASFRGKPPEIISGLIKPLLPTTTAAAAAADDEGDRRQQQHEDGRKSSQYLLPPRRPSSLQKIPEDQKPLVVGHHEQGGWLGLIILALLAVLAWYTGILLKRCLDSKEGLETYPDIGYAAFGTTGRIVISACCIEYLILESDNLSKLFPNAHLTIGTCTINAHILFAILTTLIVMPTTWLRDLSCLSFISAGGVIASIVIVACLFWVGLVDHIEAKDEGTALNLPGIPIAVGLYGYCYSGHGVFPNIYSSMKKRSQFPAVIFTCIALSTVLFAGAAIMGYIMFGDSTESQFTLNLPSNLVASKIAVWTTELLPPNRQTYSNIVMLRSALVLSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRRTVTWYQVQIRIIFIELLKYFNLIVVQYRNYYYVSFPTAESLPHPMSDCLPPTI >OGLUM04G03370.1 pep chromosome:ALNU02000000:4:4993407:4995119:-1 gene:OGLUM04G03370 transcript:OGLUM04G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLLFPMLLQLLSPTCAQTQKSITLGSTLAPQGPASSWLSPFGDFAFGFRPVEGNTSFYLIAIWFNKISDKTVVWYAKNTDQDPSIVEVPSDSFLQLTNDGALSLKDRSGQEGWNPQVTGVAYASMRNTGNFVLLGADSTTKWQTFDTPSDTILPTQVVPCNKTRNKSLRVRLDIDDYSSGRFLLDVQTDGNLALYLVAVPSGSKYQQYWSTDTTGNGSELVFSETGKINISSGAGIGSMADYFHRATLDPDGVFRQYVYPKKANAGILGGETWTAVSMQPQNICHAIVSDVGSGVYEFNSYCTFDIGTRNQIASCQCPPWYKFFDEQKKYKGCKQDFQPHSCDLDEATALAQFELRPIYGVDWPLSDYEKYEPIGQDDCGRLCVIECFCAMAVHNQSTSTCWKKKLPLSNGNMADYVQRTVLLKVPSSNSSQFMISTSSNKWKRNRKHWVLGSSLILGTSILVNFALISIFLFGTYCRITTKKNIPLSQASSKSQLPLKTFTYKELEKATAGFHEILGAGASGVVYKGQLEDELKTNIAVKTIDKLQPETRPVSTFL >OGLUM04G03380.1 pep chromosome:ALNU02000000:4:5004210:5015737:-1 gene:OGLUM04G03380 transcript:OGLUM04G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILFLPILQILLIYCTKSAQAQLNISIGSSLTPQEVNNSWISPSSDFAFGFRAVDGNSSSYLLAVWFNKIADKTVIWYAKTSSNGQDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPRVTDVGYARMLNTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLNVQDDGNLVLYLVAVPSAYYHDPYWASNTVGNGSQLVFNETGRIYFTLTNGSQINITSAGVDSMGDFFHRATLDTDGVFRQYIYPKSKQARSLWQEQWRAVDALPENICQAIQTKVGSGACGFNSYCTFDGTKNTTNCVCPQRYKFFDNERTYKGCRPDFEPQSCDLDETAAMVQYEMTPIDRINWPLSDYEQYSPIDETECRRLCVIDCFCSVAVFNKPSNTCYKKKLPLSNGNMDSSLQATVLLKVPRSTNSPSMISSGSSKWKKDKKYWILGSSLFFGSSVLVNFLLIFVLLFGTYCSITSRKKTQLSQLPSNSGLPSKIFTYRELEKATGGFHEVLGTGASGIVYKGQLQDECGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTEKLLVYEFMSNGSLNTFLFNDTHPHWSLRVQVALGVSRGLLYLHEECNKQIIHCDMKPQNILLDDNFPSAEPTYSRVQHPSGVVREAFLSRPWPSTGAERLLVYEFMTNGPLNRLLFDNSRPHWNTRVHIALGVARGLLYLHDECSKQIIHCDIKPQNILLDDNLVAKISDFGLAKLLLTNQTRTKTGIRGTRGYVAPEWFKNIGISTKVDVYSFGVILLELVCCRRNVELEVVDEEQTIVTYWANDCYRSGRIDLLVEDDDEAIYNIKKVERFVTVALWCLQEDPSMRPNMLKVTQMLDGAVAIPSPPDPCSFISSLP >OGLUM04G03390.1 pep chromosome:ALNU02000000:4:5022238:5024670:-1 gene:OGLUM04G03390 transcript:OGLUM04G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHF9] MAHLLFLPILQLLLLYCTKSAQAQLNISIGSSLTPQGVNNSWISPSADFAFGFRAVDGNSSYLLAVWFNKIADKTVVWYARTSSNGQDDTIPVQVQSGSVLKLADGALSLRDPSGNEVWNPQVTDVGYARMLDTGNFRLLGTDGATKWESFGDPSDTILPTQVLPLGTALHSRLLATDYSNGRFQLKVQRDGNLVMYPDAVPSGYLYDPYWASNTVDNGSQLVFNETGRIYFTIINGSQVNITSAGVDSMGDFFHRATLDTDGVFRQYVYPKNIHARPLWPEQWTAVDVLPENICQSIQTMVGSGACGFNSYCTIDGTKNTTSCLCPQNYKFIDDKRKYKGCRPDFEPQNCDLDETTAMLQYDMAPIDRVDWPLSDYEQYNPIDQTECRRLCVIDCFCAVAVFDKASSTCWKKRFPLSNGKMDVNVPRTVLIKVPRSTNSPSVFSSGSSKWKEDKKYWILGSSLLFGSSVLVNFLLISVMLFGTYCSITSRKKIQLSQPSNNSGLPPKIFTCSELEKATGGFQEVLGTGASGVVYKGQLQDEFGTNIAVKKIEKLQQEAQKEFLVEVQTIGQTFHRNLVRLLGFCNEGTERLLVYEFMSNGSLNTFLFSDTHPHWSLRVQVALGVARGLLYLHEECNKQIIHCDMKPQNILLDDNFVAKISDFGLAKLLPVNQTQTNTGIRGTRGYVAPEWFKNIGITSKVDVYSFGVILLELVCCRKNVELEVLDEEQTILTYWANDCYKCGRIDLLVAGDDEAIFNIKKVERFVAVALWCLQEEPSMRPTMLKVTQMLDGAVQIPTPPDPSSYISSLA >OGLUM04G03400.1 pep chromosome:ALNU02000000:4:5031035:5036234:1 gene:OGLUM04G03400 transcript:OGLUM04G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLHGADHPRCHVELCSILHAHVYPTGSIEHQIGDLICDILIYGCGPIWVIKVSRWNCHGIKCSSLSFHVKKKPAIGVICGKESAAELHSRGQRLCDQDGVCWVLESFPADSRASCSSNHKIPGVEHAGIGCTSCSWIPYLSIGGISERKYTS >OGLUM04G03410.1 pep chromosome:ALNU02000000:4:5032567:5034981:-1 gene:OGLUM04G03410 transcript:OGLUM04G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHG1] MAPPLFLLSLQLLVLLSSPSAQAQNISLGTSLTTQGPNNAWLSPSGDFAFGFRPIDGNSSFYLLAIWFNKISDKTATWYAKTSEQEPQPIQVPSGSILQFTSTGVLSLRDPTNREVWNPGATGAPYASMLDTGNFVIAAAGGSTISWETFKNPTDTILVTQALSPGMKLRSRLLTTDYSNGRFLLNMETQRAALYTMAVPSGNLYDPYWSTPIDENVTNQVTNLVFNTTGRIYVSMKNGTQFNMTSGVIRSMEDYYHRATLDPDGVFRQYVYPKKPSSMSQAWTAVSIQPENICNAQTKVGSGTCGFNSYCMFDGSNNQTSCVCPEQYSFFDEVRKYRGCRPDFELQSCDLDEAASMAQYEFNLVNNVDWPQADYEWYTPIDMDECRRLCLIDCFCAVAVFHENTCWKKKLPLSNGIMGSGVQRTVLIKVPKSNSSQPELRKSRKWKSDKKLWILGSSLLLGGSVIANFALSSVLLFGTYCTITRKDVQPLQPSRDPGLPLKAFSYAELEKATDGFKEVLGTGASGIVYKGQLQDELGTYIAVKKIDKIQHETEKEFAVEVQTIGRTYHKNLVRMLGFCNEGTERLLVYEFMVNGSLNRFLFSGVRPLWSLRVQLALGVARGLLYLHEECSTQIIHCDIKPQNFLLDDNFIAKISDFGLAKLLRTNQTQTYTGIRGTRGYVAPEWFKNVGITAKVDVYSFGVILLELICCRQNVEMEAAEEEQSILTYWANDCYRCGRVDLLVDGDDEAKLNIKKVERFVAVALWCLQEEPTMRPSILKVTQMLDGADAIPTPPDSSSVVNSFP >OGLUM04G03420.1 pep chromosome:ALNU02000000:4:5059990:5060947:-1 gene:OGLUM04G03420 transcript:OGLUM04G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTDLELAKVEEGEDEVASGGGARELAVGPRGLWRASPELVGHWPADDGDTFRHCSPSWRRRYGVDPDPFLTVLRVKTLLRLPDERWRRSTSLGDLRSLFDFTRWSRSCFVRVTKLGNNDTLHF >OGLUM04G03430.1 pep chromosome:ALNU02000000:4:5062703:5068490:-1 gene:OGLUM04G03430 transcript:OGLUM04G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLELPVTIFVSYLVLLAAGIQEGRYVKHGASYDQHISNGIVNKTIETGDGDVFHCIDINLQPALYHPLLKGHIIQMEPTSYPSELKIKSSSDTIATEAHLPTIACPKGTIPLLQNSKADLKTQFSFDPIGNTHHRGGERAGCTTYDEIYGTQVAINVYEPKVRGQNDLSASWALMVNGPTGNYEGIGAGSIVWPNYRGDNFARFHIYWQVNTVNMPCFDHMCPGFVQVSKSVGIGGRIEPVSTYNGDQYEITVTISKDPKTGNWWLAYGRDKKPLGYWPPSIFTYMNEKASACFWGGQVHGPTVQLHLPELGSGHWAATGPGKAAYVRSIKVINKDGQYFIPGTHNTFSGSTRPFCYDAGDIRFNDDGARLLYGGPGNCTK >OGLUM04G03440.1 pep chromosome:ALNU02000000:4:5073741:5074868:1 gene:OGLUM04G03440 transcript:OGLUM04G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKALLFAILGCLFLCSAVLAARELSDDAAMAARHERWMAQYGRVYKDDAEKARRFEVFKANIAFIESFNAGNHKFWLGVNQFADLSNDEFRSTKTNKGFIPSTTRVPTGFRYENVNIDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSMGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESNYPYTAADDKCKSVSNSVASIKGYEDVPANNEGALMKAVANQPVSVAVDGGDMIFQFYKGGVMTGSCGTDLDHGIVAIGYGKASDGMKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPTA >OGLUM04G03450.1 pep chromosome:ALNU02000000:4:5077269:5077466:1 gene:OGLUM04G03450 transcript:OGLUM04G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPRCSPPRRHAPWPPAGDRRSSSAPPLAVAEPPAVVPARAGRTSRHWRSPLLGCEREEEEEE >OGLUM04G03460.1 pep chromosome:ALNU02000000:4:5077647:5100119:-1 gene:OGLUM04G03460 transcript:OGLUM04G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNERPDAARQLAAPTLTAPARAPAVIVNRSRGLELHNQLGLTLDSDAPYAEKRFLRYVGDEKLGAENAREVSTEIVTMALPCAYDWRRLPTVCPFGNAQWADQPTIAYYMETLWGIGARRCNGTRMVPYGGKRPPIIKKNWPTKPTVVILCRLLLVPATALPPPPPHPHRHPSFLFLLLLSHHHHPSFLLFFSIQPTPLSTQGLIRRHDHGVGPLVDAMVGPARVD >OGLUM04G03470.1 pep chromosome:ALNU02000000:4:5085930:5086512:1 gene:OGLUM04G03470 transcript:OGLUM04G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKFYKIRSVPLPSPFSSISSLLHLLLSPVSSLLSHSALTTAKPLLQLGRAAVSSVELDLCVDGAEKVVETGGGDLVSKRAKRKELGSIWSPSPPRSPSLTPCPLAAGPPSTGPPDPEAAAVASPNPGGRREDAWSEGATAVLGRGSLRHPQWPEVADAVSSREGYAKAPKSSSWQFFGRLDDLLAPTFN >OGLUM04G03480.1 pep chromosome:ALNU02000000:4:5101017:5102179:-1 gene:OGLUM04G03480 transcript:OGLUM04G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMSTPPPAAVTAANATSNVGDDNCGGGQVLLLPFPAAQGHTNPMLQFGRCLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDDAGGMAALPDYGEYHRSLEAHGARTLAELLLSEARAGRPARVLVHDPHLPWALRVARDTGVGAAAFMPQPCAVDLIYGEVCAGRLALPVTPADMSGLYARGALGVDDLPPIVATPELTPAFCEQSVAQFAGLEDADDVLVNSFSDLEPKEAAYMEATWRAKTVGPSLPSFYLGDGRLQSNTAYGFNLFASTVPCMEWLDKQPPRSVVFVSYGTFSTYDAAKLEELGNGVCSSGKPFLWVVRSNEEHKLSQELQEKCEKRGLIVPFCP >OGLUM04G03490.1 pep chromosome:ALNU02000000:4:5104609:5106729:-1 gene:OGLUM04G03490 transcript:OGLUM04G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHG9] MASMNDQHGGATAHVLLVPLPAQGHMNPMLQFGRRLAYHGLRPTLVATRYVLSRSPPPGDPFRVAAFSDGFDAGGMASCPDPVEYCRRLEAVGSETLARVIDAEARAGRAATVLVYDPHMAWVPRVASAAGVPTAAFLSQPCAVDAIYGEVWAGRVPLPMEDGGDLRRRGVLSVDLATADLPPFVAAPELYPKYLDVSIRQFEDLLDADDVFVNSFSDLEPMEAEHMESTWRAKTVGPTLPSFFLDDGRLPANKNHGIDIFTGDAPCMEWLDKQAPCSVVLASYGTVYSLDGAELEELGNGLCNSGKPFLWVVRSSEGHKLSEELRGKCKEKGLIVSWCPQLEVLKHKATGCFLTHCGWNSTMEAIATAVPMVAMPQSADQPTIAKYVETAWEIGVRAQLDEKGFVTKEEVEISIKKVMDGKRAVEYKRNAAKWMQKAKEAAQVGGSSDKNIAEFVAKYLSN >OGLUM04G03500.1 pep chromosome:ALNU02000000:4:5113817:5115719:-1 gene:OGLUM04G03500 transcript:OGLUM04G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHH0] MGSMSTPAASANGGQVLLLPFPAAQGHTNPMLQFGRRLAYHGLRPTLVTTRYVLSTTPPPGDPFRVAAISDGFDDASGMAALPDPGEYLRTLEAHGARTLAELLLSEPTRVLVYDPHLPWARRVARAAGVATVAFLSQPCAVDLIYGEVCARRLALPVTPTDASGLYARGVLGVELGPDDVPPFVAAPELTPAFCEQSVEQFAGLEDDDDVLVNSFSDLEPKEAAYMESTWHAKTIGPSLPSFYLDDGRLRSNTAYGFNLFKSTVPCMEWLDKQPPRSVVLVSYGTISTFDVAKLEELGNGLCNSGKPFLWVVRSNEEHKLSVQLRKKCEKRGLIVPFCPQLEVLAHKATGCFLSHCGWNSTLEAIVNGVPLVAMPHWADQPTISKYVESLWGTGVRVQLGKSGSLQRKEVERCIREVMDGDKKEDYRRNAIRLMKKAKESMQEGGSSDKNIAEFAAKYSN >OGLUM04G03510.1 pep chromosome:ALNU02000000:4:5119340:5122350:1 gene:OGLUM04G03510 transcript:OGLUM04G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHH2] MESAPATTTSSSTQHGGGGGGHVFLLAFPEAHGHVNPILQLGRHLAAHHGFLPTLVTTRHVLSTLPLPPPPAPFRVAAISDGFDSGGMAACGDAREYTRRLAEVGSETLGVLLRSEADAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEQNEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKTYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMVRKEEVERCIREVLESERKADYRKNANRWMKKAKEAMKKGGSSYNNIAEFASKYASY >OGLUM04G03510.2 pep chromosome:ALNU02000000:4:5119340:5122349:1 gene:OGLUM04G03510 transcript:OGLUM04G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHH2] MESAPATTTSSSTQHGGGGGGHVFLLAFPEAHGHVNPILQLGRHLAAHHGFLPTLVTTRHVLSTLPLPPPPAPFRVAAISDGFDSGGMAACGDAREYTRRLAEVGSETLGVLLRSEADAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEQNEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKTYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMVRKEEVERCIREVLESERKADYRKNANRWMKKAKEAMKKGGSSYNNIAEFASKYASY >OGLUM04G03510.3 pep chromosome:ALNU02000000:4:5119340:5121744:1 gene:OGLUM04G03510 transcript:OGLUM04G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHH2] MESAPATTTSSSTQHGGGGGGHVFLLAFPEAHGHVNPILQLGRHLAAHHGFLPTLVTTRHVLSTLPLPPPPAPFRVAAISDGFDSGGMAACGDAREYTRRLAEVGSETLGVLLRSEADAGRPPRVLVYDPHLPWAGRVARGAGVPAAAFFSQPCAVDVIYGEVWAGRVGLPVVDGGALRGLLSVELGPEDVPSFVKAPESYPPFLEAVLGQFDGLEDADDVLVNSFQELEQNEADYLASAWRFKTIGPTVPSFYLDDDRLQPNKTYGFNISDSTSPCLAWLDNQPPCSVVYASYGTVADLDPTQLDELGNGFCNSGKPFLWVVRSCDEHKLSEELRDKCKERGLIVSWCPQLEVLSHKATGCFLTHCGWNSTTEAIVTGVPLLAMPQWTDQPTTAKYIESAWGNGVRVRRDKEGMVRKEEVERCIREVLESERKADYRKNANRWMKKAKEAMKKGGSSYNNIAEFASKYASY >OGLUM04G03520.1 pep chromosome:ALNU02000000:4:5122808:5128062:-1 gene:OGLUM04G03520 transcript:OGLUM04G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNSCIFEFDEITGNALKSLAIDGCSRRGLQVPDDALCVTAPKLTSLRIKLSIHDFSVFLVDRMGFLVDASICKMFWSTTNFGNNVCSLLGSLLNVTKLNLSCIRLSEKHSDNSTNVPTFHKLTTLCFDACDLDKNLDILLSFLEKAPHLEKAILQNCKIPNNSRKRKIMARANRTLISPEHENVTVLAFQYFKLIKIAYKNDNIKDPYADDDGDRLSALPDCLLHTVMSFLSSRQAVQTCVLSRRWRGLWLSMPCLDIDGDEYRPAMANSPAGGGGATITERWERLENFTTSLLFAHNAPFLDRFRLHLPNFQRITATQQHHGRQMERWILRGFRYRPAALEIAVGVAAVAFKLPPLGASSASRLKRLHHSGLVLDGGFGECIRSWCPVLEAMELKACIFEDLKEIVSSTIKSLAIVDCRSGHHTDALVCRMDSLVEASISGTRFGSDFDKTTSTLIGSLINVRELNVSWFQPVELKYGESANFQTFHKLTTLNIYECDLGQNLHILLSFLQNTPNLEKVILQNCEISDHSRKRKRTPKANRNQIHSKRRSLITSKSEISKIMKMTYEDDGISDLIELLLRNWRKLEDHTIIITKI >OGLUM04G03530.1 pep chromosome:ALNU02000000:4:5128143:5128637:-1 gene:OGLUM04G03530 transcript:OGLUM04G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPHAGEDGGDRLSALPDCLLHAIMSLLPARRAVQTCALSRRWRDLWRSMPCLDIDGDEFTSSTTGSVRWDKFESFATNLLQGHNAPFLDRFRLRLPSSWHVRGGVQQRDIKSHSQPDVRQIERWINRGVRFYRPVELEITIGVGYDLKLPILAAPSPSPTD >OGLUM04G03540.1 pep chromosome:ALNU02000000:4:5129011:5132147:1 gene:OGLUM04G03540 transcript:OGLUM04G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPHAGEDRGDDYLGTLPDCLLHKVMSFLPARQAVQTCVLSRRWRDLWRSMPCIDIDDDDFVGGASTATTGQLSRKVRWRQLMDFASNLLEFHDVHVIDFKPPFLERFRLHLAHSWTAPYIRAWKGTRLVECCILGGFRHRPAAADIAVGVGVPLFRLPWLPSVSTSRLKRLHLSGLVLDGCFGECICSSCPVLEAMELKSCSCEFNKIESATLKSLAIHGCRSCFLEGTTLAIKTPRLTSLLLRITVYYELRVRLVDRMDYLIEASIREKSYEPINFDNDLCKSLGALASVRNLKLSWSRSMDSPHGEFPNFPTFQKLTTLHLYKCNMCLNLNILLSFLQKAPSLERVILQNCKFPVAPRKRKRSTKVDRHKIPPECKGLITSESRASKHIEITYQDDDFCNLIKLFSCNWRKLEEYTITLTKV >OGLUM04G03550.1 pep chromosome:ALNU02000000:4:5133134:5139408:-1 gene:OGLUM04G03550 transcript:OGLUM04G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSMVLAGSSPDPGVASESATASQACASNQLKGHMFTPPSSSLVSREPVTTEAGRAGDENEADMDDENDNNTYFEYQRHISLGKYYVDAPGCNVPWGEPRVAFWTHQALKPLKQTDKGSGLYAVYGLFKVMRTLRIQGHTGDVMPFNNRYIPRLLDAKLLAFITMAQRPMPMYNTDSTRRPVATRDTLSTSLARS >OGLUM04G03560.1 pep chromosome:ALNU02000000:4:5143142:5144421:-1 gene:OGLUM04G03560 transcript:OGLUM04G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDLATTTPTPAMSSSQPEADVDGDSLSALPDCLVHTIMSFLPARQAVQTCALSRRWRDLWRSMPCVVIDGHELRSTIANSTDFTTNLLIAHNAPYCLDRFQLHLPNYRDIVGQPDIYMPSVASGAGPRCSRLTIGETVAIHRFRLPLLGAVSACRLKTLHLSSRNAPNLEKVILENCKIPDNSRKSKIMARLILHGPSKRESVIMFES >OGLUM04G03570.1 pep chromosome:ALNU02000000:4:5143964:5144544:1 gene:OGLUM04G03570 transcript:OGLUM04G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPMVNLEHRGPAPEATDGIYMSGCPTMSRWNLSRQYGALWAMSRLVLNQLTVEFAIVDLNSWPSMTTHGMERHRSRHRRDSAHVCTACRAGRKDMIVWTRQSGSALRLSPSTSASGWLELIAGVGVVVARSIAIDGRAQVAASQGRTPFDPIYCTPSILKYRVQLLFKVFFII >OGLUM04G03580.1 pep chromosome:ALNU02000000:4:5147061:5148234:-1 gene:OGLUM04G03580 transcript:OGLUM04G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNLLLHNSLQFSRKHGLLNPQHPLLRTSILLGLQPTINYMAILLEASTIFSGKHIGYNPHTSELSMFSSTQKLGVLTKSNYWVCFFPRIRISLSVPARHEENNVANIEESSDNSEEGGRRGTRSLTSINLEVTAKGQSCNARHIGVVLTRKLENFVELILELETPGARRVLEEDNKNNRTKISESGAYTSSSNQDTEEETGRKEKCHEGHKKAKAKLKGRCKNFAPSPLGASHVKTLFLTVKLEK >OGLUM04G03590.1 pep chromosome:ALNU02000000:4:5151253:5152371:-1 gene:OGLUM04G03590 transcript:OGLUM04G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKALLFAILSCLCLCSAVLAAREQSDHAAMVARHERWMEQYGRVYKDATEKARRFEIFRVNVAFIESFNAGNHKFWLGVNQFADLTNYEFRATKTNKGFIPSTVRVPTTFRYENVSIDMVPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESKYPYTAADGKCKGGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSSGVMTGSCGTDLDHGIVAIGYGKDGDGTQYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMEPSYPIA >OGLUM04G03600.1 pep chromosome:ALNU02000000:4:5152655:5163477:-1 gene:OGLUM04G03600 transcript:OGLUM04G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPTDVRPDAGTPVDAGSAAEEPAEAGSATGTPVDAARSSRRPIHVGDPADDTLPADDAALPVVDAAAIGSASGEATVAYASCGEEEGASEREREREEADGERRALIGSSGYEKFKDFEEKAPPDTGARCYHFFPEPGSAAMRPLHGEHSQRRPPRLLQSTRESSLLYHLLDEIISN >OGLUM04G03610.1 pep chromosome:ALNU02000000:4:5165702:5167142:1 gene:OGLUM04G03610 transcript:OGLUM04G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTNLSKKLAVAMVTLAVACAHALAARDLVDAGAMAQRHERWMAKHGRAYADDAEKARRLEVFRDNVAFIESVNAAASQHKFWLEENQFADLTNAEFRATRTGLRPSSSRGNRAPTAFRYTNVSAGDLPASVDWRSKGAVNPVKDQGDCGCCWAFSAVAAMEGAVKLSTGKLVSLSEQELVSCDVKGEDQGCEGGLMDDAFDFIIKNGGLAAESDYPYTASDDKCAAAAAAAAATIKGYEDVPANDEAALLKAVANQPVSVAIDGGDRHFQFYKGGVLSGAAGCATELDHAITAVGYGVASDGTKYWLMKNSWGTSWGEDGYVRMERGVADKEGVCGLAMMASYPTA >OGLUM04G03620.1 pep chromosome:ALNU02000000:4:5168329:5170131:-1 gene:OGLUM04G03620 transcript:OGLUM04G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAPIIYLDPATVAEARRRGDDPRKLEECTKYVMTHIYLSLPGPPVPIAAARTRAAAAAAASDGVDRISALPDAILRDIVSRLPVKDAARTAALSRRWRPVWRSTPLVLVDAHLLPRGRSFGVSVSAPTRADTPGIVAAVSRVLAAHPGPFRCVHLLCGFMGSYQAQLEHWLRFLAAKGVDDLILVNRPWPLEAPLPAAILRISTLTRLYIGMWKFPDIAGLPTNTAFPNLRELGINAVAMEKESREVEFIVARSPVLETLNIQGGNTQVLRLRLEHRSLRCVQICSCSVENLAVVDAPCLERLVLYDSLSKDDSCVRVKIVHAPRLCLLGNLETGFHMLEIHDTFVSAGIRSSPSALFTSVKILGLNVNFGVRHDAQMLPNFLKSFPNAESLHIVCAKCTEATSLVSPNFWDDAGPIESIVSHVNVLTIREFKGEANATSFLKYFVQNAQMVKNVSVVLANPQFTSYSIDTLWIAKILKSVRWASKISSMQVYRSDDREGGLIWSFQRGSDYSIRDPFVY >OGLUM04G03630.1 pep chromosome:ALNU02000000:4:5171035:5173190:1 gene:OGLUM04G03630 transcript:OGLUM04G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIGYGGGSARRANGGLVMRSDDEDGHDDSVKSTVECQHEVQQREILMHGCALAMRNPPALLQRHTYLHVNQFTVRPRCLPYKAMHHLSSSNSSSGTRGTILQQKETKDRVRLKSSPQVQ >OGLUM04G03630.2 pep chromosome:ALNU02000000:4:5171035:5173190:1 gene:OGLUM04G03630 transcript:OGLUM04G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIGYGGGSARRANGGLVMRSDDEDGQNKRNNIAAERDKRQGKVKIFSSSAIKLPDGQDR >OGLUM04G03640.1 pep chromosome:ALNU02000000:4:5174123:5175836:-1 gene:OGLUM04G03640 transcript:OGLUM04G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFRRRGDDPDDVQGTVARVLSYIHYALPDPPVSAAARLYALAPHDAVDRISALPDALLRRVVSRLPVKDAARTAALSRRWRPLWRSTPLVLVDAHLLSSSSAAAGAPDDSSKKAREAARGVTHAVSRVIGAHPGPFRCVHLTSSYMEEFQGMLANWLQVLAMKGIQELVLVNRPWPLDLGLPATFFGMATLTRLYLGLWKFPATADLPRGVSFPNLCELGLCSVVMDTQDMDFVLARSPVLETLCIKGNLFRMRIRLASRSLRCAQIIGSSYEEIAVVDTPCLERLIVSGTWRRDGASSGSVKIGNAPALRVFGYLDPAANVLVVGKTAIKSATKVSPSNMVPNVKILALEVRFGVRNDAKMIPNVLRCFPNIERLHIKLMNKSLVTDLTNLQSGKTDETTGKLNFKFWQESGPIECIRSSIQMIVFHDFHGTRNEVNFLKFFFETSRVLKIVVILFDTGSFSSMDEVRSKVEVLRAAKRPTGCSVLVTPSTEPEECNIWSFKRGSDFSRFDPFTDY >OGLUM04G03650.1 pep chromosome:ALNU02000000:4:5200896:5202824:-1 gene:OGLUM04G03650 transcript:OGLUM04G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPDTNPEFSSKSPDKTHHPKPQIPHGPKPFPSGVGGRRPWTPIPMDEATMAEFRQRGQVPEDVESSIMTVLSYIHFAIPDAPVSAHARLSALPPDDDVDRLSLLPDALLRRIVSRLPVKDAARTAALSSRWRDAWRSTPLVLVDADLLPAGVSDADTDAAREEARAVTFAVSRVIAAHPGPFRRLHLTSSFMDQYQGLLASWLQALAVKGIQELILVNRPFPADLTLPATFFGMATLTRLYLGLWKFPDTAALPRAACFPNLRDLGFSLIGITNQDMDFVLARSPVLETLCLQANTLQRVRVASRSLRCLMMMGFDQDVNVVNAPRLERLIMLYSCGSSMLLKIGRAPSLRAIGYLDLETHELEIGDTIIKAGTRASPSTMVPSVKILGIIVCFGVRNEAKMLPSFLRCFPNVETLHIESRKTDELTGKLNLKFWQDAGAIECIQSHITLMIFRRFQAARGEINFLKFFLESARMLKKLIIVSPKGTFASTDDANFRLKPLFDTKWASKCCSLVVLESDASGGESNWNFERGCDFSLMDPFAIIIRSSRLDISGSC >OGLUM04G03660.1 pep chromosome:ALNU02000000:4:5203092:5274614:-1 gene:OGLUM04G03660 transcript:OGLUM04G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSQPPEKQHECVRWLDMQPPASMLFLCFGSKGLLPPPKVHKIAAALERSGHRFQWVLCGLPKDSRQGQRVPTDSILDELCIQAERKRGQREKRHGELTCGVKQDMPGASLLPFRSPTRARSEAPSHEPLMKLSADGKDGLGTEGVGGDVTEWWQSRAAATALAPIWPSTDAMAAGVSVAAHERERRD >OGLUM04G03670.1 pep chromosome:ALNU02000000:4:5274672:5275244:-1 gene:OGLUM04G03670 transcript:OGLUM04G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDSSGALSITVLLMPAPMLEAVVDIAAEVKREEVSGVNDISIRHLPAVNISTGHTSFEEWISRILQSHGPNMRAAIAELNCSVAALVTDIFCTPALEVSRELSMPGYVYFPCSASMLALLLRSLGLGEEVAVEFEVMDDAIRIPGLSPVPSSALPMTMLDRKKSTYDWFVSTGRGYMNATGVIVNTVA >OGLUM04G03680.1 pep chromosome:ALNU02000000:4:5286362:5286691:1 gene:OGLUM04G03680 transcript:OGLUM04G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVAAMAVMALLLLMALVPEMALADAAGEGRRQQPPRPRPPALLVPFRARSSQHRRQPAAFRGRAANGCMPRGFRVPPSAPSRYANYHTLDAGLCDDHGGGGGRRKP >OGLUM04G03700.1 pep chromosome:ALNU02000000:4:5320343:5329375:-1 gene:OGLUM04G03700 transcript:OGLUM04G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATSLPWWLSTTACSPPPPSSSLPDRLAFLFLSPCPQRVVLGGAVDLAFLLAVVFVAVRARLSRSRRGGIANGDGDHAEEEPLLAKPSVVAAVPPPPPRRGLRHAVALAASVCFVAASLVLLVLAVVLLPRNAWLAVECAFLVAQFMAHLAAVGVVVAEKAAAARSHPVHLRLFWAGTAALAALFSGSAAARYAAREPILPDDAVAFAGLVMSLPLLYFSVTGSTGLGDAAIPDGEDRSCVPGHAAAATSWLSLATFSWINPLISKGSRAPLAADDVPPVAPDDTAEATYALFVSNWPAPPAPGTKAGHPVVTALLRSFWPQFLLTAMLGLAHLSVMYIGPSLVDRFVNFVRRGGELTEGLQLVVLLLAGKAAEALASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRAHGAGAIVNYMEVDAEEVANVTHELHNLWLMPLEIAVALTLLYTHLGPAVLTAVAAIAVVTVVVALANRRNLEYQFKFLGKRDERMKAITELLNYMRVIKLQGWEETFGGKIRELREAELGWLAKSMYFMCANTVVLWSGPLAMTVLVFGTCVLTGVTLDAGKVFTATAFFHMLDGPMQSFPEAIASVTQATVSLGRLDRYLLDVELDDTTVERVDDAGIAPDGVVVEVRDGVFAWDVRGKKENEEGDDDDDDEEGEEEEEEEKDVEETPVLETVLKGINIEVRRGELAVVVGTVGSGKSSLLSCIMGEMDKVSGKVTVCGSTAYVAQTAWIQNGTIQENILFGQPMDAERYKEVLRSCSLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSSIFKECLRGMLKGKTILLVTHQVDFLHNVDNIFVMRDGMIVQSGKYDKLLDAGSDFLALVAAHDSSMELVDQSRQVVKTEYSQPKAVARIPSLRSRSIGKGEKVLVAPDIEAATSKIIREEERESGQVSWRVYKLYMTEAWGWWGVVGMLAFAIVWQVTEMASDYWLSYETSGSIPFNPSLFIGVYVAIAAVSIILQVIKSLLETILGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTTIDIVLSFFVGLTISMYISVLSTVIVTCQVAWPSVIAVIPLVLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLDRINSSLRMYFHNYAANEWLGFRLELIGTLVLAITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCMLENDMVAVERVNQFSTLPSEAVWKIEDHLPSPNWPTHGDIDIDDLKVRYRPNTPLILKGITVSISGGEKIGVVGRTGSGKSTLIVALFRLVEPVQGKMIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWRALEGCQLKDVVASKPQKLDALVADSGENWSVGQRQLLCLGRVILKRTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDADEGKHCISIASFGSQNAILKSKYFCSGLVKEFDTPSRLIEQPSLFGAMVEEYANRSSNL >OGLUM04G03710.1 pep chromosome:ALNU02000000:4:5329423:5343916:-1 gene:OGLUM04G03710 transcript:OGLUM04G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPGWMTTTACSPPSGGSFPDLLAFLFLSPCPQRALLGAVDLVFVVASLVFLARPRGGGGDGAGDGPEREALLPKPRASGRPFRVAVALGASGVFAAASAILLALALFLLPNTEWRAWESAFLAVHFVAHAVAAWTVASRRGAAGGALPLQLRVFWVVTALVGALFSASAAVRWAVDSLLFPDDPLAFAGLALSLPLVYVAITASYGEVAGTCEGEPADVTTAAEPSTPYDAASWLSRATFSWINPLVSKGYASDSLAADDVPPVSPAHRAEASYARFVSNWPAQGSRYPVGVALWLSFWPRVLLTAALGLVRLAAMYVGPSLINHFVDFISHGGTTWEGLRLVGILVAGKAVQTLASHHYNFQGQLLGMRIRGALLTALYRKSLRLSTGARRAHGSGAIVNYMQVDAGTVSYAMHGLHGLWLMPLQIVVALVLLYAYLGPSVLMTLAVITAVTVITAFANKLNLAYQLKFLGVRDSRIKAITEMLNHMRVIKLQAWEEKFGGKVRELRQTEMGWLTKIVLFMCANNVVFSSGPLAMTVLVFGTYLATGGELDAGKVFTATAFFSMLEGPMRNFPQTIVMCMQAFVSLGRLNKFLSDAEIDSTAVERIDSSAGDAAAVKVQNGVFAWDVPVDGAEDARQGHGTENGRGEGPEMEMVLKGIEVEVRKGELAAVVGTVGSGKSSLLSCIMGEMHKVSGTVSICGSTACVAQTAWIQNGTIQENILFGQPMHSERYREVIHACCLEKDLEMMEFGDKTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSAIFKECLKGILKKKTVLLVTHQVDFLKNVDTVFVMKDGVVIQSGSYNQLLTSCSDFSVLVTAHHSSMEVPGAAEQVSHDQTTEYSQDTTVPAKSPVKSNSSNENGGTSVAPSKEAGSSKLIEEEEKESGRVSWQVYKLYITEAWGWWGVLVILAVSVLSEGSSMASNYWLSYETSGGTIFDTSVFLGVYVSIVAASIVCDAISTLFVTFLGFKSAQVFFNKMFDSILRAPMSFFDTTPSGRILSRASADQMKIDTALVFYVGFATSMCISVVSSIAVTCQVAWPSVIAVLPLVLLNIWYRNRYIATSRELTRLQGVTRAPVIDHFSETFLGAPTVRCFGKEDEFYQINLDRINSNLRMSFHNYGANEWLGFRLELIGTLLLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISMTCMIENDMVAVERVNQYSTLPSEAAWEVADCLPSPNWPRRGDIDIKDLKVRYRSNTPLILKGITISINSGEKIGVVGRTGSGKSTLVQALFRLVEPVEGHIIVDGVDIGTLGLHDLRSRFSVIPQEPVLFEGTIRSNIDPIGRYSEDEIWQALERCQLKDIVAAKPEKLDALVADMGENWSVGQKQLLCFGRVILKHSRILFMDEATASVDSQTDATIQRIIREEFTDCTVISIAHRIPTVMDSDRVLVLDAGLVKEFDAPSKLMGRPSLFRAMM >OGLUM04G03720.1 pep chromosome:ALNU02000000:4:5344072:5378699:-1 gene:OGLUM04G03720 transcript:OGLUM04G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALQPAAEANNSRDDKRSPHRVTHRLIFTPAPAPGNDRGPDPTYPRGKKTASSPGPIGAGRTPGKLSAPSNAGRSSIAKQDVLYARREVGRKYCIPNKKKLGDDQNSEGVADITNPRVVCNARTNCARTPETRGHDEEEVLSQGGHRATIDPLH >OGLUM04G03730.1 pep chromosome:ALNU02000000:4:5412716:5427368:1 gene:OGLUM04G03730 transcript:OGLUM04G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VPS54 [Source:Projected from Arabidopsis thaliana (AT4G19490) TAIR;Acc:AT4G19490] MASRPPLRTTSASSSTLSTDSPTSAPPGGVPQSITALLNNPLPSASSSSYYWLTWPPPTPLPDAPPPPPPHPCDVSRADFAPYLAAVADPFARFADIRLHASAEELAESQDGAAAGPAASGLAACLREVPALFFKEDFALEDGATFKAACPLGDAALQERLGQHLDVVEAHLVREIARRSESFYEAQGRLRGLDGEIVAAVGRIRELREVVRVLTGDLVGAARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDIIDDLQNLLDTDELTGLYCFRNIRDQLGTSLDSVNSILSAEFVRAAVPDGKAVDALIQANVKRKASVPLNGTEHEVNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPILVARTIDSDSVTGDRAADSDAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLVQAAEVKRIVEWIMGNLEGSLSSDASNSVQKHSGSVSDFSQENDHGVTSRVSNTLTRSNSKFPFFQGKTNDMSSTNSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPKLRLQEFLIIYNVTEEFVAATEKIGGRLGYNIRGIVQQQSKQFVDYQHTVRMAKIKAVLDQETWVAIDVPEEFQAIVLSLSSTYSVANGMEMPSTDDSSKLHENRVTSQEPVNSAENNTDNGNAVSTSHSTENNVGHARSTQQTIVHGGVGYHMMLSEYVDISKCLPSLSFEVVQRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIYSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKIHRDEIHTKLVQIMRERLLANLRKLPQIVESWNGPEDTDLQPSQFAKAVTKEVSYLHRILSQTLLEADVQLIFRQVVQIFHSHITEAFSKLELSTPQAKNRLCRDVQHILVCIRKLPAENFSAEAIPNYGLLDDFLAEKFGTKVDE >OGLUM04G03740.1 pep chromosome:ALNU02000000:4:5429384:5431805:1 gene:OGLUM04G03740 transcript:OGLUM04G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADMCVPKLKLEILEHILHEARNKKNKSSA >OGLUM04G03740.2 pep chromosome:ALNU02000000:4:5430509:5431805:1 gene:OGLUM04G03740 transcript:OGLUM04G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADMCVPKLKLEILEHILHEARNKKNKSSA >OGLUM04G03740.3 pep chromosome:ALNU02000000:4:5429384:5431805:1 gene:OGLUM04G03740 transcript:OGLUM04G03740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADMCVPKLKLEILEHILHEARNKKNKSSA >OGLUM04G03740.4 pep chromosome:ALNU02000000:4:5430927:5431805:1 gene:OGLUM04G03740 transcript:OGLUM04G03740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQTQGSNLRALLVEDIKVNRMILSQMLRKFQVETTVVQNGKEAVELFLGGETFDIVLTDNLMPIMTGPEAISKIRAMGATDVMIVGVSVDANSMEEFKDAGADMCVPKLKLEILEHILHEARNKKNKSSA >OGLUM04G03750.1 pep chromosome:ALNU02000000:4:5433890:5436622:-1 gene:OGLUM04G03750 transcript:OGLUM04G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIILALSKINSTFGLDPTNIAKTAVSKLYQKGKSLAELPGKVEEIRMELTTMENVIEQLDTAHLTDKVVKGWIAEVRKLAYHVEDVMDKYSHHALQMEEEGFLKKYVVKGSHYAIVFDGIVAETVQIEQEIQRVIKLKDKWLQPSQLIRNKHSDFERKRSQGCLPELVKDEDLVGIEGNRMILTGWLYSNELDSTVITVSGMGGLGKTTIVANVYEREKIRFHAHAWIVVSQTYDVEELLRKVLKKIGYADQAHLDGMDVHDLKEKFKENISDRRCLIVLDDVWDREAYNQIHDAFQNLQASRIIITTRSKHVAALALPTRHLKLQPLDKIDAFSLFCRRAFYSRKEYDCPSELQELANSIVDRCQGLPLAIVSIGSLLSSKQPIQHAWKQTYNQLQSELAKSDHVQAILNLSYYDLPGDLRNCFLYCSMFPEDYPMPRDNLVRLWVAEGFAARKENNTPEDVAEGNLNELINRNMLEVVETDELGRVSTCKMHDIMRDLALFVAKDERFGSANDSGTMMLMDKEVRRLSMCRWEDKGVYKAKFPRLRTLISVQTISSSSNMLSSIFSESTYLTVLELQDSEITEVPATIGNLFNLRYIGLRRTKVKSFPDTIEKLSNLHTLDIKQTKIEKLPRGIVKVRKLRHLLADRCADEKHSDFRYFTGVQPPKELSNLEELQTLETVEASKELAEQLKKLTQLRSVWIDNITDADCADLFSTLSNMPLLSTLLLSASSKTETLCFKTLEPISGRLHKLIVRGRWANGTLQCPIFQDCGRNLKYLALSWCHLGEDPLSLLASHVPYLTFLRLNRVYTAKTLVLSAGCFPELKTLVLKHMPDVNKVEIEDRALPRIEGLHIVSLYNLKNVPEGIEFLRSLKKLWLLHLHKDFNTYWESNGMHEKMAHVQELYRI >OGLUM04G03760.1 pep chromosome:ALNU02000000:4:5436678:5447529:-1 gene:OGLUM04G03760 transcript:OGLUM04G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRWPTVVEGKEAAVIVETEELAAVVIEGGEAAVLVEAKEAVAGGGGEEVLGVGEAAIVVETKEAAIVVEAKEKAAVVVEGREAVTQEGWMEFY >OGLUM04G03770.1 pep chromosome:ALNU02000000:4:5450450:5452447:1 gene:OGLUM04G03770 transcript:OGLUM04G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGQGYFAGKPAKPNDKEQQAGDEETQAVDAQTPGAYKITSWALQQTFNSSQQRSNPLIRTDQAFWPNAVHASRALEEQQARRRSLAVAPFVTSIIRRHVTRAFDRTCRNHKEPQTREAPVEAQWERFARRGIIFAMRPKGRHDPGRLFAISGPAQEANLGAHS >OGLUM04G03770.2 pep chromosome:ALNU02000000:4:5450907:5452447:1 gene:OGLUM04G03770 transcript:OGLUM04G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGYFAGKPAKPNDKEQQAGDEETQAVDAQTPGAYKITSWALQQTFNSSQQRSNPLIRTDQAFWPNAVHASRALEEQQARRRSLAVAPFVTSIIRRHVTRAFDRTCRNHKEPQTREAPVEAQWERFARRGIIFAMRPKGRHDPGRLFAISGPAQEANLGAHS >OGLUM04G03780.1 pep chromosome:ALNU02000000:4:5457996:5471658:1 gene:OGLUM04G03780 transcript:OGLUM04G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSSMAGISQLLLLVLVTCYSYIALEINASPPSPSPSPPPLIFPVPVSNCPDKCGNVSIPYPFGIGKGCYLDLPGSGSFSITCNHSTDPPQPYTADALLVLNITLETAEMFVVSAGALAVVKYYPSGRARSAITSKFITTTQQEEEHSMQVKGTLGTTVTQQVNMTFLPSGYNLSAPYRLSPTGNMFTAVGCVTMAKLYGSVENSSSSTEATTTAAAAAGGQMIGDRAYAYDAGCITYCPSLSDAAADGAPCKGLGCCESSITPGLTQFAVGWGRWPGASDDDYGELDPEQYYQYAFVAQKDWYTFKQDHLTHWDIDNISVPFVLHWDIKDGPACRPETNYDSPFGACHSNHSKCANVTSGLDGYFCKCSEGYIGNPYIPDGCKDVNECENKSICGAGSTCKNTEGSYRCDCNFGQRRDNSSDNMGNCEPIFSRAAIAVIATVFIIALLVVLLMFILLERKKRKLRAYFNRNGGQLLKSIKIDIYTKEKLDQITKNYSTIIGKGGFGKVYMGTINGNVRVAVKRCITVSEARQRDFANEITIQSQISHKNLVKLLGCCLETDVPMLVYEFIPRGSLCDVLHGKEYNKKHPLSLLARLDIAINSADALAYMHSYASQKILHGDVKSGNILLDDNFVPKVSDFGTSRLMTIGKDHTTFVVGDMSYIDPVYMKTGLLTEKSDVYSFGIVLLELMTGKKARYNGNNSLPMNFMEAYMTESRAYEMYDKEITTTEEDIKCTANVGTIAVNCLKNSVDERPAMTEVVKDLQIVRSEWLQILGHREHDSAEPIGI >OGLUM04G03790.1 pep chromosome:ALNU02000000:4:5500949:5502354:-1 gene:OGLUM04G03790 transcript:OGLUM04G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLDFPLDFVNFHNVNQAMSTFGELDYWYDTDPLKGTVLARFGPVDPQDIYDNENVSQFGNGQQGQWENEGQDENIPPVPDLNGDHQTSISSVTSGSGGTDTAMFKSVGALVPIISASHEIPNITFKVLSESFPLYIGLRRSPRFNPHRDGHKSMEVKIDPKLGIGKPRGQSVKKLKQMAYQFGVLFAEGPIAEEDFAPENQDQPDSVPADYLISSSEDWAGNVWSYSPTPEEVAGEELEKIKSYVKEIKLLE >OGLUM04G03800.1 pep chromosome:ALNU02000000:4:5508919:5510187:1 gene:OGLUM04G03800 transcript:OGLUM04G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTCSVLLGRGGGGSEVGGEAAVPHGAEKLELGLVGAEDIDEGDTSLGHLLELALGAVEVQGPTKATRAGVRTTLGTAEEAEEASEGKEGEEEVAYEGEESAQAGSVALGDGDVDVVGGEDINEVGVVGDDNGGATTVDGRKLQ >OGLUM04G03810.1 pep chromosome:ALNU02000000:4:5510321:5514391:-1 gene:OGLUM04G03810 transcript:OGLUM04G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGDEEKKPSSTVPAVYDANADIEEEYRLFLENVRVYENDDFVVEYAGLVVRYGGEAVADHGAGRGAPPVKGVPDPNQLDGSVHRQKS >OGLUM04G03820.1 pep chromosome:ALNU02000000:4:5547572:5554738:-1 gene:OGLUM04G03820 transcript:OGLUM04G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHRARSAAAAGGGGGGPIDADWKYFLDNVREEGHSYAVRVPADGANPSYYLQYEKPLANGAASTSSRGGAARKRRRMEEEEESSSGEPASDPNTEEDYRVFLQNVRLVGHGGFVLELEGNVIRYDASGAALSSDESSDESVMGAPEPNPRRRKAKIVEEDHEEEEDVKNEVAVPSRKKDFTMVKEDQEKKGKRVVDLPARGEDGAMVAEEDRKKKLRKEFNFHSFHSKGKDDTTPVKNLKDKKKEVKNPKDKKVHGKKEVALSAKGKDCQLAEGVVIKVEEEDGQLQIVPAVEKLATTTRLTNLSDGHKTAPRIASGSHGVIWPIHINDREESDFKQRLIHVLNKPFSQGEYDKLFGMATIRNPLTRERRTRCGVKYYYSQHEKAKSYFDCYPDLAKQVEEASYPNRLALLRGLFFWLENIGQDDQFRPWRDDHKRYKIMSL >OGLUM04G03830.1 pep chromosome:ALNU02000000:4:5559065:5563817:-1 gene:OGLUM04G03830 transcript:OGLUM04G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14820) TAIR;Acc:AT4G14820] MQPRECLSRQLGELHAHFLRRGHPFPPAAHPDPDLDRAYISAIRAATAPHLALAAFACLRRVGLPAPGLRALPSLLRAIALARSPGTAGAAHGLAFRVGAEVDGFVGTALVRAYAACRRVEDARRVFDGMPDRDLVAWGVMLDCYCQARNYEEALLLFHSMKRSRVVPDQVIIATVLSTCAHTRNLRFGKAIHSYMLVSDTLIDAQVSCALINMYASCADMEMAEKLYNRVSEKDIVLSTTMVYGYAKNGKVEIAHSIFNGMPEKDVVSWSAMIAGYAESSKPLEALNLFHDMQRSGVKPDEITMMSVISACANVGALDKARCIHSFVENHRLCKILPIGNALIDMFSKCGSFTLALDVFNAMPQKNVVTWTSMITASAMHGDGRSALTLFENMKSEGIQPNGVTFLGLLYACCHAGLVEEGRLLFKIMVQQYRIEPMHEHYGCMVDLLGRAKLLGHAADLIQSMHLRPNVVIWGSLLAACRMHGDLELGAFAAKKILELDPIHGGAQVLLSNIYAEYGNWNDVKEGLSKKDYEKKKELAASEITKKLEKKLSQMYSQDAESSTALQLSPQLHEYKASATAAQPAGGQPPGLCSSVAIPKGTMEPGCN >OGLUM04G03840.1 pep chromosome:ALNU02000000:4:5567777:5568846:1 gene:OGLUM04G03840 transcript:OGLUM04G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAIPRCDLFGPSRPLLERAADDMDGLLEENRAPATVTSSEPSSTPRRPCSSNADAMNARD >OGLUM04G03850.1 pep chromosome:ALNU02000000:4:5585016:5595850:-1 gene:OGLUM04G03850 transcript:OGLUM04G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFRCSLRGHSAAVFRRPLRRDQAHVTARLAVLGHCHHTADARLLGAASAPPRRPTACSSSPTSSSPPNPPSSQLAVLPLWPATQRSEELEMSLRLGRAHPLAVSPVHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVCVRGDAAAMAKPLCSTVLVCASAAMAPTSAPRSPTSAPPISPASSQKPKPNRARAGTLYRSSSRAERHRGDRTCTRWAAVAAEGGGEAMRRWVDRRLRDSFPVDTVEEMTTLALQFGGGNPLRRLPLVSPPPRPADFPPRPGGAVLDSAVRGLPRAQGVDVQPEESHIRRGVEIRVSLYSPPMKGGRLHRLERPPAAHTTTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDNDAKRTSPPSDAKKRGTSIGIVEPDTWDNGCEKKRDFDVPDSEDQHAKTNMVNSDLHVLVEAKKKRASSAERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKSEASTDDHERTEAIQFVSDEHKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLGDSFVIAKNADSIACGAM >OGLUM04G03850.2 pep chromosome:ALNU02000000:4:5585716:5595850:-1 gene:OGLUM04G03850 transcript:OGLUM04G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFRCSLRGHSAAVFRRPLRRDQAHVTARLAVLGHCHHTADARLLGAASAPPRRPTACSSSPTSSSPPNPPSSQLAVLPLWPATQRSEELEMSLRLGRAHPLAVSPVHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVCVRGDAAAMAKPLCSTVLVCASAAMAPTSAPRSPTSAPPISPASSQKPKPNRARAGTLYRSSSRAERHRGDRTCTRWAAVAAEGGGEAMRRWVDRRLRDSFPVDTVEEMTTLALQFGGGNPLRRLPLVSPPPRPADFPPRPGGAVLDSAVRGLPRAQGVDVQPEESHIRRGVEIRVSLYSPPMKGGRLHRLERPPAAHTTTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDNDAKRTSPPSDAKKRGTSIGIVEPDTWDNGCEKKRDFDVPDSEDQHAKTNMVNSDLHVLVEAKKKRASSAERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKSEASTDDHERTEAIQFVSDEHKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLGDSFVIAKNADSSS >OGLUM04G03850.3 pep chromosome:ALNU02000000:4:5585716:5595850:-1 gene:OGLUM04G03850 transcript:OGLUM04G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFRCSLRGHSAAVFRRPLRRDQAHVTARLAVLGHCHHTADARLLGAASAPPRRPTACSSSPTSSSPPNPPSSQLAVLPLWPATQRSEELEMSLRLGRAHPLAVSPVHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVCVRGDAAAMAKPLCSTVLVCASAAMAPTSAPRSPTSAPPISPASSQKPKPNRARAGTLYRSSSRAERHRGDRTCTRWAAVAAEGGGEAMRRWVDRRLRDSFPVDTVEEMTTLALQFGGGNPLRRLPLVSPPPRPADFPPRPGGAVLDSAVRGLPRAQGVDVQPEESHIRRGVEIRVSLYSPPMKGGRLHRLERPPAAHTTTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDNDAKRTSPPSDAKKRGTSIGIVEPDTWDNGCEKKRDFDVPDSEDQHAKTNMVNSDLHVLVEAKKKRASSAERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKSEASTDDHERTEAIQFVSDEHKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLGDSFVIAKNADSSS >OGLUM04G03850.4 pep chromosome:ALNU02000000:4:5585716:5595850:-1 gene:OGLUM04G03850 transcript:OGLUM04G03850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLFRCSLRGHSAAVFRRPLRRDQAHVTARLAVLGHCHHTADARLLGAASAPPRRPTACSSSPTSSSPPNPPSSQLAVLPLWPATQRSEELEMSLRLGRAHPLAVSPVHTPLPARPRPQLRLSTTTSCAAMKSYRLSELSDAEVGGLKARPRIDFSSIFGTVNPIVEDVCVRGDAAAMAKPLCSTVLVCASAAMAPTSAPRSPTSAPPISPASSQKPKPNRARAGTLYRSSSRAERHRGDRTCTRWAAVAAEGGGEAMRRWVDRRLRDSFPVDTVEEMTTLALQFGGGNPLRRLPLVSPPPRPADFPPRPGGAVLDSAVRGLPRAQGVDVQPEESHIRRGVEIRVSLYSPPMKGGRLHRLERPPAAHTTTAAAATTPAPAPADDWGDGSWTVDCSCGITYDDGEEMVSCDECNVWVHTRCARYVRGVHISFSCHNCKAKRAPSSADEAEVAELLAELPTHRPRPLYRRWAEVPLPSRVHVHGLPGGTDTALFRSAAPSPVFSSALWRCAGYVPKRFGFRYCEFPYWADDKDGANALFALAREKRKEKAETRFPLRLGAVHKDKNYVRTLSICGDKVDGVEHSVDNDAKRTSPPSDAKKRGTSIGIVEPDTWDNGCEKKRDFDVPDSEDQHAKTNMVNSDLHVLVEAKKKRASSAERSGDKKCSASKEITGMLKKYEPKESKRLVISSGVATTAAVAEQEVHSRFVKGSVYEGLDGNQNVGLQTGVRSNGVVKRDDVKRSNGDVQSDAAPEKMNEGLDLQKQSNQTSNLLVVGGVPDLQTNQSESLTIKSEASTDDHERTEAIQFVSDEHKSGKQDTAKLSTLQRHSSKLASDLVYQHPKSETQNPMHTIPEHPNSSLGNVKVCTASSGPNSTTFDLSCSLLSTEPSSEETTVRLVKKDQTRLVSSADSENDFAKKSESSQDRTRSSDKVQLKGFVPSAPKSSQGSRTYVSSAKNRFIVPKEQLQKTSTEGNAPPGSLQGEVAPLHSRNKAMPLSFYQRKDKIHHRSIHITQDTSNSSASAELQSTETTASLSDEQLALLLHQQLNSSPRVPRVPRCHQASTMQMLHPTGASVLKKRNKDDAWRDNDETKKTGKVSSVERRHRDSSTERVLAAKDSCKFTENIESEQRNRGICSTGATSGVGNDAPIDRGVSHDLPGLIEEIISKNTNITYGELCNAIRQHWGDLSKPNVENNAYPNYLHAVNDCLRNRNDWAHLVDQAAMMNPNKRRKVEESDSLSADVLATEKTNKEAKRGPEDTNAESHHEILPRGKRKARKCRRLELKGRRVNDTRKRSSFDSASDDDDDTTLSDSSSDRNDTPMDKSLGDSFVIAKNADSSS >OGLUM04G03860.1 pep chromosome:ALNU02000000:4:5601643:5603967:-1 gene:OGLUM04G03860 transcript:OGLUM04G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSALDWWDDWKLRILVLGSNTIQLFLFIYGGVRWHRISLWFRLCIWLAYIGGDSLAIYTLATLFNRHKHEAPAVSELEVLWAPILLIHLSGQDMIASYSIQDNYLWWRQVVTLVSQVTVAMYVFCLAWSGEKIVLKAAVLLFIVGILKFCAKPWALKRAIIRSIARHPPSVLRRKKLIGSGGGQLVDYWRSCTTGFIPTVTMFVVSRELRERPQPNDETEAVEQGERREGGQFHENLERSTVKTAIEPVVEQQKKEEEEEEEEEEEEEEEEEEEEEEEEEDLINLNEYVERAKEIMNRQGQLSKPTLRRLQSVLQPELFMADAFVTYSKRLKILQFLMAINTNYSYRVIRAGLFDVYIRLYTRVRVTIMPIASWLRLLSILLATTAAGLYTRSHKDMYNKHDITVTYILFFSTALLELISLVTLCPLFFPLARWYIKQTPSMDRIFEAVKHHVIFYGWSKYIKSAASYRRFNCMRGQLAMKKFLPGSAKDHPAASKHLLVVGNSLSIPFDESVLLWHIATEIWFHHQTRTSNDDNTSLDSAVPLGLEISRYMMYLFTSQPEMLMPGTRSHLFANACDDITFMAKYSDIAGHSITLAQAAQQLAKGILHTARHRWVYGDCVGPLIPKACELADALIFFMEELLDHSTLEKMVRDVWVEMLCYTASRCGGGYLHAVSLGSGIEPLTLVWFSQCYLGMETMADRLQRPVPEPEKEEEKTQGAQDSNKE >OGLUM04G03870.1 pep chromosome:ALNU02000000:4:5622185:5636542:-1 gene:OGLUM04G03870 transcript:OGLUM04G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAMIIFLLLSVWFFPASSTTAVASVAGSTGNGSDTDVAALLAFKAQLADPLGVLSNWTTATSFCDWFGVSCSRRRARVVALVLHDVPLQGSISPHLGNLSFLSVLNLSNTGLTGTIPPDLGKLHRLEFLVLRTNSLSGSIPPNLLNLTKLEAIDLMQNKLSGEIPHELQNLHNLWYLNFEVNYLTGPVQNKLFNNTIGLEQIYLGNNSLSGAIPDGIGSLPMLQVLDMGYNNFSGPVPQTIFNMSKLEGLSFEGNVNLNWTIPGNNKSFNLPMLQKILLSKNRFTGEIPLGLADCKYLQVIYIANNLFEGQVPPWLGKMSHLRDFDFGSNNLVGPIPPELGNLTHLLGIGLESCNLTGQIPHELGQLRKLKGLYLDHNHFTGSIPTFFANFSELTVFLIDANSFTGSVPTAIGSSGSIEWFNIGGNYLQGSLDFLATLSNCRNIWQVGFDLNYFRGKLPDYIGNFSSTLINFYAVGNKLSGEIPSTLSNLSNLIELDLSENQFTGNIPESIMQMDKLQVLNLSGNIMSGTIPRQIGHLRNLQTLILNNNNFSGVLPNDLGNLSNLQYLVLSKNHMSSTIPASLFHMNSLITVDLSQNSLEGALPVDIGQLNHIDRIDLFANRLFGRIPESFGQFLMTTYLNLSHNSLNGSFPNSFDKLINLKSLDVSYNDLSGTIPQYLAHFTDLSSLNLSFNNLHGPIPEGGIFANITQQSLMGNPALCGGVPRLGFMPCKSNNNSNKRHILKFLLPSVIIIVGVIATCMYMMMRKKAKQEDRNISPDMEDVLNNRLISYHDIVRATDNFSETNLLGAGSFGKVFKGQLNDGTMVAIKVLNMELEQAVRSFDSECHALRMARHRNLIRILTTCSNLDFRALVLPYMPKGSLEIQLHSEGGEQLGFLQRLDILLDVSMAMEYLHYHHCEVVLHCDLKPSNVLFDQDMVALVADFGIAKLLCGDDNSVISASMPGTIGYMAPAFLYRGVGNKASASCM >OGLUM04G03880.1 pep chromosome:ALNU02000000:4:5672868:5694518:-1 gene:OGLUM04G03880 transcript:OGLUM04G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) TAIR;Acc:AT3G54860] MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECVKILYLVRSELKFMKLITSQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTLMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGSSAINSSLDVHQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIITNSKESLRFT >OGLUM04G03880.2 pep chromosome:ALNU02000000:4:5672868:5694202:-1 gene:OGLUM04G03880 transcript:OGLUM04G03880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1/munc18-like (SM) proteins superfamily [Source:Projected from Arabidopsis thaliana (AT3G54860) TAIR;Acc:AT3G54860] MAQIPNLDNAPLNLAALREQSQKDLLNILKSIRGKKCVVIDPKLAGTLSLILQTSLLKEYGAELRLLSAEPLQTECVKILYLVRSELKFMKLITSQIKNDEPKGLQREFFLYFVPRRTVACEKILEEEKVHQKLTLGEYPLYLVPLDEDVICFELDHSLQECLIEGDTSSVWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNSMQQEDPVNMDDMGTPEINTVILLDREVDLVTLMCSQLTYEGLLDEMLQINNGSVEVDATIMGAQQDGKKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVKRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYIEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREILHSYGFEHMPLLYNLEKAGLFKRQESRSNWIGITRALQLIVDVNDTAKRSIEELLKLLPGPHLDLKRGSSAINSSLDVHQGLGLQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIITNSKESLRFT >OGLUM04G03890.1 pep chromosome:ALNU02000000:4:5705581:5710944:-1 gene:OGLUM04G03890 transcript:OGLUM04G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHL9] MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGVGGVWAWTLEGTALQTPRPMYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSSEWRLEVADGEGHIERWIFSKFAESYYSIPMKKYGMVPDHSLFEALITCLIAITPKDHYKRLEEGSIVLKKSKTFSFCNEGVLVEGESSPIKSDIVIFGTGFRGGQKIKEMFTSEYFQSIAVGSASTTIPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVQKWEKFMKRYSRAYFRRSCIGFLHIWYNDKLCRDMGCNPRRKNGFWADLFDVHGPGDYIDLHPKKE >OGLUM04G03900.1 pep chromosome:ALNU02000000:4:5716862:5721621:-1 gene:OGLUM04G03900 transcript:OGLUM04G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHM0] MEKKRVVIVGAGVSGLAACKQLLERGCRPVVFEADTGLGGVWARTPECTALQTPRPMYQYSDFPWPETVTEVFPDHRQRWIFSKFAESYYSIPMKKYDMVPDHSLFEALITCLVAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVLVEGQSSPIKSDIVIFGTGFRGDQKIKEMFTSEYFQSIAVGSASTTVPLYREIIHPKIPQLAVIGYSESLANLYTSELRAKWLAHFMDGGFRLPSISVMQNDVLEWEKFMKRYSRGYFRRSCIGILHIWYNDQLCRDMGCNPRRKNGFWADLFDVYGPSDYIDLFPKKE >OGLUM04G03910.1 pep chromosome:ALNU02000000:4:5728564:5730728:-1 gene:OGLUM04G03910 transcript:OGLUM04G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT4G35000) TAIR;Acc:AT4G35000] MLAAPVVDAEYMAEVERARRDLRALIASKSCAPIMLRLAWHDAGTYDKATKTGGPNGSIRFPQEYSHAANAGIKIAIDLLEPMKQKHPKITYADLYQLAGVVAVEVTGGPTIDYVPGRRDSSDSPEEGRLPDAKKGAAHLREVFYRMGLSDKDIVALSGGHTLGKARPERSGFDGAWTKDPLKFDNSYFIELLKENSEGLLKLPTDKALVEDPTFRRYVELYAKDEDAFFRDYAESHKKLSELGFTPSRSAFIYKSCQKPKSLLMQTAAGVAVAAAVVAWAYLCESNKRLG >OGLUM04G03920.1 pep chromosome:ALNU02000000:4:5732573:5739904:-1 gene:OGLUM04G03920 transcript:OGLUM04G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHM2] MYQYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCVRFGHRVAGMEYVGVGKEDVAAWDEWAGNGDAFGSGSSEWRLEVADGEGHIETHTADFVILCVGKYSGVPNIPTFPAGKGPEAFDGQVIHSMDYSKMGTKNCKEMIKGKRVTIVGYLKSALDIAAECAEYSDFPWPETVTEVFPDHRQVMDYLGAYARRFGVLDCIRFGHRVAGMEYVGVGEEDVAAWDEWAGNGDAFGSGSGEWRLEVVDGEGHIETHKADFVILCIGRFSGVPNMPTFPPGKGPEAFDGQVIHSMDYSKMGTKNSKEIIKGKRVTIVGYLKSALDIAAECAE >OGLUM04G03930.1 pep chromosome:ALNU02000000:4:5749759:5751798:-1 gene:OGLUM04G03930 transcript:OGLUM04G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKRRQEDKLNQNFQKLEEIEACRSKASEETIAAIRATTAILKAASSPTPMAPPPPVPTNCLMECHNNNITLVAVNSSHIGEVLTPMVAFELGDVEDKDPVPYIVNKDSHDKDDSLLNLDTSNVIPRSCY >OGLUM04G03940.1 pep chromosome:ALNU02000000:4:5765872:5766213:1 gene:OGLUM04G03940 transcript:OGLUM04G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQVGVSNVFTMPGDLKLTLLDHLIAEPGLHIVGCCNELNAGYAADGYAWAHGVGACTVTFTIRGQLLHGRRRAAIGSGIR >OGLUM04G03950.1 pep chromosome:ALNU02000000:4:5767497:5768581:-1 gene:OGLUM04G03950 transcript:OGLUM04G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSCRRCPHPEEPAGTTTIEERKRQWQRGDKTNNTVASGFGAFSRGLTEQGFKVSVELDP >OGLUM04G03960.1 pep chromosome:ALNU02000000:4:5770317:5775102:-1 gene:OGLUM04G03960 transcript:OGLUM04G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIPAVELRERYFGPSHELLSHEKYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >OGLUM04G03960.2 pep chromosome:ALNU02000000:4:5770317:5775102:-1 gene:OGLUM04G03960 transcript:OGLUM04G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIYAEVWAVDEVDPLMAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >OGLUM04G03960.3 pep chromosome:ALNU02000000:4:5771918:5775102:-1 gene:OGLUM04G03960 transcript:OGLUM04G03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MEDSGSSSPPAPPPSFRNRYWILRHGRSVPNERGIIVSSLENGTKPEFGLAPQGVEQARLAGESLRKELEELGVPLDSVQIRYSPFSRTMETAREVARMLGVPFDSPSCIPAVELRERYFGPSHELLSHEKKYGQ >OGLUM04G03960.4 pep chromosome:ALNU02000000:4:5770317:5771900:-1 gene:OGLUM04G03960 transcript:OGLUM04G03960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT4G38370) TAIR;Acc:AT4G38370] MAPDGGESVADVATRFSQFLSAAEMELHGSAILIVSHGDPLQIFQAVLKETKENPSSLDEVSDLKKRGMITLSVLSQHRQFALLTGELRRLV >OGLUM04G03970.1 pep chromosome:ALNU02000000:4:5795141:5802445:-1 gene:OGLUM04G03970 transcript:OGLUM04G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10370) TAIR;Acc:AT3G10370] MTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILALERKWDKARQKLELQKGKDFLETFKSSKNAQFRDGKHNEMFASSI >OGLUM04G03970.2 pep chromosome:ALNU02000000:4:5795738:5802445:-1 gene:OGLUM04G03970 transcript:OGLUM04G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10370) TAIR;Acc:AT3G10370] MTPCFNWFEVVYYWFGLKFYDIVAGRRLLHLSRYYSVDESVELFPTLAKNSHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAILNYAEVVSLIKDESGERIIGARIRDTLSGKEFDAFAKVVVNAAGPFCDSVRKMANNDVVPMISPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAICDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAIRSGNLKPANGCVTDHLHILGGYGWDPASFTVLAQNYKRMKRTYGGKIIPGAMDSAVSKHLSHAYGTLATQVASIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFIARRCRLAFLDTDAAGRALPRIIEILALERKWDKARQKLELQKGKDFLETFKSSKNAQFRDGKHNGKNIFSTFVSFLHFE >OGLUM04G03980.1 pep chromosome:ALNU02000000:4:5813176:5813811:-1 gene:OGLUM04G03980 transcript:OGLUM04G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIEAYYDDGSGTYLLSFDEDFFDATLTKSGGKVESWLGETYRIHRSCGHPLVVGLDVEWRPAAPVPGPVAVLQLCVDRRCLVFQILHADYVPDALSRFLADPRYTFVGVGVRDDAARLRVGYGLEVPRAVDLRALAADTLGRPDLRRAGLWALVREVMGVQMDKPHHVRVSAWDKRNLSEDQFKYACADAFASREVGRRLYTCNCDGA >OGLUM04G03990.1 pep chromosome:ALNU02000000:4:5860852:5876825:-1 gene:OGLUM04G03990 transcript:OGLUM04G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPDPVSPDLDLGSPNSPLEEAASPWRSRIWQPSPPVSFPFLLSASSQPCASPLSQPRTPPLPSPLCPAPSLLLLLLFSLLLQHPSRRCPSSPLSLAVTPRRRRLGRRRRLLRVVVVSVCCRRRRRCLFLFGLPRAEIERERNSHPNIEQRRDSGTESEHKLDWGSRQRASSQELTIHEHNIPFSPMTSTCQ >OGLUM04G04000.1 pep chromosome:ALNU02000000:4:5881796:5886325:-1 gene:OGLUM04G04000 transcript:OGLUM04G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRWLQRPPILRELPDEVAAAAARSHHADTRGWDADTRPHLATSGRRPAASGRPAEEEGRKREGRKKKGERGWRRKKKGEPKKKGGGRKKDKTDGRGSEPGGAAAAARIHHLGNGYGCGNDVQRRRRRQLPRAHGSRRFPSLSPSSSLILVDEGLVLSRQAMLNNNVVKEKKGRRKGEKREEYRGRRGKPIAGRSPWRSIAH >OGLUM04G04010.1 pep chromosome:ALNU02000000:4:5922747:5938610:-1 gene:OGLUM04G04010 transcript:OGLUM04G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSEPDDSGFDSATAASLLALRTSSPPHANPLPPAVHLPFGRHRGTAIHDLSVVAASKLRAPSSASMPPTSSLSEGSAECKGRHRRALAAVERQALHHNPSTQLDGFSSSVVLHGDAARAEDGSRGAGGRWGGAVEVISVDAGSGNGTYLNGHAKMDEATDHETYYKQRRREANDEQRRCRLELRTEEERRRLELKRSGRRAVTSSVPRRRWGWRRHRLELRRSDAGWN >OGLUM04G04020.1 pep chromosome:ALNU02000000:4:5932407:5936143:1 gene:OGLUM04G04020 transcript:OGLUM04G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIFAPFFCFLLVSAAQGGGSHAAMSPEQYWRSILPDTPMPISISQLLGDGYPYSPAVGLPKRGDRVQIRYGPNIYGLAASQQFFKDPTMGLFFLETNLQSSKSIKLHFANMMAGTKFLPRGEADAVPFSSKDLQEILARFGVRPGSVDASVVKNILLECELPANKGEKKACATSLESMVDFVASSLGTRDIKAASTFLVGKDGDTPAQEYTVTGARRMAETGQLIACHPESYPYAVFMCHLTEATRAYKASLVGKDGAAVEAVAVCHTDTAEWNPKHAAFQVLDVKPGTVPVCHFVQPDVVVWTRRG >OGLUM04G04030.1 pep chromosome:ALNU02000000:4:5949121:5951587:1 gene:OGLUM04G04030 transcript:OGLUM04G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRIICSMFASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSSAAAAAAPPRMQRHQQSHHRSSLLLPNSPSLNPGGGYPGFFSGAGGFHPQEPAMPLTLPTTTQEFMLGIHSGHPMVSASALLNHLHGASHHHQLLVKEEISSNMIVFGSDQQSCSSSDGLAAPHSHHHNGNREFILDGYSYGGGYGNSCIDQVDNDKLFQHHQQDDQAQEQQQQLVYNYDEIKQLLMNTSTTSNNINAGQDHGGSMEAGLIGSQGKVTMM >OGLUM04G04040.1 pep chromosome:ALNU02000000:4:5961003:5976257:-1 gene:OGLUM04G04040 transcript:OGLUM04G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCDARRCGANGGGGWPRAAAATRRSACVLVAAAGGRNAETTFLVPTPLYSSASSHSNPESIAEAKADANADYEEGDELVLPPEVGTKLQSKPLKCPELQIPWKQPTQQQEVSQTGKTGEEVSRMRCTGDVLFIQFIWLYSVSAFFVEKVGRIKNLHTLLEKH >OGLUM04G04050.1 pep chromosome:ALNU02000000:4:5971048:5976364:1 gene:OGLUM04G04050 transcript:OGLUM04G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGFECDEADEYSGVGTRKRSFLRPPRPTHTRSGGSRRRHEAIRLRRWHRIVEHRTTPSLPGYPSPKVKCLVAAETEEMHAARGRAEEQRPAP >OGLUM04G04060.1 pep chromosome:ALNU02000000:4:5976962:5977960:1 gene:OGLUM04G04060 transcript:OGLUM04G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAILNLSSSSSFSPQHAIVLLVHLIILLSCHAVKQSAARSVNYSEMDRQALLSFKASISSDPVGVLHSWSTSSLDFCNWSGVRCGTGSKSLRVVNLAFNSLAGVCLMAHLILPSLIFE >OGLUM04G04070.1 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04070.2 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04070.3 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04070.4 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04070.5 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04070.6 pep chromosome:ALNU02000000:4:5988866:5996665:1 gene:OGLUM04G04070 transcript:OGLUM04G04070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLTHGKVVELRFDKRSYDSKPPPKNLPLPQNGLPQSVPKENCMFDGPVLCRLIPPGKPAAIQYGNGSVAGFFNEDSVTIGDLVVKDQEIAVWRCSACVVNIWLWLVKNQCSGYNRTRT >OGLUM04G04080.1 pep chromosome:ALNU02000000:4:5997634:5998871:1 gene:OGLUM04G04080 transcript:OGLUM04G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIPIQILNGTSLYVSLDLSNNLLTGSIPPQIGALITLVVLDISFNKFSGEIPSSLGQCVSLLSLDLKHNMLNRSIPQLLGQLKSIVLLDLSQNMFVGQIPEFLVNFSFLNQLDLSNNYFEGPIPTGGIFQNSSAVILDGNTRLCSSSSYSIFGFLICPTTTLAKRKNNAHLLIIIVIPPVTIAVLSFFFFMVTLLKGKQAHTTSCYKETMKKVSYVDILKATNWFSPVNKISSSHTDLIAIKLFHLDELGAYNSFLTECEVLRNTRHRNLVKAITVCSTVDLENNEFKAIVLEFMANGSLDMWVHPKLHQNSPKRGLSLGQMIRIAADVASALDYMHNQLTPPLIHCDLKPSNVLLDYDMTARVGDFGSAKFLNASSCSSECLVGVGGTIGYIAPGES >OGLUM04G04090.1 pep chromosome:ALNU02000000:4:6001284:6004377:-1 gene:OGLUM04G04090 transcript:OGLUM04G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLAVFVFLLLVCSSCRADDKLTPARPLSPGDELISSGGVFTLGFFSLTNSTSDLYVGVWYNQIPVHTYVWVANRNTPIKKSSSVKLVLTNDSDLVLSDSNGGGGGGVWTTVNSNNVAAAGGGAGATAVLLDSGNFVVRLPNGSEVWRSFDHPTDTIVPNVSFSLSYMANSLDRIVAWRGPNDPSAGDFTMGGDSSSDLQIVVWNGTRPYWRRAAWTGASIFGVIQTNTSFKLYQTIDGDMADGYSFKLTVADGSPPMRMTLDYTGELTFQSWDGNTSSWTVFSRFPTGCDKYASCGPFGYCDGIGATATPTCKCLDGFVPVDSSHDVSRANNKTKSTVLKIVLPVAAGLLLILGGICLVRKSREAFLSGNQPSKKVQSKYPFQHMNDSNEVGSENVELSSVDLDSVLTATNNFSDYNLLGKGGFGKVYKGVLEGGIEVAVKRLSKGSGQGVEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNRSLDAFLFGILMMSDNKFHSTLILEDANRKNTLDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKTSNILLDTEMSPKISDFGMARIFGGNEQQANTTRVVGTYGYMSPEYALDGYFSVKSDTYSFGVILLEVVSGLKISSAHLKVDCSNLIAYAWSLWKDGSARDFVDSSIVESCPLHEVLRCIHLGLLCIQDQPSARPLMSSIVFMLENETAVLPAPKEPIYFTRREYGTDEDTRDSMRSRSLNHMSITAEDGR >OGLUM04G04100.1 pep chromosome:ALNU02000000:4:6009866:6010768:-1 gene:OGLUM04G04100 transcript:OGLUM04G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQLDRDGVGEPVLLERCKQGNYRARARGGVGAHLLLGLRSKETELELKEAASDLQHKEAACKMPPVRAHAKGMRRHCDVLAVLRRRKLGWAASACFNEGRSPGEKIVRGADCVRQEID >OGLUM04G04110.1 pep chromosome:ALNU02000000:4:6012677:6017122:1 gene:OGLUM04G04110 transcript:OGLUM04G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGRGRRLAALRLHRAALAWEKRGEMSGGAGVSHPPRPAAAGKGGAWVEDARRAGGRLVVRRPGRLRAASATNWRLAPTSLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITNDPTGAFSSWNISLHFCRWNGVTCGRTSPAHVVSINLTSMKLSGVLPACMGNLTSLQTLVLDRNNLEGTIPESLARSLSLIELNLSRNFLSGQIPASLFNGSSKLVTVDLQMNSFSGIIPPPHKMATLRFLGLTGNLLSGRIPVSLANISSLSSILLGQNNLSGPIPESLSQIANLNKLDLSGNRLSGFVPVTLYNKSSLEFFGIGNNSLIGKIPPDIGHTLPNLKSLVMSLNRFDGSIPTSLANASNLQMLDLSSNLLSGLVPALGSLINLNKLFLGNNRLEAEDWSFFTALTNCTQLLQLSMEGNNLNGSLPKSVGNLSTNFEWFKFGGNQISGRIPDELGNLVNLTLLDINSNMLSGEIPLTIGNLRKLFILNLSMNKLSGQIPSTIGNLSQLGKLYLDNNNLSGKIPARIGQCKMLNMLNLSVNSLDESIPDELVSMSSLSLGLDLSNNKLSGSIPQEVGTLSNLALLNFSNNQLSGQIPSSLGQCVVLLSLNMEGNNLIGNIPPALTSLHAIQRIDLSENNLSGEVPVFFENFISLAHLNLSYNYFEGPIPISGIFQRPNSRRMISFSWFNYGHRQCTDVLRQFSGMLNMLCSSNPKRREVPTTPINNETLKKVSYGDILKATNWFSSVHTISSTHTGSVYVGRFKSDKSLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNLMRPLTLCSTLDKENHEFKALIFKFMVNGSLERWLYSEQHYGIKDRVLCLGQRICIATEVASALDYIHNHLTPPLVHCDVKPSNILLDDDMTARLGDFGSAKFLFPDLVSLESLADIGGTIGYIAPEYGMGCQISTGGDVYSFGVLLLEMLTGKQPTDDTFADGVSIHNFIDSMFPDRVAEILDPYMMHEEHQVYPAEWFEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >OGLUM04G04120.1 pep chromosome:ALNU02000000:4:6023967:6027150:1 gene:OGLUM04G04120 transcript:OGLUM04G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMLSMLCSFLIFTFFSTAILAAAQSNKSESDRKALLCFKSGILLDLDGVLSSWMDDSLNFCSWRGVTCSSSYPSRVVHLELSSSHLTGRISGCIGNLTSLSQINLTDNHLSGAIPDELGKLPVLRTLLLAANNLEGDIPDSLGTSLSLSYVNLANNTLTGVIPDSLASSPSLSMLILSRNNLSGQIPAKLFSNSSKLTKIDLQMNSLSGPIPAFENVTTLNILCLTDNSLSGNIPPSIGNVSSLASILLSQNKLARLIPMTLSHIPELIELDLSYNSLVGFVPLSLYNMSSLTYFSLGNNSLVGQIPSDIGNSLPKLQILKFQNSKFEGQIPTSLSNATNLIQLDLSNNLMHGSIPSLGLLANLNQVRLGKNSLEADHWAFLASMENCTELIELSLQWNLLDGILPSSVGNISTNLQALVLRGNQISGRIPSTIGKLHNLYILDLSISKLSGQIPSTIGNISHLGHFFLDDNNLSGNIPISIWQCTELLELNFSINDLSGLIPSDLSSSPFYSRGSTLLVVDFSHNNLTGQIPESFGSNNMQQVNLSRNELSGPLPEFFRRMTMLELLDLSYNNFEGPIPTDCFFQNTSAVFLEGNKKLYSKSSTVSFPICGSTSDSTKSNNGASLTKKIHLPLWVIVLLILVPPLTILLFLVSWVLVTLWKRRVFSFSQCSDLFKRCNYVLNWCSGMPSMLGLPQPKRRRVPIPPSNNGTLKKVSYSDIIKATNWFSSNHKISSTQTGSIYVGRFKSEKRLVAIKVFNLNQPGAYESYFIECEVLRSTRHRNIMRPLTLCSTLDHENHEFKALIFKFMVNGSLERWLHSEQHNGIPDRVLCLGQRISIATDVATALDYIHNHVMPPLVHCDLKPSNILLDVDITALLGDFGSAKFLFPSGKQPTDDTFADGVSIHNFVDSMFPDRVAEILDPYMTHEEHQVYTAEWLEACIKPLVALGLSCSMVSPKDRPGMQDVCAKLCAVKETFLQFGDFSL >OGLUM04G04130.1 pep chromosome:ALNU02000000:4:6030774:6034655:1 gene:OGLUM04G04130 transcript:OGLUM04G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSVGAKSPPAASHPASFLLCSLLIFLSCNTITPSSAQPSNRSETDLQALLCFKQSITDPTGAFISWNTSVHFCRWNGVRCGTTSPAQVVSINLSSMELTGVLPDCIGNLTSLQSLLLARNNLEGTIPESLARSSSLIELNLSRNNLSGEIPPSFFNGSSKLVTVDLQTNSFVGKIPLPRNMGTLRFLDLTGNLLSGRIPPSLANISSLSSILLGQNNLSGPIPESLGQIANLNKLDLTVNTLSGYVPATLYNKSSLEFFGIGNNNLIGKLPLGIGHTLPNLKSLIMSANRFDGSIPASLANASNLEILDISSNHLSGSVPALGSLRNLNKLLLGSNRLGADIWSLITSLTNCTRLLELSMDGNNLNGSLPKSIGNLSTHLQKLKFGGNQITGIIPDEIGKLINLSLLEINTNKQSGQIPMTIGNLKKLFILNLSMNELSGQIPSTIGNLSQLGQLYLDNNNLSGKIPANIGQCIRLAMLNLSVNNLDGSIPIELVNISSLSLGLDLSNNKLSGLIPQQVGTLHNLGHLNFSNNQLSGQIPSSLIQCAVLLSLNLENNNLSGSIPESLSQLPAIQQIDLSENNLSGVVPTGGIFGKPNSVNLKGNKGLCALTSIFALPICPTSPAKRKKNNTRWLLIVILIPTVTVALFSIRCIMFTLRKESTTQQSSNYKETMKRVSYGDILKATNWFSPVNKISSSHTGSVYIGRFEFDTDLVAIKVFHLDEQGAHNSFFRECEVLKCTRHRNLVKAITLCSTVDFDNNEFKALIYEFMANGSLEMFVHPKLYQGSPKRVLTLGQRISIAADIASALDYLHNQLVPPLIHCDLKPSNILLDYDMTSRIGDFGSAKFLSSNFTKPEGFVGFGGTIGYIPPEYGMGCKISTAGDVYSFGVLLLEMFTAKRPTDTQFGSDLSLHKYVDSAFPNTIGEVLDPHMPRDEKVVHDLWMQSFILPMIEIGLLCSKESPKDRPGMREVCAKIASIKQEFDKTM >OGLUM04G04140.1 pep chromosome:ALNU02000000:4:6031337:6034873:-1 gene:OGLUM04G04140 transcript:OGLUM04G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGSSRHRSSDRKKLVEKCEVGQIGNANMDRSEVADAIRQDPSELHGAKVYGDNLSWRCRATSDTIPSAEMD >OGLUM04G04140.2 pep chromosome:ALNU02000000:4:6031335:6034942:-1 gene:OGLUM04G04140 transcript:OGLUM04G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEVADAIRQDPSELHGAKVYGDNLSWRCRATSDTIPSAEMD >OGLUM04G04150.1 pep chromosome:ALNU02000000:4:6043412:6048202:1 gene:OGLUM04G04150 transcript:OGLUM04G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARHRVMSLLLVLVIGCCAWGCRPGAAQVPVPARTDGFVYGGKAPALGETVVVEAYFDPVCPDSRDAWPELKKAVEHYASRVTVVVHLFPLPYHSNAFISCRSIHAVNKINPSFVYPLLERFFKYQEGYYNQPTYGKTRATVDAEVAKNLVAPVIGEANLAAYKAGFNDSKSDQATRISFKYGCARGVTGTPYFFVNGIPLISDSGSPLEYNKWKSILDPLVGKM >OGLUM04G04160.1 pep chromosome:ALNU02000000:4:6083684:6090754:1 gene:OGLUM04G04160 transcript:OGLUM04G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGQNFMTGLRVVFDRERKILGWKKFNCFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >OGLUM04G04160.2 pep chromosome:ALNU02000000:4:6083684:6090754:1 gene:OGLUM04G04160 transcript:OGLUM04G04160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLLLHPLLLLLVVGGVAGGGGGGGRLRAPSLEFHHRFSSPVQRWAEARGHVLPGGWPEHGSADYVAALNGHDRRRALSAAGGDGGGGGDKPPPLTFSEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPASAASGSASFYIPSMSSTSQAVPCNSQFCELRKECSTTSQCPYKMVYVSADTSSSGFLVEDVLYLSTEDAIPQILKAQILFGCGQVQTGSFLDAAAPNGLFGLGIDMISIPSILAQKGLTSNSFAMCFSRDGIGRISFGDQGSSDQEETPLDVNPQHPTYTISISEITVGNSLTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVHANRHAADSRIPFEYCYDLSSSEDRIQTPSISLRTVGGSVFPVIDEGQVISIQQHEYVYCLAIVKSAKLNIIGRYDTDSSNPLSINSRNSSGFSPSAPENYSPEETKGGNPASVTQLRPLSNSNPVMWYDSLVFRFLLVNLAALILF >OGLUM04G04170.1 pep chromosome:ALNU02000000:4:6091540:6093090:-1 gene:OGLUM04G04170 transcript:OGLUM04G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAPLLSPASDDRFWDRLRTRVDTILEDRRLVAPPAATCGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKGVNALATCSSQARECERGDEEEEPKAKRRCSLAETVSFDGETPVAGADSGEGSEKEELAADAKLRQENGGIQATSEVAKSTNLKRARNLAVSMAGRAAALARELKNIKSELHFTQERCGLLEEENKRLREGYDNGVPPEEDDLVRLQLEALLAEKSRLAQENANLSRENQSLIQLVEYHQLTSQDLAESYEDVMEGMRLDFSSPLGKIDSDEEGERENRRVAVTPSDKLEALGSSDE >OGLUM04G04180.1 pep chromosome:ALNU02000000:4:6093545:6105180:1 gene:OGLUM04G04180 transcript:OGLUM04G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNGVVAAAAIITGTDLSSQCMTDLEPPDKQADAQLALWWLAPNHHCRDITRRVPIRDITNYAGFGATKPIQEGCP >OGLUM04G04180.2 pep chromosome:ALNU02000000:4:6093545:6102380:1 gene:OGLUM04G04180 transcript:OGLUM04G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQLVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >OGLUM04G04180.3 pep chromosome:ALNU02000000:4:6100823:6105180:1 gene:OGLUM04G04180 transcript:OGLUM04G04180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQLVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQQASMVLETYFLITSPNFA >OGLUM04G04180.4 pep chromosome:ALNU02000000:4:6093545:6102380:1 gene:OGLUM04G04180 transcript:OGLUM04G04180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQLVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >OGLUM04G04180.5 pep chromosome:ALNU02000000:4:6100823:6102380:1 gene:OGLUM04G04180 transcript:OGLUM04G04180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAATSCARFLALLATCLLWNEAASFTASGWNKAFATFYGGSDASGTMGGACGYGDLYSTGYGTNTAALSTVLFNDGASCGQCYRIMCDYQADRRFCISGTSVTITATNLCPPNYALPNDAGGWCNPPRQHFDMAEPAWLKIGVYVGGIVPVMYQLVPCAKQGGVRFTINGRDYFELVLVSNVGGVGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLDGQSLSFKVTSSDGQTLTFLDVAPAGWTFGQTFSTSQQFS >OGLUM04G04190.1 pep chromosome:ALNU02000000:4:6108890:6112685:-1 gene:OGLUM04G04190 transcript:OGLUM04G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLCRVGRQVQGILYADHQERAEACSSKKRHADMAPGGFKARKKTALAVRPVNGVLTRGRKSLLPSEVPRAGPADESDGLEEQNIHVASRGDGIDQGHGGNQVASGGDVDGIAQGHGDNQVASGGEGDGLAQGQWGKSEEEPWIRGNNKGAALQRLSRSRRGKLPVVIKEGDIRPLQPVVAAKFATECNIIVRNHVPVFPKWKDYKNQSAIRRMFRMKLAAKFDIDIRATHVKFACVEMMKKAVRQHRYHLKRIFFNPFPLHLRNSELNKTNRSKVKYHHTTGSRSYKVHLENLGDKYKDQELDAVDMFKECHYSKKKGYTPDVQLAIDEMENKLSEHAEDEEAPSMTEVVAGVLAEKTKKPTFLQTVGIQSRKKGTLKEQLAAEKLAKDDLKSQVQELAKKLQESEQARVAEQQDMARKQAETNAKLDLLLSKIGHH >OGLUM04G04200.1 pep chromosome:ALNU02000000:4:6125464:6127223:-1 gene:OGLUM04G04200 transcript:OGLUM04G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTPNHTQTVSGWAAMDESGKIVPFVFKRRENGVDDVTIKVKYCGMCHTDLHFIHNDWGITMYPVVPGHEITGVVTKVGTNVAGFKVGDRVGVGCIAASCLDCEHCRRSEENYCDKVALTYNGIFWDGSITYGGYSGMLVAHKRFVVRIPDTLPLDAAAPLLCAGITVYSPMKQHGMLQAGAAGRRLGVVGLGGLGHVAVKFGKAFGLHVTVISTSPAKEREARENLKADNFVVSTDQKQMQAMTRSLDYIIDTVAATHSLGPILELLKVNGKLVLVGAPEKPVELPSFPLIFGKRTVSGSMTGGMKETQEMMDICGEHNITCDIEIVSTDRINDALARLARNDVRYRFVINVGGDSKL >OGLUM04G04210.1 pep chromosome:ALNU02000000:4:6152771:6153076:1 gene:OGLUM04G04210 transcript:OGLUM04G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPRTTATGGDGRGNSKWRRRAGMTEAAPRHAHPRAFYSSAVVDMCTGETAVSADDVDENPKHRSACCCVLPLAGVELMMNITAPYIAAKFPALAIASN >OGLUM04G04220.1 pep chromosome:ALNU02000000:4:6197093:6197284:1 gene:OGLUM04G04220 transcript:OGLUM04G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRLLANSLRPGVYLSIHCSHSLLPSQSYKLSGWGSRIDHLKEELTGVPSILPRSDEALRV >OGLUM04G04230.1 pep chromosome:ALNU02000000:4:6219149:6220535:-1 gene:OGLUM04G04230 transcript:OGLUM04G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHDVTASLRAPGRHPVTKLSVLATGSEERRCQEVLAGGDRYSHHEFCVIDGVLFEQAMRRTEELCVQIDCGNDDRSSLTYVYRLRLISSIPGDTIRILELASCRIELPPPDHAAPFPFPRLAVLRLERCSSPMRDLATLISVAPNLAALHIARHSFCWYPERDFDDGRFVLHCPSLTSLTLDNDITCIEGIRAIDLYAPCIVTFRYTGTLVDLAMKSKATDLTHVYLNLQIWYSPGESDAIVSTFWKFLGSLRNTKSLKLNVPAMGSIPLLDNGDNIVFENLKHLEVECDPETDGWHHSKKGSAMSVATLLRCCPVIHELKLALESTSSKFMSFRSRRARGRKSLLFTEFNTSRDLFNNRRTMLDDDDESSEFEEVADLPGLTGCGFDCLQNHLKRVVLQFQMEDVNCFGVRLAKFFAETCKVLDVLQVDDGVHNFRRHINNNVDKWRANESEKQKR >OGLUM04G04240.1 pep chromosome:ALNU02000000:4:6393500:6394625:-1 gene:OGLUM04G04240 transcript:OGLUM04G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVSEEPEHVRPKDYTDPPPAPLFDVGELRLWSFYRALIAEFIATLLFLYITVATVIGYKVQSSADQCGGVGTLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSVIRAVMYIVAQCLGGIVGVGIVKGIMKHQYNANGGGANMVASGYSTGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSIGAAVIYNQKKAWDDHWIFWAGPFIGALAAAAYHQYILRAAAIKALGSFRSNPSN >OGLUM04G04250.1 pep chromosome:ALNU02000000:4:6396052:6437992:-1 gene:OGLUM04G04250 transcript:OGLUM04G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMSIGNMLADLSSVNGYHEGLPMVLAHIATYAALALPPTVDVRHHSHRGQEDLDHLASGGRPGPSMGESGREWRQWAQKRSVVGGSSHERARRPEVADPARADPPEAGDGDGGMGPRAAGGSGQQRWRATRKGARERGRQHVHDPTPGTLHRMDLALTIHPYADPASGALLHEDPALATLEGGLRGGSGAEDKVTWGGNDAAPRGFG >OGLUM04G04260.1 pep chromosome:ALNU02000000:4:6438222:6438786:-1 gene:OGLUM04G04260 transcript:OGLUM04G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQPRIPHAKTSLLLHPQPRRPRASLPRSLLPVSSQERLPAAAVAVLLARCSCSPLPLLCRALAGAAVAVLLLPHRRAPLFPTSFFPDPAGAGVGADFGPFSAAGTDIDLSPRPSFFFPTATAVLLTGFSSAPLPALRQVLAGAAAISFFSHSDPYESPPPPSPLTPLSLSLPVTPSPP >OGLUM04G04270.1 pep chromosome:ALNU02000000:4:6458421:6459049:-1 gene:OGLUM04G04270 transcript:OGLUM04G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVCVCSFNPATKHHRAPPSVRCAAAAAIGEANGVGEVGWPDDVALGIFKQLWRDENGRVPPLSGGGAAKVYCDVELWLNLRTIHQSSDDKSEDGEALLVSAGLMVTKADSFAPALREILLASKNLATASSA >OGLUM04G04280.1 pep chromosome:ALNU02000000:4:6461838:6464068:-1 gene:OGLUM04G04280 transcript:OGLUM04G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sedoheptulose-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT3G55800) TAIR;Acc:AT3G55800] METVAAASYTRGAATRSPACCAAMSFSQSYRPKAARPPSTFYGESLRVNTARSLPSGRQSKAASRAALSTRCEIGDSLEEFLTKATPDKNLIRLLICMGEAMRTISFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALEYSHVCKYACSEEVPELQDMGGPVDGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYIIALKDCPGTHEFLLLDEGKWQHVKDTTTIGEGKMFSPGNLRATFDNPEYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVINNLDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >OGLUM04G04300.1 pep chromosome:ALNU02000000:4:6526439:6526714:-1 gene:OGLUM04G04300 transcript:OGLUM04G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAAGVGATAPRWFSAPLVRHSRSADLVASRAESRGQAVKLSGEAIVVQRRCGVGLLDRLLAAVRVAACWQGVALGLTRLAANGVIAGG >OGLUM04G04310.1 pep chromosome:ALNU02000000:4:6527143:6527789:1 gene:OGLUM04G04310 transcript:OGLUM04G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALCAVVNVAKAKGAREPWLMKPASWTKVREDALETKTVTQSRQLLRKITLKSRCSRTVKDETSCRVCQTRGQLGTNARNGVANTVRARLETTMNHTPMSEETKVAGALA >OGLUM04G04320.1 pep chromosome:ALNU02000000:4:6527797:6528096:1 gene:OGLUM04G04320 transcript:OGLUM04G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDEEEPEWLIMTSADDEVVDVRTAIKTTKAAKLWSEDNTAVAPCRCSGEKSTGDVTMLRRVPLSIAKTCGGVDHRQRHCGPRGRRNGNPKLDGVDT >OGLUM04G04330.1 pep chromosome:ALNU02000000:4:6529992:6530339:-1 gene:OGLUM04G04330 transcript:OGLUM04G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLSYISKMLCIKIPSEARQASEDGGSGGLTECSVCLSRIRVGEATRRLPCRHAFHRDCVDRWLLSCRRTCPLCRVYVVVDGNKPGVAAKHTGEPPLAEDMVIWFSAMLVPGF >OGLUM04G04340.1 pep chromosome:ALNU02000000:4:6544595:6587252:-1 gene:OGLUM04G04340 transcript:OGLUM04G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEMGIEERMIGGRRKPMTWFPKVLWLKHVGPNLSKLTMRDGLPSWGKPTTLDYKLKAKFASDYTINLTRFNDFNNLQGPTEASQRGQAQKKKAIRGTVRFAGRRAACSVHSKALFYAYYVILLAVAMFGAVEVGVGCWVSASPSDRRRGIGKVVVWASVVAIVVVAGLGFVCLAPNTFGRRHRRARVLGAAAVLPPETHYNVSNIRNISSPYTIQHGIKAGDLVPLSRFRRRCPRE >OGLUM04G04350.1 pep chromosome:ALNU02000000:4:6578146:6586832:1 gene:OGLUM04G04350 transcript:OGLUM04G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G42150) TAIR;Acc:AT5G42150] MRSIRAAQALASRSLLLSSRALHGDAASTAAAAAGGGRLGVQPSPPSQASSSSSSRAMPAGIAGAVSFSLTFATMAAAEAKERPPMDLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSEYKKVPILTVDGEQLQRVRPDDKATNEEEEKWRRWVDEHLVHVLSPNIYRTTSEALESFDYISKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDARASLYDAANTWMEALDGRDFLGGSKPNLADLAVFGVLRPIRYLTAGKDMVEHTQIGDWYHRMEDAIGEPSRIQE >OGLUM04G04360.1 pep chromosome:ALNU02000000:4:6588842:6596546:1 gene:OGLUM04G04360 transcript:OGLUM04G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREERNRELLRVDDKLHLSVFSISISHTYVKTSSAMQTPSPSAAPPPPLLATPPQPPPWTSSISRGAQNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >OGLUM04G04360.2 pep chromosome:ALNU02000000:4:6588842:6596546:1 gene:OGLUM04G04360 transcript:OGLUM04G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >OGLUM04G04360.3 pep chromosome:ALNU02000000:4:6588842:6596546:1 gene:OGLUM04G04360 transcript:OGLUM04G04360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKGCCPHSMHHTICASMSGLNFVKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRHLLIAFGGLAGLEECIEEDRNLKGKGVDDVFNTYLNTCPSQGSRTIRTEEALLISLQYFQDPIRRAG >OGLUM04G04360.4 pep chromosome:ALNU02000000:4:6588842:6596546:1 gene:OGLUM04G04360 transcript:OGLUM04G04360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKFVGLLPPLDAPHHLRKHEWSEFREGVTLDGDRSMGTFVDVGLSKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRKHFSSLSNTSKTPLGELDSKCGNSCKLNN >OGLUM04G04360.5 pep chromosome:ALNU02000000:4:6588842:6596546:1 gene:OGLUM04G04360 transcript:OGLUM04G04360.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Nucleic acid-binding, OB-fold-like (InterPro:IPR016027), Protein of unknown function DUF171 (InterPro:IPR003750); Has 3649 Blast hits to 1964 proteins in 291 species: Archae - 113; Bacteria - 121; Metazoa - 1082; Fungi - /.../lants - 227; Viruses - 4; Other Eukaryotes - 1703 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G19300) TAIR;Acc:AT5G19300] MNSGDAEAAAASKKARCKKEKKKKRKDDCGAAEASAADEEIVHDKKRKKQLQQKKNGDDVAVPNRKTTVSIAVAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSNSSVENSGDDVESGARFLVRILQYLETPQYLRRRLFPMHNNLKGCCPHSMHHTICASMSGLNFVKNVLVEQMLEPGKRVTIAMGTNRDITTACKRKIVSPSSPRDEMELYWGYKVRYASNLGGVFSDSPYKEGYDYIIGTSEHGKIISSSELILPSFRKHFSSLSNTSKTPLGELDSKCGNSCKLNN >OGLUM04G04370.1 pep chromosome:ALNU02000000:4:6606270:6607011:-1 gene:OGLUM04G04370 transcript:OGLUM04G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVDVVSEFCSVPRTRRHLKKRKQFQTVEMKVRIDCEGCERKIKKALEDMKGVSSVEVTAKQNKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDTVAHPYAPGAYDKKAPAGYVRNVVSDPSAAPLARASSTEVRYTAAFSDENPNACSVM >OGLUM04G04380.1 pep chromosome:ALNU02000000:4:6612036:6628076:-1 gene:OGLUM04G04380 transcript:OGLUM04G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHT6] MALLRRLFYRKPPDRLLEIADRVYVFDCCFSTETMEQFEYKNYLDNIVLQLREQFVDSSLMVFNFRDEGKSLVSGLFSLYGITVKDYPCQYLGCPLLPLEMVLHFLRLSERWLMLEGQQNFLLMHCEKGGWPVLAFMLAGLLLYMKQYNGEERTLVMVYKQAPKELLQMLTTLNPQPSHLRYLQYICKMDDELEWPIQPIPFTLDCVILREVPNFDGVGGCRPIVRVYGQDFLTVDKRCNVMLPPSKPRKHARRYKQADNISVKLNVGSCVQGDVVLECLHIDDSLEDERLMFRVMFNTYFIQSHILPLNFENIDVSWDAEQRFTKKFKAEVLFSEFDGESDASTEVASDYDDEVEVGSTDVFFEAVEIFSNLDSQEGQRDAEILSIASTECSPRAELMKTAPFSHFDMEIGLGGSQKNKIDGMVLSLEKSDEKCISAEGDIIQNNITRVVRSSSANTTDGDRDTMNSSCYGGKVDGCIVEKNNSNKEILTDSNEDSGIENVLVKEVIISETNSLKDIQMIKEVIISEVTTSKPVIEVDTIGTELSDVVHNSETITHAEANNEEEVLVTLKQNEGDNLVEECIYYGNGIMIKLEKNRKKEKSIIGSTIGVVPDSTEENARVGLLLSVKPHLDSAGTYHDLNSPLQKIDLLNVSNTNCVEEQTKGMEASISNSYGQPSNLSSLNLQPQGSSFQANGDPTCANTSTDANESTQLELKRKYFLSLSTSSIFSPLSPRRNLLRSTSTDLSFLSPLQTKSNQHSIPCSSGRDDFASSYGPPPNIPCTSLGTSKVSSLVHPSLRPLRTVSSLSQSSFEEYLDISPPSPTFHEKHQQHFNLDPPSLIPPWQLRLAKTKENDIYPCTLSFLPLSPSNKYAHHPPFPPPPPPPHVLCTQNNSCTQISEYEQGRVEGPCPSSSYGQSILNSHDVSLSLPQKGINTLEFSKVAFNFTNTREACIPNIQYSKDIRTNSEDTKLSVMVSNSLSSKIPQHGTPPPPPPPPPRASQCKIKLSILDKDSDSIPLVHSKSSDFPHNELAMSVEQQYPSLRRHEEYSSCIAITNGPSSSNYVEEVPMETILNQPTLSIPLEACKDELLHCKENGGIPIPPPPPPLCDHAKKYTIIPLPPPPPEGSHRILATTSTELIDAGPQLPPLSHLEWKRCPHHPPERPHYLPGEVGGAPSPPSPPPPQRENISVGIQGGIPPLPPPLPPTLGDYGVAPPPPSIGAGAPPPPPPPGAITGVPPPPPVGGLGGHQAPPAPPLPEGIGGVPPPPPVGGLGGPPAPPPPAGFRGGTPPPNAHGGVAPPPPPPRGHGGVGGPPTPPGAPAPPMPPGVPGGPPPPPGGRGLPAPPGGRGVVGHGLTRSLGLNSAATARRSTLKPLHWVKVTRAMQGSLWAEIQKQADANSHSEFDVKELESLFAIAPKTKGGSKSDGASKSLGSKPDKVHLIDLRRANNTEIMLTKIKMPLPDMMSAALALDDSVLDADQLENLIKFCPTKEEMELLKNYTGDKETLGKCEQFFLELMKVPRVESKFRIFAFKIQFQSQIRDVRKNLLTVSSACEELRGSEKLKVIMEKILFLGNKLNQGTPRGQALGFRLDSLLKLTDTRANNSRMTLMHFLCKGLADKSPHLLDFYEEFVNLEAASKGARRSAAVVVSMLTEGKLNALCVTGIPGAIKSVASLHYADLDELNLLGLHAHPPLSATELAASKISRSTTGWCSFVLEFSRPVTSTLLNFVGLFRKAHEENIKQIEADKKKAQKEAEKEANQDRTPVKSKDGLVDRSPRSPFK >OGLUM04G04390.1 pep chromosome:ALNU02000000:4:6653737:6655431:1 gene:OGLUM04G04390 transcript:OGLUM04G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNASVGPCRKDFPLGIEKRHPKATTEKYFQKNKGLLLEQLISSDERASDITKIFSLEELKEATNNFDPTRVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEISQFINEVAILSQINHHHIVKLFGCCLEIEVPLLVYDFVPNGSLNQIIHADKSNRRFSLSWDDCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDSNYTA >OGLUM04G04400.1 pep chromosome:ALNU02000000:4:6767669:6769382:-1 gene:OGLUM04G04400 transcript:OGLUM04G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALLQTWEEEGKEKKGKEGSGGNRGEDGNVMPLRNANRVHLAIGEGNYVVNLWLRPYRYNIVLAVTCLHEGMGLATLSHDMISLSQDCYNMLIRLLSH >OGLUM04G04410.1 pep chromosome:ALNU02000000:4:6833743:6838655:-1 gene:OGLUM04G04410 transcript:OGLUM04G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHU0] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKDLNQVSQAEASSF >OGLUM04G04410.2 pep chromosome:ALNU02000000:4:6833743:6838655:-1 gene:OGLUM04G04410 transcript:OGLUM04G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHU0] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKDLNQVSQAEASSF >OGLUM04G04410.3 pep chromosome:ALNU02000000:4:6833743:6838655:-1 gene:OGLUM04G04410 transcript:OGLUM04G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHU0] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATRVLNMGSTYSFWKTLNKEERQAKQRYLQIFYNVQYRNLAKAVARAGDQQARQTTTGVAPSEIVKAADSDAKDLNQVSQAEASSF >OGLUM04G04410.4 pep chromosome:ALNU02000000:4:6833743:6838655:-1 gene:OGLUM04G04410 transcript:OGLUM04G04410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHU0] MASKLSFKRMDSIAETMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLMEELEKSLDDKVEKEKLVEGFLGYIICSTQEAVVLPPFVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDEKWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGGKPESMKPLLDYLLTLNYRGEKLMINDTIDTVSKLQTALLLAEVFVSGLPKYTPYMKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPTNMEKFFSRVPSIFNIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRAMEEELLQRIKQQGLHVTPKILVLTRLIPDAKGTKCNVELEPVENTKYSHILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLLSNKLCVTQGTIAHALEKTKYEDSDVKWREMDQKYHFSCQFTADMISMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIDELLYSKDDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNKKLRDLVNLVVVAGLLDASQSKDREEIEEINKMHNLMDRYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIIDGVSGFHVNPINGREAGIKIADFFQKCKEDPSYWNKVSTAGLQRIYEWQRLWQEQGINRLGKPQQAWRLKAADSDAKDLNQVSQAEASSF >OGLUM04G04420.1 pep chromosome:ALNU02000000:4:6843084:6845690:-1 gene:OGLUM04G04420 transcript:OGLUM04G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVFWPIPDQHQYSQSPILQRRQAPTERLQPESLPFFGMMMMVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAASHLVGSGGHSYLDVRTEEEFKKGHVENSLNEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >OGLUM04G04420.2 pep chromosome:ALNU02000000:4:6843084:6845378:-1 gene:OGLUM04G04420 transcript:OGLUM04G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAASHLVGSGGHSYLDVRTEEEFKKGHVENSLNEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >OGLUM04G04420.3 pep chromosome:ALNU02000000:4:6843084:6845378:-1 gene:OGLUM04G04420 transcript:OGLUM04G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPAMFVICILAVPLLPALGSEPPSTPVPTVGVTAASHLVGSGGHSYLDEKNTKFIEQVALHYDKEDNIIVGCLSGVRSELASADLIAAGFKNVKNMEGGYMAWVENGLAVNKPLVQEEL >OGLUM04G04430.1 pep chromosome:ALNU02000000:4:6848062:6849743:-1 gene:OGLUM04G04430 transcript:OGLUM04G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMPRRYWTAGPHQRQPLLRKKLRELRHRCQEGSLRDIVFCMRADLLRNLGNTCNSKIRRRNGYISQGRRSMNKRARHADRKTSFGEPTKLPTDTSLLAALDRPCLQSFPVMPVLEGHAVVPHVHRLRTWLSAASGCSLLRDVAVGRVAMRQMNGLKQQYV >OGLUM04G04430.2 pep chromosome:ALNU02000000:4:6848062:6849743:-1 gene:OGLUM04G04430 transcript:OGLUM04G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMPRRYWTAGPHQRQPLLRKKLRELRHRCQEGSLRDIVFCMRADLLRNLGNTCNSKIRRRNGYISQGRRSMNKRARHADRKTSFGEPTKLLLAALDRPCLQSFPVMPVLEGHAVVPHVHRLRTWLSAASGCSLLRDVAVGRVAMRQMNGLKQQYV >OGLUM04G04430.3 pep chromosome:ALNU02000000:4:6848062:6849743:-1 gene:OGLUM04G04430 transcript:OGLUM04G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMPRRYWTAGPHQRQPLLRKKLRELRHRCQEGSLRDIVFCMRADLLRNLGNTCNSKIRRFCTNLNLYYASMFLFQNAGRFNTQIFERRPKLAKQRGMDTSVKEGGSLLAALDRPCLQSFPVMPVLEGHAVVPHVHRLRTWLSAASGCSLLRDVAVGRVAMRQMNGLKQQYV >OGLUM04G04440.1 pep chromosome:ALNU02000000:4:6870030:6872420:1 gene:OGLUM04G04440 transcript:OGLUM04G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLPFFHAHGFRSDQNHGCMIRKLGKGTGGYIAYTPSRRHGRSKEADTLEHKVRDRYDLQYLTVTRGTHPLDFCVLSGIKDGPRIFVQRNYIQSPECHVLSICMCSPFHIFLV >OGLUM04G04440.2 pep chromosome:ALNU02000000:4:6871250:6872420:1 gene:OGLUM04G04440 transcript:OGLUM04G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLPFFHAHGFRSDQNHGCMIRSIDSVVFTMQSAPPSLLPSEFSFDSKLGKGTGGYIAYTPSRRHGRSKEADTLEHKVRDRYDLQYLTVTRGTHPLDFCVLSGIKDGPRIFVQRNYIQSPECHVLSICMCSPFHIFLV >OGLUM04G04440.3 pep chromosome:ALNU02000000:4:6870521:6870934:1 gene:OGLUM04G04440 transcript:OGLUM04G04440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPVHIGASLGAATSPVHAAPPPSPPTPVAVHPFFLGSANGGRDPGWLSALEVWRRVVQLTVAAIQPSGATLAPFLLHHPPLLIAGCDEDLGSADAEVCLNRSSGGIDLDGNVDWATSSAADRAHINAAPL >OGLUM04G04440.4 pep chromosome:ALNU02000000:4:6870030:6872420:1 gene:OGLUM04G04440 transcript:OGLUM04G04440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGGCRILQDTPSPFHVHRGQGHLAQALFPHFDNACWCCVVACVQVLDKQHHERFHSPGITSSFDMFCSLSPVLLSFLPFFHAHGFRSDQNHGCMIRKLGKGTGGYIAYS >OGLUM04G04450.1 pep chromosome:ALNU02000000:4:6870205:6870732:-1 gene:OGLUM04G04450 transcript:OGLUM04G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVLLPTHNWTTRRHTSNADNQPGSRPPFADPKKKGWTATGVGGDGGGAAWTGEVAAPREAPMWTGRVISAASKPALPQTPAAGSRRRTTAVAGSGEGQPRRARRPPLAQAIVVARCWRRPSPSPVVGGSWRDPAACRPAHRGGPSRRPPAADLQSPLNRLSHPQAFIVAADP >OGLUM04G04460.1 pep chromosome:ALNU02000000:4:6871754:6882146:-1 gene:OGLUM04G04460 transcript:OGLUM04G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNEADYGFGEAGYVFTLNDDVNIVASAICLRPPVFKHGIQLMLHCVEEPHAAAKCIATGKASESDRPPTVQFMHFRPD >OGLUM04G04470.1 pep chromosome:ALNU02000000:4:6883125:6884173:1 gene:OGLUM04G04470 transcript:OGLUM04G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLIDACRRTRNLYGLTEAMHVQAMGADRGGGVSRTMAANQRSINGPDAMRAPWMYEYDYCGRVFSKSATAWQRVSTSTHRRSGDDGGHHHRAFLVARRRFQLLITTVSMPMKTMHYFL >OGLUM04G04470.2 pep chromosome:ALNU02000000:4:6883076:6884173:1 gene:OGLUM04G04470 transcript:OGLUM04G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEFLIDGPDAMRAPWMYEYDYCGRVFSKSATAWQRVSTSTHRRSGDDGGHHHRAFLVARRRFQLLITTVSMPMKTMHYFL >OGLUM04G04480.1 pep chromosome:ALNU02000000:4:6890081:6898425:1 gene:OGLUM04G04480 transcript:OGLUM04G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLAKFSPLQIKRILRAASLDREVFDALMLVKRFGSDVREGKRRQFNYIVYRLRGTEHCGNWIHATPSRFPSKTLLAPSCTWAPPPFPLFSFLFLSLHHRRCGRRCRLRRLHRCLREVGPGCACVVVVVEHLVSEPVSHAVSVTEDFCVVHNPN >OGLUM04G04480.2 pep chromosome:ALNU02000000:4:6890081:6898425:1 gene:OGLUM04G04480 transcript:OGLUM04G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVAAAAAPLRRSLLPSLNPSCLFSSLASSSHRLSLPRALRPAGPLPSDVEDSDDSNAGDGAGEALRKSRNDLKREARRAVQWGMDLAKFSPLQIKRILRAASLDREVFDALMLVKRFGSDVREGKRRQFNYIGRLLRGAQPELMDTLIQYSKDGDDNRLLALMSENTFLMEDEEIEDLPCNEEEGDKEHIEIADRWFEGLLSKDISVTNEIYAVHNVEFDRQELRKLVRTVHMVQDNIENEHEEESTMKLLGAKKQLLCFLRSIAKEAYVKS >OGLUM04G04490.1 pep chromosome:ALNU02000000:4:6942737:6944887:-1 gene:OGLUM04G04490 transcript:OGLUM04G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFVKEHLPVMMPLLGEKRTLDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVRWRSCCQTILLRGIRATPARSSSYLTITLPSHNRHHHEDDDCCLRVLGRWTLGMVAPLAIGLEGNKQMHQRELKYEELCGKNELAGSESW >OGLUM04G04490.2 pep chromosome:ALNU02000000:4:6943136:6944887:-1 gene:OGLUM04G04490 transcript:OGLUM04G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFVKEHISNALNLRREEDTVTKANIEAALILLTQDIPYCGDPPCNEATGPVLVAKPFSFGV >OGLUM04G04490.3 pep chromosome:ALNU02000000:4:6943136:6944887:-1 gene:OGLUM04G04490 transcript:OGLUM04G04490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLHISSFVKEHLPVMMPLLGEKRTLDYPTHDKATQAALILLTQDIPYCGDPPCNEATGPVLVAKPFSFGV >OGLUM04G04500.1 pep chromosome:ALNU02000000:4:7016272:7042900:-1 gene:OGLUM04G04500 transcript:OGLUM04G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILFNFSDISLGTSFL >OGLUM04G04500.2 pep chromosome:ALNU02000000:4:7016272:7042900:-1 gene:OGLUM04G04500 transcript:OGLUM04G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVIQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIASFFLEMASEAGALPDPSMGGTIPVASSMGNVQGKEVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILFNFSDISLGTSFL >OGLUM04G04500.3 pep chromosome:ALNU02000000:4:7016272:7042900:-1 gene:OGLUM04G04500 transcript:OGLUM04G04500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIWHQKLAPCQIQAWAAQFLLRVPWAMSKARSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILFNFSDISLGTSFL >OGLUM04G04500.4 pep chromosome:ALNU02000000:4:7016272:7042900:-1 gene:OGLUM04G04500 transcript:OGLUM04G04500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVGHSLVGEDEPTCALSSTAVRIESSYLIDLRALDMNHVKDFAFVHGYIEPVLVILHEQEPTWAGRILSKHHTCMISAFSISMTLKQHPVIWSAANLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIVKNFVLFGDIHKSIYFLSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILFNFSDISLGTSFL >OGLUM04G04500.5 pep chromosome:ALNU02000000:4:7016272:7042900:-1 gene:OGLUM04G04500 transcript:OGLUM04G04500.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 160 [Source:Projected from Arabidopsis thaliana (AT5G51660) TAIR;Acc:AT5G51660] MSYAAYKMMHWPTGVDHCAAGFVTHSPSDAAAFFTAATVGPGPEGDIDSAAAASRPRRLGPSPNLVVAAANVLEVYAVRAETAAEDGGGGTQPSSSSGAVLDGISGARLELVCYYRLHGNIESMTVLSDGAENRRATIALAFKDAKITCLEFDDAIHGLRTSSMHCFEGPEWQHLKRGRESFAWGPVIKADPLGRCGAALAYGLQMIILKAAQVILSLCWSYFMSKSLHGLGNLPHDAYQLLAVPPPISGVLVICANSIHYHSQSTSCSLDLNNFSSHPDGSPEISKSNFQVELDAAKATWLSNDIVMFSSKAGEMLLLTVVYDGRVVQRLDLMKSKASVLSSAVTSIGNSFFFLGSRLGDSLLVQFSYGASKSVLQDLTNERSADIEGDLPFSKRLKRIPSDVLQDVTSVEELSFQNIIAPNSLESAQKISYIVRDALINVGPLKDFSYGLRANADPNAMGNAKQSNYELVCCSGHGKNGSLSVLQQSIRPDLITEVELPSCRGIWTVYYKSYRGQMAEDNEYHAYLIISLENRTMVLETGDDLGEVTETVDYFVQASTIAAGNLFGRRRVYGKGARVLDGSFMTQELNFTTHASESSSSEALGVACASIADPYVLLKMVDGSVQLLIGDYCTCTLSVNAPSIFISSSERIAACTLYRDRGPEPWLRKTRSDAWLSTGIAEAIDGNGTSSHDQSDIYCIICYESGKLEIFEVPSFRCVFSVENFISGEALLVDKFSQLIYEDSTKERYDCTKASLKKEAGDSIRIVELAMHRWSGQFSRPFLFGLLNDGTLLCYHAFSYEASESNVKRVPLSPQGSADHHNASDSRLRNLRFHRVSIDITSREDIPTLGRPRITTFNNVGGYEGLFLSGTRPAWVMVCRQRLRVHPQLCDGPIEAFTVLHNVNCSHGFIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHGTPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQESVHHMDNDVTSTDALHKTYTVDEFEVRILELEKPGGHWETKSTIPMQLFENALTVRIVTLHNTTTKENETLLAIGTAYVLGEDVAARGRVLLFSFTKSENSQNLVTEVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGAELTAVAFYDAPLHVVSLNIWHQKLAPCQIQAWAAQFLLRVPWAMSKARSWKEQGSQLSLLAKDFGSLDCFATEFLIDGSTLSLVASDSDKNVQIFYYAPKMVESWKGQKLLSRAEFHVGAHITKFLRLQMLPTQGLSSEKTNRFALLFGNLDGGIGCIAPIDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRQFHSNGKGHRPGPDNIIDFELLAHYEMLSLDEQLDVAQQIGTTRSQILFNFSDISLGTSFL >OGLUM04G04510.1 pep chromosome:ALNU02000000:4:7061547:7078753:-1 gene:OGLUM04G04510 transcript:OGLUM04G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSVISSRSGADYRSFRQITRDRSYHGQTYSEDYVIFMQDGRCDGRRCFIYLTNGSNLHSCNHTKIQALVLVVTSNPRSAGMYHYGLPCQIEGRNEKGKAKASSDSVVEDLYMRRQPLPLMDAIYFIQPTKENIRIFMSDMSGKIPLYKKAYVFFSSPVQRELVAQIKKDSNVRARIGALSEMNLEYFAIDSQGFTTDHDKALEELFSENAEGSLKYNSCLNMMATRIATVFASMREFPRVHYRVARTIDASTLTTLRDLAPTKLAAGIAPIIHEWTYDAMCHDLLCMDGNKYVQQVPSKSGSGTENKEVLLEDHDPIWLELRHASERLHEKMVNFVSKNKAAQLHQARNIYSGQQMITKVNSGNGGDLSTKELQKMVQALPQYSDQIDKLALHVEIAGKLNSTIKEQQLKDVGQLEQDLVFGDAGTKELINFFRTHLDISRENKLRLLMVYAAINPDKTRSDKGAKLMQLAGLSADDMIAVSNMRCLCGHDSKKSSAGGFTLKFDLRKKRHGIRKERIGEESKWMLSRFYPILEELIEKLSKGELPKDEYHCLNDPSPSFRGIPSASTQTSPAHQPAQSMRSRRTGGTWARPRDSDDGYSSDSVLKHTSSNSRKLGQRLFVFVIGGATRSELCAAHKLSSKLKREIILGSSSLDDPPQFITESMDKTSEEELVVPDSMDAAGEEVLVVTNSMDDEVREEVHVTVDYIDDEASEEGHVVVVAGEVAGEEVHVVADSMDVAAGKEVHGVADPMDDEAGEEVLVVADSMDEDEECPGTGDTNIEVVEVEQKKLHSDH >OGLUM04G04520.1 pep chromosome:ALNU02000000:4:7089676:7091944:1 gene:OGLUM04G04520 transcript:OGLUM04G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMAKPASRAAKPASAPKKQKAKPSAAAGGSSHPPYFEMIKEAITVLKERTGSSAHAIAKYMEEKHGASLPANYKKMLSIQLRGFASKGKLVKVKASYKLSDAAKDSPKAKPAAPAPKAAAPKPAKDAAKPKKDAAAAKPKKAPAAGTKRKAPEKKVVAKPKKSPAAKAKAKPKTVRSPAARKTRKAPAA >OGLUM04G04530.1 pep chromosome:ALNU02000000:4:7097915:7098349:-1 gene:OGLUM04G04530 transcript:OGLUM04G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEPGKVQNSCHNNSQKGPNLISGDLATGGPRVAGIGGAKWRRRPGDGRTSCGGEAEDKRWGSWRASRRRGLVADRRCRGRVAGGKGVWWRGDLPEGGRGDLVRRHDAEEARRDGEVERVARRVDAEEAQCGRGCGGRGGHAR >OGLUM04G04540.1 pep chromosome:ALNU02000000:4:7164767:7168913:1 gene:OGLUM04G04540 transcript:OGLUM04G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIASTGGAHRLAWKDAAALGPAPRLARPWPAAVAAPAPLLRISRGKFALQAITLDDYLPMRSTEVKNRTSTADITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLHTVNTIVYRAEFKNLDKI >OGLUM04G04540.2 pep chromosome:ALNU02000000:4:7164767:7168471:1 gene:OGLUM04G04540 transcript:OGLUM04G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLIASTGGAHRLAWKDAAALGPAPRLARPWPAAVAAPAPLLRISRGKFALQAITLDDYLPMRSTEVKNRTSTADITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIDGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGAKVKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFTNLAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMHDLMYEGENKTLNEKLFPLMKWLFCQPNPIALNTALAQLGVVRPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGENEARVLDDDDFVLVSRY >OGLUM04G04550.1 pep chromosome:ALNU02000000:4:7251404:7252372:1 gene:OGLUM04G04550 transcript:OGLUM04G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRAVELAGACSSASKRSGDDSGVVAEGVYWESAVAVTVAGRRRLVRPGSSPRDMPVPVPVRWLPPKLVLPSCVAIAALSYYLAGARAVEGERLLQYFTQQWTGDACDGGGGAYRRAEKPAATEMAQPARCTTVFIIRPKGNIVSSRHISAKLKSKMEKMANNGPPGQPNSQDMGCGT >OGLUM04G04560.1 pep chromosome:ALNU02000000:4:7252687:7253430:-1 gene:OGLUM04G04560 transcript:OGLUM04G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPVTMNSDAERVLHVTVSNLLYPVMKDLLHRVFYAYGAKKICLHQMETRVEASVQFQSREDAEYARKTFHGHNIYHGRCQMDFQRELPSPVATNSSSAPAKRLSPIIMELKADIEELRVVPKELVTIIQEELVEEKEQSRTRQEVVVEEMHAEQEVAGGRVMSSITAPPTQSALLKEIVPHCLPYPRQPHQQAFKQHLRINVSRGRSICQSWAGVHLTTLFKMARFAAIRLKQRSGS >OGLUM04G04570.1 pep chromosome:ALNU02000000:4:7271507:7277001:1 gene:OGLUM04G04570 transcript:OGLUM04G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMPRQWANIKQRESWKPFWVRGINMVGYSINGLRPVLFSSQADDDDGGARAGVAGPDAGPHRRLSCLCHIAVAPFPAPALEILINGEHNALLLAIPLDGIGYFPPHPPPDPQEQEAAAPPPPPAEPTVPPRHRGHLHLFKKPLHRALSGLAATHGSVLLLHFGSRAVLHVTDPAVAEECLTDHDVTFANRPRLPSSCHLSNGYTTLGSSSYGPNWRNLRRIATVEVFSAHRLLRSADVRGGEVPHMARWLYLAAPAAGPSEPARADVKARAFELALNVVALMVAGKQYYGGEGDAEAETEEAARFREMVREYFAMHGASNLQDFVLLLGLVDIGGAKRRAVKLSRERNTWAQRLIDEHRATATAAAATEARTMVGDLLKMQASEPEAYSDKVITALCLERALEYLSFVGTGRVVEEADLPNLPYLQCIIRENLRLYPVGQLLAPHESSADCSVSVAGGGRYAVPAGTMLLVNVHAMHRDARIWGPDPESFSPERFEGGRSEGKWMLPFGMGRRRCPGEGLAVKVVGLALATLVQCFDWRRVGDEEVDMTEGSG >OGLUM04G04580.1 pep chromosome:ALNU02000000:4:7277875:7306320:-1 gene:OGLUM04G04580 transcript:OGLUM04G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREREPSDGPLFPSLFLSHVHLSLPLSLTRTTVGAGGAAAAHTHTDEGRSSMMYPNMEDYKEQTRLSPHVVYLYNPWSTQQTKHLHEHGKNHSLPRPLQEARTKPQYVHRCTNIARKKPPLTLQKRSKNLARTKPTNVASAAADAASPRRLSR >OGLUM04G04590.1 pep chromosome:ALNU02000000:4:7306277:7309361:1 gene:OGLUM04G04590 transcript:OGLUM04G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSTITENNCCQGRRLAVISDYGIAAVLSSQLTQMIVGSSPSASSYCMSLTLPPTASQPGSPIKIVNMHNISGEARAKWRGVRDFATSISIYITSSYIFDRTVD >OGLUM04G04590.2 pep chromosome:ALNU02000000:4:7306277:7309361:1 gene:OGLUM04G04590 transcript:OGLUM04G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSSPSASSYCMSLTLPPTASQPGSPIKIVNMHNISGEARAKWRGVRDFATSISIYITSSYIFDRTVD >OGLUM04G04590.3 pep chromosome:ALNU02000000:4:7306277:7309273:1 gene:OGLUM04G04590 transcript:OGLUM04G04590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSSPSASSYYCKYAQHQWRS >OGLUM04G04600.1 pep chromosome:ALNU02000000:4:7306331:7306654:-1 gene:OGLUM04G04600 transcript:OGLUM04G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRVVEAARDGHSEVSDGGDGWLWRRRGCPAMPVEAEAEETRGDERARGDAAGDRIGGGGRCRLRRRARRRKGEAHGGEGAREREEIAALMVADAEKARGEEMRR >OGLUM04G04610.1 pep chromosome:ALNU02000000:4:7312591:7313929:1 gene:OGLUM04G04610 transcript:OGLUM04G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPEELHYPQYFLPPGLQIEEHLCRASRADVTVQNPPTKRHEDFLAPPEGNIQDLIHQVVHIINHQYHFQVVRTMRFLLTFCLVLLTSEVRRDALLAGDPMVLNNGDFNARFKEGWIIILGVPPDFRNESHIERVVNTFGKLVCWDHRDRVLGRVLARCLYTDPSAIPRKIDENNALEVQIEQIQHHQQADVHEEMQHDSTSISDFSMHDTASVNNMGHQIQLIQNNLQIITYQALVPCFPFKSLPSEVCTSMAENVFLPLIISWNSPLLKQPIEYHWWLGFKPCLHVQIPRRV >OGLUM04G04620.1 pep chromosome:ALNU02000000:4:7380359:7380745:-1 gene:OGLUM04G04620 transcript:OGLUM04G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDKKEAASKYRGVRRRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGAMAVLNFPGRTSSTGSSSSSSSTPPAPVTTSRHRADTTEKVELVYLDDKVLDELLAEDYSYRNNNNY >OGLUM04G04630.1 pep chromosome:ALNU02000000:4:7432506:7436888:1 gene:OGLUM04G04630 transcript:OGLUM04G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVANDVATTQKKAPHFSLSSTSSLPSSCCSSTAAKSKQPHRGGEWNGAEPSPRPTRVAAAAGEQVWSGGDAAVESRSRVRIESKGVSSVKKRGGMAMTGYKYQAQELMRDYLLADPLVPYTSVLVGIALCKMAYDLTRVLSSFYFKGYSSLTKIQRVEWNNRGMSSAHAIFITAISLYLVMSTDLFSDRLKGPITFRNSIISTFALGVSVGYFIADLAMIFWLYPSLGGMEYIVHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARILLFIYVFYHIYLHYSQVMQMHAFGYYLTFIVPSVLFVMNTMWFMKILKGVKKTLGKWS >OGLUM04G04640.1 pep chromosome:ALNU02000000:4:7436085:7442566:-1 gene:OGLUM04G04640 transcript:OGLUM04G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANAGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNSSTVVALAGNKADLLETRQVQIEEAKTYAQENGLFFMETSAKTATNVNDIFYEIAKRLLQGQPAQNPQAGMVLSQRPNERLELSENR >OGLUM04G04650.1 pep chromosome:ALNU02000000:4:7437602:7439065:1 gene:OGLUM04G04650 transcript:OGLUM04G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZHY4] MHTTNCAPACCDANADTPPLHLIFVPFLSRSHFGPVAAMAAEADACHRAGRTAATIVTTRHFAAMAPASVPVREAQFGFPGGHNDFSLLPGEVSAAAFFAAAEEALAPALGAAVRGLLREGGSTATVTVVSDAVLHWAPRVARECGVLHVTFHTIGAFAAAAMVVIHGHLHLREAMPDPFGVDEGFPLPVKLRGVQVNEEALVHLPLFRAAEAESFAVVFNSFAALEADFAEYYRSLDGSPKKVFLVGPARAAVSKLSNGIAADGVDRDPILQWLDGQPARSVLYACFGSTCGMGASQLTELAAGLRASGRPFLWVIPTTAVEVTEQEERASSHGMVVAGRWAPQADILAHRAVGGFLSHCGWNSILDAISAGVPLATWPLRAEQFLNEVFLVDVLHVGVRVREAAGNAAMEAVVPAEAVARAVGRLMGDDDAAARRARVDELGVAARTAVSDGGSSCGDWAELINQLKALQLTSSRDRRTDAVTRD >OGLUM04G04660.1 pep chromosome:ALNU02000000:4:7447529:7456307:-1 gene:OGLUM04G04660 transcript:OGLUM04G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCARGEELAMHLKSKSTAALWPASVYTREITVKEEENKARELPCATGQYALRPVVAAIDATATATAHSREMMVVVVAMAGRWS >OGLUM04G04670.1 pep chromosome:ALNU02000000:4:7456353:7457461:-1 gene:OGLUM04G04670 transcript:OGLUM04G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADVLLGQEEDEEAMNAAMAASTAGSAMAAAAREYRRGNWTLPETMLLVEAKKRVSDGRRPAADQGLARWRWVEDYCWRRGCRRSQNQCNDRWDNLMRDYKKVRAHELAAGGGGGPAESYWVMGRTERKEKGLPANLLREIYDAMGEVVERRPMSSGGGGGGAVFLAGASSSGSGGLADVPAMAMQASPLAQLLPRPLEATANCSSGSPERKRRRPSLDNEPPGGSTPPATTGRQGHQEHDDDDDEYAHHGADESSDDDGGLGGAIGRCAAILSVALENREASEERRHREVVAAEERRGRARQARREAGEQCMAGLAAAVSQLAGSMLALAAKRRGPAAPK >OGLUM04G04680.1 pep chromosome:ALNU02000000:4:7457613:7463318:-1 gene:OGLUM04G04680 transcript:OGLUM04G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGELRRGGCRARVLGGGDGGGDGETGPAENACATLPEDTRELLVLEWARLGCHGCQLYRPPIAKASTLAMLDDSISAVHLLLMHV >OGLUM04G04690.1 pep chromosome:ALNU02000000:4:7462998:7471227:1 gene:OGLUM04G04690 transcript:OGLUM04G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWAGELLPLYLGLILSILNSATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESSSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >OGLUM04G04690.2 pep chromosome:ALNU02000000:4:7462998:7471227:1 gene:OGLUM04G04690 transcript:OGLUM04G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGSSPRARETESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKIRRNETAIKGTIIFDASSTITLSPIDIGTPQKKDYFLCAETPGAAKAWVSTLLATQLVLQAHKEAVNSLAGNGSPATLGTVATAVANANATALEATKEIEAAMKVSMRAALGLGANNPKEGQLDDLTIMKETLRVKDEELQNLAKDIRARDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCSEIERLRQAMERQMEQSMLKLRQSEEKVISLSKEKDQLLKERDAALQEAHMWRTELGKAREQAVIQEATIARADEKVRASEADAAARIKEAAEKLHAVEKEKEELLSLVGILQSQVQREQSSTKQVCEERSESSSGTDNSPPLTKHVDASDDDVDKACVSDSRSVLVSNDNTEVQLAVDGVDIRPIGDAEWGSFQQSEALIADVREVSPESEGGSLDIPVVNPPPVSDHIQGGATHP >OGLUM04G04700.1 pep chromosome:ALNU02000000:4:7467449:7473696:-1 gene:OGLUM04G04700 transcript:OGLUM04G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRLPGAAGIDPIAEEPPHSAAAAGDGGDAAGLACAISAEASAVLAAGAEAAAEEAVLMRMLQALLACLRAPAAPALGDQHVCTAVNTCFRVVHQAGAKGELLQRFSRHAMHELIRCVFARLPQIGSGDGPDGSVKPEMGGMDKNHPFGIGQMENGNGSYASEAVTSDENSADGSGIVVEPYGIPCMVEIFHFLCSLLNVVEQIGVDEDLPLFALKLINSAIELGGSSIRKHPKLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCIILRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNIFEELANLLSKSAFPINCPLSSMHILALEGLISVIQGMADRIGNATSRPELLPVELDEYTPFWTVKCENFSDPQHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLAYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICRNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSLYIVGDSQPFLDHDMFAIMSGPTIAAIAVVFDHSEHEEVLLACVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSEVSAETVQGKPTPSSISTSHIPVMGTPRKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCENITQEVARLVKANAGHVKSQMGWRTVVLLLSITARHPDASEVGFEAIIYIMSEGAHLSLSNYAFCIEASRQFAESRVGLIDRSIRALDLMADSANSLARWSQETKGTGEETDKVLEAIREMWLKLLQALKKLSLDQREEVRNHALTSLQRCLTATEGVCLQSSTWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQELIPELLKNILIAMKNRGILAKRSTIGGDSLWELTWLHANNISTSLQSDVFPSQEYEQHSSAGSPRGPNGVESRD >OGLUM04G04710.1 pep chromosome:ALNU02000000:4:7478869:7481645:-1 gene:OGLUM04G04710 transcript:OGLUM04G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G56210) TAIR;Acc:AT3G56210] MRTLQRRLSQLVLRRLLPPPPPPAARRPAPVAAEAVSGGGATALLRRGGGSGVAAGGWSGGGSGLRLARRLCTYDERDDRALEEEAEKKFGWILKIFFIGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLARFAVDDARRMKVVEMGGAQELLNVLEDAKDDKTRKQILKALHALSKSEEAAGFLDKAGAYVIVSSTPNSLEYAEIETYKTSLLKAFDELKS >OGLUM04G04720.1 pep chromosome:ALNU02000000:4:7484709:7485056:-1 gene:OGLUM04G04720 transcript:OGLUM04G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESVKCECCGLREDCTQDYIASVRASFYGQWLCGLCCEAVRDEAGRKKAHPGVEEAVRAHMAFCRMFRSNPAVRVADGMRQMLRRRSGDMSKPDTSKKYSTVQVVDESSVSLY >OGLUM04G04730.1 pep chromosome:ALNU02000000:4:7565263:7568740:1 gene:OGLUM04G04730 transcript:OGLUM04G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGGLSYSYHAQGFRGGDMLRNRSRRSVGAKQGGVAAMAQPEPPPPSSQSSSSSSSSSPVFPSPRPFMALPHPPQAGFLDGAAEGPSSSMSPTSILETKQFCCSSAMPPFLSERSLRKAHVEMAAAPPEPAGVGLADVLREHHGGGKAGGGKVVFGSQLRIQVPTGRAVELVSSPIEFGVKNRDAHLAAMSPARRFLPEVVSSPSARVFAAAVVSPGEMAMSEDYTCVISRGPNPRTTHIFDDCIVESCGDVLVEKVAGGGGDGDGDAVRTNGFLNSCYACNKQLGHGNDIFIYRGDKAFCSSECRYQEMLFDEAVDNLR >OGLUM04G04740.1 pep chromosome:ALNU02000000:4:7569748:7570625:-1 gene:OGLUM04G04740 transcript:OGLUM04G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVDVDVQQRKDGSPPAVAVTLRPLGLADADDFMAWASDERVMRFLRRPLCATREQAVAQIRDTVLGHPWFRAICVDDGRRPVGQVSVWPYADEGGHRANLGYALSHGLWGRGIATAAITMRLEAVTDVENARSQRVLEKARFRKEGVLRRYIVRRSGEVMDAVIYSFLASDRRPSMARREEKRPL >OGLUM04G04750.1 pep chromosome:ALNU02000000:4:7570652:7571871:-1 gene:OGLUM04G04750 transcript:OGLUM04G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGLNQRAAPGMVVGVRTGHCIGHQRLSGGDEITGNEDSQLNDECQASSGRRTQLKILVEGTACRNSLKQALMNFEP >OGLUM04G04760.1 pep chromosome:ALNU02000000:4:7582415:7583251:-1 gene:OGLUM04G04760 transcript:OGLUM04G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAAEVTLREFTEADAEALFAWASDPRVVRFQRRDAYSHVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVKPADDLPLPEPDESGTGDDLRSRCCRASVGYRVAHAHWGRGVATRAVRAAADAVLAEWPWLERLEAVADVENPASQRVLEKAGFAREGVLRR >OGLUM04G04770.1 pep chromosome:ALNU02000000:4:7583546:7584529:1 gene:OGLUM04G04770 transcript:OGLUM04G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLANT HOMOLOGOUS TO PARAFIBROMIN [Source:Projected from Arabidopsis thaliana (AT3G22590) TAIR;Acc:AT3G22590] MDPLAVLRDYAARGDLDKIIFSGDEVLFGSEYTFPANAPTAFTNKQSGRPYPLSAAVFLAQHNDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRHGHHSLPTDPLLPSALPSFAPETQPAMPEEPEGDKASGAYIRALERTLKDRNALLDARGRDFLAVLQNATRREEERRRNKDSAPSSARHEPSSAAAAAAMAKPKVERSFGDGFVPIILVPSASQTLITIYNVREFLEDGVFVPSDERMRAMKGSGKPECVMVQKKLIRGERAGAGGGATTFEVRDKPASLKADDWARVVAVFVLGKEWQFKDWPFKDHVEIFNKGKDFMLFH >OGLUM04G04780.1 pep chromosome:ALNU02000000:4:7587057:7587858:-1 gene:OGLUM04G04780 transcript:OGLUM04G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGHGVGLALAVVVAAAAMAKLCAAQTTMTTASVQPTALAMPSCPAAPLSLSPCIGYAFGVGSATLSSCCSELRAFFRSQGPCLCAASRLAAAGPFGLFLGQAQAIVPNVCNLPSNPCDDVAAKSSEPASATPAALAPAAAPDTPAMTPSAAPAEPEASEAPPVPADDSPAATVTAPGDAGSSTGSQVASKLPELLHSAGVRNSRNMAAGAVITLFLAYVSAMYV >OGLUM04G04790.1 pep chromosome:ALNU02000000:4:7590864:7591647:-1 gene:OGLUM04G04790 transcript:OGLUM04G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGTSFTASWQLGLAVVIAAIMASSAQPQQQQQQPPQPPGQPANAPSCPPVQASLSPCVSYFIGNSSTPSDACCEQMRAMFQSQAPCLCAAMASAPSPLAPVLGGVQSLLPTACNLPPNACADATGSTTSGSAPAGGSSATPSTGATAAAPAMEPAGTDQAMTAGGGSKSVPGMPYSAAAGVHGGGASAAVAVLISSMLAYACMI >OGLUM04G04800.1 pep chromosome:ALNU02000000:4:7592399:7595586:-1 gene:OGLUM04G04800 transcript:OGLUM04G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRACGRGKDDAPTRRCRCSLAVAYALGASKQGEVAVAAPNHIEARTRGGGGSPARLQESKQRRRQLQCPVGGGNRSTPVSRGRHSRRGDGSPTNRRGGDGVRARRVKARGGGGHRAPTHRGTDAREKTADQTDNGTSIAVCLIHHRSISNSNGTNNSKKHDDQLSATPTTCSLTRLPPRSLAAARCVCAAWRATIDDRRLLRTDLLPLSLGGIFIRFDDLRFPEFFSRPSTPTTPAISGKLDYMPNKYALYAVKDHCNGLLLLYTHVVNPATRRCVTLPLLPPPRAAGNNYIVFDPTVSPHYEVIRIPYRVCNMRLDPIIRESEWPPSPFLLKVFSSVTKQWEDRLFVREGEAAGTIGDLAKLYSRQNHAVYWHGALYVSNCNYVTRLSLTDGKYKVIKNPQDIDISKCLKFYLGKSEKGVYLASLEQELDLQLSVWILNESCAKAKWVLKHRNNLKPLLSRWGYHQVNGPWILQDVNYDLYRKNFGGPWFYNVTYDVLLLEGNNEVPVEDKYEWYSDNDDVDHDTQDGVEEQSHVSISLLGFHPYREIVFLSLSCERGVAYHLNSSKMQDLGSIFPQNFNQISEVGAGIEASFPYTPCWIGEFPEISSEDHLYRN >OGLUM04G04810.1 pep chromosome:ALNU02000000:4:7620658:7621183:-1 gene:OGLUM04G04810 transcript:OGLUM04G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAAGSDTTSQLLLPDNVLANILGRLPPRSLAAARCVCADWRAVIDDRRLLRTDLLPLSQYIVRDHCNGLLLVGFGVINPATQQWSPLPSLSDKFTEYYGFGGKNYLVFHPTISPHYEVINIPRLFAT >OGLUM04G04820.1 pep chromosome:ALNU02000000:4:7625416:7627843:1 gene:OGLUM04G04820 transcript:OGLUM04G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSHLAVLLGLLAFAAGVPAAAAATAVEGAQAATAEASCEPSILATQVSLFCAPDMPTAQCCEPVVASVDLGGGVPCLCRVAAEPQLIISGLNATHLLTLYAACGGLRPGGARLAAACEGPAPPASIVTAPPPPVAFRRKPPAREAPPPPPAAEKLSPPPQQHDDSDHNKRVGPLPRGSPPPYAQSVPVGPAAAPPPPRSGASSSLQAPLAATTTIVAITLIAAAQY >OGLUM04G04830.1 pep chromosome:ALNU02000000:4:7628932:7631083:1 gene:OGLUM04G04830 transcript:OGLUM04G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARATILLLLAAVLFAAAAAASGEDRRRETSLRRCLQRCEQDRPPYERARCVQECKDQQQQQQERRREHGGHDDDRRDRDRRGEGSSEEEDEGRERGSRRRPYVFGRRSFRQVVRSDQGSVRLLPPFHQASSLLRGIKNYRVAVLEANPRSFVMPTHTDAHCICYVAQGEGVVAIIENGEKWSYAIRQGDVFVAPAGTINYLANTDGRRKLIISEEKLEKLLGKQDKGVIIRASEEQVRELRRHASEGGHGPHWPLPPFGESSRGPFNILEQRPRFANRHGRLYEADARSFHDLAEHDIRVAVVNITAGSMNAPFYNTRSVKVAYVLDGEGEAEIVCPHLSRGGRGGESEERRRERGKGKWREEEEEEEQQKGQEEEEEEQVGQGYETIRARLSRGTVFVVPSGHPIVVTSSRDSTLQIVCFDVHANNNERMYLAGMNSVLKKLDPQAKELAFAASAREVDELLNAQQESAFLAGPEKSGRRGEESEDEDRRRRRSHRGRGDEAVETLLRMAAAAV >OGLUM04G04840.1 pep chromosome:ALNU02000000:4:7632767:7633843:-1 gene:OGLUM04G04840 transcript:OGLUM04G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAASLDSQITGLYTAFSLRRFRYRAKPNFAALLTFSSPRIHQMNFISPKDSSNWNIVSQQILKEMLSNCKISVAWFMLAKK >OGLUM04G04850.1 pep chromosome:ALNU02000000:4:7633856:7636829:-1 gene:OGLUM04G04850 transcript:OGLUM04G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPCGYGAPLCYDDNKRQLINTRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRAGKKGGFIFLPHTNTPTILTDTQATICVRMRSEEHLHGVTGFYGMGEA >OGLUM04G04850.2 pep chromosome:ALNU02000000:4:7633856:7636829:-1 gene:OGLUM04G04850 transcript:OGLUM04G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSIKTKKLSWSKTFVRKWFNIKTKAKDFHSDYAVEEETAIACLRPTERILFWRGGAKNLFLIISVGVQWRTSFSERDVCKSKKSRTERLPRKSVDRDSRVGNGFDRAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGLQEIVPLNAGNVLLTEDNGPAKKWVALVRKTLNNIDQGSVVYNYHTPSPVPDPIVELNVDFERSSRRPRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRYGGSSDDENIDEESPSGIYISPMPCGYGAPLCYDDNKRQLINTRYCLVASKQMVGVFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDELRRNADVVEILRKTRFPHVHGVGDEKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRYGRAGKKGGFIFLPHTNTPTILTDTQATICVRMRSEEHLHGVTGFYGMGEA >OGLUM04G04860.1 pep chromosome:ALNU02000000:4:7636932:7640956:-1 gene:OGLUM04G04860 transcript:OGLUM04G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAKGGKDRSVPVWCVRVYFGGHCRVGPKTPVTGPRVSCASDRRSTELAWNLPSKGKKFSGIGRNRILFFPLSFH >OGLUM04G04870.1 pep chromosome:ALNU02000000:4:7665931:7668170:1 gene:OGLUM04G04870 transcript:OGLUM04G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAILRLLPLLLLAAAANAATFTITNKCQITVWAAAVPSGGGQQLDPGQQWVIDVPAGTTGGRVWARTGCSFDGSGNGRCQTGDCGGVLRCAAYGQPPNTLAEFALNQFSNLDFFDISLIDGFNVPMDFLPAGDGAGCAKGGPRCEADVAGQCPSELRAPGGCNNACTVFKQDQYCCTGSAANNCGPTNYSQFFKGLCPDAYSYPKDDQTSTFTCPAGTNYQLNLSNRNTNTSPIMVSLANSSVHLLLLVVVLAAAADAVTFTIVNKCGYTVWPAALPSGDGNQLDPGQSWAVYVPAGTKGARVWGRTGCGFISGGSLGQCQTGDCGGTLRCAAVGAPPVTVAEFSLGQASKDDYFDISLVDGFNAPMAIVPAPAGGRRCPRGGPRCAAEITLQCPGELRAKAGCSNPCRGNSTCGPTKDTEFFKKLCPETVTYARDGQGTTFTCPAGTDYQIVFCP >OGLUM04G04880.1 pep chromosome:ALNU02000000:4:7675452:7675700:-1 gene:OGLUM04G04880 transcript:OGLUM04G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDELDDMICSSPDRTWEPSRVVTGNAIGIAAATVTAPVVVVAGVGGVTFHRCISQSSRRSPRRKRRWRTPTCPGQYS >OGLUM04G04890.1 pep chromosome:ALNU02000000:4:7675850:7678073:1 gene:OGLUM04G04890 transcript:OGLUM04G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYTSTQKLNAANSSSTAGNNNCFGESAEATTPLSLPAVLDLMPSCPGGAARIKQRRGNDEREKLFGVSIGRKRTRHDGGGAGGEDEHAAVVKTEPMDGRPPAAALALAARRGRERKGAE >OGLUM04G04900.1 pep chromosome:ALNU02000000:4:7683052:7686433:-1 gene:OGLUM04G04900 transcript:OGLUM04G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGPGSKVPDSRTRRAASDEDQERPSLPPSLEPGMSTMPPSSSAPCGSPACGGTSMEEPSREELPAPSSRASPSQSLPAVTEAPPTYWFARPRRADSMTMSYDPQATESKQSELDLPLSTPQSQFNDSSIASEEKQWEVAQDQQDSDSSADSSPLREPQAPLVPILRTPSGEVVYGITDDPVAAQAYHWAYRKYEEKLDGTEINQCTGIVVEWDDVKKSAIILTSAWIICTKKPFDDWSYKDYAPEAKVIVRIPDDTTSDCRLLYFSKHFDIAFFETMGELTLPIVPLKPDLEYGQNLCVLARDNKTDLICTTVRVKYVDPYEYQHNHYLFIDGSIPKCGTGGALADFSGNIVGMLFCTLPIVAFIPSSLILTCMRLWRNFGQLVRPQLGLKLRTVDFLEMAHIELLSRKYNIASGLIVREVFSQCAAEKHGIRVGDVILSCQGENISNVTQLEDILLGVGERHLEKGNDSGSKVDVEVGVFHVRKCSRRLVTLTVELSDGIEVFH >OGLUM04G04910.1 pep chromosome:ALNU02000000:4:7687851:7688273:-1 gene:OGLUM04G04910 transcript:OGLUM04G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVASSLLLWSLLRCPPPQAGDADLAVRDDLGTAAELRVVRRRHALLVPHDRRRRSLRCHLLHSAVSILTRPTFSPKNRWPSLDTRTSFRWAQMQRWHVPLSFAYVGWQGRMPPHRTVKALSRNGCYGGAEVTAAGKA >OGLUM04G04920.1 pep chromosome:ALNU02000000:4:7709812:7710660:-1 gene:OGLUM04G04920 transcript:OGLUM04G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRFSHIAHQLVHAEKRESIFFVKMVRVPHCHAKIVEHLQNKFHMKPSEAEGTIKEPFFATGSMIYQDENFGYILTCAHILEDFYSANIVLSKEQANRWFKFLILCKHNEDHMKTIHPDLYESERDKRHYTVATVLKIDQRKDLMLLQFNLSTLYATQYAQRCRLPHQSLKLAENPSSAPNDVVMISWPPNRPDTVVIGQVSNQCRLFNQLTTERDKGYNMQFIELKINGEKGASGSPILNHAGDILAVYHGRIEGKGYAISHDDIYEFLYTRKILSQNLR >OGLUM04G04930.1 pep chromosome:ALNU02000000:4:7717692:7718501:-1 gene:OGLUM04G04930 transcript:OGLUM04G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLKKNKVEYARGFWKFLYPSEVPVDLHEIPKKLVIVGAGYIGLETVLSGTGQVASFGKIEEQVKASGVAYQVGKSSLLAHRCSKAIDDAEELVKVMAEKQ >OGLUM04G04940.1 pep chromosome:ALNU02000000:4:7734338:7739622:-1 gene:OGLUM04G04940 transcript:OGLUM04G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKKKKRGKRNKRGQGGSEDKSQEKQEVAQEHLGSVSSSYSSPLREPQAPLVPMWTSPSGEVVYGITDDPTAAEAYHWAFHEYKNKRARQELLPTVRSSISAAIEHYNPEQKKTLLNASKSIGTGIIIECDEVKNSAIILTSAWLICIKKPFDDWSHKDYAPEAKVTVHMLDDTISVCRLLYFSKHFDIALFETVGGLTIPIMPLKSDLEYGQDFCVLTRDINIDLICTTVKVKYLDPYEHQHNHYMFIGGSIPKCGTGGALADFSGNTVGMLFCTLPMVAFLPSSLILTCLRLWKKFGQIVRPQLGLKFKTVDFQEMTLIELLSRKYNITSGLIVGEVSAECAAEKLGIRVGDIILSLQGESISSIIQLENILLSIGERYLEEGNDSSSKVDIKVNFRVLNEYT >OGLUM04G04950.1 pep chromosome:ALNU02000000:4:7765662:7766073:-1 gene:OGLUM04G04950 transcript:OGLUM04G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRVAADGEDYGQAGGACVLNRKRKPPSPPRSLRGARAARACACRRRIVAVLLHTPRRCDLRYVSRCGAAGPNPRKEGCKLHVRICGLFPKARCNGFRK >OGLUM04G04960.1 pep chromosome:ALNU02000000:4:7770034:7770735:1 gene:OGLUM04G04960 transcript:OGLUM04G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATSSTLALFLLVAVSIADAATFTITNRCQYTVWPAAVPSGGGTKLDPGQTWTINVPAGTTGGRVWARTGCGFDGSGNGQCQTGDCGGKLRCTAYGAAPNTLAEFALNQWNNLDFFDISLIDGFNVPMAFLPAAGSGAGCPKGGPRCATAITPQCPSELRAPGGCNNACTVFRQDRYCCTGSAANSCGPTNYSEFFKRLCPDAYSYPKDDASSTYTCTAGTNYQVVFCP >OGLUM04G04970.1 pep chromosome:ALNU02000000:4:7773194:7773442:-1 gene:OGLUM04G04970 transcript:OGLUM04G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEYHMYIPCLLHPWTRWRGMRQGEANGDGWAGELANENMRSCRCRCNDLLTCAPASDLPVDEGDRDDAASELYGEGLRNH >OGLUM04G04980.1 pep chromosome:ALNU02000000:4:7780069:7782061:-1 gene:OGLUM04G04980 transcript:OGLUM04G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGVTVAVKRMCDMNRVEFEEHIQMLGDLRHPNVLSPGGYHYRREEKLIVSEFMPRGSLLYVLHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGANFDAIHSCCRGRLTPLAV >OGLUM04G04990.1 pep chromosome:ALNU02000000:4:7785391:7786665:-1 gene:OGLUM04G04990 transcript:OGLUM04G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKERPAGRLGRLLAALRPARAGPLPVQTGFPTSLADLVVKNHGRLKKPSASASRRKKRGAAEASPSPSPSPPPQPSSPLPPPPSPPPAAAGSVSPPTQPRPRPKLPPVEDVLRRQPKGRVFGLGLGFVSLVGVVSLALLVIWSKKVVAAVTVASFSLFLLESVRSSALSRRPRRPAATNKLDLDGRGYVSPIREVEPARASFSDSSRRSEFSILTNEERSEVGDDSIVAIEERIAAGGDDSSNAKVKTKKRSWRKLIPRKLQKGRKGKEAEDSSGSFRSSEGSRGDATATDSSDSRRGMRTKAADAVVARSMDSSPSFRGNGGETDAEADSNAVRVEIDAPADVLAGDGDVGGARSSVALLVVAVVLVGLVAGKLPAVVFTVLCGVFISSVQRLPAGGDGNGDRSFTWWFRIKPKDVVVN >OGLUM04G05000.1 pep chromosome:ALNU02000000:4:7795879:7799756:-1 gene:OGLUM04G05000 transcript:OGLUM04G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI21] MPKPPSSACRXAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGRMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDDYDEEDDAAAAAADEA >OGLUM04G05010.1 pep chromosome:ALNU02000000:4:7835861:7836355:1 gene:OGLUM04G05010 transcript:OGLUM04G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPPIAAPGIEFTAPPPGRDHTQDCSLTYAQWKEVDASTRHRLSLDARAALGYTTQRIFARFMAITKLTLRYAQGSGTDSLSDDGARHVVTALPSEWLARLKLRGLRQLSDDGFASLAGATPVIRKLSIASISFGPKAFVAVLRSCPLLEDLSVKRLRGLL >OGLUM04G05020.1 pep chromosome:ALNU02000000:4:7842698:7843099:1 gene:OGLUM04G05020 transcript:OGLUM04G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATVRDDEDCGGSERGEAGTTAASSPISLAPPTSFPRSLASRAHHPLFACPHRLQRRDPPLLPQLVRWPPSSSPMVRPGRAPVEAVAAEEAVVGSGASFGQAQRRHHRQWRLRPRAASVDGWRKADGAEDDG >OGLUM04G05030.1 pep chromosome:ALNU02000000:4:7844180:7872476:-1 gene:OGLUM04G05030 transcript:OGLUM04G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKVGGMDTRGGKVGGVAPAATRAEARSSAAINDIHRAAHVYSKYDHTVHSKVGRALLAVLAIPLISFQDSYHETKPDDIATDTAIKADKKIVTVAQILQSNVSIEDGA >OGLUM04G05040.1 pep chromosome:ALNU02000000:4:7899484:7899822:1 gene:OGLUM04G05040 transcript:OGLUM04G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLQGRWEKRQWPRQPPRLAGKAAAAMVAASVNVAPSRQVRGRGGCQESGGGSGKRGKTTGGSCKAGFDEASAIRCGPSSTLPPEVMWTLARRATPPPSQSRPLLLAAD >OGLUM04G05050.1 pep chromosome:ALNU02000000:4:7903197:7911949:-1 gene:OGLUM04G05050 transcript:OGLUM04G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRKSGGGSGGASPCCENGEDEVPRGHVPMVAGGGGDCGDGGGERVMVPVRLLGDPSIAELLDMAAQQYGFGQPGVLRVPCDAGHFRRVVESKSGGGGASPSRDNGGEDDQVPRGHVPMVAGCGGGDGAGGERVMVPVRLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDAGHFRRVVERALRKDGGRAKLGAKTLICTRLPTTLEEDLAFW >OGLUM04G05060.1 pep chromosome:ALNU02000000:4:7923436:7926600:1 gene:OGLUM04G05060 transcript:OGLUM04G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGALGYMARAADGGRAAELVTRDFLGGCVAADDARDAAAAAAAATARHDSVSGKLSLQKQTCPATPRDLNLFPVPGAAASAAKPCPSSTAAAASGAGGTTTTTTTTTYHSVCTIEKVKTALERFERGKHQHHQHQQHSAGASPSSSSVTTSSVKRRGGGGVGDGAVEQGDGCDSPSAAGGGGMVAAACPRCFLYVLISRSDPRCPRCESHVPPPPSPAPKKKPRIDLNVGFLGT >OGLUM04G05070.1 pep chromosome:ALNU02000000:4:7929302:7930173:-1 gene:OGLUM04G05070 transcript:OGLUM04G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLPLSSPLVSVVSEASGNGRAAGERCGWSVQQPGGGSERGLRVERRRAERHRDTGRATMGGGGRAEWTAGEHGGGRADELLLPEAKLTQQIEPVESKEGGGGSKQGIDRTGMNPRAVVGAGERGGHARGHPPRPCAGAAERPHVLLFLPRLHRRFGSLFRLPCSSD >OGLUM04G05080.1 pep chromosome:ALNU02000000:4:7944937:7945257:-1 gene:OGLUM04G05080 transcript:OGLUM04G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWRRKSSSSGGVSPAGASPRHGGDDDDECNVFPRGYVPIVAGSGDGERVLVPVSLLGDPCIAELLDMAAQQYGYGQPGVLRVPCDAERLRRVVEGALRKGGGQSA >OGLUM04G05090.1 pep chromosome:ALNU02000000:4:7953330:7953686:1 gene:OGLUM04G05090 transcript:OGLUM04G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLIRRLSRVGDSSAAAASSSSPGKRRGAGTKKKAAAAGVPPEGHVPVDVGEEGEEATERFLVRAELLGRPALAELLGRAAQEYGYDHRGPLRIPCSPAAFRRALAGAGGGDHDDDG >OGLUM04G05100.1 pep chromosome:ALNU02000000:4:7956471:7957614:-1 gene:OGLUM04G05100 transcript:OGLUM04G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKEGNEVHSWRDPRLLDTVAEQNLFLTYPTLQPSTQRTPRPLRSLQPLVAVGPPPAAVAGVAAGGVLGGSGWRGDGGRRPRRHGLAPRIFTADAARPPRHRSPPLARRADAASPRRSCSGSASAEPQAPVEEPHGTGRRGERGCRRHRRQEEERVGRAGSDGGAEEVRVQMHVQVQVRNFLEKEKFPLLFSTFIFFGLFLGEGEISRLVVANDDDDDDDAGSSKNVGAGWIFGGRIRDFFERLRREDTLRRRTEAHSAGDAGFPGHAWTRQSVVPRHYVWGRGAKLGRASWLHLSEILRGAGKARASSPHCKRPNKFIYRPCLGLTLKLFTSSHRTFEHMYEVLNID >OGLUM04G05110.1 pep chromosome:ALNU02000000:4:7961536:7969432:1 gene:OGLUM04G05110 transcript:OGLUM04G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAVTATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLHTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADALLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQVT >OGLUM04G05110.2 pep chromosome:ALNU02000000:4:7965746:7969575:1 gene:OGLUM04G05110 transcript:OGLUM04G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MTDGMVVLSSPSMPGCVLWGNEIEKACATGGAVAGEVGAVKWRERMEEEGDKLKKIWRAQWWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPPAGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHLHQQTGVQITSSTIYTSTLHIARVNMRDPGAMNEGLGAAREADALLVPDEPNRNQQEGQPIENAELVANNPLHYQDQNPQQPGNAPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHGQDYTDPPRNENGQDGGS >OGLUM04G05110.3 pep chromosome:ALNU02000000:4:7961536:7965494:1 gene:OGLUM04G05110 transcript:OGLUM04G05110.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 20 plant structures; EXPRESSED DURING: 10 growth stages; CONTAINS InterPro DOMAIN/s: Membralin (InterPro:IPR0191 /.../as 172 Blast hits to 170 proteins in 70 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 0; Plants - 38; Viruses - 0; Other Eukaryotes - 24 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G60995) TAIR;Acc:AT1G60995] MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWVSKGASYIMDLQNLGRSAEKILEVNGDRFNILASKFWSTWVGPGARRSKIMFRTWKGDKEFEPQPENAADTAVTATTSGVSDSKTTVEGSAYHPLSAKESFKAAVMYLFRKWYFRVVSFWRNIKQLSDNTFQLMFRSNWNDFLHTIKGIQLPSVDHLVSTIVQWFERRSKAFEPTYLYGVEKGYFLLSEGAKVRHGVRTINITISARNPCFGNREFLPNWMRKLGPQHHCSLHLVRLGYDGVNRMVDWQLTSHLC >OGLUM04G05120.1 pep chromosome:ALNU02000000:4:7970930:7975301:1 gene:OGLUM04G05120 transcript:OGLUM04G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAEGEFALPDEVLAVMPRDPYEQLDLARRITALAVAGRVTGLEREAARLRESAADKDRENGELRERVALLDRALQETNSRLRAALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSPSKMSGTNLSLIFSLNIADGDSITHTTTNLLSTSLDVGSTVQEGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREDTLKKAEEIFGPDNKDLYLSFQGLLNRSLP >OGLUM04G05130.1 pep chromosome:ALNU02000000:4:7974075:7976105:-1 gene:OGLUM04G05130 transcript:OGLUM04G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVVVAVGGGTKAASRQQGQGGVTLAAAAAGSGSGSAASPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPIYGCVGAISSLQQQVEALQAQLALAQAEMVRLRMSNDYIGRRLRARGCGGGGGGGGGSTTTTTGSPSSMSSPAKTAEPEPLCKPTPELDMVVDQPDFGFWSY >OGLUM04G05140.1 pep chromosome:ALNU02000000:4:7981452:7982315:-1 gene:OGLUM04G05140 transcript:OGLUM04G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPMTKAVEKDCIAVLLDTLWNWSGAGKKAFESIFQHLEDLGIFGITRFWTNWPSPVSTYKFMISFPTQRSEREGEIRSHLLAVLRPKQTVSPTLE >OGLUM04G05150.1 pep chromosome:ALNU02000000:4:7986654:7988431:-1 gene:OGLUM04G05150 transcript:OGLUM04G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTARRARGKPELVAPARATPNERKHLSDIDNQHSLRFYATAVEFFQLRTFDGYKPHDPAKVIRSALAETLVHYYPIAGRLRELPQETTLADLCGNPLGYALDLVRKAKLEVTDEYVKSTVEFLASRKWPSLVVDRTYIVSDITSAGDDKIDFGWGKRMGGGIPMAGDIMSKLISYFTKCKNADGEDCIVVPMYLPSITMDRFAAEISVWSMKQGSKFIVSAL >OGLUM04G05170.1 pep chromosome:ALNU02000000:4:8034455:8034970:1 gene:OGLUM04G05170 transcript:OGLUM04G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G32090) TAIR;Acc:AT2G32090] MATLQLNHVARETDDVRRLAAFYEEVLGFERVASPNYPAFQVAWLRLPGTPGVALHIIERDPAAAPAAVAPGAAGAPPAQLPRRHHLAFSVADYDGFLAGLKARGTDVFEKTQPDGRTRQVFFFDPDGERPFGSQRLRVFIDSAPGRLIGICACNDEKLGHSGYLLQLCGR >OGLUM04G05180.1 pep chromosome:ALNU02000000:4:8037534:8037977:-1 gene:OGLUM04G05180 transcript:OGLUM04G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14890) TAIR;Acc:AT4G14890] MAAAAASLLHLATSACSPPRVRLVGPTTGRRSPLRAAPAAAPPRAYKVTIEHGGESRVVEVEEGETILSRALDEGIDVPHDCKLGVCMTCPARLVAGEVDQSDGMLSDDVVAQGYALLCASYPRSDCTIRVIPEDELLKVQLATADD >OGLUM04G05190.1 pep chromosome:ALNU02000000:4:8098780:8099396:1 gene:OGLUM04G05190 transcript:OGLUM04G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGIVKLRPLYASRRGVPGAALRLWVWVLLGFTTNGLTSGPGEEGEEGRRLFDGWKTATPAAGGVPGCDAPREGTSRTGE >OGLUM04G05200.1 pep chromosome:ALNU02000000:4:8099453:8099731:1 gene:OGLUM04G05200 transcript:OGLUM04G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIYFGRGKEGEVRKWIRLVEAKPMARGLCRQCSGNDRRLRKVGSEEKDDEDTAAVAWGGG >OGLUM04G05210.1 pep chromosome:ALNU02000000:4:8142290:8143516:-1 gene:OGLUM04G05210 transcript:OGLUM04G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPALTDDVLAEILVRVPSSCDLARASAACSSFCRIATSPRFLRRFRSLHAPLPLGVLCPDGAAAFHPAMPPHPSAPAARALALAADFAFSFLPPPARAWLLRDHRDGRFLLDRALAGGSTAFTDVAVCDPLFRRYVLLPPIPDDLAASVQNPYLQRGGDGGLQSRSSEIFLASCGSDAGGEEPLFAVIWMACCRGKLVAFFFSSESQQWRALSPPEHYALSTRRVMGVRLGQRNHAHGCFYWMITLTHRWLVLDTRRMEFLVVDISPVLSGRAMMFSNQIITLESMDGWTIVVVADVFRSDKRCVLYFYKFMYFSDRWQLQSKINLPEEWGYRFRGIIGASEGYLFIKLDHPKQNLGDPVQQNAMYFMFDIKTMQLGRFSEICSGTVSEAYLHTGFPPSQSLPSV >OGLUM04G05220.1 pep chromosome:ALNU02000000:4:8143892:8144725:1 gene:OGLUM04G05220 transcript:OGLUM04G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAELTTEVWNRESAAVEAESVEAESTAETESAAAEAESVEAESAVAETESVEAESTAEAESATAEAESMNAESTAEAESAAAEVESPCLCSPQQAPLYRLRLAWEPRSPSPPALSASANGPSSRHLRLLEPLPCIGTLVPFFHRKLEPPNSGASSAARRPSERDLAVPISFHPCPNPAGPEVSYVSTVVAGPICSSVVAASISLSPSPWTSHHRRLAPPLRLPAAPISTLAGRLLLLPAGRQPRPAPLPPAVGRAQLLPRRPRLAALRHHLRGKG >OGLUM04G05230.1 pep chromosome:ALNU02000000:4:8148813:8149634:-1 gene:OGLUM04G05230 transcript:OGLUM04G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAGGGGGGEAMYSSRRSDGFGGLVVAERRHRWASTAAERRRQRVRAVAERRQLRAAAISWSRGTGGSAGERRLRAAAISERRAPPLPAAGPPPTPAAGAHRRGARPRLHLRAHLMSRVVERDTSSAWFLGSLFRVDEARRYIVDKVLPHPWYRAICVAGADRPVGSISVNPADDLREPDESETGGLRSRCCRASVGYRVAHVHWGRGVVTRAVRATAEVVSAEWPWLERLEAVADVENPAGRRAAAKRYFVSHYRLNGWKSRTLEDWSNGR >OGLUM04G05240.1 pep chromosome:ALNU02000000:4:8157103:8157810:1 gene:OGLUM04G05240 transcript:OGLUM04G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPPPLAASRQEQPAGDCPPPPRPTTAFDDTLYATNKKVLTMHIDSYKEATKLAANGMNIKSPKLDAGGHSWHILVYPNGRLPGTTDSMSLFLQLADAPDDGGYVKFEYQFMLEIHSGDSRGLEFMSGGVVAAANKRWNAHGFERFVSREDLGKRGFVKADRFQIRCDVIVLEKKPSMLPVVETPPPSGQTSPATETAPPGLSFSRQAPVERAPRTATSRSSPSPSWRMEIP >OGLUM04G05250.1 pep chromosome:ALNU02000000:4:8264229:8264845:-1 gene:OGLUM04G05250 transcript:OGLUM04G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHDADFRPEMFVPEGTNIEAPWEGGHQGRTSPFKVISRGLMRSLKTRAIIEQITNLCVEFVDWHYRDRVLGRVLVKARYKSANDVPSKQTR >OGLUM04G05260.1 pep chromosome:ALNU02000000:4:8279433:8281745:1 gene:OGLUM04G05260 transcript:OGLUM04G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEEAGGASAEASGSGSAPAGAAMVPGGEEAAARKRYDALMQVRAKAVKGKGAWYWAHLEPVLVPPPGSGVPPKAARLRCVLCAATFSASNPSRTASEHLKRGACPNFAAQQGAAAQPHQAMTVSAASSVVPISSIPPSSQRRHSTGGGGRKRHALAAAYAAVEAAASQHVVVGDPSSYSPTPPTPPALPAPRQVLSGGRGDLGALARLEDSVKRLKSPVASPGAMLPRQQAEAALALLAEWFLESSGSVSLASAEHPKLKAFLRQVGLPELSRAELAGARLNARFAEARADAAARIREARFFQLAADGWREQVVTLSVNLPNGASVFQRAVPTPAPASSDYAEQLMLEAISSVSASSELHHCAGIVADRFGSKALRDLEHKHPWMLNLACQVHGLSRLVRDMARELPLFHSASANCAKMAAYFNAAPTVRALLHKHQVQEHGHAMLLRVAAPPFDRAAAFAMLEDILTSARPLQLAVHEESYKLVCIDDPAAREVGSMVQKVAFWTEVEAAHSLVKLITDMVKEMEAERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMNVVEVRFRKSYHPAWSAAFILDPLYLIKDVSGRYLPPFKYLTPEQDKDVDRLITRLVSPEEAHLALMELMKWRSEGLDPLYAQAVQVRQPDPSTGKMRIANKQSSRLVWETCLSDLKSLGKVAVRLIFLHATAKGFRCAPPMSRWLTAPGSSAAGIARAQRLVYVAANSKLERRDFSNDDDKDVELLTEGDDDMLTEATASVDPSSV >OGLUM04G05270.1 pep chromosome:ALNU02000000:4:8288543:8288824:1 gene:OGLUM04G05270 transcript:OGLUM04G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSVATDEGEETPVLVAEQALEDISDSSKATSHSNASGEVQGEGAHPRIDADHKLGDEATFLAKAVQTGLSPKGAKLAMFTSVPYRRSLA >OGLUM04G05280.1 pep chromosome:ALNU02000000:4:8290223:8354698:-1 gene:OGLUM04G05280 transcript:OGLUM04G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGAGHIPAPVDDIPALADETSGVGSWIGNCSGGGLDGVGSIVVVILAGLPSPSFSRCDVGTKYTRCNRCNGNVRSRVNVTNRRPWAKATWRKPWLGIRRLRQAKTSPEGRTGEGGKVEDDNDELGHDGNDGVSEPRSGRAESTTLRGRAAGPSPCAAIRRPPLRVAVRRSPPMPRHAYLPTCVRERERERFRKRGSKMGDKMQICCHAGPPHKPVNPRLPAAVCLCVVLVGVRAGMSH >OGLUM04G05290.1 pep chromosome:ALNU02000000:4:8355333:8363375:1 gene:OGLUM04G05290 transcript:OGLUM04G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFGFPGICSNYRQLNALNASNILSTAFKHHWQGVDVRRGGGGRHRRWRRWGVGAGDGKALGRVGGGEEGRLWVGGRFGWTAACGGVREEEAARGGRGGGGGARWERRTW >OGLUM04G05300.1 pep chromosome:ALNU02000000:4:8361253:8361939:-1 gene:OGLUM04G05300 transcript:OGLUM04G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGIISPSIGKLDKLQRIALHQNSLHGPIPSDIKNCTELRAIYLKANYLQGGIPSEIGELIHLTVLNNRSLKHNLKVAVFVVSI >OGLUM04G05310.1 pep chromosome:ALNU02000000:4:8386921:8388736:1 gene:OGLUM04G05310 transcript:OGLUM04G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQLGNGGSTAAPREEETTAAARGGGDGGDGSARGGGDGGGGSVRGRSGGAAALREEEATAVTHWGDGGGSVLRRWLAAAPHKDGSEVAWHGLEMTVTNGPRGDDGNNTLRCMNVEFLTSMSVGFDVHPTTYTDRGLGVVLDP >OGLUM04G05320.1 pep chromosome:ALNU02000000:4:8397214:8397588:1 gene:OGLUM04G05320 transcript:OGLUM04G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESAPARKPARPKRRQAAEKAGVERRRRSVARREVVAEEKTFGELSMASANSPAVAAWVGEARRIGAEGDEEWEWWWGTLSTARGERGRRGGWASVGWRPTTAQAGGRWAATGGREEGEKRG >OGLUM04G05330.1 pep chromosome:ALNU02000000:4:8490022:8491666:1 gene:OGLUM04G05330 transcript:OGLUM04G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTCQAYLEQTLCWTSSLLIDRFQSLLHVYGWLVSPWMAKVLVCLEEAGVEYEVVPLSLTNGDHRRPEHLARNPFGQIPVLEDGDLTLYQSHAIARYVLGKHKPELLGLGEGGSVEESAMVDMWVEVETHQYEAAVKPIVWHCLVSQHVGLDRDQGVVDESVEKLRAVLEVYEARLSSAGRYYLAGGDRVSLADLSHVPLMHYFTATEYGGVLGEYPRVKAWWEALLARPSVKKVIAGMPTDFGFGSGNLP >OGLUM04G05340.1 pep chromosome:ALNU02000000:4:8524851:8570595:-1 gene:OGLUM04G05340 transcript:OGLUM04G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGGEEDDFKEKTLARRLGLDRDDERVVGRRGAASGGSAGVMGARPNGGRARPGIGTSAAALGERGAVRRCRRPARGSM >OGLUM04G05350.1 pep chromosome:ALNU02000000:4:8682970:8684526:-1 gene:OGLUM04G05350 transcript:OGLUM04G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G12890) TAIR;Acc:AT5G12890] MADTDHRRRGGATRAHVVLFPFMAQGHVAPFRCIAALVRRCRPDARLTVVATPGMAEAFRAHLVADGVGDGRLAGVHELPFLPAEHGLPAGADTSASIGFQQLITLFLASESLRPAFRRFVDDLRAANPGDDIHVMADMFLGWAVDVARDAGASSSIVLTCGGYGSALYFSLWDSVPLPATASPDDGFPLPRFPDVRVQRSQLTNHLAAADGKDAWSTFIQRQIAAFSRADALLVNTAENLEPKGLSMLCQWLNVPTYPVGPLLRAPAPSPEAKKTSPILEWLDEQPPGSVLYISFGSLYRITAPQMMELARGLEQSSHRFVWVIRPPAGNDANGEFSPEWLPEGFRERAEAEGRGLVVRCWAPQVEILAHAATGAFLTHCGWNSVQEALGHGVPLLGWPLSAEQFYNSKLLAEETVCVEVARGSAAVDAAKVAAAVEEVLGETSMERAAMKRRAAEMKEAIDAARDGDKSSVTVMRRFLDEVLPPRGAQFMASWALQSACFRDGAMSHQLRSSHPMM >OGLUM04G05360.1 pep chromosome:ALNU02000000:4:8716018:8716902:-1 gene:OGLUM04G05360 transcript:OGLUM04G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDGTLAVDDVRRCSPRPRATTGSYSTSATLAEQASPIFAHRAPCGWLQRIGWSPPVMEVVCYFSFTRHLYDMNENMMDVCYCTTLSPSLYHAATPFHDSIVDYRLPAGTDLPYKVWGP >OGLUM04G05370.1 pep chromosome:ALNU02000000:4:8752818:8764198:-1 gene:OGLUM04G05370 transcript:OGLUM04G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCDGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKSTSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNMKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >OGLUM04G05370.2 pep chromosome:ALNU02000000:4:8752820:8764198:-1 gene:OGLUM04G05370 transcript:OGLUM04G05370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCDGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKSTSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNMKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >OGLUM04G05370.3 pep chromosome:ALNU02000000:4:8752820:8764198:-1 gene:OGLUM04G05370 transcript:OGLUM04G05370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCDGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQSMLKRLKIQGKAKKKSVGASVKGKKLSNGLASNNCMSSGLDPEIGPEERKLGTVKSITKEAVNSDTIKRSRGRPPKILMPTIESTKPEIALVTSEAIKRSRAEGVNSCLIHLSEPNEKMVKSTSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNMKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >OGLUM04G05370.4 pep chromosome:ALNU02000000:4:8752818:8764198:-1 gene:OGLUM04G05370 transcript:OGLUM04G05370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLSSYQFKPTDRTASSPVQESRESRAPPCRCDGPHHQRPRIETRTDSFLPHTLLSLPVRHPPPPTAERPPRAARPNPPMSTPAGVAPRRSSRLASSAPPLGAGQPSSSPLPRRRRRRASPSGCAREVDNSPPPVVILDDNEMEQHVEAEEMKKQGEESVEANEAEEKDKDAEVLEELPDWLPDGWIMEVRCGDNGNIYRYYTSPVSGYTFSTKMETLHYLFSEMDERVLESQACADDNELHRMHTWLPDGWAIEVRAGGKKMEKMYKFYVHLPTGMRFLSKENVLLYSNEGKISRCDVKGLCDTSSEDNILAMVEFNPDGLPEGWVKEIIFRKCNDGIRKDPYYTDPVSRHVFRTLKSVINYLETGQITKHAYIPRRSVTDMYSFDRCTDLPQTEGVNSCLIHLSEPNEKMVKSTSAVEPASSNNAKRHGGSPQKKFKHITDITLDCAKSSNKESEHIVTAKKLGIGGGEQVANENTLEHTNMKEHLGVIQDYTSNMKKDKLNLITDPDLHEHKNGKFTEKLACTAVHKFYMRRSSNHTVALKKG >OGLUM04G05380.1 pep chromosome:ALNU02000000:4:8765066:8765374:-1 gene:OGLUM04G05380 transcript:OGLUM04G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIAEETGGTLSFIENQAVVQDAFSCIGGLLSVTVQEAPLAITCPHHGVRVRSVNSGRYDSVIDGDGRAASVDVGELYADEERRFLVFVDVPAAGTVEDAT >OGLUM04G05390.1 pep chromosome:ALNU02000000:4:8769821:8775923:1 gene:OGLUM04G05390 transcript:OGLUM04G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATQAPTSPAAASGRGSVLPLLRGRPSTRVRLAVGRRPQTALRAQPPGAAEVVEQSVNTIRFLAVDAVEKAKSGHPGLPMGCAPLGHVLFDEFLRFNPKNPYWFDRDRFILSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWGSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKPDLKIVDHHTYVILGDGCQMEGVSNEASSLAGHWGLGKLIAFYDDNHISIDGSAGIAFTEDALARYEALGWHTIWVKNGNTGYDDIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANTYSVHGSALGTKEVEATKNNLSWHHEPFHVPDEVKRHWSHHIDEGASLEAEWNKKIVEYEKKYRQEAAELKSIISGELPSGWDNSLPKYTPENPADATRNLSQQCLNALAKAIPGFLGGSADLATSNMTLLKMFGDFQKDTPEERNIRFGVREHAMGAISNGIALHSPGLIPYCATFFVFTDYMRAAIRLSALCGSRVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKIAVLNRQRPSVLALSRQKLQQLKGTSAEGVAKGGYIISDNSSGNKPDIILIGTGSELEIVEKAADELRKDGKTVRVVSLVCWELFEEQSEKYKESVFPSEVTSRISVEAGVTFGWEKYIGEKGKAIGVDRFGSSAPAGKIYKELGLTVENVIATAKSL >OGLUM04G05400.1 pep chromosome:ALNU02000000:4:8776592:8778055:-1 gene:OGLUM04G05400 transcript:OGLUM04G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTGRHRHDRLSALPDGILVRVLSHLGSVDAASTAALSHRWRHIHAAVPVVDLVDPESDQISSAIVTKNSAAPIRTLRLVDLWPPHDALHQAVATATAAGLQEFDVKLRHGDCSNRKLCPFRRHPDASADFDDYMRGSFTATPPHIFRCDTLRRLRLTNFQLDVPEGGVCMPSLEILSLKRIMATTDEAVQQLVSGCPNLADLTLEQCPSVADLVVASPRLESFAMICCHNAAHVVLHTQRLRTLRYKGGLPAGENFLMIADCTNVLAMTIDICESLVGKSAPAVVPITKLITRCASLTFLHLHLRPAMAYHSGAFTRALRHHPHLRQLALKGLLKDDQTIRSVSTLLRNTPELDVLSLFPLRPQPAKPYYLGVDSDDDYDSEEEEEEEEDGGASDDNQGVRVPLSLWESNIECLHKLRKIKLHNYKGKPNERLLAKYLLSKATSLEQFFVTLPAKTTADRQLKLTNELKYWRANKRAIFSCTLL >OGLUM04G05410.1 pep chromosome:ALNU02000000:4:8821392:8822897:-1 gene:OGLUM04G05410 transcript:OGLUM04G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMQRRDRLSDLPDATLARRNVHAAVPVVDLVDPKKGERWGNVSRQKTCFDHQVTAAILGKDLPTRKFRLDAFYPPYDLRDQWFAIVSVSGLEEFDVKLRYWDHSRRNLCPFGTHPKASADFDEEMRHSFTATPPHIFRCDTLRRLLLTNWTLDVPAGGVSMPSLETLFLKRIMAEDGAVQRLISGCPNIADLTLEQCPSVTGLVVASPRLDSFAMICCHPASHVVLQAERLRTLRYKGGLPGENFFSIPNCGDVLALTIDICESLLGKSASAVVPITKVITRCTNVTFLHLHLRPTMAFHSGAFTRALRHLPHLRQLALKGLLDNDETALSVSTLLRNTPNLDVLSLIPLRPRPPKPDYLYMFDGSDDDSSQNGYEENKKDKSALDGEDTYVHVPKILWETRVECLHRLRKIKLLNYKGTPNERMLAKYLLSKASALEQCSITLPANKTSTEDRRRKLTKELSYWRANKRTRISYKSHV >OGLUM04G05420.1 pep chromosome:ALNU02000000:4:8823399:8826694:1 gene:OGLUM04G05420 transcript:OGLUM04G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEESSSSPAMHTDDGRDRLSDLPDELLGHILSFLPNVEAGRTAVLSRRWRDVFGSVDTISLEEYEGQRSNDWETFFYEAEERKSCSGHLLDGLSAALLSRRRCNGRNLPLRRFGFAFDSITGWDVVFVDMCLHHVLRHASKELHLDLRFFICPICERGGCRKRKAKVKSRRETPEKSDDDEEGHLYSTRCGYILPRKLYSCVALKTLCVSYAWLNVPESINLPLLETMRLTGPGNSGRDIQRLISGCPRLTDLKIEGAPNLRTLSILDKRLRSFALRCCQNVKSVAIDSTELTTLAYSGAVPPESLLSLHGGVQRISSCTVQLCSKNLSAEEIGRLGRFLDLFAGTTHLHVESARMGASMESKHFTSSLTFAGLTRLDLTGCLPSDGAANAVRRILEQTPKLECLTLFLVPVPKEPDYGYYYGLQEEDMDEKRRERDGDDLFTTEDESMFSSIECLRRRVTTIYLVGYNGDELTQRLLARLLLSNALVLERVCVKLAGDETSINRRGIKEEVTRARGEVGSGGGGGGSGGGHVGEGGGGGDVEGEGVRAEGAAARRGRNSKISHFHFPL >OGLUM04G05430.1 pep chromosome:ALNU02000000:4:8825452:8826369:-1 gene:OGLUM04G05430 transcript:OGLUM04G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAAAASGAPWRGVVGAGRAAVGFPPRRRGVAFVVRAQAEPDVEPTKEETTTSSTPTPTPSPAAAVPKAKPAASTGLWDVLAFSGPAPEPINRRLAMEGFVSALAVEASRGGELLEEASSGGGLAWFAATTAVRPSRRSRRRLRVPRRRCLCPTPRRGGGCSSWPSPPPPSLRPAPPPTTASICPRDEGCLLVVAAADTAASSPAAAAAASASPAAASAAASPVCAAGDKARGRVRDRELEREERGRERGSMTCGSHIF >OGLUM04G05440.1 pep chromosome:ALNU02000000:4:8826383:8826682:-1 gene:OGLUM04G05440 transcript:OGLUM04G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVANFGIXATTSSRPLGADPLAFHVAASSSLADVAAAAAATTTTTSHLAACPRDLLLDPPPIYTRLVTRLIINSSSSKRRKRKKSDLASSPEFICCVI >OGLUM04G05450.1 pep chromosome:ALNU02000000:4:8828369:8829348:-1 gene:OGLUM04G05450 transcript:OGLUM04G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPVLVIASSVVVEKVLCEAMGRESHHALEHELPEVGNMQEGAREGATMVVWYANAGRRWRWRKVRLAHRWHCRSPERLAATVGGGSGTAHTPGRLEPDGRVPGLFSGIASPSSHCPGSGRGRGLRCHDLGGREGPAATVLAAARFPVARSGSGEVEGEGGGGGGAAAGAASVLPCPRSSPGGEGGGCRDSTSIIIALLWCSREI >OGLUM04G05470.1 pep chromosome:ALNU02000000:4:8865130:8888754:-1 gene:OGLUM04G05470 transcript:OGLUM04G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHHELVHPGHCRLPVITLVVHQVDLLHPTPQAPDRREARDTRGAVFFAVVAIFFAVAVVTDVAAVVITVGVVVVVAVADVATVTAAIALALTITRLLLELGYLLLMELEPLLHLMLGDFALLARFDGFKNRLRAKDDELGRKSLQMESLANTLKEAKAENRRLQAELEKGSEAKAEIERLKAELKKEQDQSVALTEGSKGWMEFDAGWSSSVRLPTEQ >OGLUM04G05480.1 pep chromosome:ALNU02000000:4:8885868:8887248:1 gene:OGLUM04G05480 transcript:OGLUM04G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKLLDRMNAALLCRRRCAGRHVPLRSFHFAFDSYHVWDRVIVDAWVTYVVCHSSQELHFDLRFWIGPICAGGERDRLSTIVDSEPPVFPGRHYELPRSLYSCVALQTLCLSYCDLNLLESIDLSLLKTMRLTGIHGSRSRIQRLISSCPRLADLTLEALRQLKTLSLLDKRLRSFALRCCHNVDNVAIDASELTTIAYRGAVSDDPSYTLSMHGSLAISSCTVDFCSEELITSEEEFDSSTHLHLKFDRLGSCIDSDLFPAAGFRTFTNLRRLELTGHVPDCGVAIAMRRILEMTPNLESLTLFLKPEKCNPTNCDSESESDGSSDSGYISHSDDDDDTDGDDYGSNIGYNSHSEEDGDDSEEDSSPGIASFSAIRCLRRRVKEINLVHYEGDDGQATVARLLLSNALVLQRVCVVLTRGRIGMQMRKKRKIKRWMMSRSAKAVFL >OGLUM04G05490.1 pep chromosome:ALNU02000000:4:8888728:8892070:1 gene:OGLUM04G05490 transcript:OGLUM04G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELMVTKHHCMGSMAAARPWLSWPERVPRGCSGVPVHISIALARPGAGRKMTNPVRIWWWRGFRFAQATMMAYRVPSSSSDREAAVPHLSVAGVCCSVSACISSEDRRRAQNRLSSCVQIGSTLDV >OGLUM04G05500.1 pep chromosome:ALNU02000000:4:8919327:8920040:1 gene:OGLUM04G05500 transcript:OGLUM04G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSGSSSSSSAFPFFLLALLCVTAVVFPPAAARTFPDDKSGGAVVSGSKFSLPFPSKAAGSGSGSGSGHGFGWTVSHNGSDTTIGFGGGVGGGVGTSRGGGSSAGGGVGVGVGVDVGRGGVDVGIGVGGGGAASSPDGSVGGGGGEGVGIHIGPGGVTVTHGGGGGAGGGSGGGGASGGGSGTGRSGNAVGTGQGSGSANGGTGSGGGSGSGSGQGGSAGGGGGGAGSGGAGGHP >OGLUM04G05510.1 pep chromosome:ALNU02000000:4:8930771:8943120:-1 gene:OGLUM04G05510 transcript:OGLUM04G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSNDMIKLTMNRQEGSAGSLIDVHARPEHGDDRVAHIIKNLPDSFAAAGDESCGAGEAPPPGDVPVDSEAPVVTSSISRYAGLVFRRTAVAAIFAAAAAAAALVRRRHATSLLEAAAERSTGGGGGRAQRRGGAARSGEAAAGGASCGGLMPHGLMPCRHPPSRFSSTRSQGHGSEPRPRAANGRQVRGRSRTTARTSTSARAS >OGLUM04G05520.1 pep chromosome:ALNU02000000:4:8942513:8952251:1 gene:OGLUM04G05520 transcript:OGLUM04G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLESCFHLSQLMHPLLKTSGKGNIVNISGISTVTGFPSLPICAFCAAKGAMNQITKSLAVEWASDRMRVNCIAPGVIATHLVDDCKSGNNPHIQGVTSPDFVQEEIARTPMRRAGKPEDVSSLVAFLRMPAAAYITGQIICIDGGRTLS >OGLUM04G05520.2 pep chromosome:ALNU02000000:4:8942513:8944459:1 gene:OGLUM04G05520 transcript:OGLUM04G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSSAQETGRWSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNEATLNSCLEEWSAKKLSITASVCDVSARADREALAGRVAAMFDGKLDILVNNVGFLFLKPAV >OGLUM04G05530.1 pep chromosome:ALNU02000000:4:8943144:8943374:-1 gene:OGLUM04G05530 transcript:OGLUM04G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPSNMAATLPASASLSARADTSQTEAVMESFLALHSSRQLFRVASFRAHVCTVAPKLANSSTMARLDDAYINA >OGLUM04G05540.1 pep chromosome:ALNU02000000:4:9011215:9019017:1 gene:OGLUM04G05540 transcript:OGLUM04G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI79] MDHSTSLLRLIFLALGAALVVLVVRSAFRLPGDIDTTTTSLFDDGNGGSCTRFSPWACRQGRGDPRSKPSKPRRPSHESDVPRHPLDPLTVREVNRVRELLRAHPLFASAPSSLFVHSLELDEPEKSVVKSWRKGADPLPPRRAVAVVRFRGESHVLGVDLSEGDGAVTPLPVPASGYPMMNMDEQTSLCFAPFKDAAFNASLLRRGVRASDVACLPISLGWYGPAEENRRLIKSQCFSTEGTANFYMRPIEGLTVLVDMDTAEVLHVSDRGAGIPIPAAANTDYRHGHSAPTPAEAQAQGRHGYQTVRVPSMEPPAGGPGFELVDGHTVRWGGWEFHLKPDARAGMVVSRARVQDPATGEHRDVMYKGMASELFVPYMDPTEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHARYLDAVFVAADGRPYVRENMICVFERYAGDIAWRHSESPITGMDVSANPYDSSASRFLDFFPCCLAPTMRVMLLHFPVLVTDE >OGLUM04G05550.1 pep chromosome:ALNU02000000:4:9041163:9046348:1 gene:OGLUM04G05550 transcript:OGLUM04G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEADKEAGQVQPPTTMSLEPNHEAGDIGKRTAIWGTMFAIYDIRKKTLRLRGFSCGTPPKLTFGRKDSINVNNNFRLKRREKSREISKKPLGPHVNSISYLGTGWFRSPRLKFVAAEEMARTAGAATAALAAEAAEDGEEESRVVVANCGHSHSGGRRGRSERLLQRSRRXNTLQPIFLS >OGLUM04G05550.2 pep chromosome:ALNU02000000:4:9041163:9046343:1 gene:OGLUM04G05550 transcript:OGLUM04G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHINNKWWRQIRRLVKSSLLQLCHWNQIMKLATLVRGARGGFGHQGSSSSRRRRWRGRREQPPRLSRRKRRRTVRRRVALSSPTAATPTVEDDEDGRSGCCSARGGXIPCNPYS >OGLUM04G05550.3 pep chromosome:ALNU02000000:4:9041160:9046343:1 gene:OGLUM04G05550 transcript:OGLUM04G05550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHINNKWWRQIRRLVKSSLLQLCHWNQIMKLATLVRGARGGFGHQGSSSSRRRRWRGRREQPPRLSRRKRRRTVRRRVALSSPTAATPTVEDDEDGRSGCCSARGGXIPCNPYS >OGLUM04G05560.1 pep chromosome:ALNU02000000:4:9055276:9057364:1 gene:OGLUM04G05560 transcript:OGLUM04G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALH >OGLUM04G05560.2 pep chromosome:ALNU02000000:4:9055655:9057364:1 gene:OGLUM04G05560 transcript:OGLUM04G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSFLGKADVVLRGFSGYNTRWALRVLARAMEGAAAVGAADPVAVTVFFGANDTSLPDWKQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPLRIR >OGLUM04G05560.3 pep chromosome:ALNU02000000:4:9054763:9055952:1 gene:OGLUM04G05560 transcript:OGLUM04G05560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENSLPWGVERRTSEHARGITGRKITHAIIARLPSHIAPQRPDRRSPFSPAALPNPCFPRPGSDPSASSRSIPREVHPICVDLIPSLLTPSSPAAVPSRHAYVIVSWKGRRGAARVQRLQHAVGAAGAGEGDGGCRRRGGGRPGGCHGVLRRQRHVAAGLEAGAPARAARRVPEQPPRHLRLLQGSAWIDAAALWLL >OGLUM04G05560.4 pep chromosome:ALNU02000000:4:9056052:9056822:1 gene:OGLUM04G05560 transcript:OGLUM04G05560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERNNNKMVLIDDDKGVSQEIACALCSLNYSDKNYFAQEFKTEFIMHQYRTGTNEATGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALWYGSISSDLIPKLDVWMGLITVKGCHLCYQ >OGLUM04G05570.1 pep chromosome:ALNU02000000:4:9074977:9076815:-1 gene:OGLUM04G05570 transcript:OGLUM04G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVCCTAGEVLPQRRRPSTLCRAARAPRPCCHAPASPSPFLVPAYFTASQSCQITLKPYSPSALKYITDLIYSVLEEMHPYLLDPGLQTIRLEQHSKQSNTITLLFLFPYPTIQKKIGNRIFLKSWKTLNTNVALPTVVSCDPTCKVGCFELGSEFLMVHVRSKELIRPYKNYKIVGYVIGLELCGLQLCLIDKWGKMMTSSQLEHVKTILALGCLHLRLTGMFISCFRCLWVDFH >OGLUM04G05570.2 pep chromosome:ALNU02000000:4:9074977:9076815:-1 gene:OGLUM04G05570 transcript:OGLUM04G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVCCTAGEVLPQRRRPSTLCRAARAPRPCCHAPASPSPFLVLEEMHPYLLDPGLQTIRLEQHSKQSNTITLLFLFPYPTIQKKVRSKELIRPYKNYKIVGYVIGLELCGLQLCLIDKWGKMMTSSQLEHVKTILALGCLHLRLTGMFISCFRCLWVDFH >OGLUM04G05570.3 pep chromosome:ALNU02000000:4:9074977:9078701:-1 gene:OGLUM04G05570 transcript:OGLUM04G05570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTLRERRSASSGREPPWHANASAPTPQPRSLKLASTSSLVITGASENAHGAWVWPPEWSMAVAVIGIELPADDDEQVATARAASASCADMAPPPPDPAEAMVEAADWRRHCRYCILAPWMAMSAPPPPAPCMICAAAAACRRGEVVPEGGERDELRQRGEEVGAWPVRHGVESHLYETLLEDHSVVPLSISNHTEEDKWGKMMTSSQLEHVKTILALGCLHLRLTGMFISCFRCLWVDFH >OGLUM04G05570.4 pep chromosome:ALNU02000000:4:9074977:9076815:-1 gene:OGLUM04G05570 transcript:OGLUM04G05570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVCCTAGEVLPQRRRPSTLCRAARAPRPCCHAPASPSPFLVPAYFTASQSCQITLKPYSPSALKYITDLIYSVFRFWKRCTLTCWTLVYKRLGLNSTDHSVVPLSISNHTEEDKWGKMMTSSQLEHVKTILALGCLHLRLTGMFISCFRCLWVDFH >OGLUM04G05570.5 pep chromosome:ALNU02000000:4:9077541:9078701:-1 gene:OGLUM04G05570 transcript:OGLUM04G05570.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTLRERRSASSGREPPWHANASAPTPQPRSLKLASTSSLVITGASENAHGAWVWPPEWSMAVAVIGIELPADDDEQVATARAASASCADMAPPPPDPAEAMVEAADWRRHCRYCILAPWMAMSAPPPPAPCMICAAAAACRRGEVVPEGGERDELRQRGEEVGAWPVRHGVESHLYETLLEVRVLVVRPFRKVRRDHCRCSLVLLPGREGNSRADMWAQGHF >OGLUM04G05580.1 pep chromosome:ALNU02000000:4:9077538:9078290:1 gene:OGLUM04G05580 transcript:OGLUM04G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPLGPHVSSAIPFSPRQQHQGTAAVIATHLSERTDNENTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDHLAATACGGGGADHAGRRWWWRRHCHPGRQDACLLQSAASTIASAGSGGGGAMSAHDADAALAVATCSSSSAGSSIPITATAIDHSGGQTQAPCAFSEAPVITSDDVEANLRLLGCGVGADAFACHGGSLPLLADLSDVTTTTNSLDWCSATGSSRRRPFLWLERGE >OGLUM04G05590.1 pep chromosome:ALNU02000000:4:9078944:9082201:-1 gene:OGLUM04G05590 transcript:OGLUM04G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMMGEEREGGGCFKLQGLLAFGSSSPVPQFRLGPWYRHWAMVGVDVSRVAPFRTRGIVGSGTDGLDFISPHPTLAASPLQAPLACVVPSPLHRRRLALPLPIPLPSRYPLQRIQLPRGEGRKPLPDTCSPFISMPPPPPSSSPSTPLGERARQLAAKGVDAGRGGGRSRQAI >OGLUM04G05600.1 pep chromosome:ALNU02000000:4:9082160:9096134:1 gene:OGLUM04G05600 transcript:OGLUM04G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPPAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAATATEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >OGLUM04G05600.2 pep chromosome:ALNU02000000:4:9082160:9096670:1 gene:OGLUM04G05600 transcript:OGLUM04G05600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDAHAPPPAAQELGDASASASVVDDWARDEDTEPMAVDPHHAAAAAATATEDGEGVADAAPPAAPAEDVTEIQSSLQSLELKTNAAAHEDAQEVVEEEKETKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERLKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLIVVINKMDEPTVKWSKERYDEIESKMVPFLRSSGYNVKKDVHFLPISGLLGSNMKTRMDKSICSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKIESGTIREGDSLLVMPNKTNVKVIGISLDEHKVRRAGPAENVRVKLSGIEDEDIMAGFVLSSIGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVDLIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAIPPAGSPTFSA >OGLUM04G05610.1 pep chromosome:ALNU02000000:4:9099889:9103752:-1 gene:OGLUM04G05610 transcript:OGLUM04G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAECKYEVAQVAYVKLALHALKHPAAAVNGLLVGRLLDGAASPAAVVSVADAVPLSHHPHHLPLLPTLELALTLVEDHFAAQGLAVVGYYHANARRDDADLPPVAKRVGDHVFRNFPRAAVLLLDNKKLEEAVKGKSREPVVQLYTRDSSKSWRQAGSDGSSQLTLKEPSTNMVLADHVTTKKWQQRLVEPRATCLK >OGLUM04G05620.1 pep chromosome:ALNU02000000:4:9129864:9141564:-1 gene:OGLUM04G05620 transcript:OGLUM04G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 1 [Source:Projected from Arabidopsis thaliana (AT5G55760) TAIR;Acc:AT5G55760] MSLGYAEKLSYREDVGNVGMPEIFDSPELLHKKIEELAVMVRERSGKGVPGASLPFHRAVPTLTHMALVELEKTGRLKFVISQNVDSLHLRSGLPREKLAELHGNSFKEICPSCKKEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMDAAKEQCQTADLVLCLGTSLQITPACNMPLLSLKNGGRVAIVNLQATPKDKKASLVIHGLVDKVSFPERPDMKPVVLKEQPFSLQRETSMNRPFVMLLTFNFSDGCGCSSSSIEWPVDFLKQKDSFVRDRSLVLQELQHAAEHRSRAGQHAILEREGVPRAETSIHALVTNIVRYDTEDSKAAVPMATWMNSNGGLSKRHMDAIGCNPASSKKQKLVATRHRRKGLNPATQKV >OGLUM04G05630.1 pep chromosome:ALNU02000000:4:9148578:9152221:1 gene:OGLUM04G05630 transcript:OGLUM04G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI98] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >OGLUM04G05630.2 pep chromosome:ALNU02000000:4:9149387:9152221:1 gene:OGLUM04G05630 transcript:OGLUM04G05630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI98] MAGVGSAVRRLYLSVYNWAVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLEILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >OGLUM04G05630.3 pep chromosome:ALNU02000000:4:9148578:9152221:1 gene:OGLUM04G05630 transcript:OGLUM04G05630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI98] MALLVAQILHGLVGLVRSPVSATLPQIGSRLFLTWGILWSFPETHSHILVTSLVISWSITEIIRYSFFGMKEAFGFAPSWLLWLRYSTFMVLYPTGISSEVGLIYIALPYMKASEKYCLRMPNKWNFSFDFFYASILSLAVYVPGSPHMFTYMLAQRKKALAKAKAA >OGLUM04G05630.4 pep chromosome:ALNU02000000:4:9149387:9149971:1 gene:OGLUM04G05630 transcript:OGLUM04G05630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI98] MAGVGSAVRRLYLSVYNWAVFFGWYSAASRHRLLAFGSLFSWLDLGVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLEILAQACIIWAALT >OGLUM04G05630.5 pep chromosome:ALNU02000000:4:9149387:9149971:1 gene:OGLUM04G05630 transcript:OGLUM04G05630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZI98] MAGVGSAVRRLYLSVYNWAVLYYAVTTLLESGHEAVYAAVERPLQFAQTAAFLEILAQACIIWAALT >OGLUM04G05640.1 pep chromosome:ALNU02000000:4:9155387:9164268:-1 gene:OGLUM04G05640 transcript:OGLUM04G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEGIVKLRLLYAARQVEFKLNFARFGGGDFTQFRVGVASPIPNCPASSPRAAPGISSDGFKATTATVQRHDLLEDDMATDYRREARCTRRQRSACGDFVNLKNLPAQSSKMLIGREKRRRRGWQRRAVTGGGGGHGGGDSGELRCGRRPEDWRCMRRILELNQWRRY >OGLUM04G05650.1 pep chromosome:ALNU02000000:4:9178766:9179518:-1 gene:OGLUM04G05650 transcript:OGLUM04G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRIVRAFVLGLLRKGFRSVRTLRKGEQILRVVDRAEIKVMAVEELHLRLPSGINLLLHDVIYAPSIKTNLISIMILTNSGGFPRAAFIPSAICTHAGSNGGNT >OGLUM04G05670.1 pep chromosome:ALNU02000000:4:9189168:9192506:1 gene:OGLUM04G05670 transcript:OGLUM04G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVVSNSSIVLMRIASVSYPADVCRRWWADHRSVPSAWSRYGGSFDRWTVTSGWSGRQNSSSPGARRCGRWFHSEWYTTLPNAPQDTKMACATPRRRAMSVVHARKGSAMTQTLAASAPASAIKSRTKPSKLSCSERDSVASNSVMKWRGGTASVDSASPGRPCSAGTNGRAWNRRYRVWELDRRRRPSTLRGVETMEMSAVGWASWRWAARERKAEKWPCAGNGKRTMRRLPSLGMGNTRGSTRAPVGVCVWQWLWVDRMNERVGSEAGKDALGSWQTGFGMNDDLAAWGSW >OGLUM04G05680.1 pep chromosome:ALNU02000000:4:9189351:9192272:-1 gene:OGLUM04G05680 transcript:OGLUM04G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDEFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRRTMGVPVYPIGPLVRRRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRPDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGSWPDSPALERERVVEVVDMAMGITAKADKIRQSVKEIQGMISRTLEDGGSSKTALEXFPMPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDEFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRRTMGVPVYPIGPLVRRRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRPDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGSWPDSPALERERVVEVVDMAMGITAKADKIRQSVKEIQGMISRTLEDGGSSKTALEEFLKLHGRIMLKKG >OGLUM04G05690.1 pep chromosome:ALNU02000000:4:9235493:9237726:-1 gene:OGLUM04G05690 transcript:OGLUM04G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGNLRIVLFPFPAQGHFSAFLSLAAHLHDAQPTADISIVSTPRNVEGLRRRSSSQTRYLRFHALPFVPAEHGLPGDAESTDAVPPLHFITLFEATESRSLQDSFDGFVRDLIADAGADAARVCVIADPFLAWTTDIARRRGVAHAIFVSCGAFGSVVYHSLWNHLPHLRAPGDDEFCLPDHPEVTVHRSKLPPYLLHADGTDRWSAHHRRQTSAGYDTDAILISTMEELETTGLRMLRRTMGVPVYPIGPLVRRRTEHSDHTGDHNDDYVKRWLDTQEERSVLYISFGSYNSLRPDQMVDLAVALELTGRPFIWAIRPPFGFDIEPTNGGQFSAEWLPEGFEERMHAKNIGLLIHGLAPQVSILAHASTGAFLSHCGWNSVLESMAHGVPIIAWPLTADQFFNAQMLEEWGACVEVSRGSWPDSPALERERVVEVVDMAMGITAKADKIRQSVKEIQGMISRTLEDGGDASSL >OGLUM04G05700.1 pep chromosome:ALNU02000000:4:9260667:9261905:-1 gene:OGLUM04G05700 transcript:OGLUM04G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGLLKSRRSSAGDEEEGSGGSGGLPTATRKEWCWSLGILLKAVAALLILMAGVLIGLAASASLSCYYVEGSGKQAEARRGDGGGGEGGSRCRDDGCGAALSFQRFVQPHPPWGHSMKDEELFWRASMAPRVEEYPYQRVPKVAFLFLTRGPLPFAPLWERFFHGHEGLYSVYVHALPEYRLNVSSSSPFHGRQIPSGDVSWGSITLVDAEKRLLANALLDFSNERFVLASESCVPVFNFPTVYEYLVNSAQSYVESYNIDVPQCAGRYNPRMAPDVLEEQWRKGSEWFEMSRDLAADIVADRKYHAIFRKHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWSRGGPHPARFGKATVTPAFVQAIRNNGTRCAYNGKPTTVCYLFARKFAPSALGPLLNMSTTLLEF >OGLUM04G05710.1 pep chromosome:ALNU02000000:4:9267833:9268282:1 gene:OGLUM04G05710 transcript:OGLUM04G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIAGATAAALAVGAAEDGEDVAKWHGATSVTGARACRGRGDGEDGGSGRGGEEESRVVVANCGHSRGGMSPWCSTPASPPPPSATSTYTALSPGTSCCRRCRRVSPLSCMLWPEIDCDDIACVRALALIDVSSLLMCAGVAADGVEE >OGLUM04G05720.1 pep chromosome:ALNU02000000:4:9323171:9324637:1 gene:OGLUM04G05720 transcript:OGLUM04G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIA9] MTAATSKNVVVLFPFPGHGHLAAFLSFAGVLHRALPDVAITLVSTPRNVTSLRRATSAGHDSFLGLHELPFVPADHGLPAGWESSDGVPHNRFPDFLEALEVLQPAFDDFVADATAAGDVAVCVVSDPFLAWTVTVARRRGCAHAFFVSCGAFGSAVVHSLWSHLPIRPDEAGRILLPEYPDVVIHRSQVSSNVLHPPTAVKHRVEAFFGRQIPLGYKTDALLINTVEEFEPTGLAMLRRTFRLPVIPIGPLVRASTKTTSPETDATAGAITSFLDSHPPSSVLYVSFGSQFSIQAEHMAELAAALEATGRPFVWAVKPPDGHNINGEIQPKWLPDGFEERVTATKKGLLLHGWAPQVGILAHHSTGAFLSHCGWNSVLESMTHGVPIIGWPLAGDQYYNAKMLDEEWGVCLRVEVARGDMDMSAIIVDKATLVAVVETVMSPTAKAAEMRQRARAIKEITEAAREGGHGSSANQALEEFFKTMKLNG >OGLUM04G05730.1 pep chromosome:ALNU02000000:4:9328823:9330282:-1 gene:OGLUM04G05730 transcript:OGLUM04G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSVWKGLGVAGSTSIVISDDEKKEIQQDVEDLEEEEDRPGWLPDGWIMEVYQGDDGTIYRYYTSPISGLTFTMKSEVLQYLFSGMDERFLESKNCAADNQLISFGSVPMGPEIATVPNISAGLVEISKKMMDLAAQLRAMAAQSAEPAAFIEEAEPLYGHAVALCSRGHVFKQHGWQQYLAVTLQPRGGGVTRGEALMFPLPAAPSPGVAAQPRPRRWDWRWRHRRWRPQRRRFPLQRRYNTVRAFGFQVLFSSDAALFPQVEAHVPVMVGAELGDVRAA >OGLUM04G05750.1 pep chromosome:ALNU02000000:4:9343677:9345152:1 gene:OGLUM04G05750 transcript:OGLUM04G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKKHVVLFPFTSKGHIAGFLSLASRLHRILPHATITLVSTPRNVAALRAAAAAPFLDFHALRFDPAEHGLPPGGKSQDEIFPPLLIPLYEAFETLQPAFDDFVASTAAAAARVVVISDVFVAWTVEVARRHGCGHAFFASCGAFGSAVTHSLFTHLPLRPEEPSGRVFLPEYPDLVIHRLQVPKYMLYQYGLPAAGAANDGSGGRADRRFLDRQLAHGNNTDAVLVNAVAEPEPAGLAMLRRTLRVLPVWPIGPLSRDRRDAATEPTDDTVLRWMDTQLPGSVLYISFGTNSMIRPEHMLELAAALESSGRCFLWKIKPPEGDVAGLNGGATTPSSYSRWLAEGFEERVTASKRGLLVRILAHPSTAAFLSHCGWSSVLESMAHGVPVIGWPLTAEQFHNVMVLEGLGVCVEVARGNTDETVVERRRVAEVVKMVMGETAKADDMRRRVQEVRTMMVDAWKEEGGSSFEASQAFLEAMKLK >OGLUM04G05760.1 pep chromosome:ALNU02000000:4:9367619:9372621:-1 gene:OGLUM04G05760 transcript:OGLUM04G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYMQMTHEWLPKGWIIEVRAGGKNMNKMYKFYVYPPAGVRLFSKEDVLLYINKSEITGFDTNGECDTRTKDNILANVEFNPHSLPEGWVKEVVFRKTKTGVIRKDPYFTDPVNNYSFRTRKSAMLYVQTGKVPKRAFIQRTSVHDLYSFEKSADLHESLKKRLDFAARTNWKSRRSLKSKNSSLTEKSLSDEETEDGDSSDDLSDSSSEVKKNKGKLEKTTCKTKKSVSFNTAKRPVGRPSKRSTEEMPRDVEIKQESASSEEYWC >OGLUM04G05770.1 pep chromosome:ALNU02000000:4:9381778:9383242:1 gene:OGLUM04G05770 transcript:OGLUM04G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPAPIGAAVENAAARPYTKLRTQTGSTTFKGQGHLAGFLALARLLRRDALLPDGDVDVTLSSSDLPLREFITLLFEAFESLEPAFDGFLSGLVDQEGTTVCVVADGFVAWTVGVARRRGCPRTRSSCRAARSARPSSSETTTSEHDAVLSWLDTQRPASVLYISFGSQNSIRLHQTTKSSRRRWSPAAVRSSGPSARRWGSTCINGQFRDEWLPEGFEQRARGHVVHGWAPRVSILAHA >OGLUM04G05780.1 pep chromosome:ALNU02000000:4:9386144:9399277:-1 gene:OGLUM04G05780 transcript:OGLUM04G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVVKTEMVLAATFPFKKVQIADKYPKGQSRGRQWKHLRLLLQAADATSLPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPDEYVQRYLALRNAAVLACKVSRGSKQLMGSKEAFRRIIFCVLLLLCVSCKCLTSEVNTTQLAVLKVDASPQHARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPNTPSSIDPWSIIGNESVISVATDRSSCFSRNIIALRMEVLCGDCQAGGVGIYNPGFWGMNIEDGKNYSLVMYAKSLENTELTVSLTSSDGLQNLSSATIQVAGTSNWTKLEQKLVAKGTNRTSRLQITTNKKGVIWLDQISLMPSDTYKGHGFRKELVSMLLDLKPQFMRFPGGCFVEGQWLRNAFRWRESVGPWEERPGHFGDVWGYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGFSHNEEINATAIAPFDILDSLEFARGSTNSTWGSLRVAMGHPEPFPVKYVTIGNEDCTKKFYHGNYLKFHRAIREAYPDIQIISNCDGSSKPLDHPADIYDFHVYGDSNTLFSMRNKFDSTPRNGTKAFVSEYAVSSNGVGRGTLLASLAEAAFLTGLEKNSDVVQMASYAPLFMNDNDRSWNPAAVVFNSWKQYGSPSYWMQTIFRESSGAVLHPVTINSMYSNSLAASAITWKASNSSFLRVKIVNIGSNPVNLIVSTTGLEALVNMRKSTITILTSKNLSDENSFSKPTNVVPVTRELPNAGEEMFAFLGPYSFTSFDLALGQQKHVS >OGLUM04G05790.1 pep chromosome:ALNU02000000:4:9407293:9408045:1 gene:OGLUM04G05790 transcript:OGLUM04G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASRLVVNPSSFAQQVRLRWFAYGETLRTQLKGFAIMSKGPTGKSSLRAKQEGFTRRCEAFAKKPKDQNGPRRPFTNMGQAQKPMKRPLNQMDIICPRKCPYQKGIHVNSPANIKP >OGLUM04G05800.1 pep chromosome:ALNU02000000:4:9423682:9428521:-1 gene:OGLUM04G05800 transcript:OGLUM04G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHTKTALLCLLGAVATTAWLSFAVAQPQPPPACRRRCGDVDIPYPFGLNGDAPGCALGHGTYGFNISCNDTGNGVYKPFIWDVELLGVLLPEGQARILMSISSYCYNPATGAMDGPENNTWALDFTSSPYRFSHTGNVFTAIGCRTLAYIGGDNMDADVGSLTTGCVATCRLQAGNLTVTDDDVGACSGIGCCRTSIPVGLQYYYVWFDDRFNTTAIHNVSRCSYAALMEKSSASWFRFTPAYVTSSAFNDTFNGQVPLLLDWAIGNETCEQAKRASPESYACRSRNSECFDSPSGLGYICNCSKGFRGNPYLHPEDPSSCQDIDECTDQNMNNNCHGICRNTLGGFECICPAGTRGNASVGQCQKVLTHGVLLAIGICSSTVVGLLIFLGIEWIKYKRRLVRQDLMNKRDAYFRQHGGQLLLDMMKLENQVSFKLYDREEIELATNNFRESAILGQGGQGTVYKGFDLDPENNPVAIKRCKGIDANRRMEFGQELLILSRVRHEYIVKLLGCCLQFEVPVLVYEFVPNKTLHYLIHGQSDASTRTLDIRLEIAAQSAEALAYLHSLDHPIFHGDVKSANILIGDKFTAKVSDFGCSIFMAAADENINVVKGTIGYLDPKYLMTFQLTDKSDVYSFGILLLELLTRRKPLSNEVSLASLFQDAMKKGNIDHHIDKEILHEDNMELLYEFACLASQCLVMDSENRPAMSHVADKLRQLADTASQQHTGTLQGIRSLRLLGNSSGSISEPCYSPVETMEYDSRKTSMGIEFAR >OGLUM04G05810.1 pep chromosome:ALNU02000000:4:9445353:9445605:1 gene:OGLUM04G05810 transcript:OGLUM04G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHALSGRHTSLATCVALASTARVELAAARIALAVMADPLASLARAMEVVPDSEMEVAPVSSEVLLDSEE >OGLUM04G05820.1 pep chromosome:ALNU02000000:4:9485789:9487748:-1 gene:OGLUM04G05820 transcript:OGLUM04G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF303) [Source:Projected from Arabidopsis thaliana (AT4G34215) TAIR;Acc:AT4G34215] MRIFVLSGQSNMAGRGGVHHRRWDGVVPPECAPCPSVLRLTAALDWVEAREPLHADIDTAKTCGVGPGMAFARAVLPRLDPPGSGVGLVPCAVGGTAIREWARGERLYDQMVRRARAAAECGEIEAVLWYQGESDAESDAATAAYAGNLETLIANVREDLGMPQLPFIQVALASGNKKNIEKVRKAQLGINLPNVVTVDAFGLSLNEDHLHLTTESQVKLGEMLAQVYMSNFLPATC >OGLUM04G05830.1 pep chromosome:ALNU02000000:4:9489166:9489673:1 gene:OGLUM04G05830 transcript:OGLUM04G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGMATAVAEFRGSGDGAASGGGRGELLQTAVADGGGDGDGGRDGDGGGVVHGSGDSTASGGGRIVRHWWPPSPDATLVASAGDDGARRTVGSGSSRSRSGASSVGVGWWHFGASVVDALVDRVSGVKTLLCSGASNGDALGHHSPS >OGLUM04G05840.1 pep chromosome:ALNU02000000:4:9526979:9527488:1 gene:OGLUM04G05840 transcript:OGLUM04G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRARSDASHNSSAKRRRRGGGAATTFGEISYGSLTEVVLCSDDGMVAIESLGPRMSRLSRQHETLAWQL >OGLUM04G05850.1 pep chromosome:ALNU02000000:4:9529353:9532596:1 gene:OGLUM04G05850 transcript:OGLUM04G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAHHLRRRSSAALPRGWCCSFSATPQSPDHHRPLSAASAAGGEGVGGGGARGKLPPKSPSVSLPSFQSSPSSRLAGFIDPRRILSPGRVSPIDPDGSPAVATGANSEEDAPPRPSVPFVAVREEREEEEGRGLDLKLCLRGRDGRSVAMELVSAVLCESSAFFAAMAPPPEATVGGGSKRIEVDGVENVEAFKETVELMFEADPMRWFVRAGVSRAIGVLEVSSSIMFDRGIKSCLEYIEAVPWNENEEEKLKNLFARCTFDEAVSKDVLARLKPQCQSISDDVTVHLIQSVTSSTNTGARKEMQSLVNGLLSRSSVYQKDLSGLNKGSLYQICCSCLNSLVEHFTEDLCSDKIVRDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVELWAKQEDLISMHGQASAMFRYELSRISANVFIVLGKGKVQCPSDLRSQLFYGWFRPMLMDFGWLQRCSKGLDMRMLEENLGQALLTLPLQQQQSLFEEWFQCFASRGTECPNLSRAFQVWWRRSFVRSSLESRR >OGLUM04G05860.1 pep chromosome:ALNU02000000:4:9532651:9534961:-1 gene:OGLUM04G05860 transcript:OGLUM04G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLAAPRGVLLASQAVSASGGTIVFTTLCHSRYEFNIFSLPLSPSPHNPATELYLTDGVSVNYNGNFAPTSHSDSILFVSERNGSLNLYLSPDRLSLTPDGSHLVYVSTAIPAAEPGSSWVAVNSIELSTGRTCRLTPLGVADFSPAVSPSSEWTAIALPGAASWNGEVQDLRTDIYVFCTAYGSRRSLAPSWADETIVFFYCQDSNGWLAASDYRHIEVIDMSDDKNAYFEVTRPVAPRVHRFNPFISLDGARVGYHRCWGRGNGDSPLLLENIKSPGPADTFSLFRIDGSFPPSRTTARRSRSSGCRGYLLRERLPDVVGLEEERRDIHTSIGPDFAIESTEVDVVAISLGDDDDKTISMKKLTVGDENNAFPSPPPDGKWLVFRSGRSGAQEPVHHGRQGRRGRWHPAADGGGRTRCAIGPPTTSGSPSPPTAMPRGAAASPSWCTPMAPGCGGWCTAATAAGPTNHPWFSSDSKSLVFTSDYAAVSAEPVSNPHHYQPYGEIYTVDIDGSNIRRLTHNSFEDGTPSWTPYFLDPRDVGETLQASGRCAFQDCHWLNIEDAQPEELIYGKSC >OGLUM04G05870.1 pep chromosome:ALNU02000000:4:9537045:9564709:1 gene:OGLUM04G05870 transcript:OGLUM04G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTVKWRIFTDNARDFRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSFALLAINTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >OGLUM04G05870.2 pep chromosome:ALNU02000000:4:9537045:9564709:1 gene:OGLUM04G05870 transcript:OGLUM04G05870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAGLGATRNEQTEENATGLQRIEDGSVVSNEHTVKWRIFTDNARDFRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSFALLAINTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >OGLUM04G05870.3 pep chromosome:ALNU02000000:4:9537045:9564709:1 gene:OGLUM04G05870 transcript:OGLUM04G05870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGAVLVGQAAIFLGLCNDSALAQDDSAGLGATRNEQTEENATGLQRIEDGSVVSNEHTVKWRIFTDNARDFRNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >OGLUM04G05870.4 pep chromosome:ALNU02000000:4:9537045:9564709:1 gene:OGLUM04G05870 transcript:OGLUM04G05870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37590) TAIR;Acc:AT5G37590] MALYRRLLHLRRLQPPAEHASSVASATPRLFPLLTPSRPFAAVSSIPRVEPPLLVVPSGLARDGFFGRRGDRQFFSTVGARNLDEAEKFFQAALHEAKEGFGLRDPHVASALNNLAEFYRLKKEYEKAELLYLEAIEILEESFGSDDIRVGTALHSLGICYHLQRKFALAQTCYERALKIEGRVMGIGHPEYASTMYLLGKVLSQQGKDAEALIEESIRILEESGLGESPTCIQRMRYLSTGWDSLDTTNAAELLTMTLQTMGKLKESEELLERCLEVRKRILSEEHFQVFEFFTTSFALLAINTDWEVAVTLVHLARLTMLNFISDKEDSDLARSKLVRARLLVNDSIRIAEGILYDSRKDLNKLNNGRTTDRDKIAATSALLQALEVAGLLESGMKNMLTPGEQDLYPVEQALNKCVSLYKEPHTRKFVSKTLKNEYIRCLRRLTGIVQSDFAVSEALTLQGLLAEAQQILEELGHESN >OGLUM04G05880.1 pep chromosome:ALNU02000000:4:9567738:9596973:-1 gene:OGLUM04G05880 transcript:OGLUM04G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAASTVVLQDAFSTVGHRSGGQDTTRHTFSSSPAAARTLPKERRRQRRRRWRPPYAGERKSSARMAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCEFVDKVVSKSPTRHFIIHARKALLSGLSPAENRNILFPGYHQYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMLTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >OGLUM04G05880.2 pep chromosome:ALNU02000000:4:9567738:9596973:-1 gene:OGLUM04G05880 transcript:OGLUM04G05880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAASTVVLQDAFSTVGHRSGGQDTTRHTFSSSPAAARTLPKERRRQRRRRWRPPYAGERKSSARMAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCNVPVSVKCRIGVDDRDSYEELCELSSETSHVVSKSPTRHFIIHARKALLSGLSPAENRNILFPGYHQYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMLTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >OGLUM04G05880.3 pep chromosome:ALNU02000000:4:9567738:9596973:-1 gene:OGLUM04G05880 transcript:OGLUM04G05880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGAASTVVLQDAFSTVGHRSGGQDTTRHTFSSSPAAARTLPKERRRQRRRRWRPPYAGERKSSARMAIAVRSAAAAAAVARHSLRPPLAAPGSIRGASLLPPQRARGVGPCRARARAASSSATGGGGHLPPLFSVAPMMDWTDNHYRTLARLISKHAWLYTEMVVAETIVHQKDNLDRFLAFPAEQHPIVLQIGGSNLDNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEGMLCQLLLPIVMSQSALSAELVLMIVTPTKNFVNLYEYYFALLRDFPDVQFTLNGGITTIDQVTASIRQGAHRVMVGRAAYNNPWNMLGHVDSEVYGMLTRRSSRRQILESYQVYGDSIMGQYGPSRPNVRQLVKPLLNLFHSEPGNGLWKRKADSTLRHCKTLESFLEETLDAIPNSVLDAPIGKEAYIEEGHFADMDSLLPPRYSSLTNGSCEIPALVTAST >OGLUM04G05890.1 pep chromosome:ALNU02000000:4:9606721:9610235:1 gene:OGLUM04G05890 transcript:OGLUM04G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSGGMEKRPASQFNKSQSPRGACASTEPARTRAKRSRPTPAGGTEQAVAVAVPRRSLPSSINHNSKTGTRDWTNLGDRPAGKIAELALANDVANYVRFRAVCRPWRLSSSLHPRPQDGGLDSRFLPRHWIMLDKAHHNKGTTAAATHRRHRFLNVSTGGCIHTDDIPDLADHTMLALTPEGLLLLLHEPSLRLHLLNPLTRHRTGLPPVTALLTPEWRQRASWRLGAHGVGLRVNAAGLVVEDASTVCQLCLVVDEDYEHLFVSCTYTTRVWRMIKGWIGVGFLLPSELGLDLGEWWLAMRQKFRNNYRDAIDSLVLLVCWMVWKERNARVFQNQRRSAGLLFGSIKEEVAIWKEAGVFRNIGERLLR >OGLUM04G05900.1 pep chromosome:ALNU02000000:4:9658506:9676743:1 gene:OGLUM04G05900 transcript:OGLUM04G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01460) TAIR;Acc:AT2G01460] MEDYRTVAGADDGSDVDAIDFDALARNLQACSYAGDAVECNYCVKVIVDGAYALHSTLRSLLDIRVAVIRIDNSFVCSFREPYYKLKCKNELPDGHNLYFFNQNEGETENFIEMYLRPPFASEEIKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTCTSVSRDQLLIAAETIDTLNETFLVLKGPSRKIVAAEARKLGIKGPWITKSYLEMILESKGVPRLNTPPPISRKLLTESQEKKIVAPKPIRVSTENVANFDDFAQPWTRSPPKKFDQEPVMGKWQFNQDSSSGSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGFPVIVGIGGPNGSGKTSLAQKMANIIGCEVISLESYYKPEQVRDYKYDEYSSLDIGLLTKNIMEIRKNHKAEVPCFDFEKCKRKKFEELQVSEECGVPSSITFDLTRDHHDSMRMYTIKVIFEGVYALHPAIRKSLDLWIAVLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDASKACSCVQNFTDVYLRLPGIPLSGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGYRAVAYIEASATIYQDGKILIEVDSLQGETNPYLQIKGTNKEIVSSAASALSLDDSYTTKSYLQIILESLPADENVHTGIHNQQAARLQELVEFIQSQGGSFNSDLSSPTREVSSTDSFMDYITVCSCWILTLPEKDDAKALTIHTFDPNLTSLVLLSALILIRTFRSLMRCDEKNPLELAGGLTCSIMHTTVECLLQSLFLGGYRFLLSFDLLSPMPQFSEWTCIDTSTTWLIGDGKTDGICCVRRNQDPLTYYPLT >OGLUM04G05910.1 pep chromosome:ALNU02000000:4:9677699:9678133:1 gene:OGLUM04G05910 transcript:OGLUM04G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLRPSGATTPYPPPQQKKSNATLPIPAHECRFPPSTSPQRVRGTHRVSTHNSNNHDSKPSSAEQEEAAWSSTQCAWGRRSSIYWLASRRRCSTDGGQNGVAAGRQAAQCRGGGGMSACGLQRDERGKRRNEEGRRLVRTSE >OGLUM04G05920.1 pep chromosome:ALNU02000000:4:9680798:9684709:1 gene:OGLUM04G05920 transcript:OGLUM04G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKSSGGGGGGSGMCDLPMDCIACIASLTSPGDACRLAAAAAALRPVADSDDVWGSRPPEWAGDGDGDGDTLDGKPGGREGESKKEMFLRLCDSPVLLDGGKLSFSLEKRSGAKKYMMPARALGFGWSGYPYGGLVWIQNHPDSRFSEVAILSHLCWLDIYGIFNTKHLSNGTSYGAYLVYNVQFLHTEDQNGGYKEQDATASGSSSTSSICSHECNHLVPQKHLRSLLFNMDYDGSSFVKTNNNQKKELKYVGICVRSDGWMEQEISTEISVVKQNNEENGDISIEFRGLTGSHQCQIIVEGIEIRPKN >OGLUM04G05930.1 pep chromosome:ALNU02000000:4:9685873:9692897:-1 gene:OGLUM04G05930 transcript:OGLUM04G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZID4] MPGGGNRCGLQPISLLQALATIILAVAAAAAAARPAPIAGTSSSTSRVAAANGTCQSRVAPFGYACEEHTVTTEDGYILSLQRIPSGRGETAAGGGGGGKVPVLLQHGLMMDGVTWLMNSPNESLGYILADNGYDVWIANSRGTVYSRHHTSLVSSDSAYWNWSWDELSSKDLSAVVQYVYSQAGQQKMHYVGHSLGTLIALAALSDQQQQIGMLRSAGLLSPIAFLDKMSSPLARAAADVFLAEALYWLGLSEFDPTGEYVHSLVTDICKQPGIDCYNLMSAFTGDNCCLDNSSVQVFLAHEPQATATKNMIHLAQMIRGGTIAKYDYGNAGDNREHYGQATPPAYDVTAIPGDFPLFLSYGGRDSLSDVQDVSRLLRALGQSHSRDGDKLTVQYLADYAHADFVMARNARERVYAPLMAFFKLQEK >OGLUM04G05940.1 pep chromosome:ALNU02000000:4:9741416:9744148:1 gene:OGLUM04G05940 transcript:OGLUM04G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZID5] MNSVCLTNGPTIRPRCDCEPGQRKPRTARTPGQRGTRAYPSDRRRADRVVARAPIAIAIGALVSSHRSVRVRARLAHTTLPPRAINSPSPRIHARAAFQPPHRLKCPSIPHSHPSSPPRLHLALEPSFLLLLHIHRKELLGVCFLVLRGEMSKMAEEKVLAAPATVDGGMQSSGDLQASSAAAARVRPVETLLRAAPLGLCVAAMAIMLRNSVTNEYGTVSYSDLGGFKYLVYANGLCAAYSLASAFYIAVPRPATLSRSWVVFLLDQVFTYLILAAGAASAELLYLAYNGDKEVTWSEACGVFGGFCRQARTSVAITFASVACYILLSLISSYRLFSAYDPPQPSLGNKGVEIAAFPR >OGLUM04G05950.1 pep chromosome:ALNU02000000:4:9747795:9751134:1 gene:OGLUM04G05950 transcript:OGLUM04G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALSTTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >OGLUM04G05950.2 pep chromosome:ALNU02000000:4:9747795:9751135:1 gene:OGLUM04G05950 transcript:OGLUM04G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALSTTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >OGLUM04G05950.3 pep chromosome:ALNU02000000:4:9747795:9751134:1 gene:OGLUM04G05950 transcript:OGLUM04G05950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKEHRRGVPRPPPLSLYIEREQGEVIMAGQALSTTRMLQQVATSSSGKKRILSKQLSMKETTREVKWEKRRRQIHRQRSSMALQDVEEEASANMFAATTDSEMGSSTERVPKRLTDGDLDELRGSMELGFGFDEENGGQNLCDTLPALDLYFAVNRQLSEPKMRLSTSSLPSPTSATSSSSTLGGTSNPGSPVAPSSFMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >OGLUM04G05960.1 pep chromosome:ALNU02000000:4:9753275:9753833:-1 gene:OGLUM04G05960 transcript:OGLUM04G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSKRSSASGDVPVWCKSGAVDLVEHGQPRAPVAIFLGGRPPTSSFLRELLNDLPEAVLWLRREKSELERAVEELRLKEEEQCAELSAAINQVANLRLVASENDAKMAAMRAIKVGYRNRDLCL >OGLUM04G05970.1 pep chromosome:ALNU02000000:4:9766445:9767323:1 gene:OGLUM04G05970 transcript:OGLUM04G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWRGSSGGGVADLEDVAGLDDDIVHVDELEAGEVAGHGDEVDGGALVELEEARVVEKLLELTNGGGARRMER >OGLUM04G05980.1 pep chromosome:ALNU02000000:4:9766861:9769443:-1 gene:OGLUM04G05980 transcript:OGLUM04G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATASVMKMANTVVTIAVRIQTRIFPSFRGVWVFEDGMVRRADSEAPSRGRGVGGGGGGGKVLVHMPSSEVVTSYEVLERRLRELGWERYLNDPCLLQFHQRSTVHLISVPRDFSRLKLVHMYDVVVKTRNVFEVRDAATTAAPP >OGLUM04G05990.1 pep chromosome:ALNU02000000:4:9812704:9816429:-1 gene:OGLUM04G05990 transcript:OGLUM04G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGRSPSLEHCRPSPRANTFASTRQTHSLTFPFFSPSEISHHRYLPYRPELSPCHRRSGHISHFHCRPLPSRDARRRGTRYVAGAAAFRPPEDRRPARLLFSLPATNRRGEERRREEEGEEKEKERLTATKKPPVKTSHLLWGRSRFTIPDVEPLVVKSGKTQTKGRSATPGTPRLNAYNGRSFTILSTSNTRHIVRSRAMCDPNRSATTHGCRSNTSTKPTQTSHPSIRYISLLYLDGNLWYFEHRIIRLPISDLFALEQGKLLFLPFEHNYPIFYILFYALAIHDFEYII >OGLUM04G06000.1 pep chromosome:ALNU02000000:4:9896867:9897694:1 gene:OGLUM04G06000 transcript:OGLUM04G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAGLILALALASSSPPAAAAVKFNVTEILHEFPEFSVFNGLLSRTRLAEDVNLRPAVTVLVVDDAAAGAITSLPEATQGEVVALQVLLDYYDPVKLYSLKAKTALLPTLLRPTAAGGGGGGVGLVKYTQVADDQMAFGSGEPGAPIGSQLVKVVACRPYNLSVMQVSAPIVAPSLGGPSSGGGAPPSSPATAKSTDEASASSMTDYDDDPIAPAAVDGPGNVDTPPTKTTSPSTNGTSAAADGTTTSAGSRAVVAGAGVGLMAGLVMLISV >OGLUM04G06010.1 pep chromosome:ALNU02000000:4:9902882:9903843:1 gene:OGLUM04G06010 transcript:OGLUM04G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRDSIPMVSLASSAAALYARVASAFLRPGLPRLAALLPVVALLAAAPLAFTSSAWARSQSTASRCSPLLPVKLRRGGGCPGAAAKSVSLVSCAAKVAAIATILHLYESKIQLLHRYIRLAMYGIHIYCFLDLLLPCIAAAGSALGMELEPPFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAGVVATFLISGLMHEAMVYYMTLRLPTGEMTAFFLLHGVCCVAEEWCARRWVARRWPPPPRPLGSLLVMAVAAGSSFWLFFPPICREGSEEMLLEEWAAVAAFFQDAGRKLRRAPVRFTD >OGLUM04G06020.1 pep chromosome:ALNU02000000:4:9909372:9910649:1 gene:OGLUM04G06020 transcript:OGLUM04G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGYYGASVSMMISYGGAIVQADGKAKAAYYAGGVHRIVKVGMSERLPGLRARLAALAGCADVFIRYALPGEGLGVLRDVADDGDLWSLVSLLFYYKEVAVSSKPGRIRVFLFAADHNAPPHRTASAPSLPALAASGGASAAATPVTLGLPRSASSPSLATSGSGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLAGLRARLAALAGFSDSDNVRIRYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMATPKQGRIRVFLFAADDAPATSSTSPTAAPLRRSASSPFLPTLVEEDEDDDDNDGNTDTAAATQTSTSRVTATVGMRRSASSPALATTSSSSDAATASTSGSATSGSSGDSDTPAMTSSSTAAVQLGPVVLVPVMVVFPVIPVYAIGVVDYRGVLLVA >OGLUM04G06030.1 pep chromosome:ALNU02000000:4:9911266:9915043:-1 gene:OGLUM04G06030 transcript:OGLUM04G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPPPPPVIGKAGNLTVFITPPSPAEAEAEGSPDSPRSEFTTPSGSPRAAEDSTPPPSPPRAEQPPVKEEAPAASPQLATPPPVKTVSPPLPAAKLSSAAAPRPPPPVQVPLPSVKLSAPRPPPPTPPPVQVPPPQFEKAEARPDGSMLALFWDTVARVQEAHASLDVYISNWFGLDQSKYQWALNEYYESNGKDMESGKAAKPKELGTKLENCVKFHWEVDTPSAATSRPGHNL >OGLUM04G06040.1 pep chromosome:ALNU02000000:4:9918525:9921529:-1 gene:OGLUM04G06040 transcript:OGLUM04G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: chromosome segregation, cell division; LOCATED IN: chromosome, centromeric region, nucleus; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Centromere protein Cenp-O (InterPro:IPR018464 /.../ 43 Blast hits to 43 proteins in 15 species: Archae - 0; Bacteria - 0; Metazoa - 11; Fungi - 0; Plants - 31; Viruses - 0; Other Eukaryotes - 1 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G10710) TAIR;Acc:AT5G10710] MAHKIPIDDEQWNDGLLATIREKVHMEADRKAMANQANVPADPQFQSRTTYRIKNKVIYCLDGARIGIQYETYFAGEPCEIYHCVLESKSFLEKMTVIEHTLPFFLPIREVESEFLSSNAIKFIDHLEEILQSYVDRREQVRLIKELYGNQIGELFHSLSYNLIEFVMEDFECKVTVSIRYSDLLFTLPSQARVLAWPLRSSRGISVTERRASRSASAQLVPFRLPYAEDALKTLSLPEAFAEIVLSLPRALKRILSSQESD >OGLUM04G06050.1 pep chromosome:ALNU02000000:4:9943168:9951394:1 gene:OGLUM04G06050 transcript:OGLUM04G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSTIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSHKLINGISLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >OGLUM04G06050.2 pep chromosome:ALNU02000000:4:9942720:9951394:1 gene:OGLUM04G06050 transcript:OGLUM04G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSTPPSVQPAPTVQPLPSSTAGQSTRIDLREIKSNIIKRIGPGRAKKYFQHLERFLSSKLNKNEFDKLCLVTLGRENLPLHNHLIRSILHNACRANGPPAIDAPKLAGDVSKSEQILHPVWNNGNVSIQHVKDNRSLTIEQEGAPIIRENGAPNFSDLKRRQQVQNSEHAEPRNKRLHYEKEPPSFLEPSHSNGPSAISYGENSGGENIHRIQGPVRAPLGIQFSPVNFGGIQKSSTIASVPPNDSSVSCYELGELCDTMLLSKRMEKIAEAAGLEGVSVECANLLNNGVDVFLKQLIGSCVQLVGTRSQLGKLSHASLKQQLSHKLINGISLKNHVHGQGIIIPTGPNSISIQDLKAVSELSPHLLGVNASLLREKINSYD >OGLUM04G06060.1 pep chromosome:ALNU02000000:4:9952618:9958566:-1 gene:OGLUM04G06060 transcript:OGLUM04G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAAAADGGDIEEVDRLKKRIRTLEMEETKLNQHMERVIRGIEANEAYLVGMVLRIMEKGPEDETAEDDCGVGFHLQRKIIFRPIAGVVYPSKLKPGDLIGVDSTSNEHYCGIGGLEKQIEELVEAVVLPIIHKNCFQRLGIHPPKGVLLYGPPGTGKTLVAHAFASQTNATFLKLTGPQLAVKLIGEGARLVRDAFQLAKEKAPCIIFIDEIDAIGSKHFDSGDREVQQTIVELLNQLDGVGSYESIKVIAATNRPEVLDPAFLRSGRLDQKIEFPHPSEQARIHSRKMDKNPDVNFEELACCTDDFNGAQLKAVCFEASMLAFHRDATEVRHEDFVRAIAQVKDGNY >OGLUM04G06070.1 pep chromosome:ALNU02000000:4:9958869:9966682:-1 gene:OGLUM04G06070 transcript:OGLUM04G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGGVRLQFGIGVGVAALEIKLNRPFTGEYGSSVRMMVSYGGEIVQGDHGPDGKAAAPCYAGGVHRIVKVGLSERLAELRQRMAALAGCRDVCIRYALPGEGLGRLRDVANDGDLWGLVSLLFCHDASKTGRVRVFLFAVEAPLLRSASAPSSLPALVEEDATTAVSGGAITLGLPRSASSPSLATSDSGTAVRMKVSYGGEIIQQQRGGSAAASCYYAGGVHRIVRVGLSERLASLRTRLAALAGFSGSDDVRIRYALPGEEGLHLHDVASDGDLWSLVSLLFFHEAVMATSSKPKQGRIRVFLFAADDAPATSSTSPPAAPLRRSASSPFLPTLVEEDEDDGDTETAAATQTIPSRVTATVGMRRSASSPALAMAMATTSSSSDAAAAASTSSGATSGSSGDSDTPAMTSSSTAAAAAVQFGPVVLVPVMVVFPVIPVYPIGVVDYRGVLLPETSASGTRSREISSGTFPTWRATATCGASCRCSSSTSRVMATSSKPKQGRIRVFLFAADDAPVAPPLRRRSASSPSLVDVAKHQGALPALAEEEEDMDIDTAAATSPAGVSVTRTGQGMRRSASSPALAPPQPSESGTAAATSTSSSSSGDGVQFAPVVWGATDPRVAVYPLFTCCVPRGIVKTDNEFGEHCASAEQPSATTPTGGAAGLGLTGTPPSSPRENNGERQRAEAVDGSAADESVVEELGDADQEASTGEGDTASPSTTSMGELLSSPPDGTAN >OGLUM04G06080.1 pep chromosome:ALNU02000000:4:9969429:9971881:-1 gene:OGLUM04G06080 transcript:OGLUM04G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHCSSNTAHHMMCPRCCCCRPLRARPRLVSSRVLKLGRRNETQTKPLRGGTATVQIAPPHKKRGHLSSPLLLHLPPPPPASGHGRSVVSSPSPRPDRPTGRCPPLPPCPAPSSDPAGSGGGGGGEAAAMATSKKDPPSRDRAARMSPNLKRSSGIEASSAAGYGPRRARSVPSSPDRKFGAAAAAPAAASGSPDVYRPSLSAAGRSTSARSVSGSSRTQPFPKPTLARVKSERATTAAAASPRPPALVVPASNSLKDMARTAPSASSKAPSTLQKSKLSPRPSPDKAAASLKPITQRSPASVTARGGRTPVVSSSRVPGNIAAKKRAESANGGSASSKARSGAPQRAMAASATSKEEKEDEPSMQFEESESISTPSIEDHLHEQLPDPVDLKPLDMSASDSALYGQQAPSSDIPEQQSKNEEVKESFSEDKDVVVGNELHNGGQGADDIAKNITGIVKADDQSQLAEKEEAKAKVDKVWRKDELKSNDVIEETKSKLLEERKSRVKALVGAFETVMSFKE >OGLUM04G06090.1 pep chromosome:ALNU02000000:4:9980747:9981181:1 gene:OGLUM04G06090 transcript:OGLUM04G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVEEERVRGEEEEDDRPQLSAAAVEALPEFLQEQRRDGGEEGSGGVELVAEDWRLSQFWYDECTARELAEEVVRLISLFGPASSSTTAAVVACVVCPTLYAYLKTSNPKGIGDSGGVWGTVGSGAAEEATGRGGGSKTAYR >OGLUM04G06100.1 pep chromosome:ALNU02000000:4:9985882:9988801:-1 gene:OGLUM04G06100 transcript:OGLUM04G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRTAATPDQRRATTAPVPTDGPALPLLHLHRQTTAHQCIPHPPEPRLPPTAPRWFPLLPEPLRRSTSADGPPQRQSYLILATDQRCRCCASTAGPPRTTASPFRRSGATHGTTLDNAAVVPPTAGAAAALDQRRRTSAPTDHCSAKPPPRSHVPLIPISGVFVFASPCPGSLRGGGGVAVPDRILPMRPWLSRSKSMRALAACRPALRLAGRDRLREMEDAVGAEAARVKCCKCFIRPRLSAGLLAVFAPVLSTADGTRELQQAVAGVLQSGIAKHSKHLTN >OGLUM04G06100.2 pep chromosome:ALNU02000000:4:9985882:9987505:-1 gene:OGLUM04G06100 transcript:OGLUM04G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSKTCIVTAASPPDKWTIPKIEMEDAVGAEAARVKCCKCFIRPRLSAGLLAVFAPVLSTADGTRELQQAVAGVLQSGIAKHSKHLTN >OGLUM04G06120.1 pep chromosome:ALNU02000000:4:10093441:10095593:1 gene:OGLUM04G06120 transcript:OGLUM04G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSLYACVSANSTCLRVDSTYGYVGYRCKCIDGFHGNPYVVNGCVDIDECKKTPGICKGICHNDIGSYHCTMCPDKTEYDVTAMQCVSRKKQNLLIDGKGTFKDNYEEITFEKNQGLLLEQLISSDENASDKTKIFSLEELEKATNNFHPTRILGHGGHGMVYKGILSDQRVVAIKKSKISKQDEIDNFINEVAILSQINHRNIVRLFGCCLEIETEVPLLVYDFIPNGSLFGILHANASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSASILLDANCTAKVLDFGALRLVPINETHVVTNVQGTFGYLDPEYCHTEQLNEKSDVYSFGVVLIELLLRKEPIFTSETAKLVELFSLGKKVKLIRDIVADQVLEEATEEEINTVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTEKSNIEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM04G06130.1 pep chromosome:ALNU02000000:4:10109024:10115745:1 gene:OGLUM04G06130 transcript:OGLUM04G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNSCWIGVANLQLRFVRSNSKGFLSSRSSLWNRINIVSSSANVLWGINNRPTCASAKDNQTDYACVSINSECMDMSIDLGYLCECNSGYVGNPYVLDGCTRDEVYHPVQQKANCSRRCGNISVPFPFGLEEGGAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFTEGVVDIKLTSGLEEGAFRMYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDCYVGYRCKCMDGFHGNPYVVNGCEDIDECTKTPGICKGICHNDIGSYQCMECPHKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTILLFVMSGMLLLRRWKRDIQRQLQRNYFRKNQGLLLEQLISSDENASNKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQHMVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHAHASSSFRLSWDDCLRIATEAAGALYYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSEIGLKENLSNYFLWERKVRPIRDIVAGQILEETTEEEINNVASLAEDCLSLRQDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATVSSYQPGLEHEFMSSATIPC >OGLUM04G06130.2 pep chromosome:ALNU02000000:4:10109024:10115569:1 gene:OGLUM04G06130 transcript:OGLUM04G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNSCWIGVANLQLRFVRSNSKGFLSSRSSLWNRINIVSSSANVLWGINNRPTCASAKDNQTDYACVSINSECMDMSIDLGYLCECNSGYVGNPYVLDGCTRDEVYHPVQQKANCSRRCGNISVPFPFGLEEGGAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFTEGVVDIKLTSGLEEGAFRMYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDCYVGYRCKCMDGFHGNPYVVNGCEDIDECTKTPGICKGICHNDIGSYQCMECPHKTEYDVTAMQCVSRKKQNLLIEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSEIGLKENLSNYFLWERKVRPIRDIVAGQILEETTEEEINNVASLAEDCLSLRQDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATVSSYQPGLEHEFMSSATIPC >OGLUM04G06130.3 pep chromosome:ALNU02000000:4:10108060:10115745:1 gene:OGLUM04G06130 transcript:OGLUM04G06130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNSCWIGVANLQLRFVRSNSKGFLSSRSSLWNRINIVSSSANVLWGINNRPTCASAKDNQTDYACVSINSECMDMSIDLGYLCECNSGYVGNPYVLDGCTRDEVYHPVQQKANCSRRCGNISVPFPFGLEEGGAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFTEGVVDIKLTSGLEEGAFRMYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDCYVGYRCKCMDGFHGNPYVVNGCEDIDECTKTPGICKGICHNDIGSYQCMECPHKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTILLFVMSGMLLLRRWKRDIQRQLQRNYFRKNQGLLLEQLISSDENASNKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQHMVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHAHASSSFRLSWDDCLRIATEAAGALYYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSEIGLKENLSNYFLWERKVRPIRDIVAGQILEETTEEEINNVASLAEDCLSLRQDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATVSSYQPGLEHEFMSSATIPC >OGLUM04G06130.4 pep chromosome:ALNU02000000:4:10109024:10115745:1 gene:OGLUM04G06130 transcript:OGLUM04G06130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNSCWIGVANLQLRFVRSNSKGFLSSRSSLWNRINIVSSSANVLWGINNRPTCASAKDNQTDYACVSINSECMDMSIDLGYLCECNSGYVGNPYVLDGCTRDEVYHPVQQKANCSRRCGNISVPFPFGLEEGGAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFTEGVVDIKLTSGLEEGAFRMYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDCYVGYRCKCMDGFHGNPYVVNGCEGIVIGLSVGFTILLFVMSGMLLLRRWKRDIQRQLQRNYFRKNQGLLLEQLISSDENASNKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQHMVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHAHASSSFRLSWDDCLRIATEAAGALYYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSEIGLKENLSNYFLWERKVRPIRDIVAGQILEETTEEEINNVASLAEDCLSLRQDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATVSSYQPGLEHEFMSSATIPC >OGLUM04G06130.5 pep chromosome:ALNU02000000:4:10111891:10115745:1 gene:OGLUM04G06130 transcript:OGLUM04G06130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNSCWIGVANLQLRFVRSNSKGFLSSRSSLWNRINIVSSSANVLWGINNRPTCASAKDNQTDYACVSINSECMDMSIDLGYLCECNSGYVGNPYVLDGCTRDEVYHPVQQKANCSRRCGNISVPFPFGLEEGGAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFTEGVVDIKLTSGLEEGAFRMYRSGEPYLYASFGEAVISLHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTDCYVGYRCKCMDGFHGNPYVVNGCEDIDECTKTPGICKGICHNDIGSYQCMECPHKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTILLFVMSGMLLLRRWKRDIQRQLQRNYFRKNQGLLLEQLISSDENASNKTKIFSLEELEKATNNFDPTRILGRGGHGMVYKGILSDQHMVAIKKSKIIKQDEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHAHASSSFRLSWDDCLRIATEAAGALYYLHSAASVSVFHRDVKSANILLDANYTAKVSDFGASRLVPINETHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRKEPILTSEIGLKENLSNYFLWERKVRPIRDIVAGQILEETTEEEINNVASLAEDCLSLRQDERPTMKQVELALQFLLNKRLNSCRTVQANKEEMEPFIMTKVQHSTENSNVEFLSNKATVSSYQPGLEHEFMSSATIPC >OGLUM04G06140.1 pep chromosome:ALNU02000000:4:10163132:10167868:-1 gene:OGLUM04G06140 transcript:OGLUM04G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDWGSRAATRVALRAVVPAVRVEQRNGGSSSVSALPEDDGDAGSSTEDAIEGTTSSGSWGKWWRGALGRLESCPRRADLKREGKTATSARAAWLNRRFVWGSRRGERRPRPPHVRTRRKGARTAASWGATCAGGVAMAVAGRRRKKALTGWAPSAPPIGGRRERRVAK >OGLUM04G06150.1 pep chromosome:ALNU02000000:4:10221680:10222549:-1 gene:OGLUM04G06150 transcript:OGLUM04G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMLLLLPLLSLAMSSRSLALNQDFCVGDLARGETPAGYPCKPEATVTTEDFCYRGLATTGPTVNPFNIALSSAFFTRFPGVNGLGISAARVDFSPGGIVPLHSHPSGTELIYVVEGTLSAGFISSTSNKVYTSTLRKGDLMVFPQGLLHFQINDSGGGGDGNNATAAMALSFYSSSNPGLQIMDLALFANNLPTDVLSKVTVLDDLEIRKLKSLFRGTG >OGLUM04G06160.1 pep chromosome:ALNU02000000:4:10231178:10231608:-1 gene:OGLUM04G06160 transcript:OGLUM04G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAARLSAIPQEIADIENTKLELEQMLGVLGEPLFLAFVDPAVIIQDDIVLVQNQIRILENRKKALLEEQQSLIVMAAHHGSKEEEVFLAGVNPVASTSVLLSHL >OGLUM04G06170.1 pep chromosome:ALNU02000000:4:10243257:10248230:-1 gene:OGLUM04G06170 transcript:OGLUM04G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLNPSSSSSSKATPPPPQQQQLLLLLLHLLLVAVPATSLTFSYDADSFVSEDFRQEDDAMVTAGRIELLGEEFAARARGRALYKRPVQLWDGATGEEASFAASFNFTIRSVAGRGNALAGHGMTFFLAPFMPDMPQECYEGCLGLFDQSLTRNTASATMGNASGAASFVAVEFDTHMDGWDPSGRHVGVDVNNVDSRRGNYVVLPEDSLVDAGVMSATVSYDSGARRLDVALAVGGGAATATYNLSAAVDLRSVLPEQVAVGFSAATGDQFASNHTVLSFTFSSTLPTRTTNPPPPSTSSAKTAHLSAAVAAAGIALLLLVLAITILIRRARKRRRRDDGDCYDDSLDDDEEEDMESGTGPRRIPYAQLAAATGGFTEIGKLGEGGSGSVYGGHVRELGRDVAIKVFTRGASMEGRKEYRSEVTVISRLRHRNLVQLMGWCHGRRRLLLVYELVRNGSLDGHLYSNKETLTWPLRYQIINGLASAVLYLHQEWDQCVVHGDIKPSNIMLDESFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASTESDMYSFGIVLLEVASGRRPMVVAPRAAAATAGGGKDDDDGGGQVFRLVEWAWELYGRGDDDQSSLDAIADARLGGAFDRWEMERVVGVGLWCAHPDPKARPAIRQAAEALQSRKFRMPVLPPRMPVAVYLQPFAASTMKYYGDSMTSFGSEVVGYSSTSLATATLSSSSSLPSAMANNDSLCPRE >OGLUM04G06180.1 pep chromosome:ALNU02000000:4:10257521:10265175:-1 gene:OGLUM04G06180 transcript:OGLUM04G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGVDASQRPCKAFTSAFPSSTTTNHHRRIRTTTLFLQPPSPVDQNRNLALGGKEIHGEEIVGSSLMKPTSWIRPCMKGEGWLKRVKRGVEAKQLRPYILPVGRVNLVAFARYGDHIIG >OGLUM04G06190.1 pep chromosome:ALNU02000000:4:10272153:10272572:1 gene:OGLUM04G06190 transcript:OGLUM04G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALVGVVSLLGGVVMAFFHIPQNLQLSYIVFGEAFAFLGPLRFLWWVSRFSVVSVDEVGAARWWGAVKLGNDDMLRSLPRSYGAGCVKEVAPRWLG >OGLUM04G06200.1 pep chromosome:ALNU02000000:4:10275183:10275755:1 gene:OGLUM04G06200 transcript:OGLUM04G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFYCCLAAVLSLASASPAAASISVSAAAEPPANSLLPAEQFLRAHNEARAAVGVPPLAWNATIALDAQRYAGELRASCEARPVWAWGTDGLYGRNLYRGSGPRVRAGADASARWAEGARWYDRDGDSCAAPPGRCCGEYTQMVWRATTQIGCARRLCRCLGDTCPLELDTVAVCEYYPPGNIAGQRPY >OGLUM04G06210.1 pep chromosome:ALNU02000000:4:10292074:10293011:-1 gene:OGLUM04G06210 transcript:OGLUM04G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAPDPDTLNSEVADPTIPSLGALDPAVLASAMPDPFVSGPPSTPHHVVEVNLPWGEQASAVPFHPILGC >OGLUM04G06220.1 pep chromosome:ALNU02000000:4:10292860:10293339:1 gene:OGLUM04G06220 transcript:OGLUM04G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWCGRRTAYLTNGSGIAEARTAGSRAPKLGMVGSATSEFRVSGSGAPEFMVARTVRGRVGDVEAHRRPEISSDGRRGLSMALGSGVVPHSPMVLAPALSSSLARYDPDLAWWRREGGGDLDLEWWRHGGDRGRWVKEAATVGGGRRRQRPGGGRRRSR >OGLUM04G06230.1 pep chromosome:ALNU02000000:4:10294382:10294912:1 gene:OGLUM04G06230 transcript:OGLUM04G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQCFAATTGAGNGVSGADAGRRARAAQTVAGILAVHNEARRAVGVAPLAWSAGIARYAKGYAASRRGDCAPRRSPLFNFGENAFVGKGRRWNAAALAAAWVDEGRRRYDYGSNTCDGAAAAASSGSSSPCTRYTQVVWRNTTQVGCGRIVCDSGDSLLVCDYFPPGNYGTGRPY >OGLUM04G06240.1 pep chromosome:ALNU02000000:4:10306109:10310403:-1 gene:OGLUM04G06240 transcript:OGLUM04G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLKRQMTVTIAYVWPVGGTTEAQARGLALHRHMHRCSVCSAQPPPSLLLSCPPDQAGSLLRVLRTAASIITVVMPSGPSPAGIANKCRQESWQQTKGRTAQLWSYLRFGF >OGLUM04G06250.1 pep chromosome:ALNU02000000:4:10306218:10306784:1 gene:OGLUM04G06250 transcript:OGLUM04G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLYCCLVAVLCLPWASASPVATASISVSAVQAPVEPTPVQFLRVHNEARAAVGVAPLSWNGTLQLDAARYAGELRRDCSLRPPPPTAERGTGDGAPVYGRNLFKAYGRRHTGAEVAAFWADGRRWYDHDAGRCAAPPGLTCGAYTQVVWRATTQLGCAHRTCRNGVDTVAVCDYYPPGNIVGQRPY >OGLUM04G06260.1 pep chromosome:ALNU02000000:4:10312856:10317793:1 gene:OGLUM04G06260 transcript:OGLUM04G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNPSNLPCSSDGVCMVCKVLTAEVEQLRCSTCATPWHTPCLSSTPPLTDVADWVCPDCSGDVTASYLPSDVARPESSLIAAIRVIEADPVLSIQEKARRRQELLGHAGDAGAARTEAVGENVEDSTESNNPLSMLNKNINCSFCMLLPERPVTTPCGHNFCLKCFRRWIENGKRACVICRAPITQKVAQDLRINLALVQAIRMAKAANNASTTGETTVYHYKENEDKPDRAFTTERAKRAGMANASSGQIFVTIAPDYFGPILEDHDPRRNRGVRVGDHWKDRMECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTSKEQSFDQKFEKLNAALRVNCLNGYPVRVVRSFKEKRSPYAPESGVRYDGIYRIEKCWRKTGVQGTFKVCSNEHGDHPRPLPDIEELKNAIDITERKGNPAWDFDATDGWKWMITPPISRKAVVTGDPRGKKMQGAARHTNNLSMRERLLKEFRCSICRNVMEEPVTTPCAHNFCKKCLLGSYDNLSLTEERSRGGRILRARKIVKKCPSCPSDIADFIQNPQVNRDIMNVIESLQNEAEKEDHARVSREGSSAALVDSDDENDTAWENQDDGNLDEGGCNNPEDMITESVDLDSVTNVDNTENKVEVQQPHKRTAGAGKGKGGKRARTSSPGDADARNIVTSTETLDGIAADENVADLVQTEDCTFTGVERADPNALEVDGKNMIPDFSEAEKVNPKQDQEVLP >OGLUM04G06270.1 pep chromosome:ALNU02000000:4:10378198:10378746:1 gene:OGLUM04G06270 transcript:OGLUM04G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSCLAILSLLALASHLPDAASAPRGIGPLSPAAAAKLVSAVNGVRRQAGAPPVAWSATVAQRAKLRAEWLSDPTKGRCDVANAGLDPGLTRVLIKPIVALTYFVTGGGPGRRRAADAVGAWAEGRRWYDAGANRCVAGGGEECASYKDMVQPAWKTVGCAVAPCASGQTLTICAFSPA >OGLUM04G06290.1 pep chromosome:ALNU02000000:4:10390338:10390895:1 gene:OGLUM04G06290 transcript:OGLUM04G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPCLAVAVAVLSLLALANSNHLLASVTSAAGRGRSSIPRGHIEAMKFLYAVNDVRQQAGAPPLEWSGAAARRAKERATWLRGPGGCDLQAQKGRDVPAPANGGAVTYFLSDGGGRASPEDAVRVWADERRWYDAGARACAAGKRCGDYEIMVQLASKQLGCAVAVCASRKTIMVCEYYAGH >OGLUM04G06300.1 pep chromosome:ALNU02000000:4:10402904:10407284:-1 gene:OGLUM04G06300 transcript:OGLUM04G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPESLRRIAGLHRHASCRPSQPALRSLNQDPPPEPHRGHGQTKGLRVNDVTSRSTCRNGATSIATPSVVASVLRIGLGDGCGGVLEVHHEGFRPGLPKPRTWATDSSRRRAAKIERVAIWAKATWRKPWLKKHVGSTKNELHRRAVRAKA >OGLUM04G06310.1 pep chromosome:ALNU02000000:4:10409241:10415358:1 gene:OGLUM04G06310 transcript:OGLUM04G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MSSSQSLLLLAAPLSPPTLPRLVPPPPTSAPRLPRLAANLSRPPPLAISSPAGFSGGGGGGGGGGGGGWWRQGGSGPPDPGDGWWRWLQSLHPELLLLFVLLHSGAFAAIPAALAEAIGGSGGREGASVWEVRGGARTLLVPDPTGTSYVVAGDGRRKQAEGEDAEKAGAGRAELAALRRQLERSWRRCATVAVQLLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSVGTSRKESKILSPQAKDAAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTENKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPLLKGFGLLDPALKAWSAGR >OGLUM04G06310.2 pep chromosome:ALNU02000000:4:10409241:10415358:1 gene:OGLUM04G06310 transcript:OGLUM04G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT3G45890) TAIR;Acc:AT3G45890] MSSSQSLLLLAAPLSPPTLPRLVPPPPTSAPRLPRLAANLSRPPPLAISSPAGFSGGGGGGGGGGGGGWWRQGGSGPPDPGDGWWRWLQSLHPELLLLFVLLHSGAFAAIPAALAEAIGGSGGREGASVWEVRGGARTLLVPDPTGTSYVVAGDGRRKQAEGEDAEKAGAGRAELAALRRQLERSWRRCATVAVQLLLPDGYPDSVSSDYLQYSLWRGVQGIASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKILLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFLGIMLGIALANRIGSSVSLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQVPSVKEVNDEEPLFLNLSVGTSRKESKILSPQAKDAAEIICRRLQLGSKLSEIIENKEDACALFDLYKNEQYLLTENKGKFCVILKEGSSPEDMLKSLFHVNYLYWLEKYLGIKPSDVASACRPGGRLEASLDYTQREFSHVKLDGSNGGWVMDGLIARPLPLLKGFGLLDPALKAWSAGR >OGLUM04G06320.1 pep chromosome:ALNU02000000:4:10419242:10422093:1 gene:OGLUM04G06320 transcript:OGLUM04G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQRRSGYSCAAWACVERAEVTTFPVDITPPAQLSRRPLPMPILHAISPLRRMQQQH >OGLUM04G06330.1 pep chromosome:ALNU02000000:4:10425220:10444732:1 gene:OGLUM04G06330 transcript:OGLUM04G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAKTIKRRRKTQRPHAMWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRVLVMRGVHVVMGVRNLSAGACVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHIGHFLLTDLLIEKMKVTAIESGKEGRVVMVASIKDEKTDLYKDMTFNYIFLFSLARFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIPTGRKLFPRRHKTMWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVPPVGLVLKHAEFSRCGECTL >OGLUM04G06330.2 pep chromosome:ALNU02000000:4:10425220:10444732:1 gene:OGLUM04G06330 transcript:OGLUM04G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAKTIKRRRKTQRPHAMWGLLRRRSPSGFSPSSTAEEVTAGIDGSGLVALITGASSGIGAETCRVLVMRGVHVVMGVRNLSAGACVRDEILKQVPSAKIDILNLDLSSMSSVRRFAENFKALNLPLNILINNAGVCFVPFKLSEDGIELHFATNHIGHFLLTDLLIEKMKVTAIESGKEGRVVMVASVSYSFSYRGGIRFDKINDESGFLAYGQSKLANILHSNLLSSHLKEQDAKVIVNSLHPGAVATNILHHWCPLYGAIRAIGKYFVKGVEQGAATVCYVALHPQVAGVTGKYFSDCNITELKSHALDRDLAKRLWDFSLSLIPTGRKLFPRRHKTMWGLLRRRSPSGFSPSSTGEEVTAGVDGSGLVAVVPPVGLVLKHAEFSRCGECTL >OGLUM04G06340.1 pep chromosome:ALNU02000000:4:10470146:10471974:-1 gene:OGLUM04G06340 transcript:OGLUM04G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGRRQQGKEMQRPAVGEQEAVSTEQRSWPAGERWVGEPGPTSEATQETGRRGRRRRRGHQVVGFMGKGRLAAQSQEKPPVAKPVSTRSAGKAVDPRGEGGGRGQEWISQQHQENRLVVNMNVSCR >OGLUM04G06350.1 pep chromosome:ALNU02000000:4:10509206:10517661:-1 gene:OGLUM04G06350 transcript:OGLUM04G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVALNTIMRRWGKEASSEWNVSGDLCSGFATDKNDWDHYPNINPFIKCDCTFSNNTLCHITKLRVNKLDVVGQIPSELQNLTHLENLDLNYNYLTGFIPSFIGKFTSMKYLALGFNPLSGPLPKELGNLTNLISLGISLNNFTGGLPEELGNLTKLKQFLASDNGFTGKIPDYLGSMTNLEEMRIGDIVNGISPLALISNLTSLNTLILRNCKIYGDLGAVDFSMFEKLSLLFLGNNSLTERLPDGISSSLKAMNLVANNFLLGSTSNSNTSTRGSDKTIYEADPANLGAATYYVTGETRWGVSSVGHYFRATDTKNLIYSSQNFNNVVDSKLFETGRVSPSSLRYYGIGLENGNYTVLLRFSEIAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVTVSKNFLEIHLFWAGKGRGIYGPLISALSVTPNFTPTVRNGIPKSKSKVGIIAGISIGAIVLVLAALFGVFTLLKKRRALAYQKEELYYLVGQPDVFNYAELKLATDNFSSQNILGEGGFGPVYKQYFVHAQNIHVTNLAGKTPLLVYEYLENGSLDQAIFGDSSLNLDWVTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGHLSEKADVFAFGVVMLETVAGRPNTNNSLEENKIYLLEWAWGMYDKDQALEIVDPTIKDFDKDEAFRVINVALLCTQGSPHQRPPMLRVVAMLTRDVDAPKVVTKPSYITEWQLRGGGNNGNTSNSYAGSTYQP >OGLUM04G06360.1 pep chromosome:ALNU02000000:4:10520695:10526013:1 gene:OGLUM04G06360 transcript:OGLUM04G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFKNRYMVMEVFIDTPVKGQPDALILTQLNITKAIKDSIQLNFGECGLAACLGSLQGVYELCSSAVKYVNPITKLCVIRVSREDHQKVWAAITMVRNIGKIPVSFNLRDMSGSIRACKMAALECEEAKFEYYKQAAGDRITPKFVETMESCFAKIKGLES >OGLUM04G06370.1 pep chromosome:ALNU02000000:4:10581102:10581746:1 gene:OGLUM04G06370 transcript:OGLUM04G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRRERARAAAATASPSSAKHQRAKRERRQHSRVCISLPPDGGEQLNYGMVDVTPTAFFHQTHHHHPNLTGDDYDELPPPFSFLPPSPSFTTPHLAGDDDNRNDVSARYVSTSFLSAPSIATIATTAAGSSLSSSSPVLLLPTSSAPASSSPLRAPPVTVTAALKGLPERRLVLPPLGGVLLHLLPDMLRCSLAARNFHELFHALLLFLNPPC >OGLUM04G06380.1 pep chromosome:ALNU02000000:4:10581973:10582218:1 gene:OGLUM04G06380 transcript:OGLUM04G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLGMVEAVGGEGKKERRVLPSLLPSLGTPRLEGLAYGQKGEEEKEEVPRSWSVTLLPPVATRLCQRAPPPTAATCLCQ >OGLUM04G06390.1 pep chromosome:ALNU02000000:4:10593318:10593761:-1 gene:OGLUM04G06390 transcript:OGLUM04G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWWWGPRSASEKARFGQRHGGTVGERQQTYLRRSETALAVLGRAGEQGLMRAAAEKADPVPVVLFHRDHDNDLEHNNDDGDNHVDLELAHDADPNLKRTMTMVDPVRHDLWCGFVMLVLDLLICDAVDLFCLLYNFVIPWIWEL >OGLUM04G06400.1 pep chromosome:ALNU02000000:4:10594897:10595335:-1 gene:OGLUM04G06400 transcript:OGLUM04G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAHFLFDDDDQTPKHKQNGPMNDGSGGLCVRWCCGVGKSSSTAKDDDYAAGRPAAGSNRPTGSMANSN >OGLUM04G06410.1 pep chromosome:ALNU02000000:4:10627544:10630591:-1 gene:OGLUM04G06410 transcript:OGLUM04G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGFLAAAAALRCLLLVVVVGVAGDDGGATATPVILATVCGNTTAADKQGFDVSFVNTLELIYQNVTRSGFGAAASGEGADTVYGLGQCMGYLSPTDCQLCYAQSRVKLPHCLPATGGRIYLDGCFLRFGADNFTAAATDASDTAVCSNATVSSPAAFAATSAALLRNVTAAAPGARDYYYYSASSSSSASALPSVSPRVYAAAQCWRSLNATACAACVASARDRVVGRCLPRAAEGYGLNAGCVVRYSTQPFYLPANAAAAAGSSTRHIIIVVIASVFCALAVIGIALVWAKMRNRRNDHHDDMDGSSEIIRTIAASQLSFKYEELRKATDDFNQINKLGQGGYGSVYKGVLLDGREIAVKRLFFNTREWADQFFNEVRLVSQVQHKNLVKLLGCSIEGPESLLVYEYLCNTSLDHYLFDAFKKTALDWERRFKIILGTAEGLSYLHNASEIRIIHRDIKASNVLLDERFRPKIADFGLARNFMEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKSLNSVASSAEGHSLMSLIWKHYNEGTLMELLDPNLQEQCTEEGALKVFHVGLLCAQASPNLRPPMWKVVEMLGSRNNELPRPTQPPFINIKGSNAKSDSSGSSSLKSSSDKSPFSLNHLSVSGVQAS >OGLUM04G06420.1 pep chromosome:ALNU02000000:4:10630677:10633342:-1 gene:OGLUM04G06420 transcript:OGLUM04G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRGRTATVAGRCCSPGVGTRERHRHRRPFLEETAEGLKRMATWARAGGVNLSRCHRSSAGGGRLSSTRTYKTETAMAITR >OGLUM04G06430.1 pep chromosome:ALNU02000000:4:10719931:10720202:-1 gene:OGLUM04G06430 transcript:OGLUM04G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAGQMWLDVARRRRPVPERRRGKIRRLRKGEIRRPPQPPRSSRRTGGRCSHLVKSIVKPRPGGNVGEIGDARSGLSNTGSGSSKG >OGLUM04G06440.1 pep chromosome:ALNU02000000:4:10782898:10783086:1 gene:OGLUM04G06440 transcript:OGLUM04G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHQQNMNLHDQSNTKKIRTGTKKPKKRMDPTMMSIYEDLQKTMDADAGNVCGTKSFFCSV >OGLUM04G06450.1 pep chromosome:ALNU02000000:4:10790226:10796568:1 gene:OGLUM04G06450 transcript:OGLUM04G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKVTKFGPWGGPGGDYRDVQVAPYRLVRLTIRSGDTVDGISFTYIGIDGLVYHMGHWGSYGGVPHEIHLGLMDFVMEISGTTGMWVSGMRNVLRSLKITTLKRTYGPYGNPKAGIPFSFSVDGSDRITGFFVRAGFITDAIGVYVRHC >OGLUM04G06460.1 pep chromosome:ALNU02000000:4:10798985:10802146:-1 gene:OGLUM04G06460 transcript:OGLUM04G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNKEDVIHFDGWGGLGASAVLKAIATRLKSSASLLGEAATVMKAGLDKIIHVDCSLWQNTRAVQKAIAIDLNLPQHVISLFDQWDEKDDFDGVHQVARGPIQHVKEEILYNLHDRGFLVIFHNGSGRYIDLKDCGILVAGLLGKRVLWTSRGRFSLHSNDIRELHERKLAESNVSIYADLSSDSILNSVRHLLQVEAEEVAFYSGVLEPDMSTKLVMECILYKALRGDDYGINWGTNAANYWVCDGIIKDATNGDRSAWEIAEALHSVMHLEDWHQVWAVNIRDAFGLSSKEWWHTNRWISTTHQYVATVKVPPQAISFFVTEARSLIDNSSSMILRGDMFGHSNRSRLRVLHLSQCTFSFSSPPFLGCSNLRFLLLDHCKDKDIDDQVAAHLDEEDEHQQSKMGHHNRTCFEELWVLDISYTEWYWLLSEEMMDQMVHLHELNVKGIKNGTWISHLGPGHVARSNSCRPRNLGKLRVTCCEITNQASSFVEFPDLSTSSIKTIALDGCVELEKLAHNFLPLLLESFIFVSNVGAKIKIISFQGCTQLKSLLLTGLLESLVEMDMSHTAIKMLDLTAMQAPRLNKLILLGCEKLRAILWPREWKKPELYVLHIDTTDARWVGEDKSSKKEAASGDISVGSSSRKVLHGDQALVNFDFYISLRNARFLRSLLHDRLGNHVIVEISSTTNISATDGFKEASREMQTGICGCKQQVPTVNLQKPIDNLYMDDINAHFEDILQADDGDRDASDGGDAPSFIYMWPCPSNCSKPYSANCYISVQDEMQTNLHQGTTTILKEEASGITLPDFVHDSALSLHLHDCLSITSIPGHASAAIDLSWRILWWCRIERCPNLEGTIFTAPRTRDNIFRSLETFWASQLLKVFYIWDWDTSLFQPSYNSFENLKFLHLDRCPRLVHVLPLCASNSSGCRSLKTLEIVWCGALRDVFPLDSDSTIEFRRLKRIHLHELPKLQRICGRKMSTPQLETVKIRGCWSLRRLPSVGRHDSTPPTVDCEKEWWDGLEWEGMEANHHPSLYKPIHSHYYKKALRRTSLLR >OGLUM04G06470.1 pep chromosome:ALNU02000000:4:10839357:10840195:-1 gene:OGLUM04G06470 transcript:OGLUM04G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGQKKHDRWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAAGKDDIDAITATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASMLPSGRTPPPLGTTSSTTPPPPPGTTTSTPQQDDFSSPPVFFSRSYPCRNERLDMY >OGLUM04G06470.2 pep chromosome:ALNU02000000:4:10839357:10840465:-1 gene:OGLUM04G06470 transcript:OGLUM04G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRTEEKGVSGAAVWRRTVGIIWIGRNRTLDRCLHRLLDLLRRLLEVNSAAAAAAAGKDDIDAITATGNDDIDAAAAGNDDIDAAAAGNDEFDAAAPASMLPSGRTPPPLGTTSSTTPPPPPGTTTSTPQQDDFSSPPVFFSRSYPCRNERLDMY >OGLUM04G06480.1 pep chromosome:ALNU02000000:4:10841509:10843581:-1 gene:OGLUM04G06480 transcript:OGLUM04G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKVVFQSRSLCCPVVCFSVISLLSGDFWPMTKKIEGRGKREKPVENGLAPH >OGLUM04G06480.2 pep chromosome:ALNU02000000:4:10841509:10843581:-1 gene:OGLUM04G06480 transcript:OGLUM04G06480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPADLIPSPISEANRWFVGHDLFLDMINVLPKLQMTRTMDAIRCWGLSHSKNVNKSFGKGRLYSKVGLCAAQLYVSASSRSYLVISGPSCQGYKLLSMPALSAAVWVYRTKKIEGRGKREKPVENGLAPH >OGLUM04G06490.1 pep chromosome:ALNU02000000:4:10858869:10859876:-1 gene:OGLUM04G06490 transcript:OGLUM04G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSTLTSKEVFTWAKSNNRRLLHVGGIDRRSKIDGLQKSYICRSCAMWLAAEDRVESADHGDLGGWE >OGLUM04G06500.1 pep chromosome:ALNU02000000:4:10892693:10925794:1 gene:OGLUM04G06500 transcript:OGLUM04G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVGLRPNGLDVFGLDPCWYYGFGGFGRRASWHASNKPLTGKDAEFEASKMIGALTRAEFARLLQRQADGRVNRVFAGELPSRSNPSRVGDDEAGTSSKRKRAIVKGGQIQTRCSTKAVIESDGEEEEDDADVGSEEDASHGYTPSPTPAKSDAGLHVSPTRPQDIVVANTLLAIFSTAAKPMMAVRRKRKKGKVVQVGHGFSDSEGSDGTPTSPVLQRAEFRGRRMSPPPASDAEAATGGSASAPAAGTNLAEGERIVVVPSPIRQREGKAPVVEGSVSDVTLTAPHFVPADFAPRPEIIPFVDGVCQVIAPTKGLGLFTELNEFGESCAAVESLFVRGLAAHLSAKKSALERLDGYRLRLQKSEEDLRHKEDERCVVAETLKKANAENRSLRFDLEAARERNAERDRQLASAEEKIKSLEARVASTEATAATLAPATESAKEACYTLRLALNDLGARAEDAPGEGGTALDFSEWTQEAAGSVVEVAGAYGDCCARVSSGFVLNLLHAHGCDHIQKFPDFVKEEWPSNTQYSGAAVRAFRKGFWEDGGRDCAKNLERIARNEEGAAANSEKDVQPSGRSPGHEGEGNGGQDHPEVWGPSPSSAVAAKTILKLRSPTKLIDMPGQWAHERKHRAEPMLGHLLGHCSVLDRLKAASCCSGGPLVHDT >OGLUM04G06510.1 pep chromosome:ALNU02000000:4:10952368:10958349:-1 gene:OGLUM04G06510 transcript:OGLUM04G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSPAVVLIFGLKFGVWLSTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSV >OGLUM04G06510.2 pep chromosome:ALNU02000000:4:10952368:10958349:-1 gene:OGLUM04G06510 transcript:OGLUM04G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSV >OGLUM04G06510.3 pep chromosome:ALNU02000000:4:10952368:10958349:-1 gene:OGLUM04G06510 transcript:OGLUM04G06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSYSLRVAKAKKKAHFDDEHSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYVLPLCMEFRTYGIRKYDANPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSV >OGLUM04G06510.4 pep chromosome:ALNU02000000:4:10952368:10958349:-1 gene:OGLUM04G06510 transcript:OGLUM04G06510.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSKTGCLAHLPPFLSHLVVAGFLGYSLRVAKAKKKAHFDDEHSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYVLPLCMEFRTYGIRKYDANPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSV >OGLUM04G06510.5 pep chromosome:ALNU02000000:4:10952368:10958349:-1 gene:OGLUM04G06510 transcript:OGLUM04G06510.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51610) TAIR;Acc:AT1G51610] MRRPFAAAAALRLRLLSSSSSSSSSSSSLPRLPSSPYPLHHLLLLSRRSGDHHDHDHPSPPPPFSPRPLLASGVLGLSRWRARARALPPAPSPPRGPVADAPPVRLTLSRSKTGCLAHLPPFLSHLVVAGFLGYSLRVAKAKKKAHFDDEHSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWNSQPPENIHYAALVIGGSFLIEGASLLVAIKAVRKGAAAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAGASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKADPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGEWAKQFREASLSEDDTELIRVMSNYGEDVVEALGYEVDRLESEIQKIVPGIKHVDIEAHNPEGLSV >OGLUM04G06520.1 pep chromosome:ALNU02000000:4:10963921:10964169:-1 gene:OGLUM04G06520 transcript:OGLUM04G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAQHGMAHGGAGKAVDGVVGAHGGAADGGRGRSARNGADRRAAAERRRNSWPTWRRSGGATPRGRREGSRSGACSPTAWA >OGLUM04G06530.1 pep chromosome:ALNU02000000:4:10972858:10987328:1 gene:OGLUM04G06530 transcript:OGLUM04G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHDNGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKAIETSSPPKGSKSAILPLDSCSFGGDSSIAIAERIYEMVKMRRTPKHNTHPNADEILYQSPSIEISTDHKQPRERTEMNANIRHDKTCAITESLMLSRNGLCLVKCCGAVWCGLSVMVPTHAASRHIWLGPSAVLRSLNLSHVESNDQGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >OGLUM04G06530.2 pep chromosome:ALNU02000000:4:10972858:10987328:1 gene:OGLUM04G06530 transcript:OGLUM04G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHDNGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKGDSSIAIAERIYEMVKMRRTPKHNTHPNADEILYQSPSIEISTDHKQPRERTEMNANIRHDKTCAITESLMLSRNGLCLVKCCGAVWCGLSVMVPTHAASRHIWLGPSAVLRSLNLSHVESNDQGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >OGLUM04G06530.3 pep chromosome:ALNU02000000:4:10972858:10987328:1 gene:OGLUM04G06530 transcript:OGLUM04G06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAARPTTTTTTTTAPPWWAAAADDAQGCPATAAAAEEIRPAPPRHRLAPTTAVSRLRRRRRVARRACRRRHVAVLAQQEPLLPRRAPDFVVEALRSIAELMIYGDQHDPSYFEFFMEKQIMGEFARILRISKLSRVSLQLLQTMSIMIQNLRNEHSIYYIFSNEHINFLITAISGKLNKNTISLLVKTKNDEVISFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRAPLSDYFSDMVNHFQKQCIDLDKLVVRSVRNADSAVPTASVEDAIVQIEDTLYYFSDVMSSGIPDLGRFITENILQLLVFRFLLPSLQRQSTDLGISVTTSMYLICCILHIFKNKDMASTVAAALFHQPDCHDRKQGTPNGYTSEHDNGISDNQGTSTSDIDQSNEDKSDILSSSNTHCLPDDPSSSDCCQGNTLREHLLSYIISGDDFQALGSLCLFSTLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERQLFSSSSGLADDSICSDFDMYVRKLQDKYGLKCHHPRQMTSKFHRYQVLDALVALFCRSNVSADVRLVGGWLFRQLLPHGEEEFTAFHLKWLKDSHKDYSIKLLDESGGCWRDLLVPIVKEAWKNCKKAIETSSPPKGSKSAILPLDSCSFGGDSSIAIAERIYEMVKGFVLQRQVILFCLGETLTDQPPIFSPTDLPVNNRATLAGFDGSVPKPGLEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKEKRGIVRVTAPLAGSDPRIDEKHAKWLHLRIRPSTVPFLDTEKYKGKTRKYLVDGRWTLAFRDEQSCKEAETMVIEEMKLQQGAVGEQLKLLLEFDMPEDGLQHPCSSHETTSDDGS >OGLUM04G06540.1 pep chromosome:ALNU02000000:4:10992622:10997278:-1 gene:OGLUM04G06540 transcript:OGLUM04G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAGFSSSTVKSNLEEESALMMSTSSSPPPPAIPPHFFLDPSIGVGGNGGGGGGVGGGFMLWPAPFLSSPGMAVPSSCKEDVRAVLEVMVRKQGRRTNPVVVGDSVSMAEAVAGELLRRLEGGDVPDELAGAHLLKLQLSYVHVRLMSRADVDAKAAELRRSVDAVKRGGLVVYVGDLRWALEEDHHHGAGHHNTASSYSPVEHMVAELGRLLGDLRASAPPRGRVWLVATASYQTYMRCRRRRPSLESAWALQAVVVPTGAGTGLALNNLHAVATTSNGEPVQQAMVATNHQQQQQQLASPFVAMAAEPAARDELDDKLLVLCTECSHNYEREASAVKAETAADEEGPRAAGNLPGWLVPEPPKENYLIELKRKWSRLCRKLHLCGGGDPCSGQSFGAEAYGNGPSSLLPWWSASCLLPNGGGKPSIAGFLGMEALRWSPPAAAALPSLSSLREPECQDVMTALALGSLPLSDSASSSGGGGGDGAAARELERRLRKNVPWQRAAVAEIADAVAAGARSGNGRKGAGVWLLLKGSDHAAVRRVAAVIAETHCGSADRVVVVSADPNKFGCADDFRSDVVARASMVAAAGGNKLVLVVDDVERAPQHVVECLVAASRSGALKDKFGGQELDLSGSVVVMTTSKLADAAVSGVISLRLYTSEQSPPSGDLKRKTPTSSPPTSDRKRARARSSAGNGHSLDLNLNLFAHDDDDNDAGDVDDDDDGVPSDITHEGGGDDSGEHGHSHHRHHRLLLESIATRVVTLDGDHHGAAAAVRERLSGRLDGGGRELRVDGEAAAALAAASGHFVDEVMERWVAEVFEPAAATVKNGGKAVVLGVGPSGGGAHESVGFMGSVLPSRVHVD >OGLUM04G06550.1 pep chromosome:ALNU02000000:4:11023459:11023960:1 gene:OGLUM04G06550 transcript:OGLUM04G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALLLLDAVVAFVVAWYVRATSDRSIPEKIHMAQRASRRRGGNATEIAAMQWQAFDQQFSRDPRRWHGMHGSVHMRCRAAAAAASAASY >OGLUM04G06560.1 pep chromosome:ALNU02000000:4:11098320:11099025:1 gene:OGLUM04G06560 transcript:OGLUM04G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEMVIDLSLSGMTGAGTIQSPVLHPHSRTPVPATTIVRQSAESQALYRALPVRQPPGAPPLAAFSRTAWARALSRPSVDASSGDAIYGSRRRREPSSMCYRETLPPDKAIDAPPGACLQPTSPGAAPPGAAVAAPPGPLLTSASALCRHCEPPSASPRKLRLPLVLLRRVI >OGLUM04G06570.1 pep chromosome:ALNU02000000:4:11150577:11151858:-1 gene:OGLUM04G06570 transcript:OGLUM04G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAARARLLPPLLSPPIPVAAAAAARRQGRAAGARFLPPLFSPPIPAAARRQGGALATSTTTVTTEGLVNNDGVRWTATSGSGTTSSIHRATSISAPSHLFALPRLAPPAREGRWRVDLPPPVLGSDGGA >OGLUM04G06580.1 pep chromosome:ALNU02000000:4:11172567:11172954:-1 gene:OGLUM04G06580 transcript:OGLUM04G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVGRSPSSEHCRPNPRTNTVASTRQTHSHTITVNPITGRSKVTPSPVPAISLTFSTGNHPPRTRDAREHTLPSASPPPSHQSPGAPPPPPLVCSAGREEEDDRRKKKKEKKKKKKG >OGLUM04G06590.1 pep chromosome:ALNU02000000:4:11183885:11187936:-1 gene:OGLUM04G06590 transcript:OGLUM04G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGGGMYVPAPAPATPAMVEKEYDESLSELYAYTSQSRYANSSSPDVVNSCSTAAVSAAAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLDEISVLQSTAAAAAAATTVVKDDNGGVAMPRMKKLRSTPPLDGGGGALRVTSSPSLQILELQASKVGEKTVAVSIRCAKTRGAMAKVCHAVESLRLKVVSASVAAVDGTIVHTMLVETEQMSGAQEMKQRIQSSLLSAP >OGLUM04G06590.2 pep chromosome:ALNU02000000:4:11186432:11187936:-1 gene:OGLUM04G06590 transcript:OGLUM04G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAADMGVLLDLYWHTPHIFDLAVADSLLMDGFGGGMYVPAPAPATPAMVEKEYDESLSELYAYTSQSRYANSSSPDVVNSCSTAAVSAAAAASSKNIAMERDRRKRLNEKLFALRAVVPKITKMDKASIVRDAIAHIEKLQEEERQLLDEISVLQSTAAAAAAATTVVKDDNGGVAMPRMKKLRSTPPLDGGGGALRVTSSPSLQILELQASKVGEKTVAVSIRCAKTRGAMAKVCHAVESLRLKVVSASVAAVDGTIVHTMLVEVTN >OGLUM04G06600.1 pep chromosome:ALNU02000000:4:11242936:11245665:-1 gene:OGLUM04G06600 transcript:OGLUM04G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQIAKSNGATIPTPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTTPPTSAPPSPSLPHHAVDPSAAIADLLHRVAHRRPPPPRRPRRIPLPILSLSHPSPRQYAAAVFFPTLPHSRASPPQIDRCSSAVVASVVIDLHALAYSNGQRFEFNFRILLPASGLLNIRSVFQLSTTGSVREGRRRVRRSTARRNKLTRNRRQGLCMMRAARRATQFARMGWRYELQGCELWKNG >OGLUM04G06600.2 pep chromosome:ALNU02000000:4:11242938:11244935:-1 gene:OGLUM04G06600 transcript:OGLUM04G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVGIPKLMIFEFNFRILLPASGLLNIRSVFQQRATATRHRHRVSSPSGSDVGQSLLASIHNEMILSTTGSVREGRRRVRRSTARRNKLTRNRRQGLCMMRAARRATQFARMGWRYELQGCELWKNG >OGLUM04G06600.3 pep chromosome:ALNU02000000:4:11242938:11245627:-1 gene:OGLUM04G06600 transcript:OGLUM04G06600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQIAKSNGATIPTPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTTPPTSAPPSPSLPHHAVDPSAAIADLLHRVAHRRPPPPRRPRRIPLPILSLSHPSPRQYAAAVFFPTLPHSRASPPQIDRCSSAVVASVLCQDSRHRRHLPAVLLLAATDPRRTKPSRRQGAADAFRVEFNFRILLPASGLLNIRSVFQLSTTGSVREGRRRVRRSTARRNKLTRNRRQGLCMMRAARRATQFARMGWRYELQGCELWKNG >OGLUM04G06600.4 pep chromosome:ALNU02000000:4:11242938:11244935:-1 gene:OGLUM04G06600 transcript:OGLUM04G06600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDNAVGIPKLMIFEFNFRILLPASGLLNIRSVFQLSTTGSVREGRRRVRRSTARRNKLTRNRRQGLCMMRAARRATQFARMGWRYELQGCELWKNG >OGLUM04G06610.1 pep chromosome:ALNU02000000:4:11245426:11245611:1 gene:OGLUM04G06610 transcript:OGLUM04G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRSAAWWGSDGDGGAASATRWGRTGMGDGGGCDGCERYPPMREDEPRSWGWGSLRRWI >OGLUM04G06620.1 pep chromosome:ALNU02000000:4:11275794:11277888:-1 gene:OGLUM04G06620 transcript:OGLUM04G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMAMGESFAYYWETQRYLESEELDSMYLPTQDDSNYESSSPDGSHSSSAPAPAAVGGDAAAAVAGSGGGMMTMMMGGGGGGGDDAGGANKNILMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIDPPPRRAPPXAAANPFAGLGADEEYEYGHHPSSSAAAAAERTKKVKRALSVSSINDALLAAAAPAPPVEIQELRVSEVGDRVLVVSVTCSKRRDAMARVCRALEDLRLRVITANITSVAGCLMHTLFVEVDHMDSVQMKQMVEAALSQLVATGSPLSSMSY >OGLUM04G06630.1 pep chromosome:ALNU02000000:4:11293186:11296405:-1 gene:OGLUM04G06630 transcript:OGLUM04G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSEILPATEPRQMPDAFKIFTGSPWVILSRNFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTVICNSSKFRNTTVNGDLRYFVWDDPPGLEPLVLDESHFDDMVNSSAAFARRFMDDSPVLKKIDKEILNRSSAVCASLSRRRGMDVDSCSKWGDVNVLRPARAGEQLRRFISKISQTRGCS >OGLUM04G06640.1 pep chromosome:ALNU02000000:4:11308101:11309136:1 gene:OGLUM04G06640 transcript:OGLUM04G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSQASCILMLRASVVLTVPGCGAVEPTDGEAEIGILCGFVNELLSGLASGVNLILWVMGADQNADPLNDIFDQSLNILLLLLSKRRMAELSEEKYEHIEIITKNPTYLLVGARGCTQAPQLIVVLDRMVRSVASPPLSRDEQRMRWRVCEINFLLIDSNISLNTKERPNT >OGLUM04G06650.1 pep chromosome:ALNU02000000:4:11309600:11312124:-1 gene:OGLUM04G06650 transcript:OGLUM04G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPANLFAGFTVLVVMVLAVAAGTLPRQRSDYPMANLPTLWVNNRNRLPDSITYDDGGALFPVAVNEVIWCANRGSPLGEDATLELTGDGDLVLREKANGRLVWSSGTSGRSVQGMEITENGNLVLFDQRNGTVWQSFDHPTDALVPGQSLLQGMILKANTSPTNWTESKIYITILQDGVYGHVESTPPQLYYNYVVSTNKSKRVPTTVTFTNGCLSIFVQSTQPGNPDGCIALPKAKSIQYIRLEPDGHLRLYEWSSEEKWTVVSDVTKLSLDDCDFPKVCGEYGICTGGQCICPPESNSSSSYFQPVDEWKLNLGCVPVTPISCQEMQNHHLLTLSDVSYFDVSQPIANPTNKDDCKQACLKNCSCRAVMFMYFHNDSHGTCHSLTEVFSLKTIQPQTATYNSTAYLKVQLTPSSSAPTQNKSYKTKTILGSILAAIGALILVVVVAIYVQKRRKYRERDEELDFDIMPGMPMRFSFQKLRKSTEDFSKKLGEGGFGSVYEGKISEEKVAVKRLESARQGKKEFLAEVETIGSIEHINLVRLIGVCVEKSNRLLVYEYMSRGSLDRWIYYHHNNAPLDWSTRCRIILDIAKGLCYLDEECRRKIAHLDIKPQNILLDDNFNVKLADFGLSKLIDRDQSKFWRCFMEIISGRKNIDISQPEEAVQLINLLREKAQNYQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSDRRPSMSMVVKVLEGAMRVENCLDYSFFNANSVISVQGIPSTYSAPPRASILSSPR >OGLUM04G06670.1 pep chromosome:ALNU02000000:4:11319286:11321899:-1 gene:OGLUM04G06670 transcript:OGLUM04G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIM7] MCPANLLSFDIAAVAAVVVLMLATAAPAISVQQLYDYPTANLSTRWVNNAAVLQHSVDFTDGSAVRSIILRSPKTIFGPSFAAGFFCAPPCKAFLFAVFIVYTDGGASITSVRNGIPQVVWSANRAHPVGENATLELTGDGILVLREADGRLVWSSGTSGRSVAGMQITEQGNLVLFDQRNVTVWQSFDHPTDALVPGQSLLQGMRLGANTSNTNWTESKLYMTVLSDGLYGYVESTPPQLYYEADVRTNKSGKYPTRVTFMNGSLGIFMHTTQAGKPEAIIALPEAKSTQYIRLESDGHLRLYEWFDAGSNWTMVSDVIQKFPDDCAFPTVCGDYGICTGGQCICPLQANSSSSYFHPIDERKANLGCAPVTPISCQEMQYHQFLTLTDVSYFDEGQIIANAKNRDDCKEACLKNCSCRAVMFRYYGQNDSDGECQSVTEVFSLQSIQPEIVHYNSSAYLKVQLTPSSPAPTQNSSSAPTQTSSFAPTQNKSNKMKAILGSTLAASITLVLVAIIVVYVRRRRKYQERDEELDFDILPGMPLRFSLEKLRECTEDFSKKIGEGGFGSVFEGKLSEERVAVKRLESARQGKKEFLAEVETIGSIEHINLVRMIGFCAEKSNRLLVYEYMPGGSLDKWIYYRHNNAPLDWSTRCRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLMDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLMEIISGRKNIDFSQPEESVQLIKLLCEKAQNNQLIDMVDKHSNDMISRQEEVIQMMKLAMWCLQNDSCQRPSMSMVVKSCLLKAIHRYILLHLLHQYYLVQDEMGG >OGLUM04G06680.1 pep chromosome:ALNU02000000:4:11329121:11331670:-1 gene:OGLUM04G06680 transcript:OGLUM04G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIM8] MRLANRPKPFCFGVMVAAVVAVVLAAAAPSVSGQRSDYPTANLSTRWVNNAAVLPHSVTYSDGSAVHAIVLRSPKALYGPSFAAGFFCTPPCQAFLFAVFIVYTNSGAGITSVVNGMAQVIWSANRASPVGENATLELTGEGDLVLREANGRLVWSSGTSGRSVAGMEITENGSLVLFDQRNGTVWQSFDHPTDALVPGQSLQQGMRLTANTSTTNWTESKLYMTVLPDGLYGYVESTPPQLYYKPQVRINKSGQNLTRVTFTNGSLSIFVPSTQPGNTDNSIALPSAKSTQYIRLESDGHLRLYEWSGTESKWTMVSDVIKIFPDDCAFPTVCGEYGICTSGGGQCICPVENNSSTSYFHPVDERKANLGCAPVTPISCQEMKNHKFLTLTDVSYFDESQIIMNAKNKDDCKQACLKNCSCRAVAFRYGQNDSDGQCQSVTEVFSLQSIQPETVHYNSSAYLKVQITPSASDPTQNKKKTILAATLAAITTLVLVVIVAIYVQRRRKYQELDEELDFDILPGMPTWFSFEKLRECTDDFSKKLGEGGFGSVFEGKIGEESVAVKRLEGARQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLMEIVSGRKNIDISQPEESVQLINLLREKAQNDQLIDMIDKHSNDMVSHQEEVIQMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENSLDYSFFNANSVISAQGNPSTYSAPPQASILSGPR >OGLUM04G06690.1 pep chromosome:ALNU02000000:4:11338604:11341574:1 gene:OGLUM04G06690 transcript:OGLUM04G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAARTALASCDQLHSSSPPRRQSLPRARYWSHWCTTKISLPLVNWLEVAMKTAKRNAWQGGAQKNPAAKEGPYKVCGDRRTMVHTADPSE >OGLUM04G06700.1 pep chromosome:ALNU02000000:4:11339219:11341738:-1 gene:OGLUM04G06700 transcript:OGLUM04G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIN0] MRPANLFCFDVTVAVVAVVLATATPSVSGQRPDYPTANLSTRWVNNAAVLPHSVTYSDGSAVCTIVLRSPQTLYGPSLAAGFFCAPPCQAFLFAVFIATFDSSYGISLEENNMARVIWSANRASPLGENATLELTGDGDLVLREVDGRLVWSSNTSGQSVVGMQITEHGNLVLFDQRNATVWQSFDHPTDVLVLGQSLLQGMRLSANTSTTNWTVSKLYLAVLPDGLYGYIESTPPQLYYKHGVSTEQKGKDLTKITLTNGSLSFFVQSTQAGKPDDSIVLPEAKSTQYIRLDSDGHLRLYEWSRFEWTMVHDVIDMDNCAFPTVCGEYAICSGGQCICPLQTNSSSNYFQPVDERKANLGCTPVTPISCQEMKNHQFLTLTDVSYFDGSIITNAKGRDDCKQACLKNCSCRAVVFRYYQNDSDGECQSVTEVFSLQSIQPEILNYNSSVYLKVQLPPSASAPTQKRIKAILGATLAAISSLVLVIIVGIYVRRRRKYQELDEELDFDILPGMPMRFSFEKLRECTEGFSKKLGEGGFGSVFEGKIGEKRVAVKRLEGARQGKKEFLAEVETIGSIEHINLVKVIGFCAEKSNRLLVYEYMPRGSLDRWIYYRHNNAPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSRVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVFVEIICGRKNIDIAQPKESVQLINLLREKAQDNQLRDIIDKHSEDMVSHHQEEVIEMMKLAMWCLQNDSSRRPSMSMVVKVLEGAMSVENCLDYSFSNANSVLSTQDNGSTYSTPPSASILSGPR >OGLUM04G06710.1 pep chromosome:ALNU02000000:4:11364989:11366454:1 gene:OGLUM04G06710 transcript:OGLUM04G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATPGRAVRRARGAATGDSGGAVADEAYYSSWESAVAVVVAGRRRLVRPGSSPPTCRSRCSGCHPTCSSRLRRHCCTDKV >OGLUM04G06720.1 pep chromosome:ALNU02000000:4:11368477:11373850:-1 gene:OGLUM04G06720 transcript:OGLUM04G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVAVVLATAAPSVSGQRPDYPTANLSTRWVNNAAVLPHSITYTDGSALRVIVLWSPISPQTLNGPSFAAGFFCAPPCQGFLFAVFIIYTYSGAGITQTTDATAQVIWSANRARPVGENATLELTGDGDLVLCEANGRFVWSSSTSGRSVAGMEITDRGNLVLFDQRNATVWQSFDHPTDILVPGQSLQQGMRLTANSSTTNSTEVCGEYGICTSGQCICPFQSNSSSSYFQLVDERKANLGCAPVTPISCQEMKNHQLLTLTDVSYFDKASQLIADAKSREDCKQACLKNCSCRAVTFTYFQNDSDGQCQSVTEVFSLQSVQHETLYFNTSTYLKVQLSHPTSDPTQNKMKTILGATLATITTLVLVVIMAIYVQRRRRYLEIDEELDFDILPGMPMRFSFEKLRECTEDFSKKLGEGGFGSVFEGKIGEESCSETIGRCKIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSRVVTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVLLEIICGRKNIDISQPEESVQLINLLREKAQNNQLIDIIDNQSTDMVSHHQEEVIKMLKLAMWCLQNESSRRPSMSMVVKINRRLLXPPKRNGQVNLSSQINQNHNNLAQLGVKCELTERSRRQVADHCRLQSPVTLAMVRPFCRSPHQLHHCHADISSHPSTMLPANFFFFIIVIVIIALATIAPPVSAERYDYPTANLSTRWVNNAAALQHSVGYSDGSAVRAIVLRSPKTFYGPSYAAGFFCSPPCDAFLFAVYIVYTNSGAGITMTTTGIPQVVWSANRARPVRENATLELTYNGNLVLSDADGSLVWSSGSSGRSVAGMEITDTGNLVLFDQRNVTVWQSFDHPTDTLLPGQSLMEGMKLRANSTTTNSTENQVYMAVQPDGLFAYVESTPPQLYYSHSVNTNKSGKDPTKVTFTNGSLSIFVQSTQPSNISLPQASSTQYMRLEFDGHLRLYEWSNTGAKWTVVSDVIKVFPDDCAFPMACGKYGICTGGQCTCPLQSNSSLSYFKPVDERKANLGCSPLTPISCQEMRSHQLLALTDVSYFDVSHTILNATNRDDCKQSCLKNCSCRAVMFRYGQNDSDGTCFSVSEVFSLQTIQPEALHYNSSAYLKVQLSPSASASTANKTKAILGATISAILILVLAVTVITLYVQRRKYQEIDEEIDFEPLPGMPVRFSYEKLRECTKDFSKKLGEGGFGSVFEGEIGEERVAVKRLESAKQGKKEFLAEVETIGSIEHINLVRLIGFCAEKSNRLLVYEYMPRGSLDRWIYYRYNNDPLDWCTRCRIIMDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKFNAKLADFGLSKLIDRDQSKVVTVMRGTPGYLAPEWLTSQITEKVDVYSFGVVLLEIICGRKNIDISQPEESVQLMNLLREKAKDNVLIDIIDKKSTDMVSHHQEEVIKMLKLAVWCLQNESSRRPSMSMVVKVLEGAVSVENCLDYSFANANSVISAQDNPSTYSAPPSASILSGPR >OGLUM04G06730.1 pep chromosome:ALNU02000000:4:11381423:11382042:1 gene:OGLUM04G06730 transcript:OGLUM04G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSQLGRPISLSTLLLTTSREQAWAASLVSIPSPAEMKTMIIFITKPRSSLSLLRSILNSSCRTNFLLTPSNGNTAAPSSSWSALWSAAASASSTSGHPRPSRSKPPRHQLLLAVLFKTPQEPRRMEHRGGRSTSSSPTSAAGVNL >OGLUM04G06740.1 pep chromosome:ALNU02000000:4:11382053:11382368:1 gene:OGLUM04G06740 transcript:OGLUM04G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAWLHIEWNELASSRRSSEPPLRPPWPLLGLALSHTVVANSFRRIPDKSTPSSATMTTTSPRSTISGGSTKRRRRERKRRDGPAIGPKGIFV >OGLUM04G06750.1 pep chromosome:ALNU02000000:4:11382507:11385100:1 gene:OGLUM04G06750 transcript:OGLUM04G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT3G59980) TAIR;Acc:AT3G59980] MMAAAARMAFASCGRLLAPSSSSSLPALPRTRGSVAASGKHPVSFLAAVRSASVLCCCSDATVAPAPQAAAAEGEGEEGEKKAEVPPVEEVAALLDIRVGRVVKAWRHPEADTLYVEEVDVGEEQPRTICSGLVNYLPIDQLQDSNVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGTEDGKDRQSEAASPNQVQKKKIWESVQPHLRTSENCTAFLGEHPMRTSAGVVFCKTLQGARVS >OGLUM04G06760.1 pep chromosome:ALNU02000000:4:11383408:11390264:-1 gene:OGLUM04G06760 transcript:OGLUM04G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHNKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSKGRG >OGLUM04G06760.2 pep chromosome:ALNU02000000:4:11385566:11390264:-1 gene:OGLUM04G06760 transcript:OGLUM04G06760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHNKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECVNDMNEWCKVHHSASGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSK >OGLUM04G06760.3 pep chromosome:ALNU02000000:4:11385566:11390264:-1 gene:OGLUM04G06760 transcript:OGLUM04G06760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMFHNKIRLNHTMIVNHDGENLASSSSDYDKPLVWIDLEMTGLDITKDRILEIACIITDGLKEKVLQSDISENDAEKQVLDFIRKYIGSATPLIAGNSVYMDLLFLKKYMPHLAAIFSHVIVDVSSISALCSRWFPKERKHAPRKEKNHRAMDDIRESIKELQYYKENIFKSRKSK >OGLUM04G06770.1 pep chromosome:ALNU02000000:4:11400363:11402498:-1 gene:OGLUM04G06770 transcript:OGLUM04G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHLKSAAARSPMRSPPPHPPSAPAPRDEGFEEEEEEEESARAIAVSDQRTIYLVNMFIANTVEFLNSFAAQCNDKLSLLHRKIVKLDSSLNLLEAKLRSIDDTNAFGHSTNQKAHGLFTQDGRFEPTNLLGESSR >OGLUM04G06780.1 pep chromosome:ALNU02000000:4:11402365:11402658:1 gene:OGLUM04G06780 transcript:OGLUM04G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALSSSSSSSSNPSSLGAGAEGGCGGGERMGLLAAADFRWRSMAASGSSRALEAGTSPCAADNNQEHGGGGGGGGVESPTSEQSKSRTVSVWDLT >OGLUM04G06790.1 pep chromosome:ALNU02000000:4:11406957:11425210:-1 gene:OGLUM04G06790 transcript:OGLUM04G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropin-2 [Source:Projected from Arabidopsis thaliana (AT5G58140) UniProtKB/Swiss-Prot;Acc:P93025] MAGSSSSKEIIDAVEKWMAFPTSGGGGATAGLEIVAEDAPSGSSGAHQQQAWRPVAPATAGRDSGGTGSGKSSVDGGVGRASHDSLPRVSQELKDALSSLQQTFVVSDATRPDCPIIYASEGFFTMTGYSPREVVGRNCRFLQGPDTDAAEVAKIRDAVKHGRSFCGRLLNYRKDGAPFWNLLTVTPIRDDNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIRYDGEHRRLRRGILCFLDVSLILLSYQCRHWRVWSAERQKDKAMSSMTEVVQTVKQPRGARAPADAALLTPPKMSDADKMAAMSPVVAPGTPSGGGGGAGSFKSPLWDLKKEESRLSRLASGRKSGRSSLMGFKIGKRSSVGSREAPAVVEEPAPAPPPAPEVVERTDSWERAEREKDIRQGIDLATTLERIEKNFVITDPRIPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQGTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTEIQSAKLVKATAENVDDAVRELPDANLRPEDLWAIHSMRVSPKPHKRNNPSWIAIEKATNLGEKIGLKHFKPVKPLGCGDTGSVHLVELQGSGELFAMKAMDKSVMLNRNKVHRACIEREIYALLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFAVLDRQPMKIFREECARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQADGHIVLTDFDLSFLTTSKPHVIKNSTSLKRRRSQEFLPPTFVSEPSTPSNSFVGTEEYIAPEVITGAGHTSAIDWWALGILLYEMLYGRTPFRGKNRKKTFYNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSNRIGSNAGANDIKQHSFFQDINWPLIRCMSPPELDVPLKLIGKETQPKAKPDEDVPLNLDTF >OGLUM04G06800.1 pep chromosome:ALNU02000000:4:11425833:11426051:-1 gene:OGLUM04G06800 transcript:OGLUM04G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGRGGRAAATESRRDDRESLDRSRARVLHYPHLPPLLLRPPFFLSPTCFLLFLFLFLFLFPRLPGAT >OGLUM04G06810.1 pep chromosome:ALNU02000000:4:11451474:11454799:1 gene:OGLUM04G06810 transcript:OGLUM04G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIAIKRIDNTTNRQVTFSKRRGGLMKKARELAILCDADVGLIVFSCTGRLYDFSSSSMKSIIERYQEAGEEHCRLLNPMSEAKFWQREVTTLRQQVQNLHHNNRQLLGEEISNFTVRDLQLLQNQVEMSIHSIRNKKGSLVQKENSELRKKFNIAHQHNIELHKKLNSGESTSSEQVTRSSKDPGESSTPRDSRT >OGLUM04G06820.1 pep chromosome:ALNU02000000:4:11472139:11473508:-1 gene:OGLUM04G06820 transcript:OGLUM04G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHELSNNPRAGGRATILGIGTAVPVHVYEQKSFPDYYFEITNSNHLVDLKAKFANICKCMRNYHSARRQRRRSGTCTSSDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAVCNAIGNWGKPASSITHIVFATTSTGCLPSADVTLIKLLGLPLSTKRVMLYQSGCFGGTTALRVAKDIAESNRDARVLVVTSEVMSLIIRGPSESHVGNLVAQAVFGDAAGAAVVGCCCHPSSTGERPVFELVRASQDVIPGTDDAMVVKVQQEGVVITLHRDLPLHVSNAIGGVVESAFRGVGTTVTSYDEAFWLLHAGGRAVVDGVEERLGLGEGKLAVTREYGNTRSTTIFLAMEEMRRRSEERGMATAGEGLEWGMLMAFGPGLTVETMLLRAMPRN >OGLUM04G06830.1 pep chromosome:ALNU02000000:4:11492051:11492248:-1 gene:OGLUM04G06830 transcript:OGLUM04G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGRLLAAWWKRATAQQRFAEAVTGVGGSGDGGRDCGGGGDVGGGEGLGCEVRMATARWLGV >OGLUM04G06840.1 pep chromosome:ALNU02000000:4:11498370:11498744:1 gene:OGLUM04G06840 transcript:OGLUM04G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGKPGSSGFDGGGGGGGNGFDGGRWRQRRVRRRLVSGSRKHAAEAALMMVHEPDGSGFEAEVKAKATAVLMAAVTEAVAGSAGKQAAIIASITFSEPGGSSFDGRD >OGLUM04G06850.1 pep chromosome:ALNU02000000:4:11501747:11502238:-1 gene:OGLUM04G06850 transcript:OGLUM04G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEARMRNSIVSSVPAEDPRKVWRSPGSTRAGFSFPSSFSISPASASRSSTNTSPSVGTYKKVRRRRQRQHRLRWLRQQWRRVLKGARPQRPRAWRGRREYGRTTTLLGSARRPLPSFLDAVLAAASMIMERGRVLAITEGDAWSIQKRLREKVEGGEKKE >OGLUM04G06860.1 pep chromosome:ALNU02000000:4:11534154:11535632:1 gene:OGLUM04G06860 transcript:OGLUM04G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIP8] MAAAGHDVPLPHVAIFPFMARGHTVPMTHLACLLRRRGLATVTFFTTPGNAPFVRGQLDDDVAVVELPFPDHVVARGAAECVEALDSLFPLPAFVEAVSALRPGLEASLAAARPRVGLLVADAFLHWAHASAAALGVPTVAFLGGNMFATIMRDVILRDNPAAALLSGGGGAEAATFAVPEFPHVHLTLADIPVPFNHPSPEGPIMELNAKLGKAIAGSNGLIVNTFDAMEGRYVEHWNRDHRAGPRAWPIGPLCLAHGGTGTGAVEPSWMKWLDEKAAAGRAVLYVALGTAMAIPDAQLREVAGGLEAAAAAGVDFLWAVRPSDADLGAGFEERVEGRGMVVREWVDQWRILQHGCVRGFLSHCGWNSAVESVAAGVPLAAWPMGAEQPLNAMLVVDELRVGVRVPLAPATATGGHGGLVGSEVIARVARELMIMAGEEKGGSGEAARNVAALAAKAREAVGEGGSSWKALEEMIATLCHPVGDTPLLESA >OGLUM04G06870.1 pep chromosome:ALNU02000000:4:11538700:11539512:-1 gene:OGLUM04G06870 transcript:OGLUM04G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKPREILRRKAWQEFDHYDEPRPHCTLSVGIVWVKLDSLCRGELNHSNVRYSRFWSCVIRVIPTSEV >OGLUM04G06880.1 pep chromosome:ALNU02000000:4:11539882:11541108:-1 gene:OGLUM04G06880 transcript:OGLUM04G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHHQPHRSSLSPDRTTHYLRRSGHLTLPLYQPLPLLGARCSGTRLDSAVEAVQPPEHRRPVSLSLCCCSGEETEREKKAGRKEEENEKGEDTDSGAHCTPPKSRRGNFTPSTETFVWSQTPTSNRWSSKCRSSHPTPRRVQWSKPHYSLDLNSTPHTSFQALCDPSHSAATHGCRVTLSAPQTCIKLPNSLVVVPLDCSIDYTSQYLAGMLLNLSIN >OGLUM04G06890.1 pep chromosome:ALNU02000000:4:11553780:11554280:-1 gene:OGLUM04G06890 transcript:OGLUM04G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVAVGAENSGREPEWWAPASIIPSSSPFVALAATVLKGTAGGLHQCAKWDAAGWQVLVSNFFGVGMSKLRAVGTAGKEKEEERVVSGVSELQRRREGRAGVRCRHAEGALLVVYADRMPRFRYSAHNSDSLPEFTAHISVSRTGADRTCRTLAAA >OGLUM04G06900.1 pep chromosome:ALNU02000000:4:11560153:11560980:1 gene:OGLUM04G06900 transcript:OGLUM04G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFASPLDAAPAPPTAKPSPPPAPAAANGLVTQEELKRVAAHRAVEMVEPGMTLGLGTGSTAAHALDRLGDLLRSGELAAVAGVPTSLKTEAHAARVGIPMLPLGEAGGIDLSIDGADEVDPELNLVKGRGGSLLREKMIEGSGGRFVVIVDESKLVPRLGCTGAVPVEVVPFGCDHTLGLVRKVFDGLPGFSARLRTVASKDGEGKEEMFVTDNGNYIVEMFFEDGIRGDLNEISDRLLRITGVVEHGMFLGMATSVVVAKKDGTVALLHKKK >OGLUM04G06910.1 pep chromosome:ALNU02000000:4:11571494:11572949:-1 gene:OGLUM04G06910 transcript:OGLUM04G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPTTTTTTTPSAASLLAPAPAPAAAATRASFSTLLPPAISLSLSRSAAAAGGCRGRLARAASKSKGAGAGAAENKKGQEQEQQEASATAVDGAEEAGEVEAGGGGGGGEKSPEAVAAELKEVLRARKEAEAAAGGGGGWWAGVAQEMSEIEWPAPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRVFAGRGLQDFF >OGLUM04G06920.1 pep chromosome:ALNU02000000:4:11574296:11582614:-1 gene:OGLUM04G06920 transcript:OGLUM04G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAAAEAEEQLLRRSLRLFAAGERSFRMDRLSPDADALRAAVADVLPRFLGSYTDDILAEYIVILVCNGKHQYQARDDLEAFLGDDSAKFVSWLWGYLSKKALTSADNSSIQHGLKNEIRNRSTKKNLQVAKALSEDTFIVNSDISSPQEHHTLQKHDSTEGQNVARRHISSTVTVTPERLVDDQCYWEGQHQKKDQRSSSGRNFSTLKSGVAVRTAQALPQDELRHEVCIGRNASARRFPLAVRSDDVLDPESMKKRGNVWDRLGKPAIKDRICATEDDDMHVQNGLHKKAKLMVTEHELRCRMNSSTEGDLFDIENSRRYPRSYPDVNIVQAHEHTEKSNRSRLIGRINFGDIERNQLQVRDVIRQKSSPNLPARSVPLQSQNEFISEVKSSVTAASEPACHVSKSTKGQVSGSSKLGQLATRRNLETEVLQSQQVSSPAQSKTGSSVHEDGGNCCNKPMKNEMLDVKLKLKQVELDVLKLRSKQAQINNVKQGFLSAGPHANLDEDADSRTVLVTNVHFAATKEALSGHFMKCGTVLKINILTDAISGHPKGAAFVTFTDKESVEKAVSLSGSSFFSRVLTVMRKAEAPPGFLVSIQPIGRPLQSWNSPPIQKGVSPRQIPGYHLQWKREQSVLEKSPASCPTN >OGLUM04G06930.1 pep chromosome:ALNU02000000:4:11589598:11596139:1 gene:OGLUM04G06930 transcript:OGLUM04G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLESGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >OGLUM04G06930.2 pep chromosome:ALNU02000000:4:11589320:11596139:1 gene:OGLUM04G06930 transcript:OGLUM04G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEENSSLFLIFILTMIALPLVPYTIMRLCRAANVKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTILLLWIVMIFLVYYIKHVSREVQVFEPYSILGLESGASESDIKKSYRRLSIQYHPDKNPDPEAHKYFVEFISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNMDGASGGIMLLGIVGLCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIRTEQAKFWKQHPSLVKMELLIQAHLTGESFALTPALLKDYRHMLELAPRLLDELVKIALLPRSPHGFGWLRPAIGVIELSQNIIQAVPLSARKASGGNSEGIAPFLQLPHFTETVVKKIARKKIRSFQEFCDMPVEERATLLTQVAGLSDEGAQDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLHRRNGLTAALPHAPSFPFHKEENFWLLLADAASNEVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDAWIGCDTKTSLKLKVLKRSRAGTRGHVAEEGPVAEDGIEEEEEEEEEEYDDYESEYSDDEEDEKSKGKGKVANGVAHQKANSDIDSGSDD >OGLUM04G06940.1 pep chromosome:ALNU02000000:4:11596666:11599836:1 gene:OGLUM04G06940 transcript:OGLUM04G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTSLEGKHFLDSSLVLPPHGHHHVPSSSSDAHRSSALVDLMAFIADRRNATTARCAMRSGLELQITLCVDAPPPRVSYFCVWCPSERPTELATEPCIVAAEADLVVFAAVRGNARDILNLDKTDVFIYQAAGGGGCGGAPSIRRLGDLEPHFSAVYNIGLLRHSVAHPGGGGDGEHGHYYIVTLHPGYTSSWEYVLYVFDSMTGSWSDRTVSLGPEHRHSQFNCSPSKVVVLGNGGLMAFVDLWRGIIVVDVLAGGDDVPARFIHLPRALRSRRIFRMDAGIVRDVVVVDGRVKVADCFWFNHQQQQQQPDVIDGGATSNVASRLRKVSMWSRMATWEEDDDWRRDYIFSVPDIIVDDDAASHLELLPELQIDGATGRRTLRGLHITRPAISLNDDDKVYFMAKVDPWDKRGWVIAVHIRIKKLEDVGIFRAERVIGVDLSYTLCRISKYFSTSTGKKYDWTPETTKGNSVQSILTRGKLEEQTMELVWTSKIWMIIWMRTSTFGANP >OGLUM04G06950.1 pep chromosome:ALNU02000000:4:11613435:11614878:1 gene:OGLUM04G06950 transcript:OGLUM04G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGERAAERAYWIKAGASAYRIRRGKNCEGRGGAGEECSPVRRSRGADGGSGWSRGGQSSFQFDLCGLMDSVGSGSLERMMEHGQDEQGDVSAERSLKDVQNEKTVVSVEGSMKEILYSKNDCSVEREMEEVAIEEDDTLRRALLDAGLFRGAVSISQIDAGDDFQIEAGFGREDDEEPEEEGVQSQPAVPQVGMEFFSEKEAKDY >OGLUM04G06960.1 pep chromosome:ALNU02000000:4:11621603:11625440:1 gene:OGLUM04G06960 transcript:OGLUM04G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDGLLPPLLIVLTMIPVIQASNRTTSLPSAATLAGCQRSCGNLTIDYPFGIGSSHCFRQPDFELICNNTTQPPRLLFKNGTTEIIDSPSTAYFSVAFSRSISVKANVSVYNMSWDAPGKSFTLEYAELNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQYCNGTGCCSIDVPIAQTFQLMFIRHGKGAVELDAQSNQSSLWNTINVTTVYADISWRILDQPTCASTFDNRTNYACISEHSKCTDGYFTQAHGYICSCDGGYQGNPYILDGCLRDRGYNPFQQKEVCDRKCGSIDVPYPFGLEEGLQLNDEYHVTHINVSNGLMGIEDTTDYKQYMYGMRVTQEPQLYIGSGKSASVQWAVANLTCLEAQQNISGYACVSINSTCLGVNSTDGYIGYRCSCTLGFQGNPYIQDGCQGVVIGLSCGFSILLLSLGIMLLIHR >OGLUM04G06970.1 pep chromosome:ALNU02000000:4:11654799:11657587:-1 gene:OGLUM04G06970 transcript:OGLUM04G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKIGDLWGKDGEVDLGAASRFDDIGIGGIGKTTLAHMVFDDSHFTNNDCHAWVHVSQTFDLDKIVNTTISHVAYPEKESFISDIQLMRKRLAGLLEGRRILIVLDDIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLGPLNDDVCWAIIKIYSAFEGRGDKQQLEVIEQEIASKCGGMPLAARALGYTLHSKAVERWLALNNSEIWNECSSEEASLPHHLVLPSLKLSYYNMQSYSRMCFAYCAIFPKGGNIAKYNLIHQWIALGFIEPSITFSAAQLAEDYIEHLLGMSFLQHSKSYSISQKDDRLVTLTMHDLVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGAFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISVLSKLNYLNLRESPEISKLPESIGKLEALTYLNLSGCSHLVELPELFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGIARIIQGTKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRFYSRCLPSQKKNPMCLSEVLGSLTRLQYMNLSSELSSVQKENEASHIRMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTELQHLNLSHYCTGTPDHPCHLRGLQDILISLTELRYLYLVQCLTPVFSYLADSLRYIECISAHSNLEHLDLSGNLYLSCLPESFGSLKKLHTLNLSNCCHLKSLPESLCEIDCLKLLYVTGCRGIGNTVARVRPELSPSLANPA >OGLUM04G06980.1 pep chromosome:ALNU02000000:4:11674209:11678879:1 gene:OGLUM04G06980 transcript:OGLUM04G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDGLLPPLLIVLTMIPVIQASNRTTSLPSAATLAGCQRSCGNLTIDYPFGIGSSHCFRQPDFELICNNTTQPPRLLFKNGTTEIIGSRSTAYLDVVFSNSISMKSNVSVYNMSWDAPGKSFTLLYAELNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVSIHAQTFQLMFIRHGKGAVELDAQSNQSSLWNTINVTTVDADISWRILDQPTCASTFDNRTNYACISEHSKCTDGYFTQAHGYICSCDGGYQGNPYILDGCLRDREVTGRFFCNLENENCTRKCGTIDIPYPFGLEEGCSARKLFQLNCTDMLSSSLQLKDNYHVKYIKVNEGLVGIEDTSYFKQDMYRMHVTKEPELYIGSGQSASVQWAVANLTCQEAWQNKSGYACVSINSTCLPVDSTDSYIGYRCQCMPGFEGNPYIQDGCQDIDECIIAANCTEVCHNTIGSYHCLPCPDQTQYDTTTKQCNPAKRQNLILGIVIGLSCGFGILLASVITFAFIRRWKNNIQKQLRRKHFRKNQGLLLEQLISSDENASDNTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDAPDKAEEMQPLLCTRSEASCASLAINLGDNYNPESQSSHKCYSLEQEFSSSVGLPR >OGLUM04G06980.2 pep chromosome:ALNU02000000:4:11674209:11679309:1 gene:OGLUM04G06980 transcript:OGLUM04G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDGLLPPLLIVLTMIPVIQASNRTTSLPSAATLAGCQRSCGNLTIDYPFGIGSSHCFRQPDFELICNNTTQPPRLLFKNGTTEIIGSRSTAYLDVVFSNSISMKSNVSVYNMSWDAPGKSFTLLYAELNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVSIHAQTFQLMFIRHGKGAVELDAQSNQSSLWNTINVTTVDADISWRILDQPTCASTFDNRTNYACISEHSKCTDGYFTQAHGYICSCDGGYQGNPYILDGCLRDREVTGRFFCNLENENCTRKCGTIDIPYPFGLEEGCSARKLFQLNCTDMLSSSLQLKDNYHVKYIKVNEGLVGIEDTSYFKQDMYRMHVTKEPELYIGSGQSASVQWAVANLTCQEAWQNKSGYACVSINSTCLPVDSTDSYIGYRCQCMPGFEGNPYIQDGCQDIDECIIAANCTEVCHNTIGSYHCLPCPDQTQYDTTTKQCNPAKRQNLILGIVIGLSCGFGILLASVITFAFIRRWKNNIQKQLRRKHFRKNQGLLLEQLISSDENASDNTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDAPDKAEEMQPLLCTRSEASCASLAINLGDNYNPESQSSHKCYSLEQEFSSSVGLPR >OGLUM04G06980.3 pep chromosome:ALNU02000000:4:11674209:11678879:1 gene:OGLUM04G06980 transcript:OGLUM04G06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCDGLLPPLLIVLTMIPVIQASNRTTSLPSAATLAGCQRSCGNLTIDYPFGIGSSHCFRQPDFELICNNTTQPPRLLFKNGTTEIIGSRSTAYLDVVFSNSISMKSNVSVYNMSWDAPGKSFTLLYAELNITGCDFDIYQVLDQSGNVPAKLCNVTCPNRGITEDIARQDCNGTGCCSIDVSIHAQTFQLMFIRHGKGAVELDAQSNQSSLWNTINVTTVDADISWRILDQPTCASTFDNRTNYACISEHSKCTDGYFTQAHGYICSCDGGYQGNPYILDGCLRDRDMLSSSLQLKDNYHVKYIKVNEGLVGIEDTSYFKQDMYRMHVTKEPELYIGSGQSASVQWAVANLTCQEAWQNKSGYACVSINSTCLPVDSTDSYIGYRCQCMPGFEGNPYIQDGCQDIDECIIAANCTEVCHNTIGSYHCLPCPDQTQYDTTTKQCNPAKRQNLILGIVIGLSCGFGILLASVITFAFIRRWKNNIQKQLRRKHFRKNQGLLLEQLISSDENASDNTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIEEETEVPLLVYDFIPNGSLFGILHSGSNNGFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTRVSGSKQNLSNYFLWELKVKPITEIVAAQVREEATDEEIESVASLAQMCLRLRSEDRPTMKQVEMNLQFLRTKRLNSCPDAPDKAEEMQPLLCTRSEASCASLAINLGDNYNPESQSSHKCYSLEQEFSSSVGLPR >OGLUM04G06990.1 pep chromosome:ALNU02000000:4:11698099:11698403:-1 gene:OGLUM04G06990 transcript:OGLUM04G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQASGAASLEIGIVFPNSGLHAPPSISPSPIISHIKPASSSRSSPPSPSRSARDHRYLDLAKFHWTLGKHPRIP >OGLUM04G07000.1 pep chromosome:ALNU02000000:4:11698610:11700053:1 gene:OGLUM04G07000 transcript:OGLUM04G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVVFTAGGEVEMEKGDGALLLGFFGLGVDDGVWRCSWEEEKARLGSVGGDAQSVEQAKEAAKWAQDEGDKAGVRGINFT >OGLUM04G07010.1 pep chromosome:ALNU02000000:4:11731410:11736203:1 gene:OGLUM04G07010 transcript:OGLUM04G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDAALPLLLLVFAVATLVQAYHGNMTLPSTATLAGCKRSCGDLTFDYPFGTGLSHCFRQPDFELICDDTTRPPRLLFRNGTTEIVDSPVAVTFDIAFSHTISMEPNVNMYNMSWNAPGKSFTLDYAVMNITGCDFDTYRVLHDHDGDVPAKLCSITCPNEGITEAIARQTCNGTGCCSIKFYNGANSFKLMFVRHGKGGYKPGAAHSDQSPLWNTINITTLEAAISWSILDQPTCASALVNRTNYACVSTNSKCMDGDFGMAAGYICSCDGGYQGNPYILDGCLRDTGYNRFQRKKNCTRKCGSIDIPYPFGLEEDCSARKLFQLNCTDMSSSILQLNDNYQLKYINVNEGLLGIEDTSYIEDIGASTIYMLWGIGLYNGLSPILLAKRHSKTNQGTLVLASTAHACLWTQQTVTLVIVANVGLGFKETPMSKMVVKEFVAFSNSTGFQFSENIDECLTPGKCKGVCQNTIGSHRCMACPDRTQYDTTTMQCTSTKRQNLILGTVIGLSCGFGILFVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLREQLISTHENASEKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSTSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHHDVESSNILLDANYTAKVSDFGASRLVPIDQTHIITNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVSEEATEEEIKCVGSLAEMCLRLQGEDRPTMKQVEMTLQFLRTKRLMAYHVVPENDEEMQSLLHKRSEDRCESLANNLGVSTNPESGNSHKCYSLEQEFISSIGLPR >OGLUM04G07010.2 pep chromosome:ALNU02000000:4:11731749:11736203:1 gene:OGLUM04G07010 transcript:OGLUM04G07010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDAALPLLLLVFAVATLVQAYHGNMTLPSTATLAGCKRSCGDLTFDYPFGTGLSHCFRQPDFELICDDTTRPPRLLFRNGTTEIVDSPVAVTFDIAFSHTISMEPNVNMYNMSWNAPGKSFTLDYAVMNITGCDFDTYRVLHDHDGDVPAKLCSITCPNEGITEAIARQTCNGTGCCSIKFYNGANSFKLMFVRHGKGGYKPGAAHSDQSPLWNTINITTLEAAISWSILDQPTCASALVNRTNYACVSTNSKCMDGDFGMAAGYICSCDGGYQGNPYILDGCLRDTGYNRFQRKKNCTRKCGSIDIPYPFGLEEDCSARKLFQLNCTDMSSSILQLNDNYQLKYINVNEGLLGIEDTSYIEDIGASTIYMLWGIGLYNGLSPILLAKRHSKTNQGTLVLASTAHACLWTQQTVTLVIVANVGLGFKETPMSKMVVKEFVAFSNSTGFQFSENIDECLTPGKCKGVCQNTIGSHRCMACPDRTQYDTTTMQCTSTKRQNLILGTVIGLSCGFGILFVSLSTMVFIRRWKNDIQKQLRRKHFRKNQGLLREQLISTHENASEKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKHIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSTSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHHDVESSNILLDANYTAKVSDFGASRLVPIDQTHIITNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVSEEATEEEIKCVGSLAEMCLRLQGEDRPTMKQVEMTLQFLRTKRLMAYHVVPENDEEMQSLLHKRSEDRCESLANNLGVSTNPESGNSHKCYSLEQEFISSIGLPR >OGLUM04G07020.1 pep chromosome:ALNU02000000:4:11745311:11757843:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEMALQFLRTKRSMSCHGAPENSDEMQPLLHRRATTLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLDGCPQSCGNLSFDYPFGIDFSLTCDNTSQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWNAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRFCTVTCPDKEITEKVARQNCNGTGCCTIELATRLSAFQFKFVHHGRGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGKYYCTDCPYKTQYDSIQMKCTSTGKQNILLGIIIGLSVGFAILLVSLSATFISRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQQLLQRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGSIIHSNTRRNHKEIVDKNPHPQPDGGTGEGTIPVQIQASRDCSAER >OGLUM04G07020.2 pep chromosome:ALNU02000000:4:11745311:11757843:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEMALQFLRTKRSMSCHGAPENSDEMQPLLHRRATTLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLDGCPQSCGNLSFDYPFGIDFSLTCDNTSQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWNAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRFCTVTCPDKEITEKVARQNCNGTGCCTIELATRLSAFQFKFVHHGRGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGKYYCTDCPYKTQYDSIQMKCTSTGKQNILLGIIIGLSVGFAILLVSLSATFISRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQQLLQRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGTRRNHKEIVDKNPHPQPDGGTGEGTIPVQIQASRDCSAER >OGLUM04G07020.3 pep chromosome:ALNU02000000:4:11745311:11757843:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEMALQFLRTKRSMSCHGAPENSDEMQPLLHRRATTLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLDGCPQSCGNLSFDYPFGIDFSLTCDNTSQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWNAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRFCTVTCPDKEITEKVARQNCNGTGCCTIELATRLSAFQFKFVHHGRGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGKYYCTDCPYKTQYDSIQMKCTSTGKQNILLGIIIGLSVGFAILLVSLSATFISRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKVKPIREIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQQLLQRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGSIIHSNTRRNHKEIVDKNPHPQPDGGTGEGTIPVQIQASRDCSAER >OGLUM04G07020.4 pep chromosome:ALNU02000000:4:11745311:11757843:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVEMALQFLRTKRSMSCHGAPENSDEMQPLLHRRATTLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLDGCPQSCGNLSFDYPFGIDFSLTCDNTSQPPRLFLQGGTTEVVDDIDPITYGTPYFMSVDVDFSQVISMRPGANTYNMSWNAPGRSFALDYAFLNITGCDFDIYLIDQDRNSGVRFCTVTCPDKEITEKVARQNCNGTGCCTIELATRLSAFQFKFVHHGRGELEARTNKSSLWDRINITTVDASLLWSIVDQPTCASTRDNRTNYACISSYSKCYDNPLTPDLGYLCGCDSGYSGNPYVRNGCQRDNGYIPAQQKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTNASSSSLQFDDEHQVAYINISEGLVGIRYTSWYEQLEFKVYVPKQPDLYVGSGESSSVKWAVANLTCLEAKQNYSGYACVSINSTCLGVNSTDGYIGYRCQCLPGFQGNPYVQNGCEDIDECNTLGICKGVCHNTIGKYYCTDCPYKTQYDSIQMKCTSTGKQNILLGEYYANVNLTMNMNKIIHSQLRGILHITGIIIGLSVGFAILLVSLSATFISRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTTIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGILHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWEIKVKPIREIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDAEMQQLLQRRSEASREQLAIDLGHSANSGSRNSQKCYSLEQEFISSVGSIIHSNTRRNHKEIVDKNPHPQPDGGTGEGTIPVQIQASRDCSAER >OGLUM04G07020.5 pep chromosome:ALNU02000000:4:11737181:11745834:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLQLNDEYTVNYIKVNEGLVGIEDSSYVKQGMYRMNLPDEPRLYSGSGESASVQWAVANLTCQEAWQNKSGYACVSINSTCLAVNSADGYIGYRCECMPRFQGNPYVQNGCQDIDECMIPGSCKGVCHNIVGSYHCMACPDRTQYDTTTMQCISTKRQNLILGIVIGLSCGFGILLVSLSTMFFIHRWKSGIQKQLRRKHFTKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKMSKDIKEDEISQFINEVAILSQINHRNIVKLSGCCLETEVPLLVYDFIPNGSLFGILHSGSSSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHHDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYRTGQLNEKSDVYSFGVVLVELRLRREPIFTTVTGSRQNLSNYFLWELKARPIKEIVAAQVHEEATEEEIKCVGSLAAMCLRL >OGLUM04G07020.6 pep chromosome:ALNU02000000:4:11741501:11745834:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLQLNDEYTVNYIKVNEGLVGIEDSSYVKQGMYRMNLPDEPRLYSGSGESASVQWAVANLTCQEAWQNKSGYACVSINSTCLAVNSADGYIGYRCECMPRFQGNPYVQNGCQGIVIGLSCGFGILLVSLSTMFFIHRWKSGIQKQLRRKHFTKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKMSKDIKEDEISQFINEVAILSQINHRNIVKLSGCCLETEVPLLVYDFIPNGSLFGILHSGSSSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHHDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYRTGQLNEKSDVYSFGVVLVELRLRREPIFTTVTGSRQNLSNYFLWELKARPIKEIVAAQVHEEATEEEIKCVGSLAAMCLRL >OGLUM04G07020.7 pep chromosome:ALNU02000000:4:11737181:11745834:1 gene:OGLUM04G07020 transcript:OGLUM04G07020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKAAGLVAAEVEAGGGCRRVEMSLFSGGLLPPLLLVFTTLAPIAQASEGIVSLPSAATLAGCQRSCGDLTFDYPFGIGSSHCFRQPDFELICDNTTRPPRLLFRNGTIEIVQSPDITYLSVTFPNVDISMKPNVSVYNMSLEPPGKSFLFGLAELNITGCDFDVYQLVLNENENVPTKLCSVTCPNGRTTEAIARQDCNGTGCCSIGLDIDANSFQLMFIRHHKGELKHDAPSNRSSLWNTINITTVRAIIVWRILDQPSCTSTMDNRTNYACVSRHNKCMDSYYTWSLGYICLCNDGYQGNPFIQDGCLRDRGIVIGLSCGFGILLVSLSTMFFIHRWKSGIQKQLRRKHFTKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKMSKDIKEDEISQFINEVAILSQINHRNIVKLSGCCLETEVPLLVYDFIPNGSLFGILHSGSSSHFSLSWDDCLRIAVEAAGALYYLHSAASVSVFHHDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIVTNVQGTFGYLDPEYYRTGQLNEKSDVYSFGVVLVELRLRREPIFTTVTGSRQNLSNYFLWELKARPIKEIVAAQVHEEATEEEIKCVGSLAAMCLRL >OGLUM04G07030.1 pep chromosome:ALNU02000000:4:11741681:11746536:-1 gene:OGLUM04G07030 transcript:OGLUM04G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNGCFHATIMHSVPSEWDFLVYFKGASVRRCIMFELTLVMADEHKLEAVSINVKTNGATSGDWTISMVPFLNNSLGGRVVLSQMSSKSGCLKQWDDPMPKG >OGLUM04G07030.2 pep chromosome:ALNU02000000:4:11741681:11746233:-1 gene:OGLUM04G07030 transcript:OGLUM04G07030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVPSEWDFLVYFKGASVRRCIMFELTLVMADEHKLEAVSINVKTNGATSGDWTISMVPFLNNSLGGRVVLSQMSSKSGCLKQWDDPMPKG >OGLUM04G07030.3 pep chromosome:ALNU02000000:4:11741857:11746233:-1 gene:OGLUM04G07030 transcript:OGLUM04G07030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVPSEWDFLVYFKGASVRRCIMFELTLVMADEHKLEAVSINVKTNGATSEKRLCSFNI >OGLUM04G07040.1 pep chromosome:ALNU02000000:4:11774421:11778836:1 gene:OGLUM04G07040 transcript:OGLUM04G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISDAALPLLLLAFAVATIVQAYHGNMTLPSAATLAGCKRSCGNLTFDYPFGTGSSHCFRQPDFELICDDTTRPPRLFFGNSTTEIVESPDSGFINVAFSHTISMESNVSMYNMSWDTPGKSFALDYALMNITGCNFDTYRVLHDHEGDMPAKLCSVTCPKEGITEAVARQTCNGTGCCSISVEIAANSLQLMFVRHGKGNYEPDTHSNRSSLWNTINITTVRASILWRILDQLTCASAMDNRTHNACVSRNSTCIDDLFIPAVGYICSCDGGYQGNPYILDGCLRDTGYHPFQQKKNCTRKCGSIDIPYPFGLEEGCSARKLFQLNCTDMSSSSLQLNDNYHVKYIKVNKGLVGIEDTSYFKHDMYLMHVSKEPQLYIGSGESASVQWAVANLTCQEAWQNKSGYACVSNSSTCLPVDSRDGYIGYRCECTPGFQGNPYVQDGCQGIVIGFSCGFGILLVSLSTMVFIRRWKNDIQKQLQRKHFRKNQGLLLEQLISSDENASDKTKIFSLDELEKATNNFDPTRILGHGGHGMVYKGILSDQRVVAIKRSKDIKEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFDILHSGSSSRFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVADFGASRLVPIDQTHIVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKARPIKEIVAAQVCEEATEEEIKSVSSLAEMCLMLRSEDRPTMKQVEMTLQFLRTKRLTSSHAAAENDEEMQSLLHTRSEVSCESLANNLGVSANPESGNSHKCYSLEQEFISSIGLPR >OGLUM04G07050.1 pep chromosome:ALNU02000000:4:11774567:11788637:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNLLSPVGEGILLNMIKEEPRWLCGVVTGEIEVWVPEAARTNAEWVVEAQVAAAPWAAFQSGCRRKNENKTNRSRGTTELKGLMSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKCKSLPGNGLCDSFLRTSNGAKLRRHISLMIMQYPIRIEVAAESGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07050.2 pep chromosome:ALNU02000000:4:11781939:11788637:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNLLSPVGEGILLNMIKEEPRWLCGVVTGEIEVWVPEAARTNAEWVVEAQVAAAPWAAFQSGCRRKNVGFTAENKTNRSRGTTELKGLMSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKFKLASFKTE >OGLUM04G07050.3 pep chromosome:ALNU02000000:4:11781939:11788637:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNLLSPVGEGILLNMIKEEPRWLCGVVTGEIEVWVPEAARTNAEWVVEAQVAAAPWAAFQSGCRRKNENKTNRSRGTTELKGLMSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKFKLASFKTE >OGLUM04G07050.4 pep chromosome:ALNU02000000:4:11774567:11788637:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWIGFLLCGIGHFASRPIFTSEHQALLCKRSDTVYLILSSFLMNLLSPVGEGILLNMIKEEPRWLCGVVTGEIEVWVPEAARTNAEWVVEAQVAAAPWAAFQSGCRRKNENKTNRSRGTTELKGLMSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKCKKSGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07050.5 pep chromosome:ALNU02000000:4:11774567:11779632:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIPSVWTSRFVITLAMADKHKLEAVSSNFNTYRATSCAVAGLPGNGLCDSFLRTSNGAKLRRHISLMIMQYPIRIEVAAESGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07050.6 pep chromosome:ALNU02000000:4:11774567:11782992:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIFIVLSIVALEKFQSLSCENMWENRRSIANEYNGFSSISRSWSCCFISIWSLDRATGATGKCKSLPGNGLCDSFLRTSNGAKLRRHISLMIMQYPIRIEVAAESGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07050.7 pep chromosome:ALNU02000000:4:11774565:11779632:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIPSVWTSRFVITLAMADKHKLEAVSSNFNTYRATSCAVAGLPGNGLCDSFLRTSNGAKLRRHISLMIMQYPIRIEVAAESGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07050.8 pep chromosome:ALNU02000000:4:11774567:11779643:-1 gene:OGLUM04G07050 transcript:OGLUM04G07050.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCTGFLLCGLQGLPGNGLCDSFLRTSNGAKLRRHISLMIMQYPIRIEVAAESGDSTISVVLFPKNNLGGRVVSSHMSSKSGCLKQCDDPVPKG >OGLUM04G07060.1 pep chromosome:ALNU02000000:4:11782810:11787719:1 gene:OGLUM04G07060 transcript:OGLUM04G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVIDANVCLAFKEIQMCKMAVKILMSATPRASVKDLSATFICRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGVLHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDEELQQLLPRRSEASCEQVAVNLGNSANSESRNSHKCYSLEQEFISSVGLPC >OGLUM04G07060.2 pep chromosome:ALNU02000000:4:11782810:11787719:1 gene:OGLUM04G07060 transcript:OGLUM04G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVIDANVCLAFKEIQMCKMAVKILMSATPRASVKDLSATFICRRWKRDIQKQLRRKHFQKNQGLLLEQLILSDQNATDKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKHIEEGEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFGVLHSGSSSDFSLSWDDCLRIAVEAAGALCYLHSAASVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLVELLLRREPIFTTVSGSKQNLSNYFLWELKVKPIKEIVAAYVHEEATEDEINSVASLAEKCLMLRSEDRPTMKQVEMTLQFLRTKKLNSCHATPENDEELQQLLPRRSEASCEQVAVNLGNSANSESRNSHKCYSLEQEFISSVGLPC >OGLUM04G07060.3 pep chromosome:ALNU02000000:4:11782964:11784803:1 gene:OGLUM04G07060 transcript:OGLUM04G07060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIKMIDMSPFSSVVPLLLFVLFSAVNPTVRSSSVPGNQGINSTILPSAATLEGCPWSCGNLSFDYPFGIGSGCFRNPDFNLTCDNTAQPPRLFLQGGTEVIEDIDAIVYGSTSNYLFMYVTVDFSHAIPVSPGTKDYNMSWKAPGRSFTLDNALLNITGCDFDIYLLDQDRNSAVRLCTVTCPNEEITEKVARQNCNGTGCCTIDKGGLEAQTNRSSLWDRINITTIYASLSWSIVDQPTCASTRDNRTNYACASSKSKCCERAMDYLILVTSVDVIVDIGETHTYPMVASVIMKANCSRSCGNISVPFPFGLEEGCFARKLFQLNCTSATSSSLQFDDEHQVTYINISEGLVGIRYTSNYEQEEFEVYVPKQPDLYIGSGESSSVRWAVANLTCQEAKQNYS >OGLUM04G07080.1 pep chromosome:ALNU02000000:4:11821484:11822407:1 gene:OGLUM04G07080 transcript:OGLUM04G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKANATCRCYSATAAEAQAYGLQRQWICCTELDAQAFAMADLMKEPTILCLPALRRQREQRWRDDSGGEDDGVTPQGATPIPCRSMSLGT >OGLUM04G07090.1 pep chromosome:ALNU02000000:4:11834807:11848454:-1 gene:OGLUM04G07090 transcript:OGLUM04G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGVSAMVLVYNATGAALELPPASFQNGQWLAFLHAHPTAQSIGCEAARVFRGRDVDGQVRDFMVAWSLPWSATQNSAYTEVREKDHFPNYWGYIKEEKLEKAGKICTDQTDKNCASTVSVGGCTTSEFIAVLQHKFGPLPEE >OGLUM04G07100.1 pep chromosome:ALNU02000000:4:11856465:11857879:1 gene:OGLUM04G07100 transcript:OGLUM04G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPMADGGDLVMLMEVSQLKKLALLLRNNEEAQITQAVKSQNERVKYLHSVNHAYNHAVDLLDDGTATRDKYAAAPAGGGGGEAKASIAEDVLEYVKYGLNMSMQNVRNCCLRVDCIGKIRAHYDSLVADLAGLHADDVANLRRLAKDTAMFKECMFEHCNKLRSGSARAMSKAFSMMLKQEGIKFPDLVKRHKNKLGFEGEFEHLTDAQKLEVYNSIIEESSRAKMPVTEMVSTAAGVAVLLATAGLMVWDIFTAEHTVEAVLRNSLNALAEVGAFAVQVVVEGAVTEAVADLELGVFVVSMAGFVAGAVAGILFVAVAGVLVDLIMGTAGNVAPPVTDLKFHTATMPDGMQLAYIISHRG >OGLUM04G07110.1 pep chromosome:ALNU02000000:4:11870614:11871512:-1 gene:OGLUM04G07110 transcript:OGLUM04G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCFGDVVDNYKLDEMERYVGKAKRQEDRAREAMNLVNEDGKDKKAASYVQGVKDWYGNGESTLCLVYNATGATLRHVADHDWWGFVGRTPYPTEIGNGQWAAFHHVHKSGDSSGSEAAVVYRATNADGVERDLLVAWSTPWSSFYRNKAYCAVGGVDSFQGDWEKLYDKVNNAAYTCDVDSDGFKIKASTATGDSPVFTATIQIHFSQ >OGLUM04G07120.1 pep chromosome:ALNU02000000:4:11871898:11873179:1 gene:OGLUM04G07120 transcript:OGLUM04G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVPITDETLEAMSRYAGKSISQVDRAREAMRLIHAEGKNLDALQHAVGLKASYGDGASAMVLVYNATGAALELVDGDGGTMDWYGYVYHEQPPASFQNGQWLAFLHAHPTAQSIGCEAARVFRGRDVDGQVRDFMVAWSLPWSATQNSAYTEVREKDHFPNYWGYIKEEKLEKAGKICTDQTDKNCASTVSVGGCTTSEFIAVLQHKFGPLPEE >OGLUM04G07130.1 pep chromosome:ALNU02000000:4:11903087:11907617:1 gene:OGLUM04G07130 transcript:OGLUM04G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVDVLLACLALLLLASSCAAGAPTVAAAQTSAAGGGYGAGCAKSCGDLTFDYPFGIGAGCARGHDFQLICNTTTRPPTLFLSDGFTQVINSIKPYGAAGYVYVNFSLDIPVRSGVDLYNVSWTVPGDSFSVRDSARVTIGYGNFDVYLLDAYSSMRIILCSLTQPTNIHDTSDEGECQRNIPIVRGFQLQFVHRHEHGGKGQQTSVDSVRIENDGVRLGWAIVDHSTCAEAKRDKSSYACASKHSRCDDNLSFTASRAGYLCKCTDGYQGNPYAPNGCRRDGLPDARYDRFPSKNNCSPSCGNISVPYPFGLEKGCSANQHFLLRCTYYKDNKSTDPDLLWWATRYTDEPSTPTKLVRIDISEGLVILAGEHYEEFLAMDGTASTRVSDGSAKDFVVKNLHFAIANQTCKEAQQNTTGYACVSVNSTCLAVNTGDGYIGYRCKCKHGFEGNPYIKDGCQDVDECSTAPGICPEICNNTVGNYTCIRCPAKSEYKDKTKRAKMLFHKWKKGIQKRLHRKNFRKNEGLLLQQLISCDETTTDRMNIFTLEELEKATNNFDHTRILGQGGHGTVYKGILSDQRVVAIKKSMTIKEGEITQFINEVAILSRINHRNIVKLFGYYLETEVPLLVYDFISNGSLFELLRYNSSNGSLLSWEDTLRIAIEVAGALYYLHSAASVSVFHRDVKSSNILLDANYTTKVSDFGTSRLVSIDQTHIVTKVQGTFGYLDPEYCQTGCLNEKNDVYSFGVVLLELLLMKEPIFTSENGLKLNLAGYFLEEVKVRPLSEIVTTKIYEEATEEEINNVTLLAEMCLSPRGEERPTMKQVEMTLQSLRNVTQTTAVHRANASDQLSQRCYSLEHEFIASAELPR >OGLUM04G07140.1 pep chromosome:ALNU02000000:4:11905990:11913234:-1 gene:OGLUM04G07140 transcript:OGLUM04G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRANLSRGVKEIRFLFCQSSPASALAREFVKKNYGDIKARNPSLPVLIRECSGVEPQLWARYDMGVERCVRLDGLTEAQIDSKLEELAKAGGSFFASGTQAHLRKQDAWCSGAFVNICNHAHVTVIKT >OGLUM04G07150.1 pep chromosome:ALNU02000000:4:11939924:11941835:-1 gene:OGLUM04G07150 transcript:OGLUM04G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA synthetase 5 [Source:Projected from Arabidopsis thaliana (AT1G62940) TAIR;Acc:AT1G62940] MGDAAVPAMVVEEEEQEHVFRSRFPPVAVPDGVTVPEFVLDGAEAYADRVALVEAAAGGRSYTYGEVARDTARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRALAAEIKKQVEDSEAKLVVANEVAFDKVKDAGVPVIGVGDRERMPGAISWDELLAAADRTGAGVVPVDAAQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVAPETAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLRALVDHRVMFAPLVPPVMLAMVKSPVADEFDLSDLALKSVMTAAAPLAPDLLAAFQRKFPGVQVEEAYGLTEHSCITLTHAAGDGHGHVAKKSSVGFILPNLEVKFVDPDTGRSLPANTPGELCVRSQSVMQGYYKRKEETERTVDGKGWLHTGDVGYIDGDGDVFIVDRIKELIKYKGFQVAPAELEAVLLSHPSVEDAAVFGVPDEEAGEVPVACVVRRHGAEEGEEEIVAYVAERVASYKRVRVLHIVDAIPKSVSGKILRRQLRDEFIKRMKPSA >OGLUM04G07160.1 pep chromosome:ALNU02000000:4:11945473:11956895:1 gene:OGLUM04G07160 transcript:OGLUM04G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSSGKDNGKSNTKSGKASTSFHIQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >OGLUM04G07160.2 pep chromosome:ALNU02000000:4:11945473:11957269:1 gene:OGLUM04G07160 transcript:OGLUM04G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKRLTQSVGMLSYTFLRSTNKEDIVVPMIDYENQQGWKRKPRTTFADWNTSLQTIITWSPYSTEAELLEQFSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSSGKDNGKSNTKSGKASTSFHIQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKRCDKVNSGREAL >OGLUM04G07160.3 pep chromosome:ALNU02000000:4:11945473:11956895:1 gene:OGLUM04G07160 transcript:OGLUM04G07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSSGKDNGKSNTKSGKASTSFHIQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKDASSTIQDLLEQLNAARKGRKF >OGLUM04G07160.4 pep chromosome:ALNU02000000:4:11945473:11957269:1 gene:OGLUM04G07160 transcript:OGLUM04G07160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRAVKTEAAAAAAVERPRPLAMAAAGGGGRGGRPVEEDFIELSSSDSDSDGEGGGGGGGSAKRSRGVGAGGSAGKRARVSAAGVAVDLPPGFLDPLPHAASAAAGAEASAGPSKSATKQFWKAGDYDGKPLGDGAPQSSVSGLDHVRVHPKFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENNKDKTRMLLVEDDGGGMDPDKMRQCMSLGYSAKSKVASTIGQYGNGFKTSTMRLGADVLVFSRSGGKGGKSSIKEQGTRIIIYNLWEDDEGHLELDFDEDIHDIQLRGGNRDEKNILMAKQFPNSKHFLTYRHSLRSYASILYLRVPSFFQMILRGKEIEHHNIVTDMMLKKEVKYKPVAPNGVPKDSNMVADVTIGFVKDAKHHVDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLIQMQKDYWSGNAHRIGYSGTRAGRSNETEEDAPEVTQSAQQSPYSTGKNYGKSNKKQSPYSSGKDNGKSNTKSGKASTSFHIQRRTDKSATTKQPGRSIMYGLSDTSDESDSEFVGAPTPTSSRSHILNPHRKSFQNGTALATPPSNGRTERERNKTASQPVQLNAASNGDYTIDDHETIIKQLRDENSSLKERLLKVEESLSQELAIERDKNKSLTERLEDAQRQLETSNKEQEALIDIFSEERGRRDLEEENLRGKLKRCDKVNSGREAL >OGLUM04G07170.1 pep chromosome:ALNU02000000:4:11971011:11978255:1 gene:OGLUM04G07170 transcript:OGLUM04G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRHRLAAAAALLLLLLSSAAALSSSAAEGEEDLLIEQVVGGGEEEDAQLDAEAHFASFERRFGRTYRDAGERAYRMSVFAANLRRARRHQRLDPTATHGVTKFSDLTPGEFRDRFLGLRRPSLEGLVGGEPHEAPILPTDGLPDDFDWREHGAVGPVKDQGSCGSCWSFSTSGALEGAHFLATGKLEVLSEQQMVDCDHECDASESRACDSGCNGGLMTTAFSYLMKSGGLQSEKDYPYAGRENTCKFDKSKIVAQVKNFSVISVNEDQIAANLVKHGPLAIAINAAYMQTYIGGVSCPFICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGEKGYYKICRGPHDKNKCGVDSMVSSVTAIHTSKKE >OGLUM04G07180.1 pep chromosome:ALNU02000000:4:11973600:11977866:-1 gene:OGLUM04G07180 transcript:OGLUM04G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADDGEVRREVVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLPPINVGHIKGINEDKPSAGTSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDAKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKAYFGINGDTVDGVHFLLIINSNRATPQNGPNH >OGLUM04G07180.2 pep chromosome:ALNU02000000:4:11973600:11977866:-1 gene:OGLUM04G07180 transcript:OGLUM04G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADDGEVRREVVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLPPINVGHIKGINEDKPSAGTSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDAKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHYKRLSPIRQAYFGINGDTVDGVHFLLIINSNRATPQNGPNH >OGLUM04G07180.3 pep chromosome:ALNU02000000:4:11973600:11977866:-1 gene:OGLUM04G07180 transcript:OGLUM04G07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADDGEVRREVVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLPPINVGHIKGINEDKPSAGTSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDAKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKAYFGINGDTVDGVHFLLIINSNRATPQNGPNH >OGLUM04G07180.4 pep chromosome:ALNU02000000:4:11976094:11977866:-1 gene:OGLUM04G07180 transcript:OGLUM04G07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARCPGAAGVAERWTARALAGAVLDVALVWACLWVAAAASAAASALALLGVPLPCTCARPHLPCLLAFLSRYPSRALASLASALLSRFPFASSCSPSPSSDDDHGVEHEESGRVDGVEEVDEHPADDGEVRREVVVVEEERDARAALQRELEKERSAAASAAEEAMAMILRLQKEKSALEIEARQQRRTADERCAFYEDEVEELRDIVLVRERESRALQKEVDAYRRLLGLAAEDGDDDDDEDDMMTPPSTMVEGEPSTSRSVYKDSRNGAMPQLGNDYGFSLKTPFSPQELLPPINVGHIKGINEDKPSAGTSEKIPMVGLKPEVDSSKDDRVETIEILPLSARSLDQVGYGEVVAAVDAKAPEQLPHEFQEECGGVDKIGGDSTGSENDANIYDVHVVDDICFPTEVKGLICRSFSDATMQAEKLQNRVAADDLLGKSLNAIKGAQDKIKHAASERKQSLQLQLLEDIANQLQEIKVAAEAGRQLHCASPRNLKKS >OGLUM04G07190.1 pep chromosome:ALNU02000000:4:11996382:11996801:-1 gene:OGLUM04G07190 transcript:OGLUM04G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQAIVVPAVADPAIAAGRLFLIGDDSDVRQGWGFSCLLLVASLSSAAVLLLAASSSSSAVAAPGGDENGTTPSGLLVVGGGATLSRLPPCRAAAQPPTATSSRSYERRGEEERDERKNNTRREKGRERTRGEKILKW >OGLUM04G07200.1 pep chromosome:ALNU02000000:4:12002733:12005993:1 gene:OGLUM04G07200 transcript:OGLUM04G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLQNVINIREAISTVINIGEASKLEEDLSRLQVSLDKARAVIYRGEWGRFKNKDLAALLWHLKDATYDAEDLLRESNDQVLRQKMEDADRSLVGQLLSSSLNGVQTLIGGSKTRIKEVQDKLNKAVADLEGELNSVGLNFGIVQHMPATSSVIGVPQVFGRDEERDLVIEKLGVMIGRDNERDLVIEKMGVPLTRFAAARAKGKRTAGGTVAKSASTPKRLKGESSRAGPRISQSKYIGNVSILPIFGIGGVGKTTLAQYIYNDERVSSHIRMRTWVCVSDLFDKKRIIEEIFRSITKKDSSQHSSNDLQEELKKKLKSQKFLLVLDDIWSITNREWEELNALLKDRLKGSMILVTTRLEIVANLVCTNNFKPFELKGLDEDKFWNFFKDCAFGQKRPADSECNNLHEIGQSIASRLCGSPLAAKTLGRLLNMELTVRHWETIEKSELWELPHQENEILPALQLSYLYLPRELKRCFAFCSMFPKDYSFERDEIVDIWVAESLVELVSGERTRPEDIGIRYLDDLRSRFLLQSDPKYPDESRYVMHDLIHDMAQSVSVNECLLLQDLSSRNEGRKLHAVRHMSVQVADESLKSELRDIQYLNKLHSLRFGINLKGCKLVKLPESIGELHSLRYLDISHSRVKELPEKFWRLYSLQVVDASRLSLRVISPDVTKLINLRRLALPADCALKLSVLTGLGNLSRLRNLRYFTVAPKNGREIGELKDMDKLSGTLTIKSICNVKSKEEASEARLVDKQYLKALDLQWRDSDGYYVISSENGVLEGLRPPRRIERLKVKNFRGDSFCPSWFKPESLPTIRSLELFSCHSLKSLSIPSLPSLEHLMLEWVRVEELTVFADDAPSGSTDCDRTQHPSRSNGIVCFRGLTSVCLVNCRKLRNLDQFLSPDYLPSIKSIEIRYCISLVSVPSFVGFGRLQDLKIWGCYKVYPQEMVLPSSLQRLSIGNCGELDRSFPTCLQALTSLTVLHLDRCKNMESIPIGTNFQVQYLLLKNCLKLSSIGGAHALSSLRYVSILECPELLHQVQQPFERDLMTKDESELLHKFLQLRTIFYY >OGLUM04G07210.1 pep chromosome:ALNU02000000:4:12008279:12009769:-1 gene:OGLUM04G07210 transcript:OGLUM04G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G62990) TAIR;Acc:AT5G62990] MATTTSSSSSLSFLSPQPIHARRVRLPNPPTSATVPPTPTAVRCAPAAPASAASARSIPPPKLVRCPALDRQAARANRLRFARKLLVLLLSKPRRFLPLRVLCRCHRYLGLPPHRRRPLVPFVLRYPALFRLFQAPTSHPLSPNLSTLAVALTPAAEALAADLAALRGSSELAPRLAAKIHRLLLLTPGRSLLVSKIAHLAPDLGLAMDFRATLCPRHPDLFTFVNTSHGHALQLVDPPPPPPPPLPPFRPAAPSDRLIDRPRRFPHLKLRRGLNLRRAHRDYLLRFHSLPEVSPFEPLDEGASLEMMERRACAVVREVLAMTVEKRTLVDHLTHFRRDFGLPNRLRTMLVRHPELFYVSVKGLRHSVFLVEAFDDDGRLLVEDDMLVARDRLEELVREGKRMRRAKKKGLLALADDSDEDDEEEDGEEQDSVQVDGESGDLLEDGGIGEDWEEVGDLGEGSDDDDDAELDALEEFWVKKAVAEGLVDTGNELDAW >OGLUM04G07220.1 pep chromosome:ALNU02000000:4:12025342:12036733:1 gene:OGLUM04G07220 transcript:OGLUM04G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVYVDSADAYGHVVIQGNDVALTGMDLGSATQRRRKSSHGSMGTLRHNSVDSVVLQRRRTEAPASCGVGRRRQRRSRWHGDSGNRRKKGEEKGRRPAVFIEGEASVRAMHDANLRKDDTGSRCAACGSSVAALPQRRAWADSVPINGSMQDGMASEHEGGFLVHKCKLGWRAEHEGCSLVHKFE >OGLUM04G07230.1 pep chromosome:ALNU02000000:4:12037228:12037857:1 gene:OGLUM04G07230 transcript:OGLUM04G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKVKLQRIVIDVKQRVTFMKSLKGLTKKVSEFATLFLMVYGEVEVQATKVWPSVWEATRVLEHFKAMPHLVRYKKMTDLEGILNEQVDKLKEQLHKVGHDADESETKLLLIEAINGHHPSLEGLTIEQITSLGWMANARLKIVNDRLKKLREEGLIPASVSLSGTEVPIQREGWLMDVARGIGSMGYNRFGGSSGSGTAGSNGDMA >OGLUM04G07250.1 pep chromosome:ALNU02000000:4:12056190:12056819:1 gene:OGLUM04G07250 transcript:OGLUM04G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKVKLQRIIIDVKQRVTFRKHIMGLTKKVSEFATLFLMAYGEVEMRTTKVWPSMWEATRVLEHFKAMPHLDRYKKMTDLEGILNEQIDKLKEQLHKVGRDAHESETKLLLVEAINGRRLSLEGLTIEQVTSLRWMANARLKIVNDRLKKLREEGLIPASVSLSGTEVPIQREGWLMDVARGIGSMGYNRLGGSSGSGTTGSNGDMP >OGLUM04G07260.1 pep chromosome:ALNU02000000:4:12084603:12086243:-1 gene:OGLUM04G07260 transcript:OGLUM04G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEESERWPQLPPPSSPASAVISDEDLLGEILLRLESHGHLVAAAIVCKHWLRVASGELFLRRFRVIHPPRLLGFCIDDDGGGDAGRRPQFKALPQHPGVAAAAAAHRTKHRFFGAYGHLAADYHRRPTIADCHDGRLLVVESPDRAPRRLGINTPYRYTVLRPPHPRESVQLLPLLPPPPPGGGARKHVVERVFLPEDGGGAGGDHGITLVYVLLVKRRVTARVHVLDSRGAWGAPTTAETELPAPAEAPWCEDEAVETVLPPINGEVYVVTTSGYTLGLRLGTTRFSVVELPDAARSSTNFRMSWSHAAAADDDDVTRGRLSLVHGDGTRLSVWHRKTTTTEDDGGAAGVGWRLADTFCVREACERIEWLPDGWWTGRVTVVAVGDNAEFALLDLEKVGVVIYVHLRWRTVKKVYERKLADADADGGGGGGGDRRPVRVRVFPLTTVWPPTFPALNKPRQNCCVRSPDGLVGAVVMSCHAGWSATSPSCHRDGEDDDDEDVGGVLPGCSWAGWFDDMAAMVVQLAEWAAKPRSPRRWRWSCL >OGLUM04G07270.1 pep chromosome:ALNU02000000:4:12095079:12100536:-1 gene:OGLUM04G07270 transcript:OGLUM04G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSLTADAILDDTIKIIADAVRPSFKAPSGQDDDTLTTAVAGDAAERAATATQAALAPVLLGLIPTTPVAGDAISSPVPPPVPPTIEPSTTAIPPPPASTPAPATHLPPEILALLARLGITTMPAAPTQDAAAPPLALDAEAITNLHSQAILNVKALVPLRFAIQDGKLTRSLGCA >OGLUM04G07280.1 pep chromosome:ALNU02000000:4:12198466:12199311:-1 gene:OGLUM04G07280 transcript:OGLUM04G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRCTLIHAVAVVAVAAAAALLLPPLAAGQPWPTCDTSAGTYKAGSAYESNLRDLAAALRAGAAASPSALFATGNRGGAPDAVYGLLLCRGDLSVSDCFDCGTRVLADVGRVCGGRHGRAKDVALVYNQCYARFSNKGDFLAATDNAGGETLLISGTNITGGAGVVAAYDRAVTELLAATVRYAVEENPARLFATGQRVGDDARDPGFRNIYSMAQCSPDLPPASCRRCLDGVLARWWQVFPLNGEGARVAGARCYLRSELGVGPFYTGAPMVVLRADKV >OGLUM04G07290.1 pep chromosome:ALNU02000000:4:12201366:12201692:-1 gene:OGLUM04G07290 transcript:OGLUM04G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVDLALAKSEDLIRPYKGYKIVGHVVGLEIAWPAIFVDKING >OGLUM04G07300.1 pep chromosome:ALNU02000000:4:12241019:12241237:1 gene:OGLUM04G07300 transcript:OGLUM04G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGHLPLLDREREGGVGARPVRIASPVRFFPLSDGGTCCRWIGKEKGEEREAGQEGLLISFQRDNEVGRHR >OGLUM04G07310.1 pep chromosome:ALNU02000000:4:12244733:12246789:1 gene:OGLUM04G07310 transcript:OGLUM04G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTGGNSGKLFDGMHLCLSEQGSPRTQSPAAVDPSLDRSGVVLGGMPKKMSRLELDAGVSLWAGLQPDILGIVLHFLPCLADRARVRSVCRHWRASANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGSFHGWLVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRRAQLCHMRYNFPAYSSKTLRIVNGSGEVHFGVNDIYTMSLCNVALSASPESSKYIVAASSDHKGAPVPALWQPGMISWQVCSGVEIDVPRDLSYQGKLYMLMRHRTRLFTCELEEDDRGFMVSRIELSLTELPRNHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSMNGDCIFVGLGGCKSFPAGLHHGVECDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >OGLUM04G07320.1 pep chromosome:ALNU02000000:4:12260344:12262059:1 gene:OGLUM04G07320 transcript:OGLUM04G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGKLFDEMPPRSSDRGCPRSPSPAAMEHGFDRQCVVLGGMPKKRSRLELDAGLSRWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLVLPGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIINGSVGEDNGGLMVSRVKRCLSELPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >OGLUM04G07320.2 pep chromosome:ALNU02000000:4:12260341:12262059:1 gene:OGLUM04G07320 transcript:OGLUM04G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGKLFDEMPPRSSDRGCPRSPSPAAMEHGFDRQCVVLGGMPKKRSRLELDAGLSRWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSELPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >OGLUM04G07320.3 pep chromosome:ALNU02000000:4:12260424:12262059:1 gene:OGLUM04G07320 transcript:OGLUM04G07320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPVAMDSAGGNSGESYPSHLGLFAHLTVRRLGSDLIHRWLLCRQAVRRNASPFVGSRMPPEPVTGGDGTRLRQTVCVVLGGMPKKRSRLELDAGLSRWAGLQPDILGIVLHFLPCLADRARMRSVCRHWRASANGHVLPPPLPLLVLPGFKFSSLSDKGDLMPVRCVPVPKEVAADDLRCVGVTPNKDRSDEYNRDADGDCFLVNVFSRKVIRLPQLCHMRYNFPAYSSKTLRIINGSGEVYFRVNDIYTMSLCNVALSASPDSRGKYIVAASSDHKCASKLALWQPGMISWHICAGVDIDGPRDLTFYLGKLYVLQRYKIRLFAFEVGEDNGGLMVSRVKRCLSELPLHHPYQEGGAISCNMVVWRGELLLIIRHYSDNYRDREVLKVEVFALDVNTNPYGLTEIHSLNGDCIFVGLGGCKSFPAGLHHGVEGDHIYFVPDDWKPYDTFVYSMRDGKMRSFAVKLLASEFDVDQLSRDFPVWLLPTE >OGLUM04G07330.1 pep chromosome:ALNU02000000:4:12269645:12269998:-1 gene:OGLUM04G07330 transcript:OGLUM04G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSASSLELVTNVAISEVEIKEKGGKDWVALKESSSNTWTIKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >OGLUM04G07340.1 pep chromosome:ALNU02000000:4:12298735:12299088:-1 gene:OGLUM04G07340 transcript:OGLUM04G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSSFRLAVAVAALLVIGSCATELTFKVAEGSSATSLELITNVAISEVEVKEKGGKDWVGLKESGSNTWTLKSEAPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >OGLUM04G07350.1 pep chromosome:ALNU02000000:4:12322914:12324651:1 gene:OGLUM04G07350 transcript:OGLUM04G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGGGDSSGRRLHRRSHGHILRRRRRRRFRRADLVISPFAPTFSRRSGDGNDFSVGSNGGGGDPRTDPEWSSVNPETTPNSLRTSEECNFRNALCGSSYTRIPNAS >OGLUM04G07360.1 pep chromosome:ALNU02000000:4:12326659:12327012:-1 gene:OGLUM04G07360 transcript:OGLUM04G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATELTFKVAEGSSAKSLELVTNVAISEVEVKEKGGKDWVALKESSSNTWTLKSESPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGIQL >OGLUM04G07370.1 pep chromosome:ALNU02000000:4:12375895:12377057:-1 gene:OGLUM04G07370 transcript:OGLUM04G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLGRSTASGRRMSALTISKHEKTLNGHATADIHVRHRINGDLVTLANPTVCNPHTPVVAEFKPVIVSGLDLPDILVVANSADAITGVSTTASDFDLKIVIAAGAVAVLLAMFPCS >OGLUM04G07380.1 pep chromosome:ALNU02000000:4:12384992:12387845:-1 gene:OGLUM04G07380 transcript:OGLUM04G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQTLQGLDLLQNGVQNQEIDIPRNDQYVEEHNISNENWRTNCYEYLLGSYLGTLVRKPHLAPLNILKWNDKIFKRIYHQKMITEVERKFAIDGRDKSWLLHQLDGKWRQYKGKLKGKYYKPNLPMEQVLQTVPNTVDESQWPTLVSYWYSEDSKKISDRNQENALKHPHTLGRKSFARKRKELASHLALLFEVNGVEVDRETFFDECHKTKDGRYVTDATQEKMNEVYMKLAEKRVDGQQLTEADFEQAMLDVFGKDHSGRVRGMGPTITPTNYYGGRFLNISAINEQGTSSIMLIKTKKFRHQILRHLPVREHLLSLQLKKNTYNPYNLTPRRTTVAQVKTSVPRALPNLSVIATK >OGLUM04G07390.1 pep chromosome:ALNU02000000:4:12394116:12394526:-1 gene:OGLUM04G07390 transcript:OGLUM04G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRGWGQAELARALVALAMAAEAEVPRVADRGGGSSSGRRGRRGSFGRQTVACGVGRWVGARDDEGDPVSGLCTGKGKRAVAATPACRSSAPLQPPCSTSHRPCHRRRRHRSRLSAAHDEAGVVGGHNKHLPP >OGLUM04G07400.1 pep chromosome:ALNU02000000:4:12400485:12401348:1 gene:OGLUM04G07400 transcript:OGLUM04G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSGRRGGAWWHRRTGGGTHAAMSNRSGSIQRCGRRRRRRQAAWRKTAASTTPSSTVEAQHRSCAAADPANAIGTAEIDMSSRFYDKGFQ >OGLUM04G07410.1 pep chromosome:ALNU02000000:4:12447839:12448555:-1 gene:OGLUM04G07410 transcript:OGLUM04G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHRCQTVVVVPARLEASCNGRIQRCCPGDSAQGRGGSGKGAGSGGSSSPFRSAPSPFLELLPFFVGTTGQRGKLRRPKQRCPVTGSPLAEHGKEADGWRDGGGLGQFSWDERWDEASWWGVAANGGALVWLGGGQALPMLVWWLLSWWVTNYLKGCSSLKASLIGSPSGIELAARPPRAVPPRMDQALGILPCADPSSVTMEDRGGGGCGASDDCRRQGWLIRR >OGLUM04G07420.1 pep chromosome:ALNU02000000:4:12471090:12476191:1 gene:OGLUM04G07420 transcript:OGLUM04G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDISENKKIVITPWKVKTVLGVPLGGEPLQLPDQDIMSDALADLAIELDLPPKSDITASRLIEEIKNRPKDPSMVRYFIMVIVNKMLLPSTGLYIRPKDAWIGSDIQKVARINWSKAVFYALRDSLILWHKNKTGPRQQTYIRCCVAFLVEDRITDSSGNYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRILDALGEYDRQSKLSADAIAKQIRLITSTEPHPHLPGELFPTIDKTAIADETQALTPQPDADFQGGCDVGIPLQGIIDFTMTSEGTYTTQSHTADGIEGHHDLPDADDEHGIETDTSMQGNTAVNVTTEGTNTAKSPFAHQIDGHHHHPDADVEHNSDFDIPTQGIIQPYAPAVEPALPEFGVPNTILALTAHVQDETAEHNTQDELLTDSQLAAKIDQICILEGASHDSYHLLLLFHLSLVHGINYAIRPLSSSYVYHMQVNKEADYATRQHASPVKHCVKSASPLKHCVKRSARYVPPATQSVPKDDNVAIQLLDLILSDPTQFGSVCCPLSHIPSNKLRINIQLQGLLNIENVTRVGRKDNFSPRALAEHLSECLKGVNLSKAEQLLLPIINNDHWTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLAQKVLKRLSDGFQSFMPKVFKKFGNYHREFVKCPKMVPCSNDCTFYVMRYMERYQGNPDKLADDFQPPESRVLRAQIMHQLIFHRFNLAPCIHPAIEDLRPLDDGEGSSH >OGLUM04G07430.1 pep chromosome:ALNU02000000:4:12484899:12502026:1 gene:OGLUM04G07430 transcript:OGLUM04G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14600) TAIR;Acc:AT5G14600] MMVPLDPSSKPTFQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCLVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSVMVRPCSTARGHTGYLTFARLRVHGN >OGLUM04G07430.2 pep chromosome:ALNU02000000:4:12484899:12501486:1 gene:OGLUM04G07430 transcript:OGLUM04G07430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14600) TAIR;Acc:AT5G14600] MMVPLDPSSKPTFQRRIAEGDTVVVYERHDAMRAVAVRPGAVLQNRFGVFRHDDWIGRPFGCKVHSAASAGGGGARGGKGKGGGFVHLLAPTPELWTLVLSHRTQILYLADISLVVSYLELVPGCLVLESGTGSGSLTTSLARAVAPHGRVCTFDFHDQRAASAREDFERNGLTSIITVAVRDIQGQGFPEEHTGAADAVFLDLPQPWLAIPSAGTMLKQDGVLCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVREGGLKGATTNEESNAVPLAQKKRKLLAAAETLDVKQNSSVMVRPCSTARGHTGYLTFARLRVHGN >OGLUM04G07440.1 pep chromosome:ALNU02000000:4:12503030:12504121:1 gene:OGLUM04G07440 transcript:OGLUM04G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEKQDATMARRQRHAVMIPYPAQGHITPMMKLAKLLHARGFHVTFVNTEFNHRRMLASRGAAALDGGVPGFRFAAIPDGLPPSDADATQDIPALCRSTMTTCLPHVVALLAELNDPASGVPPVTCVVADAIMSFAYDAARRIGVPCAALCTPSACGFVGYSHYRQLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGIQLRDFPSFIRTTDRGDIMLNFIMREAERLTLPDAVVLNTFDDLERPALDAMRAILPPVYTVGPLHLHVRHVVPKGSPLDTAIGSNLWKEQGGLLEWLDGRPPRSVVYVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGR >OGLUM04G07450.1 pep chromosome:ALNU02000000:4:12528847:12530337:1 gene:OGLUM04G07450 transcript:OGLUM04G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIY5] MGSTATARRQHHAVMVPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGIVPGFRFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHLDALLATINADAAAAPPVTCVVCDGVMSFAYDAARLIGVPCAALWTASTCGLMGYRHYRHLVERGLVPLRDAAQLTDGYLDTVVDGARGMCDGVRLRDLPSFIRTTDRGDTMLNFLMRECERLSLPDAIIVNTFDDLERQALDEMRRVLPPPVYAVGPLLLQVRRAVPAGSQLDVAVGSNLWKEQGGLLEWLDGRPPRSVVYVNYGSIAVMTNEQLLEFAWGLAHSGYPFLWNVRPDLVKGDAAVLPPEFLAAVEGRGLLTTWCPQEQVIEHPAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEARRGEVAALIREAMEGEKGAEMRRRAAGWKEAAARAARPGGPAECGLDRLIHEVLLAGGNKGGQRLS >OGLUM04G07460.1 pep chromosome:ALNU02000000:4:12532065:12539719:-1 gene:OGLUM04G07460 transcript:OGLUM04G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQREVDRIHSALIPVMRALIKKELLDHTDPGVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGSIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEESLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIVEALQLNQKRPAMKIQPRIARELQLSLNKHARKVQPRIVEESQLNHNRHDGMVQPGIARESQVNQNMHVTKGVQKHMARYNNNKPTEIEGANVGTTKHAKVKRAALTCENVVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKVVIDSLICGDAELFRPHRNLNYIRDAIGHCIAWPSQLVCSFLGFFVLLLSLHSKSNMVNINLKG >OGLUM04G07460.2 pep chromosome:ALNU02000000:4:12532065:12539719:-1 gene:OGLUM04G07460 transcript:OGLUM04G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERDAAEVEVRRRLRDVGARLSSLPDDGELLRLLQEAAKLLYRVNQREVDRIHSALIPVMRALIKKELLDHTDPGVKLAVASCLTTLIKIRAPDPPYDDDVMKDVLKLVVGVFCELDDVDCPSYGTRVSMLGTFARIRGCALLLDLDCNDLIRDMFHHFFRTVSNTHQEHVISYMETIMKFVIEDITDMEQDLIKDLASCLLQNVKKEEKETPPASFVLAERVIGLCHEKLKPVFIKLLQGAPITEYSNLVTSFLQDAIVAGDNNVGAFMHDMKEVVSPKSSTMMGKTIGQPADSGDELKPEIVQGTKEAPNSNKKALDGSIVGSRIKVRWPADEMFYNGLVKSFDASSETHEIVYDHGDVVRQSLKDEKWEFIAEEQDYNPDASPDMLEDRSDEESLGQPFQDVHKAASSHSFVIQEKYNTVLNEIGCISTETTGSLLVRIQPRIVEALQLNQKRPAMKVQPRIVEESQLNHNRHDGMVGRDVFLKRIVRPYNRVARATIQSQDPLEMVGGTMLGRECYKVVIDSLICGDAELFRPHRNLNYIRDAIGHCIAWPSQLVCSFLGFFVLLLSLHSKSNMVNINLKG >OGLUM04G07470.1 pep chromosome:ALNU02000000:4:12551048:12556640:1 gene:OGLUM04G07470 transcript:OGLUM04G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVDFFHGLEKTVVCLLCSDPDDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >OGLUM04G07470.2 pep chromosome:ALNU02000000:4:12551048:12556640:1 gene:OGLUM04G07470 transcript:OGLUM04G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme F420 hydrogenase family / dehydrogenase, beta subunit family [Source:Projected from Arabidopsis thaliana (AT1G04620) TAIR;Acc:AT1G04620] MARCISFLSTSSSLPCATKPPCCSVSSVLPSSPSSHQCRGRKTSCGSIRALREDWRERSKAIPPGGVYPAKDHCSQCGLCDTYYIAHVKNACAFLGDGMSRVEDLEPLVHGRGRKQDMDEMYFGVYEQLLYARKMKPVEGAQWTGIVTTIAVEMLKANMVDAVVYDRLAPMPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVPYFCLPAKDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYPGVSMTQHPQYITVRNGRGREMLSLVEGLLESTPTVSSGVRQPFVIETVKADDEAKQGRGPSQPAPTFVGNVIAFLLNLIGPKGLEFARYSLDYHTIRNYLHVNRAWGKQRAEQHIPSYAKKIVEAYDKDGRIESMLQ >OGLUM04G07480.1 pep chromosome:ALNU02000000:4:12558389:12561854:-1 gene:OGLUM04G07480 transcript:OGLUM04G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G60770) TAIR;Acc:AT1G60770] MATRVKDVARRSSKKYVDEALYRRLFRRGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRLRKQALYRPALKLSEVMARRGMNPTVSDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKDLMTEKAEALMEKMKELNFAFTAMCYNSLMTLYTKVNQHEKVPSVIQDMKADDVLPDIYTYNVWMRALAARVDIKGVERVIEEMKRDGRVTPDWTTYSNLASIYVDAGLFEKAEAALKELEKRNTSNDLEAYQFLITLYARTQNLVEVHRVWRSLKRNQPRRANMSYLNMIQALANLKDLPGAEACFKEWEAQYINPPKTNTKAPGTAETSYNESDVKATKDKGTDGTELKHPKYDIRVANAMIKAYITEGMFDKAVAVKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMVHWCADRAIKKGHSAGRIWVPPHEVTETLMDYFEKNKDVDGAEKFVEVLKKVQKDLGTVVFEPLVRTYAAAGKKLPGMRHRLKIENVEVSEETAKLLDSVCIDQ >OGLUM04G07490.1 pep chromosome:ALNU02000000:4:12575820:12578629:1 gene:OGLUM04G07490 transcript:OGLUM04G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZIZ1] MGSFPAAEETTATAAARPHAVMVPYPAQGHVTPMLKLAVLLHARGFHVTFVNNEFNHRRLLRARGAGALDGAPGFRFAAIDDGLPPSDADATQDVPALCHSVRTTCLPRFKALLAKLDKEADADAGAGAGDARRVTCVVADSTMAFAILAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSEADLSNGHLDTKMDWIPGMPADLRLRDLPSFVRSTDRDDIMFNFFIDVTATMPLASAVILNTFDELDAPLMAAMSALLPPIYTVGPLHLTARNNLPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSITVMSAEHLLEFAWGLAGSGYAFLWNVRPDLVKGDGGAAPALPPEFAAATGERSMLTTWCPQGEVLEHEAVGVFLTHSGWNSTLESIVGGVPMVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALILEAMDGEKGREMRRRVAELRESAVASGQQGGRSMQNLDRLIDEVLLA >OGLUM04G07500.1 pep chromosome:ALNU02000000:4:12601426:12601689:-1 gene:OGLUM04G07500 transcript:OGLUM04G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCWPFFAEQQTNCRYKRTEWGIGAEIPDDVRRGEVEALIREAMDGEKGREMRRRVAELRDSAVAAAKPGGRSVQNIDRLIDEVLMA >OGLUM04G07510.1 pep chromosome:ALNU02000000:4:12606347:12607300:-1 gene:OGLUM04G07510 transcript:OGLUM04G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLCASSFVAVGLVVLVCSAAAAAAETYVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCRVRNAADAAATAAGSAEVELKEGVNYFICGVPGHCAAGMKLRVVADEFPSADTK >OGLUM04G07520.1 pep chromosome:ALNU02000000:4:12610255:12624660:1 gene:OGLUM04G07520 transcript:OGLUM04G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGADVEVEALAAGEWRRWMGQASGRMGTTGTGSGERRRRRRHWPLASGNGRWMCWRWSLERRRRRRRWPPEERRRRRMAHGRQEALRRAVDGVVAVLWRWRRACAAPGRGLAYAAHVCVGTVAQWWHRRV >OGLUM04G07530.1 pep chromosome:ALNU02000000:4:12626241:12629123:1 gene:OGLUM04G07530 transcript:OGLUM04G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTAASVSIRQHVIFLQVLGFVASNILLILMGDPSHDRDAAGSSNEFLEQMIAEDVSCTQEQAVVDQLE >OGLUM04G07540.1 pep chromosome:ALNU02000000:4:12629680:12633296:1 gene:OGLUM04G07540 transcript:OGLUM04G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:D2YZ62] MAFSSVFRRGNVKELISNVSVYTSAAESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTPAAEMEPL >OGLUM04G07540.2 pep chromosome:ALNU02000000:4:12630079:12633296:1 gene:OGLUM04G07540 transcript:OGLUM04G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:D2YZ62] MAFSSVFRRGNVKELISNVSVYTSAAESSGGLSLIFKRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVDPVAGHVLHPVYASDSTPAAEMEPL >OGLUM04G07550.1 pep chromosome:ALNU02000000:4:12634459:12642605:1 gene:OGLUM04G07550 transcript:OGLUM04G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNGNAKPGGGGSGAYTINLDNFSKRLKVFYDHWKEHNSDLWGSSNAIAIATPPPSEDLRYLKSSALDVWLLGYEFPETIIVFMHKQIHFLCSQKKANLIGTLKKAANDAVGADIVLHVKAKNDSGVGLMEDIVRAVCAQSKSDDPIVGHIAKEAPEGKLLEAWAGKLSSSSVQLTDITNGFSELFAMKDTSEITCVKKASYLTSSVMKNFVVPKLEKVIDEERKVTHSSLMDETEKAILDPLKVKVKLKAENVDICYPPVFQSGGKFDLKPGASSNDDYLYYDSASVIICAIGARYGNYCSNMARTFLIDATPTQSKAYETLMKAHEAALEALKPGNRMSAVYQAAVDVIEKNAPELLPNLTKSAGTGIGLEFRESGLNLNPKNDRIIKAGMVFNVSLGLHNLQAETKSEKTKQYSLLLADTCLVPLENLTASCSKLLKDVAYSFNDEDEVLPVKKVEVNAKEALPPTKATLRSDNQEMSKEELRRQHQAELARQKNEETARRLAGVGSGSGDGRGPSRSSNELVAYKNVNDVPYARELVIQVDQKNEAVLLPIYGSMVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSNLKSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQLASNRNKPVRLSDVWIRPAFGGRGRKLTGTLESHVNGFRYSTSRADERVDIMYGNVKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGNRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVTLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDEDSDSESLVESDEDDEDDSEEDSEEEKGKTWEELEREASNADRENGAESDSEEERRRRKVKTFSKSRPPPERSSFKGGPSKKPKFR >OGLUM04G07560.1 pep chromosome:ALNU02000000:4:12640747:12645243:-1 gene:OGLUM04G07560 transcript:OGLUM04G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTAAAAAAAPPPLLLLSAAAAIAIAIAVAVAVAAPDGHLVASLPGFHGAFPSKHYSGYVTVDEGSGRRLFYYLVTSERDAAADPVVLWLNGGPGCSSLDGFVYENGPFNFERGSDPGGLPNLELNPYSWSKVSNVVYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHTFLLKWFELYPEFQSNPFYMSGESFAGIYIPTLADEVGYLIGNGATDQDYDFNSFVPFAHGMGLISTELFEDASTACHGTFWGKVNNLCQEKIDRVHWELKDLNKYNILAPCYHHPEIQELEFKNSSLPSSFRKLGETEKRFPVRKRMAGRSWPLRAPVTRGRMAMWPELGGRSLPCTSDELANAWLDDEDVRAAIHAEPKSLIGSWELYTARIDYYHDTGDSMVKYHKKFTAMGYRALIYSGDHDLCIPYVGTEAWVRSMGYRVIDHWRPWYFGGQVAGYTQGYEHNLTFLTIKGAGHTVPEYKPKETLAFYSHWLSGEKI >OGLUM04G07570.1 pep chromosome:ALNU02000000:4:12648576:12653405:-1 gene:OGLUM04G07570 transcript:OGLUM04G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTPGRRRRPAGCATGHHHQHQQQRLLAVAARLAAAKPAATTEAAVYGGGGGGCCLEFLDCVLRAMGVATPAEIMPPADFRWATRPMRRRRRGGSSSPRDREPRDGRIAANGASAAASLYTMRGNKGVNQDAMLVWENFCSKEETIFCGVFDGHGPYGHLVSKRVRDLLPIKLSANLGRDGHKETSTSIVTSSMTEGGGTERMDRDTETPLGTEENGEYPEMFAALRTSLLRAFYVMDRDLKFHKTIDSVFSGTTAVTVIKQGHDLLIGNLGDSRAVLGTRDEYDQFFAVQLTVDLKPTIPSEAARIRERSGRIFSLPDEPDVARVWLPKYNMPGLAMARAFGDFCLKDYGLISMPDVSYHRITEKDEFVVLATDGVWDVLSNAEVVSIVSQAKSEASAARFVVESAQRAWRTRFPTSKIDDCAVVCLFLNTDARNKPPDSGIKDLANAIELGGGNLS >OGLUM04G07580.1 pep chromosome:ALNU02000000:4:12700010:12700402:1 gene:OGLUM04G07580 transcript:OGLUM04G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTIEVTVALFVKMDEVLRILPDLHLPRIEKQDLGSGTVEVPLVPKQDQITSSSRVPQYNKLVFLDFDRKEDLLPLLKRCEQFLHKKKVVEVDTP >OGLUM04G07590.1 pep chromosome:ALNU02000000:4:12707847:12721527:-1 gene:OGLUM04G07590 transcript:OGLUM04G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLSAILSALLVASSTRGTVAIGVFQVRRKFSIMGGGCKGSDIGALQTHDRNRHLRRLVAADFSLGGLGGISTSSTGYMLQSSFGSIRFFLVDTGSSAFWVNCIPCKQCLRKSDILKKLTLYDPRSSVSSKVVKCDDMFCTSPDRDVQPECNTSLLCPFIATYADGGSTIGAFVTDLVHYNQLSGNGLTQSTNTSLTFGWILYLVNLKMIDVGGTTLQLPANIFETAEMKGTFIDSGTTLAYLPEIVYKELMLAVFAKHPDITLHDTDNLECFNFLDSVDDRFPKITLQFKNDLTLDVYPCDYLLEYEGKQYCFGFQDAAKQDDDMVISNKLVVYDMEKKVIGWTEYNCKIQLIQICSIKCYVIRTN >OGLUM04G07600.1 pep chromosome:ALNU02000000:4:12743305:12743607:1 gene:OGLUM04G07600 transcript:OGLUM04G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNCAATVTTTIVKASRGADPLVPVSGAMLVKERQGMAVEVQDPPPRPAMGGSIEGGKGVLRRGGNGFWGSDDVIGLCRRRRQYEWELGFLDFFYFF >OGLUM04G07610.1 pep chromosome:ALNU02000000:4:12747790:12748196:1 gene:OGLUM04G07610 transcript:OGLUM04G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVRGHLSTINRILESHDLHLPHIKKHGSGSGTGEESFVPNQDQSTSGSGVLRNNELEFLHFDISSTGTRSWRRTCYGWVLTIQLAMLNVRTYSSTKRRPNHAGHTSQKGCATFSLRTNSHRSSSLVLVIP >OGLUM04G07620.1 pep chromosome:ALNU02000000:4:12749805:12763151:-1 gene:OGLUM04G07620 transcript:OGLUM04G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIISALVVVASSTRGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDGNRHRRRNLMAAELPLGGFSIPYGTGLYYTDIGIGTPAVKYYVQVDTGSEAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYIAAYSDGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGCGLQQTGSLNNSELAIDGIIGFGNSNQTLLSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYYLVNLKSIDVAGTTLQLPANIFETTKTKGTFIDSGTTLVYLPEIVYSELILAVFAKHPDITLGAMYNFECFHFLGSVDDKFPKITFNFKNDLTLDVYPYDYLLEYEGNQYCFGFQDAGKLGHKDKILLGDMVISNKVVVYDMEKQAIGWTEHNCKFQLVQILSIKCGSEGLSPLGRMHGGL >OGLUM04G07620.2 pep chromosome:ALNU02000000:4:12749805:12757523:-1 gene:OGLUM04G07620 transcript:OGLUM04G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKPFRPSLVSGAPEADVSRTPYNLALLQQCGLQQTGSLNNSELAIDGIIGFGNSNQTLLSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYYLVNLKSIDVAGTTLQLPANIFETTKTKGTFIDSGTTLVYLPEIVYSELILAVFAKHPDITLGAMYNFECFHFLGSVDDKFPKITFNFKNDLTLDVYPYDYLLEYEGNQYCFGFQDAGKLGHKDKILLGDMVISNKVVVYDMEKQAIGWTEHNCKFQLVQILSIKCGSEGLSPLGRMHGGL >OGLUM04G07620.3 pep chromosome:ALNU02000000:4:12757811:12763151:-1 gene:OGLUM04G07620 transcript:OGLUM04G07620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIISALVVVASSTRGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDGNRHRRRNLMAAELPLGGFSIPYGTGLYYTDIGIGTPAVKYYVQVDTGSEAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYIAAYSDGGLTMGILFTDLLHYHQLYGNGQTQPTSTSVTFGARVSLESRSLSPLPYPQSPDPRRRNPLNRPHPRSVLSPFPPSPPSLPSSPALPIPFSSMPHRLPIVAAAPSPLLACIHHTASLPSRDGVASSPPSQPD >OGLUM04G07630.1 pep chromosome:ALNU02000000:4:12757642:12758028:1 gene:OGLUM04G07630 transcript:OGLUM04G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAIIGRIYAGQLSVVEKQQRTTSSRLGIGSARARADACNDDDAWCLKRWIERRGVNLAAKGARRRRRLDWGGRRCGGCRRAGATGRLRRWGGGAAWRRKGLGVPGRTAATVGKEERGRERIGDAGG >OGLUM04G07640.1 pep chromosome:ALNU02000000:4:12793297:12794327:-1 gene:OGLUM04G07640 transcript:OGLUM04G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAAAEARPHAVMVPYPAQGHVTPMLTLAKLLYSRGFHVTFVNNEFNHRRLLRARGARALDGAPGFRFAAMDDGLPPSDADATQDVPALCHSVRTTWLPRFMSLLAKLDDEAAAAAAADGAARRVTCVVADSNMAFGIHAARELGLRCATLWTASACGFMGYYHYKHLLDRGLFPLKSDADLSNGHLDTTVDWIPGMPGDLRLRDLPSFVRSTDRDDIMFNFFVHVTASMSLAEAVIINTFDELDAPSSPLMGAMAALLPPIYTVGPLHLAARSNVPADSPVAGVGSNLWKEQGEALRWLDGRPPRSVVYVNFGSIT >OGLUM04G07650.1 pep chromosome:ALNU02000000:4:12826650:12827984:1 gene:OGLUM04G07650 transcript:OGLUM04G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGEARRGEVAAIVLEAMEGEKGREMRQRAEEWKQKAVRVTLLGGPWDTNLDRVIHEVLLSSAEAVQSALTAASQQQQFYQQQFMHQ >OGLUM04G07660.1 pep chromosome:ALNU02000000:4:12832733:12834244:1 gene:OGLUM04G07660 transcript:OGLUM04G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJ11] MGATGDKPPHAVCVPYPSQGDITPTLHLAKLLHARGFHVTFVNTEFNHRRLLASRGAAALDGVPGFVFAAIPDGLPAMSGEDEDATQDIPALCQSTMTNCLGHLLALLSRLNEPASGSPPVTCFVADGLMSFVYDAARVIGVPCAALWTASACGFVGCRLYRELIDRGLVPLRDAAQLTDGYLDTVVDGAAARGMCDGVQLRDYPSFIRTTDLGDVMLNFIMREAERLSLPDAVILNTFDDLERPALDAMRAVLPPPVYAVGPLHLHVRRAVPTGSPLHGVGSNLWKEQDGLLEWLDGHRPSSVVYVSYGSIAVMTSEQLLEFAWGLADSGYAFVWVVRPDLVKGGEGDAAALPPEFHAAVEGRGVLPAWCPQEKVLEHDAVGVFLTHSGWNSTLESLAAGVPMLSWPFFAEQQTNCRYKRTEWGIGMEIGGNARRGEVATMIREVMEGKKGREMRRRAQEWKEKAVRVTLPGGPGDTNLDRVIHEALLSCKDKISRVNGESV >OGLUM04G07670.1 pep chromosome:ALNU02000000:4:12889643:12890389:-1 gene:OGLUM04G07670 transcript:OGLUM04G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAMRKVKKVLLVVAMITSTILGEYPLQAATGRFSARHPPPGAGRLPPPVPGGTGVGGKSKPEFDLPNVCFVGFSCSASEPEPEANHTIFSCELENQMGDTIWFQCDGDLFYFSVGIGQSVRRLYNDQELGPGNKVSCAWAFQENYKSSVPAWDGNWPEASSCRVGGADGQCRLLFENREVALLAGTGGRRVLGGLLLKNCTTPTPWYAWLFPWTDPCTTYLDNTTRPYVGNIQPSWAAAVFNMDN >OGLUM04G07680.1 pep chromosome:ALNU02000000:4:12911509:12912854:-1 gene:OGLUM04G07680 transcript:OGLUM04G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEEVEAGTGGGGDGESAEEMGRHWVESEGGGDGLGGRPAGGGAATDLGRRRRAVVWVRRRGLHAVGEEQAARGERGRGGGVRWMGREGLARWMGREARAVNLAEEAVVRAVHGERGACGGRGHEVAAPRI >OGLUM04G07690.1 pep chromosome:ALNU02000000:4:12923134:12923553:-1 gene:OGLUM04G07690 transcript:OGLUM04G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRQALGGRIRHCHASGAARETGWRRLYASAAQERGDGEQIQRLLLPLHWWWQQRRGMVALCRLRWCSGGRGCSGEADPASTGLGRVDLAPAGLERADQPPSALGSGARKGAAAGAALGISGAGERMTLGGPYWYGGG >OGLUM04G07700.1 pep chromosome:ALNU02000000:4:12956678:12958986:1 gene:OGLUM04G07700 transcript:OGLUM04G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFGVHVDLKDSPSLTPKMQVHRRRAGSRRKKQPKAVMAQAEKTSERFSLYAESPAHLIPSGTR >OGLUM04G07710.1 pep chromosome:ALNU02000000:4:13047472:13047789:1 gene:OGLUM04G07710 transcript:OGLUM04G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASADNTMGGDNNCAATVTTMIAKASRGADPLVPISGATLVKERQGVAVEVQDRPPGPATGGSIEGGEGVLRRGGNNFRCNDNDIGLHWRRQQWEWEHGFLGF >OGLUM04G07720.1 pep chromosome:ALNU02000000:4:13049324:13049590:1 gene:OGLUM04G07720 transcript:OGLUM04G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDREQPSMEFVQWADELCGAEGCSAEGRLKDDVDGTYQTISLQWCVTLDGCGTYMAKRSADKPYINADAKTCPAIVQIWCQREQLRG >OGLUM04G07740.1 pep chromosome:ALNU02000000:4:13053640:13063401:-1 gene:OGLUM04G07740 transcript:OGLUM04G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLSTIILALVVVASSTHGTMANGVFQVRRKFHIVDGVYKGSDIGALQTHDENRHRRRNLMAAELPLGIGTPAVKYYVQLDTGSKAFWVNGISCKQCPHESDILRKLTFYDPRSSVSSKEVKCDDTICTSRPPCNMTLRCPYITGYADGGLTMGILFTDLLHYHQLYGNGCGLQQSGSLNNSAVAIDGIIGFGNSNQTALSQLAAAGKTKKIFSHCLDSTNGGGIFAIGEVVEPKVKTTPIVKNNEVYHLVNLKSIDVAGTTLQLLANIFGTTKTKGTFIDSGSTLVYLPEIIYSELILAVFAKHPDITMGAMYNFQCFHFLGSVDDKFPKITFHFENDLTLDVYPYDYLLEYEGNQYCFGFQDAGIHGYKDMIILGDMVISNKVVVYDMEKQAIRWTEHNSVEEACGSSEGLSPIGRMHGGL >OGLUM04G07750.1 pep chromosome:ALNU02000000:4:13084304:13085347:1 gene:OGLUM04G07750 transcript:OGLUM04G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMNTAARSQEIKQGLWKYSDERCRWHTFSSVQANVHSAYMRSDELKETDADKLTDVKIRVDPPKLLVQAHGDQSEDQVNPAPLKACKDCKPSVN >OGLUM04G07760.1 pep chromosome:ALNU02000000:4:13103219:13111930:-1 gene:OGLUM04G07760 transcript:OGLUM04G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPPAPAVALLLLVVIAAAVEGAGAEATGVFHVRRSLPAGGAGAAAEAYRAHDGSRRGRLLAAADIPLGGLGLPTDTGLYYTEIGIGTPTKRYYVQVDTGSDILWVNCISCDRCPRKSGLGLELTLYDPKDSSTGSKVSCDQGFCAATYGGLLPGCTTSLPCEYSVTYGDGSSTTGYFVSDLLQFDQVSGDGRTRPANSTVTFGCGSQQGGDLGSSNQALDGIIGFGQSNTSMLSQLSAAGKVKKIFAHCLDTINGGGIFAIGNVVQPKVKTTPLVPNMPHYNVNLESIDVGGTALKLPSHMFDTGEKKGTIIDSGTTLTYLPEIVYKEIMLAVFAKHKDITFHNVQEFLCFQYVGRVDDDFPKITFHFENDLPLNVYPHDYFFENGDNLYCVGFQNGGLQSKDGKGMVLLGDLVLSNKLVVYDLENQVIGWTEYNCSSSIKIKDEQTGATYTVDAHNISSGWRFHWQKHLAVLLVTMVYSYLIF >OGLUM04G07770.1 pep chromosome:ALNU02000000:4:13122500:13137906:1 gene:OGLUM04G07770 transcript:OGLUM04G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFLALVADVIVSIIAIHVNASELFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHIGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >OGLUM04G07770.2 pep chromosome:ALNU02000000:4:13122500:13137906:1 gene:OGLUM04G07770 transcript:OGLUM04G07770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSLAVVLRAALSHVPEERKAAEASLTQFQYTPQHLVRLLQIIVDGNCDMAVRQFASIHFKNFVAKNWSPTDPEEKHIIPESDKSMVRENILGFVTQLPPLLRAQLGESIKTLILADYPEQWPSLLPWVTHNLESQDQIFGALYVLRILARKYEFKSEDERIPLYQIVEECFPRLLNILRNLVPISNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNTWMILFLNLLERPVPVEGQPLDPDARKSWGWWKVKKWIIHILNRLYTRFADMKLQRPESKAFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQIDIILFEIIFPLMCFNDSDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKSNLQKFIHFIVEIFMRYNEASIEVKPYRQKDGALLAIGTLCDRLKQTEPYKAELERMLVQHVFPEFSSHIGHLRAKAAWVAGQYAHINFSDQNNFRKAMHCVISGLRDPELPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDKFGEEMAPYALGLCQSLAAAFWRCMASSEADEEVEDTGALAAVGCLRAISTILESISSLPHLFPQIEPTLLPILRRMLTSDGQDVYEEVLEIVSYMTFYSPTISLEMWGLWPLMMEALNDWAIDFFENILVPLDNYISRGTDQFIACKDPDYQQSLWRALSSIMTDQNMEDSDIIPAPKLIEVVFQNCKGQVDHWIEPYLRLTIDRLRRAVKPYLKSLLVQVIANTLYYNPSLTLGTLHKLGVATEIFNLWFGMLQQVKKSGIRANFKREHDKKVCCLGLTSLISLPANHIPPEALERIFKATLELLVSYKDQVAESKRENEAAAEDLDGFDGDEEDEDIESDKEMGYDDEDGDEVSSVNLQKLREARGFQPHYDDDDDDSDDDFSDDEELQSPIDEVDPFIFFVDTIQGMQASDPARFQSLMQTLDFRYQALANGLAQHAEERKVEIEKEKLEKANAQ >OGLUM04G07780.1 pep chromosome:ALNU02000000:4:13149159:13168382:1 gene:OGLUM04G07780 transcript:OGLUM04G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLDVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNLEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRMEGNRSTRFRPAMAAAAPATAVARRVKLGSHGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGGVRDNVVLATKFGKFLADGKVGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTHPHQQSEGLMRYTLSLQFSSSGHYGLETELGIGIVAYSPLGRGFFSGGAKLVDSLSDQNFRKNLPRFQPENLDKNAKIFDRVNAMAMRKGCTAAQLALAWIHHQGDDVCPIPGTTKIENFDQNVGALCLELTPEEMAELESYAAAADVHGDRYTQMANTWKDCETPPLSSWKEEYLLGSLQDEVLLGCCRNFDGVDQEAAERNPSIRFRPAMAAAAPALAAVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMVALIHHAVAAGVTLLDTSDIYGPHTNELLLGKALQGGVRDKVELATKFGIAFADGQRDVRGDPAYVRAACEGSLRRLGIDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVESLSDQDFRKHIPRFQPENLEKNAEIFERVDAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >OGLUM04G07780.2 pep chromosome:ALNU02000000:4:13149159:13168382:1 gene:OGLUM04G07780 transcript:OGLUM04G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLDVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNLEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRMEGNRSTRFRPAMAAAAPATAVARRVKLGSHGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGGVRDNVVLATKFGKFLADGKVGIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTHPHQQSEGLMRYTLSLQFSSSGHYGLETELGIGIVAYSPLGRGFFSGGAKLVESLSDQDFRKHIPRFQPENLEKNAEIFERVDAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >OGLUM04G07780.3 pep chromosome:ALNU02000000:4:13149159:13168382:1 gene:OGLUM04G07780 transcript:OGLUM04G07780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLDVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNLEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDGGKSIDPIPAGHGSCCSGDGDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVESLSDQDFRKHIPRFQPENLEKNAEIFERVDAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >OGLUM04G07780.4 pep chromosome:ALNU02000000:4:13149159:13168382:1 gene:OGLUM04G07780 transcript:OGLUM04G07780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMATVAVPRVKLGSQGMEVSAQGLGCMGMCPAFEPPKPEADMVALIRHAIAAGVTFFDTSDLYGPHTNEVLLGKALQGGGVRDRVELATKFGKFFAGGKPGIRGDPAYVRAACEGSLRRLDVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIRYIGLCEASASTIRRAHAVHPITAVQLEELGIGIVAYSPLGKGFFSSGAKLVDSLPDHDFRKLIPRFQPGNLEKNAEIFERVNEMAARKGCTPSQLALAWIHHQGRDVCPIPGTTKIENFNQNVAALSVKLTPAEMAELESYASNVHGDRYPLMMANTTWQDSETPPLSSWKSDSWFKIAGSKWKSVAQDFIRMEGNRSTRFRPAMAAAAPATAVARRVKLGSHGLEVSAQGLGCMGMSAFHGPSKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGVRDKVELATKFGIAFADGQRDVRGDPAYVRAACEGSLRRLGIDCIDLYYQHRVDKKVPIEVTHIPRFQPENLEKNAEIFERVDAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENLNQNIGALSVKLTPEEMAELESYASTDDVRGDRYPQAMANTTWQNSETPPLSSWKAQ >OGLUM04G07790.1 pep chromosome:ALNU02000000:4:13182612:13186860:1 gene:OGLUM04G07790 transcript:OGLUM04G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGPGCMGMSAFYGPPKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGAREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVESLSVQDVRKFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPEEMTELESYASTDVVQGDRYAQTARTWKDSETPPLSSWKAE >OGLUM04G07790.2 pep chromosome:ALNU02000000:4:13182612:13186860:1 gene:OGLUM04G07790 transcript:OGLUM04G07790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGPGCMGMSAFYGPPKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGAREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTRTWNWNTYSPLGRGFFSGGAKLVESLSVQDVRKFSLRMGMKEHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPEEMTELESYASTDVVQGDRYAQTARTWKDSETPPLSSWKAE >OGLUM04G07790.3 pep chromosome:ALNU02000000:4:13182612:13186860:1 gene:OGLUM04G07790 transcript:OGLUM04G07790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATAAVRRMKLGSQGLEVSAQGPGCMGMSAFYGPPKPEADMVALIHHAVAAGVTLLDTSDMYGPHTNELLLGKALQGGAREKVEVATKFAVSFADGKVEIRGDPAYVRAACEGSLRRLGVDCIDLYYQHRVDKKVPIEVTIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSGGAKLVESLSVQDVRKHLPRFQPANLEKNAEIFERVNAMAARKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVAALSVKLTPEEMTELESYASTDVVQGDRYAQTARTWKDSETPPLSSWKAE >OGLUM04G07800.1 pep chromosome:ALNU02000000:4:13191033:13199173:-1 gene:OGLUM04G07800 transcript:OGLUM04G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSLRHVPLSFALAIHEPLPSSSVASLPNIFRGVRGGEVLDPNWVAPVTPLSGAACLLILSLTRLQDLNHSGDTSSPPKEIAIASASSSSRTGVIVDRTILAENRKHDLCVQARFSVVMRTEAKLGKVWDKYGADHLHLRKLALEPNTSKRKCARISELANICDFTQKHKAATKSLKIERLSALLPDIGAIQKLI >OGLUM04G07800.2 pep chromosome:ALNU02000000:4:13191033:13199173:-1 gene:OGLUM04G07800 transcript:OGLUM04G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSLRHVPLSFALAIHEPLPSSSVASLPNIFRGVRGGEVLDPNWVAPVTPLSGAACLLILSLTRLQDLNHSGDTSSPPKEIAIASASSSSRTGVIVDRTILAENRKHDLCVQARFSVVMRTEAKLGKVWDKYGADHLHLRKLALEPNTSKRKCARISELANNQLAVAEGKINQRIERLSALLPDIGAIQKLI >OGLUM04G07800.3 pep chromosome:ALNU02000000:4:13191033:13199173:-1 gene:OGLUM04G07800 transcript:OGLUM04G07800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTSLRHVPLSFALAIHEPLPSSSVASLPNIFRGVRGGEVLDPNWVAPVTPLSGAACLLILSLTRLQDLNHSGEILSGDADGGSITFTVKLGKVWDKYGADHLHLRKLALEPNTSKRKCARISELANICDFTQKHKAATKSLKIERLSALLPDIGAIQKLI >OGLUM04G07810.1 pep chromosome:ALNU02000000:4:13202267:13202473:1 gene:OGLUM04G07810 transcript:OGLUM04G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTGALSPLSLSSRWLASPFPLSPWCHPLRCRAFLLFIGWGEVLSVGNELDLGRLGNDVDLGSSAD >OGLUM04G07820.1 pep chromosome:ALNU02000000:4:13245990:13246482:-1 gene:OGLUM04G07820 transcript:OGLUM04G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEMVGGSADHWLHEKTTTAVGSTGRWRRTVAPREGSGTAGSTMMKRHRVTGNAHCLLREKTAAPVGSEDSWGGGGEDFGMGIGDLRVAWVGKWGMGERIFRSHNVLMDGRMEPRWRDRTVHANAGTVTLQQRIAVLWFTGSGL >OGLUM04G07830.1 pep chromosome:ALNU02000000:4:13246157:13248049:1 gene:OGLUM04G07830 transcript:OGLUM04G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNPQSPSQNPHHLRPKNPRCRPAPPSSRGASSERYNRRVAEPASIVAFSPYLPVTRCRFIIVEPAVPLPSLGATVLRHLPVEPTAVVVFSWSQWSALPPTISSMAISSLLVAAAHRLLHTSPIVTDVYDQGSKLPQVFQSYF >OGLUM04G07840.1 pep chromosome:ALNU02000000:4:13255727:13265140:1 gene:OGLUM04G07840 transcript:OGLUM04G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREERNNINRHLRGAKPRLNLPPGPWTLPLIGSIHHLASSREESVHTAMRRLARTHGAPLMQLWFGEVATVVASSPEAAREVLRTHDLAFADRHLTAAAAAFSFGGRDVVLSPYGERWRQLRKLLTQELLTASRVRSFRRVREEEVARLVRGAVNLSEMVTRMVNDTVLRCSVGSRCEHSGEYLAALHAVVRLTSGLSVADLFPSSRLAAMVSAAPRAALANRDKMVRIIEQIIRERKAQIEADDRAADSKSCACSLDDLLRLQKEGGSPIPITNEDMFAGGTDTPSTTLIWAMAELVRSPRVMAKVQTEMGQIFHGKNTITEDDLVRLSYLKMVIKETLRLHCPLPLLAPRKCHETRKIMGYDVPKGTSAFVNVWAICRDSKYWEDAEEFKPERFENNNIEFKGSNFEFLPFGSVSMPGNSLVISELICA >OGLUM04G07850.1 pep chromosome:ALNU02000000:4:13269890:13270127:-1 gene:OGLUM04G07850 transcript:OGLUM04G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGFWQETNSQLQLTLHKGRLLPAHQQGTMLGPARMEAPGPAVGAALGTAVALGTAIGREAALLLE >OGLUM04G07860.1 pep chromosome:ALNU02000000:4:13270150:13270704:-1 gene:OGLUM04G07860 transcript:OGLUM04G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGVLTPWPGRAPWRRYWCRSRATTRCAHAPNSDAMYSTPRRSKPSPSASSCHASSSSLPSCSAARVTVTPAPQATAVAPVTMRMRSLSFVYEIPAATARTRPTHRRRGEAENERPPPPATKEKGAADALAHSLDCSLHHKESILATVRLLRSSISAGNTAAAAPDADAATDTDT >OGLUM04G07870.1 pep chromosome:ALNU02000000:4:13271738:13275668:1 gene:OGLUM04G07870 transcript:OGLUM04G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAPAAEVRRMKLGSQGMEVSAQGLGCMGMSAVYGERKPEADMVALVRHAVAAGVTFLDTSDVYGPHTNEVLVGKAVAAAAATEEEVQVQVATKFGITPAWEVRGDPAYVRAACEGSLRRLGVGCIDLYYQHRIDSTVPVEITMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVDELPDDDFRKSLPRFQPENLEKNAAIFEKVNAMAARKGCTSSQLALAWVHHQGSDVCPIPGTTKIHNFDQNVGALSVKLTPDEMSELESYASADVVQGDRYHGTFLNTWKNSETPPLSSWRSGN >OGLUM04G07880.1 pep chromosome:ALNU02000000:4:13277605:13283078:-1 gene:OGLUM04G07880 transcript:OGLUM04G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHKPAFHAGNIVRSASKHKRIQSVSCRSHAPALAPAPALAAVEAAASFEPSVWGEFFIHHEPQPLQASISEEWMRERANKLKEDVRGLFIMASNDILERMNLVDTIQHLGIDHLFQEEIDSALKDIHENDFASSKLHEVALRFRLLREHGFWLSPDVFNKFKGDHGTFNKELSLADDPRGLLSLYNAAHLFIHGEPELEEAISFARHHLESMNRHNILKAPLADQVRRALHLPLPRTHKRVEMVSYMFEYGREDGHNPVILELAKLDFNLLQRVHLKELKEISRWWKDVSGYMGLNHIRDRVIECYTWSYAVYHEEEFSFARMLFAKIVVIIALLDDTYDVHGYTSIQECRMLNAAIQGWDDSAVLLVPEYLRKFYEFILSCFREFEDQVPSNQKYLIAFSRTELQRLSSYYLEGAEWSHRKHMPSFSEQVALATMTTGTRPLAAGLMVGMSESMTTKQAYEWAVNSTDAIISCGKTGRFMNDIAGFKLGSQNKADMPCLVESYINEHKVTADVAIAKINELVEDEWKTTNQARTDHRHVLPVVQRLINITMAIPLYYSDGIDGFTFGEGIQEVLEKLYVKPIPL >OGLUM04G07890.1 pep chromosome:ALNU02000000:4:13291878:13297797:-1 gene:OGLUM04G07890 transcript:OGLUM04G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQLAMPVAAVPHLPEEETTPSVDVEIASPDQQHLAAAAAPSMAVFPPAADEEEEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPTNGSNDVGRRRRRKVRSWSRGSFLGRGSFGMVFEGITNDGVFFAVKEVYLDDQGRYDDAQQCIFQLQQEIALLSRLQHNNIVQYYGTDKEDSNLYVFLELMSQGSLASLYQKYRLRNSHVSRYTKQILNGLIYLHDRNIVHRDVKCGNILVHRNGSVKLADFGLAKEINKFSMLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQNLFKIGRGEPPAIPKYLSKEARDFISQCLRPNPDDRPSASKLLDHPFVNSKVYNVYNGILKLRILNKASVEEYATATILSMTTLAPNALLKG >OGLUM04G07890.2 pep chromosome:ALNU02000000:4:13292045:13297797:-1 gene:OGLUM04G07890 transcript:OGLUM04G07890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQLAMPVAAVPHLPEEETTPSVDVEIASPDQQHLAAAAAPSMAVFPPAADEEEEEEAVAVLLSGEFFTCWSSLSESTDDETTRRTTTESMFYIPTNGSNDVGRRRRRKVRSWSRGSFLGRGSFGMVFEGITNDGVFFAVKEVYLDDQGRYDDAQQCIFQLQQEIALLSRLQHNNIVQYYGTDKEDSNLYVFLELMSQGSLASLYQKYRLRNSHVSRYTKQILNGLIYLHDRNIVHRDVKCGNILVHRNGSVKLADFGLAKEINKFSMLKSCEGSVYWMAPEVVNPKRTYGTAADIWSLGCTVLEMLTRQLPYPNLEWAQNLFKIGRGEPPAIPKYLSKEARDFISQCLRPNPDDRPSASKLLDHPFVNRSVRSIMSIMAS >OGLUM04G07900.1 pep chromosome:ALNU02000000:4:13374994:13392956:-1 gene:OGLUM04G07900 transcript:OGLUM04G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHPPIWPPVGLLSAGAPAKVSFRRQSNAHRHAAQVVSSESITPAKEVSSFEPSVWGDFFINYDTKPLQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDAFKKFKGEDGRFINTIANEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRGGGRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEEHIVVLLDLAKLEFNLLQHVHLKELKALSQWWKDLYGYVELSHDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDVFEKFKGDDGRFINTIADEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRDGSRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEECIVVLLDLAKLEFNLLQHVHLKELKAFSQIGHPPIWPPVGLLSAGAPAKVSFRRQSNAHRHAAQVVSSESITPAKEVSSFEPSVWGDFFINYDTKPLQVYIFFFIFILCTFCSTAKLYNCSVEEYHLVF >OGLUM04G07900.2 pep chromosome:ALNU02000000:4:13378518:13392956:-1 gene:OGLUM04G07900 transcript:OGLUM04G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHPPIWPPVGLLSAGAPAKVSFRRQSNAHRHAAQVVSSESITPAKEVSSFEPSVWGDFFINYDTKPLQRSEDWMMEKADKLKQDVRILFETHNDTAKKMHLVDAVQRLGIDHLFQDEINNAISDIKESEFTSSSLHEVALHFRLLREHGIWVSPDAFKKFKGEDGRFINTIANEPRALLSLYNAAHLLVHDEPELEEAMSFARHHLESMRGGGRLKAPLDNQINRALHLPLPRTYKRVEMLHYMLEYGQEEEHIVVLLDLAKLEFNLLQHVHLKELKALSQWWKDLYGYVELSHIIGFTILMDDTYDDHATIEECRKLNEAIQRFD >OGLUM04G07900.3 pep chromosome:ALNU02000000:4:13375019:13375355:-1 gene:OGLUM04G07900 transcript:OGLUM04G07900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIPLPFFFSHGVVHARIGHPPIWPPVGLLSAGAPAKVSFRRQSNAHRHAAQVVSSESITPAKEVSSFEPSVWGDFFINYDTKPLQQNCTTVL >OGLUM04G07910.1 pep chromosome:ALNU02000000:4:13402762:13407324:-1 gene:OGLUM04G07910 transcript:OGLUM04G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERAEKLKDDVRTMFETCDSTEGRLQLVDAIQHLGIDHLFKEEIEYSLSEINASEFISSSLHDVALRFRLLRQHGFHVSPEVFNKFKGDDGRFVSGITNDPRGLLSLYNAAHLLTHDEPELEEAISFATQHLASLSSGTDLNPHLIDQINRALDVPLPRTYRRMETLCYMPEYRQEEGHIPILLELAMLDFNLLQHVHLKELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEDSALARMIFTKIIAFIILMDDTYDSYATIQECRKLNEAIQRWDESATAFLPEYMKKFYSALLKTFKEFEIHVEDDGQYRIDHTKKAFQNLSAHYLQEAEWSYQNYKPSFEEQVALSTVTSTVPLLCVSTTVGRGDALTNEAFEWAANDIGAKIACAKITRFMNDIAAFKQRGRKNRGDVVSTVECYMNENKVTSEGAFTKIDLMIEDEWRTINQALCEHRELLPAVQQVLNLAICATFFYGKRKDAYTFSTHLQETVESLFVRPVSI >OGLUM04G07920.1 pep chromosome:ALNU02000000:4:13419386:13420436:-1 gene:OGLUM04G07920 transcript:OGLUM04G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQDCPRLPVYTNAWRKSGGGGRYDGGKSCSKAEQERRKGIPWTEEEHRYVYTRDSGNQDEQLLFVFFLGTQLAPLLDLLRDASESMAVMSCDCDWIVRRLFLLGLDKFGKGDLQSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGDAAAQQGPITGQAKAALRRRSGHRA >OGLUM04G07930.1 pep chromosome:ALNU02000000:4:13421969:13422197:-1 gene:OGLUM04G07930 transcript:OGLUM04G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVLLVIAAAAAGDDKVASAINALEELLHKGSPALAAYEHHVIFLKRAQQEEAVGAVDGRGS >OGLUM04G07940.1 pep chromosome:ALNU02000000:4:13434204:13438793:-1 gene:OGLUM04G07940 transcript:OGLUM04G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKKKVRTQLGTCEDIVGTMNLVDAIQHLGIEHLFKQEIDNTLRDIRTSEFTSSSLHEVALWFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIARHHLESMTRDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGYSEILLELAKLDFNIVQNVHLMELKSISEWWRDLYTYVGLNYARDRAVEGYLWSCLVFYEKDLSFTRTFVAKMILLVTLMDDTFDSHATIQECRQLNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKEFQKLSTYYLQEAEWSHQRHKPSFGDQITLTAMSSVIPLLCVSGTVGMGYVTKETFEWVASRTTAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYMNEHKVTMEVAIDKIDSLVEDEWRTLNQARFEDHKLFPVVEQVVNLTASMALFYDERKDAYTFATLLQDTIESLFVNPVPI >OGLUM04G07940.2 pep chromosome:ALNU02000000:4:13434204:13438793:-1 gene:OGLUM04G07940 transcript:OGLUM04G07940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRADELKKKVRTQLGTCEDIVGTMNLVDAIQHLGIEHLFKQEIDNTLRDIRTSEFTSSSLHEVALWFRLLREHGLWVSPDVFGKFDGDDARLSSVIADHDTRGLLSLYNAAHLLVHGEPELEEAISIARHHLESMTRDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGWWRDLYTYVGLNYARDRAVEGYLWSCLVFYEKDLSFTRTFVAKMILLVTLMDDTFDSHATIQECRQLNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKEFQKLSTYYLQEAEWSHQRHKPSFGDQITLTAMSSVIPLLCVSGTVGMGYVTKETFEWVASRTTAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYMNEHKVTMEVAIDKIDSLVEDEWRTLNQARFEDHKLFPVVEQVVNLTASMALFYDERKDAYTFATLLQDTIESLFVNPVPI >OGLUM04G07940.3 pep chromosome:ALNU02000000:4:13434202:13437254:-1 gene:OGLUM04G07940 transcript:OGLUM04G07940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDCDLNPVLANQVNRALNIALPRTCKRLETSLFISEYEQEEGYSEILLELAKLDFNIVQNVHLMELKSISEWWRDLYTYVGLNYARDRAVEGYLWSCLVFYEKDLSFTRTFVAKMILLVTLMDDTFDSHATIQECRQLNSAIQRWDESAVTLLPEYLKKFYRELLRNFKVLQDQVTDNDKYRVTYTRKEFQKLSTYYLQEAEWSHQRHKPSFGDQITLTAMSSVIPLLCVSGTVGMGYVTKETFEWVASRTTAIVASAKIGRFMNDIAAMKRGKNKGDVASSVECYMNEHKVTMEVAIDKIDSLVEDEWRTLNQARFEDHKLFPVVEQVVNLTASMALFYDERKDAYTFATLLQDTIESLFVNPVPI >OGLUM04G07960.1 pep chromosome:ALNU02000000:4:13456725:13458126:1 gene:OGLUM04G07960 transcript:OGLUM04G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCPGWAVVSPAWRRPEGGLATSGKEAGTARRMGVGEGGRGGKEDGGRPAQRRSRPSEWRSQSSAGQRRRSGAGWRGGRRHYLLDSAETAAMREMEAGGWEEGTLRPRWVMGRMQLRRSHGSRRRPWAAVILPGSWHPSSSSGEGRGAASTTGTRRWLGWCGGGDEEPAMEAVIDRERGGGCDGVGMRSGGGGLACRGEGAHNNDGGVRGKNGK >OGLUM04G07970.1 pep chromosome:ALNU02000000:4:13461283:13466645:-1 gene:OGLUM04G07970 transcript:OGLUM04G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYCLSFLSHGPVETAAAAIRLGGRWPVAVQHVLRARPKRASFRRSRTVLRGYASIVGTLVTPSGDDEIIAAAGKEASGFEPSMWRDFFINYEPKPLQACRSEEWMVERAEKLKDDVRRLFETCDSTERRMQLVDAVQHLGTDHPFKEEIEYSLSEINASEFISSSLHDVALRFRLLRQHGFRVSLDVFNKFKGDDGRFVSGITDDPRGLLSLYNAAHLLTHDEPELEEAITFATQHLASLSSGTDLNPHLIDQINRALDVPLPKTFRRMESLFHMSEYRQEEGHIPILLELAKLDFNLLQHVHLRELKAISEWWKDLYGYMGLSYIRDRVVESYVWSYVVFYEEGSALARMIFTKIIVFIILMDDTYDSYATIQECRKLNEAIQRWDESTTPFLPEYMKKFYRALLKTFKEFEIHVEDDGQNRIDHTKKAVLDRGDALTKEAFEWAANETSAKTACAKITRFMNDITAFKLVRTVGDVASTVECYMNENKVTSEDAFTKIYSMIEDEWRTINQALCEQRDLLPAVQQVLNLSICATFFYWKRKDAYTFSAHLQETVESLFVKPVPI >OGLUM04G07980.1 pep chromosome:ALNU02000000:4:13484094:13493387:-1 gene:OGLUM04G07980 transcript:OGLUM04G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTQTAAPRPMFFAAHVGVLSTVKISPCPSTIRPNMSRACRVRVAESLSPSIASGDSNAPEKLFYFEPSVWRSDEWMRHKADKLKENVRKLLWTSNDVVAKMNLVDAIQRLGIGHLFEDEISCILSDIHKSEFTSSSLHKVALRFRLLREHGLWVSPDVFNKFKADDGKFIDEVANEPRDLLSLYNAADLLVHDEPELEEAISFSRYHLKTMMQHNDLKQPLFDQVSRALHLPLPRTYKRVETLHYFLEYGQEEGHIPILLDLAKLDFNILQRVHLKELKAISEWWKDLYKYIGLTYIRDRAVESYIWSHTMLFGEGLALTRMICAKIIILLVIMDDTYDAHATIEESRKLNEAIQRWDESAIPRVPEYLKKFYIKLLNNFKEIEDQFQKLSHYYLQEVEWLHQNHKPSFQEQVDLSTKTSTAHLMFVSTTVGLGDAVTKEALEWAESSTSIVAVGKIMRFMNDIAAFKHGKNKGDVTSTMECYMNEHKVISDVAFMKLTSLIEHEYRTINQARFELHKSLPAAQRVVILAAVSLMFFYDNRKDVYTLCSDLRETIRSLYVEHAPM >OGLUM04G07990.1 pep chromosome:ALNU02000000:4:13562834:13566369:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSVLSPRYGATSLSTTNHNHCRHHHYSFLCRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKAVYLLPTRSWSYQKHKPSFKDQVVLSTKSSAVQLVCVAAMIGWGNRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.2 pep chromosome:ALNU02000000:4:13562834:13566181:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKAVYLLPTRSWSYQKHKPSFKDQVVLSTKSSAVQLVCVAAMIGWGNRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.3 pep chromosome:ALNU02000000:4:13562834:13566208:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCYNFMQRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKAVYLLPTRSWSYQKHKPSFKDQVVLSTKSSAVQLVCVAAMIGWGNRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.4 pep chromosome:ALNU02000000:4:13562834:13566369:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSVLSPRYGATSLSTTNHNHCRHHHYSFLCRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.5 pep chromosome:ALNU02000000:4:13562834:13566181:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.6 pep chromosome:ALNU02000000:4:13562834:13566369:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHSVLSPRYGATSLSTTNHNHCRHHHYSFLCRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G07990.7 pep chromosome:ALNU02000000:4:13562834:13566208:-1 gene:OGLUM04G07990 transcript:OGLUM04G07990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCYNFMQRSERWMQERAEKLRGQIRTLFGTCHDMSARMNLVDSVQHLGIDHLFQEEIEDALTSIHGSEFRSSSLYEVALRFRLLREHGFWVSPDAFNKFKGDDGKFRNDIANDPKGLLSLYNAAHLLIHGEPELEEAISFARKHLELMSQDSVLNPPLAEQVKRALSLPLPRTFKRVETICYMSEYEREAGNIPILLELAKLDFNLLQHIHLEELKAISEWWKDLYGYMELRYIRDRTIEAYAWSYMMFYEEDFAFTRMFVAKIIALDTVMDDTYDAHATIEECRQLNTAIQRWDKSAISILPEYLKKFYIKLLINFEEFEHQVSDNEKYKRGKNKGDVASSVECYMNENRVTSEVAFAKIDSLVEDEWRTTNQTRLEHGTLLPMVQRVVNFTVSMVLFYDDRNDAYTFAALLREIIESLFVRPAPI >OGLUM04G08000.1 pep chromosome:ALNU02000000:4:13596887:13599335:1 gene:OGLUM04G08000 transcript:OGLUM04G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERRRPTEERQLARVLAADAEAGASVLRWPTEDAEAGPGGGGQCTRGEVARQRGGGGGGDGVDWPGRGGSRRQPPNPATAVAAAVVEAGTSDVAFSGVVVKWPHTMAYCGGGGRRDAWRAAGWRKARWRPAAFAAGEQKVSAVLVAQRVAGREVASWRGARCNVRSPAWSYARCTVTKADGALPGVGTGHGRSSVRTSSEGSWLLRA >OGLUM04G08010.1 pep chromosome:ALNU02000000:4:13653669:13665884:-1 gene:OGLUM04G08010 transcript:OGLUM04G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRVDNLREKVCTLFRTSGDVVARMKLVDSIQRLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKGDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEYGISLIYTHQGSFGGELHMVPNVVLQGRISTHTDNFYQDNCMDGYMDDTYDSHATIQECRKLNEAIQRWDESAVFLLPEYLKKFYNELLNNFKEFEDQVTINDKYRVAYAKKERSETCMQERAEKLKGDIRTLFGTCNDMSARMNLVDSIQHLGVVHLFQEQIQDALMSIHESEFRSSSLHEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRIIEGYTWSYMMFYEEGFAFTRIFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPDYLKKYYSKLLINFKEFEDQVTDNQKYMFQKQSTYYLQEAEWSNQKYKPGFKDQVVLSTKSSAVQLLCVAAMVGWGGTMTTEAFEWRGKNKGDVASSVECYMNESGVTSEVAFAKINALVEDEWRSINQTRLEHRTLLPMVQRIVNFTVSMVLFYDDRKDAYTFGTLLREIVESLFVNPVPI >OGLUM04G08010.2 pep chromosome:ALNU02000000:4:13653669:13665884:-1 gene:OGLUM04G08010 transcript:OGLUM04G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRVDNLREKVCTLFRTSGDVVARMKLVDSIQRLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKGDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEYGISLIYTHQGSFGGELHMVPNVVLQGRISTHTDNFYQDNCMDGYMDDTYDSHATIQECRKLNEAIQRWDESAVFLLPEYLKKFYNELLNNFKEFEDQVTINDKYRVAYAKKERSETCMQERAEKLKGDIRTLFGTCNDMSARMNLVDSIQHLGVVHLFQEQIQDALMSIHESEFRSSSLHEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRIIEGYTWSYMMFYEEGFAFTRIFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPDYLKKYYSKLLINFKEFEDQVTDNQKYMFQKQSTYYLQEAEWSNQKYKPGFKDQVVLSTKSSAVQLLCVAAMVGWGGTMTTEAFEWVASGNAAVIACAKIGRFMNDIAAFKRGKNKGDVASSVECYMNESGVTSEVAFAKINALVEDEWRSINQTRLEHRTLLPMVQRIVNFTVSMVLFYDDRKDAYTFGTLLREIVESLFVNPVPI >OGLUM04G08010.3 pep chromosome:ALNU02000000:4:13653669:13665884:-1 gene:OGLUM04G08010 transcript:OGLUM04G08010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRVDNLREKVCTLFRTSGDVVARMKLVDSIQRLGVGHLFNEEISTTLSDIHASEFTSSSLYEVALRFRLLREHGLWVSPATFNIFKGDDGRFINEIADEPSAYLLVHDEPELEEAISFSRHHLKSMMQCGNLKHPLADQVKRALHLPLPRTYKRVETLHYLSEYGQEEGHISFLLDLAKVDFNILQGVHLKELKAISEYGISLIYTHQGSFGGELHMVPNVVLQGRISTHTDNFYQDNCMDGYMDDTYDSHATIQECRKLNEAIQRWDESAVFLLPEYLKKFYNELLNNFKEFEDQVTINDKYRVAYAKKERSETCMQERAEKLKGDIRTLFGTCNDMSARMNLVDSIQHLGVVHLFQEQIQDALMSIHESEFRSSSLHEVALRFRLLREHGFWVPPDAFNKFKGDDGRFRNEIANDPRGLLSLYNAAHLLIHGEPELEEAISFAREHLKLMSQDNVLNPPLACQVRRALTLPLPRTFKRVETICYMLEYQLEEGNIPILLDLARLDFNLLQHIHLKELKAISEWWKDLYGYMGLSYIRDRIIEGYTWSYMMFYEEGFAFTRIFVAKLIALVTVMDDTYDAHATIEECHQLNTAIQRWDKSAISILPDYLKKYYSKLLINFKEFEDQVTDNQKYMRGKNKGDVASSVECYMNESGVTSEVAFAKINALVEDEWRSINQTRLEHRTLLPMVQRIVNFTVSMVLFYDDRKDAYTFGTLLREIVESLFVNPVPI >OGLUM04G08020.1 pep chromosome:ALNU02000000:4:13678638:13680550:-1 gene:OGLUM04G08020 transcript:OGLUM04G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKVGHVSYSPSSLTERHSALRSSSRTPRPSRCGGTPSISTSRGQPRFLRRRYVLLPPGSLAEPPPLLDRICLAHGHSVGVALTSASLVEPFLVEEQRNSPSQSKESRSTGDGKTRALRWLSARLLAIDKCAKNQLNREKVQFQQRTGSRSYIAHAYVVRYATTRMAYCYTSTVQNKDNKRWQSSNSCYSKTWRESSRSKKNTKTVSPLQLISLRSCIAAKLKVALLLGGIFLLAAGKMHAET >OGLUM04G08030.1 pep chromosome:ALNU02000000:4:13689667:13696489:1 gene:OGLUM04G08030 transcript:OGLUM04G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSIGCCVAKLWFWAVISYGPRPIYFSGKASPYGLPPAPTLFPHAFERKPSRGDPPARRSERPMPVLPIDPRPLLAHALFSALESNTLYKATCSSKLGL >OGLUM04G08040.1 pep chromosome:ALNU02000000:4:13696683:13697061:-1 gene:OGLUM04G08040 transcript:OGLUM04G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVELEATAGSAGAAGVGWAAGAWDGWWWFCGVMGLLHVNFKDRVAANFNDELFSGRRIRKSAPHNSYSEDNEDYNFLLVVNVGISSSGTFNMIL >OGLUM04G08050.1 pep chromosome:ALNU02000000:4:13725984:13729850:1 gene:OGLUM04G08050 transcript:OGLUM04G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJ69] MMQAKKPRSPLMMLIAKKPPLLLLLLLLLVLLVGVNGGETDERRLESLVDDELPFSQLGLGFRTTVVAGSAENDVEEVVQAWYMDDDDNGEEDQRLPHRRQPDDLLPLAKLLDLGLVAMRLDADNHEHDENLKIMREQRGYLHMDIVELTPEKMPNYEVMIKRFFEEHLHTDEEVRYCLDGSGYFDVRDENDKWVRVSVRKGALIVVPAGIYHRFTLDTNNYIKMGAGGLFFGFYRPCVYFQVGQIGRLTIVLMIICLKGRSI >OGLUM04G08060.1 pep chromosome:ALNU02000000:4:13732849:13733082:-1 gene:OGLUM04G08060 transcript:OGLUM04G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEGGGVEDYAAWVAATIFCRNNSDYAPENPDGPSLPTRTLRCTCPRGGGEDRDSLCVLHQLDDCDDVGALGDEG >OGLUM04G08070.1 pep chromosome:ALNU02000000:4:13732958:13740361:1 gene:OGLUM04G08070 transcript:OGLUM04G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P4H isoform 1 [Source:Projected from Arabidopsis thaliana (AT2G43080) TAIR;Acc:AT2G43080] MGMARARARRLLPLLTFVTLGMILGSLLQLAFFRRIDDHSHVTHLENDQEAAFLRLGLVKPEVISWSPRIIVFHNFLSSEECDYLRAIARPRLQISTVVDVATGKGVKSNVRTSSGMFVNSEERKLPVIQSIEKRISVYSQIPEENGELIQVLRYEPSQYYRPHHDYFSDTFNIKRGGQRVATMLMYLTDGVEGGETHFPQAGDGECSCGGKMVKGLCVKPNKGDAVLFWSMGLDGETDSNSIHSGCPVLEGEKWSATKWMRQKEFV >OGLUM04G08080.1 pep chromosome:ALNU02000000:4:13742021:13743925:1 gene:OGLUM04G08080 transcript:OGLUM04G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJ72] MQRSSNGRSRSTASTYKIHRLLSRATPSSSSPSPPSPRAATTSLCAAAAAAVSNFKMVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >OGLUM04G08090.1 pep chromosome:ALNU02000000:4:13749996:13761979:1 gene:OGLUM04G08090 transcript:OGLUM04G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHVKGTILRSKGGICQTIKIAQINVWFNEFDSSDNSIRMEHGIHEEGTSTLSCVALAAPPPPPWSPRDPMAAAGETTTRGAFSAGRWCCEESGAEMDLGKIGWSLDDDDRAQRRDHARNGQKGFKSDQNTRDLNGFEKGGTGCFEFSHRERVEQREEERKEDERGGGGLESQNN >OGLUM04G08100.1 pep chromosome:ALNU02000000:4:13751702:13752632:-1 gene:OGLUM04G08100 transcript:OGLUM04G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGDHGGGGGAARATQLKVLVPSSFRKMRICDELAAQLGVGGGAPRAATARVASPLGKAWDVGVVRDGDGGAFLGRGWAEFAAAHGLGVGWFVVLRHGGGGGGVLAVEAFDTTCCLREFGAPPAAHFYFALEAFSHDGEC >OGLUM04G08110.1 pep chromosome:ALNU02000000:4:13766061:13774982:1 gene:OGLUM04G08110 transcript:OGLUM04G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATLCGDDKDGQVHAKREEAGRPATARSSSSSFYGYAGRRTSSSPLPSATRGGGSRRRQLRPLLITRRRRCSSPGTSGGCVHGMKRPQITLPEGVMVWAPVDLILHAEMGGGAKLSRGRAPPELGCDGAPTAATDTVLACHHRAQLRAGSLASVPTTGRRHDAVLLLSHLAPSKPATPAPWSSARLLPCFPTAGRRGAILLLSRLAPSTPTPPVDTRARPHLPIDDGGDGGAALPVTPLSLKAAAAPPEYEMPSMKEWLVSRVLALVSMVALHHHHSHQIKATTLTWLIVKATPPPRDGAKKLAAAAYSPLLLSPCPLWLITWMSVNIFGVEGWQIILLG >OGLUM04G08120.1 pep chromosome:ALNU02000000:4:13777379:13780240:1 gene:OGLUM04G08120 transcript:OGLUM04G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVVGLGETAKGSGGGGGGGEVTLETLRRKMSEFARERDWEQFHSPRNLLLALVGEVGELSEVFQWKGEVPKGLPGWGEREVEHLGEELADVLLYLIRLSDMCDVDLGKAALRKMELNARKYPIGQCRGSSKKHTHYSTTTTTTDNGASGDDNNRNAGAGADPDAGKEQC >OGLUM04G08130.1 pep chromosome:ALNU02000000:4:13789059:13790411:-1 gene:OGLUM04G08130 transcript:OGLUM04G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISLPFLQRIPDKFVRDYITGENLNSNMAIILSPLGKSWRVELDKDRSGVFFGGGWLQFLSFHGISRGDVVIFRYEGNLVFKISVFGPNGRQKDFKAKGISIHQGTGEQQEAPSFSRRKCNNKKKSRFGEDDGNQQEMPCSRKGSGNKGRTSDRETKRMRKTRSVYEIGPRSWIKKEINEYVLERCILSLARTFCESIALAEESSITLMMIDTTSTQGDQGGSSSSRSWEVTGRRYKDACYLLGAGWRRFCEDNGVRSGDVCVFTVLDTSLWRVDIERC >OGLUM04G08140.1 pep chromosome:ALNU02000000:4:13805935:13807330:-1 gene:OGLUM04G08140 transcript:OGLUM04G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRLALAAAMCGVLLSSSAAGKKTGRITVYWGQTAAEGRLREACGSGLYTTVIVSFLTGFGGGRYKLDLAGHDWGAVGPDVKYCQSRGVLVLLSIGGGIGRYSLASKADAKAVADHLWDFYLGGRSKSRPFGDAVLDGVDFDIELGRPAHYDDLARYLKAYSGRKPGGKKVWLTAAPQCPFPDRMLGEALRTGVFDRVHVQFYNNPACSYRAGGNAAAFAAAWRRWASSLPRSSVYLGLPAAPGAANSGYVPPAALAGEALPIVQRSTNYGGVMLWSRYWDRRTGYSKKIKHAYYECVLNVGIRVVLQ >OGLUM04G08150.1 pep chromosome:ALNU02000000:4:13830875:13831445:1 gene:OGLUM04G08150 transcript:OGLUM04G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDHAGAGAAVGAAAKAMQLKVLMPSSFHKMHISDELAAHLLGERGDGGGGGAARRAARVVSPVGKIWDVEVGRDGDGDGGAFLGRGWAEFAAAHGLGVGWFVVVRHEGGGVLTVKLFDTTCCLKDFAAPPAGNRSKDYLHAKFK >OGLUM04G08160.1 pep chromosome:ALNU02000000:4:13831790:13834615:1 gene:OGLUM04G08160 transcript:OGLUM04G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILSPLGKFWRIELERDELGMFFKGGWLQFLSFHGICPGDVVLLRHEGNLVFKIKVFGINGCKKDLKTKDNTRIQQSARNQHETPSFSTRKCNKNSRFGDDDKNQLQEIPCSIKGSTKKGRETKRLKKSKSIYEIGPPSWIKKEISNYMLENGNISLPGIFCKSIGLVEETTITL >OGLUM04G08170.1 pep chromosome:ALNU02000000:4:13835646:13843023:1 gene:OGLUM04G08170 transcript:OGLUM04G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51340) TAIR;Acc:AT5G51340] MPYRLGAQILPPELDPIDAEVDPHRRAWSPPPELDFLPPELVAMSGEAADGTGEGEEQTARARLRLAALLLRSRSGGLAAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGVLGAVPSQKNVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALAVDGDAASALSTLSAGASAAAELGSPQLELFFAATGLHVHLLCWEDNAAVEAAVTRASGLWEALPADQKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVKELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCESTIEMLRGQYAHSVGCFDEASFHFLEAARLTDSRSMQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQELPRLTHEAPFQVEKTRIQVQQLQNFSREQQGMPGLTTAKADLDIPESVGLYAAQPSSVKRLIEPSSVRRSSRRRLS >OGLUM04G08170.2 pep chromosome:ALNU02000000:4:13835646:13843505:1 gene:OGLUM04G08170 transcript:OGLUM04G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51340) TAIR;Acc:AT5G51340] MPYRLGAQILPPELDPIDAEVDPHRRAWSPPPELDFLPPELVAMSGEAADGTGEGEEQTARARLRLAALLLRSRSGGLAAAKSHLERALLLLSPLPSAPPRLKLLAHSLLATAYGVLGAVPSQKNVLRRGLGLLASASASGLLARGPALLWTCNFQTQLASALAVDGDAASALSTLSAGASAAAELGSPQLELFFAATGLHVHLLCWEDNAAVEAAVTRASGLWEALPADQKEQWTGLFFYTELLQTFYLLRTCDYKAASQHVERLDTAAKDEMQKGQRVKELAAELGTVERTLAQPGLKERERSALTHKQRQLKYQLQTLCGYDKLNDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVSRPKGIFKECGKRIHSGLELIRGELSKLGIVDGVTEANLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMINWFTRFPTILRGCESTIEMLRGQYAHSVGCFDEASFHFLEAARLTDSRSMQSMCQVYASVSYICMGDAESTSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQQNPQEARLRLAGGLRIAHQQLGNIQLVSQYLTILGTLALQLHDCGQAREILKSSLTLAKTLYDIPTQIWILSVFTELYRELKERENEMENSEYERKKEDDLQRRLSEAHSSPFHQELVEKTRIQVQQLQNFSREQQGMPGLTTAKADLDIPESVGLYAAQPSSVKRLIEPSSVRRSSRRRLS >OGLUM04G08180.1 pep chromosome:ALNU02000000:4:13851342:13855038:-1 gene:OGLUM04G08180 transcript:OGLUM04G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKPLRKFTGAFQALAQKVGPGSPPMTTKDFTKACSELQFLFHLMGDEAEFWYDEYVPKVEQMQSKSRDAATLEELVEQDMANNSVKAADSSTTILLRLRRALEVVKVLFEQLLKGRGVEFQSAATTAYMVVFAAHHEKLIQNIVIEAIQSLPTRAWLLSKINEEEGDVLIEIKKYVDASEVVINYIDDLFASKGLEMDW >OGLUM04G08190.1 pep chromosome:ALNU02000000:4:13892263:13895184:1 gene:OGLUM04G08190 transcript:OGLUM04G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAEVAAVGAALSCCFKCCCSQFEQYVVEADKYVSDLQSEVSKLSAMARDVQSRVAARARPPVSGMGSVDNWLKRSAAIDKEAKRVSDDYAAMCLPRLNVWSRYSIGRRASRKLHKARQLVQQRESLEDALALAASSSMTRSRGRYEAVQERQIETMVVGMDPYLNQALRHIDGDEVGVIGICGMGGVGKTTLLRKILGEFLPGKERNKDFHKVIWAVVYKRSTATVDAMDNDIARLQNDIARELGLPPLGKMPADDNDDDDCSRQVLEQRAQPIHEYLSTRNFLLLLDDLWSPLELKSIGIPDLNSIGGGGVSRLKHKVVLTSRSEAVCGQMKAAPGLIDVQCLNDDDAWSLFEFNATKQTIESHTAIGRLARQVMSECQGLPLALNTIGKALSTKSGDPKPWKEAYEKLRNARHSEITGMEKDSAAMLHRIKISYDYLPSQMVKDCFLSCSLWPEDCYIEKAKLIECWLGLGFIAGSFGIDDDMDIGMNIITSLNEAHLLDPADDDSAKVRMHDMIRAMSLWISSDCGETRNKWLVKAGIGIKTEQRVAEQWHESSPDTERVSLMENLMEGLPAELPRRERLKVLMLQRNSSLQVVPGSFLLCAPLLTYLDLSNTIIKEVPAEIGELHDLQYLNLSESYIEKLPTELSSLTQLRHLLMSATRVLESIPFGILSKLGRLEILDMFESKYSSWGGDGNDTLARIDEFDVRETFLKCLGITLSSVEALQQLARRRIFSTRRLCLKRISSPPSLHLLPSGLSELLGDLDMLESLQEFLVMNCTSLQQVIIDGGSDGDRSSCSGYCLPALESLQLLSLNKLEQIQFQRMAAGDFFPRLRSLKIINCQKLRNVNWALYLPHLLQLELQFCGAMETLIDDTANEIVQDDHTFPLLKMLTIHSLKRLTSLCSSRSINFPALEVVSITQCSKLTRLGIRPQGKLREIRGGEEWWRGLQWEEARIQEQLQPFFRFLGR >OGLUM04G08200.1 pep chromosome:ALNU02000000:4:13902376:13905301:-1 gene:OGLUM04G08200 transcript:OGLUM04G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGKALRKFSGAFQALAQKVGPRSPPMTVKDFTKACSELQSLINLMGEETSTWFADYGRKVEQIQSRSRGAATLKELVEQDMANNTVKAADSNTTILLRLMRALQVVKVLFEQLLKGRGVEFQSAATTAYMVVFGAYHKEPIQNMVKRAISSLPTRAWLMNKINEEEGDIFIEMRKYVDASAAVINYIEELFTSNGLEMNW >OGLUM04G08210.1 pep chromosome:ALNU02000000:4:13917139:13917659:-1 gene:OGLUM04G08210 transcript:OGLUM04G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVLLDSSTARSRPSSSSSSAAASPSLTSRRDDGTTCSACCNLHGHLPHGRELRVGLADRPSSRPLEPPLARFNPSSWSCLSLPLPLVAGGPAAFSPVTTSAGLPAFLSDASGHKTLLLANPITRLLAALPITPTLLIDKGTDIRQRKILYGFRLLRLRFEFA >OGLUM04G08220.1 pep chromosome:ALNU02000000:4:13918544:13921441:-1 gene:OGLUM04G08220 transcript:OGLUM04G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFGKAAVTETAPTIIGCFAKELDLLVNAGHNVEDMADALSQLQASRDDLQNAMSNSHQQTPPEQVSNWFERVQEVEDKAEKIQKDYSDRCRCMGSFSPNIFSSYAISRRAVQRHQKVNELLQEYNTVKNLTSECPPASCIPKSVPTPIIGKASYMTQVLAWIRDEDTRIISICGMAGVGKSELLRDINNRFLPGAEMGQAFKLVIWVDNASSSDVKSVQDEIARRLKLDDLGGWEIDAEAPERRATPILSFLKDKSFLVLLDNLERPVSLADIGIPNPKFRRPSSLRQKVVLTTRFKGVCGRMQSCSRIDVGCLDRQDSWNLFLAAAAAGGEQPVIKDKEIEGFAQQIVRECGGLPIALSRIGGAMATKRHPDDWRRMAAFLESSQIHRIPGMERDNTVLLHDLKKSYDHGLSTPTDRECFLCCALWPRGRSINKADLIDCWIGLGLIREPSLDDAVQKGFSMISCMLEENLLMPGCNARDEVKLQEIVRDMALWIACDCGSRDNKWLVQAGVNLGAQTKLIELCQRAGAAERVSLMCNAIRELPRPRFLSSTCPVLTVLMLQHNTAFTHIPAAFLRSAPALAYLDLSHTAIEQLPEDIGTLVNMQYLNASFTPLKMLPVGLRNLGRLRHLFLRHTNHLSAIPKGVIRCLTSLQAIDMYPSRYMDWTDDGDAASTEGEGNEGIASFEQMGSLMSTVFVQFLGITVNAIGTVQRLGRLINVCTRRLLLTRFDSPQHVTLCPSQFKAAMSCFSMLETLMELGIAECPTLEQLVLDGEEDESNRGPRNQSWCLPKLEALELRGLAKLEAVIWRSMSISFFLPALQRVKIENCGGLRSVGWAMRLPCLQLLELRGCTSMRSVIGDEDLEPPQDGGEGQLLHTFPNLVTLILVNLTELRSFCSRPQVSLPWLEVIEVGCCVNLRRLHVMPQGRLREIRGTMEWWHGLEWDDDTVQASLHPYFINKSVE >OGLUM04G08230.1 pep chromosome:ALNU02000000:4:13941514:13943464:-1 gene:OGLUM04G08230 transcript:OGLUM04G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGSVGSVAKKKSPLPGPSSSSNLHLLQDLPPPLSSDKIEEGGEALESSEGAQSRGQQGSRDVAVAAVLKQPDPREEHTEADEEMVASTGVFISLGEKKVEELGINGGSSDKEDEEEEEEDELVSPLVFAPSLTMDAAAAEITVPSQASPSPRKAQKAMQAVAQHEERHEEMAPMEEQEEVREKEEDDNEEDIRCSSARLLVLPRWKSEPTKMVGDAMAKLGAFWLEYMQKSWLNPMKMEKG >OGLUM04G08240.1 pep chromosome:ALNU02000000:4:13943117:13943773:1 gene:OGLUM04G08240 transcript:OGLUM04G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILLDPVEAVRGQWCGVVVKMELGAVVTCREANSSNELRKADLSGARDSMSFSYPLLPSALGSLAALKCLTAFLNLVRAQRWRSWRRCKLEELEGPGSGDFFLATEPTEPITIDYDVVLEESFVVMMDVLRLCCLAPSSFFVVVTLLLPAATLSRREEDSGREWGLSGKKREEMTYGHHFIFFLIFLMTRLSHGRHISKIHPQNGQGSHFAQFR >OGLUM04G08250.1 pep chromosome:ALNU02000000:4:13946173:13952809:1 gene:OGLUM04G08250 transcript:OGLUM04G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein PRD1 [Source:Projected from Arabidopsis thaliana (AT4G14180) UniProtKB/Swiss-Prot;Acc:O23277] MDAVQLHCLGILLNSTKDAAAYIGDKQSLYLNLINNLRLPSDEIRGEILFVLYKLSLLNATPWDDICDNDNVDLSAIGRSLLQFSLEVLLKTQNDDVRLNCVALLLTLAKKGAFDILLLSDPSLINSAEAEDNVPLNDSLIILFADAVKGSLLSTNIEVQTGTLELIFHFLSSDANIFVLKTLIDQNVADYVFEVLRLSGNNDPLVISSIQVLSILTNSEERFKEKLAIAVSTLLPVLHYVSEIPFHPVQSQVLRLVCISIVNCSGILSLSQEEQIACTLSAILRRHGNGELGMSSETFALVCSMLVEILKLPSADDIQKLPSFIVEASNHAISLTFSHEYDCLFLIPHSLLLLKEALIFCLEGNKDQILRKKALEDSIIETCETYLLPWLESAIVDGNDEETLSGILQIFQIILSRASDNKSFKFAEMLASSSWFSLSFGFMGLFPTDHVKSAVYLVISSIVDKVLGISYGETIRDACIYLPPDPAELLYLLGQCSSEDFNLASCQCAILVILYVCSFYNERLAADNQILASVEQYILLNGAKFPHEIPGSLMLTLLVHLYAFVRGISFRFDIPHSPEAEKTLFHAMAHKEWDLLLIRVHLIALKWLFQNEELMEPLSFHLLNFCKFFCEDRTVTLSSTQLVDIQMIAELVYSGETCISSLLVSLLSQMIKESAEDEVLSVVNVITEILVTFPCTSDQFVSCGIVDALGSIYLSLCSSRIKSVCSLLIFNILHSASAMTFTCDDDAWLALTMKLLDCFNSSLAYTSNEQEWKILIGILCLILNHSANKVLIEPAKAIILNNCLALLMDGIVQEACAKGPSLFQHNQETTFGELLILMLLLIFFSLRSLQAILEASIDWQEFLQYSDDTESSSVLGIPCHDLCRLMHFGPSPVKLIASQCLLELLNRISDQRSCLNAELRCSAKYLKSMIAVTEGMVFDQDSRVAENCGACLTVILGWERFGSREKAVIRESKWSRLILEEFAVALTAPGLTSKSFSNQQKIAANIALSLLQLSQVPDWLTSLYSDSLISGIVANLSARNVTAEIVTLFSQLMAKNYLKQEHIAGLHNLFQVCRRQAYEGGGGSKAQPSEQKAEAARSADDVRALLFGMMLDQRAGSRATVEMEQQRLLREIDSFFFQESSMREQNSVK >OGLUM04G08260.1 pep chromosome:ALNU02000000:4:13954867:13961093:1 gene:OGLUM04G08260 transcript:OGLUM04G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPSQTPTPPPPASPRRRAPQPATILSPSFSRNPLRPSAASASASAGPFPFPSSDCEEHPCVELFDWWLKRVEGDDRKVRIAGHTERNHKPHLFTSAPIVKRHKACMLEAEDSIIVLIDGPLDLSQMENNGYSLEVCEKFMTGFPCLWESYNLGSQPSGSNTSNSRDGQTKFYLERFQIGNFIDKVGSSFLANLLNNSRSSSGDDADSFEKGSYLSNKKPRFEEYTCDPDISAKEKTTAFNEGSTGSPAVCNKVGNQQIDLVVKSFSKERGHGNIDLSASLTSIEETTRDKTSEDAGNQNEFIHSDAEYQEAGSHLVNSDSIYDGSANAGSAVSQGSKEVLATVLTERANLSPDSCLDNILPTSTCNSNNCLENQGFPEIAQHMTLNEEVVPNEDISTSVHSDVESLGNPVGPAEVQRSECDILQGSPISPKQHVGSAQEQRPEQSMSQGAARSPMIRTPIPDGAPSLRNQHLGSAQEQRSEHFMLKGATRSPMIRTPISYGHYSPLTRGKAKSSSVSTPESLKLRRTRSGRVVVPTLDPGCQRIVYDRDGLVSGVAGLEFESPPLKGSKSRTPESKRRAR >OGLUM04G08260.2 pep chromosome:ALNU02000000:4:13954867:13961093:1 gene:OGLUM04G08260 transcript:OGLUM04G08260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEPSQTPTPPPPASPRRRAPQPATILSPSFSRNPLRPSAASASASAGPFPFPSSDCEEHPCVELFDWWLKRVEGDDRKVRIAGHTERNHKPHLFTSAPIVKRHKACMLEAEDSIIVLIDGPLDLSQMENNGYSLEVCEKFMTGFPCLWESYNLGSQPSGSNTSNSRDGQTKFYLERFQIGNFIDKVGSSFLANLLNNSRSSSGDDADSFEKGSYLSNKKPRFEEYTCDPDISAKEKTTAFNEGSTGSPAVCNKVGNQQIDLVVKSFSKERGHGNIDLSASLTSIEETTRDKTSEDAGNQNEFIHSDAEYQEAGSHLVNSDSIYGMSTESGNQNEFIHADAEHQEVGSHVVNSDSNFDMSTDNMICQMGDGSANAGSAVSQGSKEVLATVLTERANLSPDSCLDNILPTSTCNSNNCLENQGFPEIAQHMTLNEEVVPNEDISTSVHSDVESLGNPVGPAEVQRSECDILQGSPISPKQHVGSAQEQRPEQSMSQGAARSPMIRTPIPDGAPSLRNQHLGSAQEQRSEHFMLKGATRSPMIRTPISYGHYSPLTRGKAKSSSVSTPESLKLRRTRSGRVVVPTLDPGCQRIVYDRDGLVSGVAGLEFESPPLKGSKSRTPESKRRAR >OGLUM04G08270.1 pep chromosome:ALNU02000000:4:13962598:13967436:1 gene:OGLUM04G08270 transcript:OGLUM04G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIVNTVNKFSGNNADGVPFRRCSYLPNSTCDENGSSVTRKSASAINGSINGHGTLYKDVGNEEMHLATDSTSKPGCRGDTNHCTNKERETRNVIVHTDSRQNGDATNSDNAVLICNQTAGHMSYGLDGESNRSSGSLASVVSEVLVSKTPLEKRCHTNLQETGDLENTPNAHVSKRSRLHRVSPANSLFDREACDDLIDSAHNLDCSRTPNASVHDETVPNEDKTPCTSLDVRGCEGTPRASLKRRVNKKRTKREASYPTTPLNGNTGALVVIEPPLTRTKAKGKALSLATPESLKRSTRSGRLIVPRLDPGSQKIIYDMGLIRSRLLRGGKRLGVRPQDTEDCCHSNGEHMVKKEILTLSTGMMIWCPTRCAFV >OGLUM04G08280.1 pep chromosome:ALNU02000000:4:13968773:13971874:-1 gene:OGLUM04G08280 transcript:OGLUM04G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPRPPAAATRKRKKPHKPTKTLAKNPTNPVSTKAKKKKKPPPSKADQSASAAAAGGVLLSAEMPPARQLEFLLRSFERAAKMRLSPLELDAYSEGCMVPLAEGASQDVEGFGDHVKGAFGSSWKEELCEGELEGGAIDAGSPALLVICSAALRSLELLRGLKMFTKECRPVKLFAKHMKVEEQVALLKTRVNIACGTPSRIKKLIDMEALSLSRVKLVVLDMQRDAKSFTLFTLPQVSNEFWDLYKGYLDQKVRGGDTRLCFYGAVSEKDVKKVLPSAE >OGLUM04G08290.1 pep chromosome:ALNU02000000:4:13974281:13979390:1 gene:OGLUM04G08290 transcript:OGLUM04G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAPPKRPKKCNTAMAPPQAVDTPAAAAGSVELTSLLYMQRGGAGAGATYGWEFIQELLLLLGGSVPPLDYPNGQELGHRAPLPAALPPSRSDKEDRGQRLWRRRRWKRSMSSHRVTPPPNRVPPLAFPSVARAQPMEEQLVLLMKEASGLELRRCRPRASSLSRILSLYREREEEKR >OGLUM04G08300.1 pep chromosome:ALNU02000000:4:13979699:13987632:1 gene:OGLUM04G08300 transcript:OGLUM04G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 5 [Source:Projected from Arabidopsis thaliana (AT1G09770) TAIR;Acc:AT1G09770] MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIPFEKRPPPGFYDTVGEDRPLEHVQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANRLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGNAGDPSLVEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPELHPSDFSGVTPRKKEMQTPNPMATPLASPGPGATPRIGMTPSRDGSSFGLTPKSTPFRDELRINEEVDMQDTAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEEEKEEAEEKIEEDMSDRLARERAEEQAKQEALLRKRSKVLQRSLPRPPAASIEILRQTLIKGGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKDKKKGSKRQANGTPSVPEIEDFDEDELKEANSMLEEEVQYLRVAMGHESESLEDFVKAHDACQEDLMFFPNNNSYGLASVAGNSDKIAALQYEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTSATELECFQELQKQEQMAASYRIRNLTEEVNKQKALERTLQSRYGDLLTSYKRIQEQLEEHKRRLMIQEEMEAQKRAQEEEMEAQKRTQAEEEKEAAKAEEEARKMDRAADEEAAGSKQVNEDQMDVDNSNADGDEFVGPIPPGPGTQGDDNVVAVEENSSSQGGDTATTEDGSCGMIDASKSGGQDHIDSKDELPTVGASLDDGSAAASSDQDVSTEVNATVPE >OGLUM04G08310.1 pep chromosome:ALNU02000000:4:13990564:13993598:-1 gene:OGLUM04G08310 transcript:OGLUM04G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJ97] MAKPAPAAAAGAREDEDAPALRRRLRRLVAAATAGAASESVFDEAAAALAALRDAEVGVGRKGVGGGEEAAAAAVPALFLCPISSGIMRDPVVIESGQTYDRRSIQEWFSAGNQICPQTQQVLSHTILIPNHLVRTMISQWCTENGLTLPEIENQEEDHVTNSEEKTFDEIFVKITSSSSSGGRKQAIKDLRLVTKRNSEFRAVLGQRPDSIAQMIMARSTPGLQNDPQVLEDMVTIILNFSIHDSNKKIIGDDSEAIQFLIWALKSGDMGSRSNSAAAIFTLSALDSNKEKIGKLGAMDPLIDLLEHGSIIAKKDAASAIFSLCLLHENRSIAARSGIVDVAMRAIDDQSLVEESLAILALLSRNQEMVEIITEFNGTASMLRSIRESECKRSKENAMVVLFAICTYNRTKLKEVEADESINGSLTFLAQTGTQRARRKASGILEKMKRTMHNRHCSC >OGLUM04G08320.1 pep chromosome:ALNU02000000:4:14002188:14004930:1 gene:OGLUM04G08320 transcript:OGLUM04G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVGHSADGVFVMIVDEDKSHANFARGMLSSLNFHVIVYSSPVNALIFLENNAQDVAVVLAAVDMKQLSGFQFLEAARVKRQDLQVIMMSAETTMSTMMRCVKLGACFLVKKPLNEETVGNLWQHVDLKVLKMEKIRELLQDPGQETVITISYEEQFSRETEADENNEEEEVNSFEAKKADSVKVQSDEKGHDNAKISNTAAAEGSDEKVSSGDGHVVPKAYNNVNVEESTGSNNTSGEQVSDKIKSDARVGVSLVDYPDSEDDETKKPTST >OGLUM04G08330.1 pep chromosome:ALNU02000000:4:14013754:14016993:1 gene:OGLUM04G08330 transcript:OGLUM04G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEGLPAGRLSAMVIDEDKCHADSTSYMLSAELNFSVTVFTSPIKALDFLQNHAEGVDLVLADVHMEEMNGFDFLKVARELHKSIQVIMMSTETTMYTMKRCVKHGAQFLVNKPLDAGTIKNLWQYVDLKVLRMEKIKDLLQGIGDESTCANETNSLAENPKNDTKKKYYLMWTPHLQKKFLHALQILGKDASPKNIKKIMGVDNIDCRQIAAHLQKHRLRLTKDLKKASFTTDTSKDESNSRIGPAESHHVCRNASILQPHSNTQPTETTMQILSEDAEYDDVYAAMRRALQYGIVFDESKHSSDPSGDEDEQVVVGGDQDGCTNEANDIDSSGDHHQVAAVVTKPCNANASQEIINKMTNSDGMQATKGSKAAVFRLVDYSESDSD >OGLUM04G08340.1 pep chromosome:ALNU02000000:4:14020983:14021505:1 gene:OGLUM04G08340 transcript:OGLUM04G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSNCCFSVIVYSSLVNALIILENNAQDIVVVLATVDVKQLSGLKFLEAARMKHQDLQVIMMSAETTRSYTMMRCVKLAARFLVKKPLNEDIVHDLWQHVALKVLMMEKIRELLQGCTIYVNGSVCALLSAK >OGLUM04G08350.1 pep chromosome:ALNU02000000:4:14024037:14026733:1 gene:OGLUM04G08350 transcript:OGLUM04G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVMVIDEDRRHAYSTSSMLTQFNFCVTVYTSPIKALDFLENHAQDFDLVLAEVHMEELNGFAFLTASRKIHKSIQVINLKVLRMEKIKGLLQDDSSKNTKVIKNKSTTDCHQIATHVHQMQVLNGDDVYTAMRRSLHLGTIFDESNYSNHPCSNEDKVGEDEIGGYGCANEANATHSSDDHNVVVPDLSCNIADDVSQEIMSKAITCVDHRKQDTTPTDGPAAMSADEANATFSTGNLQQVNVIVTCNGDGSQESIQKNTCDDQHAPTGSKPETFRLVNYSDSESD >OGLUM04G08360.1 pep chromosome:ALNU02000000:4:14029073:14032222:1 gene:OGLUM04G08360 transcript:OGLUM04G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEGIPNGRLSAMVIDEDKCHADSTRSMICTQLNFCVTVFTSPIKALDFLQNQAEGVHLVLADVQMEEMNGFEFLKVARELHKSIQVISVGDKSTCANEMNSFPENHKDGTKRKYYLMWTPHLQKKFLHALEILGEDVEHDDVYDAMRRALQDGTTFDESKYSSDPFSNEDEDVVGDGYADKANAIDSSGDHYQVAVVLTTPHNVDYTQEIMNKVTTSDDVQVTRGGKATVSRLVDYSDSDSD >OGLUM04G08370.1 pep chromosome:ALNU02000000:4:14036812:14041428:-1 gene:OGLUM04G08370 transcript:OGLUM04G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASPRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGSSGVEGSDFGNVGTSSVSVAQVAAAIVALHERFSLEEKIKALRAPRPAKFQLLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQESGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYVSSRNHGTRDSYKDFRNGSHQRQYQKVSDHENRSIKDSESTLDQRYSHHHENSRHQRNSDDHRKYGYKYNKNGSDYYSESSGCTRWSSEREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRYDPQSAYSDVDPATSMIDEASTGQHEARDSCQLISATVLGSKVANS >OGLUM04G08370.2 pep chromosome:ALNU02000000:4:14036828:14041428:-1 gene:OGLUM04G08370 transcript:OGLUM04G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASPRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGSSGVEGSDFGNVGTSSVSVAQVAAAIVALHERFSLEEKIKALRAPRPAKFQLLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQESGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYGTMARGIHIRTSEMEVINASTRKHQRNSDDHRKYGYKYNKNGSDYYSESSGCTRWSSEREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRYDPQSAYSDVDPATSMIDEASTGQRNSAGQ >OGLUM04G08370.3 pep chromosome:ALNU02000000:4:14036828:14041428:-1 gene:OGLUM04G08370 transcript:OGLUM04G08370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWVLAASPRYGVVIDAATRDHVWVLLRLCLKAAASEARCSLEDARGAEGGGSGFVDPRAIRFECPRLVDAVSWLGTQLRILYGESSGRSFAIAAVREAILRAGSCLAVGVDGGGSSGVEGSDFGNVGTSSVSVAQVAAAIVALHERFSLEEKIKALRAPRPAKFQLLLEYSKALERGREERSKRPNYRAVLEYDGIISRRVDSQESGRVKTREELLAEERDYKRRRTSYRGKKAKRNPKEILRDIIDEHMEEIKQAGGIGCHLDVPGDIAQSVLKNSPHDGTYQGSFNPTSSSYVSSRNHGTRDSYKDFRNGSHQRQYQKVSDHENRSIKDSESTLDQRYSHHHENSRHQRNSDDHRKYGYKYNKNGSDYYSESSGCTRWSSEREYDRMSRVRSNDVSTTSHTRHRSVSVTQDKFSDRYDPQSAYSDVDPATSMIDEASTGQRNSAGQ >OGLUM04G08380.1 pep chromosome:ALNU02000000:4:14044124:14046395:-1 gene:OGLUM04G08380 transcript:OGLUM04G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJA6] MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNLKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDVAEGQEGEAATEEAKKSNHVVRKLEKRQQTRTLDAHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAAA >OGLUM04G08390.1 pep chromosome:ALNU02000000:4:14049553:14050847:-1 gene:OGLUM04G08390 transcript:OGLUM04G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQRNQKKPRKGAAMVAVVEAEGASQDKGDLPLSPGVMDEASRILGNNDLLKEILLSLGLHIPLVHATLVCKRWLHIIANPEFLGRFGCHMLVPSQGLPTEFVSILSRAKDYFSDLEKNWCGDDFDVLDWCNGQVLISVENSITDFQQRLAICTPLNPTKDFTFIPHRQLDVPQGYIKMDIYDFFYEKGPSKYILGLDLVSMSLFIIDLPNGLEHSNPEMLQLSREEDSKLYIIHLNGLQLHIWFHDINNTGNTSNWVLIDTISLLEVFGHIANPSWDSEVDIKIARGGNSGDFIYLHVDDDVYLVHIKKRMVEKVFDNGKVFRVHPFMMAWPPTFTKKIMMGNILK >OGLUM04G08400.1 pep chromosome:ALNU02000000:4:14056492:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQREKDRRRKNPAEGRRYSYEQ >OGLUM04G08400.10 pep chromosome:ALNU02000000:4:14062718:14064955:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.10 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSPVMPTLAESLLKDNLKKGRATQVDVACQAEMGSVQNRRPHIPNILVGFQNKDLKGKLFSVTVTSCCNTQTLQGFENAYRTRDGTRQHTGQSEIIADINDPQLLVSKYPHREWPLEEIVGEPKNTEGRQISQGIRNSAVQFVELQVDLDQGANGGQRSADRSIEVGVSEPENLEPRSGVGQSIAPCRAIAGQVNIAQIERSQVAEVGEQRSDVWLDDGPPPCAEREEVIVVCFNEIDPV >OGLUM04G08400.11 pep chromosome:ALNU02000000:4:14064571:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.11 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGDCEPKNTEGRQISQGIRNSAVQFVELQVDLDQGANGGQRSADRSIEVGVSEPENLEPRSGVGQSIAPCRAIAGQVNIAQIERSQVAEVGEQRSDVWLDDGPPPCAEREEERLRWVSSVSRSNPVAGSSESLALRLFSERSRVSNRRHGVAAARAWAGELARGDEAAAVEGLSQHASRARAGVMVPPLLPVAAHPHLLHS >OGLUM04G08400.2 pep chromosome:ALNU02000000:4:14056492:14064957:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQIYESREKKIEEGRTLQKGEDTRNRRPHIPNILVGFQNKDLKGKLFSVTVTSCCNTQTLQGFENAYRTRDGTRQHTGQSEIIADINDPQLLVSKYPHREWPLEEIVGEPKNTEGRQISQGIRNSAVQFVELQVDLDQGANGGQRSADRSIEVGVSEPENLEPRSGVGQSIAPCRAIAGQVNIAQIERSQVAEVGEQRSDVWLDDGPPPCAEREEVIVVCFNEIDPV >OGLUM04G08400.3 pep chromosome:ALNU02000000:4:14056492:14067646:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGQEDNCCKENVP >OGLUM04G08400.4 pep chromosome:ALNU02000000:4:14056492:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQREKDRRRKNPAEGRRYSYEQ >OGLUM04G08400.5 pep chromosome:ALNU02000000:4:14056492:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQREKDRRRKNPAEGRRYSVVTHILH >OGLUM04G08400.6 pep chromosome:ALNU02000000:4:14062718:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSPVMPTLAESLLKDNLKKGRATQVDVACQAEMGSVQNRRPHIPNILVGFQNKDLKGKLFSVTVTSCCNTQTLQGFENAYRTRDGTRQHTGQSEIIADINDPQLLVSKYPHREWPLEEIVGEPKNTEGRQISQGIRNSAVQFVELQVDLDQGANGGQRSADRSIEVGVSEPENLEPRSGVGQSIAPCRAIAGQVNIAQIERSQVAEVGEQRSDVWLDDGPPPCAEREEERLRWVSSVSRSNPVAGSSESLALRLFSERSRVSNRRHGVAAARAWAGELARGDEAAAVEGLSQHASRARAGVMVPPLLPVAAHPHLLHS >OGLUM04G08400.7 pep chromosome:ALNU02000000:4:14056492:14067646:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQREKDRRRKNPAEGRRYSKQKAPHSQHTCWISEQGLEV >OGLUM04G08400.8 pep chromosome:ALNU02000000:4:14056492:14065804:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKGIVPNHRFFNILINAYARCGMMDKAMLIFEDMQNKGMIPDTVTFATVISSLCRIGRLDDALHKFNHMVDIGVPPSEAVYRCLIQGCCNHGELVKAKELISEMMNKDIPPPGVKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPNVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYGTLVDGYCKNGRIDDALTVFRDMLHKGVKPTSVLYNIILHGLFQARRTTAAKKMFHEMIESGTTVSIQTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMLKVGRRQEAKELFAAISTYGLVPTVHTYNLMISNLIKEESYEEADNLFISVEKSGRAPDSRLLNHIVRMLLKKAEVAKASNYLSIIDENNLTLEASTISLLASLFSREDTQGEPSETCLSSPKELSGSSNKNLQQREKDRRRKNPAEGRRYSVVTHILH >OGLUM04G08400.9 pep chromosome:ALNU02000000:4:14056281:14061109:1 gene:OGLUM04G08400 transcript:OGLUM04G08400.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPRLSSIFASSTTASTTTTTVAASPARPLPPAYAAFQERLRSGTLGPDDARQLFDELLLRRDDPAPAPSRAISDILASLARAPPSAACSDGPALAVELFKRMDRWACPHAAADAPTIYTYNILIDCYRRMHRPELALAVFGRLLRTGLGPDVCSYNTLIDGFSKEGEVDKAYELFYKMIEQSVSPDVVTYNSLIDGLCKTKEMVKSERVLEQMVDAGIRPNNKTYNSLIYGYSTAGMWKESVRVFKEMSSSGLIPCVVNCNSFIHALCRHNRIKEAKDIFDSMVLKGPKPNIISYSTLLHGYAAEGCFANMNSLVNLMVSKELAGWMMLCTNSTTWLILVYHRVRLFTAV >OGLUM04G08410.1 pep chromosome:ALNU02000000:4:14062239:14067197:-1 gene:OGLUM04G08410 transcript:OGLUM04G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRTCSRLLLSTLAVHLLLLLAIIQHSCSLGTYSSSSSNQTAKVPYCQPDQASALLRLRRRSFSPTNDSACTLASWRPGTDCCDWEGVACSTGTAGGGGRVTTLDLGGCWLEISAAGLHPALFELTSLRYLDLSENSLNANDSELPATGFERLTELTHLNLSYSDFTGNIPRGIRRLSRLASLDLSNWIYLVEADNDYFLPLGAGRWPVVEPDIGSLLANLSNLRALDLGNVDLSGNGAAWCDGLANSTPRLEVLRLRNTHLDAPICGSLSAIRSLVEINLEFNKLHGGIPDSLADLPSLGVLRLAYNLLQGPFPMRIFGNKKLRVVDISYNFRLSGVLPDFSSGSALTELLCSNTNLSGPIPSSVSILKSLKSLGVAAAGDGHREELPSSIGELRSLTSLQLSGSGIVGEMPSWVANLTSLETLQFSNCGLSGQLPSFIASCNISKLPDTLRHMQSVQVLDLSSNHIHDTIPQWAWDNWINSLILMNLSHNQFSGSIGYGSVISDGNQLGGRLPNSLKQDCAFGALDFSDNRIEGQLPRSLVACKDLEAFDIRNNRIDDTFPCWMSMLPKLQVLVLKSNKYVGNVGPSVSGDKNSCEFIKLRIFDLASNNFSGLLQNEWFRTMKSMMTKTVNETLVMENQYDLLGQTYQITTAITYKGSDITFSKILRTIVVIDVSDNAFYGAIPQSIGDLVLLSGVNMSHNALTGLIPSQLGMLHQLESLDLSSNYLSGEIPQELASLDFLSTFNISYNKLEGRIPESPHFLTFSNLSFLGNMGLCGLQLSKACNNISSDTVLHQSEKVSIDIVLFLFAGLGFGVGFAIAILLTWGTSRSLSLALQTVAMSYQGNALSSTRPATSPNQLQYLKRGHAGSVPSLASSDRCPRASKQLNFESSSTQICVPISSS >OGLUM04G08420.1 pep chromosome:ALNU02000000:4:14072063:14075892:-1 gene:OGLUM04G08420 transcript:OGLUM04G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSQSNVSMHLTSPVWRWATEQGGSGELATRKTRSGRPEVAGVLPPSAPGILLPSLHSPRAHPWRESPSSSRKGGRMLDLELVLAGNEFTGARGCGYRGGAGGKGKEGI >OGLUM04G08430.1 pep chromosome:ALNU02000000:4:14078054:14086079:1 gene:OGLUM04G08430 transcript:OGLUM04G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMACEGIIPDRHVFNTLINAYARLGMMDKSLLMFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPPDTAVYGCLIQGQCNHGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLSSSVEKSGCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQAAVDNLWLVEQSKDYSDGQ >OGLUM04G08430.2 pep chromosome:ALNU02000000:4:14078781:14086079:1 gene:OGLUM04G08430 transcript:OGLUM04G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPPDTAVYGCLIQGQCNHGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLSSSVEKSGCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQAASKDYSDGQ >OGLUM04G08430.3 pep chromosome:ALNU02000000:4:14078781:14086871:1 gene:OGLUM04G08430 transcript:OGLUM04G08430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMACEGIIPDRHVFNTLINAYARLGMMDKSLLMFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPPDTAVYGCLIQGQCNHGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLSSSVEKSGCTPDSRILNEIIRMLLNKGEIAKAGNYLSKIDKKGILPEATTTSLLIYLFSVNGKYREYIKLLPEKYRFLREQAASRKNKSMKSGEMDMEIGQ >OGLUM04G08430.4 pep chromosome:ALNU02000000:4:14078054:14086079:1 gene:OGLUM04G08430 transcript:OGLUM04G08430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMACEGIIPDRHVFNTLINAYARLGMMDKSLLMFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPPDTAVYGCLIQGQCNHGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLSSSVEKSGCTPDSRILNEIIRMLLNKVNGKYREYIKLLPEKYRFLREQAASKDYSDGQ >OGLUM04G08430.5 pep chromosome:ALNU02000000:4:14078781:14086079:1 gene:OGLUM04G08430 transcript:OGLUM04G08430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAERVLRQMVGAGVRPNNMTYNCLIHGYSTSGMWKESVRVFKEMSSSRLVPDVGNCNSFMTALCKHGRIKEARDIFDSMVLKGPKPDVISYGALLHGYATAGCIAGMDNLFNVMACEGIIPDRHVFNTLINAYARLGMMDKSLLMFEDMKKQGVNPDIITFSTVISAFCRLDRLDDAMEKFKHMIDIGVPPDTAVYGCLIQGQCNHGDLVKAKELISDMLSKGIPPPCIKFFTSIINNLCKEGRVAEGKDIVDLIIHTGQRPNLITFNSLVDGYCLVGNMKEAVGLLDSMESVGVEPDIYTYNTLVDGYCKHGRIDDALTLFRDMLHKRVTLTSVSYNIILHGLFQARRTIVAKEMFHEMIESGMAVSIHTYATVLGGLCRNNCTDEANMLLEKLFSMNVKFDILTFNIVIRAMFKVGRMQEAKELFAAISTYGLVPTILTYRVMITNLIKEESFEDADNLSSSVEKSGCTPDSRILNEIIRMLLNKGEIAKAGNYLSKIDKKGILPEATTTSLLIYLFSVNGKYREYIKLLPEKYRFLREQAAVDNLWLVEQSKDYSDGQ >OGLUM04G08440.1 pep chromosome:ALNU02000000:4:14088283:14088744:-1 gene:OGLUM04G08440 transcript:OGLUM04G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJC6] MATSPLLLLLLLLLVAAAAAAGDEASLSPSNAAAPAAPVLVGGRTEIRDVGGNKAVQSLGRFAVAEHNRRLRHGSGGSSGGPADPVPVKLAFARVVEAQKQVVSGVAYYLKVAARDPRGGAAAGDRVFDAVVVVKAWLKSKELVSFTPAASTK >OGLUM04G08450.1 pep chromosome:ALNU02000000:4:14093042:14101581:1 gene:OGLUM04G08450 transcript:OGLUM04G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTALRRAWSSLNGNDNVLPYFLCITLNEHGRHPATPPPPSLSAGGGGGDTPPSRRRVPKENVDPGSSPAGHSPFRSPTSSAKPLGNRNRGLLPPRPPSSNPLKRKLDVSPAAAADSSGGAAAAAAGGGGGPAPDSGVQVVVRIRPPCRVEEEDDARAPDLCVRKTATNSVAIQGQDFTFDAVADEVSTQEDIFKLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSTCSERGLTPRVFEQLFSRIKEIYNEQITDLLDPSPKSLQIREDVRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSANADSSRSHCVFTCVIKSESKNLEDGSNSTRTSRINLVDLAGSERQKLTHAFGDRLKEAGNINRSLSQLGNLINILAEISVSGKQRHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKSIKNNAVVNEQKEEDVNMLREQIRQLKDELHRMKSGGSDGSNGSFSTGWNARRSLHLLKMSLSRPTTFQTIHEDSGDVEMEIDENDVEKPYNQDNMVISPPGDKECKELQASLKINGGTSLDVFDGENLMPTKRSCSDDRYKLNLAASIQRGLQVIENHQNNGAWRRASVGFNARIVDVQPCKVDVAIQTEPEESEARDNPLALISSHVLGTSATVSNDPNACRDLQLVQYDAGITRDEPKQQQILKAVEKVLAGAIRREMARDEQCVKQAAEIQQLNRLVQQYKHERECNAVIAQTREGKIARLESLMDGTLPTEEFINEEYLSLMNEHKILQQKYENHPELLRAEIELKRLQEELELCRNYIDEKEVLQEEIQDLKSHLHFMLSSSASIRRLWPPVQLSQGVGPSPVTNDADGDTNAVDTSDWAEAESKWVTLTEELRVELEANKSLVGRLRSELESEKKCSEEVKEALQTAMQGHARILEQYAELEERHIGLLAMHRKIREGVEDVKARAAKAGVKGAELRFINSLAAEMAVLRAENKGLQDQLGDTAEAVQAAGELLVRLKEAEEAEALAQRRALLAEQETEKAYQEIDNLKKNYDQEIVALNQRLSESSHHHETTLAIEACDMETAKYDKVGSPGDQQWREEFNQKGGSFEVSKSTDLNSWFSGYDKCNI >OGLUM04G08460.1 pep chromosome:ALNU02000000:4:14107332:14107846:-1 gene:OGLUM04G08460 transcript:OGLUM04G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVSFTMALLFLVLNKLGLLRISAEDKMAGMDQTRHGGLPRRRRERQARPWHRRVHAQVDARHAGSSVSTEATTAGMVAARAVQELRNGSDAEQKRTYPPVLLAGEGGDNDCGVHHWLCLPLPSPVWWKRGEGRKRKKKERRAI >OGLUM04G08470.1 pep chromosome:ALNU02000000:4:14124782:14127673:-1 gene:OGLUM04G08470 transcript:OGLUM04G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHFFPAGDYFSTSSSGAGTGGAGALLPAAAYGTMTMMPPWAVAAAEQMMMMAPAAAAAEFDSALSSLVSSPQGGGGGDEMAAIGDLIGRLGSICSHGGASANNSCYSTPLSSPPRAAPPPPFRGYAAAGGRLSRVSSSKSLGGAAAALDSSEADMNPTTAAAADQPSKPSAAAAAAAARKRKSSAKPKASSSSLPTATAATNASPKRSKVAAGAGDDGDADADAAEEKPEPAKDYIHVRARRGQATDSHSLAERVRRERISERMKLLQSLVPGCNKITGKALMLDEIINYVQSLQRQVEFLSMKLATMNPQLDFDSHYMPSKDMSHMPVPAYPSSDPTTTTAFSYTGSPATADPFTVYNCWELDLHTAMQMGATTTGLSQDGPIATMAPSPSPLPHHPPLHGFYGGQQQQGTTVNHMKAEP >OGLUM04G08480.1 pep chromosome:ALNU02000000:4:14140856:14146458:1 gene:OGLUM04G08480 transcript:OGLUM04G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRLSTIFAGAVTSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGLLAALARAPPSAACGDAPALAIELFKRMDRRACPRHSAPTIHIYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESVVQKMVDSGISPDLFTYNLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHVYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDSCLADVHNIFNLMLTKDMQNKGVTPGIVTFVTVISTLCRVGRLDDALHKFNHMVDIGARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >OGLUM04G08480.2 pep chromosome:ALNU02000000:4:14141063:14146458:1 gene:OGLUM04G08480 transcript:OGLUM04G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRLSTIFAGAVTSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGLLAALARAPPSAACGDAPALAIELFKRMDRRACPRHSAPTIHIYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESVVQKMVDSGISPDLFTYNLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHVYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGVGRLDDALHKFNHMVDIGVQPSKAVYHCLIQGCCNHGELVKAKELISEMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPDVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMLHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >OGLUM04G08480.3 pep chromosome:ALNU02000000:4:14141063:14146731:1 gene:OGLUM04G08480 transcript:OGLUM04G08480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRLSTIFAGAVTSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGLLAALARAPPSAACGDAPALAIELFKRMDRRACPRHSAPTIHIYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESVVQKMVDSGISPDLFTYNLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHVYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGVGRLDDALHKFNHMVDIGVQPSKAVYHCLIQGCCNHGELVKAKELISEMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPDVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMLHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYREHIKLLPANMTMAVVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >OGLUM04G08480.4 pep chromosome:ALNU02000000:4:14141063:14146731:1 gene:OGLUM04G08480 transcript:OGLUM04G08480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRLSTIFAGAVTSTAPAPAPAASPSARAPLPPAYAVFKQRLLSGELCPGDARDLFDELLRRGGDGDGSAPVPARALNGLLAALARAPPSAACGDAPALAIELFKRMDRRACPRHSAPTIHIYNILIDCYRRVHRPDLGLAIVGRLLKNGLGPDDFSYSLIYGFVKDGEVDKAHCLFLEMMEQGVLPNILICNSIIKELCKMKEMDKAESVVQKMVDSGISPDLFTYNLIIDGLCKSKAMDKAERVLEQMVEAGTRPNSITYNSLIHVYSISGMWNESVRVFKQMSSCGVIPTVANCNSFIHALFKHGRTNEAKCIFDSMVLKGPKPDIISYSTMLHGYATATDSCLADVHNIFNLMLTKGIVPNNHVFNILINAYGSRGMIDKAMLILEDMQNKGVTPGIVTFVTVISTLCRVGRLDDALHKFNHMVDIGVQPSKAVYHCLIQGCCNHGELVKAKELISEMVNKDIPPPDIKYFSSIINNLCKEGRVAEGKDIMDLMVQTGQRPDVVTFNSLMEGYCLVGNMEEAFALLDAMASIGIEPNCYIYATLVDGYCKNGRIDDALTVFRDMLHKRVKPTSVLYSIILHGLFQARRTTAAKKMFREMIESGTTVSIHTYGVVLGGLCRNNCTDEANMLLEKLFAMNVKFDIITFNIVISAMFKVGRRQEAKELFDAISTYGLVPNIQTYSMMITNLIKEESYEEADNLFISVEKSGHASDSRLLNHIVRMLLKKAEVAKASNYLSIIGENNLTLEASTISLLASLFSREGKYREHIKLLPANMTMAVVAATAKLVLLLAVLLQFSGVLTAARTLPGEEWLLPEGGGVVRAVVEMLVGSKSGGGGGGTHCC >OGLUM04G08490.1 pep chromosome:ALNU02000000:4:14152434:14152646:1 gene:OGLUM04G08490 transcript:OGLUM04G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAATVTKLVLVVVLLLHVSGVLATAARTLPGEEWLTPEAGGVVRTVVEMLIGSKSNGNDNGHCC >OGLUM04G08500.1 pep chromosome:ALNU02000000:4:14155309:14155506:1 gene:OGLUM04G08500 transcript:OGLUM04G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTVTKLVLVVVVLMHVSGVLAAAARTLPGEEWLPEAGGVVRTVVEMLVGSKSGGNGSGTCC >OGLUM04G08510.1 pep chromosome:ALNU02000000:4:14160211:14160426:1 gene:OGLUM04G08510 transcript:OGLUM04G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAATGRRTAKIVLVVVVLMHVSGVLAAAARSLSGEEWLLTDGGGVVVREVVEMLVGSKSGGNGRGTCC >OGLUM04G08520.1 pep chromosome:ALNU02000000:4:14169009:14169314:-1 gene:OGLUM04G08520 transcript:OGLUM04G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVALLLLFLVQVMSVIGGGAAAARPLQQADGGAVIGMLASLSIGSDGSSHLIS >OGLUM04G08530.1 pep chromosome:ALNU02000000:4:14192356:14192556:1 gene:OGLUM04G08530 transcript:OGLUM04G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAATAMAMLRAVVAVLLLVQILGAMAVSARTMKGEGWLEDGIGMVVDMLGELKSGGNSPTHCC >OGLUM04G08540.1 pep chromosome:ALNU02000000:4:14194243:14194572:1 gene:OGLUM04G08540 transcript:OGLUM04G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATPDDDDVQASGSRRPTNRMVKLAKRSGLLSERIFVSPLAYESWWCSSSMAVVECGDESPESAMVATYGGGGPTVGRRGVEHAQERLIQVQQQPAGILSSPSSGMV >OGLUM04G08550.1 pep chromosome:ALNU02000000:4:14203704:14204315:1 gene:OGLUM04G08550 transcript:OGLUM04G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSRSSSGCQSGWTLYLDHSNGGHRQYYARKNHELDDDDDDMVSDASSGPPPRMRDEDDDEVWHQHRHQQQRRQRNHLVGCHAGHDDDGDDDSGSSGVGGGYSTCTARSMSSKRRAIAGEHSVVDAAVVVVLRHREHNCGGGDDDLDDTASSSSAVSSSLQPSCAFSARHLQQWSSTAAVRGTSIYCRPPAPATQCYIATD >OGLUM04G08560.1 pep chromosome:ALNU02000000:4:14210325:14213491:-1 gene:OGLUM04G08560 transcript:OGLUM04G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE1] MADDAYDDLPMAGAEEEVDDVMKDELDEEGEEVDYSSSPVAMKVGEEKEIGKQGLRKRLLKEGEGWDRPESGDEVQVHYTGTLLDGTKFDSSRDRGTPFKFNLGQGEVIKGWDEGIKTMKKGEQAVFTVPPELAYGEAGSPPAIPPNATLRFDVELLSWASVKDICKDGGIFKKVLAEGHKWENPKDLDEVLVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALSKAVKTMKKGEKVLLTVKPQYGFGEQGKPASGAEAAVPPNATLYVDLELLSWKTVTLIGDDKRILKKVLKEGEGYERPNDGAVVRVRFIGKLEDGTVFSKKGHDGDEPFEFRTDEEQVIEGLDRTVVTMKKGEVALVRLPPQHAFGSTETKQDLAVVPANSTVWYEVELVSFEKEKESWDLKDNAEKIEAAAKKKDEGNVWFKMGKYAKASKRYEKAAKYIEYDSSFTDDEKKQSKALKVSCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRTQAYIELADLELAELDVKKALEIDPDNRDVKMVYKTLKEKIKEYNKRDAKFYGNMFAKWRKLEHMENKKVPGKQEAQPMAIDSAA >OGLUM04G08570.1 pep chromosome:ALNU02000000:4:14237409:14262659:1 gene:OGLUM04G08570 transcript:OGLUM04G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDRVGDGGGPWLGGGGLGPIPSSKKGSPHHTICWYRHFGDELLHFPYTSSSTKKINRTPRDDAGPRKHITRWWHPLKQIQGVTQQVEPDKRDDHGAPQQLVVGMKGVEERPCRIEIKARGVEVEKRCPDDREVVGPGLHHLAVHLPPHAEAAPAGAGAERRRVASSSPAAERCIRAAVPSASRTRPRLAWAATVAFQDSAARRRIPSNTPRAAEPICSAEAAAAAVAPASWSASASGSVAADEGRRGGRGDSLLAWVGGGGIGFHRVKCLALESICSEDASDWIGSTGGYLEEVPAAKEVNKETIHNRGA >OGLUM04G08580.1 pep chromosome:ALNU02000000:4:14246346:14261755:-1 gene:OGLUM04G08580 transcript:OGLUM04G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAYVSSNKQRFMNQYFLLIACLQLWSSITPVSPATTWGPLAIIFIVSASKEAWDDYNRYLSDKKANEREVLVIKAQDIHVGNIVWLYQNDEIPCDLVLIGTSDPQGICYVETAALDGETDLKTRIVPSICANLSPDQLGRVKGVVECSNPDNDIRRFDANMRLFPPIIDSEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNQTKSGMSRGTAEPKLTAADAMIDKLTVAIFMFQIVVVLVLGFAGNIWKKNQGLKACSFLKALLLYFIILNCYGLHQWYLLYPVEGPWYDFLIIPLRFELLCSIMIPISVKVTLDLSKGLYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDKTGTLTENRMIFRRCCISDILYGENNEDALKDARLLDAVSRNDPDIVKFLMVMALCNTVVPIKRLIFAFISLFSISTEISFNGSKFYYDLLDILEFTSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGQQIRTFLETVEMYSQLGLRTLCLGWRELEEDEYKDWSKTFQDASCSLENRERKIAEVCHRLEQDLQILGVSAIEDRLQLVGLLKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILLPVTTIIFDKDISEETVLQYPQILLYSQSGRLLNPTTFAGWFGRSVYHALVVFLTTICAYSDEKSEIEELSMVALSGCIWLQAFVVTLDTNSFTYPQIILIWGNFIAFYMINLIVSAVPTLQILTLRFLKPSGETIFLQLIVAELKASQTIQSEASSLHMLSKARHFTRWKPIPPPPTHARRLSPRPPRRPSSAATEPEAEADQDAGATAAAAASAEQIGSAARGVFDGMRRRAALSWNATVAAHARRGRVRDALGTAARMHRSAAGLDEATYASALGACARGRCLRMGWQVHCQVVKSGSDDFPVVGASLLDFYSSCLDLDAARTLFDTLHANNELLWSPMVVALVRFNLLSDALDLLQRMPPPRDVFAWTGIISGYARGANEYCCKSLELFVQLLAEDGVMPNEFTYDSVLRACVKMGALEFGRSIHGCLIQSGFESEQLITSALVDLYCRSGAVDDAVMVYNGLQMPSLITSNTLIAGFISMGRTEDAKLVFSQMTEHDSGSYNLMIKAYADEGRLEDCRRMFEMMPRRNMVTLNSMMSVLLQNGKLEEGRKLFEQIKDERNTVTWNSMISGYVQNDQSSEALKLFAVMCRLSIECSASTFPALLHACATIGTIEQGKMVHALLCKTPFESNGYVGTALVDMYSKCGCVSDARAAFSCIMSPNVASWTSLINGLAQNGHWMEAIVQFARMLKNNVKPNEITFLGLLMASARAGLVNKGMRFFHSMESYGVVPTVEHYTCAVDLLGRARRVREVEKFISKMPIPADGVVWGALLTACWYTMDLEMGEKVAEKLFYMGTKHISAYVAMSNIYAKLGKWEDVVKVRTRLRSINAKKEPGCSWIEVKDMVHVFLVEDRNHPEREEIYLMLEDLVSNISYSETDDETHGYYLEPASLDLLTSQKRLANQ >OGLUM04G08590.1 pep chromosome:ALNU02000000:4:14263713:14270866:1 gene:OGLUM04G08590 transcript:OGLUM04G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNIVDVSYVAVPATAALPAEPIKLTAMEALWLPFPVLQHVLFYEAAGLTPFDSVVDSLRSSLGATLATFAPLAGKLVHLEDTGNFVEAECDADVRRVAGDEAHDLRTFEQLVPELDMSKLPTSVLAVQATRLQGGLAVGVTLHHGVADGKSFWMFVEAWASACRGEAPAATPCFDRSVIKWSGGEEIARSVLRKYAPNLPEVSELDLLVEERKRFTRRTFTVDAQQLERLKQRITRDGEVHGKTLHRPPSSFVAVVAMAWTFFARCKTTAADEDDGGDVFLFFFADVRERLDDPSVDAGYYFGACLTGCLARLPARDVHGDGALAAAASAIQEEILELVEDPLAGWNFMSLAGKIPSDRVMNVSGSPVFRPYDVGDFGTSSSSA >OGLUM04G08600.1 pep chromosome:ALNU02000000:4:14303747:14304558:1 gene:OGLUM04G08600 transcript:OGLUM04G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRYKVPLEMMRAANVLLGGIYTKNYYELNRGYNILMTVAKLFDDTNLRKLWKATAMDQNDDTSIFNFDPKCINWSSYLVNTHIPAAIKYANNQKAKARIA >OGLUM04G08610.1 pep chromosome:ALNU02000000:4:14317610:14323807:1 gene:OGLUM04G08610 transcript:OGLUM04G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE6] MFMVAVLVEKILRVQPEVRKLYLLVRAPDAAAAEERVLTEFIEKCSEPFRQPYEAFVLWFQRCRFHSWYQLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGNGLFDVLREQYGAGFHSFIKEKIYALPGDVTHENFGLESYDILQLSQKVDIIVNGAATTNFMERYDVALATNTTGVVHLCQFAKQCDNLKMMLHVSTAYVAGEQAGQIFEKPFQMGTALRLDYQLDIEAELQLVDKIKSELGINSDSKLEKITMRKLGLERF >OGLUM04G08620.1 pep chromosome:ALNU02000000:4:14344040:14347587:1 gene:OGLUM04G08620 transcript:OGLUM04G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHVSTALRQGYTLDVEVEVQLVDRIKSKLRIKSSIDNKLEKTTMKKLGLKRTIDAIFVAYNDQTLPCFIFDGDMVISAMMAAINSHWNKQAQVIYHVTSAQQNPLQLSLIEESMYKYFHTNPRTSKDGESIKNKRVLMFKRFAYFQAYMVLHFDDTNLRKLWKATAMDQMMHPNLILILTASTGAHTC >OGLUM04G08630.1 pep chromosome:ALNU02000000:4:14363640:14373349:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKSIASHDQSSEENYRNMKAFARLLKLILASLATENSFTSLSPSFDGMTKERSHPMETLSPELSGMKMFYCLRVKLVLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08630.2 pep chromosome:ALNU02000000:4:14363640:14373456:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKSIASHDQSSEENYRNMKAFARLLKLILASLATENSFTSLSPSFDGMTKERSHPMETLSPELSGMKMFYCLRVKLVLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08630.3 pep chromosome:ALNU02000000:4:14363640:14373349:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRATHFGWPNTYVLTKAMGEMLLQQLGQDLPVVIVRPSMITSTFQEPMPGWIEETRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08630.4 pep chromosome:ALNU02000000:4:14363640:14373898:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRATHFGWPNTYVLTKAMGEMLLQQLGQDLPVVIVRPSMITSTFQEPMPGWIEETRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARNKLLDSTTGTA >OGLUM04G08630.5 pep chromosome:ALNU02000000:4:14363640:14373456:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRATHFGWPNTYVLTKAMGEMLLQQLGQDLPVVIVRPSMITSTFQEPMPGWIEETRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08630.6 pep chromosome:ALNU02000000:4:14363640:14373349:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRATHFGWPNTYVLTKAMGEMLLQQLGQDLPIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08630.7 pep chromosome:ALNU02000000:4:14363640:14373349:1 gene:OGLUM04G08630 transcript:OGLUM04G08630.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJE8] METGGIAERFRDKTILITGATGFLGKLLVEKILRVQPEVRKLYLLVRAPDAIAAEERVLTEVVGKGLFDVLREQYGAGFNSFIKEKIYALPGDVMHENFGLESYEVLQLSKKVDIIVNGAATTNFMERYDVALATNAAGVMYLCQFAKQCDNLKMVLHVSTAYVAGEQAGQLLEKPFQIGRALRLDYQLDIEAELQLVDSIKSELRIKCSSDDKLEKTTMRKLGLKRTIDVIFVAYNDQTLPCFIFDGSVIFDLIPGDMVINAMMAAINSQWNKRAQVIYHVTSAHQNPLPSSLIEESMFRYFDINPRTSKDGKAIKNKRPLAFKRLANFQAYMILRYKLPLEMMRAANVLLGGIYTKNYYEFNRDYNILMTVAKLFAPYVFFKGWFDDTNLRKLWKATAMDQNDDASIFNFDPKCINWSSYLVNTHIPAAIKYANDQKAKARSA >OGLUM04G08640.1 pep chromosome:ALNU02000000:4:14387425:14401372:1 gene:OGLUM04G08640 transcript:OGLUM04G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJF5] METGSIAERFRERSILITGSTGFPAKMLVEKILRIQPDVRKLYLLVRAPDAAAAEERLLTEVVGKGLFDVLREQHGASFHSFIKEKVCPLPGDITHQNFGLGNSEILQLSQDVDIIVDGAATTNFMERYDVALATNTAVVIHLCQFAKQCDNLKMLLHVSTAYVAGEKAGLLLEKPFQIGARHYDKGHTLWLAKYICADESYGRDVTSTIGTRPSIPGDMVINAMMAAINSHWKKQAQVIYHVTSAHQNPLPLSLIVESMYKYFHINPRTNKDGKIIKNKRVLMFKRFAYFQAYMTLRYKVPLEMMHAANVLFGGIYSKNYKKLNRGYNILMTGKGVRPICLLQRMVRASF >OGLUM04G08650.1 pep chromosome:ALNU02000000:4:14405020:14405413:-1 gene:OGLUM04G08650 transcript:OGLUM04G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPATVVASTLLLVLLLIFSPACGAKLFVDGHAHYVILCAAVGLLGRLGHVVQRIVVEVGPAG >OGLUM04G08660.1 pep chromosome:ALNU02000000:4:14414588:14414857:1 gene:OGLUM04G08660 transcript:OGLUM04G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRVHAALLAVVALAAAAAGDNATSSIGGATAAAAEAVVVHRRVLATTSIQDSVLDGDRPACLRTPCSGRGQSYTGRGCAKAYGCQG >OGLUM04G08670.1 pep chromosome:ALNU02000000:4:14420749:14423199:1 gene:OGLUM04G08670 transcript:OGLUM04G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJF8] MLSLHVFIGLLLSLHASPYSAAADDTLAAGQVLAVGEKLVSRNGKFALGFFQPSAIAISKSSNYTNALGWYLAIWFNEIPVFTTVWVANRERPITVPRLNSTRLKMSGDGNLYILDHATNSIIWSTDHVVNTTTETGMNTSATLLNSGNLVIRNPSGVVSWQSFDNPTDVVLPGAKFGWNKATGLNRLGISKKSLIDPGFGSYSVELDTTGAKGLILKHRNPSMEYWSSDRALIIPVLKSLFEMDPRTRGLITPAYVDNSEEEYYIYTMPDESSSVFVSLDVNGQIKMYVWSRANQSWQSIYAQPVDPCTPSATCGPFTICNGNSTQTCDCMESFSVKSPWDWELDDRTGGCIRDTPLHCASDKNMTSSTDMFQPIDLVTLPYDPQIMQDATTQGECAQACLSDCSCTAYSYQNSRCSVWHGKLLNVNKNDGIYINADNVLHLRLAATDFQDLSKNKRKTNVALVVGASIVSFVLALIMILLMIRGNKFKCCGVPFHDNEGSGGPIAFRYTDLAHATKNFSDKLGAGGFGSVFKGVLTDMTTIAVKKLDGARQGEKQFRAEVSSIGIIQHINLVKLIGYCCEGDKRLLVYEHMLNGSLDVHLFQSHAAVLNWITRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLDISYFPKLADFGMATFVGRDFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLFEIISGRRNSPEVHTSGNYDATYFPVRAINKLHEGDMSSLVDPRLHGDYNLDEVVRVCKVACWCIQDDEFDRPTMREVVRVLEGLQELDMPPMPRLLATLTNFSAVASM >OGLUM04G08680.1 pep chromosome:ALNU02000000:4:14436862:14437905:1 gene:OGLUM04G08680 transcript:OGLUM04G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRVHVAFLAVLVAVVALAGAIAAAASDARSRNGATAAVGGEAMVHRRMLASSIQDSVLNANKPACLQSCTAAGRPYTGRGCTNAYQFK >OGLUM04G08690.1 pep chromosome:ALNU02000000:4:14440725:14451363:1 gene:OGLUM04G08690 transcript:OGLUM04G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPSLSPLSCRRTSFSLLWSPSPPFPSSSDDVKRKHVDIELSGGGTSHLELPAEWIKEGLSGEHGSRLSEVPTVAQAYAAAELRPPPCLQSGGSGGGLLVLTRNEVPEVKASSVDELPGGGTERGAAAAVELLGGGLNPLVVYCVVSVLHFSFVATIVDLRVHYFLRHSGLVGGNILHIMEENGGDNWFTWRAAVVVGWKQVAGTCSGIDGEVGVLGDVI >OGLUM04G08710.1 pep chromosome:ALNU02000000:4:14455523:14455930:1 gene:OGLUM04G08710 transcript:OGLUM04G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACLLAVALPLAGSAAGDMRAAVKTTAGGKVVVRHGVDAAAAARQLMVAMKKSTRLEDVVAPELIGADMLELHHRRLRILGEDANIINSVLISDRQGCLGPCPARGFPFNTPSRGCNPKYGCNTGHNPPDRQK >OGLUM04G08720.1 pep chromosome:ALNU02000000:4:14457982:14458802:1 gene:OGLUM04G08720 transcript:OGLUM04G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSNAVVILLLLGVAAVPAAGDMRAVLAGGGGKVGHHHGIDEAVRRLRVSTRLEDVVAAELGMDDLHQRVLGGGAKNYKGLVAGQPAFV >OGLUM04G08730.1 pep chromosome:ALNU02000000:4:14463764:14465850:1 gene:OGLUM04G08730 transcript:OGLUM04G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSNAVVVLLGVALLSLAAGPAADDMRAVLAGGGGKVGHHHHGIDEAVRQLMVATRLEDVVAAELWMDDLHQRVLGGGGKNSKDNTPDIPVHY >OGLUM04G08740.1 pep chromosome:ALNU02000000:4:14467485:14467856:1 gene:OGLUM04G08740 transcript:OGLUM04G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKVPTSPLLLLLAVVVLSLAVPSTGDMRAVLSGGEGAARHAIDVGVRRLAMVAPTSSSGRLEDAVAPELGVDMELHRRILAGNVGSGALRPDRPACVQSCPARGGSYTGRGCETVYRCNNN >OGLUM04G08750.1 pep chromosome:ALNU02000000:4:14470290:14476215:1 gene:OGLUM04G08750 transcript:OGLUM04G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGVSSCPPAAVSSTHNDDAGAAGGATTTTRRSTWRRRRSQRGKPRFTLAKHPTRRAMLAMRRAAQRAIAAAEKAARRKTQRAVAAAARAARRKQKAAESVARREQKAAMREQKAAAAAANQKKRKAAAAVRAAARRKLDFDGEQQQIMPPERIYRQTSSSSRADLMDNMRLLLVAFDLQAGEIDAEPPVSPPEQPCTPPARSDWQILRLPPPATVTTAIVALKNKPKKATTVNKLALVPYKPKRAAASAADEVLPGALVLYGDGEPTTQPARVFVPRWTSVRLVLDKLLPRFGLVVGLDAATRAVYNELVRREETSYGDDEFHDVPGGPEWDERRREFERKVDHFMYNMRSIIGDRNFSPWGGSVVTSVVGTFLTQNVSDNLSSNAFMTIAARFPLKNRRNAAHASDNVPLLLTDGHDEQEQCHCQLQSIAPCSSGSYSGDVSQQAEQTECPDKDLEAIMSAIRSGDISNFDDDHIQKVLKVRFKDSTPPPSESSSSKKKTISTAETIFKDIKSIKKNDTSHWHSLYDEARSRGYIRDDDIPDMVDWEALMNAPFADVVDCIKDRGQHSQMAFRILAFLIRMKRDHGNIDLEWLRFIPRAKANILSFQVDTNIAHIVTRLGWVPLRPLPSSQEFHRVDNKTAICRKSKPNCGACPFTSECKYYKSQFGRAALALPEYSQQDATKDANMDDPAKIYDLIFKAHQYQIEYGKNTERNYCEPVIEIPPTPLHENRGETSDEDDENEYYFDDDMEDIGRHDYDMEDIEHDYDMEVDLRSAKPTTNTSQAGATPGKEMIPINPRAKSTPMVKKFSLRTEYTACIIPDGHIILKKFDPRVPGDRNPYLLVFRSFDEHTVKATILIPSRTANRGMFPLNGTYFQENEVFADHSSCRSPIQINRDLVWELQRQTCIVHFGTRVHSVTKGQTREGLYHFYNEGYICTREFDRRTKFPKQLCVEIHATNVNKDIGKKRATTRFYSEEDSGDELSDW >OGLUM04G08760.1 pep chromosome:ALNU02000000:4:14477824:14480020:1 gene:OGLUM04G08760 transcript:OGLUM04G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRPATMRAVQYGGYGGGATALKFVEIPVPSLKKDEILIKIKAASLNQADWRIQKGLMRPFHPKFPFIPVTDVSGEVIEVGSAVREFKVGDKVVSKLNLWKAGGLAEYVAASESDTVSHPAGISAADAAGLPVAGLTALQALSSIGTKFDGSGTGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLDLVGTLGADEVLDYATPEGAALASPSGKKYDYIVNLTDRGKWSVFRPQLSSNGGRVVDVSPNLGNFLASVMTLFSRRKRLSLVILTLGKKELGFLLELMREGKLKTVVDSRHPFEKAAEAWERSMSGHATGKVIVEM >OGLUM04G08770.1 pep chromosome:ALNU02000000:4:14506950:14507989:1 gene:OGLUM04G08770 transcript:OGLUM04G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLREDGDEGHPERKREITRQLAPAEWEATPNQTLRRLIQAWCAAHAVERFPTPRLPVDSCRIAALIDGGRRCSAAAAARHLAALREIKAIAVESDRNKHCIEATPGAVEFLVSVVVQSHAAASTSTSARLDDDLLDSVIDSPMSTSSLKKEALGVLYSLKPSEPTLRRILGKDNGGFLDTLASVLRRPNYRSRVYAVLLLKAMTSAMPPERLGCRPRRRSIRGRTPLRERSSKKHASRMTRCSRLQPPLARSRRMMLPRPSRGSDRRVRFGVEDPVIRHASCGHAVRAVRRPAAGDARPPARRHRRRRTRGRSGKPATSRAPSRQLVETARLVPPANRQQ >OGLUM04G08780.1 pep chromosome:ALNU02000000:4:14534677:14535306:-1 gene:OGLUM04G08780 transcript:OGLUM04G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKKVKLQRIIIDVKRRVTFMKRLKGLTKKVSEFATLFLMVYGEVEVQATMVWPSVWEATRVLEHFNAMPHLDRYKKMTDLERILNEQVDKLKEQLHKVGHDANESETKLLLIEAINGRRPSLEGLTIEQITSLGWMANAHLKIVNDRLKKLHEEGLIPASVSLSGTEVPTQREGWLMDVARGIGSMGYNRFRGSSGSGTAGYNGDMA >OGLUM04G08790.1 pep chromosome:ALNU02000000:4:14544754:14548096:1 gene:OGLUM04G08790 transcript:OGLUM04G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYSGYGGGAAALKFVEIHVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWLGRQYNLTKTFSLTSPSPGWHERRHLAATFLPPVGFWAISDVFRCWLSGGRYHLAAAGPTAGGLAEYVATSDKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKLRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >OGLUM04G08790.2 pep chromosome:ALNU02000000:4:14544754:14548096:1 gene:OGLUM04G08790 transcript:OGLUM04G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYSGYGGGAAALKFVEIHVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWTAGGLAEYVATSDKLTVARPAGISAADAAGVPVAGLTALQALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKLRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >OGLUM04G08790.3 pep chromosome:ALNU02000000:4:14544754:14547911:1 gene:OGLUM04G08790 transcript:OGLUM04G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGERPATMRAVQYSGYGGGAAALKFVEIHVPSVKKDEVLVKVEAASINQSDLMTQKGMMRPFHPKFPFIPVNNVSGEIVEVGSAVREFKVGDKVVSKLDFWALKAIGTKFDGSGTSGGADVLITAASSGVGTYAVQLAKLGNHRVTATCGARNLGLVAGLGADEVLDYKTPEGAALSSPSGKKYDYIVNISNKNKWSVFKPRLSSHGRVVDVAPNFGNFVASVVTLFSRRKKLSLVSLKMSKEDLGLLLELMREGKLRTVVDSRHPFEKAADAWARSLSGHATGKVIVEM >OGLUM04G08800.1 pep chromosome:ALNU02000000:4:14550232:14550840:-1 gene:OGLUM04G08800 transcript:OGLUM04G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJH2] MAAHMPFSTLPASVVADANSGCKIVYVWRDPKDVLVSLWHYYRKLRPEEAHVSEFHDLYESFCQGDTVFGPWWDNVLGYFRASVEMPTRVLFLRYEDMLEDTASAVVSIADFVGCPFSAEEERAGVVDAIVKLCSFEELKNLDTNMSGSNGHLIKLPSSSYFRKGVAGDWVGHMTREMADRIDSIVQGKFQGSGLEIKRAST >OGLUM04G08810.1 pep chromosome:ALNU02000000:4:14550997:14555844:-1 gene:OGLUM04G08810 transcript:OGLUM04G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRRRRGVASGGGGAHTHREREREKERAARLWAGSAGLRLSWAGSPRWREWKEEGKGKDTVSSPSLWRAPVPFKDAIEQDAITPFPKPEAPEEEDEESQGDRDRRLMSSLPVKDYGERRYLQYQATWWPESAFQDVFAIQRRFRPRPSDVLLAFYGPTPSRGRHG >OGLUM04G08820.1 pep chromosome:ALNU02000000:4:14580939:14592205:1 gene:OGLUM04G08820 transcript:OGLUM04G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSELNKGSNINAFKKVNGVQDANVLVILASSGIGNHHDLRCPQHGARTSLEQMQDNHGSSEWETPPLRLRQQSPSTPLSRAAALVDDGGEADDDGGLGARGAEEVGVGDVGDGVVEVLRGVAVILPADERRLLGGGGLVLGSARLLVGARQRRSSRQPPPPHRRRRRPPGCLQQQLLRLPRLSRQQPARYLLPPRRRAATTLPCHRLLVFVWRPPSEAETPRTPSLAARFLGIDGGREEEEEGKPGRGGEEGKVGAGEE >OGLUM04G08830.1 pep chromosome:ALNU02000000:4:14648841:14649219:1 gene:OGLUM04G08830 transcript:OGLUM04G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSCRARMGRRRVVVDAPEGVVNQGARGRTGDDDGDAIVAVVEEDDAEKPIRTVKDKTSHRVCQTPGQLGTNARAGVANTARARQGTTLNHTPMSEETSREGLRDGRGARTVKRENCRSSM >OGLUM04G08840.1 pep chromosome:ALNU02000000:4:14650922:14654024:-1 gene:OGLUM04G08840 transcript:OGLUM04G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVTPAPASEGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTLALEPQFKRSVKFVKRDDTDIAEKVAVWGGLHEFGDMVWFPGQRQVIYREDNRVNISTPGDGLNDYFGFRAQPTLSMVAARVIDEWLEENPMYTDTARCLASRAVTTMFDLLAYGFTNDGATFTGYPVVGYQHRIQSSGSCMGSLEEMDDSLLLTATCPWDPRTRGVFAYNVAFTVPLSRAPAFVADVARLRDTNPSAFCQIDAKMGVLVRYVAASSAYLGKAEDSVDFDITYYRSRARGAPRAHADVFDEVEQMALRGHGGVPHWGKNRNAAFDGAIARYPNAGEFLRVKDRFDPEGVFSSEWSDQVLGVGGASPPVEWS >OGLUM04G08850.1 pep chromosome:ALNU02000000:4:14655205:14657632:-1 gene:OGLUM04G08850 transcript:OGLUM04G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKLHNSSRHSFLLAWHSSNLAILHEAIRIIPRYIVAIVVEILRGLLVILLLGLVLPLAGGDRHPPPGPIACARGGTSGGCTVTNIYGSFPDRAACRAAGVAYPRTEEELVAAVAAAAAAXPPPFSRSLSLPLPPPDLSPVGYRAGDLEPPPLPREASDLLRRPPVAFLILVIVFAFFITWSSSRCRKNSNSTSYLHKSTSVTRGGLLAGSISHGRRRSDLLLRSSRQLGVLPSAPTLALLPTGAAGQPPPRRLSATSVDEAATATLGDPLIHPPPPGEPL >OGLUM04G08860.1 pep chromosome:ALNU02000000:4:14685908:14687746:1 gene:OGLUM04G08860 transcript:OGLUM04G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLLVILLQALTGLLVILALWIVSHLAGDRPPPGPVVCAANGTSGCTLTNIYGSFSDRAICRAANITYPRTEEELVAAVAAAVAAGRKVKVATRYSNSFPRLACPGGEDGTVISTRWLDRAVCVDAARRLMTVESGMVMRDLIREAAAAGLALPHSPYWSGLTIGGVLATGAHGSSLWGKGSAVHEYVVGMRIVTPAPASEGFAAVRELAAGDPDLDAAKVSLGVLGAISQVTLELQPLFKRSVAFVTRNDSDVADTVAAWGRLHEFGDVAWLPRRRVAVYREDDRVDVATPGDGRSDYPAFRPTPTLPLVASRLAEEWLEERSGSDAARCAASRVMPATLEHLNYGLTNDGEAFTGYPVVGYQHRIQASSSCTGAMEDDGLIPTSTCLWNGRLRGHLFYNSGFSIALSRAPAFVADVARLRDLNPAAFCQIDSKMGLLMRYVAASSAYLGKAEDSVDFDVTYYRSYARGAPRAHADVFDEVEQMALRKHGGVPHWGKNRNYAFDGAIARYPNAGEFMRVKDRFDPDGVFSSEWSDQVLGVGGASPVIVGDGCAMEGLCVCSDDSHCAPEKGYFCRPGKVFTAARVCSPSPRDAANGGDDDDEISDVGDE >OGLUM04G08870.1 pep chromosome:ALNU02000000:4:14687758:14708680:1 gene:OGLUM04G08870 transcript:OGLUM04G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVATSISITGIDDKVISTVYRVPVEKSDAYDGLFPTGTNGATSDEVKTKNQFVKLAAVKLELETTHARALQFDDMSGLGVIVGKRLLKEIAAAAWPRSMPLDVDIKVPAVPLLEGEGLQSAEARSRNDVARIARAPGKEEDGDQKTGIQTLQTTLTRMIEGPQYPFPGSYGFSNEKEGWLDCISSNH >OGLUM04G08880.1 pep chromosome:ALNU02000000:4:14721677:14725343:1 gene:OGLUM04G08880 transcript:OGLUM04G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPVFIFLLLISFCRCNDQLTQGKPLISPSDVLVSKGGIFALGFFSPGSSNMSLFLGIWYYNIPERTYVWVANRDNPITTPSSAMLAISSSSNLALSDSRGHTLDDDDSHQKLGSLCRKLVLQLPDNTTIWQSFDHPTDTVLPNIKFLVSQKTQVQMRLVAWKGPEDPSTVVNTEDEFYVMYTTSDASPYARITLDYNGDMKSLSWNSSSSSWAFFPHKPMAVANDCDHSATATSRWPSRDASASMGSSQLTALILGEDAGESNS >OGLUM04G08890.1 pep chromosome:ALNU02000000:4:14725373:14727572:1 gene:OGLUM04G08890 transcript:OGLUM04G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMKVPDKFLHVRDRNFEECAAECSRNCSCTAYAYANLTAEGSMADRANCLLWTGELVDTGRKIIGENLYLRLADSTGQNSGANKRNIYVLKVVVPIIASLMILICIYLVRKWLPKGKQRNNKNWRAVLGNFTDSHELFEQNLGFPYINFEDITTATDNFSDSNVLGKGGFGKVYKGKLEGSKEVAVKRLSTGSTQGIEHFTNEVVLIAKLQNKNLVRLLGCCIHEDEKLLIYEYLPNKSLDYFLFDDSKKSILDWQTRFSIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDQKMSPKISDFGMARIFGGNQYQANTKHVVGTYGYMSPEYAMEGIFSVKYDTYSFGVLACAWILWKDGKAEDFMDSIILESYSLSEFLLCIHVGLLCVQEDPNARPPMSSVVAMLDNEVTAIPTPKQPAYFVPRNYMADEAREDANKYVNMSITTLQGR >OGLUM04G08900.1 pep chromosome:ALNU02000000:4:14728923:14731652:-1 gene:OGLUM04G08900 transcript:OGLUM04G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMEIRSSLTSAQSEPPVSWSGRGDCCSWERIRCNNSTRRISHLDLFDIHQPVQTTDDGGGTTSVSVSESGSCWINLNLTVLSSFRELQLLDFSSNDACIQNFEGLEGLSKLQYLNLSGNNLSRSIPGSISKLVSLKVPNLDRNNISGALQNKENLFQGFIPVNSSWNCSSFFQTLRLSGNDLSGKFEFFWLRYCGKLQRIDLSGNANLVVQMKFPGWVPQFQLKTLRLSGCKLDKSIIAEPHFLRTQSRLEFLDLSNNNLPGRMPNWLLTDEATIVYLDISNNMLDGSLDLMLQQQFNLQLLNISMNSIIGELPANISSVFPNLRILDVSHNIISGVIPLSLCSIHNIELLDLSNNKFTGEVPACLFTDCSELKILKVSNNNLGGMILSGASNLSFLWAIYLDNNKFEGSLPINLSGNVYIMDLHGNNISGRLDISFWNLSLLEALSLAGNSLTGEIRYEFCNLTNVKLLDLSDNSFAGPLPNCSTTLPLSFLNMSGNALSGSPDAFFNPSYIEALDLSYNQFTGNLKWTRELSQISHLLLRRNKFEGQISSDLCHLKYMRIVDFSHNILSGSVPPCIGHIPFEVLPDYLDLRSTTGSSFFGEGFETMDLYDSSYMYTSHYDMQGFTFTTKGNPYTYGQNIFLFMSGLDFSANMLSGEIPQELGNLNHIKSLNFSYNFFTGQIPPTFANMSEIESLDLSHNRLIGPIPWQLTRLWSLEVFSVAYNNLSGCVPNTGQFGSFQMDSYEGNTNLHKASQGSKSCTSNSSPATSSKMEGEVLEGFDPILYLVTAVSFVLAFCATFWFSLCPFIGLCA >OGLUM04G08910.1 pep chromosome:ALNU02000000:4:14733464:14733839:1 gene:OGLUM04G08910 transcript:OGLUM04G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGADNTKPREGGSGHPSFRSGGSAYASLGSSGASAMTTTPARVKETTVATRATAETLPQAKERWIYHPRPQ >OGLUM04G08920.1 pep chromosome:ALNU02000000:4:14865153:14868899:1 gene:OGLUM04G08920 transcript:OGLUM04G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGLDRVSTNKEASVDGPNSKRRRVYSDRPLQEICIAEEENYMVQEENNMMNICSLSKQNIHSHVPNEDLHSKTKQYVEDKNKQNWEQHDYGKQRKVISAQKRQCSTTNFIADNSMEDTNIHVQDKVLQLPTKQSTIDKNKGNMNQNDHMQQGKNNSNAYKKQQCNIVDIMDANSLEIGTNVFLKSWKNRKKNVAVASIVSCDPTRKVAGVELGTEYLMVHVHFPLAKYEELIRPYKGYKIIGNVVGLDIAWPAIFVDKINGS >OGLUM04G08920.2 pep chromosome:ALNU02000000:4:14865153:14868899:1 gene:OGLUM04G08920 transcript:OGLUM04G08920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGLDRVSTNKEASVDGPNSKRRRVYSDRPLQEICIAEEENYMVQEENNMMNIHSHVPNEDLHSKTKQYVEDKNKQNWEQHDYGKQRKVISAQKRQCSTTNFIADNSMEDTNIHVQDKVLQLPTKQSTIDKNKGNMNQNDHMQQGKNNSNAYKKQQCNIVDIMDANSLEIGTNVFLKSWKNRKKNVAVASIVSCDPTRKVAGVELGTEYLMVHVHFPLAKYEELIRPYKGYKIIGNVVGLDIAWPAIFVDKINGS >OGLUM04G08920.3 pep chromosome:ALNU02000000:4:14865153:14868899:1 gene:OGLUM04G08920 transcript:OGLUM04G08920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGGLDRVSTNKEASVDGPNSKRRRVYSDRPLQEICIAEEENYMVQEENNMMNKQNWEQHDYGKQRKVISAQKRQCSTTNFIADNSMEDTNIHVQDKVLQLPTKQSTIDKNKGNMNQNDHMQQGKNNSNAYKKQQCNIVDIMDANSLEIGTNVFLKSWKNRKKNVAVASIVSCDPTRKVAGVELGTEYLMVHVHFPLAKYEELIRPYKGYKIIGNVVGLDIAWPAIFVDKINGS >OGLUM04G08930.1 pep chromosome:ALNU02000000:4:14891639:14892184:1 gene:OGLUM04G08930 transcript:OGLUM04G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHHASASPLTGHQVGARHPKCFQSIQAVGLTTERVRENGYPLPHTSPPNVGIMWRLGAATRGLSSRSERIAGLQHPPHGSTIVVASGGLPASPPTQVVRPNHCRVTTGPCQTSTAHRPPVTPPLAAGCASPCRLATRCRRPPLSAPHRAGHPPAAVAHPSTCADTGLHSAPVATGPTAP >OGLUM04G08940.1 pep chromosome:ALNU02000000:4:14895555:14898627:-1 gene:OGLUM04G08940 transcript:OGLUM04G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQRTVGAENLPMPAQWHRISVHNEQLVYVEGDIETRVYNDRINDQVKSIPEICVRRDGKIQLMQSGDSDVSKSLEELNDDTLQIYGVNHSVLLFCLEEDRTGRITMFQLSGKSICPGNNNEQGEAALCVTPFLCKVRKIEQAKKRVSVGERKQKYTISVCFSEISSPLSCEPTQLNNSSKILKDCHADNAAFGVVHYFSVMFDDLFSK >OGLUM04G08940.2 pep chromosome:ALNU02000000:4:14895826:14898627:-1 gene:OGLUM04G08940 transcript:OGLUM04G08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQRTVGAENLPMPAQWHRISVHNEQLVYVEGDIETRVYNDRINDQVKSIPEICVRRDGKIQLMQSGDSDVSKSLEELKKHLPGQ >OGLUM04G08950.1 pep chromosome:ALNU02000000:4:14914272:14914817:1 gene:OGLUM04G08950 transcript:OGLUM04G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTNCLLELAIAGLVLVSLPGLSRGDGECNPSGVIRSSTTHRCQDCCKAGQSYPTYPCSPPTTGSSTDAVMTLNDFAEGGDPSECDEMYHKNTELVVALSTGWYAGGSRCGKSVRINANGRSVVAKVVDECDSQRGCDAEHAYQPPCRPNIVDASQAVWDALGITCEDVGEYDITWSDA >OGLUM04G08960.1 pep chromosome:ALNU02000000:4:14915167:14915800:1 gene:OGLUM04G08960 transcript:OGLUM04G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCGAGCLTGLARVKHPAPMDKRYKMARMNYLLADAIVGLVLDSLPSLSHCDDNDDVVDPCRGRELAPGSQQVHTDRHGGASCQAES >OGLUM04G08970.1 pep chromosome:ALNU02000000:4:14915852:14916248:1 gene:OGLUM04G08970 transcript:OGLUM04G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDCSPPTSASRTKAVMTLRDFDRLAPQCDSRNDWNVGTGYLLPCVAPMPLPCPRTFGTHSASAPPAPRTASTSSLG >OGLUM04G08980.1 pep chromosome:ALNU02000000:4:14961848:14963191:1 gene:OGLUM04G08980 transcript:OGLUM04G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQRKCGDIEVPFPFGIDGDQPGCAKPGFELSCSNSTEKGVPTLLRKVKPLNRSVEVLGISLPKGQLRMRMRMSSHCYNTTTRVMDCVDNGWMDLTGSPFTFSDSANKFTAFGCQVLAYLGAGEQRDIGSNLRIGCAASCGKGDDLVTIRGGRCSGIGCCQTAIPKGIKYYKAWFDDRFNMSSMYTWNRCAYAALVEESSFNFSMIYDSSSKFNSDTVSSQPPFVVDWVMGNVSCKEARKNLGTYTCISNNSICLDSQNGPGYICNCRKGFQGNPYNKGLDSCQGWMHNLINRDQLGESM >OGLUM04G08990.1 pep chromosome:ALNU02000000:4:15006059:15006401:1 gene:OGLUM04G08990 transcript:OGLUM04G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAADPQPKSSGRADPPPVALGAVDPPSRAIERGGGKVVRQSRQWWRLAHRLRWRHPTTACDLGCDARTQKIPIFADIWL >OGLUM04G09000.1 pep chromosome:ALNU02000000:4:15034481:15037856:-1 gene:OGLUM04G09000 transcript:OGLUM04G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQALIFPTLLCLTIATSGGLAVVAASTCQRSCGSIDIPFPFGIKGQAGCAMPGFELICNSTGNSIPKLLLRNVELLNISLPEGQARMRMPMSYECYNKTKHDMDCVDKANLSLTGSPFTFSNSANKFTVFGCRMLGYLGPGGQSAVGSNLTIGCATSCGQGDDLVSINGGGCSGIGCCQTAIPEGIKHYKVWFDTHFNTSVIHNWSRCSYGALVEEASFKFSTIYATSSNFSNPFGGEPPFVVDWVVANNTCAEARKHLDSYACASSNSVCIDSSNGPGYFCKCSQGFEGNPYLQGHDGCQDINECEDSNKYPCYGKCINKLGGFDCFCPAGTRGDASVGPCRKEFPLAFGIAIGLGVGFGILLLVLTVAFLVRKRKNDIQKQLRKKYFRKNQGLLLEQLISSDECATDSTKIFTLEELKEATNNFDPARVLGSGGHGMVYKGILSDQRVVAIKKPNIIREEEICQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLNRIIHADPSTREFTLSWDQCLRIATEAAGALYYLHSAASVSVLHRDVKSSNILLDANYTAKVSDFGASRLIPNDQTHVFTNIQGTFGYLDPEYYHTGHLNEKSDVYSFGVVLLELLLRKQPIFDSESGSKKNLSIYFLSELKGRPVAEIAAPDVLEEATEDEINIVASIARACLRLRGEERPTMKQVEMSLQSIRNKGFRSGTVSPEDSDELQTPQSEGHVDYHQAMGIGINSMANLASPGCYSLQEEFMLSGSLPR >OGLUM04G09010.1 pep chromosome:ALNU02000000:4:15096941:15108087:1 gene:OGLUM04G09010 transcript:OGLUM04G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGMDPYALPCTRGCKACMSGAWLGRPPWQPNNRTLHPEAYHEGLSAGRVETNDSSDKPEPDESGEDREGNLVGESLMAKAMAQTHRLRQTKKAAQPEQSSFELGAPNHHKGTMLTLGLRSMASRHLEYGVHQARDACHEGLRPDLPKPVHKRGIKPEASSSTGTKPYGEGVWQRPKDHVYASQQRGPYGQRANGEGHAAKHEGFAQAGAEAKKEDKRAARHIGAPPAITRPT >OGLUM04G09020.1 pep chromosome:ALNU02000000:4:15123724:15125898:1 gene:OGLUM04G09020 transcript:OGLUM04G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYILYFRKYPNIFGTTYSFMVTDFPDIIIQPSYDIFFVNLCSPPSPSYKQITKGLFGRFSIFSKQRWSKIHSTWMATRGKLASPLMLNNISLQCHVLYSILGTDIFENKIFCSNARGII >OGLUM04G09020.2 pep chromosome:ALNU02000000:4:15123557:15125844:1 gene:OGLUM04G09020 transcript:OGLUM04G09020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGDLKYPNIFGTTYSFMVTDFPDIIIQPSYDIFFVNLCSPPSPSYKQITKGLFGRFSIFSKQRWSKIHSTWMATRGKLASPLMLNNISLQCHVLYRLALRNLLHRCSIRHHIVSWGS >OGLUM04G09030.1 pep chromosome:ALNU02000000:4:15146390:15148480:-1 gene:OGLUM04G09030 transcript:OGLUM04G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWNEWAPQILVLLSFALQLILLLLAGIRRREASPLLRIVLWLAYQLADSTAIYAIGHLSLCNPSPEHQLVPFWAPFLLLHLGGPDNITAYSLEDTKLWLRHLVSVIVQVSGATYVLYKQFSGNKISLWLAAFLMFVIGVVKYGERTCALRCGNTDTIRSSLKKEPRNKCHSYIEDKPHQRSSKREANEEEFLIRYAHALFHICKYAVVDDFLDDSAGDIQTRDSRIVEHLAKLGEEKLYAVMEIELSLMYDILYTKASVIHTWIGYCIRVVSPLITTGSLVIFKFSGKYGQNIVDIAITYVLLTGALLLEDMGISRYCITSRRWSGSMGQYNMLHFCTRHGTSYCPLLRWVMKMLGLEDLWETYHYSWNVDIPEKVKKLVCKHLKRIFDKGEVNTLGITRKNWGQETMKSWPKWDLVDNGYQLGAEFQEGIIIWHIATELLLIRSKLAIDQNTEPTVEAIKALSNYMMFLLVDRPDLLPGLAQKRLYQRTCTHLEKEWRKIVDDPTYHRSTRNVCTVLKELLYLHDNPNSNSRRPQREMLASKLLDVEQDPSRKASRVRFAIDVAIKLFAEEEEKSGSSLQMLLEMWIDFLVYAANRCSRESHAKKLNSGGEFTTVLWLLTEHLYQVPVDRWKTTLVRFGCIDN >OGLUM04G09040.1 pep chromosome:ALNU02000000:4:15171802:15172398:-1 gene:OGLUM04G09040 transcript:OGLUM04G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFNQISAPRCAIILLESTRVSCPRKTEYDPEGRRCLPKRHNLLLGIVIGLSVGFGILLVCLSGVFLIRQWRNGIQKQLRKKYFQKNKGLLLEQLICSNEKPRIKFSLWKSFRRQQTTLILHNVSDMDLEGSDLAVPLAPSPLANATLSLPSRTAAQAPDVGR >OGLUM04G09050.1 pep chromosome:ALNU02000000:4:15174269:15176728:-1 gene:OGLUM04G09050 transcript:OGLUM04G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNASVVSWSLPPKLFEDSLNSFYLTLWGLRFSGCDFDVYWLNRPSINKATPNCTATCPEGESTGMVSPMQDCNGTGCCTIDFGAEINAYSSTIVFKFVRRAETNLESHHNRSLSWDTIYITDPAARGLSWKIADQPDCASARKNQTSYACVSNKSICSDPDFDKHGYNCMCRNGYIGNPYILDGCLPNNEYNPLQRKMNCIRQCGNISVPFPFGLEEGCFARKGFDLNCTNSTSSTLLLQGQYPLLLQGQYHVINIYVDNGTLEYYADREKNPETSIGTDYETGKRSLYVQVGTPIVSVQWVAAHLTCQDAKRNSSGYACISTNSECITSKPTHTFVGYRCKCAQGYQGNPYITNGCVGPYAVSQLAMLIDGPRRVNVKF >OGLUM04G09060.1 pep chromosome:ALNU02000000:4:15184258:15184650:1 gene:OGLUM04G09060 transcript:OGLUM04G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAATAPCRLHSNRSPLRRRRSCDLSTTTRRGVAAPRRRRRRVSGDVPPLAPPCAEPIRLGPSHHRAPLLGSAASGRKKTTGKRKNERERNHVGPT >OGLUM04G09070.1 pep chromosome:ALNU02000000:4:15204851:15208119:-1 gene:OGLUM04G09070 transcript:OGLUM04G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQKLAAISLFLVSLGHAALLAAVGALAPPPGSSNCSTACGGVDIPYPFGIGPAGCALPGFELTCRDTNNGKKPFLGHGHFELADVSLPDGQARVWNNISSYCNDTSQTIMDVVSFADPYRLSQAGNTFTVVGCQAVAIVGVGDSADNIVRFLSGCAATNCGQRGGRLADGACSGAGCCQTTITKGFNAYQVVFQNYSTVFNSSNDIYNVSRCSYAALMESSSFSFRKSYATSSEFFDADGGRVPMVVEWAVRNASNCLEAQKNRDSYACVSINSACVNSSSGPGYICNCAKGFEGNPYLPNGCLDIDECKEPKKYPCYGNCKNIPGHFDCTCPKGTRGNAFVEGACQKIILTSGVRIAIGVVAGALVALFGFLGWGVIRYKQRIKKQALLRQADEYFQQHGGQLLLEMMKVEGNAGFTLYEKERIKTATNNFNKAHIIGEGGQGTVYRAVIDGTTVAIKRCKEINESKKMDFVQELVILCRVNHTNIVRLWGCCLQFEAPMLVYEFVQNKTLQELLDLQRSKRFHVTLGTRLRIAAESADAFAHLHSLPRPIFHGDVKPANILLTEGLLAKVSDFGCSTIDEKTQVVPKGTPGYIDPDYLLEYQLTASNDVYSFGVILLELLTSRRPFSKERKSLTSMFQEAMANGTLVELLDSDIVDEASMRVIQQAAVLANQCLVVPGTTRPTMMIVATELRRLALADEVQQYPQPPLVLEDLSFTGIGRTSMSTWYGGSKTSGVYGLSKKAVPSIEFAR >OGLUM04G09080.1 pep chromosome:ALNU02000000:4:15211158:15213278:1 gene:OGLUM04G09080 transcript:OGLUM04G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJK6] MDRRDDSCCGGGSSLIGELVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMCVSQEVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >OGLUM04G09080.2 pep chromosome:ALNU02000000:4:15211158:15213502:1 gene:OGLUM04G09080 transcript:OGLUM04G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJK6] MDRRDDSCCGGGSSLIGELVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMCVSQEVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTSIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >OGLUM04G09080.3 pep chromosome:ALNU02000000:4:15211158:15212873:1 gene:OGLUM04G09080 transcript:OGLUM04G09080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJK6] MDRRDDSCCGGGSSLIGELVGDVVMWRRGDVSACLLAATVSGWLLFGSGGYTFLSLASNVLLLLLTVLFLWAKAARLLNRPEPPIPEMCVSQEVVNEVAALLHSGMNTVFSVFHDIALGKDSVLFYQVFLSLWIISIIGSLTDFTTLCYTIALVQWFGIGMIDRKHTLFLCLMLTGIVAVLTIPALYQKYEECIDRYMRFAYLNLQMYEMVYERFSAKCFHRARDLVIEVLKEP >OGLUM04G09090.1 pep chromosome:ALNU02000000:4:15230340:15233110:-1 gene:OGLUM04G09090 transcript:OGLUM04G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSELTILRLTIAFAAAALLAGGAEVLCRHSCGGIDIPYPFGIGSGDDCALFPGYKIDCNNNRPFYLDVEVLSISLQLGQMRVMTPISSSCYNTTSRKMDSTMWSFNLSDTPFMLSDSNKFTVVGCRSLAYISDPMSNYTSGCASSCPGATVVSATNGTCSGIGCCQTTIPRGLEYYEVLFGESLNTSEIYNDTPCSYAVLMDYSNFTFSNSYLATPLEFNSTYGGQAPVMFDWAIWDARDCVEAKKNLTSYACKSDHSVCINYSSGTELAYMCNCSEGYHGNPYIKGSDGCQDIDECEHPESYSCYGECRNKDGGFDCFCHAGTRGNASIPGGCQKNFLTPKARLAIGAVACILSILFGFLGWEVIRHKRSIKRQALLRQNDEFFQQHGGQLLLEMMKVEGNAGFTLYDRQEIETATNNFNKAYIIGEGGQGTVYRAVLGGFAVAIKMCKEIDESRKMEFVQELVILCRVNHPNIVKLLGCCLQFEAPMLVYEFVQNKTLQELLDVQRSRRFHVTLGTRLRIAAESAGALAHLHSLSHPILHGDVKPANILLAEGLVAKVSDFGCSTIDENTQAVPKGTPGYIDPDYLLEYQLTAKNDVYSFGVILLELLTGKKPLSKERKSLTSMFQEAMVNDTLCELLDSDIVDEASMKVIYQVAVLASQCLVVPGTTRPVMALVAEELRRLALADEVLRYPQPPLVLEGLSFLNMGSTVSIWYDESKTSGVYSLENNVVLSTEFAR >OGLUM04G09100.1 pep chromosome:ALNU02000000:4:15258343:15265146:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNYIDNQEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYDFLKFGPGWMKLKTFEYENEENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLVLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLEDVELTFTSCEHWDPPEIGFTQEGLVSFVQSCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDVGLSELAHAQKLQSLVVGGCGYISQKGVLGAAKSVCYEDARVFSLSAAGVRREKEKNSPSPTAGEVASVHLERRSPPPQRHIHDRLRRALLFSPATAPASSWLFRNPSTPATGL >OGLUM04G09100.2 pep chromosome:ALNU02000000:4:15248320:15257134:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSEELIVEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSGSTPGNGNHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKIISLWMMPRRFHEHEVFRMGFTDESLEMLAHNCPLLQDIELIFAGVEDLEYPEIGFTQEGLVKLTHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLETLCLVDCKKITDYGMCFLVHYPCLADLKLQYCPGLTDVGVAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFLNSAGSGATHLKRLVD >OGLUM04G09100.3 pep chromosome:ALNU02000000:4:15248320:15257344:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSEELIVEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSGSTPGNGNHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKIISLWMMPRRFHEHEVFRMGFTDESLEMLAHNCPLLQDIELIFAGVEDLEYPEIGFTQEGLVKLTHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLETLCLVDCKKITDYGMCFLVHYPCLADLKLQYCPGLTDVGVAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFLNSAGSGATHLKRLVD >OGLUM04G09100.4 pep chromosome:ALNU02000000:4:15255285:15261182:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECLSEELIVEILKRITRTSDLNSLSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEISNSGSTPGNGNHIDNQGLFVLSSSCNSLNDLTLSFCSKINDAGIASLTYCKKLMSLKLNSIPDVTSSGLLLVAFGCKALSSLYLNDCKGIAASTEWLEYLGSDGSLEELVVNNCPGISQYDFLKFGRGWMKLKKFEFVNKETMVNHFITRHDPSYSANCVYKYDLCCENLVDLKLARLRTEPEGPEIGLRFLLRKCKALEKLCLEYVGGLIDKDMIVLSQSCKNLKIISLWMMPRRFHEHEVFRMGFTDESLEMLAHNCPLLQDIELIFAGVEDLEYPEIGFTQEGLVKLTHSCPIRSLTLNGTLFFNDKGMKGLSSAPFLETLCLVDCKKITDYGMCFLVHYPCLADLKLQYCPGLTDVGVAELVHAQKLQSLVVEGCSNISENAVQGAARSVQYFLNSAGSGATHLKRLEAQERDARVLSLSSGADQSPREELAAGAPTLRRSGSEVAARERGFPLPPPHPPLLLLHRHLLLLHRHLLLTLPSSAGPLPPALFLVPLQETIPATDRRFLRGLWTPATP >OGLUM04G09100.5 pep chromosome:ALNU02000000:4:15259374:15265146:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIEILKRITRTSDLNSVSLVSKQLYAIDAEQRATICIGCGLSTEDFSALCSRFPNLLKVEIDYSGSTPGNGNYIDNQGLFVLTSCCTLLNDITLSFCSKINDAGIACLTYCKKLMSLKLNSIPEVTSSGLLMVAFGCKALSSLYLNDCKGIAGSTEWLEYLGSDGSLEELIVSNCKGVSQYDFLKFGPGWMKLKTFEYENEENFFSIHPRYGSSVKANTHRYELCCENLKDLKLVRLVTEPDGPEIGLRFLLGKCKALEKLCLEYVTGVIDNDMIVLSQACKNLKSISLVLKPEHYVVGGHIEFRTGFTDESLKALALNCPLLEDVELTFTSCEHWDPPEIGFTQEGLVSFVQSCPIRVLVLNGALFFNNKGMKALSSAQFLETLSLIDCNEVTDHGMRFIVHFPSLINLTLRFCHNVTDVGLSELAHAQKLQSLVVGGCGYISQKGVLGAAKSVCYEDARVFSLSAAGVRREKEKNSPSPTAGEVASVHLERRSPPPQRHIHDRLRRALLFSPATAPASSWLFRNPSTPATGL >OGLUM04G09100.6 pep chromosome:ALNU02000000:4:15263259:15268895:1 gene:OGLUM04G09100 transcript:OGLUM04G09100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLSEELIIQILKRITRTSDLNSLSLVSKQLYTIDAEQRATIRLDCGLATEAFPTLCSRFPNLLKVEIDYSGWTSGNGSQIDNQCLLFLSSCCTLLNDLTLSFCSNISDPGIACLTYCKKLMSLRLNSIPELTSSGLLLLAVGCKALSSVYLNDCKGIAGSTEWLEHLGVNGSLEELAVKNCKGIGQYHFLKFGSGWMKLQKFEYEIEQGFWSFFHRDRDPSYKADTYRYDLLCESLKDLRLAHLVTEPEGPEIGLRFLLGKCKALERLCLEYVSGLIDNDMIALSQTCKNLKSISLWLNPEHYNVGDDIVFRTGFTDESLKALALNCPLLQAVELTFTGCEHWDPPEIGFTQEGLVSLVQSCPIRVLMLNSALIFNDDGMKALSTAQFLETLSLIDCDEVTDHGMRFAVHFPSLINLTLRYCYNVSDVGLSELVHAQKLESLVVGGCPMISEKGVQSAAKSVCYERAQEAQDARRGFYYHSPPPPEFGRREVAGVRSSDSSKNRAALSSASPGDGANSSWFLYDIDAEQRATICVVSGPAIEALPVLFSRFPNLHKVENNYSGWAPGNGSQIDNQGLRLLSF >OGLUM04G09110.1 pep chromosome:ALNU02000000:4:15269235:15271487:-1 gene:OGLUM04G09110 transcript:OGLUM04G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLNLFAFFLSGICASLLVALTSLLGIEWIKHKQRIKRQDLMRKRGEYFNLHGGQLLTDMMNIENNISFKLYDREEIELATKGFDKMSIIGEGGQGTVFKGYNLDQVHNPVAIKKCKGFDENSRTEFTQELLILSRVNHENIVKLIGCCLQFEVPVLVYEFVPNKTLHYLIHSQNDPSIRTLEIRLKVAAESAEAFSYLHSLDHPILHGDVKSMNILLSNNFIAKVSDFGCSKIRAADGHDDVVKGKIGYLDPEYLLKFELTDKSDVYSFGVILLELLTRRTPLSKQKVSLASVFQEAMKEGLFLELIDTEILHEDNMGLIGDLASLACQCLAMTSESRPTMSMIAEELRRIEKQVQQHPGVLTSISSLSQPASSAADTSEHFTGETNRYDSLRRVAAMSIEFAR >OGLUM04G09120.1 pep chromosome:ALNU02000000:4:15271511:15271807:1 gene:OGLUM04G09120 transcript:OGLUM04G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHSTVAGAVVTGDYGWETRRFRLHRRAAAGAAAADPARHGCGHGGDGRLQAGGEEAARRHIRSPLLAPCAWIRLRWGISGADACGSMADPARILHW >OGLUM04G09130.1 pep chromosome:ALNU02000000:4:15284815:15285951:-1 gene:OGLUM04G09130 transcript:OGLUM04G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHSRGRAILLWLALAFASELSTGGAKADCGPDTKCGVVDIPYPFSMQDGCAMTDDCKNDSKPFSASYEVLSISLQLSQLRLLSPISSSCYDTTSHAMEDTRWFRHSDTGNKFTVIGCRTLAYITNWDVKYMSGCVSACWQADITSATNGSCSGIGCCQTAIPKDLQNYTVFFSKSLNTSASIYNATRCSYAVLMDSSDFKFSTSYLPSPEFNTSYGGRAPMLLDCAIRTANNCEEAQKNLTSYACKSDSSECFNSSNGPGYICNCTKGYQGNPYRQNGCQEKENAL >OGLUM04G09140.1 pep chromosome:ALNU02000000:4:15290894:15291460:-1 gene:OGLUM04G09140 transcript:OGLUM04G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQERPQEVYRKCMRNHAAKLGTYANDGCCEYTPNDGLLCAACGCHRNFHRKDFLDGRATAATVSAGVPGRLRMHQCCRPPVEAGCRDTCTWRRWVAESLTAAAGTARLRREAADAQTKFTKEQKARMLRFAERLGWRIAGARQRTQGRRCGAFLLPPAPAATSILLPPPPLPPDLADGRALMPGR >OGLUM04G09150.1 pep chromosome:ALNU02000000:4:15292889:15293926:-1 gene:OGLUM04G09150 transcript:OGLUM04G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAEGNITFNLYTSGQIEAATKNFDKACIVGRGGQGTVYRGFLDGAAVAIKRCNNEIDESRKMDFVRELVILCRVNHPNIVKLLGSCLQFEAPTLIYEFVPNKTLEELLDLHRSRRFHITLGTRLRIAAESAEALAHLHSLPHPILYGDVKPANILLAEGLVAKVSDFGCSTIDEKTQAVAKGTPGYIDPDYLLEYQLTANNDIYSFGVILLELLTGKRPLSKERKSLTSLFQEAMADGTLVDILDNDIVDEDTMRVIQHTAALASQCLIVPGATRPPMSLVAAELRQLALADEVQRCPQQPLLLEDLSFMEMGSTVSTWYGNSRTSGTFSLEKKAVLSIEFAR >OGLUM04G09160.1 pep chromosome:ALNU02000000:4:15304180:15305010:-1 gene:OGLUM04G09160 transcript:OGLUM04G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHLLFVLPWLCVAVLASDPPPSCERKCSGIEIPYPFGLEPACALSGFNLTCNTTEGKLYFGNAELLNISLLEGWVRMRMDISSYCYNDTLHDMDENYSWVFDLTDTPYRLSDVGNMFTVIGCRTLAYIDNLDVDGNLTTGCVATCRQGEYSSLTDGACSGIGCCQMTIPMGLQYYQVSFDPRFNTTEIYNFSRCSYAALIESSNFTFSKNYSTSSAFNDYYGGQAPLRVVWAIGNETCEVAQEKSNYTCISNNSECVDSLNGSGYICNCSEAR >OGLUM04G09170.1 pep chromosome:ALNU02000000:4:15323702:15324513:1 gene:OGLUM04G09170 transcript:OGLUM04G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVTVAELAQLSGANLVRHLQTTNHMADYKVAAHTETCLQAKINALQIEYDLLAKECACSEGGKKTNIDSNKNEQLSSLGLISMGGSPIAPAQVATSFAYVPDMSPPLVE >OGLUM04G09180.1 pep chromosome:ALNU02000000:4:15356438:15359384:1 gene:OGLUM04G09180 transcript:OGLUM04G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDTVAELAQLSGANLVCHLQTTNRMVDYEVAAHVLGERERRAAETEACLQAKIDALQMKCDLLAKECAYSEGGKKTNIDSNKNENQLSHLHLNNLMNQLSFDAWMEESGGPFMSEQVPFSLVLPSSSKSASLLSKIETSLWESEENMVIRFMENVELCMGAICALYRQKKLMVESTCEERTKFTSLNESQAYRATQLAEFLLDGDINGPMKKNKEDLVNHDATGPKFIQEYAIQCSKQLFDIYRNKEDLYFC >OGLUM04G09190.1 pep chromosome:ALNU02000000:4:15405231:15411210:1 gene:OGLUM04G09190 transcript:OGLUM04G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAATTPPAMMRAVQYDACGGGAAGLKHVEVPVPSAKKNEVLLKLEAATINPVDWKIQKGMLRPLLPRRLPFIPVTDVAGVVAGVGPGVNDFAVGDQVVAMLNSMNGGGLAEYAVAAANLTVKRTPNVSAAEGAGLPIAAGTALQALRSIGAKFDGTGEPLNVLVTAASGGVGLYAVQLAKLANLHVTATCGARNAELVRGLGADEVLDYRTPEGAAMRSPSGRRYDGVVHCTVGVGWPAFEPLMAPRGKVVDITPNFSAMLTSALHAVTLRRKRLVPLLLSPNKADLEFLVGLVEEGKLRTVVDSRFPLGDAAKAWQKSIDGHATGKIVVEMEG >OGLUM04G09200.1 pep chromosome:ALNU02000000:4:15408999:15413406:-1 gene:OGLUM04G09200 transcript:OGLUM04G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPPPPSAAAPGSPPSAQVVGNAFVHQYYNILHQSPDLVHRFYQDGSRIGRPASPAAAEMDTVTTMEAINAKIVSMDIVRAEIKAVDAQESLGGGVTVLVTGHLTGSDDVRREFSQSFFLAPQEKGYFVLNDILRYVGGEGDQEVKPEPELEMSFPPSQQPDSVPAPSANGTTVPREQEAFSQPEQHVADPAPNAQEADLNGEEVYNPPNNTEGPVVEETLIPEVIDEVPNNVAVAMPTPSAPAPAPAPVPQEEAPKKSYASIVKVMKEIPPQISAIPSRPAPPKQEKQVAPAPVAPVADAPTFSPNPESSNIQEAEVDAHAIYVRNLPLSATPEQLEEAFKKFGAIKPDGIQVRSHKIQGFCYGFVEFEDPSSVQSAIVGSPVMISDRQCYVEEKRTAGSRGGGRGRFAPGRGGNFRGEGMRGRGNYTGGRGYGRGEFNYRSDYGGRGAGRGGSSRGGDVGYQRVDHSAGRAARAPSGTSAVAK >OGLUM04G09210.1 pep chromosome:ALNU02000000:4:15424973:15429978:1 gene:OGLUM04G09210 transcript:OGLUM04G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM5] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >OGLUM04G09210.2 pep chromosome:ALNU02000000:4:15426758:15429978:1 gene:OGLUM04G09210 transcript:OGLUM04G09210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM5] MVMSIDNVRGFALATSSSAFIGSSFVIKKIGLKKAGDAGVRAGSGGYSYLYEPLWWIGMTAMILGEVANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKERLHMFGIVGCILCVVGSVGIVLHAPKEKKIDSVNEIWHLATQPGFIVYSCMAVVVALILIFWVVHRTEQRKMLAYIAICSLMGSLTVISVKAVAIALKLSFNGVNQFIYVPTWFFIVVVVICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIIANMIMYKDWASQNATQIATELCGFVTIVAGTFLLHKTRDMGNEQSESSSLRGECELQNH >OGLUM04G09220.1 pep chromosome:ALNU02000000:4:15432958:15435818:1 gene:OGLUM04G09220 transcript:OGLUM04G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPTVRDVLAFHRVDRAAYDQLLSLGVPPPPARNAVMMERTPAGEPPTYGRIVFRHAAVAAAVLGGEHLVKLVINGRQLRARKYFPRKSFSHGLN >OGLUM04G09230.1 pep chromosome:ALNU02000000:4:15436915:15440080:-1 gene:OGLUM04G09230 transcript:OGLUM04G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM7] MSPSMPQPLPLPSPPSSPRSGATTTTTTSVRARPRPPTAPPDVPWLARSGGGAGSSDVAGSSSGSGSNVDETLAAMRDAAWARFYAVMGKGKGKAGERGSFSFPDLGAPHDVVDAAAVVDHFATVEAERRAGARAQFLDATMEATASARLGRVKRELLVDRRVLDLAGLERWLRRGEAVAELAWFAELCAGEGGEPVPPLELFESAFRALQAARSDELHRGAGFRKRWVGPAAVPEFFLCPISNKVMVNPVVISSGKTVEVLALEKWWSENRRLCPVTDEILDNSIFIPNILIMLCTALWRTRNGITDVTTIAEPPKISSEEEALFREINLLALSPSLSDKTFDAILRLHELISNAQSSLLHLLGQSPGMIAKLACLLPETCLDPDPGLDDIILKIIAKTASYNPNKVILGDDQYAIPVLIARALLGPVDTRVKCAQILGLLADNYYNKIKIGELGGFAALMELLLLVGDREVKRTVAMAIASLCEAQENWSRFVREGVADAAISLLRDDNLVDEARSIFLKATGFELAMTQVLDKLMSFGDDANCLKMVESIWNTFIRTKLRRRRPNVTHASSSTRASDVFSDTSSDGSVELPMHVELTDKAEDDVRTIVSWLQKRTCYPRTYKYED >OGLUM04G09240.1 pep chromosome:ALNU02000000:4:15441099:15441347:1 gene:OGLUM04G09240 transcript:OGLUM04G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRQRARRWEDDATGKVELRHDEVEVGRGEIKAGYGDVWRRPARSAMDRTKSSRCDPLGGAGSLTEIGFTLELAVATFPPR >OGLUM04G09250.1 pep chromosome:ALNU02000000:4:15459768:15502608:1 gene:OGLUM04G09250 transcript:OGLUM04G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM9] MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMVLGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSVRDSSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMT >OGLUM04G09250.2 pep chromosome:ALNU02000000:4:15459768:15465015:1 gene:OGLUM04G09250 transcript:OGLUM04G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM9] MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMVLGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSVRDSSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMT >OGLUM04G09250.3 pep chromosome:ALNU02000000:4:15461367:15465015:1 gene:OGLUM04G09250 transcript:OGLUM04G09250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJM9] MAAPPSMEEPLLGGGNGEEKKGGSSRLAVVAEVRKQLYLAGPLIAGWLLQNVVQMISVMFVGHLGELELSSASIATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHRLVGVYKQRAMVVLGLASVCVAAVWAYTGELLLLFGQDPEIAAAAGSYIRWMIPALLAYGPLQCHVRFLQTQNAVMPVMLSSGAAAACHLPVCWLLVYGAGLGSKGAALANAVAYLANAAALAAYVRLSPACRSTWTGFSSEAFHDLVGFMRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLEASVLSICLNSGSLAFMIPFGLGSAISTRVSNELGAGRPEAARLASRVVMVLGLVVGVAIGLAMILVRHLWGYAYSNEEEVVQYVAKMMPILAVSFLFDDLQCVLSVRDSSGVARGCGWQKIGAIVNLGAYYLVGIPAALCFAFVYHLGGMGLWLGIMCALIVQMLLLLAITVCTNWEKEALKAKERVFSSSLPADMT >OGLUM04G09260.1 pep chromosome:ALNU02000000:4:15513960:15514749:1 gene:OGLUM04G09260 transcript:OGLUM04G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKPGDGGVAQRGHGKVGRQRRDLAVAGEVRMAAGHGRAKVTRPCGGRKPRTARRSSPRPTRRGLAVGRPRSWPRGNHAGAMRMAGTGAPGVQRRDDKNQMKTGERRKRPKKKEIDGKDDGSGMVLNLQNSSGTATNRRIVMTFF >OGLUM04G09270.1 pep chromosome:ALNU02000000:4:15523920:15528564:1 gene:OGLUM04G09270 transcript:OGLUM04G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQTGVQQQNLIRPLNRCSCAERALNSPEVKATSAWCLSYHSLGMDLVRHQKLQWGGTTFCKQNTSRRARSRRGATVLNLAMDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVRSQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDQSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRSAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICEDPEVRKHFHHRIIWICVSDEFEVNRLTKDALKSLGVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVFWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKSELWRLEQEETDILPALRLSYAYLPQYMKRCFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIQHVSDLAKIPPKELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLPSSVSCLYHVQTINAKGCVFKRYPQGFSDLISLKKIESKGFIYSKDKDKQCLRWPIMPTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTQRIMTLPSSLRKLSILGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRYWYVLRNHT >OGLUM04G09270.2 pep chromosome:ALNU02000000:4:15523920:15528564:1 gene:OGLUM04G09270 transcript:OGLUM04G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQTGVQQQNLIRPLNRCSCAERALNSPEVKATSAWCLSYHSLGMDLVRHQKLQWGGTTFCKQNFSTYDHAAVLNLAMDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVRSQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDQSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRSAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICEDPEVRKHFHHRIIWICVSDEFEVNRLTKDALKSLGVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVFWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKSELWRLEQEETDILPALRLSYAYLPQYMKRCFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIQHIQRTFVTLRCWISNIVLTSIGKCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTQRIMTLPSSLRKLSILGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRYWYVLRNHT >OGLUM04G09270.3 pep chromosome:ALNU02000000:4:15523184:15529594:1 gene:OGLUM04G09270 transcript:OGLUM04G09270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVRSQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDQSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRSAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICEDPEVRKHFHHRIIWICVSDEFEVNRLTKDALKSLGVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVFWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKSELWRLEQEETDILPALRLSYAYLPQYMKRCFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIQHVSDLAKIPPKVRHLSIFTNGNIRCSDLLYICAQNKKLRSLVCNDSYINWEPFAPMIDGWFKELLNIRVLSFDLSTVRKLPESIGNSIHLRYLGLLGNSTFETLPSSVSCLYHVQTINAKGCVFKRYPQGFSDLISLKKIESKGFIYSKDKDKQCLRWPIMPTPDRGEARTRLSPPYAPNLALPLTPEEQLQMTEEQIELLPHWNLQHLTIEYYLGQSCPSWLRPDCLKMLTSLKLSYCKNIQSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTQRIMTLPSSLRKLSILGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRY >OGLUM04G09270.4 pep chromosome:ALNU02000000:4:15523920:15528564:1 gene:OGLUM04G09270 transcript:OGLUM04G09270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQTGVQQQNLIRPLNRCSCAERALNSPEVKATSAWCLSYHSLGMDLVRHQKLQWGGTTFCKQNFSTYDHAAVLNLAMDKVVGILRGVAGVAADANAFREFFHWVTPHVLAAVRSQQQQQLLQLDSGGPVSASTGNNRTAPLHQIQDDLQKLEHNLWVIQTTITSTMHDLIDRLEWHSHRETEARHLRQIKDAVYDAEDLLDEYNYYALKVKVEASKNLGQDQSHGPFLEFLDSVNFNGNFSKVMEIQDRLKHVFDQSMGLGLHKTPKKFDRLVRPETCRVLDESQIFGREQELKELMQMLGVDGHKRGRSAAHTNTTEARRMELPVLPIVGMGGVGKTTMAQQICEDPEVRKHFHHRIIWICVSDEFEVNRLTKDALKSLGVKSEDTDTRDNLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLDGSMILVTTRSSKVANLVSDGNHYELKGLQDGVFWNFFKLCAFGSVQSWSNRPELQRIRPELERIGRAILPKLKGSPLAAKTLGRLLKCNRSIEHWEDILKSELWRLEQEETDILPALRLSYAYLPQYMKRCFSICAIYPKDHIFQKEFLADIWVAQGYVEPQDASSCFDDLVNRSFFQQAAHQYDNQYVIHDLLHDTAQLVSKDECFIIQHSISFFDPLFPDSEDSKNICHLEVLDIQHCPNINWQGLVALPSSLRKIILGNFGHSTDHFVSCFRGLALLKCLQIQCEFLMSIPLQVCKNNLQALEDLHIYQCSSLTSIYVSEASSRHPVGVFSSLSSVTISLCNALLSLDEFLMPAYMPVVKTILVESCRQLALLPIDELHRFSCLEVLRIESCPNLNTQRIMTLPSSLRKLSILGCPSIEFIDNSHLASSVTLKGLNLKLISCPDLISIVGAISVSEIQSGYIHDCPKLMEMTQPFTRGRYWYVLRNHT >OGLUM04G09270.5 pep chromosome:ALNU02000000:4:15523920:15525192:1 gene:OGLUM04G09270 transcript:OGLUM04G09270.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVQTGVQQQNLIRPLNRCSCAERALNSPEVKATSAWCLSYHSLGMDLVRHQKLQWGGTTFCVRFAFNH >OGLUM04G09280.1 pep chromosome:ALNU02000000:4:15536933:15537683:1 gene:OGLUM04G09280 transcript:OGLUM04G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHYADLCDSSLRCVLDHILPDEVYNLTAQCHVALSFKVPNYTADVTVTSALCLFKAVQLASPFTTTRRSPPRCSAPCHHYKTNITSTVGRIKVGLTKVFLGNLSQDQHGNYIVATKQSHTVEEFLLAIFPSSSSVRRPSTVAAREDSINGGGGLIVVGTTVVHH >OGLUM04G09290.1 pep chromosome:ALNU02000000:4:15541408:15547327:-1 gene:OGLUM04G09290 transcript:OGLUM04G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTALNTRSSPRFDVFGNDFGWGRPTTVRSGGTNKFNDKVTVYEGPTGARSMSLENICGIHIVSRRMVRPAAEHAGELPEHETVHHLTPLDLRMITGDYVQKGVVLPKPPGRGEHVVEHLVSSFARALARFYPLASRLAVADADTPSSTADIADSLYVPRVVWSFFPLNGMLGTDAAVEPRRPVLAAQVTELADGMFIAMSLNHGVADGFTFWHLFRTWSEINRRRSGDNADLELSTPPPVFDRWFVDGIPAPIPLPFAKLEDMVRRPLYTPVEECFLHFSAESVRTLKEKANAEMAGGGGAATAAISSLQSVVAHIWRAVCRARRLAPELETRHGLSVGLRARVKEVPQEYMGNTVVGAVARATAGELLGRGLGWAAWLLNRAVASAGDEANVRRMLPAWPETPRFVTVASLQNAGVVVISGSPRFDVFGNDFGWGTSWTGR >OGLUM04G09300.1 pep chromosome:ALNU02000000:4:15554144:15557494:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFSICALYPKDHRFEKEFLADIWVAQGYVEAEDASSCFDDLVNRSFFQKADLSDKYVIHDLMHDTAQLVSEGECFIIQHSLSFFEPPFPDSEESKNIYHLEVLHIRRSLTSICVSEASSRHPVGVFSSLSNVTVSLCNSLLSLDEFLMPAYMPIVKIIHVESCRQLALLPIDELHSFSRLEELRIEGCPKLNMQRRMTLPSSLRKLRLINCPSIEYIDNSHLGSSMTLKGLSLRLDSCPDLISIVGAISVSEIQSGDINDCPKLMEITQPITRRPYWR >OGLUM04G09300.2 pep chromosome:ALNU02000000:4:15551555:15554950:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAGELLDPTGTVMGRSRRSDYPPLLQCLGYHSFGMHLVGSAQLAMDKVVGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQQVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVAYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKRVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDLKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLQGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLRIEHWEDILRSEL >OGLUM04G09300.3 pep chromosome:ALNU02000000:4:15554144:15557494:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCFSICALYPKDHRFEKEFLADIWVAQGYVEAEDASSCFDDLVNRSFFQKADLSDKYVIHDLMHDTAQLVSEGECFIIQHSLSFFEPPFPDSEESKNIYHLEVLHIRRCPNINWQGLVTLPSSLRRITLVNFGHSTDHFVSCFHDLTLLTYLKIQCELLTLIPLQVWISNLQSLEYLWVCWCSSLTSICVSEASSRHPVGVFSSLSNVTVSLCNSLLSLDEFLMPAYMPIVKIIHVESCRQLALLPIDELHSFSRLEELRIEGCPKLNMQRRMTLPSSLRKLRLINCPSIEYIDNSHLGSSMTLKGLSLRLDSCPDLISIVGAISVSEIQSGDINDCPKLMEITQPITRRPYWR >OGLUM04G09300.4 pep chromosome:ALNU02000000:4:15551555:15554950:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGAGELLDPTGTVMGRSRVTCQSSLYGHGLSLCLDPWPPATAPPPRLPATNRTEVSSAQLAMDKVVGILRGAAGLAADANAFWEFFSWVTPHVLAAVCSQQQQQQQVDSAGIGSASAGERRTATLHQIQDDLQKLEHNLWVIQTTIAPTMHDLIDRLEWHSHKETEAGHLRQIKDVAYDAEDLLDEYNYYALKVKVKASKNLGQDHLHEPFLEFLDNVNFGGKFSKVMEIQERLKRVFDQSNSLGLHKTPKKFDKIVRPETCRVLEEPDEIFGREKELEDLKQKLRVRGHKRGRPVACSTTAEARRTELLVLPIVGMGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLQGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLRIEHWEDILRSEL >OGLUM04G09300.5 pep chromosome:ALNU02000000:4:15555732:15557494:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEHIEWLPHWNLQHLAIDSYRGKSCPSWLRPDCLKMLTSLELISCKNIQSLSFFEPPFPDSEESKNIYHLEVLHIRRCPNINWQGLVTLPSSLRRITLVNFGHSTDHFVSCFHDLTLLTYLKIQCELLTLIPLQVWISNLQSLEYLWVCWCSSLTSICVSEASSRHPVGVFSSLSNVTVSLCNSLLSLDEFLMPAYMPIVKIIHVESCRQLALLPIDELHSFSRLEELRIEGCPKLNMQRRMTLPSSLRKLRLINCPSIEYIDNSHLGSSMTLKGLSLRLDSCPDLISIVGAISVSEIQSGDINDCPKLMEITQPITRRPYWR >OGLUM04G09300.6 pep chromosome:ALNU02000000:4:15554114:15555760:1 gene:OGLUM04G09300 transcript:OGLUM04G09300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGKTTMAQQICEDGVVRNHFNNCIIWICVSDEFEVNRLTRDVLKSLGVKLQDSDTRDTLMVNLRDSVKSKKFLLVLDDMWDDVLKDEKGWRTFHRTLSNGLQGSMILVTTRSSKVANLVSNSDPYELKGLQDDVFWDFFKLCAFKSNGSRNDPEMEHIRPELERIGRAILPKLKGSPLAAKTLGRLLKSNLRIEHWEDILRSEL >OGLUM04G09310.1 pep chromosome:ALNU02000000:4:15558711:15563475:-1 gene:OGLUM04G09310 transcript:OGLUM04G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQQEPAGGGGGGVRVVARICPCAPPPPDAALNFQVAALNDPALISFLPRRPTASAAAAAASGRGDGPKDKQQQQKYRVDGCYLRDDPNHRVFHNEVKPLIDGRGGGGGRGGVKACVVACGDAAAKRHLFMGSPDQPGLFTMAMAQLLDSSKAIGAAVTVSSYQVLQDTHILDLLEPKNHEVLILEDADGQTHLKGLSRVGVKSIEEFLQLCCCAANQQRHHPAKDSTQLQDWGHQGLIIYVSSIDQQGKEYALAKINFLNLAGYVDPKQKKNEGLAVPTGNKSMHALMNVVQALNSNQKFVPYRQSKVTRILQDSLCKSKTSGSVLIACLAEDCCQDSVSTLGLASRSSQVVNEQYYSLSLSAKKTSKSNMNLPTDAKTLSRTFMHKTMSMQEKNARPEFNNSGVKGGQTPTANRRTQPIISSTKKSGSSICTSIKMKENYAKPKISGRKLFCPSNNSLKEENAMDVASTVVTQTKSATVRIQAEEVQPLVGMEIRAALPNEGSSETGNTGDVKSSEMQKVVHCSTQELLPSTIQEEDYALSNMEPEHSCTTDMGLTCSSITDNLVEKTPASNTQSSPKLSDRLREISNSLKLLSTRPVSVRAEKWDIECVRRINTIAPEPKTPEVHLKFEQAEDPKDILTARSTGIKGIGEKRANYILELREESPELFKEISDLRDIIGMNSKELLLKSKCFGQELAFCERICALQWFAAIMCFV >OGLUM04G09320.1 pep chromosome:ALNU02000000:4:15567654:15569215:-1 gene:OGLUM04G09320 transcript:OGLUM04G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRGKNKAELQAQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKSLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAVKA >OGLUM04G09330.1 pep chromosome:ALNU02000000:4:15570251:15573864:-1 gene:OGLUM04G09330 transcript:OGLUM04G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT3G25120) TAIR;Acc:AT3G25120] MATPASEPAGAGTPEPAAPFSADWKERILLPAAVAGVVGAGFGLLSRHRVRLGAARATATYAANLAIVAGCYGGARELARDARASTPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTALDYAALKLRPQLNGKWHALKEHFSGGKDWFTLPEWSPIQVLDEEALAKKRAREEKLFAQRALGKLSKEEP >OGLUM04G09350.1 pep chromosome:ALNU02000000:4:15578379:15590709:1 gene:OGLUM04G09350 transcript:OGLUM04G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFTASAPRHTRFLRYLVRVCATLERVVLVRDGRVEEDGLWGWNTVSNGDRPWRLDDWMSIEGGNFAAKEKICCKSSLQECIQHTRRRRRRRAPRWRRTSSILQDNRENDDVVDDDDIGAVVGAGDWSAGGRCTGACVCRAPPVTFLNSGEILVCVQTCDAARR >OGLUM04G09360.1 pep chromosome:ALNU02000000:4:15580549:15583369:-1 gene:OGLUM04G09360 transcript:OGLUM04G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAGVRTHVAAVEQALVLDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGQAITFGGDVSKEADVDSMMKAALDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKIMMKKKKGKIINIASVVGLVGNIGQANYSAAKAGVIGLTKTVAREYASRNINVNAIAPGFIASDMTAELGEDLEKKILSTIPLGRYGKPEEVAGLVEFLALNPAANYITGQVLTIDGGMVM >OGLUM04G09370.1 pep chromosome:ALNU02000000:4:15590451:15591971:-1 gene:OGLUM04G09370 transcript:OGLUM04G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNGLLLSTIAAVTLSSLSLAAVASPATRRSQESREAVRAGYYLAADAHLRPLAALDASLYTHLYYYAVAVHPARRMLLLPPDPAAASLLGDFSRAVKAKNAAVKTVLSIGGGGGAGGAAAVAGSGSDPAFAAMAADPASRAAFIGAAVKVARENGFDGLDVAWRFPASAVEMAEFGFLVAEWRAAVPRGFLLTATVYFSNHVFDAPFAGVDYPSETVARSLDWVNVMAFGLRPPGAANANATAFDAPLYDRASHYSASYGVVSWLDAGVPASKVVMGIPLYGRSWFLRNKANNGVGAPVVAAGPKQRGSNATGAMSYAEVQWLAATATRGSRAVITAYDNASVASYVSVGDVWVAFDGVAVVAEKLAFAARCGLLGYFLWPVNYDDANLTVSRRTSQVWTQTKISPEFKNVTGGARQTQAPVQRPPALQSPAPTTAPMSSSSTTSSFSRLSWRMLDVRLHLGALLLLLLLVCYQI >OGLUM04G09380.1 pep chromosome:ALNU02000000:4:15599302:15603491:-1 gene:OGLUM04G09380 transcript:OGLUM04G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translation initiation factor 3 subunit H1 [Source:Projected from Arabidopsis thaliana (AT1G10840) TAIR;Acc:AT1G10840] MANPAAAAGPSGGARSFLQAVSTVTEEAPSPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPMREDDEEADADGANYQLEMMRCLREVNVDNNTVGWYQSCLLGSFQTVELIETFMNYQENIRRCVCIVYDPSRSNQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMMELEPESPVSQCDFDRLKLQENMARKAAGEEPLPEEDPSNPIFKPIPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALQED >OGLUM04G09390.1 pep chromosome:ALNU02000000:4:15605531:15608004:-1 gene:OGLUM04G09390 transcript:OGLUM04G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFVASDTIDSLSTGAFYQPSNSHSKNCSDENQMHFRHDQMHSSQESVTYTNDQSGISGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >OGLUM04G09390.2 pep chromosome:ALNU02000000:4:15605531:15608004:-1 gene:OGLUM04G09390 transcript:OGLUM04G09390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGGGGGVGVGGIGGGEQILWDWQAAEQCESNAANHDVSRFMWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLLMQETRKLSTLKVSKGTSLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVIGKTRIRTDGGRGSITILRTKG >OGLUM04G09400.1 pep chromosome:ALNU02000000:4:15612223:15615478:-1 gene:OGLUM04G09400 transcript:OGLUM04G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum retention defective 2B [Source:Projected from Arabidopsis thaliana (AT3G25040) TAIR;Acc:AT3G25040] MTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDIFTDFISLYNTVMKMIFLGSSFSIVWYIRRHKMVRRSYDKDHDTFRHQFLVLPCFLLALLIHEKFTFREVMWTFSIYLEAVAILPQLVLLQRTRNVDNLTGQYVFFLGAYRALYILNWAYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSLKNNVKLTLPD >OGLUM04G09420.1 pep chromosome:ALNU02000000:4:15623813:15624694:-1 gene:OGLUM04G09420 transcript:OGLUM04G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSGSGCASHLRWGMRDRAGKLTRVIEEGGGIGAEEQHGKEWRWRCVWVRILPRRRHDFGEVARMKAWPHWGVLSWEIKEERSVRGIWLELKKTMRCRRIWRGFGMREGAAGGPSECLPMRGGGGEGVGNPTNQMYMTRKG >OGLUM04G09440.1 pep chromosome:ALNU02000000:4:15634995:15637679:1 gene:OGLUM04G09440 transcript:OGLUM04G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGSDLGLDNPTLTLAKHVCGHLVISHAGCRLEVAHKVGPGEMSEIGIAAHQLTHYRGQPLTHPLISSRFMQISSPPQIVPNSTHHASIHVASSASPSSRQRRGKTQNLIHIHFPTFSCSSSKLLSSPTSTALASFPIPGFVNARLFSSGFPHLKKLHLKNRHSKDLALVVDALLPAAATTITELVVERYAPSGHPTESRHRIGKDLIKIRETQVTLSLFFKL >OGLUM04G09450.1 pep chromosome:ALNU02000000:4:15640369:15644435:1 gene:OGLUM04G09450 transcript:OGLUM04G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGASANPGDPPSPASARSGQRKHVPDWLNSPIWSAPPPPARHRAPSPPRPPPPPPPPTQPAPPPPPPARSGGAGRGGGSDDGSDGDDDDAASSSRPHLVPEFTVALSRKVVDLAELRRLACQGVPDAAGVRPVVWKQLLLGYLPTDHALWAYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTISKGNRHNSEGTGVLPRAEIVHDEHPLSLGKTSVWNQFFQESETVEQIDRDVKRTHPEMQFFNGDSSDALSNQESLKRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPEENNALDNSVVGIRSTISKLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCIHIWDALLGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPIPY >OGLUM04G09460.1 pep chromosome:ALNU02000000:4:15645574:15649381:-1 gene:OGLUM04G09460 transcript:OGLUM04G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLSSPPARVVAKPNTAEEPHHPRSPKMPPAQIPRGISPLSSPTLAAPKLPTPPRHLLLLPSAGGAHPRLPLPSPLPLPRDSWTAGDPSPPHHLTRRATTSRAGARRLDDEQDEQAGGRRQPANQAAGREILGEEEQLLLFDRGRSPLIPSARIDQAISVQEIIDGAPLYVSSNCLPIKACKYEPAGHSFHAAALKLLGLGEQEDTETDDRSVSSDDKSQDFSAASDTFSSKGKKKSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQASLILAEATEEAKQAKKDEIESHFKAIQEAYEVLIDPTKRRIFDSTDEFDDDIPTDCAPQDFYKVFGPAFMRNGRWSVNQTIPSLGDDATPVEEVDKFYNFWYNFKSWREFPHADEYDLEQAESREHKRWMERQNAKLQEKAKKVEYARVRTLVDNAYKKDPRIQRRKEEEKAEKQRRKEAKYLAKKMQEEEAAKAAEEERKRKEEEAKRAAEAALNQKKLKEKEKKLLRKEKTRLRNLVAPVVAESHFSLSEDDVETACSSLDMERLKKLCDSMENKDTTEKARLLRGALSKEGSSGTSNEGKKIQANGVDGSKAKSTSSGEKVTQGNTLSNYEKKEEKPWGREEIELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPSIASPLSVRTETVGLPTEKASGDASSKAPAQPASSKTSDEKAPAPAPVSNGTPSGTADPEAWSEAQVLALVQALKAFPKDASQRWERVAAAVPGKTMVQCKKKVAEMQKNFRSKKGAE >OGLUM04G09470.1 pep chromosome:ALNU02000000:4:15658702:15663937:1 gene:OGLUM04G09470 transcript:OGLUM04G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >OGLUM04G09470.2 pep chromosome:ALNU02000000:4:15658702:15664305:1 gene:OGLUM04G09470 transcript:OGLUM04G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADQISTGRKRSIHDRIDGDQPAARAGAGGRGARNPPSKRQRQTDEKWKHDLYREDDEPASKSIDPRDLRLKLQKKSSQQSFAGQRGSGVRDLREMLSGTMHPQPVNADPPKAKPASEIVKVTRRENADVMPVRQSKKVPKPTSSKKTSQPKADSPLDIFLKSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIMLALESRA >OGLUM04G09480.1 pep chromosome:ALNU02000000:4:15677379:15681187:1 gene:OGLUM04G09480 transcript:OGLUM04G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFAGKSVAVSAISTIVRKSFDYLEKYAKAEGMKSVQERLERALPQVQVVFDAIDMERIRDQSEGLDAWLWQLRDAVEEAEDVLDEVEYYKLEKKVKTGGNKVSSSLYKCKRVVVQQFNSTFRAGTFKRLLGAIRKLDEVVVGVERFVLLVDRLDSCTSRHICHQEVSNPRETNSFSVDEIVIGRDTEKDQIVEWLIEQDNVHDHDVCAVNAFSIVGIGGMGKTTLAQAVYNDQRVKQCFDQAMWICVSNDFDVPALTKKIIQEITREGTNVTNFNALQEIVRENLKSKKFLLVFDDVWNDERRPDWEKLVAPLKFGQKGSKILLTTRMESVADIVERVLGGRTKSLRLEGLHEKDLLAIFNRHAFFEVNPDDYFNLQEIGKKITRKLSGCPLAAKIMGGLLNNSLDSIYWNRMLRENISNIEHNSEGIMKILRLNYHHLAPHLQACFRYCGMFREDYWFRKDELINFWMGSGLIQLSANENQRPEDIGEFYLGILTKKSFFELRLNKSTNLYEGYDLHEVNVPPKCGFIASEIMDLKDLRYLYIHCLENVNADEATLDKLGEKENLIMLSLTWKNSQQESDTEERVLNNLQPHMNLTKLKIKGYNGSRSPCWLGNTTIINLTYLYISNCSYWQHLPPLGELPSLKYLYLIRLISVKRIDSSFYGCERPFGFPSLEYLFIERLPALEEWVEMEGEHLFPRLKAIAVMGCKELRNIPALPSTVTYLEMDDVGLTTLHEPYRPNGTSETQKPSLSRLKICHCPYLKTLEQLNQFLSLEEIRIEHCKNLVQLPMDHLEMLPLLKCMSVEGCPMLMIPRATIRLPSSMRKLYVGSCGGTYESWLVNSLFGHTSLTTLTLYGCATPALPPVEVCKSLIALSCLEIIRCHELADLNGMEELTSLRELKVIGCNKLEELPVVSSQQFQASERNQVVTACTSYLGRLEKLQISSPFVLQWFPLRSVTSVINMTINSCRCLPEEWLMQNCNHLQRIGVRDASHLEFLPSIMASLTSLESLEFTRVMLMQSLPELPSSLRRLQILGCNPVLMQRCQKTRGHDWHKVAYIPDLLIVEDVPSGYSWHSYM >OGLUM04G09490.1 pep chromosome:ALNU02000000:4:15682559:15683837:1 gene:OGLUM04G09490 transcript:OGLUM04G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRGVGTTCMITVKCGSPCAKSPRPQIMCIYQIIKADVPVTCTLSNRVQFFLYICNGKNFIKKNEITPDLRLHQDANSLI >OGLUM04G09500.1 pep chromosome:ALNU02000000:4:15689708:15689965:-1 gene:OGLUM04G09500 transcript:OGLUM04G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGNSPSNELLCFLCAAAGVAAGVSAYRRKRRRREEEERRRVEAAVEEMEGWEFEAMRANYLALMDDALAALSAAAGAEPPAKT >OGLUM04G09510.1 pep chromosome:ALNU02000000:4:15691291:15696167:-1 gene:OGLUM04G09510 transcript:OGLUM04G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGFLCFGAAMMVSYCAAWLVDLALIGYRAAADEEAARFKDDVAGELRRRGGVGEDEGEVEMAIAKQMAILNQLGVYDAYTHAKRTTTAAAPAAPPALEKVVIAVAAGGVLLYHLARLAALVARGHREEKRRAARRREAYVAEARAARLEGLKREIRGKAVDWWSAHKKAAAAADWS >OGLUM04G09520.1 pep chromosome:ALNU02000000:4:15699390:15730643:1 gene:OGLUM04G09520 transcript:OGLUM04G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31740) TAIR;Acc:AT2G31740] MATTPAAAAAAAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLRAPLLSLLHGCGGGAEGGGGGKAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFACLTLAESHVLALLLSEFRFGWDMSIQAIGNESSKSAFQTFMVVMVKGKMGVVHPIQSLLDQSGKFCNMKQANDVIHSLEKENTIRESYSSGVDVTLSLRDLQLGAVGDLKVIIPGRRRMFILGDQGNSLYCYKAVLLDARKRTETFVYHCGVFIVPKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIPFMMAGDGVKQREILQEVTSEITGPMVVEDVVYENSDEDQSSMTEKMFRRLIFKRNSGLVQSEALLVKDSTSDKADENNKKSPSASKKRRNQKKGPSGSKTVLRIDHSYLGSSYHSSIISGLSLIASALDSAAVAGTKVSTTVIGLGAGTLPMFLRGCLPFLDIKVVELDPLVEEVAKKYFGFSTDEQLQVHLGDGIKFIDDIAVANSGATTQQLMSTGNENNAVKILIVDVDSSDVSSGLSCPHANFVEDSFLLAVKKFLDEGGLFIINLVSRSSAVREMVVSRLKAAFEHLYSLHLEEDLNEVLFATPSERCLDNNNMDEAVAKLKAMLKFPVNVESDMNKLQKLQ >OGLUM04G09520.2 pep chromosome:ALNU02000000:4:15699390:15703840:1 gene:OGLUM04G09520 transcript:OGLUM04G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G31740) TAIR;Acc:AT2G31740] MATTPAAAAAAAAGGAAILDTLGDFTSRENWDKFFALRGTGDSFEWYAEWEDLRAPLLSLLHGCGGGAEGGGGGKAPEILVPGCGSSVLSERLYDAGFRRVTNVDFSRVLVADMLRRHARARPEMRWRVMDMTDMQFTDGSFDVILDKGGLDALMEPEAGTKLGMKYLNEAKRVLKSGGKFACLTLAESHVLALLLSEFRFGWDMSIQAIGNESSKSAFQTFMVVMVKGKMGVVHPIQSLLDQSGKFCNMKQANDVIHSLEKENTIRESYSSGVDVTLSLRDLQLGAVGDLKVRAHEWLFASEEGQWHVVESAKAARLIMVFLDSRHANADMDVIKNDLSPLVKDLEPGNPEEEARIP >OGLUM04G09530.1 pep chromosome:ALNU02000000:4:15717551:15719072:-1 gene:OGLUM04G09530 transcript:OGLUM04G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVWDYGERTGLKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGAL >OGLUM04G09540.1 pep chromosome:ALNU02000000:4:15734381:15736619:-1 gene:OGLUM04G09540 transcript:OGLUM04G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKETRGDGHGGGCGGGRDCCAWRQRRRGGGGGPRGSRRWPAMGTALGGGGCAMGRRRRRRSATGTARGESGGDGAGEGERAATR >OGLUM04G09550.1 pep chromosome:ALNU02000000:4:15735564:15742493:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQVYAPHVLRLKRENRGQGLFCNMWKTRGFFAKKHPLPRSRSLSLSRAVASAFPSRRARRRAPPPPPPHRAATSAQRRPHRRPPPTPPRAASAASPSLSPSAAVSASATATAVPVAARLLRRHRQRPQLRPPLPSKKRGWSQSRRRWLRDGEAEAVQMQCNFWLLAARHFSLRLLE >OGLUM04G09550.2 pep chromosome:ALNU02000000:4:15735564:15742496:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQVYAPHVLRLKRENRGQGLFCNMWKTRGFFAKKHPLPRSRSLSLSRAVASAFPSRRARRRAPPPPPPHRAATSAQRRPHRRPPPTPPRAASAASPSLSPSAAVSASATATAVPVAARLLRRHRQRPQLRPPLPSKKRGWSQSRRRWLRDGEAEAVQMQCNFWLLAARHFSLRLLE >OGLUM04G09550.3 pep chromosome:ALNU02000000:4:15736605:15742498:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGCWNSGSCGGSTQMLIEMVLDLGAAVLNCRESDHEFVVTVNIFLALRGLLWFLSHGNVFLVMVFVKKKNLLTSMQANKTRVSLSVVISGAWHMTYLWQLNFDAAPLQMVETGFSVDYLQMMEKELMSEIKVMDMVWNCKFFGTPLLFMDITNTGSIMVVIDDCSRFRIGCLPGNLILTCFSQGAFVDPRKDREHQYGQAARQWRPRL >OGLUM04G09550.4 pep chromosome:ALNU02000000:4:15735843:15740421:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQPLALPLPRRRLRFPLAPCPSPSAAAAASPSRSHLRPAPSPSPATSDSPSGRLRRLAVAVAKRSSLCLRHSHRRARRRASPSSPSPTAATPPSVAVEETGMVTEPTTVAARWGGGGGTDAMQLLAACCSSLLPQVIVFVYPHVFCLVHRLCREEEHEAAGIVEAVEDLLRC >OGLUM04G09550.5 pep chromosome:ALNU02000000:4:15735843:15740421:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQPLALPLPRRRLRFPLAPCPSPSAAAAASPSRSHLRPAPSPSPATSDSPSGRLRRLAVAVAKRSSLCLRHSHRRARRRASPSSPSPTAATPPSVAVEETGMVTEPTTVAARWGGGGGTDAMQLLAACCSSLLPQAAGIVEAVEDLLRC >OGLUM04G09550.6 pep chromosome:ALNU02000000:4:15735564:15742496:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQVYAPHVLRLKRENRGQGLFCNMWKTRGFFAKKHPLPRSRSLSLSRAVASAFPSRRARRRAPPPPPPHRAATSAQRRPHRRPPPTPPRAASAASPSLSPSAAVSASATATAVPVAARLLRRHRQRPQLRPPLPSKKRGWSQSRRRWLRDGEAEAVQMQCNFWLLAARHFSLRLLE >OGLUM04G09550.7 pep chromosome:ALNU02000000:4:15735843:15739334:1 gene:OGLUM04G09550 transcript:OGLUM04G09550.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVSVSELMRREQENAGATSALLAGEETGRIRKGGGGRAALALDFSRKSPPIFRNQPLALPLPRRRLRFPLAPCPSPSAAAAASPSRSHLRPAPSPSPATSDSPSGRLRRLAVAVAKRSSLCLRHSHRRARRRASPSSPSPTAATPPSVAVEETGMVTEPTTVAARWGGGGGTDAMQLLAACCSSLLPQAAGIVEAVEDLLRC >OGLUM04G09560.1 pep chromosome:ALNU02000000:4:15747490:15751484:1 gene:OGLUM04G09560 transcript:OGLUM04G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPDIPAFGNWDTTGNTPYTQKFENARKNKKTGISSHPNDPRRHPERPTKSPLHPAYTPDAQGQSPMNPQHGRRQEADPHRRHSLSQQREVGGGTGSAPRSPYRMVHGSASPAQPNNPSKPKHRSSGMQTPERRASSEGHGQRTPRRSRDKQGGRGYDAPEDDVAVPPFGEWDEGNAASGEKFTGIFNRVRDDKLSPNTSTRQPDTNRSQENKVKQAAA >OGLUM04G09570.1 pep chromosome:ALNU02000000:4:15751965:15754424:-1 gene:OGLUM04G09570 transcript:OGLUM04G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-photochemical quenching 1 [Source:Projected from Arabidopsis thaliana (AT1G08550) TAIR;Acc:AT1G08550] MVSYVGPSTARPTSGRAWAGYSGAVALARPICPALGPCGFASSPPHKEEEGEPSQAKPLRGARVIHLPPAAALSPLLELSIPSSSLRRPPQPATLMMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRYHRCCPPRAHLWRKGDHLPLHPAKIPARCSEIKVHTVLQASDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDIGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSGFFTRSAIQRFVQDPAQPAILYNHDNENDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >OGLUM04G09570.2 pep chromosome:ALNU02000000:4:15751965:15754424:-1 gene:OGLUM04G09570 transcript:OGLUM04G09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-photochemical quenching 1 [Source:Projected from Arabidopsis thaliana (AT1G08550) TAIR;Acc:AT1G08550] MVSYVGPSTARPTSGRAWAGYSGAVALARPICPALGPCGFASSPPHKEEEGEPSQAKPLRGARVIHLPPAAALSPLLELSIPSSSLRRPPQPATLMMPRQCGNRALLAEGSSTVGVVHGRKTRGGISTVTSSRRRSHGGVRYHRCCPPRAHLWRKGDHLPLHPAKIPARCSEIKVHTVLQASDALSSIREWSRSHLVTMTGLVACAVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENTVVDEFNECAVSRKKCVPQKSDIGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFRVEGDKLIANLTWRIRTPDSGFFTRSAIQRFVQDPAQPAILYNHDNEFLHYQDDWYIISSKVENKEDDYIFVYYRGRNDAWDGYGGAVLYTRSKVVPESIVPELERAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEQGEKTIIREVQEIEGEIEGEVKELEEEEVTLFKRLTDGLMEVKQDLMNFFQGLSKEEMELLDQMNMEATEVEKVFSRALPIRKLR >OGLUM04G09580.1 pep chromosome:ALNU02000000:4:15754910:15760002:1 gene:OGLUM04G09580 transcript:OGLUM04G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLGEDAADAPLDAVAIRSRLERLALSRRGEEEASSAATAAAEEAVRRLPSVEDVEPLQGLEFDAWASSAAPMESDFVAFMEWLRKEISLAEEENRKLSVEISSVAETTLKDSIQLDVDIAELESSLKKIDSEGLKHLEASHIAELSVSTDSCRDQIKFDKDYKYEVLELNQQLEKYENDLKLLENQKSAEAMWELESMLSEANVLDFKDNCLRVFLKEAVLTPECLMYGKESDCSVNSFVSDHELLIEVGENMEPKKVQIFPDDTCVDILLDKLKASRETISTTSLGWIIRQFQHHIIINTLRRSLVKDANNSRHSFEYIDKDGTILAHLAGGIDAFIKISADWPLSSCGLKLISIHSSRAQSADISLALLCKTKELANGLELQTRRHLVKYVDAIEDILFREMRS >OGLUM04G09590.1 pep chromosome:ALNU02000000:4:15761219:15764961:-1 gene:OGLUM04G09590 transcript:OGLUM04G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZJT1] MAFAASHTASPSSCGGVAQRRSNGMSPVVAMASTINRVKTAKKPYTPPREVHLQVKHSLPPQKREIFDSLQPWAKENLLNLLKPVEKSWQPQDFLPDPSSDGFYDEVKELRERAKEIPDDYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKISMPAHLMYDGKDDNLFEHFSAVAQRLGVYTARDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWVYDRKVQL >OGLUM04G09600.1 pep chromosome:ALNU02000000:4:15797555:15797901:1 gene:OGLUM04G09600 transcript:OGLUM04G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRRVLLGGEGAIGGGLEVADAWPVGIGEVDWARGMRWNEGTGLGLLDSLMLADKDTSNR >OGLUM04G09610.1 pep chromosome:ALNU02000000:4:15808150:15809935:1 gene:OGLUM04G09610 transcript:OGLUM04G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKFSASSIPNSPWLSGRSLCKPWRLVVSSAGAASQFILSTFTAFLQRCLSSQQRCGASRRRRTHGDAGLASATTHLELSTLCLSPHHLPPHHRPSFRRTTSSMAATRELAGVRPLLLPWQCPPLSPDDELDGGSTKHGESSPGPVVSSLAGGPPLPAGDEETDVEVGWQFGRSGR >OGLUM04G09610.2 pep chromosome:ALNU02000000:4:15808599:15809935:1 gene:OGLUM04G09610 transcript:OGLUM04G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRRGPSSPPWPAALLSQLAMRRRMSSLADQGDDMDDGNTLRWRRGSGVAEPWTAGSLFFFLLADPMEDSAVTKSHHRHGLMGSWGQDGIKSPNMKSNGKS >OGLUM04G09620.1 pep chromosome:ALNU02000000:4:15818366:15820963:1 gene:OGLUM04G09620 transcript:OGLUM04G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRFRDKAAGDGSRPASSPNAGKFAAPVAGGGGGAADVADESPDQDSTRNGSKDESFFEARPWLDSDSEDDFHSVRGDFTPSRGTTPDHQRQSPFAGRIWVDRSEPSLIEKKQRLLELLQEKQQYDDDSVADVGSEIENGAVHAEEYLKSSRKGAKANRASKSRGGCFPSSFWKIKFRSCRKKRKEQND >OGLUM04G09630.1 pep chromosome:ALNU02000000:4:15823393:15827803:-1 gene:OGLUM04G09630 transcript:OGLUM04G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHAVPARHGPSPDFPRTPREIAAAAAANPSSAASAPSPCRPLPHRPPPTPAVVRLATSDPPLVTHDAPLPLQAASRGRPGPIPPTRRTPPVHTGTTSASSAMSTPAQPRLHPSFHVSTPLTHPHHHTHTQTDGALLLLLMSLVAAREPFVKAQTCLPATMQPKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEEQIYALITGDGGKGSCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLLCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSTTLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTVPAGYEWHTSTLKPSGMCLCSVTIES >OGLUM04G09630.2 pep chromosome:ALNU02000000:4:15823391:15824781:-1 gene:OGLUM04G09630 transcript:OGLUM04G09630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVAAREPFVKAQTCLPATMQPKFPTRTQGDSYGALIPGLPEDLAKVCLALVPRSYFPVMGAVSKRWMSFIGSKEFIAVRKEVGRLEEQIYALITGDGGKGSCWEVLGSLEQQNRMLPPMPGLTKAGFSVVVLDGKLLVMAGYVVDYGKECVSDEVYQYDARLNRWAALAKMNVARRDFACAEVNGAVYVAGGFGSDGDGLSSVEVYDPQRNKWTIIESLRRPRWGSFACSFNGKLYIMGGRSSFTIGNSRFIDVYDPILHSWTEIKKGCVMVTSHAVIDKRLLCIEWKNQRSLAIFNPSDSSWQKILVPLTGSSTTLFSLGVLDGKLLLFSQEEEPGYQTLMYDPTVPAGYEWHTSTLKPSGMCLCSVTIES >OGLUM04G09640.1 pep chromosome:ALNU02000000:4:15835790:15839074:1 gene:OGLUM04G09640 transcript:OGLUM04G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLEILLVVRLSSGHSRRVIVVCLPPPPLPLADSPCPNPLPPPGAPATSLTAHTGRCRDNATTARAKSSDGYPIEVSFVFADPPALTRCFVHCPAGLTAGEFSRSPPSITGADGAFLLLRVIFPHRSDRCMVTDWFVYKSGPGTPSLELLIQRPNPLDVVSRRAAVLSCGDHCLVVDPEWRFHDDDRMKFHLHIFSSKTKRWSNKVAKLGRGMEAFNPFFLPTKVLCVVRGGSMAWVDFRNSILLLDSVPGNCPEVSLIRLPPLMPINNVDSGGSPDGPCVDLVRDVTCRDGWFKFIEMGFPYLDPHDAQLNRGWEATMFKRKIRSDNYWQWEPCGTVDSASLLPADSCVACLFPEIFDCNEHKLALNNVVSSFPTLDLYCDDVVYMMTKIKADDPDGWIFAINTENNRLEEISPFSQENCHLHPIYLQCDLSKHLMNKALEEERRRRRFRDAENWRGGGAAVVVRG >OGLUM04G09640.2 pep chromosome:ALNU02000000:4:15837404:15839074:1 gene:OGLUM04G09640 transcript:OGLUM04G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRSPPSITGADGAFLLLRVIFPHRSDRCMVTDWFVYKSGPGTPSLELLIQRPNPLDVVSRRAAVLSCGDHCLVVDPEWRFHDDDRMKFHLHIFSSKTKRWSNKVAKLGRGMEAFNPFFLPTKVLCVVRGGSMAWVDFRNSILLLDSVPGNCPEVSLIRLPPLMPINNVDSGGSPDGPCVDLVRDVTCRDGWFKFIEMGFPYLDPHDAQLNRGWEATMFKRKIRSDNYWQWEPCGTVDSASLLPADSCVACLFPEIFDCNEHKLALNNVVSSFPTLDLYCDDVVYMMTKIKADDPDGWIFAINTENNRLEEISPFSQENCHLHPIYLQCDLSKHLMNKALEEERRRRRFRDAENWRGGGAAVVVRG >OGLUM04G09650.1 pep chromosome:ALNU02000000:4:15847038:15847286:-1 gene:OGLUM04G09650 transcript:OGLUM04G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAMLGSGKTATASPAGGSNTASQGSGKTAVASHASESSTASQGLRKTAGGGGFARIRQDGGRRRLRSWNLRRVAASDKD >OGLUM04G09660.1 pep chromosome:ALNU02000000:4:15850755:15854656:-1 gene:OGLUM04G09660 transcript:OGLUM04G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKGVAPMSGSEYDSAETLSDVPFIGSDADSKDEGNTSAMTFPLGKQPLDVAPLNAIPFNEVQGSNRKEVSSKEVVSIPQWLKEHKLYKDGDWEVSISIRATGQKDWSYHHREYQATIRSKPEVELFMETTLQNRTNIFKGRKLQKKWRMDSCAEGSTGGSKSTKRKKINSSTEKKKPLSIGNEPLKLTLPHGFV >OGLUM04G09670.1 pep chromosome:ALNU02000000:4:15858309:15865260:-1 gene:OGLUM04G09670 transcript:OGLUM04G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEAPKSIAPMSDSEYDSVATLTDNPFVGIDADLEDEENTSAMTLPLGNQPLDVVPLSAIPFSQVQALNRKVVSGKKEVHKLYKDGDWKVSISIRANGHKDWFYNHREYKKTFRSKPEVELFMERTLLHGTDIFNGRKLHKKKAMGSYGEGSGGSTSSMGEKKNTNTKRQKPSSIGDDPMMLKPTLPHDEVDNMRQ >OGLUM04G09680.1 pep chromosome:ALNU02000000:4:15897455:15912686:1 gene:OGLUM04G09680 transcript:OGLUM04G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWLLALALPCGDFFCGVDGVRLRRRPAATSGGARRQAGKAKRERAACSGAAAWAQARSAGRRTAGVEQPPAAAAPKSRLAGGCSLYFLLRSKKISILSQGLKLDILKRINNNYDPEGS >OGLUM04G09690.1 pep chromosome:ALNU02000000:4:15916737:15926539:-1 gene:OGLUM04G09690 transcript:OGLUM04G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAAAAAEAPATVGQAVIPLVNRLQDIVARLDGGGGGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHPAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNAILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLTSELQKYPILKKRMGEAMDYINTSHPNFVGGNKVVELAKQEILPPKAPISVTIPKDGTAISSEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVPRVHSLDNLLSIIQLREPPITLKPSENQPAQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQRIQETLQVLEQAHRVEKGYSPAEYATGLPKIHGLSNGDPSMIYVSSPNHNRKKASHEDQHGSVASYSSTSYPDANGGLLST >OGLUM04G09690.2 pep chromosome:ALNU02000000:4:15916737:15926539:-1 gene:OGLUM04G09690 transcript:OGLUM04G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPAAAAAAAEAPATVGQAVIPLVNRLQDIVARLDGGGGGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHPAPEEWGEFLHAPARRFHDFDQIKREIQLETDKEAGGNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRSMIMQYIKHPSCIILAVTPANADLANSDALQLAKLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFKRSVKDALAFEEKFFSTLPAYHGLTHCCGVPQLAKKLNAILLKHITYMLPGLKSRINSQLVAVAKEHAAYGDTAESTAGQGVKLLNILRKYCEAFSSMVEGKNKVSTDELSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTAIQNSDGPKGPMFLPELPFEILVRRQISRLLDPSLQCANFIYDELVKISRGCLTSELQKYPILKKRMGEAMDYINTSHPNFVGGNKVVELAKQEILPPKAPISVTIPKDGTAISSEIQLTSDRSQKSRAIFARDATRGATSDQGVQPDADTGTSVAGRNQRGHSLVAGSSSSKSVPRVHSLDNLLSIIQLREPPITLKPSENQPAQDATEVAIVKLLIKSYYDIVRKSIEDAVPKAIMHFLVNHTKRELHNVLIRKLYRENLLDEMLRETDEVIIRRQRIQETLQVLEQAHRTLEEFPLEAEKVEKGYSPAEYATGLPKIHGLSNGDPSMIYVSSPNHNRKKASHEDQHGSVASYSSTSYPDANGGLLST >OGLUM04G09700.1 pep chromosome:ALNU02000000:4:15930256:15943479:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAERKKHKLVQSSDDKDEEQERKPKIEFAPNSSPFPDPWSLTRSPVSDARQEDAPPPPIRRGDADVPCARAAAAAAAAKPARLRVVPPRRRWSPVTRRRLAASFGWRRRASYPIHPAPCRRPQEAETPKSQLPTAKADRSTSDAMPLLRRLPAAPHRTPIHHDQHLHLFNTHNTRNRGEKVQYDRATISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSSRASDLCTGDATVRISHQQAIKLTNLLCCWIDIGVLLPWRGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYASLPFTAANLQRLPPSSPSLTRGGASPASCSPSSTGDREDGVARSCSRRLKNVRHSGKAACELSRRRAPADEDRELLRPSTFHRRSPPSIRLLTPPVLRRYFASLPSIPAGIRYQVPTCAVLLRLQRSDTGDEFLVLDVELLRSAGVRGGGGEGAGGECGTAQREGGAGVTLRDGATEKDSAREKRAPRPGGTMRVRRGLPVLDSGAGTADYGPERGVVRKWRDCGVAGDDGRAAAQARWSVLCGAARLGSALAVRDGAAPGVQQLAATRGSDGARGCRQRPAASSSRCSAVQGTADGQQFFVVMWPAQSCVCSVHATETNRVTARRASVRRRRTASAALQHGVSRREEENKKIEERLTSLTHIIVDLIYVWVVNPASRAAVIRIGTSSSPKMPPPMAMATPAASATLTLLLPASPPMRLRARRPPARTSRSRPLLLRASCAYALREGQSQRFHRLPCGLDLEVIAQQPPAPATGGGGAAARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFIRNEVSLPPVLIGHSFGGLIVQQYISCLGELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTVNILVVGASSDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >OGLUM04G09700.2 pep chromosome:ALNU02000000:4:15930256:15943479:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAERKKHKLVQSSDDKDEEQERKPKIEFAPNSSPFPDPWSLTRSPVSDARQEDAPPPPIRRGDADVPCARAAAAAAAAKPARLRVVPPRRRWSPVTRRRLAASFGWRRRASYPIHPAPCRRPQEAETPKSQLPTAKADRSTSDAMPLLRRLPAAPHRTPIHHDQHLHLFNTHNTRNRGEKVQYDRATISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSVMPLSGFLISKLLNLPTYYAAGLILVSCCPGVLNSSSDIQTGGTICCSRSNGIVCVNISGAGNQSDVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYASLPFTAANLQRLPPSSPSLTRGGASPASCSPSSTGDREDGVARSCSRRLKNVRHSGKAACELSRRRAPADEDRELLRPSTFHRRSPPSIRLLTPPVLRRYFASLPSIPAGIRYQVPTCAVLLRLQRSDTGDEFLVLDVELLRSAGVRGGGGEGAGGECGTAQREGGAGVTLRDGATEKDSAREKRAPRPGGTMRVRRGLPVLDSGAGTADYGPERGVVRKWRDCGVAGDDGRAAAQARWSVLCGAARLGSALAVRDGAAPGVQQLAATRGSDGARGCRQRPAASSSRCSAVQGTADGQQFFVVMWPAQSCVCSVHATETNRVTARRASVRRRRTASAALQHGVSRREEENKKIEERLTSLTHIIVDLIYVWVVNPASRAAVIRIGTSSSPKMPPPMAMATPAASATLTLLLPASPPMRLRARRPPARTSRSRPLLLRASCAYALREGQSQRFHRLPCGLDLEVIAQQPPAPATGGGGAAARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFIRNEVSLPPVLIGHSFGGLIVQQYISCLGELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTVNILVVGASSDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >OGLUM04G09700.3 pep chromosome:ALNU02000000:4:15938076:15943479:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFLVLDVELLRSAGVRGGGGEGAGGECGTAQREGGAGVTLRDGATEKDSAREKRAPRPGGTMRVRRGLPVLDSGAGTADYGPERGVVRKWRDCGVAGDDGRAAAQARWSVLCGAARLGSALAVRDGAAPGVQQLAATRGSDGARGCRQRPAASSSRCSAVQGTADGQQFFVVMWPAQSCVCSVHATETNRVTARRASVRRRRTASAALQHGVSRREEENKKIEERLTSLTHIIVDLIYVWVVNPASRAAVIRIGTSSSPKMPPPMAMATPAASATLTLLLPASPPMRLRARRPPARTSRSRPLLLRASCAYALREGQSQRFHRLPCGLDLEVIAQQPPAPATGGGGAAARPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPPEKVAGTLETHTGDIADFIRNEVSLPPVLIGHSFGGLIVQQYISCLGELLHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAIKVTLSLAAKRFANSLSLCKETFFSPEMDDDLVQRYQGLMKDSSKLPLFDLRKLNASLPVASVPNNTVNILVVGASSDFIVDAEGLSETARFYNVQPVCIEGIAHDMMLDCSWDKGAGIILSWLEKLTPR >OGLUM04G09700.4 pep chromosome:ALNU02000000:4:15931209:15936813:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRRLPAAPHRTPIHHDQHLHLFNTHNTRNRGEKVQYDRATISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSVMPLSGFLISKLLNLPTYYAAGLILVSCCPGGTASNIVTYLARGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >OGLUM04G09700.5 pep chromosome:ALNU02000000:4:15930256:15936266:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAERKKHKLVQSSDDKDEEQERKPKIEFAPNSSPFPDPWSLTRSPVSDARQEDAPPPPIRRGDADVPCARAAAAAAAAKPARLRVVPPRRRWSPVTRRRLAASFGWRRRASYPIHPAPCRRPQEAETPKSQLPTAKADRSTSDAMPLLRRLPAAPHRTPIHHDQHLHLFNTHNTRNRGEKVQYDRATISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSVMPLSGFLISKLLNLPTYYAAGLILVSCCPGASLCGRGNVALSVLMTAASTFAAAFLTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >OGLUM04G09700.6 pep chromosome:ALNU02000000:4:15930256:15936266:1 gene:OGLUM04G09700 transcript:OGLUM04G09700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAERKKHKLVQSSDDKDEEQERKPKIEFAPNSSPFPDPWSLTRSPVSDARQEDAPPPPIRRGDADVPCARAAAAAAAAKPARLRVVPPRRRWSPVTRRRLAASFGWRRRASYPIHPAPCRRPQEAETPKSQLPTAKADRSTSDAMPLLRRLPAAPHRTPIHHDQHLHLFNTHNTRNRGEKVQYDRATISSLPVTCLRIRYSSNNPVRHLRGIPSSRCHAAADPAPSKIPGGGSGALEAGVVGWRDLLLQVGEVLSLGFPVWVASACAVALWRPPAFLWVSPMAQIVGISFTMLGMGMTLTLDDLKTALLMPKELAAGFLLQYSVMPLSGFLISKLLNLPTYYAAGLILVSCCPGVLNSSSDIQTGGTICCSRSNGIVVLAPVLLGALLNQYCNGLVQLVSPLMPFIAVATVAVLCGNAIAQNASAILSSGLQVVMSVCWLHASGFFFGYVLSRTIGIDISSSRTISIEVGMQNSVLGVVLASKHFGNPLTAVPCAVSSVCHSVYGSLLAGIWRSLPPNDKGQ >OGLUM04G09710.1 pep chromosome:ALNU02000000:4:15944648:15945028:1 gene:OGLUM04G09710 transcript:OGLUM04G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAVSIILLAVAVVPLAAAVAGNNNMQIVPTTSDQPAGGESTAVVADVAEALIISRPPWEGGGVAGGAGGAQAMSECMEKTLYTGPCLEALCTAACILELNNGGHCRGGFLFFKKCSCFLCF >OGLUM04G09720.1 pep chromosome:ALNU02000000:4:15951364:15956861:1 gene:OGLUM04G09720 transcript:OGLUM04G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIVGAAPRGVEGARPGGDLLCVVRALLKKIRRRVLVGDRVLVGAVDWAGGRGVIEGVFERRAEVADPPVANVDRLVLLFALDQPQPEPATLTRFLVDAESTGIPFVLVFNKVELVDDKTISYWRDRMKSWGYDPLFLSVDQKSGLSALEETLEGQTTVIVGPSGVGKSSLINALRSNQNISEEDPIHKLVEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIAGGGFLADTPGFNQPSLMKVTKKGLAETFPEIRKMLAENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQLLDEIKIRESFQLRTFGTKREGDVRYKTGVMGVKQAEPRLQLKKHRRVSRKKINQSILDDIDDELDEDNWFDVKQRSRKR >OGLUM04G09730.1 pep chromosome:ALNU02000000:4:15968030:15970754:1 gene:OGLUM04G09730 transcript:OGLUM04G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHHLLLQLSPPPPPPPLPAAHLMMSPSFFDAGVFADVGGDWMEDLMHLGELFGVGVGGDDDDNGGVDGGVGGGDDRMQEWQNNCEGGGSPDHQPSCGDGDGDGDGDVSPRDGELGDGDGDGDNSATRKRRDRSKTIVSERKRRVRMKEKLYELRALVPNITKMDKASIIADAVVYVKDLQAHARKLKEEVAALEEARPIRPPPPSAAAQRPQRQPRRVAAAAAQLARAADAAAVTTAAAAPHGARVAHVGAAQVGEGQFFVTVECEPAAAAARGGGGGVAAPVCAAVESLSCFTVESSTVGCSPDRVVATLTLKVSEAEEDVSAISECTVKLWVMAALLKEGFRPQPTVQIS >OGLUM04G09740.1 pep chromosome:ALNU02000000:4:15975143:15976742:1 gene:OGLUM04G09740 transcript:OGLUM04G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERAVAADLKMGRATEADLVVGWAATVTTMSSDDDERWPSDGDEERDCWREVRENKRNRYLRTKVVFGGEGRSRVRAREEVAARREKRRPGWRGGGLALPSIRSGGRGGGDGGATAAGPEEEFVCHEGLRPTCRNRLNHQTSAKSQMDTKGETKGRSPWAKPDDED >OGLUM04G09750.1 pep chromosome:ALNU02000000:4:15978046:15981143:1 gene:OGLUM04G09750 transcript:OGLUM04G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPASPPAARQCLSFTSHRIAACRREAPLMKGKSEEEETAAAAAAAVGANSAGGVDLTPAAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFESRVDAALHRKKVDIQEALKSPPALQRTLRIYVFNTFANQAPRTIPPPKNAEPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSSFFKRVTIALDPSLYPENPLIIWENARSAAPQEGFEVKRKGDKEFSANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRSRVIAALWQYIKAKKLQNPTDPSFFMCDPQLKKVFGEDKLRFAMLSQKISQHLSPPPPINLEHKIKLSGNGAHASACYDVIVDVPFPLQKEMSAFLANTEKHKDIEACDEVISASIKKIHEHRRRRAFFLGFSQSPVEFINALIASQSKDLKLIAGEANRNIERERRADFYNQPWVEDAVIRYLNRKPASGNEGPGGGAGGS >OGLUM04G09760.1 pep chromosome:ALNU02000000:4:15983232:15985298:1 gene:OGLUM04G09760 transcript:OGLUM04G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPPAPPHPQPEELEVAEEPPAAAGGVGNDKVLAAAQHIVKSLATSKNAADDMIRILSGFDHRFSSITTDLFPSPSPSSGAGPTPPPPPPPRGAFEAAERLIRQWDATPELLVFEGPEGDVADYLEAVDVAVDQLLSGVGAAAADAEAEAAGVVVQLAMARMEEELRHLMVRHAVPLDASGLFCSLRRLSLESMDDLDTSSEFDPITPHSLEGGPDTARSASLVGNPFDDQVFDLVRPEAIDDLRSIAQRMDRAGYASELEQVYCGVRRDLLDECLAVLGVERLSIDEVQRMEWKLLNDKMKKWVHGVKTVVHSLLTGERRICDQVLAVSDELRDECFVESTKGCIMQILNFGDAVAVCSRSPEKLSRILDMYEALAEVIPELKELFFGNSGNDVICDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDKLLGDDSAGDVDHSDTHRGGDDEEEYLESLSPLGRHLVKLISYLEANLEEKSKLYEDGALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKNYLRISWTKVLSFLKDDAHGGRSGSGSGSGNSSRIKEKFKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGSLVDSGRNSGRYIKYTPEDLENQLSDLFEGSLGPANHSRRR >OGLUM04G09770.1 pep chromosome:ALNU02000000:4:15989325:15994359:-1 gene:OGLUM04G09770 transcript:OGLUM04G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIAVASFPRPLGSQVIEIPSNASLADTVEILSKNKILSAPIRNVDAPEDASWIDKYIGIVEFAGIAMWLLYQSEAAANGTAGSAVGSPVANLVSRLGSFTFRRTSSGRVETTTDPESDETTSVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQTSDTFLTMLLLLSKYRMKSLPVVDIGGDKIENIITQSSVVHMLAECVGLPWFESWGTKKLSELGLPLMKPCKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGTKAIGNISIRDVQYLLTAPNIYKDYRTITAKDFLTAVRQHLQEQHEASPLLGSVITCRRDDEVKDIILKLDSEKIHRIYVIDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPPNSTNIGSMVSSSAYQP >OGLUM04G09780.1 pep chromosome:ALNU02000000:4:16000234:16000839:-1 gene:OGLUM04G09780 transcript:OGLUM04G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKASMFLKQMVSTIVAVVKAKSTAVRAKTSAMKTRLLIFGVLRNRKLLATAINHKIHAIMGGAAAQDTTNDGGVAGVEDDDGGGSKKAVVLYNTVPSFLTERGYYDHAGEEEEEEEDSDEYLTHSLFQEEDDEDDELVNAPGSVIDLVRDAKEGEGGEFRLEDEIDHVADVFIRRIHKQLKLQKLDSFKRFCEMLERSA >OGLUM04G09790.1 pep chromosome:ALNU02000000:4:16003388:16003897:-1 gene:OGLUM04G09790 transcript:OGLUM04G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHRRSMRLLRVRLSRKAAINGPQNKLILYKMLQGLRGGQLMSSRKSRIPPFLSIQRRRPTNIHHRLDRPCLEGIRAVGDADLGGAEEEEIGDGGVETKIASGCKPNIRN >OGLUM04G09800.1 pep chromosome:ALNU02000000:4:16010924:16016883:1 gene:OGLUM04G09800 transcript:OGLUM04G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting 11 [Source:Projected from Arabidopsis thaliana (AT2G05170) TAIR;Acc:AT2G05170] MYQWRKFEFFEEKAAGRGVPGEIASRVSCCSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAYASSVLFLQQLKQRNVLITVGDDDQPSSQSSAICLKVFDLDKVQEEGSSTTSPFCVQILRIFTKQFPQAKITSFVVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFMLQVEDGTSLPITGLGFRVEGQAHQLFAVTPSSITLFSLHDHPPRRQTLDQIGCETNAVAMSDRMDLIIGRPEAVYFYEIDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRSRKNTLNVYDLKNRLIAHSMPVGDVSHLVSEWGYIILIMSDKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNHFIKDEDGVGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDGGDPMTRRGSNSMHLLMIPSPMDFVNIFVHSPKHLMEFLENYTKAVKDSPAQTEIHNTLLELYISKDLSFPSMSQENGFEEQNSKERKGKEVANGYKSGPREKGNLGKEDMIVAKDIVDRQRKGLALLKSAWTSEMDDPLYDVDLALIICNANAFKDGLLFLYEKLKLFKEVISCYKQAHDHEGLIACCKKLGDSSQGGDPSLWGDLLKYFSELGEDCSKEVKEVLTYIEKEDVLPPIVVLETLSKNPCLTLSVVKDYIARKLEQESKLIEEDRKSIDKYQDETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEHNARDHDLFFRQLRGSKDGFSVVADYFSKGIVSKTAIPPENGR >OGLUM04G09810.1 pep chromosome:ALNU02000000:4:16020960:16024187:1 gene:OGLUM04G09810 transcript:OGLUM04G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATAMEIVTCSQDDVSRCPQEKTVNLHDLHNSLIASKELVRVLAHIWGPGELNPSTTSLISALRSEIDLARSHVRKLIKEQKSEGIESLKKQLVQEMESWKSKQKEKAANALQYIVSELDSEKKSRRRAERINKKLGMALANTEASLQAATKELERERKSKGRVEKICTELIRGIGEDKAEVEALKKETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAINQLHDELQAYLDTKKEHGQSNDQMTLLRASENGREIADNIQKNSGERDDEDEDDDDDDSASEGSDMHSIELNVDGNSKSYTWSYTPTSKDRKRNASFSQRGMDSGSSCGFDRKFQETGEELLEGDWAEGCSNGMLNFEHDEERYLAIKNLREQMLAGSGFIVSQGREHAESEFCGL >OGLUM04G09820.1 pep chromosome:ALNU02000000:4:16030797:16031201:1 gene:OGLUM04G09820 transcript:OGLUM04G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNAATACSTLLLLIAAATTLLVPAASAAKLVAGKDAATATAAEAALGSTVAPWVEADAGGVVGGMMMVAAAAGSVEYGHGGVHHRRVLQARGGGNVNPSLVADRQRCIGSCPARGGSYTGRGNQCIYHNRSC >OGLUM04G09830.1 pep chromosome:ALNU02000000:4:16036709:16037065:1 gene:OGLUM04G09830 transcript:OGLUM04G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAAAPTSAWPLLLLLLFLLLDIAAPAGGTAVAAAVWSRTSTVEDTVVELEPLLPADQLDMLQSRRVWSTTKHDIGALDKDKPVCLRDHSCTEPPPGVSYTGRGDKCVYHNPGC >OGLUM04G09840.1 pep chromosome:ALNU02000000:4:16043564:16043920:1 gene:OGLUM04G09840 transcript:OGLUM04G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIMISKASVLAAVFSAWLLLLVLLADDAAAEPAGEAVAAAAWMIRRLDDTVEPEPLPAELDRVQRRVLQATSHYVAPSALNPDHQGCIQSCLPGSQYTVPPPGSHCDRKFYNQGC >OGLUM04G09850.1 pep chromosome:ALNU02000000:4:16046654:16047058:1 gene:OGLUM04G09850 transcript:OGLUM04G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAATAWLLLLAVVVAPGAALPPASSAAKVASYNYNDAAAAAALSTAAWVEIFPAEARPEMAMLLLAGAAGGGGGGGAGVVVHRRVLAGGGYINSSVVRDQVRCFRSNCQGRGGSYTGRGNKCYFQNQSCQK >OGLUM04G09860.1 pep chromosome:ALNU02000000:4:16049533:16049973:1 gene:OGLUM04G09860 transcript:OGLUM04G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIMISKASVLAAVFSAWLLLLVLLADDAAAEPAGEAVAAAAWMIRRLDDTVEPEPLPAELDRK >OGLUM04G09870.1 pep chromosome:ALNU02000000:4:16057798:16058565:-1 gene:OGLUM04G09870 transcript:OGLUM04G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGFDPWRNRYVVARCFYYRKSGNHYPPVYGIGHEIFTLGGGAGDGWRRTQDPPRAISPDGRPAAACTRGGGESFYWFIDEPEPCALLRFSLRDEAFDAVPSPPGCTACTYDRLADLASELCFVHRVRTSVVATHEVWMAAAVDNDDPAAAASPEWSLRYRVNVWGYAWSLDAGERWFQSFAATVAGDDGVEEEEATLVAMFYKELWWHRERSKPVVKDVNVRGSRYSCEPTPTIHHVIRYVESLVSIRAPNY >OGLUM04G09880.1 pep chromosome:ALNU02000000:4:16065220:16065615:1 gene:OGLUM04G09880 transcript:OGLUM04G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSKQALATPLSLFLLHLLLAALALRLVAVASASVVISVAEEAENNATAPWTTEERLVVVVELGSAAARQLQLGGGGVELHHRRRELAGKIPFGPLRPDGSACRPHCPAKSGLPYTRDCKVIYLCGRGR >OGLUM04G09890.1 pep chromosome:ALNU02000000:4:16070099:16071634:-1 gene:OGLUM04G09890 transcript:OGLUM04G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNARREKRHRIAAAAAAAVPDDVLFSNILVHLPVKSLARLKCVSRSWLAAVEDPAFVRRHLELSRARPSAVVVVVPPKDGSISFHRFRQLQVVDTTAMDDSSSSSSEVELMLERAFLDGVEIDVATHCDGLIAVTTDAGETFVCNPATKELVTLPLGISCHNDCVVRDRFAAIGYDPWRNRYVVCRYFHRRYPNRAAVAEIGHEIFVLGGGGGGGSWEVTEDPPPTSAIVPSTPPACIGGCFYWCTNEDVDNPSMLLRFSLHNHKFDMVPCHPGCSSDVFAFNTVSELDGKLCYTHIATETKTTSRLWMLDGGDMARPEWSMRCCIDVGDYVSCVSPLVAGGEHILLSVDENLYVYGERSRVLEKVVDTAKVEYARSDGSKYKLGYDLYSQHFYVPYVESLVSIRFRSKTAAAAPSPSGPLRPRSPPLEQLAGKAGSSKNDCGGAPSLLFHPLIAPCAYAGCGHRILPCGNGVLRYRPIYLLSIIY >OGLUM04G09900.1 pep chromosome:ALNU02000000:4:16071910:16073592:1 gene:OGLUM04G09900 transcript:OGLUM04G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDYVMRPARRQRTDGGGGGGGGCGDGNMEIPNDLLICEVLTRLPVKSLLRFRSVCRSWRDAVADPAFVRRHLELSRSATPPSTTVLAVHTRMDHDPDERAAPEDVVSFHRVRPGQSPAAAAAIVELMHEEALECAGIHLFASHCDGLVAVAATAGKIFVCNPATKEFFLLPPGGRGGPSKEKAVLGFDPCTGRYVVARCFFRRDVYCRDEDTGVLQYLEYDINDIVHEVFVLGPSGSGDWEATVTPPCTIYTNLPAACAGGAFYWVANDQSDGTFAVERPNCLVRFAMNDGTFAVVPLPQGVTFMDVDFDSITELGGELCYSQITSGTAYNIWTLQLPEDEEEEGHRWSLRWRIDFRRRVGVVLPLAMSDDGGTLTVYEHRVGIHRLDGGRESHPEKVVDMAAVSRGLVGQWIAGYGCDRQCGGSGDHDREQCDGGGPAHNRQQCGDGDHDSEQCGGGGDYGCEQCGGDGNYCERCGGDDEDDWEQCGGDDGDGDGDGVGEPLDLGQGDHGDYDGDEYDDGYDYDGEEEYWYLQGGRYKALRPALRSLFAYVPSLVKID >OGLUM04G09910.1 pep chromosome:ALNU02000000:4:16075716:16076144:1 gene:OGLUM04G09910 transcript:OGLUM04G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNDLMTMEVLTRCRSNPSCGRGGPGLRPPRPHTRQAAPPSVLAVHTRAVPNPEDAAAPEDVCTQIHLIASQCDGLIAACCGKGGTNLLGQSCHRVLCAAAAKI >OGLUM04G09920.1 pep chromosome:ALNU02000000:4:16078275:16079627:1 gene:OGLUM04G09920 transcript:OGLUM04G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNDLLVSEVLSRLPVKSLLRFRSVCRSWRTAVADRAFARRHLTLSRRAAGTTPPSVLAVVTRIDADPDNAAPPEDLISFHRIRPGRRQPSSAASAAVAADVVVDVEQMQELALECSNPLLRSSHCDGLVAVAADVGRIFVCNPATREFVVLPPGSPGPYDYRREEAAVLGVDPRTGVHVVARCLYRHYGRHTDEHTGEQSLEYDIVHEVFVLGPSGSGGWEATAAPPCPVELVVPPAHARGAFYWATNDQSDPAQREHPNALLRFAIHDGVFDVVPLPPGPDDRDALMELGGELCYMRPTGATAFDFWMLPADADAEAEHDEEEGGHGAGWSLRWRFDFGGGDPIDDLTPLYMAPDGTLAYVYLDMARMICRLDERNNLLEKVVDMAAVYRHLVEELGHGNYYDHSEKDYRLEIQNGRWKRVQDGDGPALLSIQSLLTYVESLVQIN >OGLUM04G09930.1 pep chromosome:ALNU02000000:4:16080727:16082849:-1 gene:OGLUM04G09930 transcript:OGLUM04G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLIHQKKVQDQGRAKQIDENARPVVRLEDLPSDLVIYKILSKLPLNEAAKTSVLSSKWRCIWLKCPRLCFDGLAMFKCKRVELFLYAQQFIAQVNAVTRKHHREVVEEFHIRFDFNSIPAHYLDNWVISSLSSNTKNLSLDLQTNSIEGYPACYKFPFELLDSRSLSGLQHVQLSFVSIKPPSKFRGFPNLRKLDLQCLDVTSKDLETMLSNCIILEWLNIDRCHLNDELRDFVPIALKHSLKLENANIKLDSLNDRHAISDQVKAFPNLRNLHFHLSWNDIKTELFSDTPWKFSHLRYLRLKNFADSGVLETSFLVSFLRAAPFIEKLEIDFWINLLLLDESLEDHSIRQQLGRCEYSNLKNMHITGYKGSREQFEFLIHVVENAPALLTLEGAGIEYLEAAFFDE >OGLUM04G09940.1 pep chromosome:ALNU02000000:4:16085798:16089864:-1 gene:OGLUM04G09940 transcript:OGLUM04G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERHHQINHASVTASTINIPDDLLIAEVLARLPVKSIVRFKCVQKSWLAATKNDWFVCRHLKFSRAMPPDVLIVPREECSDYEDEEIGLSNEISFYRLREPLSKDIDDTKVELMLEAVQPEVITHTILATHCDGLVAIATATDQVYVSNPATKEFVTLPLGSHDVREIKIPSAAIGFDPWRNQYVIARYFYHNYMVLEDEDTGERSFEYDIGHEVFTLGLGHSWEITENLPLAICPFARPVCMRGAFYWCTDGMLNEYMILRFDLYDEKFGMLPFPPGCHHGDLAELSGKLCFVHAANVDTICIWQLVDDEPEPVWSQRIYIDVFSKEISTYGFFPILSRDTEMLIAVDDEKLYQLYEWSDTMSELVDMEDGLEYERADGSKLSFGEIGRIMYHVVPFVEGLVYVSNIATDEFVTLSLGIRDVHEIKNPTGALSFDPWHNWYFVAKYFYRSYMVLEDEDTCEQSFQGDNWHEITDNLTYVISPAARPVCMRRAFYWCADGKFNLDMILRFSLYDEKFTLELFPLGSTMVFFPDLSRGTKMLIAVDNVKRYQCYEWSETMLEVVDMGVELDYER >OGLUM04G09950.1 pep chromosome:ALNU02000000:4:16117135:16117990:1 gene:OGLUM04G09950 transcript:OGLUM04G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGAGTAGLVGWRIRRGGSCHHRCRHCCWWRRGRSRGEDREAVREVPKHMMEAELLTTFQEVAIVDEVTVIKDKRPLVATPARYRVQSRVLTGRRKEHSCSE >OGLUM04G09960.1 pep chromosome:ALNU02000000:4:16137502:16138325:-1 gene:OGLUM04G09960 transcript:OGLUM04G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRRGACYSPASCQDGRRKRRRIAGGGGEGSAAAAAAVAGGAEGPANDMFEELPDDLVVSILADVAASARSPGDLAGAMLTCKRFRELGQSKVVLARASPRCLAVRAKAWSDAAHRFLQRCADAGNLDACYLLGMIRFYCLGSRGSGAALMAAAAVGGHREALYSLAVIQFNGSGGSKDDRDLRAGAALCARAASLGHVDALRELGHCLQDGYG >OGLUM04G09970.1 pep chromosome:ALNU02000000:4:16163665:16171172:1 gene:OGLUM04G09970 transcript:OGLUM04G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor-related [Source:Projected from Arabidopsis thaliana (AT5G51300) TAIR;Acc:AT5G51300] MEYKLKPSLTWHANIRWEQSNDDSAANSGGEGGGGGGGGGGRKRKSRWAEEEPRPIIALPDFMKDFAADLDPEVHNLNARLLEISRMLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPADYRPPKLQKKLYIPMKEFPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDMKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNRTSTFKSEVQCKICGDGGHPTIDCPVKGTTGKKMDDEYQNFLNELGGSAPESVTKSSGPMLALTGSGGSGGSSGSVGAGSGSNPPWAAGGGAAASGANGIKKEYDETNLYIGYLPPTLDDSGLIGLFSQFGEIVMAKVIRDRITGQSKGYGFVKYSDVSQANAAIAAMNGYHLEGRVIAVRVAGKPPQPAVPPGPPAVPAPPTYPPADPAAGGYTSQPYMGAPPPPPPGSYAPVPWGQPPPYASYPPPPPGSSMYNPPPPAPGQATPPPYGVQYAPPPAPIPPPGTAPSTDGAQNYPPGVTPPSSGAPTQPVPAPVYGTSGAPNAPPMYPPPPYGYASYYPSVTPVQPPPPPPPAGADPSQSLANAPWATHSAPPPPPSGADPSQSIANAPWATHSAPPPPPATADHSQSIASAPWATHNAPPPPPPPSSIEQPPATYGADAEYDKFMSEMK >OGLUM04G09980.1 pep chromosome:ALNU02000000:4:16191755:16193665:1 gene:OGLUM04G09980 transcript:OGLUM04G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHLPLPLLSLSLSLPRRAVPALCCVRFLFRHCHEIRGDRLHRYASAPTTPEPRSGDTAASAQLWLDGTFFLHLPSGSVSLLRLIIYEEKRACGQFVRINCRRPRPRRSPGPLPRRAPVRACREEVIGECVDAVLAVVALGCGKRGAVAGGGMTGEPPDAALDAARTAASPSCAGVEGPRGGVAFGFRF >OGLUM04G10000.1 pep chromosome:ALNU02000000:4:16205798:16205995:1 gene:OGLUM04G10000 transcript:OGLUM04G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAELELAEREFARARAIWERAREEVERVERMKEIAARRLGIGPAAASAALEITCHACMQRFHP >OGLUM04G10010.1 pep chromosome:ALNU02000000:4:16207699:16209096:-1 gene:OGLUM04G10010 transcript:OGLUM04G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKMIVRVSQGHGCIDHKLKCNLIEPPQVVSGNRAGNQKGCRISKTMRRFVLTEIMGRRIHGGQNLGLLQSLYGYRYPFVTVPQGQPSHGLGHQPNTPKFKASGIITV >OGLUM04G10020.1 pep chromosome:ALNU02000000:4:16214226:16220505:1 gene:OGLUM04G10020 transcript:OGLUM04G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCRALALRSLLVPDHPRAAAAAAARPAAGRRAPRRRSRHLRCCSGGGDPGQPPQEAVLEAISKVARSKGRVALTTNMVLGGTVTDDASDEWLVLDQKVNSYPTNRGFTAIGTGGDDFVQSMVVAVESVLQEPIPKGQVSHKLSSRGKYVSVKIGPIRVVSSEQVQAVYRAMRSDNRMKYFL >OGLUM04G10030.1 pep chromosome:ALNU02000000:4:16217903:16222862:-1 gene:OGLUM04G10030 transcript:OGLUM04G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSPYFVPESEGIRAGESPAAALRRILASPGAHQAPCCFDALGARLIQRAGFPICFMGGFCVSAARLGLPDAGLISYGEMVDQGRLITEAVSLPVIGDGDNGYGNAMNIKRTVKGYINAGFAGIMLEDQVAPKACGHTEGRKVISREDAIMHIKAAVDARKESGSDIVIIARSDSRQAISIDEALWRVQAFADAGADVLFIDALASIEEMKAFCAVSPKVPKMANMLEGGGKTPILSPAELQEIGFSLVVYPLSLIGVSMLAMEDALIAIKSTGAPRPGSLPSFQEIKDTLGFNRYYKEEKQYATVQQAQPSSTNIVLRLKITEKSGTQKINEGIPAGILEKISKAIPGLAGVNFTEILQGADQSQKGKLLLDREDATGDRIQVSIE >OGLUM04G10040.1 pep chromosome:ALNU02000000:4:16224286:16225848:1 gene:OGLUM04G10040 transcript:OGLUM04G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFSSENTLIFRWNHPDAGILISSPPPLAAHSSHRRANPEAPRTPPRVSFLLHTCLAARAGTSHPPAPCERRGRPRRQASPRHLLLLPRATCSTQSIYSAPLLPCSCISPPAALATALLFVSDSPISCVCVRGGGGHVGDVAGGGAADVGHGRHRGGAGAAAAVDDAGRRDGVAPRHRAPARRLHHGLLRLRPRPAHRPRLPRRHHPLPPPPLPPLLPPSPLHPPLLLLLLPRDLPRQHVVPGVRGAGDDGGAVPAAGARAGRVRARGRGRGEVGDAAPVPPLVPDPHRERGRRPGRRVLAAGQGAGAAALHGAPRVRRRRLGVRRVGEPRHGRRRRAAGGGRLDVVRPLPRRRQPRLLLHQPPRLPHPQVPPPRLREVLPHARRGVRQDRRGPARRHRGGEAGGVQEGRLIDDRKAIYI >OGLUM04G10050.1 pep chromosome:ALNU02000000:4:16226030:16226735:1 gene:OGLUM04G10050 transcript:OGLUM04G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSTATSAAHAFRGGGGGGGGLEMRWRELEREWDAYKTSRCSGASRRRHHRRSRSGSGTGTPSSAVIVAAEPADAPGSHLLLGVRLGGSPRRLVSSLQRDGSSSTASAGVTPERGRYDGDDHHDAASSVSSVDAGAMAAMAAAASSNSSCSSAATSLFSLRDDELAVVGEAAKTGGTATPATGSIGRFVAAIAAAGVVLLVAAIMAAAVLEFAMDDGQAEFLVPT >OGLUM04G10060.1 pep chromosome:ALNU02000000:4:16228186:16228944:-1 gene:OGLUM04G10060 transcript:OGLUM04G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAATALPSIPSSSSPSPMASDPTELRCSSPESSGDAGAEDPAAVDAAEESGGEGGSGHIAAGTEAAPPRPPEPEPEKVARHGVLPLLGKPYFTCIMCKSHVQPPFQVVVPRSFAPLLPSRTTPATLSWRGRSWGMRFTGGRLIQRLEAGWRGFAVDNDLRLGDGCVFELLVGGGGEQERVEFRVQVLRAEIPARIRGRAGGYTSATPIVID >OGLUM04G10070.1 pep chromosome:ALNU02000000:4:16229383:16246774:1 gene:OGLUM04G10070 transcript:OGLUM04G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVRSHRPAGRGETGRDHGNAEQEEIRAVPALPRFLLPSPSRKGRRAATTLPPTAAGQDGGCRKEAAAVADSHIRGSAAGSQIRHRRHHRLCSVALWGKTGGLCVTYRGRTTLPSSICVFSLGLRSGHLASARDGGYLTDAYRCNIGSSRHQHALPGHDIAKLRKPATVRGKVACNAVGIGGDEE >OGLUM04G10080.1 pep chromosome:ALNU02000000:4:16245764:16246503:-1 gene:OGLUM04G10080 transcript:OGLUM04G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVGTRAVSATSRLPSWWCWGGTARYAISSNTSEVAAVEAEAKDADRRKGELVWRK >OGLUM04G10090.1 pep chromosome:ALNU02000000:4:16268502:16268714:1 gene:OGLUM04G10090 transcript:OGLUM04G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEELAVLCDAHVGFVVLSCSDDDRLHHFAAPATYALSP >OGLUM04G10100.1 pep chromosome:ALNU02000000:4:16283018:16284910:1 gene:OGLUM04G10100 transcript:OGLUM04G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIDETDISTLNMDQLSQIERLLEDELRWTRARKVVVDRSARLQKKVQGKAAIVEVERGSMEIPIESGQEKEQIGGEAVEEQRSRTTPLDLNMPCWDAGPLQ >OGLUM04G10110.1 pep chromosome:ALNU02000000:4:16289917:16306082:1 gene:OGLUM04G10110 transcript:OGLUM04G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGRVVLRRIEDRVRRGICFRKRLAGLEKKAEKLAVLCDAHVGFVVLSCSGDDANPHHFAAPATIENIVERYEHSQAAQKGVHGRCILQKRKSKDFQVLKETIDKGPINDDMRPIDEKDISTLNMDQISQIEILLEDELRWTRARKVVADRIARLQKKVQKKPATAETESNSTEMPSDHEKKQVAGGSQQSAEEEEEEEEEMEVVLRHRLSLGTGDRDDGGGGAAEQRHRTTPPPPVDLNVPCRDAGQLQ >OGLUM04G10120.1 pep chromosome:ALNU02000000:4:16324327:16328858:1 gene:OGLUM04G10120 transcript:OGLUM04G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVALGSDGSVRSLGDVTRFPIETPWCFRRRRRTESPPPTTRRRPPRYLATTQCPGAAAHHASTAGILEPSPAASTPSLSSAHHTSIMDVLVPSPAAPPSSPLSAHHASTVGVLAPPPSPSSAHHASTVEPPHCYSRPTAATATCRPGPGHPGELRRHPRTRENPITVAAPSSGEHKLQNLFKELRGRVMSDIAVQGRISDSAAN >OGLUM04G10130.1 pep chromosome:ALNU02000000:4:16329635:16331418:1 gene:OGLUM04G10130 transcript:OGLUM04G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDPFFFPTKVLRVVRGGSMAWVDFRNSILLFDSVPGDSPEVSLIRLPPLMPINNVDSGIPDGPCVDHVRDVTCRNDGWFKFIEMGFPHLDPNDARLNRGWEATMFKRRIIRSDDDCYWQWEPCGTVDSASLLLPAADSCVPDCLFPEIFDYEERKLALNNVLSSFPTLDLYRDDVIYMMTKIKDDDPDGWIIAVNTESKRLEGISPFSLESYHLHRIYQQCDFSKHLINKALGTHLAKDMDKLMDQQPPELATVERAACREEDDVS >OGLUM04G10140.1 pep chromosome:ALNU02000000:4:16337329:16340327:1 gene:OGLUM04G10140 transcript:OGLUM04G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGGFDEEGGAHLRSASSGADDGWAIGARAARVGPTGSVAWGRVCAVSPLLRGARLESTGRIPFPSGGGDDEASGAYTDRWGPSSSVAVQITSPIGRPIVGPTTSLLSNRFVFS >OGLUM04G10150.1 pep chromosome:ALNU02000000:4:16337426:16337635:-1 gene:OGLUM04G10150 transcript:OGLUM04G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVVVATVLFVLLTPGLLCTVPGRGRVAEFGSFHTSGLAIIVHAFLYFVLLTIFLIAIGVHIYAG >OGLUM04G10160.1 pep chromosome:ALNU02000000:4:16340385:16340597:1 gene:OGLUM04G10160 transcript:OGLUM04G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWAPVVVGVVLFVVLSPGLLIELPGTHRWVDFGSLRVTGKSAVVHTIVFFVLFAIVVVACKLHIYTGA >OGLUM04G10170.1 pep chromosome:ALNU02000000:4:16346287:16354125:1 gene:OGLUM04G10170 transcript:OGLUM04G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESRHSNLSSVTESSLEQSIEVRRVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSGGSQAKQIRAEEMQNGLGRGIPSSWEDSTPHYPQNSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASEWGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >OGLUM04G10170.2 pep chromosome:ALNU02000000:4:16346287:16354125:1 gene:OGLUM04G10170 transcript:OGLUM04G10170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSFDINVLHKEARSRWLKPSEVYYILQNHERFPITPEPPKKPPSGSLFLYNRRVNRYFRRDGHAWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVGAAEGRYNSASLLNGPTDSLSVLSYPNATYGNQYLGSTSGVSDGSESRHSNLSSVTEVSSYSANKDNGILQSIQELSQSTIMGAPALGQSSLEQSIEVRRVDNSNSTNKSGLNRALKQIVEQLSLGDDEDDDYIHQAQPFDFITNIEAPDRQRDASRNVSGGSQAKQIRAEEMQNGLGRGIPSSWEDSTPHYPQNSEYQPPGSLYNSDMQQISAAKRFLLETEDSIDSPSYNYVPREEGNNGTNTLSVHDYSLQSSLNPDWKKTAPLTLQSNLYGSEIPSLLLDHGQFESLSSGENTRLILGQNPRFSIREVSPEWTYCYEITKVIITGDFLCDPSSSCWAVMFGDSEVPAEIVQAGVLRCHTPLHSSGKLTICVTSGNREICSEVKDFEFRAKSTASSFLDISPSSRSLKSSEELLLLAKFVRMLLCENGSHANSNGDPQSVQCPKLKMNDEHWQRLIDELKGGCENPLNVSDWIMEELLKSKLQQWLSVKLQGYDGIACSLSKHEQGIIHLISALGYEWALSSILSADVGINFRDTNGWTALHWAAYFGREKMVAALLAAGASAPAVTDPTAQDPVGKTAAFLASEWGHLGLAAYLSEVSLTSYLASLTIQESDTSKGSAAAEAERAVESISQRNAQLHGGTEDELSLKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLKDEYGMTQEDIDELAAASRSYYQSLLPNGQFYDKAAVSIQKKFKGWKGRRHFLNMRRNAVKIQAHVRGHQVRKKYKTFVSTVSVLEKVILRWRRKGHGLRGFRAEQTAMAEAEEDDEDDDDDDFNDDEAVKVFRRQKVDESVKEAMSRVLSMVDSPEARMQYRRMLEEFRQATAE >OGLUM04G10180.1 pep chromosome:ALNU02000000:4:16354634:16357205:-1 gene:OGLUM04G10180 transcript:OGLUM04G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 30 [Source:Projected from Arabidopsis thaliana (AT5G63480) UniProtKB/Swiss-Prot;Acc:Q9FMV4] MASSAAARRRQEMAAEGQRHLEETIAAAFQILSSMNDELCNPTLWSSSSSAAAAAAGGAGGLQHHGNNHHHHHQHQHHHGGLPPPPPPPHSADSDAADAAGGGPGGAPGSGGSLDEARHRYKVAMAALRASIAAVSSCAQEMGSTEHKADQAEIERLEEHASALRKEIESKNKHVKLLIDQLHDLISDISMWQSPCSV >OGLUM04G10190.1 pep chromosome:ALNU02000000:4:16362517:16367223:1 gene:OGLUM04G10190 transcript:OGLUM04G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVGEKVRAFATNRWLVFVAAMWLQSMAGIGYLFGAISPVVKAALGYNQRQVAALGVAKDLGDCVGFLAGTLSATLPAWAMLLVGAAQNFLGYGWLWLIVTRQLPALPLSMMCLLIFVGTNGETYFNTASLVTCIQNFPKSRGPTVGILKGFAGLSSAILTQLFAVLHTPDHATLIFMVAVGPSLVAIGLMFVIRPVGGHRQVRPSDNNSFMFIYTICLLLASYLVGVMLVQDFVQLSDNMLVFITAVLFILLILPIAIPVTLTFSSKTEHPMEEALLAEPSKGQASTSQEKEPDVFLSEVEDEKPKEIDSLPPSERRKRIAELQARLVQAAARGGVRIRRRPHRGENFTLMQALVKADFWLIWLSLLLGSGSGLTVIDNLGQMSQAVGYKDAHIFVSLTSIWNFLGRVGGGYFSENIVRERTYPRHVALAFAQILMAAGHFLFAMAWPGTIYVATFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPTGSLIFSGVIASNLYDYEAEKQAHHQSSLSGRSLFDMSFLAEGPLKCEGAVCFFVSSLIMSAFCIVGAGLSLIVVHRTKRVYANLYRAVR >OGLUM04G10200.1 pep chromosome:ALNU02000000:4:16369243:16372488:1 gene:OGLUM04G10200 transcript:OGLUM04G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDYNMDEAIKARGVAESRFHSRDIRGARKYAIKAQNLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLTAFADEEEVKKQYRKLALQLHPDKNKSVGAEEAFKLISEAWSVLSDNSKKVLYDQKRKDHSVVNVTNGMYTYDKKANKRARKNAAAAAAAAAAAAAAAEATTRPAGVDTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGSSSSFSWSTKQQPQNNNSTKHSYGSTSRTSSIPGTGHGGYQQDGTYDSYNNQSFQWNQYSKTTPAAGTNAYGTQALEKPKRKHEESYSYNYSATGNSYGHERTNSRRGRFSKRRRHSNDGYTTMDFGGDNRETVAASTETTAFVDVAVAQVNGTSGEKLRSAVSGRRANVLREISQIDTRALLIEKAKAAIQEKLQEWNITSSSRLAERGKSQGKVYPSDNNIKQNGGLSDKHVKGLKQCSSRSVDTQAPTVDEKNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYAMVQKVLSMRPFRIRMSFLNSKSNSELAPISWVASGFQKTCGDFRVGRYQISETVNIFSHKVSWTKGPRGIIRIVPQKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTDEQGLTVIPLLKVAGFKAVFHRHMDPKEARRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVTEDTATQPAK >OGLUM04G10210.1 pep chromosome:ALNU02000000:4:16374697:16379644:-1 gene:OGLUM04G10210 transcript:OGLUM04G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGADKSGGGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDDA >OGLUM04G10220.1 pep chromosome:ALNU02000000:4:16382218:16384371:-1 gene:OGLUM04G10220 transcript:OGLUM04G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPASLAAAAAAATAAPEPRGIRPPRAPVHRLRLRHHATRVGCSTVSVSTRPATTTTTTTTRSQPPPPQPPQAAEPLQRRWGPTERRKGADILVEALERCGVRDVFGYPGGASMEIHQALTRSPAIRNHLLRHEQGEAFAASGYARSSGRPGVCVATSGPGATNLVSALADAHLDSVPLVAITGQVPRRMIGTDAFQETPIVELTRSITKHNYLVLDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPSANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDTADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNATLEQQQRKNLDFSAWRSELEKKKAEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTFRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVENLPVKVMVLNNQHLGMVVQWEDRFYDANRAHTYLGNPAANGGGEVYPDFVTIAGGFGIPAARVTRKGEVRAAVEEMMAAPGPYLLDVVVPHQEHVLPMIPSNGAFKDIIVDGGGRRT >OGLUM04G10230.1 pep chromosome:ALNU02000000:4:16388974:16389363:-1 gene:OGLUM04G10230 transcript:OGLUM04G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCIQIQCGWGRTRLRRQLGTTSAPGKTTAPAVAGDDLVSGVGSGRGRPRCPVARREDARGARRRRGFAGAEEKLGGAGIRGASGEEERGGGGLWQRGRSEEAAGYGSGGGEERGGGGGGGDLCGIVIA >OGLUM04G10240.1 pep chromosome:ALNU02000000:4:16392761:16396182:1 gene:OGLUM04G10240 transcript:OGLUM04G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKRGAMDAGGGPDAGLLHPGARRRQLARRADQHRADALQQELPAPVDELPPAGDQAGELHRAGGEAHRPPPGSPRQPEGSYGGDFTNDGTFIDWDIVDNIWNHAFRQRLLINPEEHPMLIVEPSTNTGQQREKYLLTLKIMKA >OGLUM04G10240.2 pep chromosome:ALNU02000000:4:16392701:16396182:1 gene:OGLUM04G10240 transcript:OGLUM04G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKVGVKRGAMDAGGGPDAGLLHPGARRRQLARRADQHRADALQQELPAPVDELPPAGDQAGELHRAGGEAHRPPPGSPRQPEGSYGGDFTNDGTFIDWDIVDNIWNHAFRQRLLINPEEHPMLIVEPSTNTGQQREKYLLTLKIMKA >OGLUM04G10250.1 pep chromosome:ALNU02000000:4:16398580:16399484:1 gene:OGLUM04G10250 transcript:OGLUM04G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPNLQMTPVGGWIWQALPLLCGSCRLSSPVHGFSGPGPPPPRGSCHLFRPLHRAWIRRCALTARRRNNDYGNGGRESLLDFLAAATGGAMGDMETGNGIGGMSHA >OGLUM04G10260.1 pep chromosome:ALNU02000000:4:16399950:16406280:1 gene:OGLUM04G10260 transcript:OGLUM04G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK08] MAAAAAAAAASLSVSDAAAKLPKPGGQVQRRRDRDRPRVDAAACTRDSRRPTRERCSTTVSLAATATATTATPVRAPVRTRAPMGQRKGADIVVEALERCGVRDVFEYPGGASMEIHQALTRSPVIRNHLLRHEQGEAFAASGYARSSGRPGVCVATSGPGATNLVSALADAHLDSVPLVAITGQVPRRMIGTDAFQETPIVELTRSITKHNYLVLDVDDIPRVINEAFFLATTGRPGPVLVDIPKDIQQQMAVPSWDAPMRLPGYISRLPKPPAANLLDEVIRLVGDAERPVLYVGGGCSASGDELRRFVELTGIPVTTTLMGIGNFPSDDPLSLRMLGMHGTVYANYAVDTADLLLALGVRFDDRVTGKVEAFASRAKIVHVDIDPSELGKNKQPHVSICADVKLALQGMNAMLEEQSAAAARKNLDFSAWRSELEKKKVEFPLGYRTFGEEIPPQYAIQVLDEVTNGEAIVATGVGQHQMWATQHYTYRRPRQWLSSAGLGAMGFGLPAAAGAAVANPGATVVDIDGDGSLLMNIQELAMVRVEDLPNLLQKHYASLFSD >OGLUM04G10270.1 pep chromosome:ALNU02000000:4:16406501:16413706:1 gene:OGLUM04G10270 transcript:OGLUM04G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFRAASGLARVALRRNLARAPANPFAGPAPRYFHSTRPRRFAAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPTSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVSGHLKAKLDPLALEERPIPDVLDPAFYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRSVLERLEQAYCGTIGYEYMHIPDREKCNWLRDRIETVNAREYSYDRRQVMLDRLMWSTQFESFLAQKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPAEEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDLDRTKNLGVLLHGDGSFSGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHQSALEIYQNRLLESGKISKEDIDKMQKKVSTILNDEFQNSKEYIPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFELRRQMIETGEGIDWAVGEALAFATLIIEGNHVRLSGQDVERGTFSHRHAVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGEAKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGIKRLVLCSGKVYYELDEERRKKERDDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMRALGRGTIDDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPINSPF >OGLUM04G10280.1 pep chromosome:ALNU02000000:4:16418740:16423092:1 gene:OGLUM04G10280 transcript:OGLUM04G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKEAAKAKEEEKPKQEEKPKEQEEGKKEEKPKEEGEKGKDGGGGEEKKDGEAAAADAPPPPPEEVVMRVYMHCEGCARKVRKILKGFDGVEDVIADSKAHKVLVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAQGIKKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVHKRTGKHAAIIKSEPVAPPEKVAAEGGDEKKAAEGGDEKKDEKEEKKDGKEECGNGGGDEKKEEKEKEKEGGNADGEEKDKDKEKDPAAIAAANLYLHYPRFAFPAGYYPPGPGYAYPPPYPPSYPPPYQPSYPPYPSHPSHHPSQIFSDENPNACSVM >OGLUM04G10290.1 pep chromosome:ALNU02000000:4:16466668:16477941:1 gene:OGLUM04G10290 transcript:OGLUM04G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVTAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDITPKSISKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCILRKSALTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKLQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSAADLMQDFKSGYLTLSSPRSMFISQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFVALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYLGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >OGLUM04G10290.2 pep chromosome:ALNU02000000:4:16466668:16477941:1 gene:OGLUM04G10290 transcript:OGLUM04G10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADDAEITGPLLAAAGGGGGDSAAAAGVERVPAWREQVTVRGIVVSAVLGVLFCLITHKLNLTVGVIPSLNVSAGLLGYFLVRSWTAVLGRLGFVTAPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQKTYELIGPDYPGNRAIDVMNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTTSGAELAEKQVSCLGKYLSISFFWNCFKWFFSGVGDSCGFDNFPSLGLAAFKNTPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEVMNARSKQGRLPLVRVHDDDEGSKLSAEEKLRNDTFLKDRIPSWLAGSGYVGLAAISTATVPMIFPQVKWYLVLCAYVVAPLLAFCNSYGCGLTDWNLASTYGKIGLFIFASLVGRSGGVIAGLAACGVMMSIVSTAADLMQDFRTGYLTLSSPRSMFVSQLIGTTLGCIIAPLTFWLYWTAFDIGNPDGMFKAPYAVIYREMSILGVEGFSALPQHCLAICSVFFVAAILINLLRDITPKSISKFIPLPMAMAVPFYIGAYFAIDMFVGTVILFVWERVNRKESEDFAGAIASGLICGDGIWSVPSAILSIMRIDPPMCILRKSALTLRGVAVAAVLGSLLCVVIHRLNLTVGVIPALNVASGLLAFFLATAWRGAAAVLGLGHHRGRPFTRQENTVIQTCAIACGSLAFSGCSSSYIFAMDRKTYELVGQDYPGNRMEDIRDPSLGWMIGFMFLIALIGPFSIVMLRKVMVIDYKLAFPGGTATALMINSLHGKTEADLAGRKVHCLVKYMSLSFGWSFFKWFFSGVGDSCGFDNFPSFGIEAFKNTFYFNFNPSYVGYGLISPHIVNCSVFLGSVISWGFLWPFIAKQAGDWYPDNLSNTDFRGLYGYKVFIAISVILGDGLYNLVKVFLIIAKEICNARSKEHDLPVQALLQDDDSSRQLLDEKLQTEIFLKDSIPTWLAVSGYIVLAAISTVAVPIIFPQLKWYLVLVCYFLAPAIAFCNSYGMGLTNLNLAPTYGKIALFVFASLVGSDGGVIAGLAACGVIMSIVCSAADLMQDFKSGYLTLSSPRSMFISQMIGVALGCIIAPLTLWLFWTAFDIGDPDGEYKAPFAIIFREMAIIGIEGFVALPRHCLEICCVFFLAALIINLMKDVVPNHVSRFIPIPMAMAVPFYIGAYLGVDMFIGTLILFAWQKIDRREADDYAVAVASGLICGDGVWSIPSAVLSILGVDPPICMSFRPSSASV >OGLUM04G10300.1 pep chromosome:ALNU02000000:4:16479620:16481733:1 gene:OGLUM04G10300 transcript:OGLUM04G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSMLKVGYTLLRSETPATDLVNAFMDWAARRSLLLLAVFVPPYLAYRLASSALAAASPEDVAGKVVLVTGASSGIGEQVAYRYARRGARLALVARREASLGEVAARARALGSPDVLAVHGDVARPDNCRRFVQATVEHFGRLDHLVNNAGLANVCWFVEVPDVANFKQVLDVNFWGTVHPTHAALPHLKASRGKIFVNSSASAVLAMPRMSFYNASKAAVHNFAETLRMELHGEVGVTVATPGWVDSEMTKGKHLSSHGAMEVDQDTRDAQVGVFPVELGERCAEAIVDAVARGRRRVTSPAWYGALFLWRTMAPEVADACQRVFYHRRSSAAGGGGRARAALEATGAKAVLQPPSLRSSEIKVE >OGLUM04G10310.1 pep chromosome:ALNU02000000:4:16483367:16485752:1 gene:OGLUM04G10310 transcript:OGLUM04G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAQLAGAAVRVALAALLALLLPAYRVYRLTASFLGVLLAAAADDDVAGKVVLITGASSGIGEHLAYEYARRGAYLALVARREESLREVGDAALAAGSPGVLVVAGDVSSPDDCRKFVDDAIRYFGRLDHLVNNASIWQVGKFEELTDVNHFRKLMDINFWGHVYPTRHAIPHLKKTRGRIASKAAAANLYETLRMELAGDGIAITEVIPGVVESEITKGKMLTKEGDMRVDQDERDAILGAAPVERAGEFARSAVRGVCGGERYVFEPRWYRAAYTLRVCFPEALEWCARLLTVWRLGPATTDTVGRWLLLGVPGQRWLSQPASLRSPEIKAR >OGLUM04G10320.1 pep chromosome:ALNU02000000:4:16485310:16486254:-1 gene:OGLUM04G10320 transcript:OGLUM04G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCFCLCRAAHNSSLHRALISGDRSDAGWESHRWPGTPRSSHRPTVSVVAGPSRHTVSSRAHHSRASGKHTLSVYAALYHRGSNTYLSPPHTPRTADLANSPARSTGAAPRIA >OGLUM04G10330.1 pep chromosome:ALNU02000000:4:16487338:16492345:-1 gene:OGLUM04G10330 transcript:OGLUM04G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNLIITSDSDSDDGGGGGGAATASTPASASASASFPSVSGGGCGDGWPSPQNPRSVPVQFPSPSSPPPSPPIEISDEEEAEAEVVVEEEEEEEVVVVEDEEEEYEEVEEIEDPDGDSPFVDAPEHISPPPPPPPPARTPTPTPTPTPTPTPTPTRPPVPVWAAPLPARTPTPTPSAPPRAAAPSPAGTPSPSPIPPSSTPVSALSGPLRQVDEFLRGLGLRLRPEWLESCAAGVPGFYGLGGVEAMARRCFEQFLFADMNACGAGVLPEGVGSMHNAVLDGPFVLQVDEIVNLSAPLRERYRDAHAGPKRCLKLSMTDGIQRIYGMEYRPIKDLEVLAPAGFKIVIRNVHIRRGLFMLVPEVIEILGGVDDELDEARNRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPTNANATNDAEQGASVPRTVNTPHPTRLGNASHASQVGRTTQPMVDNLIPHVVVSNAQEQSRHIQEITMQSQPTSLNRHNKEASASTYRYNAQCSISGTTRAMADEHVLVSNAQEQSPHIQEITMQDQSTSLNGRNKEASASTSYRYNAQCSISGTTRAMADERVDPSFVGNNVHEQMQRVQGITMQDHISASSESKRELSVTTPSGYDSRLAPHGVGNTGTRSGEATRSSNVDDGINNIGHPISLCGENEKPFTYIFNMLADWGVQQDTVPYIQGKIKGLITSVKRFQYKQSMQYDLYVYIDDGSFITEAFVDRDIVQNMIGLSAEELAAALSSGGPAQANIRKTMKAFEHFLVNFEGTILIELNRDSSVPIVREMNKGCSSSDAWQLLRRVKTFSGQGYMRSLDFMDTTP >OGLUM04G10340.1 pep chromosome:ALNU02000000:4:16515719:16517857:1 gene:OGLUM04G10340 transcript:OGLUM04G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAAAASGGDAHDDEYAKLVRGMNPPRVVVDNEACDEATVIRVDSVSSHGTLLAVVQVIADLGLVIRKAYFSSDGSWFMDVFNVTDRDGNKVLDDQTISYIQTTLEADDWYYPEVRNTVGIVPAEEYTVIELTGTDRPGLLSEVCAVLAGMRCAVRSAELWTHNTRVAAVVHVTDDGGSGGAIEDEARIADISTRLGNLLRGQSGVRAAAAAAPGGLTHKERRLHQMMFDDRDYDGGGGAPSSSPRGRSPTPATEVSVTPCAERGYTAVVVRCRDRPKLLFDTVCTITDMGYVIHHGAVSSEPRGGAYQEYYIRHVDGDPVRSEAERQRVVHCLEAAIERRTADGLALEVRTGDRAGLLSDVTRIFRENGLTIRRAEISSERGEAVDTFYLSDPQGHPVEAKTIDAIRAQIGEATLRVKHNPFADGGGGGATDDVAGSTAFLFGNLFKFYRPFQNFSLIKLYS >OGLUM04G10350.1 pep chromosome:ALNU02000000:4:16519534:16520362:-1 gene:OGLUM04G10350 transcript:OGLUM04G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRSAADELDEATRAQADPDYLLFLSHLYPDGGGGGAAAPSPSSSTYVLDIPDLGLVVRYGPFVIGGDGDGGGDAASNKNATVGRRQLSSAVVINDDDLPPPSAAREAEVADSAPSRSSVASNDDDDLAAAGTVDDGEEVSNDGIAVAGEEGAARGGRQLI >OGLUM04G10360.1 pep chromosome:ALNU02000000:4:16523433:16527842:-1 gene:OGLUM04G10360 transcript:OGLUM04G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPAHDDSLPLAALEVTACLVRRAAPKRRVAELDVEAQQASGGRRGECRRRGGGVLGVGGVQVLTEEHRGGGVLDADGCVVPDSEDEESGGGVHNLEQKPDKDISANLEEQHMDGIEQLVGGEEVAGLQDDAGVAARDEGVDEFAEIRERNLFARLLHNCFPR >OGLUM04G10370.1 pep chromosome:ALNU02000000:4:16529714:16533426:1 gene:OGLUM04G10370 transcript:OGLUM04G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;hydrolases [Source:Projected from Arabidopsis thaliana (AT2G35450) TAIR;Acc:AT2G35450] MAARSCRFLYSSSSSPIATTSPLLSPTPSAATRRKLLLSSTCGTIASAAAASAMDGQGAPAAPGGKGSAIVVVDSHLHVWASPQQAAERYPYFPGQEPPIRGDVDLLLQCMDEAGVDGALIVQPINHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGIMVMKGISTYIQEIEELCTDYPKTTVIFDHMAFCKPPMNIEEEKAFTSFLELSRFPQIYVKYSALFRISREAYPYEDTSQLLSRVISSYGANRIMWGSDFPFVVPECGYKGAKEAISHVAGKIPVSSSDLEWILGKTVTQLFQGAWVSA >OGLUM04G10380.1 pep chromosome:ALNU02000000:4:16536157:16539089:1 gene:OGLUM04G10380 transcript:OGLUM04G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEEEPEQVPMVLVAPGKLVTPEQFQRARALPRQLAAVAASPRQPRPLAMQQQQQQMSQSMIFGRPSAPAPTTLQGFGFGRGAGPGAAAVAARSAPRGMGMAAGPRLAMQQSTTTTMMQQQKGFGFGGAGPAAVAPSPRGMTMAVGPRLAAQQKQRQPTLAPPPPPQQNQGFSGAGAGAMGVPSPQGMAVGTRLAMQQQQPSMIPAPPTMTTQKQQGMVFGGGAAGAGLAPPSPRGTPMATGPRLLMQQQQPSTILAPPPPTPTRQKQQGIVFGGGAAAGVAPPPSLRGMPMASGPRPARQPQRKRKQRTLAPPQQKNQGIGVSGAAAGAGAAPVSSPPSGMLAAVEMALGDMAEDARKACNPDITTPFASVEDAISRLLPYNVYAEYEEDEIYVEDQPPAKDKSSVQEWDDDREAEVIRMAEEFEKLVLTYNVAVRKSGAGAARGEERLMVENLLLADEQRKSEHVSALVRQQQQQLVALQKQQQQQQEAALQRQRMQQQQALQRRQLFLEQQQQLMLEQQQQQHMMAALQQQQLAILFHDQPQQPEPLGLAYWPAPVHAVPPPPPQQQQQPEEGQAGGAATEMAPQPLRELRDSC >OGLUM04G10390.1 pep chromosome:ALNU02000000:4:16539697:16540038:1 gene:OGLUM04G10390 transcript:OGLUM04G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTKEFIDELHKPLTAEAKARMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHYTQMQMVPELTGTTFGVFYNCFHYFFIAIIILASYMGI >OGLUM04G10400.1 pep chromosome:ALNU02000000:4:16540853:16543322:-1 gene:OGLUM04G10400 transcript:OGLUM04G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWPRKDFHIMVVHSQVMLRMGSTCRRHKPATLGANKPKCLAEVEEEEAKAEEAHKENTMVGGCYR >OGLUM04G10410.1 pep chromosome:ALNU02000000:4:16546209:16548449:1 gene:OGLUM04G10410 transcript:OGLUM04G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTSPLGPRLRCDLEPAAPRLRHLLRVHTCYFGLDPHRPHRRCRRRGGLRPHLFPLSGGAGAGGGFLHRAPPGSPRGMAVGTRLAVQQQQPTKTQQQRQRGIGCGGADAAGVAPPPSPRGMPMAPGPRLAIQPPSTILAPPTTTLQKQQGIGFGGAAAGVAPPPSPPGMPMVAGPRPARQRKRKQPNVAPLQQKIQGFGGAGAGAGPAPVSSSARAMAEKAAAVDPEEEARARERAAAEQIAYEDARKACNPDFTTPFASVEDAISRLLRYEEDVVYVEKLVLAFNVAVRKSGAGAARAEERLMVENLLLADEQRQSEHVSALVRQQQQQLVALHLMLA >OGLUM04G10420.1 pep chromosome:ALNU02000000:4:16549764:16550105:1 gene:OGLUM04G10420 transcript:OGLUM04G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKEFIDELHKPLTAEAKAQMVADGKKKVQLQEKQEEDGTALRQGSRLSATDLIRYTLMGIRFAFTAAMHYYHCTQMQMMPELTRTTFGVFYNCFHYFFITIIILASYMGI >OGLUM04G10430.1 pep chromosome:ALNU02000000:4:16551993:16554365:1 gene:OGLUM04G10430 transcript:OGLUM04G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAGPRLAMQQTSTILAPPMTAKQKQQGIGFGGAVAPPPSPRGTPMAAGPRLAMQKPSTILAPPLTTQQKQQGTGFGSAVAPPPSPRGIPMVAGPRSASQSKRKKPNVAPPQQQKIQGFGGAGAGAGPAPVSSSARAMAAVAEKAAAAETEDEARARERAAAEQIAHEDARKDFVTPLASVEDAISRCFGYDQFLMAEGSAGGVAEGGGGAAAAAHARATATDHGAAPQPLR >OGLUM04G10440.1 pep chromosome:ALNU02000000:4:16555481:16555834:-1 gene:OGLUM04G10440 transcript:OGLUM04G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSTGSIHELHDDSSSADSGSKPSMTKLAAEGKKQAQGDDQGKEDHAGTTTLPQRSRLRSYVIGLIQFALLAVVQYYYLELMARHRGTNDLFCNLFACSHYILIISLILGKLKDDS >OGLUM04G10450.1 pep chromosome:ALNU02000000:4:16558002:16561135:1 gene:OGLUM04G10450 transcript:OGLUM04G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKTREEMKDDYDEALSQLREPEVPSPPPSPRLLAMLQSAGFASPPPPASTQQQQGIELCSVCAEEAAAAAVAAARVMEAPGLRPATQQQQQQGIGLCSVCAEGEAAAVRGMEPPGLRPATQQQQPTVFGSPPPPPQQQGFVFGGGGAGESSVQQPTLAPPPAQQPQQNQGVGFGGTGAGAATTVSPAPRGTTMARERAAEQLAYDDAISRLINKFAGHDKDAIQGKNTSNVQVFDDIHEGDAIRMVELEEFEKQVLALNAAAWNDAASSCGEEPAVADNFLNGEEQREEEKEEEAAERRSWTLERWELELKASRRRVVEANERARGVQRERERVRRQVAALLHQRMLKRQQMSALSRERALAHKRFVLQQRQWRQQMVEQQQQQQRAPVQQQRMPVVQQAAPRKQRVFTVTVSRAYALQHQRGSTPEERRASVRERVRLELQKVVAFLQRRELEQRYERAAALLRQRAQLEQAALQEQLKLEMQQMRRRQEQRQRARELAIMPQPQGHRGRGGGTARHPDAAIAQGGSGVGHNHPRVEEQLPAQQQQHRRQRVRSVRPTFVAPRGVGTSSGQAYRAPPARQIVVQPQPPPQQLELAGQAFRAPAPQTPQRPESAGQDVPEPQPEEEEGEAVGGEAVVMAPQPSSSREQ >OGLUM04G10460.1 pep chromosome:ALNU02000000:4:16567456:16568839:-1 gene:OGLUM04G10460 transcript:OGLUM04G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITCSACHQKVLNWLKSHKQFKLTLMPQRTDKNEALQATKAAILCYMLILYPTTERFVHSNYLNLLINILDIKRTNWASLTLNHLKRSIVSFQHDKVNLKGNQILLRVISIPDMLWYWEKFRVIRVLAPGNRHETVISGTSFYRSSCGLFMLKCMEHWNGSKLTTKFKQIFRRKLAAILVSSASNDNTEIPTYKK >OGLUM04G10460.2 pep chromosome:ALNU02000000:4:16568842:16569211:-1 gene:OGLUM04G10460 transcript:OGLUM04G10460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYEPPPRMTMNNIRANKPGTQTLDKEQMQAIDDLGFGGLTKMNGVQIRQLLCKQIARQDDEQSRAFNINGIMLETNH >OGLUM04G10470.1 pep chromosome:ALNU02000000:4:16570359:16571671:1 gene:OGLUM04G10470 transcript:OGLUM04G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIEDVDHILGVPSKGVELVEVPRAIQADVDAPKDKDKNKALQATKAALLCYILILCPTTERLVHSDYVNLLINIPDIKRTNWASLTLNHLKRSIRLIAGDLGHGSANRGHRKKEHDEESSSRGGTTTNVNGRRPATSFERQRDRDDGDGGVPADSGGGEKVNGLLRVLAKPTEETAKPGDDGRGGKASPEIKTRRRLGLHGDGGFRRKRTGGRGRARPCGAVGGGAAGVRRPRRRLGAAGATAGGKREMASAGRGGG >OGLUM04G10480.1 pep chromosome:ALNU02000000:4:16573123:16581868:1 gene:OGLUM04G10480 transcript:OGLUM04G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEMPQSRIFGQPPPPTQQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQPTTTLAPPLPPPQQNQGSSSSVRGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRIAEEFEKQVLTFNVAVRKFAAGAGGAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGPPRQQHRHGVQAPQQPESSSSGPAYWGPAHAVPPPPPENGAGALREGRQSGGVVSAATAATSVPTRSTAVEQQQQQEMSQPTQQQRGFGFSGAGAAAAPSPRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAAAAERMAHEDAWRACNPDFATPFASVEDAISRLLPYHVFAEYEEDEIYVEDQPPVKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVRKSAAGAARAEERLMVENLLLADERRQSEHVRALVRQQQLVALQKQQQMMAEQRQQQQQMMAALQQRQQPATIMPAQGHPGAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRQQQPDA >OGLUM04G10480.2 pep chromosome:ALNU02000000:4:16578712:16581868:1 gene:OGLUM04G10480 transcript:OGLUM04G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTQQQRGFGFSGAGAAAAPSPRGMPMAAGAAGPRRLAVQQKQKQPALVPPPPTQQQTQGFGGVGGAGAAALVVGSSSSARGMAAAAAERMAHEDAWRACNPDFATPFASVEDAISRLLPYHVFAEYEEDEIYVEDQPPVKDKSSVQEWDDDHEAEAIRMAEEFEKQVVTFNVAVRKSAAGAARAEERLMVENLLLADERRQSEHVRALVRQQQLVALQKQQQMMAEQRQQQQQMMAALQQRQQPATIMPAQGHPGAMDLFLDAYAAGEQSAYSWMTAAHAVPQPQSQPRQQQPDA >OGLUM04G10480.3 pep chromosome:ALNU02000000:4:16573123:16576769:1 gene:OGLUM04G10480 transcript:OGLUM04G10480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQEMPQSRIFGQPPPPTQQQQQQQRGFGFGGAVPSPRGMPMAAGAAGPHLAVQQKQPTTTLAPPLPPPQQNQGSSSSVRGMAAAAEQIAYEDAWKACNPDITTPFASVEDAISRLLPYHVFAEYEEDEIYAEDQPPAKDTSSVQEWDDDREAEAIRIAEEFEKQVLTFNVAVRKFAAGAGGAEERLMVENLLLADEQRQSEHVRALVRQQQLVALQKQQQQQEKEAAALQRQLMLEQQQQQQAALQQMMAMEQRQQQQQQQQMMSALQHQRQPAIVMPQQGHSSAAALDAFLDAYAEVGPPRQQHRHGVQAPQQPESSSSGPAYWGPAHAVPPPPPENGAGALRE >OGLUM04G10490.1 pep chromosome:ALNU02000000:4:16584198:16588018:-1 gene:OGLUM04G10490 transcript:OGLUM04G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEEGKVAAEGGAEAEADEEVEVKFRLFDGSDIGPLRCNAVTTTVAALKDRVVADWPKGLLLRSLPGSPPGF >OGLUM04G10500.1 pep chromosome:ALNU02000000:4:16595731:16596367:1 gene:OGLUM04G10500 transcript:OGLUM04G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEPWGWRRTVGRINNGGVKFEVVKRYERRWRINNASYKRQGRQAIRLYLTIIQANYGKNQGALEERLGDGQCHHGHSNQDGGLIHIKFKSTSDSRSGPH >OGLUM04G10510.1 pep chromosome:ALNU02000000:4:16598553:16602029:1 gene:OGLUM04G10510 transcript:OGLUM04G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGATWGTADGGSGFYSHVTAGGEEQQVTSETRVARWDTTSASIEVQKGVDGGCPSGAAVVYEEEIEDYDDELGCGCYSGGGGGLRAESGRGPSQCNDVAFSPTPSNRIFDDTRAICVAK >OGLUM04G10520.1 pep chromosome:ALNU02000000:4:16605952:16606376:1 gene:OGLUM04G10520 transcript:OGLUM04G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGAAAAEQAWYMPAAAMVVAAAAETAAERVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPALVGAMDRVMAAHINGSLVPLLKEAGALWL >OGLUM04G10530.1 pep chromosome:ALNU02000000:4:16612231:16619054:1 gene:OGLUM04G10530 transcript:OGLUM04G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEEEEELYYGKGGARGGGNGGGALSAAAAAAASSSGVGGGGWEGTSTAAPRVEKISAGARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPRELLLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQLAGVS >OGLUM04G10530.2 pep chromosome:ALNU02000000:4:16614022:16619054:1 gene:OGLUM04G10530 transcript:OGLUM04G10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFDSRMGEEEEEELYYGKGGARGGGNGGGALSAAAAAAASSSGVGGGGWEGTSTAAPRVEKISAGARGRGNATVKKELSALKDANGNVISAQTFTFRQLAAATRNFREECFIGEGGFGRVYKGRLDGTGQIVAIKQLNRDGTQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKVPLDWNTRMKIAAGAAKGLEYLHDKAQPPVIYRDFKSSNILLGEDFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPHVEQNLVSWARPLFNDRRKLPKMADPGLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQKYDPNTTPSSKKAGGGEAGRALSRNDEAGSSGHKSPSSKDSPRELLLPGILNDRERMVAEAKMWGENWREKRRAAATTSSNAQLAGVS >OGLUM04G10540.1 pep chromosome:ALNU02000000:4:16621858:16625368:1 gene:OGLUM04G10540 transcript:OGLUM04G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKAASAAAQLSLAASACIAGAEAAAMVGREGAPAMVAAAAMVVIGHRGKGMNALGSADPRLREVKENSLRSFHAAARVAGVSYVEFDVQVTKDGYPVIFHDDFIFTEQDGEICGRRVTDLRLDEFLSYGPQKDQSKAGKPLFRKLNDGRVLRWDVQSDDALCTLQEALDGVDRRVGFNVELKFDDDVVYREMELTGILQAILKAYGKTVVFEHAKERPIFFSSFQPDAARIMRKLQDRYPVYFLTKGGTQVFADERRNSLEAAVKLCVAGGLRGIVSEARAVLRQPSAIGRIKEAGLSLLTYGQLNNVPKAVCLQQLMGVDGVIVDLVAEIAAAVSEFAAAAAAVPVPERDSSSSYMDGGGDVGLLETTSPAARTTASFSRREDVSFLLRLIPELVQ >OGLUM04G10550.1 pep chromosome:ALNU02000000:4:16627309:16634748:1 gene:OGLUM04G10550 transcript:OGLUM04G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIASRLLRRSNATLGLIRSYSHARNYSSQLSALIPIGSQSSKLTRRRYYLPNASPYQLWSRSFASDNGDQVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKVAIISKSAAPAETHVAPSEDSTPKETPPKAEETKPKVEEKSPKAEPPKMPLPPKTSPTEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVTALQNQPIVNAVIDGDDIIYRDYVDISVAVGTSKGLVVPVIRDADNMNFADIEKGINALAKKATEGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVDGNILARPMMYLALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDI >OGLUM04G10560.1 pep chromosome:ALNU02000000:4:16632715:16640533:-1 gene:OGLUM04G10560 transcript:OGLUM04G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G27700) TAIR;Acc:AT3G27700] MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARPPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVTVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTPVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDLSLAESSSMWIPFCSH >OGLUM04G10560.2 pep chromosome:ALNU02000000:4:16632717:16639038:-1 gene:OGLUM04G10560 transcript:OGLUM04G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G27700) TAIR;Acc:AT3G27700] MIKESSSPALDADKIEVPSPKDENNSSNSEAATDNEDFEISDDDDDDRNHKHRKREARPQSFDENTEQSPGGPLKKRHKISGGADSHGEAQKDFFPKFKRRPGAGAHSRAPRVNPSFRDSSASVAARPPMTRGRGRNGAPWAQHEPRFNTLEMIDFASQMASQGPPTHPSLFMGPALPSGGSAQNGSWGPYGFMPGMPNGMLDPIHPLGMQGPIQPAISPLIDLGMPRQRCRDFEERGFCLRGDMCPMEHGLNRIVVEDMQSLSQFNLPVTVPNTQGLGIQNEPGTAPVNTSSLGGSKGVPAKDIKSAVTNDVLKLNGTTALAVSDADVYDPDQPLWNNEHPDASAGFAHTDGVWNAESLGYEAAREQGNQVLAADSSQNSKSSVWGRIASKKLGHGKTANATSTSATGNKRNESYDEMAPSTVHVNPASAKDSNGQSNSRIFGDVGRQSNRASHKASRTLYVNGIPLESNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIKLWWANRDRIPDEVEGRIPAKSSHMSAALANSVPPQPSSSNRGKENLQSATPRASSGSSAEASGPGTGHKMLPANSVKSLPPDTKRQESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGLANSAKQAEAGGKEVASNDVHRVTDSKSMNTGTEGPRDAAGTLQNRTSGELASSSHKSSATSAQKPAVATKQTSPLLVPSQNRFKLDNRTTSFRILPPLPPEIADESVLKDHFMSFGELSSVVLEDTEAYNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSTNHSRFQKTSIPARASSFSSQTQNMPSESSTPVGKMSSTVKSSTTAKPHSESMPTATSAKTSVEIPKALSSRDSDLSLAESSSMWIPFCSH >OGLUM04G10560.3 pep chromosome:ALNU02000000:4:16638999:16640658:-1 gene:OGLUM04G10560 transcript:OGLUM04G10560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type) family protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G27700) TAIR;Acc:AT3G27700] LRKRNCANHRKSSCGGAAGGCVEPRYFPPSARPRLRLRLLASSPAAAARRRRSPIHPATEQGCLRRVPGGLTYIVWPRQRVKSRCKVCCGLGKLEDDQRIFISCIGC >OGLUM04G10570.1 pep chromosome:ALNU02000000:4:16649529:16653384:-1 gene:OGLUM04G10570 transcript:OGLUM04G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLVFVCGDQALLFLVVVFLLPGLHRRRALPSHRRHRRRFFLAGLLSPGALRDPLPLAGWPGAPVGRTAAPAHRARRAPTALGKLPRRIVLIRFNRLPEDLCFFSCMMDSKGKVKKETDGLPPRKGGLKFAPKVRPKKAPKIVPKTEPAEESKDETVDKELLMKLKTSQSTDPFVRKFKTEKKEMRTQVAFGQGNSSYARSFPMQSSADGSASKLPKEYVEPWDYTHSDYPVTLPLRRPYSGDPEILNEEEFGESSATGAQDGELTAAEELGLMHRSDKAQLLFFQMPASLPLPKQPDSVAETDKGDGVDAEPTSTSSKEMHAGTRPPKVLGSKLKDLPEGFMGKILVYRSGKVKMKIGDSLFDEVAAINAREKHCCTLGEISKRAIVTPDIEHLLDSFDKMEA >OGLUM04G10580.1 pep chromosome:ALNU02000000:4:16659723:16667248:1 gene:OGLUM04G10580 transcript:OGLUM04G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLRKFRGFGLHSHRERKDHRPPPAKLDELADAAQEMEEMRNCYDSLLSAAAATMNSVYEFAEAMEEMGTCLLEKTALNYDDDDSGRVLMMLGKAQFELQKFVDNYRTNIINTITNPSESLLKELQVVEEMKELCDHKRQEYEAMRAAYREKGRSRHSKTETLSSEQLQAYFLDYQEDAALLLATILLRRGLKYLEALEPHVKAVAEKQHIEYPLNGLDDDTDNDEYSSYQGNQSDDSELSFDYEINDRDKDFPASRSSMDLDQSNQACSPEPLKEHKQEYVEQIQSDFAAPRVKLEIGTQSAPISGDNVFDPSTRFRKMNTSNRTNYSYKLPTPDDDKNSTSAHINRSPHSDQPENKSHVAENLWHSSPLVKGFKPNSMFSGPVKMPSSTEGISAPLVYPYATSDFKKMKREAFSGPIPSKAGLNKPLFSATDLRAPMNYPRAMSTKSYGPGWQSSVAPKFTPRITSLPTTSPRISELHELPRPPANVGAARPGLVGYSGPLVSRRQVPNVPTRASPPSQTASPLPRPPAAMTRSYSIPSNSQRTPILTVNKLLEARHSRESSEVSSPPLTPISLADVSRRSTAETALEKTRMMETL >OGLUM04G10590.1 pep chromosome:ALNU02000000:4:16671391:16671921:-1 gene:OGLUM04G10590 transcript:OGLUM04G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPYAPQLTRWRAAVGGAVRDFVEHAGSVIALRPEDYYHRTATAATGDDDDPRERVLLGGRLYPVADETTTIHGGGRTTLRCVEFCPEPWVAPLRLTVTTAAAAAAAEGKQRQEVAEVVSPDGAVRVLGRGECFGGGERGTVQHVVDVEGEREVFVLLVSVRPELARIVRVQRLT >OGLUM04G10600.1 pep chromosome:ALNU02000000:4:16680959:16682558:-1 gene:OGLUM04G10600 transcript:OGLUM04G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLEMVAAWLQQQNREGQASTGNEEGQANIGSTGMRMEDSSSEVSLSLLEQPQGSQVGDVSHAPWSPLSQMSSSLSPSTMGTNDVNYNWYSEGEDTYDSDDEYDEYDSDDEYDEVSSVNNVYTPTSVLSPTLASVLGTNSDASDNDSDATNSDASASAPISNVVVGCRTCIVFIMFIVVLAPVIELEKGYVIANRQLQGLNISQKEDFFYRKCLVERLHGLGWVQKGIFLLYAGWFRLWEKWWTAPSHIRSSAYHVLCTQVGG >OGLUM04G10610.1 pep chromosome:ALNU02000000:4:16684443:16688750:-1 gene:OGLUM04G10610 transcript:OGLUM04G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWFRFGEVAIVAPRFGLVPIWSQPARPWRRKQILSCSSRGGGCGGGGEEEEEGGGGGGGGGAMTYFPEEVVEHIFSFLPAQRDRNTVSLVCKVWYEIERLSRRGVFVGNCYAVRAGRVAARFPNVRALTVKGKPHFADFNLVPPDWGGYAAPWIEAAARGCHGLEELRMKRMVVSDESLELLARSFPRFRALVLISCEGFSTDGLAAVASHCKLLRELDLQENEVEDRGPRWLSCFPDSCTSLVSLNFACIKGEVNAGSLERLVSRSPNLRSLRLNRSVSVDTLAKILLRTPNLEDLGTGNLTDDFQTESYFKLTSALEKCKMLRSLSGFWDASPVCLSFIYPLCAQLTGLNLSYAPTLDASDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAVSLGCPKLNSLLYFCHQMTNAALVTVAKNCPNFTRFRLCILEPGKPDVVTSQPLDEGFGAIVRECKGLQRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDAALLGNFARYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEVINERDGSNEMEENHGDLPKVEKLYVYRTTAGARDDAPNFMVGGIWEEAGAAATVAVADNLLIPIATAVAADRLDGLIAIN >OGLUM04G10620.1 pep chromosome:ALNU02000000:4:16713419:16713958:-1 gene:OGLUM04G10620 transcript:OGLUM04G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDEAPATDPATAPSPSPSPAKRKPDAEATDLAPLDPPPKSARPDADEAAAEEAARARAADKGKGKMVVEDEDEEEEEGGGSDEDSSDDDDDDDEEGGGGGGGGGGDDSDDGFCDDPLAEVDLNNILPSRTRRRAPPQPGAYLVPPEEAAEDDDDEDADVDVDIARGHQAGDGEDSD >OGLUM04G10630.1 pep chromosome:ALNU02000000:4:16722244:16726984:1 gene:OGLUM04G10630 transcript:OGLUM04G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAPVELDFLGLRAAAAAAAAADADADDRHAKSGGSSASSSSSIRGMETSAIARIGPHLLRRVIAAAGPPPPPSTAPAEAIMRMATEATKAKGLARGNAIVGNFAKEPLTRTKSLQRFLSKRKERLTSLGPYQVGGPAAVGATTSTTTKSFLAKEEEHTAS >OGLUM04G10640.1 pep chromosome:ALNU02000000:4:16727808:16734553:-1 gene:OGLUM04G10640 transcript:OGLUM04G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLRPTIWECTYWADPNWPITWGLVSGAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNTLHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNTGFSELLEPQVWDDKHLVGEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKRDGNIDYHDLSYGTPAEVRNVFTLVVFDTLYPSNTENQQDLASNQDSSNKTNPSNLD >OGLUM04G10640.2 pep chromosome:ALNU02000000:4:16728936:16734553:-1 gene:OGLUM04G10640 transcript:OGLUM04G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLRPTIWECTYWADPNWPITWGLVSGAAAAAEAPEWKKVSAKRFGIKDSMIPDEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVYENNSIVEVSSFNTYARGSTSNQIYTSKSPHCSKNDYIRWKNCQGRDFTINGLMFNPYAEKIYDYFGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFNFPKETAYYVRTLACSVARLDKGRILMEINYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNTLHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHNTGFSELLEPQVWDDKHLVGEVQSLAVSMRRALTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKLIECVQHGKKEHGYEPKRDGNIDYHDLSYGTPAEVRNVFTLVVFDTLYPSNTENQQDVSS >OGLUM04G10650.1 pep chromosome:ALNU02000000:4:16770696:16771347:-1 gene:OGLUM04G10650 transcript:OGLUM04G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEELVCRLKLEDFGQCMHPLKVSKVLDKRGLTMWNLLGFDTKAGIATDRPLIVRTTIGRASNRGTNDNKGHKSRSYQSTAIGEQYRKASTTAMQGFGEPSSKRQQQQCRGESIERLEAIATMRTGGLVSTAPISIAREGEGAERPKATTPRRFGDPISTADRSDSNIAEIAAT >OGLUM04G10660.1 pep chromosome:ALNU02000000:4:16808984:16811491:1 gene:OGLUM04G10660 transcript:OGLUM04G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGKMSEDEKLPFSPLEMVGSRQELKLFQSELVGVRNSILKSVSRVVRPHPWCAAFGISTGETDIMGTAKNPIGFRCNKCMKKDSSLHDQNQHMTNVPTDRSTCLKESSDNVHGATNRFSSD >OGLUM04G10660.2 pep chromosome:ALNU02000000:4:16797265:16810587:1 gene:OGLUM04G10660 transcript:OGLUM04G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEPINHHQHQHDPPKQPPPREADDDDHRIQEREPLPPPTTTTRNQRLQLQLGGDGHHNHHHHHHQEVAGTSCSSSGGSSSNNGGGGTRDWLRLATGPASPGASAGSDHDLFPSTTTTAPAPQPPTPTPRHHHHDVLVLPGMPPPGSFLRPGPAMPGIPQASIPTHMPRAAPPWLPPWSPVAAPPPLLPFPHQHRAFYTAAPTTTPPASSGFDAIRVVLPPSAVAAAAGVWFVLQAAPLQGREPFLPQIPRSYLRIKDGRVTVRLLTKYLVNKLGLEDESEVEITCRGRQLLPILTLQHVRDSIWCRRDAVSPSAAPDIPTADHHQHIMVLQYGRRP >OGLUM04G10670.1 pep chromosome:ALNU02000000:4:16812342:16823454:-1 gene:OGLUM04G10670 transcript:OGLUM04G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVRRTVFPFITSTEVGVSLVPKKTRSSSELDDNEPEVSTPELFRGQVQKLMLECKMAILPIQVLSEPLRFSSLKAIR >OGLUM04G10680.1 pep chromosome:ALNU02000000:4:16829695:16833473:1 gene:OGLUM04G10680 transcript:OGLUM04G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK59] MRGVIAAAVCAVLLLLVGGNGGGWSAMAAATMEEDRIVALPGQPNVSFAQYSGYVTVDAARRRELFYYFAEAELDPATKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYGGVGDSRTARDNLRFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYHSFTTVCNYSRYVSEYYHGSLSSACDTVMTQVARETSRFVDKYDVTLDVCVSSVLMQSNSLAPQRGSRELDVCVEDETMGYLNRKDVQEAMHARLEGGVPKWTVCSSVLEYKQLDLQIPTINIVGGLVKSGVPVLVYSGDQDSVIPLTGSRTVVQRLAGRLRLGTTAAGYRVWFEGRQVGGWTQSFGGGALSFATVRGASHEAPFSQPERSLVLFAAFLAGRPLPDSFQ >OGLUM04G10690.1 pep chromosome:ALNU02000000:4:16843727:16845443:1 gene:OGLUM04G10690 transcript:OGLUM04G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK60] MPRATSDAKLLIQSLGKAYAATPTNLKIIDLYVVFAVATALIQVVYMGIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >OGLUM04G10700.1 pep chromosome:ALNU02000000:4:16846297:16853656:-1 gene:OGLUM04G10700 transcript:OGLUM04G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLSPDLKRGNFTDDDDELTIKLHTLLGNKWNTHIKRKLMSQGIDPQTHQPVSAGTSVAVASELTTTAITVGFPSLAVAPARGSSRRKEGRLGGVFLVVVAGGGGVSGGGQVSDGGELELRVEERREVVVAARRRRGGQRLGGGAYGRGDWIGGQVARGFPFGVGRRSGTARFRVRARATMMRTMPTRTLTLGGFQGLRQTNFLDSRSVIKRDFGSIVASQISRPRGLGSRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNDDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDDSLIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQVTKDKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMVKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFDRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLAPALSV >OGLUM04G10710.1 pep chromosome:ALNU02000000:4:16854977:16860979:1 gene:OGLUM04G10710 transcript:OGLUM04G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGGGGGEGFEERVKRLFGSRLFDDVPGSSFPAASWSVAAGDVERRRWAKPSEARDEEEAREGADAADRGDTPCASAFYDDNGCLRAGTRRRRRRRSKQEEFEGDLDEEDEEEEEKERGGGGGKQQQEEDEEEGVRVSIGLDPTLDREEEEDKYDREAFGREDAADRVYMNEIMDDGINMSINTVVPDILDDSVEEIHRFSRDPRADMGAASARLKEYDSSAKGGTCSLAQPNEFPSGGIQAMKTDDANVKPILKRKEEQGDSKPRKRVKFAADVKDQSAELHEQDEDSPMVPQSMDLVIGKDSSTPSESPGVPDYVKNPAKYTRYTLDTPECNDETNRRAFADLHDILRRMEPEPEAPPVEIPTSVTFIPRKKTVDAMTVDEGPKSNDANSSLIGLAAGASDETDETEQCEMDEDDPKALLPPQVQTNTKMNSRRYRSSRTDDE >OGLUM04G10720.1 pep chromosome:ALNU02000000:4:16857580:16860239:-1 gene:OGLUM04G10720 transcript:OGLUM04G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGEGSGRAPRLPRWTRQEILVLIEGKRVVEGRGRGRGRGGGGGAAAEPTKWAAVAEYCRRHGLERGPVQCRKRWSNLAGDYKKIREWERSLSSPSSSSAAAGMGKEVSFWAMRNDARRERRLPGFFDREVYDILEGRGGGNAAAAAAAGKEGEEEKAAVFDSGRAAAGSGGGGGDDGLFSSSEEEEDDDEATPPATTPAAAPAPPPAPAPAVPVLTSEKKSDPPRQDASEQAGTSRAKQPEQIVEDSPAQGAHKRQRSDDASGEAPDLQGQLIEILDRSSRMVAAQLEAQNINCQLDREQRKDQVSSLLGVLGKVADALYRIADKM >OGLUM04G10730.1 pep chromosome:ALNU02000000:4:16871289:16874222:1 gene:OGLUM04G10730 transcript:OGLUM04G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEMDLLADDDGGEAERLEAAEAQADLLRDRLRLAVISIATSEGKKAGMEVSDPVVACIADLAYKTVGSSKLLATYITEQLAKDVELFAQHAGRKSIKMEDVILTAHRNEHLMGLLRTFSLELKGKEPSSERKRKKSSKKDDNVMQI >OGLUM04G10740.1 pep chromosome:ALNU02000000:4:16884435:16886345:-1 gene:OGLUM04G10740 transcript:OGLUM04G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPLSFPPLLFFPLFSRPRRCETEGVHSIISTVCELELEMWCFPISCESFEPSGSQLLNRPRRIRLHRQALLLFRQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYIMQLLTGLLGREFSAVIYATQSISNILSISGEELMTMDKPAVGSKVHYYTCSTAFDVSLFG >OGLUM04G10740.2 pep chromosome:ALNU02000000:4:16884872:16886345:-1 gene:OGLUM04G10740 transcript:OGLUM04G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPLSFPPLLFFPLFSRPRRCETEGVHSIISTVCELELEMWCFPISCESFEPSGSQLLNRPRRIRLHRQALLLFRQADLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYIMQLLTGLLGREFSAVIYATQSISNILSISGEE >OGLUM04G10750.1 pep chromosome:ALNU02000000:4:16895918:16900562:1 gene:OGLUM04G10750 transcript:OGLUM04G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTALAHVIRAAPDLHLPHHPSSSASAAAHPQQASSFYPTAAAAASSPSDQLAAAAAAAEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDLGFLVTRGIPPAATHGGGYYPSSSPAAGAMPPPPRQQQTVVPYPDLMRYAQLLQGGVGGSYMPFGGAATMSSSTVSSSSAPQILDFSTQQLIRAGPPSPMPSSGSGSATAAASSTTSASSPGAWPYGGSERKKKDSSS >OGLUM04G10760.1 pep chromosome:ALNU02000000:4:16906930:16918509:1 gene:OGLUM04G10760 transcript:OGLUM04G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEQRLRMEGWMGRTEMINRRRQRLHSGERERKLCVRKRMGSSDFDRGARFGGVDDGRLGEGTKRCEEMVMAGLKCGYDFDYISFDTLDKESMSNAGGRAVASSCVAPFKDFGSSPAGRGHHPVLMHLGIQTKHIA >OGLUM04G10770.1 pep chromosome:ALNU02000000:4:16925698:16931112:1 gene:OGLUM04G10770 transcript:OGLUM04G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAAAAVLLRRQLAAVMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQFYYLWCDDVMLVRNNWSGILPCVDVSVDPHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEARFSIYHISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEAPET >OGLUM04G10770.2 pep chromosome:ALNU02000000:4:16925698:16931112:1 gene:OGLUM04G10770 transcript:OGLUM04G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAAAAVLLRRQLAAVMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQFYYLWCDDVMLVRNNWSGILPCVDVSVDPHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEAPET >OGLUM04G10770.3 pep chromosome:ALNU02000000:4:16925698:16931112:1 gene:OGLUM04G10770 transcript:OGLUM04G10770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSASRALAAAKPRSPEPFAAAAAAAAVLLRRQLAAVMAAAPASSASAAGNREAFRVAVTNTLERRLFYVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLEKDNTLSPEKTAEFNHVLAVLDDLSAEQLGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGLLRVREFTLAEIEHFVDPEDKSHPKFRDVSDLEFLMFPREQQLTGKSAMKLNIGQAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSFGWIECVGIADRSAYDLRAHSDKSGVALEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMSETEALNMKSALESKGEVEFKVCTLGKDVTIKKSMVSINMEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKAEDEQLNVFGFPPLVAPIKCTVFPLVKIEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAAEEEEAPET >OGLUM04G10780.1 pep chromosome:ALNU02000000:4:16933083:16933410:-1 gene:OGLUM04G10780 transcript:OGLUM04G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDISSIASILIFSRLRLAGLWRLLWLVTCCSKHAELSVQFEHRSPRIPWQSFIESGEAHTKSIYLITNLKIYCFRGDNQRGHLSVKRCAHH >OGLUM04G10790.1 pep chromosome:ALNU02000000:4:16933903:16937051:1 gene:OGLUM04G10790 transcript:OGLUM04G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit Rieske, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK73] MLRVAGRRLSSSLSWRPATTAAAAAGGPRGGPLAGKNDDDDNNGNGRVQPRFSIESPFFAAARGFSSSETLVPRNQDTGLAELPATVAALKNPNPKVVYDEYNHERHAPGDPSKRAFAYFVLSGGRFIYASLLRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIALANSVDVGSLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >OGLUM04G10800.1 pep chromosome:ALNU02000000:4:16939242:16943895:1 gene:OGLUM04G10800 transcript:OGLUM04G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTVGWWLLAAAVVLAAAAADSGEAERAAEQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVNKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTPAGLPLPFKSPRVMRSAIQYISHKWPFWNRTDGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQENHVCLKEGSITIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGVYLQPSDKRLNWTAGPVGDLKAW >OGLUM04G10810.1 pep chromosome:ALNU02000000:4:16942363:16943046:-1 gene:OGLUM04G10810 transcript:OGLUM04G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRTIPVIFGILFYVLASTATATDAPDYVVQGRVYCDTCRAGFETNVTEYIKGAKVRLECKHFGTDKVERAIDGVTDETGTYKIELKDSHEEDICEVVLVHSPLANCSEIEAERDRARVLLTRNVGICDNLRLANPLGYLKDIPLPVCGALLKQFDLADDDNE >OGLUM04G10820.1 pep chromosome:ALNU02000000:4:16944121:16967315:-1 gene:OGLUM04G10820 transcript:OGLUM04G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHIYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLHLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSESWKLLTILYVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAQIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSPAAIYSSFNGSIVLHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEAPKALYWVMSFCNMQSGLFESL >OGLUM04G10820.2 pep chromosome:ALNU02000000:4:16944121:16967315:-1 gene:OGLUM04G10820 transcript:OGLUM04G10820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHIYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLHLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSEVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAQIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKREVLEFMGCMQPSTNMVKPSNHMSPAAIYSSFNGSIVLHVYRGYDGLVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEAPKALYWVMSFCNMQSGLFESL >OGLUM04G10820.3 pep chromosome:ALNU02000000:4:16944121:16967315:-1 gene:OGLUM04G10820 transcript:OGLUM04G10820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAGPSRPAAAAAVAAGDFPELLAFCARAEALIAELLLLSDRAPSQFADRRFHPVLFDFRYFDSPGEFEARIEGNMELEALEDELRESCGSYMRRFFALLDAAVAYHDELCSYLNDLQEGLYVHCTLDGVLESNWACQLLTESMTLFGCMALLMEHRISGLLRERLLVAYLRHERCFSFPNVERICKLCRRHVTTPPSPGASGSSLHTAEIISVQKPEDLLRRFQFPELIVDAVITCLRNGDVYNNVRFYPDPQHRTTALSLQGGHIYVLLFYSRDLLHNGLAMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAFKEAKSSLVSCLSPTFVRDRSLYHYTKVSSLLADLDSHMHAVNKEYVLDNSLHLLSIIRECNCTLRWLLLHRMTNDKKARDLVICLGSSQHADEGKLLQLLMKTAKLEFEVKELHAELLKTRKSMWYEKRHDALECMKDLSQNYLGTWAASCKLKNKSIKDWLEHLSSESWKLLTILYVSSLDYATIGNSGRIIHRVLSTLKDIELLHQIKENIQIKHGFSKIQKNLHDMIKVLNLNQESISVFSVITDGKYAWGYLTYFEELLKKKISQDPSESLFLHTMFLKFQSWLDAPLQRIKQYESPDLQYVSTYYASKYAAQIFAVLDIIPAILLKISIDVDYINAEQSTHLINRINKETLEDLMQLDQQLCQAQQAAKLCIVSEGLLNMSKNFDGLIDLNLGGWLKQMIKKELVSQLQGKLKALSLLIYGDIEGNLMSLSNYMLSQMQRMEFLQHILHIDGCSIWEETLTAVLEECAKRVDVKCREELNKLDDLLGPPMSIPLMGWSSYKEMVKMLHSSWGPLVEKLATIGQLQLVRNLVSFKLRSACKVRANTISSAVDILSSSVCLQNGRFETGAEDHNVRLFLNNIKDQQNFCGLLSPLQAIYISEEPPMFLTRLLCIFSISQLPKYVLDIHLGSLTNPLKKSVADFSALVIGLGTLLQQFGPSHITQYIEAPKALYWVMSFCNMQSGLFESL >OGLUM04G10830.1 pep chromosome:ALNU02000000:4:16968509:16968841:-1 gene:OGLUM04G10830 transcript:OGLUM04G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGSNRAVRCAAAVAFIIVVVVVGSADGRGGGRGGHVLHGGGGGDDDPGNFRSSMVDCMMECAAEVVSCSSACAGKPRGEAPTCAADCVHGDISCLAGCGAPAPPAA >OGLUM04G10840.1 pep chromosome:ALNU02000000:4:16969673:16974689:1 gene:OGLUM04G10840 transcript:OGLUM04G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK80] MVLSNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKND >OGLUM04G10850.1 pep chromosome:ALNU02000000:4:16980878:16984647:1 gene:OGLUM04G10850 transcript:OGLUM04G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSKKKEEEEVAVVAARKGRLRQRYDGEYRLVAGCVPYRVVAGGGELEVLMVSTPNRADLVFPKGGWEDDEDVYEAACREAMEEAGVKGNIHRVSLGMWVMRSKSSQSGGGGEASRSPRGGACKGYMFELEVTEEMDRWPEQATHGRRWLPPADAFRLSRYGWMREALAALLDRRRLLLLPPPQPEPSEHAGVYGLAMLKAAAAAAADRAVALC >OGLUM04G10860.1 pep chromosome:ALNU02000000:4:16991594:16993233:1 gene:OGLUM04G10860 transcript:OGLUM04G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLLATQHEMLPVSKSTGESNRGVGSHFAKIDIEAAETEMLTNTGVQLPGSCTKEQLLETKQAWLRLLIFFAGKSRPEMHAAQLAAGGELLTLQRYRGFRHLPDRDNLVLFRLGPTLHQPAADHTADNSSDQLKEEEGHHQNEISHV >OGLUM04G10870.1 pep chromosome:ALNU02000000:4:16994081:16994807:1 gene:OGLUM04G10870 transcript:OGLUM04G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAGLLSHSKMRPMVGHRGNRANVTLRRSGLPRPDLAMRLASGRKDKGEPELDGAHVAMATTVGKGRGAVVTLAKCGGEVVVVNAKHNGLEDLLNFSAGPNLRVQEHVSWFDPTTNKKDNSKN >OGLUM04G10880.1 pep chromosome:ALNU02000000:4:17011200:17012084:1 gene:OGLUM04G10880 transcript:OGLUM04G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFSSYFYSSTAAAGGGGGGEKKSSSSSSASKKKQQQAAAAAEGGNNQTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSVTPYLSPDLSADASDQLLQPFYANPSAAAALPTPAAVMAGGGVEFGGEYMSVYGGGGVDMSSLMDDIAMPDDLPPSVNGGGGGFASSDEYSSGGCGGGMVDDVSVYGGGNGGGSSWCDASDFASYSSSSPAAAAAGSHGMYFEEGYVHSPLFSPMPAVDDAGADGFQLGGSSSSYYY >OGLUM04G10890.1 pep chromosome:ALNU02000000:4:17034456:17082059:-1 gene:OGLUM04G10890 transcript:OGLUM04G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDIWPPPQPPPPPPPPCKPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPPKPCGCSHGFVCVCKPAPPPPCGCSGGHGNCGCGGGIRPWPPQVWPPPPVCPPPPWCYTEVDNANACSIISTMGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVEVWPPPPPKKKPETAGTTPAPAVANPPPAATVAATPPKVDGAGNKGEKQPKTTTPPPPPVPLPYLWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPETKTKACDNDGYTCDHGKHCIEKIEYEGEKVIVRGSFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAIAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPPPPCPQRSCSPPPCPRPCSPPPCPRPPCPPPPQHFCQQRHCSSQWGGSCNCNVNVMVCEQEVATMAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPFPYPVPYPVPCKTTTTDKPKPCCGGGGHGCGGCVPPPPRCKCGVDGCGGGYGGVYTGHHGRPEMLPLQGEDHQGPGLPQSIQIKMGASILVITVDLKCCRCRAKITKVLDCLKAEYCIEKTEFEEKDDKVIVRGKFDAGSLRSKICCKAGGKVVKDIKAVDAWPPPKEPEKKKKDEKPVCKLVPFPVPYPAPASGGAAQGGSPPWCCPASTQQCYHCCPAPPPPKPKPKPCECTHHCGGCNKPAVSPCGGGCSISDGGACGASCKPPPAIWPPQPSFYYYPPPPCGGYKFACEENSDVCAIM >OGLUM04G10890.2 pep chromosome:ALNU02000000:4:17034456:17082059:-1 gene:OGLUM04G10890 transcript:OGLUM04G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDIWPPPQPPPPPPPPCKPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPPKPCGCSHGFVCVCKPAPPPPCGCSGGHGNCGCGGGIRPWPPQVWPPPPVCPPPPWCYTEVDNANACSIISTMGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVEVWPPPPPKKKPETAGTTPAPAVANPPPAATVAATPPKVDGAGNKGEKQPKTTTPPPPPVPLPYLWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPETKTKACDNDGYTCDHGKHCIEKIEYEGEKVIVRGSFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAIAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPPPPCPQRSCSPPPCPRPCSPPPCPRPPCPPPPQHFCQQRHCSSQWGGSCNCNVNVMVCEQEVATMAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPFPYPVPYPVPCKTTTTDKPKPCCGGGGHGCGGCVPPPPRCKCGVDGCGGGYGGVYTGHHGRPEMLPLQGEDHQGPGLPQSIQIKMGASILVITVDLKCCRCRAKITKVLDCLKAEYCIEKTEFEEKDDKVIVRGKFDAGSLRSKICCKAGGKVVKDIKAVDAWPPPKEPEKKKKDEKPVCKLVPFPVPYPAPASGGAAQGGSPPWCCPASTQQCYHCCPAPPPPKPKPKPCECTHHCGGCNKPAVSPCGGGCSISDGGACGASCKPPPAIWPPQPSFYYYPPPPCGGYKFACEENSDVCAIM >OGLUM04G10890.3 pep chromosome:ALNU02000000:4:17034456:17082059:-1 gene:OGLUM04G10890 transcript:OGLUM04G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKMGILVILVDLQCCRCDAKIRKVLGCLEEEYCIEKVEYDVKNNRVIVRGKFDPEKLCKKIWCKAGKIIKEILIVDIWPPPQPPPPPPPPCKPPPCEKPPEDCKPKPCHCCSCEKPKPKPKPCHCEKPKPCHCEKPKPCEKPPPCKPEEPPKPPPKPECKLVPYPYPVPYPYAGQWCCPKPEPPKPPPEPPKEPEPPKPPKPCGCSHGFVCVCKPAPPPPCGCSGGHGNCGCGGGIRPWPPQVWPPPPVCPPPPWCYTEVDNANACSIISTMGILVISADLKCCRCKEKLSKILCSLRDKYGIEKTEYEDKDDRVIVRGNFPADKLRSVIWCKAGRKLVRDIAVVEVWPPPPPKKKPETAGTTPAPAVANPPPAATVAATPPKVDGAGNKGEKQPKTTTPPPPPVPLPYLWPPCYYPPMQHCQTSSTTWTGSCPTQCHCCPKPPPPETKTKACDNDGYTCDHGKHCIEKIEYEGEKVIVRGSFCAEELRKCIWRKAGCKVIVSIVIVEVWPPPKPKPVEVNVSVKTEVTAEAKAAAEAAARAAIAVVIKERGLQQHCGGKPPGCKMVPFPYPVPYPVPCKCCPPPPPPPCPQRPCSPPPCPRPPPPCPQRSCSPPPCPRPCSPPPCPRPPCPPPPQHFCQQRHCSSQWGGSCNCNVNVMVCEQEVATMAILVITVNMQCCRCKEKIDKILNCLRCKHCIEKIEYEGEKVIVRGSFCAEELRTCIWRKAGCKIIVSIVIVEVWPPPPPAVTVNVKADVTATAVAAAEAAAKATAEAFIMVEREHCNKQPPACKMVPFPYPVPYPVPCKTTTTDKPKPCCGGGGHGCGGCVPPPPRCKCGVDGCGGGYLKCCRCRAKITKVLDCLKAEYCIEKTEFEEKDDKVIVRGKFDAGSLRSKICCKAGGKVVKDIKAVDAWPPPKEPEKKKKDEKPVCKLVPFPVPYPAPASGGAAQGGSPPWCCPASTQQCYHCCPAPPPPKPKPKPCECTHHCGGCNKPAVSPCGGGCSISDGGACGASCKPPPAIWPPQPSFYYYPPPPCGGYKFACEENSDVCAIM >OGLUM04G10900.1 pep chromosome:ALNU02000000:4:17038252:17038749:1 gene:OGLUM04G10900 transcript:OGLUM04G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRLRVQRRWVTTAEGAEAQRRQAGGEASVDPATAPHPTRMVLAMAARIRRGCGRCGRGSGYGGVLLTWFIHCPPNDDRRAADGVYLDDEDGGDVSLMMRSAEMGLAPSPVSMAAIWVVGSGVVGTMVETAAHHPQQSHPSLSRRGQCTAAFTLCGGDRLEPR >OGLUM04G10910.1 pep chromosome:ALNU02000000:4:17089486:17090894:-1 gene:OGLUM04G10910 transcript:OGLUM04G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLIITVDLQCCRCNIKIQKVLCCMQDWIQSLWVVQPYNHYNRNERGELEIEKIVYEKDTVVVSGPFDAEKLSCKLWCKAGKIIKDIKIKPPEEKKKPEPKPEEKKPDPKPKPDPCKLIPFPYPYVYPPPPPCGGCTTPHCCDCHPKPPPPAPAPAKPACGCPAWSSPCHCYPPPAMPPYPPVLVCDEGPQYGACAVM >OGLUM04G10920.1 pep chromosome:ALNU02000000:4:17096583:17097572:1 gene:OGLUM04G10920 transcript:OGLUM04G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPDVVSHNALVHGYVKAGRLGLAVRVFEGMPERDAVSWGTVVAGCAKAGRLGEAVRLFDRMRREGFRPDDVALAAVLSCCAQLDALDKGQEVHEYVKRTRPRPNVYLCTGIVDLYAKCGRVEVAREVFNACPEKNVFTWNALIVGLAMHGHGTVALDYFDRMLVEGFRPDGTTFLGVLIGCSHAGLVDTARRIFFREMQHNHGVPRELKHYGCMADLLGRAGLIDEAMEMISSMPMEADTYVWGGILAGCRMHGNNVEFAEVAARRLLELNPDDGGVYSAMAGIYADAGRWEDVARVRRSMDEMVGMRNVGRSSIAMELKDGIKMLS >OGLUM04G10930.1 pep chromosome:ALNU02000000:4:17098463:17105641:-1 gene:OGLUM04G10930 transcript:OGLUM04G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRFAWPYGGQRASFCGSFTGWRECPMGLVGAEFQVVFDLPPGVYQYRFLVDGVWRCDETKPCVRDEYGLISNEVLVDNTHLVVQPETSIRVVSMDEGTTLTTMPPDQLSQNSGVQIAIFRHRVSEILLHNTIYDVVPVSSKIAVLDARLPVKQAFKIMHDEGLSLVPLWDDQQQTVTGMLTASDFVLILRKLQRNIRTLGHEELEMHSVSAWKEAKLQFYGGPDVAAIQRRPLIHVKDSDNLRDVALAIIRNEISSVPIFKPSTDSSGMPLLGLATLPGIVKFICSKLQEQPEGYSFLQNQIVSMPIGTWSPHTGKASNRQLRTSRPSTPLNSCLDLLLEDRVSSIPIVDDNGALLDVYSLSDIMALAKNDVYTRIELEQVTVEHALELQYQVNGRRHCHTCLSTSTFLEVLEQLSAPGVRRVVVIEPRSRFVQGIISLRDAFTFLIG >OGLUM04G10940.1 pep chromosome:ALNU02000000:4:17122215:17128141:1 gene:OGLUM04G10940 transcript:OGLUM04G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK92] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVTGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHRDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >OGLUM04G10940.2 pep chromosome:ALNU02000000:4:17122215:17128684:1 gene:OGLUM04G10940 transcript:OGLUM04G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK92] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVTGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHRDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >OGLUM04G10940.3 pep chromosome:ALNU02000000:4:17123604:17128141:1 gene:OGLUM04G10940 transcript:OGLUM04G10940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK92] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVTGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHRDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >OGLUM04G10940.4 pep chromosome:ALNU02000000:4:17123604:17128684:1 gene:OGLUM04G10940 transcript:OGLUM04G10940.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZK92] MSSALEVEGSGSPGVEPAATATASRLKRHDSLFGDAEKVTGGKHHGGSAVSWAVTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIGHRDDLVGVLSLILYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPSSQLRRAQWVKHKLESSRAAKMALFFLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTQVVLISVAILFMLFSVQRFGTDKVGYTFAPIISVWFLLIAGIGLYNLVVHEITILKAFNPWYIVQYFRRNGKKGWVSLGGVVLCVTGTEGMFADLGHFNIRAVQISFNCILFPSVALCYIGQAAYLRKFPENVSDTFYKSIPGKYRDRLNFGPLFWPTFIVAILAAIIASQAMLSGAFAILSKALSLGCLPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFMVTTHLMTVVMLLIWKKHLVFILLFYCVFGFTEVVYLSSILSKFVDGGYLPFCFAMVLMTMMATWHYVHVRRYWYELDHIVPTAELASLLEENGGVRRVPGVGLLYTELVQGIPPLFPRLVRKIPSVHAVFVFISIKHLPIPHVAAAERFLFRQVGPRARRVFRCVARYGYTDALEEPREFAAFLVDGLKMFIQEESAFAPHQEMIDAAADDDDEAAARPRRSTSSAVHSEEAIQAASSGRTTASSVQLQAGGEPPAAMDVEEEKRLIDREVGRGVVYLMGEANVSAGPNSSILKRIAVNYIYTFLRKNLTEGHRALAIPNDQLLKVGITYEI >OGLUM04G10950.1 pep chromosome:ALNU02000000:4:17130389:17133472:-1 gene:OGLUM04G10950 transcript:OGLUM04G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT3G26680) TAIR;Acc:AT3G26680] MGTDIAGDGEPSSPPPLPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPPPPSSEESGKNKPGGSLVQRSLFQAWGIDRRPRREEEGGAGAGASSAPSGSWPGRKRRRGGEVEAAADRKPLACPFYKKIPGTPFTVDAFRYGEVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRRILHSFGWSDLSKMICSDSQSSSLHVLPLSSLQHEKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMVYICLLVSETPKANASDTTIVPRIPKSEEQPRSSGKVKIGILCSDAES >OGLUM04G10950.2 pep chromosome:ALNU02000000:4:17130641:17133472:-1 gene:OGLUM04G10950 transcript:OGLUM04G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase family protein [Source:Projected from Arabidopsis thaliana (AT3G26680) TAIR;Acc:AT3G26680] MGTDIAGDGEPSSPPPLPVPDGLDDNGFPLPSSPTAAAAATSFADDFYRSGTDWSSLLAAPSPPPPSSEESGKNKPGGSLVQRSLFQAWGIDRRPRREEEGGAGAGASSAPSGSWPGRKRRRGGEVEAAADRKPLACPFYKKIPGTPFTVDAFRYGEVEGCNAYFLSHFHHDHYGGLTKKWCHGPIYCTALTARLVKMCLSVNPEYICPLELDKEYVIEGVSVTLLEANHCPGAALIHFRLGDGKTYLHTGDFRASKSMQLHPLLQRGQINLLYLDTTYCNPKYKFPPKEDVIDFAVRTAKRYLQKEPKTLIVVGAYSIGKENVYLAISKALQVPIYTDASRRRILHSFGWSDLSKMICSDSQSSSLHVLPLSSLQHEKYLETLKQRFLAVLAFRPTGWTFSEATGNQLDLIKPSSRGKITIYGVPYSEHSSFSELREFVMFLRPQKVIPTVNVGNAASRDKMQAHFREWLKGL >OGLUM04G10960.1 pep chromosome:ALNU02000000:4:17136022:17138835:-1 gene:OGLUM04G10960 transcript:OGLUM04G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEAHYFSWGDQTGDEGDMYEYLFRKMPPRINATLSSKRYLLVVEDLYEPIKPAKDISKAVGQEDDVEHWHRVALRCFHYALLLFPQRHEPPNADKNNGVNVTKEELIRHWAAQGFLTASNSPRAAQENMIHNMGIRHHDDVYQVGNIILQTFQDYSLLKLPFSPTTETDEPIETAAHFLAYHCLIVEHHTQDRIFHEWLQNKIGWIKLDCNKGMEDRGWHVSRNWLSKEEPNGLTSLILRGCSNKSNWFTELDHLLPKLPSLLVLRLSYIPLESVPHSVWCLPNIQFLSLRGCYNLTNLSSSSSSERTASQEDSNTKINLLYLDLSYSGINSFQCVLFQNMPLLQELVLVKCDNLLELPTSITALSSLIKLEINGTQIKYFPKNMFKDMQSLQSIKLTDNKKLMDEIRMAMHPTLKSFLLINAPHIRHLSLQGCRKLEHVELRDLGALEELDLSATAIKELPAEIPNLPQLRQLLLMGVSSLSRFPWHKLQRFPDMFCLDCCAQGNVISIAPPIRQTKRDVEMSATNRYPYGLYSLLQVAKSISLTDDIYVSCLTNLSSFNKLEDCKLRSCHHMKHVLEYAYSMGQSLQNVRVSQLKSLIHFYKPLEYSDTSNFDSLKHLHLEYCPRLERIVPQRDGDGSLSMRLQELPLLQHLRDDVNAAISAPAWKELHVRGCWSLRRLPLLSREHSSQAVEVSGERAWWRKLIWDDDSSTMHSASYKSKLPLPFASFNKRAPVVSYLR >OGLUM04G10970.1 pep chromosome:ALNU02000000:4:17143381:17143584:-1 gene:OGLUM04G10970 transcript:OGLUM04G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAGSSRRGAATAPSGFPGGAAESSPAAVSGSPRRRSYELPDGVGFRAMVVAAADLLAAASVDA >OGLUM04G10980.1 pep chromosome:ALNU02000000:4:17151432:17154448:-1 gene:OGLUM04G10980 transcript:OGLUM04G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRALLPLLLLSALLVQIRASDPLFYEPFDESFEGRWVLSGKDDYKGVWKHEKSDGHEDYGLLVSEKAKKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYLKYIRPQDAAWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILVDGEEKKKANFLSADDFEPSLIPSKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEANKPEDWDDEEDGEWEAPKIDNPKCEEAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDSDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAAAGDGLSEFQKKIFDVLYKLADIPFLEPYKTKIIDVIEKGEKQPNITIGILVSIVVVFVTVLFRILFGGKKPAAPVKPVAEVKKPKSTESEAAGSSGDKEDEKEEEEKDGAAAPRRRSRRET >OGLUM04G10990.1 pep chromosome:ALNU02000000:4:17163660:17171993:-1 gene:OGLUM04G10990 transcript:OGLUM04G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGGAVPAAAPVWKGKVKSVPSGDTVVIMDTSKAEEVIPPPEMSVTLSCIIAPSLARRGGMDEPFAWESREYLRRLLIGQDVRFRVEYTASPSGRKFGMVFFAEKNVACMVVAAGLAKVKEQGQKGEISPYVAELLRLETIARDQGLGRWSKLPGALESSIRDLPPSTIGDGRSFDAKGFVAENKGKSLEAIVEHVRDGSTIRVHLIPSFLYVQVYVAGVQAPSMGRRATPPPNAQAGVGNGAANGEASATPAPMAAAQKLLASADIYSEVPPDRFGQEAKHFTETRVLNREVRIVMEGTDNFNNIFGSVYYSDGDVVKDLALDLVQNGLAKYVEWSANVLDPQLKTKLRNADLQVKKEQLRIWTGFKPPVTNTKPIHNQKFTGKVIEVVNGYCLVIADDAEPYGSPSAERRVNLSSIRPPKFEKPSEENKSSEQFARTAKEFLRTRLIGKQVNVSMEYSRRINIADGQIAGPRTNSTETRVLEYGSVFLPSSSHADGETATSSSYSSNNQLGINVAALLLSRGLADITRHRDYEDRSHHYDALIAAHARAEKTKKGYHSKKERHSAIVEYVFSGHRFKVTIPKETCTIAFALSGVRCPGRDEPYSDEAITMMRRRILQRNVEIEINTVDRTGTFLGSLWESNINVASVLLEAGLAKISSFAVDKMPDAQVLLKTEKIAKQKKLKVWENYEEVEVSNVSLYDNKETLKVIVTEVLGAGMFYVQALADEHVEFVRHQLASLDIKDDPAEALEVKELETSKEVATLTKDLPETLDAEDPSSDVAKDESVTSKDIDPLPDDSNTAPFTPMKGEMVLALFRCDNSWNRAMIIGECQGVEGPEFEVFYIDYGNQELVPHSCLRPINLSISSIPPLAKLCSLAFVKVPSLNDYLGQEAAMYLNSILLDNGREFEAIVEERDAASGGKLQGQGTGEILGVTLLDSETDNSINAEMLERGYGQLERRRWDSRERRAAIKKLEEFQEVARKEQLGVWCPKNARKQGMDDNEYPVLARAPPPPKKGYDLIKFIASRSD >OGLUM04G11000.1 pep chromosome:ALNU02000000:4:17180746:17185405:1 gene:OGLUM04G11000 transcript:OGLUM04G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02070) TAIR;Acc:AT3G02070] MALVGLVGWAVYAEETEPNKQHRSQGGVLEYLPPSVGVKERKISPVSFVSSASLLCAKTRRGAEQGERGGDLHLPSRAEQRRGSWLEMTHMFPYDGASSSSTSLSSQKSETDDDRMIAMVLSEEYAKLDGAMAKRLSNLTSIPHVPRINTYFPTYSDATMDHHRLLDRLNVYGLYEVRVSGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKACNSLYEGYVPMKYKHYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQAPQRDLPSKYKPRKKHWLLF >OGLUM04G11010.1 pep chromosome:ALNU02000000:4:17187530:17189888:-1 gene:OGLUM04G11010 transcript:OGLUM04G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQRLAQAFPYDLHAAASGGALFLDELAGECAPPMAAVEGIGGAVVFGGDNGEYGFVERKRPRVAAGLLEDQRAVLAHAMAAPLQGILPFGDVAGRAACAGAASTSGRRMDGAGGISQGLLSQLYHHGDGDAISVVT >OGLUM04G11020.1 pep chromosome:ALNU02000000:4:17212230:17212430:1 gene:OGLUM04G11020 transcript:OGLUM04G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLSEASHGVAGSGVSGRRGGRIRHQQQTGVAGSGVGSRRGGRIRRQHLFPRRIRVDSGNGTAAA >OGLUM04G11030.1 pep chromosome:ALNU02000000:4:17261229:17269063:1 gene:OGLUM04G11030 transcript:OGLUM04G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWLGVAQCFPRLPGRSGTSNGTAAGETAWLSVAKSHEAVAAGLRATLDQLLQSPCAALAVAGAAGAGGAEGDAEDAQSCCYETPCGGDNAGADDAASNAAALCKACGAGEASMLLLPCRHLCLCRGCEAAVDACPVCAATKNASLHPGPRRAWPSSSSSSSSPNLSAALARHGGTAPACTATACPQRINGMQWD >OGLUM04G11040.1 pep chromosome:ALNU02000000:4:17274971:17279139:1 gene:OGLUM04G11040 transcript:OGLUM04G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-amylase-like 2 [Source:Projected from Arabidopsis thaliana (AT1G76130) TAIR;Acc:AT1G76130] MGQMVSDENFEEQAARNGGIIKHGREILFQAFNWESHKHNWWSNLEEKVADLAQSGFTSAWLPPPTQSLSPEGYLPQNLYCLDSCYGSLHDLQALLRKMKEHNVRAMADVVINHRVGTTQGSNGMYNRYDGIPVSWDEHAVTSCSGGKGNESTGDNFDGVPNIDHTQPFVRKDIIDWLIWLRESIGFQDFRFDFTKGYAAKFVKEYIEQSKPLFAVGEYWDSCEYSPPDYRLNYNQDKHRQRIINWMDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFVENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYGKDDSFHGGIAKLMEIRKCQDIHSRSAVKILEASSDLYSAIVDDKLCMKIGDGSWCPSGPEWKLAASGDRYAVWHK >OGLUM04G11050.1 pep chromosome:ALNU02000000:4:17279407:17289399:1 gene:OGLUM04G11050 transcript:OGLUM04G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPENRHDDHHLSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRWALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAEEGLLREDCNLGQGKLEHCTNSAIAKNETDELIQGSKRSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVSWFLDYCKVPQNLPVTIACHNKERCWSASRESRIAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEAGSDGGAFLPENDVMSVVPNSPHAKQEENLAVKRRHS >OGLUM04G11050.2 pep chromosome:ALNU02000000:4:17279407:17289399:1 gene:OGLUM04G11050 transcript:OGLUM04G11050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPENRHDDHHLSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRWALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAEEGLLREDCNLGQGKLEHCTNSAIAKNETDELIQGSKRSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVSWFLDYCKVPQNLPVTIACHNKERCWSASRESRIAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSCGPRLHICNYELGIILIIPPSAMSKQTSGRRHEINDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQTGQ >OGLUM04G11050.3 pep chromosome:ALNU02000000:4:17279433:17289531:1 gene:OGLUM04G11050 transcript:OGLUM04G11050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPENRHDDHHLSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRWALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAEEGLLREDCNLGQGKLEHCTNSAIAKNETDELIQGSKRSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVPQNLPVTIACHNKERCWSASRESRIAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPEWGCWTANHELKKPSINLLFPTIDRVKNGACGIQLSRHLLSLPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSCGPRLHICNYELGIILIIPPSAMSKQTSGRRHEINDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQTGQ >OGLUM04G11050.4 pep chromosome:ALNU02000000:4:17279433:17289531:1 gene:OGLUM04G11050 transcript:OGLUM04G11050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead-associated domain-containing protein / FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07400) TAIR;Acc:AT5G07400] MEAEPPPENRHDDHHLSTSGGGLGYFHLRLLCPAAPLLLLLLRSDRLYSLSLSRRRGPRLRLLASPRRRHGRRRWALLLSTSGCALRLTHRSSSADAVRVNGRPLLRGGCPADLAVGDEVSLLRRGTRYGFLVEKFVSCERPKLAAAAAAEPCGEVLVLRAESLRKRLRAISESHDPLSFLRDSHCVENGSDDVRVKKAREEDVFLPLNLNAPIDPVAEEGLLREDCNLGQGKLEHCTNSAIAKNETDELIQGSKRSCDGNTEQKEYSNENTEQQHNENEGCYSDGSTFFLNRLIGIGSDVRVEQRSGVTLPQLLHPMDSLERVFIATFTSDVPQNLPVTIACHNKERCWSASRESRIAAPFGSYPNLLLVYPQFPEEIAFGKDRKKQGVACHHPKLLVLQRKDSMRVIVTSANLVPRQWHLITNTVWWQDFPCRTSTDYSALFSKVEESKSDFATQLVSFIAFLINEVPSQSYWINEIAKYNFEGAAGYLIASVPGIYARNPHYLESNYCLSRKQILHTKSAHRMFLGSVQTSVVGLSHRFHIPSDAGSKLKALSVLLSKCHVNMHGTTEVILKRNTNIPADANAVSVLVADLDKFTEEDSVHLGFLPREVAKWVSPLSDLGFFTFSGFIYPREALEAAYGATNTKVQLLLYVSKGPEFSQISRLIQDEHFPLLCSLVASLKRSLGLWRLEEVLSHFKWPETLETDFFYSASSIGTSINPQFIASFASAAGKRCNQDLDSEESDPERTWQRLRSTGIFRDAIPHPYERIGHPMHVKVAQRRFESRLGRHSFGWTYCGSHNFSPAAWGQQLPPPKANPTEARAVSCGPRLHICNYELGIILIIPPSAMSKQTSGRRHEINDIALPFVVPPPQYKPGDRPATSLAMREAMAEARILQSNDLVLDLSQDTDEDIPDEDDEHVIELSDCSPEEKEEEKIYAETLWEQVDSSQSQGKDQTGQ >OGLUM04G11060.1 pep chromosome:ALNU02000000:4:17293497:17295308:1 gene:OGLUM04G11060 transcript:OGLUM04G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAPYLSHASFPHDLYGLRALEGATAAGSLFLDDHGGCAPATPAAAAAGIGHTVLSDLPRSELTCNDNNGAGYGFVPRKRARLDADESAGALMAAAAAQQQRMVLPPHGLVFPGDVQSRAVGCGAASTSGRAGNAAGLSQGLLSQLYHQGVEIDALVRLESERMRAGLEEARRRHVRAVVSTVERAAAGRLRAAEAELERARCRNMELEERLRQMTAEGQAWLSVAKSHEASCKILL >OGLUM04G11070.1 pep chromosome:ALNU02000000:4:17311228:17311494:-1 gene:OGLUM04G11070 transcript:OGLUM04G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFIHEDYVNKRNEVRREQRKQLQMEQALAGVSPPAPEPRESPRVPAQCLTPTSGPSTTVGSPTASATAAEAAETVGHRLFDCLKPY >OGLUM04G11080.1 pep chromosome:ALNU02000000:4:17311719:17316470:-1 gene:OGLUM04G11080 transcript:OGLUM04G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTAVGID >OGLUM04G11080.2 pep chromosome:ALNU02000000:4:17312703:17315836:-1 gene:OGLUM04G11080 transcript:OGLUM04G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MDASVNAKKMVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >OGLUM04G11080.3 pep chromosome:ALNU02000000:4:17312703:17316470:-1 gene:OGLUM04G11080 transcript:OGLUM04G11080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT3G16560) TAIR;Acc:AT3G16560] MVDEELFDKSSNDHSISSEEEDMLVRSYSNLNVSFGYHCNSYQCFSLDTDEYDISPNKRLETNTMMTSQNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDLLSTSQGSPVSTESSIFEISKNIWRSSAPTTVSSNFLTSTEIKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLECRIKQENGLYGSPEGSLNGVKSELTLAMRFAENEDVKFSETFRAGVLKCLTTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASVPSSGMDKLKAVQLTEIHSLENPLEYQKLLADHPNEPSVVMGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRNLCSPPYVYTNPHTVSHKVTEDDLFVVLGSDGLFDFFSNDEVVQLVYQFMHDNPIGDPAKYLIEQLLLKAAKEAALTAEELMRIPVGSRRKYHDDVTVIVIILGNAQRTMTASTSL >OGLUM04G11090.1 pep chromosome:ALNU02000000:4:17343790:17344222:1 gene:OGLUM04G11090 transcript:OGLUM04G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSMQALSIMSCTYIVKTFSCEPVKVKPCIKVKVKDRRAKLPVRSPKFTARVD >OGLUM04G11100.1 pep chromosome:ALNU02000000:4:17351093:17351802:-1 gene:OGLUM04G11100 transcript:OGLUM04G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTNYRKQGVMASNYVDTAGEEGRFHGHGHHSSGTTPTGAAASPKMRRSWSSAASASSGGGGHGSGPKCVCAPATHAGSFKCRLHRSSSHGHPSSSPTAAAAPAAAVQSSSSRTVAAQ >OGLUM04G11110.1 pep chromosome:ALNU02000000:4:17361694:17362848:-1 gene:OGLUM04G11110 transcript:OGLUM04G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVTFAVVAAVALVALCGGGGARAQDMDNEWARNRGFYGGTGGGVGGGLLPQSDVDLLEFPLNLEYLEAEFFCWSALGYGLDGIDASLTGGGPAPVGAQTAALTPFVRDIATQFCYQEVGHLRAIKQNVKGFPRPLLDISAANFGKIVETAMNTTLDPPFNPYENSLNFLLASYIIPYVGLTGYVGANPRLLTPQARKLVAGLLGVESAQDAVIRALLYEHGLSRVASYGVGVAELTAHISELRNVLGRKGVKDEGLVVAPGQGPEGQTVGNIIAGDRFSLAYDRTPEEILGVVYGSGDPAKAGGFFPQGADGRIARAFIA >OGLUM04G11120.1 pep chromosome:ALNU02000000:4:17414873:17415181:-1 gene:OGLUM04G11120 transcript:OGLUM04G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPCVKEGQQHGGGERKGGRGGRAVVKKTSPRLEGGHERVVRCGTQVVEDPVGGEACIGRRDGWIRGRELLREKIKLRWSITANFRGERRHVADFRGGEA >OGLUM04G11130.1 pep chromosome:ALNU02000000:4:17418491:17425963:1 gene:OGLUM04G11130 transcript:OGLUM04G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKC1] MAQLHIYASCGCARTRAAIPLPSQAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGKPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >OGLUM04G11130.2 pep chromosome:ALNU02000000:4:17418490:17425963:1 gene:OGLUM04G11130 transcript:OGLUM04G11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-coenzyme A synthetase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKC1] MAQLHIYASCGCARTRAAIPLPSQAQAQASAAALPWVMSVTSPPLLRRGGWAMGASDHAAVAAAASGNGKPRARRPSAAAAAVLGEPVAASDDHGLVHPSADFASQALVSSTQQYQEMYRRSIDDPAGFWSEIAETFYWKHKWNPDEVCTENLDVTKGPIKIEWFKGGKTNICYNAVDRNVEAGDGEKIAMYWEGNEPGQDAKLTYSELLNKVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADALAQRIIDCKPKVVITCNAVKRGKKLIALKDIVDVSLADSAKNGVDVGISLTYENQSAMKREDTKWTSGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPSDIYWCTADCGWITGHSYVTYGPLLNGATVLVYEGAPNYPDPGRCWDVVDKYGVTIFYTAPTLIRALMRDGTEYVTRYSRKSLRVLGSVGEPINPTAWRWFYDIIGDARCPISDTWWQTETGGFMITPLPGAWPLKPGSATFPFFGVQPVIVDEKGKEMEGECSGYLCIKKSWPGAFRTLYGDKDRYETTYFKPFAGYYFSGDGCSRDKDGYHFLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVPYSDELRKSLVMTVRSQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADS >OGLUM04G11140.1 pep chromosome:ALNU02000000:4:17424850:17432796:-1 gene:OGLUM04G11140 transcript:OGLUM04G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSYELVRTDDAAGHVLDLEAGRCAAVYPKGGGGGGGIAPPPVPSPPSSPASARQRLVSLDVFRGITVLLMILVDDAGAFLPAINHSPWDGVTLADFVMPFFLFIVGVALALAYKRVPNKLEATRKAILRALKLFCVGLVLQGGFFHGVRSLTFGIDMEKIRLMGILQRIAIAYIVTALCEIWLKGDDDVDSGFDLLKRNRYQLFIGLIVMITYMGFLYGTYVPDWEYRISVPGSTEKTFFVKCSVRGDTGPGCNAVGMIDRKILGIQHLYGRPVYARSKQCSINSPQNGPLRPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHKHKERIMKWLIPSFSMLILAFSLDFFGMHMNKPLYTVSYALSTAGAAGLLFAGIYALVDMYGHRRPTAVMEWMGTHALMIYVLIACNILPIFIHGFYWREPKNNLLRLIGVGA >OGLUM04G11150.1 pep chromosome:ALNU02000000:4:17450250:17459175:1 gene:OGLUM04G11150 transcript:OGLUM04G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACCCSSSSVPSASILATGAGLRRRFSPAGAGGGGRAVAVAAGRPIRASAALLAAPAPRRRGGVVVRAVFERFTERAVKAVVFSQREARGMGDETVAPHHLLLGLVAEDRSPLGFLASGVRVERAREACRAAVGKEGLAQAPVGLATDVPFSGASKRVFEAAVEFSRNMGCNFISPEHIALGLFNLNDPTTNNVLKSLGVDSSQLAKQALTRVQGELAKDGREPVGLSSFKVREKFTPGGGKSAIVKYSNKKKEKSALALFCLDLTMRASGGLIDPVIGRKDEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLAHKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARVTSLIREVRKADPKLPFLVFNLQRAPKFHAGDVILFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFDKDKALARRFQPVLVNEPSQEDAVKILLGLREKYETYHKCKYTLESINAAVYLSARYIADRHLPDKAIDLIDEAGSRARMESFKRKKEEQCSILSKSPDEYWQEIRAVQNMHEVALTNKVKYSLNQNDQEDAVDIELVGEDKTSPASMLSTSTDKPSLVGSEEIARVTSLWSGIPVQQLTTDERKLLVGLDDELRKRVIGQDDAVLAISKAVKRSRVGLNDPDRPIATLIFCGPTGVGKTELTKALSASYFGSVGHSLLESATVRLDMSEYMERHAVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISNGKRSIGFQTQTDTEEKSYAAMKSLVMEELKAFFRPELLNRIDEVVVFRPLEKTQACCFYRYCTCILPCTFVNTMLAILNIMLQEVKGRILALGIGLEVSDSMKDLISQHGYDKSYGARPLRRAVTQLVEDVISEAILSGQFKPGDTIMMDTDATGKPCLSRLNDQTVQLSDPTPTL >OGLUM04G11160.1 pep chromosome:ALNU02000000:4:17456050:17458968:-1 gene:OGLUM04G11160 transcript:OGLUM04G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQSYPGVWSVSCNFFREPKSTSSDA >OGLUM04G11160.2 pep chromosome:ALNU02000000:4:17456050:17458968:-1 gene:OGLUM04G11160 transcript:OGLUM04G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMGQQKRRPLVVMASAQAAARGGANPLAELTDRVKTLEAGLRAWLAKQPTHVEAAVATAVGAVQGGALGGLMGTLAPDGGSPFPVPQPPPGADPNALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGVEDVQGSMAAAFGSGALFSIVSGMGSPNPVANAITTGVAFAVFQGGFFMIGQKFSQPPSEDIYYSRGRNMLKQLGLQNYEKNFKKGLLTDQTLPLLNDSALRDVKIPPGPRLLILDHIQSYPGVWSVSCNFFREPKSTSSDA >OGLUM04G11170.1 pep chromosome:ALNU02000000:4:17464120:17464546:-1 gene:OGLUM04G11170 transcript:OGLUM04G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGSSSHGGGGDLEEARSSGTGRMRPNVCSSPSSFNGQRDGEPSCSSPDCVQPVLVSIMPNTCGIADRYPVSCLVSGLIPVGIRLGTWVSWLGVRPGTRRYQTCYLVPGYHG >OGLUM04G11180.1 pep chromosome:ALNU02000000:4:17465794:17466731:-1 gene:OGLUM04G11180 transcript:OGLUM04G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSYGDVHESARKLVGKVALITGGASGIGECTARLFVKHGAQVVVADIQDEAGARLCAELGSATASYVRCDVTSEDDVAAAVDHAVARYGKLDVMFNNAGIGGAACHSILESTKADFDRVLAVNLTGPFLGTKHAARVMVAAGRGGCIIGTASLASAVAGTASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEAAMEAVANLKGVRLRVEDIAAAVLFLASDDARYVSGHNLLIDGGCSIVNPSFGIFKD >OGLUM04G11190.1 pep chromosome:ALNU02000000:4:17469202:17471099:1 gene:OGLUM04G11190 transcript:OGLUM04G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKDYLYHVHSDFIHGKARLYLQQCGRRDQRVKLPTCAGGSEEIRQELPVQQQGDTVSSLVYEANDWMRDPVYDCVRAISFLQNQLS >OGLUM04G11200.1 pep chromosome:ALNU02000000:4:17474174:17475361:-1 gene:OGLUM04G11200 transcript:OGLUM04G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGETVAAAAVAVAPVVAGDQDGVAYCSEHPYPPGAAAAAGVAAGGGICAFCLQEKLGMLVSSSKSSPFHPPPASASSSTPTSHVAAESSSSSLPLHPSAAAAARKVIPASAAGGLKRSKSVAPRPEETTPVTAPAPSAVTADSPRKKSFWSFLYSSSSSSSGGHQGSASMANGGGGGGASVRRKSVSVASASSASLGRRLEAIVEPDSPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKSSAGALAHLGGNHSAAGNGDDDEYESTQQHRIKCAGFFGGLGAAPPPTSSSYWLSAADGATAAAPSARTHGARSHRSWAWALASPMRALRPTSSSSSKSIMAAPHNRGGVSGNGGMAMAAVATS >OGLUM04G11210.1 pep chromosome:ALNU02000000:4:17478818:17484441:-1 gene:OGLUM04G11210 transcript:OGLUM04G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MIRVVSPPPPSAVQLRGGGGTPGPSSSSAVCRFWGSRRGSRVAATSSWGWGKSWRRRRAAISCCSAEEGEGPRVATPSAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGSTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >OGLUM04G11210.2 pep chromosome:ALNU02000000:4:17478820:17484441:-1 gene:OGLUM04G11210 transcript:OGLUM04G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT5G47860) TAIR;Acc:AT5G47860] MIRVVSPPPPSAVQLRGGGGTPGPSSSSAVCRFWGSRRGSRVAATSSWGWGKSWRRRRAAISCCSAEEGEGPRVATPSAPPAPSEGSIQLYSQIERVITEAAKQSREGWGSTGDWTEIEGAWVLKPKSQEPSFVVHFVGGIFVGAAPQITYRFFLERLADKGALVIATPYASGFDHFFIADEVQFKFDRCLRNMVEPRSGNILMAFNNKEASLAVPLFSPVIVPMAQSFGPIFSQLTSYPTLRFGAEAAIKQLENLSPPVVKQLLPLVQQLPPLYMDLVKGREEFVPKPEETRRLVKSYYGISRNLLIKFKDDQIDETSILAQVLSSESAISSLLDMSIRSLPGDHGLPLQQVLPDVPPAMADAVNRGGELLTNLATGTPWEAVAKEVGSTLGADSGVLRAQISKDVNTLVDVIVSWIESNSGPRLLRS >OGLUM04G11220.1 pep chromosome:ALNU02000000:4:17484861:17489690:1 gene:OGLUM04G11220 transcript:OGLUM04G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARTNRSKMNWFANLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >OGLUM04G11220.2 pep chromosome:ALNU02000000:4:17484861:17489690:1 gene:OGLUM04G11220 transcript:OGLUM04G11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWERRGVGAEGGESVGREDTDTNTNTTASSANASTSSSTAASGSSGARRNGAAAAAGEGWGRSSLSTATINLSQEYTLAIHTSSYHEIWAKIHVDGGDGQREGGVSGGGGGEEDEEDEDRCTLAGVLQPEDAVVERALGDAPDTELTRLAADYLRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHAPPLAAPHRDCAFDAFLLFDQMPNPFPAPAAGFQGMHRSFVGLKNHLDLRLLRARRRRRLVRCATRGSGICLIACATGAAIAGLVLATHAITVLLAAAPACAASRGSCCPATASMKRLQQHMDRLDAAARGAYVLNNDVDTIERLVGRLHATVESDKLLVRLGLERGRGEHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARSKMNWFANLNCFLHIIITVDPADYIQYDEADVASEEAVWALYERWRDFYGAERSHDEMLRRFGMFKDKARHVLEFNKSGASFTKALKEGADLTLEENAKRLGIRRRL >OGLUM04G11230.1 pep chromosome:ALNU02000000:4:17492047:17495630:1 gene:OGLUM04G11230 transcript:OGLUM04G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate/glutamate/uridylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G10030) TAIR;Acc:AT3G10030] MASCDDDFGLLGDGPVPEEEAAAAAASSQAAPPPPQQQAQAFCFGDAASVAGAGAGVGPFAPMGQEEGNHSAERGKAAAAAAHHSKRGRDRAEEFSDGGDLLDSYTDRFEQLNRGNLRGRDWEDVAAAVTDGQGKSSGGKSVEQCKNKIDNLKKRYKVECQRLAGSGASAVSHWPWFKKMEQIVGNSSSPASSKALVASDDDNKPRQPQQHSSKRHAPSGSSTPTFGGSSRLAPPSNPKWKRVLLKIGGTALAGAPPQNVDPKVIMLIAREVQVACRHGVEVSIVVGGRNIFCGDTWVSATGTDRASTYSIGMMASVMNAVLLQASLEKIGVETRVQTALMMQEVAEPYIRRRAIRHLEKGRVVIFAGIGAGIGNPLFTTDTAAALRASEINADVVLKGTAGDDDYGCPPRGNNNPPFEHISFRELAARGFSRMDMTAITCCQENNIPVVIFNMLEPGNISKAICGDQVGTLVDQSGRIT >OGLUM04G11240.1 pep chromosome:ALNU02000000:4:17497766:17499409:-1 gene:OGLUM04G11240 transcript:OGLUM04G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTPHIEKGYAGGRQSRQQEAAAAAAAAAAGGQLLLQRGPGQRSASFHGRGTEPRHQLARQRPKTQPDLLAGVRGRATAASFGPAAAAGGGEQLEPEAAGRRTPSKVLVSVAVQRSLWPLHVMASAAWSVADLVAAAVALYVKEGRRPPLPSADPSDFGLHYSQFSLESLDPREKVMELGSRSFFLCPKSSAAVHAPSPSCSSDEASRIRDRDAPAAARAGAAPAWLCGFKNQEQGQNF >OGLUM04G11250.1 pep chromosome:ALNU02000000:4:17512758:17517710:-1 gene:OGLUM04G11250 transcript:OGLUM04G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKAVAKALLMACNLPIGRRERVKDRGDGSPRSIPKSMAGEDGNDGILNRSAEARAILLSGLVDLVAGASSMAIGESASIHA >OGLUM04G11260.1 pep chromosome:ALNU02000000:4:17526152:17527465:1 gene:OGLUM04G11260 transcript:OGLUM04G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKD7] MAAASLIKAPVGQNPARMGAGRSSGGGGVVRCSLQGAVVGGRAEWQSSCAVLSSKVAALGAASPHAAAPSFVNGHVAPLVPEQPAAAAEDGGAVLDLVPVSSVNGGGVAKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGCDAIPCDQFEVAFSAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLMALPGVRKECLTRVMSHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDCGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDITLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSSTVTSDDSSST >OGLUM04G11270.1 pep chromosome:ALNU02000000:4:17541431:17541931:1 gene:OGLUM04G11270 transcript:OGLUM04G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRERFVGCGCWSTRARFLESGAEHDIVVALDGEAEAWVTVDGRKVVQLRRLRWNFRGSHTLFLDGGAPVDMTWDLHGWLFHAADPSPASSCAAVFTFQTRGASETKFWIEDDGDGDDDLEQSQPPAAPRGPKQKLGGGGGGGAPSEQGFCLLIQGFRGASKIA >OGLUM04G11280.1 pep chromosome:ALNU02000000:4:17546935:17547783:-1 gene:OGLUM04G11280 transcript:OGLUM04G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWPRPSFATPCSDRRRRISLPRWLHPAETSSGFGTTMGNNLVFKRSSSGDSHPKSMNGKRKLDDRIELGDNMISNIAPDCCYPESIYKRRRSNQQLEKLPEVC >OGLUM04G11290.1 pep chromosome:ALNU02000000:4:17553624:17553998:1 gene:OGLUM04G11290 transcript:OGLUM04G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLREALDGRGDIYRTLLREATAALLNAYYNAPGVAASPHVAAAADTALARRRRLALPQCGSRRALAAGWWLMGTLTWSRLLRKKNMEQKSCLVVISSVAATFPRSSLAWFSWERSRTIAWMMY >OGLUM04G11300.1 pep chromosome:ALNU02000000:4:17561564:17564647:1 gene:OGLUM04G11300 transcript:OGLUM04G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASAAATCGRLQPGGGGGESSSTTTTRTTQMDPSCPPFPQPEAPPLPLQLQLQPGLPGLELPTLDLERVGGEDRAALVAACRDLGAFRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARIARKLFDALAAELGLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILDQDHVGGLQVMRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPSGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATSSIEWTPLESGEWRGREKPS >OGLUM04G11300.2 pep chromosome:ALNU02000000:4:17561564:17563701:1 gene:OGLUM04G11300 transcript:OGLUM04G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASAAATCGRLQPGGGGGESSSTTTTRTTQMDPSCPPFPQPEAPPLPLQLQLQPGLPGLELPTLDLERVGGEDRAALVAACRDLGAFRVVNHGVPGELRRRLLELGKQLLGRDTFELKKARPGYFWGTAALKSLRVKEVNWLEGLHVDLVPGSSSSSSQVGDGDDDDDDGWMRIRALMAEYGDHMARIARKLFDALAAELGLDHHQAASYLAERQGFLRLYRYPPCPSSASCLGMEPHTDSSVLSIILDQDHVGGLQVMRDGAWRDVAPAPGELLVNLGDMMTAISGGSYQSVRHRVLASRPSTERVSCCYFAFPQEDAVVEAPSGIGGGVYRPFSYREFREQVQADIKAVGTKVGLSRFYATATSAVGCFFRAT >OGLUM04G11310.1 pep chromosome:ALNU02000000:4:17584385:17585971:1 gene:OGLUM04G11310 transcript:OGLUM04G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTWLATMPAASSLVVGVAFTAAVAVAVAAAVARRAWRHRGLRLPPGPPGWPVVGNLLQVVFAGKPFIHYIRDLRREYGPIVKLQMGVRTLVVISSAELVHEALVQKGREFATRPAESPIRSIFSSGKFTVNSAVYGPEWRSLRRNMVSGMLSAARLREFRPARLRAMERFVARVRAEAAASRDGASVWVLRNVRFAMFCVLLDMTFGLLDLDEELVVRVDAVMKRVVLAVAARIDDYLPFLRPFLWRQHRQAVALRREQIDTVLPLINRRRAIVRGMRAGSPPDPAVAAPYSYLDSLLDLRVEGRDAVPTDEELVTLCAEMINGGTDTTATAIEWAMARVMDNPSIQARLHGEIMQRVGDARPVDDRDTDGMPYLQAFVKELLRKHPPTYFALSHAAVEPGSKLAGYDVPVDANLDIFLPTISEDPKLWERPTEFDPDRFLAGGETADITGSAGVRMIPFSAGRRICPGVGMGTAHIALMVARMVQAFEWRAHPSQPPLDFEDKVEFTVVMKRPLLAMVTPRKLSF >OGLUM04G11320.1 pep chromosome:ALNU02000000:4:17614516:17615983:-1 gene:OGLUM04G11320 transcript:OGLUM04G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEEEEKERISTSVDYCSTDQRGEVDQPMQMVLRVKHPSSLGGGGGGGEEEAGEASSRSALSVFKAKEEQIERKKMEVREKVFAQLGRVEEESKRLAFIRQELEGMADPTRKEVEVIRKRIDVVNRQLKPLGKTCVKKEKEYKEILEAYNEKNKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >OGLUM04G11330.1 pep chromosome:ALNU02000000:4:17617765:17619408:-1 gene:OGLUM04G11330 transcript:OGLUM04G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVWSRSAMADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >OGLUM04G11330.2 pep chromosome:ALNU02000000:4:17617765:17618954:-1 gene:OGLUM04G11330 transcript:OGLUM04G11330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQFADSANNVVIEEVNKGLNPGMIVLIVVATFLLLFFVGNYALYVYAQKTLPPRKKKPVSKKKMKREKLKQGVSAPGE >OGLUM04G11340.1 pep chromosome:ALNU02000000:4:17622348:17624493:-1 gene:OGLUM04G11340 transcript:OGLUM04G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSNNMIIEEVNKGLNPGTIVLLVVATLLILFFVGNYALYMYAQKTLPPRKKKPVSKKKLKREKLKQGVSAPGE >OGLUM04G11350.1 pep chromosome:ALNU02000000:4:17626795:17628380:-1 gene:OGLUM04G11350 transcript:OGLUM04G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGGGEDYISELPDALLSVILSLLGTAEAARTAALSTRFRGVWAATPLRLDDLDLPALAALGLGGGTRTTASSSPIAPWTARADAVTRVLASHPGPVALFRLSRTSFRGRVAAAEAWFRELAAKRAREVALLCAPEWCHLALADPLLGCATLESLALGECRVSDRGASAARLTELTLSSTHLSEAALQSVLSGCPALRTVMLKHVEGPRSIRVRSCRSLVLLGVWQYKNLEELTVEDAPCLERLLGDMRLTAAINVSGAPKLTAFGYVVISSSNFLLFDEVIEKDVCNGLRAPLLSVKILAISVKFSSKNDMDKLMNLLNFFPFVETLHVQASDTRYDLTQDSTDTVGSSYHENLDHIGCVMNHLNSVRLESKVHNIYMLEFACFLLARAQVLQLMTIQSKVFSTPQCVAGQQALLNQSHVASTEAEIVFEDMESHDLEHLSLELANTLPDPFDTYHR >OGLUM04G11360.1 pep chromosome:ALNU02000000:4:17630414:17639019:-1 gene:OGLUM04G11360 transcript:OGLUM04G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAVSGARLGVVRAGGGGGGGGGPAARSSGVDLPSVLFRRKDSFSRGVVSCAGAPGKVLVPGGGSDDLLSSAEPDVETQEQPEESQIPDDNKVKPFEEEEEIPAVAEASIKVVAEDKLESSEVIQDIEENVTEGVIKDADEPTVEDKPRVIPPPGDGQKIYQIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKLGFTRSAEGITYREWAPGAQSAALVGDFNNWNPNADTMTRNEYGVWEISLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFAVQAPGEIPYNGIYYDPPEEEKYVFQHPQPKRPNSLRIYESHIGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHASNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWSEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVLPGNNYSFDKCRRRFDLGDADYLRYHGMQEFDQAMQHLEEKYGFMTSEHQYISRKHEEDKVIIFERGDLVFVFNFHWSNSYFDYRVGCLKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYTPSRTAVVYALTED >OGLUM04G11370.1 pep chromosome:ALNU02000000:4:17641247:17645767:-1 gene:OGLUM04G11370 transcript:OGLUM04G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQDRVVTIIGRNLWEKGNDAGGEMSPPPPPPPPRAVICVGDVHGYISKLESLWANLQSALPPDAFATALVVFLGDYCDRGPSTREVIDFLLALPSRHPAQRHAFLCGNHDLAFAAFVGALPPPPDGTPFSSTWGEYIQNEENEGWYRGPGHEGMHCQGRRWGGIMKEKRNPKRGSSYMGSIYDARPTFESYGVAHGSPDLVKAVPEEHKKFLRDLVWIHEEENVPIDTNEGQIICKLIAVHAGLERSIDLNEQFRILRTKDTKISKVAMLSGRQDVWNIPKDLPGKQTIVVSGHHGKLHIDGLRFIIDEGGGYADRPIAAIVFPSKELIRSTEGTSSQNRSRYHQE >OGLUM04G11380.1 pep chromosome:ALNU02000000:4:17645593:17659439:1 gene:OGLUM04G11380 transcript:OGLUM04G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPLGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLRKTYIV >OGLUM04G11380.2 pep chromosome:ALNU02000000:4:17645593:17659439:1 gene:OGLUM04G11380 transcript:OGLUM04G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIARVVAPPLRLAWCPASRRWGRRKGRPPCPSSLPGPRHDHAVKRPGGEAAGGRSVNGAAPAPAPAPAEAPAKAPQRRQRRGPHDVEDEAWGLLRESVVRYCGSPLGTIAACDPNDASPLNYDQVFIRDFVPSGIAFLLKGDYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVVPLDGDDDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNSRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATSHQSDAILDLVEAKWSDLVADMPMKICYPALEDQEWKFITGSDPKNTAWSYHNGGSWPTLLWQLTVACIKVDRSEIAAKAVEVAERRIANDKWPEYYDTKRARFIGKQSRLFQTWTIAGFLVAKQLLENPDKSRILWNNEDEEILNAMNRMTDASNLKRRRGRKGLRKTYIV >OGLUM04G11380.3 pep chromosome:ALNU02000000:4:17645593:17659439:1 gene:OGLUM04G11380 transcript:OGLUM04G11380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKDRIAYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYDLHKKMEIYRPHKAYPTELAQFHSADYVEFLHRITPDTQHLYENELRRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDFFFPGTGDIKDIGEREGKYYAINIPLKDGIDDSGFTRLFKTVIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPDNEYIKYFAPDYTLKVSNVNMDNLNSKSYLSSIKVQVMESLRAIQHAPGVQMQEVPPDFYIPDIDEDELDPDERVDQHTQDKQIHRDDEYYEGDNDNDHEDGAR >OGLUM04G11390.1 pep chromosome:ALNU02000000:4:17655581:17658148:-1 gene:OGLUM04G11390 transcript:OGLUM04G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSEIVELVDESKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPISSSEIVESVSLKQALRKMCISQASEMAAMKRMPKPTAVSNTPEAGAIKKLYTSVVVQTNEERDEKNKFGKVSVLPEKDVISSSVKSTEAKNKVRNKSPAKKNVRSASPTTTKVQKTRIQDVISNKSSEASEDLPAGPAVAKQRKGKMKTSSPRAVPVGGSRLVFRSKTSTKKKVKPEPAAAVVSHKTCEAKSSNSQANKKHEALQDEPRTPTPINKKAAASSISTDGANCGTKGCGVGEIHGSKPSELSRSKEKGECSQSSKSSMGDYSTSTSISDDSYGSFSGNGCRPHMSKDVRWGAIRRMAIQQGSLGLKNFKLLKQLGCGDIGTVYLAELVGSECMFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSFFTTDNLSCLVMEYCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPMLVRASSVGRDEPSRPSGPCAESCIDPLCIQPSWANSSCFTPRLVSSTPSRPRRPRGEPQKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGRTPFRGPGNEETLTNVVSQGLKFPDNPAVSFHARDLIRGLLVKDPEYRLGSTKGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAASLTTARKKKEGKCLEFRLNGDDIEFELF >OGLUM04G11400.1 pep chromosome:ALNU02000000:4:17672422:17675695:1 gene:OGLUM04G11400 transcript:OGLUM04G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDKQETMEETILVGDDLMRGLPSPVIPKEIASHVLDGVEICDGILKNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERLQDSEYKLGFSMPLEEAKERATQLQSEITLLERRMILASGLEGMEGFRQRWSLHGQLGDTRKRLEALNSGIEKRGSQSSPVQETTPAVRKRWFLW >OGLUM04G11410.1 pep chromosome:ALNU02000000:4:17676675:17678291:1 gene:OGLUM04G11410 transcript:OGLUM04G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVRPSAAALLLAATALFLVAVGAQPLSPDSPILRDPNVIPIYMTPGSSPTVASCYNQNNTASGPDCTVEPRACPRGCRDMCYVHCPTCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRKDADFCLLSDANLHINAHFIGKRNAAAARDFTWVQALGIRFGGHRLYLGVRRTVRWDAAVDRLVITFDGAPVELDAVPAASWSPASAPALSIFRTGPANGVVVRLDGRFRIVANAVPVTEEDSRIHGYGLTADDSLAHLNVAFKFYSISADVHGVLGQTYRPDYVSAGVDVGAKIPVMGGAGKYAVSDIFATDCEVARFAGEDGALASSVGMVDAPADALCGSGKGSAGLVCKK >OGLUM04G11420.1 pep chromosome:ALNU02000000:4:17680052:17681682:-1 gene:OGLUM04G11420 transcript:OGLUM04G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKF7] MTRRADDLLVAGTLVISIVFFRCAAAVAATEYVRPPPGRVIFTEHTKPASHPQQVHVSLVGANHMRVSWITEDKHVKSVVEYGKVSGNYTASATGEHTSYRYFLYSSGKIHHVKIGPLDPGTVYYYRCGMAGDEFGLRTPPAALPVELAVAGDLGQTEWTASTLSHVGRSDYDVLLVPGDLSYADAQQPLWDSFGRFVQKYASRRPWMVTEGNHEVEAAMALPGWPRPFTAYAARWRMPYEESGSGTSLYYSFDAAGGAVHVVMLGSYADFNSSSEQYRWLARDLAAVDRGATPWVVVLLHAPWYNTNAAHEGEGEAMRKAMERLLYEARVDIVFAGHVHAYERFVSSILISAIARTTRVYNNEANPCGPVHITIGDGGNREGLAFDFRKNHKLAPLSLMREASFGHGRLSVVNATAARWTWHRNDDADSTVRDEIWLESLAANGACQQSSSAAAAADSQNDEL >OGLUM04G11430.1 pep chromosome:ALNU02000000:4:17685772:17686463:1 gene:OGLUM04G11430 transcript:OGLUM04G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGKLPANAVPRSAAEEARGREAPRHGLHRCRSPLLPPPRRQRRRAPRAPRLHVADAHRVGGPTRCGIHLRRWRWRRLRFSREAADERGAEDRRCRYAAVGAHRGAGGVDDRGVRCQHRRRGVHGGGTEEDKRGSEMTQPDMWGPRGSHADLAST >OGLUM04G11440.1 pep chromosome:ALNU02000000:4:17687625:17688129:1 gene:OGLUM04G11440 transcript:OGLUM04G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTNVSRHAHPLIPNPASSLSHVACAQGGKMHSISCCDGCDFSPLSRTILSPGGKVVVAVVLPEATGKGSVTREHSGLGAGHQLDLRRSDGTTGFAAATTLLDAIIAFSKASTSLPPTGDGTVAALEPMPTLVGVEDIDSDVTDYPSWTR >OGLUM04G11450.1 pep chromosome:ALNU02000000:4:17700700:17701140:-1 gene:OGLUM04G11450 transcript:OGLUM04G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVLEPLVVGKVIGEVIDNFNPTVKMTATYSSNKQVFNGHEFFPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHW >OGLUM04G11460.1 pep chromosome:ALNU02000000:4:17711025:17711258:-1 gene:OGLUM04G11460 transcript:OGLUM04G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVCAGREVVSYESPKPNIGIHRFVLVLFKQKRRQAVTPPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >OGLUM04G11470.1 pep chromosome:ALNU02000000:4:17719846:17720145:1 gene:OGLUM04G11470 transcript:OGLUM04G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTKAAAAAAAATKANGNGNMPAGGGRASLPDLNSAPPAHGHDKAVPKSKKTAAK >OGLUM04G11480.1 pep chromosome:ALNU02000000:4:17724632:17725380:1 gene:OGLUM04G11480 transcript:OGLUM04G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLATSQSFQFPMIDCSRNRRVTRGVIADGGRTAAWSSRSDSEPYLDVAWLDIFKVPIGV >OGLUM04G11490.1 pep chromosome:ALNU02000000:4:17728554:17739082:1 gene:OGLUM04G11490 transcript:OGLUM04G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPFKSSTTHTLWPSAARAATAAATTSSSGVPIFLTDRTSQLVEGTATHPMLSPCRRHAASAPASFISSLATVLHKK >OGLUM04G11500.1 pep chromosome:ALNU02000000:4:17736537:17736824:-1 gene:OGLUM04G11500 transcript:OGLUM04G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLCSPAVPAVFSTTVTFAAWGRMEKYGEGGIGAAATQHSRRLVPRLAQPRLRASPLRPPRGAAVVVAAGQSRRRRCRLQACSRHRCLRAQPP >OGLUM04G11510.1 pep chromosome:ALNU02000000:4:17739351:17744352:1 gene:OGLUM04G11510 transcript:OGLUM04G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGGMVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGECSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >OGLUM04G11510.2 pep chromosome:ALNU02000000:4:17742625:17744352:1 gene:OGLUM04G11510 transcript:OGLUM04G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLILALAVFLPALVYQQLQPPPPKICGSPGGPPVTGTRTQLKDGRHLAYLESGVPKDQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPGYAESDPNPASTEKSIALDVEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILGPVGNYWWSGLPSNVSWHAWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLIMPKFAFRTYMPQIRQQGECSCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQA >OGLUM04G11520.1 pep chromosome:ALNU02000000:4:17745470:17747288:1 gene:OGLUM04G11520 transcript:OGLUM04G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVWRFGARHVNERQNGTRVPGGLIPRYQGLIPRYQLDTQGIRYQSILGYQDTTEYSISLRVTSQRMEY >OGLUM04G11530.1 pep chromosome:ALNU02000000:4:17747765:17752815:1 gene:OGLUM04G11530 transcript:OGLUM04G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGVKRPPRPAPASSGVRKLILALAMFLPALLYSQLQPPPPKICGSPGGPPITGTRTRLKDGRYLAYLESGVPKEQAKYKIIFVHGFDSCRYDALPISPELAQELGIYQLSFDRPASTEKSIALDIEELADNLQLGPKFYLMGFSMGGEIMWSCLKHISHRLAGVAILAPVGNYWWSGLPSNVSWHVWNQQLPQDKWAVWVSHHLPWLTYWWNSQKLFPASSVIAYNPALFSEGDKLLLSKFAFRTYMSQIRQQGEYGCLHRDMTVGFGKWSWSPLELEDPFAGGEGKVHLWHGAEDLIVPVSLSRYLSEKLPWVVYHELPKSGHMFPLADGMADTIVKSLLLGDQPPQAS >OGLUM04G11540.1 pep chromosome:ALNU02000000:4:17758072:17758683:1 gene:OGLUM04G11540 transcript:OGLUM04G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDVEEVPEPGMDHPAEPCMGVGCDQLVPTTEEISLPLAAETTSDHHEAAQLEQSAETSTSESESEEVAAKTTSDSSEAVAVIPKHAAEGSSTASEEEQVAKKELKEAEEDDGLQGESARERLKRHRREMAGRVWVPDMWGQEKLLKDWVDCAAFDRPLVPPDLLTARRALVAECCARRPDRTTTPPARSSPLRVQKSCS >OGLUM04G11550.1 pep chromosome:ALNU02000000:4:17765872:17766330:1 gene:OGLUM04G11550 transcript:OGLUM04G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin 3 [Source:Projected from Arabidopsis thaliana (AT2G27510) TAIR;Acc:AT2G27510] MATTTVTTPILCNLSSKPRDTLRLPTTRSPNDGTRRTTLHLSSSRARGDLIRAAAAVYTVKLIGPEGQESVIKVPEDTYILDAAEEAGVDLPYSCRAGACSTCAGKVVEGGVDQSDQSFLDDAQVGAGYVLTCVAYPTANSVIQTHKEADLY >OGLUM04G11560.1 pep chromosome:ALNU02000000:4:17770215:17774262:1 gene:OGLUM04G11560 transcript:OGLUM04G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEQPGTGSPPQPSTRLFLLVFAVILTDQVLAASAQGMSIGINYGQIADNLPSPTRVSGLLRSMQISKVKLYDADQNVLSAFLDTGVEFVVGIGNENVSAMVDPAAAQAWVQQHVRPYLPSARITCITVGNEVFKGNDTALKANLLPAMQSVYNAVVALGLQGQVNVTTAHSLDIMGSSYPPSAGAFRPDAVLYIQPLLNFLSMARSPFLINCYPYFAYKADPGSVPLEYVLFQPNAGVTDPNTKLNYDNMLYAQIDSVYAAMQALGHTDVDVKISETGWPSRGDPDEAGATPEYAGIYIGNLLRRIEMKQGTPLRPSSPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYDVGLRGYLPPMDESKSARKSKGAASLAAEDSHRRKQGKIVGRQPAFLSM >OGLUM04G11570.1 pep chromosome:ALNU02000000:4:17779141:17781734:1 gene:OGLUM04G11570 transcript:OGLUM04G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQIQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >OGLUM04G11570.2 pep chromosome:ALNU02000000:4:17779264:17781446:1 gene:OGLUM04G11570 transcript:OGLUM04G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQIQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >OGLUM04G11570.3 pep chromosome:ALNU02000000:4:17779264:17781734:1 gene:OGLUM04G11570 transcript:OGLUM04G11570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHGNAIFVLLLCTLFLPSLACDSGGVKFGYTGSIGPDFWGNLSADFTRCSNGKQQSPIDIDTNNLVHELNMEPLHRNYTAANATLVDNIFNVALRYEEAAGVLSINGVKYTLKQMHWHSPSEHTINGFRFPLELHMVHTNENGNITVLAFLYRFGRPDPFFEQIQDKLAALNAEGCKAEKGSPVPAGSVSLLTMRQHVHIYYRYVGSLTTPPCAENVIWNIPAMPREMTPQQAADLMAPLDEGYRRNSRPTQQMNGRTVQLYHRFWGKKKRRSSP >OGLUM04G11580.1 pep chromosome:ALNU02000000:4:17783563:17784348:1 gene:OGLUM04G11580 transcript:OGLUM04G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQINLESLFCGGGEAGSRVACETIALPGCSDAPAESRCVRIGDGAVWAELAGGAVLERDGSTKGSSNPKAAAASGKGKKGGPRPSSAESRRLPVTGKAAVVICGLPAGKMVAQKKRRSPCLGRGWRRAPAAAGARVFASEAVETDPGSPKVSCFGAVRSERSPATAAAAPAPPVEDEERNGGCWASVAATLRHLCRSSSNPLEGELETNEWKATATSSPTVAALSPPRPVAVGLGEMKRLASRRWPETMAVAGQGPVSAA >OGLUM04G11590.1 pep chromosome:ALNU02000000:4:17785255:17786589:1 gene:OGLUM04G11590 transcript:OGLUM04G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCISSKLLPPGPGDARGGSGGRATVRGRVDHVVSLTSTTYGVLDLHPKHGAAAAAAAAAAVACQEKVQETQAQPPQEDKPISREWKRARPPPLVVPSAKKPAPAGKLDSGLEVINAWEIMAGLEDADAADASPAKKPAKQPSRWSPARVIAMALPSPKKSATKRRNTPGKENSPLQRCSGNNNSSNINKTGDVNVDRVLRPYNSIDNSKLSRMSKRFSPVSARIVRKPGPPETGGGMSSSRRSLSPLFDPELLASIERELSEEGAHIKRMVGSEKPKHPKAAPPAMVAEGKCPPGGADAVVLYTTTLRGIRRTFEECNAVRAAIEAHDVKVIERDVSMDSGYREELRLLLGGREVRVPAVFVRGRHVGGAAEVTKLEEEGKLKALLQGLPRARVWCAGCAGVRFVMCRDCNGSRKVRVDGERKETVQCGECNENGLVRCPICS >OGLUM04G11600.1 pep chromosome:ALNU02000000:4:17788489:17796645:1 gene:OGLUM04G11600 transcript:OGLUM04G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSDAALQESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPGEEGEAATTTPVYVAAIDLSSSEDFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVKNAFIPPDSDGTLLVDLEDVMPLHSFLAPVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCTEYGTRIFTFLSGPPNYGPGQIETRSDVDHNAAKMLGSDHTFISEQTNFYTNLAASAVQAGVCVDLFAITNEYTDLSSLKVLSVESGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQVILASLSDVLEARIWLRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHSQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVHQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQSVDGSLVASATGFRSFLEGIRSRIAEYSR >OGLUM04G11600.2 pep chromosome:ALNU02000000:4:17788489:17796645:1 gene:OGLUM04G11600 transcript:OGLUM04G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRASLACFPSDAALQESSGIPWGVAVTPFSAADERGAAPATGAEGHLLPRCDSCYAYFSTLCPLHRWSWSCAICSADNDLTPDASARYARDGGQDPPEMRSAFVDLLLPGEEGEAATTTPVYVAAIDLSSSEDFLELVKSALLAALEAIPPGSLFGLLTFSSKIGLYDVQGPIPIVDGCKDRIAEALETIKPTSSWEITATASEVQDHALHHARGFGLAMDAVVNYLCTEYGTRIFTFLSGPPNYGPGQIETRSDVDHNAAKMLGSDHTFISEQTNFYTNLSGGSLYMYSSTDESTLPQDIYKMLSRPYAFGCVLRLRTSSEFKIADSYGHFFPDPQYMHVQHINCCDSFATYVYDFEFQKDSQFSRKSSPPILQIAFKYTTIVQQGDTSDDVSNSVSRSKFTLQRRLRVRTIQYNITANIWDLYDFVDPDVVLTILVHQVILASLSDVLEARIWLRDWLVNFIAQYNKAYKVVRSGGTGMSDIDVDFIHCSQLQPLSRLVFAFLLSPLLQLHSQGIHPDYRTYLQCLFSALEASSLRQAICPTLISYSSPDVEAEVHQSLSRSVFNSESPIFLLDAYTDLLVYYSPTVSSTIPFPPPRLLRSKIDRLKQERNITPKLVFIHGAHDDTTAFERYLIEDQSVDGSLVASATGFRSFLEGIRSRIAEYSR >OGLUM04G11610.1 pep chromosome:ALNU02000000:4:17796489:17800888:-1 gene:OGLUM04G11610 transcript:OGLUM04G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAAPRALATAMATPTPMPHTTISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWRTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSILTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >OGLUM04G11610.2 pep chromosome:ALNU02000000:4:17796489:17800888:-1 gene:OGLUM04G11610 transcript:OGLUM04G11610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAAPRALATAMATPTPMPHTTISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWRTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSILTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVVLCAGSIAVSGTFFDGEGFLSFLTALALAIEPIQDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGITLNTGIEFPKLSCYMLLPFTTNSRYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >OGLUM04G11610.3 pep chromosome:ALNU02000000:4:17796489:17800888:-1 gene:OGLUM04G11610 transcript:OGLUM04G11610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAAPRALATAMATPTPMPHTTISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWRTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSILTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLDFGKAYNEYKQGEPALDRIFDLTRFIPEVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >OGLUM04G11610.4 pep chromosome:ALNU02000000:4:17796489:17800888:-1 gene:OGLUM04G11610 transcript:OGLUM04G11610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC2 homolog 12 [Source:Projected from Arabidopsis thaliana (AT5G03910) TAIR;Acc:AT5G03910] MEVVSSNLEDNFWDPPGIDCDSTEPFYSVASCSRTTRIACHASLLPHGAAPRALATAMATPTPMPHTTISSQTLTLAPHVSRRRCGGSVRARTLALPATPASACSFRLRATAARDSPLPSLFDEAFPFVAVEWRTIVKGWACAAAAVYCLSRAVPAAGRLPRALAACGGGAAEAFKGGFALAGLAAARSAAAYVQQALLWEAALRAAGRLRERAFEGVLARDLAFFEGSGGLSAGDIAHRITDEADDVADAVYSVLNTIVPTSLQLIAMGHQMVTINPLLSMVAATVIPCMWLVIASLGRRLRQISKEAHISLAMLTVYLNDVLPSILTVKANNGEGKEISRFQNLVIVDLKNNLSKKKMKAFIPQVVRTTYIGGLVRDKATAVHLKYVKGDINFHDVTFQYIDGMPPILDGVNLHIRSGETIAFVGPSGGGKTTLAKLLLRLYQPQSGYILLDNCDIRDIQLQCLRTHIAFVSQDAMLLSGTIAENIAYGDPMGAIDMSKVESAAKIANAEEFIKMLPGGYNSYVGQKGSSLSGGQKQRLSIARAIYQNSSVLILDEATSALDSRSEILVKEALTNLMANHTVLVIAHRLEMILMADRIVLLEGGKLREITKSSFLSRDSQFSSPQGSSPKLGEV >OGLUM04G11620.1 pep chromosome:ALNU02000000:4:17801787:17802404:-1 gene:OGLUM04G11620 transcript:OGLUM04G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPLHCYKAPEEQRLGPRPATQSRPPPHLLPYSGGLDLLTEALGAESFDPDDDDDATAASPAMEDVGAAVAAVDVLAPPCKRPHHVLLSSSSEGVGHDDDDNQHAVMVLRRTRSGRAFPPPISVIGKGGRPWLSLRAHREAGRLVLREMRLPSQELLQPCKEDGRFKLLIHPEAGRRSGGAGAGPRVGSGREGHGALES >OGLUM04G11630.1 pep chromosome:ALNU02000000:4:17802873:17805770:1 gene:OGLUM04G11630 transcript:OGLUM04G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARARAALVFVALLQMAEVVVVRASHVVYPELQSLEAKHVDGKLRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIEWAHSVSTDLIDWTALEPGIYPSKTFDEKGCWSGSATVLPSGVPVIMYTGIDPDERQVQNVAYPVNLSDPYLREWYKPDYNPIIKPDGGINASAFRDPTTAWYGPDGHWRLLVGSKVNMKGLAVLYRSRDFKKWVKAHHPLHSAHTGMWECPDFFPVAVAGGSRHYRRGVDTAELHDAAVAEEVKYVLKVSLDLTRYEYYTVGWYDHATDRYVPDAAFPDNDYGLRYDYGDFYASKSFYDPAKRRRIVWGWANESDTVPDDRRKGWAGIQAIPRKLWLSADGKQLVQWPVEELEALRAKHVNVTDKVIKKGNYFEVTGFKSVQSDVDMAFAIKDLSKAEEFDPAWRTDAEALCKKLGSDVDGGVGPFGLWALASGDLKERTAVFFRVFKANDSSHVVLMCNDPTRSSYESKIYRPTFAGFVDVDIAKNKQIALRTLIDHSVVESFGARGKTCILTRVYPRKAVGDDAHLFVFNNGESDVKVTNLDAWEMKTPKMNAEE >OGLUM04G11640.1 pep chromosome:ALNU02000000:4:17807044:17807223:1 gene:OGLUM04G11640 transcript:OGLUM04G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPNQLDRLDVGRVVSLSHTPDARIMLLQSAERPECQILLSRTAGGGGGSRELVPR >OGLUM04G11650.1 pep chromosome:ALNU02000000:4:17807302:17807550:1 gene:OGLUM04G11650 transcript:OGLUM04G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASASALLSSPASLPVIELPVAALEPVVSSSLPSLLQLSPTATTLAPAAPAVLQSPWLAKGERKRKKERREEEGRKNKER >OGLUM04G11660.1 pep chromosome:ALNU02000000:4:17815743:17820635:1 gene:OGLUM04G11660 transcript:OGLUM04G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAAAARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQADVEVSFEVGSLEAAERLDPAMAYDAQRLCSARGADARGGVGPFGLWVLASAGLEEKTAVFFRVFRPAARGGGAGKPVVLMCTDPTKSSRNPNMYQPTFAGFVDTDITNGKISLRSLIDRSVVESFGAGGKACILSRVYPSLAIGKNARLYVFNNGKAEIKVSQLTAWEMKKPVMMNGA >OGLUM04G11660.2 pep chromosome:ALNU02000000:4:17815790:17818618:1 gene:OGLUM04G11660 transcript:OGLUM04G11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGSRVAWAWLVQLLLLQQLAGASHVVYDDLELQAAAATADGVPPSIVDSELRTGYHFQPPKNWINAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWVALKPAIEPSIRADKYGCWSGSATMMADGTPVIMYTGVNRPDVNYQVQNVALPRNGSDPLLREWVKPGHNPVIVPEGGINATQFRDPTTAWRGADGHWRLLVGSLAGQSRGVAYVYRSRDFRRWTRAAQPLHSAPTGMWECPDFYPVTADGRREGVDTSSAVVDAAAAARVKYVLKNSLDLRRYDYYTVGTYDRKAERYVPDDPAGDEHHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAADDVAKGWAGIQAIPRKVWLDPSGKQLLQWPIEEVERLRGKWPVILKDRVVKPGEHVEVTGLQTAQVFLFASVIL >OGLUM04G11670.1 pep chromosome:ALNU02000000:4:17826178:17829088:-1 gene:OGLUM04G11670 transcript:OGLUM04G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAASGRKKTREPKEENVTLGPTVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >OGLUM04G11680.1 pep chromosome:ALNU02000000:4:17845086:17845823:1 gene:OGLUM04G11680 transcript:OGLUM04G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYRPRRSPASERFIGMFASPSSSPTEPSFVAGDELHEDDFLFSSSPAAPPSSARPGEGPGSPSRVPQGQVGLLAALHEGDKRLLLRRGGGGGGGAAAAAAASAGTLLRRKATIAAAAASASGGGGSLSPTQSPTSAARAIPMTPRPKSAGPAAPYHQSAPVKVPVRPPRRQEMFKWDELDDDDFLRNGDAAMLPPHEMVARASAGGAGPAAPFSMLEGAGRTLKGRDLRRVRDAVLRQTGFLD >OGLUM04G11690.1 pep chromosome:ALNU02000000:4:17848245:17852946:1 gene:OGLUM04G11690 transcript:OGLUM04G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGPAGAPEIFAGGIGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVPEVLAERGWTVG >OGLUM04G11690.2 pep chromosome:ALNU02000000:4:17848245:17852946:1 gene:OGLUM04G11690 transcript:OGLUM04G11690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGPAGAPEIFAGGIGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVIYSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVPEVLAERGWTVG >OGLUM04G11690.3 pep chromosome:ALNU02000000:4:17848245:17852946:1 gene:OGLUM04G11690 transcript:OGLUM04G11690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGPAGAPEIFAGGIGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKVSDLILKEFSTHPKVYIWNGEGPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVPEVLAERGWTVG >OGLUM04G11690.4 pep chromosome:ALNU02000000:4:17848245:17852946:1 gene:OGLUM04G11690 transcript:OGLUM04G11690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGPAGAPEIFAGGIGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVLTVGALHQADSAALRTAASDWHDELANSPKPLVVVNIGGPTRNCNYDVGLAKKLISSLHNVLKTCGSVRISFSRRTPHKDPTHTLGILHGLMLLKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVPEVLAERGWTVG >OGLUM04G11690.5 pep chromosome:ALNU02000000:4:17848245:17852946:1 gene:OGLUM04G11690 transcript:OGLUM04G11690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLGGGGPAGAPEIFAGGIGARGSVRRAVVIGNGCAGAENQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISLHKLVDQVLRQMFSSNKFATLFQGAKMAQYTVCNGQSLGLSSVLEADTKRIVTMVNDTFEKEGLALVVACGRDTISYASSIRCLAPDNVFVIQIQHPRYRLDRFDLVVTPRHDYYALTTRGQREFPHLLWRWITAREPPGPNVIYSAALRTAASDWHDELANSPKPLVVVNIGGPTRPNPHLGHLAWADAFVITADSISMLSEACSTGKPVYVVGTEHCRWKFSDFHNRLHERGAVRPFTGLEDMSDNWSYPPLNDAIDVAARVPEVLAERGWTVG >OGLUM04G11700.1 pep chromosome:ALNU02000000:4:17859245:17864424:1 gene:OGLUM04G11700 transcript:OGLUM04G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSEFSASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >OGLUM04G11700.2 pep chromosome:ALNU02000000:4:17859230:17864424:1 gene:OGLUM04G11700 transcript:OGLUM04G11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT2G27350) TAIR;Acc:AT2G27350] MTRIFVQRGAAGSSSSSSRSGSQTLQQQQQLQATSAVAREEELPPQPHQHPSEFSASDNITDHLVEDADNSSNSNKPLGLDDPTSESSSSAEERAVMEKPPKDDSNVIDPAFLVEELTGLQFSDQFEQENLVQSGIGPSQIAGAASHPPPPPAPPAPPPKPSSGNNGLRRMGSGSSNNARIGSSRRPVAWPPVAVRSSASGSRPSSPRSLADSEGYNSADEQGPCYASNYYDSERERMFEHDLRRVRGFEINKMAEDGNCLFRAVADQVYGDPEAYDMARQMCVDYMERERDHFSQFMTEGFTSYCRRKRRDKVYGNNMEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRGLTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRLYSDLELTEKEIERMVMEASRAEYLKQQQQLNFRESSTSGAEPSSSAAISGSSRSAGTADRVGEECFVLPDTVLTRSMQLLLAMGFNYIQVMEAYSIFGEDVDSMIYYLVEMGGTGASAGGSNRRKGKAAE >OGLUM04G11710.1 pep chromosome:ALNU02000000:4:17865888:17867456:-1 gene:OGLUM04G11710 transcript:OGLUM04G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSKQSIHELQEDGGADSGSGSKAEAKTDLVAGGNKIQEENNDSTAFCRGCRRRLIRFAILAIRFVLLAGLHREYLGMVAKYRGTGFGLKT >OGLUM04G11720.1 pep chromosome:ALNU02000000:4:17867477:17868316:-1 gene:OGLUM04G11720 transcript:OGLUM04G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSLEKQVLTFNTAARVEGSASCSRTACGCGPTSPSPHARRASICRVPFDLADFRNRSSSPARTRRRDRILRLRARLLALPGHPLPLRRTGHGGRRDLARAAAEVTNRARSNDTGRTSSLRVDTEDAGFSSIFSDGWISGSQH >OGLUM04G11730.1 pep chromosome:ALNU02000000:4:17889751:17890720:1 gene:OGLUM04G11730 transcript:OGLUM04G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALREASRRLVSRRESPAAYARPFLLTHSRGITYRLFIGGLSQFATEDSLAEAFSQYGQVLEATIVTDKMTNRPKGFGFVLNGRVIYVDIAKAKMNRTTDSSPRATGPPKPPDRC >OGLUM04G11740.1 pep chromosome:ALNU02000000:4:17891638:17892546:-1 gene:OGLUM04G11740 transcript:OGLUM04G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTTIEDLHADVLARALRRLDGRSLAAASCATAGLRALAADPETWRALCLAEWPSMEGHPRLLSVVPPRRLFADAFPFPRPDAGELGGGGGGPLPSELVSAVDVYYRGAPLLSRVVETPASSPWFLGSPFRVEAVECKKPAAEAALSPAELELSWVVVDPARGRAVNVSSRRAVAVDRHWYTGETLVRFAVVLGGCKFETTVTCSEGAGNISEVSLAVQDADGAAASGERSLRLLAAAMEEQRIGGGRERDEAKRRYEEFVKSRKGRKESKARREALIDLCCSAASAMAVLSFVAAVVLR >OGLUM04G11750.1 pep chromosome:ALNU02000000:4:17901067:17902639:1 gene:OGLUM04G11750 transcript:OGLUM04G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit O [Source:Projected from Arabidopsis thaliana (AT1G08380) TAIR;Acc:AT1G08380] MAASTVSGLAGATLSRRPAFSTGFTTGARVSARNPLMTRNLERNGRITCMTFPRDWLRRDLNVIGFGLIGWIAPSSVPAINGNSLTGLFFSSIGQELSHFPSPPALDSPFWLWLVTWHLGLFLALTFGQIGFKGRTEGYFDK >OGLUM04G11760.1 pep chromosome:ALNU02000000:4:17905383:17907020:1 gene:OGLUM04G11760 transcript:OGLUM04G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37570) TAIR;Acc:AT5G37570] MTTAALRPSPPVATLLGRCRTARCLAQLHARIVRLGLHNHHALLARFAAACDALSCPSVAASLLSVAVPVRLRNAVLASLARHAPLRDALAQFNLLRGGASRPDAFSFPPLLCACARASSLPTGASLHAAAIRLGVDADLFVRTALIQFYGRCGAAAAARALFDSMTNPSEVSWTAIVTAYVNSGDILTARELFDQIPHRNVVHWNAMVDGYVKCGDLEGARKLFDEMPERTPAAYTSLIGGYLNAGNMGAARTLFDKLEDRDLFAWSTMISGCAQNGYPGEALRIFNEFQKQEICPDELVIVGLMSACSQLGNITLARWIEGYIMIYPIDMNNVHVMAGLINMNAKCGNMERATLLFESMSVRDVFSYCSMMQGHCLHGSASKAVELFSQMLLEGITPDNAAFTVVLTACSHAGLVEEGKRYFDMMKNEYMIVPSGDHYACLVSLLGRFGMLRDAYELIKSMPGEPHPGAWGALLGGCKFHCDIELGKIAAKKLFEIEPENAGNYVSLSNIYANIDRWGNVSETRAEMTGRGITKIAGRTLVLQ >OGLUM04G11770.1 pep chromosome:ALNU02000000:4:17914524:17915712:1 gene:OGLUM04G11770 transcript:OGLUM04G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLTPSNSTRSELLPFHRLQSPSSARLTDPTQQQVGYLSIGMSRSNKKSSRGIDLKLNLSLPARGDSSSRRAMAADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVILDFLQQDNGNNNANSNSSRKTRRG >OGLUM04G11780.1 pep chromosome:ALNU02000000:4:17925345:17926719:1 gene:OGLUM04G11780 transcript:OGLUM04G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLNPPHLHFGKLRKRARAVAASGGRQPAAAMAKKGLVGILYKLRDVHHRAPPTPTSPSSSSSPHCHGRHQLCYPPAPSSWPWPSCRHPRTSSFRWPTAPQQGQADDDDAAAAGSVYRTVNTVYDTSSLEHFNPRRSSLDEASSCIADRSFFAVESEVEVEEEKEKEKELQLRETAVVRGVRSERLFFEPAGAEFLPKQEMARGKNDDEATAMDVVARKNDDVDEATPMTTPQTGKNEAEAAEAAALKGGAVVLTVESEDPYGDFRSSMADMVAAHGLRDWEGLEELLAWYLKLNAKGVHGVIVGAFIDMLVSLASSPIPSQSPSSSCITFEDYSSATMEEES >OGLUM04G11790.1 pep chromosome:ALNU02000000:4:17932205:17935109:1 gene:OGLUM04G11790 transcript:OGLUM04G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKK7] MSVEFLTKALTALFGYAMPALECFKAIEQRPGRTDHLRIILVILVIFDDIAGVLTSKIPMYSELRLAFLVYLWYPQTRGTDIVYDTFLRPLVMQYQPNIEERLRYLRANAGDILIFYLKNFTDRGYDLFLRGMEYIRSQTSRGSRTRRWFSFGGDRAERSSYVDDYVAGGGDRRSTARHRRPRDDY >OGLUM04G11800.1 pep chromosome:ALNU02000000:4:17935372:17936070:-1 gene:OGLUM04G11800 transcript:OGLUM04G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAQGARRGGRRLWTPALAEARPRRWRPALAEARLAAGEAAFPEVWPATAEAGSRARGSRRRRRAVQRNEEQRRTALVRWRLAAGRSRRRGSRWRQAGAASRWAGSGGSLAPVAALASASSARGHGASAAQAEAVAAWWSQRQRCCGGAYVEPACAVLAGSGRPRLDPSPPRRMGGDVRMWSWRDDGLCWREAGIGLDIRGGDFLVAGRVFSLLSVSPPSLAGPCSGRGER >OGLUM04G11810.1 pep chromosome:ALNU02000000:4:17936170:17937499:-1 gene:OGLUM04G11810 transcript:OGLUM04G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASYSQPLLSHHSTSAAATSDSNGSDNFSSSGTAPAGAEARLPPISRRLHSYDDLVHAAAAAAHDAYFKRCHTTPGYVSFEDVIGSQEFEESSRRPPEAGISDPLLRATSRLYARPHPALHRRRSPGPLGTRRGGAVYRFVKRYVCPCLGFVACIIGVKQVDQVEEEYPALLVGSSASLSRVRLGGKTLAARAATPAHPNHLAAAGARRRKAARPAGKAAAGPRELAKLFLSAGGRSGARRWGAPAAGDGKGAADPTPLEADPARGRGNAQAAVEDGRGREVVATVAMGRRVVFG >OGLUM04G11820.1 pep chromosome:ALNU02000000:4:17940266:17940817:1 gene:OGLUM04G11820 transcript:OGLUM04G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGMGDDGMGPMAMAPPRSGHATAAAPPPPQHKMAMMMHMTFFWSDRAVVLFRGWPGERGAGMYALCLLFVLALAALTEGLSVLSRRLARRGAAAASSDGGRPAPAPASSAALLTAVHAARMGMAYLVMLAVMSFNVGVLLAAVAGHALGFLLARSRVRPAARDGGGVACEHGGLPPADGSKT >OGLUM04G11830.1 pep chromosome:ALNU02000000:4:17942142:17944097:1 gene:OGLUM04G11830 transcript:OGLUM04G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRGSRGCGSSMNIKSKSKVGINQGKAIHNCPEVPWKKERKLSKNEDLAHKFFKSTKEGHFASSCPCKIDDEATLPRKTSRINKRKCYGYNEKGREIGFCPHKKDDHCNQSSKRQTGNKQVKKQDKSKTQLCYNCRVKGHIGKNCPIGNIPKPNLSFHHNLLRKAKNGTCATRVISSLHASTKAIWVPKYLVTNFHGPNMIW >OGLUM04G11840.1 pep chromosome:ALNU02000000:4:17949461:17958822:1 gene:OGLUM04G11840 transcript:OGLUM04G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKEGEEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >OGLUM04G11840.2 pep chromosome:ALNU02000000:4:17953069:17958822:1 gene:OGLUM04G11840 transcript:OGLUM04G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAAGALLLANPAAPTARHQHRLLQQRRQPRLLASSRPPRWRLSAVQETKEGEAQTAEEITEKYGLEFGLWKVFSSKEGEEEEGKTRKSRTEQAKELLAKYGGAYLATSITLSLISFTLCYLLVSAGVDVQDLLGKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGRIKKGGD >OGLUM04G11840.3 pep chromosome:ALNU02000000:4:17949461:17953077:1 gene:OGLUM04G11840 transcript:OGLUM04G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G27290) TAIR;Acc:AT2G27290] MAVIRGVVVVVLALVVVAAAAADGAGECGATPPDKMALKLAPCASAAKDPKSTPSSGCCTAVHTIGKQSPKCLCAVMLSSTTRNAGIKPEVAITIPKRCNIADRPVGYKCGVLLC >OGLUM04G11850.1 pep chromosome:ALNU02000000:4:17956522:17961052:-1 gene:OGLUM04G11850 transcript:OGLUM04G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGRPPAAQHIVRSVRQRFVPLPPPLARAPFAAAPGDYHRFAAASRGGEIEEGIVIRRTPLKRKTPCGESEAAESSERMMTSPGFTEGVGSPLMTPVSGKSSRTTKSMAKFNKAGPQTPISNAGKFIYGFQQKQVRKRRIYDITNVLEGTGLIEKKLKNRIRWRGSDDSGTNLDSDISCLKTEVENLYIQEQALDRSISEIREKMEELTEDESNHRWLFVTEDDIKGLPCFQNEALIAIKGPRGTTVEVPDPDELLPQKKLSDEHPYYIISQYKKMEELGETATPPRHASVVEPPSIATEAGHSSKQTMPLNVQQDIQETPELNASRAFGRMKKITPSDVDTDADYWLLTDDDISITHMWTTASEMQWDQIDTNDFLAEEISDTPCALNQPSAAASEPTGVGFNHG >OGLUM04G11860.1 pep chromosome:ALNU02000000:4:17965625:17972237:1 gene:OGLUM04G11860 transcript:OGLUM04G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSALVPNLLAGRARMVLGQGGRRPHHASGVRTLFAGHTRVERRGMGDLPLVGNKAPDFEAEAVFDQGFIKSKCMFVSSAEITAFSDRYEEFEKINTEVLGVSIDSVGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKDSKEYFASI >OGLUM04G11870.1 pep chromosome:ALNU02000000:4:17974384:17977795:1 gene:OGLUM04G11870 transcript:OGLUM04G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCTVQQALTAEAAAVVKQAVTLARRRGNAQVTPLHVASAMLAPPGGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSQLLGGHGHGHHGHYYPPSLSNALVAAFKRAQAHQRRGSVETQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQACSTTKATSAPPNQNPNPSCTGAAATATATTSPAHPPEIKAKLPLLDMQARDEDIAAVLDCLAPAAAGGRGGGGSRRRVVVVAESTAAAEATARAAVDRVRRGEAKQHDALRGAQVVSLRVSSFRDMPREEAERRLAELRCLVKSRGARVLLVVEDLKWAADFWAAAHAGARRVGSGGGGYYCSVEHVVTEVHALASCDGGIWLVGFGTYQTYMKCRAGHPSLESMWGLQTLAVPAGSLALSLTCAFDDSALGAVNQSMKASPHTTDGNRPAPSCWPLLGGSHLLSRCCGGDCSAATTTHEHDTKASLPRSFVSSSSLPSWLQHCRDQQLQESTHFVDLGKTWGSICGKPSQRMTLHFSAPVSPASSISSYEHGHGHQQQQHQPHHSWLLADLDAKHPWKPKREDDDDEKAKSHDDCSGASNGSVEVECRSRFKELNAENLKLLCAALEKEVPWQKEIVPEVASAVLQCRSGIAKRRDRSRSTEAKEETWLFFLGGDAHGKERVARELAGLVFGSRKSFLSVKLGASSSSPSASGSTEDHHRSKRPRTTTTSSASEAYLERLYDAVSENPHRVILIEDVEQGDHRWQVGVKEAIDRGVLRSQAGDEVGVGDAIIILSCESFEARSRAGSPLMNKKMKVEKEEANTSDHDHKLEIESGAPSSCFDLNLDMESDQAADELSSGDVCLLTAVDRVLLFRRQDEL >OGLUM04G11880.1 pep chromosome:ALNU02000000:4:17986190:17986939:-1 gene:OGLUM04G11880 transcript:OGLUM04G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKHHRDWILRRCCGSIAACILTLAVLVGFIVLVIYLAIHPSKPSFYLQDVQLRNIDLSDPAISLNLQVTIASRNPNDRVGVYYKTLHVFTTYREEPITVPVELPAIYQGHKDVSVWSPVMSGESVPVGQYVADAMRQDIAAGYVLLHVKVDGRVKWKVGSWVSGGYHLFVTCPALLAASGGNVGGAFAMSATAGGGAGGNATVSLKFAQAADCTVDV >OGLUM04G11890.1 pep chromosome:ALNU02000000:4:17993526:18000522:1 gene:OGLUM04G11890 transcript:OGLUM04G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGERPPPISGGGAFAFISKGWREVRDSASADLRQMRARADRELEHLLASASALAGPPLPPVAAGAPIAEVEFVRKRIQPKIMELRRQYSSTVRDAGWAPKAAGASLRVDLSGITAIRNAIVAEGGGGGRWGLVRWKGHADDEGRKEWEVVRMIRSGLKEFERRSLSSEVFGGFRGRGEFVEKFKLSLKSLNKESQESKEVLPLDLTEILAYLVRQSGPFLDQLGIRRDLCDKIVETLYSKHNGRLIYHSLSADRSLIGNENMTDELDLRIARVLESTGHHTEESFWKDHAKYKLSDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSTKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQENYIRNWLQERLGFEANFKISFYPGKFSKERRSIIPAGDTSQFISSSEADIAILEEPEHLNWYHHGKRWTDKFKHVIGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLKVGEKIAADKEHGQQSFTKGAYFLGKMVWAKGYRELIDLLSKHKSDLEGFNVDVYGNGEDSQAVQMAARKLNLSLNFFKGRDHADSSLHGYKVFINPSVSDVLCTATAEALAMGKFVICADHPSNEFFKSFPNCLTYKTSEEFVARVKEAMASEPSPLTPEQRYSLSWEAATERFMEYSELDKVLNNKIGYSGQDGKRSKVRKIPLLPRLSEVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPDVLIRDFEAGKSCQVVST >OGLUM04G11900.1 pep chromosome:ALNU02000000:4:17999506:18002477:-1 gene:OGLUM04G11900 transcript:OGLUM04G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAVETTPAAAAAAENGGEVVVVVGGGWVDGCWARVGAAVEVAGRWVGGLARKVGGIAADDPRRVAHSLKVGLALTLVSVLYYVTPLFKGFGVSTLWAVLTVVVVMEYTVGGTLSKGLNRAFATLVAGFIAVGAHQVANRCGAQGEPILLAVFVFLLASAATFSRFIPEIKARYDYGVTIFILTFSLVAVSSYRVEELIQLAHQRFSTIVVGVATCLCTTIFVMPVWAGEDLHKLAAGNLDKLADFLEGMETECFGESATSESLEGKAFLQAYKSILNSKATEDSLCNFARWEPGHGKFSFKHPWSQYQKIGALSRQCASSMEAMASYVITLTKSQYPEANPELSFKVRTACSEMSSHSAQALRELSAAIRTMTVPSTTSMSAAIKAAKTLRSELSEDKALLQVMHVAVTASLLSDLVTQVKKIAESVDNLARLACFKVPEKSQKEVAINIMS >OGLUM04G11910.1 pep chromosome:ALNU02000000:4:18015641:18017136:-1 gene:OGLUM04G11910 transcript:OGLUM04G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKM1] MGEESSPAAAPAVEVPSYFVCPISLEIMRDPVTLSTGITYDRESIERWVFTDGHGECPVTKQRLAPADREPTPNHTLRRLIQGWCAVHAVERFPTPRPPVDAARVAAIVDAARPLLRRRRQREELMASLRELADIVAESDRNRRCVQGASGAVEFLLSVVKERASVVGVDDATSAKPEETTCGGVHDPAKASSPEEAALSILHSLKLSEESFKRVLEGSGGEDFLETMACVLRRPSYLSRMQGIHLLKSALPAMAPARLTSASAALVDGVVGVVADRPSAKAVKVALHVLCRLCPWGRNRVKAVNAGAVSALVRLLLDEGCGGGGGGDRRACELAVVAIDHICGCAEGRLALVAHPAGLAVVSKRAMRVSPAATESAEMLAVGVVARLLFLVQVGASGERTRARAREMLKMHARVWRDSPCLASHLNASYPR >OGLUM04G11920.1 pep chromosome:ALNU02000000:4:18041386:18042449:-1 gene:OGLUM04G11920 transcript:OGLUM04G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSIHASRRSSSPTATSSPVRATSELIADGRVLRHPHLTPELVAAGGILHPTPKLVAVAASSVIHTPCRSSLQTAASSPVHATLELVAGVRVLLAPRLSTPATAASPAVATTHVHNLRRQARAARQLSTSATAAKPHASLPSSAGASSCSTTVPQPAAAAAAAHSEYGIVRAGCGCGCGCSQ >OGLUM04G11930.1 pep chromosome:ALNU02000000:4:18042503:18042865:1 gene:OGLUM04G11930 transcript:OGLUM04G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDMAWTVEDSTAGDKLWRETWIVGPPATILWCPAPDTLNRLIPYRFHLILRKYHLIHNRNRLISDRFHLILRKYYLISGRNRLIPNMFHLILRKHHMIYDSNRMIPYRY >OGLUM04G11940.1 pep chromosome:ALNU02000000:4:18042633:18043745:-1 gene:OGLUM04G11940 transcript:OGLUM04G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQADKKLLLRLLPQHYHHHLKPKANGGCYTTESRWDGMIPVILAKYQVKPVRYQAIPTTH >OGLUM04G11950.1 pep chromosome:ALNU02000000:4:18048222:18051152:-1 gene:OGLUM04G11950 transcript:OGLUM04G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSESDRDDIFFDAFDDVTSTREPSLSDDCSTSDEGLASRRFEYDIWGNEPMSVEERRQRFLKGMGFDEFLATRVDFSQPQGEITTVGPFADLGLEESTTSDISSVNSSVPENESVSDASCCIGDIDSGERYTVQNDGYGELTSMLKDVASHKVVSLLEFDGVPGLSQSVQKLLRKVYSSSMEEKKNVFNKKKGVKSLWKSFMKNRSFGGICKHDVNVKNCTIGIPSRTKVQHRKKKTMEFSAVHLGQEIQAHKGLIKVMKFSPSGWYLATGGEDCIVRIWQIMEVEASSKLHGGDNPQNYDDKITIIKTELGRGKNHALAVVPKKGFRISETPLHEFQGHTDDILDMAWSESDYLLTSSKDKMVRLWKVGCDGCLGLFKHKDYVTCVQFNPIDERYFISGSIDGKVRVWDALDKRVVDWADTRKIITALSYQPDGKGFIVGTTSGECRFYDQSGENIQLDKELFMQGKKSAVRRVNSLQSRSSDSSRITITSTGSKIRVADGVDIIQKFEGPWNLKALSSPSLTSDGRYLISAGLDSNVYIWNFDIRSVADHKGEAKSVRSCEKFFSKDVTTAVPWPGLHQERQQVKNSSSLTEESVSSPILHRHGERRSPAARCFADGMKGTPTWPEEKLPPAKAADAPRLSDCLSTISPAWNTVIVTASRDGVIRSFHNYGLPVRL >OGLUM04G11960.1 pep chromosome:ALNU02000000:4:18059307:18060543:1 gene:OGLUM04G11960 transcript:OGLUM04G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAYEYVQQHVVLAAVSFHFTCAYITIPLKPRNPLRANSNPRQSHPPMKKQAAAARCNALFVDRFEKIMMYPLVASLEYIFCFGGWTASCVVFFHLIVSFYGTEMTENLCSCDGMTAEEAAAMRGVEACILLSCAAQMAAAAAAMALTTATATWGQRPRRARAVRRASASVALAVAGLTLWLWCVYLRFLPGLRCFRCFGVLRRVAVAAVALGFATPVFAFVALGSHAVVRGDEAEWDE >OGLUM04G11970.1 pep chromosome:ALNU02000000:4:18061162:18064828:1 gene:OGLUM04G11970 transcript:OGLUM04G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 5 [Source:Projected from Arabidopsis thaliana (AT1G47420) TAIR;Acc:AT1G47420] MAGPHKRTKHKKHHDKTPRFHPPHHETSPFVSASVASISPRSLSPAMAAALRSSCAAARRLLRISPAALSTLTAASSRPAAVAPLARPIAAAAVSGGNNAFSWNLRRLFSSNEKHLPAISDPEVESAFKDLMAASWTGLPDSLVIEAKKAASKATDDKAGKEALLNVFRAAEACEEFGGVLVTLRMALDDLCGITGENVGPLPGYIEDAVKSAYKRYMKYLESFGPEENYLRKKVENELGTKMIHLKMRCSGVGSEWGKITLIGTSGISGSYVELRA >OGLUM04G11980.1 pep chromosome:ALNU02000000:4:18080608:18081078:-1 gene:OGLUM04G11980 transcript:OGLUM04G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAIVRQRPAGEGESAAAGDGGGRCLPAAARPSLFSQALAPTASLANLLPTGTLLAPTFTNNGSCDATAWLLTAALLALLALSCVLVSFTNSLNGLDGRVYYDLATPRGLWLLDYPPPGASALPPLDMSRYSLRAIDGGPLRLPPHCRH >OGLUM04G11990.1 pep chromosome:ALNU02000000:4:18090640:18091876:1 gene:OGLUM04G11990 transcript:OGLUM04G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKESSTTTTTTAAAAAATRSMRLPPQHQALEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLISHWSSSSSPATSGDASVTSSPAGLVRQVASPDADPSAALRQLAAYLSDDDVDEFEKNALVGAGGAAEAVASVLRRKGEREVGVEGCEAAVRVLAAVVAMDGVEDANKRRVAAGLAADAAASAASLARVMRGASGLEARVDAARLVEALRVLESAVGCAEGRAALCEDAEEAVPAVVGRMMKSGRDGAEAAVAVLWAVCHKYRDRRAADAAAASEGGLTRLLLLLQSGCSPAARQMALELLKIYKVNAKSCLAGYDSKTTHIMPF >OGLUM04G12000.1 pep chromosome:ALNU02000000:4:18098554:18098904:1 gene:OGLUM04G12000 transcript:OGLUM04G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVALLVVLVAMSVVLLETQAKTKPKAEEKAPKTKTKEHRLPSHPDKPRNSHTKTNTPRTPLYAPPPPLPHTSPTPEPTPPTYSPIAKTTMRADRWRRRSEGEACVRVWGGVPC >OGLUM04G12010.1 pep chromosome:ALNU02000000:4:18102198:18102425:1 gene:OGLUM04G12010 transcript:OGLUM04G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAACQGKAAPEVCKVAAGDTRCYGQSAAMAGSVRLWTAMLREEEAGAVALGQPNSSLLTARAVGWWAELGC >OGLUM04G12020.1 pep chromosome:ALNU02000000:4:18102526:18103454:1 gene:OGLUM04G12020 transcript:OGLUM04G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSLGVVPLLRGVVLALTSPSTKNPLLAMGHWWIPAMSPKLYKPRLELLRCRGATKLGNDDTMQSLYWIIDASWQCTLDTALYLDAISSLSWNISNVPKLQQSLIEQMLSHNNEIPGLISGGFMKA >OGLUM04G12030.1 pep chromosome:ALNU02000000:4:18112612:18124280:-1 gene:OGLUM04G12030 transcript:OGLUM04G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPLPNSPNEETAAGASCGRAAAVLLLLCREAAAVDTCAPEEVGNAAGNGTAAAAAGGAQAAAVARREQGAAGDEAAARREPGVVARGEQGAAAASGEAAASGEPVAAPVELPRGGGGGGGGGGRGACAPSRCRTTAVQPAATTTTTRGGDASRAQVLVAPSMAPRRHREPEPPHPVLPPAGRRSEAGKRWRWRCSETARAPPQERRRGGRCTCGVARLNLPFVRCYQTHYLELWTLEDWAVLQGAPNSTLLFSTQNLSISSKYYISKSFMYYRILLVLYLRPDEAQLQFFLILINTSKFRRHDDSQLDSCPISPAMPGIIIISGGQIGADYKFAFVFVSCVSLQFWLVATVPGYPRLRAHGGKDQHHTFSQLFCIALPRQLMSDDNFTRPVKTAITETYPIGYSHVLTHPGTRFPPLPLGPCSMEMQ >OGLUM04G12040.1 pep chromosome:ALNU02000000:4:18131311:18137712:1 gene:OGLUM04G12040 transcript:OGLUM04G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEPARVVLLAAQEPEDEGEVVGECEAAMAGVLARQTIDGAACGPPIPRKPTEMQPTCRKQRSFQLCTFWPLPKAFVSTGCQDQWDSPSY >OGLUM04G12050.1 pep chromosome:ALNU02000000:4:18137766:18139269:1 gene:OGLUM04G12050 transcript:OGLUM04G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSRRILLHTPFSGQPSGPSQPVSGATIVEGGSPGSNLDANIVMILAVLLCALICALGLNSIVRCALRCSSRMVVDPEPSRVTRLAQSGLRRKALRSMPILLYSTGLKLNTVSPMCAICLSDFEDGEHVRVLPKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSESEGSQAEPAPARPVLAPLRPEGVTAKLACTAVHCVGRNRSEDFRSNHMAVLHHQSTIMLVGNQALVAKVQVSGTSIFSCNYREIRALLSECIVVIYVPELTGGSTADYALCTLAILCCLLQKLESGYENVVAVLHIT >OGLUM04G12060.1 pep chromosome:ALNU02000000:4:18153140:18153694:1 gene:OGLUM04G12060 transcript:OGLUM04G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10390) TAIR;Acc:AT5G10390] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM04G12070.1 pep chromosome:ALNU02000000:4:18155287:18174138:1 gene:OGLUM04G12070 transcript:OGLUM04G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGSKSSGNDTLSYWYLGIWFNKVPNKTHVWIANRGSPVTDATSSHLTISPDGNLAIVSRADSSIVWSSQANITSNNTVAVLLDSGNLVLQSSSNSSHILWESFDHPTDVFLPGAKIGLNKITGLNRRIFSRRDLVDQAPSVYSMEFGPKGGYQLVWNSSVEYWSSGEWNGRYFSRIPEMVVKSPHYTPFIFQIEFVNNDQEVYFTYRIHDETIPLYTVLEVTGQRKALAWLNDTQGWQAVFTHPNDQCEVAATCGPFTICNDNTFPSCSCMEGFSIESPDSWELGDRTGGCRRNIPLDCVSSKSDIFNAIPATRLPYNAHAVESVTTAGECESICLGKCSCTAYSFGNYSGCSIWHGKLVNVKQQTDDSTSANGETLHIRLAARELQARKSNKGLVVGVVVSASLSALGILTLVLLLIMNRRHRKKLHCQALNNIYAEQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDSFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHRESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSILALTMSLLIFMVLLFSLCIPASAAMTDTISVGNALARKDKLVSKNGSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSRTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDGEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNLTCSSASFEVKSSDHLSYSFTMALLIFIVLLFSLCIPASSATTDTISAGQTLAKDDKLVSKNGSNGNLILTNPSNSSEVFWESFDYPTDTFFPGAKLGWNKITGLNRRIISKKNLVDPATCMYCEELDPTGVNQVFLALVNSSTPYWSSGAWNGEYLSSIPEMASHNFFIPSFVNNDQEKYFTYNLANENIVSRQILDVGGQSKTFLWLEGSKDWVMVNAQPKAQCDVYAICGPFTVCTDNELPNCNCIKGFTITSLEDWVLEDRTGGCSRNTPIDCISNKTITRSSDKFYSMPCVRLPPNAQNVGSVDSSSECAQVCLNNCSCTAYSFSNGGCSVWHNELLNIRKNQCTGNSNTDGETFHIRLAAQELYSQDVNKRGMVIGVLSACFALFGLLLVILLLVKWRNKTKLSGGTRKDYQFCNGIIAFGYIDLQRATNNFTEKLGGGSFGSVFKGFLSDSTVVAVKRLDHACQGEKEFRAEVSSIGIIQHINLVKLIGFCCEGGRRLLVYEHMPNRSLDHQLFQTNTTLTWNIRYEIAIGIARGLAYLHENCQDCIIHCDIKPENILLDDSFSPKIADFGMAKLLGRDFSRVLTTTRGTAGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNSYASCPCGGNHDVYFPVLVACKLLDGDMGGLVDYRLHGGIDKKEAEKAFKVACWCIQDDEFRRPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLVFSRIHTLKPQLASSVILY >OGLUM04G12070.2 pep chromosome:ALNU02000000:4:18155287:18174138:1 gene:OGLUM04G12070 transcript:OGLUM04G12070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTILLVILGLHLCSLHLPAISAAADTLSPGQSIAGDDRLVSSNGKFALGFFNTGKQIGAGGFGSVFKGLLNGSTAIAVKRLVSYCQVEKQFRAEVSSIGVIHHTNLVKLIGFSCKGDERLLVYEYMSNGSLDTHLFRSNNSVTLNWSTRYQIALGVARGLAYLHESCRDCIIHCDIKPQNILLDDSFVPKIADFGMAKLLGRDFSRVMTTARGTIGYLAPEWFSGVAVTPKVDVYAYGMVLLEIISGKMNSHRESNSYADHIVCFPLEVAHKLLEGDVLSLVDGKLNGDVNVEEAERACKLACWCIQENELDRPTMGKVVQILEGLLELDLPPMPRLLQSILALTMSLLIFMVLLFSLCIPASAAMTDTISVGNALARKDKLVSKNGSRVLTTVRGTAGYLAPEWISGVPITPKVDVYSYGMVLLEIISGRRNSRTSCSCGGDHDVYFPVLVARKLLDGDMGGLVDYRLDGEIDIKEAEIACKVACWCIQDNEFNRPTMGGVVQILEGLVEINMPPMPRLLEAIAAGSSNLTCSSASFEVKSSDHLSYSFTMALLIFIVLLFSLCIPASSATTDTISAGQTLAKDDKLVSKNGSNGNLILTNPSNSSEVFWESFDYPTDTFFPGAKLGWNKITGLNRRIISKKNLVDPATCMYCEELDPTGVNQVFLALVNSSTPYWSSGAWNGEYLSSIPEMASHNFFIPSFVNNDQEKYFTYNLANENIVSRQILDVGGQSKTFLWLEGSKDWVMVNAQPKAQCDVYAICGPFTVCTDNELPNCNCIKGFTITSLEDWVLEDRTGGCSRNTPIDCISNKTITRSSDKFYSMPCVRLPPNAQNVGSVDSSSECAQVCLNNCSCTAYSFSNGGCSVWHNELLNIRKNQCTGNSNTDGETFHIRLAAQELYSQDVNKRGMVIGVLSACFALFGLLLVILLLVKWRNKTKLSGGTRKDYQFCNGIIAFGYIDLQRATNNFTEKLGGGSFGSVFKGFLSDSTVVAVKRLDHACQGEKEFRAEVSSIGIIQHINLVKLIGFCCEGGRRLLVYEHMPNRSLDHQLFQTNTTLTWNIRYEIAIGIARGLAYLHENCQDCIIHCDIKPENILLDDSFSPKIADFGMAKLLGRDFSRVLTTTRGTAGYLAPEWISGVPITTKVDVYSYGMVLLEIISGKRNSYASCPCGGNHDVYFPVLVACKLLDGDMGGLVDYRLHGGIDKKEAEKAFKVACWCIQDDEFRRPTMGGVVQILEGLVEVDMPPMPRRLQAIAGSSNSTCSLVFSRIHTLKPQLASSVILY >OGLUM04G12080.1 pep chromosome:ALNU02000000:4:18174262:18176658:1 gene:OGLUM04G12080 transcript:OGLUM04G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKN9] MALPITVLFLLFTLHIPASCKVTDTISAGETLAGNDRLVSSNGKFALGFFPTSSKSSHNASNWYLGIWFNQVPKLTPAWVANGDEPVTGPTSPEATISGDGNLVILDQATKSIIWSTQADITANTTMVKLLDNGNLVLQNTSNSSVVLWQSFDYPTNTHLAGAKLGRNKVTGLNRRLVSRKNTVDPASGMYSYELTDNNGSARFILAALNSSIPYWSSGEWNGHYFGSIPEMTGQRLIDFTFVNNDEEVYFTYTLLDNATIMRFMLDISGQTKIFLWVEHVQDWVPTYTNPKQCDVYGICGAFTACEESKLPICKCMKGFSVRSPNDWELDDRTGGCVRNTPLDCGINRNTSMQDRFHPMPCVGLPSNGQIIEDVTSAGGCAQVCLSNCTCTAYYYGNTGCSVWNDELINVKQLKCGDIANTDGATLYLRLAAKEVQSIKSSGRSIIIGVAVTASVASFALALFLIAKIPRNKSWLLGHRRKNFHSGNGVIAFRHADLQHATKNFSDKLGAGGFGSVFKGLLNESTVIAVKRLDGARQGEKQFRAEVGSIGIIQHINLVKLIGFCCEGDRRLLVYEHMPNLSLDTHLFHSDATVLKWSIRYQIALGVARGLAYLHDSCQDCIIHCDIKPENILLDASFVPKIADFGMAKFLGREFTQVLTTMRGTIGYLAPEWISGTVITSKVDVYSYGMVLLEIISGTRNSSKEFATRDDYEYFPVLVAHKLLDGDAGSLVDQNLHGDVDLEQVERAFRVACWCIQDNELDRPTMSEVVQYLEGLLEVGIPPVPRLLQAIAGNPYSK >OGLUM04G12090.1 pep chromosome:ALNU02000000:4:18174582:18178171:-1 gene:OGLUM04G12090 transcript:OGLUM04G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRPEVPSWRRPLAVSPTTPTSGAAQMKRGKRPSAPTRPICPSRRISALKAHALLQWLHQCSPAQPSDAPLSLSLSSPPWGGQPPPTSPVAATGVAGRDKIRLQATTDFRVKNELHGALLYLTVGLPLLKLGSAWVDQMMDFVA >OGLUM04G12090.2 pep chromosome:ALNU02000000:4:18175739:18178171:-1 gene:OGLUM04G12090 transcript:OGLUM04G12090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRPEVPSWRRPLAVSPTTPTSGAAQMKRGKRPSAPTRPICPSRRISALKAHALLQWLHQCSPAQPSDAPLSLSLSSPPWGGQPPPTSPVAATGVAGRDKSREVLISIAQEESNQHV >OGLUM04G12090.3 pep chromosome:ALNU02000000:4:18175739:18178171:-1 gene:OGLUM04G12090 transcript:OGLUM04G12090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRPEVPSWRRPLAVSPTTPTSGAAQMKRGKRPSAPTRPICPSRRISALKAHALLQWLHQCSPAQPSDAPLSLSLSSPPWGGQPPPTSPVAATGVAGRDKIRLQATTDFRVKNELHGALLYLTVGLPLLKLGSDQHV >OGLUM04G12100.1 pep chromosome:ALNU02000000:4:18178035:18188543:1 gene:OGLUM04G12100 transcript:OGLUM04G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGADGLLPRFIWAAPLVGVVGETARGRRHDGTSGRRAAAAAAILDGAAAGDLSLSLPVGLRMVAKMTTQQDGVDTLTWALEKNNGYSSRFPYALTLRGVNYSKGMVLWGRSISAAGRCRCPVLYGQAGAPSNLNAERLDSEMILANYSRQKRLFYASAINTQILHSRKSHEALGRERRNLLGKGRWAGPVLMTWLSFGLHRSSASWAMEPRGGEPPPEMTGLTAAAGDLFLSLSLSLPVVN >OGLUM04G12100.2 pep chromosome:ALNU02000000:4:18182701:18188543:1 gene:OGLUM04G12100 transcript:OGLUM04G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPSLDIASSGEVGSTTGLLPLAVHSGDSLPGLKKPSANLLLLETSLSLPTSARPGDTVSVAVEEDEEEAQKDMMKERHDEADDEQGEGVILHSRKSHEALGRERRNLLGKGRWAGPVLMTWLSFGLHRSSASWAMEPRGGEPPPEMTGLTAAAGDLFLSLSLSLPVVN >OGLUM04G12100.3 pep chromosome:ALNU02000000:4:18182701:18190273:1 gene:OGLUM04G12100 transcript:OGLUM04G12100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFPSLDIASSGEVGSTTGLLPLAVHSGDSLPGLKKPSANLLLLETSLSLPTSARPGDTVSVAVEEDEEEAQKDMMKERHDEADDEQGEGVILHSRKSHEALGRERRNLLGKGRWAGPVLMTWLSFGLHRSSASWAMEPRGGEPPPEMTGLTAAAGDLFLSLSLSLPVRSFSCPVSHGSHEVALASNVKENGTVTG >OGLUM04G12100.4 pep chromosome:ALNU02000000:4:18178035:18182160:1 gene:OGLUM04G12100 transcript:OGLUM04G12100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGADGLLPRFIWAAPLVGVVGETARGRRHDGTSGRRAAAAAAILDGAAAGDLSLSLPVGLRMVAKMTTQQDGVDTLTWALEKNNGYSSRFPYALTLRGVNYSKGMVLWGRSISAAGRCRCPVLYGQAGAPSSKPNGSLLSSQIVNGPQIAYTSQ >OGLUM04G12110.1 pep chromosome:ALNU02000000:4:18180626:18183023:-1 gene:OGLUM04G12110 transcript:OGLUM04G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHHVLLGFLLILLYLRTPTSSTATDTVSPGRALVGSDRLVSNNSKFALGFFKPGNESYTNHNSYLGIWFNKVPKLTPLWTANGNNPVVDPTSPELAISSDGNLAILDHATKSIIWSTHANITTKDTIAILLNNGNLVLRSSSNSSIIFWQSFDYLTDTLFPGAKIGWDKVTGLNRRLVSRKNSIDQAPGIYSLELGLNGDGHLLWNSTIAYWSSGQWNSRYFGLTPEMTGTLMPNFTFVHNDQEVWWNNETAIMHAGIDVFGRGLVATWLEESQEWLIYYRQPEVHCDVYAICGPFTICDDNKDPFCNCMKGFYVRSPKDWELDDRTGGCIRNTPLSCGSRTDRTGLTDKFYPVQSIRLPHTAENANVATSADECSQACLSNCSCTAYSYGKGGCSVWHDELYNVKQLSDSSSDGNGGVLYIRLAARELQSLEMKKSGKITGVAIGASTDFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDVGSLVDASLEGGVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSL >OGLUM04G12120.1 pep chromosome:ALNU02000000:4:18186671:18189094:-1 gene:OGLUM04G12120 transcript:OGLUM04G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALTLLGLLLLSLHISASCAAMDTMTPGQALFGNDKLVSNNGRTKRFVWLEGSQDWVMTFAQPKVQCDAFAVCGPFTICNNNELRFCKCMKGFSIKSPKDWDLDDRTDGLPQNGYSIEAATNADKCALVCLSNCSCTAYSYGNGGCLVWHGELFDVKQQQCDGITDTNGGTLYIRLASREEQSQKKNTRGLIIAIALGLSFASLFMLAITLVIWWNKRKRYNCTSNNVEGESGIVAFRYFDLQHATKNFSEKLGEGGFGSVFKGFLHDSRTIAVKKLAGAHQGEKQFRAEVSSIGLIQHINLIKLIGFCCDNDSKLLVYEHMPNRSLDVHLFPTDTKILNLDTRLWKAKFLGRDFSRVLTTMRGTIGYLAPEWISGVPITPKVDVYSYGMVLLEIVSGRRNSNGGCTTGGDKDVYFPVKVARKLLEGDVESLVDPNLHCDANLREVERVCKVACWCIQDNEFDRPTMGEVVQILEGIFELDTPPMPRLLQDIAGSSCSIADNIFTIK >OGLUM04G12130.1 pep chromosome:ALNU02000000:4:18194225:18200455:1 gene:OGLUM04G12130 transcript:OGLUM04G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPQCHSQAFAVCEQTISLFSSKVSEEGSSKTKWQWLHQWQNHLYFHSSSFLSLATLLQQGECKELPHFCLKKHQIVALRYTTHHAKWSSHLSHRNMQYRSSCSSKPVSIHLHWLQPAHFLHCWAT >OGLUM04G12130.2 pep chromosome:ALNU02000000:4:18194220:18196171:1 gene:OGLUM04G12130 transcript:OGLUM04G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMGLQPRTSREIPLCARGASTAVAASGFSGLRRRRRRWGRCASATEHPSPRTLLQGKNDVFMLLTATNSGFRLKTTTEGNLSVYRLPLADGMAYKSQLSAAATATVDEERETIKHAHPLSSFSDW >OGLUM04G12130.3 pep chromosome:ALNU02000000:4:18193080:18198653:1 gene:OGLUM04G12130 transcript:OGLUM04G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPSILKKGWWVDHLAFASLDAQHVYRWTCRGIVRKLGGLWWASSTTVMKTMGLQPRTSREIPLCARGASTAVAASGFSGLRRRRRRWGRCASATEHPSPRMARFPSPEIASSGEVGSTTGFSPLAVHSGEEDSLPGLKKPRANLLLLETSLSLPASEWPGDTVSVAAA >OGLUM04G12130.4 pep chromosome:ALNU02000000:4:18199425:18200455:1 gene:OGLUM04G12130 transcript:OGLUM04G12130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKREVQEGCVGFGGEARRSEGVNAKSQKCKAGPGGGRAVRPIWAPKTRPPPPGRAAQIRGHQA >OGLUM04G12130.5 pep chromosome:ALNU02000000:4:18199425:18200455:1 gene:OGLUM04G12130 transcript:OGLUM04G12130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANNKREVQEGCVGFGGEARRSEGVNAKSQKCKAGPGGGRAVRPIWAPKTRPPPPGRAAQIRGHQA >OGLUM04G12140.1 pep chromosome:ALNU02000000:4:18200709:18203172:1 gene:OGLUM04G12140 transcript:OGLUM04G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIIDSEKLARMGMMGRPVLIITITSNAPYSLQLPLDANERPHPTPTHASLSPSLFSPSHAPPPIFAIANSRSPPCRHHSPPCENNVVCFVGILRAATFLCRQVMVAPTRWSLLRTSLRSLLAAALLVFAQMGAAGVEIALTGGEASGMRGLVGTGKLTSRQHAQQRNSPRG >OGLUM04G12150.1 pep chromosome:ALNU02000000:4:18203182:18204735:1 gene:OGLUM04G12150 transcript:OGLUM04G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGPEGESRESLELGIVESTMNAGCSTKCPVRLLTCNACVLESVYGFWILDPTTTWIVIIKQEILGGSGLYDFLEAVGTTRVT >OGLUM04G12160.1 pep chromosome:ALNU02000000:4:18206454:18208880:1 gene:OGLUM04G12160 transcript:OGLUM04G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRHVLLGIVLLFLHTLASSAATDTVSPSQALAGSNRLVSNNSKFALGFLKPGNESYNNHNSYLGIWFNKVPKLTLLWTVNGDNPVVDPTSPELTISGDGNLAILDHATKSIIWSTRANITTNDTIAVLLNNGNLVLRSSSNSSKIFWQSFDYPTDTLFAGAKIGWDKVTGLNRRIVSRKNSIDQAPGMYSLEVGLNGDGHLLWNSTVPYKSSGDWNGRYFGLAPEMIGVALPNFTFVYNDQEAYFTYTLRDDTAIVHTGIDVFGRGFAGTWLEGSQDWLIHYRQPIVHCDVFAICGPFTICDDKKDPNNNPFCDYGCSIWHDELYNVKQLLDAASDGNGVVLYVRLAAKELQISERKKSGTLIGVAIGASTGTLFLITHLLILWRIKGKWIIAHPLEKSEDSIGIIAFRHIDLRRATKNFSEKLGGGSFGSVFKGNLSDSTIAVKRLDGARQEEKQFRAEVNSIGIIQHINLVKLVGFCCEGDNRLLVYEYMPNCSLDVCLFKANDIVLDWTTRYQIAIGVARGLAYLHTSCRDCIIHCDIKPENILLDASYVPKIADFGMAKILGREFSRAMTTMRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSSHENFRDGDYSFFFPMQAARKLLDGDVGSLVDASLEGSVNLVEVERACKIACWCIQDNEFDRPTMGEVVQSLEGLLELDMPPLPRLLNAITGGSHPVTPQYFDSL >OGLUM04G12170.1 pep chromosome:ALNU02000000:4:18207606:18214682:-1 gene:OGLUM04G12170 transcript:OGLUM04G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEPPPPEHPPTPAPAPAPASPPPHPAASGNAPPKPNPPITPAPARNASAAPPSGSPATAAAAAPVPSRKESGSTSAAAPAAATRKASGVAAATTGVLPRKPPGTTAPVAARNIPATTAASRTATRATTTTTATSVSRRPAAAAAVASRIPLRATAATTTHAASRNPLTGAAAATATATVAAVGRGGFRAAPTRPEEYTPRMGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNHMVPMPDSRTGCNAHLVIRRKKPGAKLEVYAFQPRHNHPLFATSCMPNPLQPNVVHWTTLPDAVTPPDLLMDGENIMDKSSGTTAKESKKKGQKNKIQSRNCIEKGLRKKQKVHSEQPGEYALLGGSQSGNMFQAFEGPPNMSPLGTQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTTHLGFATYHTSQVSSSSPHNQANVKDYPISIRSSIQQLLYIIQLIMPNGAAIFPIGICSTGTIAQANL >OGLUM04G12170.2 pep chromosome:ALNU02000000:4:18211137:18214682:-1 gene:OGLUM04G12170 transcript:OGLUM04G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEPPPPEHPPTPAPAPAPASPPPHPAASGNAPPKPNPPITPAPARNASAAPPSGSPATAAAAAPVPSRKESGSTSAAAPAAATRKASGVAAATTGVLPRKPPGTTAPVAARNIPATTAASRTATRATTTTTATSVSRRPAAAAAVASRIPLRATAATTTHAASRNPLTGAAAATATATVAAVGRGGFRAAPTRPEEYTPRMGMEFESEHEAYEFYRYYGWKVGFNVRKEYANKSKKTGEITSRKFACSREGYRANVKRGNHMVPMPDSRTGCNAHLVIRRKKPGAKLEVYAFQPRHNHPLFATSCMPNPLQPNVVHWTTLPDAVTPPDLLMDGENIMDKSSGTTAKESKKKGQKNKIQSRNCIEKGLRKKQKVHSEQPGEYALLGGSQSGNMFQAFEGPPNMSPLGTQTPTYKTYRGIDLSSPMGPISYDEMPSGLDPTFTTHLGFATYHTSQVSSSSPHNQVNDHRICVGALLSLHM >OGLUM04G12180.1 pep chromosome:ALNU02000000:4:18215779:18221660:-1 gene:OGLUM04G12180 transcript:OGLUM04G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin interaction motif-containing protein [Source:Projected from Arabidopsis thaliana (AT1G43690) TAIR;Acc:AT1G43690] MGDRGEEEEEELQMALRMSLQGSPPAQQPEPKRSKPPPPPAEEGVAAADAEAEARRKQRELRAAAAEKRLRAVAPSPAAAAPRPPAPEVVAREVEVEVKADPGPSGVSMEEAKAEEVEEEKGERLPTDVAEKLWLMVFGNKLEKEVLAQWSNQGIRFSSDPETTMGLVQHEGGPCGVLATVQAYVLKYLLFFSDELGNPEVSDPFYALGQRRFYQSSFAARDDFSSLTDDRKIRALVHAMLEILFLCGTGNRAVVATIGSVNEAKTAAVLEGLSVDSAMDLQKVLRISTFTSRKDAFNSLIANISLFESRLGAMLFLISALLSRGLERIQADRDDPSLPLVTAPFGHASQEVVNLLLCGEAVSNVFDGKVDFGGGMFLNGIPNDVEVGFLTLLESLNFCKVGQYLKCPKWPIWVVGSESHYSVLFALNPNVQEENELEERESKIRRAFDAQDQSGGGGFISVEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFTIYHFNGIAKSVLNGNANIGGSTIQRPRLCKLNVSVPPRWTQDEYLADVVSASTSGSKDDSVLSLAPPVQTSQHAPLVDCIRTRWPRAVCSWVGDMPSIV >OGLUM04G12190.1 pep chromosome:ALNU02000000:4:18221695:18221970:-1 gene:OGLUM04G12190 transcript:OGLUM04G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASYRRRPRAADQSPPSPAGSSSSRAAAERISDTPETPRRRDAAPTNTPPPPGSRCDRPESRDLLHLASAAVIFFASDRESAKPNPPRAC >OGLUM04G12200.1 pep chromosome:ALNU02000000:4:18225528:18235706:1 gene:OGLUM04G12200 transcript:OGLUM04G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDVDEDELLQMALQEQAARDLSHQRPAGAGKPVVNLVRPPANSSRGGGGGGRGGGGPAKARQPSRGGGDDDDDSEVEMLSISSGDEDGAPSSRDRGPPPPRGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARRVREMREGGAAPTVDQKAAAAATRKALTNVQTLPRGVEVLDPLGLGVIDNKSLRLITDASVSSPVSREKAQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKDKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTLDHEARMEVLQNKIREKILSDAKWRQLQQDSNKSLEVDSATGDSFQDDQLSTNIMADEADSLRAAYIRRLTAVLIQHVPAFWRLALSVFSGKFAKAAAGNVLSDSDMNTKQSVNKTDDKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPFMGDTIKEIAKACLTLEGKDSSPTAVKMLRALHYEITKLYILRLCSWMRATTKEISKYETWFTLTTLERNKSLYAISSMPLEFRDIIVSAMDRINFMVLNLRSETAKSYDISQHLHEIHESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNHTQNGYVNGTNSETSAGMDGDLYKKLLVVLSNIGYCKAELSDELYTKYRHIWSPVRDNDERSADMRDLMTSFSALEEKVLEQYTFAKSNLIRNAARNYLLDYGIHWGAAPAVKGIRDAALDLLHILVAVHAEVYSGARPLLEKAMTILVEGLIDIFLSIFHENKTKELRMLDANGFCQLMLELEYFETILRTYLSTEAEQALRSLQENLLEKACESVTEALENPGHHRRPTRGSEDAASDDRQSVSPDDLLALAQQCSSDLLQGELEKTRLNIACFMESTLQSTPAPAGSKPAAYQSYKAPATHQPVQVSSPSFRRQQTSTNSPAASRRRR >OGLUM04G12210.1 pep chromosome:ALNU02000000:4:18236477:18239434:1 gene:OGLUM04G12210 transcript:OGLUM04G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT5G09820) TAIR;Acc:AT5G09820] MAAASVLLLLPSPFLRPSSPAHRARCGIATTTTTSTSGRRGLFLFASRCRPGPRRRAAAAAVPPEHGLSQPQPQARAVGSYEAALGDAKDALYAALEGMNRGIFGMTSEKRSEIHALVELLESKNPTPEPTDKLQDKVDGCWRLVYSTISILGKKRTKLGLRDFVSLGDFFQMIDVKEKVDITLDSSTITPDQLMNIFQKNYDMLLAIFNPEGWLEITYPFRLM >OGLUM04G12220.1 pep chromosome:ALNU02000000:4:18242791:18243397:-1 gene:OGLUM04G12220 transcript:OGLUM04G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALARGGRGGDKAICSVHLGLLLLLVILALQCGVEPAAARREWPVGDGAGWSPGVVGWPNYKPFKAGDVLVFSYDASAHNVVVVGDVDYALCRAPANATAYGSGDDRVALPPGVTFFVSGFPGDCDKGMMKIAVTAR >OGLUM04G12230.1 pep chromosome:ALNU02000000:4:18244568:18247588:-1 gene:OGLUM04G12230 transcript:OGLUM04G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKR4] MGSCNSWKPTLTMVGVVVVFAVMNTLIKMALDEGMHATVLITLRQLIATLFLAPLAYFRERKTRPKLTAEILVASLTQWLFFLGLQYTTATFACAFINMTPIFTFLVALPYGLEKVNLNIAGIAKVGGTVLGFSGAMVLALYQGPSLTKLSSSSSSSSSSSSPMPAAAVAAGHVGGAHRWAIGSVALLGGSACWSLWFILQSRIARKYPALYSGTALMFFLSFLQMAVVALAIDRGIVGSGIGFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLREQLHLGTVIGSALVIMGLYFVLWGKSKEASPSSSSSHPAKEAVPVLQQQHGHDDQETTNVQMQTV >OGLUM04G12240.1 pep chromosome:ALNU02000000:4:18255405:18255920:1 gene:OGLUM04G12240 transcript:OGLUM04G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVNAGTAAPDSGTGSIAANDGDGDLHGEQSKLVAISDEAPNVDRALATSRPATADGAGGGDDVSPRLRRRQRRRLLEIKKRGLEVEEQMLALEQRRLRWAAADAEARREEDAELEKMRVENGVARAENARLWRRLLRRRRERELGVGGVRSNKCRDGAAAMEGEEKSVL >OGLUM04G12250.1 pep chromosome:ALNU02000000:4:18257197:18257408:-1 gene:OGLUM04G12250 transcript:OGLUM04G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEENCEGELFQTEGPRLLAGFSIIWLSPYVRLDLVNAIGPLPLLNDCGLVTNGDWFTGVLGLRY >OGLUM04G12260.1 pep chromosome:ALNU02000000:4:18269881:18270111:-1 gene:OGLUM04G12260 transcript:OGLUM04G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGMSEHVVDEGPSDVVVVDGSIEMKRSSVDDGKIDKLINLIEVLVSARLGLRRRRRVEWRWQRRRELQLGEGER >OGLUM04G12270.1 pep chromosome:ALNU02000000:4:18289147:18295102:1 gene:OGLUM04G12270 transcript:OGLUM04G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKR9] MGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMGSIILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSEDENQGKESIPVTTGGENEMK >OGLUM04G12270.2 pep chromosome:ALNU02000000:4:18289145:18295102:1 gene:OGLUM04G12270 transcript:OGLUM04G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKR9] MGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRLMFAATFLCPIAFLRERKKRPPLTMKVVLQLFLCGLFGFSINQNLYVLAIKLTSATYITAISNLTPATTFLLAILTRLETLKLKKPAGQAKLLGTLVGMGGAMLLTFYKGPKIMVLDQLPHPKFAHLTENPQSHPISTGNQIIGSFLGIISCFTYATWLVIQAKVSKVYPCHYSIAAMVCLFGALQSTVMALCVHRDMEHWRLGLNIRLYSSAYAGLVASGSAFPLLSWCLRKKGPLFISVFSPLMLIFVALMGSIILNEALHLGSVLGSVLIVGGLYMVLWGKAKEAADLSEDENQGKESIPVTTGGENEMK >OGLUM04G12270.3 pep chromosome:ALNU02000000:4:18289155:18291462:1 gene:OGLUM04G12270 transcript:OGLUM04G12270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKR9] MGSESGRAVAAMVSLQLLFSALQVFIKLALNDGMDARVLVAYRLMFAATFLCPIAFLRERSDSAISNDKRSVVQEETTASNHEGGAATILVRVVWMC >OGLUM04G12280.1 pep chromosome:ALNU02000000:4:18295257:18296466:-1 gene:OGLUM04G12280 transcript:OGLUM04G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSPMMRRRLLPPPLLLLLCCSLAAVQPARALFHLRGAGTGAYVEQLLGGGGGGGYGEEKVPMTVVVPDYSPRPAPFGRPATTPTPAPAPAIPPLPGSDDGGGGDMPTLPSERRSPRGALPGGNAGPIANAGAPSPAAAAASTSTAFISSSPAVPLPAGVTDSATVLPMPTPGQEQHQAVGMGTLPRARTVQLQLAVPLAMMLFFSALR >OGLUM04G12290.1 pep chromosome:ALNU02000000:4:18299815:18302231:1 gene:OGLUM04G12290 transcript:OGLUM04G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRRPDQFRVDGGDDDKRRRLILVYDTATAAVDVSHHLPDDMHLVTSYDAVADAGKNRLYLLLPQFERSPSPEPPRRRPPWPGAPERGVDMAPYPYVEKVERVKAGTRLYLLLPDPFDQRSPEPGRAAVGMPYLEKVEKVYPKEEEEKPAARGCRGRSYGGAGDDDDEKDKYYRNRLTERWSWRTSTSPPPFSGGRRITGHALHPNGRTIFVSVEKTHARRHPGDEDDEEDGTFSYDTERGGEWTRRGGWRLPFKGQAHYNRHLDAWVGIGASSSGAGGHPRLVACDVVHLSDAADDPAPPPKWTACEETLTFLQGGAPRERRVGGDPKLVPMGGGGGTFCVVESAPRAGLELIGLGSLLGDGDKFELRVTVFHAKYGENGELLMTTTASAAAAASHTYALSRYLSNFHAPAFWMFHHFWYDSGDDGDDEVETAYESGGGDDDDEVETEYDSDDDDEDEVETEYDRGGSMRASVTLRFL >OGLUM04G12300.1 pep chromosome:ALNU02000000:4:18302561:18302926:1 gene:OGLUM04G12300 transcript:OGLUM04G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGCAALEDAVVLARALSSRSPSPSPADGVAAYVAERRGRAAWIVAGAYLSGYVQQGSTSAPGVRAAAVKLFRDWIFYRFVFPLLADTMWFDCGDLVAPPPRVGGGEEEAADCKKSHVH >OGLUM04G12310.1 pep chromosome:ALNU02000000:4:18303874:18305801:1 gene:OGLUM04G12310 transcript:OGLUM04G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMSIEEEYISTLHTRSNARFFSRSKQQLSGVEMEAVAAAAQQDAVVVPAELQRMMHRRTSSEIELAMAGYFDASDEASEICRQLLTNIKNAQSNYLSMDSFLATIVSDSAAAPLAAVRSNPFSDAATRSSFRRIHDRYSSILRAIKRSHGKVARKLKVARAVRKASRACLVVACGAAAAASVAVAAHLLLFGLLVGPAAMALCPMALKRKVTNTNAAAVARPARRRSTTGSLLRLQEQLDTAAKGTYVLGRDLDTVSHLVARLSDGIERENAMARRCAERVAADDVGAAAAAGGRFFPVQEMANELRRSCSSSRKLAEELEEHVCLCLATIHRARLLVIKEISKQA >OGLUM04G12320.1 pep chromosome:ALNU02000000:4:18307386:18308962:1 gene:OGLUM04G12320 transcript:OGLUM04G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRRHAGGGASRTMCSPPSRSRAYAASGGTGGSHYRSCCLRSCSCDEHSRRRRHLYLVLDDWKEGYSLHKLDLSHVSGDGGEHEHNPINPRRLPAPLLRLGFRTLGSSPRVGALGSKIVFIGQRHPDQFTGAGDDDDDGDDEQERGLTLVVYDTATAAVDVSHHVPDDVRLACTCDAVAAGNRLYLLLLVYLMLPDQSERSPPPPPPESGSPDLAVAMRYLEKVEQVYDDEVETADDDGDDDEYMDAEKKKHVVVAYSERLTERWSWGSTSSPPPPPFSGGGRRRITGYALHPDGRTIFVSVAKRTPDHDDVGDRPDEEVTFSYDTERAEWARRGGWLLPFDGQAHYDAELDAWVGAIGYRAGPRLVACDVVPAAAAGDRRPAPPPPRWTFCEQPLTFLGAESHQSLGDPKLLPMGGGAFCVVVESAPRGADSVGDGDKLLLRVAVFRAKYGKNGELFMTTAAGGRGSCQTHTYVRSRYLVDFHAPAFWM >OGLUM04G12330.1 pep chromosome:ALNU02000000:4:18312538:18313847:1 gene:OGLUM04G12330 transcript:OGLUM04G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMGGGGETKDVIDVEAVVVGAGIAGLATALALRRAGFAARDGGDGGGGGGVVVLERHAELRATGAALTVFPNGWFALRALGVAHKLTPRYHPYETSVVTNLESGATQVFRFGGHKSRSGEVRVRPVHRRALLEAMAEELPPGTIRFSSRLASIGTEPAGGGGGGEELAVVGLDDGTVIRSRVLVGCDGVHSAVARWLGMAEPASSGRSCVRGLAVYPGGHGVRKELRQFLSHGLRAGMVPISDTDIYWTI >OGLUM04G12340.1 pep chromosome:ALNU02000000:4:18329223:18334568:-1 gene:OGLUM04G12340 transcript:OGLUM04G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYGRGGYGRSGAGAGDDYESGGYNRSGSGGADEYGRRPGGGAGGYNKPGGTDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNKSAGGDDDDYGRTGGGGYNKSGTDDDYDSGYNNRSGANEEYGRNKSGDDEYSGGGGGYKKPGGGGAEADEEYVDGSSSRDDPEKYRKEEKEHKNKERLGEVGALAAGAFAMYERHQAKKDPENAQRHRIEEGVAAAAALGSGGFAFHEHHDKKEAKQAAKDAEEEAEEESGSGARGGEGKKKHHLFG >OGLUM04G12350.1 pep chromosome:ALNU02000000:4:18335030:18337922:-1 gene:OGLUM04G12350 transcript:OGLUM04G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLGRRRRAHRPATQMEPRVGVNGSAVDGRRQCWSSRRQSGDHVASDGVGAPAEGAPASHADGAPGGKSGDHVASGRVSGGRVLLSPSGTSSPACAGVTDGHLLLTT >OGLUM04G12360.1 pep chromosome:ALNU02000000:4:18338099:18345584:-1 gene:OGLUM04G12360 transcript:OGLUM04G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLLRIGRSSFSSQGRSTRPSTCRAHPPRQAKAHTPSRRLRCRDARPPPPPPPPHRPPPLSLSLLARLPCRRPVLRSCCGDAASRRADKMAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFRGIPAQSPRDMSCTTCGSVRYCCSDCLISGCEVHSSSGECCLFVNHLREGSPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSYNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKLRMKNSVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPNASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTREARLSDLWSKYKFICSCERCTALPKPYVDLILNCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMSDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNIPEAIFSFASCSTNLAKHDSVRYNQFRSTCEKFGKHLLYLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSVKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFQDPHTNMGKITSNMWTGEVVFRMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDNGLFNKSSNDDNESGYKNTNTDEYGNTGNYKSNTDDLTGGFNKYSGSGGYNKSGADDYSGSGGYNKSGNNDYSGSGGGYNKSGGGDYGSEYKDSSTGDYARGDEYKKSSSDDYDGGYKKSSSNDDGYGGNGYNKSSTGDYDSGKNTSSTDEYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYNKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >OGLUM04G12360.2 pep chromosome:ALNU02000000:4:18338099:18345584:-1 gene:OGLUM04G12360 transcript:OGLUM04G12360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLLRIGRSSFSSQGRSTRPSTCRAHPPRQAKAHTPSRRLRCRDARPPPPPPPPHRPPPLSLSLLARLPCRRPVLRSCCGDAASRRADKMAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFRGIPAQSPRDMSCTTCGSVRYCCSDCLISGCEVHSSSGECCLFVNHLREGSPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSYNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKLRMKNSVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPNASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKDCYRIGLVKVQGLRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMSDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNIPEAIFSFASCSTNLAKHDSVRYNQFRSTCEKFGKHLLYLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSVKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFQDPHTNMGKITSNMWTGEVVFRMADEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDNGLFNKSSNDDNESGYKNTNTDEYGNTGNYKSNTDDLTGGFNKYSGSGGYNKSGADDYSGSGGYNKSGNNDYSGSGGGYNKSGGGDYGSEYKDSSTGDYARGDEYKKSSSDDYDGGYKKSSSNDDGYGGNGYNKSSTGDYDSGKNTSSTDEYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYNKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >OGLUM04G12360.3 pep chromosome:ALNU02000000:4:18338099:18345584:-1 gene:OGLUM04G12360 transcript:OGLUM04G12360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLLRIGRSSFSSQGRSTRPSTCRAHPPRQAKAHTPSRRLRCRDARPPPPPPPPHRPPPLSLSLLARLPCRRPVLRSCCGDAASRRADKMAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFRGIPAQSPRDMSCTTCGSVRYCCSDCLISGCEVHSSSGECCLFVNHLREGSPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSYNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKLRMKNSVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPNASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTREARLSDLWSKYKFICSCERCTALPKPYVDLILNCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMSDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNIPEAIFSFASCSTNLAKHDSVRYNQFRSTCEKFGKHLLYLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSVKHAPATLIEQQKECILSLAVCCITYSKYLASICYGPEHYLANRAKDLLEYWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFQVSEDEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDNGLFNKSSNDDNESGYKNTNTDEYGNTGNYKSNTDDLTGGFNKYSGSGGYNKSGADDYSGSGGYNKSGNNDYSGSGGGYNKSGGGDYGSEYKDSSTGDYARGDEYKKSSSDDYDGGYKKSSSNDDGYGGNGYNKSSTGDYDSGKNTSSTDEYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYNKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >OGLUM04G12360.4 pep chromosome:ALNU02000000:4:18338099:18345584:-1 gene:OGLUM04G12360 transcript:OGLUM04G12360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRATLLRIGRSSFSSQGRSTRPSTCRAHPPRQAKAHTPSRRLRCRDARPPPPPPPPHRPPPLSLSLLARLPCRRPVLRSCCGDAASRRADKMAMGMRARESVNMSEDLTQAIAPYATALHDASLQSHCSSCFRGIPAQSPRDMSCTTCGSVRYCCSDCLISGCEVHSSSGECCLFVNHLREGSPSTLTEETSDIRAALRLLYSLETRGLVSSDSVSSYNRIGGLSASGIREVLEEGGEIAEGVLEGSLLMLSARKLRMKNSVGLSNGLTIEKVALWAVMTNSVEVQISEEQSLGIAVYGPSFSWFNHSCCPNASYRFVLVPQNEGCTSNKPESCVVPVSKGAAPDAWHAWQNEEAGFAHAQCKYGPRVVVRCTKPINKGDEVFITYIDLLQTRIVKDCYRIGLVKVQGLRCDARNLKSPHNAVTDPAIEDLDNNLQQAISEYSFLDDSKACCDVIESMLSENLMSDLQQEELSPRKYILHPLHHISVSSFMILASAYRCSAFKSSTDNLHGENCDFIFRMTKAAAAYSIVLAGATHHLFLSECSFVTLLSHFLLSTGQSILDFAECIKGETRKNIPEAIFSFASCSTNLAKHDSVRYNQFRSTCEKFGKHLLYLSLQCWPFLAQGLPCLEKIKNPIDFSWLGPAIFQAFQLSEEDSFNLSVKHAPATLIEQQKECILSLAVCCITYSKYLARSKSFLFVTLGRLEESSSDWAVKIFDLNNGAKVYSRIKEYYLHFAKCLLIPTSINFFQVSEDEYDRSSYRRSGADDEEGGYNKTNTGDYGRSGDDYGRDNGLFNKSSNDDNESGYKNTNTDEYGNTGNYKSNTDDLTGGFNKYSGSGGYNKSGADDYSGSGGYNKSGNNDYSGSGGGYNKSGGGDYGSEYKDSSTGDYARGDEYKKSSSDDYDGGYKKSSSNDDGYGGNGYNKSSTGDYDSGKNTSSTDEYGGSGYNKSSTDNSESGYNKSGTGEYGSGGGYNKSSTDNYESGYNKSGTGDYGSGGGYNKSDAGDYTGGYNKSSTDEYATGRGKTSSDDY >OGLUM04G12370.1 pep chromosome:ALNU02000000:4:18346392:18349237:-1 gene:OGLUM04G12370 transcript:OGLUM04G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAARGKAPRPRPRPPRGLAASLRRLLAGAGGGLGVAAAAYVGVDYLRYLSPAWHGRLMPALWAALALAAAARAPFYRHWSAELRAALPFLGSIAFMLGAFLCEAVSVRFVSAVMGLQWHRSAAPLPDTGQWLLLALNEKLPQCVVDLLRAHVITLHHYLMLFIMLGFSVLFGCIKAPGLGIATRYMFTMAIGRLLRTMTFVATILPSARPWCAAARYQIPGHPHPWAQKYYVPYASDSDAIRRVIRDDVAYAAVQSYPGEYRPDWGRMSFLVDILRPTPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYTVDCVVAIYVGILLWRMTRFIWSARDASRARRLAKLDEVQNKLIHAAKDSDVDEIRGLLKEVELAGQEKQGVSQRAILAFAAATIIFTLTCVVLALTLTSDG >OGLUM04G12390.1 pep chromosome:ALNU02000000:4:18367106:18386000:-1 gene:OGLUM04G12390 transcript:OGLUM04G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPVGNGRKHPALAARPSRAGAHPPGLRETASGMANEGGDDIRVAEKGAEEDGGGGPPPSGDGDRGPWNGASTGGCRGAAADGSRRRLLRRGFNDVMAIVVVNFFVSTPLYRHQHPGGSPLTRIAQMLIVSARKWGVEVPADRSRLHESNIKGSHKLEHTKQFACLERAAVETPEDMTSLSSAWRLCVSLRCGIAMPTSPSSPPLPCFRSLPPPFRPRGPPRRTRPLPPMNWIDPLATGGAEVV >OGLUM04G12400.1 pep chromosome:ALNU02000000:4:18393083:18393655:-1 gene:OGLUM04G12400 transcript:OGLUM04G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHQVESSCTSPTPLRAKERTFFLSRVALAVPSAMEVSTTTAFLIHEGKHHHRQFCSPNTEQKEVAGAGQNCSTCRLFRADYSELKEHANSRMMPFDSSLYLCDQAAKLQC >OGLUM04G12410.1 pep chromosome:ALNU02000000:4:18394725:18400957:1 gene:OGLUM04G12410 transcript:OGLUM04G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15570) TAIR;Acc:AT4G15570] MAVDKSGGGGGGGGASSSSSGVAASTMDRFHKIVLSWDYVRLVADSKGGQQQAKGLGRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMQTKGGFDVKKHGPELDIEGKHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVEPATLIPLIHGCKQVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVDDKLKTMKVERAPPEPRTVQALESINEAVVGQELMDVDDAGDQEDEGYDDDPVEADDGGGDD >OGLUM04G12410.2 pep chromosome:ALNU02000000:4:18394725:18400957:1 gene:OGLUM04G12410 transcript:OGLUM04G12410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15570) TAIR;Acc:AT4G15570] MAVDKSGGGGGGGGASSSSSGVAASTMDRFHKIVLSWDYVRLVADSKGGQQQAKGLGRVKNTYASVAEYLAVFEPLLFEEVKAQIVQGRSDEEEEAGQDWQKGIVASCTESEGFHKVSMAVLDDFREMVSENDLLLLSKEKFEEGVTPSAYAFALVEQRGGRETISLRTFVAGEIKNLNVAKPVSCSRLQRIASIFSTTESFLWILKICSLSTIMREFSGMHSVASLPFKDLILSASEKNSGGNDQNRAWNVPEPLMDYLKTNLNDSQLDAVNAGLSRRSFVLIQSSCDRHAHWMKASPWLIGVNPRDLIMPVDGDDGFYPTGNELKPEVVSSNRKYRAHVLVCAPSNSALDEIVLRVLQTGIRDENNNTYNPKIVRIGLKAHHSVKAVSMDYLIQQKLSGVDRSSDGGRRGAGEYDRIRSSILDEAAIVFSTLSFSGSSIFSRMARAFDVVIIDEAAQAVGDPVQLPATVISSTAQKLGYGTSLFKRFQAAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLEDGEGLSKKRPWHSYSCFGPFCFFDVDGTESQPSGSGSWVNEDEVEFITLLYHQMAMRYPELKSSSQVAVISPYRHQVKLLKDHFRSTFGDQSKEVIDVNTVDGFQGREKEVVIFSCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLKEDKHWNNLVESAKERGRYFQVPKPFTAFFVDDKLKTMKVERAPPEPRTVQALESINEAVVGQELMDVDDAGDQEDEGYDDDPVEADDGGGDD >OGLUM04G12420.1 pep chromosome:ALNU02000000:4:18440809:18443905:1 gene:OGLUM04G12420 transcript:OGLUM04G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRQRFGGRRRLQDTSLISSPEDHTQGQIDFTVVVVIIILWNILIHANRTKIVNEDSIGYINELCSSIKELDVMYLHVATCLR >OGLUM04G12430.1 pep chromosome:ALNU02000000:4:18449054:18451059:-1 gene:OGLUM04G12430 transcript:OGLUM04G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEEAAAAAGRTTLAPRCHAGRGSAAEGELGEDAAEAAAAAAAAGGRGAAGSGTERSAQAARGAREEEEKERKGRWTRKAGNACPVHMA >OGLUM04G12440.1 pep chromosome:ALNU02000000:4:18450721:18459397:1 gene:OGLUM04G12440 transcript:OGLUM04G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREVLSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLRQLKYVRMRSLGNCPWCPGPSSATSLSRYNNSADSAVKNRLVDRNHKPANVQG >OGLUM04G12440.2 pep chromosome:ALNU02000000:4:18450721:18459493:1 gene:OGLUM04G12440 transcript:OGLUM04G12440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREVLSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLRQLKYVRMRSLGNCPWCPGPSSATSLSRYNNSAGEESAG >OGLUM04G12440.3 pep chromosome:ALNU02000000:4:18450721:18459493:1 gene:OGLUM04G12440 transcript:OGLUM04G12440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKWSECSSNSYRELSSKENGEGKSSITEAVPSSTSYFGSPAVNRMCSLSAQKKDGNVYKRRKMEKDSTSLTANEEFKEMTAQNCTSEDHSSLLLPVTSDAMVSNSTAPILEHDEPAGVPLVPRSGVNDRSSVSSMLPPFMMFDKKDATECSSSNIGSTEPMTGFTSARDLCIAILREDGLITESRTKIKAEELTGYDANLLFQCKTCGKSDHPLKMLICDSCEAAFHLSCCIPRVHEVPTDEWYCLPCFRKKPKSQYGKLSEGKVKSSGNINQRPHGMSHIEYMLKDTKPYVTGVRIGIDFQAEVPEWSCPTSSGDVYCEEPSEFDSADLTKLNWSKTNTQYRSSIGNWIQCREVLSEGDSDKPVVCGKWRRAPLFVVQSDDWDCSCCLPWDPAHADCAVPQELGTDEVLRQLKYVRMVKNRLVDRNHKPANVQG >OGLUM04G12450.1 pep chromosome:ALNU02000000:4:18469558:18470763:1 gene:OGLUM04G12450 transcript:OGLUM04G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFDGSYGIPSSVSHPAAARHRKWSVMKVTFDGSYGMPSSVSHPAAARHSLRRIRSGDCRRRRDLELVGGSEPQPPDLAVCDGSQFRPPSQVKDTCNGFYLQSVKGYIYH >OGLUM04G12460.1 pep chromosome:ALNU02000000:4:18529476:18529757:-1 gene:OGLUM04G12460 transcript:OGLUM04G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERSGRSEGRHNTEGGQPEKKARVGRDGKAARRWGEEAARRGGRMGAGECDAELRIRETGSAMRIGEMRRGATGGSWEKISSADACERILR >OGLUM04G12470.1 pep chromosome:ALNU02000000:4:18535909:18538864:-1 gene:OGLUM04G12470 transcript:OGLUM04G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 [Source:Projected from Arabidopsis thaliana (AT4G14110) TAIR;Acc:AT4G14110] MDLAAVHAALAGKSYSSVAPLCDDLFLQAASRGAATDGWPYAVHLLAHLYLNDLNSARFLWKSTPQEAKDARPELAAVWRIGQCLWNRDYAGVYAAAQGFEWSPEIADFVAAFLESYRKRIFQLLTSAYSTISVADVAHFMGMNEEDATNYAMQNGWSLDAAAKMLTVVKPKVKTNQKLDASKLQRLTECVFHLEH >OGLUM04G12480.1 pep chromosome:ALNU02000000:4:18541037:18543535:-1 gene:OGLUM04G12480 transcript:OGLUM04G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPREEAAIGANEIRITAQGRTRNYITYALALLQDNATDEIVIKAMGRAINKTVAIVELLKRRIVGLHQNTSIESIDITDTWEPLEEGLNTLETTRHVSLITITLSKKELDTSSPGSGRQLTLIRRQRPCPVVVEEDVVAVEGAGEEGSTMKIMMMSMEMQRSHSLRDIVAGEEEGAGVDPLDLAGVMVEMVLQWKKLVDMMMGSLMHLLCKGMKVAEEGAVVEAEAVDVVVVEAVAVDLLLLLKSRLAGSSTIQCSDSSSLD >OGLUM04G12490.1 pep chromosome:ALNU02000000:4:18575574:18581924:1 gene:OGLUM04G12490 transcript:OGLUM04G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MVDLTPGGRRRRGEEPRACGGRWSGARADVGGGRQPTGEQRGAAGVGCSRNVSFATACSPLRFQALAPPIRPSRIRLSSPPPPPPPATTGQPAKSSAAELTSSGAGDAPLGSPGEDAFPPATTSPVAASAAPRTTHAPRGLLSQAISRDFPTPPLAGYLAAVQELASLMEEERMEPPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMAGQFVMEYCGEVISWKEAKRRSQAYENQACSQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMFPLFR >OGLUM04G12490.2 pep chromosome:ALNU02000000:4:18575574:18581922:1 gene:OGLUM04G12490 transcript:OGLUM04G12490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MVDLTPGGRRRRGEEPRACGGRWSGARADVGGGRQPTGEQRGAAGVGCSRNVSFATACSPLRFQALAPPIRPSRIRLSSPPPPPPPATTGQPAKSSAAELTSSGAGDAPLGSPGEDAFPPATTSPVAASAAPRTTHAPRGLLSQAISRDFPTPPLAGYLAAVQELASLMEEERMEPPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMAGQFVMEYCGEVISWKEAKRRSQAYENQVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMFPLFR >OGLUM04G12490.3 pep chromosome:ALNU02000000:4:18575574:18578251:1 gene:OGLUM04G12490 transcript:OGLUM04G12490.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MVDLTPGGRRRRGEEPRACGGRWSGARADVGGGRQPTGEQRGAAGVGCSRNVSFATACSPLRFQALAPPIRPSRIRLSSPPPPPPPATTGQPAKSSAAELTSSGAGDAPLGSPGEDAFPPATTSPVAASAAPRTTHAPRGLLSQAISRDFPTPPLAGYLAAVQELASLMEEERMEPPPPPPPYIHIETNDFLHRRHKRQKEEDIAVCECQYNLLDPDSACGDRCLNVLTSTECTPGYCLCGVYCKNQRFQKSQYAATRLVKTEGRGWGLLADENIMAGQFVMEYCGEVISWKEAKRRSQAYENQAWWYILARDGNGAIPGGVWSPVPVPVQLEITRPRPRCRGCISPVPEPRQVHGAPTGSHTR >OGLUM04G12490.4 pep chromosome:ALNU02000000:4:18578627:18581922:1 gene:OGLUM04G12490 transcript:OGLUM04G12490.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 26 [Source:Projected from Arabidopsis thaliana (AT1G76710) TAIR;Acc:AT1G76710] MAGALADLDWRLLMDLRRGQGRTGLVQPNCETRKWNVLGEVRVGIFAKQDIPIGTELSYDYNFEWFGGAMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENVPLYDSADDEPTSIPKDILIKDEPNTQDGNNNTIQNTGIPIIASSSEFTPMNVEPSIASSNEFTPMNVEPLNVSSNELTPMTIEPLNAIPMGVDFTQNGSIEYGAQCAEDALQNSTRGVANLQNQSAPRDNNHTELVAVKRRPTLRGGKAKRGMRKQLNVAGICDRLASEVAREEILYCEEMKNQAAAEIDSLYDEIRPAIEEHERDSQDSVATSLAEKWIEASCCKYKADFDLYASIIKNLASTPLRSKEDAAPKEQNGLMFPLFR >OGLUM04G12500.1 pep chromosome:ALNU02000000:4:18593851:18598014:1 gene:OGLUM04G12500 transcript:OGLUM04G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKVYELYLNVVDLSVVRDKRTELSPHRVMDALSFYDASEAFHHLLTSLRDEFSRCYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNADMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >OGLUM04G12500.2 pep chromosome:ALNU02000000:4:18593851:18598014:1 gene:OGLUM04G12500 transcript:OGLUM04G12500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 27 [Source:Projected from Arabidopsis thaliana (AT4G39370) TAIR;Acc:AT4G39370] MGKSEHGNLLVSLSDGLPHYSLAAAVGLAIGVAGLCKALHSSLVIPPVSQKKLLSNSDRLYYTGGLKNLGNNCFLNVILQALASCDGFVSSLDNLLGSEDVLPEEKSEKMPLIFALSSLIKDLSVVRDKRTELSPHRVMDALSFYDASEAFHHLLTSLRDEFSRCYVPNRSSLADITMFPSKVYSQREGNQPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFENFYCLPLSPVATINGDIINGCSLVDCLEHFTALEHLDNYRCDHCWHNVAAKYLSLKSEVDEEKINKLRTCVDYGTCSCRHIFTPEEMTCSISSQATKQLAITHFPKILCIHLLRASVGLDGEFVKRGGHISFPLLLDLSPFAGGALIPGQGPKPSAMNKQRHGQQTLHLWRQLNADMPVNMFPAATDGDSSSHHCGDESINTLGRSFYVGNRDADSRFLSSSSLTDKLYGLSSVVEHYGVCGGGHYAAYRRVTPNSDSNEPVQSLASFRKEWLYVSDDHVSHVSECEVLAAEATLLFYERL >OGLUM04G12510.1 pep chromosome:ALNU02000000:4:18600829:18609089:1 gene:OGLUM04G12510 transcript:OGLUM04G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEGPNNHPQGTQETHDCPFTIATNTPLGSTKMGYSDSRKSDENNTSSKPASPVVSSDVVEIKETWENVVVADEEGEGGEDGFASDASESKSKSSSDEVDYELLDLLAGASEGCSGGNNNDEVKNFAYADHKMHVLTERERRKEMKNKFEILHTLIPNLPEKTDKATIVEATINYIKNLQDKIHKMEMLKVEREHAIALAAAATATAAASADTALQAPPPSEEENEEEHDSVVAAATREMALADMVHAWEQQQEAAATGGSHGGHAVPPPPPAASLQTWTGPNMTASLTGDDGFITLSLPHQGGQKNLVAGAVSVLERHHIDVVTATVSASEQGDNLISLHCHLSPGSSSSQNLTPLDKFKLAMSELMLWSQYLHLPIHPMSQEGADLSQDVDESPYHTAVVTTNNLVRSIKAEKSNSSSSSGKPVETDIGLKVASPTMFGFNTKIEGTGKNMAVKREEGEGGGRPSVSSGVNTRDTNGKGKNAMDMEHALHIWTERERRKKMKNMFSTLHGLLPKIPGKTDKASIVGEAIGYIKTLEDVVQKLETIKTERVRAHQWAAAAAAAVAANGGGEGSSHSHSQPPRHATAVTVAVAEPAPVAAAVNAQAPQKKAAAAAAPTLQTWSAPNITLTMAGVDAFINMCLPRQRASFTTVAFVLEKHQIDVLNEASLQSTEGLTPEAKYKLAVSELMVRLAE >OGLUM04G12520.1 pep chromosome:ALNU02000000:4:18610881:18622894:-1 gene:OGLUM04G12520 transcript:OGLUM04G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAASGKKEEEKKKLQERVPIRRTAWMLADFVVLFLLLALLFHRATAADASERGGAAWRVAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTADPALEPPVVTVNTVLSLLAVDYYPGGGGERLACYVSDDGCSPVTYYALREAAGFARTWVPFCRRHGVAVRAPFRYFASTPEFGPADRNEYDKLVHRIEDADETTLLRQGSGEFAEFMDAKRTNHPAIVKVIWDNNSKNRIGEEEGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAVMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEVIFKKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFGSSEELKKSSRNIISGDMSGEPIVDISSRIEVAKEVSSCNYESGTRWLGLWINDRRHFDWAADPCSRLEIYKVGNRTTSILGLRTNGWTSLPNLNNPLLLSIFKHLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYNTYNFMEYMECGLSIRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLNASLLVALSGGGGGGGEGARSAGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHGGAGGDSGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVEEGRTPSATATAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKVLWDNSKSRAGEGFPHLIYVSREKSPSHHHHYKAGAMNVLTRVSAVMTNAPIILNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKGAFYAGTGCFHRRKAVYGVPPNFNGAERGDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLTVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYLVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPRRFTFDSSPVFIPVTALAMLNIIAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFIRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >OGLUM04G12520.2 pep chromosome:ALNU02000000:4:18610881:18622894:-1 gene:OGLUM04G12520 transcript:OGLUM04G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAASGKKEEEKKKLQERVPIRRTAWMLADFVVLFLLLALLFHRATAADASERGGAAWRVAWFAFVWLLNMNAKWSPARFDTYPENLAGRIDELPAVDMFVTTADPALEPPVVTVNTVLSLLAVDYYPGGGGERLACYVSDDGCSPVTYYALREAAGFARTWVPFCRRHGVAVRAPFRYFASTPEFGPADRNEYDKLVHRIEDADETTLLRQGSGEFAEFMDAKRTNHPAIVKVIWDNNSKNRIGEEEGFPHLIYVSREKSPGHHHHYKAGAMNALTRVSAVMTNAPIMLNVDCDMFANDPQVVLHAMCLLLGFDDEISSGFVQVPQSFYGDLKDDPFGNKLEVIFKKLLGGVAGIQGLFYGGTGCFHRRKAIYGIEPDSIVVGREGAAGSPSYKELQFKFGSSEELKKSSRNIISGDMSGEPIVDISSRIEVAKEVSSCNYESGTRWLGLWINDRRHFDWAADPCSRLEIYKVGNRTTSILGLRTNGWTSLPNLNNPLLLSIFKHLQFRQCLAYLTLYVWAVRGFVELCYELLVPYCLLTNQSFLPKASENCFNITLALFLTYNTYNFMEYMECGLSIRAWWNNHRMQRIISASAWLLAFFTVLLKTIGLSETVFEVTRKEKSTSDGNGQNDEVDPERFTFDASPVFIPVTALTMLNIVAITIGTWRAVFGTTEDVPGGPGISEFMSCGWLLLCLLPFVRGLVGKGSYGIPWSVKLNASLLVALSGGGGGGGEGARSAGRKKPPPPPLQERVPLGRRAAWAWRLAGLAVLLLLLALLALRLLRHGGAGGDSGVWRVALVCEAWFAALCALNVSAKWSPVRFVTRPENLVEEGRTPSATATAEYGELPAVDMLVTTADPALEPPLVTVNTVLSLLALDYPRAGERLACYVSDDGCSPLTCHALREAAGFAAAWVPFCRRYGVAVRAPFRYFSSSSSSSPESGGPADRKFLDDWTFMKDEYDKLVRRIKNTDERSLLRHGGGEFFAEFLNVERRNHPTIVKVLWDNSKSRAGEGFPHLIYVSREKSPSHHHHYKAGAMNVLTRVSAVMTNAPIILNMDCDMFVNNPQAVLHAMCLLLGFDDEASSGFVQAPQRFYDALKDDPFGNQMECFFKRFISGVQGVQGAFYAGTGCFHRRKAVYGVPPNFNGAERGDTIGSSSYKELHTRFGNSEELNESARNIIWDLSSKPMVDISSRIEVAKAVSACNYDIGTCWGQEVGWVYGSLTEDILTGQRIHAMGWRSVLMVTEPPAFMGSAPIGGPACLTQFKRWATGQSEIIISRNNPILATMFKRLKFRQCLAYLTVLGWPLRAPFELCYGLLGPYCILTNQSFLPKASEDGFSIPLALFISYNTYNFMEYLVCGLSARAWWNNHRMQRIISVSAWTLAFLTVLLKSLGLSETVFEVTGKDKSMSDDDDNTDGADPRRFTFDSSPVFIPVTALAMLNIIAVTVGACRVAFGTAEGVPCAPGIGEFMCCGWLVLCFFPFIRGIVWGKGSYGIPWSVKLKASLLVAMFVTFCKRN >OGLUM04G12530.1 pep chromosome:ALNU02000000:4:18636466:18645072:1 gene:OGLUM04G12530 transcript:OGLUM04G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGANGSAAAEAEAAARRVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVKNPFGGEFTVFAGLEECIKFIANFKFTEDDILFLQSVMPMCEDAFFDYLRKTNCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFVNLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAYVSSYMSLDEIPDKSLKSKDGSRVCKDFVSLVKEWLQKIQLADSLCGVFGETNQSELAAFASYALAFPTNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRTLEMEFNVPAFGKMIITASNDLNEETIDALNKQGHEVDSFGIGTYLVTCYSQAALGCVFKLVEINSRPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMMRESEPSPKAGERILCRHPFLESKRAYVVPQHVEELLQCYWPGTSNKPRVELPSLEEIRGRCMQQLEKLRPDHIRRLNPTPYKVSVSTKLYEFIHSLWLNEAPVGELQ >OGLUM04G12540.1 pep chromosome:ALNU02000000:4:18646409:18646911:1 gene:OGLUM04G12540 transcript:OGLUM04G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRISFSLQLAGAKSLSPLLVGACCSGQRQLAAGVRLCGCLTNPGYSLTKNLYPLKSGKQNYFDRNDAPIH >OGLUM04G12550.1 pep chromosome:ALNU02000000:4:18650007:18654588:1 gene:OGLUM04G12550 transcript:OGLUM04G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSELSQSTVVFGLHLWELVGIGVGAAFVLLLVLLSLLCLVASRRRRRRRGVAVATPVLHLATAVAPPKHPGKPPKDIQEVPSRTAAAAAAPKAQPAQVIQAPPPSESIQIETGKEHRITFREQQHQPPQPPPYHQRSGGPSSRGGSGESRGGGGGGGGGGAEPGVPEVSHLGWGHWYTLKELEDATAMFADEKVIGEGGYGIVYHGVLEDGTQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDMRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKTWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPGQVKSGEIPPVEAGDSSGNNTPKETPKGQPKDEPFKWRNQAAA >OGLUM04G12560.1 pep chromosome:ALNU02000000:4:18657201:18660528:1 gene:OGLUM04G12560 transcript:OGLUM04G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPKKNRNEICKYLFQEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRSSGGRPGFGRGGGGGFGAGPTSSSME >OGLUM04G12570.1 pep chromosome:ALNU02000000:4:18660177:18663161:-1 gene:OGLUM04G12570 transcript:OGLUM04G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKWQEAPAPGVRVLLFAVAAAAMLLLCSPAAVVSARKVGETCAADRNCDAGLHCETCVADGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGARSRTGTTIATAWNQQDTITDQLNNGVRGLMLDMYDFRDDIWLCHSFGGACQNFTAFVPAVEVLGEIERFLARNPTEVVTVFVEDYVESPMGLTRVLNASGLTKYVFPAWRMPKSGGDWPRLSDMVRDNHRLLFFTSKSAKEAAEGIPYEWRYVVENQYGTKGMIKGRCPNRAESAAMNDLSRSLVLVNYFRDLPNFPVACKDNSAELLDMLTTCHDLSADRWANFIAVDFYKRSDRGGAAEATDKANGGLICGCGSVSACSGNGTCTTARHGGTPKGIFNATSGATALLRPTATLQWQQLMLVPSTLAALLLSL >OGLUM04G12580.1 pep chromosome:ALNU02000000:4:18666828:18673034:1 gene:OGLUM04G12580 transcript:OGLUM04G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLALLLVAAALPAAIAAECPLDLSWPNYELMASVCSDENGHSKCCRYINAVIAVSSAMYANTTGILGVPAEISDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFIAFVSQGNISTVDTASCFFSVQGLSALQVNISVPSPAGLIAPNIAPSPLAMQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGDEKGTIFKGKLSDGSVVAIRRIESSPKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSNGDSTTISSTLVNVKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGGDDGDGDGDSSSSKNLVEWSRELIGTDYRLHELVDPAVADEFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAGEEGYYYGGGGGQSGRKGKEGAEMLAGGGDGGRCLPSSSSTSRSYCSRSVLLECNSPEEAPPQSSPRGLP >OGLUM04G12580.2 pep chromosome:ALNU02000000:4:18666909:18673034:1 gene:OGLUM04G12580 transcript:OGLUM04G12580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLALLLVAAALPAAIAAECPLDLSWPNYELMASVCSDENGHSKCCRYINAVIAVSSAMYANTTGILGVPAEISDACIGNISDTLVSKGILPTAASFCGLGIKIQVSYQCIGMTTVLQMLQSPNFSDVTRSCATLLSDDVSCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFIAFVSQGNISTVDTASCFFSVQGLSALQVNISVPSPAGLIAPNIAPSPLAMQIPGEHVTGVLSKHHRSYKLALFPAIGALVTGLAVILMIVLILLIRKKSRELEKIEGTNPLDAWSSCLKKGQEGSSTIFDRFTYRQMKKATRNFGTVLGGDEKGTIFKGKLSDGSVVAIRRIESSPKQGQLEFCKEMELLGRLHHRHLVGLKGFCLTRFERFQVYEYMENGSLKDHLHSSGKRLLPWKNRIQIAIDVANALEYLHFYCDPPLCHGDIKPSNVLLDRNYLAKLAVSGLVQCSNGDSTTISSTLVNVKIPATPGYVDPCYVVNQVVTPKSDVYSYGVLLLELVTGKPVAQGGDDGDGDGDSSSSKNLVEWSRELIGTDYRLHELVDPAVADEFDLDELQVMADVIHWCTHRDGAARPSMKQVLRILYERLDPLSGGLARAVAGEEGYYYGGGGGQSGRKGKEGAEMLAGGGDGGRCLPSSSSTSRSYCSRSVLLECNSPEEAPPQSSPRGLP >OGLUM04G12590.1 pep chromosome:ALNU02000000:4:18678839:18681400:1 gene:OGLUM04G12590 transcript:OGLUM04G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHAKTDSEVTSSMAASSPPRAAYYVQSPSHDDGENKTAASSFHSSPAASPPRSLGNHSRESSSSRFSAAKSGSSRRTAAAAGGDGKGGVAAGRGGGGGGRRSPWMKEAAIEEEGLLMEDDDADDGGGGGGGFAALPRRWRYALGFVGAFFALFFFFALILWGASHNQKPVVSINSITFHNFVIQAGTDASLVPTELSTVNATVRMTFRNTGSFFGVHVTAEPVTLYYYQLLMASGNVKYFYQSRKSSRHVAVAVVGDKVPLYGGGSGLSSTPVKGAPPAPVPLQLAVRFRSRAFVLGKLVKPKFLTNVQCSVRLDVAKLGKPVSLNKACSLV >OGLUM04G12600.1 pep chromosome:ALNU02000000:4:18686760:18689090:1 gene:OGLUM04G12600 transcript:OGLUM04G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSLSLVAFMAAVAAAAAAAGDDRRPYVVRMDVSAMPAPFATHDGWYRSVLSSASARDAAAAPAAEHLYTYSHAMNGFSAVLTARQVEEIRRADGHVAVFPETYARLHTTRTPAFLGLSAGAGAWPASRYGADVVVGIVDTGVWPESASFSDAGVAAPVPARWKGACEAGASFRPSMCNRKLVGARSFSKGLRQRGLNISDDDYDSPRDYYGHGSHTSSTAAGAAVPGASYFGYANGTATGVAPMARVAMYKAVFSADTLESASTDVLAAMDQAIADGVDVMSLSLGFPESPYDTNVVAIGAFAAVRRGILVTCSAGNDGSDSYTVLNGAPWITTVGASTIDRAFTATVTLGAGAGGARSIVGRSVYPGRVPAGAAALYYGRGNRTKERCESGSLSRKDVRGKYVFCNAGEGGIHEQMYEVQSNGGRGVIAASNMKEIMDPSDYVTPVVLVTPSDGAAIQRYATAAAAPRASVRFAGTELGVKPAPAVAYFSSRGPSPVSPAILKPDVVAPGVDILAAWVPNKEVMELDGGETKLYTNYMLVSGTSMASPHVAGVAALLRSAHPDWSPAAVRSAMMTTAYVKDNADDADLVSMPGGSPGTPLDYGSGHVSPNQATDPGLVYDITADDYVAFLCGELRYTSRQVAAIAGHRAGCPAGAGAASHRDLNYPSFMVILNKTNSATRTFTRTLTNVAGSPAKYAVSVTAPAGMAVKVTPATLSFAGKGSTQGFSVTVQVSQVKRSRDGDNYIGNYGFLSWNEVGGQHVVRSPIVSAFAQ >OGLUM04G12610.1 pep chromosome:ALNU02000000:4:18690169:18693201:-1 gene:OGLUM04G12610 transcript:OGLUM04G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTVLRC >OGLUM04G12610.2 pep chromosome:ALNU02000000:4:18690171:18693201:-1 gene:OGLUM04G12610 transcript:OGLUM04G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKVFLLIWYVTSLSRFVLLKYYLRVSSGTHAIWGDPGIAYEYIRMIVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTVLRC >OGLUM04G12610.3 pep chromosome:ALNU02000000:4:18690169:18693201:-1 gene:OGLUM04G12610 transcript:OGLUM04G12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYEPMRSGELLLNPALLHGSALFHVATRKHVLALRQQALILRLMSRNLGIISYSELFPFLTSRREQKIYLVTEARNLVRAGIWDLLISAPTVLRC >OGLUM04G12610.4 pep chromosome:ALNU02000000:4:18690647:18693201:-1 gene:OGLUM04G12610 transcript:OGLUM04G12610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATATATACPAPPPPRSLYRGVALAAPGRRRAGYGASSSAARRWPGCRRRWAAHRIRTVSCAYSPRGAETITACSWNEYVICSDIPVLIEFWASWCGPCRMVHRIVDEIAQEYAGRIKCYKLDTDDYPQVATSYSIERIPTVLLFKDGEKTHSITGTLPKAVYISGIILDSTILTVIFMHADAANQLKEPMRSGELLLNPALLHGSALFHGTYAGGILDDSGNPQACTSTQAASADTQVKHGSHSTCK >OGLUM04G12620.1 pep chromosome:ALNU02000000:4:18696496:18699694:1 gene:OGLUM04G12620 transcript:OGLUM04G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRHVAAGAGAPAQAAGEWAAVTAGGGAAWALSPVEEVGTKQELMRRTGLPPRDLRALDPALSSAASASSCRPSAITGRDRAVVVNLDRARAVITASEVLVPSPRDPAVAPLVRELRARLALAASPTPAPSPSPPQHGMAVGMDGSISPSPASRGGEEAAGNGKDGEALGGGDKALPFEFRALEVCLEFACKSLEHETCTLEKEAYPALDELTSKVSTLNLERVRQIKSRLVAISGKVQKVRDELEHLLDDDMDMAALHLTEKLAYQSSRFDIDKEASELEDHSTRDEEGVEGGGGGDGDDETIAGGGSFSPNTDELEILLESYFVQIDGTLNSLSTLREYVEDTEDYINMMLDEKQNQLLQMGILLSTGTLVSSCAIAVTGVFGINVHISLYDSPASSAAFPCAAAGIVAGSLALYLAALLCYKRAGILQ >OGLUM04G12630.1 pep chromosome:ALNU02000000:4:18701479:18707494:1 gene:OGLUM04G12630 transcript:OGLUM04G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEQKEEGVNLCFLARSGGGGMQYHQGGSRMPPFARGGAYSRGYKQLYAPPQQQPPPQDKHEVLMEAGRLAAEYLVAKGVLPPASLQRRGVGGGGWVQLPPPPPPPPPPPLPQGTLAFYGAQNGRRRFDDDDDNPNPRSRRNRGGENNNDDSSSSYNGRGKRKFGAYSRHSDWGRDKGRSRGNSDSRSYDDEDDDGPPGYRRERRGGGRFDDAGSSMSGVAASKTEDTGESELEDTGSKVGSSSNFRKDVDPPQEVEGVDKLNKINEESNPSNSEVVEQMTNGESTSNNASCIVIDEEQTKAKYLPVPSDDKVSDEKPDDSSVLNEKIEDDETLAEKAEDDKTSDERLPGVKNNLRDDCNNLLSYCSYPNVPTRRRSIVAHRNAAPAHREVAVAEQIDLVSSEDETHMATDVTGHGSSLTNTQEGNKDGLACLEHTDTSTTCNQMVEPVRFQTEETQIGTDDLRGQKNIEQHYAVHESREENMLPPKVGVQQQVEEGMQIYNVDTPPQDEDLIASADKEKVAGVALLPSIKAEAVVAKEEDKFGQSSSFKICDLNLVGSPEVAELRNDPGLGQFSTAGCSMEPQNQQQEFRNTGNSADDTNMHAQIPLHNKVVQVIDLEDDSPIEAGACDTSKAKEENMANPAVTTDVLPGIQDGYNFAISDYLGADIPCYQPMQTDLPNGMSLNDSEGITVMDDSIYGSLSDIGFMEVWDQQPQDYEKFF >OGLUM04G12640.1 pep chromosome:ALNU02000000:4:18705521:18707409:-1 gene:OGLUM04G12640 transcript:OGLUM04G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Co-chaperone GrpE family protein [Source:Projected from Arabidopsis thaliana (AT1G36390) TAIR;Acc:AT1G36390] MAATFSAAAAAASPTYLHRPRTLAPPASTPARLSPSSSSRRPATPSLLSLRRTHAAPPPLRAAAADPKVVNGEDFPPMKDLLRLYKKAFLDGNDEALGGIESAIIAIEKERSNSAAQYESIATEITSGKDKFLRINADLENFRKQTEKERARFTSNIQVDVVQSLLTLVDSFEKVNQEITPETDKEQTISTSYQGIYKQLVETLRSLGVGVVETVGKPFDPSIHEAIAREESHQFKAGIVSHEVKRGFLLRERLLRPATVKVSTGSGTQETSSPSTEKPVEDSIEDAAV >OGLUM04G12650.1 pep chromosome:ALNU02000000:4:18708727:18715339:1 gene:OGLUM04G12650 transcript:OGLUM04G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 7 [Source:Projected from Arabidopsis thaliana (AT1G21760) TAIR;Acc:AT1G21760] MASSDVSVDVRPEFNSFDHLRSMRYIATDRPWLTLYGIRVQPVTPFSSLSSRPDLALIHQCLPDELLFEVCTVQYWYLGQILYKSLYVSRNTYIHTGTAEWQFTKTVNVVCYYRYLRFFPSGKFLYKISPQKIKDVVKCMHFRASKGDCVFKGDYVLSEDGQIELALLYPGRRYTLVRMRLRLRGTTLGANNRLDVLKILTTGVNATELRNWKGSVLELVETWDEDETHDPEVPAVTHSRGLTPFVFVPFEEADTSVMNLPVEKMDYFVPG >OGLUM04G12660.1 pep chromosome:ALNU02000000:4:18713923:18717002:-1 gene:OGLUM04G12660 transcript:OGLUM04G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQPPAHAQLGGSATFQWLCNLRCFQINLGPNTPGSATLAKAGGREAAAEEEVVLWREDARRFETPDGEAYLQYRLLAAAQPRSSSSGDGGGGGGATTPAAVMEMAHTYVPGSKRGRGLAARLCDAAFAHARERGMRVLPTCSYISETYLPRNPEWNELVITEKEPKPSSM >OGLUM04G12670.1 pep chromosome:ALNU02000000:4:18725498:18731031:1 gene:OGLUM04G12670 transcript:OGLUM04G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAIAVELKLDDSVIEIIDKTDEEDDFKGVDEGSRSEIMSVAEVIDQVLRDHKFMVAFHNGSGDYIDLGSFGFPQITLFRSNLILWTFRKRFQGRERYSEIKDKVKNTHLFAYETEYYITRNKLLFPVLQKEAAAIAAHYPCMREIDPERINEWAARASVYWMCDGIIQGDQAWEISAALSKEIKWDLQPSLQDEVRQEFIESSSKNSVSIQRFKNKYFDGQKFYPWITITASHNINPETLQDIRSKNAEASSYFLAPERSSDITLVLSDGLFDQWNNLQVLQLSYCDFSFASSPFIGCQNLRFIGLDHCKDKKEGCKQSDLRKWQFLHSLLVLDLIDTIWYQVFSEDMADLFVNLRELNIVGVDCSHIWGQLQNKIEYVDLSRKRNIKLPSTLRFFRLDNRQPTPQSTPGIELSLKGCMGLESFFMSRISNLTELDLSGTAIRILDFTTMVMEVTGLKRLFLLGCEQLRKIKWGEIGSTIRDLELLCIDTRPRIKYPQLFVDKNKSPGRLSVHAVIVDARIARSLWGPIGNMPYDVDMNIHVTSSTIYGEVQSEGTYKDSISQLSDHVNMQQQDLISAGQYHDVQLSMAGDVPMQSFPLPPTTMLSRHIEIAQGSHNLESELDLNSPFRTLAHLVNGKAESLHVHDLSTITPLPGGQWSFIRWCRIERCPKIEIVFPKYAWKFDRLETIWVSDLLMARCIWSKGSCDYPFSFNNLQHLHLRSCPRLQFVLPVWVFSFPDLKTLHVIHCSNLHNIFVLDGNYPEQITIKGVAFPKLTTIHLHDLPMLRQICDVEFKMVAPALQTIKIRGCWSLRRLPTVVAADGPKPAVEIEKDVWDALEWDGVEANHHPSLFQAPVHSRYYKKKLPRGSVLSGDFIKQMKASCFCTKVH >OGLUM04G12680.1 pep chromosome:ALNU02000000:4:18738419:18741098:-1 gene:OGLUM04G12680 transcript:OGLUM04G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQMPPSLFLYFSLFPSSPHLCGSAPLHSLSPPPPICREIRSRHPPYLPARQHPSSHRARGRREGCEVGLVKWGARRPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >OGLUM04G12680.2 pep chromosome:ALNU02000000:4:18738419:18741098:-1 gene:OGLUM04G12680 transcript:OGLUM04G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQMPPSLFLYFSLFPSSPHLCGSAPLHSLSPPPPICREIRSRHPPYLPARQHPSSHRARGRREGCEVGTERRGGRGARFHLHPSRFESNSSAPRFFREKKGDVVDDAIGWFLDGLEQVGLVKWGARRPGMDKLVQFGRKAWFVVRVLSGYEERRIRAHRLQMQQRIARAQAKKEELRKQPEQIILSEVRQMVQQMQALNQQLEEAETAIDEYFKPIDKNAKIIMDMQLEKEEKQIKEMTKVMQDQIAMQREIASKRAQATAIESKDAQTQTSDKIAEGPPKEETMK >OGLUM04G12690.1 pep chromosome:ALNU02000000:4:18749350:18754867:1 gene:OGLUM04G12690 transcript:OGLUM04G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYELLKDIGAGNFGVARLMRNKETKELIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKTADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSIQYKIPEYVHVSQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEEIMKIVEEARTPPRSSTPVAGFGWQEEDEQEDNSKKPEEEQEEEEDAEDEYDKQVKQVHASGEFQLS >OGLUM04G12700.1 pep chromosome:ALNU02000000:4:18759108:18760628:-1 gene:OGLUM04G12700 transcript:OGLUM04G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLSLAIDGGGGGGAAAAAAAAAVAKKSKVVGGGAVVVDGVGSSAICGGDRGSRVRDRMVKKAEEFDHENGMAATSSDGGGGGGGGMELVRLLLSAVAAGEAGDARTAAAALREVDRRASCRGGGDPAQRVAACYAAALAPRLAAGLRPARSSPAAPAAARAEQFLAYTMFYQASPFYQFAHFTANQAIVEAFESGGRRRLHVVDFDVSYGFQWPSLIQSLSDAAAAATSSSSHDDDDNGGGCGDGPVSLRITGFGASADELRETEARLRRFAAGCPNLRFEFEGILNNGSNTRHDCTRIDDDATVVVNLVFPASSREACAATRMAYINSLNPSMVFLIEKHDGGGGLTGGDNTTTGRSASLLPRFAANLRYFAAVFDSLHECLPADSAERLAIERDHLGREIADAVASLDHQHRRRHGGGGDHAAASWNWKAAMEGAGLDGVKLSSRTVSQAKLLLKMKSGCGGGGFRVVEGDGGMAMSLAWRDMALATATVWRRRRRRRRCR >OGLUM04G12710.1 pep chromosome:ALNU02000000:4:18764578:18780376:-1 gene:OGLUM04G12710 transcript:OGLUM04G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKQQQQAPKQKQKPKHSSTSSSSSSAGGGAASAAPRLQISSENERRLRRLLLNSGATAAPAPAPADAPAVRAESREQKARRLRGVYDKLSLEGFSSAQIEQALSALSDSATFESALDWLCFNLPGDELPLKFSSGTASSSGAGPLGTEGSVKVVSTAKDNWVPQSRESEEVQGSNERLEIIISRRREEDVTLDDGRSSQAAWIRQYMEQQEEEDDVNSNDSYTWEDHCPPSLETAEAKPSRRKKKGKQAKSSSGNSKEDLSSSDNVFPNSDIANAEGDLVDSGATGKKCESPVHMDGGSSLEKKVSKDVDETSTKEVEEEEVELDNLFFEDSSAWEAVAPEILKQQKIEKLSHDGYGHLLGNIDDIWKKGDSGKMPKAVLQKFCQKLGWEAPKYSKISEKDRKFIYAVNVLRGSTGRGKSRKAGGLTKVELTEQDKEYASVEEAQNRVAAFALYQFFADLSLRQLLIEPYASLVLRWQEGELSSSSSRVMDTEDSRRAGFVDKLLDMDANTTPHQVEDASDGATSVDSRSIEDSYSVHEKKETYLVNRTGSRSAEQVESTVLKKHLENKMKQSSYLKMLEARASLPISRFKDHFLQLLKENDVIVVCGETGCGKTTQVPQFILDDMIESELGGYCSIVCTQPRRIAAISVAERVSSERCESSPGSKDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNNDLSDVTHVVVDEVHERTILGDFLLIVLKSLVEKRSNQPGRKLKVILMSATVDSSLFARYFGDCPVINVEGRTHPVSSHFLEDVYEKMEYCLALDSPASGAYFQQHGEKWKNASSTVNNRRGKKNLVLSSWGDESVLTEDYVNPHYTTDCYQSYSERTNQNLKRLNEDVIDFDLLEDLICYIDENCPPGAVLVFLPGVAEIDMLIDRLSASVRFGRESSDWILPLHSLLAPTDQRKVFQSPPENIRKIIVATDIAETSITIDDVIYVVDTGKHKENRYNPQKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFEKMMRPFQVPEMLRMPLTELCLQIKSLHLGGIKSFLLKAIEPPKEEAISSAIDLLYQVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKASLMNENLDGSASTADNKQSDHLLMVIAYNKWSRILRENGARSAHQFCRSFYLNSTVMYMVRDMRLQYGTLLADIGLLDIPKDSLRPVDGTRKNTLESWFANMSLPFNLYARYSSVVKSVICAGLYPNVAATLEGVDPGALGGRKPSDFLSGKDRPRWYDGRREVHIHPSSMNHSLKAGQYPFLVFLEKVETSKVFLRDTSVISPYSLLLFGGTMVIQHQTGVVIIDGWLRLAAAAQTAVLFKQLRVTLDAVLKELIRKPEMATFVDNEVVRSIIHLLLEEEKAQQA >OGLUM04G12720.1 pep chromosome:ALNU02000000:4:18783231:18784724:1 gene:OGLUM04G12720 transcript:OGLUM04G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGEAPVAVVAAARPGGAAGPRGGAVRLAAAAPRRARREGGDVGARHPRRRVPVPVRPDLPLEPQRGGPRRHPRRRALRDLAPSRHQEAGRAAARVHGHRADEEAVGARGHFHRLPRRRRRAGDLRRQVLPHHPQLPRRHPQARRRAGVLVVVAVLRAPEQDRAGAVPPPPGALLRPVREQRRRRRQGPGAVPGLRPRRPRRGGVVGPPPLRHVALQVRARHREHHRRQLRHGEALLRAGGRVGAHLLRRAQRPRPGAPGLLHRRRRVRVGGGARGVREGGGRRPGGVRRVPRVAAVRRPGRLRPEPARQPRHAPVQALRARQPHARPPRAGAGGAQRHRVVTRPTTWAPV >OGLUM04G12730.1 pep chromosome:ALNU02000000:4:18786465:18790719:-1 gene:OGLUM04G12730 transcript:OGLUM04G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMEVAGMRKASSHASMAAAAADPDDFDLTRMLNHRPRINVDRQRSFDDRSLAELSISGTASRGGGGGGGYPTMMESYESMYSPGGGLRSLCGTPASSTRLSFDPHPLVFDAWDALRRSLVCFRGQPLGTIAAVDHSSDEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDAKRGGVERLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETAECQRGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAPEGKETMDRVATRLHALTYHMRSYFWLDFQQLNDVYRYRTEEYSHTAVNKFNVIPESIPDWVFDFMPSRGGYFVGNVSPARMDFRWFALGNFVAILASMATPEQAAAIMDLIEERWEDLIGEMPLKISFPAIESHEWEFVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYVGKQARKLQTWSVAGYLVAKMMVEDPSHLGMISLEEDRAMMKPVLKRSASWTV >OGLUM04G12740.1 pep chromosome:ALNU02000000:4:18827472:18831230:-1 gene:OGLUM04G12740 transcript:OGLUM04G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTRPLPLLLLLLPPLLLLLLSFHAAAASAAEEFPRDGRVIELDESSFEAALGAIDYLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIIVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRQADSLVRNLNKFVAPDVSILESDSAIKSFVENAGTSFPMFIGFGVNESLIAGYGGKYKKRAWFAVAKDFSEDFMVTYDFDKVPALVSLHPKYKEQSVFYGPFEGSFLEDFIRQSLLPLTVPINTETLKMLDDDDRKVVLAILEDDSDETSSQLVKVLRSAANANRDLVFGYVGIKQWDEFVETFDISKSSQLPKLIVWDRNEEYEVVEGSEKLEEGDQASQISQFLEGYRAGRTTKKKVSGPSFMGFLNSLVSLNSLYILICVFALLGVMIYFTGQDDTPQNFLPVMPPLARKRIPRAQGIALM >OGLUM04G12750.1 pep chromosome:ALNU02000000:4:18833289:18835248:-1 gene:OGLUM04G12750 transcript:OGLUM04G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRRKRRKERNPFNSSASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQKPKVYLSVLGAVGIGIGVPIYAVVFQQKKTASG >OGLUM04G12760.1 pep chromosome:ALNU02000000:4:18835413:18838770:-1 gene:OGLUM04G12760 transcript:OGLUM04G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPLADVHLSLRIAHGHEMGTIQTLCDRSTVGRHENFSLLHYFCCLGPPPLPPQQHASAIFQLNTTINEYRVGRVGTARQVLDEMSERSVFTWKCMDRMHAEAFKVFDPMPVRNYVWWVALFTGYARCDRNLLRPFRCLLLRRFCSLGPPPPQRHAAGDVFQSNTAINEHFRAGRVAAARRVFDEMSERNVFTWNCMVSGLIRNRMLGEARKVFDAMPVRNSVSWAALLTGYARCGRVAEARELFDRMPDRNVASWNAMVSGYARNGMVERARELFDMMPSRDDVSWLTMISGYIKRKHVREARELFDSMPSPPTSVCNALLSGYVELGYMRAAEVLFGQMQTRNPVSWNVMITGYARAGSMGIAQRLFDEMPEKDVLSRTAIMRGYLQNGSVDAAWKVFQDMPHRDTVAWNTMMDGFVRNDRLDDALKLFSEMPDRDQISWNAILQGYVQQGDMDSANAWFRRAPNKDAISWNTLISGYKDEGALSLLSEMIRGGLKPDQATLSVVISICASLVSLGCGKMVHLWAIKTGFEHDALVMSSLISMYSKCGLISEASQVFERILQRDTVTWNAMIATYAYHGLADEALKVFDMMTKAGFRPDHATFLSILSACAHKGYLYEGCYHFRIMQEDWNLVPRSDHYSCMVDLLGRSGFIHQAYDFTRRIPSDHRTTTWETLFSACNSHGEIQLGELIARNVLQARPSDGGMYTLLSNIYAAKEMWSSAASVRGFMKERGLKKETGCSWIELKGEVVTFSSNDSNHPLIEQICQEVDSISVMIEEAT >OGLUM04G12770.1 pep chromosome:ALNU02000000:4:18839969:18863270:-1 gene:OGLUM04G12770 transcript:OGLUM04G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKMPTNLRFFSSIPLFPPVMDSGKLLRSASALVGSTVSGQHLLEIKGYSHIKEVIPSTNCIVSRRFRVGGHDWCIRYYPNGIYPGWSEYIAVTLCLDGSVNQGVRAHFSFTVLNQAGEPMPASWNYYNVGYTFTSWGWEGPRTFIRKATLEGSGPLHDNCFTIRCDLTVIMPPESKGVDTESPPPPPPPPSPAVSVVPPSDLIRHLGGLLATGDGADVTFEVDGKTFLAHTSVVASRSPVLRADLFGPVGKKKRNGVIADSAGAIVRIDNMEARDFEALLHFMYTDSLPEMKGGGDTVAMLPDLVAAANRYKMGRLRLVCEDMLCGYVNVRTVAAMLAFAGEHHCHGLQKKCLQLLDDPANLREIVETEGLEHLAKTSKESGQHVLEISGYSSIKDAVSTGNCVQSRPFRVGGHGWYIRYYPNGFNSNVSDCISIYLVLDGHDYYYGGPIVRAELTLSLLDQEREPVTSYIYSHGLQIFDGYGRYRGSLRFIQKAVLERSEYLRDNRFTIRCDITVMKNPQAKDVEERVTLPPSDLARHLGGLLATGVGADVTFEVDGKTFLAHRSVLAARSPVFHQELFSLTEKGNAATGGAGVIIRVDDMEAQDFEALLHFMYTDSLPEMEGGDAAAMLPDLVAAANRYKMERLRLVCEDKLCEYVTVRTVAAMLAFAGEHQCPELEKKCLQLLEDPANLRNIVETEGLEHLTKSYPFRTIDSGQAMSTTGGSRRPPLRSASAVVAGTESGQHLLKTEGYSRVRDAIPNGGEIKSRSFRVGGHSWYIGYYPSGSNSDSTDYISIFLQLDENVENGVKAEYKFSLLDRAGKPSYSWSGKGATFFRDDGWGFRRFIKREQLEKSEYLKDDCFTIIGHRCRRRRNPTTTTTNAGASAATFVAHRWVLAARSPVFREKLFGGLGKESATTNGVVDDMEAQDFEALLRYMYTDSLPEMKGGEEAAMLPDLVAAANRYKMERLRLVCEHKLCEYVNGRTVVAMLAFAREHHCDGLKEKCLRFLDDPVKVREIVKAEGLDNLSKTMPTATGSRTPVRSASAVIAGTESGQHLLKIDGYSRIKDELPTGSDIKSRSFRAGGHSWHLRFYPNGFNSDCAECISIFLQLDYNVMKGVKAQYKFSLLDRARKPSYSRSSGKADVFLNTGWGYRTYIERGLLESSEYLRDDCLTIVCDFTVFKDLRTEDIDVDDATPPPPSPPTVVVPPSDLHRHLGVRVNIDAMKVQDFEALLHYMYTDSLPEMKGGEAAAMLPDLVAAANRYMMERLRLVCEHKLCEYVNGRTVVAMLAFAGEHQCNGLKEKCLRFLDDPVKLREIVQAEGVENLSKSYPSILKDVIAKFVATPVDS >OGLUM04G12780.1 pep chromosome:ALNU02000000:4:18869721:18875002:1 gene:OGLUM04G12780 transcript:OGLUM04G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGRYNYSLYAFISLNLKYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGFDEVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVNLNERLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVLTAAGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTQVLQLFPEVQKEQ >OGLUM04G12780.2 pep chromosome:ALNU02000000:4:18869721:18875314:1 gene:OGLUM04G12780 transcript:OGLUM04G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Etoposide-induced 2.4 (InterPro:IPR009890); Has 264 Blast hits to 262 proteins in 100 species: Archae - 0; Bacteria - 0; Metazoa - 130; Fungi - 16; Plants - 79; Viruses - 0; Other Eukaryotes - 39 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G06676) TAIR;Acc:AT4G06676] MESLASQAKPAAVLWLAGFLQAARLHRVVSFCASSRPLSARIAQCFLLNGFIFLGRYNYSLYAFISLNLKYNDIAKHALDVVKRKSLDATKALDAHTISESTEKPEGFDEVAIGIGEQVYSILLLTIFFIEVSVIGYIPYFGKPMNFLLLSLMYAYYCFEYKWNFFAVNLNERLDFFESNWAFFAGFGSPCVLPIFFFSPLTSYGVMAILYPLFVLTAAGTQAEKVIDQLKPSHGGKLQRIPVFFIAKRLTTQVLQLFPEVQKEQ >OGLUM04G12790.1 pep chromosome:ALNU02000000:4:18881727:18882386:1 gene:OGLUM04G12790 transcript:OGLUM04G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDDAAAAASASPSPLLPPAPPSAARRRRRRLLTSPNPSVSSTSTSTSSSSSSSSSSSSLSFPFAPFSPAPSPFHHRFLSPLRASAVPFSWEHRPGIPKTPARQHRGGGGGGKIYSTPPPLPLPPSLLSSKVVVADEDGGGADCFAVSDDDDDAKASRRRRLRRRVRRHHQRRPALAAALTDWLAVLSLYRSCTRSVDCLADAGQPPPPTTTPAAKAG >OGLUM04G12800.1 pep chromosome:ALNU02000000:4:18894352:18897579:-1 gene:OGLUM04G12800 transcript:OGLUM04G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYMLKSRRLVGVADAWSVDDAADGISGFPDAMSAAAHKQMVTGAEEAMLGKLELDSQSNLFSNSDDYLLSGSSKLGISASEDIDLPGKQGLPESTTDRPGSNGSSRVPRLRVLGTAGMAGFGKAVDILDTIGCLVTTSLSTDGGFISRAKTKGCPISILAFEVANTILKGATIMQSLSEDTVTYFKQVVLPSEGVQNLISSDMSVLMRIVANDKREELKIFSQEIVRFGNRCKDPQWHNLDRYFVKLESENPPQKQLKETAVAEMQKLMDLVHRTTDLYHELHALDRFEQDYRCKLTVKGNSYQKDNLPGENIEVVRIELKSQRNYVKSLKKRSLWSKTLEDIVEKLVDIVQYLHFEINASFGSSDEGELSYESTEDCQRLGPAGLALHYANIIIQIYSVVSRSGYIPPNTRDALYQGLPPRVRSALPNRLRTSSVPQELNIDQIRATMDKTLKWLVPMAINTTCARGFLRFSEWARSGTERVGRRPGQPDVVETLYHADKAKTEDYILDLVVWLHHLVNQSNRPANAKDKDKEQSTSPLTKSDLDKAQQE >OGLUM04G12810.1 pep chromosome:ALNU02000000:4:18901922:18913137:-1 gene:OGLUM04G12810 transcript:OGLUM04G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGPRGTKKGDLPLPLFFLPVGGGGRGSCCDLGRPGANLEADPTAGSLISPKPRLVSLQAGKPPKSPNPNSPSSSPATAASLLLRRLPRGRPPPGGASLVGLSGRNSLTVGSTCHDKAKGQLVGSCKCCSKLLIQGLERLQAPSIEKAWRSLRNTQVARKNYLRPGLSGKVKDCDSDHAHTYGTSSSYNVNKMDSVSRNRNPTQESMHQTTESGTMEKNSSHLPAGTKSCTRTYLNNHVVQADTITTTNQSLARTGPELFKTAPFIDNMCDDAKLDAMDEDELLASIDVDRIVMEHYQATNTPRGSSKSPLEKCNFNGFDENNLPQELSIMCDHGSKLAFCPVAKSHLLEMKDNLLAISHELIDGQLSPQQSDDLHQKRALLKKQIELLGEYTARLTQDEERQQSHSMASTTAHQGHHPTSILSSSFVKDTNIFQSPIYTRNEPGESGLCFSSAPYSYMDGLSMPLPSVQRDYTPRAIEISYTEGSGDKQWSSTHFAWTKELEANNKRVFGNRSFRPNQREIINATMSGNDLPALICNGVTLVVSPLVSLIQDQIMHLLQANISAAYLSASMEWSEQQEILRELMSPTCTYKLLYVTPEKIAKSDALLRQLENLYSRGHLSRIVIDEAHCVSQWGHDFRPDYQHLGILKQKFPQTPVLALTATATASVKEDVVQVLGLANCIIFRQSFNRPNLRYFVWPKTKKCLEDIHNFIHANHNKECGIIYCLSRMDCEKVAAKLREYGHKASHYHGSMDPEDRANIQKQWSKDRINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDSQLSSCVLFYNYSDYIRLKHMVTQGFAEQGTSAPRGGSSQEQALETHKENLLRMVSYCENDVDCRRLLQLIHFGEMFNPSCCAKTCDNCLKELRWVEKDVTNIARQLVDLVMMTKQTYSTTHILEVYRGSVNQNTNHKKSGDLLSGKHNVVLKFPTPEKAPKMGVLDESSVPRINKTNQQSQVDGVSLAAELYEALQCLRTQIMDENPQLLAYHIFKNETLKEISNRMPRTKEELVEINGIGKNKLNKYGDRVLATIEDFLARYPNATRKTSSGGSNEHSEAVKKRRGFSVTNTSTNCDDFEERTVQSKKRAAKTRTRQEISDAASIVQDVRYIDLELDGCEQVNEVPYSVQKPVASGRVLPAWQSARIA >OGLUM04G12820.1 pep chromosome:ALNU02000000:4:18913231:18913440:-1 gene:OGLUM04G12820 transcript:OGLUM04G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRLWRRVHRPDTRLHPRLHPLSQAEERSSFLAFLSGQAVEGILHLGSLAEILEQATKQTEVA >OGLUM04G12830.1 pep chromosome:ALNU02000000:4:18915185:18921757:-1 gene:OGLUM04G12830 transcript:OGLUM04G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDLVEIGMKEEDITTMLFGKKVIELTEDAFDGSKEERKIFEELFCRTGTSGASTRHPRRDGKSSSLRDASKELISTNTPSSSASNHKSARCRVVESFTYGNLSSYLVFCNNDKQKMQKTVGFPDMASASDLVIQWTPPSVERVYTRRAVARRNQRAKLCSVLDLERVDITSVRQRRDRGQHKYGHSHAVLWDHLRLHANLLMMDAGWKIDGKERWDKSKVDHIYESPDKVMRLFSLPRAWKCFGQWLLINSSGFGGNDYGRIWFNIHDFLSDLKNTLLCLEYEVRLPKQSLSFLNQWQLLDPFMAVVCIDKKVRALKKGVALKAVNSTVTFVSCIESELSNPRNADNPLSLNHSNNFNSTHPRSRKNLLPLLQSDDLDGNSSRSKHPSTVGKRKSSQYKMDERLPIMAEIQEKSIRSTAHRIVMGLQDSTAFPGSRTSLNMKNKPSYSKSNLTQSIQDKSDPLYFPPNYSPSDLVENAEINDPSFHAYDMIESANVDNSADSPDELLLGANLLFSHEVDEMLLDDISNEHYCTAAVSEPQAKNIDLEDGPSGPSSVVSEKDIGLKANKDVINNEQHDDGVVAESQLANTDVMDRPAGALSFLPTMDTVLEGNEMSSEEMTKGGQLSCEGTNNAMVISEPQLLFVSPHDGTLSFMNDSMCSQEMRSCLNASNGTMGTNVQLGIQSSVYEASLIRGFLYLDNEGSPICWTVLNPEPPRQLICSEPISKASELHGEMNMECGMLTSGPKQTSKLDSSKNNRKRSKKAANIEDKGSQKKQKVNDVPVSYCAIGQYMDHTTENPAGCLISNDKEQIGSASTEQVSLNLLPGNSNDMNQQVPIKNSDNDQARVRIGSAEKIVPEKTPKKDVKRQKASSRHKCKFDDNDLLVTAVIHKLTARYRNRFSRRLAKKSGFKRLPRCRWKSEERRDRKTFPKGARTVLGKLLEMGIVCKVNILQYRRPGSKNVLKDGNITKKGIRCRCCDMVFTMSMFKYHAGLRQEIPSLNLFLGSGKSYTLCQLQAWSIEHKARKERAKCTMPLQADENDDTCGLCGDGGELICCDNCPASYHQDCLPCQDIPDGSWYCYRCLCDICGEVINLKELRSSLPALECAQCERQYHAKCIYGKLLCNEEGGPCAWFCGRRCQQIYMNLRSRVGIPIHTIDGFSCTVLRNNGDQRVSTAADIAILAECNMKLVIALSIMEECFLPIIDARTGIDIIPPILYNWRSDFVHLDYKGFYTVVLENDDRIISVASIRLHGTVVAEMPLIATCLENRQQGMCRRLMDYIEQMLKSLKVEMLLLSAIPSLVDTWTMAFGFVPIDDLDRKNLSRLRLVSVPGTVLLKRNLYECPGTNAGELRNPEPFKVYSRSARKNRDAGGGGGGGGSKENLAFVDRSVAVTAADAGQQRPFEDRSPVSCAVDSLADELRSLKINSSCENRRRLGKTAVNSASTLYERPVGC >OGLUM04G12850.1 pep chromosome:ALNU02000000:4:18952079:18952614:-1 gene:OGLUM04G12850 transcript:OGLUM04G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVVVQGRVGETSSATTLRATVGAMENNDDLSVDVRRRRKRRCKVVQVKVDLVGDGSPRGGGGLESGWKKISSLTTAKDADRGGGGLEVREAEEDYNVGSGPMGGRGLGIRRSISFQLASSRLVLTGRRWMKVDYLAACGIGLLEADEDGTSGDSLR >OGLUM04G12860.1 pep chromosome:ALNU02000000:4:18958367:18961717:-1 gene:OGLUM04G12860 transcript:OGLUM04G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT1G72090) TAIR;Acc:AT1G72090] MEMEDIEDVLGPAGLAGGGAPPGLRLPLAAVAVKPKRPRSSRVAQTRPQPEARIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMITLISKCKSANKPLVVAGCVPQGSRDLKELEGISVIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIESLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNGIVAELPADRSTMLRIGMTNPPFILEHLKEIASVLCHPCVYSFLHVPVQSGSDAVLTAMNREYTVSEFRRVVDTLCELVPGMQIATDIICGFPGETDEDFSQTVNLVKQYQFPQVHISQFYPRPGTPAARMKKVPSVEVKKRSRELTSVFESFSPYQGMEGKVERIWITEIATDGVHLVGHTKGYIQVLVIAPDSMLGTSADVKITSVGRWSVFGEVIEGSVVAKETQKHNHSELQEEYRPSQVEEATCCGTDSCGACTCSDAAQQCNPGPERSENSTPQSCGDATHQEAVQSKLVRRNVEGAVKSSESDTAKQVGEIQRLNVAARRFPDVDTILWGGLAVSFATTVTLIALLAYKISSTPSY >OGLUM04G12870.1 pep chromosome:ALNU02000000:4:18962174:18963941:1 gene:OGLUM04G12870 transcript:OGLUM04G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFYRGSLCFFALSSLHSTHWNWKLTSVRPMPRRAALAMMNVVAIGLVLSTLAAAGVWSPAPTPPPSTAGEHVVREGRRVVIVEYEREHPLYPGDAVKETHVLPPDSLDGGEGRLTDKARGAVSDAADRVADAAEGGKEKMSDAKESATGRVFGAVKRCKDRLCGAAREAEEGAKDKASAGEHGAEEAARGAEEALSHAKEIAEDKVFDAASKVKETAVGAKDKVSEAAGKAKERASHVQHGATETVRNAKDKVSHAARHARESARERAMDAKDRVSDVAERAEQCTEDAAGRAARKAARAEEAVKAKAGEAASNLSDIARRARDVASDASAHLLGGGPREAARTATAVMHLLGFAAAYGASLWVTFVSSYVLAAALPRQQLAMVQSKLFPVYFRAVAYGVGLALAAHLLGRERSSLAARAQSVNLLAALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDMADIVDPPTVTVAAGNTATTTTVPTAAARKPAGNNNMAAKSAAAAAPVDEQTSKSRVATLNQRLKKLNGYSSLCNVLCLMALTWHLVHLARRLQMASVC >OGLUM04G12880.1 pep chromosome:ALNU02000000:4:18988470:18999159:-1 gene:OGLUM04G12880 transcript:OGLUM04G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G21730) TAIR;Acc:AT1G21730] MSSSSRPGRASISPFRSRRTSAAGGGAGAAAAAHPPPARTSSGGRPSTPSSSSSAAGGGRPTTPSSSSAGGRPTTPSAAFARPTTPSSGRPTTPSSASSRAAGRAPPVAAVDAANAKENIMVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDIAAQHVVSGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPIGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGENDEGEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHIEIKASQNKIIDEKSLIKKYQKEITCLKEELQQLRRGMMGNGYIPPTDQEDLVSLKLQLEAGQVKLQSRLEEEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKASLRRRHSFGEDELAYLPDRKREYSMEDDDVSLDSEFSVEGKLDSNNPDESLRFDRRNRRRGMLGWFKLKKSDQLSGLSTSVDSESTASGSPSFSRSSQQKHPLLDLKDGRRKSMTRKGDDPALTDSFPGRTQAGDLFSAASRARHHLPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDSQIQEQIEKLKNEIDEKKSHIRVLEQRMAQSLETTEDPAIRTEMSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVSENAELVETVAQLRQEIDNLLKTAKNEDNVASMQSSEPSSTSSNPRDLANEVASHSKMPSRTTEDHTESPLKSQVLLQAAEIENLKLDKLRLAEEKDGLEIHSQKLAEESSYAKELAAAAAVELKNLAEEVTRLSYENAKLNADLAAAKDQTRSSIQSDTKHCDQENGIFVEELQKELVASCQREAVLEDTLSQRARRESELLKVIEDAKCREHDLENELANMWMLVAELKKENSQEDLFQFKATQNGYHSSKSDTGRMMSGMEASDNRNWDGVSVSTYEEAKAAYNVQRRRCKELEGIVSRLKGEDLRGLDVKVLEELQNFHVEALSRICQEKGEVTALQLPSNSSADVDPFTPHHTILQQPKQH >OGLUM04G12890.1 pep chromosome:ALNU02000000:4:19001194:19006108:-1 gene:OGLUM04G12890 transcript:OGLUM04G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQEAKAAGSGRSVRCMASASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFKDYAGAHAKLSNLGAKFNPPELYQPDAPPTTGAADLQTAAHTPQGPGHE >OGLUM04G12890.2 pep chromosome:ALNU02000000:4:19001728:19006108:-1 gene:OGLUM04G12890 transcript:OGLUM04G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRLAALHAAAPSAFSSTSSASHGRPAARSSTTALLPVALPRAAATLRAAPSRLLPQEAKAAGSGRSVRCMASASAAVASGAAELKAAREDIRELLKTTHCHPILVRLGWHDSGTYDKNIKEWPQRGGANGSLRFDVELKHGANAGLVNALKLVQPIKDKYPNISYADLFQLASATAIEEAGGPKIPMTYGRIDVTGPEQCPPEGKLPDAGPSAPADHLRKVFYRMGLDDKEIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPTFKVYAEKYAEDQEAFFKDYAGAHAKLSNLGAKFNPPEGFTLDG >OGLUM04G12900.1 pep chromosome:ALNU02000000:4:19008197:19011756:1 gene:OGLUM04G12900 transcript:OGLUM04G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT2G28605) TAIR;Acc:AT2G28605] MTNRLLPTCASVYVSHTAITRGGAAAAGGDEHRTATNKPMPLVVGCPRRGPPPRLAGRAQRPPPPHSVAPKRASSSSSSTTPPLLTTRRAASAASLLLAALPPFPASSPQLPVASAKEDDEAEAREGEGELELERYTDRDEGFTLLKPVSWPKVEKAGATALFQQEGKGSNNIGIVVNPVRLSTLTEFGTPQFVAERLIQAEKKKESTKSAEVISAGERSGHDDLTVYEIEYLLDSTRGGMKRILSAAFVASRKLYLLNIAHSDSQEKPLDSQTRYVLEQIQDNMDVAAHIRKLFRLKVHISIDEHPKYSAFQRVLSCLLPEESDTLSFPS >OGLUM04G12910.1 pep chromosome:ALNU02000000:4:19015371:19020701:1 gene:OGLUM04G12910 transcript:OGLUM04G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAIDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLRYTGPASLVWGWVVVSFFTWFVGFAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAFAGSQVLQSIILLCTGTNKGGGYLTPRWLFLLMYIGLTFIWAVLNTFALEVIAFLDLISMWWQVIGGTVIVIVLPLVAKTTQPASYVFTHFETAPEATGIRSSAYAAILSLLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFSYLFDPSNETAGTFVPAQILFDAFHGRYGSSAGAVALLFVIWGSFFFGGLSITTSAARVVYALSRDRGVPLSSVWRRVHPRHRVPSNAVWLCAAACALLGLPILWINVVFTAITSIATIGWVGGYAVPIFARMVMREEDFSPGPFYLRRASRPVCLVAFLWICYTCTVFLLPTAYPISAGNFNYAPVALGACLGLIGLWWVLDARRWFKGPVRNIDDPQKDGGGDGVRNNGNKV >OGLUM04G12920.1 pep chromosome:ALNU02000000:4:19031541:19038142:1 gene:OGLUM04G12920 transcript:OGLUM04G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIRKIIRPNLQHQRIGTLFYTYTSFQNAHLNKNLVLHFTSKAPLEKMINGHLGPNSD >OGLUM04G12930.1 pep chromosome:ALNU02000000:4:19039336:19041473:-1 gene:OGLUM04G12930 transcript:OGLUM04G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione S-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G77290) TAIR;Acc:AT1G77290] MQLYHHPYSLDSQKVRMALEEKGIDYTSYHVNPLTGKNMNVAFFRMNPSAKLPVFQNGAHVIYRAFDIIQYLDRLAVHLSGEIVPVNTEVYQWMQKVDSWNPKMFTLTHTPIKYRMFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKLKDPDIMKQSEEELSKLLDDAEAQLNNGKYLAGDEFSPADSVFIPILARITLLDLDEEYINCRPRLLEYYTLVKQRPSYKVAIGKFFVLHYIVYKYLGAGELEELSLLVSEFQDKSEPGRGRKRKPKSSGNGNMEESLKTKFLPPAMEVEICSHDYFSGEIRTHILDSLRSSKAGSEEEEKRAKEQWAWGHEGMLKPLSNPQMRIGDGVMSFPDASQAYCSLVLLPLPFVRKIYELDA >OGLUM04G12940.1 pep chromosome:ALNU02000000:4:19056786:19063061:1 gene:OGLUM04G12940 transcript:OGLUM04G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVMSAADDAGAASGREDPPPAVVLVSAGASHSVALLAGNVLCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAAAPGITSVICGADHTTAYSEDEQQVYSWGWGDFGRLGHGNSSDVFTPQPVKALQGIKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLVPEKVSSVEGEKMVLIACGWRHTITVSSSGSLYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSSISQISGGWRHTMALTSDGKLYGWGWNKFGQVGVGDTDDHCFPVQVKFPEDQKVAQVACGWRHTLAFTEKKNVFSWGRGTSGQLGHGEIVDRNKPVMIDALSPDGPGCKKLEPSTAVPFAAKVWVSPSERYAIVPDEKVPNSGEGASRGNGADANVPENDVKRMRMHS >OGLUM04G12950.1 pep chromosome:ALNU02000000:4:19067545:19070601:1 gene:OGLUM04G12950 transcript:OGLUM04G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRPGGEAAAAASGRGGPRQRAGEAVAGRSGRWARPQPGQAVSRARRRPAAGWGRKQARWQTEHGGGPDNDVDEEDPDDDVDEAAARQNGEHVNLCNHKGKRMMDDFTFPTTAAAAAAADTAAAAAAEPLRLHHHHHRRRGRLHFAASPLWFPSSCPVAAAPPDVPVADDDAAAVASAVKDVDVVVVRDAMVGKEQEQEDEEEGGGGGGGGEEEAMSDAGRRREEEATTAAAAGTGELSRGDVDGGGGGAGRDAEEKMDLLWENFNEELHHQALHQRVGSCPRADARAAAAAAGMMELSPETSDAESEPAAAAALRGHVGCAPMLRPSSRAGAGGYRRTATSWVLLMKIFRRLFVIEKTISSSAAASASGRHGSARR >OGLUM04G12960.1 pep chromosome:ALNU02000000:4:19072869:19075439:-1 gene:OGLUM04G12960 transcript:OGLUM04G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04290) TAIR;Acc:AT1G04290] MDPEAVRRSLEPTALSKEIASPASASLRYDAFALTGVRIDAAEHGRLLCSFVVTPRIASPAGYLLSGVTATLADQLGSGVFLSSGVGTSGVSLELNLSYVDVASIGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLIAQARHTKYLAVSSKL >OGLUM04G12970.1 pep chromosome:ALNU02000000:4:19075558:19089950:1 gene:OGLUM04G12970 transcript:OGLUM04G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLASVEAYGLLARSIFPIEHGILTHDGSGQTCGNGHTKEAVKDIAHERSNQRTWQSSPIREGKNDAHAAKTPCRAPPPPPDDP >OGLUM04G12980.1 pep chromosome:ALNU02000000:4:19077148:19079933:-1 gene:OGLUM04G12980 transcript:OGLUM04G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL07] MDVNLVLSFALAILISSSPAAVGVDATEELKEAVLTLDAGNFSEVVAKHPFIVVEFYAPWCGHCKQLAPEYEKAASVLRKNEPPVVLAKVDAYDERNKELKDKYGVYSYPTIKIMKNGGSDVRGYGGPREADGIVEYLKRQVGPASLKLESAEEAAHSIGDKGVILVGVFPEFDGTEYENFMAVAEKMRADYDFFHTSDASILPRGDQSVKGPIVRLFKPFDELFVDSEDFGKDALEKFIKVSGFPMVVTYDADPTNHKFLERYYSTPSAKAMLFVSFGDDRIESFKSQIHEAARKFSANNISFLIGDVADADRVFQYFGLRESDVPLLFMIASTGKYLNPTIDPDQIIPWLKQVLHAHSFLTHYGNLTPYVKSEPIPKANDQPVKVVVADNIDDIVFNSGKNVLLEFYAPWCGHCRKFAPILEEIAVSLQDDQDIVIAKMDGTANDIPTDFTVEGYPTIYFYSSSGNLLSYDGSRTAEEIISFINENRGPKAGAAAAVDEKTQIDAVEEEDTDDLYTAYNRDY >OGLUM04G12990.1 pep chromosome:ALNU02000000:4:19080585:19082645:-1 gene:OGLUM04G12990 transcript:OGLUM04G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13600) TAIR;Acc:AT2G13600] MARPHHPGLLHLVSHLRASAPLADLLRSAPGLRAGRAAHARALRSPFAGETFLLNTLLSAYARLGSLRDARRVFDGMPHRNTFSYNALLSACARLGRADDALALFGGIPDPDQCSYNAVVAALAQHGRGGDALRFLAAMHADDFVLNAYSFASALSACASEKASRTGEQVHALVAKSSHGSDVYIGSALVDMYAKCERPEEARKVFDAMPERNIVSWNSLITCYEQNGPVDEALALFVRMMKDGFVPDEVTLASVMSACAGLAAGREGRQVHARMVKSDRFREDMVLNNALVDMYAKCGRTWEARCVFDRMAIRSVVSETSMITGYAKSANVGDAQAVFLQMVEKNVIAWNVLIAAYAQNGEEEEALRLFVRLKRESVWPTHYTYGNVLNACANLANLQLGQQAHVHVLKEGFRFDSGPESDVFVGNSLVDMYLKTGSISDGAKVFERMAARDNVSWNAMIVGYAQNGRAKDALLLFERMLCSNERPDSVTMIGVLSACGHSGLVEEGRRYFQTMTEDHGIIPTRDHYTCMIDLLGRAGHLKEVEELIENMPMEPDAVLWASLLGACRMHKNIDMGEWAAGKLFELDPDNSGPYVLLSNMYAELGKWADVFRVRRSMKHRGVSKQPGCSWIEIGRKVNVFLARDNIHPCRNEIHDTLRIIQMQMSRMSVDAEIADDLMNFYSEACG >OGLUM04G13000.1 pep chromosome:ALNU02000000:4:19083343:19089948:-1 gene:OGLUM04G13000 transcript:OGLUM04G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVAAARGMVSSPRGRRSSPRGSGSTARSIQMSHVCCLLQSAHTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERVASCCLSGEQEKMFKRLRCFEDCRRVRALGVRWACKRWGPHVSHHVPLTSFQRIPIRMKGYHSICLISPFPTVDEWHEQPAAIGVQWVTIDGQIVGAWINLV >OGLUM04G13000.2 pep chromosome:ALNU02000000:4:19083343:19089948:-1 gene:OGLUM04G13000 transcript:OGLUM04G13000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREVAAARGMVSSPRGRRSSPRGSGSTARSIQMSHVCCLLQSAHTHCHLDLSSFLISARLELKDLSKAINLVQTRRNLVENDRVLLNPAHRAQQEYERVASCCLSGEQEKMVGSSFHFQNGKVDEWHEQPAAIGVQWVTIDGQIVGAWINLV >OGLUM04G13010.1 pep chromosome:ALNU02000000:4:19093014:19094166:1 gene:OGLUM04G13010 transcript:OGLUM04G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKSSWEALKEFWVRICTKRSKTEYQENRRTEEEIEQIEHEQANGYVNLVLVSLGGVFWSGARAAVGKLKNPYVMSAANAYINFALLSMLIGVAAGSFPRHFKCPLALSGNGVLQGLLFNVLAFNIESFTSLPPEVFKYTPAMDERTIAIVWSVTAGISALIVILVWTLATEDPVCVLVALRLMWYHIARMIDAIRRKKEEAITWWRRPRENRSTGRRSRMHQRLLGCTNF >OGLUM04G13020.1 pep chromosome:ALNU02000000:4:19099525:19102451:1 gene:OGLUM04G13020 transcript:OGLUM04G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDDDEQVAAAAALLMDAAPAELAESPSKPGDDDGASSQATTTAADDDGMVEEEKPDSVLSTLLDYVPDCGLFLLLWVYLLDWMRRFALRYTNGSTWFSTFAALVMAIPMTEFFLINGMLYLDEEEPPPLPPAAGTRELIAAELRHVFTKPDDSIPIETTQEKSDRCFLRGLLVLVWLIAIDFGRRSLFTNDFGEVDILLAVPIVMWVILTSTMFLVMAGLYIEGLLPT >OGLUM04G13030.1 pep chromosome:ALNU02000000:4:19103858:19106734:-1 gene:OGLUM04G13030 transcript:OGLUM04G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26540) TAIR;Acc:AT3G26540] MAAAAAAASTASSTSALVAAGRLFAALDSLPPSYSSSQIPSAVYASLLRLATSRRSLAAARRIATHLASSTAPSTSTSTSHSFTATSTFLFNRAVESLAACGSLTDARELFDAMPRRDGGSWNAIISAYSRGEDPAEAIFLFSAMNSRGVRPKDVTLASVLGCCAECLDLRGARQLHGHIAKRDFQSNVILGTALVDVYGKCFALSEARRAFDGIPKPNDISWNVIIRRYLLAGMGDMAIDMFFRMIWAGVSPLVYTVSQALLACRDNGALEEGRRIHTFVLRHGYEQHVHVRSSVVDMYAKCGAIDLAQRLFNLAPVKDIVMSTSIVSGLAACGRITDAKRVFEGMEEHNLVSWNAMLTGYVRSMDLTSALQLFQQMRQETKELDAVTLGCVLNACTGLLDLGKGEEVHTYAFKSGFISYPFLKNALLRMYSKCGCLRSAERLLLFEMGSERDSYSWNSLISGYERHSMSEAALHALSEMQYEATPNQSTFSSALAACANIFLLNHGKQIHAYMIRNGYVIDDILRSALIDMYSKCRLFDYSMRIFEAGPSQDVILWNSMIFGCAYNGKGEYGLELFFEMSKQGIAPDSVTFLGALVSCISEGHVGLGRSYFTQMTDEYNIVPHIEHYECMIELLGKHGYMVELEDFIGHMPFEPTTAMWLRIFDCCREYGNRKLGERAARCINDSNPLTPVRFEISPDYKYSDDDSDESMSVNNWLAMRKKYAIG >OGLUM04G13040.1 pep chromosome:ALNU02000000:4:19107831:19109310:1 gene:OGLUM04G13040 transcript:OGLUM04G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVIDSASFSSEIEIQVQLHISGALDYMRPNTNGSLVGMVKMTTGNPRCPPQNQNIHSLRSGHRVPVDVLPPDGKLLASFRTSLGSFLAAHRSPLPREECGRRDEGPHGPTRAPLPDLHRGREQWRAPRPGPWPVDAHNLVIHLDNARVAEQHPREKKREEKKETRHVAAQASELCSLATARLDGCFLQPQIGSARRAA >OGLUM04G13050.1 pep chromosome:ALNU02000000:4:19126822:19133678:1 gene:OGLUM04G13050 transcript:OGLUM04G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRDFTRGRCSRSANECRFLHHSPLEDCAIVCQDFLRGRCDRKSCRYSHVMAHPMPPPMRDIPMQYPDMVYMPPPAPLGVPMMMPPPSAPAAFSGNNYGVEVCRDYLKNMCNRESCRFAHPDLNNEFAVILSEENAIDLPVAFTIHLQAQILLDKVNIECPALLPLLPLCCC >OGLUM04G13060.1 pep chromosome:ALNU02000000:4:19134309:19135351:1 gene:OGLUM04G13060 transcript:OGLUM04G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVETETGRDESEAAAAAASAMDDGPCGEREGGDAAAKAVGEKDAGEGDEPKEEEEEDGRDREEEEEAAAKRGWSEIRLAIEELSAVDVERRGGKPPPPSPPPPTLTFLALSHLLLQVLDKIGPTMAVLRLDVQRNIEFFIITIS >OGLUM04G13070.1 pep chromosome:ALNU02000000:4:19140544:19142496:1 gene:OGLUM04G13070 transcript:OGLUM04G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSAFGGRGGAGRVKNKSPSAVQITAEQILREARDQRDAAEFRPPKQELAGGDELSEHRLRRRKGFEDMVRRAASRSAWVRYARWEESQRDMARARSVFERALDAAAHRDHTLWISYAELEMRNGFANHARNLWDRAVSVLPRVDQLWYKYIHMEELLGAVANARQVFERWTAWLPGTVAWKSFVRFELRYGEVERARGIFERFVREQPRPSAFVQYAKFETKHGEVSRARRVYEHAMEVLDPEDEDAELLLLSFANFEQASKEVDRARAIYRFGLDRLPKGKSEELYSNFVAFEKMFGDHKDIEDTVMTKKRILYEDEVTSNPLDYDSWFDYLRLEESMGNKDRIREIYERAVTNVPPAEEKRYWKRYIYIWIMRAMFEEIDAQNIQRTRAVYRDCLKVIPHKKFTFAKVWLMAAQFEIRQKNLKSARQILGNAIGIAPKGKIFRKYIEFEMQLGNIDRCRILYEKFIEWDPSNCYAWLKYAEMEKSLHETDRVRSIYELAVSQPALDTPELVWTALLQFEMDENDFERTRQLYEELLARTKHLKVWISYAEFEAGLGDASCQVEQVRRCRAVFQRAFDHFSSATTESEVPRALLEAWLEKETSFGVLGDVASVQHIATKGIKRKRSMAILEAAYNWKMQKVQATNS >OGLUM04G13080.1 pep chromosome:ALNU02000000:4:19150637:19153962:1 gene:OGLUM04G13080 transcript:OGLUM04G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITCAPPPRSSMLLGPSKPSRPAPPSALRVPGAARVKNKRPSAVQITAEQILREARDQRGAELRPPKRKIVDSEELSEHRLRKRKEFEDMVRRAASPSVWLKHARWEESQLDMARARSVFERALDAAAHRDHTLWLNYAEFEMRNRSVKHARNVWDRAVAVLPLVDQLWYKYIHMEELLGAVSNARQVFERWTHWARGTAQRATAVAWKSFAKFELRHGEVARARDIYERLVREQPRASDFLQYAKFEAQHGEFCKARQVYEQAVDVFDPEDKDAELLLLSFANFEQAHKEVDRARAMYRFGFDRLPMGNTKELYSNYLTFEKMFGDQNSIEDTLMMKKRLLYEDEVTNNPLDYDGWFDYIRLEESLGNKDKIREVYERAVSNIPPAEEKRYWKRYVYIWIMWALFEEIDADELEKARSVYSKCLKLIPHRKFTFAKVWVMAANFEIRQKNLKSARQIMGNAIGIAPKGKIFKKYIEFEMKLGNIDRCRILYEKYIAWDPANCYAWLKYTEMEKNLRETDRARSIFELAVAQPRLDTPELLWKEYLNFEKKENELRRTRELYERLLDKTKHLKVWISYADFEASAGSSNGCEKSRNEQVQRSRAVFQRAFDYFRNSASELQEEVSILLKEWLDKEVSFGDVGDVNLVQSKMLSNVMRKRPMPPKEHSSARYGLFSIATCRLCLYYISWEKALINRAPKLRG >OGLUM04G13090.1 pep chromosome:ALNU02000000:4:19158166:19160708:1 gene:OGLUM04G13090 transcript:OGLUM04G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQGFGPGGSPKSFRYPRADFDLESGIARKGLRKPKNHDAPGLLTSTLMRIRYFYEAHPVAVAFILLSFGLSVLILLSVYETRFRMMRGSSGEVGEYPLPELRNLVMVAGHSIYTSESCGKIDREDSWFLEPYQKHPGQAATFLAHIKEGVGIAARDEKALLLFSGGETRKDAGPRSEAQSYWTIADSKGWFGNDESVRRRALTEEHARDSFENLLFSVCRFRELTGSYPQNITVVSYDFKEERFAQLHRSALGFPEGRFFFLGTPATLTARESAVKGEAAVRSQFQEDPYGCLGSLHMKRLKRDPFHRAIPYPNGCPELKGLFSYCGLVPYPGQLPWTQ >OGLUM04G13100.1 pep chromosome:ALNU02000000:4:19162856:19164298:-1 gene:OGLUM04G13100 transcript:OGLUM04G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL20] MPRSLGYGHGHGHELRRRRLLPVASAAAVLLLLALLIILPSTPPGGPRASSDPASLLRAAIAAHPEPGSYARPCAYHLSLSLHRLRAAAASLDSGDHPAALHLASASLQYQYDCSHLLSLPAFPSHPITSRFLASLAPPRPGAAATTKPSSAYAYAAAFPATLRAHATVCNASPSATTQRCDYSTVQAAIDAAPNHTAGHFVIKVAAGIYKENVVIPYEKTNILLVGDGIGATVITASRSVGIDGIGTYETATVAVIGDGFRAKDITFENGAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCRITGTVDFIFGNSAAVFEECVIKTVPRAEGARKSARNVVAANGRIDPGQTTGFVFWNCTLDGSKEFLALFRAKPESYRLYLGRPWKEYAITVYAGCYLGKVVRPEGWLPWRGEFALRTLYYGEFDSRGPGANHTARVEWSSQAPEQLVGVYSVENFIQGHEWIAY >OGLUM04G13110.1 pep chromosome:ALNU02000000:4:19164469:19166953:1 gene:OGLUM04G13110 transcript:OGLUM04G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G77122) TAIR;Acc:AT1G77122] MDLVAGGVIRGLPSSWERIRSPRTPLFLSSWASRRRVAFAACSSCPLVVCRNASAVVPFAKKKRKGGSEEPPDEEGGDDFVDEMEGEDDDEEEEEDVDDDDLLDDNEDDDDDYSFEDDFESDDEQDLHVGDGGAGGGISLAGTWWDKEALALAEEVSNSFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAFTIAYRAKMDDAESAGRIPQNISLEVSSPGVERVIRIPDDLERFKERAMYVRYTITSDGGEGMTPQEGDGVFRLISYDMDLCECTWGIADVKINRQQAGKGRPLSKKQREWRLQTPFESLKLVRVHSDC >OGLUM04G13120.1 pep chromosome:ALNU02000000:4:19167542:19168021:1 gene:OGLUM04G13120 transcript:OGLUM04G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERSYVTPPPPPPPPPSPTTHVTVIVIVVPIVGVICLGLLAALLFVVFRRRRRARRDEEEEEAKVEEVEDVEVKVTEHVRIVEGVVGEAGVAGVAAGGAIGCGGGGGGGGGISGGAAAVVAEAVAAGAVVVDDEIKVEEHVVKVTEASARRDHHDHE >OGLUM04G13130.1 pep chromosome:ALNU02000000:4:19172308:19172904:-1 gene:OGLUM04G13130 transcript:OGLUM04G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIHGHPSLSTTSWRSCSCSWRTMCSWTSTSSVTSSTLSISPDGPATTCSSARTWWATSTSSTSSTVSSSAASALRRRRARASRNSPARRPRNSSPAIGTTTITTMLFGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGQCGGGGGGGGSTRQNDTNSELPLAIRAQIQFRETVVKRVGLNL >OGLUM04G13140.1 pep chromosome:ALNU02000000:4:19183880:19184569:1 gene:OGLUM04G13140 transcript:OGLUM04G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKRQSESFWRVEPPPPPPATRARPPCSSAHILPPPPPPPYVPPHLLPPSPAPQQWYDHPPNYHPPHTPAPAAAPAPAPGPYIPPHHPHHHHPPTPAPAPSTTPGGHGGVPPYYPPPPVTPTNYYPSPAPPPPSRHVVVIAVVVPIAGLLFLGLVAGLFLLARARRRREAEEETAAAAVVVDDVEVSSSHHVHAVAHVVDGQNVVDITDEVEVHEHIVRHDHEHTTS >OGLUM04G13150.1 pep chromosome:ALNU02000000:4:19196029:19202589:1 gene:OGLUM04G13150 transcript:OGLUM04G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWWPRKSRSKAKGGGKPPGAGAASAASSPRKSLDLVDLSASPSVTPRAREKARSLDSPSAAAPRHGGGGGRCGGGGFGEVGYKLPVPVGDAWPGQGQGQEPELEPAPVRFEENGDVVGGVAGDASSEELSVCSHDSSDEATDQQICRSTDPASFVRGRNMPSDSHKILNEDNHFMSYSMPREHRKFFEVPVTNMGELHLHCDDPSTSETSSRGRMLPEDFLAPRTRSLSPGPKGHTFAVNNSKVTSKNDGWIEEPTSSFTSSSSSCYLLTSSPISHCLLASPSRSHYLLAIRITMEKRQIVRERENGQFCAIKEVQVISDDPHSKERLKQLNQEIDMLRQLSHPNIVQYYGSEMTDDALSIYLEFVSGGSIHKLLREYGPFKEPVIRNYTGQILSGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFAEIRSFKGSPYWMAPEVIMNGRGYHLPVDIWSLGCTIIEMATAKPPWHKYEGVAAIFKIANSKEIPEIPDSFSEEGKSFLQMCLKRDPASRFTATQLMDHPFVQDHPAVRAAKSGALRNAFYAPADGRHATSNREFSRKSITPLKDIGVSARDFTGFSTAVPSPHTASSPISVVRTNMSLPVSPCSSPLRQFKQSNWSCLPSPPHPTFSNGATSYNISSYMTNETRRIPTISDTWQDISQLKVQSPYGSPKRF >OGLUM04G13160.1 pep chromosome:ALNU02000000:4:19202571:19203743:-1 gene:OGLUM04G13160 transcript:OGLUM04G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRVAQEQMRRMPPAALAAMQQQLMSNPSLLRFATEGIKTLTPDDLRRAGEQMSRTSAEEVLGMSRRLAAASPEELAATMKNAQAEQQRASSYAAVSGARALKDQGNALFRLARHADAAAKYALAVDNLRSVPSSASRSLRAVCGVNLMACHLKTGRLAECVELGSEVLGLDPGNVKAHYRRGQAYRELGRMEAAVADLRRAHELSPEEDAIADALRDAEEKLGAPRGLVIEEIVEEEEEAQGSEILPTSGATSSSTSGHSVPSPSPSPSAAAEAEMMNSMGDPAMGKMVASVVQGMDPETVSIIGKQFGVDLSRDDAARLQDAMKKLSPENLERVMGWVNRARRAAEAARKAKEFLLGKRSWVVLAIVVLVLAFILHQLGFIGA >OGLUM04G13170.1 pep chromosome:ALNU02000000:4:19221040:19222542:-1 gene:OGLUM04G13170 transcript:OGLUM04G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL27] MSIPHLFRCPISLDIFTDPVTLCTGQTYDRPCIERWLAAGHRTCPVTMQPLGDATALVPNRTLRHLIERWLSTDQHHHHHLPEPAAPAAEAEADAEEPSLAALKRCLQQPDSAGAGKAKVGALKKVMTLASESDVGRACMVQLGFLPVLLQLVFHAPAAPPSERRGGEAAVVEELALQCALGLMPSSDASPQLGCLNVLKSEASLASLVALLERGRGRTRAGLCRLLEAVATAAATRELALVVAASPRVWQALLPLLRHDGPAPTPAPPNDENAASDAAVRAVAAICASEPARGGAIHHGAVGALLGHLSWAASGKCASGGGAGAVPSALAAVEALAASEAGRRAVARAPGGTRALVRHVFMMNSSNDGSEHAVAALLAVCRESRAARSEAAGAGVVTQLLLLLQSQCGARAKAKARSLLKLLKSM >OGLUM04G13180.1 pep chromosome:ALNU02000000:4:19228770:19229777:-1 gene:OGLUM04G13180 transcript:OGLUM04G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSCASCSGAAGSFSSTASSFYGGGGSRMMMMKAARFPFFVEMDVGDEVSSCCPPPSPDYTPASPPRRRAASPDYTPESPPRRAASPDYSPASPPRRAASPDYTPESPPRRAASPDYSPESPPRRAASPDYTPASPSRRAASPDYTPESPPRRAASPDYTPASPSRRAAASSPDYTPESPPRRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYSPSTPPRRAASPDYTPMSPPRRAASPDYTQMTPPRRAASPDYTPSTPPPPRAASPDYTPSTPPPSPLPSAAESFTVPPPRRYHPYQRSGSGGACSSRAIRVIGDQQHRRRVLLL >OGLUM04G13190.1 pep chromosome:ALNU02000000:4:19233986:19234777:-1 gene:OGLUM04G13190 transcript:OGLUM04G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASNLPPGFHFFPSDEELIIHFLRRKASLLPCQPDIVPTLILNLYDPWELNGKALQSGNQWYFFSHATQTRTSPNGHWKPIADETVISGGCNVGLKKTLIFFIGEPFEAIKTNWVMHEYHLMDGSTNCSSSSTSSSSSKRSHKKKGHSDTESKNWVICRVFESSYDSQVSFHEEGTELSCLDEVFLSLDDYDEVSFAK >OGLUM04G13200.1 pep chromosome:ALNU02000000:4:19235294:19238959:1 gene:OGLUM04G13200 transcript:OGLUM04G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFISVSLIREFSEVVVKYKERHLEMKPLEFLAAASILCTCHAKIRLSPPLQTSVEAVAGAVALLVAAAEQRSTNIEREQLDMLFKSMAISPLAKWTLHWSLVF >OGLUM04G13200.2 pep chromosome:ALNU02000000:4:19234776:19238959:1 gene:OGLUM04G13200 transcript:OGLUM04G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKCHAKIRLSPPLQTSVEAVAGAVALLVAAAEQRSTNIEREQLDMLFKSMAISPLAKWTLHWSLVF >OGLUM04G13210.1 pep chromosome:ALNU02000000:4:19241041:19241352:1 gene:OGLUM04G13210 transcript:OGLUM04G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPATRRDAEGSTAWTGRSPATRPGATESTGCNPATRRGAEASMASSPAKRRDAEESTASSQAKRRGAKGSTAGTGRGPATRPCATESTGCNPATRPGATG >OGLUM04G13220.1 pep chromosome:ALNU02000000:4:19252076:19266945:1 gene:OGLUM04G13220 transcript:OGLUM04G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVEESAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQRAKRQRAEYLKQRGSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSVENIDHLLKRLGSPKRKAPASRSRVAAKKTAKGSETSKLSRYSLRVVLCSYMIIAHPGAVLSGQGEKEKLLMESAENFVKEFELLVKTVLDRPGGASTQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRSKFFEAKENGNPLATSVANVSTPLSINSSGQVPNPTSKPTVEGSSFTAQSLPGAASSSSSTSPMKPPTDNEQMVNEMLHEDDVSFAGNSDNVSSAEKDFQAKVKATMEKAFWDLVTDSMRGDKPDYSQLINLVKEVRNSLHELASNELKEEILENIDLEIFSQVLQSGSQDTRYLGQILQYSLDMVRKLSAPAKEDDMKKSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQTEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASVSLPITKQWVSATKSIVEQECSSHLESLQALPADHAQCVVPVLRAGHGAPAPQVSSSAASNSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQVNLLRLRAVQDQFQKVIVIATSMLVLHQVLMSKIAPPELQNTISELYDALVKLLDNNADASTKEIVEAMTRSLASVGSLPEEQIQATTELATKMLLKSLQAGDVVFGKVSRAVYFAFRGVVLGGGANGKKLAEAPLRRLGAAKLADRVVKAGEMLINMAVISEKRRKRVCLRAREIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKTPPPAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVKLLQEKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGAASSSSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEVRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQRVVVPVLRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRAVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAL >OGLUM04G13220.2 pep chromosome:ALNU02000000:4:19257485:19267865:1 gene:OGLUM04G13220 transcript:OGLUM04G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKSLQAGDVVFGKVSRAVYFAFRGVVLGGGANGKKLAEAPLRRLGAAKLADRVVKAGEMLINMAVISEKRRKRVCLRAREIRRHHRRLVDRRAREGEMAVAAMELPAVRAYDALGINETSVKSMPFENLATLMQSPATLQATKAVLDRFEKLLPLLSSPVINIDHLLKHLGSPKKKKTPPPAAAASASRYSLRVVLCSYMILAHPGAVLSGQGEKEKLLMDSAGSFVKEFELLVKTVLEKQSTDAAAGQKMFSAQLADFDRAWCAYLYCFVKLLQEKVHQLSGDAGIERMNSALSDTRSKFFEAKENGNPLAASVANVSTPLSIGSSGSSFTAQSLPGAASSSSSSSLMKQPTDNEQMLNEMLHEDDVSFGGNSDNVSSAEKEFQAKVKSTMEKAFWDLVTDSMKGDKPDYTQLINLVKEVRDSLHELASKELKEEILENIDLEILSQVLESGSQDTRYLGQIMHYSLDMIRKLSAPAKEDDMKRSHEKLLNELAASSEVNDNGISSFVIAVIKGLRFTLEEIKQLQSEVSKARIQLMQPIIKGSAGVEYLQKAFADRYGPPANASASLPITKQWVSATKSIVEQEWSSHLESLQALPADHAQRVVVPVLRAGHGAPMAQASSSAASGSGLPECKGEKIDKLTRVGLLQLISNVEGLNMQSTPETFQINLPRLRAVQDQFQKVIVIATSILVLHQVLVSKVAAPELQNAISELYDALVKLLDSNPDASTEEIVEAMASSLATVGSLSLPEKQAQATAELATKMLLKSLQAGDVVFGKVSRAVYCAFRGVVLGGGGVKGKKLAEAPLRRLAAAKLADRVVKAGEVLVKMAAISEKVHGQWYKALAFYQGPKTNRYKGSKGRE >OGLUM04G13220.3 pep chromosome:ALNU02000000:4:19252076:19257476:1 gene:OGLUM04G13220 transcript:OGLUM04G13220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREPVAMEIPAVEESAAGRMPPRIRRRLLEGSRVGGGAPTSAEEIEAKLKEAELRRQQFHEWVSCKARKKPRSPSWSSQEEDQGQRLEAKLQAAEQKRLSLLAKAQNRLAKLDELRQAAKNVVEMRIEKEREELGTRVESRVRQAEANRMRLLHAHMQKRAAMKERTARSLVRKQTSERKYTERVKSLILQKRNAAEKKRLALLEAEKRKAQARILHIQRAAKTVCSKRESERRQLQEQLESKLQRAKRQRAEYLKQRGSPRSSAHADYIKHAEFLSTKLARCWKRFLKSNKTTYALVQAYDALGINEMSVKSMPFENLAMLMESPTTLQTTKAVLDRFEKRLLLSQPTGSSSVENIDHLLKRLGSPKRKAPASRSRVAAKKTAKGSETSKLSRYSLRVVLCSYMIIAHPGAVLSGQGEKEKLLMESAENFVKEFELLVKTVLDRPGGASTQSTDAASQKKFRTQLAAFDKAWCAYLYHFVVWKLKDAKSLEQDLVRAACKLELSMMQTCKLSSDGQSHDLSHDMKAIQKQVTDDQKLLREKIQHLSGDAGIERMNSALSDTRFRPRQS >OGLUM04G13230.1 pep chromosome:ALNU02000000:4:19267918:19272971:-1 gene:OGLUM04G13230 transcript:OGLUM04G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYSTLSLTDLVNKIYSILQEDFFEGLELNGREDGSVSACKILKVIIGSGNTKMYEVGWIGQDNAVTNTSVLQADDLVIRKKARASRSMLKIYIRESTSQNSPWIIHANLAKKYGIPTEPPKDLLNGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRPTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMTYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLKILRELVDEAITTSAVRQNIDEKIDQQQAIAASKRELARNKKEEHKLAMEGVTEKEMSQTDAAENVNGNVNGQVVEKEGKEKKNIYANKMGEGKRHLGTEMEKQSVQSNSLGKDRYYNRYWFFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLQEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >OGLUM04G13230.2 pep chromosome:ALNU02000000:4:19267918:19272971:-1 gene:OGLUM04G13230 transcript:OGLUM04G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEVGWIGQDNAVTNTSVLQADDLVIRKKARASRSMLKIYIRESTSQNSPWIIHANLAKKYGIPTEPPKDLLNGQGLPKLRRGLENGTTDDVRKKLKKGEPIDDLLLRPTADDPSLSKRRPLSTDFRVPVDSVGDLLMVWDFCMTYGRILCLSPFSLSDLENAICHKESNLVLLVELHAALFHLLIKDGGGYFMFLQNKRRKLKVTLVTWAEYLCDFLEMTSKEEFSSSLSTVRRGHYGLVHTAVKLKILRELVDEAITTSAVRQNIDEKIDQQQAIAASKRELARNKKEEHKLAMEGVTEKEMSQTDAAENVNGNVNGQVVEKEGKEKKNIYANKMGEGKRHLGTEMEKQSVQSNSLGKDRYYNRYWFFRHEGRLFVESADSKEWGYYSTKEELDALIGSLNVKGIRERALKRQLDKFYNTISNALEKRTKDVTQKMLLQEAALRRSSRVQAQPRDNPSMLFLKYVNKWKEN >OGLUM04G13240.1 pep chromosome:ALNU02000000:4:19287476:19289944:-1 gene:OGLUM04G13240 transcript:OGLUM04G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPHLLLLLLALLLSPPLLLASSSFPLPTIAIAAVSNSSSNPSKQHLACGLVPAAGGAAAGYRISCASVSNRSAAAPHVYAYGGDGTCSPYSAVVAGDGYLCSAAPTSSPPMSMRWWDLNEAGDGSKRVYRGRVLSAVSGGGESVCGLVGERMQCWRCPWGEGAPARVGFSAVAVGGGFVCGLVVGSGEVRCYGGGEVVGREPAGRRFMLLAAGERHACGVDDGGVVGCWGEAAAVAAASPPRISRAVSTVAVGDAVTCVLWGNWTVSCWPEGEASPPPALAGQQFVALEAKGKVVCGVLMSDYSLQCWGAGVAGGVRKVFDKVLPGPCAPSKSCSCGVWSGSAQLCAGSGGGGGGDVSVCYPCGYTPPPMALSPTSNSSSSSSSQSKGKRRPSNLAIALISAGAGSALVALLAALAAVYYLRRHRGSSSPVSGRIHAEPTGTAPRVERRLSALLSKGPNTTVEQFPLVALRAATDCFSPAKRIGSGSFGAVYRASLPDGREVAIKRAERRDTGGPSSSSAAAARRVDHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEFMPNGTLHDHLHRRAASAAAPLSPPLASWPSRLRLALGAARGIEYMHTYAVPPIIHRDIKSSNILLDSCWTAKVSDFGLSLLNTLDGDNAAAGDGGNAGDGDDEERCVTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKAIQKYEGSGSPKNVVDMAVPHIEGDRVHQVLDARLPLPTPWEMEAVAYVGYLAADCVRLAGRDRPTMSEVVGVLERAVAACDEYEEGGAGAGGEPALSRSCTDGSTAT >OGLUM04G13250.1 pep chromosome:ALNU02000000:4:19295067:19300373:-1 gene:OGLUM04G13250 transcript:OGLUM04G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMVSSMVKKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >OGLUM04G13250.2 pep chromosome:ALNU02000000:4:19295067:19300373:-1 gene:OGLUM04G13250 transcript:OGLUM04G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G16620) TAIR;Acc:AT5G16620] MESLVLASSCSASPRLPPLSAARRRRPSSQTLPATAAASGRRGAGRSKLVVVAAAAAAARGSGNGFEGLKTNGFASMSSSTNSENMSTGTGSLPPMPPPSSYIGSPVFWIGVGVALSAAFSMKYAMQQAFKSMMTQAPPNTFGSNSPFPFAMPPQAAPAAPSSYPYSQPRKDTSPQSATVDVSATKVEATGTLEEADVAEQPKKKFAFVDVSPEELQQKELQSSLETVDVKSESKQSETMEDTEQKAPTNGTAFKMNEGSASGTTESRPMLSVDTIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGGSPDQWDNRMLDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >OGLUM04G13260.1 pep chromosome:ALNU02000000:4:19301303:19303704:-1 gene:OGLUM04G13260 transcript:OGLUM04G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGLQLRTKPAASSSSRAPPPPPARPLAAFADDGDDDVEADILRQSYKKRSQQKVEEQQKKAMEEDPSVFAYDEVYDDMKQKAALPKMQDRVVRESKYIAALKEKAEQRKREQDIIYERKLQKERSKEDHLYGDKDKFVTSAYRKKLEEERKWLEEEKRRQLQEEKEDVTKKKDLSDFYFGLSKNVAFGAQTHDNTKHAKPEKLDEKVQDAKTSKVGAEVSDRSPKRKRDSGEGAETANESKSVEEPATTQSRDSAAARSTEKNADVSLDAPQTQTPENTQPAPQTQNPQNTQPAQITDEHYKRNADALAAARERALARKKAKAQQI >OGLUM04G13270.1 pep chromosome:ALNU02000000:4:19305369:19312044:-1 gene:OGLUM04G13270 transcript:OGLUM04G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg-4/UPF3 family protein [Source:Projected from Arabidopsis thaliana (AT1G33980) TAIR;Acc:AT1G33980] MAAARAHVKDPAHRTKVVLRRLPPAIAQQAVVEQVDARFGGRYDWSCFRPGNASQKNHRYSRLYLNFKGPEDVVEFAEVFNGHVFVNEKGAQFKALVEYAPSQQVPKSNTKKDARQGTIMKDPEYLEFLESISKPAEHLPSAEIQLERKEAERAAAGKEPPVVTPLMVYVRQQRAAKSMAQRSGSSRLSRKVAGVVTSSPSPSKRGSEKRRTSASTQYVLRENAKEKPTYILVPKRDEHAQREKDATSGGISGSAHVAESKKEKIVLLKGRARVDSNTSDVTSQQQSGTPMKNAAQSSSRQDPRLEGSGRIIKTILSNKEGRHVVTSQHDQEGHIITAEKRPPRIPNPHSIVKDQVVENAEKNHLDDKHSHLHGSGPISEKTERHARNRDRPDRGVWAPRRYEKSASGGTHSSSSEFSPMQQHSGENFCQQADGHGERKIDPRGHGGIRGGPVENGHRHANRRGPPRGLKEMEISASTSDGKPSKRASANYGAHERQVWVQKSSSATYSSKQILQVVEFWILQLDPVKYC >OGLUM04G13280.1 pep chromosome:ALNU02000000:4:19313540:19316029:-1 gene:OGLUM04G13280 transcript:OGLUM04G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGSRKRKRTPAAAEDRLSELPDCLLHDILSHLKARHVVQTCVLSRRWRHLWRSVPRLDVDCKDFWSPPPASTQQQQQHAALLAAEFARFEDFADNLLLRRSAAAPLDALRLRVDERCQRTTYGRWVRRAMVMHAPAALEVVRHYGGGAAAAPLFAALPLSLPSGCHRRLTRLCLDGVTLPAGFDAMLASGSGLPVLEDLELRAAHYPFARIASATLKKLAVERCGGGGAGYLTGDDGGVVVISAPRLSSLRLGIYLEPNWPAFAVEGPTPSLVEASIQVFHATAIDAHAPEPQITQRMSLLKSLCNLLAGISHVMPLQGEGNDPYNQAPAGQHYHNPDNNLAQYYQALPPIFQYQQPVQYYDIPLHPLFPPYNYQHPNWAAQHRQPMPLLQAMLDDNHGGLPVFSNLTTMVLRECNIHVNDSMKMLWRFLQNTPALEKLTLQNCKFSNGADVRKHGPKLKISSSLKFVDIIYKDVNHHDGEEDKYEDEDEDKDEHPKEVNKVLFIMSRKLKDVTVKVKKVDGYQ >OGLUM04G13290.1 pep chromosome:ALNU02000000:4:19319603:19320956:-1 gene:OGLUM04G13290 transcript:OGLUM04G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTTRKRPRESGVAVDRLSALPDCLLHVIMSFMKARQVVQTCMLSKRWEHLWCTVPCLDVDHREFQSTGEAAQGDNEVWQNFEDFADNLMLHHQIAHLDTFQLHVNDVYRWGQHASRWIRRSIKYNTKVPGIPRPGLSCSSWSLKRLHLSNICLDDLFAKHISSMCCSLEDLNLKGCRFAFNEITSHSLKSLVIDSCDSKLCPSKLVVTAPAIASLCLIVKLWFFPGGLFVNEMPFLSKASILVSATYDGKNFQHNQSKFLGSLCNVTTLELSGFQTMHYIFQIIPEEPVELPEFKNLKILSLDKCDLSDNFQLLKHFLQNSPNLEKLTLRLCELPKDSKKRKGKAKAKKTRLVDIRCENLKLTEIIYDADDVLQLVGLLLNDSANLPKNNIKLSKVDR >OGLUM04G13300.1 pep chromosome:ALNU02000000:4:19320313:19321037:1 gene:OGLUM04G13300 transcript:OGLUM04G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVTTSLEGQSLLSQLSITKLFSEWDSFEAPRTAAQSWARNARNLGVILDAATNPPRCMLTPTIHIINMQLERIQVCNLVMKHQVVRKILKILPHLIVALCSFTCALELPMIYIEARHGAPEVLPPLGQHARLHHLPGLHEGHDDMEEAVRQRAEPIDGDAAFTGPLSCGVQIHGDLESSALGAIPLQSTRVPKILHQPQ >OGLUM04G13310.1 pep chromosome:ALNU02000000:4:19324516:19332465:-1 gene:OGLUM04G13310 transcript:OGLUM04G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRHRRRQRHRSGGRSTSTTGGEDRLGALPDVRADVRTVMSFMAAREVVRTCVLSKRWRHLWRSAPFLNLDGAEFMPLLGGGSPGEWERMDGFVTTLLRLRSRDETAVDSFRLFVDHLGAARQMGPRRRRAPATVLEINVVTPSYPPGHYDGYTMPDLIITSRAWRRLTRLHLSHAWLDGGFGEQLGDGCPLLEDLALRRCAMAPGFRRIRCGSLRTLVLHYTGCGGGDAGGGDEEEEEEETLVISAPRLASVRVKITSYACRHGVSFDGSTADSLVEASIRVGRRRRRRALPTGVEAVLLAGMVNVTTLELEGLAYSASMATARKRSCPDTISTGDRLSALPDALLHTILSSLKGRQMVQTSVLSKRWRHLWRSVPCLDIDQREFAAASENWAISRSDLEKFEDFADNVLAYRCGSPAKLDTFRLRICDRYHSLRSSDTDRWIRRGLKCSPREFHLHFDYRYDSYLLEMHKLGSNSGCLTKLHLTNVSLHECFMEHITTVCTLLEVLELNRCSLYLQEITHPKLKNLVLHGPAVYDEDELPVGANAKCYKELIIRAPCLTYLNLVLPVDMRQISLKEMPSLVRASIRFSVVFPCKCNFLNVLFNVTSLELSGFREMVRLEFNQVEFHAFKNLRTLLLDRCRPSYNNELLRHLLQNSPNLEKLTVHCCKFSKGSLEWRKSSQHKNQVNCRKLKSTEIIYKDIDDVRELVDLLLDVSGHLPKNTIALTKI >OGLUM04G13320.1 pep chromosome:ALNU02000000:4:19326262:19335322:1 gene:OGLUM04G13320 transcript:OGLUM04G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRASGSALSRSPVEMVSGQLLFLARRNESTAVSSRDLSLSRVVTKPSILSHSPGEPPPRRGMNSAPSRLRNGAERHRCLHRLESTHVRTTSRAAMKDMTVLTSARTSGRAPSRSSPPVVDVDRPPLRWRWRRRWRLATGSIAGRYDRDVVVAGEDAMEYPLGMLLFPTDDKGE >OGLUM04G13330.1 pep chromosome:ALNU02000000:4:19336888:19339291:-1 gene:OGLUM04G13330 transcript:OGLUM04G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKRPSRAGGGGSAAGDRLSALPDGLLHAVMSFLPAPGKPCRPACSPRDAFRIDTGMDLMNPGHFRHVDRWIRGGMKYCPQVVDIHVGICLNLVIIAPRLVSLRLLIRVRDGTVSLYGVNSLVEASIDVSNCQMSPSGEAMLLGALFSATNLELKGTRAMAILDEELDKFPLFNNLRNLSLHCCLRDKGIMVQKYKFCSRKNSGARVASVYPQSFYMLHQT >OGLUM04G13340.1 pep chromosome:ALNU02000000:4:19358663:19360055:-1 gene:OGLUM04G13340 transcript:OGLUM04G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRTTTATMIPAASSSRKRARVPTTGAGDGGGGGEGRLGELPDELLLSILSCLTTRQAVQTSVLSRRWRHLWRSTPRFDVDPAEFARPPPSSAPWLLHGRGSTDPWERLRGFTARLLMSHAAPVLDAFRLRVATPFHRRADVESWVRRGIRRRPTALELAVGPPPGRFAAFAPPSLPALTTTSSSSPSRLTRLRLRGVVLESAFAGDLRSGCPALVDMELDRCKCFFHELSSATLRSLAMESCLWMRRPLGTNGDRTVSVVAPRLAYLRLLTFGHGDCKVFRFESGDSISEVSIRGGFNLINLFRLLRMMPNVTTLRLSGFGPTSKYLRECSENFPDLHNLTTLLLDRCVMNYKFQILRLFLQNTPTLEKVIYIYIYIYI >OGLUM04G13350.1 pep chromosome:ALNU02000000:4:19360753:19367940:1 gene:OGLUM04G13350 transcript:OGLUM04G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGENRVDNHDDDDDDWELAAGAALADVTLVLVGKVGSGKSATANSILGDEAFESKCSYAGVTQTCQKKSTTVQDGCLIRTINVIDNPCLFDMDIKAEDVRREIVKCMDMAKDGIHAMLMVFSATSRFSCEDEKTIETLKSFFGDKILDHMILVFTRGDEVGGETSWKNMLSDSAPTYLQDILKLFENRVVLFENKTSSTQDRQAQRKKLLDAVDFVVSSNHGKPFSNQLFTQIQEVHHRQKDANSEVYSSMQETDSYISLITKMLEEKLNSTILRLEQQLLKEQEARLDIQNEMTKAILRSEEDIRRHGKPDSLEKAEQESNNAREENKRFRESEKARQEQEKQTEAEIQKLKEKMEKDREEREEQREEEIRRLRDDLEKARQEQDKEREERQKQSGCIIL >OGLUM04G13360.1 pep chromosome:ALNU02000000:4:19368599:19373250:-1 gene:OGLUM04G13360 transcript:OGLUM04G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRASSSPPPDAAADAAVDWRGRPCEPRRHGGMRAAVFVLGIQAFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLIFGFVELSLKPPPCNMAATDGGCEQARGIKASIFFAALYLVALGSGCLKPNMIAHGADQFAAAAGGGAAAAADNAKRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAAFYRNKPPQGSIFTPIARVFVAAYTKRKQICPSSSSDPVNAGVCEPAHLAGGSFRHASKFRFLDKACIRAAEQGPNTKPESPWRLCTAAEVRQAKTLLAVAPIFACTIVFNTVLAQLQTFSVQQGSAMDTALGGAGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMRRATGARSGITPLQRIGVGLCTVPLSMVAAATVERRRRDLSLSAGGAPPRAMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQARGAGMQSFLTALTYCSYAFGFYLSSVLVSLVNRVTASRGGGAHGGHGGWLGDNDLDKDRLDLFYWMLAVLSVINFFCYLLCARWYNSGGADDGCDASASAQVAAEGDGNGKEII >OGLUM04G13370.1 pep chromosome:ALNU02000000:4:19415120:19427626:-1 gene:OGLUM04G13370 transcript:OGLUM04G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLRRLSPPPSFRSSSPAAMSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRYPHNNVPPNVAPYLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >OGLUM04G13370.2 pep chromosome:ALNU02000000:4:19415120:19427626:-1 gene:OGLUM04G13370 transcript:OGLUM04G13370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPAAVAAGAAAGQQPQGRAQAARQAGGGLGQTIAGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPLDMWMYLSENEKFNDFSNEDALVWHEANIPYAVWGPTSTRTHTLTYYPSEAIKNNGSLYAHVYFARSGYPVDPTDPEYEQSSAFGRTHPVVAYLRKPKDGKKKSLLGDSSESNEQPPPKENKDSVDKDEGPVEYISYWKPNVTINLVDDFTRYPHNNVPPNVAPYLNVDPSSNNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPISMTKWQIFLQMEQSFQVHRSYGSMLEGEADELKFWNKNKSMEGLSAKSVVLNFVCQLIIFLYLLDNETSWMILGSSGIGVCIEFWKIGKAMHVEIDRSGKIPMLRFRDRESYAQNKTKEYDAIAMKYLTYVLLFLVFCFSIYSLKYEKHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYLYQRWVYPVDKKRVNEYGFGGEDEPQAPQTLEGSDPAAASQQAGAEAEAETSTEDKKTK >OGLUM04G13380.1 pep chromosome:ALNU02000000:4:19437678:19443878:1 gene:OGLUM04G13380 transcript:OGLUM04G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL54] MAAAMEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVAELPPSFASSHVEFNSKNEPSILSNQFYWSMRDSKTDSFSASTNKARVERKQEPTTVGCRLFGIEISSAVEEALPAATVSGVGYDQTVLSVDVDSDQISQPSNGNKSDAPGTSSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLNGYGDLRSKLEEMFDIQGDLCPTLKRWQVVYTDDEDDMMLVGDDPWDEFCSMVKRIYIYSYEEAKLLAPKSKLPVIGDTIKLSSMNSSHESVDLDNHASCQEVPKTGKTAWKRHSGKCMPFQIVLCFGRSLGVLVWCPDLVD >OGLUM04G13380.2 pep chromosome:ALNU02000000:4:19437678:19443878:1 gene:OGLUM04G13380 transcript:OGLUM04G13380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL54] MAAAMEMAANPGGSGTCSDALFRELWHACAGPLVTVPKRGERVYYFPQGHMEQLEASTNQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSLDPELQDLEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGESGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKKQNLSVGMRFKMRFEGDEAPERRFSGTIIGIGSVPAMSKSPWADSDWKSLKVQWDEPSAIVRPDRVSPWELEPLDASNPQPPQPPLRNKRARPPASPSVVAELPPSFDVDSDQISQPSNGNKSDAPGTSSERSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLNGYGDLRSKLEEMFDIQGDLCPTLKRWQVVYTDDEDDMMLVGDDPWDEFCSMVKRIYIYSYEEAKLLAPKSKLPVIGDTIKLSSMNSSHESVDLDNHASCQEVPKTGKTAWKRHSGKCMPFQIVLCFGRSLGVLVWCPDLVD >OGLUM04G13390.1 pep chromosome:ALNU02000000:4:19444424:19448598:1 gene:OGLUM04G13390 transcript:OGLUM04G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAVAELELWVGERGGGDLAGWLRQWRWVGVGAGARERRGLLTWEENPCGAHHLARCFCLAKVGQPAHEFGQPDGLAIRLASVLEMLLRWLAGGDRDMGARRRFRSVGRGGERGGRPAWQKRSSLTKEAAEEGNSMGFHGVVVGPTTHVELCYCLADGWSSQLHESVDETDTESLRHGKNAGTQHAWSTRIGGNRRRSTLVLPHVRSAPFHAVVPGAVGGQRRQIVAAAVAEDFFKKAVPTVNMYRQKIPNSGWAAFDRRWRSKDGRGDDTDVNSFPALSDYIAPSAASSSVAENSRPKAKPFASVLRPSVDCAADGNENGNKHFTCHRENANCGLKSASENKIELLRGAHSWADSNLIEDVLASVNNDVGEASALLKAMASPCFPIREDGLPDQLSSEINKTHGLPSGNGTAENNLVNDSQLLPLPMNMSSVPIEPEVEELDDDYFNHRKDALKIMRAATKHSQAASNAFLRGDHAAAKELSLRAQEERSAAEELNKKAAKEIFRLRNSNNSIWKLDMHGLHASEAVEVLERHLHRIEFQPPGNNAASSDEVARSEPRVSGPSIEPGPGKVVFVRPIQAILEVITGIGKHSKGQASLPVAVRGFLIENGYRFDELRPGVFSVRPKFRRR >OGLUM04G13400.1 pep chromosome:ALNU02000000:4:19449189:19458127:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >OGLUM04G13400.2 pep chromosome:ALNU02000000:4:19449424:19458488:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRVSARSPSPRSDSARAFAFESRLPKIGIAVKGYCNFWGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQRRKLSQTRKSFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >OGLUM04G13400.3 pep chromosome:ALNU02000000:4:19449189:19458892:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >OGLUM04G13400.4 pep chromosome:ALNU02000000:4:19449189:19458488:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGERARKKLSECHIDISAKIPTKKDIRYCLIMLFVQGYKDWFFLPPLINQRPRRTKLQRRKLSQTRKSFASCSLPLHLDCTGCFIRQAHHRLRKQPFDHLCSSSPRHRMAYTSRMNLKRKGK >OGLUM04G13400.5 pep chromosome:ALNU02000000:4:19449189:19458127:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >OGLUM04G13400.6 pep chromosome:ALNU02000000:4:19449189:19458127:1 gene:OGLUM04G13400 transcript:OGLUM04G13400.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF482 (InterPro:IPR007434), Acyl-CoA N-acyltransferase (InterPro:IPR016181); Has 2165 Blast hits to 2163 proteins in 543 species: Archae - 0; Bacteria - 1044; Metazoa - 0; Fungi - 0; Plants - /.../ruses - 0; Other Eukaryotes - 1088 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G23390) TAIR;Acc:AT2G23390] MASPAVATRHPSPSFFGPDPRRRRGHSPSNLGFRRSGLQLKVTAIFGWIKGDTRTRELNTSAESYTLTGSASEADTKPREVSVAVVSSIMDIPSADWDACSVDSVDPDNFNPFLTHAFLSSLEESGSAVKETGWLPLHVVARDENETVVGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILVRDTPYRDQVFEALVKELKSLTTKLKVSSLHITFPSEGEFSKLKDSEFLQRIGMQYHWRNRDYRSFDEFLMDLKQPKRKNIRQERKKIPAQNLKMKRLRGDEIKSEHWDTFYKFYRNTTDNHWGRPYLTREFFHRLGEKMGDKVMLIVAEHDDKHVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFLDPGFGAAIGNYLAHETAQVKHVIKVLHDSGPYKEDILNEFLLTQGDGV >OGLUM04G13410.1 pep chromosome:ALNU02000000:4:19456010:19458720:-1 gene:OGLUM04G13410 transcript:OGLUM04G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCMNAGAEDFIVKPLQSKDVQRLRNCSPANTQCCDAGSDGKPPPLLLPSDHVVVDATAASPPPPPSRRRAHFAGVLHSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLNLWCA >OGLUM04G13410.2 pep chromosome:ALNU02000000:4:19456010:19457648:-1 gene:OGLUM04G13410 transcript:OGLUM04G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGVPCELNTGVVVTRLGVITANQDEQAIDMVLTDYCMPEMTGYELLKAIKALSPLKPIPVIVMSSENEPQRISRCMNAGAEDFIVKPLQSKDVQRLRNCSPANTQCCDAGSDGKPPPLLLPSDHVVVDATAASPPPPPSRRRAHFAGVLHSSSVELSHYFPFLFKFILLVYAILCLGELLHRWSNGCFLNLWCA >OGLUM04G13410.3 pep chromosome:ALNU02000000:4:19458022:19458720:-1 gene:OGLUM04G13410 transcript:OGLUM04G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGVTRVLLVDDSPVDRRVVQLLLSSSACAGSFHVIAVDSAKKAMEFLGLKEEGKVKKKKKSLC >OGLUM04G13420.1 pep chromosome:ALNU02000000:4:19459472:19464589:1 gene:OGLUM04G13420 transcript:OGLUM04G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMRFSVATILSSEPPVGSAMTRCASCVAKEIVERASSSSTCVGGDLPTRRASSALRSRPGPSPEGGCDQNYGSK >OGLUM04G13430.1 pep chromosome:ALNU02000000:4:19478230:19486714:-1 gene:OGLUM04G13430 transcript:OGLUM04G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL68] MQDLSGARRPQPEGGRGRGRISEATPRGRQRWTATPRRAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >OGLUM04G13430.2 pep chromosome:ALNU02000000:4:19478230:19486714:-1 gene:OGLUM04G13430 transcript:OGLUM04G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL68] MQDLSGARRPQPEGPIPTPLIARRRNVAGQRTRQDQRGNPTRATKMDGHPSPRSHHQPPPPERDGSFNYDIESMDGGGGGGAWRGRYESSEALLRYDDEAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >OGLUM04G13430.3 pep chromosome:ALNU02000000:4:19478230:19486510:-1 gene:OGLUM04G13430 transcript:OGLUM04G13430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL68] MGGQRTRQDQRGNPTRATKMDGHPSPRSHHQPPPPERDGSFNYDIESMDGGGGGGAWRGRYESSEALLRYDDEAGGGPRQPLLRKRTMNTTSQIAIVGANVCPIESLDYEIVENDLFKQDWRSRKKKQIFQYIVLKWALVLLIGMLTGIVGFFNNLAVENIAGLKLLLTSDLMLKQRYFTAFLAYGGCNLVLATTAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTWNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGQGGLIMFDLSSTIPTYTAQDVVAIIVLGIIGGVFGGLFNFLLDRILRAYSIINERGPPFKILLTMIISIITSACSYGLPWLAPCTPCPADAAEECPTIGRSGNFKNFQCPPGHYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLHMLPLVMLVLLISKTIADSFNKGVYDQIVVMKGLPFMEAHAEPFMRNLVAGDVVSGPLITFSGVEKVGNIVHALRITGHNGFPVVDEPPVSEAPELVGLVLRSHLLVLLKGRSFMKEKVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDLTDEELDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMHEHIHGLFPNLGKSH >OGLUM04G13440.1 pep chromosome:ALNU02000000:4:19494072:19505464:1 gene:OGLUM04G13440 transcript:OGLUM04G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPQRSSSRVKMWVLEDAAAVLRGEQLEAEGVVEDGEAKRVMDGSPRSSGISGGGGGGRGWIGDGGSGGSFRPLSPPSRCRSNGGGGDRVWIRRRWQWPRVDPAVVAPPAADPAAAAPPWHGGRRMLPSPVCWQHLPDPAISFPLARIPWFFHSLLRGSAVGCDGMDGFGDLLLSRSDPVVSLRPAHADPPPAMMGVSRSGGVDRGRGLPAAAVSARAARTPHPVVSFFSRTACVYVESLTKELVFTTKDVTQLLVKGLSNRRTGATSTNADSSRSHCVFTCVSKSESKIEKMFVFYLDM >OGLUM04G13460.1 pep chromosome:ALNU02000000:4:19510288:19510773:-1 gene:OGLUM04G13460 transcript:OGLUM04G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRMAAAAASTFLLIAALVVPTASAAESAGGPYDPPTVPELMDRFGLPRALLPATARRYLLHDDGSFQLFLDGGCVAEAGGYRVGYGVKLSGAVAPGRATGLGGVRVRVLFAWVPVTAVEVAGGEVTVSLGPIKKSFPAAGFKSSPRCIAGAATPATASDG >OGLUM04G13470.1 pep chromosome:ALNU02000000:4:19514194:19516572:-1 gene:OGLUM04G13470 transcript:OGLUM04G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL72] MASCSRMMIMSPSSSSSSWRALVLVAAAVLSFSGHVVVAAAAAGHPDYADALAKSILFFQGQRSGRLPPDQAVKWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWGVVEYGGRMRGRVLRDARDAVRWAADYLLRAATATPGVLYVGVGDPDADHRCWERPEDMDTPRAVYSVSASSPGSDVAAETAAALAAASLALRAADPGYSRRLLAAARDVMAFAVRHQGKYSDHVGGDVGSYYASYSGYQDELLWGSAWLLWATRNASYLDYLASLGANDGVDMFSWDNKLAGARVLLSRRALVNGDRRLDAFRRQAEDFICRILPGSPSSTTQYTPGGMMYKSGHANLQYVTSASFLLTTFAKYMAVSNHTFSCQSLPVTAKTLRALARKQVDYILGANPQGMSYMVGYGARFPQRIHHRGASMPSVAAHPAHIGCQEGFSGYFNAGGANPNVHTGAVVGGPDQHDAFPDERGDYDRSEPTTYTNAALVGCLAYFAGSYRS >OGLUM04G13480.1 pep chromosome:ALNU02000000:4:19519548:19525617:1 gene:OGLUM04G13480 transcript:OGLUM04G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G10050) TAIR;Acc:AT4G10050] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSNDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >OGLUM04G13480.2 pep chromosome:ALNU02000000:4:19519548:19525886:1 gene:OGLUM04G13480 transcript:OGLUM04G13480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:esterase/lipase/thioesterase family protein [Source:Projected from Arabidopsis thaliana (AT4G10050) TAIR;Acc:AT4G10050] MEPAPLASLQEEGEGEPGESPSSAAAAVPPRPATHHSLHKYAPLDWSAYFDEERRVAIPDTEDVFNVYMAGSEGPVVFCLHGGGYSGLSFALAASRMKEKARVVSMDLRGHGKSTTSNDSDLSIETLSSDVIAVLRTLYGDSPPAIILVGHSMGGSVAIHVAAKKVIRNLHGLVVIDVVEGTAMASLIHMQKILANRAQHFPSIEKAIEWSVKGGPLRNIDSARVSIPSTLKYDESREWYEGLSEKFLSCPVQKVLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFIARNKIGPNGVEIPGLIKKWQH >OGLUM04G13490.1 pep chromosome:ALNU02000000:4:19527464:19528420:-1 gene:OGLUM04G13490 transcript:OGLUM04G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITFFTNIIGVVTIVLISIVSILGLICLCHSLNFQLLIKRRRRNYYQANDEQLSYFNGPWLTRITLILVALWWGVGEVLRLTFVNGEGRFISDQTWQANVCKFYIVSNLGFAEPGLFLLLAFLLSAALQNQEVGALNRKWNQRTICAVFMLCSPSLIWEACVVFIGPHIASNDGQTSKVAKYWYSASSVHDGDVACTYPLLSSIFLGTFYTVLTLYVIFVGGQILSLVINKGLRRRIYMLIFATGILLPRAMFLGFSVLPWPGEIVHESLVFVSFLVLMIAAMLGIVILVYFPVAETFEVRNQEHIELQTSHSIAL >OGLUM04G13500.1 pep chromosome:ALNU02000000:4:19528439:19529592:-1 gene:OGLUM04G13500 transcript:OGLUM04G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEPVIARAAAEEEEEEWATRRDATPRRAAVCSTWTYEGGGPSRETSLAAARSRAAGVRACSGGGGSVPTVGGAAGRRSCLCFDDKSENAWKS >OGLUM04G13510.1 pep chromosome:ALNU02000000:4:19543852:19552865:1 gene:OGLUM04G13510 transcript:OGLUM04G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTTSGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSNENNINPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTIASRHENLTSSAYNALFIGRIPFQAEPIWRSLAPPCCRYFLWLVALKRCWTADRGLPHPDLCVLCEQEEESIDHILVACPESRQLWWMLFSAIGRSDCLPMNKPSFHSWLCVSRERIPRHLRQGYDTIVALAAWSIWKERNARVFNQKFRTWIEVAAGMAEEAQLWHLANARVPALRIVLEEVVRDSIVFILGKAPKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQITQLWVASIASDPGILQTGNSDIRDDVAAGDGQLLARTAAAFFPGLRRPRAEWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAAAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13510.2 pep chromosome:ALNU02000000:4:19543852:19551343:1 gene:OGLUM04G13510 transcript:OGLUM04G13510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTTSGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSNENNINPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTIASRHENLTSSAYNALFIGRIPFQAEPIWRSLAPPCCRYFLWLVALKRCWTADRGLPHPDLCVLCEQEEESIDHILVACPESRQLWWMLFSAIGRSDCLPMNKPSFHSWLCVSRERIPRHLRQGYDTIVALAAWSIWKERNARVFNQKFRTWIEVAAGMAEEAQLWHLANARVPALRIVLEEVVRDSIVFILGKAPKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQITQLWVASIASDPGILQTGNSDIRDDVAAGDGQLLARTAAAFFPGLRRPRAEWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAAAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13510.3 pep chromosome:ALNU02000000:4:19543852:19551343:1 gene:OGLUM04G13510 transcript:OGLUM04G13510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTTSGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSNENNINPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTIASRHENLTSSAYNALFIGRIPFQAEPIWRSLAPPCCRYFLWLVALKRCWTADRGLPHPDLCVLCEQEEESIDHILVACPESRQLWWMLFSAIGRSDCLPMNKPSFHSWLCVSRERIPRHLRQGYDTIVALAAWSIWKERNARVFNQKFRTWIEVAAGMAEEAQLWHLANARVPALRIVLEEVVRDSIVFILGKAPKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAAAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13510.4 pep chromosome:ALNU02000000:4:19543852:19551343:1 gene:OGLUM04G13510 transcript:OGLUM04G13510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLARPVHHHHHPRLCPTAPWRSPPPARGARLRSRARGPQTLAARARPPRAEAGEAETAAGGTGATTTTTSGGSVLSFLCPLLKLLGGGDPSQERNDIVEVATSSLSSLARLPWGSSVSTSNENNINPTTSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHRDVVKKIGGKEQFPLLVDASNGVTMYESGDIVKYLFRQYGEGKSPSFGLLESTILTGWVPTLLRAGRGMTLWNKAGVVPEDKLELFSFENNTYARIVREALCELEVPYILQNVGEGSSKMDLLQKISGSKQVPYLIDPNTGFQSGDHKKILSYLFQQYSTSGIVLEEVVRDSIVFILGKAPKKLQKWVKAGRAMNNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDMESFNNVKEWMSEIDKYANDSVCKLLVGNKCDLAESRVVETAAAQAYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13520.1 pep chromosome:ALNU02000000:4:19552224:19553899:-1 gene:OGLUM04G13520 transcript:OGLUM04G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVLSPHGKIYELATNGNMEGLIERYKSNLPEAQVERTEQNIPMVIQQDVLFLRREVDLLQNSLRYMYGEKDINHMNLGELQSLESNLEVWVNNIRSTKMQLMSREIEMLKNKEGILKAANDILQERVLLAINSCIL >OGLUM04G13530.1 pep chromosome:ALNU02000000:4:19561528:19564206:-1 gene:OGLUM04G13530 transcript:OGLUM04G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSIKIKRGVGKIKRTTKGGLLIAEATGISDTAQGYKDTPGICTKEQVEAWKPIVDGVHAKGGIFFCQIWHPNGQAPISSTNKSLNCRFDGVEIHGVHGYLIDQFLKDQVNDRTDKYGGSLENCCRFALEVVQAVADEIGGDKVGIRLSPFASYSEAADSNPEALALFMAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLTSDV >OGLUM04G13530.2 pep chromosome:ALNU02000000:4:19561528:19564206:-1 gene:OGLUM04G13530 transcript:OGLUM04G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSIKIKRGVGKIKRTTKGGLLIAEATGISDTAQGYKDTPGICTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNNIRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNAIEARFDGVEIHGVHGYLIDQFLKDQVNDRTDKYGGSLENCCRFALEVVQAVADEIGGDKVGIRLSPFASYSEAADSNPEALALFMAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLTSDV >OGLUM04G13530.3 pep chromosome:ALNU02000000:4:19561528:19564206:-1 gene:OGLUM04G13530 transcript:OGLUM04G13530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQSIKIKRGVGKIKRTTKGGLLIAEATGISDTAQGYKDTPGICTKEQVEAWKPIVDGVHAKGGIFFCQIWHVGRVSNNRFDGVEIHGVHGYLIDQFLKDQVNDRTDKYGGSLENCCRFALEVVQAVADEIGGDKVGIRLSPFASYSEAADSNPEALALFMAKVLNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLTSDV >OGLUM04G13540.1 pep chromosome:ALNU02000000:4:19565779:19568331:1 gene:OGLUM04G13540 transcript:OGLUM04G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQPYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13540.2 pep chromosome:ALNU02000000:4:19565779:19566848:1 gene:OGLUM04G13540 transcript:OGLUM04G13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFNNVKEWMSEIDKYANDIVCKLLVGNKCDLAESRVVETAAAQPYADEIGIPFLETSAKDSINVEEAFLAIKSGSQAALERKASNLVQMKGQPIQQQQQPQKSSCCSS >OGLUM04G13550.1 pep chromosome:ALNU02000000:4:19567662:19569543:-1 gene:OGLUM04G13550 transcript:OGLUM04G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVFSPHGKIYELATNGNMQGLIERYKNKSNLPEAQAESNEQNIPQVIQQDVLLLRQEVDLLQNSLRYMYGERDISHMNLGELQSLESNLEVWVNNIRSTKMQIMSREIEMLKNKEGILKAANDILQEREFEVIIII >OGLUM04G13560.1 pep chromosome:ALNU02000000:4:19575649:19580373:-1 gene:OGLUM04G13560 transcript:OGLUM04G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGRRPMRTVGTGVVHHHAGATRSGDRRPASRRKGDSGRPTGAAWVVLAPLTRQRSYGNVPQPHAILYYQQRTTKGGLLIAEATGISDTAQGSHVQLLFDGSAIFKDQMFPVCMQAFQPNGQAPISSTNKSLKPAVRANGIDVATFSTPRRLETDEIPFVVNDYRVAARNAIEAGKRHPITFFTPDILIRNILNYPNTGFDGVEIHGAHGYLIDQFLKDQVNDRSDKYGGSLENRCRFALEVVQAVTDEIGADKVGIRLSPFASYSEAADSNPEALGLYMANALNKFGILYCHMVEPRMVKLGEKFETPHSLRPIRDAFKGTFIAAGGYNKEDGNKAVSTGYTDLVAYGRLFLSNPDLPRRFEIDAPLNKYNRETFYISDPVIGYTDYPFLPSDV >OGLUM04G13570.1 pep chromosome:ALNU02000000:4:19581220:19594226:1 gene:OGLUM04G13570 transcript:OGLUM04G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAESKNHSARDMSSVIADQPNPACSMREMEHLELENNLKRVEGNVKDGNQKSTMQPEPEISMGKSNQLQPTEQQKRSNPRHSFLAYDSTA >OGLUM04G13580.1 pep chromosome:ALNU02000000:4:19584854:19587573:-1 gene:OGLUM04G13580 transcript:OGLUM04G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFQKGEDQKGESANMKPIPLLSSYDMGKFNLSHRVVLAPLTRSRSYGNLPQSHAMEYYSQRATKGGLLIAEATGVSSDAQGMSVIPHTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRASDMEERPISSTDKPIEKTEENYFLGFSTPRSLTVEEIPDVIKHFTLAAKNALEAGFDGVEVHAANGFLLDQFMKDGVNARDDEYGGGVAGRCRFALEVVDAVAAEAGAGRTGVRLSPYSRCLDCADSDPDALAAHMARELGARGVLYCNVVEPEMVATPAEGGGGGGETMRIPHRLRAVREAFAGTLMVGGGYDREEGNWAVAGGYADLVVYGRLFLANPDLPRRFRLGAPLNGYDRATFYTADPVAGYTDYPFLDDDGDDGDDGLAASAASASSNKSGDQDGV >OGLUM04G13590.1 pep chromosome:ALNU02000000:4:19588996:19597283:-1 gene:OGLUM04G13590 transcript:OGLUM04G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSSNGVPPGFRFHPTDEELLLYYLKKKVGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDSQSASSEDGWVVCRVFKKKCFFKIGGGGGGEGSGGSQGGDVGGGHLAVSPPLGGGHAMAAASHYMHPHHQYHHHHHHAAAASPFYYTQMPPPAAAAPPHAAYSHHVQVQDLLTNHRPSADAGYDFSGLPAVDHHHHHPGLDVGSSDGGGGVAAGGADGDQAAAAAAGSTDQQQWQAMDGFSNGGAGAAAAVQQQLGAMSSGQRGGEMDLWGYGRLTFYIFGNASPSNLTQASYIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKEHTVGSPIADIDCKSCSRNKDNYVICPFKFQVNQDTRTSRGAGEREKMDPVPLFNPCEMGRFTFSHRIVLAPLTRARSYGNLPQSHAILYYSQRATKGGLLISEATGVSSDAPCTNTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDLEQEPISSTDKPVEKNEDMDFPVPRRLAVEEIPDVINHFRIAARNAIDAGFDGVEVHGAHGFLLEQFMKDGVNDRADEYGGSLQNRCRFALEVIDAVSTEVGPDRVGFRISPYISYYGCHDSDPDALGVYMARELDRRGVLYCSAVEPEMVAATTVVDGETTTTTMSRRMMIPHRLHGMREAFRRGMFMVGGGYDRDAGNMAVASGYADMVVFGRLFLANPDLPRRFQLDAPLNKYDRATFYTHDPVVGYTDYPFLDDDREAMSDHTG >OGLUM04G13600.1 pep chromosome:ALNU02000000:4:19602890:19606602:-1 gene:OGLUM04G13600 transcript:OGLUM04G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G26230) TAIR;Acc:AT1G26230] MAAPPPPLSGTGKPPTLPFSLKKPPPMPVYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLSNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDVAGDGCTTSIILAQGLIAEGMKVLSAGINPVQIARGIEKTASALVSELRLMSREIEDHEIAHVAAVSAGDDYAVGNMISDAFKRVGRKGMVRIENGRGTENGLEIVEGMQFERGYLSPYFVTDRTNMSAEFTDCKILLVDKKITDASEIIRILDSAVKEDYPLLIVAEDVEEKAMADLIKNKLKGTIKVAAIKAPSFGEQMTQCLDDIAIMTGGTLVREDMGYTLEKAGKEVLGSASKVVVGKDSTLIVTDGSTQHVIEKRVAQIKGQIENSSERYQKKILGERIARLCGGIAIIQVGAQTIIEMKDKKLRIEDALNATKAAIEEGVVIGGGCSLLRLSMKIDRIKESLDNMEQKIGADIFKQALSYPTALIANNAGVNGSFVIEKVLLNEDSRYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVIVEAKEGKPVRIRPPMPPKSLIPPMPASASGIRV >OGLUM04G13610.1 pep chromosome:ALNU02000000:4:19607888:19608796:1 gene:OGLUM04G13610 transcript:OGLUM04G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAVGDADGGVVSPGGGLQASPTVVVDGDVVLSGVVVVLVALAFVFVMHHFPAAMRRLDSDAGSSSASSGRQRGGRGVMAGVVGIDAAKAGGQGGVDPAVLRALPVTVHRAEAAPPPPLECAVCLAEVEDGEAARFLPRCGHGFHAECVDLWLRSHPTCPLCRLAVVADXTAAAAGSCASTAAPSSLAPRHHRHHLRLPYRGRRRRRGGRGRWEDGKEERERAREREREDGWRGDGQRVPMTGEDKGKRVGWAIFACGSLSRPARENRLIFACGPIKWPVHENRFSCAGDVLMPLFHFSV >OGLUM04G13620.1 pep chromosome:ALNU02000000:4:19609826:19610074:1 gene:OGLUM04G13620 transcript:OGLUM04G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGREVAAAAPSGGRRIGLPKRRGSRAGVRRSGGGRVRWPGRGSMSPDLVEVGSGGGCVRRSVWEALAAGSGFPEAKSGV >OGLUM04G13630.1 pep chromosome:ALNU02000000:4:19631331:19631858:1 gene:OGLUM04G13630 transcript:OGLUM04G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGMVEDAAAAAGEEGLTLSLSLQPSPPRFQALFSCCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAAASLAAAAAAAAAAAASSGDQQQQGRTTAAAAPVLAGGESAPPAAARAAADLDGAGVWGGAGMRGRPAHHHRLMQGGYSSGGSSAAGGRGNGELADEMIDLSLKL >OGLUM04G13640.1 pep chromosome:ALNU02000000:4:19637014:19642930:1 gene:OGLUM04G13640 transcript:OGLUM04G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGRHLERSGSKRALDAGGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAATPARIQGRTSPKRIEGPSGINLQLQFRSRLSLPLFTGGKVEGEQGAAIHVVLLDANTGRVVTSGPESFAKLDVLVLEGDFNKEQDEDWTEEEFENHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGVRVKEAKTEAFTVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNSNGIYTVEHFLQLLVRDQQKLRTILGSNMSNKMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQYISAENLSENQRLFADTLVKQAYDDWINVVEYDGKELLRFKQKKKSVTTRSDTAKASTSYPSSYGSTHSHKQLTGGPVNIEQSSMSSMSEDGTRNMSNGSQAARYAANPQDISQSITMPYDMSSLRPEEQFAGSSIQTQASRSSNMLALGPTQQQNFEFSALGQSMQPSPLNPFDDWSRLQENRGGVDDYLMEEIRVRSHEILENEEDMQQMLRILSMGGSSANMNHGDGFSPFMPSPAPAFNYEDDRARPSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >OGLUM04G13650.1 pep chromosome:ALNU02000000:4:19645999:19647161:1 gene:OGLUM04G13650 transcript:OGLUM04G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLSPRNGNEGDEQEEIQELISDDEPPNLELASCATAATATSSSGSGSDMEKGRGKACGCGGGGTAPPPPPSSSGKSGGGGGSNNVREAAASGGGGGVVWGKYFSVESLLLLVCVTALLVILPLVLPPLPPPPSMLMLVPVAMLVLLLALAFMPTTTSSSSGGGGGGRNAATTGQAPYIRFYTRFSKVSCDTYQVSCDFYHVSRDTREVSCDTCHVSDNFYHVSRDTREVSCDTRQVSDDFYHVSRDTHEVSCQVSDDFCYVSRDTYEISDDTYHVSGDS >OGLUM04G13660.1 pep chromosome:ALNU02000000:4:19658252:19658969:-1 gene:OGLUM04G13660 transcript:OGLUM04G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGRRPGEQGRQCLAPVLREGNFLPCPCANANELGRVARGKAEDADGGGAPEEDRVDVGRHNASAAGQGSDSQRRRHARESAEVAAAALLPSLVLVADESTGTIGKRLASINVENVEENRRALRELLFTVPCVLDCLSVIILFEETLYQSTRDGTPFVDVLAAAGVLAGIKVDKGTAELAGTDRETTTQGHAGLGERCRRYYAASVRFAKWRAVLSIGASKPSQLAVDANAQA >OGLUM04G13670.1 pep chromosome:ALNU02000000:4:19664974:19668934:-1 gene:OGLUM04G13670 transcript:OGLUM04G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZL99] MAAATIEDTPTWVVAAVCSAIVLISFAFERSLHYLGKALERRRRTLYEAFLKLKEELMLLGFISLLLVVFQDLIQRICIAESLMGHWLPCRGDGKASSHSRHGIAASSAAIVSGAGARRLLGEGTAGSGHCSSKGKVPLLSLHAIEQIHIFIFVLAITHVVLSAVTVLLGLLQMRRWRHWENAIKADGDFGPKMINRVQQFKFIQDRYKGFDKVTMVIIWMRSFFKQFYGSVTKDDYTAMRLGFVMEHFRGHPKFNFYDYMIKALEKDYKRVVGIKWYLWIFVMIFLLLNITGWHSYFWISLIPLVLLLLIGTKLEHIITQLAYEVATKHTAVEGDIAVSPSDNLFWLHSPRLATFGFNSCIMDRLPYRVSRIVICVVVQVLCSYSTLPLYAISAVFSDDVANGLREWADEAQRRTRRAAAGAGCLGGAAATAAGSSRREGIDIQNV >OGLUM04G13680.1 pep chromosome:ALNU02000000:4:19671226:19674095:1 gene:OGLUM04G13680 transcript:OGLUM04G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMESSYLPATTESIAKAQEAKDASESISILYRVLQDPSSSAEALRTKELAITNLTNYLTKENRAEELRNLLTQLRPFFSLIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALTLLTGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEAIFPATLETISNVGKVVDSLYMRSAKIMA >OGLUM04G13690.1 pep chromosome:ALNU02000000:4:19679277:19680686:-1 gene:OGLUM04G13690 transcript:OGLUM04G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSTKLRIPTSQQQQLDAAIMDGAHRSPAARPPAPPRSKMKLLLLVIATNLVSVYLFSGASLSLRLPAGAAAPSIHLWDSSALLRDLGATRAALAAARAEVAALRAQCNASSLLLESVLAGLGAAHGDKPAAADRGFDGWPEEPTGELRLATEPHRLPLGFSAKLGTDELHPGVGFACRNFQDELARYMAYDAGGECPDDADALELQLILKGCEPLPRRRCRPRSPARYVEPAPLPGSLWSIPPDTTVNWSPYACKNYTCLVGRARARGGGGGSYECKDCFDLAAGGKERRRWMSDNGGPGFSIDGVLASRAPGTVRVGLDIGGGAGTFAARMRERGVTVVTTTLDVGAPFSAFVASRGLVPLQLSLAQRLPLADGVMDIVHAMQLGGWVPGAVLELALFDVYRVLRPGGVFWLDHFACVGPRLNDTYAPILDRVGFRRLRWKASRKLDLGAERNEWYLSALLEKPLT >OGLUM04G13700.1 pep chromosome:ALNU02000000:4:19683986:19689495:1 gene:OGLUM04G13700 transcript:OGLUM04G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMMAQERGEPLLQSGNGAAGGGAKGSPPPSLARTVLKVLMWAVFLTWAAAIFFYPIKPAQAAFEGWMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISAFPSDHIHVEKNKLKTLCFRLWTFPVLVDGPFGVVSAVEFIGIVLFIVYVVYSMTYYAVESVSLISKFGQISLTYSELLLYIIGLRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWSLEGNLLGELAAWKEIGVANLPGVISLAAGLLMWVTSLHPVRKTYFELFFYTHQLYIIFVVFLAFHVGDFIFSFSAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPASLRYNALSFIFVQVRELSFLEWHPFSVSSSPMDGRYHMSILIKVLGSWTEKLRGIITDAQEQGRNGSESETGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDQKATGMFVKNGTTMSGLVGTGNNFWAGMYFAASTLGFVLAYALAQAYYVRRLNVFAWWHLGLVFVLCMAAGVTLPGGLVVLLWHLSEKRKAEDDRWDAAAAAVPRAQDGEEEAEQTTNGADAADGGVSLAAVKTTRYGCRPQFEAEFAAFAEKAGGGAADVGVLVCGPLGLQASVARECRSHNLGRRGGRRRRAGAVFHFNSHSFDL >OGLUM04G13700.2 pep chromosome:ALNU02000000:4:19685890:19689495:1 gene:OGLUM04G13700 transcript:OGLUM04G13700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYAVESVSLISKFGQISLTYSELLLYIIGLRFGSVGLFCMAFLFLPVSRGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIAWSLEGNLLGELAAWKEIGVANLPGVISLAAGLLMWVTSLHPVRKTYFELFFYTHQLYIIFVVFLAFHVGDFIFSFSAGPIFLFMLDRFLRFWQSRAKVDIISASCRPCGTVELVFSKPASLRYNALSFIFVQVRELSFLEWHPFSVSSSPMDGRYHMSILIKVLGSWTEKLRGIITDAQEQGRNGSESETGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKPCMPKNVLVLWSVKKSNELSLLSAVDAQFISSSVSDKLHLDIQAFVTQESQPPLEEGIVGDDQKATGMFVKNGTTMSGLVGTGNNFWAGMYFAASTLGFVLAYALAQAYYVRRLNVFAWWHLGLVFVLCMAAGVTLPGGLVVLLWHLSEKRKAEDDRWDAAAAAVPRAQDGEEEAEQTTNGADAADGGVSLAAVKTTRYGCRPQFEAEFAAFAEKAGGGAADVGVLVCGPLGLQASVARECRSHNLGRRGGRRRRAGAVFHFNSHSFDL >OGLUM04G13700.3 pep chromosome:ALNU02000000:4:19683986:19685595:1 gene:OGLUM04G13700 transcript:OGLUM04G13700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMMAQERGEPLLQSGNGAAGGGAKGSPPPSLARTVLKVLMWAVFLTWAAAIFFYPIKPAQAAFEGWMAATKQSLFGITGSIFLAFSAPILIVAALAYVYISAFPSDHIHVE >OGLUM04G13710.1 pep chromosome:ALNU02000000:4:19690046:19694197:1 gene:OGLUM04G13710 transcript:OGLUM04G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYGSVTIVHDARSPEDVFQDFCGRRSGIVKALTIEVEKFYKQCDPEKENLCLYGLPNGTWAVTLPADEVPPELPEPALGINFARDGMQEKDWLSLIAVHSDSWLLSVAFYFGARFGFDKKARERLFMMTSSLPTVFEVVSGGVNTQSKTANGSSKNKSGSKPPKRPNSDSKPQKQVQAKYEEENGGRGNGGDEDQAETICGACGEAYANGEFWICCDICETWFHGKCVRITPAKAEHIKHYKCPGCSNKRTRE >OGLUM04G13720.1 pep chromosome:ALNU02000000:4:19693415:19698695:-1 gene:OGLUM04G13720 transcript:OGLUM04G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDKRRIALRYIKGSFALDVLGCFPWDAIYKVTGRVEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREIDLLTRYVTSLYLAIVTMATVGYGDIHAVNTREMAFTVVYISFSIVLSAYLIGNMTALIVKGSRTERFRDRMTDLIRYMNRNRLGSAIRSQVKDHLMLQYESSYTRDRVIVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >OGLUM04G13720.2 pep chromosome:ALNU02000000:4:19693415:19697626:-1 gene:OGLUM04G13720 transcript:OGLUM04G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHLVEQVTGRVEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREIDLLTRYVTSLYLAIVTMATVGYGDIHAVNTREMAFTVVYISFSIVLSAYLIGNMTALIVKGSRTERFRDRMTDLIRYMNRNRLGSAIRSQVKDHLMLQYESSYTRDRVIVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >OGLUM04G13720.3 pep chromosome:ALNU02000000:4:19693415:19695653:-1 gene:OGLUM04G13720 transcript:OGLUM04G13720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTVVYISFSIVLSAYLIGNMTALIVKGSRTERFRDRMTDLIRYMNRNRLGSAIRSQVKDHLMLQYESSYTRDRVIVDDIPVAVRSKMSQTLYLDMVSRVGLFRGCSDDFLSQIVLKLHEEFFLPGEVILEQGTVVDQIYIVAHGCLEEVANGEDGSEEIISELRPYGIVGDVAVICNIPQPYTVRVCELCSLLRIDKQSLTSILQIYFKDNSQILSNLLKGKETESKRKQLESDITYLLAKQESELVLGVNNAAYHGDIFRLKSLISAGADPSKSDYDGRTALHIAALRGYEDIVRFLIQRGANVNSIDRFGNSPLLQAVKSGHDRITSLLVEHGAILNLEDAGGYLCRVVRGGRIDLLKKLLRFGISPNCRNYDQRTPLHIAAAEGLHLVASTLIESGADIQAKDRWGNTPLDEGRRCSSKPLVRILEQARTVATN >OGLUM04G13720.4 pep chromosome:ALNU02000000:4:19695665:19698695:-1 gene:OGLUM04G13720 transcript:OGLUM04G13720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDKRRIALRYIKGSFALDVLGCFPWDAIYKVTGRVEAVRWLVWVRLYRGRKVMAFFKRVEKDIRVSYLLTRIVKLITVELYCTHTAACGFYYLATTLPPAREGGTWIGSLSLGDARYINFREIDLLTRYVTSLYLAIAMATSTR >OGLUM04G13720.5 pep chromosome:ALNU02000000:4:19697654:19698695:-1 gene:OGLUM04G13720 transcript:OGLUM04G13720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYELNEIDDTLHGSVGSRLSLFARELKSRRSSSWHGGTALRLPKDLYESLVIHPNGRWYRIWANMMFLWSIYSTFFTPFEFSFFRGLPDQLLDLECVQLVFLADVAVHFFLAYRDPHTYRMVHDKRRIALRYIKGSFALDVLGCFPWDAIYKVGTQHCHRKNRDL >OGLUM04G13730.1 pep chromosome:ALNU02000000:4:19696522:19699155:1 gene:OGLUM04G13730 transcript:OGLUM04G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRHGSSETGLILSKISVLAMAMLRAYLVDGVPGEAAEHVESKAAFDVADKNTNPGVGVAVGEEEVDGDVGEEDELDALEVEQLIGESAEEAELERGEEGGVDGPQEHHILNAKQSTGPAAFCCRRAIGHCTNGTTKLAFRLNSTGGEFWTSSASAYGYVTWMAV >OGLUM04G13740.1 pep chromosome:ALNU02000000:4:19702015:19702665:1 gene:OGLUM04G13740 transcript:OGLUM04G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10250) TAIR;Acc:AT4G10250] MASMRTAAAAAAMLACIAVVLASTAADGALLPWFGGGGARDEAVPELGLLAAADPFRILEHVPFGFDRDDVAMLSMARVDWRETGDAHEVVVDVPGMRKEDLRVEVEDNRVLRISGERRREETTEQKGGGDHWHREERSYGRFWRQLRLPDNADLDSIAASLDNGVLTVRFRKLAPDQIKGPRVVGIAAAGGDDGGKKSIGGAGEGQNQQAKKVEL >OGLUM04G13750.1 pep chromosome:ALNU02000000:4:19704228:19704770:-1 gene:OGLUM04G13750 transcript:OGLUM04G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTTMVGVATSAHLHTTTPGRLSCACAPPTRGTRRRRLAVAVRVRVRASASEAMATEKLGVRVERNPPESRLSELGVREWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPDGAGEDFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYKFE >OGLUM04G13760.1 pep chromosome:ALNU02000000:4:19706163:19706738:1 gene:OGLUM04G13760 transcript:OGLUM04G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLVFSLLLAVACSHAALAAAASSSAVEDTCAKATASGSRKDLAPFCVSTLQAAPGSAGADARGLAVIATNLTLANYTAAYATIKALQRRGGWSERERAALATCRQLYIEALNVVHSAIHALNTGQTQAYVADMGVVRRAATGCEDAFGFGGGGGGGVGNQLATESPLHKVDDDAINLTTVATLIVLIL >OGLUM04G13770.1 pep chromosome:ALNU02000000:4:19707566:19710424:1 gene:OGLUM04G13770 transcript:OGLUM04G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGMRVNVASLLALGDDTVELLSERKDGEALAQACAGARMLRSACRSESDDLEVQMKVRDELDNLDSQRDSIEQRKEALRKMEKEMMKAQNMLSMCVSVTKIMPNFEDKDKISDIVDKNMKKLERFEFDKTTPPVDICNNLWKMV >OGLUM04G13780.1 pep chromosome:ALNU02000000:4:19714976:19716229:1 gene:OGLUM04G13780 transcript:OGLUM04G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDAAASASPSPSPSPVASALPVADPVTVAAGPPSGLLALALPIQKQQHAASPNPGGGGGGREDAWSEGATAALIDAWGERFVALGRGSLRHPQWQEVADAVSSREGYAKAPKSDVQCKNRIDTLKKKYKIERAKPASSWQFFGRLDDLLAPTFNQKPSGNGGGGVGASVNGRNPVPAALRVGFPQRSRTPLMPAPVSAVKRRALSPEPSASSESSDGFPPEREPAFPPLPLPPPPNGKRSRADEGRGGGAGGGGDRAQGLRELAQAIRRFGEAYERVETAKLEQSAEMERRRLDFASELESQRVQFFLNTQMELSQVKNHSSSPANAAAPPGATGGAGGTSRRMASVNDASASGNYHRRYRVSDGGRHRHHPQPPPSRPHYQYHENNIAVAAAAAASDGEQSDDEEDDDEEESQ >OGLUM04G13790.1 pep chromosome:ALNU02000000:4:19718368:19721783:-1 gene:OGLUM04G13790 transcript:OGLUM04G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDAGFMGPNYSISTACATSNYCFYAAANHISRGEADVIVAGGTEAAIIPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQFNPEPEVDFDTVANEKQQHEVNVAISNSFGFGGHNSVVVFAPFKP >OGLUM04G13790.2 pep chromosome:ALNU02000000:4:19718660:19721783:-1 gene:OGLUM04G13790 transcript:OGLUM04G13790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLTVFSDGVQNLIEKGYKKISPFFIPYAITNMGSALLAMDAGFMGPNYSISTACATSNYCFYAAANHISRGEADVIVAGGTEAAIIPIGLGGFVACRALSQRNDDPETASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITQSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFKNPSDIKINSTKSMIGHCLGAAGGLEAIATVKAITTGWVHPTINQFNPEPEVDFDTVANEKQQHEVNVGEFLYPCTNQRQKSLP >OGLUM04G13800.1 pep chromosome:ALNU02000000:4:19724111:19728276:1 gene:OGLUM04G13800 transcript:OGLUM04G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM1B [Source:Projected from Arabidopsis thaliana (AT3G14080) UniProtKB/Swiss-Prot;Acc:Q8LFL8] MSSWAGPDEIFLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >OGLUM04G13800.2 pep chromosome:ALNU02000000:4:19724726:19728276:1 gene:OGLUM04G13800 transcript:OGLUM04G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM1B [Source:Projected from Arabidopsis thaliana (AT3G14080) UniProtKB/Swiss-Prot;Acc:Q8LFL8] MSSWAGPDEIFLSTSLAGFLDSEALSLAGALRNLLSYYEMDGSCLAHSAHLISLGACERVIVGELYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGSMRKRMEFLDFD >OGLUM04G13810.1 pep chromosome:ALNU02000000:4:19736938:19740536:1 gene:OGLUM04G13810 transcript:OGLUM04G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSMPVVSKIFCSSTLTTLMIRRRPTVVNGGGFVVTDLGNNVVFIVDGCGILGSKGELLVKNGDGEPILFISRKGGIIQALSTWNKWNGYSMDYQGKKKLIFSLSDPKSCIAKGAPIRIHIEPKRHCKNWDFEISGSFADRNCTITDCTGTIVGQMGKTEQIGTNDFYHVVVQSGCDKAFIIGAMAVLDNIHGESTRC >OGLUM04G13820.1 pep chromosome:ALNU02000000:4:19741097:19742758:-1 gene:OGLUM04G13820 transcript:OGLUM04G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G06000) TAIR;Acc:AT2G06000] MPSRLHALLCIYLPHPRPLARLLHYSAAGPPSQQQQLPPSSSPPSPSHASAELWIAKALASAALLRPRHLPGFRRIDPSPLAAAAALRLAPCASSALAVFTALHCSPLSITPSAHSCQQIIVVLCRSGRQADALQLFDQMTTHYGYSPDARFLSFLVSSCTCANLLDASATLLSKASEFGCRVEAYAYNKLMSSLIGRGRVHDVVALFERWIQDRVYSPDVWSFNVVIKGVCRVGQVQKALELVERMNEFGCSPDTVTHNILVDGLCRTNEVSRGHEVLRRLQRDGVCMPNVVTFTSVISGYCKAGKLEDAMAVYNDMVASGIMPNTVTYNVLINGYGKVGDLGSAVEVYQQMTRLRCPPDVVTFSSLIDGYCRCGQLDDALRIWSDMAQHRIQPNGYTFSIIIHSLCKQNRSDEAIGLLNELNLRPDIAPQAFIYNPVIDVLCKCGKVDEANLIRKGMEEKGCRPDKYTYTILIIGYCMKSRISEAIMFFHEMVEAGCSPDSITVNCFISCLLKAGMPNEADHVMRLASGGASSIQEVPSPVRQRLDISVAL >OGLUM04G13830.1 pep chromosome:ALNU02000000:4:19744349:19747105:1 gene:OGLUM04G13830 transcript:OGLUM04G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OBP3-responsive gene 4 [Source:Projected from Arabidopsis thaliana (AT2G06010) TAIR;Acc:AT2G06010] MASSSRGGGGGGVGPDGGVGDGPTTLDELYKINVVPAELHFKFRKELQGLRVGLNFEFYNLEVNDFEAKVVLKPLDYERKWKFHYKPISGDIQLLSKKIPVTKFLNLQVGIGHNFHLNATGWKWKLSTCLGGDGVSQIRNKSTLSMFPGFDLRVGWRAEYVLPEIHGAVGTGEPAFSMNYGRLQASIDRVEAIVTQSDRY >OGLUM04G13840.1 pep chromosome:ALNU02000000:4:19747754:19750297:-1 gene:OGLUM04G13840 transcript:OGLUM04G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAAATPAKASPKKANLLDPHSIKHLLDETISDVVKSKGYAEDTRLGNWKLAMGAAVIAIALLAQFYPKKFPQNRDYTKEKDAIMFTHPPAGSFNSTGLVISSKLPRFSDMYTITIASADPQSISANKPVHFTKSVTKWFTKEGVLVEGLFWKDVERLIDDYNTERKGK >OGLUM04G13850.1 pep chromosome:ALNU02000000:4:19764314:19765848:1 gene:OGLUM04G13850 transcript:OGLUM04G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREANSIDQEASASSSEEAANEDKPAEQGVSGTIDRIVTFIGDRGSNLNNLGEMLKQWEGTCNRYGTFFSTSDKSSSLRGMDPCQWSSTGPPPSPAGWAARSSTSAWPWAEAASMLNDTPGLLALLDARSQEQPLKILLKSVEDAKDFISNWKINKDVVEVDVCPALTLDEVGAIRRLFQVEKADKFLTGDVVKVKLGQYEAIQAARNLPELPVSAVCAILAAAEKGKNKGAEENGGEKAADVGAQGQQKPSAYLSVMLLFSTWYSKVSIGINSK >OGLUM04G13860.1 pep chromosome:ALNU02000000:4:19766911:19771354:-1 gene:OGLUM04G13860 transcript:OGLUM04G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPNAAPTVSSPRGPTRLAGMADEGTKEEGVGFTGGSSLEFIGGKENSLPASRVSRMLSSELPQELHFINFVLKMGKYLMCKRTTLKPTHGKEISEKGKPNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKRLSFDLAPIRFARRDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDRPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRSAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNEYLCSIARQTIELHIREAVPPKAKLFVL >OGLUM04G13860.2 pep chromosome:ALNU02000000:4:19766911:19770883:-1 gene:OGLUM04G13860 transcript:OGLUM04G13860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSELPQELHFINFVLKMGKYLMCKRTTLKPTHGKEISEKGKPNLQFEDLPADLICTILSKLPQKEAIRTSVLSSKWRSMWTLRSKISLDGGAVCGSRRRGQNKYCQRFINNVEKVLQNYQGKMVEAFGIKFEFDSILVDNLNKWVSFAVSARTKRLSFDLAPIRFARRDDRFIFPFELLDSGSICRLQHLQFSFISLQPPSWFGGFPNLRKLELNLVHVTRKELENMLCNCCCLEWLSMVRCHLKDDLRVDRPMSHLAYLLISCCVITKIELHATKLSTFIYEGEFVPIVLNHTSKLVNAHIFIFDAIFHHVVASLFHGLPNVHKLTLCIPDLQLENLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRSAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNEYLCSIARQTIELHIREAVPPKAKLFVL >OGLUM04G13860.3 pep chromosome:ALNU02000000:4:19765443:19769485:-1 gene:OGLUM04G13860 transcript:OGLUM04G13860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLMCKRTTLKPTHGKEISEKGKPNLQFEDLPANLWSLDNSLKFSCLKHIQLFAHILSHGSDKILYLAYVMRSAPFVEKVEVHFASGHSLWFAQEGPLRHELGHDEYKYLKNLCVTGFKGARGQLEFLLHVVENATALDVITVDTTERMLESDIKNEYLCSIARQTIELHIREAVPPKAKLFDIHSLLSFPLSLMSFNRHEHKQTSRSLTSFDWFSAEAKYW >OGLUM04G13870.1 pep chromosome:ALNU02000000:4:19773319:19787055:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MPSSPSPPTLCTLLPSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCIPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLVSRRDAVRRLLFFSNPSPPSSPTSTLPKLPEFHTLQILIRIPSTPPPPSRGTRRDASVEQRPCAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNLDGEDDDSEYDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEYRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVKLQAYQCAIAYMNVYIDAIIYGSTGTGEDARQAKLEDYCRTPFSTPS >OGLUM04G13870.2 pep chromosome:ALNU02000000:4:19773319:19786549:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MPSSPSPPTLCTLLPSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCIPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLVSRRDAVRRLLFFSNPSPPSSPTSTLPKLPEFHTLQILIRIPSTPPPPSRGTRRDASVEQRPCAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNLDGEDDDSEYDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEYRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVKLQAYQCAIAYMNVYIDAIIYGSTVQMVTRLSEQSGERPENDQAEPYCTGPSLHA >OGLUM04G13870.3 pep chromosome:ALNU02000000:4:19773319:19786549:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MPSSPSPPTLCTLLPSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCIPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLVPHAPNPYSNPLHAASPQPRHAPGRIRRAATMSSFWGAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNLDGEDDDSEYDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEYRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVKLQAYQCAIAYMNVYIDAIIYGSTVQMVTRLSEQSGERPENDQAEPYCTGPSLHA >OGLUM04G13870.4 pep chromosome:ALNU02000000:4:19773319:19786549:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MPSSPSPPTLCTLLPSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCIPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLVSRRDAVRRLLFFSNPSPPSSPTSTLPKLPEFHTLQILIRIPSTPPPPSRGTRRDASVEQRPCAEVKPGKPYTHTHIPRLGRLRLTQATLGGEAGKVEKGGGGKKNVVQLQCTVKNKDPVFLCALVPGQSETCHLELEFEEKHVTFSVLGPRSVHLAGYYIGDVYGEEIDDSDTGSDSLQGSDDDAFLDTDDDDSGADDGTVLIPLSPGNLDGEDDDSEYDSEDDDSEMIYNQRRGKSSVVIEEIQEDDKPAAGGAQKGSNKKQTSENGDDSKLQLVVRTPPAESLESEDEDGFPVSFSESKKRTDGSSKKKGNLNNKMSTEYRKRKSSAVGDHHDPSGEVKDENDGVSKKNKKAKAKKTAVGGVEKEIKQEDSPADLVDAKQKKNKNKNTSEAEAGAHQNTDKTKHIHTDAEEDTAQEASKKKKNKKKKTQEKNESENQTPKTQEKNAKGNQTSNTDLTGSESKKQPLQTRTFGNGMIIEEIEMGKPDGKKASPGKKVSVKYIGKLKNGTIFDSTVGRRAFDFRLGIGEVIKGWDIGINGMRVGDKRRLTIPPSMGYGNKRMGPIPQNSTLVFDVELVNVKLQAYQCAIAYMNVYIDAIIYGSTVQMVTRLSEQSGERPENDQAEPYCTGPSLHA >OGLUM04G13870.5 pep chromosome:ALNU02000000:4:19773319:19787147:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MPSSPSPPTLCTLLPSSSSSSSGHPHHPRQPTAAGGWLPPRLRSSGAKPPPTPRLESPTTRQQQQAPRRLLGSDRRLSALVHRGDLDAALRLVESSPRPPDAALANRLVRDLCRRGRPDDAERVVGACGPAATVVAYGALTDGYCRAGRLGDARRVVGGMPVQPNAYTYNPLIHTLCERGQVRDALSVLDDMLCRGCAPDVVTYNILLEATCKGRGYRQAMELIDLMRAEGCTPNNVTYNVLMDGMCGEGDVDDALELLRNLPSHGCKPSTVNYNTVLKGLCSAERWGDADELVTEMLRENCPPNEATFNVVIYSLCRKGLLQQAIQLLEKMSKHGCTANIVTYNAIINGLCEQRNVDGAMGLLSKMKSYGCKPDIVTYNTLLKGLCSAARWVDAEELMDNMTQNGCLPDNVTFNTLIGFLCQKGLMVDAIEVFKQMPDKGCIPNSITYSTIISGLAKATKLDQALELFNEMGHKGFNPDKIYQLLAECLNDDDTIEEAIQTVRKLQDSGISPHTVLYNAILLGLCRNGKTEFAIDIMAYMVSSGCMPDDLTYVILIEGLAYEGYLNEARELLIKLCSRDVLVNSLIKSEALLLQAYQCAIAYMNVYIDAIIYGSTVQMVTRLSEQSGERPENDQAEPYCTGNNFLSCQKFIQGTGEDARQAKLEDYCSSNLHNTFLYPILTKAKHRGTGAPPRPNHQTVHRSSVCCAHQT >OGLUM04G13870.6 pep chromosome:ALNU02000000:4:19782847:19787227:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MHDKLSLKTTVEHLSLPHPDKSKAPWHRRTAQTKSPDRSSVISVLCASDLIPHQKKALQSCRPAAGQIWENPRRPI >OGLUM04G13870.7 pep chromosome:ALNU02000000:4:19785387:19787227:1 gene:OGLUM04G13870 transcript:OGLUM04G13870.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD2] MHDKLSLKTTVEHLSLPHPDKSKAPWHRRTAQTKSPDRSSVISVLCASDLIPHQKKALQSCRPAAGQIWENPRRPI >OGLUM04G13880.1 pep chromosome:ALNU02000000:4:19793612:19794924:1 gene:OGLUM04G13880 transcript:OGLUM04G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALDKFIAVVKETKPRWDLRAATRCLEAVLARLLPPRQRRSSHSRRWPPNHGIDNDDDCDIGTDRALSRLFVKGISLATLMRLELPGGVYFPGCPGAVPFPKTQVHSLHVIVQLGCSLVMVITATATDFNCPQRLSSEHTLCLVPEIREKFGESW >OGLUM04G13890.1 pep chromosome:ALNU02000000:4:19796866:19797069:1 gene:OGLUM04G13890 transcript:OGLUM04G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEIPNFFRDVTYRCRVIRTFGKRKPGQDRHLRSKKVNLICSPEMIPEMMVYLKIVLPQGIEVPD >OGLUM04G13900.1 pep chromosome:ALNU02000000:4:19811836:19817335:1 gene:OGLUM04G13900 transcript:OGLUM04G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLD8] MASLELLGRRMSSCSWGGGSAAAMRGGAGMCFASMEAAGSRGMGKGASRRRTARSTAPVGALVERVVVAPAPVEQQRGAGRPEAHPQSVAARAVVTVRRRRKEDAKDRFAEQLDALADRVGRSVLLELVSTETDPRKGTPKKSKPSALVGWFDKKDVKAERVVYTAEFAVDAGFGEPGAVTVLNRHQREFYIESIVVEGFPTGPAHFTCNSWVQPTRVSRDRRVFFSNRPYLPSETPPGLRELRLRELADLRGDGTGERRITDRVYDYDVYNDLGNPDKGVASARPVLGGEQMPYPRRMRTGHASAESRVEYPEPIYVSRDEEFEEGKNEMLSEGAIKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALHDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPPESAITEEHIIGHLDGMSVQEAVEGSRLYMLDYHDIFLPFLDRINAQDGRKAYGTRAVFFLTAAGTLKPIAIELCLPPMTDGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILINGDGVIESGFTPGNVCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPSQPHGLRLLIKDYPYAADGLLLWSAISRWCEAYVAAYYPSDEAVQADYELQSWYAEAVQSGHADKRGAPWWPRLSTPGDLASLLTTLVWLCSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEYAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEEYLGERPDEAWTADPAALAAAREFAADVRRAEEEIERRNADPSRRNRCGAGVLPYELMAPSSGPGITCRGVPNSVTI >OGLUM04G13910.1 pep chromosome:ALNU02000000:4:19819941:19820669:-1 gene:OGLUM04G13910 transcript:OGLUM04G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELSEPPSSASVRRSSSSFYRYIQIFGYFLSVVLMDVLSRITFINDSSRCWQINLRKFFSFRITCYQRLLAVGR >OGLUM04G13920.1 pep chromosome:ALNU02000000:4:19821074:19821532:-1 gene:OGLUM04G13920 transcript:OGLUM04G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLRYYDAAFDAVDAAGLLETSPARAKVEEMFAREIRNAVAFEGAERFERHESFAGRRRRMEDGGGLQWGSKAEEKCLLPSSSWARRPRRQPSPPVSLRPDGSLPPAVAAAPLVLPLPRASAAEPPRCAPMPPTAAPLVLPPPLPTEKERI >OGLUM04G13930.1 pep chromosome:ALNU02000000:4:19822426:19823130:-1 gene:OGLUM04G13930 transcript:OGLUM04G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNCGGRTRGAAAAGGIGAQRGRRLAWEDEGNGGSGGPRCYSSSSPSQLPVRSSTARESLDHISINCTGGVGATSGCRSVHSRRSAPTRRARLLVPAQPRLCLDSPDWRQSAAGREWERERVLTACVDGYFVILSLLISVLHARVAG >OGLUM04G13940.1 pep chromosome:ALNU02000000:4:19823414:19825306:-1 gene:OGLUM04G13940 transcript:OGLUM04G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLE2] MALSTAQTGESMHSSTFASRYVRTALPRFRMPEKSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNRMKAEGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTQGYYVMNPEKAVEMVDENTICVAAILGSTLNGEFEDVKMLNDLLTAKNAETGWNTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSNQIIAQYYQLIRLGFEGYKDIMQNCRDNATVLREGIEKTGHFDVVSKDSGVPLVAFSLKDSSRYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRGLAERLITDLTKTVADMDAHAVKKAAAEPAKKTVREIEKEVTTYWRSFVARKKSSLVC >OGLUM04G13950.1 pep chromosome:ALNU02000000:4:19825714:19840515:1 gene:OGLUM04G13950 transcript:OGLUM04G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPSLSVASTALANQAAVTKTGEPMASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIGIFDWQLSDEDRLKISQIPQHKTVSVLSILCPDGGRAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEAGFRHFDTASLYRTEAPLGEAIAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRNLQMEYVDLYLIHWPISVKPGPMVFPVKKEDVVPFDFGGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATILPAVNQVEMNPVWQQRTVREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASMTCHVYKDE >OGLUM04G13950.2 pep chromosome:ALNU02000000:4:19825714:19837496:1 gene:OGLUM04G13950 transcript:OGLUM04G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPSLSVASTALANQAAVTKTGEPMASPGSSGRCSRTPGFPAGPLGGGGRAVPAVGLGTASLRSVGEESFRGALLAALEVGYRHIDTASVYGSERVVGEAVAGAARRGVIACREEVFVTTKVWCTQCHPDLVLPSLRESLQNLQMEYVDMYLVHWPMSVKPTKPHYPMKREDIMPMDLRGVWQAMEECHQLGLAKMIGVSNFTTKKLQELLAFAKIPPAVNQVELNPVWQQKKLMEFCKAKGIHVTAYFPLGGRHSTSTVNPVLDSDVLKEIAAAKGKSVAQISLRWIYEQGASMVTTSTKRERLKENIGIFDWQLSDEDRLKISQIPQHKTVSVLSILCPDGGRAMAVVVPEAVLRHGDARPMPAVGMGVAEYPSTPERTRDAVLAALEAGFRHFDTASLYRTEAPLGEAIAEATRRGLLASREEAFVTTKLWCTQCHPDLVLPSLRESLRNLQMEYVDLYLIHWPISVKPGPMVFPVKKEDVVPFDFGGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATILPAVNQVEMNPTWQQRTVREYCDAKGIRVTAYSPLGGQNWGGSANYVMESSVLTEIARARGKSIAQVSLRWIYEQGVTPIAKSYRKERLKENLEIFDWELTDEDRLKISQIPQRKRVTAASLFSPDGEFTSVDLPDIEIVEE >OGLUM04G13950.3 pep chromosome:ALNU02000000:4:19834017:19837618:1 gene:OGLUM04G13950 transcript:OGLUM04G13950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAAAEQLCPENLNMYIIKTGIQPYSSSHSKTGQHRAHARAFLDRSVGRELARPAMAAVPEVALRHGAGRPMPAVGVGTADSAATSPETKRGAALAALEVGFRHFDTAALYGTEAPLGEAIAEATRRGLVASREEVFVTTKLWCTQCHPGLVLPSLRESLRNLQMEYVDLYLVHWPISVKPGPPMLPVKREDAVPFDFEGVWRAMEECHRLGLAKAIGVSNFTTKHLDKLLAVATIPPAVNQVEMNPVWQQRTVREYCAAKGIRVAAYSPLGGQNWIGEGNDVMESPVLADIARARGKSIAQVSLRWIHEQGVTPIPKSYNKERLKQNLEIFDWELTKEDRLKISQIPQKKIVTAARMFSPDGEFASVDLSDMEIVEE >OGLUM04G13960.1 pep chromosome:ALNU02000000:4:19840931:19842834:1 gene:OGLUM04G13960 transcript:OGLUM04G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHVEAVEEGSEAAAAVFASRYVQDPVPRYELGERSISKDAAYQIVHDELLLDSSPRLNLASFVTTWMEPECDRLILEAINKNYADMDEYPVTTELQASNQTNQTIYPRLLHILSLHCVVVDNRCVNIIARLFNAPVGDGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGCYVMDPVKAVDMVDENTICVASILGSTLTGEFEDVRRLNDLLAAKNKRTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWGYKSVMKNCMESARTLREGLEKTGRFTIISKEEGVPLVAFTFKDGAGAQAFRLSSGLRRYGWIVPAYTMPAALEHMTVLRVVVREDFGRPLAERFLSHVRMALDEMDLAARAPVPRVQLTIELGPARTAGEEASVRVVKSEAVPVRKSVPLVAGKTKGVC >OGLUM04G13970.1 pep chromosome:ALNU02000000:4:19843325:19844023:-1 gene:OGLUM04G13970 transcript:OGLUM04G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFSGRSKRPERKSGGGGGGGRDHAAVMAKEKAAGAGARQRNGRCRALCCGASRLSVSSSASCSSVEYAVEQRLPPPQSRGLSNLAHGMVQARLQSMIDAAAGRSSAASRPRPLPRHGTTETAAERQVQRGGPCRCACDYCGGHYDDGGGGASCGQRRPCVVLVAVDRRTSDPREEFRRSIAEVITAKRMAEPAELRALLNCYVSVNAREHRAAILEAFHEVCSGLFSRKR >OGLUM04G13980.1 pep chromosome:ALNU02000000:4:19845086:19849681:1 gene:OGLUM04G13980 transcript:OGLUM04G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTASPAAAREAMIEPASTPLLRRRGSYTRSMSHARDELGSFRSCLRWMCVEHSDGSSAVASWLVFTLLAVAVPAAARAALPRRAYDGQVQASLTLSAVLAYLTLSRLVRRRGLRRLLYLDRLRHDSQDVRAGYTVELAGSFRLLACFVLPCFLTDAAYKVYWYCANRPFPLWWSAAACALEMASWMYRTAMFFMACVLFRIICFLQILRMTGFARDFGQCADVADVLRQHRRIREQLRRISHRYRKFIVSCLLLVTASQFSALLAATRPHAQVNIATSGELALCSLSLVTGLLICLHSAAKITHKTQAITSVAAQWHADATINSQERDHENPRTPIKASSYLHAAGPVVPQPAPNASSSGDESEDETSPSDDGLDGTKIVSFHATHISFQKRQALVTYLENNRAGITVFGFVVDRTWLHALFMIEFSLVMWLLGKTIGIS >OGLUM04G13990.1 pep chromosome:ALNU02000000:4:19851206:19857336:1 gene:OGLUM04G13990 transcript:OGLUM04G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGEPSLKPEWLVRGHGAVAATSLWTGTSSPRADDQGRSISSRNQSSGRDRERSSQQSISRRSSGSIGPRRHDRDGTAKSRGYASFGRSNRDRGGEKDSESRNWESRLGPPDDPLYDGFKPFSSCRPERDRLNHTRLKVDTLNQVVGESLDNGVRSVSRKVTGGVSFEREFPHLGSDDKNGKQDVGRVPSPGISTPIQSMPLGTAPDGRSSVLAEVPVLSGPTNCPVPSSLLRTGSSKQMEVPNCGTALSMAETVMQAPLKISTTPQLSIDTHKIEERTMKQCILRPRTPSSNKISVSSSSDKIKSKGARAGDSNGPVKGATQLPLQLSGSFIRAPVKHELVKPSQSGSFQVLSREQNGTVNTAKESTSNPASPVLGRSYSVEPLRKPIVNQKLKGVANGLPLQLQGSFGERKSSAKDKHKFFELLRSKSLNGSCTSTVSSSTLLDEQNNSCLELFDSGVKCMEHGSSSCEEANSCEGSQQHLSDNEEINPPWEPHDVFDEGMQEVLSDNRDFNSSSEIADTQDVYMKPHTNNSGSSPSIIPAEIYDGSMGSNCSDDETVMLFEPIGTGEEESYPAQDRPSPEEMAFLVSLGWKEDEIVPPLKQEEIADCLRHNVRLQQKLEECRG >OGLUM04G14000.1 pep chromosome:ALNU02000000:4:19858656:19860002:1 gene:OGLUM04G14000 transcript:OGLUM04G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT2G03200) TAIR;Acc:AT2G03200] MAIAAACWATGGVAAAATAATTAATTTTTSRLKGLRVHLTHVDAHGNYSRHQLLRRAARRSHHRMSRLVARATGVPMTSSKAAGGGDLQVPVHAGNGEFLMDVSIGTPALAYSAIVDTGSDLVWTQCKPCVDCFKQSTPVFDPSSSSTYATVPCSSASCSDLPTSKCTSASKCGYTYTYGDSSSTQGVLATETFTLAKSKLPGVVFGCGDTNEGDGFSQGAGLVGLGRGPLSLVSQLGLDKFSYCLTSLDDTNNSPLLLGSLAGISEASAAASSVQTTPLIKNPSQPSFYYVSLKAITVGSTRISLPSSAFAVQDDGTGGVIVDSGTSITYLEVQGYRALKKAFAAQMALPAADGSGVGLDLCFRAPAKGVDQVEVPRLVFHFDGGADLDLPAENYMVLDGGSGALCLTVMGSRGLSIIGNFQQQNFQFVYDVGHDTLSFAPVQCNKL >OGLUM04G14010.1 pep chromosome:ALNU02000000:4:19860906:19861154:1 gene:OGLUM04G14010 transcript:OGLUM04G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVATTIMTAYGGALQGNVEAENVESAHEEMRTISYKAWARFLPPKLVDLGNGVTEQRRLVHLEHVGHYNTTASLARELEEG >OGLUM04G14020.1 pep chromosome:ALNU02000000:4:19864289:19865671:1 gene:OGLUM04G14020 transcript:OGLUM04G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAVLLLLLALAALPASCAPPRSFRLELASVDASAADAANLTEHELLRRAIQRSRYRLAGIGMARGEAASARKAVVAETPIMPAGGEYLVKLGIGTPPYKFTAAIDTASDLIWTQCQPCTGCYHQVDPMFNPRVSSTYAALPCSSDTCDELDVHRCGHDDDESCQYTYTYSGNATTEGTLAVDKLVIGEDAFRGVAFGCSTSSTGGAPPPQASGVVGLGRGPLSLVSQLSVRRFAYCLPPPASRIPGKLVLGADADAARNATNRIAVPMRRDPRYPSYYYLNLDGLLIGDRTMSLPPTTTTTATAPAPAPTPSPNATAVAVGDANRYGMIIDIASTITFLEASLYDELVNDLEVEIRLPRGTGSSLGLDLCFILPDGVAFDRVYVPAVALAFDGRWLRLDKARLFAEDRECGMMCLMVGRAEAGSVSILGSFQQQNMQVLYNLRRGRVTFVQSPCGALR >OGLUM04G14030.1 pep chromosome:ALNU02000000:4:19866150:19868315:-1 gene:OGLUM04G14030 transcript:OGLUM04G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like family protein [Source:Projected from Arabidopsis thaliana (AT1G44790) TAIR;Acc:AT1G44790] MAMWVFGYGSLVWNPGFAHDARLVGFVRDYRRVFYQGSTDHRGTPEFPGRTVTLEHQPGATCWGVAYKISTEQDKQTALEHLEVREKQYDEKIYLDLYTDSSPKTPAVKNVMVYLATTNKQSNQNYLGPAPLEEMAKQIYLAEGPSGPNKEYLFKLEDALNKIGVVDPHVQDLANAVRKYPDTAVSC >OGLUM04G14040.1 pep chromosome:ALNU02000000:4:19869193:19872045:-1 gene:OGLUM04G14040 transcript:OGLUM04G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCGSSSGGRFTGGGGQKGRWPGFEGKRAAPVVKWSHAEAMKKKPSGRGDAAVTGDGGGWMRRLEEEEAGLELDGSCWGSWSEAAATRASGTATGGAGKHVRFRPEAEAEAKRERPFDAGEWGGGGGGEVGGDGGEGRMYEWRWTEAVSPEILALILRGRVDADEVARGAARVCRAWREAAAAPDVWGDVDIEAWCRRVNCRPRADAAVRHLVALARGTLRRLSAYRVGDAALAYVAASGKLLNVLQIPMSEISDQAVKKYAECLPALRVLDISNCLKISSSGIEALGRHCKLLVQLKRNMPPPDVPHGYNAVPNVVNDEALAIANTMPVLEHLELAYGLFSDTGLGAILTRCPRLQTLDILGCWNVRLEGDIEERCCALESFREPWEPMYSDCSSTGSDNDDDDEDNDSDN >OGLUM04G14050.1 pep chromosome:ALNU02000000:4:19872708:19877640:1 gene:OGLUM04G14050 transcript:OGLUM04G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSESSRKALLPSFLYAAPAASSSPSFAAAAAVGVRGVPAPSLAGPAVWACSPREPAGKIEMYSPAFYAACTAGGIASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADIALCPMEAVKVRVQTQPGFARGLSDGLPKFVKAEGYAGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPAPKSECRKPLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVNKLGMWGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVMVGLPTTGGVAPTPAIGEEQLKA >OGLUM04G14060.1 pep chromosome:ALNU02000000:4:19879481:19898289:1 gene:OGLUM04G14060 transcript:OGLUM04G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMREGCVTGDRINGLVDGISGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >OGLUM04G14060.2 pep chromosome:ALNU02000000:4:19883050:19898289:1 gene:OGLUM04G14060 transcript:OGLUM04G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >OGLUM04G14060.3 pep chromosome:ALNU02000000:4:19882714:19889749:1 gene:OGLUM04G14060 transcript:OGLUM04G14060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeaxanthin epoxidase (ZEP) (ABA1) [Source:Projected from Arabidopsis thaliana (AT5G67030) TAIR;Acc:AT5G67030] MAPLPSSSSTRATSLVAIPGASCPHGQAQRLLAFRPPRRGSQWRGLCVSRGRHGATVAMAMPAAQAAGRRARVLVAGGGIGGLVFALAAKRKGFEVVVLERDMSAVRGEGKYRGPIQLQSNALAVLEAVDAGAADQVMDAGCITGNRVNGIVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNDSHVVDFIDDGNKVTAILEDGRKFEGDLLVGADGIWSKVRKVLFGQSEATYSEYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPAGGTDPENGKKKRLLEIFNGWCDNVVDLINATDEEAILRRDIYDRPPTFNWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELEKSWQESAKSGTPMDIVSSLRRYEKERILRVSVIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIKYGMPLMLSWVLGGNSTKLEGRPLSCRLSDKANDQLRRWFEDDDALEQAMGGEWYLLPTSSGDSQPIRLIRDEKKSLSIGSRSDPSNSTASLALPLPQISENHATITCKNKAFYVTDNGSEHGTWITDNEGRRYRVPPNFPVRFHPSDAIEFGSDKKAVFRVKVLSTLPYESARGGPQILQAA >OGLUM04G14070.1 pep chromosome:ALNU02000000:4:19891904:19893760:-1 gene:OGLUM04G14070 transcript:OGLUM04G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSSSLATAGHGKTKSGRSSSSAVRPALLAITVSVMVVLLMAVLFGARWTPSGGHGGGADTSWVSAGARVVLNAVSSQQGADPVVKVAQPHDRLLGGLLSPDFNDTSCLSRYRASLYRRRSLHVLSSHLVSALRRYESLHRLCGPGTSAYERAVARLRSPSSSNTTSDAPSECRYLVWTPHAGLGNRMLSITSAFLYALLTGRVLLFHRSGDDMKDLFCEPFPGATWVLPEKDFPIRGMERFGIRTRESLGNALGRGEGGRDPPPPWMYVHLRHDYTRPGASDRLFFCDDGQDALRRVGWVVLLSDNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRYLFHPSNTVWGMVMRYHGSYLAKAEERVGVQVRTFSWAPISTDELYGQIVSCAQGENILPRVRESSSGSDNATAIPGSGRQQQQRPARRKAVLVVSLHGEYYERIRDMYYEHGAAGGDAVSVFQPTHLGGQRSEERMHNQKALAEMMLLSFSDVALTSAASTFGYVSHGLAGLRPWVLMVPVRKKAPNPPCRLAATVEPCFHTPPHYDCQARTKGDNGKTVRHVRHCEDLKDGVQLVD >OGLUM04G14080.1 pep chromosome:ALNU02000000:4:19896031:19898059:-1 gene:OGLUM04G14080 transcript:OGLUM04G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRMSVARWLPSSPAHGKTKSRRSSSAVRPTLLVIAVTVIAVLLVAVVFGGAGRWTLSGGGDTSWVSAGARVVINAVSGQQRDGDDPVAAAVEPRNDRLLGGLLSPDFDDSSCLSRYRAGLYRRQSPHAVSPHLVASLRRYESIHRRCGPGTSAYERAVERLRSPPPSNTSDAECRYLVWTPLEGLGNRMLTLTSAFLYALLTDRVLLFHHPAGEGLRDLFCEPFPGSTWTLPEGDFPFSGMQGFNARTRESLGNALRRGEGAAKDHPPPPPPWMYVHLRHDYNRNANDPRFFCDDGQDALRRVGWVVLLSDNYFVPGLFLVPRFERALSRMLPRRDAAFHHLGRYLLHPSNTVWGMVARYHASYMACADERVGIQVRSFYWARISTDELYGQIMSCAHGENILPRVTQQGPNFTAAGDQPQPAARPGRRKAVLVVSLHGAYSERIKDLYYEHGAAGGESVSVFQPTHLDRQRSGEQLHNQKALAEMMLLSFSDVVVTSAASTFGYVGHGLAGLRPWVLMSPLDKKVPDPPCRLAATIEPCFHNPPNYDCRTRAKGDTGKIVRHIRHCEDFENGVQLVD >OGLUM04G14090.1 pep chromosome:ALNU02000000:4:19900394:19904288:-1 gene:OGLUM04G14090 transcript:OGLUM04G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVEAVGGEDFALLKPACERLPAGGAPFSAFALFDGHNGSGAAVYAKENLLSNVMCCVPADLSGDEWLAALPRALVAGFVKTDKDFQTRAHSSGTTVTFVIIDGYVVTVASVGDSRCVLEAEGTIYHLSADHRFDASEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEFIIPVPYVKQIKLSSAGGRIIISSDGVWDALTADTAFSCARGLPPEAAADQIVKEAIASKGLRDDTTCIVIDIIPPEKISPTVQPAKKAGKGLFKNIFYKKATSDSPCHADKDQCTQPDLVEEVFEDGCPSLSRRLDSEYPVRNMFKLFICAICQVELESGQGISIHEGLSKSGKLRPWDGPFLCHSCQEKKEAMEGKRHSRGTTLLQFHFMRLVSPKSHL >OGLUM04G14100.1 pep chromosome:ALNU02000000:4:19907288:19911762:-1 gene:OGLUM04G14100 transcript:OGLUM04G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKAWAGANYTEPMGSVEQSLRVAYVVLSFCAAFFLGGIKAAVVGPVAAALMVLGNVGVILLLFPAHVWWTIYSLIKTERINAGLKLAVLIALPVLFGLWLGLGIFGSALVALGYGFFTPWIATFEAFRQESESKKFVHGVVDGTWGTIKGSCTVVRDFADLCFHSYPVYLKELRESSQNCEPHSIRVLDVPSCIAVGLLGLIVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVVVYQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTVLPKPSYRKRKSSSSTEFSVRTNASVKGGDHPSSNEAPAMLVPTLAPARSVREAIQEVKMVQIWENMMKNCELRGRDLLNLNVITTVDLTEWLRTKESGHEAIGLGVPSYSLLCMILHSIKAGSGGLLIGNGIEINQYNRPQDRLIDWFLHPVLVLKDQIQALKMTEEEARFLEKLTLFIGNSERANGWDNGAEIPQDPVRAGQIQAISRRLVGIVRSMSKFPTYRRRHRHVMKLLVTYSVEKEGSFRSSASNRSVPIFEITQLEV >OGLUM04G14110.1 pep chromosome:ALNU02000000:4:19920764:19921717:1 gene:OGLUM04G14110 transcript:OGLUM04G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAGDDDDVVHDFRPLIVVYKSGRLERPLATPPVPPGTDAATGVASRDVRLSAASFVRLYLPPPCAAVAGGERLPVVVYFHGGGFVIGSAASPAYHRCLNDLAAACPAVAVSVDYRLAPEHPLPAAYEDSAAALAWVLSAADPWLAVHGDLSRVFLAGDSAGGNICHHLAMRHGLTSQHPPHRLKGIVLIHPWFWGREPIGGEAAAGEQKGLWEFVCPDAADGADDPRMNPTAAGAPGLENLACEKVMVCVAEGDTLRWRGRAYAEAVVRARGGEAAAVELLESEGVGHVFYLFEPGHEKADELLRRIAAFISAK >OGLUM04G14120.1 pep chromosome:ALNU02000000:4:19923357:19927997:1 gene:OGLUM04G14120 transcript:OGLUM04G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTTTDSSQAAVPPHHPHPHAHPHPHAHPHPHHPMAQARWVVLPYPPPPPPMVAAPPPPPPHIGWTRTTSTAVSDPAARFAFTPRSGLSVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >OGLUM04G14120.2 pep chromosome:ALNU02000000:4:19923432:19927997:1 gene:OGLUM04G14120 transcript:OGLUM04G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAATTTTTDSSQAAVPPHHPHPHAHPHPHAHPHPHHPMAQARWVVLPYPPPPPPMYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFYSHGSAEKALQNFTGHVMPNTDRPFKLNWASYSMGEKRSEVASDYSIFVGDLAADVTDEMLMELFANKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMTEMNGAYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSTNRTVYVGGLDPNVSEDELRKAFAKYGDVASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRADSGSRRNNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPVYGSQQLVS >OGLUM04G14130.1 pep chromosome:ALNU02000000:4:19934042:19935574:1 gene:OGLUM04G14130 transcript:OGLUM04G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPSSNSVVGGAGAGAGDVCCMCGDRGLPEELFRCRLCRVRLQHRYCSDLYPRATAYRRCNWCLVREPAPAAAAGAAGHVHAMVDKPTTVRRKTASSSSPPPSSSMTDQETSPTTMSSEAERQRRLQEAAGWSASRRAPDTAGLGRPVKKQKAAADNDEGEEAPGARAAPAAKGNNGGNKKEMQAAGKKTGVKVRVRRYKLLAEVISC >OGLUM04G14140.1 pep chromosome:ALNU02000000:4:19937508:19943566:1 gene:OGLUM04G14140 transcript:OGLUM04G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >OGLUM04G14140.2 pep chromosome:ALNU02000000:4:19937508:19943566:1 gene:OGLUM04G14140 transcript:OGLUM04G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MCSFVRFCENMMLFESCKIPKFCGVPSTLVNGPHGQPMSRDSGPCLRLLHCSCTHLFSSRGSLERNAAEHSRGEMAAVFLRAAARATRSSALVRAILASRSPLSSSSCAASPTTAAPVPGTAPRAAAAGDGDEGCAAAAATPADVGGDEDDLRSRVFRLRLAKRSATAALERWAGEGRAASAAELRGIARDLSRAGRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >OGLUM04G14140.3 pep chromosome:ALNU02000000:4:19937508:19943566:1 gene:OGLUM04G14140 transcript:OGLUM04G14140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09450) TAIR;Acc:AT5G09450] MCSFVRFCENMMLFESCKIPKFCGVPSTLVNGPHGQPMSRDSGPCLRLLHCSCTHLFSSRGSLERNAAEHSRGEMAAVFLRAAARATRSSALVRAILASRSPLSSSSCAASPTTAAPVPGTAPRAAAAGDGDEGCAAAAATPADVGGDEDDLRSRVFRLRLAKRSATAALERWAGEGRAASAAELRGIARDLSRAGRYKHALEVAEWMKTHHESDLSENDYGMRIDLITRVFGANAAEDFFEKLPACVQSLEAYTALLHSYARSKMTDKAERLFKRMKDANLSMNILVYNEMMTLYISVGELDKVPVIAEELKRQKFSPDLFTYNLRISASAASMDLEGFKGILDEMSKDPNSNEGWKLYQNLAVIYVDAGQLVGSGNSLVEAEAKISQREWITYDFLVILHTGLGNRDRIKDIWKSMLMTSQRMTSRNYICVLSSYLMCGQLKDAGEVVDQWQRSKAPEFDISACNRLFDAFLNAGFTDTANSFRELMLQKSCILTSRQERSS >OGLUM04G14150.1 pep chromosome:ALNU02000000:4:19944025:19945282:1 gene:OGLUM04G14150 transcript:OGLUM04G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRELLVVLAVVVMAAVGAGAQSSSASPAPATSQAPTVRQQTPFGRTMSTVITVSISVFFFLLFFCAYINQCRLAEAGDARAAAAAAAGGGAGGGPSRRGKRGLDPAVVATFPIVPYREVVKHKIGKSVLECAVCLTSFDDGDDLRLLPHCSHAFHPECIDPWLESRVTCPLCRANLEKPPPPPPLPAAAAAKEEAVELEMLRSERRAARLPRSHSTGHSLVASAAAAAESGDHERFTLRLPQHVRDEVLRSLRLRHAASLVNLSDMSSEGSSRGGRRALGLAFGNGGGSSHGGRRWQAFLARTVSWARGGGDGSVRRGWDGSTRRGKDDAESSRKGATSPAAGRP >OGLUM04G14160.1 pep chromosome:ALNU02000000:4:19950865:19952121:-1 gene:OGLUM04G14160 transcript:OGLUM04G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHRRALHSNDCDDGGYGCSSWPPSPPPPSVILTPFASPSPAPWACPPAFPAPSPSPLHGAAGGRRDQGGYHGSPPGGGGGGGGDADEHRRRIINLIVVGAAALAFLSMILLVVIVAVRRRRLRRRRQRQQALLAPAAPADAVAVNVEDGGDDDAEGGGGGGGGGGVVHHIWYIRTVGLDEAAIDTIAATRYRAGAGLLGAADCSVCLGEFQDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVLGPAATATESGGGDTGSMPQADPVANTIAAAQQAAAPGDAILERQEEEEEAEQEDQGAPPHMEENRQEQSSSPDPLPPPRNVRRAASMDAAIVSTAAEVAALERLPEAAPEEEQSGGGGGDKRGGAPGASCLKVSSSGRLSNLGAAERLPRSFFSRHCRARSSVLPL >OGLUM04G14170.1 pep chromosome:ALNU02000000:4:19954195:19959148:1 gene:OGLUM04G14170 transcript:OGLUM04G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTANSTKQFYLIGFLGVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLASHFNRKFSVIERFSLKEYDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNTDKSVEDGRFELVSLTMTIP >OGLUM04G14170.2 pep chromosome:ALNU02000000:4:19956274:19958086:1 gene:OGLUM04G14170 transcript:OGLUM04G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSLVACKGLALCAPAIAQRHRNTPLSASAHRRQILITGLTMNSSGINSALPVRGAAQIPAVGSGPPAPSGGNLPIPSMPSCFFNVISSISISSCIPTYAPVRAKWVVGAIIVAIPIYRKIRALEDTVEKTAEVAIEVVDTVAEATEKVAGELADAFPGNENLKEVASKIKTVADVIEDDAEKAGALIQKEILEKQAAKHRLTGYLTIKNGETHCKWLKKKLGGSSPV >OGLUM04G14170.3 pep chromosome:ALNU02000000:4:19954195:19956071:1 gene:OGLUM04G14170 transcript:OGLUM04G14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTANSTKQFYLIGFLGVRLKNWKDEKEKESGNFLSISELLSQSKLHGVGVYASISKMVLTGSLASHFNRKFSVIERFSLKEYDDIFRDLVTAGCTLCGSPLYPKNLHGENTYPIDCPKSPKYLHVIGQIYKPFMIYVRDQSGQIPVLVRNKAAEILFANIIADDVSECYKNHHPMLLDTCDCGSLNTSGGETGIIKRKRTKEKPDWHLIWLIIIKCLLNQHKNSPFCLQISVNTDKSVEDGRFELVSLTMTIP >OGLUM04G14180.1 pep chromosome:ALNU02000000:4:19969722:19972662:1 gene:OGLUM04G14180 transcript:OGLUM04G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4I2] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM04G14190.1 pep chromosome:ALNU02000000:4:19975521:19977230:-1 gene:OGLUM04G14190 transcript:OGLUM04G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWDSPCRSGSFEAGLRSPAPPPPTVSPKDSKPSPRLHRSRSTAAASKPPPSPPPDVRLRHRRRDGAMRQKVSEMEEELRKEKDAAEKVQLLQREVEKAKESERKMLESLIYQTKQLEQTKISLEEAKLEITTLQQANASLEASAAAAAVSRRGGAVEQRSVKDLVFGGGDDEIRALRCELRTAMQGEEKSRKALDDLSVALSDVTMEAKQVKMWLSEAQAELEAANAEAERLRHELDAAEARLRDVSDELDRCRLDAEECAAAWGDKERVLLDCVRASEEEVNRERQENTKLVESQRVIRDENARLRDILKQAVAEANVVKESLELARGENARLNDVVAEKDGALQSLRQEYECIKVSEAAAQGSLKELNSLLAATTTTTATACSTPASARTTSVADYGFDQHLPSVRLVSSAKGTPEAASHLWTAADKSRTPSSRRYSIGEPAKFKGGFSQSARMGNLNHKDRVFASLSNIADLKSAAEAAMSDFDDEFDHVDESHYDSMDQSMKQKKKRPILRKFGDLFRRKSFYKANLAPVHT >OGLUM04G14200.1 pep chromosome:ALNU02000000:4:19979724:19980099:1 gene:OGLUM04G14200 transcript:OGLUM04G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSRRWKNSSDDRLPHKAPTGRQDSRDSGPKWSCHTHRVLSFSSACAESLTLSVDLYAPRINLIF >OGLUM04G14210.1 pep chromosome:ALNU02000000:4:19980812:19988736:1 gene:OGLUM04G14210 transcript:OGLUM04G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIDCTSGEGRIIPASTSDQDISLGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >OGLUM04G14210.2 pep chromosome:ALNU02000000:4:19980812:19988736:1 gene:OGLUM04G14210 transcript:OGLUM04G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIDCTSGEGRIIPASTSDQDISLGDPLQPNKKLKLHAEKLTVQEAPMVGFHAMRRANSTIEDFVRTVRSYFMFHGLDVNKAQSIFKFLPVLCFTESYIYQLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVNEVHMEFLSISEFLVEISDDLYDYEDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >OGLUM04G14210.3 pep chromosome:ALNU02000000:4:19980812:19988735:1 gene:OGLUM04G14210 transcript:OGLUM04G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLKLEKVQRVLSLMGSRGLSGSGSDSGASDGGGGDRFLAHFLLFLVQPFDSLSMEKKALLVSDLLRKVNVDTLEEVCHLGSIDCTSGEGRIIPASTSDQDISLGDPLQPNKKLKLHAEKLTVQEAPMLDDLNEYNLQMVPGNDASLTVLERENRTSNEASLTGMLNVLDDLLQRQGLMTDQLRNELKSGIQYWSLERKLCQALSRNDKISIEDVMEAIHLKSFDYRVLNLLMYRLTGQQDDVMNNTFNILRMFAAIYGPSEAPKMLAKCIGEAEEKYERFSKKLDPTLSGSYWRRCEEATKEGGKMSGHAYGTWNIPPLIRDEEFFRLERSNKRDASAITIT >OGLUM04G14220.1 pep chromosome:ALNU02000000:4:19990126:19991839:1 gene:OGLUM04G14220 transcript:OGLUM04G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLI1] MGSIAADGDKPHAVCMPFPAQGHVTPMLKLAKILHHRGFHITFVNTEFNHRRLLRSRGAAALDGLPGFRFAAIPDGLPPSDADATQDVPPLCRSTRETCLPHFSRLLADLNANASPESPPVTCVVADDVMSFAVDAAREFRVPCALFWTASVCGYMGYRYYRSFLDKGIFPLKEEQLTNGFLDAPVDWTPGMSKHLRLKDFPSFFRATDPDEYMFHFALHVTERLAEADAAVLNTFDELEPEALDAMRAMLPPSVSIHTIGPLGFLAEQVVPKGSPLDALGSNLWKEDDSCFGWLDGKPPRSVVFVNYGSVTVMTNEELVEFAWGLANSGHDFLWIVRPDLIHGDAAVLPPEFMESVGGRGLLASWCPQEAVLRHEAVGVFLTHSGWNSTVESLCGGVPMLCWPFFAEQQTNRRYSCTEWGVAMEIDDDVRRDAVEAKIREAMGGDKGREMRRRAGEWKETGLRATRPGGRAHASLDALVADVLLSGGKAR >OGLUM04G14230.1 pep chromosome:ALNU02000000:4:20014326:20014595:1 gene:OGLUM04G14230 transcript:OGLUM04G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDARAMLLPAAAEVATVDVVGDGEERPWSGNGGNGGGGGGDSSSAMVGAVSVDLPTMWGDERRMKRELVAWAKAVASMAIRESCNSR >OGLUM04G14240.1 pep chromosome:ALNU02000000:4:20023469:20029439:-1 gene:OGLUM04G14240 transcript:OGLUM04G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCCFGAGCSEFSGHASTSSGKGKGIQGQVKVSYGFYLVRGMTNHPMEDYHVAELAEEKGNELGLFAIFDGHLGDTVPAYLQKNLFANILNEEEFLTQPDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILLNGRKLWVANVGDSRAVLLKGGRPIQMSTDHDPNVERSAIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLKSEPDIKVEDIDYTAELLVLASDGLWKVMNNQEVVDVAKRFKDPQAAAKQLTAEALKRDSKDDISYLEVHLASLCEYHLVCIAPLALY >OGLUM04G14250.1 pep chromosome:ALNU02000000:4:20038861:20044137:1 gene:OGLUM04G14250 transcript:OGLUM04G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >OGLUM04G14260.1 pep chromosome:ALNU02000000:4:20044736:20046051:-1 gene:OGLUM04G14260 transcript:OGLUM04G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEENRAATEEFIACCHGDGYPTIVEQSGSKIVGDVMYGDENVEELTDGEEDARDQSASEGLRGENLAPFPVLATATPSDAIHLLEGVAFGALISTPLQGNSLGENLRFVRIGRCRRSVGITFLKDSF >OGLUM04G14270.1 pep chromosome:ALNU02000000:4:20045077:20050590:1 gene:OGLUM04G14270 transcript:OGLUM04G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKPKPAVLLSPPSPAETAVGAERSIHHRTPCHHRIRDQRTVLLSEHEVTVVLSTCHVHVLSL >OGLUM04G14280.1 pep chromosome:ALNU02000000:4:20050874:20055516:1 gene:OGLUM04G14280 transcript:OGLUM04G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSSMGGGGGGGGDAGGRTVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWAPEEDGPYYPGRVSRWWLSQSLKHLDASLRRLGAGKLVTRRSADAVVALLQLVRDTGATRLFFNHLYDPISLVRDHRLKEMMAAEGIIVQSFNADLLYEPWEVVDDEGQSFTMFAPFWNRCLSMPYDPAAPLLPPKRINSGDLSMCPSDDLIFEDDSERGSNALLARAWSPGWQNADKALTAFLNGPLIHYSVNRKKADSASTSLLSPYLHFGELSVRKVFHLVRMKQLVWSNEGNRAAEESCTLFLRSIGLREYSRYLSFNHPCSHEKPLLAHLRFFPWVINECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQLEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPASVLQAAGVELGSNYPLPIVGLDAANARLQEALSEMWQLEAASRAAMDNGMEEGLGDSSEVPPIEFPRELQMEVDREPARVTANVLTTARRREDQMVPTMTSSLNRAETEISADFMNSVDSRAEVPTRVNFEPRTEREENFRTTAGNVARTNGIHEHNNFQQPQHRMRNVLAPSVSEASSGWTGREGGVVPVWSPPAASDHSETFASDEADISSRSYLDRHPQSHRLMNWSQLSQSL >OGLUM04G14290.1 pep chromosome:ALNU02000000:4:20060181:20064802:-1 gene:OGLUM04G14290 transcript:OGLUM04G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRVGLVSSMASGPPRGWLPTLARRAASISRAALNPPVRHVSRFPCGSRNMASGNAVKSEQLGKSDERQLTPSPNDPIHTTNGIINSMDKHFPITRGMDNEENGAATEFIARYGDGRPANVEENGRKIVNAKYSDEVFCRTTVKVAGVKQDPRGAIKELTDGEEDARNQSASEADDDDDDDEEFNDRVDNYSCYGPVSWTTFKWSSHRDGYIYDTTFGSGWKWDYRIADRNETRLEAMMLSNPNKDCSLSDGTCTRHTQHSMLQIFSIKLAKVFGVDGSMELYGYIAARDLRDPLLNYIVNIGRDNLIIVEQGSIIEMTGPKRGIDLSRAVLVEYDMRIKTGERDENDLQLIDGVSCVNEILTSSNPVINRIHGDYGAVDITRACLDYAFEATVDVVISEVQTGFNLCVGCFTSGLHEEIQLFDGVIGESRGLRRHVVAVMKNKCMDLKFKVGSVYFAEHCLSFKATNHGCASEEIKIGFASISVKVTWSALD >OGLUM04G14300.1 pep chromosome:ALNU02000000:4:20065605:20071291:-1 gene:OGLUM04G14300 transcript:OGLUM04G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGLVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >OGLUM04G14300.2 pep chromosome:ALNU02000000:4:20065605:20071291:-1 gene:OGLUM04G14300 transcript:OGLUM04G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREKLLEYYANNKTPGDAEENLLTCLADLFMQVSQSKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKVPNGLVQPLANGVRKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFFDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEESTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >OGLUM04G14310.1 pep chromosome:ALNU02000000:4:20093830:20104871:1 gene:OGLUM04G14310 transcript:OGLUM04G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFMVKVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKFEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQEFLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSESSFEMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKVKTIGFFSRCSYISFLLDFPKELLKHILQIQEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGVQEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIGELFSEGSLNPYAEDPYAFG >OGLUM04G14310.2 pep chromosome:ALNU02000000:4:20094081:20104871:1 gene:OGLUM04G14310 transcript:OGLUM04G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGLSGLRGLEGFRSLAGSTSTAAKAPNPKPSSDIGGSTYGSFANLKITAEKLVKEQASVKTDLEMTHTKLRRATEQINLLEAKLQQAVNENAKLKVKQTEDSKLWQGLDSKVSSTKTLCNQLTETLQQLASQTERAEEDKKFFEEILGKNSKALEEFNCLLHDSSIKLECAEQMIISGKQEMLRIKQEKEEMDQSYKEQLYASDTTIREKNSLIKQLEDSIEQNKARLLYVDSRLECMEQELKLKEDVCICLKENLASTESEKNDLKLRNEGYTLEVQKLSKDNKELNELLSGFMVKVTELDKEHTSISSHVTQLISSFERYDGKVHEEKMLMIKSAKDKFEHLQNQYVNLISENNALQTEIEELKSRIIELQKTQEIVMVQHVEECQVAEDKIRRLESEAEISASNISQLEKVASDLEGRVQKLLEDSRSAENHKQELLQKILKLESDNQEFLGRVQSVLNEKSNDTESLQGEIAKRDQQVETLENQVNQLCSIIDEKEQLHTCAVEREKNLEEQKLQVQASLAATESQLTEAKKQYDIMLEGKKIELSKHLKELSLKNDQAINEIRRKYELEKVEIINIEKEKAEKLIKEMENKCNEKISENRQDSESSFEMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSSLRKDHEIQMKSLTKKHEENCQKLQDELELQKSKEEKQRALLQLQWKVMGETQQVDQEVNSKKVKTIGFFSRCSYISFLLDFPKELLKHILQIQEYSVSSIKRRDPYIRKEHQLQLVSPETKRKDVNLSGIIQSPITNMLRKVEKGTQDIPKHRKVTHHEYEVETANGRITKRRKTKSTVMFGVQEPNTQKSLHDTADKDPTKMKKVVAGSHPHPANIGELFSEGSLNPYAEDPYAFG >OGLUM04G14320.1 pep chromosome:ALNU02000000:4:20105232:20107243:1 gene:OGLUM04G14320 transcript:OGLUM04G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLDAGGAVPAAAYGGELTLSVLVTCLVAASGGLIFGYDIGISGLCSSPSLCIRVHAGLLGFFGGVSQMKPFLATFFPKVLMRMADAKRDQYCVFDSHALTAFTSSLYVAGLVASLAAGRVTRWLGRRGVMLMGGALFFAGGAMTGGAVNVAMLIVGRMLLGFGVGFTNQAAPLYLAEMAPPRFRGSLTVGFQFFLSLGILIANLTNYGTARVPWGWRLSLGLAGAPAVFIVVGAFFLTDTPSSFVMRGKVDRARAALLRVRGHRADVDAELKAIVHAVEAARGSEDVGAFRRLVTWREYRPHLTFALALPLCHQLSGMMVLTFFSPLVFRVAGFGSNAALMGAVILAGVKFASLILSTLVIDRYGRKVLVIAGAALMIVCQVANAWIMGAKSGKHGEVAMPRAYSVALLVLTCVQGAGFGMSWAPLIWVIPGEIFPVEVRSAGQAVSVSVTLGLTFVQTQTFLALLCRLKYATFAYYAGWVAAMTAFVLVFMPETKGVPLESMGAVWAGHWYWRRFVGGGDGKPEQRR >OGLUM04G14330.1 pep chromosome:ALNU02000000:4:20109716:20112399:1 gene:OGLUM04G14330 transcript:OGLUM04G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVANDGNGSAVDHGGRLTFSVVITCLVAASGGLIFGYDVGISGGVSTMEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPTRWRGSLTAGFQFFLAVGVVIATVTNYFASRVPWGWRLSLGLAGAPAVVIFLGALFLTDTPSSLVMRGDTARARAALLRVRGAGADVEAELKGIVRAVEVARQGEDGAFRRMAARREYRPYLVFAVAMPMFFQLTGVIVISFFSPLVFRTVGFGSNAALMGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTVFIAVFLPETKGVPLESMATVWARHWYWKRFAREQPKTSADEPTGTY >OGLUM04G14330.2 pep chromosome:ALNU02000000:4:20109846:20112399:1 gene:OGLUM04G14330 transcript:OGLUM04G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSISLILSKELTDLTCFCVHQGGVSTMEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPTRWRGSLTAGFQFFLAVGVVIATVTNYFASRVPWGWRLSLGLAGAPAVVIFLGALFLTDTPSSLVMRGDTARARAALLRVRGAGADVEAELKGIVRAVEVARQGEDGAFRRMAARREYRPYLVFAVAMPMFFQLTGVIVISFFSPLVFRTVGFGSNAALMGNVILGAVNLVCLMLSTLVIDRYGRKVLFMVGGAIMIIAQVGVAWIMGAQVGKNGSEAMARPYAVAVVAFTCLHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAVMTVFIAVFLPETKGVPLESMATVWARHWYWKRFAREQPKTSADEPTGTY >OGLUM04G14330.3 pep chromosome:ALNU02000000:4:20109716:20110484:1 gene:OGLUM04G14330 transcript:OGLUM04G14330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVIVANDGNGSAVDHGGRLTFSVVITCLVAASGGLIFGYDVGISGGVSTMEPFLRRFFPGVVRRMAEARPGNEYCVYDSQALTAFTSSLYVAGLVASLVASRVTRAMGRQAVMVMGGALFFAGGAVTGFAVNIAMLIVGRMLLGFGVGFTNQVSVTVTPLPSSSTML >OGLUM04G14340.1 pep chromosome:ALNU02000000:4:20130510:20140157:1 gene:OGLUM04G14340 transcript:OGLUM04G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGGGFAAAGGGSARDYGGGITFSVVVTSLMAASCGLIFGYDSGVTGGVTQMESFLSKFFPEVLRGMKSARRDAYCKYDNQWLTAFSSSLFIAGTLSSLVASRVARAVGRQAIMLLGGAMFLTGSIINAAAVNIAMLIIGRMLLGFGLGFTLQSAPVYLSETAPARWRGAFTSAYNAFVVIGILSATITNYFTNRIPGWGWRVSLGLAAVPGTIIVAGSLFIPDTPSSLVLRGHHDRARAALQRIRGAGADVDAELKDIVRAVDEARQNEAGAFRRLFSRRYRHCLAVGLGIPVFYEFTGMIVISIFSPVLFRTVGFNSQKAILGSVINSMTNLASTLLSTSVMDRTGRRPLFIVGGVGMMLCEVAISWIMADHLGKHQGVTMPRSYATGVLVLICLCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQALSISVALCLSFVELQVFIALLCAMKYGVFLFYAGWLLTMTIFVAAFLPETKGMPFEAMRSVWERHWYWKRFVNDGDHHDGRVVADEGTD >OGLUM04G14340.2 pep chromosome:ALNU02000000:4:20125294:20130572:1 gene:OGLUM04G14340 transcript:OGLUM04G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTEAARDYGGGVTASVVVTCLIAASCGLIFGYDIGVTGGVTQMQSFLTKFFPEVVKGMRGAKRDAYCRYDNQVLTAFTSSLYIAGAVASLVASRVTRMVGRQAIMLTGGALFLAGSAFNAGAVNIAMLIIGRILLGVGVGFTTQAAPLYLAETAPARWRGAFTAAYHIFLVIGTVAATAANYFTDRIPGWGWRVSLGLAAVPATVIVVGALFVPDTPASLVLRGHTEKARASLQRVRGADADVDAEFKDIIRAVEEARRNDEGAFRRLRGRGYRHYLVMVVAIPTFFDLTGMVVIAVFSPVLFRTLGFNSQRAILASIVLTLVNLCAVVVSSFTVDRVGRRFLFLAGGTAMLLCQVAVAWILAEHLGRSHAAATMAKSYAAGVVALMCVYTASLGLSWGPLKWVVPSEIYPVEVRSAGQALGLSVSLTLSFAQTQVFMSMLCAMKYAIFLFYAGWVLAMTAFIALFLPETKGVPLEAMRAVWAKHWYWKRFAMDAKLDAQVNCL >OGLUM04G14350.1 pep chromosome:ALNU02000000:4:20140387:20145172:-1 gene:OGLUM04G14350 transcript:OGLUM04G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSLLNSGGMADYGGGGGGGGGLTFPVVVTCLMAASGGLIFGYDIGISGGVSEMESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMIGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >OGLUM04G14350.2 pep chromosome:ALNU02000000:4:20140387:20143965:-1 gene:OGLUM04G14350 transcript:OGLUM04G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGVSEMESFLEKFFPGLLKGTAHASKDVYCIYNSQALTAFTSSLYAFGMIGTLVASRVTRRTGRQAVMLIGGSMFLVGALVNAAAVNIAMLIIGRMLLGLGLGFSGQATPVYLAEMSPPRWRGGFISGFPLFISVGYLIANLINYGTSRIPVWGWRLSLGLAAFPAAVMVAGAAFIPDTPSSLVLRGKHDLARAALQRVRGKGVDVDAEFNDILAAVEHDRRNDEGAFRRILRREYRPYLVMAIAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGLMNIFGIVGSGFAMDRYGRRLLFMIGGALMFTCQVAMASIVGSQLGHGSKMAKGYAVTVLVMTCAFSASFSWSWGALYWAIPGEIYPVEVRSAGQGVAVALNLGLNFVQAQCFLAMLCCFKYGTFLFYASWLVVMTAFAVAFVPETKGVPLESMGHVFARHWYWGRFVKDHKFGDVST >OGLUM04G14360.1 pep chromosome:ALNU02000000:4:20141772:20144176:1 gene:OGLUM04G14360 transcript:OGLUM04G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTSLLACAVPFSKPGKNFSRNDSISDTPPDPHATTKDPIQVLKATETKDSRKMPVNYSSGFSAEKPHQNSRQQKEGQIRRDATMTSGLTEAADCTQNEPITLNSITMERESTDVLMGSKGLTVTNLTCLMSLHEKMGRPYTGRSLAPPPQCGHPIRPDCVASPGPTRAGAPAHV >OGLUM04G14370.1 pep chromosome:ALNU02000000:4:20154330:20155761:-1 gene:OGLUM04G14370 transcript:OGLUM04G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPLVLIGRAVPIIGGAIPIIAINYGILVACWMLHMFDVVQSKLICRAMALQSSWRIASPFLDTISSLVHDLYNSSAIHRPSCGEQLVVNGMNSGEPREKPVVNDGDLGDYWQHSPTPDFLDSDRIYAVLVLECVCSSGGRGRGEAHAKQGHDVSGGKRPSRRDGLGRSFWWIMLFLRLTGANVTTLFLPMLSQATGCGKAALAGHAVLVLANAGGVLGSALAARTYGREGEIPVAMEMHGGGGAYASAAAAAAAATFFVACAASGGCRWSWGALFWAVPGEGVRSAGDAVGAALGFALGFAQTHCFLLMLRQLKHAALAYYAVWIWS >OGLUM04G14380.1 pep chromosome:ALNU02000000:4:20158175:20158573:-1 gene:OGLUM04G14380 transcript:OGLUM04G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPVGAGGPRGNSSPGRRWSELRRKALEEQAEVDISGGGGPRGGIGLGRRRLPSASAALGAAATRDGSGLSNGARLSARSRRKSTPVAAGALGAVVAWNEGDLSTGARPSARSRWRSTQSAAAALGAAVG >OGLUM04G14390.1 pep chromosome:ALNU02000000:4:20162000:20166016:1 gene:OGLUM04G14390 transcript:OGLUM04G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFPVAGGAPPGDYGGGITFSVVVTCLMAASGGLIFGYDIGISGGVTAMESFLAAFFPGVLRRMAAARRDEYCVYDSHVLTAFTSSLYLAGLAASLAAGRVTRAVGRQAVMLAGGALFFAGAAVNAAAVNIAMLIVGRMLLGFGIGFTNQAAPVYLAETAPAKWRGAFTTGFQLFLGIGNLAANLTNYGAARIPRWGWRLSLGLAAAPASVILVGALLISDTPSSLLVRGRVEQARAALRRVRGAKADVDAELEGVARAVEAARANKEGAYRRILWRQHRPHLVMAVAVPLLQQLTGVIVIAFFSPVLFQTAGFGSNASLMGAVILGAVNLGSTLVSIATVDRYGRRVLFLTGGLLMIACQVAVAWIMGSQIGRDGESAMARRYSVAVLALTCVFSAAFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFVLTQTFLAMLCSFKYATFLYYAAWVAVMTAFVWAFLPETKGVPLEAMGAVWARHWYWRRFVLPPPAAKDAMLPEVLVN >OGLUM04G14400.1 pep chromosome:ALNU02000000:4:20166192:20168478:1 gene:OGLUM04G14400 transcript:OGLUM04G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPASSGSPSRRFDFPDSVLLDTVAHADGCGDDATTARAETSDGLPIEVSFVAADPPAFTRCVVRCSGLTAGEFSKGPPFIIGADGAFLVIRVIFPCRSDRRCFTDFFVYRSGPGTPLLELLRCPYPVKHLSDHLGILSCGEHFLVVNPKWQFHADGQVRCDLHVFSSKTTSWESKVARLPCGVVAYIGDFTGQLNFRWQATMFKRLVRPEECQWEPCGTETDSAELVCADSCSLDLLPVIWDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDASGWVLSVNTENKKLEKVSPFSEEILFFHRIYRQCDFLKHLGKAPVSHLTKVLDKHTNREMIELLDTNLLAALEQLQNIETHNESLKRRYNWSMPLVSSNSASSLDPKIRYEADSSNSDSGTHLVSEYLRLMGTLPSDVLDKYFVERTSFSGPERTKDAAVQSQGSLKLL >OGLUM04G14410.1 pep chromosome:ALNU02000000:4:20170293:20176135:-1 gene:OGLUM04G14410 transcript:OGLUM04G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGPARGWLPALARRVASVTGTLNPPARRVSRFPGSRNMASGSGVEGEGLGIPYRRRFNPFANDPIHTTKDNIISIDKHFPITQGKDSAATKEFISSYGHCRPTTYGDEDASRTAVEVTGGKQDPRGAVQNLIDGEVAVQELIEGEEIARNQRASEASDNDGYEEFNDRAVNYHCDCHVWTPLIASSHRDGSIYDTRGTFGSGWKWDYRIADRNETRLEAMMLSHPTKDCDMRDGTCTWHLANRMLQIFSVKLAKTPVVDGSIELYGYIAARDLQDPLLNYIVKIGRDDPIIVEQGSLIEMTGPNGLHEEIRLFDGVISESRDLRRYVIAVMEHECMDLKFKVGLGSGCFAEHCRSFKATNHGCASEQIKIEFASISVKVTWSAMEF >OGLUM04G14420.1 pep chromosome:ALNU02000000:4:20177720:20179280:-1 gene:OGLUM04G14420 transcript:OGLUM04G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINGGSSIRLTSGSLATESKSSIPFQRSTQAIDPPSTENTLVGTKSPRYASMPQASLATLLSHDVVYSVQLIHRWWWLGAVTCTSIAACQPRQRPRHGVTVDYHVARAATTYHTSAGAVHRALHDGFDHVVWFWTKWQAALLFIAEIWPRRGGVARSRPGSSSASPSAYVVIATAGVVVGPAGVGDPRRDIPGGHPVGSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYXHTAGFGWSWGPLGWVIPGEIFPVDIRSAGQAMNVSIGLGLTFVQTQSFLAMLCRFRYGTFAYYAAWVAAMTAFIAVFLPETKGVPLESIATVWARHWYWKRFAPQEQLKRSEVRGRNEQT >OGLUM04G14430.1 pep chromosome:ALNU02000000:4:20178386:20179413:1 gene:OGLUM04G14430 transcript:OGLUM04G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTVVQRAVHRTSARVVRSRRARNVVVDSDAVARPLARLACGYAGTGHRTKPPPPMRYNLHVFSSKTTSWESKVARLACGMEAYLGDFVPTKVFSVEGGSMAWVDLWNGILLFDSVASDPEVSLIELPPLMPINSRYLRRVGFDNSLCCLDPIQDVTCSNGCFRFIEICWMPAPNS >OGLUM04G14440.1 pep chromosome:ALNU02000000:4:20179434:20181576:1 gene:OGLUM04G14440 transcript:OGLUM04G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRLVRPEECQWEPCGTETDSAELSRADSCSFSPDLLPDSKDNQLTFTNLICTYPTMDLYDDNILYVMAKMKGTDPSGWVLSVNIENKKLEKVPPFSEEILFFHRIYLQCDFFKHLGKAPDTNLLDALEELQNIETNVESLKRRYNWSMPLVFSDSASSLDPKIRYEADSSNSDSGTYAVSEHLRLMGDLTLQLLAPIDVTKSKIRVAHGALYRLMGTLPSDVLDKYFVERYQFFWT >OGLUM04G14450.1 pep chromosome:ALNU02000000:4:20183516:20186642:-1 gene:OGLUM04G14450 transcript:OGLUM04G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVPVRKPHTSTADLLTWSATGPDAAAAAASPAASSRPSLKPAGGITPAMFGAPVSEKEAEDLSKSERKFCSGSKLKEMTGSGIFAENRENDDSEASNPANKTSVRMYQQTVTGISQISFSADGSVSPKKPSSLPEVAKQRELSGTLETDAEAKMNKQHSEAKSKELSGSDIFGPPPEIPARPLAARNMELQGNLDFALPQPRSVHTSVKVSNPAGGPSNITFSEEPVVKTSKKIHNQKFQELTGNNIFKEDAAPASAEKSLSSAKLKEMSGSDIFADGAAAPRDYLGGVRKPPGGESSIALI >OGLUM04G14460.1 pep chromosome:ALNU02000000:4:20188665:20189345:-1 gene:OGLUM04G14460 transcript:OGLUM04G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVWATEQPGVELEVKVVRVAGVEARPEGGGGGGGGGLFVRYYVPAGDGKRRIRVDTREVPCGSGDGEEDGAFWGELARFERRGGGAPASVGGVVFELRWRPRRRSPAFLGMLGNGRPSSRVLARGELACQDDAAAAVTPAPASSGSTWLRLSPACRELSGCKAPKLLVEVRMIHAADNYGAVKATRSLGGVNHHYCCSDGERCAQCGWIGTEEDMFLAATFTHE >OGLUM04G14470.1 pep chromosome:ALNU02000000:4:20191009:20193889:-1 gene:OGLUM04G14470 transcript:OGLUM04G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipoic acid synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G20860) TAIR;Acc:AT2G20860] MHGRRHLAASLARALTYAPSRSISSTPSLLQTLDPSTPSPAAAPPTAGRLAELRQRLQADAPSLGDFTYSVEVGTRKKPLPKPKWMKETIPGGAKYAGIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKVLKPEMLIEALVPDFRGDPACVEKVATSGLHVFAHNIETVEELQRNVRDHRANFKQSIDVLKLAKEYAPAGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYVTPEAFERYRSLGVDMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKATTAI >OGLUM04G14480.1 pep chromosome:ALNU02000000:4:20195360:20199980:-1 gene:OGLUM04G14480 transcript:OGLUM04G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT1G22200) TAIR;Acc:AT1G22200] MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNVSHKINKLSFGQRFPGVVNPLDGAQWMQHSSYGMYQYFIKVVPTVYTDINEHIILSNQFSVTEHFRSSESGRIQAVPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >OGLUM04G14480.2 pep chromosome:ALNU02000000:4:20195360:20199980:-1 gene:OGLUM04G14480 transcript:OGLUM04G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum vesicle transporter protein [Source:Projected from Arabidopsis thaliana (AT1G22200) TAIR;Acc:AT1G22200] MEGLLSKLRSLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGETLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDVHGNVIATKQDAVGGMKVEQPLQRHGGRLEHNETYCGSCYGAEESDEQCCNSCEDVREAYRKKGWGVSNPDLIDQCKREGFLQSIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQKANVHVHDLLPFQKDSFNVSHKINKLSFGQRFPGVVNPLDGAQWMQHSSYGMYQYFIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >OGLUM04G14490.1 pep chromosome:ALNU02000000:4:20200143:20203095:1 gene:OGLUM04G14490 transcript:OGLUM04G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQVQQYPMAANRKLLFCFAILVATAILLVSLLTLAVPDLVVAAGEEEKYGRRTNDTAGVLDLHRHLSCSTVEFAVEQWLAGEPPDQHCAEWRRLQVEQIRRWLRRRNRRTGRFPKNRTASQVIDGQGEGRDGQRRYCSMGVGMARWAEPG >OGLUM04G14500.1 pep chromosome:ALNU02000000:4:20203000:20203428:-1 gene:OGLUM04G14500 transcript:OGLUM04G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMSRPDPGGSIGGGGPWWRRGSRGGSGVEVAGCGAGSEGIGVGAVAARRPHVAARRSLGAARRPQSPVPDRLHRRLSGAADGHLKTRRQDPAVTPPSRVRRHPDRRTLASPRLRPSRHPHAHRAVAPLPITPFPLAIDDL >OGLUM04G14510.1 pep chromosome:ALNU02000000:4:20207422:20208435:1 gene:OGLUM04G14510 transcript:OGLUM04G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKLLCFAALISMAALAAGATSEEDTGALLLPGSTGSNQCVYTLYVETGSIWKAGTDAAIGVELYTAAGNGILIRNLQAWGGLMAAGHDYFERSNVDIFSGRGPCLGAPVCRMKLVSNGAGEHHGWFCKSVEVTVAGPHARCNRAAFDVQQWLATDAPPYQLYAERSVCGKISTGTAAAEEES >OGLUM04G14520.1 pep chromosome:ALNU02000000:4:20208510:20209687:1 gene:OGLUM04G14520 transcript:OGLUM04G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKFLCFAFASLVSMVVLAAGSKSPDGVAALPRRGQLVAGGDNDKNECVYTLYVETGWIWKAGTDAAIGVELAAADGSGFAVGDLERWGGLMGAGHDYYERGNVDVFSGRAPCLPSPPCRMNLTSDGAGAHHGWYCKSVEVTATGPHAGCAKAAFGVEQWLATDAPPYQLYAERSVCAKSRPGGEEER >OGLUM04G14530.1 pep chromosome:ALNU02000000:4:20210640:20212297:1 gene:OGLUM04G14530 transcript:OGLUM04G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCFLLIVSFAVVAAFAFAATGDEAAGITAAEASSDPENKCVYTIYVRTGTIWKGGTDSVIGVTLLGADGSGVRIRDLERWGGLMGDGHDYYERGNLDIFSGRGPCMRQAPCRMNLTSDGTGPHHGWYCNYLEATVTGPHLGCAQQLFTVEQWLATDASPYRLYALVDNCNKAKDDAAADDDADANEPRVTVL >OGLUM04G14540.1 pep chromosome:ALNU02000000:4:20218978:20220297:1 gene:OGLUM04G14540 transcript:OGLUM04G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGKAKAADAAAATEAAPEQEEKEEEFLSDSESGCESIEIADLKKRMWKDQMLLMKLEGRSGHEGALAAQGHRVVRGEEAAAEEEEEEPPEARYRRKAMLRAQDGVLRHMLKMMEACNARGFVYGIVDESGVPVSGSSDSLRGWWKDDVAFDRVGPTALSGRGGRGSPAAAAASFLHGLLDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLPPPWWPTGGEAWWGLQGEAQASQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQIRKLVWQSKRLQHKMSARDADTWSRVITQEEALSRHALRSLHITPLDDDDDEPNEGPTPRESHADKRKREVGGGEEMQLSLPVDIDVVPEADRSSIDELMKLYYSCLQGTDTDGSGEQGKDGAGGDGSVAPETVHVDDADMLEGLLGVAQVVDMSDFPDSPIWHWGSSSD >OGLUM04G14550.1 pep chromosome:ALNU02000000:4:20221297:20222142:-1 gene:OGLUM04G14550 transcript:OGLUM04G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex photosystem II subunit 6 [Source:Projected from Arabidopsis thaliana (AT1G15820) TAIR;Acc:AT1G15820] MALASTSATASAAVLKTPFLGAKRALANAVGVAGAKPAPRRALVVSAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGVPWFEAGAQPEAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQAVEWATPWSRTAENFSNATGEQGYPGGKFFDPLGLGGETRDGVYIPDTDKLDRLKLAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >OGLUM04G14560.1 pep chromosome:ALNU02000000:4:20223146:20225412:-1 gene:OGLUM04G14560 transcript:OGLUM04G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTATEGDKEAGRGGGGGGGARRPVVLVTGCSEGGIGHAMARAFAAAGCAVVATARSRASMRGLEGDPRYLLLELDVRSDESARAAVADAVRELGRVDVLVNNAGVHLVAPLAEVPMEEFQQVFDTNVYGAMRLIHAVIPQMIEREQGTIVNVGSITALAPGPWAGVYSASKAALHALSDTLRLELKSFGINVMIVAPGGTKSNLGSNSTSKYVQMHDWKYYKKFEESLRARTDASQGPGSTPAEDLAKRVVALVLMKNPPAWFAYGQFSAILSLLYYAPLWFRDYFYKIVMKC >OGLUM04G14570.1 pep chromosome:ALNU02000000:4:20233403:20236041:1 gene:OGLUM04G14570 transcript:OGLUM04G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLM3] MGSCNSWKPTLTMVGVVVVFAVMNTLTKMAFNEGMRSTVLITLRQLIATLFLAPIAYFRERASLTQWLFFLGLQYTTATFACAFINMTPIFTFIVALPYGLEKVDLKTGAGIAKVGGTVLGFSGAMILALYQGPSLTKLGPAAARSSSSSSSSSATAAVVGHGSGGGAHRWAIGSVALLGGSACWSLWFILQSRIAKKYPALYSGTALMFLLSFLQMAAVALAVDRISLSPWILTTKLQIITVLFVGIVGSGIAFLAMSWCVEQRGPVFTTAFTPLIQIIAAAINVIVLHEQLHLGIVIGSALVIIGLYFVLWGKSKEASSSSSPAKEAVPALRQQYGGHDQETTNDVQMQTV >OGLUM04G14580.1 pep chromosome:ALNU02000000:4:20238329:20238571:-1 gene:OGLUM04G14580 transcript:OGLUM04G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAGDLVQAYVLKKACKEKMRAETNAGEAAAAAAAMTGKKTAVGGGSSEKKTAPEVSKGERRGFSGLMMMKKKVHPK >OGLUM04G14590.1 pep chromosome:ALNU02000000:4:20240293:20244879:-1 gene:OGLUM04G14590 transcript:OGLUM04G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRLHKWAATARLVLLLLAAAAAAAAVRREVVTSPHGAVAADDGRCSRIGRDALRDGGNAVDAAVAASLCLGVVSPASSGVGGGAFMLVRLADGTALAYDSRETAPLAASQDMYGGNETLKARGALSIAVPGEIAGLYEAWKRHGKLPWKRLVMPAAKLARAFRVSPYLRKQMEATRDGILQNKGISGVYTSNGDILNVGDVCRNIRLARTLVAVAEKGPDVFYKGAVGDQLVKDIQEVGGIITMEDLKKYQVKIRRPLLENVLGLTVLSMPPPSAGGAGLMLVLNILTQYGLPAGFSGSLGIHRLIESLKHYFAIRMNLGDPEFVNVNEVVSDMMSPKFAADLKKTIYDNMTFDPKHYGGRWNILQDHGTSHLSIVDSERNAVSMTTTVNAYFGSLILSPSTGILLNNEMDDFSMPANTSANSPPPAPANFVRPLKRPLSSMTPTIILKDGNLKAAVGASGGSMIPAGTMEVLLNHFVKNMDPLSSVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLRRKGHVLEPLAGGTISQLVVDDVERHGGLTAVSDPRKGGFPAGY >OGLUM04G14600.1 pep chromosome:ALNU02000000:4:20244908:20249459:1 gene:OGLUM04G14600 transcript:OGLUM04G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGADCRAEKIAWNGGRICQESWKPHTLSHSQECQTIGPEEHIGPLSLSSLRNSAVGIVHLREESCDIKLTTIYGMGYTELEVENLWEGGHRHWRALMRTVTGQSD >OGLUM04G14610.1 pep chromosome:ALNU02000000:4:20250145:20251106:1 gene:OGLUM04G14610 transcript:OGLUM04G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMFSARALAFAFAVVVMSSCVADGVRTIPAGGQGSAGGLHNGGTAPSAAAANGSTTAAYDDSGAGGQTATFQVQQGAQPEEETTTEMGNAAEAATGSRLPDCTHACGPCSPCRRVMVSLRCAEAAESCPVAYRCMCRGRFFRVPTL >OGLUM04G14620.1 pep chromosome:ALNU02000000:4:20252344:20257280:-1 gene:OGLUM04G14620 transcript:OGLUM04G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFRLEHTLGLGQIFKSLELNKNTGRFLLPLEKRRGEERRPTRRRRRRGEGADRAVPPLAEGTPAASGLGPLGSGERRRGMAEARLLRRRRLCLAVAVVWVVAVAVSRVGANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNPDNSVIRVDLGNAQLSGALVPQLGQLKNLQYLELYSNNISGTIPNELGNLTNLVSLDLYLNNFTGFIPETLGQLYKLRFLRLNNNSLSGSIPKSLTNITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNKDLCGPGTTKPCPGAPPFSPPPPFNPPTPTVSQGDSKTGAIAGGVAAAAALLFAVPAIGFAWWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPNDPPLEWQTRTRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKVEMLVDPDLQSGFVEHEVESLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEAELAPRHNDWIVDSTYNLRAMELSGPR >OGLUM04G14630.1 pep chromosome:ALNU02000000:4:20263536:20264330:1 gene:OGLUM04G14630 transcript:OGLUM04G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNEKDACQPWVKVYRVVHVETGSTTEQVAPGEAERRADEITGNGFGINLVIHDSMQLPATSTPTCGATPTSEAEAAKIREDVIYRSNYPGHFGGQ >OGLUM04G14640.1 pep chromosome:ALNU02000000:4:20265477:20265935:1 gene:OGLUM04G14640 transcript:OGLUM04G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADSGDREPRGLINPSARRRQRQLLIDPVTVAARRRRGRGRDVRCPTRRSSGRAPAACWRTPGTRRSPRESDHRVHRWHSSRLVPPHAMHHGADVSAPHRSNAAAGDDDDVLLLLLMFAIATPAEHARPRSPCCAPAPPETGLWPCGMERA >OGLUM04G14650.1 pep chromosome:ALNU02000000:4:20267935:20268431:1 gene:OGLUM04G14650 transcript:OGLUM04G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVGAVVPWGRRLGRVNATREWEAACGMSTSGGHGESGAVRGTDGGERIAPMSRAESRLRMNSAGFSGSACGSNKEE >OGLUM04G14660.1 pep chromosome:ALNU02000000:4:20271110:20276928:1 gene:OGLUM04G14660 transcript:OGLUM04G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGDNQGEREVVKKASTSTSSQALSMVMEGEGNEAAGSGTLISGLRGSEAVMLIVGVVGSHQKGSKTHRLLRPRLRLRLRPQRSTPRPPRPAPQRDPSSLSSALSALSSLFFSYSVIQLSFALTPLSYCQAVMDNSFVDIPNQPPMNNPFVLMEPSAQSYMVNLGKSTPHMDCLGSAMANYGHGNQNIQCIDSITTRDDGCRLVLGLGPTPNFYSAECQPTGVDKLKEAPSLSGQGLTITDPGTLRLGLQMDVSQTIQPLQAPDGTVHSFTVVDEASASPSVRSIGGYMPSLLFAPRSGSSAVNETHEAETQDSLDLTHSDNDNTQHVQHHLQLSPEPSAMTDTSFGVSSDVVTATTTSEQRNHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSTAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGRRCEHDGCPKAARGKSGRCIKHGGGKRCTVQGCIRSAEGKAGLCISHGGGRRCQFPDCSKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCPKSVHGGTEYCVAHGGGKRCSVAGCTKSARGRTDCCVKHGGGKRCKVDNCSKSAQGSTDFCKAHGGGKRCTWGTGCEKFARGRSGLCAAHGTLAAKQQERDAANNGAGMIPPGLFSGIVTVAATSSMTNEYSSSGISTASDCDGTVRSQAMMIPPQVLVPRSMMPSSSSEPTVHGGREGGCAVPEGRVHGGGLLSLLGGSFRNADMDKL >OGLUM04G14670.1 pep chromosome:ALNU02000000:4:20278247:20281923:1 gene:OGLUM04G14670 transcript:OGLUM04G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPLLLLLAAVCLVAAASAGGADAAERKTTTVGVYELRKGDFSVRVTNWGAVIMSVVLPDSRGKLADVVLGYDTIAEYVNSSTYFGALVGRVANRIAKARFVLDGKAYHLYPNDGKNTLHGILEKFPEVQEIHGAWFISTNSGHRGFSNVTWTVKEHVGGGDAPYITLYYHSFDGEQGFPGALDVYVTYQLSGPYVLSVHMNATAAGKATPVNLAQHSYWNLGGAGSGDILGNTVQLFASRYTPVDAELIPTGQVAPVAGTPYDLRAPTPVGARVHLVTGGLSKTGATIYGFDTNYAVDCGDDVDAHAHALRRVAVVRDGKSGRSLELWANQPGVQFYTGNFLTADVKGKGGKAYGQYGALCLETQGFPDAVNHPNFPSVIVRPGQVYKHEMVYKFSF >OGLUM04G14680.1 pep chromosome:ALNU02000000:4:20283401:20286928:1 gene:OGLUM04G14680 transcript:OGLUM04G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSDRSKRSHASTRAAQVSVRNQAGPVPPRTNSSEIAAGLRLYLHLYQETIENELHASLSSRPVSCPRRIALLAMAGARLSLASFLCLVVVVVATALAGGAGARKTVGEYVLRKGDFSVKITNWGATMMSVVLPDSKGNLADVVLGLDTIAEYVNDTNYFGPVTGRVGQRIARGRFVLDGKVYHTYINDGRNAIHGGHRGFSKVIWTVKEYVGGGESPYITLYYRSFDGEQGFPGDLDAYVTYQLSSPYVLALRMNATARNKATPVNFLQHTYWNLGGQGSGDVLGHALQLSASRYTPLDEELLPSSGVVAPVAGTPYDFRHPTPIGARIRQVMGGRIAGYDINYVIDGDGMRKVAAARDGASGRALELWANQPAMQLYTGNWLNNTKGKGGKVYQQYGGFCLETQGYVDAVNHPEFPSMTVRPGQVYKHDMAFKFSF >OGLUM04G14690.1 pep chromosome:ALNU02000000:4:20287059:20287493:1 gene:OGLUM04G14690 transcript:OGLUM04G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEETRGWGEGELRGRGGVSERREDEAAVGEDGRGEAWPPPARTVVEGADLAAPHHLPILSPLADAASPSPHPLAARRRFVRRDEDDKKNPAPTSGGMHVSLSAILAEELSSCPAPPLPHPLPCPPPSALVCPSR >OGLUM04G14700.1 pep chromosome:ALNU02000000:4:20292580:20293871:-1 gene:OGLUM04G14700 transcript:OGLUM04G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIQRQDSFDDLINLADDVVVEAPTLTSEEMDRARREALEILRNNSPEEAFRIFTQGLIGQVVQSPVVGNATPPTTNQAVTVSVPPKAGDGEPKTAPRPPNN >OGLUM04G14710.1 pep chromosome:ALNU02000000:4:20294593:20296299:-1 gene:OGLUM04G14710 transcript:OGLUM04G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLN7] MAQKFLLGGVGAILVVAVVVGVVATVTRSGNKAGDNFTVPGEANLATSGKSVESLCAPTLYKESCEKTLTTATSGTENPKEVFSTVAKSALESIKSAVEKSKAIGEAKTSDSMTESAREDCKALLEDSVDDLRGMVEMAGGDVKVLFSRSDDLEHWLTGIMTFMDTCADGFADEKLKADMHSVLRNASELSSNALAITNTLGAIFKKLDLDMFKGENPIHRSLIAEQETVGGFPSWMKAPDRKLLASGDRNRPQPNAVVAQDGSGQFKTIQEAVNSMPKGHQGRYVIYVKAGLYDEIVMVPKDKVNIFMYGDGPKRSRVTGRKSFADGITTMKTATFSVEAAGFICKNMGFHNTAGAERHQAVALRINGDLGAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEYSRLVIMESTIADFIKPEGYMPWNGDFALNTLYYAEFNNRGPGAGTSKRVNWKGFRVIGQKEAEQFTAGPFVDGGTWLKFTGTPHFLGFKV >OGLUM04G14720.1 pep chromosome:ALNU02000000:4:20298398:20306954:1 gene:OGLUM04G14720 transcript:OGLUM04G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRRPVAADLTGSQSSARARGLGAAPPQLPRTGDHALGAQRRWDPQAWATASRITRHYA >OGLUM04G14730.1 pep chromosome:ALNU02000000:4:20298847:20304960:-1 gene:OGLUM04G14730 transcript:OGLUM04G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNLLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >OGLUM04G14730.2 pep chromosome:ALNU02000000:4:20298847:20304960:-1 gene:OGLUM04G14730 transcript:OGLUM04G14730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADESGSCGGGGGGGGGCEAVKKRVDQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELINGFGKNQHSLRRMTDEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDPADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTQVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQEMARNRDFRGPSTRKSRSSRLSNLLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPKGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYFRDPNAMERKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAAILRNDVGWFDQEENNSSLVAARLSTDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVAILILVTFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKVLSLFCTELRVPQMHSLRRSQISGALFGLSQLSLYASEALILWYGAHLVRHHVSTFSKVIKVFVVLVITANTVAETVSLAPEIVRGGESIRSVFAILNYRTRIDPDEPETEPVESVRGDIDFRHVDFAYPSRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGKDIRRLNVRSLRLKIGLVQQEPVLFATSIFENIAYGKDGATEEEVIEAAKVANMHGFVSALPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGELVSRPDGAYSRLLQLQLHHG >OGLUM04G14740.1 pep chromosome:ALNU02000000:4:20319934:20326130:1 gene:OGLUM04G14740 transcript:OGLUM04G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLP1] MASPMLSAATVPLQGGGLSEFSGLRSSLSLPLRRNATSDDFMNAVSFRTHAVGTSGGARRAPTEAKLKVAINGFGRIGRNFLRCWHGRGDSSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADQYSPDEPIISNASCTTNCLAPFVKILDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELKGILEVCDVPLVSVDFRCSDVSCTIDASLSMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >OGLUM04G14750.1 pep chromosome:ALNU02000000:4:20323533:20325833:-1 gene:OGLUM04G14750 transcript:OGLUM04G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G69680) TAIR;Acc:AT1G69680] MSGERCAGRPLFGGAISSTFPVRFQDVSNIRQVPDHQEVFVDPARDESLIFELLDLKGEVEDGGSALWFLRDIANEQDAGDNLVVEHSGTIELGGLRFGDAPAVAGTAVGQLAISKGRQGREAQNIVRLYLANIRLKNAATDVVITAYEPLLINPLSESASAVAAGPAVPAEQAGCLAMSEIFKLAVMNFNVHDWNLFNGSS >OGLUM04G14760.1 pep chromosome:ALNU02000000:4:20327254:20330491:-1 gene:OGLUM04G14760 transcript:OGLUM04G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEQKGKKPRTDGAEAEPVDAALLQSIEKLQEIQDEIEKVNEEACDKVLELEQKYNEVRRPVYVRRNEIIKQIPDFWLTAFLSHPMLGELLTEDDQKIFKHLESIDVDDSEDIKSGYSITLTFSPNPYFEDTKLTKTYSFSDDEAVKVKATSIRWKKGMDIANDRAYTKKGDKRILIDESFFTWFNSEKNRSFAHGAMDEVADVIKEDLWPNPLKYFNNEFEEELELLDDDDEVSDDDDEEEDDEDQSEGEEDGEEN >OGLUM04G14770.1 pep chromosome:ALNU02000000:4:20332092:20335569:-1 gene:OGLUM04G14770 transcript:OGLUM04G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAIAAALLRRSTSSHHHRRILLLPLLSHLQRAASRSPSPWDPPPHHRFFFSSDVTAEGDSKPRPPLDGKQLWREVSTSEPATGASRLPKATWDAVVALLRRFGKDPAMSDQALALYIPASAFPTYARRFRHFLPSRLSLESAEHLLSLPADDAHALLLPAFAEFCVTHLADELRKHESVMAAADLTAPHAWYPFARAMRRRVVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCSLRTGQEIKEVPFSNHVACTIEMLSTEEPYEVAVVDEIQMMADPVRGYAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYSLAKYNGDRMVPVAASQVKQIAGRAGRRGSIYPDGLTTTFLLDDLDYLIQCLQQPFEEAKKVGLFPCFEQVESFASQFPDLTFNELLDKFRENCRVDSTYFMCHQESIKKVANMLERIQGLSLKDRYNFCFAPVNIRDPKAMYHLLRFATNYSQSRRVSIAMGMPKGSAKNDTELLDLETKHQVLSMYLWLSHHFEEDHFPHVQKAEEMSINIADLLAKSLAKASWKPTSRQQAKPRRENEEDNDVEQASDDNAKNDSEDGYERSISRIKPFMRKRLDRPSQDPSSLNFVA >OGLUM04G14780.1 pep chromosome:ALNU02000000:4:20338501:20344417:1 gene:OGLUM04G14780 transcript:OGLUM04G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G39830) TAIR;Acc:AT5G39830] MPVHLSHPAIPHDTVSPSRPRIGVRFQIISLHGGCSQATHARTPTTPPIRDPAGLWIACSEPGMHCLACAAPAARAPGSRVGGGGRRRMAIECAASSPFTRDGEETAPRSMMETYGEMSSKPVLLASRRKLVALSSFCFCLHSSRYFSALALGDPSVKIDDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGVVEIPEGNGSGVVWDDSGHIVTNYHVVGNALSKKPKPGEVVARVNILAADGIQKNFEGKLVGADRSKDLAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHALTVGVISGLNRDIFSQAGVTIGGGIQTDAAINPGNSGGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNVEFAPDPIAYQLNVRTGSLILQVPGGSAAAKAGLVPTSRGFAGNIVLGDVIVAVDGKPIKGKSDLSRVLDDYGVGDKVSLTIQRGAETLEVTLPLEEASI >OGLUM04G14790.1 pep chromosome:ALNU02000000:4:20351661:20361902:1 gene:OGLUM04G14790 transcript:OGLUM04G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGTARTCMNGLNALSGVGLLTVPYALSEGGWVSLALLAAVAAACWYTGILLCRCMDADDAIRTYPDIGERAFGRTGRLLVSAFTYVELYLVATGFLILEGDNLDKLFPGARVTLGTVSLAGKRLFVVLVALVVAPTTWLRSLGVLAYVSATGVFASVVIVLSVLWAAAVDGVGFSGRGTTTPLRIAGLPTALGLYIFCYGGHPMFPTLYTSMKRKSQFPKMLVICFLLCTLNYGAMAVLGYLMYGDGVLSQVTLNLPSARLSSKVAIYTTLLNPVTKYALVVTPIAAAVEERIRGAAGKGARAVSVAVRTLLVLSTVAVALALPFFADLMALVGSMLNVAVCMLLPCACYVRIFGAPSMSSVEAVAIGGILVLGSLVAVTGTYYSLMKIIHELVRMAIGGAAPPHPPRGGGGGSPEDSSTARRPDFEQPLLQAHAAVPARGKQEPVERDHEAQCSPEADGDGATFVRTCFNGLNALSGEYKKHSIFGLRSCSSGVGLLSIPYALSEGGWLSLVLLLAVAMVCCYTGLLLRRCMAASPAVRGYPDIGALAFGAKGRLAVSAFLYAELYLVAIGFLILEGDNLDKLFPGTSLAVGGLVVSGKQLFVVVVAVVILPTTWLRSLAVLAYVSASGVLASVVVVFCVLWAAVFDGVGFHGKGRMLNVSGLPTALGLYTFCYCGHAIFPTLCNSMQEKDKFSRVLVICFVACTVNYGSMAILGYLMYGDDVKSQVTLNLPEGKISSKLAIYTTLINPFSKYALMVTPVATAIEEKLLAGNKRSVNVLIRTLIVVSTVVIALTVPFFGHLMALVGSLLSVMASMLLPCICYLKIFGLTRCGRGETLLIAAIIVLGSLVAATGTYSSLKKIFYEF >OGLUM04G14800.1 pep chromosome:ALNU02000000:4:20364360:20365180:1 gene:OGLUM04G14800 transcript:OGLUM04G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLP7] MTKDGLVMEHGEISSKAPLVAPVAAGVNRAVAVVDTFLRFIAIIGTIGSAIAMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHILRPRARYSRLFLVFFDTAMLALLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLVVLITLSAFALARRH >OGLUM04G14810.1 pep chromosome:ALNU02000000:4:20365388:20370813:1 gene:OGLUM04G14810 transcript:OGLUM04G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >OGLUM04G14810.2 pep chromosome:ALNU02000000:4:20365388:20371029:1 gene:OGLUM04G14810 transcript:OGLUM04G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRS >OGLUM04G14810.3 pep chromosome:ALNU02000000:4:20365562:20370813:1 gene:OGLUM04G14810 transcript:OGLUM04G14810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRSSDKIVVLTVPNSLSGIIGY >OGLUM04G14810.4 pep chromosome:ALNU02000000:4:20365562:20370560:1 gene:OGLUM04G14810 transcript:OGLUM04G14810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MSVLRSILPTVARNNGWRCFATGFPSDTIAELNKEMESVFGESPSPSPLGSTPQQPARPTNGSGDGQPMLTHIDSSGQAKMVDVSSKHDSTRVAIATCRVLLGQEAFDLVASNKIAKGDVLTVAKIAGITGAKQTSNLIPLCHNLNLSHVRVDLTLNEEDSSVMIEGEASTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKNICITDICLQHKSGGKSGSWSRSSDKIVVLTVPNSLSGIVLH >OGLUM04G14810.5 pep chromosome:ALNU02000000:4:20365388:20367910:1 gene:OGLUM04G14810 transcript:OGLUM04G14810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor of nitrate reductase and xanthine dehydrogenase 3 [Source:Projected from Arabidopsis thaliana (AT1G01290) TAIR;Acc:AT1G01290] MWNSTAPAAADRTGGGRAIRATAGVCGGDCGSAARRRARLGVGGRVAGRKKLSVRYQAAHKDPLLDSIDWRKKGGVPEVKRFAEA >OGLUM04G14820.1 pep chromosome:ALNU02000000:4:20372491:20374590:1 gene:OGLUM04G14820 transcript:OGLUM04G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGDASAVRARVRSGDYFFFHFFPVDVDVDRLLPFVDRCGSSFFKSLYQPVTNVLSLGYRIIVPLPVCHRFDWLISWEILLLRV >OGLUM04G14830.1 pep chromosome:ALNU02000000:4:20375579:20377928:1 gene:OGLUM04G14830 transcript:OGLUM04G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >OGLUM04G14830.2 pep chromosome:ALNU02000000:4:20375606:20377928:1 gene:OGLUM04G14830 transcript:OGLUM04G14830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQGQAGMDLPPGFRFHPTDEELITHYLAKKVADARFAALAVAEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATESGYWKATGKDKDIFRRKALVGMKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHAAALGLLHGKPASSKNEWVLCRVFKKSLVEVGAAGGKKAAVVTMEMARGGSTSSSVADEIAMSSVVLPPLMDMSGAGAGAVDPATTAHVTCFSNALEGQFFNPTAVHGHGGGDSSPFMASFTQYGQLHHGVSLVQLLESCNGYGGLVDMAASGSQLQPAACGGERERLSASQDTGLTSDVNPEISSSSGQKFDHEAALWGY >OGLUM04G14840.1 pep chromosome:ALNU02000000:4:20381837:20383220:-1 gene:OGLUM04G14840 transcript:OGLUM04G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESGPGLCVKTGRMGLLTQLMGLAFARPRCRIWQQAYLHSLDGPQQRFISTSKPQRPADLINREQAGGVGVTGEQQKPTRR >OGLUM04G14850.1 pep chromosome:ALNU02000000:4:20384351:20385056:-1 gene:OGLUM04G14850 transcript:OGLUM04G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSSAAAITSSAAAATSSAVAAAAAAAAASSSAAMDWYLDAVRGVATIEKALGFFKEKASITHAKSFWFYKYIPLVYHTIFTMILLPTRRSKGMERARAYVYMRCSAASVKYLLCFTTQVVVRCTDAVTRWPGVKVQRYEYDRTVDEPDVSMLDRLPVRILRKIGESG >OGLUM04G14870.1 pep chromosome:ALNU02000000:4:20402638:20404375:1 gene:OGLUM04G14870 transcript:OGLUM04G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMSVHPAATPALASRARISRPKLSAASPCTTSSSSTPRLVHLGSRRLPLRSLRGLAAAAASGAVEAEEGEEEKQVGGGDASAGEEAQEYKVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >OGLUM04G14880.1 pep chromosome:ALNU02000000:4:20415663:20423812:1 gene:OGLUM04G14880 transcript:OGLUM04G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLVIFSSTDRLYEYASTSMKSVIDRYGRAKEEQQHVANPNSELKFWQREAASLRQQLHNLQENHRQLMGQDLSGLGVKELQTLENQLEMSIRCIRTKKDQLMIDEIHELNRKGSLIHQENMELYRKVNLIRQENAELYKKLYETGAETEANRDSTTPYNFGVIEEANTPARLELNPPSQQNDAEQTAPPKLGQKR >OGLUM04G14890.1 pep chromosome:ALNU02000000:4:20434674:20435646:-1 gene:OGLUM04G14890 transcript:OGLUM04G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAMATGFACAYSCCYSSRLHQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >OGLUM04G14890.2 pep chromosome:ALNU02000000:4:20434676:20435235:-1 gene:OGLUM04G14890 transcript:OGLUM04G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFACAYSCCYSSRLHQQYGLQEKPCGDCCVHWCCGPCALCQEYRELKSRGFDMSLGEHGEDGEGRRDCPAADAPGDDSLVRVCVRGHVGLRCADQASACVGSVASSRQGMPTCKVDVKLVFSFCYISSCRESHEL >OGLUM04G14900.1 pep chromosome:ALNU02000000:4:20452072:20454616:1 gene:OGLUM04G14900 transcript:OGLUM04G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASTGSSVAARVGWSLLRRFGVGSSPRAAAATAEGDSVGMRRMPSTVVVIAGTVPIPVAVTMAGSDCCMNQRMVSLSDLCPSSRVSQRMVSQSNLSPELAPPPPPPRRRLALLPTLGSKTPRGGLKKHEADTAAVEINSGSDNNTAIATNTPRFTSSISNDFLMWPTSSAKVSRYSIASTRANAHGIVSTTLSSLSAGTRPSTSPS >OGLUM04G14910.1 pep chromosome:ALNU02000000:4:20453697:20456589:-1 gene:OGLUM04G14910 transcript:OGLUM04G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLR3] MSSSARGITLLCLLLIVSSTVLHFSIGGGSNGEKRRDDGDGDGDDEKVRLLLGANALGERDRRHGHGHGGGVSSAPAPAPAPARAHLPPPLLHKNARLPDPVPGRVGLGHRRGNATAAHRRRSEREGKKSTPLVVVAAGAALSGAAAVLLVVLVVFLACRRFQRRAMPGADQSGTNKVSFDPGPDVFYLDAVKPYVEADHGGGGGVVKTAPELAGPKEEPRCEEEDSGVALSDDGADSVHSSCCFHSSHFSYSELRDTKPGSNGVSPSPSGRSRRRSSAPVTPSEKNKAASPYSPQCPRTPSNRERSSRAHSPSSSVSDLTSVSTSVVKDHEVRRAVHSLRFPEAQSGGAGHAKEDEAESGNMRPPPPPPPPPPPPPPPPPPPPPPPPAVTQQQDVKTSCGPAVPPPPPPPPPPPPPLLAPKQQSSGGPILPPAPAPPPLFRPWAPAVGKNGAPLPKLKPLHWDKVRAAPNRRMVWDRIRSSSFEYVHPKPTPSPLRLRTSSRLDEKMIESLFGYNARCSTKHEEVQSRSPSLGHHVLDTKRLQNFTILMKAVSATAEQIFAALLHGNGLSAQQLEALIKMAPAKDEADKLSAYDGDVDGLVPAERLLKVVLTIPCAFARVEAMLYRETFADEVGHIRKSFEMLEEACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDALLKLADVKGTDGKTTLLHFVVQEMTRSRAAEAADIAAGLGAELTNVRKTATVDLDVLTTSVSGLSHGLSRIKELVGSDLSGDERNQCFVAFMAPFVAHAGEVIRELEDGERRVLAHVREITEYYHGDVGKDEASPLRIFVIVRDFLGMLERVCKEVRGAKNCHGGNPALNLNNV >OGLUM04G14920.1 pep chromosome:ALNU02000000:4:20460120:20465092:-1 gene:OGLUM04G14920 transcript:OGLUM04G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNVQNVKASATEFVNSVRADTNAIKPSATGTQPAISLSPLLYSGVWLLVFGDGVVCCGGARVTVTGVGVDGAVEFGVERGTLTGGDGAAPPRSNPTRESWKKFFRLVADEERQGRKKLGTPACDGLDAAHDRGCTATVRSSRLPGQAAAAGGRSRRQRRGSCTARVRFTGTGIAPPPPDWLRAWQRQSGSSCTMLPRLAQHVFDGAASLPPTKPRQGRSRMRPALQPDPDDAPPGCAAAPGAVTSSSAAATTTTQAATTAEEAIGTGVELPTCHSAIKCWYEMEIVTLVSCLGLALRAILILMISDAMLD >OGLUM04G14920.2 pep chromosome:ALNU02000000:4:20463398:20465092:-1 gene:OGLUM04G14920 transcript:OGLUM04G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNVQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >OGLUM04G14920.3 pep chromosome:ALNU02000000:4:20463398:20465092:-1 gene:OGLUM04G14920 transcript:OGLUM04G14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSGTPRHSNLKFTALRPLILLVSLLGSVAGVHLLESPVLHPVAEGLFNFVIAWTLLFAPLLFTDSRRDRFKGSLDLLWGFQMFLTNTFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNVQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >OGLUM04G14920.4 pep chromosome:ALNU02000000:4:20463398:20465092:-1 gene:OGLUM04G14920 transcript:OGLUM04G14920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARTLALAFPLPLCAVSSPRRATPLALPRRGAARPLASLAQCPARGHSPSPRRAAGRALAPCAAMRGSGGGGGGGEFASDDGGGARALLRATLWGAEAAYILWLFLLPYAPGDPVWGISQATISDLIGLSLNFFLILPLLNSAFLIPYMAIRLNDPDKDKSPPQTSKLGSVMVRGAPIVGLTGGLVCILSIAWALFGRADASFGGIAERWQYVQSYVFSERLAYAFLWDILLYSIFQPWLIADNVQNVKASATEFVNSVRFLPVIGLVAYLFCLEDRDD >OGLUM04G14930.1 pep chromosome:ALNU02000000:4:20461692:20464331:1 gene:OGLUM04G14930 transcript:OGLUM04G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVVAACVVVVAAALLLVTAPGAAAQPGGASSGSGCNAGLIRLLPCLGFVGGNDAAPSNTCCANLGSMVHDEPLCLCQALSQSGGGGAIPVPVNRTRAVQLPLLCRLDLPPAAAACPGFDLGGAAPSPPVSVPRSTPNSTAPSTPTPVTVTRAPPQQTTPSPKTSSQTPEYSSGLKLIAGCVPVALGFMALVSALTF >OGLUM04G14940.1 pep chromosome:ALNU02000000:4:20476389:20478386:-1 gene:OGLUM04G14940 transcript:OGLUM04G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G25530) TAIR;Acc:AT1G25530] MVTSSVLPKVVDDAGEGEANPRRAKWWYATFHSVTAMVGAGVLSLPYAMAHLGWGPGTAALVVSWGMTLYTLRLLIELHECVPGVRFDRYRDLGAHALGPRLGPWLVVPQQLIVQLGCDVVYMVIGGKCLMKFAESVSSWSRAPQLHHQSYWICIFGASQFLLSQLPSLDSITAVSLAAAAMSVGYSTISWAACLARGTPAAAEGSGGGVSYAYKDGTAADSVFRVCSALGQVAFAYAGHGVVLEIQATIPSTPTKPSRGAMWKGAVAAYLVTALCYFPVAIAGYWAFGRDVSDNVLVALRRPPWLVAAANMMVVVHVLGSYQVYAMPIFETLETILITRIRLPPGALLRLVARSAYVAFTLFVAVTFPFFGDLLGFFGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMIASTIGGLRSIIQDASTFQFYS >OGLUM04G14950.1 pep chromosome:ALNU02000000:4:20482049:20486585:1 gene:OGLUM04G14950 transcript:OGLUM04G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >OGLUM04G14950.2 pep chromosome:ALNU02000000:4:20482063:20486585:1 gene:OGLUM04G14950 transcript:OGLUM04G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDTAEEIREAPKRDSSEGQ >OGLUM04G14960.1 pep chromosome:ALNU02000000:4:20486394:20488493:-1 gene:OGLUM04G14960 transcript:OGLUM04G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASEELERRSRYLSSLVRRTKLADPPEPEPEPEPEPEREREREREVAAKESGGGEGKGGKVVEEKEVKAAKEKKEKKEKEKEKEAKVPRGEGGNGEEKKVAVRVRAADMPPALQRRAIRVALEATAAMPRIDSKRLALALKKEFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKLYILLFRTAVEPLSYQR >OGLUM04G14970.1 pep chromosome:ALNU02000000:4:20496547:20497723:-1 gene:OGLUM04G14970 transcript:OGLUM04G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPAAVSFLTNIAKAAAGLGAAASLLSASLYTVDGGERAVIFDRFRGVLPETVGEGTHFLVPWLQKPFVFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVVHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAAAGTGLIELRRIEAAREIAAELARSPNVAYVPAGDNGRMLLGLNAAGGVSLVTDVALLN >OGLUM04G14980.1 pep chromosome:ALNU02000000:4:20500439:20502532:-1 gene:OGLUM04G14980 transcript:OGLUM04G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPPAAAAAALLLLLALSAGANDIASDAAALQAFIAPFGSATVSWNTSQPTCSWTGVVCSGGRVVEVHLPGVGLRGNVPVGALGGLDKLAVLSLRYNALSGPLPSDLAKCAELRVINLQSNHFSGELPPEILALPALTQLNLAENRFSGRIPASIAKNGRLQLLYLDGNLLTGELPNVNMPLLTSFNVSFNNLTGGIPSGLSGMPATSFLGMSLCGKPLAACRTPISIPPSQAPALSPEGAVSAGGRGRGGRRLAGGAIAGIVIGCALGFLLVAGVLVLACGALQRKPRPHHSRDVAAELALHSKEAMSPSVYTPRVSDARPPLPPPAVVPATQPAVAANVAGKKKLFFFGRVPRPYDLEDLLRASAEVLGKGTYGTTYKAALETGPVVAVKRLKETSLPEREFRDKVAAIGGLDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLLWESRRRIALASARGLEYIHATGSKVVHGNIKSSNVLLSRSSVDARVADHGLAHLVGPAGAPSSRVAGYRAPEVVADPWRLSQKADVYSFGVLLLELLTGKAPTHAVLHDDEGVDLPRWARSVVREEWTSEVFDTELLRHPGAEDEMVEMLRLAMDCTVTVPDQRPAMPEIVVRIEQLGGAGSARTARSVSMDDADDRPLRPAGSIRES >OGLUM04G14990.1 pep chromosome:ALNU02000000:4:20505470:20506074:-1 gene:OGLUM04G14990 transcript:OGLUM04G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPPYLLHSSSKPHPLVFLRPSGRPACGGRHGGPCPFLDQSSSSSNRSPASTRQLPLSTSSTEPPLSPHAVRAAQERTPASSEHHKVADAPASIMMLTSNGSTNPKARAGSIGEQTRLVQLQFFADAVFQVSTVVAEAR >OGLUM04G15000.1 pep chromosome:ALNU02000000:4:20512926:20513837:1 gene:OGLUM04G15000 transcript:OGLUM04G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNRISGGGGGGFLKPLAGVSFAFMPGVGAFYFLVGSVLGFLAMVYSSESDEAGGDWASAERWVALARSVSAPQMFVGIPLLLLATGVWRLGKRCEAVEGLVGNADATVQALRVGGVVCAVCGTKILALKKKGGLPPSPTPSPARSKGSCSDKPVARSLAAELEQEADAEEDECAAAGDANGGCGSGAEEGGSVERLRRRLAAERRRREAALEELEKERRAAASAADEAMAKIACLRNEKALVEREARQFREMAQQKQMYDRQQIESLQWVIQRIGMPCGEAEVSSERAVSETSEDDRDRK >OGLUM04G15010.1 pep chromosome:ALNU02000000:4:20516213:20518042:1 gene:OGLUM04G15010 transcript:OGLUM04G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09680) TAIR;Acc:AT1G09680] MQRALRLRHRPRRPPPVPAQAPPPSPRPWYAAPRPPPQAAAADPLLVAASEVALALPVHPAPLPSAAPAPLLRLLPAFTSDHFLSLLRLNPLSLPPLPLHSLFRLLLVASPPGLFRHTPASFLSMACHLLRHRLPHLAHPLLRLLASRLGRSSPPRVLPLLLSAAAAAPGDPASLLSALSSAYAEEGLLPDACTLVLLALRRGIRLEPTSCTGLMSRFPTAPEACAFYLQLLDAGLPPEAKLFNVLMRDLVRLGELASAQNVFDEMQSRGVRLTVVSFNTMISGMCRAGDLDGAETLHRRMSEAGVMPDVYTYGALIQGLCRVGRIEDARGVFEKMCGRGMKPNAVVFTILIDAHCKKGDAETMLELHREMRERGVRPDVVTYNAIVNGLCRARDLKSASGIVVEMRSDGLRPDTVTYTTLIDGYCKEEELDMAMEIKQNMVAEGVGLDEVTYTALISGLSKAGRSADAERVLGEMMEAGLEPDNTTYTMVIDAFCRKGDVKTGLRLLKEMQNKGRKPGVVTYNVIMNGFCKLGQMKNADMLLNAMINIGVSPDDITYNILLDGHCKHGKVTDIEELKSAKGTVPDLGVYTSIVGEIVKKKTTKNYHDR >OGLUM04G15020.1 pep chromosome:ALNU02000000:4:20518328:20521274:1 gene:OGLUM04G15020 transcript:OGLUM04G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRDGDDLLLLPFHLPLVMVCLALGREIPTTWCNCGQAYLTPIKTAKSTGFRGAMHGQGTHREMMSG >OGLUM04G15030.1 pep chromosome:ALNU02000000:4:20518378:20521538:-1 gene:OGLUM04G15030 transcript:OGLUM04G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDGGGLPLLADKAASHSHHHHPERHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNSQAWLDFMMRFELGLEKPDPKRAIQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAVQTAIIGALASAAAYGMAKAPGAQDNHFEPCRK >OGLUM04G15030.2 pep chromosome:ALNU02000000:4:20518959:20521538:-1 gene:OGLUM04G15030 transcript:OGLUM04G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATDGGGLPLLADKAASHSHHHHPERHFTSGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAKSEADHYQREMKREQEEIIAVPDTEAAEIGEIMSQYGLEPHEYGPVVDGLRRNSQAWLDFMMRFELGLEKPDPKRAIQSALTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFLSAVQTAIIGALASAAAYGMAKAVQTR >OGLUM04G15040.1 pep chromosome:ALNU02000000:4:20523864:20527262:-1 gene:OGLUM04G15040 transcript:OGLUM04G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSHLAAAAAAASPAAARSPAASSAATASAFARLSATPRVASGGLAVRGQRGVAAVVAAAAGAAAATPVADFEERRATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVCYDEELGKALFNGLPNPFTAARYHSLVIEQETFPHDALEATAWTEDGLIMAARHKKYRHIQGVQFHPESIITPEGKRIILNFVRFIEELEKQRAGEKN >OGLUM04G15050.1 pep chromosome:ALNU02000000:4:20529252:20532051:1 gene:OGLUM04G15050 transcript:OGLUM04G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRARWVAKYTKGLVDVLHENNISHYRTQNGWRTDGWRKIVSEFNDRYPDAKFTKVQIQEHETQLKRDYRLVKLILQREGVTWDQNASMIRTTDEIWDEIIEEMPKARKYQFKSFPLLQSLEVLFEGDIPEGEHNLMPSKPQLAGRNVDEGGNNMSTAPSIPGRPCSTVIAGIDDGENNIGILQRTPELGQQGLDDVDILQNPTEEVLERPQHGADPKPQSADEPAHSSSCIEPQKDKRKKRKVPDIQQTMEAFLEFRMKQARLKEQAKKEKKDGEPFSISTCIKALHSMTDVSDQVKILASDVFKDAANREIFLSYDLRLRTLWIKREVNRLLT >OGLUM04G15060.1 pep chromosome:ALNU02000000:4:20534739:20536109:-1 gene:OGLUM04G15060 transcript:OGLUM04G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVDASAAAAAARDAKKKRGNRSSAKLKQCKLDARREQWLSQDCSNSDPPAFWIGIVKDGKEAKASTSPTGTEPNAGSMTVPSPHPPLPRRRVDVRSKGGDPEEDREERGAARQELGSSYLDSPVHSPSSDNSGSVGGMHRKHYNNGGGLNLSSSSSVWSSSRSVSEAEDDDTGGPEEENGVLDDWEAVADADALTVDDCHSHQSSGHVAPPAAPNVCTAPANQTGRQDPIQRTKAWAPDDIFRPQSLPSISRQVSFPASIGNGWMGAAQQANLSTPLTCPICCEDLDLTDSSFCPCPCKFRLCLFCHNKILEADGRCPGCRKEYVAARLSRSCSMGPRY >OGLUM04G15070.1 pep chromosome:ALNU02000000:4:20538869:20544367:-1 gene:OGLUM04G15070 transcript:OGLUM04G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39952) TAIR;Acc:AT4G39952] MPPTPPLAALHRFLASPSPPPLPSLLTLHALAVTSGLSPRPDFAAKLVSAYSSAGLPALAALAFAASPCPDAFLWNSLLRSRHRASDFASALSAHRRMRASGARPSRFTAPLVASAAAELGALPVGAAVHAYSVRFGLLEGDGSVAVASSLVYMYARCGSVRDAVRLFDEMPERDVVAWTAVISGCVCNGQCGEGLSYLVRMVRSAGDGGARPNSRTMESGLEACGVLGELSVGTCLHGFGVKAGVGHCPSVVSSLFSMYTKCDSTEDARILFPELPEKDLVSWTSLIGAYCRAGHAEKAVELFLGMEESGLQPDEVVISCLLAGLGNDAKVRGGKTFHAAIVRRNFGDSVLIGNALISMYAKCKQVDIAATVFRMLHQRDTDSWSSMVVAYCKAGLDLKCLELYREMQFRDKDEFEYDTNSLISIISSCSRLGRLRLGQSAHCYSIKHLAGENSSVANALISMYGRCGNFDVARKIFGLVKTKDVVTWSALISSYSHLGHSKDALLLYDQMLTEGVKPNSATLVSVISSCANLAALEHGELIHSHVKDVGLECDLSISTALVDMYMKCGQLGIARKMFDSMLERDVVTWNVMISGYGMHGEAIQALKLFSMMERGNVKPNSLTFLAILSACCHAGLVDKGRELFTRMEEYSLEPNLKHYACMVDLLGKSGHLQEAEDVVSAMPIEPDGGIWGTLLGACKMHDNFEMGLRVAKKAFASDPENDGYYILMSNSYGSAEKWNEIEKLRDMMKNHGVEKSIGWSTIDICGFMKNQLTQWQHSLFEQSEFRSSEDMCISFVSGIWSESMVNGLTEWGNEVVDSSEFRNKAQRLKVAAMLMFLRHSTEPGTSHVEGHISYQSYEVEWVIIPKSPHAFGATYPQRSELVALDILVPQKLDNMSSN >OGLUM04G15080.1 pep chromosome:ALNU02000000:4:20545698:20553686:1 gene:OGLUM04G15080 transcript:OGLUM04G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLARRVAIAAALTHPQQLRLGCSRRRAQRVVAMAESGKRCYPGSSVRAGLLGHGDGGEAVNGVIVRADQPQLAAGGGVAGEEGSSPATASSARTSSPAATSIVHASAGGYVLHAGARRRCRHHHYAGSSAVHAPRRSSSPAPSLSPRRILRRPCDHAPRRSSPAAASSMPELGDGGGCVRAANVNLICAAPMVAFVLASEEGGGRWTGGDPVGTVSQRNTGLTSWATPPNSGAPRNVLPSRGVARTPVRWITGEPVGHGSDAPDYRVSIRDSIAKSMHNTSRVKVDRAKRRNVRLFVRSRTCTYGRIDTAAGLEAMRVVSFFHDEVLCVLKGICGCLCMQPTSFLDKEKTPFAIAHRYC >OGLUM04G15090.1 pep chromosome:ALNU02000000:4:20555681:20556424:1 gene:OGLUM04G15090 transcript:OGLUM04G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQKIVIKVSMPCEKSRSKAMALVARASGVNSMEVTGDGKDRLQVVGDGVDPVCLVACLRRKIGYAEIVQVEEVKDKKPEEKQPEPPKPVPCYYPAPPCYYPPATVVCSDEPSPCSIM >OGLUM04G15100.1 pep chromosome:ALNU02000000:4:20561912:20566339:-1 gene:OGLUM04G15100 transcript:OGLUM04G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAIPRRGLFIGGGWREPSLGRRLPVVNPATEATIGDIPAATAEDVELAVSAARDAFGRDGGRHWSRAPGAVRAKYLKAIAAKIKDKKSYLALLETLDSGKPLDEAAGDMEDVAACFEYYADLAEALDGKQRAPISLPMENFESYVLKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASLTCLELGGICAEIGLPPGVLNIITGLGTEAGAPLASHPHVDKIAFTGSTETGKRIMITASQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGCFANAGQVCSATSRLLLHEKIAKRFLDRLVAWAKSIKISDPLEEGCRLGSVVSEGQYQKIMKFISTARCEGATILYGGARPQHLKRGFFIEPTIITNVSTSMQIWREEVFGPVICVKEFRTEREAGELANDTHYGLAGAVISNDLERCERISKAIQSGIVWINCSQPCFVQAPWGGNKRSGFGRELGQWGLDNYLSVKQVTKYCSDEPYGWYRPPSKL >OGLUM04G15110.1 pep chromosome:ALNU02000000:4:20568804:20573059:-1 gene:OGLUM04G15110 transcript:OGLUM04G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAGVHGGDDGVVVDFRGNPVDKGRTGGWLGAGLILGTELAERVCVVGISMNLVTYLVGDLHLSNARSANIVTNFLGTLNLLALLGGFLADAVLGRYLTVAVSATIAAIGVSLLAASTVVPGMRPPPCGDAVAAAAAAESGGCVAASGGQMAMLYAALYTAAAGAGGLKANVSGFGSDQFDGRDRREGKAMLFFFNRFYFCISLGSVLAVTALVYVQEDVGRGWGYGASAAAMVAAVAVFAAGTPRYRYRRPQGSPLTAIGRVLWAAWRKRRMPFPADAGELHGFHKAKVPHTNRLRCLDKAAIVEADLAAATPPEQPVAALTVTEVEEAKMVVKLLPIWSTSILFWTVYSQMTTFSVEQASHMDRRAGGFAVPAGSFSVFLFLSILLFTSASERLLVPLARRLMITRRPQGLTSLQRVGAGLVLATLAMAVSALVEKKRRDASGGAGGGGVAMISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLATLAMGFFLSSLLVSAVDAATRGAWIRDGLDDGRLDLFYWMLAALGVANFAAFLVFASRHQYRPAILPAADSLPDDEGAVREAATTVKGMDF >OGLUM04G15120.1 pep chromosome:ALNU02000000:4:20576030:20582673:1 gene:OGLUM04G15120 transcript:OGLUM04G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTCQRVIPPQPQPRTSRRDPEPNPPNPSRAELVDSPSTRPASQTLPSTPRPRSPHPHPSAAMSSSNNGGGGDKKPASGGRGGPTIRTLADISRGPSGFPGGGGGGGGSDSDEPQEYYTGGEKSGMLVQDPTRRNTVDSIFEQARQMGALQDQPPPFEDQSSSSRSFTGTGRLLSGETAPAAPPPPGNVLHNIQFWNNGFTVDDGPLRDYDDPANADFIESIKKSQCPQELEPADRRTPVHVNVIKRLEDYQAPLRPPSPFQGVGRTLGGGSSAEESQAPAPATQEPRRSVGIVVDDSLPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGATRPYQLQTGFPPKQLADPAQTVEQAGLANSVIIQKM >OGLUM04G15130.1 pep chromosome:ALNU02000000:4:20590577:20590867:-1 gene:OGLUM04G15130 transcript:OGLUM04G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMRCHVMMHPHPQQQQQQLEEEEATLLTRVVVSSSSSYLLLPRSNHAASSRLAPWPSSPPPSPPLASSSAACFQGEDAGLAIVLLHQMLEREG >OGLUM04G15140.1 pep chromosome:ALNU02000000:4:20601817:20607481:-1 gene:OGLUM04G15140 transcript:OGLUM04G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPRLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVTHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >OGLUM04G15140.2 pep chromosome:ALNU02000000:4:20601817:20607481:-1 gene:OGLUM04G15140 transcript:OGLUM04G15140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRPFRLLPSGVPPRLTDREMTILRRLARPLPYHYALGRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVTHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >OGLUM04G15140.3 pep chromosome:ALNU02000000:4:20601817:20607481:-1 gene:OGLUM04G15140 transcript:OGLUM04G15140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRM family member 2 [Source:Projected from Arabidopsis thaliana (AT3G01370) TAIR;Acc:AT3G01370] MLLLFLPHPSPPLLPSAASRTRPPPRLLLPPIHASPSPELLAKSALRRISDKLRSLGYLEADHPEAAPGPAAPEAGAGASPGEIFVPTPAQLPRHRVGSTLDPSWATGDGEGAAASRRRRRGGRDSSAAASAPPSAAELALPRDELRRLQGAGIRLRNRLKVGKAGVTEGIVNGIHERWRNAELVKIRCDDVSAMNMKRTHEILERKTGGLVIWRSGSTIILYRGTDYKYPYFHDREMKNDMDESSEHTSSDDEDADLAIIASEQSGSEEDSDNPAEHGSNHTEEGDDLTRRFGVDALEGNLDIGSAEQSINSATKDQQAILHTSTNVSRPSEISGRARSTLVAGVGSPNKFRLQLPGEVKLAEEADKLLDGLGPRFSDWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKVAIKRGAENIDSDLISEKLKGLTGGTLLSRDNESIVFYRGKDFLPTAVSLAIEKRRKYGNSTISNPKLNFDKSTPQNSSKLKMATDVSLDGHECYEKKHKDETAVSDNRAESLNVFAQNVEARLSQAIAEKEKTEKLIEELEMSSEPSRAETREVISEEERYMLRKVGLKMKSFLLLGRRGVFDGTVENMHLHWKYRELVKIICKEHNIKDVEYAARTLEAESGGILVAVERVSKAHAIIIYRGKNYQRPSTLRPKSLLNKKDALKRSVEYQRYKSLKLHVLNLSKNIDYLKDQMFFKQMEVQPVTPTNGMNSGHHNQGILDLNVNSGTLVDKKEEVSEVLPECAKSVVVECSSGESETEGTSVLTKSGVPLDVMQNKLLCFSKHTDDLSETTSSCLTESTSTSSESTHQSPLSSSVTHNSDSHRVSGSKFVGTLTPVHELKLDEKSSQLPSAAAPLSNRERLMLRKQALKMKKRPVLAVGRNNVITGVAKAIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSREPNKVILYRGWGADVAQNSLSGNNSTEQVEKEVISPQLLEAVRLECGLHPGESE >OGLUM04G15150.1 pep chromosome:ALNU02000000:4:20609391:20611298:-1 gene:OGLUM04G15150 transcript:OGLUM04G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASAAAASDEPPRKRARSAGAEDRLSELPDCLLHDILALVGSRQAVRTSVLSRRWRGLWRSAPRVDIDQREFRRACGGEEGEPVVDCDGFEDFADGILSPTLLGGTGTRPLDAFRLHLLYEGRFITFGRWARRALTRRPASVDIHVEYGGTVDWPPALTLGDGAGTGRLKRLHLFGVHLGFISGDGGRLGELLPVLEDLRMESCTFGHEPSSPTTTIAIPTLRSLALAVVPRRTARPYALTVASPRVASLRLFLPFSRTRAAAVRVAPAEEGEALASLVTASITVLETDQELNRRMNKHKLDFLASTRNMLDRFPNVRNLDLSGFPTIALLDKASQEFPTLPSLTTLLLSECDVGANCYVLKSVLRNAPNLEHLRLHRCKFLGTPKRKRGNSRSKGKSSSTCLDSLSSKCKSLQSVEIKFRPIDNVRHHDLVGLLKEMLGETKRQHCKKSISEGTVTILIPIT >OGLUM04G15160.1 pep chromosome:ALNU02000000:4:20615434:20617243:-1 gene:OGLUM04G15160 transcript:OGLUM04G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRERDATQIPENPMEGIPQTAAAAAAAAAEASEPPRKRARVDGGGGGAGEEEEDRLSDLPDCLLEDILAHLGSRQAVQTSVLSRRWRNLWRGVRVVVIDVGSFRLPGADGDPPRFRLDRIEDFADGVLSPSLHPGAARELDALRMRLDEDAVTTNFQRWIRRALWRRPATVDLYYLPRRSFSWPPAVPLTPVTAVSRLKTLRIFGLRPTVVFGADEFPALEDLHIERCSYAHGTIASPTLKRLALVSPINGCFVREQRLTAPGLTSLRLVLPYSREEGVRVITDAPLTSLVDASITIVDTDPGDPRNRRVNQFKVDFLVAISNLLGRLTSVRNLDLTGLNATALLDNKSQEFPMFPYLTTLLLNECDIGYKYHVLRSILQNAPNLEQLRLHNCKFVGKSRRKAGQTQSKEKTSKCSSSTLSSACSSLKSVEIKHPRGEPSHDLLHEFLKEIPHNQWRKRSIDEETISIELNRK >OGLUM04G15170.1 pep chromosome:ALNU02000000:4:20617779:20624136:1 gene:OGLUM04G15170 transcript:OGLUM04G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQMQTNLALPGKVANNCQHILANRQKPIQLSHETTQCAHPVVCMQSKKCYNRPEIVLASSKVFEPNKQTCFFELEIKRVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLDSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPLTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMTCVVTQSRVLERRFSRQWHLIQNGVDEQHAQELGAFVGNDNLLV >OGLUM04G15170.2 pep chromosome:ALNU02000000:4:20617779:20623587:1 gene:OGLUM04G15170 transcript:OGLUM04G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQMQTNLALPGKVANNCQHILANRQKPIQLSHETTQCAHPVVCMQSKKCYNRPEIVLASSKVFEPNKQTCFFELEIKRVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLDSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPLTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMWHLIQNGVDEQHAQELGAFVGNDNLLV >OGLUM04G15170.3 pep chromosome:ALNU02000000:4:20617779:20624136:1 gene:OGLUM04G15170 transcript:OGLUM04G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASSSRHQVTITLGRSGQVVKRRAVSDIDNDDGVHLGRKRSVRDRLGNNMVGSESYDGQQRNKRRQIETNGLQHGDNDCQVGRDDLRLKLMKKGLSSNGGAEQNGVDLREKLSRKPKNIRRYDARGHVPESRSRYDGRDKIPELRSRYGMRERLPEPRTSALPSRIPSARSMDDLLKLDSSREAYSSWSGNLRHRSPEKLKSARRDMSPSRTYDHIRSMPPIRSAGTSRTSGLITRDAPDALRTQPYAGKSTISIDTTQPANGVASSATVMPTAPVMPEVPLTVTGLLNSLGLEKYVFLFHAEEVDMAALSQMGDSDLKEIGVPMGPRKKILQAVAPYSKRRR >OGLUM04G15190.1 pep chromosome:ALNU02000000:4:20631944:20632475:-1 gene:OGLUM04G15190 transcript:OGLUM04G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGKLAVFALLASLLLLNTIKAADYPPAPPLGPPPHKIVDPGKDCVGACDARCSEHSHKKRCSRSCLTCCSACRCVPAGTAGNRETCGRCYTDWVSHNNMTKCP >OGLUM04G15200.1 pep chromosome:ALNU02000000:4:20643925:20675404:1 gene:OGLUM04G15200 transcript:OGLUM04G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGLVEENRIVSMSEYKVASIVILSEFDVHAVVGGNRPFHMRDIRVTVESEGAFVINFKGVRGSPMVCAICIRKTVAIAEQVLDRQADQLRSVSQKYENANKLWAAAISNYENKIKAMKQEQTLLSLEAHGRANTVPELSKMVGAVQALGYIHQIDVEMKLCNLNR >OGLUM04G15210.1 pep chromosome:ALNU02000000:4:20677719:20678850:-1 gene:OGLUM04G15210 transcript:OGLUM04G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLV1] MAECAWWGEVGMSEVSASSTAAVGRVGKHWLALRSGANLFMHSLELDELEKSVVKSWRKGANPLPPRCTVVVIRFRGESRVFGEGNGVVTPLHVPASGYPMMSMDEQTSLSFALFKDAAFNASIRRRGVRATRLRPSGGKPCFSTEGTANFYMHPIEGLTVLVDMDTTEVLHVSDRDAGIPIPTTANTDYRHGHSTPSPCSSADTQRELDSRSGGLPLPLLLLLLALPPAAAVELAPAPLVPSSPTHPPARTAMVGDPSPWRGQ >OGLUM04G15220.1 pep chromosome:ALNU02000000:4:20681275:20684049:1 gene:OGLUM04G15220 transcript:OGLUM04G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKNSPPNLHGPNYIRNASDVFPCGRKTLGMMLLSVLLVLVLSAYSPEQILLYPPMPAHNSHQTAINSPHDNAKACNLFNGTWVRDFGGPIYTNTTCPTIPEARNCAKYGKQMDYVNWMWKPHGCAMEKFEPHLFLTIVRGKTLAFAGDSIARNQMESLLCLLSQTLTQLYKVFQVEAPIRVSSDTKDKFVTWNFRSHNFTLMVLWTKFIVEDSQRQINGTVVEEHDIHLDKLDPRLATNLHQINILVISTSRWFFRRNYLYEGEELIGCIYCSEDNITSFSVPMAIQRVFRTALKNLKESQECRLQLTVVRTATSAHFENGLWNTGGSCNRTEPLREEAMIDQTEWAIRNAQVEEADRTKKNNGKGGVKIEIIDITKAMSMRPDAHPGIHWNNQWMRGYSDCSHWCLPGPIDMWNELLLAVLNKYKNSLEDQ >OGLUM04G15230.1 pep chromosome:ALNU02000000:4:20684508:20686060:-1 gene:OGLUM04G15230 transcript:OGLUM04G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24040) TAIR;Acc:AT1G24040] MAAASAAFLLLLPAVSPAAPTHPRLLFSCPPCRSRPRHRARLAASRSSSDGEGDGGIVTGPAGGGGGVFLSPRALSQRDELAAFRYAYSFPHGRLTVRALTPAGDDDESDALVRLLASSFAENVRWAPAQRYEQLLAFVIRRYLFERRGLAPHAAVLVGYYRPAATGDGDEEEGEGNEDDDDYGEMACTAEVSLDAVGAPGAPPTPTPPLDFPYICNMTVKTSLRRRGIGKQLLKACEDLIIKMDAKRHVYLHCRIIDQVPFNMYRKAGYNIVQTDSILVWLSLQKRKHLMSKELLQTSVSSRSTAKDFDDNKLTS >OGLUM04G15240.1 pep chromosome:ALNU02000000:4:20687055:20688249:1 gene:OGLUM04G15240 transcript:OGLUM04G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVELVVEVKSPADKLWTALRDSTELFPKIFPEQYKSIETVEGDGKSTGTVRLLKYTEGVPMVTFAKEKVEVADDEKKVVSYSVVDGELVSFYKNFRVTLQVTPKGGAAAPAADGAVVSWTMDFDKASEEVPDPDVIKETAAKTFHDLDDYLLKN >OGLUM04G15250.1 pep chromosome:ALNU02000000:4:20689475:20695559:1 gene:OGLUM04G15250 transcript:OGLUM04G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase 2 [Source:Projected from Arabidopsis thaliana (AT4G11130) TAIR;Acc:AT4G11130] MPTAAAAATASLRVSNIPPSAVAAELLAFFDSAVAGAGGAFACEIAAAHRGWLSRGHGTVQFGSAAAAAAAAGLASSGRLPRFLGALLSVSPSPVDLLPRASDLSLRAAGAGLVVGDRVAERVFEAADAWDGVRAEVIPGKRRVDLYLEHDSQRYKLEVLFEDMKDCLGCTLDGMGAILLQLNYAPRIHTAISGPAVNSRFMDDRFHACKEDAKFSWVRALDFTPNYSFGRCSTLVLKLGKSALVSDILKSLPFSGNLGELTMNSMDGVGASSNVVPLVHCPRDYSVPYEVLFRLNSLMHMGKIVAKHVNADLFKALQELPVDVSRRIFEKMHKLESTCYEPLQFIQQEAYSMKRSHNVLLSNEGEGEGERKLMKCYRVNITPSKIFCLGPEEEVTNYVVKHHSAYASDFVRVTFVDEDWSKLSSNAISARIEQGFFSKPFKTGLYYRILSILKKGFSIGPKNFEFLAFSASQLRGNSVWMFASNASLNAGGIRRWMGHFENIRSVSKCAARMGQLFSSSRQTFEVLRWDVEVIPDIEITTDGSKYIFSDGIGKISLRFAKRVAHHVGLDPTNLPSAFQIRYGGYKGVIAIDPMSSIDLSLRPSMKKFESESRMLNITSWSKSQPCYVNREIISLLSTLGIRDEIFVAMQQDEMRETEEMLTNKEVALSVLGKLGGSETKTAVKMLLQGYEPSSEPYLSMILKAHQENRLTDIRTRCKIHVPKGRVLIGCLDETGVLEYGQVYIRITKNSKEQKDSNQSYFYNDDGKTATVVGKVAITKNPCLHPGDIRVLEAIYDPDLVGMVDCLVFPQRGERPHPNECSGGDLDGDLYFITWDDKLIPEKVDTPMDYTATRPRIMDHVVTLEEIQKHFVDYMINDSLGAISTAHLIHADRSPLKARSPECLQLATLHSMAVDFAKTGAPAEMPRTLRPREYPDFMERWEKPMYISNGVLGKLYRSAMGHMEKSGDSGALSSSSAQPSPTYDPDLEVPGSDEFLQAAEEYYELYEEKLTTLMNYYRAEHEDEILTGNIRNKMLYLKRDNKRYFEMKDRIVAAVDALHREARGWLLSSRKEEDASRMASAWYRVTYHPDRRRGKRFWSFPWIACDNLLAIKASSQLRRRRRQKDDDPTAVVQMDCSA >OGLUM04G15260.1 pep chromosome:ALNU02000000:4:20696137:20703661:1 gene:OGLUM04G15260 transcript:OGLUM04G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGAARFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSSSSAAAAVGDLAMGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVVIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIVVIAILYCMWCATQIYLRNPPQPAIGYYEWESMMLMLLCLSSSLMEIFRAFSRCDTTCSMDGRCFLCPVHERASFKLRSNASVEYSPFSLGSANSNTLCALLLRWHEIETTPTITTVMRHPSSISYPAYNSQEQKKEKIIQERAEINLEHRIAWMEPPGNS >OGLUM04G15260.2 pep chromosome:ALNU02000000:4:20696137:20703661:1 gene:OGLUM04G15260 transcript:OGLUM04G15260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGAHLLYALSGGAALSRLAGAARFGPHHCAVYAANAFLGPDLGSFAEWLASFLPSSSSAAAAVGDLAMGVVHHPFYYPLLLGFPLACLYAWLSRRLLLAGVLDEPSRVALSRRQCFYLITAGSLSHFFLDHLFEENGHSTMYTWILSTGWWVGRAPINSDAVVIVGLLCICLVLGFVYINRVKHEKSATQKSNQSFFLIVVIAILYCMWCATQIYLRNPPQPAIGEEADLGVIIFVAIYLFLPHGLAFSRCDTTCSMDGRCFLCPVHERASFKLRSNASVEYSPFSLGSANSNTLCALLLRWHEIETTPTITTVMRHPSSISYPAYNSQEQKKEKIIQERAEINLEHRIAWMEPPGNS >OGLUM04G15270.1 pep chromosome:ALNU02000000:4:20698862:20702808:-1 gene:OGLUM04G15270 transcript:OGLUM04G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGKPHEKLGTKYCKKFLEARAFKFSSYLLVIILTEERTQIERVTFRCITRGEEELLAKEYLFEEAFEGYEILLGCLITVVIVGVVSISCHLRRRAHNLKRSKKDIEVTVVSVEYEEVTCKQMCTKEIYDATENLSPLNVIGQGIAGKVYKGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGQEECFLVYELCINGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDVKPTNILLGVDMEPKLSDFGLSRVIDIGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAKPMSLDRMASMLIREGNVLEFADPRLNGEYSTEAFDLSLKLALSCTGHKKQRPSMEQVVSHLEKALKISMRDDDKHNSISIIDSHS >OGLUM04G15280.1 pep chromosome:ALNU02000000:4:20706515:20706775:1 gene:OGLUM04G15280 transcript:OGLUM04G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSFLCIFSFSRRSRRYYADDEAAAASDWERPAASDWERPAATRLRKVRSSDEDNGWWIGERDVDQKASDFIARFHHHQTSLVV >OGLUM04G15290.1 pep chromosome:ALNU02000000:4:20711271:20715798:-1 gene:OGLUM04G15290 transcript:OGLUM04G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVAAAAAACLSPVCAAAASVPRARVCFVSPPGSWSCLAASNGRGLLRGGNGMRLRWRAPVRAKVDEDKEAGLGFREPERRRMRLRLRPRLRLLWWRLRRLSAAAAARWPCYARRVPHDEGFLLGLMRDGGVDYRSAPRPAGRLLVDMLSTLLTLWVSLLPMMWFIQRQMSAGGGAEKRRRPRKQRVGFDDVQGVDEAKEELVEVVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDPALCRPGRFSRKVLVGVPDLEGRRNILAVHLRDVPLEEDPEIICDLVASLTPGLVGADLANIVNEAALLAARRGGNTVAREDIMDAIEREKYGVNGRQENADSERQGLTKLFPWLPKPGNRPTNPDDIGGSENDPAA >OGLUM04G15300.1 pep chromosome:ALNU02000000:4:20719003:20723851:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHERPQLKQAVAVGCYLAMATTSELKTVLGILTEPVVMVEGAREIVLAGGNIHCITQQQPVRPS >OGLUM04G15300.2 pep chromosome:ALNU02000000:4:20719205:20727715:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >OGLUM04G15300.3 pep chromosome:ALNU02000000:4:20719586:20723851:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHERPQLKQAVAVGCYLAMATTSELKTVLGILTEPVVMVEGAREIVLAGGNIHCITQQQPVRPS >OGLUM04G15300.4 pep chromosome:ALNU02000000:4:20719003:20723456:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPGMWLHQRDGYGCKLQ >OGLUM04G15300.5 pep chromosome:ALNU02000000:4:20719003:20727715:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >OGLUM04G15300.6 pep chromosome:ALNU02000000:4:20719586:20727715:1 gene:OGLUM04G15300 transcript:OGLUM04G15300.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:porphyromonas-type peptidyl-arginine deiminase family protein [Source:Projected from Arabidopsis thaliana (AT5G08170) TAIR;Acc:AT5G08170] MAKATTMEGRPAKMGFRMPAEWEPHEQCWMGWPERRDNWRELAGPARKVFARTAIAISKFESVTICASANQYPYVHELMLHQPNIRVVEMSMNDCWFRDIGPTFIVRKGAPGLGITEKNIAGIDWEFNAWGGATDGCYVDWSLDSYVAKKIVEIERIPRFPHTMVLEGGSIHVDGEGTCITTEECLLNPNRNPNMTKLEIENELKDFLGVAKVIWIPHGLYGDDDTNGHVDNLCCFIKPGVVLLSWTDDENDPQYQRSVDALSTLSKSVDAKGRQIEVVKIHVPGPLYMTKEESEGVVKTEHAIPREPGTRLAASYVNFYIANGGIVAPAFGDKWDEEACAVLQKAFPDHEVVMVEGAREIVLAGGNIHCITQQQPVRPS >OGLUM04G15310.1 pep chromosome:ALNU02000000:4:20721794:20731404:-1 gene:OGLUM04G15310 transcript:OGLUM04G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVSSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLCNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLIFPYLDAAGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDWLFSSYFLGNIAVKAPINIATISGSCRH >OGLUM04G15310.2 pep chromosome:ALNU02000000:4:20723261:20731404:-1 gene:OGLUM04G15310 transcript:OGLUM04G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVSSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLCNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLIFPYLDAAGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCK >OGLUM04G15310.3 pep chromosome:ALNU02000000:4:20723712:20731404:-1 gene:OGLUM04G15310 transcript:OGLUM04G15310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGGAAASSSSSKKPKAKPKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSRAIVLPEQSMASERAGMAVNKRGLTLRELLQQTGHYNANVRRAALNGIKDLVAKHPSELKLHKVAIVEKLQERICDTDKVVRESLFSILQSLVFPSLKEDNAISTRSTLFLLMANILNGMTHLSMDIQLMSFRFLELVVINFPSSFPGYAEQVFNNFLAVLSNDRIHLQDKSKLNSVLSGLGQCLSQVAYATENGDASNRLVHNLSGRELWKCTLDEDNSGSRAFAMSNILMKLQNLFQILVSSVEVSVSELFAKSTIDAQSSEALLSALHCLDLICNICIQEVKKPQMKFGRSKTQVGPEWLKSSVLVYAKKLWGVNRSFHEKGDDRYYVFNLKIAEIFLCLSACMDNTIFPADEFCQFVSSLLAKAKTLCNKDTMEKHLSPLITFIPDLVSNAPDDSKGYLLEAFTDAFRDCKVDCKLIFPYLDAAGKMLFPEKTGILFVENDSGSEYHGVWVKELPGILLQSIDKAPSVTKVVLELLLRIGQYFPTIEFGNLRSFIKLFGVKSSSGTIELGPFVNLPRDCQELAISCLYYFSNLLPDTMELLASCCLSDVLEPTILFRIIEVLQSTYKAGNLHITEQLSFLSLLMARFRVHPGSFCTQDNSQKGSNMNTFKSLNRLILNSLSEMGDGSLAQIPSLHNMNGLFRIIVTLDAGTCKLMNEDAIKIIAGYLIDAAMDLSKTIELGFQSDRTRLFQYFIKPCIIIFCQNEKVLCCALEMLKSFATGDDHVLSSASKLNYPGELSHRICVVTTILIFLCNDGKLHKNLSLGKCVIKGILQHTRHLMDSNVLDVTYEDKQKLRFAFEQLKTKALQLNCWDRSELEGFSSTTYCRNVKPYSARDENFDELKVKMESGHGDCAWF >OGLUM04G15320.1 pep chromosome:ALNU02000000:4:20734601:20735738:1 gene:OGLUM04G15320 transcript:OGLUM04G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRTSAANLLAVALLIISLLLLPLLHLPVAHARHVAVLKATDSSSAISIRSGHVEPTPASGAVQRRPASSGASNRGGGGRRRRAAASSRSTVEMRASAWAKHHRDEVARMHEMLKRDYASKARRRSPINNGEPSLEEEDLP >OGLUM04G15330.1 pep chromosome:ALNU02000000:4:20736181:20740206:-1 gene:OGLUM04G15330 transcript:OGLUM04G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMKIDDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLNSSKGDTNGIRIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQYHPCKLHDLEGNNTVDGLTVVNVVKSTPKQLVSNDNAEYCQQMCADVSESSENHGPNLNGEPVDQVPSNDSHETGASIASAITNVNGLSVESTADGHSGVVTEDGVSGVALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVSSVPCPVEKTDSFSDIVNGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILSDERKNPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASSSRSYVQPSEDRSDQVDNFVYGIRTDAAHGTSSGTSPLTGKTEPIDAKSENDPKCEIDSVQDGHDFNPREANDGTNISEDNKDSKSSTRQTGPVTEQNEPDSAKMTMQTEPVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >OGLUM04G15330.2 pep chromosome:ALNU02000000:4:20736179:20739192:-1 gene:OGLUM04G15330 transcript:OGLUM04G15330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLADDGRPYHSNVFHELVSNGGPKVDGEIERETKQHILPDTMVQQTNPSEYSFMKAGQQNVDKAIQIRPEDVSYDKDVVEIKLPDIMVSSNYGVQFVKDVCIDEGVLADQKAIAEKVSLNLNSSKGDTNGIRIKETADEPAKSVNDLKSQIVVLPEACVTDGDTVEQYHPCKLHDLEGNNTVDGLTVVNVVKSTPKQLVSNDNAEYCQQMCADVSESSENHGPNLNGEPVDQVPSNDSHETGASIASAITNVNGLSVESTADGHSGVVTEDGVSGVALNKTEINQINHYNPFIAYGSLEDTWEPKYSLPTIVDDVSSVPCPVEKTDSFSDIVNGALRGFNFLETGESIAEDSTLDSVVENSSMTDVQASEEKDEARSDDILSDERKNPVDQRSPVENSDSLSDPVDRALSSTETDGARNEDSRLDSTEASSSRSYVQPSEDRSDQVDNFVYGIRTDAAHGTSSGTSPLTGKTEPIDAKSENDPKCEIDSVQDGHDFNPREANDGTNISEDNKDSKSSTRQTGPVTEQNEPDSAKMTMQTEPVAQRNEPDSAKVTMQTESVAQPNEADSAKVTARNVIRNPFESSFSGPSIISGPLTPSGHIPYSGNISLRSDSSTTSTRSFAFPVLQTEWNSSPVKMAKADRRRLRRDRGWGYRILCCKF >OGLUM04G15340.1 pep chromosome:ALNU02000000:4:20758985:20760523:-1 gene:OGLUM04G15340 transcript:OGLUM04G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGELTAVDAAFPPAEAIAAVHDSHAPSPTTEDDCDDLYGDVDLGFLPLSPPSHYPTSPPKTPSPGHSALSPSPPPPPPPRRGPLPDPTAKAEPEPPKPTPQQQPQPLLPAAKPAPLRASPPTTAVFIGELPYWTTDAEVEGALAPHGALHGLHFFTDKLTGKSRGFCRADFLSPDAAASAAAALHGRTFDGRHCLPFGGGMLGGGGGAGYGGFAPMIGQCNAAIGTSMMPSVVSPHVNPAFLAASGMAMGGTGMWYDQRMTGMWVGQQPWNFGGYGMPRHQQKPPMQQPNRNGDYGTVRGTARRGRPAGGRNEGDTGNANGNERGYPDRRQCGRGRDGFDLSRKHGHEERGRYRPRVLEEEREHERNWDESDRYGGDRRRYQEYPERDFERRGRVRSRSSSRDGDDDDHPGRHC >OGLUM04G15350.1 pep chromosome:ALNU02000000:4:20761820:20766239:-1 gene:OGLUM04G15350 transcript:OGLUM04G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MQRRRHPLLLLPRRRPPSRTLPSRLLSSSPPASGGGGGGGGGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKHNFFIFPRNEPLNDCSTHEFLCQTTSIDFLAKYQFDFNTCFREGIYYLSRTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMRNA >OGLUM04G15350.2 pep chromosome:ALNU02000000:4:20761820:20766239:-1 gene:OGLUM04G15350 transcript:OGLUM04G15350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55870) TAIR;Acc:AT1G55870] MQRRRHPLLLLPRRRPPSRTLPSRLLSSSPPASGGGGGGGGGGGGVAVKQVTRGNLAEALEELRARVRGAAFVGIDLEMSGVTSAPWRDTLELDRADVRYLKLRDSAERFAALQLGVCPFRWDPAKTQEEEALQKLYTLHNNETSAYPNTSEEEEDAPLKSAADVLFTERMKIKFNEWRNSIVSNQRVDDHRSENFKFADQFQTVFFKMRPAIMLNGFTSHQLKLIRQILRTHFRDLVYVCTFLEDGVSEKRVVYTDTNEDKISLMKNVREDLLKSREAKVKSAVGIRHVIDLLASERKLIVGHSCFLDIAQIYSKFVGPLPSSMEEFALSINRMFPHMADTRHLMSVNDAVQYRMRHKSKSLSSAFSLLCPALHAPDEKSSTLPSVRIEVEADETVLSCFTSGAKHEAGYDAFMTGCVFVQLCAYHGIKFEQLSPLEDLATNINLKKHINLLPPCWNSGTVLDLSTGTERPDAGYKRRYPAAVYDNVILIWGFQSKVRPKDIKDCICKVFGRASVTSVFPIDSTAVLVQFSKQESVNDFLDLKATLESADSAISVLHPLSTILEGGKTRAAKYDTYRDICRSSVSKFSFADQAEAVCSTSNSESKFKECNAADGSGAYGSALDGTVPASVQQSGAKSGSKNKGDDDFSYQDILDALQDGKTSVGKRMRNA >OGLUM04G15360.1 pep chromosome:ALNU02000000:4:20766983:20770212:-1 gene:OGLUM04G15360 transcript:OGLUM04G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAIGSAPPRPPGLALPPLTRHHHLLLHRSKTLAPRRLVAAAPMDAAAAAAGRGGPAPPRCARAETDSEDAVATTSSPHSAEAAGAAEQGNGAPAPVADAADVEGVDGIRIRRRPVTGPPVHYVGPFQFRLENEGNTPRNILERIVWDKEAEMKERRPLYMLKGPLENAPPVRDFIGALKASFDRTGLPALIAEVKKASPSRGVLREDFEPVQIAQTYEKNGAACLSVLTDAKYFQGSFDYLDAIRNAGVQCPLLCKEFIVDAWQLYYARLKGADAVLLIAAVLPNLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDCVQLIGINNRNLETFQVDISNTKNLLEGERGQTIAQKGIIVVGESGLFTPDHISFVQNAGVKAVLVGESLIKQEDPGKAIAGLFGKDISPVSAA >OGLUM04G15370.1 pep chromosome:ALNU02000000:4:20771945:20783094:-1 gene:OGLUM04G15370 transcript:OGLUM04G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGGRHDQGMALRKAEESAARRCEAARWLRQMEAAAAESLPERPSEEEFCVALRNGLVLCNVLNRVNPGAVPKVVENPIVAVQSSDVAAQSAIQYFENMRNFLVAVSEMNLLTFEASDIEKGGSSMKVVDCILCLKAYHEWKLSGGIGIWRYGGIVKIASSSKRLPSYSSRGGGSADLNQQMLEFVHLLSEVSLEESRVGESQHSLFQQFVLRVVRAFLQEWGEAEGLPLDDMVLETILEQACKEFTILLASHRNQVRSLLRKMMKDENGAHSKQELIEAISKSMKENSECFLTSLRLPCGRRKQLDDGGGLEHQQEELEKLKVSFNEMKLQVESTRSQWEEDLRRLESYFEAHNHNAYHKLLEENRKLYNQVQDLKGSIRVYCRVKPFLKMQTDQRSTVDHIGENAEVFADTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPDITTEETWGVNYRSLNDLFAISQNRADTTTYDVKVQMIEIYNEQIRNSSHVNGLNIPDANLVPVKCAQDVLDLMRVGHRNRAVGSTALNERSSRSHSVLTVHVQGKEIASGSTLRGCLHLVDLAGSERVDKSEAAGERLNEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHMNPEADAFGETMSTLKFAERVATVELGAAHANKEVGQVKDLKEEISKLKLALDDKEREASKLRDIANRVASEKRNARTRSPLTTTLSSKPEAGQDSSVDTCTSEIRSSSSGKQRRFRSPLSVRELDEKSPVINRELYLSAKFKTPSPPVRSSLSAERVCIAKSVERSENIDCTPVSRIEVPPKVQHSSSRKTPSSVLTAQSLRKFRDSEENRSAKPSVRESMTKTRLDSATKPPQKEEQTANKNTGTRVMSEAKIPRNISDIENEFANSEPTFHSNRKAWKLPPQSTRQSQSIDLRASVREMEPLTEGKPRRSKAPHALHLPPINLSSLTKVPTSLRAQIRAMEEAAAPERKRPRDGDVGPSTAAASGEAQYVYLPIADALKAPGARVCLFAAVSEIGAAVRSRGTDFTLTLRIVDHSRASAISVTFFADNTALLPCVRSSGDVISLHNVVITMHHGEFFVTYNKRFSSFALFEGKVSTGCIPYQHSMKYHGSKHDSEFLTHLRMWLVYNPPGLKDLELQLRSIKSDSTFDLVCKVLDVHEASNGVWILYVWDGTDTPVTEFPTLDNESVSPPPLHLEGAPLPREVLCTLPCVGSVLRVFSNRFFKEMLHLQKGIYWARFCNMTCKQQFGMWKGILLPSSRVRLLSNEDGSVADRLKLFDSRIATQIHRQPMASLPNASDIADVEYERAGYTTLMESLTHGEVTHKFKTLVRVVAAYPSGASHLCSLLAGNCCLRLTLEDPTARIHAYIHKDDGAKFFGGFLTAEAVIRKMNKLLGIPEDTEEGAPSNRNPPWIWCCLKSYRLDKNDPWGSRRYRIFGTEIRD >OGLUM04G15380.1 pep chromosome:ALNU02000000:4:20786794:20787689:-1 gene:OGLUM04G15380 transcript:OGLUM04G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPTTMKEIIIRMRPDSDKCHHKALKVAAAVSGVESVTVAGRDRDLLLVIGDGVDESKLTKKLRREVGEAEILELRTLDAGGSRGGGGAASLQLMTAAGARNGKGGGAVVFAQSSPYHGWHGHPATPGRSVPGVGRIMYPVTTTTTATAASPGAARWPGGEQYRSSSPQAALYYPRNPPNAYYYGGLGVRDGLAVARSHPANYSPMVERHDHGAVGRGGRRRRAGRRPSCCSIL >OGLUM04G15390.1 pep chromosome:ALNU02000000:4:20792846:20794348:-1 gene:OGLUM04G15390 transcript:OGLUM04G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLATNPFNWLLVIKQLYICITSMRTEILIWIQLSSERCRSKALKVAATVNGVQSVTVAGEERNLLLVIGDGVVDASRLTRRLRNHVGYAEIVELTTSSSTTVPPVDVAAAAVTEDTVRPRYHGLVGGGGGLPWFARVGCPVTAHSVVASHAAPAAALWPGAGEVGGSWAASYSAHPSPCYRSSPLAGGYTLDVARSHAANYSPLIERHAGRGGHYPAHSCFSRRKLLRRSVPSCCTIQ >OGLUM04G15400.1 pep chromosome:ALNU02000000:4:20807324:20808583:-1 gene:OGLUM04G15400 transcript:OGLUM04G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIKKEIVIRLQSSEKGHKKAIKVAAAVSGVESVTLAGEDKNLLLVIGFGVDSNDLTEKLRRKVGHAEVVELRTVDADELMRVAAANQYPYRYYPGAPPPAPYYGNGGYPPPHQRGGGGGGGSGGGYYTPMTMATGGYYGGGGGGYPQYGQSSSYPQYGQSSSYYPPAAAATTNTHTVVHHQYANNDPDSCAIM >OGLUM04G15410.1 pep chromosome:ALNU02000000:4:20814267:20851031:1 gene:OGLUM04G15410 transcript:OGLUM04G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKEKKRKKKKTRVKDKDPYLSTYLGEKKGREPGSDTITPFPRAEQTTVATTRCGEEATAAAARLVMAAVRLGEEAAAAVMQTPIDQCELVRDQLKLAACVAIVAKAVEEKATPRSSLYSAGTRAGKPRRGQLTLLATVLALAERFGDDDFTCIRWEPDFVATAWGGPGSAGLVVDDDRRRRVAVGRPVARLAFRLLLLLLLLLLLLHLLHQQDLGVAHLLAQADDEAGHVDADADDLQLVPVVAGDRQRDHPVRRPRRHLQRFRPAPSKNT >OGLUM04G15420.1 pep chromosome:ALNU02000000:4:20820184:20820693:-1 gene:OGLUM04G15420 transcript:OGLUM04G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVSMPCEKSRSKAMKLVVMASGVSSVEVTGDGKDRLQVVGDGVDAACLVTCLRKKIGHAELVQVEEVKEKKPEEKKPEEKKPEPPKPVPCYCPHPCYYHHHYGGIPVAVGDQPSDPCSIM >OGLUM04G15430.1 pep chromosome:ALNU02000000:4:20825618:20829483:-1 gene:OGLUM04G15430 transcript:OGLUM04G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKMVIKVSMPCGKCRSKAMALVAGATGVSSVEVTGDGKDRLQVVGDGVDPVCVVNRLRKKIGHAEIVQVEEVKEKKPDPPKKPDPPKPEPPKPPVVCYPPTPYYHHLYGGPPPPVVFCDQPSPNGTCSIIGVVFLVLMSRRKFTGLLFFFQDKKSAVAAHSECGTVYIGCGAAAKAQKIVIKACMPCDGCRAKALGVAAKADGVISMAITGDDRDRLEVVGDGVDVTCLVTCLRKKVRFADVLQVEEVKDKKPEEEKKKPEEKKPEEEKKPPECPCQATLPVPWCPPPPCYYPPPSMVYCEEQPSPCSIM >OGLUM04G15440.1 pep chromosome:ALNU02000000:4:20845946:20846445:-1 gene:OGLUM04G15440 transcript:OGLUM04G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKIVIKVCAPCHGCRAKALEVAARAADGVISLAITGDDRDKLEVVGVGVDVTRLVICLRKKVCYAEILLVEEVKEEKKEEEEKKEPECKPCYWPPYCYAPPPVVVYDEPSACSIM >OGLUM04G15450.1 pep chromosome:ALNU02000000:4:20856608:20859427:-1 gene:OGLUM04G15450 transcript:OGLUM04G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63370) TAIR;Acc:AT3G63370] MATTAALPFHPTPRRKLPPASAGASLRQLCKDGDLREALRQLAARSARGRAPPPTDHYGWVLDLVAVRRAVSEGRQLHAHAVATGALGDDDAGFLATKLLFMYGKCGRLPDAHRLFDGMPARTVFSWNALIGACLSSGGAGEAVGVYRAMRASEPVAGAAPDACTLASVLKACGAEGDGRCGSEVHGLAVKSGLDRSTLVANALVGMYAKCGLLDSALRVFEWMRDGRDVASWNSAISGCVQNGMFLEALDLFRRMQSDGFSMNSYTTVGVLQVCAELAQLNHGRELHAALLKCGTEFNIQCNALLVMYARCGWVDSALRVFREIGDKDYISWNSMLSCYVQNGLYAEAIDFFGEMVQNGFNPDHACIVSLLSAVGHLGRLINGREVHAYAVKQRLDSDLQIANTLMDMYIKCYSVECSARVFDRMRIKDHVSWTTIIACYAQSSRYSEAIGKFRTAQKEGIKVDPMMMGSILEACSGLKSISLLKQVHSYAIRNGLLDLILKNRIIDIYGECGEVCYALNIFEMLDKKDIVTWTSMVNCFAENGLLHEAVALFGKMLNAGIQPDSVALVGILGAIAGLSSLTKGKEIHGFLIRGKFPVEGAVISSLVDMYSGCGSMNYALKVFDEAKCKDVVLWTAMINATGMHGHGKQAIYIFKRMLETGVSPDHVSFLALLYACSHSKLVDEGKFYLDMMVSKYKLQPWQEHYACVVDLLGRSGQTEEAYKFIKSMPLEPKSVVWCALLGACRIHKNHELAMIATDKLLELEPDNPGNYVLVSNVFAEMGKWNNVKEIRTKMTEQGLRKDPACSWIEIGNTVHTFTARDHSHRDSQAIHLKLAEITEKLRREGQYVEDTSFVLHDVSEEEKIDLLHRHSERLAISFGLISTASGTPLRIAKNLRVCGDCHEFTKLVSKLFEREIVVRDANRFHHFSGGTCSCGDFW >OGLUM04G15460.1 pep chromosome:ALNU02000000:4:20861541:20864114:1 gene:OGLUM04G15460 transcript:OGLUM04G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZLY6] MDPTAPNSGDANGGAAADATAPSNTTVTLPPLTLRDVPLLPSAAAAAAATDTIPNPISRHPYFHPPPTFYISPGDVSLRHAFFDLASASPSPLVAYRRAGPRAGVAVDPARARAAVVTCGGLCPGLNTVLRELVVGLRELYGVRDVFGVAAGYRGFYGPDADHARLDPAAVDDWHKKGGTVLKTTRGGFDLNKIVDGIVARGYTQVYAIGGDGTMRGAVAIFNEFKRRGLNISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKQKGHAVVVVAEGAGQDLIPRTDDQKREQDESGNIVFLDVGPWLKSELGKWWKREHPSELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGIMAGYTGFVPGPINGNYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFMKPKY >OGLUM04G15470.1 pep chromosome:ALNU02000000:4:20865141:20870997:1 gene:OGLUM04G15470 transcript:OGLUM04G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAGGVGPGATAGESTPRWIGYGDGGAARRGLGWNSASILGEMKVETVEDHEKSVYLH >OGLUM04G15470.2 pep chromosome:ALNU02000000:4:20865141:20870997:1 gene:OGLUM04G15470 transcript:OGLUM04G15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAGGVGPGATAGESTPRWIGYGDGGAARRGLGWNSASILGEMKVETVEDVTGFGIGRPWDVERERDETLHEGEEIFKYHGSDRLLW >OGLUM04G15470.3 pep chromosome:ALNU02000000:4:20865141:20870997:1 gene:OGLUM04G15470 transcript:OGLUM04G15470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVARGCADDPAGAARGGTAGGVGPGATAGESTPRWIGYGDGGAARRGLGWNSASILGEMKVETVEDGEAPHHHHRKQSAWTKYIYTMYSKLQSQLGSLIVK >OGLUM04G15470.4 pep chromosome:ALNU02000000:4:20868293:20870997:1 gene:OGLUM04G15470 transcript:OGLUM04G15470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCVCFVIVLKMLYSVQHMSCLPWPCFSLAIWPAFAELCSKVKRLPMHAQSRRPRSTCNSPKKNHL >OGLUM04G15480.1 pep chromosome:ALNU02000000:4:20866048:20869895:-1 gene:OGLUM04G15480 transcript:OGLUM04G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 724, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT5G14400) TAIR;Acc:AT5G14400] MVGGELVLAALVILLALLLTLVLSHFLPLLLNPKAPKGSFGWPLLGETLRFLSPHASNTLGSFLEDHCSRYGRVFKSHLFCTPTIVSCDQELNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHIVGSWHGKSKDKGMVNVIAFCEEARKFAFSVIVKQVLGLSPEEPVTAMILEDFLAFMKGLISFPLYIPGTPYAKAARARISSTVKGIIEERRNAGSSNKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAQDLELVKREHEGIRSKKEKDEFLSSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPLLHGNAQQFQPCRWEGASQGTSKKFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYRWRIDGDDIPMAYPYVEFQRGLPIEIEPLCSES >OGLUM04G15490.1 pep chromosome:ALNU02000000:4:20890246:20895667:1 gene:OGLUM04G15490 transcript:OGLUM04G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITVDSRPVKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLVGNKSDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDLSNEANGIKLGYTVPGQSGGAGSSSSQGGGCCSS >OGLUM04G15500.1 pep chromosome:ALNU02000000:4:20894366:20896519:-1 gene:OGLUM04G15500 transcript:OGLUM04G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRGNLWGVGADAVVAVVGAGGAELCQQ >OGLUM04G15500.2 pep chromosome:ALNU02000000:4:20894366:20896640:-1 gene:OGLUM04G15500 transcript:OGLUM04G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEDTPRGNLWGVGADAVVAVVGAGGAELCQQ >OGLUM04G15510.1 pep chromosome:ALNU02000000:4:20897589:20900241:-1 gene:OGLUM04G15510 transcript:OGLUM04G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAQLNGSAAAAAAAYRRLPLCRVPSSCRWPENPLAGSPKLSISTGRVCVGAKVSTKCANGTTQVDELNFRSNQTEELVEADEDTSTQKRSAKIHDFCFGIPFGVAPGLATLFLGTLSLNFWRSGKSSFIFILGQAAISAVLAWKYSHAYILTNRILPWAFYASLSTAMACFYAYVLLSGGNPPPKKKMAATPSS >OGLUM04G15520.1 pep chromosome:ALNU02000000:4:20901894:20903199:-1 gene:OGLUM04G15520 transcript:OGLUM04G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT5G56110) TAIR;Acc:AT5G56110] MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPSDFPSPAVHDGAGAGASASALAAPCFPAAPPHHPQADDTIERIKLGLSRAIMSDPSTASAAAAAPSAPAEDKPWPPGDMSEGLAGMYATYNPAAHAHAQAQAEFRYDGASAAPGYVLGGDGDQGTSMWSHQSLYSGSSGTEEARRALPEKGNDSVGSSGGDDDAADDGKDSGGKGAASDMSGLFASDCVLWDLPDELTNHMV >OGLUM04G15530.1 pep chromosome:ALNU02000000:4:20904402:20906642:-1 gene:OGLUM04G15530 transcript:OGLUM04G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHSLEVAAAGGNGGGGRCYDDDGHPARTGTVWTCVAHIITAVIGSGVLSLAWSVAKLGWVGGPACMACFALVTYVSAALLSDCYRRGDDDKGPRSRSYMDAVRAFLGKKHTWACGLLQYASLYGCGVAYTITTATSMRAILRSNCYHTHGHDAPCKYGGSYYMLMFGAAQLFLSFIPDFHDMAWLSVLAAVMSFSYSFIGLGLGLANTIANGTIKGSITGAPTRTPVQKVWHVSQAIGDIAFAYPYSLILLEIQDTLKAPPAENKTMKKASIISIVVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIYQFADRFFAERYPASRFVNDFHTVKLPLLPPCRVNLLRVCFRTVYVASTTAVALAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRHVPRWSPRWVVLQSFSVLCLLVSAFALVGSIQGLISQKLG >OGLUM04G15540.1 pep chromosome:ALNU02000000:4:20911318:20913134:1 gene:OGLUM04G15540 transcript:OGLUM04G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAKTNDRAWELANSQCTCAKGRENDSPKPCLRAHWFNLRKENAESPDTGTESAAITYSPVLFSTPPSNVLHALPSPTRPFQPKPKHQLPHELAEAFDSRTRMPPRARARATGERRYVPPERRVRAVRPA >OGLUM04G15550.1 pep chromosome:ALNU02000000:4:20913639:20914272:-1 gene:OGLUM04G15550 transcript:OGLUM04G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDGDDGAAAAAVPLISDRPKHAAIVRSGTEWTAAAHVITAVIGSGVLSLAWSVAQLGWLAGPGMMLVFAAVTALQSALFADCYRSPDPEVGPHRNRTYANAVERNLVFLA >OGLUM04G15570.1 pep chromosome:ALNU02000000:4:20917610:20918855:-1 gene:OGLUM04G15570 transcript:OGLUM04G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARRGGITIVAGGHGGSGGDKATRRGRRHRTWPLAAMVAAARRGGVAIVSDDKADGSRLQYTHIYALAPK >OGLUM04G15580.1 pep chromosome:ALNU02000000:4:20918734:20923828:1 gene:OGLUM04G15580 transcript:OGLUM04G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGQVRCLLPLRVALSPPLPPWPPATMVMPPLLAAAAAMAASGQVRRLLSLRAALPSPWPLATMAPSSSRHRHHGCRCPGFQYAFVREKAAGDHLSLGYMQHGDNNNKNKKGDLSNNLDLSSSPLLMDSRGQAYYHRAAAAAAAVAAGDATDGEEPAAAELAPKAVRSKKKLAVEAQGGAAVRDDLTCPECGKVFMSDKAMYGHLRSHPLRKHKGAARLAAVAAAAADDASVAAGVKPRPWKVPRTKEEAELGDDRHPGRSPVTGKRGRPASSVSSSGSAPAPAPPASSRLQLVITEEEEAAMTLLDIASGCSLDHQPTQPAHVADAALLAPASDQMPSVDVEQGVLAVAEHRTWEAEKPALVEHVFGIVKEHVATVAADAEPQSPEAKTPVKLGPVTDQAVPVLGDKNDDGHADMPVSPGGGTTKKPLKRRLQDVETKHPTAPPPPPVRRIPSPASKRKYECSECHKTFSTHQALGGHVAAHKRQKKSCAEQQQEAVAAAAQVARHNFLAHQRPAGVVVAVDATVVAAAGGGIAIGPLGEEGLVGPPPPPPPPPPPPAPAPAPAPAPQQHQCLRCPMVFPTGQALGGHMRKHFLEAKEQEQLLAIAIANANANANANANANANAAPEPPPMMANAAPVPPPSMANGAGPVPPPIAGAALPPPMANGAAAAAPPGGNPAPPVGPQPGVNMFDLNELPNEDAGENQQP >OGLUM04G15590.1 pep chromosome:ALNU02000000:4:20918861:20919046:-1 gene:OGLUM04G15590 transcript:OGLUM04G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPATTAQRHQGDVERKEASDLGTGSHGGGGEKRKEPSSPAAMVTARRHGEKGGAGPGH >OGLUM04G15600.1 pep chromosome:ALNU02000000:4:20931982:20933574:-1 gene:OGLUM04G15600 transcript:OGLUM04G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSYDGDQSEDRQSEEVQSAYKSHGGGVLRGYFNLDRSEEQNEENEWSWIPQDGDPLAESMSSLQTTQEALENEMQKLSDLSKELGADNFSSDNRANNAFVSPDEDDVLETNQKMSHLEQKLEEASNTIREKNSVLSQLQELIDGMHIATPAERAFDIDQLETDLDRQLQEQIEAEIQSLVMLKARQSWQVRTEDQLALKEHKLSSSGGDNGDGDCDSDNARMMMMVKLRETESKIVKLKEQVEKLEVHERELFGTTQVLRMQSRTLKICLFGLLQLVLLFLSLKAFFAQVSDPFDDVVPT >OGLUM04G15610.1 pep chromosome:ALNU02000000:4:20941425:20946461:-1 gene:OGLUM04G15610 transcript:OGLUM04G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKDKSRPNCFRALGFLVRTVAAAEAVDLEGSAKAFIRCPSCSSIQGVSAVPATSPGESPASQDPTDLVQPCAKFSIRDYVFASRSKGIKRSWPFHPRSLQLCLKRGVKDLLPPFEPPDLIRSRSLGTTINVEQSAASSEANAPVGLVKTRDDGSSIVNASNINFQSCQPVAESLGPSQYTSPEDGKSAVDQGENTNGLDHTDEVMPVDLQVNSCTKGIRQTEVAVPSWRSKNLDSSREPSEKKCKLVVKVGSLTRTEEVASNSSTVSDPMASKTCPVCKVFASTSNTTLNAHMDQCLSVESNTEPVEKVILKPKVKPRKKRLMVDIYKTARLFTLEDLDQRNGTNWAIELATPTMNKEVCTENRSPEVVPFDPRDDEREGDVYVDSNGIKIRILSKSSDASLVLRDEHNSRKVAKNETGKSMLMSKACLKSKICKNKRLKFPGKKHSKTNRLNTQVRTHTDGDMHEHTSEDEEESTMHVQKPTESTSYGGSETIRQWVGSKRSGLSKNCAREVTDKASKSITPGTKKLARSSIRGFDDSQISDSPPEAFSSQPPEEMTTTSEANDDDERNGTSRLLRSITRWSSKTTPSSNVIPKVPRSAAALAKRKIKEIGRRESYRSDNYDTVRNSTSIRNSVRRGPSSSVAGLSDGSNRVASTKKFRKNRSLLRTGRREFSPSNSGLVHGFGQDHGSNPNHTNKRFRVSNKETSKKLKHTQEDTADNDFSYESDVPALGQGDDQYDAAQQAGISQMYYEGEEPETEMQCASPSRSDPVDCSNDMSSDSLSPENNETADDVLVEGYSVAIVDPCSNEKSAYHAHIPNDVANNEVEEWQIDPSSTKESSACLTNNRDMGLGAPQDNSSITSNREDSNLDHGLVFDRGSSGSPVSTASTLSPSTSLRDSRTNRSEPGPSTVSLPTVEERVSGSSNQETKSTPLAREGEQLPEKSCCCNCRESISRDSQVHDQSAMARPVPAFTGRPVPQLNIGLRASSSFSTYQRTSTKANPCLDSRDQTLAGKVSAEPTMTHPSYTADCMSPSIQTQLPSPSNLILRLMGKNLMVMNSEESGHPQAPSSDYIMRGNYMAPGCFMPQNYQHIGDPAFMNTTPSTANHQIPLSSVQAGNFSAPTLHNGSMVQSDYHSPQKPYRNLLPVMHHPSYMMKEVIMIDDSPEHRSDPQISMLLPSAPSPATISVPNTVASRPFYCLPSQNQLLPRESAVGPLPVFTNINPIVGVSSSSQGNNAEVAHPYMSNPFYVQSPAGYINPSVYYSQNLR >OGLUM04G15620.1 pep chromosome:ALNU02000000:4:20967960:20975201:-1 gene:OGLUM04G15620 transcript:OGLUM04G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATLDPPPPPLLIAGSLLDDDRDVGSAASSSPRWLPRARGSTIGSPPHRGGEGRGGEATMRRKGNSSSPDDDDDCRNDDDYRDDDVGATTDGGGDVAALLPMAMTTATTTAPMPVEAAADGGGELSSAGEGGQRRVNLLPAKILSVYENHLFSPLLAVERLSAWKDGFGPEDEWIIEKLAVIAARRSSFVSEESLCSFPAAALVSLAIAHLGEMEGDIAMEEWKDSNHRGADYLMTMPMQNFLADAFPPPELLEGEGGFEKHGLSVAVGSPPPPEDGCSPLPLTPQFGQKFGSGGGGGGGGSLADRRARGGFSNVARISVPYNQPAADVSSAGAPSPYVTIPPGLSPTTLLESPVFFSNAMGQASPTTGKLHMLGGADDSNPIRFESPPIEEGSGAFSFKPLNLASSHYAAAEKTKSLPNNQHQSLPISVKTEATSIQTAQDEAAANQLMQPQFNGGKLSRAAPDNGGDGEGQPAEGDAKVDSSSGAAAVAVVAAAAAAVAEDGYSWRKYGQKQVKHSEYPRSYYKCTHASCAGLKYPMLAPPSVHSLLRHRQGGGMEAVVVPKAEVKQEAMPPAAAVAGAGRGAAVYQQAMSRVSLGNQL >OGLUM04G15630.1 pep chromosome:ALNU02000000:4:20984413:20984811:1 gene:OGLUM04G15630 transcript:OGLUM04G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLTMASALLCVCAKPPTAKRRQGYRLRGERTTARRDEEQTSAERARARHGDRRARRAMGLGRTPAIHQARCWGSLGDAGQARPVRPMPRKRPVPYRCQRNGAVCCVLGSERGPCHDAIRDSGALGKSLT >OGLUM04G15640.1 pep chromosome:ALNU02000000:4:20986073:20987241:-1 gene:OGLUM04G15640 transcript:OGLUM04G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAVATFLATALSLSLLLAGALARPPPAPVRTDAGGGAAPAPQDKGGNLTDVLNVAGPFSTFLMYLRQTNLVAVLAGLSRNQLKHLLMYHSLAKHYTLAEFDGLSQSNPVKTLAGGRYAVNVTYDGGVVHVMSRWSSARVVGSVYESAAMAVYELDTVLLPDALFHAHPPVAATPPVPALPSPPPHADHPPPDDDDGDIDDYVPAPPPDPAAGKGGAERSASGPAVVAHKAASYGAAAAMTLLVSCL >OGLUM04G15650.1 pep chromosome:ALNU02000000:4:20987828:20988610:-1 gene:OGLUM04G15650 transcript:OGLUM04G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSAVLAVAALCLALALLLPRVALSQRLATADAPAPAPAPRHVDLAELLSLAGPYGTFLGYLTKTGVITTFQSQANDTAAGAPGVTVFAPEDSAFAAVGGGAALSNLTADQLRTLMLCHGVPRYHPLSSFSALAASGPAPTFAGGQQYAVNVTDAAGTVRIQSGWATAKLVSSVYSTSPVAVYALNRVLLPEQIFPTAPKVAPVPAPAPAPVHGDKANDGAPGAGEHGASDVKSSSCRVGAGRLLAILAVMVSSFLMI >OGLUM04G15660.1 pep chromosome:ALNU02000000:4:20994564:20999264:1 gene:OGLUM04G15660 transcript:OGLUM04G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSHVCSVVSSLVFLWLGVAAAQKASSWKTLSVRSYAMHVYKANGQLTISGNAPAIIAKGGFSGIFPDSSEFAYQFALIASSPDTILYCDVRLTKDGLGICLPDIKMDNCTNIPDFYQQGRKSYLVNGVSTAGWFSVDYNGTELGQVSLKQSIFSRSPRFDPSFFPILAVEDIASKFKPPGMWLNVQHDSFYSQFNLSMSNYILSVSKRVIVDYISSPEVSFLTKVSGKLSNNTRLVFRFLDESTIEPSTKKTYGSMLKNLTFVKTFASGIIVPKKYIWPVSPDNYLEPHTSVVDDAHKAGLEIYAADFANDFMFSYNHSYDPLAEYLSFIDNGCFTNLKKRKTDHGKPLIISHNGASGDYPACTDLAYQKAVDDGADVIDCPVQLTKDGIPICMSSINLMDDTTVAKSQFASQTAVIKDIESVLGVFTFNLTWDDIVKNLRPKISTPFSSFKLDRNPRYRNAGNFMRLSDFLDFTKDKDLSGIMISVEHAAFVAEELGFDMVDSVIKTLDAAGYSNQTAQKVMIQSSNSSVLVKFKQQTKYDLVYMINEEVKDAAPSSLAAIKKFADAVSVEGNSIFPENRHFTTYQTNLVESLQNAGLPVYVYTLMNEFASQPYDFFSDATAQINAYVQGAGVNGVITDFPATARRYKLNTCMHMGNNTPSFMAPARPGDLLQIISKPAQPPAMSPMPLLTGSDVAEPPLPPARTAQAPSLASRMQAHAAIVVTLAMLLACHPLV >OGLUM04G15670.1 pep chromosome:ALNU02000000:4:21000911:21001240:-1 gene:OGLUM04G15670 transcript:OGLUM04G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVLSLFRRRRRTARVVDESALVGGHAGAGDDDDARGRGVAAAGGAGALMARALLAISCVVRRLDGEDVGGGGGVEEAWATSGWRPPRADEAGRHLVVRESMRYAIYG >OGLUM04G15680.1 pep chromosome:ALNU02000000:4:21004058:21012259:1 gene:OGLUM04G15680 transcript:OGLUM04G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGERTFKANFTGEGVRLLRARVKEKLRELMGDYSDDTLAEYVVVLLRNGRRKDEAAKELEVFLSDNNDAFVSWLWDHLSSNLHLYVQPKAISSNNEVNSTRSNARGMPAQNMTSSTQAIREPVAGTQKITGIHQRREWGGIVRDQSETVPLRSVVTTVLHAEEKDVNKSHARRRTHSPDMHHQRKRSREDDERQIKRTSHQDIDAPRRLLQFAVRDAVRPVQPITPRSESASKRLRSVVSTMPSDSPLDVRLQRTNSDVRVPGVTAAALRAAAEAAEDVLKEKYSGSVFRRLGRKGMVNAAEESFGFSEQGREREYGDIDNVQAENQLDVHGRNHYAGDAYMYDREAAKGTDSASDIDRHDDTGAARYNDLVSCRSTLPSSIGKESVVAGFNTVEGTTTIRSRRSIMQDPHASSGRGPSERINMVNNITHKPANQATRRNAVKIEPQVPTEMKHTDSRKSTATLAHVNNTPMTDKSKDSMCSSSMVEEQKLPSLAVGSCSTGQPEGGTDSRTVFLSNVHFAATKDALSRHFNKFGAVLKTLIVTDIAGQPTGSAYIEFLHKESAEQALTLDNTSFMSRMLKVVRKNSLEVSQQSGWPRGSRGSTFPSRLTRTAYPRPAFPGAMRGRLPLRGGARSLQWKRDNADTVDAAKPGHSTPIPTGNQLISPVVRSFTYTRAEQKQDVGATI >OGLUM04G15690.1 pep chromosome:ALNU02000000:4:21014413:21020039:1 gene:OGLUM04G15690 transcript:OGLUM04G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06940) TAIR;Acc:AT5G06940] MATTAAAANLLLFSAVLLLATPSNAASAAAPAVAAMPVQPQELLLSFRASLNDPSGALSTWSRSTPYCNWSHVTCTAAAAGGGVAVGLSLQGLGLSGDIAAEPLCRVPGLAALSLASNTFNQTVPLQLSRCALLVSLNLSSAGLWGPLPDQLAMLASLASLDLSGNDIEGQVPPGLAALRGLQVLDLGGNRLSGVLHPALFRNLTKLHFLDLSKNQFLESELPPELGEMAGLRWLFLQGSGFGGAIPETLLQLEQLEVLDLSMNSLTGALPPAFGHNFRKLLSLDLSQNGFSGPFPKEIGKCVMLQRFQVQGNGFTGELPAGLWSLPDLRVVHAESNRFSGRLPELSAAASRLEQVQVDNNSISGEIPRSIGMVRTMYRFTASANRLAGGLPDTLCDSPAMSIINVSGNALSGAIPELTRCRRLVSLSLSGNALTGPIPASLGGLPVLTYIDVSSNGLTGAIPAELQGLKLALLNVSYNHLTGRVPPSLVSGALPAVFLQGNPGLCGLPADGGCDAPAAPPSRNRLALAATVASFVTGVLLLLALGAFAVCRRLHAAAKLVLFYPIKITADELLAALRDKNAIGRGAFGKVYLIELQDGQNIAVKKFICSSNQTFGAVKNHMKTFAKIRHKNIARLLGFCYDSHGGGGEVSVIYEHLRMGSLQDLIRAPKFAVGWNDRLRIAIGVAEGLVYLHRDYTPRLLHRDLKSSNVLLGDDFEPRVTGFGIDRVVGEKAYRSSLASDLNYSCYIAPEVNCTKKPTHLMDVYSFGVILLELITGKPAGQPASDDSVDIVRWVRRRVNVAGGAAQILDPAAAVSHAAQQGMQAALELALRCTSVMPDQRPAMDEVVRSLHLLHSPQTLPPPPPFTGVAVEP >OGLUM04G15700.1 pep chromosome:ALNU02000000:4:21018420:21020006:-1 gene:OGLUM04G15700 transcript:OGLUM04G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEEHKIPQPGNRLEPSNPSGVAAPDQPPAPPIADAADAGAAAMAPFRRWADLPPDLLCRVGDRLDLKCYASARGACTAWRSALSPASPALLVLSDARMCPSAASLPTRRCFDLAAILTGGRCVGSSNGWLVLSIALYGGQSAFVLFNPITTTEIVLPPLIYESRWVSKVVFAPSPAKDDYAAAAICDIDRIAYVTAGARRWAVLDPVRLVTGDQLTDVVYHDKGKVYCLTRCGDVHLLRLPERRRRKPADEPGPSEQPPEAPVVPLPECAVAIRARRTQLHHNFRMVCYDHLGPRDQMMPMKLTVCSETLIPFNYRRFAIGPLEPDLNAPATVEPLLPEGNLPFDPATSFAPPYNTVSAFTNAKNIVLCEGNLYQIWRNASCTVTLQLPGGGHRRVSENEILVLRYYPRRQPCWDAVTDLGGYSVFVGRNNAVSMYAEGVHGLKGNCVYWIGGRGRDQGMVFDMETGRSTPCYPLVGGMPGPLQSTICWFFLSDIVNASNNSGGRRVYQTRSRSRAERAQDMEE >OGLUM04G15710.1 pep chromosome:ALNU02000000:4:21020465:21023318:1 gene:OGLUM04G15710 transcript:OGLUM04G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGFLRFGGPLFCPFFDELFAGCSDASVCLCRCRSGEVFESEQEAEEDFRGIGGEGTLARIHTSRNYSNMPEMRDSKRTALGELSGGGGFFIRRVASPGALAARGPGKPLARRFIRPSNNKENVPPVWAVKATATKRRSPLPDWYPRTPLRDITAIAKAIQRSRLRIAAAQQRSQTPEQNTPHCTEVRDSLDVEPGINSTQIVATPASSLAKDSLKIFSSPSETSLVTPSKPIDPVLLDDMEKKLSSSIEQIEKMNILKYSKNAQYLLPIVKHQNCHEEDWGVPEKERKTGVAVADKA >OGLUM04G15720.1 pep chromosome:ALNU02000000:4:21025184:21027754:1 gene:OGLUM04G15720 transcript:OGLUM04G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAGDGKSRPVNRPDGEPETTVSRVMACPYVFRAQAPPAMAKEAMATPTRPQVRETWPAGGGGGGGGGWMGVGSGERVASAYDLVEQMHYLYVRVVRARGLTAAASTVAGGGGCNPYVEVRLGNYRGTTRHHERNAAPEWNQVFAFSRERVQASVLEVFVRDKDAVAAVARDGYVGRVAFDVGEAPVRVPPDSPLAPQWYRLEDVGGGGGRAVQGEVMLAVWVGTQADEAFADAWHAGAASVRGGGDGVAAVQSTRSKVYVTPKLWYLRISVLEAQDVVPGAVAGAGGDKGRHGEAFVVVKVQVGGVTLRTKPCCRPTSPSWNEELVFVVAEPFDEPAVLVVEARAAHPGKDEIVGRAVLPLTLFERRLDRRGAAAATHTQSQWFSLEPFVHRPRHSPEEPAFAGRVHLRACLDGAYHVMDEPAMYASDTRPTARQLWRPPIGVLEVGVLGAQGLPPMKTAADGGRGTTDAYCVAKYGHKWVRTRTVVDSSTPRWNEQYTWEVYDPCTVLTLAVFDNCNLGNGGGGGKDQRIGKVRIRLSTLEMDRVYTNAHRLVVLHPSGLRKNGDVCLAVRLTCLSLASVVRLYGEPLLPGAHYVHPFAVAQLDGLRRQAVGVVAARLGRAEPPLRREVVEYMLDAGSHLWSIRRSRANFLRATALLSGAAGAARWLADVCHWRSPATTILAHLLLVTFACFPELILPTAFLYASVAGAWSYRRRPRRPPQADAGLSCAEATGADELDEEADTFPTSRPDGVVRARYDRLRTVAGRIQAVVGDVATQGERVRSLLAWRDPRATAVFTAACLAAVVVAYATPPRVVALVAGLYLLRHPRFRSRMPSAAGNFFKRLPSRADTML >OGLUM04G15730.1 pep chromosome:ALNU02000000:4:21028500:21030388:-1 gene:OGLUM04G15730 transcript:OGLUM04G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L6 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZM16] MAPTSKLLQGIKKASRSHTYHRRGLWAIKAKHGGAFPKAEKPAAAAAPKFYPADDVKPRQPSTRKPNPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGLLLVTGPFKINGVPIRRVNQPYVIATSTKVDISGVNVEKFDDKYFSRDKKQKAKKTEGELFETEKEATKNLPEFKKEDQKVVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >OGLUM04G15740.1 pep chromosome:ALNU02000000:4:21044053:21044584:-1 gene:OGLUM04G15740 transcript:OGLUM04G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHCAVKPCCCCCCCCCCLLVAAVLAVAVFLAMSPPAAAAAAASSSQPAAAAALQRAETTATMYTAKELREKQDVTKGAEEDVTTTTTTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >OGLUM04G15750.1 pep chromosome:ALNU02000000:4:21045626:21054036:-1 gene:OGLUM04G15750 transcript:OGLUM04G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKRSIDDQFSKLHPSLPVDTRIGIVGAGPSGLSAAYALAKLGYRNVTLFEKCHTVSGMCESIDIEGRIYDLGGQVIAANSAPVITHLAKELGSDFEEMDTHKLSLIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANKSGRAGLHALSGLASDPTHEFLKQNGINSMPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSMWERLSKSLPFEVFCDTEVLNVKRNSCGANVTIKNNNGEKQVLEFDKIILSGAVAFKNSKTYRSSSLTDGESEVVELNNLERELFSKVQTIDYYTTVVKINGFEHIPKGFYYFGEFMEDPTTIGHPVAMQRFFADTNIFLFWSYGNSADIKGSFVAKCVTDIVSSMGGNVQKVILQRRFKYFPHVSSKDMKDGFYERLESQLQGFQNTYYVGGLLAFELTERNASYSIMAVCKHFAIDGEGELTPYVKRLFPLSHNRNPSPPRDLGELEGVEFPDLPSLDGYLQYWGTHKVTEKNVIYTWINEEGKLMNRRTYQELHGNASYIAQKLLTSTKPVIKPGDRVLLIHLPGLEFIDAFFGCIRAGVIPVPVLPPDPMQSGEQALLKVENISKMCNAVAILSTSSYHAAVRAGYIKNIVTLAKRVQKCSAQWPDIPWIHTDSWIKNYQRSSDSFNSDTVLFTKPQPSDLCFLQFTSGSTGDAKGVMITHEGLIHNVKTMKKRYRSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGTSVLFSPMTFIRNPLLWLQMINDYHGTHSAGPNFAFELVIRRLEAEKNKVYDLSSMVFLMIAAEPVRQKTVRRFIELTQPFGLSEGVLAPGYGLAENCVYVTCAFGECKPVFIDWQGRVCCGYVEQDDTDTLIRIVDPDSLTEHQEDGVEGEIWISSPSSGVGYWGNSEMSQRTFFNQLKNHPNKKFTRTGDLGRTIDGNLFITGRIKDLIIVAGRNIYSADVEKTVESSSEVLRPGCCAVVGIPEEVLAQKGISIPDSSDQVGLVVIAEVREGKAVSEEVVNNIKARVVEEHGVAVASVKLIKPRTICKTTSGKIRRFECMRQFVDNTLSLAKGNHVSKKKGLFRSLTTGTGMESKRSLLRQTVDLTISHWPKSQVKNSNEITEFLTQIVSEHTGISKDKISLTDSLPSYGFDSIAVVRAAQKLSDFLGVPVGAIDIFTASCISELASFLENLVHKSQPQLAPWPKSKVKNSKEIIEFLTKIVSDQTGIPKDKISPTDSLPSYGFDSIAVVQAAQKLSDFLGVPVGAIDIFTAGCISELATFLENLAHKSQSQLAPGASCYIEDETQVDPMDAISPEFSVLGTGILQLLALTYVCFVLLLPAYLASSTYMSIFSTVSLVRSPLLSYLSSLVMAPIVWIFYISLTSLSLSILGKSFLQPNYVLIPDVSIWSVDFVKWWALNKAQALAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLTVADGAVLAEGALVQGHEVCNEVLSFRPIWIGCEASIGPYAVLQKGTVVEDGAVVPPLQKTGAGKSTRRTSRTSVSIKKEAAKANMILEHLVSIYAVGILGALSGAIVYTLYTHLSGKAASPLHFSFACIAGAFHWLPAAITAYAVIVQETPTSALSFALFTAFAYLSYGVILSILTSITSRALAAKPGTKQNGIASLIHRRITISAHVRFAKMLSGTEAFCVYLRLLGAKIGRHCSIRAINPVANPELISVGDGVHLGDFCNIVPGFYSKGGFTSAEIKVQENTVVGSGSLLLPGCVLQENVILGALSVAPENAVLRRGGVYVGSQSPAMVKNTLLDEDERIEEMDQAYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLRMYEEIPSFPRHKIFASGKSFPVIVRHSNSLSADDDARLDARGAAVRILSDNDGEAPLLDLTLKSGKAFYARTIADFATWLVCGLPAREEQVKRSPHIRDAVWGSLRSTDSYTVLHYYSNICRLLRFDDGREMYAKFKLRPADPDVPEDSGKVVPRGILPPETGAIPRDEDDTRPLLFLADDFRRRVGSPDGVRYVFQLQLREVPTDAAARDVALDCTRPWDEAEFPYIDVGEVSIGRNLPTEETEKLEFNPFLRCPEVDVIPATSCAQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVAAAATPTRSNAGDATKVTLARTWYQALWATLCQPLLQTLVPYSVLGLVIFLPLRGLLAVAAATRFPLYWLLPAFWAASGVAAMATCAAAKWALVGSRVDGDTAHIWSPAVFLDTVWQAVRAATAEYFAELTPGSAPFAAWMRVMGASVSPGDGVYVDSMGALLNPEMVRLERGAAVGRDALLFGHVYEGEAGKVKFGAVSVGEDGFVGSRAVAMPSVTVDDGGCLAALGLAMKGETVKHSM >OGLUM04G15760.1 pep chromosome:ALNU02000000:4:21062325:21079355:-1 gene:OGLUM04G15760 transcript:OGLUM04G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGAMVMSGGVLLLLLAFTCAAYNDAGELPPISRRSFPKGFIFGTSSSSYQEDVRIMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLINELLSKEVQPFATLFHFDTPQALEDKYKGFLSPNIINDYKDYAEICFKEFGDRVKHWITFNEPWNFCSMGYASGTMAPGRCSSWEKGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEEAIRQSPFIRDNNLNRRSAKLSSIIQNYLLLGIQFQLGPGGGGRALQKGRIGIILNSEWFVPLSQSKSSNDAARRVLDFMLGWLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENAASSWLHIYPQGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >OGLUM04G15760.2 pep chromosome:ALNU02000000:4:21062325:21073863:-1 gene:OGLUM04G15760 transcript:OGLUM04G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQALQKGRIGIILNSEWFVPLSQSKSSNDAARHKIADKSNGDVADNTYHLYKEDVHMMKEMGMDAYRFSISWSRILPRVQSFVTLFHYDTPQALEDKYKGFLSPNIMLMDPLIRGDYPLNMRELVGNRLPKFTKEQSEMVKGAFDFIGLNYYSSSYAENVLPSYGLKKSYNTDFHARITGLRELLLYIKENYGNPTIFITENGVDEVNNKTMPLKEALNDNTRIEYYHKHLLALRNAMRDGANVKGYFAWSLLDNFEWADGYTLRFGLNFVDYDDGMKRHPKNSAHWFKKFLREMKQG >OGLUM04G15770.1 pep chromosome:ALNU02000000:4:21079363:21080452:-1 gene:OGLUM04G15770 transcript:OGLUM04G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELVGNRLPEFSKEQSGMVKGAFDFIGLNYYTSSYADNDPPSHGHNNSYNTDSHAKITGSRNGIPIGPQAASFWFHIYPEGICEMLLYVKENYGNPTIYITENGVDEVNNKTMPLEEALKDDTRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWAEGYTVRFGINFVDYDDGMKRYPKNSARWFKKFLQK >OGLUM04G15780.1 pep chromosome:ALNU02000000:4:21084916:21085242:-1 gene:OGLUM04G15780 transcript:OGLUM04G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGAVAMSGGILLLLLLLLLAAACVEAGELPPISRRSFPKGFIFGTSSASYQVRLPFRIILPTRDQEQFDKTAALTEFLQEHRLEQTVLFKRKKEKSWNRLYTSNT >OGLUM04G15790.1 pep chromosome:ALNU02000000:4:21089088:21118532:-1 gene:OGLUM04G15790 transcript:OGLUM04G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVHSDHTAMAAAGPMPGGLLLTFLLLAVVASGAYNGAGEPPVSRRSFPKGFIFGTASSSYQKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNNLINELLSKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNDDAAKRAIDFMFGWFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTVYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKNPSLSSVYVGHGVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQTKSLTEAMAMWLATATISTSMKEMGMDAYRFSISWSRILPNGSLSGGVNREGINYYNNLISELLSKGVQPFVTLFHWDSPQALEDKYKGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPWTFCSMGYASGIMAPGRCSSWEVGKCRVGDSGREPYTACHHQLLAHAETVRLYKEKYQFTEGNALQKGKIGIILNADWFVPLSQSKSSSDAARRALDFMLGCKEQSEIVKGAFDFIGLNYYASSYADNDPPSYGHNNSYNTDSHAKITGLRELLLHIKENYGNPTIYITENGVDEINNKTMRLKEALKDDIRIEYYHKHLLALLSAMRDGANVKGYFAWSLLDNFEWSEGYTVRFGINFVDYDNGMKRYPKNSARWFKKFLRK >OGLUM04G15790.2 pep chromosome:ALNU02000000:4:21100984:21118532:-1 gene:OGLUM04G15790 transcript:OGLUM04G15790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVHSDHTAMAAAGPMPGGLLLTFLLLAVVASGAYNGAGEPPVSRRSFPKGFIFGTASSSYQKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNNLINELLSKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNDDAAKRAIDFMFGWFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTVYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKNPSLSSVYVGHGVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQFEGGAALGGRGPSIWDTFTHQSPGMLTVNGKKGKAMRHYFELSIPQFGRQNH >OGLUM04G15790.3 pep chromosome:ALNU02000000:4:21100984:21111324:-1 gene:OGLUM04G15790 transcript:OGLUM04G15790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPMPGGLLLTFLLLAVVASGAYNGAGEPPVSRRSFPKGFIFGTASSSYQKIADRSNGDVASDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLRGGVNKEGIKYYNNLINELLSKGVQPFITLFHWDSPQALEDKYNGFLSPNIINDFKDYAEICFKEFGDRVKNWITFNEPWTFCSNGYATGLFAPGRCSPWEKGNCSVGDSGREPYTACHHQLLAHAETVRLYKAKYQALQKGKIGITLVSHWFVPFSRSKSNDDAAKRAIDFMFGWFMDPLIRGDYPLSMRGLVGNRLPQFTKEQSKLVKGAFDFIGLNYYTANYADNLPPSNGLNNSYTTDSRANLTGVRNGIPIGPQAASPWLYVYPQGFRDLLLYVKENYGNPTVYITENGVDEFNNKTLPLQEALKDDARIEYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGRKRYPKNSAHWFKNPSLSSVYVGHGVAGAMVMSGALLLLHLLAFTCVACNGGSELPPISRRSFPKGFIFGTSSSSYQFEGGAALGGRGPSIWDTFTHQSPGMLTVNGKKGKAMRHYFELSIPQFGRQNH >OGLUM04G15800.1 pep chromosome:ALNU02000000:4:21105866:21109663:1 gene:OGLUM04G15800 transcript:OGLUM04G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISTRSNSLVRVVAAAAPCSPPPVAGDEGARGAAYQPRLEPRGGGDGPFPVQPSGRPSPSGQPTTAAPSRKLLQASSLDLEREKGTNQCETREIEEKAPKANEIEIGVFGSLMGMHSDGQV >OGLUM04G15810.1 pep chromosome:ALNU02000000:4:21118803:21138911:-1 gene:OGLUM04G15810 transcript:OGLUM04G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCASGPHAGFDTAVASTVFSLARLTRFPSQSLRSPPPTTAAAAPPFHASAAARPPRSYGGNLIHLFLAGDSLGNLAPLFLNGDPGPPIANTFGFSSGGHPVPGGPPHLSSRDKALASFEIRGRVRRHRDLNSAIKHLGNLMHGRSHGRVLLDAPKCYCKKPLYPLNNPSNLTRKWPLCGKDGVRWALKGSPLYRPICASKHEVSSVKHVGISCTGNLGIPKLMATRPNAKSPEGEIHDVFTPSVVSNEPRVMFRALEGAIANTRRRTRRRRYCNSNITPADQLAIDKCAMKKAVDLRWTGAESYGRLTERSVQCNCQADKEQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >OGLUM04G15810.2 pep chromosome:ALNU02000000:4:21118803:21124051:-1 gene:OGLUM04G15810 transcript:OGLUM04G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLGVTREHSGALQAHPLGHTHILPMAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >OGLUM04G15810.3 pep chromosome:ALNU02000000:4:21118803:21123397:-1 gene:OGLUM04G15810 transcript:OGLUM04G15810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEVVMLGGILLPLLLVVAVSGEPPPISRRSFPEGFIFGTASSSYQIADKSNGDVAADSYHLYKEDVRIMKDMGVDAYRFSISWTRILPNGSLSGGINREGISYYNNLINELLLKGVQPFVTLFHWDSPQALEDKYNGFLSPNIINDYKEYAETCFKEFGDRVKHWITFNEPLSFCVAGYASGGMFAPGRCSPWEGNCSAGDSGREPYTACHHQLLAHAETVRLYKEKYQVLQKGKIGITLVSNWFVPFSRSKSNIDAARRALDFMLGWFMDPLIRGEYPLSMRELVRNRLPQFTKEQSELIKGSFDFIGLNYYTSNYAGSLPPSNGLNNSYSTDARANLTAVRNGIPIGPQAASPWLYIYPQGFRELVLYVKENYGNPTIYITENGVDEFNNKTLPLQEALKDDTRIDYYHKHLLSLLSAIRDGANVKGYFAWSLLDNFEWSNGYTVRFGINFVDYNDGAKRYPKKSAHWFKEFLQK >OGLUM04G15820.1 pep chromosome:ALNU02000000:4:21126089:21128500:1 gene:OGLUM04G15820 transcript:OGLUM04G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZM30] MMEPLLTLLVLLLVFAIAPSKARNITLGSLLTTEGVNTSWISPSGDFAFGFQLISTNTYLLAVWFDKTVDKSMAWYAKTNTQVPEVVLVPSGSQLQLSSNGLSLLDPGGHELWNPQVPGAAYANMLDTGNFVLLGADGSTKWGTFDSPADTILPTQGPFSEVQLYSRLTQADYSNGRFLLQVKDGNLEFDLVAVPSGNKYRSYLTPNTGGNGSQLLFNETGGVYFTLKDGTEITITSTIMGSMVNYYQRATLDPDGVFRQYVYPKKEAVTRGWKYIGWTAVDFIPRNICDVFTTSDGSGACGFNSYCSFNWNQNETVECQCPPHYSFIDEARKYKGCKANFQQQSCDLDEATMIDEFDLIPMKGIDWPSADYESFTSVGMDDCQKLCLTDCFCAVTVFNEGNCWKKKLPMSNGRMDSSVDRTLYLKVPKNNNSLSIINTGSIKWKKDKKYWILGSCLLLGSFLLVLILLISFILFGHYFAKKSKKIDPPKQSYSTGGLPLKSFTYEELHEATGGFCEEIGSGGSGVVYKGTLQDQLGTHIAVKKINKVLPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGPLNEFIFCTIRPSWYQRVQFALGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLMAKISDFGLAKLLQMDQTQTTTGIRGTRGYVAPEWFKNIAVTAKVDVYSFGVILLEIVCCRRNVEQDIIDEDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDSAVEIAVPPDPASYISSLQ >OGLUM04G15830.1 pep chromosome:ALNU02000000:4:21135198:21137603:1 gene:OGLUM04G15830 transcript:OGLUM04G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZM31] MEHLFTLIFLLVFTVAPSKAQRNITKGLFLTTEGVNTSWVSPSGDFAFGFQLINGNNSYILAVWFDKTVDKTLAWYAKTNTQVPELVVVPSGSRLQLSSNGLSLLDPGGHELWNPQVTSAAYANMLDTGNFVLAGADGSIKWGTFESPADTILPTQGPFSEVQLYSRLTHTDYSNGRFLLQVKDGDLEFDLVAVPSGNPYSTYWTTNTGGNGSQLFFNATGRVYFTLKDRTEINITSTIMSSMGDYYQRATLDPDGVFRQYVYPKEAARKWNNIGWTTVDFIPRNICQAIRSDDGSGACGFNSFCNFNWSLNETVDCQCPPHYSFIDQALKYKGCKADFQPQSCDLDEETMIDQFDLIPMNGVDWPLADYEHYTSVGMDECKKLCLTDCFCAVVVFNNGDCWKKKLPMSNGILDSSVDRTLYLKVPKNNNTQSQLNSNSIKWKKQKKHWILGSSLLLGSFFLMCILLASFIIFQNYFAMESKKTDLPKQSSSTGGLPLKSFTYEELHEATGGFSEEVGRGGSGVVYKGQLQDPLGTYVAVKKIDRIMPDIEKEFAVEVQTIGWTFHKNLVRLLGFCNEGAERLLVYEFMPNGSLTGFLFDTVRPSWYLRVQFAIGVARGLLYLHEECSTQIIHCDIKPQNILLDNNLTAKISDFGLAKLLRMDQTQTHTGIRGTRGYVAPEWFKNIAITAKVDVYSFGVILLEIICCRRNVEKDMTNDDRAILTDWANDCYRSGRIDLLVEGDEEASFDIKRVQRFLAVALWCIQEDPAMRPTMHKVTQMLDGAVEIAMPPDPASYISSLQ >OGLUM04G15840.1 pep chromosome:ALNU02000000:4:21138243:21140609:1 gene:OGLUM04G15840 transcript:OGLUM04G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAAGHRVPPGREAEGAPMSRGDRVDNQRVVGGGGGCTRASMAAVVVVAGSGPSGSSGTSPRTYASSCAHCECMVLWMEMKAMSLKVKMGGGGGSHGRTRRRRRGKRPEEEDEEEVVEMEGKDIAAAAAPSASAKIAPAQAQEADGNEATTSGGGGDDDRRRGKDEQGGRGQEHRDKCCCPPEDGAGVVEEDEAMATTDHATAAAAAEEEESDHEWVAEPEPGVLMTLVARPDGTNHLRRIRFSEELFDGARAAQRWWADNYDAIVELYSVVQPEPSHDGGDDDDDSESVPATPCQSEDDDHRRRREQGSDSASNFSGPSSGSGSGSGSGGRSASTVGSPILGLVTAPGGGGGGGAPATPTEHSPT >OGLUM04G15850.1 pep chromosome:ALNU02000000:4:21143194:21143724:-1 gene:OGLUM04G15850 transcript:OGLUM04G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRARCRAPQSSTSPTWPRRVEALTHILTHPSHSPSLHSQLFLASRVPCPPPGSTYPPFLCPGAGDGAGLLRWALASVFLPRAARLCLPPSSWRSRCPFQLPPPVVPSAAIEPAPERWGEAELRGYARRQRAQRGPMRARPPHSIAGAVLTIVPNVVIVAAVIRELFWVRPNRI >OGLUM04G15860.1 pep chromosome:ALNU02000000:4:21144937:21145785:1 gene:OGLUM04G15860 transcript:OGLUM04G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAHMAAVLNLPWGYRFRPSDRQIIANYLGPMAIHGADSLPQRGDVVEGVDVFATRPAAIPFEPRRHVFGLDEVRAYFFGDQPTDSRGREVPGGAWLPCGGGDKAYSGGADGGEAVAYRRKYEFRAADEEADRAGEEAATPARPRWRMKEYRLNKSAAAFRRAYAQPNPKANMDCVVREIYTKAVPPPTPPSGRSGDEEMQEGSDYSGFEDGNFDEDQDQPAAAEDGDYSDEDEDQPAAAEDGDYSDEDQDQPAAAEDGDYSDEDEP >OGLUM04G15870.1 pep chromosome:ALNU02000000:4:21146672:21148420:-1 gene:OGLUM04G15870 transcript:OGLUM04G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAAVVRSPLRLAPPRAAARRRAAAAAAAAAAVITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRGSPSAAAAAVPVEPKLRRLLKADMVAVFRELAAQGEALLALQVFEEIRKEHWYKPKLLLYVDIVTVLASKGLRSEVDKVCSYLKREQLEPDTEGFNVLLKALLDAEFTQLTMDCFRLMKLWDSDPDRITYRTLIKGLESLGEMGLSADIKLEAQNDYGDLDFIDEEEMIDTLEQKSIWRGSSLIAENRRARISS >OGLUM04G15880.1 pep chromosome:ALNU02000000:4:21151434:21152660:-1 gene:OGLUM04G15880 transcript:OGLUM04G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPAIDLRLAGGGGGGGAEETARLRDACVRLGCFRVSGHGVPPGLQAEMKAAVRALFDLPDDAKRRNADIIPGSGYVPPGTANPLYEAFGLCDAAAPADVDAFCARLDAPPHVRETVKAYAERMHSLIVDVAGKVAASLGLHGASFQDWPCQFRMNRYNYTQDSVGIPGVQVHTDSGFLTVLQEDECVGGLEVLDPAAGEFVPVDPLPGSFVVNVGDVGQAWSNGRLHNVKHRVQCVAAVPRVSIAMFLLAPKDDTVSAPGELVDGEHPRRYREFKYDDYRRLRLSTGERAGEALARLAA >OGLUM04G15890.1 pep chromosome:ALNU02000000:4:21157101:21159462:-1 gene:OGLUM04G15890 transcript:OGLUM04G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASCRRVRLRFRSALATAASPVEASISTSDSDVDPESHGPADATLLRRRMRASAAEGNLAAALDALARLRPAPAGAHHYNALLDAYLRSGQAAAQHVAAAEHVAAVLCHMRSVGPAPNALTFNTAFNGLLRLGHLDASHAVLEEMWSRCGFVPSFTTVDRLIKKAVSGSNFELALKVFDLMLSLCYFPTLPIANAIVSILLKSGSAEIAYEVFMVLVNRKFVPDVYMYNQILFGLCKSGCSNKALTLFCNLKKRGLSLNVYSYTALVLGFCKEKMWADAYRALEKMCDEECKPSVVTYTVIVNFLCRDGKIDAAMHVFRMACKNGCCLDSTICNVLLHALCCEDRIPEARVIVDLMEEAGLVPDYFTISSLAAGFLKTGDVMTCQNFIRMVKKALALVSGMMERGLVPSTTTYNTILKGFCMELDLQGALQMLDHFSSTGVPCDSVSFNTILSAACRQQNASVIRMVLYRMHVEGINLDAISMTCLLRYFHKCGKFAESVNLVESLRIQGSLLFSTNCGAFHEGLKVEENGEELPDPWVG >OGLUM04G15900.1 pep chromosome:ALNU02000000:4:21162407:21163729:1 gene:OGLUM04G15900 transcript:OGLUM04G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHRRWADLHAGLVSRVADLCALRGYASCRAVCASWRAALPPPTSRPLAPVADTASRHGPLSLAVCSVHAQRWSRLLGLRQPSGLANATGASRCVGARDGWVVLAAANAKGAAAAAASVVLLFNPVTGVEIPLHASLYDPNCERAPAASTSPAPKVVFSPCPTARDLAAVSICRPNRLAVQRTTDGHSSALVLDTAALMDGDDLADVAFDGNGRVAYCLTRHGAVHVLRLNRRRHRGRLRPIEIEPLVAGAVFPVPYDTIARFTDAKNLVLCGGALYQVWRRPSGAGSAVAPAGICDQQLLRIPEGAVFVLRYEPPASAAAGGSRRPPCWSESKDLGGHAVFLGANDAAAAARCGDGDGAAELMRGDCLYYWASRAEGDYEAFVYSMADRMSTRLPPATGGVSSPLWYFLPAGAANVEATTTTAMEAASEEVSVAVITV >OGLUM04G15910.1 pep chromosome:ALNU02000000:4:21168679:21171153:1 gene:OGLUM04G15910 transcript:OGLUM04G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAGLMCWEEGRRDEAVGCYQKAAELGHPVGMCNLGVSYLEADPPKAEEAVRWFYPAAAAGNARAQYNLGLCLQNGKGIKRNQREAAKWYLRAAEGGNVRAMYNISLCYNYGEGFSQDQVRSKRWLQLAADCGHKKALYECGIKLCAAGDKVRSLMYLELATRRGEAAASHMRDVILESLSLVNAQRALSDADKWRPRALYTRR >OGLUM04G15920.1 pep chromosome:ALNU02000000:4:21171642:21177763:-1 gene:OGLUM04G15920 transcript:OGLUM04G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZM40] MAATQEKAPVVVCCGGGGAAAARRVDGGPGSSSRGAIVAAPAAAAAAGKVSMVSGDDPRVAAAAGGGGGAVMEEIAAAVQPTTAKVSSKGKRVKCLKWITMPVQRWTNRLVDYVNHGAISNMESALYLYAPLGTGRIPIMTRAQRSHPLDPLSAAEIAVAIATVRAAGKSPEERDGMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRAKLTVYNRQSNETSIWIVELSEVHAATRGGHHRGKVISSEVVPEVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMELVMVDAWCAGYYSDADAPSRRLGKPLIFCRTDSDSPMENGYARPVEGIHVIVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDLKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVENAGTHNVHNNAFYAEEKLLKSELQAMRDCDPSSARHWIVRNTRAVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEVFPGGEFPNQNPRIHEGLATWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGSSDADIKEAESPKAIQNGLISKL >OGLUM04G15930.1 pep chromosome:ALNU02000000:4:21185096:21189604:1 gene:OGLUM04G15930 transcript:OGLUM04G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVYFRLTQSLIRDMWFRFRGRKRRETARAPGREPAFPAARIAGEAPKPYRAIILFLSAALPSQPAAPTLVAAGRSRPRTAGDEIREEAGRGCRWRRGAATWLRRPASSSPLVLLMAIVKGMFCLSATRDQMKKQGICSSTVNFTSSIGLRSDLDLDGSLYYCTVVDPIFILLPILKAARMSSLNSFTVLQNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLICEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEIELLKDAVQIIREYLNDEPWLTLDIKEIIEANKTSEASFCAENSPVPFRPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGR >OGLUM04G15930.2 pep chromosome:ALNU02000000:4:21185096:21189601:1 gene:OGLUM04G15930 transcript:OGLUM04G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYVYFRLTQSLIRDMWFRFRGRKRRETARAPGREPAFPAARIAGEAPKPYRAIILFLSAALPSQPAAPTLVAAGRSRPRTAGDEIREEAGRGCRWRRGAATWLRRPASSSPLVLLMAIVKGMFCLSATRDQMKKQGICSSTVNFTSSIGLRSDLDLDGSLYYCTVVDPIFILLPILKAARMSNGKDPGKFRQLDEILYVEGYPGYQHLMGIAGNHIDLICEVKEVANVKFFRLDDSKVLSWLCCKVHNLKEVFPKLGKNYAAQGEIELLKDAVQIIREYLNDEPWLTLDIKEIIEANKTSEASFCAENSPVPFRPAEEKLGSSSTRSSKGRPAKKQKAEVESKNIKDMFRRVTRKGR >OGLUM04G15940.1 pep chromosome:ALNU02000000:4:21191691:21192101:1 gene:OGLUM04G15940 transcript:OGLUM04G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKIGGKILQIPYFLIRSMVRASVTLPLHLGQAHGPGSGEDDKLGFGVGAGAVSWVWREVWAVLAQPESLSQERAVGGLSSRDEGEREVPRWMTALAAGYGEGGGVGAADARKMDRCSGIWRKAKWRGGGGVHRL >OGLUM04G15950.1 pep chromosome:ALNU02000000:4:21194523:21194993:1 gene:OGLUM04G15950 transcript:OGLUM04G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATDPDAMEYVLSVMKEAKEKVRKFEESRKDRRPGDSPVSTGKRNGKSSRPSNEDGGNGISDSTPATTTVATVAVATSTTMQATPTMVAIAPTSATVPAGMFLVPMHPHPMVFPPFTPAVPPVVAPAVPPPAPAANVGDVASNSTRKRKKRKGNK >OGLUM04G15960.1 pep chromosome:ALNU02000000:4:21197526:21204343:1 gene:OGLUM04G15960 transcript:OGLUM04G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding and GRAM domain containing protein [Source:Projected from Arabidopsis thaliana (AT5G50170) TAIR;Acc:AT5G50170] MRLYLYVIEARGLPEHGGDGGGGPYYARAKVGKQRARTREVEARGGGASAAAAEWNEELVLEVDGGEEVEVGVARRREGGGRGGREVVGRVKLPVPAAAVPAGRRRRTTVPPTWFTLQPKHHRRRKKGAGAAAEAADCGKILLTFSLHGENSDNTVIHSSPCSSSRSDTDIEFERSTYWEHSSSNSGTVDSPRSFAIERSSLENSDRSAQANSNSNSEDDDLIEPSAATAKGTSDIEPMVPDASFEEAMEIMKSKSIPDMPEDLSGGVMFDHTYLVDSKNLNSLVFGPDSQFSKELRELQGTTDYEEQPWTWNNNNPPSLTRTCQYTKGATKFMKAVKTIEEQTYLKADGKSYVIMTRVRTPEVPFGNCFEVVMLYKIIHYPESSSGEGMSHLTVSYNVEFLQSTMMKSMIEGSVRDGLKENFESYAEIMSRHVKIADSAGMDKERLLAPLQTDHQSDIRLAYKYFCNFTVISTVIMALYVLVHIFLSRPGPLMGLEFKGLDLPDTFGELIISGILVLQLERLLSMISRFVEARVQRGSDHGIKANGDGWLLTVALLEATSLPPVSSGSVDPYVVFSCNSITRTSSVQLQTHDPQWNEIMEFDAMEEPPATLDVEVFNFDGPFDLAVSLGHAEINFLKHTSAELADIWVPLEGKLAQTCQSRLHLRIFLENTKGPETSMREYLSKMEKEVGKKSPHRNATFQKLFGLPHEEFLIADYACSLKRKLPLQGRLFLSARIVGFYANLFGHKTKFFFLWEDVEEIEELTPSFTTVGTPSLLFVLKSGRGLDAKNGAKSQDKEGRLKFQFHSFASFSKASRTIIGLWKTKSSAIEQRAKLEEDQEDENYVDLNDVQSVLSIGDVPLSKEYTLELPIDADLLMGVFDGGPLEAKAMSRVGCLDYAATPWQDARPGVLERHASYKFNRYMSIFGGEVVSTQLRLPSDDGDGWTVYDVITLRNVPFGDFFRVHLRHNIRSLEAASSEAATSSGSRCEILVGIEWVKRSKFQKRIARNICEKLAHRAKEVLEAAAREIAPAVSG >OGLUM04G15970.1 pep chromosome:ALNU02000000:4:21205339:21212712:1 gene:OGLUM04G15970 transcript:OGLUM04G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPQAHPILSYVLSRLPTLAKTRPAGGDGGGGDFDIEQPPVHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAADAEAGGSRRVPEGDLEACRAVVRLEETHDAYEALLQEAEGRLEAVYRSAMEGKDLEEPDGRDESAAAAAGDDAAVQEEVIAVLRQAEEGKPVESFRLVDRQLRHLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHLEELRLASNALISLPDSVGLLLNLRILNVGSNRLRSLPDSISKCRSLIELDASYNGLAYLPTNIGYELVNLRKLWVHMNKLRSLPSSICEMRSLYLLDAHFNELCGLPSAIGKLSSLEILNLSSNFSDLKDLPASFGDLLNLRELDLSNNQIHALPDSFGRLDKLEKLNLEQNPLSMPPMEIVSKGVDAVKEYMLQRWLDILLEEERKSIAAAESPQAPTTPSAWLARSVSWVSDVSGSLVGYLSGENKTEKDAYLDQQY >OGLUM04G15980.1 pep chromosome:ALNU02000000:4:21209358:21213451:-1 gene:OGLUM04G15980 transcript:OGLUM04G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQDAAPTAREGGRDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDWLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTMGRLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSNCKSVTSSAVPQVTSIGHGAPTVHSAPASDCSEGLRSDLFWTQLGLSSEPFGPNGKIAGDLNSTCPPPPLFPRYPLKSLRADKSSYKGGSSYPPCICKSNTSKPENLSHYPVQSLQADRSFKGGRYFPPCTCKNNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMAQHTLHRWIQCDGCGVTPIAGSRYKSNIKDDYDLCSTCFSRMGNVNEYTRIDRPSFGSRRFRDLNQNQMLFPHLRQLHDCRFIKDITVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGISVDGFPIDQEIDVGVDFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPGKTSSNKQSAAINLNMPPEGSNTEWKHSVDTNIQSADIVDEYSGSTITDPLAHTLYHEATKPMEPELVSSGAPSVPRAFESVLVPATDLLTSSAGAEKASKPAAVPAPAPQAIPLPKPVSIPASGPAPAPVSATTAAPIGAAAAPISEPTAPAAAIGMPSATARAASRLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELGI >OGLUM04G15980.2 pep chromosome:ALNU02000000:4:21209358:21213655:-1 gene:OGLUM04G15980 transcript:OGLUM04G15980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRQDAAPTAREGGRDLVVKVKFGGTLKRFTAFVNGPHFDLNLAALRSKIASAFKFNPDTEFVLTYTDEDGDVVILDDDSDLCDAAISQRLNPLRINVELKSSSDGVHQTKQQVLDSISVMSTALEDQLAQVKLAIDEALKFVPEQVPTVLAKISHDLRSKAASSAPSLADLLDWLAKLMAPKSKMQSSSGSADGSSGSSSGRGQTMGRLNIKNDTELMAVSASNPLDMHNSGSTKSLGLKGVLLDDIKAQAEHVSGYPYYVDTLSGWVKVDNKGSTNAQSNCKSVTSSAVPQVTSIGHGAPTVHSAPASDCSEGLRSDLFWTQLGLSSEPFGPNGKIAGDLNSTCPPPPLFPRYPLKSLRADKSSYKGGSSYPPCICKSNTSKPENLSHYPVQSLQADRSFKGGRYFPPCTCKNNTSKPDNLSPVGLYGPYSEGSSCNRCPYRDLSDKHESMAQHTLHRWIQCDGCGVTPIAGSRYKSNIKDDYDLCSTCFSRMGNVNEYTRIDRPSFGSRRFRDLNQNQMLFPHLRQLHDCRFIKDITVPDGTVMAPSTPFTKIWRIHNNGSSMWPYGTCLTWVGGHLFARNSSVKLGISVDGFPIDQEIDVGVDFVTPAKPGGYVSYWRLASPTGQMFGQRVWVFIQVEHPGKTSSNKQSAAINLNMPPEGSNTEWKHSVDTNIQSADIVDEYSGSTITDPLAHTLYHEATKPMEPELVSSGAPSVPRAFESVLVPATDLLTSSAGAEKASKPAAVPAPAPQAIPLPKPVSIPASGPAPAPVSATTAAPIGAAAAPISEPTAPAAAIGMPSATARAASRLPTEPSSDHISAVEDNMLRELGQMGFGQVDLNKEIIRRNEYNLEQSIDELCGILEWDALHDELHELGI >OGLUM04G15990.1 pep chromosome:ALNU02000000:4:21219660:21223633:1 gene:OGLUM04G15990 transcript:OGLUM04G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMKLGNRPDTFFLSGPVRSVSTDLATDMQILVDGCLFRLHKFPLLSKCMWLQALCVESGEGGGAVELPAFPGGAEAFEACAKFCYGVAVTIGPHNVVAVRCAAARLGMSEAADRGNLAGKLDAFLSSCLLRRWKDALAVLHSTRRYAALCEELGVTSRCVDAVAALAVADPSGDASGAVPAGSSSSSPPWWVRDISELGVDLYWRVMVAVKATGTVYGKAIGDALKAYARRWLPIAAKNHHAAEQTAAGGGGGAANAERATKNHRLLVEKIVSLLPAERNAVSCGFLLKLLKAANILGASPASKEELTRRVASQLEDANVSDLLIPATPPCAGGALYDVDAVVTILEEFALRQAAASGRPEGSPGRAGRHRRSMSAESGELEGARRSTSMAAASHGAMVRVGKLVDGFLAVVATKDARTPLDKMIAVAEAVPDFARPEHDDLYRAIDTYLRAHPEMDKSSRKKLCRVLNCRKLSEKASMHAAQNELLPLRVVVQVLFFENARAAGLSSGHGNRVAARFPGDVSALLARPRTTEENGKDEQRPAGSVAADGDWSVEGRRDWSVVASRVASLKMRLEEEDGEDAGDEAFVHRTRAGLARSASSRITAAAGRSKRMLSRLWPTSRTFT >OGLUM04G16000.1 pep chromosome:ALNU02000000:4:21228715:21229206:-1 gene:OGLUM04G16000 transcript:OGLUM04G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVADVEKQQPLLSAAAADEKPSSGCDCGTIASPPLATGALALVVLAAGAAFAAQLAAREEYVLLAVLASQVVSFGVFTSLLALCALPEEEEEGKPRRIAGAPGARARVLLLWPFAMALPVSMACWAAESAPAAVGLALLTLALAAVLACYAEVVRSLWPRQ >OGLUM04G16010.1 pep chromosome:ALNU02000000:4:21230518:21232116:-1 gene:OGLUM04G16010 transcript:OGLUM04G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15630) TAIR;Acc:AT2G15630] MAPPTSAAAAVAAAARASPTSAAALALFKSALSADQALSPLAVLPHLDDAPPSLPNLLLTASAAARPHATSLRLYSRMKSLSVPISTSSLHPLLSALPSAPAFALFADMFRLRLPLCTTTFNIMLRHLCSAGKPARALELLRQMPRPNAVTYNTVIAGFCSRGRVQAALDIMREMRERGGIAPNQYTYGTVISGWCKVGQVDEAVKVFDEMLTKGEVKPEAVMYNALIGGYCDQGKLDTALLYRDRMVERGVAMTVATYNLLVHALFMDGRGTEAYELVEEMGGKGLAPDVFTYNILINGHCKEGNVKKALEIFENMSRRGVRATVVTYTSLIYALSKKGQVQETDKLFDEAVRRGIRPDLVLYNALINSHSTSGNIDRAFEIMGEMEKKRIAPDDVTYNTLMRGLCLLGRVDEARKLIDEMTKRGIQPDLVTYNTLISGYSMKGDVKDALRIRNEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENMVKEMVENGITPDDSTYISLIEGLTTEDERAIDDERLAAADAAKV >OGLUM04G16020.1 pep chromosome:ALNU02000000:4:21232700:21236361:-1 gene:OGLUM04G16020 transcript:OGLUM04G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPWIIAGGKVIATKIRNATQLLSCKLGELVPEAWRECPNCKCHIDNSDVTLQWPEFPDGVKFDPSDLEVLEHLERKINLGNPGPQGLIDHFIPTLEGVEGICYTHPENLPGIKLDGTSSHFFHRISNAYGSGQRKRRKISHTGHAASDENIRWHKTGKSKQIYHNGVLKGWKKILVLYKGSKKNKIVQANWVMHQYNLGVEEGGEDGELVVSKVFYQLSSKQTGTPEMDSVTEEASDALTIRSDPITPITNPPLPRCLMNSPCDTEQNGTISHDQEGECSTSTLRPTVEPGNRAGCSAGASTAGDFDEDLLQRCEFPGDPVPTLDDTLPFLYTDETDLFSWEDFQFGSQESFGWVDGDHT >OGLUM04G16030.1 pep chromosome:ALNU02000000:4:21240635:21240877:-1 gene:OGLUM04G16030 transcript:OGLUM04G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVALTRGVWGRRGVSGGGDISGSSCGHGRIQWQRRRRSRAGSDLAVAMSATVLGARPDPTTATPSRCSEPALRLPPLFT >OGLUM04G16040.1 pep chromosome:ALNU02000000:4:21242803:21245481:-1 gene:OGLUM04G16040 transcript:OGLUM04G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMREAQAEATATTRTAIIRRSVRLPLPPRARSEQASPPPPPPQTTAAAAGDRRRRPLPPGHGRRPALLAIGEDLLSCSDSFALVVVVGLCWREAAPAMPETGPYGHKKTDGICDGVCGEPASKAVLTMSRLRCALRGFDFRALLALLIGVPILILMIYAHGQKVTYFLRPIWESPPKPFKTIPHYYHENVTMEKLCKLHGWKVRETPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGLKKPLHFKENRHRFGFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDDIPEILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQNVICRGADLFDMLPEEYTFQEIIAKLGPIPSTFSAVHLPSYLLQNVDRYRYLLPGYCRRESG >OGLUM04G16050.1 pep chromosome:ALNU02000000:4:21257604:21259133:1 gene:OGLUM04G16050 transcript:OGLUM04G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRFDIFKLKASLVHNWNNYVHKDKEELARAKKRRDLGKPVDHWYLQEELGPSADGGDTVNQYWRGRLKENDQGMDLPDIRGEKKNEH >OGLUM04G16060.1 pep chromosome:ALNU02000000:4:21260008:21266791:1 gene:OGLUM04G16060 transcript:OGLUM04G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24710) TAIR;Acc:AT4G24710] MEVSFSAPPPPDAASAAAAAAAAPSLVPAVAAAAAATTVSCSPQPPTGSPSADDRILVSVEVLLHATSTTRAEDVCAAVERMLEARSLSYVDGPVPIPNDDPFLLANVKRIQICDTGMDLLSLAIVLSFLASLLMHLLVLGFYVDEWTENHKVLLFWQVRPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTEKGVDPCLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIGIKFCLLYLYEFADIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRVGILTHTQGGNSLCLLSYFSLMENQHCPEVADPHGSVHLSGLLHKAAEICEGLSGRTLRKLPFLAHASVANPSCCDASAFLHALIQTAQRELSESRG >OGLUM04G16070.1 pep chromosome:ALNU02000000:4:21264462:21266662:-1 gene:OGLUM04G16070 transcript:OGLUM04G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAQAGVAHVTASQPGAAQAAAVPAASNPYSSAFTGHPSAYHQGATQGGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPGAYAAPQQPISSGVTTDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQSREAGPGYYTTSTSIERKM >OGLUM04G16070.2 pep chromosome:ALNU02000000:4:21264462:21266662:-1 gene:OGLUM04G16070 transcript:OGLUM04G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRGQLDGRQASGLMRDGAFPAASLSGRQPLDRAATALEILEKKLAEQTAEAEKLIRENQRLASSHVVLRQDIVDTEKEMQMIRAHLGDVQTETDMHMRDLMERMRLMEADIQAGDAVKKELHQVHMEAKRLIAERQMLTVEMDKVTKELHKFSGDSKKLPELLTELDGLRKEHQSLRSAFEYEKNTNIKQVEQMRTMEMNLMTMTKEADKLRADVANAEKRAQVAAAQAVAAAQAVAAQAGVAHVTASQPGAAQAAAVPAASNPYSSAFTGHPSAYHQGATQGGVYQQGTTQAGAYQQGSTQAGAYAYPTYDAATAYQMHAAQANAYAGYPGYPVAGYTQAALPGYPGAYAAPQQPISSGVTTDVASMYGAISSAGYPAGVVQSSSGAANAGQAPATYPVAYDPTRAGQSREAGPGYYTTSTSIERKM >OGLUM04G16080.1 pep chromosome:ALNU02000000:4:21268758:21272062:-1 gene:OGLUM04G16080 transcript:OGLUM04G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSAPLLRRILSSPAPAPAHHGGAGARRTVTYMPRPGDGNPRAVTLIPGDGIGPLVTGAVQQVMEVMHAPVYFETYEVRGDMPTVPPAVIESIRRNKVCLKGGLATPVGGGVSSLNMQLRKELDLYASLVNCSNFPGLPTRHQDVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENILEQKKANPIALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKYRTKDLGGTSTTQEVTDAVIANLD >OGLUM04G16090.1 pep chromosome:ALNU02000000:4:21276000:21276833:-1 gene:OGLUM04G16090 transcript:OGLUM04G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAADAAKLSVSGAALAALLACCGSADGDSDGLLFGVASRAAAAPPSFYDDDDDDQARASSGPSLSISVAGHASVAQPSSLADPFGRFTRSSYSPDPSAAIGFFSSSRRRSPLRPSMREAALARSLSKTLVLAHPLVFLLVAPSSSAAGLSVHSFDYRAFLLVDSRLVPASLSVVNAGPGFREQYHAFAPESPLPSLPEQPVKQGYSIGEQKALDGMVEGFGLERVGAMVTSASALTSEMEEMYSGMLRKLEGLARQVERSNERVLDQVIVGS >OGLUM04G16100.1 pep chromosome:ALNU02000000:4:21280063:21282939:-1 gene:OGLUM04G16100 transcript:OGLUM04G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNRLMSQRRGRRRRRHRRPQIRAGSAGFIASTGKRKISPCEHDGDGHCQAGKMMRNSIPYLPEDILSRIHSFMSMREAARAACVSRAFLRSWRCHPNLIFNKDTIGLKRNAFGENFHGKIGRILRNHSGISLKTFKLDYSGMCGFDGTSYLDSWLQIALKPGIEELTLFLFDTNKQYNFPWSLLSDGVRDSLRGFRVNFSVVETLQMKKLDMGCAIHDARANLPSIMPNLETLVIESVNEVVDATMLPTKFIYLKHLTIRMITGSTISRPYDYFSLVSFINASPSLETLILNAYHPFHGQVTLRMVHESIFTDSQLRHIPEHRHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGLRCDQDKYRRCFPMIDGVLTEAPRVLAAIRTYIEDKVPSTVNLTVLEPCSRCHVRRRVQTSSQSDNAVSI >OGLUM04G16110.1 pep chromosome:ALNU02000000:4:21286395:21292854:1 gene:OGLUM04G16110 transcript:OGLUM04G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALNRLMSLRRDRRRRRRHHRPQIRARSGGLIASTGKRKTSPCQQDDYDGDSQAGKIMRNSIPDLPEDILFRIQSFMSMREATRAACVSRAFLHSWRCHPNLIFNKDMIGLKRNAFGENFHRKIGRILRNHSGISLKTFQLDYSGMCGFDGTSYLDSWLQIALKPEMEELTLFLPETNRQYSFPCSLLSDGQLSSLNVVECSGLKVIESKAPNLSSLFVKGSRVNFSLVETLQIKKLDMGRAICDARAKLPSIMPNLETLIIESGHEVTQVRMVHESIFTDSQLRHIPGHRHGHLKSVKITGFSSAKSLVELTCYILNNAVSLECLTLDTIYGPRCDQDKYRRCFPMIDGVLTEAPRGLAAIRTYIEDKVPSTVNLIVLEPCSRCHVRRRG >OGLUM04G16120.1 pep chromosome:ALNU02000000:4:21295711:21296295:-1 gene:OGLUM04G16120 transcript:OGLUM04G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLFTISGLREAAMLYASRDDPLLTKASRLPRGWDLVRDHKLRQLARLANEIVVIVDVLVPMLRENALHHDAGRLLLQYGWSLPHIARHVDGPEGPFHAVAVTVGNFLRHHADHMINGTRDRDWLVANVERVRDKVADLNTMVVFIPELGLDDGPDGGEDDPEFGGDDGSDDGEDGPESGSPQGDNEEADDA >OGLUM04G16130.1 pep chromosome:ALNU02000000:4:21296621:21297643:-1 gene:OGLUM04G16130 transcript:OGLUM04G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYHPPSLPLPLLFHPPIFLSHSLPFGQPPPHGLEHRGKSMWDKKPPATAHGGVHAPVEHEEEEGLRDEAEEAVAAQEEEGGGGGTTEVTTKEEDFETSVHMRRGKAVARRWSMVSLGMATAVGAVVWAADALCLPLLAGMFATVGMSMCSVARFFLRESAAALQGDSTYF >OGLUM04G16140.1 pep chromosome:ALNU02000000:4:21302038:21305752:1 gene:OGLUM04G16140 transcript:OGLUM04G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPWGGVGAFPSLREAAAAAGGGKQKKKNKGTTLSLSEFSGYGAQGQRRGGGGAAPVEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGFGAGGGDRRGGFDDDRRGPGRSSDLDMPSRADEADNWGTNKRFTPALGDSGRRDRFGGPSPAGRSDDIDDWSRDKKPMPSRYPSLGSGGGGGGGFRESPAFRDSPGPSDSDRWSRGGSFAPMPHNGERERPRLNLDPPKRDPLATATPPAEVARNRPSPFGAARPREEVLAEKGLDWRKMETEIEQKTSRPTSSQSSRPNSAHSSRPGSPGSQVSAVGSEGAPRSRPKVNPFGNAKPREVVLQEKGKDWRKIDLELEHRAVNRPETNEERILKEEINLLKEKLKESEANKTDGQDQASPEDPEDLSEKITQMEKQLELLTIELDDKVRFGQRPGSGAGRVSAVPPAIAEEPQIVVSIVDRPRSRGGMEPFPKPAEERWGFQGSRERGSFGGSRSSDRPMTRQRW >OGLUM04G16150.1 pep chromosome:ALNU02000000:4:21307399:21308396:-1 gene:OGLUM04G16150 transcript:OGLUM04G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrate transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT5G50200) TAIR;Acc:AT5G50200] MARFGAVIHRVFLPLLLLLVVLGACHVTPAAPAAGARLSALAKALVVEASPRAGQVLHAGEDAITVTWSLNATAAAAAAGADAGYKAVKVTLCYAPASQVGRGWRKAHDDLSKDKACQFKIAQQPYDGAGKFEYTVARDVPTASYYVRAYALDASGARVAYGETAPSASFAVAGITGVTASIEVAAGVLSAFSVAALAVFLVLENKKKNK >OGLUM04G16160.1 pep chromosome:ALNU02000000:4:21309291:21317222:1 gene:OGLUM04G16160 transcript:OGLUM04G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAGAASSGDGPPGRPPRELYTIPASSGWFQWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISRYREDTSRRLTFTEVRKALVGDVTLLRKLFAFLDSSGLINFSASPSRPEAQQQQRQTEAEAVVEAPVGLQVTPRPPPSYFAEEKGGGGNENGFRLPPLTSYSDVFGEWAPGMAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDNRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQSDDVGGGDRDVEMHGHPAVATSIGVAAARAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATLQQMKSSLVDEWQKVLKRAFETGVPISRDEQSQQFGVWRIGAILNRLGFDRTAGMESHRRAPPFFPNAERRRRQPKTPPSSPPGLSVSPNLARHLASPRPPPRDAQFTGALMAKKGKAAAAEAAAPDAGVSSPQGGGGEKEGSFLLGSPTWEDAGGGRWRCKETGHELPEREKEAYGRSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGEKKTKSRKKKDKKKATVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDEETREIASRTKRLSVEAVGPSSFASRKKKTKKEQ >OGLUM04G16160.2 pep chromosome:ALNU02000000:4:21309291:21314317:1 gene:OGLUM04G16160 transcript:OGLUM04G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVAGAASSGDGPPGRPPRELYTIPASSGWFQWDEIHETERRALPEFFGGAGGSGFGTASRNPRIYREYRDYIISRYREDTSRRLTFTEVRKALVGDVTLLRKLFAFLDSSGLINFSASPSRPEAQQQQRQTEAEAVVEAPVGLQVTPRPPPSYFAEEKGGGGNENGFRLPPLTSYSDVFGEWAPGMAPICGLCGMECRDGNAQILKDGFKVCSKCYANNDNKGEANIHPGDKKERIDNHSSSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTVNGKLDNRLHKIQTTDGKVNKSTVKESSSQPTETVDDMQIDGNEDGADKSVEEHPTKHRRLFSSIDTTVSLMEQLAHLTTSTSPDVVAAAADAAIKALGNENPQARRAFQLSEKEYQTRAFSSNHARQSDDVGGGDRDVEMHGHPAVATSIGVAAARAKMLADQEEREMELLMASIIETQLKKIQYKIKHFEELELIMDQEYATLQQMKSSLVDEWQKVLKRAFETGVPISRDEVLIKLFQNKPNL >OGLUM04G16160.3 pep chromosome:ALNU02000000:4:21314526:21317222:1 gene:OGLUM04G16160 transcript:OGLUM04G16160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESHRRAPPFFPNAERRRRQPKTPPSSPPGLSVSPNLARHLASPRPPPRDAQFTGALMAKKGKAAAAEAAAPDAGVSSPQGGGGEKEGSFLLGSPTWEDAGGGRWRCKETGHELPEREKEAYGRSRACRLALIDQAVARKKPPLNAFKPHPEHKSKLICNITGDIINKSEEHIWKHINGKRFLNKLEKLEEKMASGEMAEGEAEQSNEGEKKTKSRKKKDKKKATVVNPSLPREPKPEIDDSDNSDDPDFWVPPVGSRWDDDDGKDRWTSSPVKDKDDAAEDEDGDDDGDDMADKDDEETREIASRTKRLSVEAVGPSSFASRKKKTKKEQ >OGLUM04G16170.1 pep chromosome:ALNU02000000:4:21337785:21340436:-1 gene:OGLUM04G16170 transcript:OGLUM04G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHEVRRVIAAGGGGDKDGAMVREADLPELHYLRLVIKETLRLHPASPLVQRETTEPFRTAHGVEIPARTRVVINAMAIHTDPGVWGPNAEWFLPERHRAHDADGEQQHEHDGFALVPFGIGRRSCPGVHFAAAAAELLLANLLFCFDWRALPGREVDVEEENGLADWVKTVQEAAASVVRTGRLQGTDKRMVVPVADRHWFSRMAVSGWLGAALLLDRQRPTSTCRIRPEFVEDACETRARTIDARVRQSGGSVWN >OGLUM04G16180.1 pep chromosome:ALNU02000000:4:21342067:21345465:1 gene:OGLUM04G16180 transcript:OGLUM04G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRTSRIHVYGFIIILLLLVQATAAATSRCPAQQAAALLRLKRSFHHHHQPLLLPSWRAATDCCLWEGVSCDAAASGVVVTALDLGGHGVHSPGGLDGAALFQLTSLRRLSLAGNDFGGAGLPASGLEGLAELTHLNLSNAGFAGQIPIGVGSLRELVSLDLSSMPLSFKQPSFRAVMANLTKLRELRLDGVDMSAAAAGDWCDVLAESAPKLQLLTLQSCKLSGAIRSSFSRLRSLAVIDLSYNQGFSDASGEPFALSGEIPGFFAEFSSLAILNLSNNGFNGSFPQGVFHLERLRVLDVSSNTNLSGSLPEFPAAGEASLEVLDLSETNFSGQIPGSIGNLKRLKMLDISGSNGRFSGALPDSISELTSLSFLDLSRSGFQLGELPASIGRMRSLSTLRLSECAISGEIPSSVGNLTRLRELDLSQNNLTGPIASINRKGAFLNLEILQLCCNSLSGPVPAFLFSLPRLEFISLMSNNLAGPLQEFDNPSPSLTSVYLNYNQLNGSIPRSFFQLMGLQTLDLSRNGLSGEVQLSYIWRLTNLSNLCLSANRLTVIADDEHIYNSSSSASLLQLNSLGLACCNMTKIPAILRSVVVNDLDLSCNQLDGPIPDWIWANQNENIDVFKFNLSRNRFTNMELPLANASVYYLDLSFNYLQGPLPVPSSPQFLDYSNNLFSSIPENLMSRLSSSFFLNLANNSLQGGIPPIICNASDLKFLDLSYNHFSGRVPPCLLDGHLTILKLRQNKFEGTLPDDTKGGCVSQTIDLNGNQLEGKLPRSLTNCNDLEILDVGNNNFVDSFPSWTGELPKLRVLVLRSNKFFGAVGGIPVDNGDRNRTQFSSLQIIDLASNNFSGSLQPQWFDSLKAMMVTREGDVRKALENNLSGKFYRDTVVVTYKGAATTFIRVLIAFTMIDFSDNAFTGNIPESIGRLTSLRGLNLSHNAFTGTIPSQLSGLAQLESLDLSLNQLSGEIPEVLVSLTSVGWLNLSYNRLEGAIPQGGQFQTFGSSSFEGNAALCGKPLSIRCNGSNAGPPSLEHSESWEARTETIVLYISVGSGFGLGFAMAFLFQVFRGK >OGLUM04G16190.1 pep chromosome:ALNU02000000:4:21356945:21357218:-1 gene:OGLUM04G16190 transcript:OGLUM04G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSTDDVRLDFDQNEKEASVTHHSKKLAIAFSLMKLRLGATIQLSKNLRVCLDCHSTTKVYNREIVVRGKNRFQSLQRWFLLLQ >OGLUM04G16200.1 pep chromosome:ALNU02000000:4:21357608:21358474:-1 gene:OGLUM04G16200 transcript:OGLUM04G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPPTRSACSSSAPARLSPHGCTASLPRRRWSLGAGGRPPPVRGNARRHWPHSAPAQHTVACTSRAHLEDVRSGFRRGVQAYTTIKHGLMFDRLLAVGMSRHHLVQFDAMEDNGAVTYAHTGLCLEALTLFIEMQTTVLLLNPNEATCGCSDNADKHSKLVAACEELRGTPGLFSSMCEANVKAHGRGIHWCSPVLQFTAA >OGLUM04G16210.1 pep chromosome:ALNU02000000:4:21370064:21374998:1 gene:OGLUM04G16210 transcript:OGLUM04G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNCVRLTWPTYLATNATLANLPLRASLERLGMPESVAGVRVNNPGLLDLPLIDVFQEVVSALAKNNIMVILDNQMTTPGWCCSENDGNGFFGDKYFGPEEWLKGLSAMATMFRNTKNVVGMSLRNELRGSKNVSLWFRYMQLGAEVVHAANPGVLVILSGLNFDNTLDFLVPNQIQLTFTGKLVFEQHWYGFSDDGNWGSQNQNDACGMVVDSIKKKGLFLLQQGWPLFFSEFGFDMSGTHVADNRYLTCFLTVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINALQSPFQGPGLPNSQQPYNVIFHPLSGLCVLVKSSEALELGPCDKSNAWNYTKGYELILKQTGQCLQAKSVGENAKLGTSCSKSSSKWKLLSNSGMHVSTELTNNGTRVCLEASPDGNITTNQCKCLTVDPNCNPESQWFKIILSSKHIPGGTSILQLPSRGPWSPTSSS >OGLUM04G16220.1 pep chromosome:ALNU02000000:4:21375177:21375581:1 gene:OGLUM04G16220 transcript:OGLUM04G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSACPTPLLLLLLLLLPLAAAAASLPPLPLSTASRWVVGADGRRVKLACANWASXRPEGYTLSPKKELNSRDG >OGLUM04G16230.1 pep chromosome:ALNU02000000:4:21387236:21388091:-1 gene:OGLUM04G16230 transcript:OGLUM04G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKAVLDETQSLLGGLWVADYVPWLRVAFGDGDGDESMDVKAVLDETQALLGGLWVADYVPWLRWVDTLSGKRWRLERRFRQLDALYERVIDDHLNKRKHASDEEDDLVDVLLRLHGDPAHRSTFGSRSHIKGILTDMFIAGSDTSAVTVQWAMTELVRNPDVLAKAQHE >OGLUM04G16240.1 pep chromosome:ALNU02000000:4:21404837:21412706:1 gene:OGLUM04G16240 transcript:OGLUM04G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNCVRLTWPTYLATNATLASLPLRWSLERLGMRESVAGVRVNNPGLLDLPLIDVFQEVVSALARNNIMVILDNQMTTPGWCCSTTDGNGFFGDKYFDPEEWLNGLKTMATMFRKTKNVVGMSLRNELRGPYENVSLWYRYMKEGAEAVHTANPDVLVILSGLEFDNTLNFVVPNQIHLSFTGKLVFEQHWYGFSDGGNWESQNQNDVCGMVVGFIKNKGLFLLQQGWPLFFSEFGFDMSGAHTGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGTLAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSQEPYNVIFHPLSGLCVVVKSSEALELGPCDESNTWNYTSTHELVLQHTGQCLQVKSVGENAQLGTDCSKSSSKWQLISNSGMHVSTELTKNGTRVCLDATPDGIITTNPCKCLTGDPNCNPESQWFKIILSSRHTGTSILQLPSDGPWSPTSSREIVVFRPWRSREASPFPMPKQPRRRSTRYKSTSPLLLLGVSHCRTAGVDMRLVVVWLAAVAVLGLASHGRPAAAAAATTLSTASRWIVDEGGNRVKLACVNWPSHLEPMLAEGLGKQPVGAIAKDVVAMGFNCVRLTWATFMVTNASYSSLTVAQSFQRLNLTESLAAIRVNNPSLAVVSSLGENGVMVILDNHVSKPGWCCGNNDGNGFFGDAYFDPDVWVDGLTKMATMFAAVPSVVAMSLRNELRGPRQNSADWYKYMQRGAEAVHAANPRVVVILSGLSFDNDLAFLNSRQVNVSFAGKVAFEVHWYGFSDGQAWRAGNANQVCARVAASVSRRALYLLDQGWPVFLSEFGVDNRGGNVNDNRYYGCVAAVAADLDLDWALWTLQGSYYLREGVLGLDEVYGVLDWAWCKPRNDTALTRLHALQRPFRGPGLAEAAPYTVMFHPTTGRCVVRRSSSVVQTTLELGSCGEAEAWAYTASQQRLSPRDSPLLCLRAEGAGRPARLGLSCGDELARWSLTSDSKLHLAVNASSSSSSSETSNGGMLCLDVGDDGRSLVTNPCRCLSADNSCDPESQWFKLVTSTRSVAATNTMLAQLPPKLRSWKIRSL >OGLUM04G16250.1 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSCSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16250.2 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSCSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16250.3 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSSTPSGSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16250.4 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGRFILDLLDSEVFELKRTANFGISVGISEEYSGGLFLGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSCSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16250.5 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGRFILDLLDSEVFELKRTANFGISVGISEEYSGGLFLGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSCSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16250.6 pep chromosome:ALNU02000000:4:21413016:21416987:-1 gene:OGLUM04G16250 transcript:OGLUM04G16250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGTAPWRSPLRAPPPTPSRGYNSRPRGLVPAQCRPWPRPSLSSAAVACPRSEEMARLERGSLVVGGRELLERAPPSVALRRPAVVASPGGAAFLGATAPAPSSRHVFSLGTLASGWKWLSLFRFKIWWMIPTVGEDAAGVPAETQMLLLESRSEAGAALYALMLPVLDGGFRASLQGSPENELQFCFESGRFILDLLDSEVFELKRTANFGISVGISEEYSGGLFLGDPEVQTLEAVDAVFINSGDNPFKLMKESIKMLSKIKGTFSHIEDKEIPANLDWFGWCTWDAFYKSVNPVGIEEGLKSLCEGGAPPRFLIIDDGWQETVNGFKEVDEAFIEQTVFAERLIDLTENDKFRGETCKNLGDHVKKIKEHYGVKYVYIWHALHGYWGGVLTTPDAMKKYNPQLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPAMIYDFYNDQHSYLSSVGVDGVKVDVQNVMETLGKGFGGRVALTQKYQQALEESIARNFKGNNLICCMSHNTDSIFSSLKSAVARASEDFMPQEPTMQTLHIATVAFNSLLLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGLILRAKHAGRPTRDCLFNDPVMDGKSLLKIWNLNKFSGVIGVFNCQGAGNWTYPVKENAHVPTTVCITGDLSPSDVELLEEISGDDWNGETAVFAFNSSTPSGSLSRLQKHQTMDVSLSTMTCEIYTIALIKVFGGFVQFAPFGLVNMYNSGGALENVTSTGDCSEITIQIQCRGPGRFGAYSATRPEICSVDEHEVEFKHTDDGFLAFDLSHGSSQDNLRNIEILYRAS >OGLUM04G16260.1 pep chromosome:ALNU02000000:4:21417873:21427342:1 gene:OGLUM04G16260 transcript:OGLUM04G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01660) TAIR;Acc:AT3G01660] MLRAPPQAPRASRRPPPPRCSPAAGASPPPSAGGIRRLVLTPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALADLYRERLRPGWDVLDLMSSWVSHLPPERELPLRRVVGHGLNAQELAKNPRLDYFFVKDLNREQRLELQTSSLDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVTQYFQCVDGFTEPEVVRKLPSDAAGGKPASPLDAVMRLFGMAGSSDPFYAVISYRNFKPM >OGLUM04G16260.2 pep chromosome:ALNU02000000:4:21417873:21422429:1 gene:OGLUM04G16260 transcript:OGLUM04G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G01660) TAIR;Acc:AT3G01660] MLRAPPQAPRASRRPPPPRCSPAAGASPPPSAGGIRRLVLTPEGRAKLDARPDRDFYAFPRLVKHVDDGFLAALADLYRERLRPGWDVLDLMSSWVSHLPPERELPLRRVVGHGLNAQELAKNPRLDYFFVKDLNREQRLELQTSSLDAVLCTVSVQYLQSPEKVFAEIFRVLKPGGVCIVSFSNRMFYEKAIGAWREGTAYSRVQLVTQYFQCVDGFTEPEVVRKLPSDAAGGKPASPLDAVMRLFGMAGSSDPFYAVISYRNFKPM >OGLUM04G16270.1 pep chromosome:ALNU02000000:4:21420219:21422014:-1 gene:OGLUM04G16270 transcript:OGLUM04G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZM86] MCLAAAIASASASPARCLSPSSAALPRRFLHHLLLAATPPRPTPPPPPLRCIPFHRMAQFWTQGSLDKNKALVEYLKQYGAVRTDKVAEVMESIDRALFVAEGLTPYTDSPMPIGYNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPELVAASTENVQRSAAAQLLKDGSLSFHVADGRLGWPDDAPYDAIHVGAAAPEIPQPLVDQLKTGGRMVIPVGSYFQELQVVDKNADGSVTVQNDASVRYVPLTSRSAQLQDS >OGLUM04G16280.1 pep chromosome:ALNU02000000:4:21422415:21424658:-1 gene:OGLUM04G16280 transcript:OGLUM04G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAARGGETFDPDLIRAIFKLVWSRRGERGGGGGDAGDEVIEVEPAPETSRRNRSATEAFERDAFCHYYYEFAADR >OGLUM04G16290.1 pep chromosome:ALNU02000000:4:21441422:21442834:-1 gene:OGLUM04G16290 transcript:OGLUM04G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24530) TAIR;Acc:AT1G24530] MRLLPRLCMATGDNAAAAGEGDSSNSGSKQQAAAVSSSSSTVSTSSSAAAAAVSEASSSMSLPSLPSLSDVTGSTSASLAASFAHVTTLCPLSTAAAAASAAAVAAADYSVHGGGGLVVVVARPAAVVLHDVFTMEATSTSDMADDTSAAGSVKCVAHLHGGKAAVTGHQDGRLRLWRVSSRAPDRLRLAAALPTVSDRLRRFPVPSNHVTVRRHHRRLWIEHADAVSGVAASADGRLLFSVSWDKTLKLWAVPSLRCLQSLPAHDDAVNAVAVAHDGTVYTASADRRVRVWAPRAPAAGPDRASRRPGKKPAYHLVATLSRHAAAVNAVAVGCGGQVLYSGGNDRCVVVWEREDSASHMVAVGALRGHRRAVLSVACAAGDAADGALVVSGAADQTVRAWRRGADGRGYYCVAVIDGHGSAVRSVAAALVTAQKKRRADDDGGDEEWRVCSASFDGEVRLWSLRVAAAS >OGLUM04G16300.1 pep chromosome:ALNU02000000:4:21448821:21453012:1 gene:OGLUM04G16300 transcript:OGLUM04G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEKPSFLRLVRYADAHDRCLMALGVLGSFGDGMMQPLSMLVLGDIVNSYGGAGGAGSARSAFSSGAVDKGLCWTRTAERQASRMRRLYLEAVLSQEVAFFDAAPSSPSSPQAQAQATTFRVISTVSDDADTIQDFLGEKLPMVLANATLFFGALAVSFVFAWRLALAGLPFTLLLFVTPSVLLAGRMAAAAGEARAAYEEAGGIAQQAVSSIRTVASYTAERRTVERFRGAVARSAALGVRQGLIKGAVIGSMGVIYAVWSFLSWIGSLLVIHLHAQGGHVFVASICIVLAGMSIMMALPNLRYFIDATAAASRMQEMIEMLPPLEGAEKKGATMERIRGEIVFKDVHFSYPSRPDTLVLNGFNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEISMDGHGIDTLNVEWLRSQIGLVSQEPVLFATSIRENILFGDETASLKQVVAAAKMANAHEFIVKLPHGYETHVGQFGTQLSGGQKQRIAIARALVRDPRILLLDEATSALDAESERTVQDALDRASVGRTTVIVAHRLSTLRKADTIAVLDAGRVVEAGTHDELLGMDDGGEGGVYARMVHLQKAPPVAAREERHRAVDVVESEMVSFRSVEIMSAVSATEHRPSPAPSFCSVEHSTEIGRKLVDHGVARSRKPSKLRLLKMNRPEWKQALLGCVGAVVFGAVLPLYSYSLGSLPEVYFLADDGQIRSKTRLYSFLFLGIAVVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVCARLATQSSKVRSLVGDRMCLLVQAGATASLGFSLALAVSWRLATVMMAMQPLIIASFYFKKVLMAAMSKKAKKAQVQGSQLASEAVVNHRTITAFSSQRRLLRLYEAAQQGPKKDNVAHSWFSGFCLCLCQFSNTGSMAVALWYGGKLMAKGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAQGGDAVRSVLDTLDREPTIKDDDNDNERKKKKRKEIKGAIEFKNVHFSYPTRPEVAVLAGFSLEIGAGKTVALVGPSGSGKSTVIGLIERFYDAQRGSVLVDGEDIRSYSLARLRSQVALVSQEPTLFSGTIRDNIAYGAAEEHATEDEVARAAALANAHGFISAMERGYDTRVGERGAQLSGGQRQRIALARAVLKDARILLLDEATSALDAASERLVQDAVDRMLRGRTCVVVAHRLSTVEKSDTIAVVKDGRVAERGRHHELLAVGRAGTYYNLIKLQHGRSPCLSPM >OGLUM04G16310.1 pep chromosome:ALNU02000000:4:21453354:21454403:-1 gene:OGLUM04G16310 transcript:OGLUM04G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGDLRSLLAVVAAVAAAMSYVRFVARRLRPGLPRLAAFVPVLAVLPVIPLAFRALHLRVTSGFFLGWLAEFKLLLLASGHGPLDTSLPLPAFVAIASLPVRRRAQRDSENAPRPGLGLVTSAVMAALLATIVSVYPHKERMNEYVLLMLYSLHVYLALELVLAFAAAAARAVMGMDLEPQFDRPYLSASLREFWGRRWNLSVPALLRQCVSRPVRARVGGGVAGVAAGVLAAFLVSGIMHEAVIYYATLRPPTGEPTAFFALHGACAVAEGWFAAHKGWPRPPRAVATALTLAFILATGFWLIVPPITRTGTDRVVIAESEAMVAFVRDAGSWAAASVRSALTGHS >OGLUM04G16320.1 pep chromosome:ALNU02000000:4:21456102:21457139:-1 gene:OGLUM04G16320 transcript:OGLUM04G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDLRSLVAVCAAVTAAMWYARFAARRLRPGLPRLAAFVPVLAVLPFLPLAFRALHPRAISGFFLAWLAEFKLLLLASGQGPLDPSLPLPAFVAIATFPVRQRDPTKNAAGSGLGPVTSAVMAALLAAIVSLYWYKERMNPYALLVLYSLHVYLALELVLACAAAAVRAVMGMDLEPQFDRPYLSAHLRDFWGRRWNLSVPAVLRPCVSRPVRARVGEGAAGVAAGVLAAFFVSGVMHELMFYYITLRPPTGEATAFFTLHGALAVAEGWWAAREGWPRPPRPVATALTLALVMSTGFWLFFPPITRPGADKVVIAESEAVVAFVRDTGIWAAASVHSALSLL >OGLUM04G16330.1 pep chromosome:ALNU02000000:4:21458129:21459503:-1 gene:OGLUM04G16330 transcript:OGLUM04G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPGAANPALGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGYSQGHRHEPTYRDVCGGGTGHAEVVRVHYDPKACPYEVLLDVFWAKHNPTTLNRQGNDVGTQYRSGTYYYTAEQEKAARDSLAEKQKEWKERIVTEILPATRFYPAEEYHQRYLEKGGQSAKKSCNDPIRCYG >OGLUM04G16340.1 pep chromosome:ALNU02000000:4:21461080:21461477:-1 gene:OGLUM04G16340 transcript:OGLUM04G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGEKRNCNPQSSHTTYLQAISVVTASKSSTVYVSVQGKSAADDLSYFKSTQTHTVSYMKNKIPHIDVFLTEQDFKNNEI >OGLUM04G16350.1 pep chromosome:ALNU02000000:4:21462535:21463230:-1 gene:OGLUM04G16350 transcript:OGLUM04G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNWSPDGPGGSVPAAPLLLSLGAGGRHAWSGAPHPFSLASPSMSHLSAFRGVAIRVAEENGGAACAWPSSAGWLRTSSHCASSRWAHLLVREGGVLLACALHVSGGPRVRHHGFIGSDQHFRQENC >OGLUM04G16360.1 pep chromosome:ALNU02000000:4:21463817:21464484:-1 gene:OGLUM04G16360 transcript:OGLUM04G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDTGADGGAANPDLGPDADAAAGEGLELAQFAAGCFWSVELTYQRLPGVARTEVGFSQGHHHEPTYDDVCGQGTGHAEVVRVHYDPKACPYGVLLDVFWAKHRPTTLIRQGDEAGTQYRSGIYYYTAEQERVARESLEAKQEEWKEKIVTEILPARRFYPAEEYHQRYLEKGGQSAQKGCTDPIRRYG >OGLUM04G16370.1 pep chromosome:ALNU02000000:4:21470168:21474199:1 gene:OGLUM04G16370 transcript:OGLUM04G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWADLDALRPAVAADVQVVTSDGKSIAAHSFVLGTASPVLERMIERARRGWNAECTIRVLGVSSDAVFAFLQLLYASRVTPEDEEVVTAHGPQLLALSHAYRIGWLKRAAEASVTARLTPEHAVDMLKLARLCDAPRLYLRCARLAAKDFAAVERSEGWRFARRHDAALELEILQLLEDADQRRERWARERASREAYRQLGEAMDSLEHIFSDDGCSCADADADADADTDAPPCRGLRLLMRHYATCGARKAAPGGGCTRCKRMVQLFRLHASVCDRAAPHDDGDRPCRVPLCR >OGLUM04G16380.1 pep chromosome:ALNU02000000:4:21478103:21478453:-1 gene:OGLUM04G16380 transcript:OGLUM04G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPASGIVRLVALVFLLIFSSSLQQQAGVGAIRLHDRKQHGEQWEEERTQMRSFMTMDYSSVRRRRPIHN >OGLUM04G16390.1 pep chromosome:ALNU02000000:4:21481670:21485017:-1 gene:OGLUM04G16390 transcript:OGLUM04G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGRTGEPEATGVEAASAPERSESSRCMPFEDCVAGIKSSLKNPTVRFLMERMEKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVWSICYNDTRPFDRAP >OGLUM04G16390.2 pep chromosome:ALNU02000000:4:21481670:21484750:-1 gene:OGLUM04G16390 transcript:OGLUM04G16390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMEKAGCPMPPGMITARNCGTADKNGSYGSRIGLSANETFPNIATVYVQITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVWSICYNDTRPFDRAP >OGLUM04G16390.3 pep chromosome:ALNU02000000:4:21481670:21484750:-1 gene:OGLUM04G16390 transcript:OGLUM04G16390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERMEKAGCPMPPGMITARNCGTADKNGSYGSRIGITVCCEEIRYKDEITQLLIHELIHAYDDCVVKDMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKMRGHEQECVKRRALMSLRNNPHCSGTAAKDAVEAVWSICYNDTRPFDRAP >OGLUM04G16400.1 pep chromosome:ALNU02000000:4:21486160:21489916:-1 gene:OGLUM04G16400 transcript:OGLUM04G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGARSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHASKREGAGGKNEQYNLEDVDSVPSKMSNKLVNGNNKVPATLDDYKSLLVPVIEEYFSTGDVELAASELRSLGSDQFHSYFIKKLISMAMDRHDKEKEMASILLSALYADLLGSSKMSEGFMMLLESTEDLSVDIPDAIDVLSVFVARAVVDEILPPVFLTRARALLPEFSKGIEVLQVAEKSYLSAPHYAELVERKWGGSTQFTVEEAKRRIQDILKEYIESGDIDEAFRCIRELGLPFFHHEVVKRALTLSMENLSSQPLILKLLKESTAGCLISSNQMSKGFCRLAESIDDLSLDIPSAKILFDKLVLTATSEGWLDASFTTSSAPNEDMRNASGEKIKHFKEESGHIIQEYFLSDDVPELIISLQELSAPEYNPIFLKKLITLAMDRKNREKEMASALLSSLSLELFSTDDIMKGFILLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEIGNRLRPNSSGSQTVQMARALLAARHSGERILRCWGGGTGWAVEDAKDKIAKLLEEYNTGGDLGEACQCIRDLGMPFFNHEVVKKALVMAMEKENEARILALLQECFGEGLITINQMTLGFSRVKEGLDDLILDIPNAQEKFGAYVDLATERGWLLPSFA >OGLUM04G16410.1 pep chromosome:ALNU02000000:4:21491401:21493830:-1 gene:OGLUM04G16410 transcript:OGLUM04G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVASTATSFSYHKPRFAVECRKKDRDRDRERPEREKEHKYPFKVVEITPPPRCLGVRCFPANIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYLLNLYLDGLLDKS >OGLUM04G16420.1 pep chromosome:ALNU02000000:4:21498099:21500229:1 gene:OGLUM04G16420 transcript:OGLUM04G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRYSKRLFRRSSSKGSTDSSSSSSSSDGDVGGRSGGGGSGEIEWEVRPGGMLVQKRDGRGGVEVITVRVATGFSWHDVSIGATCTFGELKAVVSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKLWGARAARATVQSPYQLFIQV >OGLUM04G16430.1 pep chromosome:ALNU02000000:4:21506234:21511837:1 gene:OGLUM04G16430 transcript:OGLUM04G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGFLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHQGETLVNEGVITMKDIEETKSGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDCVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSLGKAANVRDEDPEAQMTSHDRTP >OGLUM04G16430.2 pep chromosome:ALNU02000000:4:21505917:21511837:1 gene:OGLUM04G16430 transcript:OGLUM04G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGFLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRLKYRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHQGETLVNEGVITMKDIEETKSGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDCVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSLGKAANVRDEDPEAQMTSHDRTP >OGLUM04G16430.3 pep chromosome:ALNU02000000:4:21505917:21511837:1 gene:OGLUM04G16430 transcript:OGLUM04G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPSGFWASLGSFLKFLPYFCGFLILGVIKDRTKLVGPVVKLLLLIAATATLILWLIVGIPGSILAGLVYGFLAPIMATFGAVGEGKEKPFDGTWSTITGSCTIVRDVKDLLFHSYFSIMDDLRLQAPPDNKPYEIRLLDIPGALLSAACGLILDGIMFTLIAIYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAFGAVVAYQESSLKMGLSYVVSSVSIFDEYTNDVLDMAPGSCFPRKREDSSHGGSLSRPTSFNKEKQEGKKPPARVTSFKNSIEDFNPFKLLEHLFVECKHQGETLVNEGVITMKDIEETKSGKVGTGVLNVGLPAYVILNALLRSAKANSVGLLLSDGSEITSDNRPKHTLYEWFFDPLLVIKEQIKAENFTEEEEKYLKMRVLLIGGPDCVKGSLPDVPSLDERKKAEIDAFARRLQGITKSISRYPTAKRRFDILVKQLLSELERTVGGGQSTNGSQSQSLRGGIARMLSQKSLGKAANVRDEDPEAQMTSHDRTP >OGLUM04G16440.1 pep chromosome:ALNU02000000:4:21511270:21517370:-1 gene:OGLUM04G16440 transcript:OGLUM04G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPPSPPHAHSDSGEAPVSLFIDTDLGTRFALLAAGDSTMRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMEDRGKSSEGCPGAEVHVDKCVLKIPALISQIANRRLPGLENSSIAGMEKKRKRSEPEATREVVSAQEMAKPSSGAVEVPGSIGQVLLQKNNQELLGDGAYNIELTSRDNSGCEGTTHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETRKMEKASTSKSILEEIESAGIPSQGRKRKKAKKVNSVDMASLDIADQCGAEHVQLMSDAQATANLVADQGIDNLVHKEYKDPNIGDMVNSSEVVAGAEKSTKGRHDEGVVETSKMENTSTSKSVAKKRKKAKNSLDIAGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNVLSEGANVIENPCGDGRRKKKKTKHHSESSKDVGPTHDVIKSLITNEISIQNTNVSPLDPKQITPATTGVGTIGHKTKCDVSLDVAAAKVIDEVLADLRCTGNISKDLDQCQLTKQKHQGSDVLGVHGNTVDKGALSAVLPPKYPAAIRSDAPISSPSHNKAKGEKMEVLPTAHDSSHFSGGVPEENANAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKATKRQRKKISLKHVPTNNGKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQQANPSAHFEDSKSAKDSQGKCVSYIGESGTHSNETAVGAPTQSCAVQEDATALRTSTPSALKGRKKSSKTGLQSQNSTLDHGSDVDLMNYRAEHITASPKKSTVAVEPNEKINFLDHFSPKGTNDQYVSTENKENDREETVREVEDESNKTEVDMQSQLTDNAKPNDLRQSHHIEKTTSTNNSPGDVGVPSSTQNVDTANGNVKKGKQKKRKKKPELLNSVSQKVDPNSDHRDIDNGVQDLSFSVAQEGRMGHDRKENNNNVIWNSSMLTRDPKDGTCDSRVKKINQSKSGSDNQGNLPIDKDHELMDKEQRKSSSQTKTHAESKNFDSFINGRADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIETSSDDASSSADSGISSAAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >OGLUM04G16440.2 pep chromosome:ALNU02000000:4:21511270:21517370:-1 gene:OGLUM04G16440 transcript:OGLUM04G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPPPPSPPHAHSDSGEAPVSLFIDTDLGTRFALLAAGDSTMRDLKSTVAAEHATAFPDIGPVAVKSFQVRRKGALYHLSDLMTIRSAFAKIKAGCFLHVKMTVVVTDSHCCRDTSMEDRGKSSEGCPGAEVHVDKCVLKIPALISQIANRRLPGLENSSIAGMEKKRKRSEPEATREVVSAQEMAKPSSGAVEVPGSIGQVLLQKNNQELLGDGAYNIELTSRDNSGCEGTTHVQLMSGAQGATDLASDQGIDDLVHKAYKEPITRYMTNSSGVVAGAEKPTQGRRDEGAVETRKMEKASTSKSILEEIESAGIPSQGRKRKKAKKVNSVDMASLDIADQCGAEHVQLMSDAQATANLVADQGIDNLVHKEYKDPNIGDMVNSSEVVAGAEKSTKGRHDEGVVETSKMENTSTSKSVAKKRKKAKNVSSVDMASLDIAGEKDQCDTKHVQFVSDAQATTNSVADKGIDDLVHKEYKDPTMGDMVNSSELVGGAGESTKVRHDESGIETSKLEKSSKSILEEIQSVGHTSQQKKRKKAKKISSVDMESLDIAGEKDQCGYGENLVKSDKLATQGKIVNDPVDQHISSNVLSEGANVIENPCGDGRRKKKKTKHHSESSKDVGPTHDVIKSLITNEISIQNTNVSPLDPKQITPATTGVGTIGHKTKCDVSLDVAAAKVIDEVLADLRCTGNISKDLDQCQLTKQKHQGSDVLGVHGNTVDKGALSAVLPPKYPAAIRSDAPISSPSHNKAKGEKMEVLPTAHDSSHFSGGVPEENANAELRESVSLRPSDNTSVSNNISTENVVVQDDDKNKATKRQRKKISLKHVPTNNGKTIQSLDEQVNQVAIEDLNGSNATKADLVQGGSVIDGPAGTVENVQKKSRSTKIRTPKVQQANPSAHFEDSKSAKDSQGKCVSYIGESGTHSNETAVGAPTQSCAVQEDATALRTSTPSALKGRKKSSKTGLQSQNSTLDHGSDVDLMNYRAEHITASPKKSTVAVEPNEKINFLDHFSPKGTNDQYVSTENKENDREETVREVEDESNKTEVDMQSQLTDNAKPNDLRQSHHIEKTTSTNNSPGDVGVPSSTQNVDTANGNVKKGKQKKRKKKPELLNSVSQKVDPNSDHRDIDNGVQDLSFSVAQEGRMGHDRKENNNNVIWNSSMLTRDPKDGTCDSRVKKINQSKSGSDNQGNLPIDKDHELMDKEQRKSSSQTKTHAESKNFDSFINGRADPNSKSIRNLVKSFSMSPPASSDSTQGTPQNSRFRLAARKVPRKRYEQTSGKSKKDKGTGTIFNDASSDGSDDELGIGSEKAAIETSSDDASSSADSGISSAAHDSGEPDDDGNASLSQKSRKGGLGSILRGSCSYKKAKQKQAVQLDDTEVPDSQPMDIF >OGLUM04G16450.1 pep chromosome:ALNU02000000:4:21519865:21522837:-1 gene:OGLUM04G16450 transcript:OGLUM04G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSAQPAWALALAGVGLMVAATASARLARWLYAAFLRPGKPLRRRYGEWAVVTGATDGIGRALAFRFAGAGMSLVLVGRSPDKLAAVSGEIRGKHPRAEVRTFVLDFAAEGLAAKVAALGDSIRGLDVGVLVNSAGMSYPYARYFHEVDEELMRNLIRLNVEALTRVTHAVLPGMVERKRGAIVNIGSGASSILPSYPLYSVYAATKAYVDQFSRCLYVEYKNKGIDVQCQVPLYAATKMASIKKASFFAPSPETYARAAVRYIGYEPRCTPYWPHAVLWFLISAFPEPIVDRLLLNMSVGIRKRGMTKDARKKTQ >OGLUM04G16460.1 pep chromosome:ALNU02000000:4:21524804:21530985:-1 gene:OGLUM04G16460 transcript:OGLUM04G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50310) TAIR;Acc:AT5G50310] MGKKQKKPGKGKEKTERKTAKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVDENVPAPSPRSNCSLTINPLKDTELVLYGGEFYNGSKTFVYGDLYRYDVEKSEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWSLDLKTNRWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDNFKWEEIKPRPGCLWPSPRSGFQLMVYQDQIYLYGGYFKEVVSSDKSASEKGTVHADMWTLDPRTWEWNKVKKTGMPPGPRAGFSMCVHKKRAVLFGGVVDMEIEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKEPSNNVEANLGNEEDEIMEDSETTGGQSEVHGVSNHLTKICLTLNKAGSGNSSDILSDSTTQEVLPEAVKPGGRINACLAVGKDTLYLYGGMMELKDREITLDDMYSLNLSKLDEWKCIIPASESEWLEISEDEDDEDDDDDDDDENDSEDDANQTDEDDEESDEDAEKNVDMSTAVSLIKGERKNLRRKEKRARIEQIRVMLGLSDSQRTPMPGESLKDFYKRTDMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASASTSSKKDTKKSKQKSGMR >OGLUM04G16470.1 pep chromosome:ALNU02000000:4:21531160:21532378:1 gene:OGLUM04G16470 transcript:OGLUM04G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSDLPDEALLVILNKLDTVLSRRWRRVPGMLPNIELDVDSFTSDHDDGFTSTLSDDARNNYAMVGAVQSLLSHESRHDIRRLDPRAIALGVDLAKTAAGRRARSSSVFLAAAWSTPPPLLGVLCS >OGLUM04G16480.1 pep chromosome:ALNU02000000:4:21532996:21534467:1 gene:OGLUM04G16480 transcript:OGLUM04G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRRILDLRFDVLSEKSYMECPDSDRVKQGRRLLHCFDRYPRVFVGLTSQHLECVTVQGPRFSDVITACEKLIDLSLCPLQLWKGDCANDATRAAQRDKPRIWIQPETPTHLAPILRNLTFMDVNRIHPNSGIIWTLFLLEAAPLLKILSIMVTDHHCVPLEEELLERMFICEKNNINWEPSNFKHNNLTKLIIYGFRPENRFMSYIRRVMKAAVNLDEISLHDDRCEICESYYPITRYPHTKKERDLVKRAINEGRTSPIKSIHFFHTSEARTIKIID >OGLUM04G16490.1 pep chromosome:ALNU02000000:4:21535573:21545039:1 gene:OGLUM04G16490 transcript:OGLUM04G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELESETSQTTTQRQRHRQIGGTGRFSCSETHYRAIGRPFPLAPKEHKYPAAVQAFRGQDTMSLSDLPDEALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSSLSDAARSNYAMVSAVQSLLSHESRHDIRHLDLSFFSRDESVGIIRAIDDAMAHGRRILKMCFDVVSEKCNLDCPDTDRVKQGRRLLYCFDAYPHVFAGLTSLHLEYVMVQGPCFSNVITTCEKLSYLSLVYCDFGEETPLTIHHEHLHVVKLEFCTCDTVELEVPDLLKLMMSVWSWSPRRYPFVFGHAPRLQRLELAHAGLIDSKMLQLSKLLDNCTSLRELWLNFEREKIWILPETPTRLAPLLNNLTFVGVHRIHPNSGITWTLFLLETAPLLKMLSIKVTDHQCKQIEGELLKRTLCEKNNIYWEPSDFKHYSLTMLIFYGFQPGKKCMGTIMCSSVPGIALLNTSLSKSWSDEELVRFLAERKAADSLPENVVVGMNFSLIDPWNSALKCAHKRSGSSSRRTGDVMRQECEVCKSYYPVTRYPRTKKERDLVKNAINEGRTSPIERIQFFHTSEAGTAAREATTRPPPLLAAAGLLASSAQQRRAGMRPMAAGMAMAVVESADPAAARRWMVLQAACPTSGAGVCGAPTLVVALWRQQAVVVRRTARSGSRFRSDASLVSYTEV >OGLUM04G16490.2 pep chromosome:ALNU02000000:4:21535573:21545039:1 gene:OGLUM04G16490 transcript:OGLUM04G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLELESETSQTTTQRQRHRQIGGTGRFSCSETHYRAIGRPFPLAPKEHKYPAAVQAFRGQDTMSLSDLPDEALLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDVDSFTPDHDDGFTSSLSDAARSNYAMVSAVQSLLSHESRHDIRHLDLSFFSRDESVGIIRAIDDAMAHGRRILKMCFDVVSEKCNLDCPDTDRVKQGRRLLYCFDAYPHVFAGLTSLHLEYVMVQGPCFSNVITTCEKLSYLSLVYCDFGEETPLTIHHEHLHVVKLEFCTCDTVELEVPDLLKLMMSVWSWSPRRYPFVFGHAPRLQRLELAHAGLIDSKMLQLSKLLDNCTSLRELWLNFEREKIWILPETPTRLAPLLNNLTFVGVHRIHPNSGITWTLFLLETAPLLKMLSIKVTDHQCKQIEGELLKRTLCEKNNIYWEPSDFKHYSLTMLIFYGFQPGKKCMGTIMCSSVPGIALLNTSLSKSWSDEELVRFLAERKAADSLPENVVVGMNFSLIDPWNSDTEQADHVLRFQGFSSLSALKCAHKRSGSSSRRTGDVMRQECEVCKSYYPVTRYPRTKKERDLVKNAINEGRTSPIERIQFFHTSEAGTAAREATTRPPPLLAAAGLLASSAQQRRAGMRPMAAGMAMAVVESADPAAARRWMVLQAACPTSGAGVCGAPTLVVALWRQQAVVVRRTARSGSRFRSDASLVSYTEV >OGLUM04G16500.1 pep chromosome:ALNU02000000:4:21547384:21549217:-1 gene:OGLUM04G16500 transcript:OGLUM04G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHISVLLVILNKLDTREAVRCSVLSRRWRRVPGMLPNIELDIDSFTPDHDDGFTSTLSDAARNNYAMVGAVQSLLSHESRHDIRRLDLSFFSREESVGIIHAIDDAMARGRRIQDLRFVVLSEKSFLERPHKDRVKHGRRLLHCFNTYPRVFVGLTRLHLECVTVHGPRFSDLIAACEQLIKLCLVYCDFGKETTLTIRHEQLSTIDLEFCACYTIELEWLPKLAELSIVVWSWTSHEYPLVVGHAPRLRLLDLSHAGMVNSKILRLSKLLDNTTSLQELWLNFETEKVWIQPETPKHLAPFMRNLTLVDVHRIHPNCGINWTLFLLEAAPLLKILSISVTDHLCVPVEEELIKRFVICKKSNINWKPSDFKHSNLSKLTIHGFQPNNIFMGYIRRVMKTAMNLEEILLHDDWCEDCESYYLVTRYPQIKIERDLVKKAINEGITSPIKSIQFFHTSEAGTINIID >OGLUM04G16510.1 pep chromosome:ALNU02000000:4:21559249:21563846:1 gene:OGLUM04G16510 transcript:OGLUM04G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGTSVAEGDKANQEDRLSALPDDILIHILDRLKTRDAVRTSVLSRRWRHLPGVLSKIILHVGSFKPKDGSMLAKDDLRIRSNISVIEATKSILAHKSQCKINFLSVGFYLREESISIAHSINDAMANREIVSTKFIILQEKHGIQRMEDHKIICGKRFMSFSYACPRAFGCLKQLILTCVRLVLQMEHPTLIKMELVVCTFESVDLKSLPKLRTLIVDTWMGLEEIYPLSFGYVPQLSTLKLTYKGTARDKNIKLSEFLGNATIGALHPDFECGRIWIQPEHPKLLAPVLRNLQIASLTCIHEECNLTWIFFLLEAAPLLETMHIKMWDHECKTSEDEELYQKGGDKLLKWESSRDFKHHNLNVLRIVGFQVDEKFMTYIRRCKLDSEKYLLPRCGREVEGNLGADGVVSWRLGWETMIGTHISMVAKPPELGANRISMPQPTETTSDRSPPANGCHCRQWELAVPPIEALHICRFH >OGLUM04G16520.1 pep chromosome:ALNU02000000:4:21566923:21570224:-1 gene:OGLUM04G16520 transcript:OGLUM04G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLGDMLSVACINVVLLAGLACAAKARVYRSSHRRRPGILVKLFDLIRSASRWIVWSRRIRGFVTGCFFRSGSNNRYSSVLRLVSNNSDEDFDREVYRLAHLPMLDDFTNFSSDDEFEYSSGMLVDSVSDAMCDDFSDEDFQYEVDATYYRVSKRTLKAGGLVGLEGGKLVPEIESEVICGKEKSIARTAHATLD >OGLUM04G16530.1 pep chromosome:ALNU02000000:4:21577145:21585326:1 gene:OGLUM04G16530 transcript:OGLUM04G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase-promoting complex/cyclosome 2 [Source:Projected from Arabidopsis thaliana (AT2G04660) TAIR;Acc:AT2G04660] MQLVDDADGALDSWARFCDLSDELFGGAGDLSAGPRLAPVVADLCARGLAELLRDQFIRSLEGIFRSNAVKKFWQQFHPYCNSSAVERIKFCVQENWPEDILSKALEDICLEKNYQEKCVLALVHSLQSYEDRSPHRKSKALDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIMMAGLDGSDPFDNHDLLERNSTSAWHSEMDIDGQEPGISESRNLVKNIGKVVRDLRYLGFTSMTEDSYSSAIIWLLKSKVHELAGDDYRIPVLGCVKKWIQAVPLKFLHALLTYLGDSLDNESGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNANGTGNAGDNLLEELNRDAENQENVDYDDHTNIDEKQAWLNAESWEPDPVEADPLKGSRNRRKIDILGLIVSIIGSKDQLVNEYRVMLAEKLLNKSDFDIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLSKTSQTGTVQEETELSHEVLDATIISSNFWPPIQTEDLTVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELQFEDRSMQFTVAPVHAAIIMQFQEKPSWTSKTLATAIGVPVDSLNRKISFWTSKGVLTESVGPDADDPTFTVVDSTSDFNKNSTVNQLSERFQITEEEGESSIASVEEQLRKEMTVYEMFCIAEPSYDKSLQQLQSFLSGLVRKAGDEGWIILAKEELKNGGPILRHSPHCVQ >OGLUM04G16540.1 pep chromosome:ALNU02000000:4:21585196:21588573:-1 gene:OGLUM04G16540 transcript:OGLUM04G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:radical SAM domain-containing protein / GCN5-related N-acetyltransferase (GNAT) family protein [Source:Projected from Arabidopsis thaliana (AT5G50320) TAIR;Acc:AT5G50320] MATAVAAAGGGGGGGEQPRRRKPAPGRGGVVLPAGLSEEEARVRAIAEIVSAMGELSRRGEDVDLNALKSAACRRYGLARAPKLVEMIAAVPEADRAALLPRLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVCYSEHGAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFENPAFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPELLVDIVARILSMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMEDLGLKCRDVRTREAGIQDIHHKIRPDEVELVRRDYAANEGWETFLSYEDTQQDILIGLLRLRKCGRNVTCPELVGRCSIIRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIARKEHRSKKIAVISGVGTRHYYRKLGYELEGPYMVKCLV >OGLUM04G16550.1 pep chromosome:ALNU02000000:4:21589176:21591594:1 gene:OGLUM04G16550 transcript:OGLUM04G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLASDMASLYESLGAGGVLETDAALLAEMRGRIEEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKKLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSVISLDRVSLKAKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFAAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >OGLUM04G16560.1 pep chromosome:ALNU02000000:4:21600945:21604140:1 gene:OGLUM04G16560 transcript:OGLUM04G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant UBX domain-containing protein 1 [Source:Projected from Arabidopsis thaliana (AT3G27310) TAIR;Acc:AT3G27310] MEAEHPHQITYTTTTTTSTSSLCPRRRKRGDDEAAHHLVFPMDLDSAAAAAAAAAHQQQQQQTTSQDKLKALAYEYSHEFRVFSSVTFESMTSNLPAADQEEDDDFYELQPADYFNLVSNRIGALSKTMKLTAEQSKVLKTRKMREAELAAQRAKIKKAVMRVRFPDGYILEADFHPSETVQSLMDFLKKVISRPDLPFYLYTVPPKKRIKDTSLDFYTIGFVPGANVYFSYDLPEGSELNTDSVKSGPYLREEIRMLDGLPIVQEPVHQPIDSTMNSSSAHQSDVSQSDFAPPANKKPAKPKWFKR >OGLUM04G16570.1 pep chromosome:ALNU02000000:4:21608957:21615719:1 gene:OGLUM04G16570 transcript:OGLUM04G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSSPRSRRSSFNSLSRDLELPSEQGCLSVIVLGASGDLAKKKTFPALFHLFAQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGASEEHLSDFLQHIKYVSGSYDSGEGFEKLNKEISEYEKSNKSESPRRLFYLALPPSVYPSVCKMIRTYCMNPSGWTRVIVEKPFGKDLDSAEELSAQLGELFDENQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKHDEVVLGQYEGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSRKAEVRVQFKDVPGDIFKCKRQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKVKSIPYQPGSRGPKEADELSERVGYMQTHGYIWIPPTLA >OGLUM04G16580.1 pep chromosome:ALNU02000000:4:21615705:21617681:-1 gene:OGLUM04G16580 transcript:OGLUM04G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMC3] MENGGGDVPENANDHCPGTQSEAAGKADACAGCPNQQICATAPKGPDPGAITHLLAIIERMNTVKHKILVLSGKGGVGKSTFSAQLSFALAEMDHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQIAGIDGAIIVTTPQQVSLIDVKKEINFCKKVGVPVLGVVENMSGLRQAFSDMKFVKPSEAGETDATEWALNYIKEKAPELLSVVACSEVFDSSKGGAEKMCQEMEVPFLGKVPMDPQLCKAAEEGRSCFTDQKCSASAPALKSIIKKLVKTK >OGLUM04G16590.1 pep chromosome:ALNU02000000:4:21620110:21620484:1 gene:OGLUM04G16590 transcript:OGLUM04G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRHQALLRRISPLKHPAGWPARGIGCYYATKPKGRKPKTAPLQVIAEIRVSYLESFGDELYICTKYFSQDIDSENNGLNTLFTTAAQQHNKNFS >OGLUM04G16600.1 pep chromosome:ALNU02000000:4:21625082:21625849:1 gene:OGLUM04G16600 transcript:OGLUM04G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPYNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >OGLUM04G16610.1 pep chromosome:ALNU02000000:4:21627747:21629905:-1 gene:OGLUM04G16610 transcript:OGLUM04G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYSSCSLTSSFLMNEDCAGMMCGCGCWSEEASPLSSGGVNSLWWDELEFELELEEEEEFDPVDLLPTDPFGMNLETTFTAAIASCIEDLTVISSAGRFGDSRDDAVFADLSYYLNQAFVLSPEFQFGGYRGVFEGPLGFGGLSAGEGDSFGFMKNPSSSGNADDSFGFVETPPTSGNAALECGDAVEVVPVQEGGVPHEGILFALDYLGLRDILSVERVCKTLHSAVRNEPLLWKSIHIEGDLRQRISDAGLLHLTQKCPDTLQCLSIACCVNITDQGLKAVLESNPRLTKLSILGCPRLTLDGLISNLKSFNTKAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPRFLHANRFLSDCNDGYALDIEMCPICQNYKLVYDCPDEGCDDRRSGNCKGCTVCILRCYECGRCVDKLAFKESFSLDWVCPNCQEKKDLSTPMK >OGLUM04G16620.1 pep chromosome:ALNU02000000:4:21640407:21642215:1 gene:OGLUM04G16620 transcript:OGLUM04G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPRAPGDRKRCRRASGPVPRWASLPEDLVDLVASRLLAGGDLLDYVRFRAVYTSWRSGTASPRGRGVADRRFHPRRWMMLPEGHGLYPGHPSLRGYARFLNLDTGTLVRARIPLLRDGYVAIDSVDGLLLLLLDPDPNQEGAVRLLHPFTGDTAELPPLGTVLPHVGSRLLDCPAPYRIRSLARVVCASVSCSATGAGAGAITVLLALSVVSRVAFATSLDRQWSLSTYECVTLSSPIASHGKIYLMHTDRSCGEKMHQILRIDHPPAAAQDGSGSGAGRALQEPKLVATIPARKLDHFQGLVECGSEILVLGYKNWSTSRISVFKLADLVLQRFMPIKSIGGHALFIGERNISVSSKILPTVKGDNLVYLNSGLVKYHLSSGSLSLAIDNCSLYCRAPGPSSLVHYIYSCCIRNRWSRGLICRKDAPEWLVQDED >OGLUM04G16630.1 pep chromosome:ALNU02000000:4:21642786:21648137:1 gene:OGLUM04G16630 transcript:OGLUM04G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSGPSPQQPTPPLLLPESSGEDGGHDSSSRAAASGGGGGPKKRAETWVQDETLCLIALRREMDSHFNTSKSNKHLWEAISARMREQGFDRSPTMCTDKWRNLLKEFKKARSHARGGGGGGVGGGGAGTGGGNCPAKMACYKEIDDLLKRRGKPTGGGGAAVGSGAVKSPTVTSKIDSYLQFDKGFEDASIPFGPVEASGRSLLSVEDRLEPDSHPLALTADAVATNGVNPWNWRDTSTNGGDNQVTFGGRVILVKWGDYTKRIGIDGTADAIKEAIKSAFGLRTRRAFWLEDEDEVVRSLDRDMPVGTYTLHLDTGMTIKLYMFENDEVRTEDKTFYTEEDFRDFLSRRGWTLLREYSGYRIADTLDDLRPGVIYEGMRSLGD >OGLUM04G16640.1 pep chromosome:ALNU02000000:4:21648081:21649311:-1 gene:OGLUM04G16640 transcript:OGLUM04G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT3G25980) TAIR;Acc:AT3G25980] MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >OGLUM04G16640.2 pep chromosome:ALNU02000000:4:21648081:21649311:-1 gene:OGLUM04G16640 transcript:OGLUM04G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA family protein [Source:Projected from Arabidopsis thaliana (AT3G25980) TAIR;Acc:AT3G25980] MASRTASKDIITLRGSAAIVSEFFGYAANRCALRAVAGARIDGRRCADADSGLISDPGCFVFLVCAWRSILYNRGVYPEESFTKVKKYGLTMLLTQDEGVKTFIANLNTQLSEWLEAGKLQRIVLVIMSKATSEVLERWNFSIQTDPEVVDKGVIKEKSDKEIMREIQAIMRQVASCITYLPCLDEPCIFDVLAYTDMDVAVPFTWVESDAKLIENPQMVKLHSFDTKIHKVDTLVSYKVDEWDEE >OGLUM04G16650.1 pep chromosome:ALNU02000000:4:21651729:21655506:1 gene:OGLUM04G16650 transcript:OGLUM04G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMD1] MAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVTVFGCRNPEEIPWGETGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDIDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKPASYDQIKAAIKEESEGKLKGILGYVEEDLVSTDFQGDNRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVVDLIRHMYNTQ >OGLUM04G16660.1 pep chromosome:ALNU02000000:4:21655923:21656492:-1 gene:OGLUM04G16660 transcript:OGLUM04G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLSDLPDDVLLLILDKLDTRDAVRCSLLSRRWSRVPGMLANIELDVDSFAPDPDDDHDDGFTSTLSESARSNHAMVRAVQSILAAHESRHAIRRLGLSFFSRDESVGIVRAVDDAMARGRRIHDLWFTVSSEKPELLCAGRDVARQGARLASYRDKYPRVFAGLTRLHVECVKLGAPRVSAVSEMI >OGLUM04G16670.1 pep chromosome:ALNU02000000:4:21658953:21666236:1 gene:OGLUM04G16670 transcript:OGLUM04G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPALRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLGAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKTSPQLLADKDILIRSVLNRSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >OGLUM04G16670.2 pep chromosome:ALNU02000000:4:21658953:21666236:1 gene:OGLUM04G16670 transcript:OGLUM04G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPALRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLGAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLSEMKDGHYVFDIPRIANDLKITMNEVFDHLHKLKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >OGLUM04G16670.3 pep chromosome:ALNU02000000:4:21658953:21666236:1 gene:OGLUM04G16670 transcript:OGLUM04G16670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27880) TAIR;Acc:AT1G27880] MYSPPHAADSDSEGSLLSDVSASPPRRRSPPRPAPPPPPPPPPKHRTRPAAPTKPKLKPTPPAASAPAPAPPPPPALRAAALSDPHGLAARIAAGSALTAASGTASSSSFRRLVQSRNPSFDPATAFTAPASSAPSEVPSAAPRPPPTAATDAPPQTRPKRVHPNSVSEVAAASAAAEQPKRARGGSEGNFVRLNINGYGRRRTFKNSQAKRSTKCRSWRRQRAAGATPRSQGDEEGDLVAEALLEREKQAASDSVLEAVESVREDPSEQNLKSLLNAAYGHDSFRQGQLEAIQQIVAGESTMLVLPTGAGKSLCYQVPAMILPGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHDTLQRLGAGEIKVLFVSPERFLNEEFLLIFRDTLPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIMNALEIPSDNLIQTSQIRENLQLSISTSDNRLKDLMLLLKSPPFVDMRSIIVYCKFQAETDFVSKYLCDNNITAKSYHSGLLIKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYIQETGRAGRDGRLSHCHLLLDSATFYKIRSLSHSDGVDGYAMSKFLYQIFSSENTTGCICSLAKELTSRKFDIKEEVLLTILTQLEIGDQQYIRLLPQFSVTCTLYFHKFSGEISFELKDPAYCYVILWRPDDFNALSANLTKWLSEVESSKISKLDAMFALANFAVKGCKRTGGCSGSQHTPCIQKKIMEYFSKDDGTSENDCRTQLQKSSPFLQADIKVFIQSNSFAKFTPRAVARIMHGISSPAFPSATWSKNHFWGRYVEVDFPLVMEAAKAELVKLVGKGEQHVKKALQ >OGLUM04G16680.1 pep chromosome:ALNU02000000:4:21667457:21674744:1 gene:OGLUM04G16680 transcript:OGLUM04G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVNYPLVAALVAFALAQSSKFFTTWFKEKRWDARQLIASGGMPSSHSATVTALAVAIGIQEGYRSATFATSVIIACVVMHDAFGVRLHAGKQAEVLNQIVYELPEEHPLSETKPLREILGHTVPQRSRLH >OGLUM04G16690.1 pep chromosome:ALNU02000000:4:21672399:21674540:-1 gene:OGLUM04G16690 transcript:OGLUM04G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:malate synthase [Source:Projected from Arabidopsis thaliana (AT5G03860) TAIR;Acc:AT5G03860] MATNAAAPPCPCYDTPEGVDILGRYDPEFAAILTRDALAFVAGLQREFRGAVRYAMERRREAQRRYDAGELPRFDPATRPVREAGSWACAPVPPAIADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMRGQVNLRDAVAGTITYRDAARGREYRLGDRPATLFVRPRGWHLPEAHVLVDGEPAIGCLVDFGLYFFHSHAAFRSGQGAGFGPFFYLPKMEHSREARIWKGVFERAEKEAGIGRGSIRATVLVETLPAVFQMEEILHELRDHSAGLNCGRWDYIFSYVKTFRARPDRLLPDRALVGMAQHFMRSYSHLLIQTCHRRGVHAMGGMAAQIPIKDDAAANEAALELVRKDKLREVRAGHDGTWAAHPGLIPAIREVFEGHLGGRPNQIDAAAGDAARAGVAVTEEDLLQPPRGARTVEGLRHNTRVGVQYVAAWLSGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAALDAGGVEVRATPELLARVVEEEMARVEAEVGAERFRRGRYAEAGRIFSRQCTAPELDDFLTLDAYNFIVVHHPGASSPCKL >OGLUM04G16700.1 pep chromosome:ALNU02000000:4:21677092:21679699:-1 gene:OGLUM04G16700 transcript:OGLUM04G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDCWSCIGERRHMCSYFMPAQGHIAEKKREVDLSISAPGHYCSTSSAFKYCEGKQEKIKEHV >OGLUM04G16710.1 pep chromosome:ALNU02000000:4:21679754:21680216:-1 gene:OGLUM04G16710 transcript:OGLUM04G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKLRLANWQIAQANSHMLAERNLGRDRVRSWLSDHFVNPNWRFGDVPIVAGNSAGHILPCSLQ >OGLUM04G16720.1 pep chromosome:ALNU02000000:4:21680229:21680721:-1 gene:OGLUM04G16720 transcript:OGLUM04G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPPPDRHPGTASGGGGGGRRCCTGEDGRERRELDIQGRGCNEEVHPWTMTDRCVQRMRARRELCSWRTRNLWGSLRRGTRS >OGLUM04G16730.1 pep chromosome:ALNU02000000:4:21685661:21690141:1 gene:OGLUM04G16730 transcript:OGLUM04G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRPYCPAPILHDRLVLLSSLRCTCRRRLAVKCHRHTPLLAAAAAASDHPAMARPAATAAAPKAPPPKHLIVLAVVAILGLVLVADFLWASSSPAAPAWSSRIDLPGRPAALVPPSGKKQTKEKISIGSTDINATFADLPAPELQWEEMAEAPVPRLDGAAMQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHKPGLEHWSIAVKDGKALENEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKEFPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >OGLUM04G16730.2 pep chromosome:ALNU02000000:4:21685661:21690141:1 gene:OGLUM04G16730 transcript:OGLUM04G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKRPYCPAPILHDRLVLLSSLRCTCRRRLAVKCHRHTPLLAAAAAASDHPAMARPAATAAAPKAPPPKHLIVLAVVAILGLVLVADFLWASSSPAAPAWSSRIDLPGRPAALVPPSGKKICQHQNYNGRRWLKHLCHVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVTDGRYVYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHKPGLEHWSIAVKDGKALENEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMKWKEFPPMPKPDSHIEFAWVNVNNSLIIAGGTTEKHPITKKMVLVGEVFRFNLNTLEWTVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMWRTKLHL >OGLUM04G16740.1 pep chromosome:ALNU02000000:4:21694294:21696162:1 gene:OGLUM04G16740 transcript:OGLUM04G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPLLLLLAVLLAAAPAAAQSATPREDDVRCLKEVKAELRDPDGRLSAWSFGNTSAGALCLLSGVSCWNPQESRIIGLSLSGFGLQGGIPSALQFCSAATTLDLSNNALVGVIPPALCDWIPFVVNLDLSGNQLSGQLPSELANCRFLNSLKLSGNSFSGQIPDSLGRLDRLKSLDLSDNRLDGQIPPQLATFGKDSFAGNKGLCGRPVSSRCGRALSGAGLGIVIAAGVFGAAASLLLAFFFWRCTGKSKGGRRRRRGGSESGGGSAEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRVGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKEPGEAPLDWATRLRIAVGAARGLAWLHHGFQVPQIHQNLSSSAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEYGYVAPECASNPVATMKGDVYAFGVILLELVSGQEAATVTGDAAGEGFKGTLVDWVNQLKASGRIGDAVHKSLRGNGHDSEIDEFVKIAFACIMVHPRERFSMYRVYHSLKSIGQGRDVSEQFDEFPLAYNKDESDTM >OGLUM04G16750.1 pep chromosome:ALNU02000000:4:21697810:21701452:-1 gene:OGLUM04G16750 transcript:OGLUM04G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEETSRLFRIRRTVMQMLRDRGYLVTELDIDLPRGDFVARFGDPVDRDHLVFSRHKKDNGADQIYVFFPKDAKPGVKTIRSYVERMKQESVFNGILVVQQALSAFARSAVQEVSQKFHLEVFQEAELLVNIKDHTLVPEHELLTPEQKKTLLERYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVLTTSCQPEAKPPKAYRAEGCSTSLVRTSAGGKNS >OGLUM04G16760.1 pep chromosome:ALNU02000000:4:21702934:21703296:-1 gene:OGLUM04G16760 transcript:OGLUM04G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAWIVARHLLGAGWRMLRVRAAAGWRNLRVRTAAGWWRLRMWKLGGTRTLGQALFIRCVMCREWMEAGAVVRALSCGHVFHRACIDAWLREHGMACRLCRRTASCVLPWKTGGRRRQR >OGLUM04G16770.1 pep chromosome:ALNU02000000:4:21712238:21712870:-1 gene:OGLUM04G16770 transcript:OGLUM04G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLRAGWRMWTVAGWRMWTAAGWPYLAAGWETLGMWYRRRIWTLGGVTTLDQALRPACAECKEKMMAGAVVRKLSCDHVFHKACIDERLRDREHGMRCRLCNRVAGWVLPWNASPANLTDHNAQRFQHIRARGGVRTLNRALNDECPICQHMMVAGDDVRTLSCGHDFHEDSDIAKWLRDNKNACPVCRQINHPVQR >OGLUM04G16780.1 pep chromosome:ALNU02000000:4:21718889:21719746:-1 gene:OGLUM04G16780 transcript:OGLUM04G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTGWHLPEPPSSTVRSVIDGGGAHHPRLISSQVGQPARPTSPSPLPPRHRHRHIPKAEAVQGERQSPRHGSMELSASALGFGLCLDPHPVGGVRRRLRRGGGRHTSRSDCRRRLGYVPRPAHFPVSGVGGGLRGFLHRAPPGSARNDKGCARRHKTASSSATSAEPMDEGEADLLQFLFVTSGVSWGGIVGVVVAVNASVPAARVWMLPGVTTLDRELGGDDCSMCQYDKDAGAVVRTLSCDHVFHKACIDVWLREHGMACRLCRRTASCVLPWKTGGRRRHG >OGLUM04G16790.1 pep chromosome:ALNU02000000:4:21721524:21722674:1 gene:OGLUM04G16790 transcript:OGLUM04G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESTKIKYCRADSGSGSGSKPLSAETKTRLMADGKKIDEEKKDGTAVRRVLFWFAVLVIRFALLMAMHYYHVRMMAKLEGTNNDGFGLFLTCSHYDVTSSRCDGARAGGARTGRSALSRVRGRRRGGGTPDTRPYCDSGTACLDFRKGGCNHGDACEFAHGVFDNSSADLA >OGLUM04G16800.1 pep chromosome:ALNU02000000:4:21725973:21726566:-1 gene:OGLUM04G16800 transcript:OGLUM04G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGFIAIFVAFGLINLGLHLYERAPGWLVWMLGGVTTLDRALGDCSMCRYGMVAGDVVRTLSCGHVFHKDCDYSVDKWLREHGLSCPECRKKARSVRVLPWRARPQQPLPEEQNPPPQETSASSSSSSSTHVRIAPEEPGDLDLEAQDQLLPPPATGSPKGPEEQHPPRPAAATSSSSADTSSLEEPLLRPSASP >OGLUM04G16810.1 pep chromosome:ALNU02000000:4:21727281:21728122:-1 gene:OGLUM04G16810 transcript:OGLUM04G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERDVARRRLASSRPICVPWRELLHGCLPWPWLRKETGCAACIICREGMKRREEAVTMSCCGKTCHQRCFEAWRAETTTRFQVCPCPGCYDLDPLSPPPPPPILAEIAC >OGLUM04G16820.1 pep chromosome:ALNU02000000:4:21728588:21729172:1 gene:OGLUM04G16820 transcript:OGLUM04G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVGAMASLVFLHLLCAGWRMLRMWTAAGWPWPYLAAGRRIWTLGGVRTLDQALRPQCKLCKQKMMAGAVVRALSCDHVFHKACVDERLRNRKHGMRCRICNRVARCVLPWKASPANLIDHNAQRFQHIRARGGVRTLDRALNDACPICQHRMVARDDVRTLSGGHDFHEDCDIAKWLRDNKKA >OGLUM04G16830.1 pep chromosome:ALNU02000000:4:21733966:21739668:1 gene:OGLUM04G16830 transcript:OGLUM04G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >OGLUM04G16830.2 pep chromosome:ALNU02000000:4:21733966:21740504:1 gene:OGLUM04G16830 transcript:OGLUM04G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >OGLUM04G16830.3 pep chromosome:ALNU02000000:4:21733966:21740504:1 gene:OGLUM04G16830 transcript:OGLUM04G16830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDYEARERELDAEASRRSKEQQHHHHPSGRHQRGDSDPRCEADRRRDGGRSRGGRELSNGYGHRRSPPPRSRLSARLGDREPGEVLSGSASDDSGGRPHRARENGVSSSSRDGESVVAASASSPSKKRKFSPIIWDRDSPKPMHSDVAKGKKAVDSVPTELPPPPPPPLPPQDHIPERLAVEKSPMDVEPAVASESPEQLQEHAESRVMEEEEEYSTMRNISTSRWAGANDDEEEGAPHRKKKSASPADSAELGQRKKALSPELGEVVASDISGGRTMSRSSDSGRLGADENEDLEVDKDDYMDVDRDDDGNSDIANHQSGTDSEYEVRRSETPEPVKPPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMEAMKQPYSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNNLLTYDPEKRLSADAALQHEWFREVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >OGLUM04G16840.1 pep chromosome:ALNU02000000:4:21741887:21748751:1 gene:OGLUM04G16840 transcript:OGLUM04G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKVLLSKKGVLGTVWVAAVSGVAALSRDQVVRTNVVACVDKILPDDNDKTTYRVLGLLLLGIVRIYSKKVEYLCHECNELLGSYGSAHCNELSIPTGGATNRVSKQAKKPVRARRLVVRQEGAYKVKIPMQAARTTRAETRATSQIAEVRDTHATPDLPTFTIPKRFELDSFDLGIPEDRDDDDVDHHQLPHQGTMLEDENHHTSCLFESYKMMTCSYADLDSACIMPVRVTIPTEMMSVISEVNSLLCLSSIGGEPENHNAESACFTPVKDILPPEMVDTMAEVNDPSDKSTRGKKPQRELNRDENGNSACHIPLSGSKEVQIPENIVENVTFPSRDANCPTIEESENGSLHGTNTNPSCDGFEEPGSLEQPTLRCKTKLINELSPSTPEPMTEGGTGLPCSPKFMVTTPAKKEKHRVTRKRRRGLYNKDYIPTDRGDKRKVRRRGTWVLYDENIVLPNETLRNTIEDASDLVQQRRKAPHTCLYTWKEGKIHPTSVYVRHTITADTPENSCRESVKSRRRLSLELSESNNICDDAKNVEGESIPDEPRKRKLDELTDSVQATVGCYTESAQYHNDEDYRFNDDTVKEKDFSIGGHYSHSTELQERLNALKSKNPQLDEALDADIDSMEEDTHMDEQHARDEGLLRSTRTRTVARYFHQLLVDQKCQQGNNSVCLGQALEGTKRKTSARFFYETLILKSGSLIEVNQEQTYGDIIVSATPRLEAALRSSEKQ >OGLUM04G16850.1 pep chromosome:ALNU02000000:4:21751320:21759089:1 gene:OGLUM04G16850 transcript:OGLUM04G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSLRLRALLASPAPLPARALLAAHALLLTSGLAADSALLAHFARHLASAAARSSSSSAAASAFRALLLLRPRCAHPFNALISSLTHAGDPSAAFRAFALLLVASGAGAGARPDGYTLPAALKACARLGGGLREGCQAHAVAEKAGFLGRVPVQNALVTFYGACGQCGDARKVFDEMAERDVVSWTALLSAFTRGGMFMEALGVLAEMDVTPNEVTLASALVACGKLGTARAGKAVHGWYFRREKELNLIVGNALLDMYVKCEKLDLARRVFDMLLARDIVSWTVMISGLVQCKRPSEALEVFNAMQISGVKPDKARGFMNEKGMQKEPGSSVIEVNGKTCEFLVGQQNHLYMDDRAMNDPDFYLMYRLPPHPFSLWQLKNTAATGGPRLYSAEKSLVLKFGLHPGGGWRVAGKAKSSVTWTK >OGLUM04G16850.2 pep chromosome:ALNU02000000:4:21758172:21760525:1 gene:OGLUM04G16850 transcript:OGLUM04G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVSDICVDDTCFIECMPFFFSTVAVDLTEVRDMLRSRTQTVQTGQQNVVKHLTVR >OGLUM04G16850.3 pep chromosome:ALNU02000000:4:21758172:21758469:1 gene:OGLUM04G16850 transcript:OGLUM04G16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLLLSTTVAISPVDEGKCSTKPQRLPAAIGLLWVRKQHQGFWVFQIRKQRKRLALRIRKRPLVSDICVDDTCFIECMPFFFSTVAVDLST >OGLUM04G16860.1 pep chromosome:ALNU02000000:4:21753817:21755662:-1 gene:OGLUM04G16860 transcript:OGLUM04G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDILDPFNKSASLKVLYNNKELTNGSELKPSQVANEPRIEIAGRDIRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESANASYGNEVVSYESPKPTAGIHRFVFILFRQSVQQTIYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >OGLUM04G16870.1 pep chromosome:ALNU02000000:4:21758879:21764646:-1 gene:OGLUM04G16870 transcript:OGLUM04G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G06710) TAIR;Acc:AT1G06710] MIRRRAAAVATLRASLRRTCSHAAGDSEDPLLGLVEPPAPPQPPPRSRLGPRDFEFLREPAAAAAAGALPPPEAVLISKAIRAFGADFDGKAERVLRRCRGFLTDSVVVAVLGAVRDAPELCARFFLWAERQVGYSHTGACYDALADALGFDGRARDAERLLREIGEEDREVLGRLLNVLVRRCCRGGMWNEALEELGRLKDFGYRPSKVTYNALVQVLSSAGQVDLGFRVQKEMSESGFCMDRFTVGCFAHALCKEGRWADALDMIEREDFKLDTVLCTHMISGLMEASYFDEAMSFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRIINMMMTEGCNPNPSLFNSLVHSYCNEKDYAYAYKLLNRMTTCGSPPGYVVYNIFIGSICGQEKLPSPDLLDLAEKIYGEMLAANCVLNKVNVANFARCLCGVGKFDKAFQLIKEMMRKGFVPDTSTYSKVITFLCHATKVEKAFLLFQEMKMVGVTPDVYTYTILIDSFCKAGLIEQAQCWFEEMRSVGCSPTVVTYTALIHAYLKAKQVPQANDIFHRMVDAGCRPNDVTYGALVDGLCKAGNISKAFEVYAKLIGTSDSADSDFYFPCEDRHTLAPNVVTYGALVDGLCKAHKVDHAHELLDAMLSSGCEPNHIVYDALIDGFCKAGKIDSAQEVFLQMTKCGYLPSVHTYTSLIDRMFKDGRLDLAMKVLSQMLKDSCTPNVVTYTAMIDGLCRIGESEKALKLLSLMEEKGCSPNVVTYTALIDGLGKAGKIDLSLDLFTQMSRKGCSPNYVTYRVLINHLCAAGLLDKARLLLGEMKQTYWPKYLQGYRCAIQGFSKSFIASLGILEEMESYGTVPIAPVYGMLIDCFSKAGRLEIAMELHKEMMEVPSSVKTDNDMYASLIQALCLASQVEEAFRLYSEMTRRGFVPELSVFVCLIKGLVEVKKWDEALQLCYGICHEFFRGIDSASYKTPVLFDDEA >OGLUM04G16880.1 pep chromosome:ALNU02000000:4:21765347:21767391:1 gene:OGLUM04G16880 transcript:OGLUM04G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF565) [Source:Projected from Arabidopsis thaliana (AT5G43050) TAIR;Acc:AT5G43050] MVVLCGAVLGKLLVPRNCLCPFTIPVNDDGVFSDWHFSMACASKTISIGFANSGLYGEARLLSPSYKNYPRRSSYKFIKVRAVQGNDGRRRLVDIIRTIPELSRNYFRSRSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTKFYYSRPKVTFPFALLNNFKMGFTYGLFIDAFKLAS >OGLUM04G16890.1 pep chromosome:ALNU02000000:4:21778983:21780493:-1 gene:OGLUM04G16890 transcript:OGLUM04G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAMAPSPPEPALPRELSLGDLRAVSMLGRGAKGVVFHVVPAATGEEEASMALKAVSREAARHKKNGSGGGEDGHRRIWFERDVLMSLRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALDYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPTPPPPPEEQDATIADSMPEPPPSSPSPNRAKGKRQPGAALCFPFCSVGATKPAASADSPSPTSTSRTASASSSSSSSTATTASSSTAAGVRSPAKSNSFVGTEDYVAPEIIAGSGHDFSVDWWGLGVVLYEMLYGRTPFRGLNRKETFYRVLSKQPELVGEKTPLRDLIARLLEKDPEKRIGARGIKAHPFFNGVDWDRILRVARPPFIPPPPEDEDEAGEVLDVEKVVNEVFAANDGGAAAGVVEKPSPEAGGTLAVGDGEQRRDPSKEGDFSVFF >OGLUM04G16900.1 pep chromosome:ALNU02000000:4:21794253:21801151:-1 gene:OGLUM04G16900 transcript:OGLUM04G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAMQLVGAIYLMLVIVRDISNERRATSCFFGQDEADHVSKRALIALFLILSWVVVVVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKTVLEEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQKNENLPHLQTDLTEAPLNLMQEAAILHPFAEACYTGPLLDVGRNPILFPCAWVYRQGVLTPWSRRRRPALDGDNWWRGHAAAFLRFVHIPAAALLRGRVCQSKREAAYFVVVLHDKKTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLVKCEQLPATTRERVISTFPHYGHGGILESARELFMQLNDCTGDNSSSLTVGFLPALVGEGSECHGYKIRVVGHSLGGSVATVLGMMLFGRYPNVHVYAYGPLPCVDFVIAEACSQFVTTIVCNDEFSSRLSINSILRLRSAAIRALSDNSPADTAMIQKLARRILNVNKYHDNGPDGRAVSTERRFPHQDAPCTSEPDLPILQNGINGYNGSNSSIDVMSSQGLHTDCDAQTISLHGLDSGSEQRHTSYRDIPVEPPEMFLPGLVVHIVRQRRSLFPLWKCWSLQGSEPPYKAFFAKRENFTDLAVTPSMFTDHLPWRCHYAMQRVLEAQTAASCPDSPVERLV >OGLUM04G16910.1 pep chromosome:ALNU02000000:4:21806282:21806678:-1 gene:OGLUM04G16910 transcript:OGLUM04G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPFRIRGVRRMSAALAAVNVAVAAAGAAAEWVGVTERCGRREEAAVGAAVALAAVRIVAMVGTARAQEVTALAVVSAGGGGGGGEGPTVEFAKRETRLRV >OGLUM04G16920.1 pep chromosome:ALNU02000000:4:21817871:21825648:1 gene:OGLUM04G16920 transcript:OGLUM04G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAPSRAPQRQQRGGEMSARYGGGLQFFADAPPAGVEGGAATARTFFPVPGGGGEQQPPERAMRQQHYGGGGSGAAEISLGHGHGHGHGGQHHFHQFGVEAKDGGGGGGGDQSGFLTRHNSSPPGFFSSPVMDNGFSSSARPAGSSLGEVRHGAMSSSSNNNKKMKAPLSFASSRQGSGGLSQISEDGIPDLTDSIHGAAHHHGRSEENVSTHDHVVRSFSSGGFSIGSWEDSNSIVFSTSTGKSGAHGNDDIIATLSNYESQLVAPREMAGVEKYLQMQHDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTSDMLDLAVDHIKGLQSQLQTLKEDKEKCTCSCKQASRNRPAD >OGLUM04G16930.1 pep chromosome:ALNU02000000:4:21832166:21839962:1 gene:OGLUM04G16930 transcript:OGLUM04G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMG6] MEKVEVDGNFIGTGNWKLHGALCKQLHKVVLEVLDVIPVLEATRPGNSSGLLALSSLRIAVEKAKNLLQYCSECSKLYLAFTAENVLAKFEKARYALLESLHQLEETLPEAASSQILDIAKDLEKAVFTLDLIEKQAGVDVNQLVQNEAKSNGFLHDNELEFFRQTAFRVGVASSATALTERRALRRLLERAHAEEDIKKESVASYLLHLMRKYSSIFRSETTDFTNTSMCSSPSCSSRSLSSSIDLHGNGHVIEKSISRVGSFNLRQIKGLSGSMPLPPEELRCPISLQLMHDPVIIASGQTYERACIEKWFSSGNTTCPKTRNELSQLSMTPNYCIKGLIASWCEQNGVLVPSAPPDSPKLKYLRISSLNSSKCLVTNGVSTVLFEDTCAEDDIKDGGKVASEECTRQNSGEAPSEICEVDQASPEKHPHENSEKVAEATCELWLRVLSKDDDECVDEQREVIEQIRFLLKDDNELRKYAGANGITELLIHFVKKAVCRDDVQCQVVGTMALFNLAVSNDRNKKQLLSGGVLPLMEQMIQKPETYEAAVAMYLNISCLAEAQAIIGQSEAAPLLIKGLQGDGFRMSKTCCLDALLTLYNLSLQSSNIPTLISSGIMQSLHDVLTPSSPTTEKALAVLINLALTRAGKKEIMADSDMVGAIVVILENGDPAEKEKAVSCLWIICSGDDGGSQMVLQEGVIPALVSLTANGTGKTKDKAQRLLLLFRGKRQREVEQLQPRVQLHEVVSQATAQHEEQQQQQQEESSEPGSDKMSRLRNSKSKLRRFTRALARLLKKWGIR >OGLUM04G16940.1 pep chromosome:ALNU02000000:4:21840829:21849305:1 gene:OGLUM04G16940 transcript:OGLUM04G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family [Source:Projected from Arabidopsis thaliana (AT5G14220) TAIR;Acc:AT5G14220] MLSPATTFSSSSSSSPSRAHAHAPTRFAVAASARAARFRPARAMAASDDPRGGRSVAVVGAGVSGLAAAYRLRKRGVQVTVFEAADRAGGKIRTNSEGGFIWDEGANTMTESELEASRLIDDLGLQGKQQYPNSQHKRYIVKDGAPTLIPSDPIALMKSTVLSTKSKLKLFLEPFLYEKSSRRTSGKVVDYLIDPFVAGTSGGDPESLSIRHAFPALWNLENKYGSVIAGAILSKLSTKGDSVKTGGASPGKGRNKRVSFSFHGGMQSLIDALHNEVGDGNVKLGTEVLSLACCCDGVSSSGGWSISVDSKDAKGKDLRKNQSFDAVIMTAPLSNVQRMKFTKGGVPFVLDFLPKVDYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPNDQYLYTSFIGGSHNRDLAGAPTAILKQLVTSDLRKLLGNNKDGLAVGNVIASGSKAADLVISYLESCTDQDN >OGLUM04G16950.1 pep chromosome:ALNU02000000:4:21855893:21863455:1 gene:OGLUM04G16950 transcript:OGLUM04G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ankyrin repeat-containing domain (InterPro:IPR020683), Ankyrin repeat (InterPro:IPR002110); BEST Arabidopsis thaliana protein match is: XB3 ortholog 2 in Arabidopsis thaliana (TAIR:AT5G57740.1); Has 66374 Blast hits to 25 /.../oteins in 1201 species: Archae - 121; Bacteria - 8133; Metazoa - 29530; Fungi - 5885; Plants - 3349; Viruses - 785; Other Eukaryotes - 18571 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14230) TAIR;Acc:AT5G14230] MAVLLRPAAAIAGGRQVWPVAEDHHRQLRDEAEAEAASQRLVEAVARGDAREAGELLASGRADVNYAGVVWLKARRVAEAALRDGAAAELRAAHEEIRADVSPLFLAAGNGDAALVRALLQPEVHSLAQSNVWRKCASLLQAKGADVNGKVFRGYPATAAAREGRAEVAALLVRAGASQPACEEAVVEAALQGQAALAVIFMGSDLVRPRVAVHALVSAAARGFVDVVDSLIKCGADPNATSRVLLRSLKPSLHANVDCTALFAAIVSRQIAVVRQLLQAGVKRDTKVRLGAWSWDTATGEELRVGAGLADPYDAVWCAVEYYESTGAILRMLLQNGYSSGATHLGRNLLHHAVLCGSAGAVQTLLASGVDHEVAVKTSRSSRSRPVHMAARLGQPEILEMLIGKGCDVNARAEGGDVAAILAARHKREDCLRILVSAGADVALLNSAGESAASVACSGGWKAGFERAVLGVIRSGTIPRSSDRNVFSPMMFTARCGDAAAMEVLLAQPDVDVDEQDVDGCSPIMAAAKEGNVDAFRALVFAGANVKLSNKRGETAIGLAQQSKKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDTAAVRHLASAGCDVNIPDGDGYTPLMLAAREGHAAVCELLISYGARCDTRTPRGETALSLARATAAFNKAEDVIMDELGRQLVLGGAHVKKHTKCGRGKQHGKSLRMVAAAGVLRWGGSGRRNVVCREAELGGSSAFQLHRQRRGCDAYEPGLFRVATATGREVHFVCQGGEEEAELWVRGIRAVTRAVYGKRGKE >OGLUM04G16960.1 pep chromosome:ALNU02000000:4:21863624:21869599:1 gene:OGLUM04G16960 transcript:OGLUM04G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWFRGREFPLRASRRNSFVGANSPAPARDPTDGRRWTEVEAEDAAAARMERAARAAPRRRKSAMRLGTRFPTTRAYRRVQGFFAGERRRRRRTRNSVADLTLERWACRAFGVQITGAAWKVTYQYRIVLR >OGLUM04G16970.1 pep chromosome:ALNU02000000:4:21864138:21866595:-1 gene:OGLUM04G16970 transcript:OGLUM04G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor [Source:Projected from Arabidopsis thaliana (AT3G01800) TAIR;Acc:AT3G01800] MALFLRRGAALAARSIRAAAASSASTSVHRLPSVGSLAGAGELAPTKLFLLEARRGFAKGKKSKDDGRGDTVQDAPDIGPTVKSAATQQMEAAVVALSRELSMLDHIMVETTGVKVALNRLAVVSVLDAHTLSVMPYDPSSMKSIEHAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASGMPKDDVKRLEKEVEELTKKFIKSADDMCKAKEKEISGN >OGLUM04G16980.1 pep chromosome:ALNU02000000:4:21870556:21874311:1 gene:OGLUM04G16980 transcript:OGLUM04G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMGLWESVRSLFGEGGNGCLPRIGKKESEDLYSYPVDHEKRKGADRAAAEEVVTVEVPEVPVRELNEITNSFSNENLIGQGSYAKVYRVLMRGARPAVVKKLEKPSKHASNVVFLKQLSVASRLKHENFVRLLGYTISGDLRVLVYEYAAMGTLHDVLHGPRDGQGWGGEAKAVVSWEQRVRIALDAARGLEYLHEKVQPAVTHKDVRSTNVLLFEGFRAKIADYNMFSQAADMARLNRSTHTLGSFGYQAPEYVHARTHTSPADLCLAMADRSRHGFLVVGLGRRTILLKFSLVWGCSRYAMTGQMNDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPILTEDRVQDCIDPNLGDKYPPTGALKLGRIAVQCLQYDPTFRPSMGTVARVINYAVVRDQQGVV >OGLUM04G16990.1 pep chromosome:ALNU02000000:4:21874185:21879261:-1 gene:OGLUM04G16990 transcript:OGLUM04G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNGVMECSVCHSKVVAPSPRSVSRAYDKHRSKISSKYRALNFLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVTKVIFAIVMLIIQSRKQKVGEKPLLSLSTFVQAARNNALLAVPALLYAINNYLKFIMQLYFSPATVKMLSNLKVLVIAILLKFIMRRKFSIIQWEALALLLIGISVNQLSSIPDGTKSFGLAVTTIAYIYTLIFVTVPSLASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGIVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTVNFLLGISIVFISMHQFFSPLAKVKDDKPAGALEPEDAQNHRSSDSSFVNMTAGAADDVGIEEILFAMNHTEFM >OGLUM04G17000.1 pep chromosome:ALNU02000000:4:21879318:21880081:-1 gene:OGLUM04G17000 transcript:OGLUM04G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKERNVNKIHAKRTPRLRRPPAPLPLPPSSRRNLTKSGKRAKEEGEENPAATARSPRAAPGGGVRSGNLDRADPDRGHQIRPARVPAPSAQAALDPAARPPHPPPWHAKGSEATAIE >OGLUM04G17010.1 pep chromosome:ALNU02000000:4:21884811:21886793:1 gene:OGLUM04G17010 transcript:OGLUM04G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVLTYLQSLWPLSALLREDDLRASARLLRGVPVPEETKQFVLALRDREPGSGSRGGVIYILAAQNLSERSASDADSLIRRVRPAAVVTQLAHTAADDVRAEEECLEGGGAGGVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHVLAAKRAAEETGSCFLLLESPYERNCNGGASGGQSTMEEGSGQQLASRCSLTQSSTDDGTGGQSQGSCLLTQSTSSIVSSHVRKICLVDDIGGQLVKSLAPTVNLLMSQALSSDGVSECKLAECKPSDRYEAPPFAQTVYPLLADLYDIFVDIPSIGKAMASAQELLRQVHDGKPISTEMLSDVYVFRIAIEALRIGLNNAGRSHIDTRDNHGSKKLDFSELNSEEKCHILLVQALRSQVREFGSVVAVVDASCLAGIRRHWDTPVPSEIAQLASSCFKQYGNKNDSEDNELPSSVDSTDKKSWVAEKPVVVVGAGGTAILGFSSLSKTVQASAFLKLAPYKTPVVLKYGLIQLQRHASIVLSKVLSNGVFSASSNASVLQFTASSEKIRAVTHTVISSAKRTSLMAMRTSFYEIMQKRQKQPFRITPWATFGCSMAACAGLLMHGDGIECAAEVAPSVPMIATLGRGLEILRLTSQEVRQTRGQHIKEALGALMSNLKKTAK >OGLUM04G17020.1 pep chromosome:ALNU02000000:4:21887561:21889802:-1 gene:OGLUM04G17020 transcript:OGLUM04G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRASPTFLPSTSAASPSPQQAPSSPTFGRSQYRRGGGLVSVSSPVAGQRGAARRRSVMAAAGAVPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTLVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSVQMLQSPDNSIQPDFYTNQISDFLTLKAATV >OGLUM04G17030.1 pep chromosome:ALNU02000000:4:21893883:21895676:1 gene:OGLUM04G17030 transcript:OGLUM04G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAADLAAVERGGHMVPSKAAGVDGDGEPRRTGTMWTASAHIITAVIGSGVLSLAWGVAQLGWVAGPAVMLLFGAVIYCCSVLLVECYRTGDPYTGQRNRTYMDAVRANLGGTKVRLCGVLQFANFFGVCVGITIASSISMLAIKRAGCFHVRGHDQREACGGSSRPYMVVYGALQVVFSQIPNLHKMWWLSTLASAMSLSYSAIGIALGVAQIVVLDMFEIEFAANGGIRGTITGVFVGAGAGVTSMQKDTVKPVAPPSTETKVMRKAVAVSVATTTAVYLMCGCVGYAAFGNDSPDNLLTGFGFFEPFWLLDLANAGVVVHLVGTYQVVAQPVFAFLDGRAAAGAWPGSAALGKRRRVLRVGSLAEIEVSPFRLAWRTAFVCVTTAASTLLPFFGSMVGLIGAASFWPLTVYFPVEMYIAQRRVPRGSAQWLSLQALSAGCLVVSVAASAGSIAGVVEAFKAHNPFCWTC >OGLUM04G17040.1 pep chromosome:ALNU02000000:4:21897611:21900735:-1 gene:OGLUM04G17040 transcript:OGLUM04G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYAESAQEQGKLLCIESLLQDLHTHFRMVHRKISGINDSALLMISANNEQYHQLLQRLWSLTLDIDDMLNKVSCYLTKTRVLSIQVHSSFILRRLPFRRRIVHKIKQSIVELQECYAQTYRIRFPAKHRDISTPMVCQGAHSIRPEEILGREKEVDDVLTMMQADHGKAGLSVLPITGMAGIGKTTLAQLVFSHPWAVKTFGDDRIWVVVSSSFDDMIILSRLAEFLNTRQCNTVDSESLQCLVKQRLCGRKFLIVLDDVWGQNLQKWKLLIEVLESAKSGSKMIVTSRVPDVVTMTNSLRPYTLKRLLPIDSSNLLTQWMQNSAELPPRLIPIRKMIADTCCGVPSLLLSASNKLKSIRKTEVAWQHVLSRFDLVFYADPLLLDATYVSYQQLPSNIQQCFLYCSLFPVHSFTPEQLTGMFVADDLIKLSSSKSDMHMYFSKIMTEHYYDVMQKPRHKAYAIYKMHPGMQLLAQMISRGFHLAIDARKELVWPVENAKKSARCLSLLVDSKTTELPTELFEMGNLRTLILLRDEKMLLSDKKCSITDIPEEFCKCLIDMRVLHMQSCRIKRVPKLIGMLKKLAYLNLSHNDIEIIPDSICNLQFLKNFNLSRTEIAELPESVGKMQALQVLDLSHCEKLLHLHESKPRDIAKVHCSGKSRAHHLRVASLSLKELGICNMENASFDDARNVILQKKNRLVSLALSWTGSCTDPMVSSKAQQILELLKPNRGLKVLCIFSCPAKKLPSWITSMPPYLKSLTEIKLVNLACECLPPLGQLPLLKIVELSGINAVTRVGDEFYGDDGTFASLEKLSFFHMRNLEIWLPSQREAIFPNLQELTITQCPKFRAVHVKLPVVKSLIMLLNNDKLIGSRGALEGFSQNLKSLSVSLCDGLLECSECEGLRELRGIEELHISRCTELISLPHGMQHLSFLRTLTITECTNLETFPEWLKNFTSLRSLHISNCPKLHIPKSLNNLSNLEISLE >OGLUM04G17050.1 pep chromosome:ALNU02000000:4:21901470:21904226:1 gene:OGLUM04G17050 transcript:OGLUM04G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHRSIEKDVFEPLVMRISEIAQHYFGTVGSSETGEKGPTVPEFPEKIKWEVQHLEELFEGIKEDKEEVYEGFKSVSLAISEWQRRLAIAYQNAARDPRPFEGMKWAMEYHEMWVEDNNIIGAGDEILDFDEHELFESLRYVKTAQGGSEAHLLESIKSGMQCIKNVLATIRSRKEADNRSWCIVEQVFSPLLKLLKTINHLVSEAAARNNKSENYKILVKIDAEVNCLQDALDLIDRNKNEVYENFRLIEDLILPLLTFLKATYNDQSESLSFLDAVKHGVNYLEGVLDKIEQKQRDGNDNFHIVKAAFSPLLTCMYTFRRISLETLAHEDKSDAFILLDRIRDDLSQLKDVLQMVQEKENGIYSNFDAIEEHIDEIYDGHMNVEGSLKLNQMGGLRDKLQLIHEEITNIRGKVDDSFKVQEVSCHVMRMAAAHEASSSHQLSASNTFCITMESAQMWQLKVIIDELETRLRHCLLCLAVFPVDAIIKKRLLIHWWIGEGFVTSVSEGKSFFNKLLLSNGFITPVKKYHCDKVHSCKVQPWIRGLLIEAAKSKAFVELSSDGSSRNDFTRTRRACLHAGKILTNFHPDVLTIYNIKQQYVELNKTWFSEKNRLTTLQLGQWQDASYDPRAHHVEINNAKFLKQVKSCKQLKYLSLRGISRIEALPNSIGKLSRLVILDLKACHNLEDLPKEIVKLVKLEYLDVSDCYLLSGMPKGLGKLFQLEVLKGFVLSNAKSKDPCHLNELVMLKKLRKLSIRIGYSIDSGQFANFGELCALRSLTLIWGAHPISTHGSSPSHAAPHAMPCVLPLGLEKLELRCFPLVELPHWVSPEKLRKLKKLYISGGNISDLGDLKSWEVTVLRLRFLKHMNYSWTALHDSFRKLDVLEAHECENLQPWPSCGKGLWRKEPNGTIAPVLT >OGLUM04G17060.1 pep chromosome:ALNU02000000:4:21903897:21904784:-1 gene:OGLUM04G17060 transcript:OGLUM04G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNILQGFRKMELNFTICNICRLQQDEVDCNTVWPDQTGSNSAPYWTQLNTSGQHRTNPVRQFYKGKTTELQLLKT >OGLUM04G17070.1 pep chromosome:ALNU02000000:4:21905056:21905496:1 gene:OGLUM04G17070 transcript:OGLUM04G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGVRLRRRGFVLCAVDHHGVRRAVVGGQFVRKSELRRQDELLVSLHELVGVFRELQRKLGFRQWDEFRRAQPELDVLYSRLRFQGKRYRSRIYGEPMPDFDDAVHAAVRAPRIGDRRVCSRCSSCDCWYSDLANLHCLVRKFV >OGLUM04G17080.1 pep chromosome:ALNU02000000:4:21905898:21912331:-1 gene:OGLUM04G17080 transcript:OGLUM04G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWDQARAEKQGDHRWLREEFAGGGAAGGSRGGGWVGEDEPARLRKMVEGYMLLALQAHLPSLHPPICEINKDPSKCEPAQGWNLTLLYLSLLLFAIGEGCMRDCVPALGEDQFSNDDPEASHLRSNFLSWLKSANSLGALIGLVFLVWIEKNLGWDIGFLLCALIVIVGLLIAASGLPFYGMRKLNGSPLTRILQVLVTSSKKRQAAVIDVIELQEISTSDHVDEDGEDKCDSKNICTTRVDEKTEAITRMLPIFISCIFAYLPFTLLMTLTIQVGSTMDSGIGMIQIPSASLIAIPTTFHMLMQPRILIPLLRIFTGHTNGITPLQHIGVASACGIMAACIAMLVEAKRLMVVEQQGLTLVADGVPMSVFWLVMQFFLLSIMDIAYIGGLVQFIKSEAPEAKHIAPAVQSLLVGIAAWSGCAFVQLVNRMTRLGDNGRGWLDGTNFNRTRLDRFFLLLATFELVAFINYAFWARRYANKKRSEAFWTGGEILLIERCMEESEQHVLTVVTSTVNVPNMLNMVTYLHGTMHMGISSSSTTVTNVLGATSGFALLGAFLSDSYITRARTILLFGPLEFLGYGLLALQAYLPSLRPPPCNAEAEVSSCREVHGRNAVLLYAALYISAFGDGFMRACMPPLGADQFDHEDPSESRQQSSFFNWYTFGISFGGFIGLILIVWLENSKGWDVGFGVCAFLILLGLLVVAAGLPLYRNHVPEGSPLTRILQVLVVAFKNRKLQLPEKLEEAQEERSTEQGGSTEVTEIASQTNSSLKFLDKACINGGKDGAWSVCSTKNVEETKAVLRVLPVFISSLIGYMSNPLLFTFTVQQGGLTNTRLGRIHVSPATLFIIPSAFQMALLPVYDRFLVPLLRRRTGYASGVTHLQRVGAGFAAVILASAIAAVVERKRREAMESGEITAAAAAGGSRAPPSTPAASTSSTGSSPPSGCLASSTTSTGRAGTNTGKTHASSSTSSHRRITIHLEKYRACSVGQTVPKD >OGLUM04G17080.2 pep chromosome:ALNU02000000:4:21912337:21925178:-1 gene:OGLUM04G17080 transcript:OGLUM04G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPRRANALGCLLRRLCMSMADGGWRLCGLEGKPDRQKVLSVVTNMENIPNMLNLVTYLHGTMHMGVSSSATTVTNFIGATSGFALLGAFLSDSYITRSRTILLFGPLEFLALGLLALQAYLPSLHPPPCNIEAELSNCEEVHGFNTVILHIGLYTWAFSEGCIRACTPSLGADQFDHEDPSESRQQSSFFNWFTFGISLGGFIGLILIVWLENYKGWDIGFGNRKYELPEKLEEAQENRNGLDSIEVPRPTNFLKFLDKASINHGEDGAWSVCSTMKVEETKIVLRMLPLFISSMIGYISNPLLLTFTVQQGSMTNTRLGKIHISPATLFVIPITFQMLMLAVYDRFLVPFMRKRTGYACGITHLQRVGLGFASMIVASAVAAVVERKRKEAAVQMSLFWLAPQFFLLGVSDVTSFVGLLEFFNSEAPKDMKSIGTALFWCELGLASWMGTFLVELVNKATRHGHHRGWLEGTSLNNSHLDLFYWVVAVIGLLGFLNYLYWAKKYAYRHNPRMVTPSADQDSPCKAKVDWLDLVVDHFDSNTLHLDIVTSSSMITYLVGAVSFFTALMNILSNAYIKPTTAIFVFSPFVVLELPKMPLPSGLFTPISFSVEMREFRPVAGFLPALSDRCHQPPAIGRASTGMCTLLRARARICPL >OGLUM04G17090.1 pep chromosome:ALNU02000000:4:21925205:21925723:-1 gene:OGLUM04G17090 transcript:OGLUM04G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKVLYICLLPPHLCCYLFVVVVAGGGEEPRRIIQWMCWPASQAQLSKGRIGSPSPSEMSATASPASALPFRAGKSGVFRPGPTVRRRVRVCPFPAQLAQPRPIGRDHRPPPSRSAGVRRASRATPPLLTSHDRDALRPRPTPTPTRRTSRTARTIRRPLAFRGRRGWGEE >OGLUM04G17100.1 pep chromosome:ALNU02000000:4:21930316:21933700:-1 gene:OGLUM04G17100 transcript:OGLUM04G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G43330) TAIR;Acc:AT2G43330] MTIDLSMPGSSGLLDDVGGKKHMNFFSNRYVLALTGAAGIGGFLFGYDTGVISGALLYIRDDFPAVRDNYFLQETIVSMALVGAIIGAAGGGWINDTYGRRKSTLVADMLFALGSLVMCAAGGPYILILGRLLVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAILQFVLMLFLPESPRWLFWKDEKAKAISVLEKIYDSDRLEEEVELLASSSMHEFQSDGTGSYLDIFKSKELRLAFFAGAVLQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAGMNAAGTIVGIYLIDRCGRRRLALTSLAGVVVSLAILAMAFILQSSSDICSNALNGACQGALGWFAVAGLALYIAFFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWVSNLIVAQTFLSIVGLVGTGLTFLIIAGIAVLAFIFVALYVPETKGLSFEQVELLWKERAWGNQGNRQSLLGAAP >OGLUM04G17110.1 pep chromosome:ALNU02000000:4:21944047:21951505:1 gene:OGLUM04G17110 transcript:OGLUM04G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable E3 ubiquitin-protein ligase ARI8 [Source:Projected from Arabidopsis thaliana (AT1G65430) UniProtKB/Swiss-Prot;Acc:Q8W468] MDSEDDMLDANDSADDDFYSGGEAGLGGSDDGDADYDFADHDSDDSAELLSHRQQQNYSILSEADIQQRQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEEHVRKVVGFPEKLIEMPNDRELTCGICFENCPRTSMSAAACGHPFCSACWRGCLMLRCPDPSCTAAVGQYMINSLADDEDREKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYEAARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLLSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGSKSKSSKSRASSTSSKTGGSSRGVDESNIWTCDQCTYANPRSARNCQACNNQHR >OGLUM04G17120.1 pep chromosome:ALNU02000000:4:21952046:21952753:1 gene:OGLUM04G17120 transcript:OGLUM04G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVISMLLASSLLAAASAARADHHSPAYAPYPHHHAPWPAPAQSPSAPDHGAHGHHAPAPAPVHADQPAQAPEWHHHAPAPAPVRDDKPSPSHHHHHHGHHHHRHATATAPAHAPSSHHDRHAPAQVHSSWPWPAHAPAPAPAVIHGTNSHLAPAPAPSSHVQYSPAPTPGDGRHQSPPPPPSPPSADEGAQAPSYYGHYPSPAPAPAQESSSAAVAFAGGAGVLAVTAVALLL >OGLUM04G17130.1 pep chromosome:ALNU02000000:4:21956331:21967372:1 gene:OGLUM04G17130 transcript:OGLUM04G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKCFVRHSQLFDCWQHLQLQVVEYINSDAPSLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISAKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >OGLUM04G17130.2 pep chromosome:ALNU02000000:4:21956359:21967372:1 gene:OGLUM04G17130 transcript:OGLUM04G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNTFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISAKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >OGLUM04G17130.3 pep chromosome:ALNU02000000:4:21956325:21967372:1 gene:OGLUM04G17130 transcript:OGLUM04G17130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase C1 [Source:Projected from Arabidopsis thaliana (AT5G60040) TAIR;Acc:AT5G60040] MARPEEKLRCTKEPFIEDVGTRRIKSIRFSMFSGNEVRQSAEVQVWNSRIYNHEMKPVPNGLLDTRMGAANKLGECSTCHGSFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKSCSRVLLMEKDRLEFLKKMRNPKADPLQKSAIMKKVRDKCKLSRVAKKGRAGLIILHDCSKTLDGSTEELRDALSHKKEKLSISAVRMLDPAIVLSLFKRMTDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVFVAGGRMSNEDSITVILKSIANTNSILKENLQTGGQFMKIAGNTFNFKLLNISTVTHPLFPSHNIEALFSDSKGRQADFVVAIPILMARVLTYPERVSYYNIEKLRQCIRNGPHKHPGANFIIQPDGTKLHLKYCDRRIAARDLKYGCVVERHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDNFYDRSSFSLLCSYLGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNACTKVFLNLTVKEKIYKTPKGSTLEPEAMCPNDGFVYFRNSELLSGQVGKATLGNGNKDGMFSTLVRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNQEKKMKIDGGYKDCHDLIASYSKGALRLQPGCNAAQTLEQSITRVLNEIREEAGKVCMNTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPNGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGKPLNLDQLFMKVMATCPQRGQNTLSPGEILQILNDKLSEHDASSDDGCSEKFKQLLTYFLEDRIKLLKSTRRALLLDEDHVGERHSSFEESIAANISGISAKQLQVFLDTCLSRYHLKKIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITAELLSEKDVLSARIVKGSMEKAVLGEVAEAIKIVLKSSQPNLVVKLDMQRIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDKSKLRIYPAGIDKSKLLYELHHLKSMLPKVIVKGIPTVERAVISETGEENDKRYKLLVEGTNLLAVMGTPGVDAMKTKSNHIMEVNRTLGIEAARRSIIDEIQYTMKSHGMNIDSRHMMLLADLMTYKGEILGITRYGIAKMKSSVLMLASFEKTAEHLFNASYSGREDQIEGVSECIIMGIPMQLGTGILKVRQRLDHLPEFKYQPDPILA >OGLUM04G17140.1 pep chromosome:ALNU02000000:4:21979523:21983422:1 gene:OGLUM04G17140 transcript:OGLUM04G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43320) TAIR;Acc:AT2G43320] MKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >OGLUM04G17140.2 pep chromosome:ALNU02000000:4:21979995:21983422:1 gene:OGLUM04G17140 transcript:OGLUM04G17140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43320) TAIR;Acc:AT2G43320] MGGTMKTPSLLVQCFPGLLPSKATSCVPIVSERDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVVASKYDGSLKYWESSITLVNIIKNEIRDGQLSFRGKRVLELGCGSGLAGIFACLKGASTVHFQDTNAETIRCRAIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGDWEELPTILSVVHPPAAPTNLSFSEDDFMDGCSSHDGSSIVGVDNCPRRSRKLSGSRAWERASETDQADGGYDVILISEVPYAVNSLKKLYALITKCLRPPYGVLYVASKKNLVGSNGGARQLRALMEEEGVLGGHFLTELADREIWKFFFK >OGLUM04G17150.1 pep chromosome:ALNU02000000:4:21983560:22000524:1 gene:OGLUM04G17150 transcript:OGLUM04G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G59410) TAIR;Acc:AT3G59410] MGHSARKKKKKKGGGGRKAAKDHGGQLEGDQAALADELTALGSIFLEDFKVTSESPQTRFTICIRCFAGYPHKCPKLRVLPEKTLSREDANRLLSLLVDQLAHHAFIEYIVNYEELCCNDVSHFHSVYVLVSCICLAVLIKAPWLGSGKVQQTTDVDVKVKLDNGSYHGVAYMHNSFDLYSQLYDGGSWSTQGPDPATDSAGKIVGSQVKSNLKSKRKTIIEKSRVSSDEVNVAKGLLPDNAGQKNIMKHDVIRETVPSLHVVAEETENDSKTVSTSNRENTSGTPERSFSSVHQLEDSDLSDEDWNDEDSGSGSGFSNTPSFDMFDDASRNKKKDLILVHLLRLACASKDSLSASLPAISSELCNIGILSEWAKDLISKSPAVFGETFGHFFGPQMTSSECSLFWRADNSSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGQHHVLNAAGSCTAESSMYSYDNISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYTSSFRVDDAWRLFRQIVEGLAHVHSQGIIHRDLTPNNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPSEGMGVSMDGTGQVGTYFYTAPEVEQKWPHINEKVDMYSLGVIFFELWYPFSTAMERHLVLSDLKQKGDSPLSWAAQFPGQSNLLRRLLSPSPSDRPSAVELLQNDLPPRMEDEWLTDVLRMIQTPEDTYVYDRVISTIFDEERLIAKTQCQLEGSKKSTCKSDNSELLDSIIEVSKEVFKRHCAKRFQISPLHTLEGKFTENRGKTVKILTQGEMLELCYELRTPFVMSVAANQILSFKRYEVSWVHRRAVGHSIPYRFLQGDFDIIGGASPIPEAEIVKVTLDVGAHFYDPKAIIIRLNHSKLAEAVCSWAGVPQERRQNVAEFFSSTLVQYWPNKADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDEISAFLKCLRIWSIEEHITIDVLMPPSECYYTDLFFQIYVKEGNPGSSSHEKLLAIGGRYDWLIEQAWDRTYKSKSPGAVGVSIALEKFLPNSPSSDIGFPRIEPSISVLVCSKGGGGLLNERMELVAELWEANIKEDPSLQEQYEYASDHDIKCLVFITEAGVSQTELVKSWLPFCEPRLRTVASVQGSRQ >OGLUM04G17160.1 pep chromosome:ALNU02000000:4:22004611:22005201:1 gene:OGLUM04G17160 transcript:OGLUM04G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPPASPPPPKKMSPPGAGAGAGSKKKQQQQADAAELARVFELFDRNGDGRITREELEDSLGKLGIPVPADELAAVIARIDANGDGCVDVEEFGELYRSIMAGGDDSKDGRAKEEEEEEDGDMREAFRVFDANGDGYITVDELGAVLASLGLKQGRTAEECRRMIGQVDRDGDGRVDFHEFLQMMRGGGFAALG >OGLUM04G17170.1 pep chromosome:ALNU02000000:4:22008213:22011920:1 gene:OGLUM04G17170 transcript:OGLUM04G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G25440) TAIR;Acc:AT3G25440] MGSRVLLLRRMEKGWRPMSRALYPSPGSTSVAPPHELRAPRRCSPSYTSHKVFHRDVGFFSGWQSYNLQIYCCIHTSRPVNSQNHTIAEPQQKQEDVALVDESGRPKAKRKKLKGRRAVTRFLKSLRWKKKREFQRMTAEEKILYKLKLARNKEERLVAALTKIEPDDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIASELARLSGGIVLDIQEGNTIIMYRGKNYAQPPPEIMSPKVTLPRKKALDKSKYRERLRALRRYIPRLEQELVDLHAQMKLARDYKGQNAAEDITCISDSVNSTSAKEYSSCSVRKRSVSDLLSESIEGSGRLEDENYEVSADSASESITYSESEDLSDIFETDSEEEQVQESKEQPLYLDKLDKFPSENNDNEPDDFEEHLRKIASLSDRTDSSAKELKVSELDEIDKIFLRASSLLKKR >OGLUM04G17180.1 pep chromosome:ALNU02000000:4:22012284:22013284:-1 gene:OGLUM04G17180 transcript:OGLUM04G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQCDACEAAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDAALPAALPRCDVCQSREAAAACGWESGDVSLRHWSYVLSSLLLQEKAAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCSANADHLPPPAPKGNSKPPASGIAAAAAPKPAVSAAAQEVPSSPFLPPSGWAKGSPIGFKDLEWLDDIDLFHVQSPAKGGSTAAEVPELFASPQPASNMGLYKASGARQSKKPRVEIPDDDEDFFIVPDLG >OGLUM04G17190.1 pep chromosome:ALNU02000000:4:22022732:22023670:-1 gene:OGLUM04G17190 transcript:OGLUM04G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTEVANHSKRNHNESYFTGKAAVTSSSEEFGSMTSKKPRNTSPRGAPVSPKACCSSLIFTRLSCSFIFLEKKDKIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPVPGAAPEDPDHYSLRNRGLCLVPVDQTLQLTQSNGADLWAPANTTRRR >OGLUM04G17200.1 pep chromosome:ALNU02000000:4:22035198:22037477:-1 gene:OGLUM04G17200 transcript:OGLUM04G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFQATTYKPHNGIIVDKVAIGLGSTCKLLHERAKCSYSNRFIKLQEQVYPRLLLVAACHNRIGPVYASSGKGNSERVNDPFSMESLNKAIAGTKKQWPIQDMLIDQISKIRGSGSGGNGGGNKNSHEGSGGGSEDESLTESLYEMVQVLLATIAFILMYIHIIRGEELYRLARDYTRYLVTGKRTSRLKRAMLNWHNFCEGITNKDSVQESTFERSTSEPMWWQQPLKFVHRIEELYRGYFRPHAQES >OGLUM04G17210.1 pep chromosome:ALNU02000000:4:22040231:22040495:-1 gene:OGLUM04G17210 transcript:OGLUM04G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMATMLALVLGLARAPPLLRRPGGRAELRLRGELYGYCGLGGDYCGMGCQSGPCYNSNVNGVGGGRKAGVGAMENNNLNN >OGLUM04G17220.1 pep chromosome:ALNU02000000:4:22043968:22045123:-1 gene:OGLUM04G17220 transcript:OGLUM04G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTLTMLVFLAIGLSLVLSAAGVSVESVVTEAFFNGIKNQAPNGCAGKSFYTRQSFLNAARSYSGFANDRTNDDSKREIAAFFAHVTHETGHMCYINEINGANMDYCDKSNKQWPCQPGKKYYGRGPLQISWNFNYGPAGKNIGFDGLRDPDKVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYKDYCRQFGVSPGGNLYC >OGLUM04G17230.1 pep chromosome:ALNU02000000:4:22064576:22064824:-1 gene:OGLUM04G17230 transcript:OGLUM04G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKMATMVALVFGLALLLSAAAPAAAQNCGCQDGYCCSQWGYCGTTEAYCGQGCQSGPCWGSGRKAGAGGVEVPESNNRSR >OGLUM04G17240.1 pep chromosome:ALNU02000000:4:22069994:22070948:-1 gene:OGLUM04G17240 transcript:OGLUM04G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPTPTMLAFLALGLALLLSATGQASAQNCGCQSNMCCSKWGYCGTGKDYCGDGCRSGPCYGGGGGGGGGGGGGGGGGGGSGVSVESVVTEAFFNGIKNQAPNGCAGKNFYTRQSFLNAAHSYSGFARDRTNDDSKREIAAFFAHVTHETGHMCYINEINGASMDYCDKNNKQWPCQPGKKYYGRGPLQISWNYNYGPAGQNIGFDGLRDPDRVAQDPTISFKTALWFWMNNVHQVMSQGFGATIRAINGALECNGKNPGAVNARVNYYRDYCRQFGVDPGGNLYC >OGLUM04G17250.1 pep chromosome:ALNU02000000:4:22075248:22079296:-1 gene:OGLUM04G17250 transcript:OGLUM04G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGWAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRGRSGDLFPSRTCPVFSPPASRADPSPATTRGLDPATSSPPATADLIVKLEYNHSNGSYPIKALELHGSRDESNVSSSSPSSSSPDHQGIPAKFIQGY >OGLUM04G17250.2 pep chromosome:ALNU02000000:4:22075248:22079296:-1 gene:OGLUM04G17250 transcript:OGLUM04G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTGWAEPSSHRFCSRDPPSTATGGADPATLPLPHGYRPLFGPLSRGSTVGGDGRGRSGDLFPSRTCPVFSPPASRADPSPATTRGKALELHGSRDESNVSSSSPSSSSPDHQGIPAKFIQGY >OGLUM04G17260.1 pep chromosome:ALNU02000000:4:22082707:22085505:1 gene:OGLUM04G17260 transcript:OGLUM04G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNGASVVALLLLVSTAARAAGDGLLLNGNFEYQPSKSQMNGTRVMAEYAIPYWKITGFVEYISSGQKQGDMLLTVPEGAHAVRLGNEASIEQQISVTRGMYYSITFSAARTCAQSEKLNVSVAPGPESGELPIQTVYTSSGWDSYAWAFKAKRGLVSLIIHNHGEDDDPACGPLIDSVAIKTLYPPQATQNNMLRNGDFEEGPYMFPNAAWGVMVPPISEDDHSPLPGWMVMSDTKAVKCVDSAHFTVPHGARAVELVSGLETALMQEVRTVPGRSYRLEFSVGDASDGCVGSMQVKGYAGQGCTTVTYSSQGTGGHTRASLEFAAVANTTRVVFVSSTYITKWDGTLCGPVVDDASLVCVSQQQPPARRLLRL >OGLUM04G17270.1 pep chromosome:ALNU02000000:4:22088896:22103117:1 gene:OGLUM04G17270 transcript:OGLUM04G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAEVKCSAQRKKVVGASKNNAFPGRRLAKGPHFHGTSEPRRDALPTSSIVAVRGSGARFARFPAENTLSIWSSGHLEQYLLREVMRRKTEMIQTTPCVALLLLVGVAFAARSASAITDGLLPNGNFEEAPDKSQMNGTRVTGRYAIPQWEISGFVEYIGSGQKQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSITFSAARTCAQSELLNITITPESGEIPIQTVYTSSGWDSYSWAFKAKHSVVLFIVHNPGVSDDEACGPLIDSFAIKTLNPPQRTKGNMLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTILSTTKSVKYIDAAHYAVPGGARAVELVSGMETAMLQEVSTVPGRSYRLEFSVGDAGDGCSGSLTVQAYASRGSVKVTYQSQGTGGYKRGLLEFTATEKRTRVVFVSMAYTTKSDGTLCGPVIDDASLVSVRSHRRFLLYSEYREYFLSRRQLMRRKTEMVRSTCCVVLLLLLSVAARSASAIMDGLLPNGNFEEAPDKSQLNGTRVIGRYAIPQWEISGFVEYIGSGQMQGDMLLPVPEGAYAVRLGNEASIQQRLTLTRGMHYSVTFSAARTCAQSELLNITVTPEIGEVPIQTVYTSSGWDSYSWAFKARRSDVSLIVHNPGVTDDAACGPLIDSFAIKTLQSPPSTKDNLLKNGGFEEGPYIFPNTSWGVLVPPMDEDDYSPLSPWTIMGYTKSVKYVDAAHYAVPGGARAVELVAGMEAALVQEVCTVPGRSYRLEFSVGDAGDGCVGSMSVQAYVSHGSVKVPYESQGRGGYKRGVLEFTATDKRTRVVFVSMAYTMKPDGTLCGPVVDDASVVGRVGRREQPRARDSREGSHGMTGSARSVVALLFLLVGSAARADSAVTDGLLPNGNFEDGPDKSQLNGTVVTGRYAIPNWEISGFVEYIESGHREQDMILAVPEGARAVRLGNDATIRQRLSVTRRAYYSITFSAARTCAQKEKLNVSVTPEFGVLPIQTVYTSSGWDSYSWAFRAKHSVVWLSIHNPGEEEDPACGPLIDSIAIKNLYPPRRTKGNMLRNGDLEEGPYIFPDATWGVLVPPIFEDEHSPLPGWMIMSDTKVIKYVDSPHHRVPQGARAVELVAGRETALVQEVATVPGRSYRLSFSVGDAGNECKDSLAVEAYAARATAKVPYESQGTGGHKRAQLEFAAVANLTRVVFQSFNYHTKPDGTLCGPLVDDISLVSVRKRAARLWSPSCRDAFRTIQPARLAASRTHPPGTDHTSSKFECWTE >OGLUM04G17280.1 pep chromosome:ALNU02000000:4:22103133:22105086:1 gene:OGLUM04G17280 transcript:OGLUM04G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAKCTRCLALVVVVLVGVAAPVVFSVTDGLLLNGNFEHGPDKSQLNGTVVTGRDAIPNWEISGFVEYIGSGHKEQDMILAVPEGAYAVRLGNDATIRQRISVTRHMYYSVTFSAARTCAQAEKLNVSVTPEFGVLPIQTVYTSTGWDSYSWAFKAEHSAVWLSIHNPGVEEDPACGPLIDLVAIKTLPPPHHTRVTKTRYDEFRIYSTELAGGTMLRNGDFEEGPYIFADTPWGVLVPPMDEDVHSPLPGWMVMSTTKVVKYVDSARHAVPSGAHAVEMVAGRECALVQEVATVPGRRYTLSFSVGDAGNGCIGSLAVDAYAARATLKVSYESRGTGGHERAELVFAAVANRTRVVFHSSNHHMKSDGTLCGPVVDDVSLVSVDKHTVRRLLM >OGLUM04G17290.1 pep chromosome:ALNU02000000:4:22108670:22108894:-1 gene:OGLUM04G17290 transcript:OGLUM04G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRDKILAVFLLLVALSSTILQTAVEAARRLPGEQHSAAHAMATSLHERARSLLMAWVAQLTAGPSPRGPGH >OGLUM04G17300.1 pep chromosome:ALNU02000000:4:22108725:22109319:1 gene:OGLUM04G17300 transcript:OGLUM04G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLALSCSDVAIAWAALCCSPGSRRAASTAVCRIVDDRATSSKKTARILSLWDDMIIDRSIAESEFALIAVGKRGRSVAFSWVGQWTVCTIDQRSVASCMMFNEVHMTEIQEHA >OGLUM04G17310.1 pep chromosome:ALNU02000000:4:22111473:22111966:-1 gene:OGLUM04G17310 transcript:OGLUM04G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPTKPVAPQRHTLGIKKALVLVFYACDGSRPIGLCEYQLANVGRAIAGTKKRSLRKNKGGEGGGVGHDVLTTALTHPLMGRKAHAGVGDRAQQPLPRRGRGRGGIRVVR >OGLUM04G17320.1 pep chromosome:ALNU02000000:4:22112991:22113479:1 gene:OGLUM04G17320 transcript:OGLUM04G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNPSKRVDAALRGAPAFAAACDDAFGRCLADAQYAFAGVRPYQLADASAHLHSSLRGSLPLVRRWVPSPPPRARVDSALRAAGLEDAAVLSRGQFREFAAELFREAVLAGAAQAALVRAPAGAAGFVGVGLATRAGAGVVGRLVAIYTAGVAAAVYLSLG >OGLUM04G17330.1 pep chromosome:ALNU02000000:4:22118013:22121233:1 gene:OGLUM04G17330 transcript:OGLUM04G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASCSSSSVKHLFLLCLLLGFCFAFAASQQEQQQSDSCSSAGVAVAHLVPFNSSAFRCLTVWKQEDFVLRYKNTGESQWSFILSAPDKGSYVAVGFSGKGLMVGSSAVVAWSSGGKGTVKQYYLTGKSPDECYPDKGRLTLVKNKAVAVSRSGRLYLAFQLSTDLPQPHLIYAVGPEGNLPPSDATLPMHRSMHSHAFNYTSGMASSSGGSGGGGFPPERKHGLLAMMAWGVLMPLGMMAARYFRRVDPYWFYAHMAIQAVAFTVGIASVILGFRLNEDGLKNVDVHRALGIAILAMASLQVMAFLARPDKTSKVRRFWNWYHHYIGRAAILVAIGNIFLGLHIAQEVSAYIVSYGVFVAVWVIAVAAFEMNRCYSDDD >OGLUM04G17340.1 pep chromosome:ALNU02000000:4:22121323:22125279:-1 gene:OGLUM04G17340 transcript:OGLUM04G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRIFGGLKPTKSRLLGPTIRGPPPNGAATHAPRRILFSFSFSPESQWLGQSSTRACLPPLRSPCLPAPSTASPAYPRLASSAAFASAPARLRSRITLPGGRSPVPPMDPAASAAESSSLSSRDVAAMPDSPPRRAARHRRAQSEILLGAALPDDIAFDADLGVVGEVGGGGGDDYEEEEDDEEEEMEGAGGSRMFEMFLEAGGKLETPEPAAPLPPPPTRPRHQHSMSMDGSTSLASSAAGVAGRMGADAKKAISDAKLAELALVDPKRAKRILANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLSMLQRDTTGLTSENSDLKIRVQTMEQQVRLQDALNDRLRDEIQQLKVATGQVNANCGKVGNFGLSSFGGANPQGYQRSHIQSLLAAQQLQQLQIHSQHQQQQMHLQQHHHLSTVQQQLLQEGLPLPGDLKMKGIAAASHAQNAGASESHALRSEPPVNASFGNPWLTTALLLLLLQE >OGLUM04G17350.1 pep chromosome:ALNU02000000:4:22127919:22131343:-1 gene:OGLUM04G17350 transcript:OGLUM04G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGHPPPASAAAQNGSHSSGGGGGGDGGGGGANPSPGGTAAALRHDPGLAREWSPEEQSTLDELLVKYASDAPVIRYAKIAMKLPDKTVRDVALRCRWMNKKESGKRKKEDHSSSKKSKDKKEKVSDSSLKPPVHIAGRPNVPPYPLPALPIDDDEISSKAIGGPTGEILETNAQVLSQISTNLSTMQIQDNISLLCQTRDNILRVLKEINDAPDIMKQMPPLPVKINEELVNSMLPRPTVPMQ >OGLUM04G17360.1 pep chromosome:ALNU02000000:4:22139257:22145095:1 gene:OGLUM04G17360 transcript:OGLUM04G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPSPSNRAGCNGNTGGTMGPSDDPYGAAAMNLDCYSEIYSPSVADQLFSLLNDPAAHRMFAMWPSMGSSPCAAGTSEDMPLDAYSGLGEAVEEPSQIMSVNPTEAEKTGKSSGELGSDDGAHQGSSMVPRSVVGSSLADRMLMALSLFRESLGSGALAQVWMPVEQEGHVVLSTCEQPFLLDQVLAGYREVSRHFVFSAKEEPGLQPGLPGRVFISGVPEWTSSVLYYNRPEYLRMEHALHHEIRGSLAMPIYDPSKDSCCAVFELVTRKEKPDFSAEMDNAVNLKATKGSSNQKFYTENQKFAFTEILDVLRAICHAHMLPLALTWVPTSNGIDGGYVVGKDGASFSQSGKTIIRIHESACYVNDGKMQGFLQACARRHLEKGQGIAGRALKSNLPFFSPDIREYSIEDYPLAHHARKFSLHAAVAIRLRSTYTGKDDYILEFFLPVSCKGSGEQQMLLNNLSSTMQRICKSLRTVYEAEVDNVNAGTAAVFRKNNESCLPTGHTESSSHGDQSITGASFEDTSLANKPGVMEPELAEQVQPSSIGHAEKKRSTAEKNISLDVLRKYFSGSLKDAAKSLGVCPTTLKRICRHHGILRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPVVSLPEKLTFPSCDGLPTPSVGKTVEENSDLKSEEGCSLPDGSQRQSCQLQISDVKKSNEDEFHIGSGNSDFYGANATAKSNSEVTQGPLCPTGAFSALHLKGTDCTNPSSSLRPSSESTRNQIVGRNSPSIQQDLDMLDNHEAEDKDHMHPSTSGMTDSSSGSASSHPTFKQNTRSALKDAASPALTVKATYNGDTVRFKFLPSMGWYHLLEEIAKRFKLPTGAFQLKYKDDEDEWVILANDSDLQECVDVLDSIGSRIVKLQVRDLPCIVSSSGSSTCLQLAAHSS >OGLUM04G17370.1 pep chromosome:ALNU02000000:4:22148983:22151002:1 gene:OGLUM04G17370 transcript:OGLUM04G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADGGDDEGRGGGGGDGREGGGVGDGGSAEEVVVAERGGVVHAGLPVRAHLDVQRAGALLPGGRRGAAPELQLPAGVVGVGVRVRRRRKQQPAGGAAARGRAEGADEDAWPRRGEREAAGVPRQVADGRHPDAAVRRRRGGHAAAQRDGGDPEPPRGRRQAAAPRPQPSPPDGPSGIGVGGRRRGGDDDDGSSRADVTEETPSRTRRSVTAKPPCLSLSPLSIFFPSLTLLLPFLVLLSLSRNDARRKLRATRAFSSTLPYRPMRTSRPAQRPPRSQKYARVVEKPGETDVFPPRGAARGRSKRDAGTSKRGAELMGGQPTQRLGKARARDQQREAVALAASRGARIHWAFFWGSWLNA >OGLUM04G17380.1 pep chromosome:ALNU02000000:4:22153888:22159335:-1 gene:OGLUM04G17380 transcript:OGLUM04G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSSKEPSPARAWWTRETVAVVTGANRGIGLALAARLGEHGITVVLTARDAERGEAAAAALRARGLHVVFHRLDVADPASVQAFAAWLRDAIGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRRSPATSRILNISSQLGLLNKVSDPELKRLLQDEERLTEAEVEGMASRFLAQVKDGTWRGQGWPKVWTDYSVSKLALNAYARVLARRLQARGDRVSVNCFCPGFTRTDMTRGWGKRTAEEAAEIGARLALLPPGELPTGTFFKWCTPQLYSKL >OGLUM04G17390.1 pep chromosome:ALNU02000000:4:22165371:22166100:-1 gene:OGLUM04G17390 transcript:OGLUM04G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVASRLVASCDAGESCIVLEKEPPRRRELLPCWRGNLVGAPACRSLHAAKLVSPICDGEGRHASAGREKGEAAAGCHTPIWHRRTTAPDRSVS >OGLUM04G17400.1 pep chromosome:ALNU02000000:4:22170526:22171107:-1 gene:OGLUM04G17400 transcript:OGLUM04G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSVIAPPAGDSASPAHRRARRAFLVSNYMILGAASGCGFLTLSLRLVPSVDGFLLILLHAITVAAAVAGCAVIAAPDPPRGRVYTTHMAGTVFVSILQGAAAVLTFSRTSDFLADGLKSYVREEDGAVILRMIGGLGVAIFCLEWIALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGAGTWPWPFQV >OGLUM04G17410.1 pep chromosome:ALNU02000000:4:22174369:22180033:1 gene:OGLUM04G17410 transcript:OGLUM04G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT5G16260) TAIR;Acc:AT5G16260] MEGGGEVGWYVLGPNQEHVGPYAFSELREHFANGYISESSMLWAEGRSEWMPLSLIPDLLAVVTKKDQPDEGIEDDFDKFQKEVIEAEAEVEASTDKAADNDVNQEHGADDPDDRPATPPDGKDEFTDDDGTVYKWDRVLRAWVPQDDLEGKNDNYEVEDMTFAHEEEVFQARDIAGSTTLEENNVSAEIEIKEPTKVEKRADKKRKSSEKPADKKEANKPPDSWFDLKVNTHVYVTGLPDDVTAEEIVEVFSKCGIIKEDPETRKPRVKIYTDRETGRKKGDALVTYLKEPSVALAIQLLDGTSFRPGGKTLMSVSPAKFEQKGDVFISKKTDKQKKRKSKKVEDKILGWGGHDDKKVTIPTTVILRHMFTPAELRADETLLPELEADVREECMKLGPVDNVKVCENHPEGVILVKFKDRKDGIKCIEKMNGRWFGGNQIQASEDDGSINHALIRDYDAEVSRLDRFGEELEEST >OGLUM04G17420.1 pep chromosome:ALNU02000000:4:22181762:22183703:-1 gene:OGLUM04G17420 transcript:OGLUM04G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGAPGATDSPEDGMTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGEMEADKDKMMREYRAQLDAERAQKLALGRNHSRSKSSSSKKEKKDKDAKKRSKKRRKHRSSSESSSSSSSESSSSDDEDRDSRKSRSRSRSKRTKKDKKYRSRSKHRGSDSEEEGPVRLSKFFGNPKK >OGLUM04G17430.1 pep chromosome:ALNU02000000:4:22186664:22192282:-1 gene:OGLUM04G17430 transcript:OGLUM04G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEVEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQFKIVPLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASHTGESNEQEETPRQ >OGLUM04G17430.2 pep chromosome:ALNU02000000:4:22186664:22192282:-1 gene:OGLUM04G17430 transcript:OGLUM04G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEVEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLAGGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMEFLLPVFLKMFPNMLPSTFQDKMKEEEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASHTGESNEQEETPRQ >OGLUM04G17430.3 pep chromosome:ALNU02000000:4:22186664:22192282:-1 gene:OGLUM04G17430 transcript:OGLUM04G17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAIIRRRKYALEHTNIPVLLRHSSISTFGQEKFGCEVEQSTASQNSRESNHEKAQYILRKQGLLGLSILCHPTRGASLASYESKPQTFGFPLGARYFLQSVRPTSSTAGQPKVGILDERSENQNQNQGKKEASPEECDQAVEGLSTAKAKAKAKLVQEVQKSDQSIIHKFWAILLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADEALKRKLKARMEYARFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILSFAKLFNDELTLDNMNRARLVNMCKYMGIQPFGTDHYLTFMLRKKLQEIKNDDKMIQAEGVESLSEEELRQACRERGHLGLLSTEEMQNQLRDWLDLSLNHSVPSSLLILSRAFTMSGKMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSDRKRKLEFLEMQEELIKEEEKRQEKEDKAKLEVPKATEEDVALKEMTEPTAREEKELKKAKVEHDRKEQLCDISQALAVLASASSVAKERQEFLNLVNKEIELYNTMLEKEGTEGEEEARRAYKAAREESDHAAEIAAGEKVSSALIERVDAMLQKLEKEIDDVDARIGNRWQLLDSDRDGKVTPDEVAAAANYLKDTIGKEGVQELISNLSKDKDGKILVEDIVKLASHTGESNEQEETPRQ >OGLUM04G17440.1 pep chromosome:ALNU02000000:4:22192305:22193486:-1 gene:OGLUM04G17440 transcript:OGLUM04G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSPNRQRLQQLVTWFVPHTPLHPTRCLCLRLLPSFLQNRERPAALCSPTPPAEPLAPAGSHARTTRLDATGYTARPIRRQSPGITLTFS >OGLUM04G17440.2 pep chromosome:ALNU02000000:4:22192305:22193486:-1 gene:OGLUM04G17440 transcript:OGLUM04G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding LLPSPNRQRLQQLVTWFVPHTPLHPTRCLCLRLLPSFLQNRERPAALCSPTPPAEPLAPAGSHARTTRLDATGYTARPIRRQSPGERARPLPPSLPSPLGGWLTAAAPSSLLEGSTRKGITLTFS >OGLUM04G17450.1 pep chromosome:ALNU02000000:4:22197758:22199013:-1 gene:OGLUM04G17450 transcript:OGLUM04G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNKKIVLRNHVTGFPKESDMELVAATGAAPSRVPEGTDGAVLVKNLYLSCDPYMRGRMSSHDGSYVDAFVVGEAITGYGVGKVVDSSHPGFKAGDLVWGMTGWEEYSLIKDPSRALFAIRHPDLPLSYYTGLLGMAGFTAYVGFHEICAPREGERVYVSAASGAVGQLVGQFAKLMGCYVVGSAGSDDKVRLLREKFGFDDAFNYKKESDLSAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVRGRVAACGMISQYNLEHPDPVHNLTAIVTKRLRIEGFIVSDHYARYREYEEKAARYVKEGKIAYVEDVAEGLENAPAALIGLFSGRNVGKQVVVVARE >OGLUM04G17460.1 pep chromosome:ALNU02000000:4:22203768:22207094:-1 gene:OGLUM04G17460 transcript:OGLUM04G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65610) TAIR;Acc:AT1G65610] MYSANHWGGSFEIAADGAAEDDHSRNMDLDRGALSARQHQLDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKLLWWVLWTLLAAFILIGLPVIIAKSIPKKKPHAPPPDQYTDALHKALLFFNAQKSLLSLTNNFGHVSFSALTAGRLPKNNGIKWRGNSGLSDGSDLTDVKGGLVGGYYDAGDNIKFHFPLAFSMTMLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKVYSQVGIAKINGTQPDDHYCWNRPEDMAYPRPVQTAGSAPDLGGEMAAALAAASIVFRDNAAYSKKLVNGAAAVYKFARSSGRRTPYSRGNQYIEYYYNSTSYWDEYMWSAAWMYYATGNNTYITFATDPRLPKNAKAFYSILDFSVFSWDNKLPGAELLLSRLRMFLNPGYPYEESLIGYHNTTSMNMCTYFPRFGAFNFTKGGLAQFNHGKGQPLQYTVANSFLAALYADYMESVNVPGWYCGPYFMTVDDLRSFARSQVNYILGDNPKKMSYVVGYGKKYPRRLHHRGASTPHNGIKYSCTGGYKWRDTKGADPNVLVGAMVGGPDKNDQFKDARLTYAQNEPTLVGNAGLVAALVALTNSGRGAGVTAVDKNTMFSAVPPMFPATPPPPSKWKP >OGLUM04G17470.1 pep chromosome:ALNU02000000:4:22213756:22220263:1 gene:OGLUM04G17470 transcript:OGLUM04G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLKRKKNSNQIRSSSHSTTSDGTPILQQGFHRQSGRASSTSISFHFSIRAFAEATKSPWDRVPSLTVVEPPIVRDMGGTPAAGSGGDPRDPDREGHQLRTTT >OGLUM04G17470.2 pep chromosome:ALNU02000000:4:22215081:22220263:1 gene:OGLUM04G17470 transcript:OGLUM04G17470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLKRKKNSNQIRSSSHSTTSDGTPILQQGFHRQSGRASSTSISFHFSIRAFAEATKSPWDRVPSLTVVEPPIVRDMGGTPAAGSGGDPRDPDREGHQLRTTT >OGLUM04G17480.1 pep chromosome:ALNU02000000:4:22216151:22221056:-1 gene:OGLUM04G17480 transcript:OGLUM04G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPATTSSAAARPSSSSSFSRQSDAPLRAATVSFPYSPRPAALAAGARASRVSPVVVAAGGGHQRLMGSLTNTQGLRFGVVVARFNEIVTNLLLQGALETFERYSVKKENITVVSVPGSFEIPVAAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLSAGLSAEIPCIFGVLTCDDMDQALNRAGGKAGNKGAEAALTAEEGYLALEGVYRNHGGSQEQTKGGDNFDDADIVRDDTWVQSCSGNLHFYDYHVVYSFSYKVPVLYFQGHQSGGQLLTLDEIKEDLPSLSLKLLGESRWTFITREEHPHFSRPWFTLHPCGTSDCMKLLLEGMQDKDQQVRYLPAWLTVVGQAVGLKIPLGLHCNS >OGLUM04G17490.1 pep chromosome:ALNU02000000:4:22222461:22226091:-1 gene:OGLUM04G17490 transcript:OGLUM04G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAVPLDEAKAKEVLRQVEFYFSDSNLPRDNFLRKTVEESEDGLVSLALICSFSRMKKHLGLDADVKQETMPEETVLAVAEVLRRSSALRVTEDGKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKRIQIDGGMAENGGDKEGETDDANKSRTGHDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVDAAA >OGLUM04G17490.2 pep chromosome:ALNU02000000:4:22222461:22226091:-1 gene:OGLUM04G17490 transcript:OGLUM04G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATAAVPLDEAKAKEVLRQVEFYFSDSNLPRDNFLRKTVEESEDGRKKVGRSIELSKLDEIMEQVDSRTIAASPFPYNVKLEDVQSFFAQYGKVNSVRLPRHIADKRHFCGTALVEFSEEEEANAVLKNTLVFAGADLEIKPKKEFDTEREAKKEAYEKSQPTKNGHDEGYPKGLIVAFKLKRIQIDGGMAENGGDKEGETDDANKSRTGHDEKIPENSDIKEDLSDDVEKSKEAAAQSVKKGESPSENADDPISREDFKEEFGKFGTVRYVDFSIGEDSGYIRFEDSKAAEKARALAAISDEGGLIMKGHLVTLEPVSGQAEKDYWSAIKGGQGKYRDNRSNRGRDWKNNRGGRHFGGKRGRHSDGHERANKARKVDAAA >OGLUM04G17500.1 pep chromosome:ALNU02000000:4:22228703:22231418:1 gene:OGLUM04G17500 transcript:OGLUM04G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDKSAVVGGAYWGLAARACDACGGEAARLFCRADAAFLCAGCDARAHGPGSRHARVWLCEVCEHAPAAVTCRADAAALCAACDADIHSANPLARRHERLPVAPFFGELADAPKPGSGAHGGDAAAADDDGSNDAEAASWLLPEPDHGQKDGAVGATDEFYADSDPYLDLDFARSMDDIKAIGVQNGPPELDITGGKLFYSDHSMNHSVSSSEAAVVPDAAAGGGAPMPVVSRGREREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTKGGAGADADADADADGEDEEMYSSAAAAVAALMAPGGSDADYGVDGVVPTF >OGLUM04G17510.1 pep chromosome:ALNU02000000:4:22235628:22237514:1 gene:OGLUM04G17510 transcript:OGLUM04G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASASGSATLLYPKTPQSPRLLRRNPHYSGLRLVHPLLLATVSPPPPAALRRRRNSTTIHASSSSAAAAAASFPASPTPPPRPPRTDPPEEHPTVARAGRSKKHRKPSGGRIEGGGDVRREAKSRARIRSRRLGENAFYRRKRRAAKENQADAFTDAELEMIGLGYDRSVRFMDGPDDPRLRHRHDWYRFGRYGPYSWRGIVVGPPIRGRFSDDRVSLMSEVSDHDEWDRVEQFDMSNQFSNRLNELDAAVGFRYYWVFVRHPRWRPDELPWEQWTLSAEVAIQASEEQRLDKWNLMGRLGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQKNFYSQLRPLVDPVTENQFLFDLEHDGQVIRTTYFGGLCRIVKASPKAYVDDVVNAYSKLSDVDKNRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDDNESDDEGGDESGTEVVDWVEDDGFDEGGDTDDDEEPGYDDDEVIDVREEVETEEVESDDESEKYWDEQWKQAMKSSDKMEKLVKTSIEASNEYNRRRMQQEKEMELRMARANTMVMKQEQTEDEDEQQEQIEDEDEQQESPRGRSAKDKRKSKAPGHFLRAAVRPFTYRNLVKEIVLMRHFIVDGEID >OGLUM04G17520.1 pep chromosome:ALNU02000000:4:22238990:22242027:1 gene:OGLUM04G17520 transcript:OGLUM04G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) with plant pleckstrin homology-like region [Source:Projected from Arabidopsis thaliana (AT5G57770) TAIR;Acc:AT5G57770] MELDRSLIACEEPPSEPMDLLSSAWCSSTIQVLQTGSMDCSMALVENPVMAPDNDRRDLLPKNDRSLVVDSSGFSTTQWKYDDLKSWIWLQKAIHPELDYDLKKKWLPRKMAPWSGISLKKWVKERKQKRKEEARLHKAEVHAAVSVAGVAAALAAIAAESSTPAATMKETAVASAAALVAAQCAKVAEAAGATRDQVAAAVNAAVAATDASNVITLTAAAATSLRGAAALRGRRSGGGGGGGSQNERMDHASSALSQDDLDFDFNYARSKAALAKGDEMFVAMPDGKWKLHTVSAATSKGGKVVMRIKKMNLVMAFSNAKESKKPNAQVDRATAIPVHLLTLRENDRAGVIHDVQPCAPEKPSRDEDATYPIEVTTSKGKVELRADDYGVYKRWVTALTHMLAAPTAICKAHELPPPPPPHRRN >OGLUM04G17530.1 pep chromosome:ALNU02000000:4:22246499:22248062:1 gene:OGLUM04G17530 transcript:OGLUM04G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIAIAVVPAGSQAGSSWLMMMRRMMSSSMVGLLVSSLEKKKKKKTPKPMHKLEEKKQQHKFKPDDSSDTDEGSGLI >OGLUM04G17540.1 pep chromosome:ALNU02000000:4:22248922:22250333:-1 gene:OGLUM04G17540 transcript:OGLUM04G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEIKTAPADFRFPTTNQTRHCFTRYIEYHRCVNAKGEATADCEKFAKYYRSLCPAEWVSAFAVLNWHHNYILLF >OGLUM04G17550.1 pep chromosome:ALNU02000000:4:22254314:22255026:1 gene:OGLUM04G17550 transcript:OGLUM04G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYSKSQFKGYIYAPDQLANPEDLKLRREMDNLAQKEAFLKFFAEQLLHYLNKNREVATTPIPNQTRVGDSMQNEGRRPLGRSSRISAWGSEVSGGGKRRDRRGAMKRQGPRGLSAPDLDD >OGLUM04G17560.1 pep chromosome:ALNU02000000:4:22256213:22259139:1 gene:OGLUM04G17560 transcript:OGLUM04G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHASSGSGASPLLGVDVLMESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLNLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFNE >OGLUM04G17560.2 pep chromosome:ALNU02000000:4:22257505:22259139:1 gene:OGLUM04G17560 transcript:OGLUM04G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSRSLMYEAPLGYSIEDVRPAGGVKKFQSAAYSNCSDQPAPMGVLSAADPPPVSAIGFEGYEKRLEITFSEAPVFADPDGRGLRALSRAQIDSVLNLARCTIVSELSNKDFDSYVLSESSLFIYSDKIVIKTCGTTKLLLTIPRILELAEGLSMPLAAVKYSRGMFIFPSAQPAPHRSFSEEVAVLNRYFGHLKSGGNAYVIGDPAKPGQKWHIYYATQHPEQPMVTLEMCMTGLDKEKASVFFKTSADGHTSCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVVGFDASTLAYGDLVKRVLRCFGPSEFSVAVTIFGGHGHAGTWAKELNADAYKCNNMVEQELPCGGLLIYQSFDATEDVPVAVGSPKSVLHCFEAENMVNPAPVKEGKLGNLLPWGEDALEENDGVFNE >OGLUM04G17580.1 pep chromosome:ALNU02000000:4:22268557:22272657:1 gene:OGLUM04G17580 transcript:OGLUM04G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MEEVRGRESKDHRGGSGGGGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTVIDSISVHKPHAGEGEKFMREAFTEAYSQASQGRPAVIFIDELDDICPPRGSRQLLLMREQGSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDLESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAERTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >OGLUM04G17580.2 pep chromosome:ALNU02000000:4:22268557:22278354:1 gene:OGLUM04G17580 transcript:OGLUM04G17580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MEEVRGRESKDHRGGSGGGGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVRECNAHLTVIDSISVHKPHAGEGEKFMREAFTEAYSQASQGRPAVIFIDELDDICPPRGSRQLLLMREQGSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDLESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAERTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >OGLUM04G17580.3 pep chromosome:ALNU02000000:4:22268557:22272657:1 gene:OGLUM04G17580 transcript:OGLUM04G17580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MEEVRGRESKDHRGGSGGGGGGGGGDGDGERTGRWRAEEVIAGNRAVLEALRELVMYPVLYAREARVLGLNFPRGLLLHGPSGTGKKSMVRAVVREFQFLCINPTLERGRSSCERLLLKHIPRHHRVDQPREQGSRIVGQLLTLMDGKSSKLLPHLVVVASATRVDAIESALRRPGRFDSEIEVTVPTAEERFEILKLYTKNLHLGECVDLQSVAASCNGYVGADLQALCREAARRAYGRLSSSSESENVLTLIMEDLESAKSVAKNSVTRGVTKEIPAVSWDDIGGLKAVKKKLQQAVEWPIKHAASFDRLGISPIRGVLLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSKYVGEGEALLRRTFQMARLASPSIIFFDEADAIAPKRTGPSGNSSGNATVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALLRPGRFDMVLYVPPPDAEGRYEILRIHTRKMPLGDDVDLWKVAERTELFTGADLEGLCREAGMAALRESLRSERFVCDDIHFQAALRSLRPSLTQSVVDEYSNAAIHGPLTRRKH >OGLUM04G17580.4 pep chromosome:ALNU02000000:4:22277080:22279748:1 gene:OGLUM04G17580 transcript:OGLUM04G17580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48B [Source:Projected from Arabidopsis thaliana (AT2G03670) TAIR;Acc:AT2G03670] MDRQEEEDDDAALREASGGASFPGGWLRRLSRELHWSFVLAVVAVYGACQGVGDAVGGVAAGYYWKDVQRVQPSAAQFYQGFVSAPWVVKPIWGLLTDVVPVAGYRRRPYFLLAGVIGASSMLTLSLHRKLGIMPAILALTAQSAGAAVADVTVDALVAQNSITHQPLAADLQSLCGFSSSVGALLGFSISGLLVHSMGSQGALGLLSIPSALVFLAGVLLKERRVTDFDYKQVHRKFYKAIQSMGATLKCAEVWRPCVYMYVSLSLSLDIQAGMFYWYTDPIVGPGFSEEFIGLVYSVGSIGSLLGVLLYQISLKDYPFRGVLFWGQVLSSLAGMLDLIMVTRLNTRIGIPDYVFAVIDNSVSQMVGRLKWLPLLVLCSKLCPPGIEGTFYALLMSIQNAGLLMSGWWGGLMLHLLNVTRTEFSNLWVAVLIRNLSRLLPLMLLFLVPQSDQNSMLLPAEMLQDNESTEARKGGQDTAEFSVLVADDSSCHALNVAVEDERIKVVDAGTGTVELIPLMNELQDHGS >OGLUM04G17590.1 pep chromosome:ALNU02000000:4:22272075:22276299:-1 gene:OGLUM04G17590 transcript:OGLUM04G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MAFPAAAPVPVGREMGKRDGMTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDEDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLTFPQGSHSRLPAQAFKICAREKLGTLCYLPKIHIIS >OGLUM04G17590.2 pep chromosome:ALNU02000000:4:22272075:22274903:-1 gene:OGLUM04G17590 transcript:OGLUM04G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MTRGEKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDEDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAASIPAMPEKLPRRRDFVHLTVRFLTAYFLREGAGTQLLQDQRILSLQGARCIGDAAEDTFPQGSHSRLPAQAFKICAREKLGTLCYLPKIHIIS >OGLUM04G17590.3 pep chromosome:ALNU02000000:4:22272075:22274903:-1 gene:OGLUM04G17590 transcript:OGLUM04G17590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MTRGEKGMQPKISAFFKRQAPEPETSSGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDEDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLTFPQGSHSRLPAQAFKICAREKLGTLCYLPKIHIIS >OGLUM04G17590.4 pep chromosome:ALNU02000000:4:22272075:22274903:-1 gene:OGLUM04G17590 transcript:OGLUM04G17590.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MTRGEKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDEDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLTFPQGSHSRLPAQAFKICAREKLGTLCYLPKIHIIS >OGLUM04G17590.5 pep chromosome:ALNU02000000:4:22272838:22274903:-1 gene:OGLUM04G17590 transcript:OGLUM04G17590.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT4G31400) TAIR;Acc:AT4G31400] MTRGEKGMQPKISAFFKRQAPEPETSRFFILVPSSNLLAVAIRGGDTHREGTCGMEVKRPKSCADGKVLNKKRNYAQFHLELGQPDFLLHMCSVCGMMYARGNDEDEKAHKAYHKSYFEGVPFKGWRNETVVARSEGGDRIILVTDENSCARNSKVQEVIKVVQKELGFGEGQLLHKLCKRIVGCLVVEPIKTAHKVIPGSTEENGSDLPVDKIEPVKTNHTLEFGKISFKREVLKRHDHSDKNKEEYRDPGAIICEKEDVPAHCGFRAIWVVPSRRRKRIGSQLMDAARKSFLEGETLCISQCAFSPPTSSGKALARSYCKTSAFLVYKEQDA >OGLUM04G17600.1 pep chromosome:ALNU02000000:4:22277155:22282047:-1 gene:OGLUM04G17600 transcript:OGLUM04G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGRLLYGMTGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVRPVASCLPAIRPSVDKAGDVSAPEEVSTAVKRDRSPLAVRAAAVAGDGDDVGEQAPDGLDDPGGADEPLVELRRRRLHALHVLPVVPRRDAADGVADALAGPVDGHDGEHEAPVQLAGQTPEPAAGEGGTAAGFSKRRVVVLLLLAIHLGSDFLPGVSWGSTAEARRNSHG >OGLUM04G17600.2 pep chromosome:ALNU02000000:4:22277155:22282047:-1 gene:OGLUM04G17600 transcript:OGLUM04G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMVGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVRPVASCLPAIRPSVDKAGDVSAPEEVSTAVKRDRSPLAVRAAAVAGDGDDVGEQAPDGLDDPGGADEPLVELRRRRLHALHVLPVVPRRDAADGVADALAGPVDGHDGEHEAPVQLAGQTPEPAAGEGGTAAGFSKRRVVVLLLLAIHLGSDFLPGVSWGSTAEARRNSHG >OGLUM04G17600.3 pep chromosome:ALNU02000000:4:22279922:22282025:-1 gene:OGLUM04G17600 transcript:OGLUM04G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >OGLUM04G17600.4 pep chromosome:ALNU02000000:4:22279924:22282047:-1 gene:OGLUM04G17600 transcript:OGLUM04G17600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTIILMQPSQNRATRTFMDFNSVNHALDGICGLYERKIRDINPMARDLTYDINDLYNFIDGLTDISALVFDRSLHAFLPYDRRWIKQEMFQHLKRLAQQ >OGLUM04G17600.5 pep chromosome:ALNU02000000:4:22277155:22278059:-1 gene:OGLUM04G17600 transcript:OGLUM04G17600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRAAAVAGDGDDVGEQAPDGLDDPGGADEPLVELRRRRLHALHVLPVVPRRDAADGVADALAGPVDGHDGEHEAPVQLAGQTPEPAAGEGGTAAGFSKRRVVVLLLLAIHLGSDFLPGVSWGSTAEARRNSHG >OGLUM04G17610.1 pep chromosome:ALNU02000000:4:22283069:22288465:-1 gene:OGLUM04G17610 transcript:OGLUM04G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDHQPVISLRPGGGGGGPRPGRLFSPAFAAAASGSGDLLRSHVGGASKIGDPNFEVRERVRYTRDQLLELREIVDIPEAILRIKQEIDIELHGEDQIWGRPESDVQVQTQTQAQPHNRYGETDNRDWRARTVQPPAANEEKSWDNIREAKAAHASSGRQQEQVNRQDQLNHQFASKAQVGPTPALIKAEVPWSARRGNLSEKDRVLKTVKGILNKLTPEKFDLLKGQLMESGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNEKLPSFPSEEPGGKEITFKRVLLNNCQEAFEGAESLRAEIAKLTGPDQEMERRDKERIVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGSGPDKKACPEEENVEAICQFFNTIGKQLDENPKSRRINDTYFIQMKELTTNLQLAPRLRFMVRDVVDLRSNNWVPRREEIKAKTISEIHDEAIKTLGLRPGATGLTRNGRNAPGGPLSPGGFPMNRPGTGGMMPGMPGTPGMPGSRKMPGMPGLDNDNWEVPRSKSMPRGDSLRNQGPLLNKPSSINKPSSINSRLLPHGSGALIGKSALLGSGGPPSRPSSLMASPTHTPAQTAPSPKPVSAAPAVVPVTDKAAGSSHEMPAAVQKKTVSLLEEYFGIRILDEAQQCIEELQCPEYYSEIVKEAINLALDKGPNFIDPLVRLLEHLHTKKIFETEDLKTGCLLYAALLEDIGIDLPLAPALFGEVVARLSLSNGLSFEVVEEILKAVEDAYFRKGIFDAVMKTMGGNSSGQAILSSHAVVIDACNKLLK >OGLUM04G17620.1 pep chromosome:ALNU02000000:4:22295109:22300191:1 gene:OGLUM04G17620 transcript:OGLUM04G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGGRKGKRNVGFKIPKKKFRFCVRDEYGTKALAEQVMFTVRSPLDNNNEFLKKLRKIKAHECNMRGDNAEKTGSLSPIIMGTLRLVSKRHED >OGLUM04G17630.1 pep chromosome:ALNU02000000:4:22309427:22311513:1 gene:OGLUM04G17630 transcript:OGLUM04G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTGDRKEKRNVGFNIPTKKFRFCVRDEYGTKALAEQVMVKSTSLWRELELRTESRMILSFFYYQFKDILTWPAFMQNVHMFNKLHATLNDILKMYKSRRDDIGLFYLAPTMRSLQYTVRSPLYDNNEFRKRLRRNKVHECKNRGDNKEKNGSLPPIIIRTLRLVSKRHILGFMKPT >OGLUM04G17640.1 pep chromosome:ALNU02000000:4:22311830:22312357:1 gene:OGLUM04G17640 transcript:OGLUM04G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTRRKPAWIAFGHPGASGGARRWSLAKPWANMMTTTPNGVVPLFRGVVLALTSLSTKNLSHATVVIGGLLQCIRNSTYLWGVRKLSNDDTLQSLYRVVFASCV >OGLUM04G17650.1 pep chromosome:ALNU02000000:4:22316033:22318622:1 gene:OGLUM04G17650 transcript:OGLUM04G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFHIPRVRRRDPVGGGARSSDSASTRSAAASGPARSGGGRRPRAEAAVAGPWTWQMALAGVVEPVVCSSPEPTLSRPAGVVAHRCKMYPEMAEEVTITQTVVMGIAPSKGAPQLGDNSDVILFHPAAAPLVGTFSPFK >OGLUM04G17650.2 pep chromosome:ALNU02000000:4:22316033:22317121:1 gene:OGLUM04G17650 transcript:OGLUM04G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCFHIPRVRRRDPVGGGARSSDSASTRSAAASGPARSGGGRRPRAEAAVAGPWTWQMALAGVVEPVVCSSPEPTLSRPAGVVAHRCKMYPEMAEEVTITQTVVMGIAPSKGYNSKGHY >OGLUM04G17660.1 pep chromosome:ALNU02000000:4:22320072:22320836:-1 gene:OGLUM04G17660 transcript:OGLUM04G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPASRVRREDVARAVAALLRWLQHHPTPAPEPIYLLVTLKRAPARRFEHTLRLPRSPFPSISLVSDRLPADLPDDIDPLPSPALGSLPPAARRGLVLVDRRLRVRPGGKGKAAAKAARVVPVDLADQAWAESAREVARRVELRVEGGTCRAVRVGHAAMAREEAVENVVAAVEAAAACVPRKWRNVRALHVKAPESVALPLYSAVGTGDDDDDGGNGEAEGAKRKGVAVKEQGIVKRRKKSSSVSVGGDQL >OGLUM04G17670.1 pep chromosome:ALNU02000000:4:22321679:22325598:1 gene:OGLUM04G17670 transcript:OGLUM04G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMGSSIGRATIFYCVALSMIAGAAATQVPPTEAESVEELASSAFKADTLPSASRKLMAVSDVPLAPVCPVRFDKMKGPAIELGKKCKTTGVKVCCEAFKTFACPHNKLINDVNNGCADEMFYTIHTYGQLPPGTIFKKCLEGPHGMKSCWESQARMGSSTILFYCVALSVVAAAAVVSSAAEEAEGPQDEAGRFLSAATLASSDSDAKTSRRALTSQEEIIAKPCPVEFEQVKGFGELGAKCNDKQTMKECCELFKKIACPYNHLLNDITNVCANEFFYLIHTKGKLQPGTILENCNEGPMGINC >OGLUM04G17680.1 pep chromosome:ALNU02000000:4:22325734:22326384:-1 gene:OGLUM04G17680 transcript:OGLUM04G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSLLLILCAAVASFLIFLCGGDGGAAAAAGGPRSVKMASSLYVPQLTRWRVALGGVSRGVVEHEGKVHLVVSRGEDDDRVVAAAGKEEEKEEEDEDPRERVEIGGRLFPVVDETEVALHGGKVVRAVEYGERRGSPAAPLLLTVTEGKEKEVAEVVGAPDGGGVLRVVGCGCYADPVTGTVQHMVDVQGSEAFVLLVSVREELGRIVSIKRLN >OGLUM04G17690.1 pep chromosome:ALNU02000000:4:22350537:22351574:1 gene:OGLUM04G17690 transcript:OGLUM04G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding CCGGRENSEAPTAVRIEAWGARSSTSTLGLGFVGDSKPRASPWKASGGGGLLWWLKDRGKGKNEFTSSRQTRWRKGLACRAVEEEIGQWQGGGRHGRREKARFDLGKGVLPEGEVGLEEEEGKRVTTTAVACKEGQRRPALVS >OGLUM04G17700.1 pep chromosome:ALNU02000000:4:22366964:22374681:1 gene:OGLUM04G17700 transcript:OGLUM04G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAYASSELSSTAPARRRRPSPIKAAPPPTSPPAALHQELLRPPYPATRCFVPHLALGKMAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVLFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTNFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPVMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEVIGINNEEKPEEQGVSSSSPPPLKRRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSVRSLAFYALLFIFYNYMG >OGLUM04G17700.2 pep chromosome:ALNU02000000:4:22366964:22374681:1 gene:OGLUM04G17700 transcript:OGLUM04G17700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYAYASSELSSTAPARRRRPSPIKAAPPPTSPPAALHQELLRPPYPATRDWDAHEVFGGMRGRRRRWWQGKMAITVRRSTMVRPAWETPRVRLWNSNLDLVVPRFHTPSVYFYRRGPEGGGAPEGFFDGERMRRALAEALVLFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPDASVDDYGDFAPTMELKRLIPAVDYTDDISSFSLLVLQVTNFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGTQIAIMPFIDRTLLRARDPPTPSYPHVEYQPAPVMLSSVPQSVTANKTTPPPTAVDIFKLTRSDLGRLRSQLPSGEGAPRFSTYAVLAAHVWRCVSLARGLPSEQPTKLYCATDGRQRLQPPLPEGYFGNVIFTATPLAEAGKVTSGLADGAAVIQEALDRMNDSYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANKDGSLSIAISLQAEHMEKFRKLIFEVIGINNEEKPEEQGVSSSSPPPLKRRGGGRRSWVRVDAATGASEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERIRCIITADEALILRDPDVAGGGAETEEAVRRYVAELQRRLVDRADDLPFEFIALEVALEAACSFLDAQAVELEADAYPLLDELTTKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMEASLLEEQAFQGMGNSGFGSSFSAPVSPVSSPPASRRLEKELSFARSRHDSFKSADSSQYSIEELEMLLEAYFVVIDYTLSKLTSVRSLAFYALLFIFYNYMG >OGLUM04G17710.1 pep chromosome:ALNU02000000:4:22393523:22396555:1 gene:OGLUM04G17710 transcript:OGLUM04G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQRPLQVPDITKSTHSGGNTVLAYASSAMQGYRSTMEDAHATIENLDAPTNTSFFSVYDGHGGSAVARMDEMLRNQAASKELTEYGSGNEYWRTAGRSWLRCAPCVQGPVYCGPLAEGCTACVVLIRNTQIVVGNAGDARCVISRNGQAIALSNDHKPNFPEETQRIVAAGGSVSFSRGSHRVNNGIAVSRAIGIAYMFVGGDLSYKNNKKLRPEQQLLTCSPEIRADQLTDDTEFLVIACDGVWDVLANQAVVDFVRLHLNNGVELSVICESLLQEAITRDPPSTDNMSVILVRFLHPEGNRGARAATSSTSTGTVPSRHSKSISL >OGLUM04G17720.1 pep chromosome:ALNU02000000:4:22398159:22400585:-1 gene:OGLUM04G17720 transcript:OGLUM04G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICLAAAATRAAASGAKQVGTSVAPPPPREAARVFSSAVVSLLASRPPPPSRRGKFKRQPCEAPMAPKAAPAKKGDAKAQALKAAKAVKSGTAKKTTKKIRTSVTFHRPKTLKKSRDPKYPRVSTPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >OGLUM04G17730.1 pep chromosome:ALNU02000000:4:22407146:22410772:1 gene:OGLUM04G17730 transcript:OGLUM04G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANARGRLSPASGGGGDSEPRSAGSRTRSVSATRGRKPSPRPGRDAAAAAAEEKKPAVAPTLLPSLSVPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPTFGARKKKTLCQTDHKIVSMLEREVGLASANDVPGLKRRCSWVTANTEPCYAAFHDEEWGVPVHDDKVLFELLVLSGALAELTWPTILNKRPIFREVFMDFDPVLVSKLSEKKIIAPGSPSSTLLSEQKLRGVIENARQILKIVEEFGTFDKYCWSFVNNKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAAAATGSNTTVGSETNSDSSNRATEQQMNGTNGLAADIARTIDELSIS >OGLUM04G17740.1 pep chromosome:ALNU02000000:4:22425165:22426529:-1 gene:OGLUM04G17740 transcript:OGLUM04G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVRFNVGGQVFETTTTTLANAGRESMLGALLDSSWNLAPTAGGGGGGGGGGGGGGVAEYFIDRNPACFAVLLDLLRTGSLHVPPQLPEKLLYREALYYGLLDHVRAARWGAFDGDRLRLAASVPGRAPGDGTAIRAAPDGGCCVAHGGAVHVYNWMLDERRPVSLDHSQVNDVAYLDEATLLIAARERLGKCDGGMAAFSAVSGDLRHRFRVAHDRQAKSFTAGALAFDQDSSIFASCKGRLNEYGIGVWDRATGEQADFFYEPPGCALGDADKLQWLDATNALMVATLFPKTDNCFIGLLDFRDKNVAWSWSDAGMAASLDDKRVLHAIAMEDERSVCVINQYDDLGFLDLRSNAGGVRWSSRSKFMNRKVPSEESCYPKLATHGGQLFSSMNDSISVFSGPECVLTSTLRRSHGGAICDFSIGGDRLFALHNEENVFDVWETPPPPII >OGLUM04G17750.1 pep chromosome:ALNU02000000:4:22429223:22435572:-1 gene:OGLUM04G17750 transcript:OGLUM04G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGAQMEVERRLRDIGARFTSLPDADDELLRLIEEAETWLARVDQSPPESMHKALRPTMSALIKKELLDHSVPDIKLAVASCLTEVTRITAPEAPYDDDVMKDVFTRVVEAFEKLDDMESPSYARRVAMLETVAKVRSCVLMLDLDCDDLIRDMFHHFFRTISNTHQENVITSMETVMKFVIDESEDVQQDMPSCLLQDLASYLLKNLKKEEKETLPASFELAEKVINKCYEKLKPVFTPLLRGTPLDEYSEVVTSLFEDALDAGVADNSDAPGKDTVADGKLSHKIVSDESAQESSKLEQDANCPGKDGTPPNNTSTSAVSNGCALIDRVKSPSGPSSSDKKAELPSDDNQAKDTDDLISGAKEIPEPITTEPEKPSDHNLKKSHKLDTSTDSEVVDHSKAVNNNEDILVSRELSPETDDGDNKLPPETGNRAADDKSKHVDNTPAGKGKRGRPPASKSHEKKNVGKGKVSGLESKKADAVSDSGGRATRRLAKDDDIKSSFKTTGEGESSKKKQKENLKQQEDTPPDEDTDEDLSLKDIVSPKSSAKTGKNKGQAGDSGGSKRKRAQEAEETPQPKKNRILKGNLVGSRIKVWWPDDRKFYKGVVESFDVASKKHKVVYDDGDVERLHLKNEKWEFIDEGRDNNPDASSDMPRGRRGRVSLGEQTKEGKIETPSSGKYRGTDVADPPKKRGRPKGVRSSNSSQNDDSPLKGKSAENDDEDISKTPKSGSALKNEGGRSSRSTGKTKDGLLKGSNKDETGNTKSASKSKNDGGSKHKDSKDEAKSSGSNPKGASTPKAADGSKTNGLSTKRKQKEKEGESSEEEEHVSAKISTGKKRRRKAHN >OGLUM04G17760.1 pep chromosome:ALNU02000000:4:22438328:22444393:1 gene:OGLUM04G17760 transcript:OGLUM04G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT5G17410) TAIR;Acc:AT5G17410] MDPAPATPRWNLDRPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSRGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAAGVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKAVCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >OGLUM04G17760.2 pep chromosome:ALNU02000000:4:22438328:22444460:1 gene:OGLUM04G17760 transcript:OGLUM04G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT5G17410) TAIR;Acc:AT5G17410] MDPAPATPRWNLDRPYLTGRFHQEAKVAAAAQGAGSKPYSLDSFSRGGGAESVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDFVLVYHFVESRSHFKSGLVNHALAAALRAFLLDYQAMVAQLEHQFRLGRLSVQGLWFFCQRMMSSLNALAVLVEKAISNNTSGSATLNLLQSQAKAMAGDSAVRSLLEKMTECASAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDGIPSFLTNVAATILTTGKYLNVMRECEYTVQVPLSESSKLMGFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISVEKLQSLVDIALRSTAAASDPSHEDLTCCVERSSLLKKLSTLKDLDCAYPSDKLVAAGVDHPMPLSVTGLETFCLSYKVQWPLSLVISRKALTKYQLIFRLLFHCKHGFRSVKILGTPVLRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLLPELLVKIEKLKAVCLQYATSIQLLIPSIDVAKPENTSKSRMPRSKIKETKNRGQQLKLASENVVMSESILKFEAEFNSELQSLIPTLSNSSQAEPYLTHLGQCILGVGVDQ >OGLUM04G17770.1 pep chromosome:ALNU02000000:4:22446476:22449019:1 gene:OGLUM04G17770 transcript:OGLUM04G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGGAAVAAACGRWCLVILAVASALGVSGPAFYWRYKKGFASSSSSSSSVSASAAAVVSPSCPPCSCDCPPPLSLQSIAPGLVNFSTSGCGKNDPELSKEMEKQFVDLLNEELKLQQIVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEARERSEAAISKEKKLTALWEQRARQLGWQDSRPRVTTI >OGLUM04G17780.1 pep chromosome:ALNU02000000:4:22449696:22451880:-1 gene:OGLUM04G17780 transcript:OGLUM04G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPIHQQTISNVRKLNRLWEDAKRATCKITMAGIMDEENASSTNSIKLELRIYMHCKACERSVRRAIEKIDAQSILPEISTNYTYAGVEKVEVERGENKVTVTGGGDFEPEKAVRRIKKKTGKKVEILALEEEDDDHEEDGGGGADAQAHHEFQRHGYYVPYYHHRHHHHLVPVPCAYVPSCYDHLVPVPPPDNGGGGTADVAHEFQRRGGVGHYGYYAPCYYDGGGGGGGDVAHEIQRPVRSAWDLHGFDDENTQACRVT >OGLUM04G17790.1 pep chromosome:ALNU02000000:4:22452378:22457051:1 gene:OGLUM04G17790 transcript:OGLUM04G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGLFQFAPYIMTSRTLARQSSSEQTRERRSNLRRNALQVSERCRSWSLHGELFGDGSGELFVDVLVLFFHDGVYRARSKKIVGGGTDVRPVYGLEFVHGGYDGGRSRELAGNGASAYLCEDVLGLFRKFHYFVRPSRIVGVGTCALPEAWIVGVGTWVLPDDGLELFHAGYAGRSRIPEPTASCSDFPPEMLWHHPCGEQKIKGGRPDLLGREFGILAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLARGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEENVACNNTASHKEFSEFPGPDIKFGSINIRDIPLLQGRQAAVILPNPQLDRRTSGLTPAGASSLDQETDQELNEKLL >OGLUM04G17790.2 pep chromosome:ALNU02000000:4:22452392:22457051:1 gene:OGLUM04G17790 transcript:OGLUM04G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGLFQFAPYIMTSRTLARQSSSEQTRERRSNLRRNALQVSERCRSWSLHGELFGDGSGELFVDVLVLFFHDGVYRARSKKIVGGGTDVRPVYGLEFVHGGYDGGRSRELAGNGASAYLCEDVLGLFRKFHYFVRPSRIVGVGTCALPEAWIVGVGTWVLPDDGLELFHAGYAGRSRIPEPTASCSDFPPEMLWHHPCGEQKIKGGRPDLLGREFGILAEQKRLIQRHLDLKEEMHLRHNKEIENAFISETRLARGVEQDLLGDCKKAVLVQQDQSGKEEESHQCLRQLQDVNGEQLVGVPKLGLPGAEENVACNNTASHKEFSEFPGPDIKFGSINIRDIPLLQGRQAAVILPNPQLDRRTSGLTPAGASSLDQETDQELNEKLL >OGLUM04G17800.1 pep chromosome:ALNU02000000:4:22457507:22466033:1 gene:OGLUM04G17800 transcript:OGLUM04G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRS120 [Source:Projected from Arabidopsis thaliana (AT5G11040) TAIR;Acc:AT5G11040] MEPGVSIESGSAIRVAVLPVGGPISPARLRDYAALVARHARVDLASLRPYYSEHQKSPFAHQPWGGGCLRLKFVLGGCVPSPWEDFQSSRKVLAVVGICHLPSSPDLGRVAADFVDAARSYPSALASRCFAFCPTDAQLVQKKRDNIIMFPPSDQQSLELHMLTMIQDLSASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVHTLGVPSILTSVIKAKKRRLGRAQKIIGDYCLLAGSPADANAHYATAIELARLTGDVFWHAGALEGSVCALVVDRMAESDPVLEDEVKFRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRQCAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTTTTTAYHVQSRKTSKMDHGLLKLYWLSLFDSGNTDPGKLHPQSVVSLFESQWSTLQMVVLREILMSSIRAADPLSSWSAAARLLRLHSFPLHPSQREIVKRNPNKKEWWTGGGPSGPFIYTPFTKGGTSGTSKQEVNWIVGEPVQVMVELANPCSFDLIVESIYLSVHSGNFDAFPVSVNLPPNTSKLVLLSGIPTQVGQVSIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKFKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANVALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSPTDLEADGSRSPANSRRIAREGSNPFLDIHYAGPSGNSESNDVSLPPGRRLVVPLNICVVQGMRLVRARLLSMELPARFTDAHLRSVSSKDNLSNGSDAIRNDISLLKIDPYKGSWDLRLLELELFNPTDVVFDVDVSVHLDGTSVEQKILPEDKTASSACHKTRIDRDYSARVLIPLEHFKLPVLDTSFFVKENGSDEPLGSRAATLAEKNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQTALQASIMDILLPDPLTFSFRHAKDGTTAKTDSSKEPGDGSSRSADESVLRCKDPIFANEMTHMEVQIRNNTKETIRMNLSMSCKDVAGENCFDENSATVLWAGVLSDIYLEVQPLQEPGNRLEEQELQGKPSPVSELLKCAGFYALRKLQKVVIEIERNEARLEKIANVARAALTRMNNGETLSQEEMKSYLEEIIRLASI >OGLUM04G17810.1 pep chromosome:ALNU02000000:4:22466967:22468938:-1 gene:OGLUM04G17810 transcript:OGLUM04G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >OGLUM04G17810.2 pep chromosome:ALNU02000000:4:22466967:22468938:-1 gene:OGLUM04G17810 transcript:OGLUM04G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTERAFLKQPKVFLCPKKTTKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQVNLVAGYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAGKKVFTAA >OGLUM04G17820.1 pep chromosome:ALNU02000000:4:22472421:22472942:-1 gene:OGLUM04G17820 transcript:OGLUM04G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRGRGLAAGKEESGCGRAVDGGGMWRRGRGLAAGKEESGCGRAVDGGNKCGRESRAKALPSHLAGWQRRCRLASFLFLEAFIPLSFPYHILRVKTLLRFRMSGGGDPRRILLGGTALEKPLRARILSLVYALASNFSPRP >OGLUM04G17830.1 pep chromosome:ALNU02000000:4:22482299:22488011:1 gene:OGLUM04G17830 transcript:OGLUM04G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGVGKVKAGSRPPWVGLAAAVWVQVAAGSAYVFPLYSHAIKEALGYNQKALTMLGVANDVGENVGLVPGVLANRLPPWLILLIGSACALLGFGTLWLAVTKTLVMPYWVLCIALCIGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLQNSPTNLLLLLALGIPTACVLVMYFVRPCTPSLDEDNASEHSHFMFTQISSVVLGVYLMVATILGDTLKLSDAITYLLFGIMILLLLAPLAIPIKMTIYPNKPKREKTSTLALSYSTDSLSGPDQENSEPLLGGTSTFVTGANDSDEATDVDLLLAEGEGAVNLKKKRGPRRGDDFTFREALVKADFWLLFIVYFCGVGTGVTVLNNLAQVGMAVGADDTTILLCLFGFCNFVGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSTTFLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAAKQQPGVLEPSTCLGPDCFRLTFYVCAIVCCCGTLVSVVFIARIKPVYQMLYASGSFRHPRSQQLH >OGLUM04G17840.1 pep chromosome:ALNU02000000:4:22490917:22495514:1 gene:OGLUM04G17840 transcript:OGLUM04G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPADLMRAVVPVFPPSESNIVREGRLRGERNPGELHCAPSEFFMLFDTNGDRLISFAEYIFFVTLLSIPESSFSAAFKMFDVDHSGEIDKEEFKKVMALMRSYNRQGANHRDGLRTGLKVGQPVENGGVVEYFFGNDGNEPLHYDKFTNFLKGLHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINKLLDRVDNLGNDPDLKGVRITFEEFKSFADLRRRLEPLAMAIFTYGKVNGLLTKQDLKRAAHHVCGVDLTDRVVDIIFHVFDTNHDGNLSSEEFVRALQRRETDVRQPATPGSMGLLSYWLNFNKCSSLTQMLLK >OGLUM04G17850.1 pep chromosome:ALNU02000000:4:22498164:22507940:1 gene:OGLUM04G17850 transcript:OGLUM04G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEPREEEIQSFNGAMVRGCDAVASPTTPATAVHHCHHHRSSARSRNPNFGRKGRVEGEELTGEKVATGEDSYPRRACVVAAASGLRRAAPRYPRRSSAPSRCAAAALHALERYLGSNIIWTPNQLGET >OGLUM04G17860.1 pep chromosome:ALNU02000000:4:22507535:22508510:-1 gene:OGLUM04G17860 transcript:OGLUM04G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRWVGAGRQLRAPTTARRSPPPFNIVGIKDVCEDGRAVHIVMELFIVGELLDKIQEEGHYNERKAAEIKDDGLSIKAIDIRVLQTRSGFTELIGSPYYVAPEVLHRYVIDQNLRYCTYGPKSDVWSATVVLNVLMSGVPPFWAETQQGIFDAVLKGLIDFQSVLKISDNEKYHIGKMLSQYSSEHLNFEGP >OGLUM04G17870.1 pep chromosome:ALNU02000000:4:22509857:22511212:1 gene:OGLUM04G17870 transcript:OGLUM04G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEEGTGLGKYGHGIIDPINPTKKYGKGGVGKFESLYDSDSDYDTGPPVEPKLEQGTGKAEPKAVVNAEEVRAMDTLQREREAYAATRARERRHEKVRAYNMRWQRPPKHDAAADDDWEGITSGYTAIKRALKVVREQSESGKLTLGGLIHEFAGVKTKFPEEYRTNKALKDTLGADASAAYPRLIHDLVMAPPLDAWWWSAEEPEPMLRFVNRWKGLLPQATMDSILDEVILPTLVAAADVFRLTTWSSKPSVCVGMWIPYLGHARLRIVYIIISRRLRDHLCGGISDYDYKLALPWKKVFDPASWDEHIERHVLPHLRKALHDLEISVRMTWLQNKNFFPLVMRWASIVPVKYMVPLLIQGFFKKWMYSNYRYLMGERPRLDEAMAWYEVWKGLFTPELLAEKCVVM >OGLUM04G17880.1 pep chromosome:ALNU02000000:4:22512714:22519178:-1 gene:OGLUM04G17880 transcript:OGLUM04G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSGLSKLAHLNLEGCAVTAACLEVISGLVKLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLNSLRSLNLDNRQITDNGLAALTCLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >OGLUM04G17880.2 pep chromosome:ALNU02000000:4:22512714:22519178:-1 gene:OGLUM04G17880 transcript:OGLUM04G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACSRKRGQLLVDEEDLYSARFSKSSSFKWLLHTLPRSGSDVHRKVQGPVPARCPSLMELCVAKVREVFNELVEWNILTEELLGAFRDCALQDICLADYPGVRDAWMEVAASQGQSLLSVDISCSDVTDGGLNQLKDCINLQSLSCNYCDQISEHGLKTLSGLSNVTSLSFKKCSAVTAEGAKAFANMVNLGSLDLERCPKIHGGLVHLKGLRKLEKLNLRYCNGITDSDMKHLSGLSKLAHLNLEGCAVTAACLEVISGLVKLKVLNLGFNYITDACLVHLKELINLECLNLDSCKIGDEGLAHLKGLLKLRSLELSDTEVGSNGLRHLSGLTGLTHLDLFGARITDAGTNCLKYFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKSLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCKVTAIEIKKLQLAALPNLVSVRPE >OGLUM04G17890.1 pep chromosome:ALNU02000000:4:22525600:22532751:1 gene:OGLUM04G17890 transcript:OGLUM04G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVCFEAMCPCFGSRRKDGSEDPVLGRDGNSLNSSELRSMSDRVPPSPLRVPASPSRFSLSSSPSRNEPLNLSLEQVIKLTHNFAPDLMIGEGYFGKVYRAQLRDGHIVAIKRAKMLLGYIDKRNERIVITEYVPNGTLREHLDGQHGLVLSFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLNEGFRAKVADFGFARTGPTEPDQSQIQTDVRGTAGYVDPEYLRTNHLTVKSDVFSYGVLLLEILSGRRPIEVRRAARERITVRWAFEKYNRGDVKEILDPMLTESVNEDILNKIFDVAFQCVAPTRADRPTMKEVAERLWKIRRDYAKTQRRAEMAL >OGLUM04G17900.1 pep chromosome:ALNU02000000:4:22531503:22534764:-1 gene:OGLUM04G17900 transcript:OGLUM04G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGRLTVAAAVAAWAIPLAALVDSIVPDPYMDEIFHVPQVQRYCRGDFLIWDPMITTPPGLYYISLAYVASLFPGAWVTRIAEAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRRRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFAMILLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >OGLUM04G17900.2 pep chromosome:ALNU02000000:4:22531503:22534764:-1 gene:OGLUM04G17900 transcript:OGLUM04G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIE2/ALG10 family [Source:Projected from Arabidopsis thaliana (AT5G02410) TAIR;Acc:AT5G02410] MGRLTVAAAAFDALCTTALLRSTNVIMAMICGVLVHDLLLCIRPKIGKRKATAFAILVALYPIHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWVSAAFGAFSILLRQTNVIWMIFFAANGAIAHAQYLYVKDNVCYENSELTDKSNKEASHMDNKTTAPGLRRRRNNNPINKREVVSESNIMYSSFTEEIWDAIFKLWNSKCEVLIAFIPFAMILLVFVAFIVWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFTPTRASDLFHWCRKNKTYSSFAILVALGLSLVAVHLFSIAHPYLLADNRHYTFYIWRKVIQVHWMMKYILTPVYVYSWFSIVNILGKSQTRLWVLSFVLSVALALIPAPLVEFRYYTIPLVILVLHSPVISNVKLLALGFLYAAVDFFTLAMFLFRPFQWQHEPGTQRFIW >OGLUM04G17910.1 pep chromosome:ALNU02000000:4:22534694:22538266:1 gene:OGLUM04G17910 transcript:OGLUM04G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRAASGIAHAATAAATVSLPIPHPYWLLPAALSSPARPGSTELTTKRTLSSSRPHRRRSGRPRRWGSGAVSRSRLTRCGAAAAQYNSLAGESLASAFVAVGFRLGWAFWARPTYEAGCANSPAQPSSPKGGRVEEIGEKLVQVLVEMASTACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYFYLYNNIVFFNLMTHARFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARSLPVNSNLININSDRCVCGVMVRTANISRQLIGGRRRSRERFWEHTSSDEPIAACQVNQEMRCYTTKHTLARLITVT >OGLUM04G17910.2 pep chromosome:ALNU02000000:4:22534694:22538266:1 gene:OGLUM04G17910 transcript:OGLUM04G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTRAASGIAHAATAAATVSLPIPHPYWLLPAALSSPARPGSTELTTKRTLSSSRPHRRRSGRPRRWGSGAVSRSRLTRCGAAAAQYNSLAGESLASAFVAVGFRLGWAFWARPTYEAGCANSPAQPSSPKGGRVEEIGEKLVQVLVEMASTACFAIVSKNDIPIYEAEVGSAPKKEDLAYQHQFILHAALDVVQDLAWSTNAMFLKSVDRFNDLVVSVYIFLNPLYLPGSRITSSHFDTKVRALARSLPVNSNLININSDRCVCGVMVRTANISRQLIGGRRRSRERFWEHTSSDEPIAACQVNQEMRCYTTKHTLARLITVT >OGLUM04G17920.1 pep chromosome:ALNU02000000:4:22546765:22551885:1 gene:OGLUM04G17920 transcript:OGLUM04G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDSRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILVESRK >OGLUM04G17920.2 pep chromosome:ALNU02000000:4:22546765:22551885:1 gene:OGLUM04G17920 transcript:OGLUM04G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKVVLGCRFPRIIKTTSPARCYAHPALCALLPVYHSSQSDSRRKKKKQQQQSSQPVRRARSNRGSKRRRREDSTVRLYRLMGEEDISNDSKSSCGCEDGTVEAPAAAAPKENGRAADPRLQAISDAIRVVPHFPKPGIMFNDITALLLRPAVFKDAVDMFVERYRGMRIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGEVISETYILEYGTDCLEMHVGATEPGERVVVVDDLVATGGTLCAAIKLLERAGADVVECACLIGLPKYKNFYKLNGKPVYILKDSQLHSFARARWRNWIGIGEQ >OGLUM04G17930.1 pep chromosome:ALNU02000000:4:22559004:22559369:-1 gene:OGLUM04G17930 transcript:OGLUM04G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGCGGGHSGQPAKVAAAGEARQCICSPTTHEGSFRCRLHRRGGMPRSASCQQLQLGAESSPLLCSAAAMRRSASQLQFANQHPLDPGMSRSASEKELPPCAGVPRSASWQDFAQKEDH >OGLUM04G17940.1 pep chromosome:ALNU02000000:4:22559776:22560429:-1 gene:OGLUM04G17940 transcript:OGLUM04G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAAETETEGGGGAAAVEPQVVVVERVVTVEYLEPSMSRGLLGMFPDSSAFDFDYSQSGIWSPLNKVPRASSPPPPPPPRSGGGGAEGSRDFLIANPKRRARAATGGRSSRSRRRRLRLRREDGSFLNLHETGCARLDFSPPPPSSPLPAKVAFCIQIPPSLRFMNHLRHYDEASAGWILQEEGWRRVLKAAIRKFKSRQRRSRPAPLLQMMLPTL >OGLUM04G17950.1 pep chromosome:ALNU02000000:4:22563080:22563568:-1 gene:OGLUM04G17950 transcript:OGLUM04G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPSFNPFTLLERNDPGDNPLSKEKEPVADRKPPVPPAAWPSKSAPAPKKKNDDKKKKNNNNSKNKKKPQEAGKGAANAAAGNKKPSAVKKEDTAKYIGYQYRAPIRTKKPDPEADKKKEQEAAAPPPSPPPPPPRPATPPPSFDDAAHFPTLGKNSKKK >OGLUM04G17960.1 pep chromosome:ALNU02000000:4:22567047:22571313:1 gene:OGLUM04G17960 transcript:OGLUM04G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADNWLGFSLSGQGNPQHHQNGSPSAAGDAAIDISGSGDFYGLPTPDAHHIGMAGEDAPYGVMDAFNRGTHETQDWAMRGLDYGGGSSDLSMLVGSSGGGRRTVAGDGGGEAPKLENFLDGNSFSDVHGQAAGGYLYSGSAVGGAGGYSNGGCGGGTIELSMIKTWLRSNQSQQQPSPPQHADQGMSTDASASSYACSDVLVGSCGGGGGAGGTASSHGQGLALSMSTGSVAAAAGGGAVVAAESSSSENKRVDSPGGAVDGAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPMSNYEKELEEMKHMTRQEYIAHLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDIYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSTLPVGGAARRLKEAEAAAAAAGGGVIVSHLADGGVGGYYYGCGPTIAFGGGGQQPAPLAVHYPSYGQASGWCKPEQDAVIAAGHCATDLQHLHLGSGGAAATHNFFQQPASSSAVYGNGGGGGGNAFMMPMGAVVAAADHGGQSSAYGGGDESGRLVVGYDGVVDPYAAMRSAYELSQGSSSSSVSVAKAANGYPDNWSSPFNGMG >OGLUM04G17970.1 pep chromosome:ALNU02000000:4:22572608:22577063:1 gene:OGLUM04G17970 transcript:OGLUM04G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHATALLQHPPPPPPPPASLPSTACTSSSRRRASPWGGAGRLIRLHLRGHCPSPASARAARVVSPRCSSYGAAADAGESPAEALRRVLESPGAHQAPACYDALSARLVGRAGFKVCFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAASIPVIGDADNGYGNCMNVKRTVKGFIKAGFAGIILEDQVSPKACGHTQGRKVVSREEAIMHIKAAVDARKESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAVSPGVPKMANMLEGGGKTPILSPAELEETGYKIIAYPLSLIGVSMRAMEDALIAIKGGRIPPPSSLPSFEEIKDTLGFNSYYEEEKRYVVTPAQSSSYRSGYYDNTSEASSPGDAKSRTETPQEPVIDILPQLYDLGSTGGRGPSAGMWSRTLRLRITGRDGVQKIDARIPAGFLEGMTKVIPGLAGANIMERLRNAPIDSENPQNGQILLDFEDAMGDRIQVFIE >OGLUM04G17980.1 pep chromosome:ALNU02000000:4:22576492:22577705:-1 gene:OGLUM04G17980 transcript:OGLUM04G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGEVVDVVVCEMENQAVSEMHVVMDLEGTTKRIPPMQTPRARLLRSCGESIMAMARGAYRRVEAMRCPVGCVARGASRAAAPVLSPLRLRCLSALAFADRQLLVVQDVAAVLFPAAERVLGRGADDLVLLVESLPARLDGAIDALEALLAGAAGLFVLPKRCRRYRADEDDDDGVGGAVFRDIWCDEKEAASLHRSAMEEEARRHSDDVARKELESLEVVTADDGGGGGNTVHGDKAPVDGEGEAATPAKRGDASGGQECGVEDIQRVETPAAEITDAMKDSTEIVKDEDQERGGSEREEEETFAMARTESREEALLGLFDIAWQQKLA >OGLUM04G17990.1 pep chromosome:ALNU02000000:4:22579901:22585656:-1 gene:OGLUM04G17990 transcript:OGLUM04G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMV2] MAAQMAAVAVNGGSPGAVTAAAAAGGVGVAVGLGGGGAQSLYVGDLEASVTDSQLYELFSQAGPVMSVRVCRDISSRRSLGYAYVNFNNPVDAARALELLNFAPLNGKPIRVMYSNRDPSSRRSGSANIFIKNLDKAIDHKTLHDTFSAFGNILSCKVATDEMGQSKGFGFVQYDKGEAAQSAIKSLNGMLINDKPVYVGPFLRKQERENSVDKTKFNNVFVKNLSESTTKEDLVKIFGAYGNITSAVIMVGMDGKSRCFGFINFESPDDAARAVEELNGKKINDKEWYVGRAQKKSEREIELKRRFEQSMKDAADKYQGLNLYMKNLDDSIGDDQLCELFSNYGKITSCKIMRDANGVSKGSGFVAFSTREEASQALLYITVAYIVPFIQLTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQVRPVPMTPSMAPRLPMYPPMAPLGQQLFYGQAPPAIMPPQPGFGFQQQLVPGMRPGGAHMPNYFVPVVQQGQQGPRPGIRRSGAGSAQGQQSAQPFQQQMLPRGRVYRYPPARNMPDVPPMPGVAGGMIQSYDMGGFPVRDAGLSPAPIGTLTSALANANPEQQRTILGESLYPLVELLEQNHAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAQQHNANTPTSQLAALSLGDAIIS >OGLUM04G18000.1 pep chromosome:ALNU02000000:4:22592519:22599013:1 gene:OGLUM04G18000 transcript:OGLUM04G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPVALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >OGLUM04G18000.2 pep chromosome:ALNU02000000:4:22592519:22599013:1 gene:OGLUM04G18000 transcript:OGLUM04G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPVALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTVISYVLLNVLMFFCL >OGLUM04G18000.3 pep chromosome:ALNU02000000:4:22594667:22599013:1 gene:OGLUM04G18000 transcript:OGLUM04G18000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPVALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >OGLUM04G18000.4 pep chromosome:ALNU02000000:4:22592519:22599013:1 gene:OGLUM04G18000 transcript:OGLUM04G18000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPVALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >OGLUM04G18000.5 pep chromosome:ALNU02000000:4:22592538:22599013:1 gene:OGLUM04G18000 transcript:OGLUM04G18000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDSLRSCTEQLLRVREEKERLIIEAADKISLEQKKVWSLQQKLEDANKRFAKVTTENYNLRNIVNSKDKVITELSESAALLNQKLIDATARLEFTHKQCGSLQYEVRILQKELEIRNKEREYDLKSIDAAQKHQQESTKKITALETECQRLRTMVQKRLPGPVALAKMKDEVERRGSNCVENRRRKPRSSAQSSPQVVTPRHPVSEGYLVKMQELDDENRHLRQLLAKKENDLQFVQLQYADEASKLSVVQGQLKELVGSHELDDDNRPEPWANSSLVSKGEHFRVGKQHASHSRGRRIAGSDMQLLVDISEIEKLEMISRPSSAPHQCVPDASDTESKTALTETVCQDRILEDGLSDKYPEWIQDVLELIIKKHQVLKISVDIIIDEIRSALRTEISDKGNDAANVTYDQAVIDSMVATLVERVSCMIERSSGNNVLSSQSFLHEKSELTCHLEHLICVCSDVLDGKANLRKFIEEVCLTLEWTVNQYIYCVDALETVDCITNDFDGNVSLRSLNMQEKQQMQSTNPKVAVGVQQEVQKEPFLIPGDPVENHSQVQFVTCKLDKELLAVRQDHGDNCQEKQSVHYEEESATADGSMQLLPEEEGKQLTNSAISAAADKLAECQETITSLSKKLQALKCPANADAVDKRKSDNLHLLVANQNFSSPPSIEAACKKENDERVTTEKNLLQEQDVGTGHKVDNNGSTQIAPRPVIPKSPLTTVSVDMKKRKKKKQGGSLLSRLIFGKKA >OGLUM04G18010.1 pep chromosome:ALNU02000000:4:22602834:22604198:1 gene:OGLUM04G18010 transcript:OGLUM04G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLSAARCLTLFCLLGACIAPGARANGRHSRRDLDINLGNGNGGGISIGIGGSGGGGGGGSSGGSSGGGGDLRPCDFENERLYKAYKVIQKFRRTVTCDPQNIISSWSGADLCSTYKGFFCERPPNITDRTIASVDFNGYNLQASSLKEFVDALPDLALFHANSNNFGGAVPDLSRLQYFYELDLSNNKLSPATFPTDVLKLKNATFVDIRFNSFYGELPGGVFCSFPQVQAIFVNNNQFSGSLPDNIGDSPVNYLSLANNNFTGEIPKSIARMANTLFEVLFLNNKLSGCLPYELGLLAKATVIDAGTNQLTGPIPASFACLRKVEQLNLADNLLYGEVPNALCELAFSWSGRLRNLTLSNNYFTSLGSCCWDLIKEGKLNVDRNCIPYAPNQRSHDECAAFFHRTKTSACPCNTYVPCGHNKHSAAGAGSEQDTAAAEEDKYRTYSALHP >OGLUM04G18020.1 pep chromosome:ALNU02000000:4:22609975:22613160:-1 gene:OGLUM04G18020 transcript:OGLUM04G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLKGVAPMSGSEYSSSDSEATLDDIPPIVIDADLEEEENMSDMSSMLNLQGPDKLGNNQPLDIVPLNSIPFRQEVAFHQKVDSSKEEVPVPQWMKQLDNYKDGDWTVFLQIRDDGHKDWKIVKYWLPKILEKVNRAIWVETPSWVITCCGWKLKPVVLINVDAYIDKAHIYITPEHMSSCSLSLPSIIKSTKPCFCSREMGF >OGLUM04G18030.1 pep chromosome:ALNU02000000:4:22620504:22622183:-1 gene:OGLUM04G18030 transcript:OGLUM04G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDVLSWYLITVKLKETVDANLNKSAPPPGALPLWQALNRNLPLLTNGEAAGAGGDALPPRQEREREVKVQVQSPAHSPKPQDPEWVVAIRGKLAQARAEEAACPWARLSVYRVPKSLRDGDERAYMPQVVSIGPLHRGRRRLREMERHKWRALHHVLKRTGHDVTAYLDALRPMEERARACYDGRVAGMQGNELVECLVLDGTFVLELFRGAQDGGKGFGDDLGYSRHDPIFAMRGAMHAIRNDMILLENQIPLFVLDLLLGLQLGNPEQTGAVAGLAVRFFDPLMPTDEPLLRKDRSKLESSIGASPAAAAFEFDPLSGPMLHCLDVFRRSLLRAGLQPTPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIRFHDGVLQIPRILIHDGTKSLFLNLIAFEQCHMDIATPGGNNITSYAIFMDNLINSADDVKYLHDRGIIEHWLGSDAEVADLFNRLCQEVVFDINDSYLSGLSDQVNRYYDHKWSTWIASLKHNYFSNPWAIVSVVAGVLLLLLTMTQTFYGTYSYYRPMH >OGLUM04G18040.1 pep chromosome:ALNU02000000:4:22632567:22634138:-1 gene:OGLUM04G18040 transcript:OGLUM04G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRAQRTMLVVAGDAPPTAGGGARFAAPPPERDHTQDLPDEILSLVFASLTPTDRNACSLTCARWKEVDASTRHRLSLDARAALGYAAQGIFARFTAVSKLALRCARGSGTDSLSDDGARQVAAALPSARLARLKLRGLRQLSDDGLASLAGATPVIRKLSVASCSFGPKAFVAVLRSCPLLEDLSVKRLRGLPDTAGATTAITEEILFPPASSLRSVCLKDLYSALCFVPLVASSPNLRSLKILRCSGSWDLPLEVIAARVAGLVELHLEKLQVGDRGLSAVSACANLEVLFLVKTPECTDAGIISVAEKCHKLRKLHIDGWRTNRIGDHGLMAVARGCPDLQELVLIGVNPTVQSLRMLGEHCRSLERLALCGCETVGDPEIICLAERCAALKKLCIKGCPVSDRGMCALNGGCPSLVKVKLKRCRGVSYECIENLKVVRGGSFSISLDIVLERDAGGAIENGGQEAGQVQITELTDQMAAMDLPTNATNAQSSAQASSRMRSVMSALRRRFGNPPALM >OGLUM04G18050.1 pep chromosome:ALNU02000000:4:22637821:22643985:-1 gene:OGLUM04G18050 transcript:OGLUM04G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGEDLDRHGGEEGSTRSNKSEGKMQSQPKEMESAIIALMEDMELPPPSPSIEDEAVSVPLLSSKTITDHHCRQVQGRIGEEVSFLLLMFDKFETPRSSAIGFGIDRAWRHHLQVPGLVLIGTTPSTIGYRPDCVAANSYARSTGYSLRFDQLENDLMGCGD >OGLUM04G18060.1 pep chromosome:ALNU02000000:4:22644265:22645722:-1 gene:OGLUM04G18060 transcript:OGLUM04G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMW3] MAAEGEEARSPHVVLFPFLAHGHIPAFLRLAGHLQTLRPGLAVTLVSTPRLLGSLSLPATSPPIRLHALPFAPADHGLPDGAESLADLHVHQFITLFRASESLRPAFDGFVAGIRPPVCVIADSFFAWTADVARARGASHAVFLPGGAFGHAVFFSVWEHLPHTLTAGGDEFPLLPDFPDVVLHRTQIPQYMLAATGADPWTAFFRRVIPCCRKTDAVLVNTVQELETSGLDMLRASFGVQTWAIGPILAAPDPSKSQDDDDTSIIRWLDAHPRRSVLYISFGSQNSISIRQMAELALGLEASGRPFVWAVRPPVGFDPKDGFDPGWLPAGFEDRMARAGRGLVVRGWAPQARILAHPSTGAFLTHCGWNSILESLRHGVPLLGWPVGAEQFFNAMVVVAWGVCVEVARGNLESSAVESGEVAEAVGAVMGETEKGEAMRRKAGEIARAMAAAWEGPAGSSAASLERFLRCVEASALRDSCLGAS >OGLUM04G18070.1 pep chromosome:ALNU02000000:4:22646580:22650065:-1 gene:OGLUM04G18070 transcript:OGLUM04G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAAARTPWALQLGVALAFLLATTCHGLNHEGWLLLTLRKQIVDTFHHLDDWNPEDPSPCGWKGVNCSSGSTPAVVSLNLSNMNLSGTVDPSIGGLAELTNLDLSFNGFSGTIPAEIGNCSKLTGLNLNNNQFQGTIPAELGKLAMMITFNLCNNKLFGAIPDEIGNMASLEDLVGYSNNLSGSIPHTIGRLKNLKTVRLGQNAISGNIPVEIGECLNLVVFGLAQNKLGGPLPKEIGKLTNMTDLILWGNQLSSVIPPEIGNCINLRTIALYDNNLVGPIPATIGNIQNLQRLYLYRNLLNGTIPLEIGNLSLAEEIDFSENVLTGGVPKEFGKIPRLYLLYLFQNQLTGPIPTELCVLRNLSKLDLSINTLSGPIPVCFQYMSRLIQLQLFNNMLSGDIPPRFGIYSRLWVVDFSNNNITGQIPRDLCRQSNLILLNLGANKLIGNIPHGITSCKSLVQLRLADNSLTGSFPTDLCNLVNLTTIELGRNKFNGPIPPQIGNCKSLQRLDLTNNYFTSELPQEIGNLSKLVVFNISSNRLGGSIPLEIFNCTMLQRLDLSQNSFEGSLPNEVGSLPQLELLSFADNRLSGEIPPILGKLSHLTALQIGGNQFSGGIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLENLFLNNNKLTGEIPDTFANLSSLLEFNVSYNNLTGALPTIPLFDNMASTSFLGNKGLCGGQLGKCGSESVSSSQSSNSGSPPLGKVIAIVAAVIGGISLILIVIIVYHMRKPLETVAPLQDKQIFSAGSNMQVSTKDAYTFQELVSATNNFDESCVIGRGACGTVYRAILKAGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMPRGSLGELLHGQSSSSLDWETRFMIALGSAEGLSYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKSDIYSYGVVLLELLTGRAPVQPLELGGDLVTWVKNYIRDNSLGPGILDKNLNLEDKTSVDHMIEVLKIALLCTSMSPYDRPPMRNVVVMLSESRDRARMSSSSSPASDHSSKQDNL >OGLUM04G18080.1 pep chromosome:ALNU02000000:4:22650114:22651492:-1 gene:OGLUM04G18080 transcript:OGLUM04G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILSSSEAIWAMNHVLPPVDAPGPTSPSQRCSSVPADSGDPSAAAAAAAAREHASVVEMRQRTRS >OGLUM04G18090.1 pep chromosome:ALNU02000000:4:22667630:22669054:-1 gene:OGLUM04G18090 transcript:OGLUM04G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYIVFLCWSALHSEPQTGKCHTRLIFANDGDWATIVSFIIAICAIVMATFSTGIDTRSFQFRNDEDQLEDDVPYSYEIFHIVFAMGAMYFAMLFINWELNHPTRKWSIDVGWVSTWVKIINEWFAASIYVWRLISPVILRKQAANNEELVPRTLIVQCSR >OGLUM04G18100.1 pep chromosome:ALNU02000000:4:22690912:22692677:-1 gene:OGLUM04G18100 transcript:OGLUM04G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVQGPVVLYQDGVHEAARRRRSLRARYAYGLIFFATNLLAWFVRDYGAKLLRGLHHVPVCGAGDSKCFQSGGVLRIFFWVMFATTFGTRKLHEVRNSWHSGCWILKFLVYAVSIIIPFIVPNIFIQLYGEIARMGAGIFLILQLISMSHFISWCNKRWMPDSQSNQCGLFGLFLSTISFIASFAGIAVLYVLYVPNSSCAFNIFTITWTATLVAVMMAVSLHSKT >OGLUM04G18110.1 pep chromosome:ALNU02000000:4:22704482:22706853:1 gene:OGLUM04G18110 transcript:OGLUM04G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZMW8] MALLFVPFLFSLLITTSPPAATDTVTAGRPLAGGDKLVSGNGKFALGFFQMAGGNGSSSTAPKWYLGVWFNTVSKFTPAWVANRENPLADGGASWQLAISGDGNLVISNRTNNNSMTAAAWSSQANTTTSNNTVAVLLNSGNLVLSDASNSSIIFWESFSHMTDTFLPGAKMGWNKATGFTHGLVSSKNSGDLSPGVYSATPSSDFANPGLFLAWNSSVVYWSTGPWNGDYFSNTPELTARALFTFDFVSNDHEEYFTYRLRNDTMVTRYVLAASGQAKNMIWSSVSEDWVTFYAKPGAQCDVYAVCGAFALCREDMLPFCNCMEGFSIRSPQDWELGDQTGGCVRNVPLNCGVTDRFYAMSDVRFPANAKNMEAGTADGCKQACLNDCSCTAYSYNGSCNVWSDGLFNVARQYNYNQSSSGGILYLRLAAEDDVSESSKHTRGLIIGVVAVASVLILSLFTIVIMFVRRNKRNCSSVGRIICGTVAFRYKDLQHATKNFSERLGGGSFGSVFKGVLTDSTVIAVKRLDGARQGEKEFRAEVRSIGIIQHINLVRLIGFCCEGSNRLLVYEYMPNGSLDSNLFGSKVASLDWSTRYKIALGVARGLAYMHGNCLDCIIHCDIKPQNILLDASFVPKIADFGMSKLMGRDFSQVLTTDDELNRPTMAQVVHILEGVLEVDMPPMPKLLQAISGNMDSTKT >OGLUM04G18120.1 pep chromosome:ALNU02000000:4:22710300:22711454:1 gene:OGLUM04G18120 transcript:OGLUM04G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHWSHPSCGLLLLVAIFCLLLVFRCSQLRHSGDGAAAAAPDGGAGRNDGDDVDERLVELAAVDPAAMAVLQAAKRLLEGNLARAPERHRDVALRGLREWVGKQERFDPGVMSELVELIKRPIDRYNGDGGGGGEGEGRRYASCAVVGNSGILLAAEHGELIDGHELVVRLNNAPAGDGRYARHVGARTGLAFLNSNVLSQCAVPRRGACFCRAYGEGVPILTYMCNAAHFVEHAVCNNASSSSSGAADATAAAPVIVTDPRLDALCARIVKYYSLRRFARETGRPAEEWARRHEEGMFHYSSGMQAVVAAAGVCDRVSVFGFGKDASARHHYHTLQRRELDLHDYEAEYEFYRDLESRPEAIPFLRQRDSGFRLPPVSFYR >OGLUM04G18130.1 pep chromosome:ALNU02000000:4:22717854:22723651:-1 gene:OGLUM04G18130 transcript:OGLUM04G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRDCVRRSRANKNTHSFTKAGRGEVVCVRGNPGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLNDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEDVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPTKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMPVANL >OGLUM04G18130.2 pep chromosome:ALNU02000000:4:22717854:22723651:-1 gene:OGLUM04G18130 transcript:OGLUM04G18130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTRDCVRRSRANKNTHSFTKAGRGSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLNDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEDVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPTKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMPVANL >OGLUM04G18130.3 pep chromosome:ALNU02000000:4:22717854:22722027:-1 gene:OGLUM04G18130 transcript:OGLUM04G18130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRVHKSRQDVNRTVDPKIGCVEDKLVVASTRNSSSNKSTISPMNRLVAMEMSKGVESKRKPPSVVARLMGLNDDLPAKEPALQSSRRNLRRSHSLDNLAATNRPQQQQEQHYSRTTPNIHIGPKETVEFKDVYEVSEDPLKRHHILGQNFPWERSSGNKSDTRIEDVRQKFMEAKRLATNENLIHSKQFQEALEVLSSNRELFLKFLEEPSPAFLKQLDGLDTTPAPPPTKRITVLKPIKSVENNGIRETRTHQVINEENELVMGKTHQRSYSADDNFSKSTRIVVLKPSPGKPNRTGARLTARAAPSEQTRRIDFHGGLQDDASILGSRELLHGSVQHMPESHRRDESLISSTYSNGYGGDESSFSGSEVDYIDEGGSPSDSDAVSPMSRHSWDYIRRHNSPHSASTFSRAHSHSPESSVIREAKKRLSERWAMVSYNEINQEQVPLRRSSTTLGEMLSLQVAKKEEAVAGIISVSSNRSSGTENELAMKDACKSTLREYDENGKSSPRNLAKSKSVPVSSSIFDNVAVNAQSANSEGTPKVFTKSGRAKLSFTGKISSFFFPGNKRPTKEKTSLSSDSSGEIFGCIGHMVPQSDHNLGPDEQMAFCKDEADNSTNHAPCSTKDAGSIEVPVSSDCVSGDVDEVKSNGDLKSIHDETSPTSILDTVFEDSNSNEPESSRRTSCTERVALRCPAIDSVARSFSWEDTNSGSPLLGGLKHSNVDDADYDDDELKCYSLVQEIVSSAGLCHLQLSMVFTGWYLPESPLDPALCDKFLDRKEEDAKSRERRSHQKLIFDCVNMALVEIGQDTLLCSYPWSRACLRTWREKLSETLGEEVWNIVSDWLYGDGSFAANKDDNAGIILERIMQEEVEGKGWIKLLTMETDEITEQIASEVLEDIVTDSVEHLSICCSEHGISMPVANL >OGLUM04G18140.1 pep chromosome:ALNU02000000:4:22727049:22745663:-1 gene:OGLUM04G18140 transcript:OGLUM04G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MDREPVKPWPTHQSRHVGTCAHYPEKRAAVSHNHNPTPPLGCSRPDTSQNPKPPISLSSSPLLASPKTLAVAVGRRSHSAGVHGGGRSQALRGPGSHRKAGTLAAAGLLPLPARRAAPWPFSGCSRARSWPPRRGGSPSPPTRFSGAATALAAPRSPRCELPSHPPGICCLLLLLLYRRLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMSQRISTILHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >OGLUM04G18140.2 pep chromosome:ALNU02000000:4:22727049:22745310:-1 gene:OGLUM04G18140 transcript:OGLUM04G18140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMSQRISTILHAHPGSPYVFGLAEVDHDVEFPDPMPVVGISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >OGLUM04G18140.3 pep chromosome:ALNU02000000:4:22727049:22745310:-1 gene:OGLUM04G18140 transcript:OGLUM04G18140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MAIQRLLASSLVAATPRWLPVAADSFLRRRHRPRCSPLPALLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMCIVEEIQGPTQARISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >OGLUM04G18140.4 pep chromosome:ALNU02000000:4:22727049:22745663:-1 gene:OGLUM04G18140 transcript:OGLUM04G18140.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MDREPVKPWPTHQSRHVGTCAHYPEKRAAVSHNHNPTPPLGCSRPDTSQNPKPPISLSSSPLLASPKTLAVAVGRRSHSAGVHGGGRSQALRGPGSHRKAGTLAAAGLLPLPARRAAPWPFSGCSRARSWPPRRGGSPSPPTRFSGAATALAAPRSPRCELPSHPPGICCLLLLLLYRRLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMCIVEEIQGPTQARISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >OGLUM04G18140.5 pep chromosome:ALNU02000000:4:22727049:22745663:-1 gene:OGLUM04G18140 transcript:OGLUM04G18140.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTL protein homolog 1 [Source:Projected from Arabidopsis thaliana (AT3G24320) TAIR;Acc:AT3G24320] MDREPVKPWPTHQSRHVGTCAHYPEKRAAVSHNHNPTPPLGCSRPDTSQNPKPPISLSSSPLLASPKTLAVAVGRRSHSAGVHGGGRSQALRGPGSHRKAGTLAAAGLLPLPARRAAPWPFSGCSRARSWPPRRGGSPSPPTRFSGAATALAAPRSPRCELPSHPPGICCLLLLLLYRRLFNRRSWSKPRKVSRSISMVSRKMNKQGDLCNEGMLPHILWWKEKMERCRKPSSMQLTQRLVYSNILGLDPTLRNGSLKDGSLNTEMLQFKSKFPREVLLCRVGDFYEAVGFDACILVEHAGLNPFGGLRSDSIPKAGCPVMCIVEEIQGPTQARISRSAKGYCLISVLETMKTYSAEEGLTEEAVVTKLRICRYHHLYLHSSLRNNSSGTSRWGEFGEGGLLWGECSGKSFEWFDGNPIEELLCKVREIYGLEEKTVFRNVTVSLEGRPQPLYLGTATQIGVIPTEGIPSLLKIVLPPNFGGLPSLYIRDLLLNPPSFDVASSVQEACRLMGSITCSIPEFTCIPAAKLVKLLESKEVNHIEFCRIKNVLDEVLFMGSNAELSAILNKLLDPAAIVTGFKVEADILVNECSFISQRIAEVISLGGESDQAITSSEYIPKEFFNDMESSWKGRVKRVHAEEEFSNVDIAAEALSTAVIEDFLPIISRVKSVMSSNGSSKGEICYAKEHESVWFKGRRFTPNVWANTPGELQIKQLKPAIDSKGRKVGEEWFTTIKVENALTRYHEACDNAKRKVLELLRGLSSELQDKINVLVFCSTMLIITKALFGHVSEGRRRGWVLPTISPLCKDNVTEEISSEMELSGTFPYWLDTNQGNAILNDVHMHSLFILTGPNGGGKSSMLRSVCAAALLGICGLMVPAASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRSLVCRATARSLVLIDEICRGTETAKGTCIAGSIIERLDNVGCIGIISTHLHGIFDLPLSLHNTDFKAMGTEIIDGCIQPTWKLMDGICRESLAFQTARKEGMPDLIIRRAEELYLAMSTNSKQTSSAVHHEISIANSTVNSLVEKPNYLRNGLELQSGSFGLLRKEIESVVTTICKKKLLDLYNKRSISELIEVVCVAVGAREQPPPSTVGRSSIYVIIRRDSKLYIGQTDDLVGRLSAHRSKEGMQDATILYILVPGKSIACQLETLLINQLPLKGFKLINKADGKHRNFGISLVPGEAIAA >OGLUM04G18150.1 pep chromosome:ALNU02000000:4:22746114:22747424:-1 gene:OGLUM04G18150 transcript:OGLUM04G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPRSLTSVSLRTPLSPLLFLRPASCNPSAVSGSCSSGACRGVRCSAANKPSPSTAPGTEVSSTSMAKIRSEVLSPFRSVRMFFYLAFMASAGLGALIALTQLIPALSSPARAAAAGETLKGLGIDVAAVSVFAFLYWRESKAKDAQVAKLTREENLSRLRIRAGEGRPPVPLGELRGTARLDLMERGVLVVPFSTDGNAPDLQFDEADEEEEEAAAAAGKMKRRLWQLTPVYTSEWAKWLDDQKKLANVSPDSPVYLSLRLDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >OGLUM04G18160.1 pep chromosome:ALNU02000000:4:22755876:22757756:-1 gene:OGLUM04G18160 transcript:OGLUM04G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSDVLLYVVVPLLVSDDEKTLVVCINSLTKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >OGLUM04G18170.1 pep chromosome:ALNU02000000:4:22762538:22762861:-1 gene:OGLUM04G18170 transcript:OGLUM04G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYVQSAADAVVLRGRRRFTTARTYLVTDLTKSPLHEVDLGWGRPLFGGPATTKLATFHLPARGGGITVPMCLPPRAMERFAGAVRAGLAAGVPRAAEEAALSKM >OGLUM04G18180.1 pep chromosome:ALNU02000000:4:22765480:22776530:-1 gene:OGLUM04G18180 transcript:OGLUM04G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSHLKRLCNQEGPKSERLRKTRGVNAQKPPKAPPTTLAFVSRCAHTPLLLPPDPATPLPSPPPPRLASPLASGLETLGLVARGVVGGCGGEMEAALEAARAKDTKERLAGVERLHEALDAAARQRGLTAGEVTALVDTCMDLIRDANFRVAQGGLQALSAAAVVAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLITLMEVSSPTIIVERAGSYAWTHKSWRVREEFVRTVATAVGLFASTELPLQRVLLSPVLQLMNDSNQSVRDAAIYCIEEMYTNMGSQFHEELQRHNLPPYMLREINSRLERIEPKVPTSDGNIMQYKAVESRSVSVNPKRGSPRTKSTPRESTLFGGDTDITEKPVEPVRVHSEKELLREFEKIAATLVPEKDWSVRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNVLSKELLGDFEPCAELFIPMLFKLVVITVLVIAESADTCIKTILRNCKVSRILPRIADTAKNDRSAVLRARCCEYALLILEYWADAPEIQRSADLYEDLIKCCVADAMSEVRATARSCYRLFAKTWPERSRRLFMSFDPAIQRTINDEDGGVHKRYASPSLRERVVQPSRSLSHASGTSALGYGTSAIVAMDKTAAISSDSSFSSNTLRLSQSKTVGRSSERSLESVLNSSKEKVSAIESLLKGVSISDRQNISATRSTSLDLGVDPPSSRDPPVPLAATASNHLSLQNSALLDSSVPSTINASARNGGSRLLESMTTQLGTRERSRSPYLGNISSESMTSLSLPFPRRSLERPQEGGRMDEGSDIRSTRRFPQTQNYVDMPYRDAIHRDSHNNHVPNFQRPLLRKQVMSRASASIRHSFDDSQVQSGDVSGYTDALASLNDALSEGLSPSSDWVVRVSAFEFIRNLLQQGQRGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFSTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDVVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSEGYSNSGFLKLWLSKLAPLVHEKNAKLKEASISGIISVYSHFDSTAVLNFILNLSVEEQNLLRRALKQYTPRIEVDLVNYLQSKKDRPRPKSYDQADYGTSSEDGYALASKKSYPFGRYSSSSLDAEGGKWMNSVQESTPRNAPMARTTSDMSIDHTSQSIELDTGSEVLLTRSRESKNNTSSLVETARSWPNYPEKTDAPLDDETAISTPRLDLSHRAASDGHNAVGSTAEENVQEGDIAVKLSSIKTSLHADNELSIPQLLHQISNGTEVSSLEKREALQQLVKASVDNDISIWAKYFNQILTAVLEVLDDSDSSTREIALSLVAEMLNNQSGAMEESIEIVLEKLLHVTKDMVAKISNEANQCLNVLLAKYDPFRCLAVVVPLLVSDDEKTLVVCINSLTKLVGRLSEEELMNQLPTFLPALFDAFSNQSPDVRKTVVFCLVDIYIMLGKAFVPYLEGLNSTQLRLVTIYANRISQARSGAPIDANQ >OGLUM04G18190.1 pep chromosome:ALNU02000000:4:22777188:22779280:-1 gene:OGLUM04G18190 transcript:OGLUM04G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLAFTARRGDPELVAPAGPTPLGLRRFSDIDDQGSFRFYRSVIYFYRRSGGGRRVVVDPARVIRDALAAALVHYYPIAGRIWELPGGKLVVDCTGEGVSFVEADADVSLEEFVAY >OGLUM04G18200.1 pep chromosome:ALNU02000000:4:22782167:22784220:-1 gene:OGLUM04G18200 transcript:OGLUM04G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPFDPKHCRHALVTVRLWAVTGHARAIWAGPSACTDMPGSLWAVPLPREQPCRLKSLSKQFLVSGDIPNFTAGCSLSGYRRSAHRLMGPEMREEVLQQAIRQITELVLLHGGPVTGSTAFVDAGAWVPEYIYDMPFCGHKRRVGLQLFITVALPACLCCCYWNVGWRKKIR >OGLUM04G18210.1 pep chromosome:ALNU02000000:4:22787271:22791008:1 gene:OGLUM04G18210 transcript:OGLUM04G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLVGFLMAAVAALLVAAATPAAAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEYLGSQPALPYLSPDLRGENLLVGANFASAGVGILNDTGIQFVNIIRIGQQLDNFENYQRNLAAFVGEDAARQVVQQSLVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILTRLHDLGPRRVIVTGTGMIGCVPAELAMHSIDGECATDLTRAADLFNPQLERMLAELNSELGGHVFIAANTNKISFDFMFNPQDYGFVTAKVACCGQGPYNGIGLCTPASNVCANRDVYAYWDAFHPTERANRLIVAQIMHGSTDHISPMNLSTILAMDERRN >OGLUM04G18220.1 pep chromosome:ALNU02000000:4:22790998:22793397:-1 gene:OGLUM04G18220 transcript:OGLUM04G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPEIFMIGFRWTAGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTGKELEELAKEAGFSAAKHYELGGGLMGDLERFDQNQTIEMKSGTVHTSDLATFELSDWKSASSINDPGRYN >OGLUM04G18220.2 pep chromosome:ALNU02000000:4:22790998:22793397:-1 gene:OGLUM04G18220 transcript:OGLUM04G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFSSQQLQTAASRQDQRWKPCYKNIKWIEGDALDLPFTDCYFDAVTVGYGLRNVVDKPKAMREIFRVLKPGSRASILDFNKSSSLFTTSLQSWMIDNVVVPLASGYGLTEEYKYLKSSILHYLTGKELEELAKEAGFSAAKHYELGGGLMGDLERFDQNQTIEMKSGTVHTSDLATFELSDWKSASSINDPGRYN >OGLUM04G18230.1 pep chromosome:ALNU02000000:4:22793531:22797795:1 gene:OGLUM04G18230 transcript:OGLUM04G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLHLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >OGLUM04G18230.2 pep chromosome:ALNU02000000:4:22793531:22797795:1 gene:OGLUM04G18230 transcript:OGLUM04G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLHLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >OGLUM04G18230.3 pep chromosome:ALNU02000000:4:22793531:22795676:1 gene:OGLUM04G18230 transcript:OGLUM04G18230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63010) TAIR;Acc:AT5G63010] MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQGGERQDRAGSVSLFAVDAGEEDAPRRLRLLHTVETAGVFDMKWSPVAPLLAQADAHGRLALWRLEQEDGSDKGAVLRDVCSGDISSSMCLFVDWNQTAEALSVGLSDGSLSVVSMREDRLEVSEQWTAHQYEVWTCYFDRANPHLLYSGSDDCSFSCWDLRQNPSNAVFQNKKSHNMGVCCIAQNPLEGNMLLTGSYDEFLRVWDIRSMVKPVNVKSLHLGGGVWRIKYHPLIADVVLAACMHNGFAIVKVGTGDAAVVETYCKHESLAYGADWQISEGAEQNKNFSVVATCSFYDRLLRVWQPENLGNL >OGLUM04G18240.1 pep chromosome:ALNU02000000:4:22799170:22799793:-1 gene:OGLUM04G18240 transcript:OGLUM04G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSFLNWSLAIALHPPPPAALKNHVHVQAIHLPWLVVSTNKTRGKATLYLDEVADVCSVHTSSNYICLDFHYYFIDI >OGLUM04G18250.1 pep chromosome:ALNU02000000:4:22800895:22803786:-1 gene:OGLUM04G18250 transcript:OGLUM04G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF828) [Source:Projected from Arabidopsis thaliana (AT1G60790) TAIR;Acc:AT1G60790] MAGAWRKAWLSVLDRGGGSGAGGGGGGSSGSLHVHLHGLLSPSSSSSSLNGYKRGGGKHGGGGGCGGGHVMTSSKAVLACFSVALVVAFFYVSVASGPTADAASFPSPTGASSSSLLSWLSSNSTSAAALPRRSLPPHPPIPPAGGGADLRNTTRRTQSGAEGSGLPAPAAEQTVKPRVSDLRSGAGNATVGDVDGDQRVGNGTRSRQQREETATPMPRWQRRDEEKNSTHHAIVAAPGNSTDAPAPPLNSTATPRAAAAAAATPSTPPERKADTHHSHRRGIRHKQQHHHQHPRRRKDTVLLAAAAARQEAPDRRDDGAAMPLPAATIINTSTVGDNRVVWTSGVQSGLVSFAKCDVFSGRWVRDDDEGGGAYPFYPPGSCPHIDDDFNCHKNGRADTGFLRWRWQPHGCDIPRLNPIDFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRRMYEASGRNQFKTRGYYSFRFRDYNCSVDFIRSVFLVKEMINETKGGAVVDAKLRLDELDETTPAYRTADIVVFNTGHWWTHWKTSRGLNYYQEGNYVHPSLEVMDAYKRALTTWARWVDKNIDSTRTQVVFRGYSLTHFRGGQWNSGGRCHRETEPIFNRTHLAEYPEKMRILEQVLGQMRTPVIYLNISAMTDYRKDAHPSVYRVRYETEEERMAAVAKQDCSHWCLPGVPDFWNELLYASLLQAGRGSWRL >OGLUM04G18260.1 pep chromosome:ALNU02000000:4:22804446:22804787:1 gene:OGLUM04G18260 transcript:OGLUM04G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNLFGRVLSYVVNEFIVEGLANKYDPPISSLSSPLPLSSDPSVHAFERIKFPTESSSRYREETD >OGLUM04G18270.1 pep chromosome:ALNU02000000:4:22804984:22807861:1 gene:OGLUM04G18270 transcript:OGLUM04G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGPGGNLFGRVLGYVLNEILVQVLANNRTFQRFAVRTNKSLENISSKAKEVREELSEQWRNSRGNDDHFRQ >OGLUM04G18280.1 pep chromosome:ALNU02000000:4:22808609:22814526:1 gene:OGLUM04G18280 transcript:OGLUM04G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G14590) TAIR;Acc:AT5G14590] MRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEHHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNVPRILSGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLTHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >OGLUM04G18280.2 pep chromosome:ALNU02000000:4:22808609:22814526:1 gene:OGLUM04G18280 transcript:OGLUM04G18280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G14590) TAIR;Acc:AT5G14590] MRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEHHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVESAEATLEYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLTHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >OGLUM04G18280.3 pep chromosome:ALNU02000000:4:22808609:22814526:1 gene:OGLUM04G18280 transcript:OGLUM04G18280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate/isopropylmalate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G14590) TAIR;Acc:AT5G14590] MRHLLLLRCGMAPLYGSSSSAAATKALLLNPAAAHAFVPSSPGPRALRRGGASLRCYAAAAAAVAEHHRIKVHNPIVEMDGDEMTRVIWKMIKDKLIFPYLELDVKYFDLGLLNRDATDDKVTVENETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNVPRILSGWKKPICIGRHAFGDQYRATDTIINGPGKLKMVFVPDGAEPVELNVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMSSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTKKLESACIETVESGKMTKDLALLTHGPKVTREFYLNTEEFIDAVAQQLREKIQIPAVV >OGLUM04G18290.1 pep chromosome:ALNU02000000:4:22815872:22819433:1 gene:OGLUM04G18290 transcript:OGLUM04G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIASSSSSTPESRKMALAKAKETVASAPVVVYSKSYCPFCVRVKKLFEQLGATFKAIELDGESDGSELQSALAEWTGQRTVPNVFINGKHIGGCDDTLALNNEGKLVPLLTEAGAIASSAKTTITA >OGLUM04G18300.1 pep chromosome:ALNU02000000:4:22819238:22820416:-1 gene:OGLUM04G18300 transcript:OGLUM04G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRASQAAAPETAAAAASLREEETEDGWVFLAGRSRATRPPPPPPSPMARAVASGGSGGGGVQPFDPTAEDIVNRYLPWRRALRCDALPRQVHDADVYGAHPALLASVYPAANERFEWFFFVCRRRCPGGRRRAGPGDYRLSQEAKHRGNAFCHSFRYYEYEDAGGGFRETEWRMVEYGDRGRDAGAGGSEGFELVVCKVYPARGGALHERLGADRAALATRHRADEDAKPQVLVQLYLASLRLGNPLACRVHRADDVFDAHPAVITAALPAANDRCEWLFAAVRPRGHAQGHGDGAPPRPRKAGPGAYVPVRECRVVDGRRGDMGCRLVFWYREDDEEARLASRRTEWWMDEYRFGPDFPYGELPAPMARGEDEELVVYKVYPRLVGNRR >OGLUM04G18320.1 pep chromosome:ALNU02000000:4:22836094:22837446:1 gene:OGLUM04G18320 transcript:OGLUM04G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLAVAATAVVALLLALPVALAEIKTTPIVADSRPVILFEEFGFKPGGVSAVSVRGVSWRVAEGSKLQAADPGLMGFILISNSLFFQINNESDYAEATGGAFCPLTSKYVLPLFRLKDIAPDGNGKGSVTIDDDDQYTVLFSSCQDGVEVTMEVRTEMYNVRPGGGRGVREYLPVGLLPLPGIFAAASAVYFVFLGAWAWACARHRATAGQIHAAMGALLLFKALKLACAAEDAWYVERTGTPHGWDVAFYVFGFFKGVLLFTVIVLIGTGWSFLKPYLQEREKKVLMIVIPLQVVENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLKKLTLFKQFYLVVVGYLYFTRIAVSAFAAVLSYRYQWVVTVAMEAASLAFYIFVFYNFKPVENNPYLYVGEDEEEEASGQLEMEGTFEI >OGLUM04G18330.1 pep chromosome:ALNU02000000:4:22839276:22839890:1 gene:OGLUM04G18330 transcript:OGLUM04G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSPRDDGRREVARAAGVAAALIAASLFCLFIALVLQSTSTSGGGGGGGGVREERGRAAYSAAVTVLSGLHPSNDLLHIGTLFPVFNLTVHVEVPPGGKAGGVCLGGHSVAAVVSYGGAFLGEGSVGRVCVEPQQQEGDVAATAWGRDVWMPWVLRRRLAEEMKRGEAELEVAVPMRGGDVLVCKAKIGGDLSPCTLEEASN >OGLUM04G18340.1 pep chromosome:ALNU02000000:4:22840953:22841885:-1 gene:OGLUM04G18340 transcript:OGLUM04G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQKQKKWLLNLDDDNDNDDVLATFIVPAEIYPARLCATSHGISAASGKVGAIIGSFGFLYLAQSPDPAKAAAHGYPPGIGVRNSLFTLTGCSLLGFLLTFLVPEPKGKSLEEMSRENEVGQP >OGLUM04G18350.1 pep chromosome:ALNU02000000:4:22843277:22847846:-1 gene:OGLUM04G18350 transcript:OGLUM04G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAWRKAKRALGLGLCVHVPEAEEGEREDCSSERRRRLPAAASGARCRGEAAVTVGPESVPAPSDVPVPLPLPGVVRRSKSGSSRSSSKRKCAICFDSMRHGNGQALFTAECSHMFHFHCISSNVKHGNYFCPICRAKWKEIPFNRSLSSNIPHGRIGVSRARLTQQDANMALLHQVPNHHQRVRRPHTSEPADFNDDEPLQQPEVFDNLNVRSTKTAEINTYPEFSTIPQSSSKDDFAILIHLKAPSANPDQGTGKLANESSAGSSRNRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVVQHLGPSDRLSVIAFSSSARRLFHLQRISHHGRQQALQAINSLGASGGTNIADALKKAMKVIEDRSYKNSVCSIILLSDGQDTYNISSSVQGASPDYKSLVPSSIINDARHTVPLHAFGFGADHDSDSLHSIAQASGGTFSFIEDEGVMQDAFAQCIGGLLSIVIQEMRVSMECVHPGVQLSSIKSGSYPSKVARDGRNGSVDIGHLYADEERDILLSVNIPQSRHQTSALKVSCAYRDPVTGETIKIQGDEVKINRPTTSNISEHVSIEVDRERNRIQAAESIECARAAAERGALSEAVAILEDCRRTLSQSFASRSGDRLSLSLDAELREMQERMANRQLYESSGRAYMLSGLSSHSWQRATARGDSTDSSTVIYSYQTPSMVEMLQHSQNHLPSPQGRQRPRPR >OGLUM04G18360.1 pep chromosome:ALNU02000000:4:22854562:22858257:-1 gene:OGLUM04G18360 transcript:OGLUM04G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MGFQFFFQVQASKASTVKHGKARKVKENPLKSPGTPKPGPSPRSPTSPLLPPLLPLRLAAASTMYGVGGGGGGFNALSTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >OGLUM04G18360.2 pep chromosome:ALNU02000000:4:22854562:22858257:-1 gene:OGLUM04G18360 transcript:OGLUM04G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MGFQFFFQVQASKASTVKHGKARKVKENPLKSPGTPKPGPSPRSPTSPLLPPLLPLRLAAASTMYGVGGGGGGFNALSTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >OGLUM04G18360.3 pep chromosome:ALNU02000000:4:22854562:22858257:-1 gene:OGLUM04G18360 transcript:OGLUM04G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:general transcription factor II H2 [Source:Projected from Arabidopsis thaliana (AT1G05055) TAIR;Acc:AT1G05055] MGFQFFFQVQASKASTVKHGKARKVKENPLKSPGTPKPGPSPRSPTSPLLPPLLPLRLAAASTMYGVGGGGGGFNALSTASARRRNHEDDDDEEEEESGEGRVLEAWERAYADDRSWEALQEDESGLLRPIDTKTLVHAQYRRRLLLRSAASAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKYAEVFIREFFDQNPLSHVGIVTMKDGISHRLTEIGGSPESQIKALMGKLECSGESSLQNALELVHGYLDQVPSYGHKEVLFLYSALNTCDPGDIMETIAKCKKSKIRCSVIGLAAEIFICKYLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGAEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVQPFDEVSSVHPNRLGQKGGQKCYGCQQSFINPGMQFFNTSNSTCYLFNAFSYKERYPYCATYVAVGRKKKRKYIIQSLHLFVITWHHIVNLASMFAVQNATNTSALIAIFTSMRACTIAQVARVSVSTGQRVVEAIALLLRGGVRNVQCD >OGLUM04G18370.1 pep chromosome:ALNU02000000:4:22867300:22884304:1 gene:OGLUM04G18370 transcript:OGLUM04G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWGTSDPYVVLQLNGQTAKSNIKWATKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYSAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLERVRSRYQTGDGANEDYRQLTA >OGLUM04G18370.2 pep chromosome:ALNU02000000:4:22867300:22883317:1 gene:OGLUM04G18370 transcript:OGLUM04G18370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWGTSDPYVVLQLNVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYSAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >OGLUM04G18370.3 pep chromosome:ALNU02000000:4:22867300:22884304:1 gene:OGLUM04G18370 transcript:OGLUM04G18370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWGTSDPYVVLQLNGQTAKSNIKWATKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYSAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >OGLUM04G18370.4 pep chromosome:ALNU02000000:4:22867300:22883317:1 gene:OGLUM04G18370 transcript:OGLUM04G18370.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:triglyceride lipases;triglyceride lipases [Source:Projected from Arabidopsis thaliana (AT4G13550) TAIR;Acc:AT4G13550] MAFAAPATCACRMAPPPPLAAASVSGRGRSPSSASSYRAAARWWPGARRGRRRRWAGLRARCAGQSVVKPGSESAAGEGLVGEDPPRPPFDLNLAVVLAGFAFEAYTSPPEDVGWREIDAAECQTVFLSDSFLREVYDGQLVVRLKKGVNLPVMDPWIVISVIDQRRTKEPTWNEEFTFNISLSRENLLQVAAWDANLVTPHKRMGNAGLCLESLCDGSNHNVTVELEGLGGGGTIDVEVRYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPPSLDIRAEAPKRAEESVDNSAGSNELEQYKMDSKASGDDSDCCSEAESTATVVNTEGSSSPNMKETDEYFWNAFTSVLNQNVLQNFGFSLPEVKQLDGFDLLSSLGLKSSEIAEKEYLESGLATVDASISEGHETTPKDAIDVDKEDGTIPIKENLPKEEVQAPFPDVSKVSRDVLSQTENILGALMLLSRSLSPQDKESVMVDDGSNKEDSVKEEQCASDYTDNDDDAVSTEVFTDAQKAEDRQRLFESAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQVAIWRDCSRRRLVVAFRGTEQSKWKDLLTDLMLVPAGLNPERLGGDFKQEIQVHSGFLSAYDSVRNRIIALVKYAVGYQDEEDGENIPKWHVYVTGHSLGGALATLLALELSSSLMAKSGVIFVTMYNFGSPRVGNRRFAEVYSAKVKDSWRVVNHRDIIPTVPRLMGYCHVEAPVYLKFGDLKDALVNEETIDDEGDSIGEYTPDVLVSEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLEGYCRSASLADRYQETLQTMEPVQDQFRGYGRTRK >OGLUM04G18380.1 pep chromosome:ALNU02000000:4:22882238:22885649:-1 gene:OGLUM04G18380 transcript:OGLUM04G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQPRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETAKEAERQ >OGLUM04G18380.2 pep chromosome:ALNU02000000:4:22883133:22885649:-1 gene:OGLUM04G18380 transcript:OGLUM04G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATEEQPRITGSVVPGVGEVEEVDEATEGGRLGRGDDDEILRFMDSVDGYLLLMDSLSSVLRPGWLDLASARHSMGTSRVSSTLFDHKEQSAASKLQVVDSADSQSSEPNPHFILSKWCLQEKSNSNDAVGVRDTTKPKLRYRGSAATPEDGSHDSDATPAESATSVDTSSHVQKARSKALSTFGALVSPKLRSAQMSFETALELIVELANSRSDMLSSFSQIKGE >OGLUM04G18390.1 pep chromosome:ALNU02000000:4:22888479:22903075:-1 gene:OGLUM04G18390 transcript:OGLUM04G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 4 [Source:Projected from Arabidopsis thaliana (AT5G20320) TAIR;Acc:AT5G20320] MGDAAAAAPAAAAAGPSSTRGEPKDPRTIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPSREVCIFLAPTIPLVRQQAVVIASSTDFKVQCYYGNGKNSRDHQEWENDMREFEEFYNSNSVEKFPRVFGMTASPIIGKGGSNKLNYTKCINSLEELLHAKVCSVDNEELESVVASPDMEVYFYGPVNHSNLTTICIKELDSLKLQSERMLRASLCDFKDYQKKLKSLWRLHENIIFCLQELGSFGALQAARTFLSFDGDKLDRREVDLNGNTSSFAHHYLNGATSILSRNKTDGSHAGSFDLEKLEEPFFSNKFSVLINVLSRYGLQENMKCIVFVKRITVARAISNILQNLKCLEFWKCEFLVGCHSGSKNMSRNKMDAIVQRFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVASFIQSRGRARMTKSKYVVLLERENQSHEKLLNGYIAGESIMNEEIDSRTSNDMFDCLEENIYRVDNTGASISTACSVSLLHRYCDNLPRDMFFTPSPVFFYIDGIEGIICRLILPPNAAFRQVDGQPCLSKDEAKRDACLKACVKLHKLGALTDFLLPGPGSRKNKVSVTNNSSNNKVEDDSLREELHEMLIPAVLKPSGLKLDCLSNLHFYYVKFIPIPEDRRYQMFGLFVINPLPVEAETLQMMLAHKFQEMCLKILLDRSEFTSPHVKLGNDVTLEINSTFYLLLPIKQKCYGDRFMIDWPAVERCLSSPIFKDPIDVSVHASYSSNESLRLLDGIFSKTDVVGSVVFSPHNNIFFFVDGILDEINAWSEHSGATYAEHFKERFRIELSHPEQPLLKAKQIFNLRNLLHNRLPETTESEGRELLEHFVELPPELCSLKVIGFSKDMGSSLSLLPSLMYRLENLLVAIELKDVMLSSFPEASQISASGILEALTTEKCLERISLERFEVLGDAFLKYVVGRHKFITYEGLDEGQLTRRRSDVVNNSHLYELSIRKKLQVYIRDQQFEPTQFFAPGRPCKVVCNTDVEVRLHQMDIHPDNRENCNLRCTRSHHWLHRKVIADVVESLIGAFLVEGGFKAAFAFLHWIGIDVDFNNSALYRVLDSSSINLSLMDYTDIAGLEELIGYKFKHKGLLLQAFVHPSFSQHSGGCYQRLEFLGDAVLEYVITSYLYSTYPDIKPGQITDLRSLAVGNDSLAYAAVEKSIHKHLIKDSNHLTSAISKFEMYVKLSNSEKDLLEEPACPKALGDIVESCIGAVLLDSGFNLNYVWKVMLMLLKPVLTFANMHTNPMRELRELCQCHGFELGLPKPMKADGEYHVKVEVNIKSKIIICTAANRNSKTARKFAAQETLSKLKNYGYKHRNKSLEEILIVARKRESELIGYNEDPIDVEADISVKMKSPHIHEENIPFQNTETSFTRSSKFHNQIIAGSGKHDVNNGRNNQPKLATQSGRLPSEATEKSNKKVYHGSLTRWLLRSRVLRRPFWSVIAMVSFRRRLHKSMRHKGRSGVSSNLGTYQKKRTFVYSNIVMFWGSQCESTVIFVCPEINVPFSLLL >OGLUM04G18400.1 pep chromosome:ALNU02000000:4:22906267:22908429:1 gene:OGLUM04G18400 transcript:OGLUM04G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSSPDTMAAAAAAAGPSLSITVEKNPPEARLLQLGIKSWPKWGCPPGKFPLKFDARLTCYLLKGRVRASVKGTGRCVEFGAGDLVVFPKGLSCTWDVVVGIDKHYNFDSS >OGLUM04G18410.1 pep chromosome:ALNU02000000:4:22913829:22915325:1 gene:OGLUM04G18410 transcript:OGLUM04G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN14] MATCAADLAPLLGPVAANATDYLCNRFADTTSAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHMPQTGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWIWSADGWASASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKTYGPAGGINGQWSGVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAIICGFVSAWVLIGLNALAARLKFDDPLEAAQLHGGCGAWGILFTALFARQKYVEEIYGAGRPYGLFMGGGGKLLAAHVIQILVIFGWVSCTMGPLFYGLKKLGLLRISAEDETSGMDLTRHGGFAYVYHDEDEHDKSGVGGFMLRSAQTRVEPAAAAASNSNNQV >OGLUM04G18420.1 pep chromosome:ALNU02000000:4:22924170:22930281:-1 gene:OGLUM04G18420 transcript:OGLUM04G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTVEALGLLEPAVWTPLYPGSLYEPARFRSMTPEGFAYDPVFPFASTEEAGFLICDEHGFRVETEEDVVVADDIASFCARVKVLQDEAAARLGSKAVIDVEEVACDDDDDYDVLEEAGFAACFGLIID >OGLUM04G18430.1 pep chromosome:ALNU02000000:4:22950998:22951267:-1 gene:OGLUM04G18430 transcript:OGLUM04G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAAAVWTPLYPGFLYDPARFRSMITKEEGFVYDPVFRFETKDDAGGGLLHHYDKRGFRVGPVPSFQGPGANLNIEALNIVVIVVDI >OGLUM04G18440.1 pep chromosome:ALNU02000000:4:22952543:22962137:1 gene:OGLUM04G18440 transcript:OGLUM04G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIYDYLLKRNLQATAKSFMAEGKVSADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEIAAAYLEAQQTKAREHQQQMQMQQLQLIQQRHAQLQRTNATHPSLNGPISGLNSDGILGPSTASVLAAKMYEERLKHSHPMDSDGSQLLDASRLALLKSASTNHSGQSVPGTPGSVSTTLQQIQARNQQNIDIKSEGNMSVAQRSMPMDPSSLYGQGIIQPKPGLGGGVLNQGVSGLPLKGWPLTGIDQLRPNLGGQMQKPFLSTQSQFQLMSPQQQQQFLAQAQAQGNLGNSTNLGDMDPRRLSALTRSVLNGKDGQPAGTDGCITSPMQSSSPKVRPDQEYLMKQTSSQQTQEQLQQQHNQQQQQQNQQQQTQQGNRKRKQPTSSGAANSTGTANTVGPSTNSPPSTPSTHTPGDGLGMTGNMRHVPKNLMMYGVEGTGLPSSSNLDDLEQFGDMGSLDDNVESFLANGDGDARDIFAAPEKSPAEPNPVASKGFTFSEVNCWRTNNSKVVCCHFSSDGKILASAGHEKKAVLWNMETFQTQYTAEEHAVIITDVRFRPNSNQLATSSFDRTIKLWNAADPGFSLHTFAGHCSGITSLDFHPKKTDLLCSCDSNGEIRYWNVSQLSCMRAMKGGTAQVRFQPNTGQFLAAATENVVSIFDVETNGKKYTLQGHNSEVQSVCWDSSGQYLASVSQDLVKVWSISSGDCTHEVSSNGNKFHSCVFHPGYTDLLVIGGYQSLELWNMVKNQSMTVQAHEGLIAALAQSPITGMVASASHDNSVKLWK >OGLUM04G18450.1 pep chromosome:ALNU02000000:4:22961465:22967202:-1 gene:OGLUM04G18450 transcript:OGLUM04G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16840) TAIR;Acc:AT3G16840] MVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEVDRKAGKDQKKKKRKKRKRGDDDYALPGDGDLVVECEEEGEKGEKRVKKKRRSRKKRKVKEMEEKLESKEDVSDDNVEDMQDGNDMEQDNNDGLILGEDEVYAWRELRLHPFLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTTPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKASASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAENANKSWLQRNAESMGLLLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSRRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQQVCVSIDKQREKRRLAENWRRKKLKEKKSTREQKRKEKRIAKERD >OGLUM04G18450.2 pep chromosome:ALNU02000000:4:22961467:22967327:-1 gene:OGLUM04G18450 transcript:OGLUM04G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G16840) TAIR;Acc:AT3G16840] MAAAPPPPPPPQLQSSDPSTPPQETSQVRKGKKSRGAKKPRRAAAAAAAAAASTSSAGTMVEDPFLVLAGGKEGGFLELEEIDEADFGIFGGAVEDLGEVDRKAGKDQKKKKRKKRKRGDDDYALPGDGDLVVECEEEGEKGEKRVKKKRRSRKKRKVKEMEEKLESKEDVSDDNVEDMQDGNDMEQDNNDGLILGEDEVYAWRELRLHPFLITAVRRLGFKEPTPIQKACFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEQEKAMRLSREDESTQDENSRESPLRALILTPTRELAKQVCDHLKEAAKFLRIQVVPIVGGLSMEKQERLLKRKPEIVVGTPGRLWELMSTGNQHLIKLHSLSFFVLDEADRMIERGHFHELQSIIEMLPVTNGSDEQTVGTTPSCETVPILQIKKRQTFVFSATLALSANFRKKLKRGLVTAKASASTDLSSIEALSKQAGMKPNAEIVDLTKASILPEKLEESFIECSDDDKDAYLYYILSVHGQGRTIIFCTSIAALRHLSSILRVLGINVLTNHAQMQQRARMKAVDRFRESENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSMAGCSIALISPADKAKFYSLCKSLSKENLQQFPVDHAYMPAENANKSWLQRNAESMGLLLETSDSEEERVQGHKQRKATSANLQKLQQDLSELLQRPLQPKTFSRRYLAGAGVSPLLQKQLEELSRRNVKGSASVNANKGSRFVVIGQDQIEPLQALQNSGQQVCVSIDKQREKRRLAENWRRKKLKEKKSTREQKRKEKRIAKERD >OGLUM04G18460.1 pep chromosome:ALNU02000000:4:22969537:22976954:1 gene:OGLUM04G18460 transcript:OGLUM04G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHMEMPTGMRELDRVQQQIASHPYAFEVCSYFLQGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETASDCMPEELEAKEALAPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPAKQTYASVLRTKGHPSYQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEVYIGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGIFFGFVEYEDMSGIHNALRASPIELNGRLIHVEERRQIYRGGGARRGRGRPADFSRGQSGGRYDGDYATRSKGNGYQRRV >OGLUM04G18460.2 pep chromosome:ALNU02000000:4:22969537:22976954:1 gene:OGLUM04G18460 transcript:OGLUM04G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRYHMEMPTGMRELDRVQQQIASHPYAFEVCSYFLQGYYNVLANSPELACQFYTDYSTAVRLDCQTMKSSFGETVEEINDMIISMNVHKIEVKTANFVQSWGGALQMLVTGLVQLKDYPVRKRFAQTMLLAPQDNGYYVFSDIFKLICDEYDYYEGADYSHTDNILQMDAHNTMTETASDCMPEELEAKEALAPADIEERGPAFMPENHEVQQQDPLEYGVVIDDDSPSEELTPSFPSSTDSKQDAPLGPIVHPSVTTPEEEPMGEPAKQTYASVLRTKGHPSYQAIHSIPLNKATASSVESQLNGHMTKQVQPVHEKANLDTRYDASGPEDEVYIGNLSPSTSVFDLEKEAGIFFGFVEYEDMSGIHNALRASPIELNGRLIHVEERRQIYRGGGARRGRGRPADFSRGQSGGRYDGDYATRSKGNGYQRRV >OGLUM04G18470.1 pep chromosome:ALNU02000000:4:22975357:22976493:-1 gene:OGLUM04G18470 transcript:OGLUM04G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPFLHSFLSPSSAAAAPSSLQLRRAFSDGHLPSLHPSSDGGGGSKNNTTGLHTELSFSIYNTFNKMAPPPPPVQEQEEAEQQQAPGEPKLPLFLARGLGIDRIASGLFTAGGGGDGGNGGAGRMSAVEEEHAEKVAALDAQYKRMMDEQPGDALFLRNYAQFLHEVKGDARRAEEYYSRAMLADPSDGEIMSQYAKLVWEVHRDQDRSLTYFHKSVQAAPHNSNVLAAYASFLWEQDDDDDDDLGEGEQDVAGAAQPGHVRQLASVAV >OGLUM04G18480.1 pep chromosome:ALNU02000000:4:22977275:22979680:-1 gene:OGLUM04G18480 transcript:OGLUM04G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13330) TAIR;Acc:AT4G13330] MLRAAASRCARGAIRRLSSAASPAAVAAGARRQPPLDEGDWSYHREWWGEDEGPGEGAHTVFRRHSEHGNGVVSVSAYPASRPASDEWTVTERWLQERNARIYPNSFGANQFKILGYQWRVMRFNDHTRQSVAKVMACYRSSGAVVHIVEIDPVVASASIDSMGFPSLPVKGLPPEPTKPANGNELLWGGIHDRLFLHIADAEDFVANDSNVYDLVFIDAYDGDDIFPRKLWDAEGTFLKNLEMKVHPVHGTVVVNLHSDSELSVAGVEKTSSVDSILPLGKHVSQVCRAYKQHFGLAFTAAVPWLCNITLVACRDRAIASGARLGLSRRDFVLGKLLSKSNMVEHALDLPFPCLQYIKNGFTLVD >OGLUM04G18490.1 pep chromosome:ALNU02000000:4:22980881:22982228:-1 gene:OGLUM04G18490 transcript:OGLUM04G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTTTKAGRNGDRAGTEAPGATKATDGRGGAAVAARKVGDMRGVSGVEAKDSLTIVALQSPVTVERPVRGDLEEHVPKPYLARALAAPDIYHPDGTTTDDHEHHHLSVLQQHVAFFDRDDNGTIYPWETYEGCRALGFNMIMSFLIALVVNVSMSYPTLPGWLPSPFFPIYIHNIHRSKHGSDSGTYDNEGRFMPVNFENIFSKYARTSPDRLTYREVWQMTEGNREVLDLFGWFAAKLEWTILYVLARDEEGYLAREAIRRMYDGSLFEYVAMQREQHAKMS >OGLUM04G18500.1 pep chromosome:ALNU02000000:4:22992339:22993774:-1 gene:OGLUM04G18500 transcript:OGLUM04G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAASKAAPTDALSSVAAEAPVTRERPVRADLELQIPKPYLARALVAPDVYHPEGTEGRDHRQMSVLQQHVAFFDLDGDGIVYPWETYGGLRELGFNVIVSFLLAIAINVGLSYPTLPSWIPSLLFPIHIKNIHRAKHGSDSSTYDNEGRFMPVNFESIFSKNARTAPDKLTFGDIWRMTEGQRVALDLLGRIASKGEWILLYVLAKDEEGFLRKEAVRRCFDGSLFESIAQQRREAHEKQK >OGLUM04G18510.1 pep chromosome:ALNU02000000:4:22996673:22999142:-1 gene:OGLUM04G18510 transcript:OGLUM04G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGATLADKAEFKECLRLTWSQPYILQLVFSAGIGGLLFGYDTGVISGALLYIRDDFTAVEKSTVLRETIVSMAVAGAIVGAGFGGWMNDKFGRKPSILIADSLFLAGALIMALAPTPFVIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFMAYLINLAFTKVKGTWRWMLGIAGLPAFIQFILMCMLPESPRWLYRQDRKEEAEAILRKIYPAAEVEEEIDSMRRSIEHEKQLEGSIGEQSLVGKLTKALSSKVVRRGLMAGVIAQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSIVSMFFVDRAGRRRLMIISLVGIVLWLAVLGGTFLGAAHHAPPVSDLETRVFANQTCPEYSPSARWNCMNCLKAQSTCGFCAHGGNKLLPGACLAAGEASRRTCHAGNREFYTEGCPNNFGWLALVALGAYIVSYSPGMGTVPWIVNSEIYPLRFRGVCGGIAAVANWVSNLIVTQTFLSLTKALGTSATFFLFCAVSFFALVVVFFTVPETKGLQFEEVEKMLGEKDYKPWKRYRPDVSSKGRDIGLSVP >OGLUM04G18520.1 pep chromosome:ALNU02000000:4:23003087:23007329:-1 gene:OGLUM04G18520 transcript:OGLUM04G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITEKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >OGLUM04G18520.2 pep chromosome:ALNU02000000:4:23003087:23007329:-1 gene:OGLUM04G18520 transcript:OGLUM04G18520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITEKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTRGPRVLRSTVPQHANRVRYDSNNRRIIYQRALSRYPAAHIQPNIDLNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >OGLUM04G18520.3 pep chromosome:ALNU02000000:4:23003087:23007329:-1 gene:OGLUM04G18520 transcript:OGLUM04G18520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINPDHIFEVPDTPDRIQQSTCPVSSPAARRGIAKAAGNPLPSRRIKFKITNNSIQGQSSRGNASSVSPAPLDAGDIFKQAELARLLPVAEDPEARSSLLKSGRTIETSVENEKVPKKSGLDQSMNISNNINCRGSGERDRSCQIRKGDISARDANSCNADFLCLGSGLPTTTVGKPRNRMGTITFKKPKEVVGANVCSVSSPREGKGEEITEKGTTGISSSTPSIVPQRHVGQRKLVRNGCISPSNIAKRSLKVDEKREICSTSGLLHYPDTQVDASGKGNVIDLTDSSPIIRRQGNTATDMEKRSGRKLAIGRAGETVIPLAANQVNSIFSEGNKNKGKEISHDVVGAKQSGEAYMRRVCPRSMGDSSSVANNDHTGIGSEQGWRTTHNNTSNIPMPLSGKMTNSCGRESGSSEQSNLDRASAAGDSNNSIEGGDNNNSIGGAKTLQTASFVNRTIRISSRKRKRIASSYHPGESSSSVDVDQPRVASSDSTAARNHTTHRHHIPVVDIDDICSPEVRPSLSGIGSSNRTSVDPNIREQLESDELLARQLQEQLYNETPHVVPTEEIDAIIAMSLQHEDNAQPTSRTARRFQSTTVQGYCVQLFLSMLIGNDYDALLALDENNHQHAGASESQINNLPQSVIQSNIEEPCAVCLDNPSIGDTIRRLPCFHMFHKECIDEWLRRKKLCPVCKSGIT >OGLUM04G18530.1 pep chromosome:ALNU02000000:4:23010191:23012189:-1 gene:OGLUM04G18530 transcript:OGLUM04G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLACFGDRRRRLKPRRQSPARSPPPRPDHVALLGKALTAVDVEAKEEVEPPPLLQEAKDVLLAPPPMPVEAAEEVVTGARPGKELSEQKASPASSLLPEKQVTPPLSPVAHSPPLAEAVVCTPDPELREVGGQESRSSGKKKVTFDMNVTAYENTAPADQEEEPPEPVSMVENEDGKHMQKDVLLPENHRYRNCSDSEEEEDEYGEDDNYGDDSDEEEEDFVDCKIDLVDKDELYTEDSKQESHESLFSLQMYKDQQNDNDVCSPAPKSSSTSVEEQSPLIQRNNHRDRGQYVRPVLNPVQNMSQWKEVKAQAAPAKKSNKENVNSVPKVGATPENSIKKEVSVDASLSTWLVSSDNSTVDKVQSGSPCSISTVNRDERPVLGALTVDDLKQSSATSSPRRSPSHNREEAILGTVGSYWSSTKQDNAHCSSRSDSGTNGIPNTTSKYREDKRVNWHSTPFNVRLDRALKKSSA >OGLUM04G18540.1 pep chromosome:ALNU02000000:4:23017326:23024081:-1 gene:OGLUM04G18540 transcript:OGLUM04G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPGPLTEWPWQWMGGYKYLVLAPVAMHTAHRLATKGWGDFDPAYTFMLPTLLLRMIHNQIWISLSRYQTARRKHLIVDRSLDFEQVDRERDDQIILNGLLFYLGYAIIPNFRLMPVWRTNGALITILLHMGPVEFLYYWFHRALHHHFLYSRYHSHWFHRALHHHFLYSRYHSHHHASIVTEPITYDAVIHPFAEHLAYFLLFSISILPPIFMGCGSVLAGVLYITYIDFMNNMGHCNFELMPKWMFQTFPPLKYLIYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDSSSDELYERSLKGTEETPDIVHLTHMTSLKSTYHLRIGITSISSKPCNDSVWYMWMLWPVAWLSMAEQLNGSGELFAKKYPRLRVRLIDGSGLATAVVLNSIPFGTKQVFLCGSNSKVTRATAIALCQRGVQVILNQEKEYGMLKSRVPESRAIYLKFSNDETPQFPLKKARKDCTYLSNPAMKIPETMQNVHTCENWLPRRVMSAWRIAGILHALEGWEMHECGDDMMNIEKTWSAAIKHGFKLLTKPCSLNSGTDL >OGLUM04G18550.1 pep chromosome:ALNU02000000:4:23029735:23032166:-1 gene:OGLUM04G18550 transcript:OGLUM04G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein C2B [Source:Projected from Arabidopsis thaliana (AT2G33385) TAIR;Acc:AT2G33385] MAFFSSGSRALVEILTGLQSVERPMPVDHTLFEFGSIRYHLQASITDSENIYLSISTPSLSYEASPSSGLPEITLQETRKMYHKFAEIIEPAKEGYTLTLRLNFSGLTRPKDRTKAINQISLLQSVILSSQLKDMLASLGSSGTMKLVYNQRDPFFVSKTPVKISAIFPMRFRDDTDLAIASSFFQELQDLGSTSSFSRAPRCSWSPIPPPELRGEYVHHLTTNGGFVSFDILARYVKGRRAARTAWILLNFQSYVKYHIKCTRSYIQSRMRKRLEIMTEVIDDAKFRGNDESRKKLQVRKRSKRRSIKFARAKKLQKGFKAVIDKIKRLRLRIRVKGLDRFRRHCQCFPVLKLTMAQRKEQKYQKLE >OGLUM04G18560.1 pep chromosome:ALNU02000000:4:23032896:23036539:-1 gene:OGLUM04G18560 transcript:OGLUM04G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQKGPCRSQSSDGLRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >OGLUM04G18560.2 pep chromosome:ALNU02000000:4:23032896:23036539:-1 gene:OGLUM04G18560 transcript:OGLUM04G18560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRRFLNPLVLNLQKMELELTCPVCLKLLNAPTMLPCYHTSCSKCATTRTMDGYSCAICKSAYRSQDLRPASHLEAIVNIHRSLSSTVSSMVTQQEAQADIPVAKTSFQGTPESGNRNGAEKSDQVKSYTPVASKLAYNQSTGLAYGNVDGVKERNPALETRGAADVTAMPTILVQKGPCRSQSSDGLRDLDCDSNDLEGELITSRSSPQSVLKREPNTANDDNRELKRQKSTDQDDRQPAVAGAWKCEFCHSSKTTESTGPLSHYLHGEPLEDNQAWKPNVLHVHEKCIEWAPQAFFTGDIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHGISGCRWDDENFVMLCPSHSSKKLPCERSKSKNKKTSLQRSSSDTMLDDLNSPSTIHMDGLWTASPFLTSEWVICGSALSSQEKEILDQFEHQTGITVTNGWRSNVTHVIANTDERGACARTLKVLMAILAGKWVLNINWLKACMEAKEPVPEEPYEISSDVHGSFDGPRMGRLRAMQNAPHLFAGLTFYFSGHFMPNYKVHLEDLITAAGGSILDKADLSSTSLIIYSMEPPQGSDPDTLNEVIRKRKAEAEELAATIGSRAVPHTCVLDSIASCTVQLTM >OGLUM04G18570.1 pep chromosome:ALNU02000000:4:23039912:23040307:-1 gene:OGLUM04G18570 transcript:OGLUM04G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSEKLEAARVALGKRKERELLAQAPANKALAEPGTNKPAPGPVPNNKLLAGHLAHEFLTRGTLQGRRVEPTKPSQAAASHPGEPVPEPEPDAAKRRRYAEVSWLLMASGARVPGVVNPTQLGRWLQIKE >OGLUM04G18580.1 pep chromosome:ALNU02000000:4:23040753:23047222:1 gene:OGLUM04G18580 transcript:OGLUM04G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVLWRGVGPGETATAVYPVVMTLGPLFNTKTGVGSTVFFKTLLPTAPTLIISMILIRGDNFLVGVEWKFPLADDPVGSEDMRYNLEAYLAFLNRDWMHIDVCGDMPMWVTFGTEEAKRLTGVDVKSGMQPVRNEDILDSNTSLTKTSRDDVYQNSSMSYFAH >OGLUM04G18590.1 pep chromosome:ALNU02000000:4:23047763:23049205:1 gene:OGLUM04G18590 transcript:OGLUM04G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGDEGRNQGGSLSHGETNAGQESETSDRTPPNSSNTKTTGVQKIAAAASKDVPTIHFVRVSSGNTDHHCQSLFSPPAYCNSYYPPLPPQPGSLATNQIVARLMAQMNYEEGTGLGKYGHGIIDPINPTKKYGKGGVGKFESSYDSDSDYDTGLPVEPKLERGTGEAEPEAVVDVEEVRAMETLQREREAYAAARAWERRHEKVRAYNMRGQRPPKHATPADDWEGMTSRYTAIKRALKVVRELSESGKLTLGGLIHEFAGVKAKFPEDYRTNRMLYKAISFVAPLLHSQLSWQYNAGEYGGTQPLLNRTLVMVEALKDKLGADASAAYPRLIHDLVMAPPLDAWWWSAEEPEPMLRFVTRWKGLLPQATMDSILDEVILPTLVAATDVFRPTRSSKLSVCVGMWIPHLGHARLRIVYIISRRLRDWLCGGISEYDYKLALPWKKVFDPASWDEHIERHVLPHLRKALHDLEISIRMT >OGLUM04G18600.1 pep chromosome:ALNU02000000:4:23049236:23052023:1 gene:OGLUM04G18600 transcript:OGLUM04G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWASIVPVKYMVPLLIQGFFKKWMYANYRYLMGERSRLDEAMAWYEVWKRLFTLELLAEKRVVVHVEASLDMINRATQGLEISAPRRIDPIEMTSRPRNAALGAVEGSHGWEALDIERTLATLREESAAYAAKRAQKTLRASGSASTARRLVRSSHDGEDRGPVSAEEEIVSAMAVIRGETSSRTLTLGGLICEFEGLKEKFPEAYGTFQLAQTAAHLTAPWLRPLLRPQDGRWDILQRPAWALALVQSLRNILQEEEDASSAGMSAYAMLIDNSPWNVSATPSRCSGSWRRGKTPSRHRRWPSSSWRSWSPTWWTEPASVWVSPWIPHLGVDRLHGVYLDIAGELGRWMKGRDVTRCAYGKVSQWKGVFDPETWDEFVTVQRHVVPVVSRSLRDPTISPTRTWGGSNTFPLVMRWALLVPARYMVPVLESEFFAKWRYAVYPFVTEVRPIPGKAAVWYQSWKDLFTPELLADERVLLQLETGLGMINRASADKLAGAF >OGLUM04G18610.1 pep chromosome:ALNU02000000:4:23052718:23060805:-1 gene:OGLUM04G18610 transcript:OGLUM04G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCGGERMVDELRDPFGRASEAVALDGAEIDGLRRIRAALRAAEERVVTDDFVRLWLRELEDLERMAEDVLEELEFEALRASRLERFKLQLLRSSAGKRKRELSSLFSSSPDRLNRKIGKIMERYNDLARDRDALRLRSSDGERRREPSPLTPTSCLTKCSLHGRERDKKQVIKLLLSDEYNCQGVYSVVPIVGAAGVGKTSLVQHIYNDEALRSKFDMKMWVWVCQEFDVLKLTRKLAEEATESPCGFAEMNQLHRIIAKRLEGKRFLLVLDDVWDESLVRWTSLLVPLKSAAPGSRIVVTTRSAKVARMMAFKIHQLGYLTDTTCWSVCRDAALQDRDPSIIDDGLISIGKSVAAKCKGLPLAANAAGSVLSIAIDRKHWETVEQSDLWANNEVIDHTLPALLVSYNSLQKPLKHCFSYCSLFPKEYVFRKDKLVRLWLAQGFAAADGESDAEDIACRYFHNLVERFFLQQSPSYDHNEQRYVMHDLYHELAEYVAADEYSRIERFTLSNVNGEARHLSLTPSETHSHEIGEFHASNNKYMNESQYPGLRTLLVVQRTKHDDGRKTSSIQKPSVLFKAFVCLRALDLSNTDMEGLPNSIGELIHLRYLSLENTKIKCLPESISSLFKLHTMNLKCCNYLSELPQGIKFLANLRHLELPRVDNWNVYMPCGISELTNLQTMHTIKFTSDSGSCGIADLVNLDNLRGELCISGIENVSKEQIATEAIMKNKGELRKLVLQWSHNDSMFANDASSVLDSLQPHPALEELIIMGFFGVKFPVWMGSQCSFKLSFLELKDCRNCKELPSLGLLPCLKHLFINLLTSIKHVRRMLSSGDHTSSGDFQSRIAFPTLETLKFTDMESWEHWDETEATDFPCLRHLTILNCSKLTGLPKLLALVDLRIKNCECLLDLPSFPSLQCIKMEGFCRVNHLLQLPLFSQLEMLELRCHKKLISLRKLQHISPFHSLRLRKELVQKVSGCEVLPFQNPSVQDSQKTWTFLRCAGQILECNVVACTDLTFGQTNVHSSEEEIGNGVIFHIGQDEAVELVSCKPVWVQIGQPEEVEIICID >OGLUM04G18620.1 pep chromosome:ALNU02000000:4:23060986:23062844:1 gene:OGLUM04G18620 transcript:OGLUM04G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPAAAAASPTCWVSLHAPGPRGRSASFPAAPCSARRFSRFVARSSGGGGGTNPGPKPGDDESKAVLDAFFLGKAFAEALTEKVESVVGEVFSVVGQWQAEQQKQVQEFQEEVIQRAQKAKERAAMEVVDEKSPKTLREPSKTFVAPAPATPTPPPPTPTQEE >OGLUM04G18630.1 pep chromosome:ALNU02000000:4:23074459:23096282:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MAVAAATRIAVVVVVLALEVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQGGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETRYGGWLGAAIREEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVEIVNYMHDYLKYLSSAIRKGANVGGYFALSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSSKWYRDFLTSSSLTDGLQVRSPGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIREEFGYYSDVCFKAFGDRVRFWTTFNEPNLIAKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKVDFIGINQYTAIYAKDCIYSP >OGLUM04G18630.2 pep chromosome:ALNU02000000:4:23064480:23074211:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSILFNCRIEGNQDTLFAQAYRHRRAEGRAKATPHPQIENRDGERRGTVWAAGKTHARDGGGVARGAPHRAPAPPPLRRLGRRPQPVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDTANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >OGLUM04G18630.3 pep chromosome:ALNU02000000:4:23066110:23074211:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSILFNCRIEGNQDTLFAQAYRHRRAEGRAKATPHPQIENRDGERRGTVWAAGKTHARDGGGVARGAPHRAPAPPPLRRLGRRPQPVEGGYLEGNKGLSNWDVFTHKQGTIEDGSNGDTANDHYHRYMEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATWNLK >OGLUM04G18630.4 pep chromosome:ALNU02000000:4:23068504:23096282:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MAVAAATRIAVVVVVLALEVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQAGRISDGRNGDVADDHYHRCPRIIAGGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETRYGGWLGAAIRYTYMAKLISSSVVLSPQLQLKLNPVYVHMHVFREEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRFWIQYSLRNERDPLIKLTKVYTRRKEVVAKQGGFHWDKSIHSNLRKGLHLFSTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSILFNCRIEGNQDTLFAQAYRHGPRRDTAERCNSAIVVASQGLSISLSRRV >OGLUM04G18630.5 pep chromosome:ALNU02000000:4:23068504:23078561:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MAVAAATRIAVAVVAALAALAPGARGLRRDDFPVGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHTQAGRISDRRNGDVADDHYHRYTEDVEILHNLGVNSYRFSISWARILPRGRFGGVNSAGIAFYNRLIDALLQKGIQPFVTLNHFDIPQELEIRYGGWLGAGIREEFGYYSDVCFKAFGDRVRFWTTFNEPNLIAKFQFMLGAYPPNRCSPPFGSCNSGDSRREPYTAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVVAMKWYEPLTNSTEDVRAARRALAFEVDWFLDPIFFGEYPREMREILSSNLPKFTPEEKKLLQNKTAFSTYFVVPESIESAVMYVNGRYKDTTIYITENGYSQHSDTNMEDLINDVERVNYLQGYLKYLSSAVRKGANVGGYFMWSLIDNFEWVFGYTIKFGLYHVDFDTQERIPKMSAKWYRDFLTGSNVTDDTQVRRMANITMYGDCSKKSIITGSKNIADGVRIWKTATFAVDSDRFTAMRLGIRNTAGEEKQQTLALRVKADKSILFNCRIEGNQDTLFAQAYRHGPRRDTAERCNSAIVVASQGLSISLSRRV >OGLUM04G18630.6 pep chromosome:ALNU02000000:4:23078678:23096282:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MAVAAATRIAVVVVVLALEVLAPAARGLRRDDFPPGFLFGAATSAYQIEGAYLDDNKGLNNWDVFTHLQAGRISDGRNGDVADDHYHRCPRIIAGGRLGGVNSAGIAFYNRLINALLQKGIQPFVTLNHFDIPHELETRYGGWLGAAIRYTYMAKLISSSVVLSPQLQLKLNPVYVHMHVFREEFEYYSDVCFNAFGDRVRFWTTFNEPNLSTRHQYILGEFPPNHCSPPSGDSRREPYAAAHNILLSHAAAVHNYKTNYQAKQGGSIGIVIAVKWYEPLTNSTEDVRAARRALAFEPCRFLDPIFFGDYPREMREILSSNLPKFTPEEKKLLQNNKVDFIGINHYTAIYAKDCIYSPCTLDTYEGNALVYAIGRRNGKIIGKPTALHGYFVVPEAMEKVVMYVNDRYRNTTIYITENGYSQHSDTSMEDLINDVEIVNYMHDYLKYLSSAIRKGANVGGYFALSIVDNFEWVYGYTVKFGLYQVDFDTQERIPRMSSKWYRDFLTSSSLTDGLQLVPPG >OGLUM04G18630.7 pep chromosome:ALNU02000000:4:23064480:23068396:-1 gene:OGLUM04G18630 transcript:OGLUM04G18630.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN42] MAAAWLVVLLTVHRLLHLSGVSAVDRSQFPPDFLFGTSSSAYQARRRNPHSTMLHNKSLSELCFFRLKADIWRVTKVSATGMSSLISKVQLRMEAMAIQLMTTITEDIELMHSLGVNSYRFSISWARILPKGRFGDVNPDGVAFYNALIDGLVQKGIQPFVTICHYDIPHELDERYGGWLSPEIQKDFSYFAEVCFKLFGDRIKFWTTFNQPNLSIKFSYMDGFYSPGRCSEPFGKCALGNSSIEPYVAGHNIILSHANAVSVYRNKYQGKQGGQIGIALSITWYEPFRNTTIDLLAVKRALSFGASWFLDPILLGDYPTEMREVLGQSLPKFTSKQKNRLQSTKLDFIGLNHYTTCYVKDCIFSPCEIDPVNADARVFSLYERDGVPIGKATGAPFFHDVPRGMEEAVTYYKQRYNNTPTYITENGYSQASNSNMTAKDFTNDTGRITYIQGYLTSLASAIRKGADVRGYFVWSLLDDFEWNFGYTLRFGLYHVHYKTLKRTPKLSVDWYRKFLTGSLLRRKFRDESQLHKFNSY >OGLUM04G18640.1 pep chromosome:ALNU02000000:4:23101602:23110463:-1 gene:OGLUM04G18640 transcript:OGLUM04G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKTRIHASLVSTLLLLLPLASAIHRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHLPGNIKDGSNGDIADDHYHRYEEDVELMNSLGVNAYRFSISWSRILPKGRFGGVNPAGIDFYNKLIDSLLLKGIQPFVTLTHYDIPQELEDRYGAWLNAEIQSDFGHFADVCFGAFGDRVKYWTTFNEPNVAVRHGYMLGTYPPSRCSPPFGHCARGGDSDAEPYVAAHNVILSHATAIEIYKRKYQSKQRGMIGMVLYSTWYEPLRDVPEDRLATERALAFETPWFLDPLVYGDYPPEMRQILGGRLPSFSPEDRRKLRYKLDFIGVNHYTTLYARDCMFSACPQGQETQHALAAVTGESNGLPIGTPTAMPTFYVVPDGIEKMVKYFMRRYNNLPMFITENGYAQGGDSYTDAEDWIDDEDRIETQERSPKLSALWYKEFLQNLHENQ >OGLUM04G18650.1 pep chromosome:ALNU02000000:4:23127616:23130529:1 gene:OGLUM04G18650 transcript:OGLUM04G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 5 [Source:Projected from Arabidopsis thaliana (AT4G13670) TAIR;Acc:AT4G13670] MAPRWENRLDAAAPWKPRCNGDAADGREEKRAARTNSLLAEWVVISRDTSFSLADPTPLKPTLSAAVSSSAMIVATATIPFFPSFHRPRFRSGGLPRRVVVLRCSASSWEEREEARWLREEQRWLREEQRWLREESRWRAERESLLAEIAALRLRLGTVEAGPLPLPSVDAAVASPAPSPAVAAVPPPPPPPAAAPRPPLVVEEEVEVRKEVVVVEQKAAKAKSGGGDGGGRRTLRVGAEGEDVRAMQEALEKLGYYSGEEDMEFSSFSSGTERAVKTWQATVGVSESGIMTSDLLDMLFTGQAGQDVKTKDGINGAAIPSVTEIAEIQQTVVKGNGVSGVGLSENRVFLIGENRWEDPSRLTQKNKPISSATNASTKKCISCRGEGRLMCVECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSIVCDVCEGKTVATN >OGLUM04G18660.1 pep chromosome:ALNU02000000:4:23131359:23134451:-1 gene:OGLUM04G18660 transcript:OGLUM04G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09040) TAIR;Acc:AT3G09040] MRSAARVRLVPKPRANQPPPPPPPPFRLAPAAVAALGSHPDASSYASLLSSLSRECLASHARHPFDASPPRARHSQTCRALHGRILRGGSPLLGRLGDALVELYCKSGRVGYAWSALGYAGEMASGAASSLLSCHARSGSPGDVLGAFRYIRCTAGGRPDQFGLAVVLSACSRVGVLAYGRQVHCDVVKSGFSSSAFCEAALVDMYAKCGDVPNARRVFDGIACPDTICWSSMIACYHRVGCYQEALALFSRMDKMGSAPDQVTLVTIISTLASSGRLDHATALLKKMPTPSTVAWNAVISGHAQSGLEFNVLGLYKDMRSWGLWPTRSTFASMLSAAANMKAFVEGQQMHAAAVMHGLDANVFVGSSLINLYAKCGCPSDAKNVFDLSCEKNIVMWNAMLTGFVQNELPEEAIRMFQYMMRYTLQTDEFTFVSILGACTYLSSFYLGKQVHCVTIKNCMDISLFVANATLDMYSKYGAIGDAKALFSLIPYKDSISWNALTVGLAQNLEEEEAVCMLKRMRLHGITPDDVSFSTAINACSNIRATETGKQIHCLAIKYGICSNHAVGSSLIDLYSKHGDVESSRKIFAQVDASSIVPINALIAGFVQNNNEDEAIQLFQQVLKDGLKPSSVTFSSILSGCSGSLNSAIGKQVHCYTLKSGVLYDDTLLGVSLAGIYLKSKMLEDANKLLTEMPDHKNLFEWTAIISGYAQNGYGDHSLVSFWRMRHCNVRSDEATFASVLKACSDVTAFADGKEIHGLITKSGFGSYETATSALIDMYSKCGDVISSFEAFKELKNKQDIMPWNSMIVGFAKNGYADEALLLFQKMEELQIKPDEVTFLGVLIACTHSGLISEGRHFFGSMRKVYGLTPRLDHYACFIDLLGRGGHLQEAQEAIDQLPFRPDGVVWATYLAACRMHKDEERGKIAARKLVELEPQYSSTYVLLSSLHAVTGNWAEAKVTRESMREKGVAKFPGCSWITVGNKTSLFLVQDKYHPDNLRIYEMLGDLTGMMKKDNDIDEYGLLYSAEMLA >OGLUM04G18670.1 pep chromosome:ALNU02000000:4:23135494:23139577:-1 gene:OGLUM04G18670 transcript:OGLUM04G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAISCLQPLCDCLDGTGLLDAAGREVASFLRLKSNWGDLDKARESLGAVERMVRGRVTAELNKLNVCDPQVELWLRRVDELKLGAIDEDYSSLMNYSSICQCTRHAARRSWIGKRIVEALDEVNKLIEEGRRFKKFGFKPSPEIVERLPQTKTFGLETMLVQLHDLLEKADSNIIGIWGQGGIGKTTLLHAFNNDLEKKVHNYQVVIFIEVSNSETLDTLEMQKTISERLNLPWNEAEITVKRARFLVKALSRKRFVLLLDDVRKKFRLEDVGIPTPDTNSQSKLILTSRFQEVCYQMGAQRNLIKMDLLDNDAAWKLFLSKLSTEACAAVESPSPSNVVRDHAIAIAQSCGGLPLALNVIGTAVAGYEEPRDWNSAADAIKENMKFEGVDEMFATLKYSFDRLTPTQQQCFLYCTLFPEYGSISKEHLVDYWLAEGLLLDDREKGNQIIRSLISACLLQTTSSMSSKVKMHHIIRHLGLWLVNREDRSFVVKAGMALDNAPPAIEWKEATRISIMSNNITELSFSPKCENLTTLLIQNNPKLNKLGWGFFKYMRSLKVLDLSHTAITSIPECDTLVALQHLDLSYTHIMRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLHKLRVLNLFRSHYGIRDVDDLNLDSLRDLLFLGITIYSQDVLKKLNETHPLAKSTHRLNLKYCGDMQSIKISDFNHMKHLEELHVESCYDLNTLVADTELTTSCLQALTLSVLPSLENVLVAPMPHNFRYVRKLSISQCPKLLNITWVRRLELLERLVISNCDEMLTIVEEANSTEEQQYGTQTIKMQGYYSEEQDDHAMAESSRNEWNDDYQSVNGESTNGATRQPDFPKLRSIVLTDVKKLRSICTPRDFPCLETLRVEDCPNLRRIPLCSTHNCGKLKQICGSSDWWKKLQWEDKEAVAHMESKYFIPI >OGLUM04G18680.1 pep chromosome:ALNU02000000:4:23140567:23142123:-1 gene:OGLUM04G18680 transcript:OGLUM04G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRVAKRARLCRRSWSDLPHELLSNIIRGLPAFGDRLRFRAVCQQWRRAERAHEEPPPMPWLVAAGNCVGVHDAAIHRVALPEDARAAACRGSFGNWLALVPMSPPPYQPFLLNPFTTARIQLPVWTEGTIIKIVVSSAPDSENCTVAAVVGSEFNNERRLGSVSVCRLRQKKEGSSSPWWCITKTFYLEDIVFFEGKLHAVDGAEQTYVFEDDELEEMRKWPLFHRDRVAPLSIHKRYYLTPCHGKLLMVSRSFGINRVPGGAYHTIGFKVSEVSEHSYGRIIPPPPVAVKKFDGHALFVGDACCRAFAITDEGSKIKEDQIFFSDDESNTSVVLGGGGTFQVVNHEGINCYRPLRLLQSYDLRTDCFRRYRQLRPTGQWQCVTVQRLLHRDALPPPQATDQWGAMLLLWEVMSSLGASRPPCYWSRMPSHVPNIRVIPGNVIMSVTVVVYDQSWCFTQSGRSVQEAKQLAASEAVSFLRSRFRSVLDDSPWSGVPHCHSHVSEDEYEDDDEDENT >OGLUM04G18690.1 pep chromosome:ALNU02000000:4:23154619:23158312:-1 gene:OGLUM04G18690 transcript:OGLUM04G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVIGGKFKLGKKIGSGSFGELYLAVNIQNSEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKSVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKANQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKKLFRDLFIREGYQLDYVFDWTMLKYPQIRDNKLRPSGKTSGLVGRSAERTERTTGQALARRTGSGSGRNGEPTKHRTLLDSLMSSKATADTDKTRPTSLSRNGSTSRRAVVSSSKPNCGDPSDTNRTSRLFSSSSSRPSAAQRALQSAGAELRSSSLSKTRKSSRDDPTIRSFEMLSLSADRRK >OGLUM04G18700.1 pep chromosome:ALNU02000000:4:23163400:23163789:1 gene:OGLUM04G18700 transcript:OGLUM04G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNLTLLVGSRRWFVKNCFSHGQVTVSYAGVPMGEGRVRGGGFCAEPRSEEEAEVSAVARGRQGGHRAAARQPEEAHGGRAAVGGRGVRRRSQAVPQRRRHARLRHALVQGWIVARAAAVFAMSGLH >OGLUM04G18710.1 pep chromosome:ALNU02000000:4:23170413:23171042:1 gene:OGLUM04G18710 transcript:OGLUM04G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLLPCTRDDEKLLPRRQNPMAGCLVAGAVTAIFLVLPVVLIIQQLLFADFTPPPRPETSVVVDEFSGLDGAAARVPRAFNLSLSVDNPRGSTFDVCVGGEAAVLYDGVPLATGLAEGRCVPPGGAWRGAIHAASGGVGLPPELAALMATEKRDEGDVKLEVRLISLNYGWYVRCTPSLVSGAASPIPCTGHILKDQSDGIRRVIRD >OGLUM04G18720.1 pep chromosome:ALNU02000000:4:23172583:23173194:-1 gene:OGLUM04G18720 transcript:OGLUM04G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDQDAETSRNAPAFVCEILVSLLIFGGGLLVFPSFFSSFFTDVIILPTFSVDLAGFDGLDDGGPTPPPTVSPAFNLTLLASGDRPASRLTAAWEGICQERGTVAVSYAGAVLAWGRVPGFCVGKHEDALVRMVAVGIEVGLSDELRHRMASERRSRAAELDVDLVLERRSMKDDKRLLSCRVKLDEPPPSSQPSPCRVIVL >OGLUM04G18730.1 pep chromosome:ALNU02000000:4:23173886:23175667:-1 gene:OGLUM04G18730 transcript:OGLUM04G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQINFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWENSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEAAA >OGLUM04G18740.1 pep chromosome:ALNU02000000:4:23175980:23179087:1 gene:OGLUM04G18740 transcript:OGLUM04G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKKATTVEEVRGVEEETKKEEAAASDVSLKELSKKLDDFAKERDWEMYHAPRNLLLAMIAEVGELSELFMWKGEVAKGLPGWKESEKEHLGEELSDVLLYLIRLSDMCGVDLGDAATRKIVKNAVKYPAPSKST >OGLUM04G18750.1 pep chromosome:ALNU02000000:4:23212220:23215181:1 gene:OGLUM04G18750 transcript:OGLUM04G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREIESTLPPGFRFYPSDEELVCHYLYKKVSNERASQGTLVEVDLHAREPWELPDVAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPATRAVVGMRKTLVFYQGRAPNGVKSGWVMHEFRLDSPHSPPKEDWVLCRVFQKSKGDGEQDNPTSAASPAATFAGSSQAAVPGQAAYSSDDHTGSSMGFAPRQNEILDSSSHQLLNLAMLQCNSVLDHFPQEVNSSPMMGLAGSIGIGDEYGFFYDTGFEETASLGGMRFPQGWS >OGLUM04G18760.1 pep chromosome:ALNU02000000:4:23221704:23222312:-1 gene:OGLUM04G18760 transcript:OGLUM04G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPNPDSPPSGGGNGGGGGSSSSNSSPSMGAGAPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQQQLQGGDSSGLHGHQHHPPPPPPAGAAC >OGLUM04G18770.1 pep chromosome:ALNU02000000:4:23261015:23262682:1 gene:OGLUM04G18770 transcript:OGLUM04G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGQCHLLAQYRALHWRDAGYSNTYCAKNDKDISVGLLIIAPVKGRAAEEGKRKKQVRLTWSKCADVASQSSEELKIPQERISTMWRQFSSSVLARFPAREGTSARSAVVKLQRKRGDGQLHITGEALATCDTLVEEVVRLHLHVPDAKYERGDLASLSSPRSC >OGLUM04G18780.1 pep chromosome:ALNU02000000:4:23264138:23268130:-1 gene:OGLUM04G18780 transcript:OGLUM04G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGLASGVPDSVDITGAGPGRPPRATAAAPTPFAPVTRAFGAVDCVSTGSRIARRSARPTSIKGRSHGPKRPPPHHHFCCCGPRLIASRLHPRLGRRLIPEELLKMVTNVVDLRSDTVTKPSDAMRAAMAAADVDDDVLGADPTANRFEMEMARITGKEAALFVPSGTMANLISVLVHCDTRGSEVILGDNSHIHIYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDGALYYPTTRLICLENTHANCGGKCLSAEYTDEVGEVAKSHGLKLHIDGARIFNASVALGVPVHRLVKAADSVSVCISKGLGAPVGSVIVGSTAFIEKAKILRKTLGGGMRQVGILCAAAYVAVRDTVGKLADDHRRAKVLADGLKKIKHFRVDTTSVETNMVFFDIVDSRISPDKLCQVLEQRNVLAMPAGSKSMRLVIHYQISDSDVQYALTCVEKAAEEILTGSKKFEHLTNGTTRNSYGH >OGLUM04G18790.1 pep chromosome:ALNU02000000:4:23270293:23271813:1 gene:OGLUM04G18790 transcript:OGLUM04G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASVVVASCLCVGASACVSSGLAFCATFAVSLPFVARELSPEHIDGVFAAVDACLQKGACYARAAVEAETRRLRDPARCHPALAFLYARAEGGARRARALVTDAVDRLETRTAESKWRDMTDASAAALRWLRLIAGGINLAVAVLITMSERRAASGLRRSGAHGIRTTPNSEAMTTSSSKLDATLFVVWITATFTYSTPVFFQCAVTSGMASLAACFACFATMCCFALMQANKVHLWSSRDAAGRNAVMAEVPHAWGLLWSEITLVTYLVDACLLCITLDSRASRPVALAFLAACNLATLKVASQVEAIGSAGVIRRRGHAVAVCAMGIAKVFVVCFVLDFRLGALRFAFLCSVIAFLLNKAAGSLPDVSTPVDASAGDADVAGDVELLPEYVSNSEELSNHATFNHKVEEYSSSPAARDRENEHDSSNSATIDGGEDDTTTKEYFDGSDSEEQRQEEEEEDYGGGMDEWNLVEIDPVMPINVNGGANVKFKRWRRKYLRRVV >OGLUM04G18800.1 pep chromosome:ALNU02000000:4:23272491:23275140:1 gene:OGLUM04G18800 transcript:OGLUM04G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHQRGWHVKDKSSHAWAPVHVHPKDGIREHTTTPTPVQPLHTVRVVVSNWAPFKSRAFLGGSSQSVCQCVCGRERETAEAPSAMALLRSLACFLFLLCSSFTFEAVNGRMYGGGDVVEEEEDDSRTVADGARGGAGGWPGYLYTRAVGRCTPQFWSSGAEQWPNIIPQEAAVSKVFGSRSIDRYGPRLTVLEATTRTDDNGSSSAFAKLVKQGSAALLNAYARKGFPLDSWEVKALLLEALVSEDAAAAQADRFEQANESCI >OGLUM04G18820.1 pep chromosome:ALNU02000000:4:23293339:23297793:-1 gene:OGLUM04G18820 transcript:OGLUM04G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF647 [Source:Projected from Arabidopsis thaliana (AT2G31190) TAIR;Acc:AT2G31190] MNILERIRGGGDRAAAGEGPREPEPWVEISESVSRLCSFDAGRVSVKVIQDSRPIHDKMIDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQILKDGMQHAGKLICSGMGARMDSEPKSWRILADVLYDFGTALEVISPLCPQLFLEVAGFGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSVVHIYGVVQEMRATPVNTLNPQRTAMIVADFIKSGKVSSPAELRYREDLLFPNRLIEEAGSVKIGQPVRRVLSPQRIEQLKATFSKEKFLLSRKDNSAYMVLEQSATGEDALRGWLVAAFASEMERSGVGSGDTVLNVAYERMENVFPMFVAEVKSRGWYTDQFLDGNRSRIAYAKSHQR >OGLUM04G18830.1 pep chromosome:ALNU02000000:4:23296607:23303837:1 gene:OGLUM04G18830 transcript:OGLUM04G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN66] MTRRAPSSSRPSDPMRPVPIEWAARRRLRSPNWVVDDFIDRAKADLHGERSEIRPASSSSAGAAWVREIGGDPVASSMRSPTPARLALALVAALAAAALLGGVAAAAGTEEAYVTLLYGDEFVLGVRVLGKSIRDTGTRRDLVVLVSDGVSDYSRKLLQADGWIVSHITLLANPNQVRPKRFWGVYTKLKIFNMTSYRKVVYLDADTVVVKSIEDLFKCGKFCGNLKHSERMNSGVMVVEPSETVFKDMMRQIDTLPSYTGGDQGFLNSYYADFANSHVYEPDKPYTPEPETQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTAWLVKPVGVWQDVRQTLEESLPGTGGGRSPHDQLVVKVLFILPVLLLSFGYYQSCFQTNKELLNIRSLCAFARRDRYKYKSEEAFPSYSVMGVSSSAFANSNQRFSNGMHSKLPSYFGALTVLACFMSAGVSFAFAFAIIPKQIMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSLDANHVGHSRFDSSENHMVTGRHHNMSDCDIDATFYWTGMAIIAIVTVLLPTLLGVTALFAKLGLMVAGGVVLASFMTYASEHLAISAFYKGQRDRNVSRSRSICFLF >OGLUM04G18840.1 pep chromosome:ALNU02000000:4:23305223:23306829:1 gene:OGLUM04G18840 transcript:OGLUM04G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKRDFEIGEEIGRGRFGVVHRCASRSTGEAYAVKSVDRSRLSDDLDRSLAALEPKLARLAAAGNPGVVQVHAVYEDDDWTHTVMDLCTGPDLLDWVRLRCGQPVPEPDAAAVVAQIAEALALCHRRGVAHRDVKPDNVLLDATGDGPPRVRLADFGSAAWVGDGISAEGLVGTPHYVAPEVVAGGEYGEKADVWSAGVVMYVLLTGGALPFGGETASDVFAAVLRGNLRFPPRLFSGVSPAAKDLMRRMMCRDVYRRFSAEQVLRHPWIVSGGGARDVQPT >OGLUM04G18850.1 pep chromosome:ALNU02000000:4:23315762:23319208:-1 gene:OGLUM04G18850 transcript:OGLUM04G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSNAAYRKGAISKGTYGRLILEKTASAKHTIATAAVPSPQCQSRCGDVDIQYPFGIGANCSLAELFNVECKVQHGISKPFIGNVEVLNISLSRSTLRVLNGISTFCYNASGLMGGVRFRFNAKNTPFRFSDVYNKFTVIGCNTLAYIADDGGTGYQSGCFSQCRDLSGLVDGSCSGMGCCQTTIPREMYYYNVTFDKRFNTSQISRFGRCSYAVLMEAASFNFSTTYINTTKFNGTNGGRVPMVINWAIREKSCDIAKQNMTSYACLSSNNECVASTNGPGYVCNCSHGYEGNPYLPDPRGCHGMYSPLFTTDVNECDRNPWPCPSGGVCHNTEGGYRGYYIGFIVLMIIAFCRQLVIQRRKLTKIKKEYFRQHGGMILFESMKSKKGLAFTVFTEAELIHATNNFDKRRIIGQGGHVTVYKGTVKDNVLVTIKRCALVDERQKKEFSQEMLILSQINHKNIIKLLGCCLEVEVPMLVYEFVPNGTLFELIHGKNQGLQISFSTLLRIAHEAAEGLHFLHSYASPPILHGDAKTANILLDENYMAKVTDFGASILAPSDKEQFVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLEILTGQVPLKLEGPAIQRSLSSVFLSAMKGNNLDSVLVSDIKGQESMELIGGLSELAKQCLDMCGANRPSMKEFTDELGRLRKLSLHPWVQVDAEMETENLLGGPSTINSGLEIETSSTGYLWEERENLPMNPGSTYYAR >OGLUM04G18860.1 pep chromosome:ALNU02000000:4:23327739:23328128:1 gene:OGLUM04G18860 transcript:OGLUM04G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSKRRLLRAFLHSWKKLGAAAAAAAPAAGEWAPLDGDGEGAIPSDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRAREEYQFAAGADARLCIPCDEDIFLGVLCHVDSKQEHWRLISFCR >OGLUM04G18870.1 pep chromosome:ALNU02000000:4:23329447:23333348:-1 gene:OGLUM04G18870 transcript:OGLUM04G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSYEGVLLGMGNPLLDISAVVDEAFLAKYDIKPGNAILAEEKHLPMYNELASKVNVEYIAGGSTQNSIRVAQWMLQIPGATSYMGCIGKDKFGEEMKKDAQTAGAHYYEDDNAPTGTCAVCIVGGERSLVANLSAANCYRSEHLKRPENWTLVEKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFMMNLSAPFICEFFRDAQEKALPYADYIFGNETEARTFAKVRGWETENTEEIALKISQLPKASGAHKRITVITQGCDPVKTFPVIVLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVIIQRSGCTYPEKPDFN >OGLUM04G18880.1 pep chromosome:ALNU02000000:4:23338304:23341139:-1 gene:OGLUM04G18880 transcript:OGLUM04G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN71] MASQTADAAGFVASDPLSWGKAALEMTGSHLDEVKRMVAQSREAVVKIEGSSLRVGQVAAVSAAKDASGVVVELDEEARPRVKASSEWILNCIAHGGDIYGVTTGFGGTSHRRTKDGQALQVELLRHLNAGIFGNGSDGNSLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGKKVDAAEAFKIAGIQGGFFRLEPKEGLAIVNGTSVGSALAAMVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILAGSAFMPHAQKVNEVDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNTRLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLVSARKTAEAVDILKLMSSTYLVALCQAVDLRHLEENLKSAVKNCVTTVAKKVLTTGPAGGLHSARFSEKALLTAIDREAVYSYADDPCSANYPLMTKIRAVLVEHALANGPAEKDDGSSVFSKITVFEEELREALPREMEAARVAFETGTAPITNRIKESRSFPLYRFVREELGCVYLTGEKLKSPGEECNKVFLAISERKLIDPMLECLKEWNGEPLPIC >OGLUM04G18890.1 pep chromosome:ALNU02000000:4:23349113:23351355:-1 gene:OGLUM04G18890 transcript:OGLUM04G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN72] MECENGHVAAAAAANGSSLCVAKPRADPLNWGKAAEELSGSHLDAVKRMVEEYRRPVVTIEGASLTIAQVAAVASAGAARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGNGDDGHVLPAAATRAAMLVRINTLLQGYSGIRFEILETIATLLNASVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTPDGRKVDAAEAFKIAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLGVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMMLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIDVLKLMSSTFLIALCQAIDLRHLEENVRSAVKGCVTTVARKTLSTSATGDLHKARFCEKDLLQAIDREAVFAYADDPCSANYPLMQKMRAVLIEHALANGEAERNVDTSVFAKVATFEEELRAALPREVEAARAAVENGTAAKANRITECRSYPLYRFVREELGTEYLTGEKTRSPGEEVNKVFVAMNQGKHIDALLECLKEWNGEPLPIC >OGLUM04G18900.1 pep chromosome:ALNU02000000:4:23363424:23366290:-1 gene:OGLUM04G18900 transcript:OGLUM04G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIGRKIHIYNVTVGLYMLDWWERYLFNILMLCLLWYILRSFRSVNLSHCDDGAKLPAAATSLAIRDGEPHRCRWEVAPLAIEGLARPSSPGSSAPSGFV >OGLUM04G18910.1 pep chromosome:ALNU02000000:4:23380962:23381713:1 gene:OGLUM04G18910 transcript:OGLUM04G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSVLAPLIPPAPQVPVPLPLLPPPLPVPVPSLLNSGLQKLSRFLCCGWLVLMLLLLLLPTKA >OGLUM04G18920.1 pep chromosome:ALNU02000000:4:23390430:23394691:1 gene:OGLUM04G18920 transcript:OGLUM04G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN75] MMDTDHTEIIKEGEAVVEAMALLQSRFRRICVFCGSSQGKKKSYQDAAVELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPSARHIIVLAPTPKELLEKLEAYSPRHDKVVPKMQWEMEKMSYCKSCEIPGLKEGNKATIQAQRGSML >OGLUM04G18930.1 pep chromosome:ALNU02000000:4:23399001:23401063:1 gene:OGLUM04G18930 transcript:OGLUM04G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSGRTRVNTIILMLNQCSMPHGVEASHRGLLLMKKIMDSARKFRNCRNKIF >OGLUM04G18940.1 pep chromosome:ALNU02000000:4:23407793:23409929:1 gene:OGLUM04G18940 transcript:OGLUM04G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbQ-like 3 [Source:Projected from Arabidopsis thaliana (AT2G01918) TAIR;Acc:AT2G01918] MALQLAAQAPSAILLSGAQPSSRRATPPGNGQRSRRPPATGRRRLAASLLASQLLLLPAAATSVAGAFEFDLRITVPEQSGEEAEAVVKLHARNLVRVKGLIDARSWRELQSALRSSAANLKQDLYAIIQASPASRRPELRRLYSDLFNSVTSLDYAARDKDELRVQEYYSNMITSLDEIFSKIM >OGLUM04G18950.1 pep chromosome:ALNU02000000:4:23409101:23414433:-1 gene:OGLUM04G18950 transcript:OGLUM04G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVLLSSHEKVGKQPRKERRAWSESKPKIETNSGKIIVGFAPISAALLLLCLCYGAQQVVREIGTRLWRLFEGGEGRRGNTPADNLLGAQMADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPSFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGCFSV >OGLUM04G18950.2 pep chromosome:ALNU02000000:4:23409450:23414433:-1 gene:OGLUM04G18950 transcript:OGLUM04G18950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYVLLSSHEKVGKQPRKERRAWSESKPKIETNSGKIIVGFAPISAALLLLCLCYGAQQVVREIGTRLWRLFEGGEGRRGNTPADNLLGAQMADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPSFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGW >OGLUM04G18950.3 pep chromosome:ALNU02000000:4:23409450:23412802:-1 gene:OGLUM04G18950 transcript:OGLUM04G18950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPSLDFGEFPQPFCSQQVVSFQPSVTTSGSGGMPVYLDCSSGMDSNTVMLSTTPSVVVSTTSSNTVADPGQNLKYGGPLAADWSRLELDVLKDGLQKYGNEQGIMKYIKIAASLPSKTVRDVAMKCQWLGKRENSRRRKSEDHHTGRKMKERKAKMAEPSLWGTNHPVQTDTRVPSFVSHNAIQNNQILTGATEIDRAMQQLLVQNDRLLDQIEANMLACQPQNNIELFHRTRRNINGLLQTMNQMPGIMSKMPPLPVSVNENLASFVLPGLTVPQFLGGSQLKEEPRGW >OGLUM04G18960.1 pep chromosome:ALNU02000000:4:23419779:23424744:-1 gene:OGLUM04G18960 transcript:OGLUM04G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKENENRKENDEEADHSKKDDKGTTYVRPKNRDDKKKSRKHISRENDAVRNGDLERAKARVTEGANLDTLIQKLPGCSSRDLIDQLTVEFCYLNSKANRKKLAWALFNVPRTSLELLTYYSRLVATLSTYMKDLPSITLSMLEDEFNFLINKKVLEEIRVGLELNDYSMQQQRLAHMQFFGELYNYEHIGSSIIFQTLYLIIVFGHKTPEPLGYKFNISGEELDLFAHLGSNMTRYSSMEELSVALIELEANGYVASAEKCGNEWHSGSKEQTKQSDYVSFDANHKSSRDRIDENGNDNEELAESLESRKLELRAKPTLNMVIPMNVFERSKDLRATELENGGENASVSINDGDGGKVCIKVLVKKGHKQQIKEMFIPGDCSLVQSTKQQEAAELEEKQSIKN >OGLUM04G18970.1 pep chromosome:ALNU02000000:4:23442657:23447479:1 gene:OGLUM04G18970 transcript:OGLUM04G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKAMYLYAAVLAVLLCSSVNFIQSPTDVLGPVALLEPTPSSARDFGAVVSDAPFAVMRPESPDDIALLLGALSSTAPSPRATVAAVGAGHSLHGQAQARDGIVVETRALPRDVHVVSARAHGGDDDATVRAYADVGAGALWVEVLEECLKLGLAPPSWTDYLYLTVGGTLSNGGISGQTFKHGPQISNVLQLEVVTGKGEVVTCSPTEIPELFFAVLGGLGQFGIITRARIPLQLAPPKVRWVRAFYDSFETFTGDQELLVSMPEQVDYVEGFMVLNEQSLHSSSVAFPAQLNFSPDFGSKGRKKVYYCIEFAVHDFQQDGSRADHVVELVSAKLSYLRPQVYSVEVSYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPKHGITQFKGLLMDTVSADDFEGPILVYPLLTDKWDGNTSAVVPAAPDGVMYIFGVLRSTDPARCGRACVDSIMARHRRVADEACRDGGGGGRGIGAKQYLARQPSPARWRDHFGAGWGRFAARKARFDPLHVLGPGQGIFPRTDSAGSM >OGLUM04G18980.1 pep chromosome:ALNU02000000:4:23449745:23451253:-1 gene:OGLUM04G18980 transcript:OGLUM04G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN83] MDCHRNESQRELEMGTKPHFVVIPWLATSHMIPIVDIACLLAAHGAAVTVITTPANAQLVQSRVDRAGDQGASRITVTTIPFPAAEAGLPEGCERVDHVPSPDMVPSFFDAAMQFGDAVAQHCRRLTGPRRPSCLIAGISHTWAHVLARELGAPCFIFHGFCAFSLLCCEYLHAHRPHEAVSSPDELFDVPALPPFECRLTRRQLPLQFLPSCPVEYRMREFREFELAADGIVVNSFEELERDSAARLAAATGKKVFAVGPVSLCCSPPLDDLRAASDDDAKRCMAWLDAKKARSVLYVSFGSAGRMAPAQLMQLGVALVSCPWPVLWVIKGAGSLPGDVKEWLRENTDADGVADSQCLAVRGWAPQVAILSHRAVGGFVTHCGWGSTLESVAAGVPMAAWPFTAEQFVNEKLIVDVLGIGVSIGVTKPTGGMLTAGGGGGEETAEVGTEQVNRALNSLMDGGVEGEERAKKVNELKAKAYAALEKEGSSYMNLEKLILSAV >OGLUM04G18990.1 pep chromosome:ALNU02000000:4:23451252:23470140:1 gene:OGLUM04G18990 transcript:OGLUM04G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRGLAQAVIASARCNRRLGPGLAAGLTASDEGDDWRGPATELGRWRRAHRLATRMEPEVGVNGSAVDGGWRCWSSRQQSGDHVAVGRILRRHSPRRAHRRGHVLCRLCLTTSGSSSPDSAMPGARRGRPRRSSSPVTASSVIHTHATLELVVDLVAAARPVLFEGFFGNDIATGRQLTTTTTDVENFPGFPNGILDADLMDRCRAQPVHFGTRILSETFTAVSSCPIRVAYLPRRR >OGLUM04G19000.1 pep chromosome:ALNU02000000:4:23481974:23483449:-1 gene:OGLUM04G19000 transcript:OGLUM04G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN85] METATSKPHFVLVPWIGSISHILPMTDIGCLLASHGAPVTIITTPVNSPLVQSRVDRATPHGAGITVTTIPFPAAEAGLPEGCERLDLIPSPAMVPGFFRASRGFGEAVARHCRRQDARPSRRPSCIIAGMCHTWALGVARELGVPCYVFHGFGAFALLCIEYLFKQRRHEALPLADELVDIPVLPPFEFKVLGRQLPPHFVPSTSMGSGWMQELREFDMAVDGVVVNSFEELEHGSAALLAASAGKKVLAVGPVSLSHQPILDPRAASDDARRCMAWLDAKEARSVVYVSFGSAGRMPAAQLMQLGMALVSCPWPTLWVINGADTLPGDVHDWLSENTDADGVAHRKCLVVRGWAPQVAILDHPAVGGFMTHCGWGSILESVAAGMPMVTWPFFAEQFINERLIVDVLGIGVSVGVTRPTENVLTAGKLRGAEAKVEIGAEQVKKALARMMDEGEDMRRKAQELKEKARAALEEGGSSYMNLEKLIHSSV >OGLUM04G19010.1 pep chromosome:ALNU02000000:4:23523639:23524851:1 gene:OGLUM04G19010 transcript:OGLUM04G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGSRGVERGGAPHVLAVDDSSVDRAVISGILRSSQFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVSTRINRCLEEGAEDFLLKPVQPSDVSRLCSRVLR >OGLUM04G19020.1 pep chromosome:ALNU02000000:4:23529033:23535376:1 gene:OGLUM04G19020 transcript:OGLUM04G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAASPSLSSLAAASSSSPASAAAVAISSSSSPSNPSRELSPRLFSPLPPKPHSLSCSGPQAPRATTGDGSGAAGDRGSGSGGNSGKGGGGGSGSGGGDDDDDYEEAEFGPLLGFDEVLRLAAARGVSLPADMMEAAKDAGIREVLLLRYFDLQAGPWPLAAMIRAFSMLRNRMLADPSFLFKVGTEVVIDSCCATFAEVQKRGEDFWAEFELYAADLLVGVVVDIALVGLLAPYVRFGKASASPGPFGRFNRMAGSLPSSVFEAERPGCRFTVQQRIGTFFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLIKSAALWGVFLAVSSNTRYQIINGLERVVETSPIAKRVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >OGLUM04G19030.1 pep chromosome:ALNU02000000:4:23533258:23537343:-1 gene:OGLUM04G19030 transcript:OGLUM04G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSNARRVGLLRQPFTRQENTVIQTCVVAGYDIAFSGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHSFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSADLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDGMVTTTAAVSYDEERRNELFVKDQIPWYVAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRTNDKVDAFLTTLGK >OGLUM04G19030.2 pep chromosome:ALNU02000000:4:23533260:23536948:-1 gene:OGLUM04G19030 transcript:OGLUM04G19030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPTPSEAHGGATPTAADVEIVEASELRRRGKPSGDRAPGPSRDGAAAAAEKAAAPSVERVFADRPVPSWREQLTVRAFVVSFFLVIMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAGYDIAFSGWIIGFLFLVSFIGLFGLVPLRKVMIIDYKLTYPSGTATAFLINGFHTPHGAKIAAKQVKKLGIFFILSFFWGFFQWFYTATDDCGFHKFPSLGLQAFQHSFFFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIAKKRGDWFSADLPDGSLHGMQGYRVFIAIALILGDGLYNFLKMIILTAFSLRSQIKKKNASTLPVSDDGMVTTTAAVSYDEERRNELFVKDQIPWYVAYGGYAVVAAISIGTVPQIIPQLKWYQILVAYIVAPILAFCNAYGTGLTDWSLVTTYGKLAIFAFGAWTGASHGGVLAGLAACGVMMNIVSTAADLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFDNIGISGSDYPAPNAAVFRSIAILGVDGFSSLPKNCLNLCYAFFAAAIVVNLIRDLVPKKVSRFIPIPMAMAIPFYIGSYFAIDMFIGTVILFVWQRVDRAKADTYGPAVASGMICGDGIWVLPQSVLALAKVKPPICMKFLSRRTNDKVDAFLTTLGK >OGLUM04G19040.1 pep chromosome:ALNU02000000:4:23544493:23553699:1 gene:OGLUM04G19040 transcript:OGLUM04G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMVEASTLRHRHGAGKDANGVGAERQLAAAAAEGEEEGPSSVERAFVDRAVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGTYLFGMSETIAKQATEANNAQNVKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMNSSAAYDAAETGGLLRRRNTTAAARGNEGEEEEEAEAVAPSVEQAFADKPVPSWREQLTVRAFVVGFLLSIMFNIIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYISIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >OGLUM04G19040.2 pep chromosome:ALNU02000000:4:23546920:23553699:1 gene:OGLUM04G19040 transcript:OGLUM04G19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLSVRCLILENSLVFVGGVTSPNFTHRDSSFYRQEGSVGSSELESAGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYAASLSETSLHGLQGYRVFISIALILGDGLYNFVKVLIRTTAGFVVMMKKNSTLPVSNNGSPMVATEAVSFDDERRTELFLKDQIPKTVAFGGYVAVAAVSIGTLPQIFPQLKWYYILVAYVFAPVLAFCNAYGAGLTDWSLASTYGKLAIFIFGAWAGASNGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKAFADIGVSGTEYPAPYAIVYRNMAILGVDGFSSLPKHCLTLCYIFFAAAIAINLARDLAPSKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFVWEMVNKAKAEAFAPAVASGLICGDGIWTLPQSILALAKVKPPICMNSSAAYDAAETGGLLRRRNTTAAARGNEGEEEEEAEAVAPSVEQAFADKPVPSWREQLTVRAFVVGFLLSIMFNIIVMKLSLTTGVIPSLNVSASLLGFFLVRLWTAAIERVGFLKQPFTRQENTVIQTCVVSAYGVAFSGGFGSYLFGMSETIAKQATEANDPMNIKNPHLGWIIGFMFLVSFVGLFALVPMRKVMIVDYKLTYPSGTATAYLINGFHTPEGADLAKKQVRTLGKYFSISFLWAFFQWFYTAGDNCGFSSFPTFGLEAFKNRFYFDFSPTYVGVGMICPYIVNVSLLIGGIISWGIMWPLISKKKGSWYPETLPESSLLGLQAYKVFITIAVILGDGLYNFVKVFGYTIKGFIVMYKNKNSNTLPISDNGTPANATEEESFDDKRRNELFLKDQIPKTVAIGGYVVLAVITSGCLPLIIPQLKWYYILIAYIFAPIMAFCNAYGSGLTDWSLATTYGKLAIFVFGAWAGASHGGVLVGLAACGVMMNIVGTASDLMQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVNGLSSLPKYCLTLCYIAFVAAFIINLIKDLVPERVAKYISIPMAAAIPFYLGPYFAIDMFMGSVILYFWEWRNKDEAQSFGPAVASGLMCGDGLWALPQAVLSLVNVNPPLCMKFLSRAANAKVDTFLGN >OGLUM04G19040.3 pep chromosome:ALNU02000000:4:23544493:23546889:1 gene:OGLUM04G19040 transcript:OGLUM04G19040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHANASGGGGDEEMVEASTLRHRHGAGKDANGVGAERQLAAAAAEGEEEGPSSVERAFVDRAVPSWREQLTVRAFVVSFFLSIMFSIIVMKLNLTTGIIPSLNVSAGLLGFFFVRLWTAAIERVGLLRQPFTRQENTVIQTCVVAAYGIAFSGGFGTYLFGMSETIAKQATEANNAQNVKNPHIGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKELGKFFLFSFVWGFFQWFYTAGDGCGFQSFPTLGLQAYKNRQED >OGLUM04G19050.1 pep chromosome:ALNU02000000:4:23554983:23556406:-1 gene:OGLUM04G19050 transcript:OGLUM04G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF177) [Source:Projected from Arabidopsis thaliana (AT3G19800) TAIR;Acc:AT3G19800] MARACSPALRLQLPPNPPITPQLPSCRTHSAGARCRGFAAAHSQPPAAGRPDEPAAEPSPKQPEIAQTQNLRRSRRRGPGSRQSLVSVGTSCGGGDQWSSDFDLTLRQLHLDDLIEDGQNDDADVLVHLLVQQHTQFGMSIKGRVVTSFSKICDSCSSPYCAKIDEQFNLTVLSSTRKEQSEMPDIGDSDPSVIYVRPGVEVDLDSVIQETIRLTASAKSSCSEACEKSTVVWQYGGNQKKRYSQRWSKLLDLKKTLDKAAN >OGLUM04G19060.1 pep chromosome:ALNU02000000:4:23557332:23560875:-1 gene:OGLUM04G19060 transcript:OGLUM04G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN95] MDMTEEITVGTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGSVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >OGLUM04G19060.2 pep chromosome:ALNU02000000:4:23557332:23563987:-1 gene:OGLUM04G19060 transcript:OGLUM04G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN95] MGAEAIQQQPAVLLVPFPAQGHVTPMLNLARALAAHGVAATVAVPDFIHRRIAGAAAGGGRARDNQAVGGGVELASIPSGIPHLPAGESGGGRHADDQPGFGAIVHAMEHHMPEQLERMLLSTAGRGRVACLVVDVLASWAVPVAERCGVPAAGFWPAMLASYRAVAAIPELLRKGVISESGTPAVSSNQLDDKDGREEEQVVRGLGILPAQLELSTKELPWLVGDSATQRSRFAFWLQTLRRARGFRSVLVNSFPGEAVTGTAAAEDDDGPQRQAACPRVLPVGPLLVLAGCNVERAKGAGDDGSVAATNINNHPQPCSKNPSMWQADSTCIRWLDAQPAASVVYVSFGSWVGPIGHDKIRELALGLEATGRPFLWAIKDDPSWRAGLPAGYAGSVAGRGKLVDWAPQDDVLGHAAVGCYLTHCGWNSTVEAIQHGVRMLCCPVSGDQFINCAYITRVWEVGLKLGSVRRDVVRDCIERIMGGAEGTRLQEKMDALRQRAVTAEARCLAQGNLRSFVNEIKRDHPLLTQIYNIL >OGLUM04G19070.1 pep chromosome:ALNU02000000:4:23573300:23574719:-1 gene:OGLUM04G19070 transcript:OGLUM04G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMKKKTAAAMMIVTPRPTVTTVPAAGEEVAGDTTTTTPGLIKTIGAGIETTTIGMNGDETSGVAAANNVRSIKTTAVNAHVPCTSATGEGTVAMAASVIALVPPTWTTSLILSTLSHTWQRSLCLPASRSFTPRTQEIPVSPPTSTGDQLLRLALVADKAMAAPPPMATEDLAIRSSTRTEAWFGGTAWKPVPVARVFGRIKEALPTTPTVEMPTTCQQIEEALMRLELAAAAARTPGDDTLLPQPMSSAPLVASRPRRLEDLASDAAADKILPAPLPGALLPQEMTLMLATSPPSALEPGSLPESASSPCAIAGLFTLPPPAIIASPPRSTLPCLRPVILTRKVKLWPRQHSQVTRRSERLAKQPARPTMERCQRVLFRRLGILHDEEDASVERVLSQYMAMFDGPLPPHAIAALTAIFGLDDDDECSMDAALLPLVGEGITNVADEVEEMLT >OGLUM04G19080.1 pep chromosome:ALNU02000000:4:23576193:23580782:1 gene:OGLUM04G19080 transcript:OGLUM04G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLLLGDVTVRKASVVGSTPPRRSDISSRAVVAPDGGASAKNQRAAAGGGSGVSATIEATEAKGGRPDLRGGGGGDSEHGWRKLAENWRDWEELAERWDDGQEAAEKLAGRRRIRMGGVGWSADAGGGREGMLLTSCRVATGSTILSVGHNNLLAVIMHRLRSCACEEDE >OGLUM04G19090.1 pep chromosome:ALNU02000000:4:23581144:23581923:1 gene:OGLUM04G19090 transcript:OGLUM04G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZN98] MLRRLAAAAPRAFFSSSTPHAPPPPAEYTQRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPITDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTSQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGSDLPNLGGGGDGANKATDEPSPA >OGLUM04G19100.1 pep chromosome:ALNU02000000:4:23584025:23588611:-1 gene:OGLUM04G19100 transcript:OGLUM04G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 35 [Source:Projected from Arabidopsis thaliana (AT5G08260) TAIR;Acc:AT5G08260] MSMALLSLSLAVAYLAASAAGATGASRSMRPEEDLVAGLPGQPDVRFRHYAGYVGVGSGGGNGKALFYWFFEAEKEPEKKPLLLWLNGAVNLLFLEAPVGVGFSYTNRTSDLRRLGDRVTAQDSYSFLLNWLNKFPEFKNRDFYIAGESYAGHYVPQLAELIYDGNKGASRDRVINIKGFMIGNAVLNDATDQMGMVEYAWSHAIISDELYSAVRRECDSFKEEEDGGKPSKGCSPAVRAFLRAYDDIDIYSIYTPTCLSSSSSPASASPRRSSPGLVAAPRLFSEHEAWRRMQRVPAGYDPCTEEYVKGYFNREDVQRALHANRTGLSYPYSPCSEAISKWNDSPSTVLPILKKLMGAGLRIWVYSGDTDGRVPVTSTRYSLNTMKLRPRLMRKTAGDGAGEESEWGGWRAWYDRQQVGGWAVEYEEGLTLVTVRGAGHQVPLFAPRRSLAMLYHFLRGSSLPASRSR >OGLUM04G19110.1 pep chromosome:ALNU02000000:4:23592168:23592785:-1 gene:OGLUM04G19110 transcript:OGLUM04G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPASSAPPQSYTRYRYETRRRDPNPRAAALLVVDVQGHFASIAAPAMPALAATVALCRAAGAPVVYTRHVDPVPRSGPLDEWWPGDRIADGTPAAELLPGSGRREGDLVVEKSTYSGFAGTGLEEALRRMGVEEVIVTGVMTNLCCETTARDAFVRGFRVFFSADATATASQDLQEATLANMAYGFAYVVDCQRLEAAFGKVK >OGLUM04G19120.1 pep chromosome:ALNU02000000:4:23595473:23604348:1 gene:OGLUM04G19120 transcript:OGLUM04G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAPGAAPAVTPGEEAAKRESLLAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPEQQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >OGLUM04G19120.2 pep chromosome:ALNU02000000:4:23595473:23604348:1 gene:OGLUM04G19120 transcript:OGLUM04G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAPGAAPAVTPGEEAAKRESLLAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPEQQDETKQGASIGVDGLAETLPHKFSISSFGKKVLTEIKHFMKDMKELLQEKVYVINVLGYISYNFVIGAYSYWGPKAGQDIYNMASADIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >OGLUM04G19120.3 pep chromosome:ALNU02000000:4:23595473:23604348:1 gene:OGLUM04G19120 transcript:OGLUM04G19120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEEVAQGSDGARVAVVVVGAPVGDDSAAPPPAAAPGAAPAVTPGEEAAKRESLLAAAAAAAAECKQEAAAAATGLQAMAVTVARDVEAGGLDASTSGAADEKPSWFTPKRLLVMFCLINMLNYVDRGAIASNGVNGSRQSCTGGTCTSGSGIQGDFNLNNFEDGVLSSAFMVGLLIASPIFASLAKIHNPFRLIGVGLLVWTIATAGCGCSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPAAQKTAWLAMFYMCIPTGIAVGYVYGGLVGNSLHWRAAFWGESILMLPFVILGFVIKPLELKGFNHSVKTKEYGEMLNPEQQDETKQDIMFGGITIVCGIFGTLSGGFILDKIDSTISNAFKLLSGATFLGAIFCFGAFCFKSLYGFIPFFSVGELLVFATQAPVNYVCLHCVKPSLRPLSMAMSTVAIHIFGDVPSSPLVGLLQDKIHNWRSTALTLTSILFIAAIFWFIGIFVRSVDRFNEQSEHDVPAVERSNLRPLLDENEEARAS >OGLUM04G19130.1 pep chromosome:ALNU02000000:4:23607070:23607690:1 gene:OGLUM04G19130 transcript:OGLUM04G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDAATFQVYRPMAMPTPAALPPSSQQITMPFTAAPVDAVLPAPRKAAATQGGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTASSSFLLGKRPRQEDHEAPTFWEALQQQPRPAVSSWGALVSPSQEAQAYASSVAQVHHLNLLSALSGAATRRPAQEESR >OGLUM04G19140.1 pep chromosome:ALNU02000000:4:23611516:23615480:1 gene:OGLUM04G19140 transcript:OGLUM04G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTILTPNLPDSNAQEVGCLRRQQASVDDGKGSEPQMLIDMIPMEWSEGYLWSSPGLLISVDIEGAQMLVVITMDRAKSILGPRPADGRMEYKSFIPSFHRVQHVRIVSVALGPSVTRLAFGGPSDVQTIIACAGSSTPRVLDVFGGVESASCTDAFLLRTGFLMLKSTACGKCDFCIIIRERRDVCTLRFHWLDHTCG >OGLUM04G19150.1 pep chromosome:ALNU02000000:4:23616923:23617963:1 gene:OGLUM04G19150 transcript:OGLUM04G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNA6] MSSSSVQTSPSPSHEVDAETDEELYKQFTDLVSSWPSSEPMPFLPLYRHDKGWYSSLMPMVGAMVADARFAARPSDIIVATLPKSGTTWIKALLYATVHRREHPADAAADHPFNSLGPHECVNFLEYQLYTNNRVPDLGRLPDPRLFATHVPFTSLPSAAAASGCKVVYVCRDPKDNLISMWDFANKFRAREGQEPMSPEAIAELFCLGVSPSGPYWDHVLGYWGAHVARPEQVLFFRYEEMKLDAAAHVRRLAEFVGLPFSAEEEEGGVVDAIVRLCSFDHMIGLEATKSGKTELVVGTAANSSFFRRGQVGDWANHLSPEIAQRIDAITEARFNGFGLRPSGTK >OGLUM04G19160.1 pep chromosome:ALNU02000000:4:23620712:23621314:-1 gene:OGLUM04G19160 transcript:OGLUM04G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRIPLILLSLLAISFSCSAAPPPVYDTEGHELSADGSYYVLPASPGHGGGLTMAPRVLPCPLLVAQETDERRKGFPVRFTPWGGAAAPEDRTIRVSTDVRIRFNAATICVQSTEWHVGDEPLTGARRVVTGPVIGPSPSGRENAFRVEKYGGGYKLVSCRDSCQDLGVSRDGARAWLGASQPPHVVVFKKARPSPPE >OGLUM04G19170.1 pep chromosome:ALNU02000000:4:23624989:23625917:-1 gene:OGLUM04G19170 transcript:OGLUM04G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSINGVHVIGVPVTAKAFGIEEEVSLARGQSFRKADGDHLAVSLSHPSPYTSFGYKHSSKGQVIHWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGAKILQAGGIERVFRKAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRSVTVTSAKGDVARVPYKVVVPLRRIAQVRPSENADKPEEKYIHVVTVDGFEFWFMGFVSYQRSCKYMQQAISELQ >OGLUM04G19180.1 pep chromosome:ALNU02000000:4:23629869:23630634:-1 gene:OGLUM04G19180 transcript:OGLUM04G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACNEHVIGIPVSNRAFGIEEPDFPSEGAAAYHTEAKSSATARTSSRFCRTGDRLAQGIKEHVTLGPKLYETMKGKLTLGARILQAGGVEKVFRRWFAIGKGEKLLRASQCYLSTTAGPIAGMLFISTERIAFRSDRSLALTTPNGDTVRVPYKVAIPLRRVKTAKPSKNKHRPEQKYVQVVTDDGFEFWFMGFVSFQVTLKNLELAVAQAQ >OGLUM04G19190.1 pep chromosome:ALNU02000000:4:23633440:23634495:1 gene:OGLUM04G19190 transcript:OGLUM04G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANENGFNGMVRCWKTSGTSIRMKTYDLGISSTQIDTCHDTITRFPIRTVVACYIEQENDLQKNY >OGLUM04G19200.1 pep chromosome:ALNU02000000:4:23645197:23649985:1 gene:OGLUM04G19200 transcript:OGLUM04G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKAAAYYFHGLILDEGNTEKSHGMAVAALQASEEFLKESKRASEAFHATPPTSRQDILPFEHFAFQIFLCFILSIRTLPRWSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >OGLUM04G19200.2 pep chromosome:ALNU02000000:4:23645197:23649985:1 gene:OGLUM04G19200 transcript:OGLUM04G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKTRETQRNLMEWQSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >OGLUM04G19200.3 pep chromosome:ALNU02000000:4:23645584:23649985:1 gene:OGLUM04G19200 transcript:OGLUM04G19200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGLSREKDAGGGPRRRPGSVGDVVVFLPGLRVPRSVDFSQALAGRLDEASSRLSSLRARVVDMAMQESAAALKPKRRAAARHGSSTANLLQALEDYLPVLLGLVKEGSELRHGVHFVWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANSLLLPRSYGDGYAPRVSEESRRATVDVFLKASGYLDCAIRQVLPQISSELRRQLPVDLAEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMVKYWHQIQESIPEIPVSDGWGKKHLLFVKWKYVEAKTRETQRNLMEWQSPTPFGTAKYMLDKIPKDASSKVKINQDLYTQERVIGTPPPLPDFALALKPDDYDLPPLDPLWNKEDSRQ >OGLUM04G19210.1 pep chromosome:ALNU02000000:4:23647438:23653346:-1 gene:OGLUM04G19210 transcript:OGLUM04G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MGKPLGDSVFAGHAAAGVAAITASAVAVHPLDTLSATGSQQKMGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFLIQGCLFWYQAFVEEITKTLGA >OGLUM04G19210.2 pep chromosome:ALNU02000000:4:23645894:23653346:-1 gene:OGLUM04G19210 transcript:OGLUM04G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MGKPLGDSVFAGHAAAGVAAITASAVAVHPLDTLSATGSQQKMGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFLIQGCLFWYQAFVEEITNHGNEAGKSGGNQEPT >OGLUM04G19210.3 pep chromosome:ALNU02000000:4:23646201:23653346:-1 gene:OGLUM04G19210 transcript:OGLUM04G19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G15010) TAIR;Acc:AT4G15010] MGKPLGDSVFAGHAAAGVAAITASAVAVHPLDTLSATGSQQKMGLGQVVDRLMSASGPAGLYSGIGWSVLGKLPGLGARFGTYELLTAFYKDGKEDNYVSYSEAMLAGIAAGAVESVLCTPFELLKLRSQVGSVKPMKATDPANITKVAFPLLSKLLPGYVPDIRVWNSSVSLLSNLSPKHPDMMGALKQHPWMLTGSGKPPLPSDVQVPSRVIALEGWGALWRGLRPGMTRDCVFGGMFFSTWQFIHTAMLTWSAVNMNPQPSKLEEAGPVSPFASSLAAGFSGVVAAAASHTFDTAKSRSECTVIPKYIAMERRLLKWKVPGNWIERKTGISPADRNVLFRGIGQRMARSGFASFLLVGSYYFVIDQFLIQGCLFWYQAFVEEITSWRARTTPNANTVEGQKEAKLLSLGS >OGLUM04G19220.1 pep chromosome:ALNU02000000:4:23653519:23656902:1 gene:OGLUM04G19220 transcript:OGLUM04G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAVSHASILRLDSLNAEAMSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >OGLUM04G19220.2 pep chromosome:ALNU02000000:4:23653519:23656902:1 gene:OGLUM04G19220 transcript:OGLUM04G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAEAMSFDEDGNESIDVKVQKALDCPCVAELKNGPCGSQFVDAFSCFLKSTEEEKGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >OGLUM04G19220.3 pep chromosome:ALNU02000000:4:23653519:23656902:1 gene:OGLUM04G19220 transcript:OGLUM04G19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein [Source:Projected from Arabidopsis thaliana (AT5G23395) TAIR;Acc:AT5G23395] MGQGLSQPAQAVEEPSPPAVEAAPSSSPSPAPAPSSLEALAAEAMSFDEDGNEGSDCVKPFIALQDCIKINPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKL >OGLUM04G19230.1 pep chromosome:ALNU02000000:4:23657018:23661660:1 gene:OGLUM04G19230 transcript:OGLUM04G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSFFQPLTTPIAGAGAGGAARLRRGTLALPFPTRTRPLRRPTPLLVARAKRPGSRTAAASRQPANPSDVPKREADEEVEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRTQKKRRVSKNIFLLKSLDELFQKGREAVDFPALQELMEKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLAGFTEQGFKRKLAVQTLFGKILYLSELPEFCSRDGSLVVKEIFGVTDEDADSIRIHTLSETSDIESLEKMVDDSELGHGPSPLS >OGLUM04G19240.1 pep chromosome:ALNU02000000:4:23661798:23663069:1 gene:OGLUM04G19240 transcript:OGLUM04G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRHTPRRADAAAAAAAMEPLVPGATRAALSEFVATAVFVFAAEGSVYGLWKMYRDTGTLGGLLVVAVAHALALAAAVAVSRNASGGHVNPAVTFGVLVGRRISFARAALYWAAQLLGAVLAVLLLRLASGGMRPMGFTLGHRIHERHALLLEVVMTFGLVYTVYATAVDRRSGGGDIAPLAIGFVAGANILAGGPFDGAAMNPARAFGPALVGWNWRHHWVYWLGPLIGAGMAGALYEFVMAEQPEPPAAADTRLPVAAEDY >OGLUM04G19250.1 pep chromosome:ALNU02000000:4:23663521:23667482:-1 gene:OGLUM04G19250 transcript:OGLUM04G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATADSRSGIKLFEACINSNLRSFLHSVTPTLEPYTVAKPGGYSGRVPELGRCFFLVDLWNHFYPLSAYGVGTPVRLPSGQEIEQYFVPYLSAIQLHTISDFTSCNEIVVGNNLFDANNYGWCSAADNWNGQYATTSLARYDSPRSMNGGPCFQYFECDSPYERMPLADKVYELCYNFPPLSYLSSIELSPSSWMSVFWYPIGHVPAMNKKDLTTCFLTYHSLSTLEDRTPFDSKDPLTLPPIGLATHKTDGDVWTSANSGDQELTTSLVGAADSWLKKLDVQHHDFNYFLNSNRNLIHYRSLTEASTSAV >OGLUM04G19260.1 pep chromosome:ALNU02000000:4:23669040:23673142:1 gene:OGLUM04G19260 transcript:OGLUM04G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPLGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTNESSEGSQNDAPQQIEIE >OGLUM04G19260.2 pep chromosome:ALNU02000000:4:23669040:23670292:1 gene:OGLUM04G19260 transcript:OGLUM04G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSAVLLLPNSRAPAALSRRRAPVPRRGFVVGFEGRSRRGAAGTVRACFNPLGDERILREALKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGVAEDSRTNESSEGSQNDAPQQIEIE >OGLUM04G19270.1 pep chromosome:ALNU02000000:4:23674191:23674619:1 gene:OGLUM04G19270 transcript:OGLUM04G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSCFLIVLLLAVAMGGSKLSLAAGESGGGGIPTTLGRELRELISKASDFLGAAPRRAGADGWHAAAATGDGADAIRASLRSTARGRPARKSAANCIPADMCRKKKVLCGKRCYRSSSSSSLSHIPSTKCVVKCKKCVPTC >OGLUM04G19280.1 pep chromosome:ALNU02000000:4:23677290:23683588:1 gene:OGLUM04G19280 transcript:OGLUM04G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGGGGGDTRRTAAGQAMVELQANASSAGGAMVVGLSPLSETLWRDSKAMPGAAAALIGDVSARLTWKDLSVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSAMLRLPDKMPREDKRALVEGTIVEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFAQTGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLVASYSRSQYYYAARERVNDISRLKGTVLDSGGSQASFLMQAGTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYYDVGTKYTSILARAACTAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVAAFVISNTISALPFLVLICFLSGTICYFMVRLHPGFSHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPVWRYPMQYISFHYWALQGQCQNDMDGLVFDNQYPDQPKIPGDFILKYIFQINVHRSKWIDLSVIFSMIFIYRILFFLMIKVNEDALPWIRGYIARKRLQKKEPLGKTPSLRGYVVDPELGPNES >OGLUM04G19290.1 pep chromosome:ALNU02000000:4:23681258:23686371:-1 gene:OGLUM04G19290 transcript:OGLUM04G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTEDGIALLDKRFLPAMDKVGRVCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDKSISFYCRLPDGCVLGADVIVDGANADGHEEIDEEPQPVIPEVP >OGLUM04G19290.2 pep chromosome:ALNU02000000:4:23681258:23686371:-1 gene:OGLUM04G19290 transcript:OGLUM04G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Hus1-like protein (InterPro:IPR007150); Has 129 Blast hits to 128 proteins in 49 species: Archae - 0; Bacteria - 0; Metazoa - 57; Fungi - 10; Plants - 47; Viruses - 0; Other Eukaryotes - 15 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G52530) TAIR;Acc:AT1G52530] MKFKAFFTEDGIALLDKREALGGHDFSSADPNLSLSTPQFVEHLTRVAPSWFLPAMDKVGRVCHVYLTPTHAMLLHNLLGPTGTGPDGGGPQCVAQFAKDLLFREYSVSSRNGNRVAFAVDVALLHRALRSALAVHAQSPAAGDASAAIQVKLVNKLPAGSRSASPFLTFETKGARSAVVQDVPISRPLSRSDVERLQAALDSAQELPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLHVATSLVTVGSEFRKLRILGVRATAPVGDQNLSASTRTDMAIERGEALSVQVNMKHLAKSLQCHLAKPDCTFYGIAPGGACLTVIFQYFIPGTRLTDKSISFYCRLPDGCVLGADVIVDGANADGHEEIDEEPQPVIPEVP >OGLUM04G19300.1 pep chromosome:ALNU02000000:4:23688127:23690185:-1 gene:OGLUM04G19300 transcript:OGLUM04G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFSKKQQVRRRREEQQQPPCHEARKAGGGKKAGAKEVAIVPEAAKKAPPPRKAVSKAEEPAADKRTVFVVKAAAAAAAAEVAASASGEAADEEAKRPAPEEEEAKPVVVSRVPVRTSSCTKEEVDAILIQCGRLSRSSSSSGKVASGEGGGGHRRYSGSKRSYDFDRERRGGGGGVDDDCDWERQGAAVSRPSPRRRTPERKRSGSHERSGGSGSRRVSRSPGRRADSVPATASGERASRQQPGKMVSVPAREKGRAPSPVPAASGKRYPSPRSNSPARAGAAGNENAAAQPAHGPSLSRSSSRKAEHSPYRRNPMAELDENTLGNHHSCNNNGRPQKKPTESGGALPQKVAERAKDQVAASRTATKEKQEIVEVPVASSDTKGGNSGRMKATHSVSIVAESVVNQKGRSSRRSSHDFDNSGNSYASLLLEDIQNYHQQSTGSAAAPAPAFSLPACVSKACSILEAVADLNSSSSENKSFELDRSANDKCSANGRYGDGKVAGGGTLVVESEVVVKDDLMEPSLHKYVSVRDIRGEAEPQESAGSNSFAGNAWTPSWEPSSVDSTDRTWTASQSNNGDEVEQLSSGAVSPLELSWQGKQKLPSQEPSGGGRSRVGPTGNAQRGRSAHRGGGGAVNARSDVRAAPVSSSIA >OGLUM04G19310.1 pep chromosome:ALNU02000000:4:23697937:23698398:-1 gene:OGLUM04G19310 transcript:OGLUM04G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATATAVASPSTSSRLLHRSLLSPTPTAARCLRPPLCRGRLRTVRQVVANGDVSSPSSDVAAEESAAAPKIGKRVRVTAPVRVHHVSKAPDLDICGMEGVVKQYVGIWKGKRITANLPFKVEFELRVDGQDKPVRFFAHLREDEFELVEDE >OGLUM04G19320.1 pep chromosome:ALNU02000000:4:23703698:23704234:1 gene:OGLUM04G19320 transcript:OGLUM04G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMACRAKPASSPPSRAAPAAPGRCRRRRRRPRDRGCPLLPNPRRAAPNPRRAGGNGGRAEPDPNDGNGNLGDDGGGWRRLATVQTTATAAGDLDDDGGAPAWVQDVRRHSLRRRPALLRRIEEVVAAPAVALRSWLCTAAAASSRGWTVGETKEMGGGGESVWGPRLASDLWLAKFG >OGLUM04G19330.1 pep chromosome:ALNU02000000:4:23705429:23706829:-1 gene:OGLUM04G19330 transcript:OGLUM04G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPSGESGGGGGGGGGRRWKGKGVTPIQPRRQLGTVLEDSSAALLRPLKKIGRSPDRLLRSASSLSTSSSAPPSPRSSSASDAPVRVISSSPSSPSPPSARHIFPFAYEASTTTVGGSPRLHPLSWQQSSMSQPASPQQQQQQPLQHQQMISFGASPPCSTTQFVVPENAQQQQMLLRYWSEALNLSPRGGPGGVPPWLYQQLLRVPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENARLNFPDRFLGKGRAGGSGRTSVSSSAAAAASCSSSSPSPPETPDDANTQQQAPQQREQRDTAGVSMEKKQPQPPAPTSRQEGCSGGDAAAPYPAEMLHAPAACGGMWVAPDESWFSTWGPGSSFWDDYDMDSARGLFLHPRFTGDETSMDHSGTQATVPAVAATAAGMSMPCDDVPVTSSSSDLPPQGTPQTPTFMWKED >OGLUM04G19340.1 pep chromosome:ALNU02000000:4:23720919:23721098:-1 gene:OGLUM04G19340 transcript:OGLUM04G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAAEEEIERETRTGMAPTWVGKAARLGGAAGDGDSAAAAVLVPSRAGAALPTICNG >OGLUM04G19350.1 pep chromosome:ALNU02000000:4:23751889:23757341:1 gene:OGLUM04G19350 transcript:OGLUM04G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQFLPGSSSKVLITSADSRIRVVDGFELVHKFKGFRNTNSQISACLSSNGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >OGLUM04G19350.2 pep chromosome:ALNU02000000:4:23751889:23757341:1 gene:OGLUM04G19350 transcript:OGLUM04G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >OGLUM04G19350.3 pep chromosome:ALNU02000000:4:23751889:23757341:1 gene:OGLUM04G19350 transcript:OGLUM04G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHMSRTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSGITCLSILWPDNKLLQKKQIDLQNKKRKSNQKKITGFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >OGLUM04G19350.4 pep chromosome:ALNU02000000:4:23751889:23757341:1 gene:OGLUM04G19350 transcript:OGLUM04G19350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAEEEEEEEVFYEARDRVLSSSSCSSTSASDDDDHPRRGRDAAAAAAAAALDVWTSEPAPVQERRRRLLQMMGLSGDPSLARLEMGRSASYDGPIRPETVSPISRSRSDGSVPASATKPPLAARSRQTSSDSSEATPGGDDADPRCLIRNLDDGSEFVVKEESALREVGTGRQLTMEEFDLCVGRSPIVQELMRRQNVASSGSSNGASALIQRSSSDSSNGATRHRRRGSWLRSIRNVAGSMVVGSRDRRSSDEKDTSSEKGGRRSSSATDDSQESASAVRRGPERVKVRQYGKTCKELSGLFMNQDIQAHNGSIWSIKFSHDGRYLASAGEDCVIHVWEVSELERKREGNGVCNQLVAVVCNGSPEPILALASVDGSCWEKKHRARILETRKSASSDRLMFPEHVFALSEKPVKTFEGHSEDVLDLCWSKSQVRIWSIPGREIVDWNDLHEMVTAACYTPDGQRALVGSHKGSCHIYDTSDNKLLQKKQIDLQNKKRKSNQKKITGFQCCTGIQVYRVMLGSHNRFSKYQQPNISLPVFEREGRSKAVVSVTNSYEHFHCQDVTVAVALPSAGSAMTSRTNSRKTEEQDSIPQQHTQPDKQQDSSDFQCLSGNGLSTSSNHSGDRTSATWPEELMTPSKQSPPSSTSLPNGAGQAPSRSAWGLVIVTAGRGGQIRAFQNFGFPVRV >OGLUM04G19360.1 pep chromosome:ALNU02000000:4:23761658:23773224:1 gene:OGLUM04G19360 transcript:OGLUM04G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEALLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQNSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNSGRTLAFYFREEIEAGGL >OGLUM04G19360.2 pep chromosome:ALNU02000000:4:23761658:23773224:1 gene:OGLUM04G19360 transcript:OGLUM04G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEALLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQNSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNSGRTLAFYFREEIEAGGL >OGLUM04G19360.3 pep chromosome:ALNU02000000:4:23761658:23773224:1 gene:OGLUM04G19360 transcript:OGLUM04G19360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEALLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQNSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNSGRTLAFYFREEIEAGGL >OGLUM04G19360.4 pep chromosome:ALNU02000000:4:23761658:23772654:1 gene:OGLUM04G19360 transcript:OGLUM04G19360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEALLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQNSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGKEAYAQVEIGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNSGRTLAFYFREEIEAGGCISYHLNQKR >OGLUM04G19360.5 pep chromosome:ALNU02000000:4:23761658:23772654:1 gene:OGLUM04G19360 transcript:OGLUM04G19360.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMMIKSVVYYGSTSIGHVEVWPKGGTDLAVAAWAREIRVDHLSPPSERCPPLAVLHAVAAGGRCLVMESRPTATADEPPPPLVAMHAACLRDGKTAVFPLGAEEIHLVAMTSKRNLPNLACFWGYKVPSGLYNSCLSMLNLRCLGIVFDLDETLLVANTTRSFEDRIDALQRKLSNEIDPQHISGMSAEIKRYQEDKSILKQYIENDQVIDGGKVYKVQTEVIPPLPDNHQPMTRPIIRLQEKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLTDRLVCVKSGSRKSLLNVFHDGSCHPEMALVIDDRLKVWDEKDQCRVHVVPAFSPYYSPQAEANFSVPVLCFARNVACNVRGGFFKEFDEALLPRISEIHYEDEINDFPSAPDVGNYLITEDENAAILNVNKDPLAFDGMADAEVERRLKEVSCSVQAVNPIPTNADVMPVAPNQQLITSSVPEAPSLGMIPLNNDQGPQPPSSWPVAQSAPVDPSQNSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPPCPAGSPVQTSVLPVQSHGNWSHVEDEMNPRSLNRTSTGFHLESDDINYDKKQPHNPPYFPDEDNLITSDRYNRRIHRYPSQLPHSEDHHMLNRSSIAYRSFPGEDMGSRFGPSNHRSSKIEPGHQFVQNAETSAGVLEEIAVECGFKVEYQSTLCSTAELQFSIEVRILGEKVGEGIGKTRKAAKRQAVNMSLRNLAEKFLTSDPDKMMILKENGFSSNSNSFRYSGGSRDDTSPVASTSNESRYMGERVDTLRKPAGSVAALKELCTVEGYNLVFQEQPSRPRGSSGGQILGKGVGATWEQAKLQVAADEALGNLKSMLGIFAHKSSGFQRSSVSNFNRFKPDFQRSLQTIPSGWDSRNSGRTLAFYFREEIEAGGCISYHLNQKR >OGLUM04G19370.1 pep chromosome:ALNU02000000:4:23776554:23781197:1 gene:OGLUM04G19370 transcript:OGLUM04G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFFPNNMPGYADEGAPPPPAAAAAAAIPSTYSSSLHHLLSLPYPDLADRFLHAALHLKQKVVHETWDKRRRAAAAAGEAVGDFTLYTGALGTALLLFRAYLVTGDRADLATCAEIVAACDAASMGAEIATFICGRAGVCALGAVVAKHAGDEAGVAHYLSAFKEIKIHSKSPDELLYGRAGYLWACTFLNKHLGDNTIPPTTTDTVMRDIIRDGRTLSTIGCPLMYEWYGEKYWGAAHGLSGIMHVLLDMDLTKDDTECVKGTLRYMIQNRFPSGNYPVTEEDKHDRLVHWCHGAPGISLTLAKASQVFPEERFLEAIAEAAEVVWNRGLLKRVGICHGVSGNAYTFLALFRLTKKKEHLYRAKAFACFLLDRAKQLIADGIMHSGDEPYSLFEGQVGMAYLFLDMINPLDSRFPGYEL >OGLUM04G19380.1 pep chromosome:ALNU02000000:4:23782531:23783889:1 gene:OGLUM04G19380 transcript:OGLUM04G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPTPRKPLPLLLLSLSLPFLLLLLSLVFLLSHTTFSLLLCPLLPRPTSRPNATTKATTTVAAAPPTLDVSMDKSLRAFHASSPPPPPPPPPTPTTTTTPTPTPTPPLPPPAPPASPAKSNKKASAKRNKSLLKLLLRETPRTRRFAARAGELFASPRPCTRRFFMTWLSPLARFGRRELLVVESLFRSHRDACLLIASDTMDSDGGGDRLGPFLDRGLRVAAASPDMAYLLNGTPAEAWLGAVQRGDVSPGSIPLGQNLSNLLRLALLYKYGGVYLDADVVVLRPFSDLRNAIGAQAVDASTGDWMRLNNAVMVFDRGHPLLREFIAEFAAKFDGSKWGHNGPYLVSRVAARWRRRRRPEAEADLTVLPPAAFYPVDWNKIGGLFVAPKDRKGERWVKAKVESIKGESFGIHLWNRESRSLEMEEGSVIGRLLSDSCLFCNSSMFAKYE >OGLUM04G19390.1 pep chromosome:ALNU02000000:4:23784613:23786662:-1 gene:OGLUM04G19390 transcript:OGLUM04G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20780) TAIR;Acc:AT2G20780] MAGAEAANGRNKYAVLDPSDEPEGRRRPSAWERRSKERFVLACAIFASLNAILLGYDVGVMSGAIIYIQKDLHITEFQEEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMALGAIVFQAGAAIMTFAPSFTVLMIGRLLAGVGIGFGAMVSAVYIAEISPAAARGTLTSLPEICINLGILLGYVSNYAFSGLSEHINWRIMLGVGILPSVFIGFALFVIPESPRWLMMEKRVPEARAVLLQISESEAEVEERIAEIEEAANLLKSTKSEDKAVWMELLNPSPAVRRMLYAGCGIQMFQQITGIDATVYYSPTIFRDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTMCLFVLGIALTLQKHAMGLISPRIGIDLAVFAVCGNVAFFSIGMGPICWVLSSEIFPLRLRAQASALGQVGGRVSSGLVSMSFLSMARIISVAGMFFVFAVISTVSVAFVYFCVPETKGKTLEQIEMMFEGGKEWRGSEIELEDTQHLIQSSKKSVSLG >OGLUM04G19400.1 pep chromosome:ALNU02000000:4:23789562:23793427:-1 gene:OGLUM04G19400 transcript:OGLUM04G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETHKKDKQFEKEGEEGEEEEYVLLELDDCLYSDVQPNASYVLSGLDTLTPTLILGDGLKMIGEYQETIGTCYLFSETNAPPKPIHGEMAPPEENKDKQASCSKEVPSKEVKHLASVQKILKFRSINADHEQRRAYRDNEREI >OGLUM04G19410.1 pep chromosome:ALNU02000000:4:23795292:23800358:1 gene:OGLUM04G19410 transcript:OGLUM04G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRSAVFKYTRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKALTARDVIPRNWKPTATYTSRLNFEAAL >OGLUM04G19410.2 pep chromosome:ALNU02000000:4:23795292:23800358:1 gene:OGLUM04G19410 transcript:OGLUM04G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSRSFSLCVLLLLLLLAPPISASFLFDGGKSKSAAAAAAVDMEWRPATATWYGDAEGDGSTGGACGYGSLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDHGICSRRAVTVIVTDECPGGLCAFGRTHFDLSGAAFSRMAVAGAGGHLRDRGQLSVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGQGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLTTLSAQKALTARDVIPRNWKPTATYTSRLNFEAAL >OGLUM04G19420.1 pep chromosome:ALNU02000000:4:23801791:23809890:1 gene:OGLUM04G19420 transcript:OGLUM04G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRTEDFKESVRVAALGHGYTESQLAVLMSSFIIRKPAPKLPFTKAAIKTLGSIRELEKFIVKHRKDYVDLHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >OGLUM04G19430.1 pep chromosome:ALNU02000000:4:23802495:23806465:-1 gene:OGLUM04G19430 transcript:OGLUM04G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKAPSQGKPLGRADPRDEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRKEREEEERRRRRKDKERRKRKEKEKERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >OGLUM04G19430.2 pep chromosome:ALNU02000000:4:23802495:23806325:-1 gene:OGLUM04G19430 transcript:OGLUM04G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSAAGEGEAAAAVAARSRSKSIEADEEERSKGSRDRDRDRDRRGKSKRRDEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRKEREEEERRRRRKDKERRKRKEKEKERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFEAAAAIQKRLDPDAPLQ >OGLUM04G19430.3 pep chromosome:ALNU02000000:4:23802464:23806325:-1 gene:OGLUM04G19430 transcript:OGLUM04G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQNGSAAGEGEAAAAVAARSRSKSIEADEEERSKGSRDRDRDRDRRGKSKRRDEEEEEEGDAGSESSGEDSGERRKRRRKEKERRHRRRRSRSASSGSSSESESESESSYSDCSAGSESETDSEEERRRRRRRRRKEREEEERRRRRKDKERRKRKEKEKERERKKKEKKKRRKEEKKNLGKKAAVTNSWGKYGIIREVDMWNKRPEFTAWLSEVKQVNLEALSNWEEKQMFKEYYDLDAYHRRVMEKEKKKGLKNALGTERTVFNDEEQRRLELLRERERQKEEEVAALKRSMQTGMAQAMKEQARLREEMMYQYRLGNFELQSRKDWILMLLYSRQLVESDIFI >OGLUM04G19440.1 pep chromosome:ALNU02000000:4:23810997:23811758:-1 gene:OGLUM04G19440 transcript:OGLUM04G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIYMAAVNNKTSLPDDEPMKKISGDMPVTAGNACFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLCPGVGSTASNNSSLARRQCPVCKATLSPDMLVPLYGRGGSLKKSLNGVPIPRRPTVQREAVEHQNTHNNIDDRHHENMEPSPPPQPLRHSSHHSSATEFDFIYPPSPIGRGLIHSTAGGVLGGMAVAVLPWAFRGQVPPSMFMSPHYVTAHNMSSRARRHQMEVERSLHQIWFFLFVFVVLCLLLF >OGLUM04G19450.1 pep chromosome:ALNU02000000:4:23818914:23821004:-1 gene:OGLUM04G19450 transcript:OGLUM04G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAASTAVCSSPLASASASASSARRLRAVPPSRGIRYQALRADSGFAGNRRGGGRGASVVCAVQGQDTSIQVPEVTKSTWQSLVMESELPVLVEYWATWCGPCKMIDPVVGKLSKEYEGKLKCYKLNTDENPDIASQYGVRSIPTMMIFKNGEKKDAVIGAVPESTLIASIEKFVER >OGLUM04G19460.1 pep chromosome:ALNU02000000:4:23821556:23825020:-1 gene:OGLUM04G19460 transcript:OGLUM04G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G10560) TAIR;Acc:AT5G10560] MSNVPLLLLLVVASAGAGDGAAPASNARPCASPAASAYPFCNATLPFPARARALVSLLTLDEKIAQLSNTAAGAPRLGVPPFEWWSESLHGVCDNGPGVNFSSGPVRSATIFPQVILSAAAFNRSLWRAAARAIAVEARAMHNAGQAGLTFWAPNINVFRDPRWGRGQETPGEDPAVVSAYSVEYVKGFQGDYGEEGRMMLSACCKHYIAYDLEKWRGFTRYTFNAKVNGVPACARKDILQRARDEWGFQGYITSDCDAVAIIHENQTYTASDEDSIAVVLKAGMDINCGSFLIRHTKSAIEKGKVQEEDINHALFNLFSVQLRLGFFDKTNENQWFTQLGPNNVCTTEHRELAAEAVRQGTVLLKNDNGFLPLKRSEVGHIALIGPAANDPYILGGDYTGVPCHSTTFVKGMQAYVPKTTFAAGCKDVPCNSTDGFGEAIEAAKRADVVVLIAGLNLTEETEDHDRVSLLLPGRQMDLIHTVASVTKKPVALVLMGGGPVDVSFAKHDPRIASILWIGYPGEVGGNVLPEILFGKYNPGGKLPITWYPESFTAVPMDDMNMRADASRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILQAPKKISLSRSSVPDLISRKPAYTRRDGVDYVQVEDIASCEALQFPVHISVSNDGAMDGSHAVLLFASSKPSFPGSPIKQLVSFERVHTAAGRSTDVEITVDPCKLMSFANTEGTRVLFLGTHVLMVGDEEHELLIEA >OGLUM04G19470.1 pep chromosome:ALNU02000000:4:23831245:23832270:1 gene:OGLUM04G19470 transcript:OGLUM04G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase-like 3 [Source:Projected from Arabidopsis thaliana (AT1G13250) TAIR;Acc:AT1G13250] MRVLAVALLAAAVLAAEAAAELPEFREAPAFRNGAGCAGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLAALRRALAAAFPTLPATVHRFDARLVRGKISTSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWSHPEYSSIFTNRGRAPCYFNTGVMVIDLDRWRAGGYTVKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >OGLUM04G19480.1 pep chromosome:ALNU02000000:4:23836828:23839514:1 gene:OGLUM04G19480 transcript:OGLUM04G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGTLEVLLVGAKGLENTDYLCNMDPYAVLKCRSQEQKSSVASGKGSDPEWNETFMFSVTHNATELIIKLMDSDSGTDDDFVGEATISLEAIYTEGSIPPTVYNVVKDEEYRGEIKVGLTFTPEVLYMLVLTSWMIAIGVYLRKTLVDGSSHLEKEADTLMSHCIAMVSRVLEAWNKAY >OGLUM04G19490.1 pep chromosome:ALNU02000000:4:23841362:23841658:-1 gene:OGLUM04G19490 transcript:OGLUM04G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGAALLLAALLLLLASELATLGCGHRMTRADVAAWKRHALVAPTKKTTTMAASRAATTTTTFPIPTVAGTGDTAAALGDGESKRLVPQGSNPLHN >OGLUM04G19500.1 pep chromosome:ALNU02000000:4:23843635:23849825:1 gene:OGLUM04G19500 transcript:OGLUM04G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT3G49640) TAIR;Acc:AT3G49640] MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >OGLUM04G19500.2 pep chromosome:ALNU02000000:4:23843635:23849458:1 gene:OGLUM04G19500 transcript:OGLUM04G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT3G49640) TAIR;Acc:AT3G49640] MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERVTNESLGTTDFLERGTDTVVFRTCPQERDRVVFQMGTSDAVRALKAAQLVCNDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTRQDTVELARRIEKIGVPALAVHGRKVKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRIKDATGAASVMVARGAMWNASIFCPKGKTPWEDVKREYVRKSILWDNDLKSTKQTIKEMIMHYSCLEFPEGKGVNKCDTIADLAKLYGEEEYYNFVLSNRN >OGLUM04G19510.1 pep chromosome:ALNU02000000:4:23851068:23853068:-1 gene:OGLUM04G19510 transcript:OGLUM04G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFVLLLFLGLGCLRPAAAADEQFVFNGFTGANLSFDGMATVTPNGLLMLTNGTNQLKGHAFFPAPLQFQRAPNSTAMQSFSTAFVIGIIGAFEDLSSHGMAFIIAKSKNLTSALPGQFMGIVNSANNGNATNHLFAVEFDTILNSEFNDMSGNHVGIDVNGLNSVDADNAGYYDDGTGDFKNMSLVSRRPMQVWVDFDGQTMQVNVTMAPLEVARPKKPLLSKIVNISSVIDDTAYVGFSSATGILFCRHYVLGWSFKMNGAAPALNISSLPSLPVTFPKPRSKTLEIVLPIASAVLVFAVAAAVFVFMRRRRMFSELKEEWEVTFGPHRFSYKDLFHATDGFSDKRLLGIGGFGRVYRGVLPSSKAEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKQLYDQGKITLSWAQRFRIVRGVASGLLYLHEDWEQVVVHRDIKASNVLLDADMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGKASKASDVFAFGAFMLEVACGRKPVAQDARDNRVVLVDWVLDRWRAGAITDTVDPRLHGDFVESEASLVLRLGLLCSHPLPGARPGTRQLVQYLEGDVPLPELSPTYQSFNMLALMQDQGFDPYVMSYPMTSTSAGTFSDLSGGR >OGLUM04G19520.1 pep chromosome:ALNU02000000:4:23854611:23856650:1 gene:OGLUM04G19520 transcript:OGLUM04G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHRLLLLLLLLLAVVGSDHGGVLAADEFTYNGFGGANLTLDGMAAVAPNGLLVLSNGTNQMAGHAFHPTPIRLRGGAAGGAVQSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGILNVTDNGNADNNIFAVELDTMLNPEFQDMNSNHIGVDINSMKSVQNHSAGYYDEATGAFNNLSLISRQPMQVWVDYDGATTVLNVTMAPLDVPKPSKPLISAPVNLSSVVTDTAYVGFSAATGVIYTRHYVLGWSFSQNGAAPSLHTSSLPALPRFGPKPRSKVLEIVLPIATAAFVLALVIAAFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYQATKGFKNKQLLGTGGFGRVYKGVLAKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWGQRFQIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDDQNVLLDWVQEHERRHAALDTVDARLCGKYDADEARLALKLGLMCAHPLPDARPTVRQVTQYLDGDAPMPEVAPTMVSYTMLALMQNDGFDSFAMSFPSTVTSTASPMSADVSAVSGLSGGR >OGLUM04G19530.1 pep chromosome:ALNU02000000:4:23858221:23863977:1 gene:OGLUM04G19530 transcript:OGLUM04G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAIQAVSVSSTAPPPVWASAQRARLLGPKPNATCPRPRQTRPALDQRKEGERAERKDELMNHLLGRGVHIPRPAAANRGGTTTMEAAAISNPPSKRIALVTGGNKGVGLETCRQLASRGLRVVLTARNEARGLEAVDGIRRSGAADSDVVFHQLDVTDAASVARLADFVRDQFGRLDILINNAGISGVDRDPVLVAKVKDQIEGMDVDQRVEWMRENSKETYDEAKSCITTNYYGAKLVTEALLPLLLLSSSGRIVNVSSGFGLLRNFNSEDLRKEFDDIDSLTEKRLEELLDLFLDDFKVNLIEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDISMHMGVLTPEEGASNSVKVALLPDDGPTEQKPWHYFISNSSSRDATKQLVRHKMEGDISSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLRGMGLSCVIFHHLEVTDSSSVSRLADFLTTRFGKLEILVNNAAVSGMEHAQRVDTNEEQFVGMDKQQRLEWLNKQGRETYDAAKNGVQTNYYGTKLVIQTLLPLLLQSSGEGRIVNVSSDAGLLRWLVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAPFVAYKMAKVAMNAYTRILARRHPELRVNCVHPGYVKTDLTINSGFLTPEEGGRNVVTVALLPDGGPTGAYFDEGREAKP >OGLUM04G19540.1 pep chromosome:ALNU02000000:4:23864152:23875610:1 gene:OGLUM04G19540 transcript:OGLUM04G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHCAAVESHLQTDVVSAHHSDRIDRLAMPPTSHQAQIAHRHAPSIPHPSCRRRPAIAPPSIPASRLTAPLAPARILRRRQDSSPLASFCTAATGALSTTTAHGGAARVKGQGSGDLWGGEVSLTDVWFDWYYMI >OGLUM04G19550.1 pep chromosome:ALNU02000000:4:23877314:23882517:-1 gene:OGLUM04G19550 transcript:OGLUM04G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVADARIAVVTGGNKGIGLEVCRQLAGNGATVVLTARDEAKGAAAVEKLHGLGLSSVIFHQLDVTDASSIARLAEFLESRFGRLDILASYLVFVNNAAVGGIVPVDDPSFGLLPTEEKFSGMDGHQRIEWMWKNCRQTYDAAKAGLKTNSYGTKNVTEALLPLLQSSSDENQESRSLIESESWCASACTQKMERDITSLPTKRVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAEKLSGMGLSSVVFHQLEVTDSSSVNNAAVGGMEYVQGVDTNKEQFVSMDKKQRLAWLNKQGRETYDAAKNGVQTNYYGTKIVIQALLPLLLQSSGEGRIVNVSSDFGLLRVVNNEDLRKELDDVDNLTEERLDEVLDSFLKDFEAGALEAHGWPTAFAAYKTAKVAMNAYTRILARRHPELRVNCAHPGYVKTDMTIDSGFLTPEEGGRNVVTT >OGLUM04G19560.1 pep chromosome:ALNU02000000:4:23920258:23921941:1 gene:OGLUM04G19560 transcript:OGLUM04G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATSSLPSQSARVAVVTGGNKGIGLEVCRQLAADGITVVLTARDETRGVEAAERLRGMGLSSVVFHQLEVTDSSSVARLADFLKTRFGKLDILASSPSPCSIDTGIQQLLLAYRYSASDLTSDREEMCSVLQVNNAAVGGMEYAQGVDNNEEQFVGMDVLQRLQWMRKQGRETYDTAKNGVQTNYYGAKHVIQGLLPLLLSSSEGKIVNVSSALGLLRFLGNEDLRKELDDIDNLTEERLDEVLASFLKDFEAGELEAHGWPMGSAAYKVAKVAMNAYTRISARKHPALRINCAHPGYVKTDLTINSGFLTPEEGARNVVTVALLPDGGPTGAFFDEGKEASFV >OGLUM04G19570.1 pep chromosome:ALNU02000000:4:23923569:23932313:1 gene:OGLUM04G19570 transcript:OGLUM04G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINYHAGELTAEEGASSIVMVAISPAGGPTVDGFAIGIHYGSESSAVLTFVKEAEKWLHLKQVLWYGTPSAVRRSMKWTDSCMHEFPFRNKTEKSLKRICKLRMNAGVNDDAWIARNVKPEAKIGYVEQKDRAADAPTEHRARSHTCCELAIYSAMLFASGDADFARISSRHGRDLTWPWPVWVTSDHSCRE >OGLUM04G19580.1 pep chromosome:ALNU02000000:4:23924123:23925340:-1 gene:OGLUM04G19580 transcript:OGLUM04G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFAPGCRSTDKELARAPSKICSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSESQEVLPEVVPEEQPAPPPPDENREDN >OGLUM04G19590.1 pep chromosome:ALNU02000000:4:23942416:23945040:1 gene:OGLUM04G19590 transcript:OGLUM04G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKACQKPKVHYRKGLWSPEEDQKLRDFILRYGHGCWSAVPVKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMNLHATMGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGAEAAARKSAEPADVVTGSPNRSETGQERVAADRPASSESSGPVESSSADDSSSLTEPAAGLAAVRPHAPVIPKVMFADWFDMDYGTSLAGTAPGLSYQGSSSVQVDGPCGGAVDSLHGLGDGGFCWDFDDAADHMQGGGGLCDLLSMSEFLGIN >OGLUM04G19600.1 pep chromosome:ALNU02000000:4:23946655:23947587:-1 gene:OGLUM04G19600 transcript:OGLUM04G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLSAGYRRPSPSIAPTLPMLLVRSPLSMTIDKFEGPSVYFFRKIIGLMGTARPWTVPAWLFWPGHVTLAQRASTAQHGLRSGHGTTSTSEQKAKTTVHKSQ >OGLUM04G19610.1 pep chromosome:ALNU02000000:4:23953697:23960660:1 gene:OGLUM04G19610 transcript:OGLUM04G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >OGLUM04G19610.2 pep chromosome:ALNU02000000:4:23953697:23960660:1 gene:OGLUM04G19610 transcript:OGLUM04G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >OGLUM04G19610.3 pep chromosome:ALNU02000000:4:23953697:23960041:1 gene:OGLUM04G19610 transcript:OGLUM04G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRARYPPGIGNGRGGNPNYYGRGPPPSQHQQHQHQQPPHPHHHQYVQRQPQPQQTPHNSQHQQWLRRNQIAAEAAGASEQKAPPVADGIDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGVCVLKNCSMLVMDEADKLLSPEFQPSIQELIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKSAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >OGLUM04G19620.1 pep chromosome:ALNU02000000:4:23962185:23963314:1 gene:OGLUM04G19620 transcript:OGLUM04G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTRQKGNEGGLVDVGSQPHQSAHDSLSRSITVPCRRWRCRPRPSCLLRTYSLHHDDERSTEQHPHHWHSGTATHCARAGGDRRGIRGEPDAPARLAAPPHLLRLAPTSRPGAAAAAVSPVYLPEVDPSNPSLLQAGFPKPPGPGLQHPGGGYRGIYITVPRGKPGNRGNRAVTGGKSNPAYKS >OGLUM04G19630.1 pep chromosome:ALNU02000000:4:23966030:23966446:-1 gene:OGLUM04G19630 transcript:OGLUM04G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKRWFERKSDEGKGGYLVKLIEAGVSWASKGTLGMKRCLQHRGDAMSYTNSLGADFNARRRKTGDLRGDGTCGHATVETMEHTPVQGSRILGKHELDLKLVCTVLM >OGLUM04G19640.1 pep chromosome:ALNU02000000:4:23966573:23970182:1 gene:OGLUM04G19640 transcript:OGLUM04G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSVSPTEVNLEEEGRWRLTPAMSLSSSLVEVEFGEERKMGEALMKSAMIIVVGRQSPSQNALYHPSSRRQLHMCNNRQPVVPYAFKSLAPVRRTCKKAPRPLLNASLLPDSPVDHLLLLPPFRRSTALPLAAFPRSLFAAGENPVHLWPPWILLLLPPLLVGLYKSRPVSPNRSFVRVANATPQVRDNSEGNE >OGLUM04G19660.1 pep chromosome:ALNU02000000:4:23975234:23978376:-1 gene:OGLUM04G19660 transcript:OGLUM04G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKVEVEVTEAPPAAAAAAETEPAAKDVAEEKAVIPAPAPPAEEEKPPVDDSKALAIVEKVADEPPAEKPAQGGSNDRDVALARVETEKRNSLIKAWEENEKTKAENKASKKLSAILSWENTKKANIEAQLKKIEEQLEKKKAEYAEKMKNKVAIVHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >OGLUM04G19670.1 pep chromosome:ALNU02000000:4:23979751:23981918:-1 gene:OGLUM04G19670 transcript:OGLUM04G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLINREENLQKVPEQVGPSVKLQMKWMELVACRHPSLSSSISRKAAMHPTRRGTRDDVGRRFLKPALHKLLGLSVQGFSSTVYEDPQLNAATPGICTRTDRGLARSSSYRPNPVRSPVRKALARYTCRPLHPARCPFGPGPGPGGSSRWTGRMRYQCGRQRDERATCSVPVREGASSMWQKRRNQRYHRPVRARPRVLPRFRDAWPASDACTVVNNGNVRRAAFRPALRELMTTASGWPSTVDAHARWPQGSPPARDPSPKSPSDAGARGPPLNSVVPLAGIQCGRTRGPGPACH >OGLUM04G19680.1 pep chromosome:ALNU02000000:4:23985089:23986993:-1 gene:OGLUM04G19680 transcript:OGLUM04G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLGVKAAPFTYVAHALAVAAAVMVLVWCISFRGGLAFEADNKNLIFNYAAITIMLYEFIVTRYCNKLDEYMVCKLYQVHPVLMLIGYIILGSEAIMIYKIFPKLNHDTTKLIHLILHAIAIVLGAVGIYCAFKFHNESGIANLYSLHSWLGIGTISLYGIQWIFGFVAFFYPGAAPHVRRGALPWHVLFGLFVYVLTLATAELGLLEKLTFLQSSGLDKYGAEAFLVNFTGLVVALFGAAVVVAAVAPAHVEEPEGYAPIPVN >OGLUM04G19690.1 pep chromosome:ALNU02000000:4:23988472:23988672:1 gene:OGLUM04G19690 transcript:OGLUM04G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVLSSFLPKPMAMAMAHVLVFPTPAQGRLGASAGGGAPQPPRLRFLSMLDGLPDDDQLLVDGLL >OGLUM04G19700.1 pep chromosome:ALNU02000000:4:23988765:23989235:1 gene:OGLUM04G19700 transcript:OGLUM04G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNDEPLLTSVAAATAHRRKARALLLNMTISMEHQALTRLARHMHDLFAIGPLHHRSWRCSPLLGPLLAAAVEGRNCQEREAYGGHDDDDEDMGGTPRGRMDQWRKSEMAAVQRMDEAVQLGQPRPCPRRPQATTHLPAPRRRGGEEARWSGGR >OGLUM04G19710.1 pep chromosome:ALNU02000000:4:23989985:23993112:1 gene:OGLUM04G19710 transcript:OGLUM04G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCLQVAWIAGTKAITEQSNFMFSPLGLRAGLALLATGTDGETLRQLLAFLGSQHIHQLNAASAGLLAEMRAWPQLSFAAGIFVDRSLRLRPEFQSTAAAAHGAFPRSVDFQNQANAAAAEVNRFISQATNGRLNNTISPGTFGSSTKCVLANAMHFKATWGRKFESYDTQRRRFHRQDGTRVTVPFLSDPRTHYAARFDGLGFKVLQLFYKMVGHDGQVHFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRSEQEVSPCMVPKFKFSSELDARGALAKLGLGAPFDPLAADLSRMAVSVNTPPERLYVSAMRQKCAVEVDEEGTTAVEATYSCCSPTYSGPESPKPRPMSFVAEHPFMFAIVEYEKAQVLFLGHNRLPLASPLRSAPVFSLLCNCSTY >OGLUM04G19720.1 pep chromosome:ALNU02000000:4:23993128:23994452:1 gene:OGLUM04G19720 transcript:OGLUM04G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCLQVAWIAGSDAITEQSNFIFSPMCLRAGLALLATGADGETLRQMLAFLGSEHIHQLNATSAGLLAEMQAWPQLVFAAGIFVDRSLRLRPEFKSTAAAAHGGIHAICGLPEPDHEGELNQRHPPWHLEQRHDVRPCERHALQGEVGSDVRVVEHHAGNVPPPRRHDGAGAVPVGPRDALRRQGAKFEFHGLEFKVLQLFYKMVSRDGQVDFGFGAPCFCMLVFLPIKRDGLRHLLRMAVTEPDFVMRCVPRTRQIVTPCKVPKFKFSSQLDAGGALAQLGLGAPFDPDAADLPRMAVNTPPAGLYVSAMRQKCAVEVDEEGTTAVEAMYSPSSPGYSPGYQPPRPPPMSFVAEHPFMFAIVEYKKAQVLFLGHVMDPSKEDQ >OGLUM04G19730.1 pep chromosome:ALNU02000000:4:23993595:23994545:-1 gene:OGLUM04G19730 transcript:OGLUM04G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKCIPGSDRNGTRTVVSSRRWNIACVVFHDSNVRAHFALKCMAFARTHIVSLFKVPGGMTLVKLPFVVCEMKPFTSAATASA >OGLUM04G19740.1 pep chromosome:ALNU02000000:4:23995266:23995838:-1 gene:OGLUM04G19740 transcript:OGLUM04G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQKVILKVSSMSDTKMKQKAMETVADIYGIDSIAADHKDQKMTVIGEVDTVKIAKKLKKFGKVDIISVGPAKEEKKDDKKGDKK >OGLUM04G19750.1 pep chromosome:ALNU02000000:4:24000214:24003735:1 gene:OGLUM04G19750 transcript:OGLUM04G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGITREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLRGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQDWRKEVRDGFRESDLAKQGWSVSEKYILACDSVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRPIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTAPDRAVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >OGLUM04G19750.2 pep chromosome:ALNU02000000:4:24000214:24003735:1 gene:OGLUM04G19750 transcript:OGLUM04G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAKVGRLTRSSLQAASCPAVNGGVVVFFAAVVAGALVSASWMSTGARVTSIPMIATRNMAQHAAASPEPEPTLPRLGNLAPPPRQPPPPAPAPAPDAAAAASPSSSCPAYFRWIHEDLRPWRDAGITREAVDGAARRYGAKFRVTVVAGRLHVARYGRCFQTRDVFTQWGVLQLLRRYQGRVPDLDLMFDCQDLPVVNAGDRRGRTSSSPPPLFGYCGSEPTLDIAFPDWSFWGWPELNIKPWETLRGEIADGNAAVNWTGRAPYAYWKGNPTVGADRRNLLRCNASGKRDWNARIYEQVRALPGADARTRTPSRHLTVTRGISNRAEHETRLQFFFSFQDWRKEVRDGFRESDLAKQGWSVSEKYILACDSVALIVRPRYHDFFSRGLMPLQHYWPIPGGGRGMCRPIKFAVDWGNAHADKAQEIAGNATRFIQEDLTMDRVYDYMFHLLTEYAKLLKYKPTAPDRAVEVTVESMTRGRRGLERQFMVDTMVEAGSGTGEPCELPPPFSSEELETLRRRQADAVRQVETWEKR >OGLUM04G19760.1 pep chromosome:ALNU02000000:4:24006100:24011057:1 gene:OGLUM04G19760 transcript:OGLUM04G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADFRRPTPKFVAALSRTSFAFLFFSVVVVGLVSSARWITRFTLQASPPATAAIPAAVAATTRAGVPAAPPRPTYSISCSTPPRSPLNLSGGGGARTPQTSQTLALALSSSSSSCRSSPDPATASASVSVSASNSSSCPSYFRFIHEDLRPWRDAGGITRAMLARARVTASFRLLVLGGRAFVHRFRPAFQTRDLFTIWGVLQLLRRYPGRVPDLDLMFDCADWPVVRTHLYRGKHAAFMPPLFSYCGDDRTLDIVFPDWSFWGWPEINIKPWDALRQDLKDGNNRVKWLDRVPYAYWKGNPAVAVTRQELVNCNVSTTKDWNARIYKQDWFRESKAGYKDSNLGSQCTHRYKIYTEGSAWSVSQKYILACDSMTLLVTPRYYDFFSRSLMPIQHYWPVHNDNKCDSIKYAVDWGNSHKQLAQRIGKQASDFIEEDVNMDCVYDYMLHLLTEYAKLLRFRPIKPPEAVEICPDSLACQAEGLEKKFLMESMVKSARDAGPCDLPPPFNPQELAMIKRRKENSIKQIQTWERISGRA >OGLUM04G19770.1 pep chromosome:ALNU02000000:4:24013250:24019208:1 gene:OGLUM04G19770 transcript:OGLUM04G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVAPSESAADDVSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTLILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFMQIRRLSLVGNCFENNDTTNRPDNQCENSQKGNESSRVDGNQQKSFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTHDTVVYKGTMKDGSEIAVVSLSASVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIIDSRHRRFMDIQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQTEEFIKLVDPKLMNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDTSPATVLRDSSLAWAEAEIAIS >OGLUM04G19770.2 pep chromosome:ALNU02000000:4:24013476:24019208:1 gene:OGLUM04G19770 transcript:OGLUM04G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLGVSLLVAGLGCALLVAPSESAADDVSALLAFKKAIFEDPLAKLSDWNSKDENPCGWTGVGCSPFDSRVVTLILDHNTLMGPIPKGIGKLRNLIMLNLSTNQLDGPIPIEIGDMPKISKIDLRANRLDGAIPPEIGNLTSLTELQLSNNSLTGTIPGSNDSSMVSTNRDGQIGLCRLTQLTDMDLSYNYLAGDVPTCFMQIRRLSLVGNCFENNDTTNRPDNQCENSQKGNESSRVDGNQQKSFQQPLWLLILEVITAISLLTVLTLCTIAGLRRCKARSSRNSGTWTRAISWKENTVISIDDDLLANVPKISRQELAEACEDFSNIIGSTHDTVVYKGTMKDGSEIAVVSLSASVVEMARLSHENVAKMVGYSKESDPFSRMLVFQYPPNGTLYEHLHDGEGYQLSWPRRMKIALSIARALRYLHTEMQPPFAVAALTSSSVYLTEDFSPKIIDFERWRALLTKPGLSSGSIVNGSFNNIIDSRHRRFMDIQANTFAFGVILLELISGRAPVSKDTGDLVDWARKHLDQTEEFIKLVDPKLMNANHENLGIVCNVVNLCIDAEPCRRPSMNMITAILEEGIDTSPATVLRDSSLAWAEAEIAIS >OGLUM04G19780.1 pep chromosome:ALNU02000000:4:24019745:24023725:1 gene:OGLUM04G19780 transcript:OGLUM04G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-related [Source:Projected from Arabidopsis thaliana (AT1G73440) TAIR;Acc:AT1G73440] MAGEEIGASEEHGCAAGGEPMNDYERQRLARIRENEARLQALGIRRLAASPLLHSPASSAGGKGKRKSRAADADEEYLPSDGGEDDDEEESSSTSDQDGEEEEDAEASSRSRKKGKKKVLNSGKSSQVTHRKENAHLADSVDDDAALQQAIALSLAESLENSVPAMSGETPSTGMKGSGSTPCKKNNTVPIQDSAKTMRIKKQGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATVHDFIWTDSEISKMIHCFDSDRDGKINLEDFRTIVSRCNMLQEPEKSG >OGLUM04G19790.1 pep chromosome:ALNU02000000:4:24023411:24025959:-1 gene:OGLUM04G19790 transcript:OGLUM04G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIAFKVKTTSPKKYCVRPNSGIVPPRSTSDVIVTMQAQREAPADMQCKDKFLVQSVVVTEGTTTKDVTGEMFNKGSGNVVDERKLKVVYVQPPRPPSPVREGSEEGSSPRASLSEGGNLNYQDATRAHKDAEDVTSDSETSALISRLTEERNSAIQQNNKLLEEMDLVRREISKQNGGFPFVFVVVVALLGILLGYIMKR >OGLUM04G19800.1 pep chromosome:ALNU02000000:4:24027117:24029941:-1 gene:OGLUM04G19800 transcript:OGLUM04G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQCRFRGQPRPASGTPALRRRLVQRLRAPAGERPREVQSVLKAQMSSCTMASLICSQIKLSKAHIRNRRQIHENGQIRLPKSLYWKPLHSGHFENIVLRCTQNLSWEASLPYASAEDGANIIKGTEVVEPIDTEEAPEIPILQSDQDFVEVIKEPSMQLTTFKLPMWLLGPSILLVTSIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPEANSSELIKQIPTSYRFWNLAASIVGFLVPLALFFASHKGTLQPHIPFIPFAVLLGPYLLLLSVQVLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEITAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLNFASTSRYGASDDNK >OGLUM04G19810.1 pep chromosome:ALNU02000000:4:24030612:24031280:1 gene:OGLUM04G19810 transcript:OGLUM04G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 11B [Source:Projected from Arabidopsis thaliana (AT3G47430) TAIR;Acc:AT3G47430] MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWAAESSSPGLAGRAKNWETSAGLSRKAFRTGRFLTGLNGLRRAPGEFGALAVLANAGEMVYFFFDHFTWLSRVGVLDAWLARRMSFISAFGESVGYVFFIAMDLIMIRRGLRQERKLLREGGKDKDKEVKKIRMDRVMRLMATAANVADLVIGIADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >OGLUM04G19820.1 pep chromosome:ALNU02000000:4:24031705:24033059:-1 gene:OGLUM04G19820 transcript:OGLUM04G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRKPVGLEMSSVWCGCGLWSRRRCRVVVGMASVGGVAVASSSPRRWWWQRRKGERRRDGAARWWGRGRSEEEGRREMRDGGGEVAGSQGSEDGRPAIISARRPS >OGLUM04G19830.1 pep chromosome:ALNU02000000:4:24035727:24036129:-1 gene:OGLUM04G19830 transcript:OGLUM04G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRDYRVNSIKSLAAGPLQCHATIPWVVGPAFWSYGIITVMRFHPAGRESRSSPISIKRNDRIIVSGSRQQINFLRSPGAPSHHG >OGLUM04G19840.1 pep chromosome:ALNU02000000:4:24041196:24049958:1 gene:OGLUM04G19840 transcript:OGLUM04G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPMSEQGAEARANSGRRKGEQGVDGRQKSEHRLAQGASSSRYDCPLPSGLILDEFGVCDSIPFGQDDTRFHPELDAKNKGRTVYTVLQEDAWTGDISKSGT >OGLUM04G19850.1 pep chromosome:ALNU02000000:4:24050269:24060417:1 gene:OGLUM04G19850 transcript:OGLUM04G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSWWCEARKSVHKTDRKTFDAGVILVTWLVWKERNAQVFDGKATSAVHLCATIADEWETWKAAGSKQVVLSLFSIWDWEETEGIGKRRHSGDDNGEEARNDTIKEMFCQLTDRMASNHRKKNQTWETIGTRGDDRNDRGDFIISRYGQIAKNTNIKAKTRVETCLAVRVVAIG >OGLUM04G19860.1 pep chromosome:ALNU02000000:4:24060608:24062092:-1 gene:OGLUM04G19860 transcript:OGLUM04G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT4G16563) TAIR;Acc:AT4G16563] MRLQLCFLCFGLLLVSSSLQCHGLLLPLTNTLSSLRPANDTATIHRLLRSSSLRSAARHRGRRHGTRRAPPPPPPRHRQLSLPLAPGSDYTLSLSVGPPSTASSVSLFLDTGSDLVWFPCAPFTCMLCEGKATPGGNHSSPLPPPIDSRRISCASPLCSAAHSSAPTSDLCAAARCPLDAIETDSCASHACPPLYYAYGDGSLVANLRRGRVGLAASMAVENFTFACAHTALAEPVGVAGFGRGPLSLPAQLAPSLSGRFSYCLVAHSFRADRLIRSSPLILGRSTDAAAIGASETDFVYTPLLHNPKHPYFYSVALEAVSVGGKRIQAQPELGDVDRDGNGGMVVDSGTTFTMLPSDTFARVADEFARAMAAARFTRAEGAEAQTGLAPCYHYSPSDRAVPPVALHFRGNATVALPRRNYFMGFKSEEGRSVGCLMLMNVGGNNDDGEDGGGPAGTLGNFQQQGFEVVYDVDAGRVGFARRRCTDLWDTLSRR >OGLUM04G19870.1 pep chromosome:ALNU02000000:4:24067870:24071732:-1 gene:OGLUM04G19870 transcript:OGLUM04G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCCRPTTFDVNKVPTMRVPSAPRSGEGQRRHAARSEGEQERDGGRRRGAVAARRRPRRGRTAAARPGHGLVAAGVRRTGGSWRHTMRGGAGAIKRPWRGAASTPWTADAVQRPSTARCGDAGGRDDRVMAFKDINPSAFRHYLVIPIEHIPTVNNLQRTTEDHQLVSHMLAVGRDLLNRDAPNSEEHRFGFHQPPFNSVDHLHLHCLALPFTPSWRQVKYTPLGPLGGFIEAESLLERIRP >OGLUM04G19880.1 pep chromosome:ALNU02000000:4:24078591:24085820:1 gene:OGLUM04G19880 transcript:OGLUM04G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRIVMLDTKTGSNLLQWPVVEVENLRMRGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVN >OGLUM04G19880.2 pep chromosome:ALNU02000000:4:24078591:24085820:1 gene:OGLUM04G19880 transcript:OGLUM04G19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGAILYLSRIDIDVARRDTFFLHALTGPSMDRSAGPLYYKGWYHLFYQWNPDSAVWGNITWGHAVSRDLIHWLHLPLAMVPDHWYDINGVWTGSATQLPDGRIVMLYTGATEESVQVQNLAEPADPNDPLLREWSKAEANPVLVPPPGIGLTDFRDPTTAWRNPADSAWRITIGSKDRDHAGLALVYKTEDFLHYDLLPTLLHVVKGTGMWECVDLYPVSTSPAVEDGLETSTPPGPGVKHVLKASLDDDRNDYYAIGTYDGETDTWTPDNADIDVGIGLRYDYGKFYASKTFYDPVGRRRVLWGWIGETDSERADILKGWASLQSIPRIVMLDTKTGSNLLQWPVVEVENLRMRGKSFDGLDVSPGSVVPLDVGKATQLDIEAVFEVDTSAADGVVTEAGAAAYSCGTGGGAVGRGLMGPFGLLVLADDQLSERTAVFFYLVKGVDGNLTTFFCQDELRSSKANDLVKRVYGSLVPVLDGENLSIRILVDHSIVEGFAQGGRTCITSRVYPTKAIYESAKIFLFNNATNVRVTAKSLKIWELNSAYIRPYVN >OGLUM04G19880.3 pep chromosome:ALNU02000000:4:24078591:24079553:1 gene:OGLUM04G19880 transcript:OGLUM04G19880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAISPMMDGAAPLLPETSPESRQQRDPERGKRRTPVLPAVVASAVVLLGLAALFLVYGFHDGGDGRAAVLAPGTVEVAASSSRGVVEGVSEKSTTPALRLGGGAVRDYAWTNSMLSWQRTAFHFQPPNNWMNDPNGKLLALIVNARRNLVLVTH >OGLUM04G19890.1 pep chromosome:ALNU02000000:4:24097012:24099068:-1 gene:OGLUM04G19890 transcript:OGLUM04G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPETFSLDHLSQHQQQQPPPLAEQEQLCYVHCNFCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPAAASTANQLPFGQALLSPTSPHGLLDEVPSFQAPASLMTEQASPNVSSITSSNSSCANNAPATSMASAANKATQREPQQPKNAPSANRTSEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTGIQSQDGAGECMLFKDGLYAAAAAAAAATAASSMGVTPF >OGLUM04G19900.1 pep chromosome:ALNU02000000:4:24103629:24104859:-1 gene:OGLUM04G19900 transcript:OGLUM04G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFSHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDIDLYKIEPWDLQEQCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETNEYGAPQEEGWVVCRVFKKRVATVQRAAGDGGDSPFWFNEHVAFMAPAPGLDSPYHGHRQSHPCKLEVEYHHHLLPQEAAPFMHLPRLESPKLPAADIIGATAASSALQPCGHTTAQQLQLQIEPVYVTADASAADWRDLDKLVASQFGHGDSTAKEPSYCNPVQVFQVEGKQEDSLDYVSTSASCGGEEDLWK >OGLUM04G19910.1 pep chromosome:ALNU02000000:4:24112762:24119974:1 gene:OGLUM04G19910 transcript:OGLUM04G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTTLNAAWQQRSRRSHAEKAVHPTIPRGPHGCASLGCCLAGVATLSLCTDLSTSNPALARVVASPGEMDLHDAQMAGNQSTKQQPEPAIRSRQQYPLNSEAFEEACATYSQ >OGLUM04G19920.1 pep chromosome:ALNU02000000:4:24120713:24121216:1 gene:OGLUM04G19920 transcript:OGLUM04G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSHGGFRLGRKLLSAWRWALCCRRRRRRGYLRLQTTSGGCGGACKEGSEEAKRLAPVLRWGRSLVRRLSLGRKDGGRRRILDEPVSTPKGQVAVYVGGGNPGESLRYVVPVVYFNHPMFGELLREAEEEFGFQHPGGITIPCAASRFERAAAVAAAGKKAFGRW >OGLUM04G19930.1 pep chromosome:ALNU02000000:4:24130044:24130409:-1 gene:OGLUM04G19930 transcript:OGLUM04G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRLQAAALVYTARRWRLLSMRSRRRSPASHRRYIGLRPCRPMEWSRRQPGGHDIHFVIDALNLANLVEPPVTPSAEGHLAGRRLRAVIAAPPLDSEHVSSLRLRRPSTLSRSSRYLRRC >OGLUM04G19940.1 pep chromosome:ALNU02000000:4:24130432:24131021:-1 gene:OGLUM04G19940 transcript:OGLUM04G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHACMRWRRDENAGAPLHGARTPSLSLPSHARAVRRTGEQAAVRCLVRTKGLVMLPRRNTLPQHRERRPQNHDASLAGVAVPNVSPTVFGGVVIFLTPLRRRNTGYPRLAGGDLYLTAPSSVRAVILLTRAVVESGRAILHLVEVCWVNPEKAISVPSCRGMLRIIKTARNVIKLPSSSSL >OGLUM04G19950.1 pep chromosome:ALNU02000000:4:24134486:24138027:1 gene:OGLUM04G19950 transcript:OGLUM04G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLDRLCYAVVVLVVIGATVAEAATGTFIYAGCSPSKYQPGTPFEGNLNSLLASIANAAANGGYNSFTAGSNGTGDGAAAYGLYQCRGDLGNADCAACVRDAVGQLNEVCAAAYAASLQLEGCYVRYDSSNFVGQPDNAMVYRKCSTSTSGDGDFLKNRDAVLAALQGGLANGYKVSSSGNVQGVSQCLGDLAAGDCTTCLAQAVGQLKGTCGTSLAADVYLAQCYVRYWANGFYFRPSQDYSQDDVGRTVAIIVGILAGLAILVVFISFLRKSWDQALCPPSVIGLHLAEL >OGLUM04G19960.1 pep chromosome:ALNU02000000:4:24137976:24142590:-1 gene:OGLUM04G19960 transcript:OGLUM04G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRDPRDHTCEERASESCRDGEATCRGSRVLVARQLGSVLKAASHQLLGVVWLLDLCTVLVLWSRTTMAARCWVWGFVVALLAVAAAAGEEEEGKWEPLIRMPTEEGDDAEAAVPAPAPAAADYGGTRWAVLVAGSSGYGNYRHQADVCHAYQILRKGGVKEENIVVFMYDDIAHNILNPRPGTIINHPKGGDVYAGVPKDYTGHQVTTENFFAVLLGNKTAVTGGSGKVIDSKPEDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLQKKHASNSYSKMVIYVEACESGSIFEGLMPENLNIYVTTASNAVENSWGTYCPGEEPSPPPEYITCLGDMYSVAWMEDSETHNLKKETIEDQYELVKKRTSNANKLNEGSHVMEYGDKTFKDEKLFLYQGFNPANGNITNELIWPVPKATVNQRDADLLFMWKRYEQLNGVSEDKLRALREIEDTIAHRKHLDSSIDFIGKLVFGFENGPLALEAARSSGQPLVDNWDCLKKMVRIFESQCGSLTQYGMKYMRAFANICNNGVSEAKMMEASINACGRYNSARWSPMTEGGHSA >OGLUM04G19970.1 pep chromosome:ALNU02000000:4:24147019:24150926:1 gene:OGLUM04G19970 transcript:OGLUM04G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKARGNAAFSAGRFEEAAAHFTDAIALAPDNHVLYSNRSAAYASLHRYPEALADAERTVALRPDWAKGYSRLGAARLGLDDAAGAVAAYEKGLALEPSNGALKDGLAHARQARRPAPASGADAIGKVFQGPELWSRMAADPTTRPYLDQPDFMRMLRDVQRNPSSLNNYLSDPRMVQVLSLMLNLRLPNNDAPPRPPAQSTPPPPPQQQHQPETKAREPEPEPEPMEVTEEEKERKERKAAAQEEKEAGNAAYKKKDFETAIQHYTKAMELDDEDVSYLTNRAAVYLEMGKYDECINDCDKAVERGRELHADFKIISRALTRKGTALAKIAKCSKDYDVAIETYQKALTEHRNPDTLKKLNDAERAKKELEQQEYYDPKIADEEREKGGDEDETLILVHSCAGNEFFKQQKYPEAVKHYSEALRRNPKDPRVYSNRAACYTKLGAMPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPNNQELLDGVRRCVQQINKASRGELSQEELQERQNKAMQDPEIQNILKDPIMQQVLTDFQENPKAAQAHLKNPGVMQKIQKLRRVFLPGLYIQLDICMLLNNPV >OGLUM04G19980.1 pep chromosome:ALNU02000000:4:24151887:24155351:-1 gene:OGLUM04G19980 transcript:OGLUM04G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFG/EF2 protein [Source:Projected from Arabidopsis thaliana (AT1G62750) TAIR;Acc:AT1G62750] MAGNDRQVPLTDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIIANLGAKPLVIQLPIGSEDNFQGVIDLVKMKAIVWTGEELGAKFSYQDIPADLQEMADDYKAQMMETIVELDDDVMETYLEGGEIDEETVKRLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPPMKGTDPEDPELILERKPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVAGDIVALAGLKDTITGETLSDPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKISEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGAVPKEYVPGVMKGIEESLPNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQIAARGAFREGLRKAGPRLLEPIMKVEVITPEEHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNELSAAKQEEASTA >OGLUM04G19990.1 pep chromosome:ALNU02000000:4:24157048:24157787:1 gene:OGLUM04G19990 transcript:OGLUM04G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGLTLLFSMDGGAFFSPLLLSPWVEREEMETLLLCPPRLLESGRGKEVEVEDDTRGSGRLNGDGTFGEVKADDDQQILCPPHRCHHRLHVAR >OGLUM04G20000.1 pep chromosome:ALNU02000000:4:24159781:24160122:-1 gene:OGLUM04G20000 transcript:OGLUM04G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWTRNGMLNFSQPASSSPFGFYDAGHGASSSGGSRSHGCRSQNKDQRNLEKNLTKVRKEWMKVKEEMGYARLLSEHLSETVTETDRKVAAMLEELDRTDKYMQDILSSQQK >OGLUM04G20010.1 pep chromosome:ALNU02000000:4:24165134:24165928:-1 gene:OGLUM04G20010 transcript:OGLUM04G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHHSSHVHAGAATPNCTCRLPKEEDLAAAVVVVDVEAAPHYTSLKAAEADVVPRGVGGGGGGGEGDGGEEVNYMARAQWLRAAVLGANDGLVSVASLMIGIGAVNENNKAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIEVTQIERDGDIDGADAAAAREKLPSPTQAAFASALAFAIGGLLPLLTSGFIKPWGPRVGVVCAASSVGLAGFGAAGGYLGGANMVRSGTRVLLGGWLAMLITYAVLRLFATIFHGMNISSSA >OGLUM04G20020.1 pep chromosome:ALNU02000000:4:24171429:24172023:1 gene:OGLUM04G20020 transcript:OGLUM04G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSPSSMLWIHLWTLLITVALSSLPDPLLGSSSMGAGFGDPGTWRRPLLADRGNVLIGNSDDDDSSPCAAHSARSRGTWQCRVVPSSSAPPPTSKGF >OGLUM04G20030.1 pep chromosome:ALNU02000000:4:24177446:24177622:1 gene:OGLUM04G20030 transcript:OGLUM04G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTLPSREVGSCGGKEGLGELCMDERRRGEEELEEVRFDGDVVEGREVVGVVTRRS >OGLUM04G20040.1 pep chromosome:ALNU02000000:4:24178866:24184036:-1 gene:OGLUM04G20040 transcript:OGLUM04G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSDSGTGGRGGAELMVEQFHLKVLHAVLAVRGPRPLQPAASASFRRRDRWFHLPLHDPQPPPAAEGVEAPEAGEPLVVDILLAPAAAGGGGGGGAGGEVVERWTVVCEPWPDAAAGEGIPVNRAYKRCMTMLRSVYATLRFLPAYRVFRLLCANQSYNYEMVHRVGSFAVPLSRDEEAAMRSYQFVPVETQHGRLVVSVQYLPSLAAFNLEISSLSPSMLIADYVGSPAAEPMRAFPASLTGATGSAFPQALSNQPQRPHSWATPALWPQAPRQQARFSPPHLLNASPTPSPPNFPSGYLQSRPKGGSAPMSIPQVGDRRSPIHRPITLPPPSPRRVGETGTSSAQQSPSERCPSFGRADGFRIMDPYASLSPGRKGKDTKDESGRFSALSSCDSPRQDDIDDADYPFAVDDVDTPSSQPGSSDGKEARDQASSSSHKSQDAAVGSLVHLLKTARPLRNSNCPSQASAVESSEAASTSSVVSRRKSDALEELQSFKEIKERLMSRSRAKQQEPPEKP >OGLUM04G20050.1 pep chromosome:ALNU02000000:4:24185246:24191283:-1 gene:OGLUM04G20050 transcript:OGLUM04G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGNEVVQWDKMDGGEVVNGGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQAFSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGHSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRWLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQSFVMHFGMIDPTQCSQSWSLQQPTLAARGTTPRDGCGRGGAVVAGGAHPPAARGTARPRGGDAQNGVAGSATTALRRGLSPSAALRPDATTGPLRLLPDGALRRRSPTVLPDGAPAPPRYLRPAARAPPHRTSSASPWPTARLRELRSSVRWREETTIDQIIEEVNDLTGEEAPTE >OGLUM04G20050.2 pep chromosome:ALNU02000000:4:24186725:24191283:-1 gene:OGLUM04G20050 transcript:OGLUM04G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGGNEVVQWDKMDGGEVVNGGGGGGGVGKLERILVSVRLRPLSDKEIARGDPSEWECINDTTIISRSTFPDRPSAPTAYSFDRVFRSDCDTNEVYKQGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYIGKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKELISVCEAQRKTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQAFSAGARLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSSLESLVKEKDNQIRKMEKEIKELKLQRDLAQSRLQDLLQVVGDNHVHVSKQSSVSGRNFTFDVPQTCEDEQSTTESSEVVDSVQNFRFQGRRVAQREHKPQQAENNVQFTTPSRYSVSSPPFSGMLPTNRRDHLSQISNEDSDDICKEVRCIETNETGGNECLESSAVGSNSLQDPNAGSSMHINNDSNSSMNSRLRDESPVTLEQHLENVRKPFANIVKDLGSSTRNSSSSKVLGRSRSCRSLTGSSLFEDLEKDDCTPPNRSFIDFAGRPQNCQRRGSALNYDAESETLSRAGSMLSEITTTRDGLKANSSVAGDTEFTGIGEFVAELKEMAQVQYQKQLGHSGNGDLAEGTIRSVGLDPITDALQSPSRWPLEFEKKQQEIIDFWHACNVSLVHRTYFFLLFKGDPADSIYMEVELRRLSFLKDTYSNGAIASIPNTSLVSSAKKLQREREMLCRQMQRWLSIEERESMYTKWGVSLASKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSYNSWRYGRSSLN >OGLUM04G20050.3 pep chromosome:ALNU02000000:4:24185246:24186156:-1 gene:OGLUM04G20050 transcript:OGLUM04G20050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKKPTQCSQSWSLQQPTLAARGTTPRDGCGRGGAVVAGGAHPPAARGTARPRGGDAQNGVAGSATTALRRGLSPSAALRPDATTGPLRLLPDGALRRRSPTVLPDGAPAPPRYLRPAARAPPHRTSSASPWPTARLRELRSSVRWREETTIDQIIEEVNDLTGEEAPTE >OGLUM04G20060.1 pep chromosome:ALNU02000000:4:24204966:24205910:1 gene:OGLUM04G20060 transcript:OGLUM04G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEAPDPAIAASVPLVRLNHVSFQCTSVEKSVDFYRRVLGFELIKRPESLNFNGAWLYKYGMGIHLLQRGDDADGCSIPTRPLPAINPMGNHVSFQCSDMAVMKARLRAMDREFVVRKVWDGETVVDQLFFHDPDGNMIEVCNCENLPVIPLIVASTPGLPELLPPAMQTNVHG >OGLUM04G20070.1 pep chromosome:ALNU02000000:4:24206450:24208437:1 gene:OGLUM04G20070 transcript:OGLUM04G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit NDH-M of NAD(P)H:plastoquinone dehydrogenase complex [Source:Projected from Arabidopsis thaliana (AT4G37925) TAIR;Acc:AT4G37925] MATTASPFLSPAKLSLERRLPRATWTARRSVRFPPVRAQDQQQQVKEEEEAAAVENLPPPPQEEEQRRERKTRRQGPAQPLPVQPLAESKNMSREYGGQWLSCTTRHIRIYAAYINPETNAFDQTQMDKLTLLLDPTDEFVWTDETCQKVYDEFQDLVDHYEGAELSEYTLRLIGSDLEHFIRKLLYDGEIKYNMMSRVLNFSMGKPRIKFNSSQIPDVK >OGLUM04G20080.1 pep chromosome:ALNU02000000:4:24208290:24211465:-1 gene:OGLUM04G20080 transcript:OGLUM04G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPFAAGDYPADQVDPDYLYFLRHIRPDGDSYTLELPSDGVSPPSLVKYEAPADTGSTDGECVSDPSPGRASTNRPPEEKESSVEVDAEPPAPSWIDSLVDIDEDYRLFLKHTRVVNDNMVLEIDGAVVTYPCAASSESSSEVEDAREKEVAMDSDEPVVILPDPKVCDWVAVGDASVRTLDSKKKRKMSSSNSNNAGPSVPPGSQGVIWPAHINSRPDSDFKQRLLDALSKPFSRKEYIKLFDMASIRTPLVKLRQVRNDVKFYPTQEMGNSYFDHYPDLVDQVMHTSFPNGLALMRGFFFWLQNNAHEDQFRPWVDVSKDHEVIPLID >OGLUM04G20090.1 pep chromosome:ALNU02000000:4:24212842:24213903:1 gene:OGLUM04G20090 transcript:OGLUM04G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGFLSALGSPLWPLLAGGRERRLGFGRGVGAADAWESGWKRFSSTAAAEVSDDGPWDGDELGVQEVEEDPEDGGGPRGGRGLGIQRSGNGSCRPAFGWLVLAGTTDESGLNQGDVWHQLI >OGLUM04G20100.1 pep chromosome:ALNU02000000:4:24217619:24219648:-1 gene:OGLUM04G20100 transcript:OGLUM04G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWWLPTWQPLLVLLPTMLLLYHTVSSWHCGERCLPLPPGPRGLPFVGNILHTSDMTHRGLAQLASRYGGLLHLRLGRLRTVVVSTPEMAGLVLHVTKNSGAVVNVGELVFGMSMKITLRAALGMRNEGEDAADLVAVLKEFSEMFGASNLADYVPWVGWMDVQGINRRMVAARAALDRLIDRAIDEHLAHPKPVDATDADMVDGMLFFLDDMPECPGVGAATAKYMDGADACAGMLRLSRDNIKATIMDVLFGGTETSATTIEWAMSELMSNPEEMRRVQDELAEVVGLHRQVTESDLTGDKLPYFRCVVKETLRMHPPAPLLHHEAGEDCDVAGYRVPKKTRVLINVWAIGRDASAWGDDPDAFRPARFGPGTDNAETDYRGGHFHLLPFGSGRRSCPGMQLGMLAVELALARLLHGFDWSLPGGTGSAGELDMEETYGLTAPRAVRLSAVPVPRLSHL >OGLUM04G20110.1 pep chromosome:ALNU02000000:4:24224986:24225871:1 gene:OGLUM04G20110 transcript:OGLUM04G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQNQPVRKIAKSTIDHTHLCSISHRHTGRHNAFSAVGKGREHAPRPTTGNCGNRLHLTRLDSEKIQKPNKIGPDWIFDSHEHYSIVPTHRSLPQRPKPNGLARRDVACSRRGGGPRGAPPPTRRAIPFHRAPMLIFFVEFVTENFPVHGRTENSASDYPPED >OGLUM04G20120.1 pep chromosome:ALNU02000000:4:24229904:24233168:1 gene:OGLUM04G20120 transcript:OGLUM04G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSAPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLGDDEEENGRFLSLCLLGFWNFGNLWFLLCVYVGAFWTEKDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSEDDSQPSSVVTYELVAPPPPHPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASVVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASASAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >OGLUM04G20120.2 pep chromosome:ALNU02000000:4:24229904:24233168:1 gene:OGLUM04G20120 transcript:OGLUM04G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQTLIPSSTLSFPSSAPLSPPLLFASNAAATAAQGSNTSRSSSAMPSYAHHHSSLDGKMDALKSSCRSEEAADEGAAAAPSAWGMVERDGFSVEDLLDLEEFCEAEKDAAEENEQALAVVAAPEEEKSEDDSQPSSVVTYELVAPPPPHPEIVDLPAHDVEELEWVSRIMDDSLSELPPPPQPPASVVASLAARPPQPRQLQRRPQDGAYRALPPASYPVRTPTICALSTEALVPVKAKRSKRSRATAWSLSGAPPFSDSTSSSSTTTTSSCSSSASFSSFSPLLKFEWHPLGGTSDLPDDHLLPPGKKSKHGKNGKNKPKKRGRKPKQLPPHPSGAAASASAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSAIHSNSHRKVLEMRRKKEVGSGLLTAAAAAAPAVASF >OGLUM04G20130.1 pep chromosome:ALNU02000000:4:24236869:24239386:1 gene:OGLUM04G20130 transcript:OGLUM04G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGETRSSSLALPHSLAARYFWSGVLAVASRFFLSNFFFCWVCMMDHGGKMGVPVSGSGQRGDRSDTDGEGGYLPRRLVVPPPRALSLPRPLDEEKGSIADHTVQYITDFRLPTGGDKRSIGSVGHQQISDEVTP >OGLUM04G20140.1 pep chromosome:ALNU02000000:4:24240789:24244391:-1 gene:OGLUM04G20140 transcript:OGLUM04G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWKQASRLKEQVSRQGVFKPFGAAYGNSENAFTDESEVNLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVDIGNKLSDDSQKYGTGNTCTSGNTLSKASMYYGKARSLMEKERGNMLRAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQNRVRESAPNGDVITKLEAAEYKLEELKSSMVGLGKEAVAAMAAVEAQQQRLTLQRLIAMLLISLALKVEAERAYHQRVLEILDHLEQEMVSERQKIEAPPTPSAENYMAQPPPSYDEVNGMFASSSVDDSVTSVDFFLGEALDSFKAESESELNLSAGDIVIVRKISTNGWAEGECKGKAGWFPHGYIERRERVLASKVPHIF >OGLUM04G20150.1 pep chromosome:ALNU02000000:4:24252287:24254558:1 gene:OGLUM04G20150 transcript:OGLUM04G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKTGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPEEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLQSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >OGLUM04G20150.2 pep chromosome:ALNU02000000:4:24252175:24254558:1 gene:OGLUM04G20150 transcript:OGLUM04G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQCDVCAAEAASVFCCADEAALCDACDRRVHSANKLAGKHRRFSLLQPLASSSSAQKPPLCDICQEKTGFLFCKEDRAILCRECDVAVHTTSELTRRHGRFLLTGVRLSSAPMDSPAPEEEEEEEAGEDYSCSPSSVAGTAAGSASDGSSISEYLTKTLPGWHVEDFLVDEATAAAAAASSSSDGLFQGGLLAQIGGVPDGYAAWAGREQLQSGVAVAADERASRERWVPQMNAEWGAGSKRPRASPPCLYW >OGLUM04G20160.1 pep chromosome:ALNU02000000:4:24257692:24260076:1 gene:OGLUM04G20160 transcript:OGLUM04G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor [Source:Projected from Arabidopsis thaliana (AT1G09790) TAIR;Acc:AT1G09790] MDVDQLILFVFVCCLSSRFADAYDPVDPNGNIIINWDFQSIENVYTVMVSVHNHQLYRHIEQPGWRLSWRWAGNEIIWGMTGAEATEQGDCHRIRGATRPHCCEKQPVIVDLPPGTPYNNQVSSCCRGGVLSSLTQNNRTSTAAFQMVVGGFRRATYHDGDRGPALPSRFGVGVPGYSCSNATKVNATRSPIGRHRHVQSLLTWQVTCTYSQFMEAASPTCCVSLSSFYNSTIVPCPRCSCGCPRSPTAPQCIRQNPPRTLGEKPELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTLNDTGMFWGIQYYNEMMLQDGNVQTEMILKKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNGGPDSRVSAAQLIASSCFLLPFIFLIV >OGLUM04G20160.2 pep chromosome:ALNU02000000:4:24257692:24260076:1 gene:OGLUM04G20160 transcript:OGLUM04G20160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein 6 precursor [Source:Projected from Arabidopsis thaliana (AT1G09790) TAIR;Acc:AT1G09790] MDVDQLILFVFVCCLSSRFADAYDPVDPNGNIIINWDFQSIENVYTVMVSVHNHQLYRHIEQPGWRLSWRWAGNEIIWGMTGAEATEQGDCHRIRGATRPHCCEKQPVIVDLPPGTPYNNQVSSCCRGGVLSSLTQNNRTSTAAFQMVVGGFRRATYHDGDRGPALPSRFGVGVPGYSCSNATKVNATSEGEKPELPAGDGEAVAPVFRCTDHMCPVRVHWHVKISYREYWRVKVTITNYNQVKNYSDWNLVVQHPNLRSLTQLFSFNYQPLIEYGTLNDTGMFWGIQYYNEMMLQDGNVQTEMILKKDKSDFTFSGGWAFPRRVYFDGHECVMPPPDQYPLLPNGGPDSRVSAAQLIASSCFLLPFIFLIV >OGLUM04G20170.1 pep chromosome:ALNU02000000:4:24260217:24294244:1 gene:OGLUM04G20170 transcript:OGLUM04G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPVADRTKSQVTGNRTEELRRKKTVEGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRSQESDKSNIMAKHIKETKSQTTEVVPGADRTKAEVTRNSQSERCGSDRIDSEELRRNNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLGGQMSYTNLMQHIIQSPRVSLQANEVSIFME >OGLUM04G20170.2 pep chromosome:ALNU02000000:4:24260217:24291851:1 gene:OGLUM04G20170 transcript:OGLUM04G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPVADRTKSQVTGNRTEELRRKKTVEGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRSQESDKSNIMAKHIKETKSQTTEVVPGADRTKAEVTRNSQSERCGSDRIDSEELRRNNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLVQGGQMSYTNLMQHIIQSPRVSLQANEVSIFME >OGLUM04G20170.3 pep chromosome:ALNU02000000:4:24260217:24294244:1 gene:OGLUM04G20170 transcript:OGLUM04G20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEKEKKKKKQILTKNMEVKKIKSTTNNLPGTISAVESTKDIKLDTKKKEPLQMKSHEEVQDEKRSQESDKSNIMAKHIKETKSQTTEVVPGADRTKAEVTRNSQSERCGSDRIDSEELRRNNTVEGCHRLQAQVTGNTQSKTCDLITSRILEKGKKSATIGSEIGKNKAQILYDQYTFLNENERLGGQMSYTNLMQHIIQSPRVSLQANEVSIFME >OGLUM04G20180.1 pep chromosome:ALNU02000000:4:24295219:24299728:-1 gene:OGLUM04G20180 transcript:OGLUM04G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNP0] MGRVAPSVEEVGGEQPPPALGPGETVSGTVAELRAAYESGRTRSLEWRQSQLRGLLRLLAEEEAAAFRALREDLGKHQAEAYRDEIGVLVKSANAALREVWVPLIAFPARAQLEPQPLGVILVFSCWNVPLGLSLEPLVGALAAGNAVALKPSELAPATAKFLGDNVGKYMDATAVKVIQGGPEVGEQLMEHRWDKVLFTGSPRIARVVMAAAAKHLTPVALELGGKCPCIFDTIGGSARDLQTAVNRVVGGKWSSCAGQACLAIDYVLVEERFVPVLIKALKSTLKKFFADSDHMARIVNARHFQRLSDLLKDKSVAASVLHGGTLDAKNLCIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVRARPRPLAVYAFTKNAALRRRIVEETSSGSVTFNDAVVQYGIDSLPFGGVGESGFGQYHGKYSFEMFSHKKAVLTRGYLIELTARYPPWDDSKISMMRQLYRYNYVGFVLTFLGLKK >OGLUM04G20190.1 pep chromosome:ALNU02000000:4:24311459:24312651:-1 gene:OGLUM04G20190 transcript:OGLUM04G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTGCGLFACVRRCDGGDVRKRGEAGAMSSRVAADPAGVEEEGSCKNGAAASARQLAWAEVESVTGGFSSRVIGHGGFSTVYLASLSSSRLGAVKVHCSSERLHRAFRQELEVLLSLRHPHIVRLLGYCDERDEGVLVFEYAPNGDLHERLHGSEVAGGVASVLPWARRVAIAFQVAMALEYLHESRHPAVIHGDIKASNVLLDANMNAKLCDFGFAHVGFSATVGCRPSARAVMGSPGYVDPHLIRSGVATKKSDVYSFGVLLLELVTGKEAVCRDTGRRLTAAVGPMLSEGKVADVVDRRLGGEHDGAEAAVMAELAMQCIGDSPGLRPSMADVVRALQEKTSALASAVGSRLDRKVMF >OGLUM04G20200.1 pep chromosome:ALNU02000000:4:24333264:24334013:-1 gene:OGLUM04G20200 transcript:OGLUM04G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGALPTLIARPTNHDGADAGCYVAQRPTRRNGLPRQGWGVVGPQFAVDTLSSVSFLAIRRGLWEWGRGGQPQWLVYYNERERFRMDLEALATLQLINGRGTRKKMGYGGGRLYVGLTY >OGLUM04G20210.1 pep chromosome:ALNU02000000:4:24344268:24347492:-1 gene:OGLUM04G20210 transcript:OGLUM04G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQHQQQQLPPVTSSAPAMHAFAPPVPAPPPMSVMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQSLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAAMAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVTTSTPTSTFLAMVQ >OGLUM04G20210.2 pep chromosome:ALNU02000000:4:24344268:24347492:-1 gene:OGLUM04G20210 transcript:OGLUM04G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQHQQQQLPPVTSSAPAMHAFAPPVPAPPPMSVMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQSLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAAMAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKLTGNPLAVKPEDIVRELSEQPPREFTTDETDSDDMGDDYTDDGEEGEDDGKMQYRIQFQRPNPGGANTAPPPATTPASAVTTSTPTSTFLAMVQ >OGLUM04G20210.3 pep chromosome:ALNU02000000:4:24344617:24347492:-1 gene:OGLUM04G20210 transcript:OGLUM04G20210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHQGGGSQYGAVPPDMGPFSPTHHASAPAPLPLSSRPPPAALSQPPPPQQQQQPRTSYDELAAATSAGAGGFPDDDMLGDAGGSGGGGGSGAAGNRWPREETLALIRIRSEMDATFRDATLKGPLWEEVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTELEALHAAAPQTPQPQQHQQQQLPPVTSSAPAMHAFAPPVPAPPPMSVMPPPPGPIQPAPISSAAPAVPLELPPQPPINLQSLSFSSMSGSESDDESEDDEMTAETGGSQDRLGKRKRGAGGKRLATFFEGLIKQVVDRQEEMQRRFLETMEKREAERTAREEAWRRQEVARLNREQEQLAQERAAAASRDAAIISFLQRIGGQSVQVPPAATVIQMPTPVQLQTPPPVKQPARQHQPQPTPPPPQAAPIPAAPLQQQPPQPQHKETIHHEAVTPRSAPPTSGSSLELVPAAEQHVESGLGGGEGGSASSSRWPKTEVQALIQLRMELDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDVIYRRKHLTGGGGGGASAANVAAMAIEHQNPNRHEIEGKNINDNDKRKNGGGGGAQVPTSNGDTAPTTATFDVDSGMKKVISILA >OGLUM04G20220.1 pep chromosome:ALNU02000000:4:24374557:24374895:-1 gene:OGLUM04G20220 transcript:OGLUM04G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSSDHVAEDQLAEEEGHYIYDANHLVTNSVQEEGSSGRDIVVPGNHDGEEDYPDNLDLDILVDCEVGPIPGGHLNADAAVFVPTTGGHQDLYTASAAAYRHTSPCSHSS >OGLUM04G20230.1 pep chromosome:ALNU02000000:4:24377011:24384478:1 gene:OGLUM04G20230 transcript:OGLUM04G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPLRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >OGLUM04G20230.2 pep chromosome:ALNU02000000:4:24377016:24384478:1 gene:OGLUM04G20230 transcript:OGLUM04G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >OGLUM04G20230.3 pep chromosome:ALNU02000000:4:24377011:24384478:1 gene:OGLUM04G20230 transcript:OGLUM04G20230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >OGLUM04G20230.4 pep chromosome:ALNU02000000:4:24378336:24384478:1 gene:OGLUM04G20230 transcript:OGLUM04G20230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPLRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >OGLUM04G20230.5 pep chromosome:ALNU02000000:4:24377016:24384478:1 gene:OGLUM04G20230 transcript:OGLUM04G20230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLENPTSRSSSQKSSRRSGSRRSQKSAGQQSSPTVFPEKKGKSKSSRQKHLVFDNKDSKKAKNNEQKNDVVDEKSNFSGYEIYSGKLFFDKKNRITGDQISANGKADTSDVRLTSKALIWGSSLLSLEDVISVSYSSGVQHFIVHAYPSKKYLFGKTHRVRKDLRFIAPTVEEAISWVTCFAEQNIYVNMLPLPPTSSTEQDLDGPLSGALFDHPPIKCRTPLRILVILNPRSGHGRSCKVFHDKAEPIFKLAGFHMEVVKTTHAGHAKSLASTFDFSAFPDGIVCVGGDGIVNEVFNGLLSRSDRAEAVSIPVGIIPAGSDNSLVWTVLGVKDPISASLLIVKGGFTALDILSVEWIQSGLIHFGTTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFFCLPSYFYELEYLPSSKEMTGHGKGIGQENFVSDVYTNVMRSRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTAASTEPSEYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHSSFWPRTRSKTRTERNSVGVTTNDTRLSWAAPSIHDKEDISSTISDPGPIWDSEPKWDNGPKWDTELTWESDHPIELPGPPEDNEIGPSVELVPNLDDKWVVRKGHFLGVLVCNHSCKTVQSLSSQVIAPKANHDDNTLDLLLVGGKGRWKLLKFFILLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGHVLCSLLQEQCMLIGRQSRQST >OGLUM04G20240.1 pep chromosome:ALNU02000000:4:24389951:24391806:1 gene:OGLUM04G20240 transcript:OGLUM04G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRTQLASWARIAMDRGDHHHLQQQHQFLMPPPAPVVPPQLCMPAMMADEQYMDLGGGGAAAAPGRGGAGERKRRFTEEQIRSLESMFHAHHAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRSKYDALHSRVESLKQEKLALTVQLHELRERLREREERSGNGGAATTAASSSSCNGSGSEEVDDDDDKRNAAAGCLDLEPPESCVLGGATCATPADVSVESDQCDDQLDYDEGLFPESFCATPELWEPWPLVEWNAVA >OGLUM04G20250.1 pep chromosome:ALNU02000000:4:24398478:24401490:1 gene:OGLUM04G20250 transcript:OGLUM04G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGEDGGKHRGATADEEAAATAASLNDLCATAGDAGGLPALAPFPRAAVWAVAALLAVGLGLGALVLAVVHSAALLVVAVLLSAAVVAFLLWNAAASASGRALRRFVDGLPASSLRSATDDQLVKITGLVACGDISLISSYEKVENCVYTSTLLRKCGRWGSEVANPKNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHDSRVVPLIDENLLVTTSGNTELSSTLRCWLDERNIPSEECQLIRLEEGYIAEGMQLSVIGILSKKDGDLMILPPPEPISTGCVFLSFLLPTYFDGIVLRLVDRSYFMHNSGVS >OGLUM04G20260.1 pep chromosome:ALNU02000000:4:24403105:24403734:1 gene:OGLUM04G20260 transcript:OGLUM04G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPDRRSGAATSPSLRFLGLLKQPDDGSGDHELELDERDVVWSSSSSSNTSPSSWASSTNSSPSLTPSASAGVGVRRPLSSSHAFPAAGSVGLSALLADDHAPTASIPAKARPERQQPPQLYHQSAPVAVPAWPKATDSDRRRRGVQHEALNDEEEDDDELVVPPHEMAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >OGLUM04G20270.1 pep chromosome:ALNU02000000:4:24424865:24428899:-1 gene:OGLUM04G20270 transcript:OGLUM04G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGGGDAEEGATHARGGRGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPIFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >OGLUM04G20270.2 pep chromosome:ALNU02000000:4:24424867:24428477:-1 gene:OGLUM04G20270 transcript:OGLUM04G20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRKRQPGPPRLELVVAHPREEEMAGLDGGGDAEEGATHARGGRGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIARTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPIFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >OGLUM04G20270.3 pep chromosome:ALNU02000000:4:24424867:24428477:-1 gene:OGLUM04G20270 transcript:OGLUM04G20270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQERRRKRQPGPPRLELVVAHPREEEMAGLDGGGDAEEGATHARGGRGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIAVGGQDHPPLHPSSIRRLPSGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPIFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >OGLUM04G20270.4 pep chromosome:ALNU02000000:4:24424865:24428899:-1 gene:OGLUM04G20270 transcript:OGLUM04G20270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDGGGDAEEGATHARGGRGAPPPWREQLTARGLVASLAVGAMYSVIVMKLNLTTGLVPTLNVSAALIAFVVLRGWTQALARLGFAARPFTRQENTVVQTCAVACYSIAVGGQDHPPLHPSSIRRLPSLMEIPTDWFFGSFCAGGFGSYLLGLNKRTYEMAGEDTEGNVPGSYKEPGIAWMTGFLLAVSFVGLLALVMIIDYKLTYPSGTATAVLINGFHTPHGDAMAKQQVNGFTKYFAMSFFWSFFQWFYSGGDNCGFSQFPIFGLKAWQQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGVMWPLISDLKGDWYSADIPESSMKSLQGYKAFICVALILGDGLYNFVKIVALTIKNLFDSSKLKNAKKGEDMPVLDELHRNEVFTRDNIPSWLAFSGYLGLTFIAVIAIPMMFHEMKWYYVVIAYLLAPALGFCNAYGAGLTDINMAYNYGKIALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTVMGCVISPLTFFLFYNAFDIGNPEGYWKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFGFAVAANLMRDLCPPKYGRWVPLPMAMGVPFLVGASFAIDMCIGSLIVFTWHIIDKSKAALMVPAVASGLICGDGLWIFPASLLALAKISPPMCMAFRSTN >OGLUM04G20280.1 pep chromosome:ALNU02000000:4:24433851:24434066:-1 gene:OGLUM04G20280 transcript:OGLUM04G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLAVRLLLPGLLIGLAVVALILPVLLLLLMVLVMVVRVRQLTGGTGAADLVVELAERLCGEHADVAVL >OGLUM04G20290.1 pep chromosome:ALNU02000000:4:24436796:24451781:1 gene:OGLUM04G20290 transcript:OGLUM04G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGAGVAVINARGVALAPGGPDGALFSWASGLPRLAHGPAVVHEFGSRGSHVVKIGGWAPVNPPKPIGLHPWLGETAERTLPRHVTTYPEAVPVSMDSITARRWVQWGESVTPTTVSGGGAAKITQSPRANCVTCCGGRGNSEAPTVIRVEV >OGLUM04G20300.1 pep chromosome:ALNU02000000:4:24450951:24452576:-1 gene:OGLUM04G20300 transcript:OGLUM04G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHRPPSISLTGALLAKPLHHRPLPLVAGASYCRRRSGLLPKTLTLSTGNHPPRVRVAREHVFPPTSQPLNHRSTGAPPLPPLNSLGNRKEEENGRKKKEEEREKKRKRKTDRVYFGWQPVIFQGSDNQVAYLGFICVGARQVIIPPL >OGLUM04G20310.1 pep chromosome:ALNU02000000:4:24452508:24453862:1 gene:OGLUM04G20310 transcript:OGLUM04G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGLGQQRSGKGDRRWPVVARHNRRERARGARLICGEKGRAGLLFIGVGRRGEDGVGLGGGDVRRKTTAPAVAYDVGGDALAATAGRWRSAELQAEPCTAVVAARDSGGGAHRTGRREERHGWSLVGPTGPRAKVGLAQQGRLQLLEKPENAADDTKQQQQ >OGLUM04G20320.1 pep chromosome:ALNU02000000:4:24459388:24463209:-1 gene:OGLUM04G20320 transcript:OGLUM04G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSAREEEEEDEVAAGAGSKRQPLVALGKKNRTSLTDPAIFVAVRSPCTAPLKILPPFSFLYFSPASTRVLDTSCRGVSRAASLVQSFPAVDAYLIDPPAASSRSHPRCGGVRLIVVSERCCCFRREDSTAAAATGAAADVWELELLVPRGGASSGAAGSMDRHALGGGGALEIEKTPEAAEDMESEPALAAAREAERVPPWREQVTARGMVAALLIGVVYTVIVMKLSLTTGLIPTLNVSAALLAFLALRGWTHALDRLGIASRPFTRQENTVIQTCAVACYTIGYGGGFGSFLLGLNKKTYELSGASTPGNVPGSYKEPGIGWMTGFLLSTSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQVRGFLRYFGISFLWSFFQWFYTGGDVCGFLQFPTFGLKAWKHTFFFDFSLTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIGKQKGNWYSAKASESSMSGLFGYKSFICIALLVGDGFYNFVKVIVVTLKSVRERSRRRGLNNRVADADTMAIDDMQRNEVFNRDNIPTWMAYTGYTLLSVIAVVLIPVMFRQVKWYYVIIAYLLAPALGFCNAYGTGLTDMNMGYNYGKIALFIFAAWAGKDDGVVAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQVVGTLMGCVVAPLTFFLFYKAFDVGDPNGYWKAPYALIYRNMAIIGVEGFSALPRHCMQLCAGFFAFAVLANLARDFLPRRYGRYMPLPMAMAVPFLVGASFAIDMCAGSLVVFLWHRFDGKRAALLVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFIPGN >OGLUM04G20330.1 pep chromosome:ALNU02000000:4:24466130:24473212:1 gene:OGLUM04G20330 transcript:OGLUM04G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Endoribonuclease XendoU (InterPro:IPR018998); Has 943 Blast hits to 770 proteins in 162 species: Archae - 0; Bacteria - 61; Metazoa - 472; Fungi - 40; Plants - 78; Viruses - 35; Other Eukaryotes - 257 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G17100) TAIR;Acc:AT4G17100] MAITHITCRSSLNHSIIPPPLLLLLIQPIRSPTPLFASPIAPWPRAKPEGGERRGSSVAMDGLIKGLINVAIDAVEGAGRGERGGEDDAPRRHRPAREEEEGRGDERSRSTWAEVVSDHKGGEAEERPDHRNSRRDGRQERREDGDWERVDGRKQHQHNQYEEEYRRDSSSRRPQQHQQAPAYRRQQQDGDERNDGGWQTVGEKKHHGRPQQSEAWNAYRRPPSEQQYCEDAGQIHHGLNVEPTREELNSLSRACSRLWELDMNRLTPGKDYRIECGEGKKVYQKGDMASETLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVLKGVASQDYEDFKRMLTSLWFDLYGRGGSSSSSSAFEHVFVGEIKGRRGQGENEFYLEEANGNVDYQGYIFPRRRGESPDSETQLLTIQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFMGGEDNRVEIGPYGVNIKCYRMGNSKIGSAFPIADN >OGLUM04G20330.2 pep chromosome:ALNU02000000:4:24471282:24473408:1 gene:OGLUM04G20330 transcript:OGLUM04G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Endoribonuclease XendoU (InterPro:IPR018998); Has 943 Blast hits to 770 proteins in 162 species: Archae - 0; Bacteria - 61; Metazoa - 472; Fungi - 40; Plants - 78; Viruses - 35; Other Eukaryotes - 257 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G17100) TAIR;Acc:AT4G17100] MSSGLKSPVAPRSSRRASSRGAPCLASYGSGVLTAEELADGLVLAHDDVHVLGAAAGTDTFEHASVETAAAALGEGGAGAGAGAATSGRLLLLRLSWKRHMQPISWRKKPGCYHNSRYFKNSEEKAKSKENTVEGRTNRSEKKNPAKPDFCQMEEKSNSRQLPITRNSMAADAQEETCLQMAESFDTTVMDHELCTAYRDPADRLRRIKVLNHGSILMWKRIFTILEVPRGTRY >OGLUM04G20340.1 pep chromosome:ALNU02000000:4:24469948:24471592:-1 gene:OGLUM04G20340 transcript:OGLUM04G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMCLFQDKRRSKRRPEVAAPAPAPAPPSPSAAAAVSTDACSNVSVPAAAPSTCTSSWASTRPSASSSAVSTPEPYEARQGAPRELALRELRGATGDFSPLLMVGRGGFGCVYRGVLRLPGAPPHGTPVAVKRLNPDSRQARTHMTTRSRLISTASAICDDSIGHKEWLAEVQLLGVVEHPNLVKLLGYCAAQTERGPQRLLVYEFVPNKTLDDHLFDRSHPVLPWGVRLQIALGAAEGLLYLHEGLEFQIIYRDFKAANVLLDDEFRPKLSDFGLAREGPSEGQTHVSTAVMGTYGYAAPDYVRTGHLTTKSDVWSFGVVLYEILAGRRSIDKSRPKDEQKLLEWVRRHPAGSPRFGRIMDGRLQGRYSVRAAREVAELAAGCLAKHGKDRPAMAEVVERLRRATRHAELDGEVYDDAGEESSSSPAAAAVEDDVAVAAAAARRRMLHLAALGENASASAHARRRLMLMRAAAAATAAT >OGLUM04G20350.1 pep chromosome:ALNU02000000:4:24475543:24482237:1 gene:OGLUM04G20350 transcript:OGLUM04G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGATAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPPVRSRPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSKATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >OGLUM04G20350.2 pep chromosome:ALNU02000000:4:24475548:24482237:1 gene:OGLUM04G20350 transcript:OGLUM04G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGATAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPPVRSRPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSKATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >OGLUM04G20350.3 pep chromosome:ALNU02000000:4:24475543:24481670:1 gene:OGLUM04G20350 transcript:OGLUM04G20350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G44710) TAIR;Acc:AT2G44710] MPPKARRGATAAGRKGPGTRGRLVKAQAAVEEVPAEEVKAAEEAPKVEEQKRQPSPPLQQPAAEEKASSDAAANGASHGEDEGTTKETYEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEVVEYTEDVIEEETDMVDEELDGGDDGEGEGYENAEEEHNVDVEDEEHHEMVKEHRKRKEFEVFVGGLDKDATESDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATVEQARRAVSELKNPSVGLKIWIFYIMVRGKQCGVAPSHDNDTLFVGNICKTWTKEHLKEKLKSYGVENFDDLLLVEDSNNPGMNRGYALLEFSTRPEAMDAFRRLQKRDVVFGVDRSAKVSFADSYPEVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKKYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACADGITNSEIGEGDSKAKVRARLSRPLQRPPRMKHGLRGNFRVGHGAPRGGRFAYDRPPPLRRPPPRLLRPDVSRLPPPVRSRPLKRPVDIRDRRPVMSIPDRVRRLPPPERSYDRRPPAPVYPKRSPRREYGRRDELPPPRSKATFGDYSSRVPVDRRPYRDDYSPRGSAYSDLGPRSAPRLSDRRAYIDDGYGGKIDRPLPTYREGRGRDYDTMSGSKRSYAEMPTKTLLLLCQDDVPPRYHDISVRQSKARLDYDVGGSSARLGRSHAGYSGGRSVSGHDPVYSSGRHGMSYGGSASSNDAGGMYSSNFSGSYMSRGSDVGGSSYSSLYSGRNVGSSSGYYGGSGSSSYY >OGLUM04G20360.1 pep chromosome:ALNU02000000:4:24485610:24486239:1 gene:OGLUM04G20360 transcript:OGLUM04G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPINAAAAAAAAARRVPPPCWTPDETLALARAYTARRLAVGRAHLTSADWAAVADAATPTKTARQCRHKVEKLRRRLRSKRRRPCPLLDAIDLLDGPSPSASPSQSPSPPPPASPPPLPPAPSSPPPKKRRLPDADADADAEDDGESDVVKALRAIGEGFLRAEQRRMEAARKTQQMRMEMALRHLDSQRRLMEALVDRIIDSLE >OGLUM04G20370.1 pep chromosome:ALNU02000000:4:24489572:24492970:-1 gene:OGLUM04G20370 transcript:OGLUM04G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDVLLSIAQTPHRLRRRALVTWTPAQETSEVRDRSGARMKRRLEWYDLVGLGVGGMLGAGVFVTTGRVARDTAGPAVFASYVIAGVSALLSSFCYAEFSVRVPAAGGAFSYLRVTFGELVGFFGGANILMEYVLSNAAVARSFTDYLASTCGITEPDAWRIQVDGIAKGYNALDFPAVALILVLTLCLCYSTKESAMLNMVITVFHLLFFVFIILAGLWNGSARNLVSPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLALCAMLPYTEIAESAPFSAVFREKAGWEWAGSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPAWLAKVHPSTGTPMNATIFLDRANGSIKYTNNFTEVKVLTTVSDHENCNAGLCTASIALFTELQVVFEMISIGTLLVFYLVANALIYHRYAKLGANRSLHVLLFLLLLTLSSLGFSLSRRIHGQCRWGMALFGATSVTITAMFHCAVRRDMPEPPSEWMVPLMPWPAAASVFLNVFLMTTLKVMSFQRFGLWSFVIIVFYVCYGVHSTYSAEENEAVNAMIHHANMDIS >OGLUM04G20380.1 pep chromosome:ALNU02000000:4:24495644:24501603:1 gene:OGLUM04G20380 transcript:OGLUM04G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MRGQDVILFLIEKNNFSPPFFPFSATASFVLRCGSRQSHGGSKTRKKWGARGEGRRRDSLFLLLLLPTLVVSLQDGKRKQPWRSRPSGPMVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPSASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVSNTNSTDGSSNCQDPDVFIRSLVQGKVIVCMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDHSSSDSDIEYYPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >OGLUM04G20380.2 pep chromosome:ALNU02000000:4:24496809:24501603:1 gene:OGLUM04G20380 transcript:OGLUM04G20380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PA-domain containing subtilase family protein [Source:Projected from Arabidopsis thaliana (AT1G62340) TAIR;Acc:AT1G62340] MGSSRRGEEKGLPVPPPPPPNPGGVTSRRQKEAAMEIEAVGADVFYSVLTFLILNGGPSHVLAKVFMVVMEDDPIISPKSSQKKVMRGEEAQKYKAMTTTKHDIFLDSFLPAGSYKKLYSYTHLLNGFAIYAKSEKAIKTLSGAKGVRLIQEDIKMAKMTTYTPRYIGANVVWPLLGGAEKAGDGVVIGMVDTGIDPSNPSFLSTSDQAKPPSASFKGTCQTGERFPPDSCNGKIVGARWFARAGQATGEFNATMHYASPYDPDGHGSHTASTAAGNFHTPAISKGYNFGHASGVAPGAHLAIYKAAYSFGGYMSDVIAAVDKAVEDGVDIISLSLGPTTITSGPASFLNLLETQLLLATKAGISVVQAVGNGGPDANSVVSFSPWITSVGASTTDRKYNKSIIIGNGQVFSCGGLSPSTPGETMYPLALADDVSNTNSTDGSSNCQDPDVFIRSLVQGKVIVCMFVSSNYYEGDFLAGIVDTIQKIGAAGVIITDHSSSDSDIEYYPTFPTSIPSAIVVNSADAQALLEYYDDNLVRDKEGSVTKFGATIRILDGRRAIYTREAPVVAEYSSRGPDVDDMQMQAADVLKPNVMAPGHHIWGAWSPTSDAMVEFQGESYAMLSGTSMATPHVAGVVALIRQRHPKWSPAMVMSAIMTTADVTDRSGRPLMARRDGGVLERATPFDMGAGAINAARAVDPGLVFDAGYRDYLQFLCAVPGVDDAAVLRAVGVPCPPSRARWCSDLNAPSVTVASLVGSRRVDRRVTSVGAENETYMAHVQAPDGVAVRVSPDTFAVAPGATATLRIVLNTTAPGNTFSFGEVVLRGDKKHTVRIPLAVFPAAALSP >OGLUM04G20390.1 pep chromosome:ALNU02000000:4:24507392:24512334:1 gene:OGLUM04G20390 transcript:OGLUM04G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNS4] MNALAATSRNFRQAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAIPYGGAKGGIGCAPGELSTSELERLTRVFTQKIHDLIGAHTDVPAPDMGTNSQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEHGKSISGSTFVIQGFGNVGSWAARIIHEKGGKVIALGDVTGSIRNKNGLDIPALMKHRNEGGALKDFHDAEVMDSSELLVHECDVLIPCALGGVLNRENAPDVKAKFIIEAANHPTDPEADEILAKKGVTILPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNMELHKYMNNSFQHIKAMCKSHDCNLRMGAFTLGVNRVARATLLRGWEA >OGLUM04G20400.1 pep chromosome:ALNU02000000:4:24513480:24514735:1 gene:OGLUM04G20400 transcript:OGLUM04G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINLRLVSHNIRSCRLRAFALPGLRANAANSFLGCLSHMVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHSKRATISSTQHCLHLILRLRPLSSSYLCCSNTRKQ >OGLUM04G20400.2 pep chromosome:ALNU02000000:4:24512663:24515088:1 gene:OGLUM04G20400 transcript:OGLUM04G20400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGPPCILFTKLNYIISSVQFFLFAHVLISRCSFYQQVCESSAWLIRLAEDMLIHRFAASTHCLHFGSDLNIGDHHICEVTRMLVVFTFCCWFALLLIYLL >OGLUM04G20410.1 pep chromosome:ALNU02000000:4:24515593:24516039:1 gene:OGLUM04G20410 transcript:OGLUM04G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRGHQPGRGRGRAAPPGWTGDAGSGQGSGPDGSWRYGWGWASGPGGGWGYGHSSAQSPGGTAFGFGFGGGGGGGGGGVGGRGGSSGRGGHGGGFGWAGGQGHGGWGAGAGAFGGGSGSGGGGGGWSARGGFHGGDSHRPQRGGGN >OGLUM04G20420.1 pep chromosome:ALNU02000000:4:24518508:24521033:-1 gene:OGLUM04G20420 transcript:OGLUM04G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPEVVVPPRAAGPRRYKGLVPWRFQPGFVRPPPVKPPAAAAAVAGGGVAGTPGGKGRGLGASGEGVGSSGGRGDPQSRRCTRSASAKGSGDARSVEEGGPRVAGDDGGSGKSGVAAEGSGFEGLRNGRGGGVGTAAAEDCGLEKSNADGIVGDADVHLESGSDARDGECVSEGLKKPCVNNSNGSSAADCAPKVKKGNDSGDGGADECNAAAKSSNLACPGNNDDETNRKGRKVVLPWRFQVGFKRSFSKAFCSDSEPSGPSGTQFYRAQDSSTPCTPATRSSVRCYASAHSGVRVSAMRDFSVKGEKETSTPYKKSKTGMDGPSQGMPKNGVVLARENIMGSLQNFRLIYRDLLDEEEEKSTEAVIRPDLQAYRIFRERFITDCDEKKYIGNVPGIKVGDIFHLRVELCVVGLHRPHRVGVDHIKQEDGTCIAVSIVSYAQSSDIKNNLDVLVYSGAMTAIANQKIEGTNLALKKSMDTNTPVRVIHGFVTHLNGNCQRKKIPTYIYGGLYIVEKYWREKEGNDRYVYMFRLRRMAGQKHIDIQDILNSGQAESYGGIIIKDISRGLEKIPVSVVNSICDEYPMPYRYIAHLQYPRNYQPAPPAGCGCVGGCSDSKRCACAVKNGGEIPFNDKGRILEAKPLVYECGPSCKCPPTCHNRVGQHGLRFRLQVFKTKLMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDEALWEGLSRSIPSLQKGPDKDEEAGFAVDASKMGNFAKFINHSCTPNLYAQNVLYDHDDKSVPHIMFFACEDIPPRQELSYHYNYTIDQVHDANGNIKKKKCLCGSIECDGWLY >OGLUM04G20430.1 pep chromosome:ALNU02000000:4:24526415:24528416:-1 gene:OGLUM04G20430 transcript:OGLUM04G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDLADMPRVVGVLAALLERVTERNDAAAAELELAVAGAPAASVFRATTKPDITVRAYMARIARFAGCSPACYVVAYIYLDRLLRRRRRACAFSVDSYSVHRLLITAVLAAVKFMDDICYNNAYFAKVGGVSLPEMNYLEVDFLFGVGFDLNVSPETFGHYCAVLQSEMLCLELEPPPSPSPAPAARLHCFLSEDDTSSSGSTQHQLAA >OGLUM04G20440.1 pep chromosome:ALNU02000000:4:24530830:24533406:-1 gene:OGLUM04G20440 transcript:OGLUM04G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G02150) TAIR;Acc:AT1G02150] MRRQRGVGPDSRGFARTNLNAPAPLPPPLLSPPEQRAPPRRTSTRALPSPLPPPPPPATPAMLLHILGSSTLAPARPSPLRQSGAGTGAATVRCASSSSNPSAAAAAAGKQVAKVHSYGALDYERRAALRWSSLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIARELRKFRRFNLALQVYDWMTERRDRFSLSSSDMAIQLDLIAKVRGVSHAEEYFEELPDPLKDKRTYGSLLNVYAQAMMKEKTESTFEQMRKKGFATDTLPFNVLMNFYVDAEEAEKVSILIDEMMERNVAFDVCTYNIWIKSCAAMQDADAMEQVFNQMIHDETVVANWTTYTTLASMHIKLGNSEKAEESLKEAEKRTTGREKKCFHYLMTLYSHLGKKEEVYRVWNWYKATFPTIHNLGYQEVLSALVRLGDIKGAELLYEEWASKSSSFDPKTMNILLAWYAREGFVTKAEQTLNRFVEKGGNPKPNTWEILGTAYLKDGQSSEALSCLEKATAVASPSKWRPRPTNVESLLANFKEKNDAESADRLMNVLRSRRCEENEEYKSLINTYAFQDT >OGLUM04G20450.1 pep chromosome:ALNU02000000:4:24535007:24538403:-1 gene:OGLUM04G20450 transcript:OGLUM04G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLTNYTPMHARDDIDAEEPRASKLKPPTLKLKEQKQPKKNPSHITMENGPFSDQNFRKMGDPDLSNRSGSGSALSYSESCAPYGTADASEMTASAQSHAWESLVPSKRRSCVTRPKPSQMEKLAKDLNSIMHEEQLLYLSGSSEEDLIYHSATPVDSFEMGYGSMLLRPNSKSLEEESEASSIPADNKSYITSESYSGSVSFVYSESKATSNQNVITEQPKKFLVQTSDNARRANLHTENQDTLENANSPLVSLHMEGKDSEETRVKTSASNLLTKSTMNPLKRPHDTHFQSSVELRGTMRSPKRVSKYGDAMGLKCQALFMPKPGNGKDLACSDRALNLFMLPPDKLTMLVPPQYANNDSDQDLLLDVPLNARHPEAELLCQPSQLSSVAHSSTSEGGNAGGEGRLKQP >OGLUM04G20460.1 pep chromosome:ALNU02000000:4:24550059:24557008:-1 gene:OGLUM04G20460 transcript:OGLUM04G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of asparagine-linked glycosylation 12 [Source:Projected from Arabidopsis thaliana (AT1G02145) TAIR;Acc:AT1G02145] MAPPRPSPAGRLLREYGWDLLLGSIAAFYAVMVPYTKVEESFNVQAMHDILYHNYHIEKYDHLEFPGVVPRSFIVRIVLGSIILMTLRLLRVQVKRKFGHHAEAFYLILTATQFHLLFYSTRPLPNVLALAFVNLTYYFWFKGNHRRTLQALIVAAVIFRCDMILLLGTIGLALLLVGALLDRRIVPYILPVFSFVVLYSKLPHKKTGWKLLYVLMIGGFLSSLGYSGVTFMASYNNYPGGYALKALHEADSVMKDKIVHIDAFTAMSGVSRFCESEYPWSEHRHISGYKCLFAVDGFSRAKIQPRIPPLSLVKEPKVFAHGNTRDPDILSLNWPGCP >OGLUM04G20470.1 pep chromosome:ALNU02000000:4:24558634:24564909:-1 gene:OGLUM04G20470 transcript:OGLUM04G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQLETACLPAALYAPLCPYTPPSPPSFLAPLPSLQHKLPQLPQLVHDHAAASGTNHGVMFSSDHGCLYPLLPGIPFCLDSGCGAAACDDDKPAGFAHLGSAEADTSAAAAARVDSEIAAAATATTCHGPNSWWKGTEKGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDATTGAAGDHTASCAFTSF >OGLUM04G20480.1 pep chromosome:ALNU02000000:4:24579181:24582070:-1 gene:OGLUM04G20480 transcript:OGLUM04G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNAGSFVAMRRLSGGSSCHDPSPNTYAEVVAGSTAWIGRGFSCVCAQRRDSDQRISFDLSPAQECCLQRLQNRIEVPYDGSNGEHQEALKTLWHVSFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGFISLENLLYFAKNYTKSFQELLCKQNGDRALWEYPFAVAGVNITFMLIQMLDLQAENDRAFDILYCITFKLMDHKWLEMHANYMDFNAVIKSTRRQLERELLLEDIQRIEDMPSYRFLDR >OGLUM04G20490.1 pep chromosome:ALNU02000000:4:24584022:24588607:-1 gene:OGLUM04G20490 transcript:OGLUM04G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT2G44760) TAIR;Acc:AT2G44760] MQPPCLGACSGGLALPVHRYHRLSSASRATVSCAAAAGGGKASPRGKENVWSVDNDRAAAAAAEXXXXRRGGEPGPETPPPEAPRRATPPAAAAPGEEEGEGCGGLEGSGLRSHARGVLQTQEPVIKPSWDTFASSLSGVWRGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKHADNNYGSVIRRKTNWVQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDSIAQEPGIDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDTPVDVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSFFFGEEEILDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >OGLUM04G20490.2 pep chromosome:ALNU02000000:4:24584022:24588607:-1 gene:OGLUM04G20490 transcript:OGLUM04G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF3598) [Source:Projected from Arabidopsis thaliana (AT2G44760) TAIR;Acc:AT2G44760] MQPPCLGACSGGLALPVHRYHRLSSASRATVSCAAAAGGGKASPRGKENVWSVDNDRAAAAAAEXXXXRRGGEPGPETPPPEAPRRATPPAAAAPGEEEGEGCGGLEGSGLRSHARGGRDSAPNPGWYAILREPVIKPSWDTFASSLSGVWRGVGAVFSPITAEMEPVGVGSKQEYLYDCYTLSHIEKHADNNYGSVIRRKTNWVQLNPHGEAEKQSAGYDSGDQYNYSDKRTLDLPAHESFDLKKSDVLDEDSIAQEPGIDGSYSRGPVDLAIGEFDESKYFISPTYKFEQCLVKGCHKRLRIVHTIELNEGGANIQIVRIAVYEEKWVSPAHIHVEDDTPVDVKPLSQRKRTKPSDLTGSWKVYEVSATPIFSEERQEIEGGALFVYLCMETVKKRSLPESSFFFGEEEILDMQDVTMLWLPGGVTAYVDVDKDGILCIGVGWYSDEGINMVMERDYGTDGKLRDVRWKTEVKRRWNQPVLP >OGLUM04G20500.1 pep chromosome:ALNU02000000:4:24591845:24592747:-1 gene:OGLUM04G20500 transcript:OGLUM04G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGARLAADSSSDAIAGASEGPGDSEPRGDSSGAIRGDSSGAKMGAGVGATIGAGAGDTGAGAGDTGAGAGDTGAGAGAKVGVATGARTGAASSGAGADVGGAVAGGSAAGGVARGGEATGAATVGGVARGGAATAKVGAETGGEEVAVGGDAAASCGAAAAVVGGAAAETCGAEAALVGAAAETRGAEAALVGAAAETFGAWAALVGAAAETCGAEAALVGAAADDTCGAEAAVVGAAAETCGDEAADACGVAALSGGPFDADGDEAGDCAAQETSSKQSARGRRSAIAASLSNQRLS >OGLUM04G20510.1 pep chromosome:ALNU02000000:4:24594075:24594661:-1 gene:OGLUM04G20510 transcript:OGLUM04G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G07475) TAIR;Acc:AT5G07475] MAQSCLALAVCVLLVHGGAARVAEAASYNVGNSAGWDISADFPSWLDGKSFFVGDTLVFQYSKYHTLSEVDEAGYRNCSTASAVLSSSDGNTTVALTAPGDRYFVCGNELHCLGGMRLHVPVSEPASPGGAGATPASPGGGGALSPGAAGDAGVPTLDLGG >OGLUM04G20520.1 pep chromosome:ALNU02000000:4:24598142:24599336:-1 gene:OGLUM04G20520 transcript:OGLUM04G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLPGVLVAVLLAAAAAPASAKDYTVGDSSGWTTGVDYTAWARGKTFNIGDTLLFQYTSAGHSVVEVSEADHTSCSAANPLRSYKDGTTIVTLTRPGTRYFICGSTGHCGAGMKLTVTVASLSGSATGGTRLAKPSSSDADPTTTTTTRTSSATGGATGSWAPRTATWLLFFAAVGALL >OGLUM04G20530.1 pep chromosome:ALNU02000000:4:24600788:24602071:-1 gene:OGLUM04G20530 transcript:OGLUM04G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMTTTMIHVESMQTAVPTRITGAGRTLPVAVSGGEAPPPLTAASLQRRFRAVLYYRGVEQLQAEEEEEERAVWVKESLSASLADHPEMAGRLRRRDDDDGGVRGPWEVRLNDNGVRLVQASVDMPMSAFLEAKDLARREAALALWTDVDVHEPEFCAPFFMQLTRFQDGGYAIGASCSLLLADPLSLVDFLKAWARTHAEMRARGKPVAPPAVIQYARYLQSPGAAAAVVRRLKSVPLDSCSAAAATTVLFRAAAGAQVDRHALAAACVDQAVETLGGNARKPPRLTVLAAGGSGELLVEACGCGDGEETTTPPPPSRGHHALRAAYWGDLGLGEIALDGSEPVHVSCTVVSPCADEGLVVVMAPAGGAELLISVTVPNY >OGLUM04G20540.1 pep chromosome:ALNU02000000:4:24607140:24607864:-1 gene:OGLUM04G20540 transcript:OGLUM04G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAPRCLCPLVSKCGFPFDRFGGAGFLELERGYQPWVIPKSEARGGAGHAVKKVKRWLRKMDEQMDYEFYDWNLRSYRFKSPFDRRPLVGPRERCRKNAAKRTLRLVGLTDPDYLLQCEDAAFGDWEDSCEDEDEVFEW >OGLUM04G20550.1 pep chromosome:ALNU02000000:4:24608540:24609760:1 gene:OGLUM04G20550 transcript:OGLUM04G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G58520) TAIR;Acc:AT3G58520] MQKVRLKWVKNRGLDHIIARTTSIRASCLLLDHLARLPSSSPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAETLHPRFPTLPSFSLTPASDILLGRLARASALDSHLRLARLLLLTRSKSLPLASVLPLRFDLGLPYNFAAAFPVAHPDLFAVSNNHISLSATASGLPEGIAISSLQRRHAEAIEGATYRALSRPPSSSIAPLAFPMRFPRGYGGMKKVKAWMDEFHRLPYISPYDDASGIDPDSDIYEKRNIGLLHELLGLMVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVFYVMRTGVLFRGKGLSKLVLDEDGDEEVVMDGDEEFHREGMDEDADVECFGMDIVDNEDNTDDEDNERDMYD >OGLUM04G20560.1 pep chromosome:ALNU02000000:4:24613692:24616281:1 gene:OGLUM04G20560 transcript:OGLUM04G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIQETQASGDGAGEDERWRDGGRRDVLGQRNGVARIFSFDLGMQRKVEGDGFSRQKSMYNCAGTFVSSLFSHRRVAV >OGLUM04G20570.1 pep chromosome:ALNU02000000:4:24616946:24620914:1 gene:OGLUM04G20570 transcript:OGLUM04G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44830) TAIR;Acc:AT2G44830] MEIVDKIAEPKEPLMVTGRKVQSLEAPIPIKASWKGKSSQQQQQQQDEKDFPADGEESFLSLDSSDEGGRSSFSGASHPLEPIDMDLMKTVYVAIDEEKSEQPVCLVRGVSAKGPFIDDLSICVTGMKANAVVGAGGADGLAEEMKVPGAAVPSLATARSSQATEAVSLPPDSEEKDCVWDASLPPSGNVSPHSSIDSMGVVTAMNTMSSCTSTYKSEAVSSEPVPTMERNCGSVKGSVRGDSLESAKTSMSRASDSSGVSDDSSWSNITGGASKPHKGNDPRCKAIHAVRTRDGVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQAGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRASAFDSDPRRAGGSFCVQPVCMEPTSVCIQPACFMPKLFGQKSKKKTKKTRSELGPSATTMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPESPSTSYASRDLIKGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPDVPRPVEAELPVKYGVAEAIGSNSKRMVGADMKSGGKYLDFEFF >OGLUM04G20580.1 pep chromosome:ALNU02000000:4:24621631:24625093:1 gene:OGLUM04G20580 transcript:OGLUM04G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNU5] MGKRWLPLEANPEVMNQFMRGLGVPAEAGFCDVYGLDDEMLAMVPQPVLAVILLYPQVIPRCPIGGGILDCLTATDYFFPLTFDCEQDRKKESVASPSSTVESKKLSKNVYFTKQTIGNACGTVGIIHAIGNALSRIKLVEGSYFDRFYKQTADMDPAQRASFLEEDEEMEKAHSVAVSAGDTEAKDGVIEHYVCFSCVDDEIFELDGGNSQPISHGPSSPDSLLQDAAKVIKARIAQHPGSLNFNVMALSKQ >OGLUM04G20590.1 pep chromosome:ALNU02000000:4:24625962:24626408:-1 gene:OGLUM04G20590 transcript:OGLUM04G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNU6] MADQHRGVIGGGGYGDRGGQGQQEKQPFMMTALKTVTAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAIALALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKEAAQHRIDQAQAS >OGLUM04G20600.1 pep chromosome:ALNU02000000:4:24631956:24632909:-1 gene:OGLUM04G20600 transcript:OGLUM04G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVLRNHPEASVLDTIRQHLLEEPRGGGGGEAAEASFGSLVADMWSDSLPFRDDDADDMVVFGAMRDAFSCGWLPDGVFAEVKLEPLLSPDSSSYDGSSCCFGFADVSEPVTPSDAASGAAEAAAAAAAATAEHGKEEEAAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAAAAAAGDKRPSPEPATSESSFSSSSSCTTTTTSSSTSSSGSPKRRKRGEAAAASMSMPLVPPPSQLNWPVQAWYPAAAPVEQVAITPRVEQLVI >OGLUM04G20610.1 pep chromosome:ALNU02000000:4:24658582:24668551:1 gene:OGLUM04G20610 transcript:OGLUM04G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHHGDADDFALEFIREHLLGVDGATATATEPADLEVVEPAAAYPPMSWQEQRQQEQHGCHVELTHEHLESAPAAEAAAAFRTAPAQPAAEVMIKFGGEPSPVRPSSSLTISLPPSSFGSWASAAAPAAAAVEDFRKYRGVRQRPWGKFAAEIRDPKRRGSRVWLGTYDTPVEAARAYDRAAFRMRGAKAILNFPNEVGTRGAELWAPPPPPPAHSAAASTTNKRKRQPSEDPDDGVEVIGVVSKAVKTEAPTSNSSSLSSSLTSRDTTPATSSAGAEHAGAAAESSPATPSSWSWEQYWEALLGGLPPLSPLSPHPALGFPQLTVN >OGLUM04G20620.1 pep chromosome:ALNU02000000:4:24681138:24684190:1 gene:OGLUM04G20620 transcript:OGLUM04G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 8 [Source:Projected from Arabidopsis thaliana (AT5G47240) TAIR;Acc:AT5G47240] MESSLVDTAAATLCPAAAVGGRRRAGSFLNCSCSSKEYRVSASYSIGRMLSGVRSAARKKLFRSEPADLLGISWSPDSPPSNGTDGGHHHHWWTALENNFVLESSEDEYGGVVVDADRLPSDKAAFARSLAASLSYWKSVGKKGVWLKLPVDRSEFVPIAVKEGFKYHHAEEAYVMLTYWIPHEEPCMLPANASHQVGVGGFVINDQMEVLVVQEKYCGSSLDGAWKLPTGFILASEEIFTGATREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPTSNNIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTAHNVISRFDGRRSTLYYNVSEPEDVNCNAS >OGLUM04G20630.1 pep chromosome:ALNU02000000:4:24684842:24688632:1 gene:OGLUM04G20630 transcript:OGLUM04G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQNGGGDGSGSGAASVVVNVYDLTPMNNYLYWFGLGIFHSGIEVHGVEYGFGAHEFPTSGVFEVEPKNCPGFVYRRSVRMGTTGMSRAEFRSFIEKLTGKYNGNSYHLISKNCNHFTDDVSKNLTGKPIPGWVNRLARVGSFFNYLLPKSIQVSAVRHVPTHPAFSDDDMDSRSCSISGDSDVDELDQHLLSATTIELHSIEHQS >OGLUM04G20640.1 pep chromosome:ALNU02000000:4:24689326:24691965:-1 gene:OGLUM04G20640 transcript:OGLUM04G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMIAVTLSAKVAAALSGPAAVRLSSLAGVRSGIEAAARDLELLRAFLRFVDSLHGSDPLADAWVDQVRDVAFDLEDAADEYAFLSGHGFFRHGANLGAWFALSRRLWRTRERLRELSAAKEQLGIRPAEVSASSSGGAGGLSAAMIGRKIAEASHFVEEGEIVGFAMHERLLMKWLTGDTDPRQLLIAVCGMGGVGKTTLVTNVYKKVAATCHFDCAAWVAVSKSFTTDDLLRRIAKEFHRDNRGGVPWDVDNMDYRSLVEALRGHLAKKRYLLLLDDVWDAHAWYDIRKAFVDDGTKSRIIITTRSQDIASLASSNRIIRLEPLSEQEAWSLFCNTTFREDADRECPYYLRHWASKILDRCCGLPLAIVSVGNLLVLKDRTEFAWKSVYDSLVWYESSDHGIGQVSSILNLSFDDLPYHLKKCFLYCSIYPEDFMIKRKILIRKWIAEGLIKEKGQCTMEEVADDYLNQLVQRSLLQVAVQNEFGRVKRCCIHDLIREMIVHRSTKERFFVFSKSTVTLESSQKARHLILDRCRSDHSSAPKMNSLRSFHAFQADLDASLLSCFRLLTVLNLWFIQIHKLPSEVASLLNLRYLGIRSTLIEELPQELGQLHNLQTLDAKWSMVERLPKSITKLKNLRHLILFSRQSPDFTLAFPGTAVAVPEGLENMTCLQTLKYVKADEKMIRSLGSLRQMRSLELFGVNERNLIHLPSSISKMSCLLSLGIISQDANVKLDLEPFYPPPFKLQKLALEGMLVRGSLPSWFDSLNNLMQLRLHSSDLKEDSIELLSYLPRLLHLSLINAYNGKSLTFADGYFPVLKKLRLHGLANLSHLEFQKGSLVDLRVLMLGRCAHLTEIPQKVLRTSYILRAWIFLNCQVR >OGLUM04G20650.1 pep chromosome:ALNU02000000:4:24691385:24692427:1 gene:OGLUM04G20650 transcript:OGLUM04G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTAISSCRGSVSPVSHFISNLSCIAKPTISPSSTKCDASAIFRPIIAADKPPAPPELEAETSAGRIPSCSFAAESSRSLSRVLHRRLESANQAPKLAPWRKKPWPERKAYSSAASSRSKATSRTWSTQASASGSLPWRESTKRRKARRSSRSRAAASMPERTPAREESLTAAGPDSAAATFAESVTAIISAMASVASRSFDMAGWVRKGGE >OGLUM04G20660.1 pep chromosome:ALNU02000000:4:24699084:24714931:1 gene:OGLUM04G20660 transcript:OGLUM04G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06530) TAIR;Acc:AT3G06530] MASIASQLQAIKSAVGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLEGVDERFRRYRNTLFSETSLEVNREQLTTKENDKLNKSISSYLRLLAGYLQLQAAMQTLEYLIRRYLNSKWAFLDAVKSSGAPPPRSVMVQQCIRDKAVLETICNYAAPTKEFHHSRTVVCFCTAVIVECLGAIPKLDTDIVQRVLGFVFDSLNPAMKGDQDYKAGALMIIGVLATRATLAPKLVQNLIFFVARAAQHDALDTIDLPWLRVTVMAIISLVQSQSVTDFPKKPLMILKDIRDFSGILSVLCCEFNIERFIRLYVESLVGYSSSDDSCHSHLIEIVETLNIEKFVERIVYKVLDHCVKASQAAENLDMNRTGLWSKKTLNVIGKKYPKELRNAIHKFLENSEVNSIGEDFASNLLGLVFDESKGMPTEISDSNIWFSLDHPKAEVRKSALSKIATSNIFKNHNLNPQNLINMQDAIIHNMYDDDLSVVEAALSIEGLAAVASPVSLLKVYDDLLANCINIIHKGGPKASKACDVAVSCLEKIIIEYRLHYIEHAKDIAAVVFRLLIVHPKTVRVNLKALELAKSIQWEFYTSSSLVYNVITTDKMKGISPESVASINMKNIKAFSETFLANPNKHVEWLADAGKGSAFSRALFLLIILQSLLAPAEVLDMQMSLCQACLPVLKNKWCQIKPKDGRVGDEINIDKLEKCITELVKHVFNNDTEALNARILINDGGNTLLDDLFLFFITSPGKIIFQKHLQYLMVNCTRAPFQFISKYFVDEGFSAGVRVESLLMLASICSVCALSETSSLDESLCVQLLLGFPCVMLPLVHENKDVRSSALKYIEGLSLVWQRLSASLSRNGNGSKLPKCMLSPTFGVFLGSLVNQKTMISSDTRFLPAYISSLLSPSQDLMVPENLHERFDQSTKDAILHFILRSGMKLSSYGKFMILSILKGVGSILFDVEDVKSLLFDLLDRRNQYQSGCESRQIMSTHEIQILCLLLEVMFSVSNSANVSSETSEALLKVLRIDVSAQEDPVVVMPCVTALQAVQPVFFDFLKTDTQEKVFASLISMFRTENTEIRNAARDAILRINVHASTAVKFIELIAAQGDKKMNSKRIKRKEDLNHDIFKNFDDLFGVKPTASVLVSLLDVLFLKKDVIQRTCLLQPLFQLLSKLLSDQWILGIVFQYNKGHDASPENPDLSNFMIEAQQLVLLILKDITDTLQSGHQDELFNCRDINLLINCIQSAKDLGTRNHGFSLIASLAKAFPQVVSESIEDLFVAIGDAVKQLFIKALVDVVEHRRLTLMVYLLRTLGEKKCLSTVIMCLLHSLVGRISHSPEHQGALSLRAMPQEWEYGLAVNITNQYSYKLWFHCLSKLLQEIRVHEKQYLLPMLHLAMQFILFKLQDTELIFDLDSEEAANSIQGSLGELMKEVVLCIAAVRDKKTGISGDALKELDSANTILKVIAGWMCASTYFKGISRMLEHSRSVVKRKALGILCETAKGNSLIQKKQKKARKLNHSTPATALQVDKSSAPCFSELCVKILELVDREVDSDSSVRIAAISSLETLAKEYPSDNPAYSKCLAKITNHINSGDAVTSSRSIYTVGSLINVLGSKALPQLPLIMKNMLQVSHQVSFCPSGKYAHSSTKTDAKLSNQAIPILLSVLTTVEVIVKKLGEFVNPYLEEILDLVVLHPECASRNDEKLDAKAADVRKLLTDKVPVRLMLSPLLNLYNGAIKCGEASLSLAFEMLSTLVGAMDRLAVGTYHTKVYEHCLVALDLRRQHLDSLKNIAIVEQSIIHAITTLTMKLTEATFRPLFLRTLEWAESEVDRSTSKRSMDRAIVFYKLVNSLAEKHRSLFTPYFKYLLEGSVQYLSEDDALISSKQKKKKAKLEDAPVEQKDKLSGPKLWNLRALVLKSLHKCFLYDNDQKILDSSNFQALLKPIVSQFVIEPPEHFESVPEAPSVDEVDETLVLCLGQMAVTARSDVLWKPLNHEVGTKHYARSSFFLDNGKVLMRTRSDKVRPKMLGLKVVRHMVQHLKEEYVVLLPETIPFLAELLEDVELPVKTLAQEIVKEMETLSGESLRQYL >OGLUM04G20670.1 pep chromosome:ALNU02000000:4:24715983:24718330:-1 gene:OGLUM04G20670 transcript:OGLUM04G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRVINAVLFTVLAVLVALVLGYFVVRCQRRQRRRRRRGAVLPSHGARADRFQSGGGTSGYGAGGAGGAEEALVRFPGGEGLTVAAILEAPGEVVAKSGHSTLYRAGLSAGEAVALLRFVRPVCAAAADEATAAARLLGALQHPNLVPIRALYVGPRGEMLLVHPFYAAGSLRRFLQEGINVSQKWGIICKLSIGIVKGLDHLHSGSQKPIVHGNLKTNNIMLDADFQPRISDFGLYLLLNPAAAQQMLEASAMQGYKAPELIKMREATRESDIYSLGVILLEMLAQKEAANSSSPNARDIHLPSSFKDLVLERKISDAFSSELVKQSKNSGKEQNLNAFFELATACCNPSPSLRPDTKRILKMLEEISR >OGLUM04G20680.1 pep chromosome:ALNU02000000:4:24721255:24722490:-1 gene:OGLUM04G20680 transcript:OGLUM04G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPAPEARVSGGDVPARLQQALALLFPTNLAAKAVLFAVVVALLPLLPTSQAPRIWELPHILLLGLIISYGVFGQRNADSEVAAVAATKTVDDESVESYVTQMMHGPLVFEENDGGGEADAAGKEGVQAWSSQYFPDDPLVVVADAGAGSNTGKGDESEKPLLLPVRKLKPATEESATLTESFSDGAIEEEEEEEEETEFLLRKARYGGVREHAIPSPSSVLDADLTLSPCSPPLLPPPPPPPPPPPFLDHDLPALRKAKARSFNDYGRVGLQTAAGGGGGGHNFRSKSAIQASRSTFPTPPFDDHDLEEKVAASDISSFSSDDVVTDDGEDGDNHKEIYNYEEEEGDVDRLDDDDGSCDEELFELATRLAPEEEEVVEDEVDRKADEFIAKFREQIRMQRVVEPGRR >OGLUM04G20690.1 pep chromosome:ALNU02000000:4:24738186:24739380:-1 gene:OGLUM04G20690 transcript:OGLUM04G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERAEDLRLSLSLSSPLIAPRTHHVAMLFHAPPEKRFLEMPLLPAAKRSEVVAAEEERAGLRGGGGSDEEDGGCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKATLAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMNMSPPTTLTMCPSCERVSNTNNNSSAAAAADRRGIRTTTAAAGGSVVDTAADGGILCHRPIAVRPQQS >OGLUM04G20700.1 pep chromosome:ALNU02000000:4:24749024:24750851:1 gene:OGLUM04G20700 transcript:OGLUM04G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAAGRARHSSSCCSLATSVTSRRHGTKTSVIGMIQIPSSSISMVVRWIPMKANEIVADKLVQQDMI >OGLUM04G20710.1 pep chromosome:ALNU02000000:4:24751411:24754840:-1 gene:OGLUM04G20710 transcript:OGLUM04G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MGRSRRSYARLLLLGFLLLRFSWCLAVVDDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAIAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIRKWTIWRQNHCQPNFWKNVVPAGSCGPYNPIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVGACGATGDGDIMMRFLPWLEPPRVVESMRRGMEPRDSAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >OGLUM04G20710.2 pep chromosome:ALNU02000000:4:24751413:24754840:-1 gene:OGLUM04G20710 transcript:OGLUM04G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MGRSRRSYARLLLLGFLLLRFSWCLAVVDDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAIAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIRKWTIWRQNHCQPNFWKNVVPAGSCGPYNPIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVVESMRRGMEPRDSAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >OGLUM04G20710.3 pep chromosome:ALNU02000000:4:24751411:24754840:-1 gene:OGLUM04G20710 transcript:OGLUM04G20710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G61540) TAIR;Acc:AT5G61540] MGRSRRSYARLLLLGFLLLRFSWCLAVVDDGGGAEEGGGIFPVVVSTWPFREAVRAAWEVVSASGGAGCSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMDGTTMEIGAIAAMRYVKDGIRAAKLVMDHTEHTLLVGEKATSFAISMGLAGPADLSSPESIRKWTIWRQNHCQPNFWKNVVPAGSCGPYNPIDVPSGESKASAKRVLERTQGDICQGLFEPNNLLEPMNSRLKIVNRHNHDTISMAVIDKMGRIAAGTSTNGATFKIPGRVGDGPIPGSSAYADDEVVESMRRGMEPRDSAMDAILRIARKYPDFVGAVFAINKKGVHAGACHGWTFQYSVRNSSMQDVEVITVSP >OGLUM04G20720.1 pep chromosome:ALNU02000000:4:24754702:24756079:1 gene:OGLUM04G20720 transcript:OGLUM04G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAWLGPPLPHGSHMSVRFPGCLGCCFTRSGSEALPHKYPSGGGDGGRRRRGGGGEVAVVGGGERGAGGGGRGVVPAGQGRRGVRDAVQGVRHRHPLRRRRRHRRHRRSSRGGRRIGGRDEGRGREHPEVDGSSSTTPSGGRRRPMTSTTLVRVQLYR >OGLUM04G20730.1 pep chromosome:ALNU02000000:4:24760044:24762109:1 gene:OGLUM04G20730 transcript:OGLUM04G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT3G60460) TAIR;Acc:AT3G60460] MARAPGGVRRRSGRRGAGGGGAGGGGEALRKGPWMAEEDEVLLEHVRTHGPMDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKSGCKFTAEEERVVIELQAQFGNKWARIATYLQGRTDNDVKNFWSTRQKRLARLLRGPLPAARPNKHNSGKGKAPSSSSLDSQTATFHQSSASLDQASLEGNSLGWQCREAAPFMGYDQACSGFFAFEGPLPLQLLPPADGEASSSNAAQSAPPPLLFDQPPYPLINFPGWPERYVDVGHGFVDAGAMDGLAYQELLPMVQSVPMIMPFFGMECAHDAVKHGAFDDLPPNMFDDAVDQPPPPPPPPPPPSPSPSPSRDDVL >OGLUM04G20740.1 pep chromosome:ALNU02000000:4:24762558:24767153:1 gene:OGLUM04G20740 transcript:OGLUM04G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCVPRSNNAKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEVPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHSQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >OGLUM04G20740.2 pep chromosome:ALNU02000000:4:24763502:24767153:1 gene:OGLUM04G20740 transcript:OGLUM04G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCVPRSNNAKYYEVLGVPKTASKDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLTDPEKRDIYDQYGEDALKDGMGGGSDFHNPFDIFEQFFGGGAFGGSSSRVRRQRRGEDVVHTLKVSLEDVYNGSMKKLSLSRNILCPKCKGKGTKSEVPATCYGCHGVGMRNIMRQIGLGMIQHMQTVCPECRGSGEIISDRDKCTNCRASKVIQEKKVLEVHIEKGMQHSQKIVFQGEADEAPDTVTGDIVFILQVKVHPRFKRKYDDLFIERTISLTEALCGFQFILTHLDSRQLLIKANPGEIIKPGQHKAINDEGMPHHGRPFMKGRLFVEFNVEFPESGVLSRDQCRALEMILPPKPGHQLSDMDLDQCEETTMHDVNIEEEMRRKQYQRKQEAYDEDEEEDAPRVQCAQQ >OGLUM04G20750.1 pep chromosome:ALNU02000000:4:24773596:24774452:1 gene:OGLUM04G20750 transcript:OGLUM04G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADASHTPTTSSSVSVSFSSSSLSASSSTSSLVDNGAQDRPKSSKPKHAAKKRKRAAAEEPANAAHGAGEDTSSCSTDDNAAASGKAQAGGGGGGVDSSSTCTAASAPRSGFKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRYAHLNFPDSAHELPRPASTSPADIQAAAAKAAAEVRCEEESSPLSSPTAEQPEEEAACPDTVHADGGQDNALFDLPDLLLDLRDGLWWSPVWPAALTAEEYDGGDAVVLNEPLLWAE >OGLUM04G20760.1 pep chromosome:ALNU02000000:4:24779730:24780473:1 gene:OGLUM04G20760 transcript:OGLUM04G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQVMSQESNSCTCSSSSNDASSAACSSLNASSPSSVDSGSAGGGGGGKKRPRSDHLKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFDTAEMAARAHDVAALAIKGRTAHLNFPDLTHLLPRAASASPKDVQAAAALAAATASPAPALSPTPCHDVDAAADDEPEPAEPEQATAPVCIVENGTLQQDGGTGLDYTYFTMPDALLEFGFTLPPPPPPYYCGSPWDDDADDFFFGEPMVLWEH >OGLUM04G20770.1 pep chromosome:ALNU02000000:4:24782093:24782375:1 gene:OGLUM04G20770 transcript:OGLUM04G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLHLGICENHYFCMRVLKSIEAAHGSSRVGAERLADSPESGNGHWIHPSRHRHRQILAHQGGWEVERRWDPRGRWKGA >OGLUM04G20780.1 pep chromosome:ALNU02000000:4:24785501:24786548:-1 gene:OGLUM04G20780 transcript:OGLUM04G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWWRCVWQCRLVVATEEIGVVSLVLSSTVGGGMEMSRVGFGGADRGWGDTVLKAEIGGCTETVDEPEIGGGTEETGEAEFPVKIRGGAEETSEKGDERWSGEWRRQLAGWEGGCGVRRATAE >OGLUM04G20790.1 pep chromosome:ALNU02000000:4:24797040:24797693:1 gene:OGLUM04G20790 transcript:OGLUM04G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSHDLASPTSPDTASSSSSSTSTSSSSATVAPKKRPRNDGRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRTAHLNFPDLAHLLPRPATAAPKDVQAAALLAAAAADFPSVSVDANAKSPDTCSVASAASPQPPPPDAEADPDSTLFDLPDLLLDLRYETSSSLSCGASWAVDDDVAGGVVFRLEEPMLWDY >OGLUM04G20800.1 pep chromosome:ALNU02000000:4:24808686:24816778:-1 gene:OGLUM04G20800 transcript:OGLUM04G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 1 [Source:Projected from Arabidopsis thaliana (AT2G44950) TAIR;Acc:AT2G44950] MGSTGEPDRKRRLSSSVAPGGGAPVSPAKRLAVAPTSEDKKLDFTVLKYKNQKLSEQLEAHKFEYRALENKFAGLKEKQRTHNETLSLVNSSWEQLVADLKSRSFCKSGSPNSSPGSGHNNVQKDGTCAPIERDTLRSLVESGATESSGCLPGCHLGSDAPPLHLSTANALGEELASAASELEETNYKLAALKAQRDNTQGARIPYPTLGNKNMPEDKELISKRLVEIKRLHEERIEILNKIATFQNILMDFKSIRSSKAFQLVNDRLQKSQAELDHYQTLLEKLQVDKDKFVWQERQFNLKVDLAEIPERVSTYCESSIADLKKDIQKLRDEKNMLILKLEEASREPGRNQVITKFKALVSSIPREMGAMQSEMTKHKEASLELNSLRAEVHSLSRILSRKERDNEEASCRSARAGSDITQLQSVISDLKQTNKELKLFADMYKRESTDSREIMESRDREFLEWAHVHALKSSLDESKLEQRVKAANEAEAITQQRLATAEAEIAESGQKLGTSRKYRIMLLNIVSLRTVEVGVTSLLGDLVSLSHMLKSKQEECEAYRVEVECIGQAYEDIQAQNQQLLQQIIERDDDNTKIFMEGVKAKQTQDALHLETYSLRRNLQQESSLMDLYNQKIVSLEDQLKMWSDRVGKLQEDGWQQSVSLSNYQRKLVDVHRDAQKLMQSLDGIQANVGSSRLEVADLLIELEKERFSKKRIEDDLEVMSRKASSLRAKARESAVLEKLRHEVKEYRGILKCGICHDRQKEVVITKCYHLFCNQCIQKSLGNRQRRCPSCSLSFGANDVKPIYI >OGLUM04G20810.1 pep chromosome:ALNU02000000:4:24819959:24820990:1 gene:OGLUM04G20810 transcript:OGLUM04G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAKPHLSSSSFLPSTRVSSPAPGPNHAKPIAASPAPRRCLRLAVTSAAAPAASSAEAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQTSVIRDLVLLSCVGLHPVLVHGGGPEINSWLLRVGVEPQFRNGLRVTDALTMEVVEMVLVGKVNKHLVSLINLAGGTAVGLCGKDARLLTARPSPNAAALGFVGEVSRVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVSGILADRNDPGSLVKEIDIAGVRQMVADGQVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >OGLUM04G20820.1 pep chromosome:ALNU02000000:4:24824391:24829589:1 gene:OGLUM04G20820 transcript:OGLUM04G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGVFDMPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYEFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >OGLUM04G20820.2 pep chromosome:ALNU02000000:4:24825464:24829589:1 gene:OGLUM04G20820 transcript:OGLUM04G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MPGKRLLAHYKIDPRRGRLLMVACNAEDMLLPRSHFTFYGQLAIASTNHAFSIRSSKKKNHIERQFDAHFDLVQKREFVVPDHLMIHDWAFTDTHYILLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPETEAGGRDWSVPIEAPSQMWSVHVGNAFEEANRRGGLDVRLHMSSCSYQWFHFHRMFGYNWHHKKLDPSFMNAAKGKEWLPRLVQVAIELDRTGECRRCSVRRLSDQHARPADFPAINPSYANQRNRFVYAGAASGSRRFLPYFPFDSVVKVDVSDGSARWWSTDGRKFVGEPVFVPTGGGEDGGYVLLVEYAVSKHRCHLVVLDAKKIGTENALVAKLEVPKNLTFPMGFHGFWGDE >OGLUM04G20820.3 pep chromosome:ALNU02000000:4:24824391:24829589:1 gene:OGLUM04G20820 transcript:OGLUM04G20820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 7 [Source:Projected from Arabidopsis thaliana (AT2G44990) TAIR;Acc:AT2G44990] MATQAIAPMHAAVVHRHHVLPPRRCVRRRGVFVRASAAAAAAAETDTLSAAFWDYNLLFRSQRDECLDSIPLRVTEGAIPPDFPAGTYYLAGPGIFSDDHGSTVHPLDGHGYLRSFRFRPGDRTIHYSARFVETAAKREESRDGASWRFTHRGPFSVLQGGKKVGNVKVMKNVANTSVLRWGGRLLCLWEGGQPYEVDPRTLETVGPFDLLGLAAADDNKATNASAARRPWLQEAGLDAAARLLRPVLSGA >OGLUM04G20830.1 pep chromosome:ALNU02000000:4:24827387:24829241:-1 gene:OGLUM04G20830 transcript:OGLUM04G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVVYRRRESSWRGGETSARLHQGAAAMSNPAGGKHLVRLAGSSSLRGGAALSPAVSISSGSRPATRAGARALRAASPPPACSIASVGCWESRALRLDGDEDWEVVVAQGDDAVGADSGAFDAVQEAADEHAEAFGAPPTDQEVRAAVASIQEVFENLPGLDSDAPAQALALSPISGLPPSGMFVNYFAEGSTPSDIKIEDSTPSDVKIDQLDSLEHSTPDTASEECIEPAMLVLNSTALLTREHRNVLDAFHLLQVDSSVQKMVMALSTDKSVWDAVMKNEVVQEFRKSFQDAKEADPNGSSSASPGVMKWVMETTQAKIKEFLESILRLVNMLFQAQSEDYDLYDDTVRMSFMLAVFVFIVVTVARIK >OGLUM04G20840.1 pep chromosome:ALNU02000000:4:24832601:24838303:-1 gene:OGLUM04G20840 transcript:OGLUM04G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAFGSCGDSFSASSIKAYVAEFIATLLFVFAGVGSAIAYGQLTKGGALDPASLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLQFSTHGQAIPTHAVAGISEIEGVVMEIMITFALVYTVYATAADPKKGSLGTVAPMAIGFIVDANILVAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLISGGLAGLVYGDVFIASYHPGKLAMANICANMKRCFSPPALRAYFAEFFSTFLFVFIAVGSTISARMLTPDETSDASSLMATAVAQAFGLFAAVFIAADVSGGHVNPAVTFAYAIGGHITVPSAIFYWASQMLGSTFACFVLHYISAGQARSLPIEMIAVEMTGFGAGILEGVLTFMVVYTVHVAGDPRGGGFGGRKGPAATALGALVVGAVTGACVLAAGSLTGASMNPARSFGPAVVSGHYSNQAVYWAGPMVGAAVAALVHQALVFPTVPEPAPAPATNESARHGSVQTVVV >OGLUM04G20850.1 pep chromosome:ALNU02000000:4:24847138:24852085:1 gene:OGLUM04G20850 transcript:OGLUM04G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNX7] MMSSNSLFQRLAKWCPWLLRDEPKKPVKVLVTGAAGQIGYAIVAMIARGLMLGADQPVVLHLLDLPVAANALNGVRMELIDAALPLLRGVVATSDEAEAFKGVNVAIVIGGWPRRDGMERKDLISKNVTIYKSQASALQQHAAPNCKVNDDASRIRKYTISMLKHYCYYGTVKKKRARTDIYSDFAVQVCTHEVLVVANPANTNALVLKEFAPAIPAKNITCLTRLDHNRALGQVAEKLNVHVGDVKNAIIWGNHSSTQFPDASHATVSTDRGERPVRELIADEIWLREEFVTDVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGVFFSFPVTCEKGEWSVVQGLEIDDFARSKMETSATELKEEKSIAYEFL >OGLUM04G20860.1 pep chromosome:ALNU02000000:4:24850952:24854331:-1 gene:OGLUM04G20860 transcript:OGLUM04G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G16650) TAIR;Acc:AT4G16650] MGRKPDPSKQHYGGGASPRAARRTQPSPVFLGTALFVLGFVSLFTGHIVTDADWSRIRSRWRSKQVRNNEPINIWKSRYSNLYYGCSRRSVNFRSAVPENSSTGYLLIGTSGGLNQQRIGITDAVVVARILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMMSMDKLPWTMRAPRKSMPDFYIDEVLPILMRRRALQLTKFDYRLTNELDEELQKLRCRVNFHALRFTNFIQTLGEKLVRKLRSMSSRYVAVHLRFEPDMLAFSGCYYGGGDKERRELGEIRKRWDTLPELSAEDERSRGKCPLTPQEIGLMLRALGFSNDTYLYVASGEIYGGEETLQPLRDLFPNYYTKEMLAGNDLKPFLPFSSRLAAIDFIVCDGSDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNLLFKRRKQMGWDIFSQKVKKVQRGLMGEPDDIRPGRDDFNEFPSSCICQRIPVNRSVTARAENL >OGLUM04G20870.1 pep chromosome:ALNU02000000:4:24856794:24860032:1 gene:OGLUM04G20870 transcript:OGLUM04G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNGCGGSGATPRGVVGMHWAPVVTSPPSPQPPFLPPAPFRPDVQMQQQGGLTCLKLGKRPCFWGGDGAGQVAQGSGGGGGGGGGGSADQGKRKEKAATAVPVVPRCQVEGCDITLQGVKEYHRRHKVCEVHAKAPRVVVHGTEQRFCQQCSRFHVLAEFDDAKKSCRRRLAGHNERRRRSNASEAMARGSAHPHGMPVLGHGFPPYGLPTSSAGALSLLSSARATGPWLMPTPDISARSSAALDELIAENRAALLSWQFFSDRQPPPAGRPTGRSPGSETAGGWHAHLQARPPPPGAGGQHEHQSGHVTLDLMQATTAAGGSGAPFRPVPARPAKEGGDAGCTSDAWMSSPMEGARVV >OGLUM04G20880.1 pep chromosome:ALNU02000000:4:24869850:24870384:-1 gene:OGLUM04G20880 transcript:OGLUM04G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGTVCSMCGDVGFPDKLFQCARCRYRFQHSYCTNYYGDAAPAPAGADMCDWCLSDVAGKARYSSAAGKQQGAGSQESSTTTSSSSAGRGGSGKPGAGAGAGEQESGRRGTKAAGRRYKLLKDVLC >OGLUM04G20890.1 pep chromosome:ALNU02000000:4:24879912:24884521:-1 gene:OGLUM04G20890 transcript:OGLUM04G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable plastid-lipid-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT4G00030) UniProtKB/Swiss-Prot;Acc:O81304] MAPLVSHAKILAPIPRGNRRLAPAPPAAGGFLRALFPSRRSRPPPEKDELLRLIADQRRGLDTQSDPSRLADIVSCIDALAAAAPGSDTVSDADKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGSIEIQPPQRVYTRYVEREQLGGPVSALWERMALPDQVLEPLQRIEGKGSPCEKGRSMTLPCVVICDLVSHGHRPTGSQQSSTKSSKTRRTEPAGGRG >OGLUM04G20900.1 pep chromosome:ALNU02000000:4:24884615:24890634:1 gene:OGLUM04G20900 transcript:OGLUM04G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 alpha subunit [Source:Projected from Arabidopsis thaliana (AT3G20050) TAIR;Acc:AT3G20050] MAITAQTPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLINSDSDFFANLVVDAVQAVKTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVSTFADMEGEETFDSSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMGKVKIIQFATEAAITILRIDDMIKLTKEESGNEE >OGLUM04G20910.1 pep chromosome:ALNU02000000:4:24892278:24904397:1 gene:OGLUM04G20910 transcript:OGLUM04G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFQLILSTFVVIAAVTMLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQGAVFQAPFSSMIAAGSPSIYNSGLGCGSCYQCPGGPCLSEPVHFDLSGTAFGAMANPGQADQLRAAGVLQIQYNRVPCNWGGVKLTFVVDAGSNPNYFAVLVKYENGDGDLSGVELMQTGAGAAWTQMQQSWGAVWKLNAGSALQAPFSIRLTSSSGKTLVASNVIPSGWKPGMSRARLRGGDLSNLAAAASRLLPRQTTASILLPLRRLCADAAASMRRQSSLRRRSARNSGRRSWLVAEHGVGRGEHGVGGEKAGRHEGVVGEAAGDEHGGVDDGELTRGRATVGQEGQQYRDVLPEPAGDEGVHQVGVVQRLLRLVAVPGDEVHVGEQELEAVVVEHDVLAELAERPVRPVHGGVERVPDVAGAEEEKRGERKKGEVRMTCGAYLANRLPNKKVAMVSRGTFVFAVLVALPILSLPVSGYEQNYTAGRRSTMSLGRGYGWSSGGATWYGGPQGDGSEGGACGYQSAVGQRPFSSMIAAGGPSLFKNGKGCGSCYQIKCTGNRACSGRPVTVVITDSCPGGVCLNEAAHFDMSGTAFGAMANRGMGDRLRSAGVLKIQYKRVPCRFAMNVAFKVDAGSNPYYLAVLVQYANGDGDLAAVHIMEARGGGGWKAMQQSWGATWRLNSNTGKPLSPPFSIRLTSGSGKVLVANNVIPSGWQAGSTYRSTVNYAA >OGLUM04G20920.1 pep chromosome:ALNU02000000:4:24908739:24910025:1 gene:OGLUM04G20920 transcript:OGLUM04G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTELASDVYALPCGDDGTTALSTPVVVSVLASLLERHIARNERDQAAAADGEAARRARAFDSGTVLDMSLHAFLERFSRYAHVSPQVYVVAYAYLDRLRRGDGVRVVSANAQRLLTTAILVASKFVEDRNYKNSYFAAVGGLTAAELSSLELDFLFLMQFRLNVSVSVFQSYCRHLEREVSYGGGYQVERCLKKALVCSGEAQAQQRQAASAAAQ >OGLUM04G20930.1 pep chromosome:ALNU02000000:4:24913526:24924007:1 gene:OGLUM04G20930 transcript:OGLUM04G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSPSSPRAPPPTAAQASGYKHFCRVCNKGFTCGSALGGHMRAHGVGDGDGLGADDDDDDDDDSLGDEAVRRARGGADDPWNAGGPSSSGAATHVYELRTNPNRVTRSRQVCKNCGKEFTSWEHFLEHGKCSSGEDDDDEDDVDRSLQPWSPSPEADGEEDPAPASGWLKGKRSRRCKGTGVDLSPTPSACAAGEEEDLANCLVMLSSSKVYQAGVTEAEQPSSSSASKEHKRLITFMEPTTYVLDTVMALPPPAPAPQYVSTVPRGMFECKACKKVFSSHQALGGHRASHKKVKGCFAAKLESNAAEVAEPSHHAEVAERSEDNPGKATSDARRNVHASMDGDGNTGTSDAAAELSMAIVPIEPPVAALAAAPLKKKGKMHECSVCHRLFTSGQALGGHKRCHWLTSSSADHTASVPPLADDLVPLSFRPMLDAPEPALDLSIAANPPLLASAATVRPKVGGSSFHLDAPPPVYIPSSPAIPSQRNKATATTGSQNANDAVGLSTAAAEDEADSTTVKRARLSDLKDVSMAGETTPWLQVGIGSSSRGGADDNDKEDFGRVTYPTSSQGSGKVGDRDQEQNKDSTKMTEKKKEGEARSNSREIKNKMRLDLDGHNSERSADEMHACWPGTRSPKLCASSSSIQALAMAKNTCKLCYRRFGNPRALAGHMRSHSVAASRSQISSTSSASTSVAVGDDDGGGDAKRPIQGYVLREKPKRRVRLAESDFSDRESETEYYSSPPHGKRANTGSGDVEQVSSVSDAATSEEDVALSLMMLSRDTWPATPPPPPPYRLRGAGYDDGSDGGDAPPAPAAAAAQKRTRFQCPACKKVFRSYQALGGHRASHMRGGRGGCCAPPPNPPPSPATPLQPLPECDGGEEEGAKPHPHECPYCFRVFASGQALGGHKRSQLCSAAAAAASGDDLPATIKSNGFIDLNLPAPFDDVELSAVSDPFLSSKPAILVPTVHGTGFGQTIGEIELLSTFGGQVVISMAHVSRPRGTVLFSSLGPPGLHRRVSGH >OGLUM04G20940.1 pep chromosome:ALNU02000000:4:24928574:24929611:1 gene:OGLUM04G20940 transcript:OGLUM04G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigalactosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT1G19800) TAIR;Acc:AT1G19800] MPSAGAGAAFLLRPISATTHPLISLSCTGAGDSANHKSHLLFRLHHSRRRLPVPRLSLTPAPTGSNNSPPSRPPASPEPPPPPFSRWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVSLLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALSRELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAQPVDYLVVPRVVACVLALPVLTLMSFALGLASSAFLADSIFGVSTSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >OGLUM04G20950.1 pep chromosome:ALNU02000000:4:24932130:24940313:-1 gene:OGLUM04G20950 transcript:OGLUM04G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRPALALALAHLATRRTGRLLAVSAQSASPHAGLRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESVGISADAVARSGESLALSELHLKYYAPLRSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGMSTRSNQQLCSSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVHVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVQDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >OGLUM04G20950.2 pep chromosome:ALNU02000000:4:24932130:24940313:-1 gene:OGLUM04G20950 transcript:OGLUM04G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQIWRLLPSALSPIHAGAPRPSRPPARLGRPSPQRRPALALALAHLATRRTGRLLAVSAQSASPHAGLRLDQFFEVEMKVRDYELDQYGVVNNAIYASYCQHGRHELLESSGDKFVVKVRLASTKGIRMIFEHFIEKLPNRELILEAKATAVCLNKDYRPTRISPEFLSKLQFFTSEGMSTRSNQQLCSSHCLPARAGSIASPGSGRRVVPLGRRRASLGKVTAYAYPTTRRVVDAAKSSLLQDVHVAASNPSLQLLQDYAPAKKSAKQQNGSRTKDGFYEVEMTVQDDELDEYGVVNNAIYASYIHSGRDVFLENVGVGVDYWTSTGNALALSELNLKFYTPLRKDDRFVVRMKVVKIKGVRIIVEHLIETLPDRKLVVDAKATAVCLDNKYRPTRVFPELSTKLHQFFLS >OGLUM04G20960.1 pep chromosome:ALNU02000000:4:24943307:24945024:-1 gene:OGLUM04G20960 transcript:OGLUM04G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G47500) TAIR;Acc:AT5G47500] MVRERVVVVMAFAVATLATSLLPPLACQAAPAPCERPTRGHHQYRQPVGVRRIVVDASGGGDFLSIQQAVNSVPENNTVRVIMQINAGSYIEKVVVPATKPYITFQGAGRDVTVVEWHDRASDRGPDGQQLRTYNTASVTVLSNYFTAKNISFKGCIFGFLEQPKQMASSGYGGERLQPDRRGRPTFFFPVNCMHQTTPDAGFLNPMAWQNTAPAPMPGMQGWQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNGRSLYKDCELHSTAQRFGSVAAQGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGADAVHGVPWARELDYFAARPFLGKSFVNGFHWLTPDV >OGLUM04G20970.1 pep chromosome:ALNU02000000:4:24957576:24961924:-1 gene:OGLUM04G20970 transcript:OGLUM04G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGCGRWWWVAVALVVVAAAAAAEGAREEAAVAVAVAPRRHAYAAMMYMGTPRDYEFYVATRVMMRSLGRLGADADRVVIASLDVPPRWVQALKDDGVKVVSVENLKNPYEKQENFNMRFKLTLNKLYAWSLVSYDRVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLDELAVGRDNPDGADQGFLASYFPDLLDRPMFHPPVNGTKLEGTYRLPFETPLEHSMWAEQPLHGLSHGIGGLGQFYLWDFLGMNNAVKTWVTRLARPSLSKMCYNRRMEKSTIVLLTTLRVVAAWSILAAYTIPFFLIPRTLHPLLGWPLYLLGAFSFSSIVINVFLLHPLAVLTTWLGIIGALFVMAFPWYLNGVVRALAVFAYAFCCAPLIWGSLVKTMSSLQILIERDAFRLGEPNQTAEFTKLY >OGLUM04G20980.1 pep chromosome:ALNU02000000:4:24963444:24965606:-1 gene:OGLUM04G20980 transcript:OGLUM04G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZNZ1] MKISANFLLNNCARTYTNKKTLKKCKRELVEVVDGLVGVMMTSSNREKPDIESGYDGSSDEDSTENSRAEICPSALCFFDQIVASAQDKKVVLFLDYDGTLSPIVNDPEKAFMSSEMRATVKSVAKHFPTAIVSGRSRDKVFDFVKLTEIYYAGSHGMDILASFADSDSTIEKTKETKLFQPANEFLTMITEVSKSLIEVTKAIKGATVENNKFCVSVHYRNVDKKNWKLVAQVVNNVLKDFPSLKVSTGRKVLEVRPMINWDKGKAVEFLLRSLGLDDSETVLPIYIGDDKTDEDAFKVLRERKNGCGILVSQVPKKSEAFFMLRGPSEVVILPVMLNFFAALLIMPS >OGLUM04G20990.1 pep chromosome:ALNU02000000:4:24969759:24973182:1 gene:OGLUM04G20990 transcript:OGLUM04G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTLLRVHPSELKIPYEYKRKRSCCMQLTNKTNQYVAYKVKTTNPRKYSVRHACGILPPRSSCDITVTMQAPVEMLSDYHCKDKFLVQSVAVGYGATMRDFVPELFTKAPGRVIEEFKLRVVYVAANPPSPVPEEEEEEEEDASPQSEVMSHGVKMTSVFDAVTVSTLTDRSADKVSSAEGVSVESMLVAEREYPVEENQKLQQQMELLRAARSSQQGFSAMFVLLVFMSSVCIGHFMKQIKV >OGLUM04G21000.1 pep chromosome:ALNU02000000:4:24973683:24974354:-1 gene:OGLUM04G21000 transcript:OGLUM04G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDMERPPAATAQGVRPNPVVERKLGELDACLADAISSRPRRSDVDGSLFAEIQAKTDFLKMLIAAEGECHGGALPEHLEEAKARFAVLKGAFDKWARRDDAAAPAEEEQPDGAAGSGSECSCTESCFGVEVTGCLEATSDVEREAVEMATLGATFNAERRAGHKPSPSPAAATRNAARLRGWRRSAACCGAAGAVAVLALAIGVAIEFASVARQNVYVVPT >OGLUM04G21010.1 pep chromosome:ALNU02000000:4:24977320:24977712:1 gene:OGLUM04G21010 transcript:OGLUM04G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGRCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIRGNILGINLNLPVDLSLILNYCGKRVPTGFKC >OGLUM04G21020.1 pep chromosome:ALNU02000000:4:24989224:24989619:1 gene:OGLUM04G21020 transcript:OGLUM04G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKASIALFLAVNLVVFSLASACGGHCPTPTPSTPSTPTPTPAAFGKCPRDALKLGVCANVLGLIKAKVGVPPAEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPVDLSLILNYCGKRVPTGFKCF >OGLUM04G21030.1 pep chromosome:ALNU02000000:4:24994524:24996846:1 gene:OGLUM04G21030 transcript:OGLUM04G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMRDQPCGDQRVRAAELATMLMCARSTQAAAASSQANSRQPAQDELAARPGPGISTWISVAQKQMVRHSTRQTRAPNRFGFEEEPVPSEIPDQPSISEFQWFRFYVSFDNVRI >OGLUM04G21040.1 pep chromosome:ALNU02000000:4:24999735:25000148:1 gene:OGLUM04G21040 transcript:OGLUM04G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLVFLLAINLLFFTTANACGCACGKCPTPPPPALPPPPPPTPTTPSYHNKCPVNTLKFGACADVLGAISGEVGQVPAQPCCSLISGLADLEAAVCLCTAIKANVLGVVVNIPVKLSLLVNYCGKCVPSGYTCA >OGLUM04G21050.1 pep chromosome:ALNU02000000:4:25005387:25006594:1 gene:OGLUM04G21050 transcript:OGLUM04G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRKGVALDEATLMRIRRCGRAEDGAAEGVKCGAATTRTQIGYNPNPPLSLDSLCVRFSNRPRGRGASPVRKPLCRYTRSVRRQVSLWRRAWRGQGAVTSRPFRTPIPKLNGVPSFLRSYPGVSLYHLLYVKRRGQAWTLGRLSNRGRVPASPTG >OGLUM04G21060.1 pep chromosome:ALNU02000000:4:25009272:25009779:-1 gene:OGLUM04G21060 transcript:OGLUM04G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKLTAAVAALLVVVFFALFVGSCEARRLRALGRVSSLKPSSSPPTPYKDAARMKLHGSDPTNQKKDLSSTSMDHHMASGDDAKAKDGVAMASPGAVQTTIVVRVSNRLSHQERRVDTAFHLDYAGPRTHPPSHN >OGLUM04G21070.1 pep chromosome:ALNU02000000:4:25026083:25028215:1 gene:OGLUM04G21070 transcript:OGLUM04G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAPFSADGNGAAELAGSIAALLWPEDKGGGGGGGGGSLLVEPRSVLDCRGSPSPPNSTSTLSSSHGSGAADSISTGVAAVSESSAAAAEATKWAAPGEHGGGGGGELPPIPGALDVGFVAEESWDAMLGDAAAAAGQEQTFLNWIMAAPGDMEPQAPGLSQQQLLANAAGFGFPLQHHPGGVSSPAALASDLSSSGGRSLTSSSGSNSKATSAFGLLSPEAALQPPPATTAPFHNGADMKPPLLGLPSPTLLLNQHQPTPASTLFMPFPSFSDHQQQPLLQPPPKRHHSVPDNLFLLHNQPQPPPPAPAQCLPFPTLHSTVPFQLQPSMQHPRNAMKSTAAAAAQQQHLLDELAAAAKATEVGNSIGAREILARLNQQLPPIGKPFLRSASYLKDALLLALADGHHAATCLTSPLDVALKLTAYKSFSDLSPVLQFANFTVTQALLDEIASTTASCIRVIDFDLGVGGQWASFLQELAHRCGSGGVSLPMLKLTAFVSAASHHPLELHLTQDNLSQFAADLGIPFEFNAINLDAFDPMELIAPTADEVVAVSLPVGCSARTPLPAMLQLVKQLAPKIVVAIDYGSDRSDLPFSQHFLNCLQSCLCLLESLDAAGTDADAVSKIERFLIQPRVEDAVLGRRRADKAIAWRTVLTSAGFAPQPLSNLAEAQADCLLKRVQVRGFHVEKRGAGLALYWQRGELVSVSAWRC >OGLUM04G21080.1 pep chromosome:ALNU02000000:4:25032814:25034209:-1 gene:OGLUM04G21080 transcript:OGLUM04G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSKWICYNIGDEDLHGRRQGCAEHVVIQSRLRRLGRHGYQVSSISRYQGTRYWYLPIPGYRYQVLAQGTRYHVLAGTRVPGTGTCQYQGTRYQGENKHGLKEVDLAWVLRELKSPYPTEGVDLAWVLRELKSPYPTESPLIEEEKERERRGEEGTIWRLNVGEENESSL >OGLUM04G21090.1 pep chromosome:ALNU02000000:4:25043515:25045329:-1 gene:OGLUM04G21090 transcript:OGLUM04G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSKTRRMKPLGIQLYECVRGSPISFRSCQALVLILTFLSYASYHATRKTTSIVKSVLDPKTENLGMLHWPSHLYLQDLRDAQGNMTALYSGWAPFNADDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGFFTAAFGAGNWLNIHNFYYFLGVQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSVGNISGSLIAAAMLKFGWSWSFAVPGVMIAVVGLAVFLFLPVGPEMIGIEEDIHEKDAEKDDMSAPLLEERSASKEKAVGFIQAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGVYLSDTAAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSFSLYSNIALMFVTGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISAKSWSGVFTMLMASALVAGLLLSRLVMAEISAKMESRRPDAAASDLPVSSMEQS >OGLUM04G21100.1 pep chromosome:ALNU02000000:4:25050108:25055457:1 gene:OGLUM04G21100 transcript:OGLUM04G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTYPALIWHFGTHMVDDLLPVLCCVVIRGATAIGYRDIIGRLERPNLARYKQHDITDRCCATGSVLVDDIGNGAWLGGITPTSDLDCCHLTYLSVGPSLIQLQAELAEIFRDVRCETKGQDQNSEADSTDLPCQCRCVPLSKRFRPKLEQIWVLRLLRLLRRRPCIPPARRRVRLATAVSRARIQPRGERAEPRPVLGQREREAAAPALQSWSSCCCLEDFGQEPKIMASLADSFLADLDELSDNEAYPEEENAEAVGTEEIGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVENALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVISVTASTTSGKPLSEENLVKTIEACERALTLDAAKKKVLEFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTDVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDFEPKKKRGGDGLGEGYGMLGQAGSGKLRVSTAPSKLSAKITKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAHGNLLGSGTQSTYFSETGTFSKIKRT >OGLUM04G21110.1 pep chromosome:ALNU02000000:4:25055919:25075485:-1 gene:OGLUM04G21110 transcript:OGLUM04G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPRESLDSSPEPPPPGQDSDEQFSAVPLADEVRAEGSELDPDTSAGTSVAVTPARSEPSPPPPRRRRPRPLGVPPDAPQEVVRAVDDAIMVGGGAAAAAGVDRLHEMVSEEQGELPLTVVDVLLGTMGGADGLDEVEDKTGTGAPPSIMFNSRAAVVAAELLPYLPCGDEPSPRTRMAVGIHATLRACTRNRAMCSSSGLLPVLLDSVEKLLIGMGRASSWDGTPLLHCIQLLGGHSLSVKDLHSWLGLVKKALGTSWATPLMLALEKAMGSEEARGPAATFEFDGESSGLLGPGDSRWPFSNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESGGGRGKKASLHFTYAFKPQRWYFVGLEHTNKHGLLGKGDSELRLYVDGSLHESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFREPIGPERMGRLASRGGDVLPSFGNGAGLPWRATNDHVKNMAEESFTLNQQIGGCLHLLYHPSLLNGRLCPDASPSGSAGTHRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISNVQMDSLEPMLGELSIATASLSAPIFRIISLAIQHPGNNEELCRTCSPEILSRVLHYQLQAFPKMEGGEGEAVTDEELVDAIVSLCQSQRNNHELKVQLFSTLLLDLKMWSSCTYGLQKKLLSSLADMIFTEAACMRDANALQMLLDSCRRCYWAIREPNSIDNFALTGTKRSLGEINALIDELLVVVELLLGSASSTAASDDVRCLIGFVVDCPQPNQVARVLHLIYRLIVQPNISRANMFAQSFISCGGVDALLVLLQREAKAGNNSILDNSDALLSENDFLRNDDSDTKAASGEAKSQDDQIQSVELEQHESILHEEHTELGSTSTNDVPCEILGSSIGRKLSSSENQLLKNLGGINFSITADNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFASRAANPNLPGGLLTTVHEEGNTMSEDRVSLLLFALQKAFQAAPRRLMTVNVYMALISAAINVSSVDENLNLYDCGHRFEHIQLLLVLLRSLPYASRSFQSRAIQDLLFLACSHPENRTTMTSISEWPEWILEVLIYNHEDVEATIHCAEWLSMVGGSSTGDQRIRREESLPILKRRLLGGLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKTQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSRSLDSAVPSASMVSSAASRSNSLCRSGNEPMDAGTSRRSSLSTDAGGLPLDVLTSMADSNGQISAAVMERLTSAAAAEPYESVKHAFVSYGSCIADLAESWKYRSRLWYGVGIPSKSDTFGGGGSGWEFWKSVLEKDSNGTWVDLPLVKKSVAVLQALLLDDSGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMTLVSMREDDNGEGDAFTGNISIKDVISEGLGHQAGSMMPLDSNNRSSTRKPRSALLWSVLGPILNMPINESKRQRVLVASSILYSEVWHAIGRDRSPLRKQYIELILPPFIAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALSMISPGWAAAFASPPVALALAMMAAGASGTEAIAPPRTLNRRDTSVPERKAAPKLQSFTSFQKPIETAPNKHGSTPKDKAAVKAAALAATRDLERTAKIGSGRGLSAVAMATSGQRRSAGDIERAKRWNTSEAMSAAWMECLQSVDSKSVSGRDFSALSYKYVAILVSGFALARNLQRVEMERQTQADVLNRHRVSTGVRAWRHLLHCLTEMDRLYGPFGEPLCAPDRIFWKLDFTESSSRMRRFMKRNHKGSDHLGAAADYEERKLSNVAQSNECNPEGTEPLVTDTLPSTAPIITAEAMSVDDRNEDNEQLESDTTQSSVDDRLQQADQQSVKGSIDSRGSGISADRNLVRSTVIAPGYVPSDADERIIVELPSSMVRPLKVVRGTFQVTSKRINFIIDESANESNMDDHASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMDMEARKNAYRAIVHSKPPNLNDIFLATQRAEQILKRTQLTERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIIADYRSEILNLDDPCTYRDLSKPIGALNPERLEKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPYTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFANINSIDFGTTQLGGKLDSVNLPPWAEDPVDFVHKHRKALESEHVSAHLHEWIDLIFGFKQRGKEAVMANNVFFYITYEGTVDIDKITDPVQRQAMQDQIAYFGQTPSQLLTIPHMKRKPLAEVLHLQTIFRNPSELKSYLLPNPDRCNVPASTMFVSNDSIVVVDVNVPAAHVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKGSASSGEDYDFPRAIAFAASGIRSSAVVAVTCDKEIITGGHADGSVKLISPDGAKTIETATGHLAPVTCLALSHDSNYLVTGSRDTTVILWRIHQAGSLHKKNAPEPPPTAPTTPRSPSVSNLTEIRRRRIEGPMHVLRGHLEEVTSCSVSPDLGLVVSSSNMSGVLLHSLRTGRLIRKLNVAEAHAVCLSSQGVILVWNESKKRLSTFTVNGLPIATTVLSPFCGRVSCIEISTDGHFALMGTCSSSNYKCEDSTETGDHEPNEPNGKDGISKQAETRQSVHVPSVCFVDLHKLKVFHTMELGKGQDVTAIALNKENTNLLLSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQS >OGLUM04G21120.1 pep chromosome:ALNU02000000:4:25078939:25079702:-1 gene:OGLUM04G21120 transcript:OGLUM04G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDECKLKFQELKSKRSFRFITFKIDERTQQVVVDRLGQPGDTYDDFTASMPASECRYAVFDFDFVTDENCQKSKIFFISWSPDTSKVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >OGLUM04G21130.1 pep chromosome:ALNU02000000:4:25080309:25082615:-1 gene:OGLUM04G21130 transcript:OGLUM04G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VASCULAR-RELATED NAC-DOMAIN 6 [Source:Projected from Arabidopsis thaliana (AT5G52380) TAIR;Acc:AT5G52380] MANKRQREARKRFREANPGLFPANPTPPADGTKKKNNNKKSMFKKTSKAGGGGAGRSKHPLRVPGMRPGERCFICKAADHVAKVCPEKSLWEKNKICLLCRQRGHSLKNCPDKNDENLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKNCPENKHGIYPKGGCCKICGEVTHLAKHCPNRGRQDLISSGDDAFNTEEHHLEDNAVLRGGDDLDDDFIEEEETRHTKAKKAKQSSSKSTTETGDGDKNTNTKAKVKQAPKVVKFFG >OGLUM04G21140.1 pep chromosome:ALNU02000000:4:25083539:25085671:-1 gene:OGLUM04G21140 transcript:OGLUM04G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine racemase [Source:Projected from Arabidopsis thaliana (AT4G11640) TAIR;Acc:AT4G11640] MGSRGGSGGDGAESHGYAADIHSIREAQARIAPYVHKTPVLSSTSIDAIVGKQLFFKCECFQKAGAFKIRGASNSIFALDDDEASKGVVTHSSGNHAAAVALAAKLRGIPAYIVIPRNAPACKVDNVKRYGGHIIWSDVSIESRESVAKRVQEETGAILVHPFNNKNTISGQGTVSLELLEEVPEIDTIIVPISGGGLISGVALAAKAINPSIRILAAEPKGADDSAQSKAAGKIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDIIVVDDNAIVDAMKMCYEMLKVAVEPSGAIGLAAALSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >OGLUM04G21150.1 pep chromosome:ALNU02000000:4:25088877:25095145:1 gene:OGLUM04G21150 transcript:OGLUM04G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 5 [Source:Projected from Arabidopsis thaliana (AT1G63440) TAIR;Acc:AT1G63440] MFKPFHHKPAANQTIKIVRKENKNRSPLPQTRGGHANTLPMAASTRALFLSCFHGSGGGGGTSEVSRRLVLRPRYPSMPRRPRSAAVAGEGGEGGGGGDGDLEAAAVGAEEEEEKVAVFEVSGMTCAACAGSVEKAVKRLQGIHDAAVDVLGGRAQVVFYPAFVSEEKIRETIQDVGFEAKLIDEEVKEKNILVCRLHIKGMTCTSCASTVESILQVVPGVQRASVALATEEAEIRYDRRIVTASQLTHAVEETGFEAILITTGDDQSRIDLKVDGTLNERSIMIVKSSVQALPGVEDIKVDPELHKITISYKPDQTGPRDLIEVIESAASGDLTVSIYPEADGRQQHRHGEIKRYRQSFLWSLVFTIPVFLTSMVFMYIPGLKDGLEKKVINMMSIGELLRWILSTPVQFVIGRRFYTGAYKALSHGSSNMDVLIALGTNTAYFYSVYSILRAASSHNYMATDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATMLIYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVIILSLLTWLAWFLAGRLHGYPNSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVNTRLLKNMVLREFYAYVAAAEVNSEHPLGKAVVEHAKKFHSEESHVWTEARDFISVTGHGVKAKISGRAVMVGNKSFMLTSGIDIPVEALEILTEEEEKAQTAIIVAMDQEVVGIISVSDPIKPNAREVISYLKSMKVESIMVTGDNWGTANAISKEVGIENTVAEAKPEQKAEKVKELQSAGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSTRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKLGR >OGLUM04G21160.1 pep chromosome:ALNU02000000:4:25098018:25100554:1 gene:OGLUM04G21160 transcript:OGLUM04G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP09] MAAAPSATSVHDFTVKGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSSEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >OGLUM04G21160.2 pep chromosome:ALNU02000000:4:25098070:25100554:1 gene:OGLUM04G21160 transcript:OGLUM04G21160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP09] MAAAPSATSVHDFTVKGVQDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGSSEEIVQFACTRFKAEYPIFDKVDVNGNNAAPLYKYLKSNKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >OGLUM04G21170.1 pep chromosome:ALNU02000000:4:25103767:25108131:-1 gene:OGLUM04G21170 transcript:OGLUM04G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVGKYMELPDYLCLAPAPSDGDQAPASPATSLLFSASPLLEQATDKPADRKDHNGGAPFLPPRTLQRAGSPRQREGAVQIRGDRPAARSAGSGEEGAVSGAAEASGATHFHTDAKLPFDSPLAELTLTRKRQCPQPTYSRICPSRLGTVIKPTMEPVAVVAMPFPAQGHLNQLLHLSLQLASRGGVDVHYAAPAAHVRQARERVQGWDDAALRSVRFHDLGISTYASPPPDPAAASPFPSHLMPLWEAYTAGAPAPLVALLDKLSASYRRVVVVYDRINDFAAQEAARLRNGEAFVMYCLAVSMLARRIAPQEHQRILRENGLTNITVEDCATEFVDYIRRTRATKEMSPARGILTNTCRALEGEFIDVVAGNLAADGKKVFAVGPLNPLLHGNASKQGDQRQRHECLDWLDKQPPASVLYVSFGTTSSLRAEQIEELASALRGSNQRFIWVLRDADRGDIFAEDSGEIISRHAKLLREFTQHNEGSTGLVITRWAPQLEILAHDATAAFMSHCGWNSTMESLSHGKPILAWPMHCDQPWDAELLCKYLKAGVLVRPWEKHNEVTPAKDIQEAIEEAMLSDGGVAMRQRARELGDAIRASVAAAGSSVVAQRPG >OGLUM04G21180.1 pep chromosome:ALNU02000000:4:25107752:25108622:1 gene:OGLUM04G21180 transcript:OGLUM04G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGRWPATRALGRHLMGLERDTGRSIPTKSSKPFREDPPSATDARTASPSSFARRRIVIPFSGSIASSMTSWMASAGTTSLCFSHGLTRRPALRYLHTSSASHGWSECMGHARIGFP >OGLUM04G21190.1 pep chromosome:ALNU02000000:4:25108331:25109722:-1 gene:OGLUM04G21190 transcript:OGLUM04G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP13] MAIGTVESVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARLRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHLMLMLESFAVAARVPLAALLERLSASYRRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPEHRLVREHGLQFHPVEACMTKEFVELISRAEQDEENAASSGILMNTSRALEAEFIDEIAAHPMFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPLASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRANIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSYGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPWEKHSEVVPAEAIQEVIEEAMLPEKGMTIRRRAKELGEAVRASVADGGSSRKGLDDFVGYITR >OGLUM04G21200.1 pep chromosome:ALNU02000000:4:25108959:25114549:1 gene:OGLUM04G21200 transcript:OGLUM04G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCRVWPGVRAVASSSGFSGPAAKSFSSLNIGCAAISSMNSASSARDVFMSMPELAAFSSSCSARLMSSTNSFVMQASTGWNWRPCSRTSRCSGSNHPMLYDMATHCSPNASPFGRRAAWAAAKEFNRSYTTTTPGRQPLEQRGERDARRDGEALQHEHQVGREGRGGRVGGRGLVGGDVEVVEADGAERVGVPPVQAQPRLPEVRRRRRVVHVQPPRREQQRQVALRREGHRDNGHGFHRANRH >OGLUM04G21210.1 pep chromosome:ALNU02000000:4:25113103:25114494:-1 gene:OGLUM04G21210 transcript:OGLUM04G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP15] MAIGSVKSVAVVAVPFPAQGHLNQLMHLSLLLASRGLDVHYAAPPAHLRQARSRLHGWDPDALRSIRFHDLDVPAYESPPPDPTAPPFPSHMMPMIQSFNVAARAPFAALLERISASYSRVVVVYDRLNSFAAAQAARLPNGEAFGLQCVAMSYNIGWLDPEHRLVREHGLQFHPVEACMTKEFVELISRAEQDEENAASSGILMNTSRALEAEFIDEIAAHPMFKELKLFAAGPLNPLLDATARTPGQTRHECMDWLDKQPLASVLYVSFGTTSSLRGDQVAELAAALKGSKQRFIWVLRDADRANIFADSGESRHAELLSRFTAETEGVGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCKYLKAGLLVRPWEKHSEVVPAEAIQEVIEEAMLPEKGMAIRRRAMELGEVVRASVADGGSSRKDLDDFVGYITR >OGLUM04G21220.1 pep chromosome:ALNU02000000:4:25118579:25120388:-1 gene:OGLUM04G21220 transcript:OGLUM04G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEEKRGTGGRGDKKEKVPLGFRFEPKEELVEHPSPQPGPQAIASIASSDGEFSSPQPGPAMGTSEEASGNKRPAEEHAAVAQRPHQQRKLTMGGAPPPPPASYIGGAGGMQMPLRTAVHDNRAGHPMARPAGHATAPPPRQHATVNGPMRMPNGQVVYGDQMMMRRQMATAANNRRQMMFLQQLAARNGQQGMVVADNGQASSSQRPPPACNGQEALVVQGSQVASNGQMSPVQRQRAAMAAAYNNYQYHQMLLQQQRQQQAAMAYNLQAQHLQGREVVAHTTSAQQPPAMMPAQGAEVEQNGETKSSAQRAPAACNCPAHVQRPQARPFNNVPPTPLRPRPATAAPTNSGNSFDRILVMRRPPSPSVVQPRPAQETPEMHARRVLWQLVKELFRQRRIDQAQAAAAAEQERLMMTPPAQAPQQPCSDAVRCNDDGEKRSAEVATTEVASDGSASAEGNDRQLVAKIEVGMLIYSISLAKEVEAAAAVMVKGTDPAAAVLDGDFKDDNGCHHQDGGGGCGHDGRA >OGLUM04G21230.1 pep chromosome:ALNU02000000:4:25124003:25126062:-1 gene:OGLUM04G21230 transcript:OGLUM04G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTTPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQLCKGTDTQKKDAALEILKEIKVLMELSKEMRLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVEIKHDAKPSVTDSTKKSGQCLQGSYIVGGSPIGWNFLMWPGSSTRYCGLTRSEWLARQSAK >OGLUM04G21230.2 pep chromosome:ALNU02000000:4:25124005:25126062:-1 gene:OGLUM04G21230 transcript:OGLUM04G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTTPPPPPPPPSESTPTSDPKPPPPPPTSSTAAPKKRKLEEVGFHHSPYYNIRAAVANLRGRFIQLCKGTDTQKKDAALEILKEIKVLMELSKEMRLDLPTAAGPVKLMDEPTSRDARNMPAGKIPPGEKNQVRPADQAASFMHSSGEKVPLNPVEIKHDAKPSVTDSTKKSGQCLQGSYIVGGSPIGWNFLMWPGSSTRYCGLTRSEWLARQSAK >OGLUM04G21240.1 pep chromosome:ALNU02000000:4:25127339:25130626:1 gene:OGLUM04G21240 transcript:OGLUM04G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAPAHTCRYNFPWPIENRERLNFPWASPPFRFRSALTDKVAHTAAGPTCHCRAETVSGTNWRGSRPHGHTSVGLSGWGSHGHWPPPPKGSASAEDKSASSSGLLRPAARSSCRSTHSSAAHPPPPPQEQNQTCHEGFDNLVVRDEMIFSVSLFGSSHQSIHKLYRKCWSSNSHSTLNVLSLAKTVGQSMRMKRIRVKPHATDLKNRPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPASKKKLKKEEMVSSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEQSQLLAHEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAGQLGLEPDVCLVLGNSNSTIESAHTAGMRCVAVASRYPAYELQAANHVVRWLDQLSVADLQRIANGEILGLRGRRSDMDMDMEIVIEEITKH >OGLUM04G21240.2 pep chromosome:ALNU02000000:4:25127339:25130626:1 gene:OGLUM04G21240 transcript:OGLUM04G21240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAPAHTCRYNFPWPIENRERLNFPWASPPFRFRSALTDKVAHTAAGPTCHCRAETVSGTNWRGSRPHGHTSVGLSGWGSHGHWPPPPKGSASAEDKSASSSGLLRPAARSSCRSTHSSAAHPPPPPQEQNQTCHEGFDNLVVRDEMIFSVSLFGSSHQSIHKLYRKCWSSNSHSTLNVLSLAKTVGQSMRMKRIRVKPHATDLKNRPQAHEDNVFYKLVYRLPENLSWLLASPEMARRPASKKKLKKEEMVSSNQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDAVLKEIEGMRTDQAILEVLHWSEDPQEVQRLAARKEVIYKTLRGRFYQLRPGVLDFLNTLVDFDIPIAITTPRPRLSLEEGIKAVGLQGYFDAIVAAEDFCRGKPEGEMFEVAAGQLGLEPDVCLVLGNSNSTIESAHTAGMRCVAVASRYPAYELQAANHVVRWLDQLSVADLQRIANGEILGLRGRRSDMDMDMEIVIEEITKH >OGLUM04G21260.1 pep chromosome:ALNU02000000:4:25139705:25148073:-1 gene:OGLUM04G21260 transcript:OGLUM04G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEPLQPGTNHFRSLLAAAVRSISWSYAIFWSISTSCPGVLTWNDGFYNGVVKTRKISNSADLTAGQLVVQRSEQLRELYYSLLSGECDHRARRPIAALSPEDLADTEWYYVVCMTYSFQPGQGLPGKSYASNASVWLRNAQSADSKTFLRSLLAKTIICIPFTSGVLELGTTDPVLEDPNLVNRIVAYFQELQFPICLEVLMSTSPSPNETEDADIVSEGLITHNAIEEGQMVVSDECVSNANRDPITMEIDELYSIYEDLDLDMDLDLDTVRFLEDNGWPVNPSSFQLVPASSTEAVAAAAAANDVDGVANSQVSCFMAWKSAKSNEMAVPVVTGIESQKLLKKVVDCGARMSTGRGSRAALTQESGIKNHVISERRRREKLNEMFLILKSIVPSIHKVDKASILEETIAYLKVLEKRVKELESSSEPSHQRATETGQQRRCEITGKELVSEIGVSGGGDAGREHHHVNVTVTDKVVLLEVQCRWKELVMTRVFDAIKSLCLDVLSVQASAPDGLLGLKIQAKFACSGSVAPGMISEALQKAIGG >OGLUM04G21270.1 pep chromosome:ALNU02000000:4:25221445:25228339:1 gene:OGLUM04G21270 transcript:OGLUM04G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP22] MVAADFWPDLIIFCVRATAIFIRAPPDDLKVAVAFGNSFCSGHTYEGNAPAPPQNSPSILWKLAERWQVGALGQTHEINSAKLREMMRCNRGGAARRRAEWWADGAERGAVEGYRIASGLLGKVVDPLGLADDPKVFAELKVKEIKNGRLAMFSMFGFFVLAIVTGKRSLENLADHLHEPLRWVCADAVGEDEEQLLGVGSAPRAEPLPPSAPAADYRPFYCITAAAGPVRVRVAPPRSSPARRARSHPSLSDYYREIRPHAVRRRPAPPLRHRPPVCADLLCVPCMPPPPCPAPPPPPRFSPPPSSPSASAHRQPHRCARRPLPSPHRRAPVRMPREREGKSEREKRERKRGKGE >OGLUM04G21280.1 pep chromosome:ALNU02000000:4:25223975:25233172:-1 gene:OGLUM04G21280 transcript:OGLUM04G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLEMVGKQVGSTISGQIKLQWDFTDDLKDMKMTLESVEAFLKDAERRSVTEKSVRLWLRRLKNAMYDISDMINGFEADTTHNKSKIFSNLSISAKKKTAKDMRRMRGELKKITKQHRDFSFASENSSNIQKEDSSDRKTSPKVEETAIVGRIQEKREILACLSEKIFDTRFHYPCDVWHGRYWQDDLSTVFDLDKIETSIITQLSKRAPNMIDLDIVPPNLNIIIVLDDLWENDGFKLDNLKLKLKIGNGAKVIILVTTRDKSIATRFSNVEPYKLEPLTNDMCWKIIKQKSAFEGRYDREWLEHIGKDIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLRMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKIYSPKQLGEIYVDELLGMSFLQHSKWFAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGERYALLSDSTKPLHSFTKFPAKLRALCFVDCAKTELHYDAFSGAKYLRVLDLSQCFVQKLPDSISQLRQLRYLSAPGIQDTMIPDCITKLSKLVYLNLHGSERLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSRLKDVSEFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNECLTTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPESDSSLILLPRFAVQALDGGSGSNLVLLHNVNSATYLDISKLENVVTVEEAQSVRLKEKKMISTLTLEWTTDARRFVEDQDLLGELEPPRDLEWFSLEGYNSVAFPPWLMNIAPHHFSKLSRIDLVGLPKCTYLPPLGQLPLLNYLFLAEMNGITKIDGEFCGGAGAFPSLKDLYIFNMESLEEWQTKYSCSEGGGVSEFMFPILTKLEIRYCPKLRLKPCPSNRLVDLEIESSDNVISSCPIGACASTSSSVSVKRMVVKSCKLPLHQWRMLRQLAPQSSLVIESCSDVGSSSPEIAQALSSLKKLILRGNDDMTELPNWMGQLTCLEILVMSTRCLELKASQGVTRRLTSLTSLTLHKCECMVSLPEWLGDLPSLRFLSIRECPNLNNLQGIMDERLTSLKTLSLEYCESISVLPESLGELTSLNQLDITCCTNIKSLPESIHKLTKLFRLIVRKCPELKKWCESEENKTKFSNVLSKRVYSYH >OGLUM04G21280.2 pep chromosome:ALNU02000000:4:25223975:25233172:-1 gene:OGLUM04G21280 transcript:OGLUM04G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLEMVGKQVGSTISGQIKLQWDFTDDLKDMKMTLESVEAFLKDAERRSVTEKSVRLWLRRLKNAMYDISDMINGFEADTTHNKKKTAKDMRRMRGELKKITKQHRDFSFASENSSNIQKEDSSDRKTSPKVEETAIVGRIQEKREILACLSEKIFDTRFHYPCDVWHGRYWQDDLSTVFDLDKIETSIITQLSKRAPNMIDLDIVPPNLNIIIVLDDLWENDGFKLDNLKLKLKIGNGAKVIILVTTRDKSIATRFSNVEPYKLEPLTNDMCWKIIKQKSAFEGRYDREWLEHIGKDIARKCGGVALAAQSLGYILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLRMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKIYSPKQLGEIYVDELLGMSFLQHSKWFAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNGERYALLSDSTKPLHSFTKFPAKLRALCFVDCAKTELHYDAFSGAKYLRVLDLSQCFVQKLPDSISQLRQLRYLSAPGIQDTMIPDCITKLSKLVYLNLHGSERLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSRLKDVSEFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNECLTTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPESDSSLILLPRFAVQALDGGSGSNLVLLHNVNSATYLDISKLENVVTVEEAQSVRLKEKKMISTLTLEWTTDARRFVEDQDLLGELEPPRDLEWFSLEGYNSVAFPPWLMNIAPHHFSKLSRIDLVGLPKCTYLPPLGQLPLLNYLFLAEMNGITKIDGEFCGGAGAFPSLKDLYIFNMESLEEWQTKYSCSEGGGVSEFMFPILTKLEIRYCPKLRLKPCPSNRLVDLEIESSDNVISSCPIGACASTSSSVSVKRMVVKSCKLPLHQWRMLRQLAPQSSLVIESCSDVGSSSPEIAQALSSLKKLILRGNDDMTELPNWMGQLTCLEILVMSTRCLELKASQGVTRRLTSLTSLTLHKCECMVSLPEWLGDLPSLRFLSIRECPNLNNLQGIMDERLTSLKTLSLEYCESISVLPESLGELTSLNQLDITCCTNIKSLPESIHKLTKLFRLIVRKCPELKKWCESEENKTKFSNVLSKRVYSYH >OGLUM04G21290.1 pep chromosome:ALNU02000000:4:25251220:25254080:-1 gene:OGLUM04G21290 transcript:OGLUM04G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLETIEVFLKDAERRSIREESVRLWLRRLKNVMYNISDMIDGFEAETTRKVFDLDKIESSIISQLSKREPNMTDLEMVPPNMNIIMVLDDLWENDGFKLDSLKLKLKVGNRAKVIILVTTRDKTIAMRFSNVETYKLEPLTDDMCWKIIKQKRAFEGRGDRECLEHIGKEIARKCGGVALAAQSLGHILHSKRADEWESVRDSNIWNESTSEDTSSPHHMLASLKLSYLTMKPCLKICFGYCAMFPKGQRIVKDDLICQWICLDLIETSKVYSSKQLGEIYVNQLLGMSFLQHPESVERFEKLNEMFRI >OGLUM04G21300.1 pep chromosome:ALNU02000000:4:25260943:25293574:1 gene:OGLUM04G21300 transcript:OGLUM04G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPSTARYRSRAGPGRPFGQSQRTCPPVPVEQSVRRPCVATASHASKLAGDEEDPADRAAARLSISYSELKNSDETETEPNRGSSTQPCARVNRKHSFMSNFTLSKIAARFTSTRMDNKVCLGKQEDEAKGVKVLVAV >OGLUM04G21310.1 pep chromosome:ALNU02000000:4:25289053:25295299:-1 gene:OGLUM04G21310 transcript:OGLUM04G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEASSQLGSRKSVANTSHLLKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVTDRRETSSKMEEALIVGRNENRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMKCTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSTKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCASVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPVADVLGTLNKLEYLNLSSKFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDVPLH >OGLUM04G21310.2 pep chromosome:ALNU02000000:4:25289053:25295299:-1 gene:OGLUM04G21310 transcript:OGLUM04G21310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEASSQLGSRKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVTDRRETSSKMEEALIVGRNENRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMKCTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSTKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCASVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPVADVLGTLNKLEYLNLSSKFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRYLNLSNCLDDIIGYQIADQSNNFIECISTLSNLEHLDLSLNFTLRSLPESIGALRKLHTLDLSGSYNLERLPKSIGQIDSLKFLTVTNCRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDVPLH >OGLUM04G21310.3 pep chromosome:ALNU02000000:4:25289053:25295299:-1 gene:OGLUM04G21310 transcript:OGLUM04G21310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEASSQLGSRKSVANTSHLLKITMARRLKKMQNKLKKITEQHQSFGFTVVMEQRVTDRRETSSKMEEALIVGRNENRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMKCTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSTKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCASVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPVADVLGTLNKLEYLNLSSKFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRYLNLSNCLDDIIGYQIADQSNNFIECISTLSNLEHLDLSLNFTLRSLPESIGALRKLHTLDLSGSYNLERLPKSIGQIDSLKFLTVTNCRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDVPLH >OGLUM04G21310.4 pep chromosome:ALNU02000000:4:25289053:25292487:-1 gene:OGLUM04G21310 transcript:OGLUM04G21310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLKKMQNKLKKITEQHQSFGFTVVMEQRVTDRRETSSKMEEALIVGRNENRQKTLALLAENIMQEIIILPIYGIGGIGKTTLAKSVFNHNHFREYSQVWVYVSQLFDLKRIGNSIISQVSKRESQLTDLEMIHNCLGELIAGRKILIILDDLWENDQFELDKLKLMLKVGSGSKVTVIVTTRDEGIAKKFCTVTPYKLEPLTDELCWTIIKQNSAFEDRDDKEQLEDVGREIAIKCGGVALAAQSLGYMLQSRKFDAWVSVKNSDIWNESISGETPSPHHNVLSSLKLSYSSMHPYLRLCFAYCAIFPKGHKIVKEDLIHQWISLGFIEPSNIFSNRQVSEHYISQLLGMSFLQHSELPMTAGMHEKDGTLFSMHDLVHDVARSVMVEEILYANEKSNNGASNCRYALLMKCTKPLKFFANLPSRIRVLHILDCAQIALRGVSFSSTKCLRALDLSRCSIQSLPDSVGQLKQLRYLNAPGVQDIKIPNSITNLSKLSYLNLHGSSKISALPESIGNIEGMVHLDLSGCLGIEKLPESFRELRNLVHLNLSNCASVTGVSESLGNLTNLQYLNLSYCQNIGELPITLGGLKELRYLNLSFSSYLEGWPVADVLGTLNKLEYLNLSSKFSGLGKLPEALGSFTELEYLNLSGCRRIKVLPKSIGKLKKLVHLDLSHCYDVEGIPEALGSLTMLRYLNLSHCCRYGNRLHLQGPSEITCRRYRKRLHLIWLPEVLGDLSELRILDKSTLPRFSYSSILLPHFVVHPGGSESSSNLAQLQDLNPTDELQISKLENVKSTEETKKINLVEKRRIVDLKFDWTRNARRFVEDKEVLRELVPPSTLKQFALQGYSSASFPAWVMGIAPYLPNLLKIEMEDLPNCRILPPLGQLQNLQRLVFRKMDSIVKIDGGLCGGARAFPRMLEFSLCDMESLEEWNTMYSIGKDDKKEFMFPKLQRLEIRECPKLRLKPCPPRAVDWQIWSCDSVLSSWTDPDSAAVDVPLH >OGLUM04G21310.5 pep chromosome:ALNU02000000:4:25293931:25295299:-1 gene:OGLUM04G21310 transcript:OGLUM04G21310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGAMLASAVLKVATQKIGSAIGGQLMLRWSLTRDLEEMKDTMASIEAVLQDAERRSVRDAAVRLWLKRLKDAAYDISDLLDELEASSQLGSRKI >OGLUM04G21320.1 pep chromosome:ALNU02000000:4:25298172:25298672:1 gene:OGLUM04G21320 transcript:OGLUM04G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLPHCHGRRSSPPPLPYPTTPPSGIAVDPHSGAAFLTVGTRIYKVFVHPAKKATTAMYKRQFQDDLARFLQSRAREMKRSGTMFLACLCWSSTDVPASDGAFVDDRLELVRRGSPLVLNWTDDATEVGSVMANSCKAWLCLGTGARAVRPPEAVPAMAAWKKG >OGLUM04G21330.1 pep chromosome:ALNU02000000:4:25303767:25304240:-1 gene:OGLUM04G21330 transcript:OGLUM04G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGVLYDVPMVVLDLDGRPLAHSLPSSVTDNAALRAFLTSCSRPLPPALHPPPLPLPPLAAKAVEVVPKRSERIAAKMALEALEGPIHAVSRAQRNLMRKLGLVPERGPVMAEAVAAYNALFSKPLSQEHIIALSSLFSSSLPPAKAAKALVVCG >OGLUM04G21340.1 pep chromosome:ALNU02000000:4:25307227:25310013:-1 gene:OGLUM04G21340 transcript:OGLUM04G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAMKKIGFAVASEVTKQASAQFGKHKLQLTELQGSMGRIKIELHVMHNFLCQMDIRSRGNQVYQGWLEEVRKVVYVMEDMVDEYLHLVGHQSEFGCCFCLKRLFRQLPRSAPSLDRIASMVKEIEKNLVHLSETKDRWVLVTNNGVNSYSSYNIVQGPQDLISISRSLYQDDLVGIEDNKQQLVEWLEDGEPTHSVIVVHGMGGLGKTALASTVYRKAREKFDCDAWISISQTYTREDILRKLIIEIFKDQPTGPSNIAGMDMTSIQEELKSFLERMKYLIILDDVWTPQVYNDLLGALVPNLKGSKIIITTRNADVGHLTFPEMVLEIKRLSVDDSWKLFCKKAFLKRKCPEELKDLSEQIVSKCEGLPLAIVSIGSLLFVRDKTREEWKMIHDQLSWELVNNPRMEHVRNVLHLSYIYLPTNLKSCFLYCSLFPEDYILKRKNLIRLWIAEGFVEKRGGSTMEEVAQGYLKELIHRNMLQLVEKNTFGRIRSFKMHDIVRELAIDLCRRECFGVAYNCKHKCNQPLDEKNERRMVIHGLDKDTNQDILRECHLRSFIALDKRVITAFDKGILPLVVDKYRYMSVLDLSWLPVDNVPDAISDLFNLRHLGLRDSKVRLLPNSIEKLSNLLTLDLYSSKIQELPRGIVKLNRLRHLFADRENDRYGRDLRSRTGVCIPKGLGKLRELQTLQAIEVRDEGTVRRLGELRQMRSIRIFGVKGSHCKVLCESLLQMEFLTNLDIMASDENEVLQLNGLKPLPPNLQKLTLRGRLEQQGMILGAAAAAARGPNHSLYSIHLSWSQLVEDPLPNLSQWSKLTELWLTRAYMGEQLTFLQGWFPSIKKLYLRDMPNLKRLEIHQGTMEGLQQLLLLNLRSMVKVPPGIEFLQPTLNFLGFGEISRSFLAVLCRCSRLSGIRWSYSLR >OGLUM04G21350.1 pep chromosome:ALNU02000000:4:25313738:25318648:-1 gene:OGLUM04G21350 transcript:OGLUM04G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPPVQEEALQPGTNHFRSRLAAAVRSISWSYTIFWSTSTSLPGVLTWNDGFYNGEVKTRKISNLEDLTADQLVLRRSEQLRELYYSLLSGECDHRARKPVAALSPEDIADTEWYYVVCMTYAFRPGQGLPGRSYASNRSVWLCNAQSADSKTFLRALLAKTIVCIPFMSGVLELGTTDPVSEDPNLVNRIVAYLKELQFPICLEVPSSTPSPDETEDADTVFDGLIEEDQMVILQGEDELGDVVVAECETNGANPETITMETDEFYSLCEELDLDLGSYQLVPTSARETVAAAAAAANDVDGVAYSHASCFVSWKRANPAEKVVAVPMTAGIESQKLLKKAVGGGTAWMSNIDGRGSVAITTTPGSSIKSHVMSERRRREKLNEMFLILKSLLPSVRKVDKASILAETITYLKVLEKRVKELESSSREPSRWRPTEIGQGKAP >OGLUM04G21360.1 pep chromosome:ALNU02000000:4:25327596:25328044:-1 gene:OGLUM04G21360 transcript:OGLUM04G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPRRRSAALPPSPCSDLSSAAAGARIPLRTTTRASERHACGLPCEDMLLPHQDRDRGVRAAGRGDGRILPLIRFDSGGTCVLRLIFLYLPIQALLLQVKVSVV >OGLUM04G21370.1 pep chromosome:ALNU02000000:4:25330277:25335849:-1 gene:OGLUM04G21370 transcript:OGLUM04G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALSPEDLGDTEWLPGKSFASNEFVWLTNAQSADRKLFHRALIAKISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHSNAAVEATTKTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTADSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDGSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTIVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKFASSAAVEPGMITEALRKAIAS >OGLUM04G21370.2 pep chromosome:ALNU02000000:4:25330277:25335849:-1 gene:OGLUM04G21370 transcript:OGLUM04G21370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTADELVLQRSEQLRELYDSLLSGECGHRARRPVAALSPEDLGDTEWLPGKSFASNEFVWLTNAQSADRKLFHRALIAKISEDPALVDRIAASFWDTPPRAAFSSEAGDADIVVFEDLDHSNAAVEATTKTVPGEPHAVAGGEVAECEPNADNDLEQITMDDIGELYSLCEELDVVRPLDDDSSSWAVADPWSSFQLVPTSSPAPDQAPAAEATDVDDVVVAALDGSSIDGSCRPSPSSFVAWKRTADSDEVQAVPLISGEPPQKLLKKAVAGAGAWMNNGDGSAAAMTTQGSSIKNHVDKASILAETIAYLKELEKRVEELESSSQPSPCPLETRSRRKCREITGKKVSAGAKRKAPAPEVASDDDTDGERRHCVSNVNVTIMDNKEVLLELQCQWKELLMTIVFDAIKGVSLDVLSVQASTSDGLLGLKIQAKVVISAANSDIGHIIVAWMQFASSAAVEPGMITEALRKAIAS >OGLUM04G21380.1 pep chromosome:ALNU02000000:4:25341116:25341555:1 gene:OGLUM04G21380 transcript:OGLUM04G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRRRRRREWERRRGGWRRRRRRRGHSMDWEGRRGADRGTRRGATHGHLGVGAEAPPISVVARAPASPLQLSLAPPPPPPGIRSGRPGPGVGAAAPSGPAVVAHGELRPSRDRGRGKASVVEERGRGKS >OGLUM04G21390.1 pep chromosome:ALNU02000000:4:25343128:25344394:-1 gene:OGLUM04G21390 transcript:OGLUM04G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPRAEEISRPSRSLSKAVRRRADAVSAKLAARGLGAFVWQKKLERDLARGILPDIVSLRDERRRCLARRREAAGVNASAAASRRRSPPPILDASRARAAAEEEAKEAAFLLDQSRLRAEARFASGRPKPIDELKELGEEIAAYADLDRANEPFWAAAKAMCNAEIEQAATGTGTAGHGDRALHSAVFADVKSVVEGKSLDELDAMQHAIAARMATGEAKVVEHWQEVTELIRVEKAKKYLEQHYTCDAPPPPPDNDGGGEDADEEGSETLRPVALPPPPGSELRKPKYIARVRSGFEWNKYNRAHYDHDHPPPKTVKGYKFVLYYPDLAGGKPPQYTVDEDGSNSGGGETCVIRFHAGWPYEDVAFRIVNKEWEYSRKAGFRCTFDTGVLHLNFQFKRFFYRR >OGLUM04G21400.1 pep chromosome:ALNU02000000:4:25346130:25353746:1 gene:OGLUM04G21400 transcript:OGLUM04G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREEVTEFLGQVPLLQCLPSSSIRRIADAVLVKRYEPGGYVAREGDPVDGLYIILDGQAEVSAPANTEEENRPDYLLNKYDYFGYGTNSSVHQVNVIAVSKLTCFVLPNQYGHLLQPKTIWSAEETPENHSLLEQILHLEPLEVDIFRGFTLPGAPTFRQALAAASKTVDCLKAVHSLHAIFLIAGDKNLPIIYQVHRARDGTSFATRKVEAKQKGLVIFTLIASFQKDELGFEHQAAIMPDVPPPEELLNLEEIRERRLTDPRFPMQYRNSAAKKKFVPWPIEMRFCEDSASQHKPSLNYWFRARGKLSDDPALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIDSPSAHGGRGFVTGRMFNRQGELVMSLTQEALIRRAKTPGQPSQTPRPKI >OGLUM04G21400.2 pep chromosome:ALNU02000000:4:25353067:25354624:1 gene:OGLUM04G21400 transcript:OGLUM04G21400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWDFVNSLTSKSGDKQLHYAGSAHTEEAGAEGGEAGGGGVEEAGGVFAAVLEEAAAQTVHLPLQLLHVLLLSRLVLPGRGGGSGRGDLALPVAALGSSSAWGERGARHGGELGAREAAASGDQREMLERKERSGAERRIQFGRWERWDFG >OGLUM04G21410.1 pep chromosome:ALNU02000000:4:25352583:25354493:-1 gene:OGLUM04G21410 transcript:OGLUM04G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASLTPSRTGAQSSHRKRKVPAAAAAAPAREDEAAEEEDMEELEREVDRLGRRLLEHRREDAARLLDAAASRLTALRPRLLEVTTASQHIAGTPVAKVDQEKKEKLRIVKAKSEANIGAMPMVLKRIGESIAKIEKLEHLNYAILRLHVYVL >OGLUM04G21420.1 pep chromosome:ALNU02000000:4:25357684:25358091:-1 gene:OGLUM04G21420 transcript:OGLUM04G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASPGRLPATMAEEGGDDGEADVDASPYGRRTTTARGGGAAAMASSCWGRLGLAALWHRLRQLSVARRRRRHGGGGGGRSILGAGELNYDPLSYAQNFDDGCLEPDFTVTARFAPPRSAGSPRLPPAAPAAASA >OGLUM04G21430.1 pep chromosome:ALNU02000000:4:25359528:25361909:1 gene:OGLUM04G21430 transcript:OGLUM04G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRNHGRCSLPRLAVGAAVLLLAVSLAATPAASHAGHDDTGLHSNYLVIVRKPYAYDTNLYKNVSSWHASLVASVCDMAKEALERDPSSVSRLIYSYRNVVNGFAARLTPEEVEEMSKNDWFIRADPEKTYQLQTTHTPQLLGLMGGARRGGVWNTSNMGEGIIIGILDDGIYAGHPSFDGAGMKPPPAKWSGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPINEGQHGTHTSSTAAGSFVPGANVSGYAVGTAGGMAPRAHIAFYQVCYVEKGCDRDDILAAVDDALEDGVDILSLSLGDEQAGDFSDDPVSLGGYSAAMHGVLVSAAGGNTGPGPSTVVNEAPWVITVGAGTTDRRFVATVKLGSGVSLDGESLSEPKDFGAEMRPLVHDVGDGMCTTESVLRAMNVTGKIIICDAGGDVSVAKAKLVLRSGAAGMIVIAPQVYGSVIVPRPHVLPTVQMPFMIGQKIKAYIRSTPSPTANFIFKGTVFKAKSPVAAPFSSRGPNRRSRGILKPDIIGPGVNILAGVPKIEDLALGAEEVMPKFDIKSGTSMAAPHISGVAALIKNAHPTWSPAAIKSAMMTTADYTDNLRKPITDVDGAPATYYAIGAGYVNARKAIDPGLVYNLSSLDYIPYLCGLGYKDQKVNSIIHPGPAVECAKMPKVDQKDLNYPSITAVLDMEPYEVSINRSATNVGAATSTYAVEVDVPATLAVEVNPAKLEFRALNEVLNYTVTVKTASGKAPASTIEGQLKWVSGKKYVVRSPILVCAGTGGKSAASMGAAPA >OGLUM04G21440.1 pep chromosome:ALNU02000000:4:25363366:25366222:-1 gene:OGLUM04G21440 transcript:OGLUM04G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFKLSLLSFLPFVFVLAIAVEATGDEIGTFIVHVQPQESHVAATADDRKEWYKTFLPEDGRLVHAYHHVASGFAARLTRQELDAVSAMPGFVSAVPDQTHTLQTTHTPQFLGLSAPPPPQGKRWSSSSHGGSGAGAGVIVGVIDTGVFPDHPSFSDAGMPPPPAKWKGRCDFNGGSVCNNKLIGARTFIANATNSSSSYGERLPPVDDVGHGTHTASTAAGAAVPGAHVLGQGLGVAAGIAPHAHVAVYKVCPNESCAISDILAGVDAAIADGCDVISISIGGPSVPFHQNPVAVGTFGAMEKGVFVSMAAGNAGPNVSSVINDAPWMLTVAASTMDRSIRTTVRLGNGLYFDGESLYQPNDSPNTFYPLVYAGASGKPSAEFCGNGSLDGFDVRGKIVVCEFGGGPNITRIIKGAVVQSAGGAGMILPNHFPEGYTTLAEAHVLPASHVDYVAGLAIKAYINSTANPVAQILPRGTVLGTTPAPAMAFFSSRGPSVQNPGILKPDITGPGVNVLAAWPFQVGPSSAQVYPGPTFNIISGTSMSTPHLSGVAAFIKSRHPHWSPAAIKSAIMTTADITDRSWNPILDEQRAPANFFATGAGHVNPEKAADPGLVYDIAPCDYVGYLCGLYTSQEVSVIARRPVNCSAVAAIPEHQLNYPSISVKFPRAWNSSEPVLVRRTAKNVGEVPSEYYAAVDMLDTTVTVRVFPRTLRFTGVNQEKDFTVVVWPGQGGARVVQGAVRWVSETHTLHSK >OGLUM04G21450.1 pep chromosome:ALNU02000000:4:25387794:25392056:1 gene:OGLUM04G21450 transcript:OGLUM04G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPSTTCDESSEVDARDDYGDIDDVEQRRGRHRREASSDVSSECSGEPGSPYGSPYPRWPVCSIAKAPPPPPPPLLQKLGAARRGAGRDRKAGDGELQLIKERFSKLLLGEDMSGSGKGVSTAVAISNAITNLYATVFGGCHRLEPLLAEKRSMWRREMDCLLSVCDYIVELFPSKEIMPDGTVREVMATRPRSDIYVNLPALEKLDDMLLEILDSFQKTEFWYVNDKGQKDSCAAAAAAPCRPVSHRDGDKWWLPVPCVTKPGLTESARRDLRQKHDCASQIHKAAMAINNGVLAEIRIPELYKQTLPKCGRASVGDLIYRHMSFPGKFSPEYLLDRLEISSEHDALEAADRVEAAMHVWRRKASQGHSRSPWSAVKELMESDKNVMLASRAGDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILEGYSRVLESLAYNIVTCIDDVLFADESARKI >OGLUM04G21460.1 pep chromosome:ALNU02000000:4:25391108:25394834:-1 gene:OGLUM04G21460 transcript:OGLUM04G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSYKQRCKSEKVHMGCMSGLIHMFDFRRSPKLISDGTIRRSSVRSDLKGSEDFHGIIFSDEDKDYGVKTIHASRPSIKALMEEEMASGTQILKETQRNIFGIRSDDLKSVNLQEGSDVDLDLATSLMELYRNHNGSRDIITSEVSDHSSSLIDKEHNTDASTHPKQISCSIEKALEAVAEAVITHQSANGKYTSSTYEARPNEFLDALQLLSANEEFFLMLLKDPSSRMLQCLQNLYTALGNPMLELAEDDKQTKSKVTINSLEQSEVSKYSVQKTHNFFLKEDKLVMRRPPKLNDSPRGVSRIVILKPSPGRSQTSLISSSAMSSPVQTRADLQGQEESDKYARHFSLRELKRRLRLAINNNRKDVMSSTFQKDDSTQQFILESMSTSMDSSECEKAEKPSIVDKKTIPEDSGSGMGNDATHCASSFFYEKAKKHLIERLDNQKNDTSQIVHKSEPFGKLLSYSENDTFSQTDCPQEDVKLSEDSTASSALLTTEQEDISSNSDPPMKFGELIPSDTSTSANTQLDEFKTDHASHPVKEGTISQELTSEGIDSMNDATDTPQVSIQIETSTESLEQINTDQCFAEESQTMNALPEVSLHTPEKVNEQYNHSPSAVVGLTKPSILTFSCSPENADDKEERLSPQSVLDSFLGDGISPSHKTRTQDELSMPSTRILFKEDDTPSGTPTLQNTPQEAILDDKQARLSFIKVVLEASDFLSEESSEIWYVDGSLLDTSVLAEVGTLYCLTDDAVFLFDCVEEALCKIRDNFFGCDPWVAYLKHSVRPAPVGTGLIQEVDNCIDSLVSDEVPSTLDRVVLKDLESGSWMDLRVDTEEVAIEVWDTLLDDLLEEMVFDLWL >OGLUM04G21470.1 pep chromosome:ALNU02000000:4:25401934:25405710:-1 gene:OGLUM04G21470 transcript:OGLUM04G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP51] MGPTDPPSPYPIVETCDVCGPRHVQVRNSETTVAVAAAACFKAGFLPPTAWAHAPPERRVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >OGLUM04G21470.2 pep chromosome:ALNU02000000:4:25401936:25405710:-1 gene:OGLUM04G21470 transcript:OGLUM04G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP51] MGPTDPPSPYPIVETCDVCGPRHVQVRNSETTVAVAAAACFKAGFLPPTAWAHAPPERRVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >OGLUM04G21470.3 pep chromosome:ALNU02000000:4:25401936:25405710:-1 gene:OGLUM04G21470 transcript:OGLUM04G21470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP51] MGPTDPPSPYPIVETCDVCGPRHVQVRNSETTVAVAAAACFKAGFLPPTAWAHAPPERRVGPASPPPGANGNPSRRLGRSPRRRSSSPPAQPSATNHARAPPSRLRSPRRATTQPPRPSAARKGGGGGGRRLTANGAPPACGCGRPRSRTRRRVAVPDAPSSRALSPHSEAIGGGLRRSRRLQRRGCRQEEPVVNSGTADLVDFNWETLGFQPVPTDFMYVMRCSEEGVFTKGELVPYGPIELNPAAGVLNYGQGLLEGLRAHRKEDGSVLLFRPDENALRMRVGADRLCMPAPSVEQFLEAIKLTILANKRWVPPTGKGSLYIRPLLIGSGAILGVAPAPEYTFVVFACPVGHYFKDGLSPISLLTEEEYQCAAPGGTGDIKTIGNYASVITFSFLQAESAVYAKERAKERGHSDVLYLDPVHKKFVEELSSCNIFMVKDNIISTPLLTGTVLPGITRRSIIEYARSLGFQVEECLITIDELLDADEVFCTGTSVVLSSVGCIVYQGRRVEYGNQKFRTVSQQLYSALTAIQKGLVEDSMGWTVQLN >OGLUM04G21480.1 pep chromosome:ALNU02000000:4:25423535:25423786:-1 gene:OGLUM04G21480 transcript:OGLUM04G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRSTIVVVAEDDHGAGDGFPEDDLGAGDGFTEDDLKAAEQLMQLRCSGGWQEEQADDDDDGGDWWGRKRKRPRYRSLSEL >OGLUM04G21490.1 pep chromosome:ALNU02000000:4:25425538:25427977:1 gene:OGLUM04G21490 transcript:OGLUM04G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTIAKHSTHSLRVCLVAMMRWDGIEPSHPRWCLVYGSGGLCWTQEGIFSQYAGWDGSTKSVGRGGTGRTQTLAHRTHRGRGGEGIAPFSCFLSRLRGRRGRSS >OGLUM04G21490.2 pep chromosome:ALNU02000000:4:25425734:25427977:1 gene:OGLUM04G21490 transcript:OGLUM04G21490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLENRSILTRYDAVAEKRDDAPYRRFGRRKREESAGFSLHAITSGDTVSPAGERGGHCSSARQCVRPLVAIRARVQILRKTVRFAASVPHESTSNYVSHGNLARAKQSQHSSCTYYLNGLGSPRAEPMGGCDGAGDAATCRSCATAGSRGHDW >OGLUM04G21490.3 pep chromosome:ALNU02000000:4:25425612:25427977:1 gene:OGLUM04G21490 transcript:OGLUM04G21490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTIAKHSTHSLRVCLVAMMRWDGIEPSHPRWCLVYGSGGLCWTQEGIFSQYAGWDGSTKSVGRGGTGRTQTLAHRTHRGRGGEGIAPFSCFLSRLRGRRGRSS >OGLUM04G21490.4 pep chromosome:ALNU02000000:4:25425734:25427977:1 gene:OGLUM04G21490 transcript:OGLUM04G21490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLENRSILTRYDAVAEKRDDAPYRRFGRRKREESAGFSLHAITSGDTVSPAGERGGHCSSARQCVRPLVAIRARVQILRKTVRFAASVPHESTSNYVSHGNLARAKQSQHSSCTYYLNGLGSPRAEPMGGCDGAGDAATCRSCATAGSRGHDWLGGWSSEIVFQRTASCVVKMDQRSRLLIFAAAAKFVDFNFV >OGLUM04G21490.5 pep chromosome:ALNU02000000:4:25425538:25427977:1 gene:OGLUM04G21490 transcript:OGLUM04G21490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVWTIAKHSTHSLRVCLVAMMRWDGIEPSHPRWCLVYGSGGLCWTQEGIFSQYAGWDGSTKSVGRGGTGRTQTLAHRTHRGRGGEGIAPFSCFLSRLRGRRGRSS >OGLUM04G21500.1 pep chromosome:ALNU02000000:4:25431211:25434362:1 gene:OGLUM04G21500 transcript:OGLUM04G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEEDVRLGANKFSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFMATFLFLYITVLTVMGVNNSTSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQKGLYETTGGGANVVAPGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNRGHAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >OGLUM04G21510.1 pep chromosome:ALNU02000000:4:25435489:25442184:-1 gene:OGLUM04G21510 transcript:OGLUM04G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNKSAKSFRKTNLKGDRRINPQSASGIFCAPPHRPSGRRETGQRESRAAPDPTSTSTSTVASPYFLLSERAAQANTTLLEQNRLLLSSPRRPGPFSVATLLSPLPFPIPPLSASSSSPFARTTTTTTTTASRFPSGFPSACRISPPPASSPRRGGAPSFSKDAKKTTKENLIDTFHRLISPNDQKGSTKSKRSCRRGNDSSVEKSCRSTTVSRPTSPSKEVSRCQSFSADRPHAHPLPIPGVRPPVTRTVSDITESKPILEKRGKPPLLLPLPKPNRPPRRHGNSEVVSEIVVASPSSNCSDSDDHGDSQLQSPVGNDAENATLVTLKNKSSNARKECPGPITAKNMKEIHRPANQVHGSHILSTSPRGVAADSYQSNLQNPRPLVLDSAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHTDVTFVGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRSSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGMAPESPTNRHDDGKKKQTHKLPLPPLSISHSSFHPNNSTPTSPISVPRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTLFLDDPKSKESAKQLGQEISLLSRLQHPNIVQYYGSETVDDKLYIYLEYVSGGSIHKLLQEYGQLGEQAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEPGKDFIRKCLQRDPSQRPTAMELLQHPFVQKAVSLEKSVLSEPLEHLAVISCRPSAKMAAHTRNISSLGLEGQTIYQRRGAKFSSKHSDIRIRSNISCPVSPCGSPLLKSRSPQHSNGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLKQSTYSNEGFAIPSRSPDDLFASRPTDPDLGQFIRVHQVSQGLQERVVSEADILSPQFGKRLGNVFDLRDKLSPSERFTHHAFVDHVKLNPSLDLTSGSPHLGLKHGN >OGLUM04G21520.1 pep chromosome:ALNU02000000:4:25445570:25447207:-1 gene:OGLUM04G21520 transcript:OGLUM04G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTWAVVVAAVAAYMAWFWRMSRGLSGPRVWPVVGSLPGLVRHAEDMHEWIAANLRRTRGTYQTCIFAVPGLARRGGLVTVTCDPRNLEHVLKSRFDNYPKGPFWHGVFGDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHSRLLPILSDAAAAGGGGGGATVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRFKKWMGLGMETTLARSVQHVDRYLSAVIKARKLELAAGNGKGDASSATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCTVLAASRGADDPALWLAAPLNFEELDQLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSVTYSIYSAGRMKTVWGDDCLEFRPERWLSADGTKFEPHDSFRFVAFNAGPRICLGKDLAYLQMRNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPIVDELRGAGEYAAAARATAACA >OGLUM04G21530.1 pep chromosome:ALNU02000000:4:25449006:25455129:1 gene:OGLUM04G21530 transcript:OGLUM04G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEEGVGGVEEVVAVWRDERREGLLGEPRVEGLAPPGEEVVADEDVVHLLDAAHVGDHARLDLLVGEGVRREARGERGDRLRCRLPVDHDDVVGGGGGGRRLGGRRRSDGDGRGGGAGGELAAEAGALALVGEGGVGEDKGGGGRGIGGLVGVGVRWKGGEEREGGVGRWLVRHGRRRRRRRRRQSRRACSINLVGV >OGLUM04G21540.1 pep chromosome:ALNU02000000:4:25449146:25454809:-1 gene:OGLUM04G21540 transcript:OGLUM04G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10390) TAIR;Acc:AT3G10390] MSDQPPPYTPLPLLSSFPPNPYPDQTPDPASTPTLVLPNPAFPNKRKRTGFRRKLPSGSPAAPVAVAASPSAQPPPPASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTKHNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIFGGQASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSTPGRSISDGTLLRRRRARGEPWKAEAESAHTKRVGREEGRGRRRAAPWRWRTAHDGNEATRGSGGGVRRLVGLVKCSGHRRRAAVLLDRRGPISLDIRSDPAQ >OGLUM04G21540.2 pep chromosome:ALNU02000000:4:25452048:25454809:-1 gene:OGLUM04G21540 transcript:OGLUM04G21540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin containing amine oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G10390) TAIR;Acc:AT3G10390] MSDQPPPYTPLPLLSSFPPNPYPDQTPDPASTPTLVLPNPAFPNKRKRTGFRRKLPSGSPAAPVAVAASPSAQPPPPASAADDIIVINREPTAEAVTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLTRWRETFNSWLAKESFATLIPPHCDHLLNAAYSFLVSHGHINFGVAPAIKERIPKEPTKHNTVIVVGAGLAGLAAARQLVAFGFKVVVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEGTFNKLLDKSSLLRASMGDVAMDVSLGAALETLRQTDGDLSTDQEMNLFNWHLANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVPLGVLKNGGVKFVPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLTEDPSHRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLKILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARAAKSKVEKGPSTNTQACAALLMDLFRQPDLEFGSFSVIFGGQASDPKSPAILKVELGGPRKKGATEGGKADQHHSNKLLFQQLQSHFNQQQQLYVYTLLSRQQAMELREVRGGDEMRLHYLCEKLGVKLVGRKGLGPGADAVIASIKAERNSSRTKTRPSKLKIGIPKSKS >OGLUM04G21550.1 pep chromosome:ALNU02000000:4:25456133:25459124:-1 gene:OGLUM04G21550 transcript:OGLUM04G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-L-fucosidase 1 [Source:Projected from Arabidopsis thaliana (AT2G28100) TAIR;Acc:AT2G28100] MATILLLLLGLLVGLPLLRAHGVTGSAAPTPPPLPVLPVPSYAQLQWQLSEMALFLHFGPNTFTDSEWGSGRADPAVFAPSALDAGQWARAAAAGGFGRVVLTAKHHDGFCLWPSALTNYSVAASPWKGGAGDVVGELAAAARAEGIGLGLYLSPWDRHEPVYGDTVAYNEHYLGQMMELLTRYGDVEEVWLDGAKGEGKDMDYMFDAWFALIHQLQQRVVIFSDAGPDTRWVGDEAGVAGYTCWSPFNKSTVTIGHIIPEYSRCGDPFGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISTEDMQVLQEFTEIRQTIFSQNFAANATVTASTVRGGLGNQQFAPSNVLQESIYSYWAPEEGQSSWEMLFDLGQSASFNVIQLQEPIQMGQRVIKFRVEILVDELWQTIVEGTTIGYKRLFQFPVVEGQFLKLSIDSARADPLISFFGVFMDSFSVTYSLENHEKPSVVNSSEVIMLRTDHSFGNKSIATM >OGLUM04G21560.1 pep chromosome:ALNU02000000:4:25460027:25473093:1 gene:OGLUM04G21560 transcript:OGLUM04G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVVTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEVGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVIDFDNTDTAWMKYDAMSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDIIFSPWLKSLELQGLKFYGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSMIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRCPKSTMHFLPGSYKYTMRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >OGLUM04G21560.2 pep chromosome:ALNU02000000:4:25460027:25473093:1 gene:OGLUM04G21560 transcript:OGLUM04G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVVTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEVGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVIDFDNTDTAWMKYDAMSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDIIFSPWLKSLELQGLKFYGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSMIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRCPKSTMHFLPGSYKYTMRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSDMTKRFILYNSFRKKISKATGWY >OGLUM04G21560.3 pep chromosome:ALNU02000000:4:25460027:25473093:1 gene:OGLUM04G21560 transcript:OGLUM04G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCAPIATAPVAGGRFPPPSLRCRRRRGRSVRADASPEPVVTQRKKVAVAGAGWAGLAAAHHLVKQGYEVTLLGAESGPTEEVGLRGFWYPYRNIFSLVDELGISPFTGWTKAAYYSSQGLAVEFPIFHDQPRLPAPFGMLTYPEFPSLPLIDRLTSIPVMAAVIDFDNTDTAWMKYDAMSARDLFKMFGCSQKLYKEIFQPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEDIIFSPWLKSLELQGLKFYGNRVPTSLAINKDTACISGIVCGEEVHEADAFVLANGLSSLQYIIKNSPFLQSRQEFVNLLHLSMIDVVSIKLWFDKKITIPKVANVCSGFDDPSGWTFFDLTSIYDDYADKSTTIVEAEFYNASHLLPLNDEQIVSEASSHLIKCIQDFEEATVIQQLVRRCPKSTMHFLPGSYKYTMRGSTTLPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGDGDFAKIIAVEEDEPHIETARNLNRRANELKTQIPFSEFFL >OGLUM04G21570.1 pep chromosome:ALNU02000000:4:25464231:25466776:-1 gene:OGLUM04G21570 transcript:OGLUM04G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYEIPITSGTMRRPASTAERSKARGGDEPGTWRRPSFPRHGAPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRPMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >OGLUM04G21570.2 pep chromosome:ALNU02000000:4:25464231:25466776:-1 gene:OGLUM04G21570 transcript:OGLUM04G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-dependent protein kinase 29 [Source:Projected from Arabidopsis thaliana (AT1G76040) TAIR;Acc:AT1G76040] MGNCFTKTYEIPITSGTMRRPASTAERSKARGGDEPGTWRRPSFPRHGAPPHRPPTGSSSAAGALSRRASGGGGEMGPVLQRPMVSVRSLYQLDRKLGSGQFGTTYLCTERATGNRYACKSVSKRKLVRRTDVDDVRREITILQHLSGQPNIAEFRGAYEDNDHVHLVMEFCSGGELFDRITAKGSYSERQAAAVCRDILTVVHVCHFMGVIHRDLKPENFLLASADDDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLQRNYGKEADIWSAGVILYILLCGTPPFWAETEKGIFDAILVNQVDFSTSPWPSISESAKDLIRQMLHRDPQKRITASQALGSTPEFVANSLNGCLHAAEHRWLKEGGASDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDRSGTITVEELKVGLTKLGSRISEAEVQKLMEAVDVDKSGSIDYSEFLTAMINKHKLEKEEDLLRAFQHFDKDNSGYITRDELEQAMAEYGMGDEANIKQVLDEVDKDKDGRIDYEEFVEMMRKGIQT >OGLUM04G21590.1 pep chromosome:ALNU02000000:4:25485075:25486106:-1 gene:OGLUM04G21590 transcript:OGLUM04G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMTKQEILLEKKRVITVQGRDKAGRPIVRIVGKNFPGKVAETRHTRQYVRVGLVTRAARELGGGGHAEAALKGYVRRRVTPAIGEAEFVVVYMHSGVDRRENFPGVGAVRTAYESMPAAVRERLHAVYFLHPGLQSRLFFSTLGRRLEYLWAHVRKGELDVPEAVRRHDDELEQRPLMDYGIEASERCGVFDAASMDTTASLHSLRCAS >OGLUM04G21600.1 pep chromosome:ALNU02000000:4:25514211:25519084:1 gene:OGLUM04G21600 transcript:OGLUM04G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLVGGEEPDVLLDPNTFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLSNKNAPKYKLSRIDVNEPHSWMDILPEDKKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDHTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADRYAFAAKMMGISWID >OGLUM04G21600.2 pep chromosome:ALNU02000000:4:25514211:25519084:1 gene:OGLUM04G21600 transcript:OGLUM04G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAADKPLPALRYPPARRDDDIVDDYHGVTVPDPYRWMEELESEEVKGFVDAQAAVAEAVLSTCDDHRVRLRGQLTALFDHPRYRAPFKRAGSYFYLHNPGLQPHSALYVQHGLVGGEEPDVLLDPNTFSDDATVSLAMFGVSHDGEHLAYGTSASGSDWVTIRVMRVRDRRHLHDEICWVKFSAIAWTRDGKGFFYSRFPAPKNDGAPLGAGIKTSVNLNHEVYYHFLGTDQSEDLLCWEDPDHPKYIYTPEVSEDGKYVILSVAETSEPVNKLYYCDLSALPDGLEGMKGNHGNAMLPFVKLVDEFEAYYALIANDDTQFTFLSNKNAPKYKLSRIDVNEPHSWMDILPEDKKAVLESACAVHGDKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGSVNGISGRRDNSEVFIEFASFLTPGIIYRCDVSKETPEMNIYREISVGGFDHTDFEAKQVFYPSKDGTKIPMFIVSKKSIVLDGSHPTLLYGYGGFGMNMTPHFSVTRIVLMRNLGFVSCIANIRGGGEYGEDWHKAGSLANKQNCFDDFIAAGEFLVSAGYTNPSRLCVEGASNGGLLVAACINQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSEKEEEFHWLIKYSPLHNVRRPWEKGHRRQQYPSTMLLTADHDDRVVPSHTLKFLATMQHVLCTSVKESPQTNPIVARIDRKSGHGCGRSTQKIIDEAADSTTQIVITHARKLYPYEHLRRLGWQIHLGD >OGLUM04G21610.1 pep chromosome:ALNU02000000:4:25520130:25528046:1 gene:OGLUM04G21610 transcript:OGLUM04G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERAKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQVSSMSMHEEKKSGSGANCHGWWECAETSVMTVARTRTGLVTVAVRKRAWRQQRGHREGLRRWPSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAGYIMSSSVRGRDVHMRAAYCFCTPEGMTEKTNPDGEEVVDGVERWEGGRRCAFEDAPRLRIHLRCGRHGPRRG >OGLUM04G21610.2 pep chromosome:ALNU02000000:4:25520130:25523739:1 gene:OGLUM04G21610 transcript:OGLUM04G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKMGVNSKAEAARERRSVAEADRRDRVERAKEEEYWREAEGPKSRAARRREEDAEKRAEAAARKAENRRLAEAEAASASASAPSKTAARKASRVGAPAPKVTEAELARRREDERLRLEREAEAAKKRAARTAEEEEYERVVLVANTNRDDSIIEARSVDEAIARMSLVDSEGALPADKHPERRLKASFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQSDDAFLLNCRISKTSKWVRTSSDAHGLNVWEMISFSANNLQSDAGYIMLRISSVQIVYNTNNQ >OGLUM04G21610.3 pep chromosome:ALNU02000000:4:25525687:25528046:1 gene:OGLUM04G21610 transcript:OGLUM04G21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELIAITFADGTDILPNYLLQRAEGMTEKTNPDGEEVVDGVERWEGGRRCAFEDAPRLRIHLRCGRHGPRRG >OGLUM04G21620.1 pep chromosome:ALNU02000000:4:25523776:25530553:-1 gene:OGLUM04G21620 transcript:OGLUM04G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGAFALVAALCLLELARRGLAEEPAVPAMFVFGDSTVDVGNNNFLANCKANCKANYPRYGVDYPFQSPTGRFSNGYNLADQLAQKLGFDKSPPPYLSLPDVTIISQMSKGINFASGGSGLIDSTGWKVCTEVFNMSAQVQSFTSAVQKMGNGTADLISRSLIFINTGSNDLFEYTDFPSNTTRNDTEFLQSLVASYKGHLKDLYGAGARKFSVVSPSLVGCCPSQRAVAHDTNDLDFHGCSRAANGLSRQLYPMLGSMLRGLAADLPGMHYSLGDSVGMAELVLNGTVLPGANFTVLDRPCCGGGVGGCNGTAPLCLDRGSYLFWDNFHPTAAASNVFARELFFDPGAFVHPMNVHELAELRPTNRRAAYLSVLLYGAKLLSAEPATVRLEADNHRGRRRGGERLGAPDGRGIGGDFRSITVGSAPVRSRPRQDHGVLTPNRSRNGDGRTASLAVVLNAPFSSLCSDLYDRCRWPPVGGEQQGGRKKKGEILRVSHTEKFVNIFAQYLQVCVRCIFRFFGAFSYAAPCLSLTASVLHSFLEEHDDSAKSGSCSCLSKDEAYCSICFGVLLPTCYQDDGVEPLRSVSPIDNVTSIISEAVQREGHQVDEFSLEISLPAVIAANDRAIRLYMKEKYGSANWFDEKIFSQQTMSVKEALRILLVPSVEKQMNVKHGNNSFRIRLTYTHDEGSQKLLRLLPNDRGRKRKTESRDGSSKRGSTDDDKQILSESDAFINKTLEGIQDQDFRSLFQLPPEKVLEPCHLVISCQRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEEIIGENVRAICKGDGYKFHAAGREDINVRMLGSGRPFLVEVLNVRSIPSATEVQQIADKINDSEKKHVRVRNLKLVGSEIWTMMREGEAEKQKQYAALIWTSRPLTDDDLHNISLIKDMAGTYIKEFVHGDLGRTHPSVGVMLSCRAEILQLDVTDVKMDFLQ >OGLUM04G21630.1 pep chromosome:ALNU02000000:4:25532760:25535679:-1 gene:OGLUM04G21630 transcript:OGLUM04G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSMGALAAVCVCMFVFVSSARAEDPYRFFDWEVTTGNINPLGVQQQGILINGQFPGPEIDCQTNDNLIVNVHNRLSEPNGLQHRKNSWQDGVSGTNCPIPPGQNFTYQMQAKDQIGSFFYFPSLAFHKAAGGFGAIRIRSRPLIPVPFDPPAGEYTMLIGDWYKTSHKAWHCSYTNFINSRWILALQAMLDSGKQLPSPDGILINGKGPNGASFTVEQGMTYRLRVSNVGLQSTLNLRIQDHNMTLVEVEGTHTVQNTYSSLYVHAGQSLSVLFTANRPPGVYQITVSTRFAKRALNSSAVLRYAGSSATISEPPPPAGLADDIDFSLDQARSIRTNLTASGPRPNPQGSYHYGSINVTRTIRLANSAGRVAGKQRYAVNGVSFVEADTPLKLADYYRISDVFRLGGIPDAPPAGAAAAPRSEAAVMDSDYRSFLEIVFENSEDSVQIWHLDGYSLFVVGMDRGVWSEQSRKSYNLVDAVSRCTVQVYPRAWTAVLVALDNVGMWNLRSEDWARRYQGQQFYLRVYTPSHSFRDELPIPSNALRCGRATNASGSSRTLSRY >OGLUM04G21640.1 pep chromosome:ALNU02000000:4:25546908:25552575:1 gene:OGLUM04G21640 transcript:OGLUM04G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP79] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVFVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRAAKSTIHYSFVTTADDGSISSWNIHQFFVLSTTFCSLHAPSTVMTVINVSSNLIIIVFGLEHALLKGTTADFVLTVWTVAFYIQFFYLGIVVSWWKFAIGVVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >OGLUM04G21640.2 pep chromosome:ALNU02000000:4:25546908:25552573:1 gene:OGLUM04G21640 transcript:OGLUM04G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP79] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVFVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRAAKSTIHYSFVTTADDGSISSWNIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGVVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCYSEMVRTLISVQDDG >OGLUM04G21640.3 pep chromosome:ALNU02000000:4:25546908:25552742:1 gene:OGLUM04G21640 transcript:OGLUM04G21640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZP79] MPRCGAGGPCVWIRALSQPQRHGRKPWRGVRVFVLLLHALFIGAVFLLDPTLQRQIHEAKCHFFSRAGQFQKWKLKLCHESQQNRAAKSTIHYSFVTTADDGSISSWNIHQPPRTKHCHDCDKCVLQFDHHCVWLGTCIAKRNYCRFWWYIFEQTVLTVWTVAFYIQFFYLGIVVSWWKFAIGVVLLVALILILVVLLPLLIFHAYLALTNQTTYEIARRKRISYLREVPSRVHPFSKGICRNLYDLCISKQRGFFLEAVPPLEVLQARARPYTCRDVISCRCC >OGLUM04G21650.1 pep chromosome:ALNU02000000:4:25553922:25555860:-1 gene:OGLUM04G21650 transcript:OGLUM04G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYS/HIS transporter 7 [Source:Projected from Arabidopsis thaliana (AT4G35180) TAIR;Acc:AT4G35180] MSSEVTSVPPTPTPPPVSTPPSQIQSPAAPASSRASPLRGMGTPNIASPVRKAVASVSGCLGEVGQMTRLADPRDAWLPITESRSGNAYYAAFHSLSSGIGFQALVLPVAFSLLGWTWAIICLTVAFAWQLYTLWLLVKLHEPVAGGTRYSRYMHLATTVFGEKWGKILALLPVMYLSAGTCTALIIVGGGSMKLLFNIACGEVCLARPLTTVEWYLVFVCVAALLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSVAKGRVAGVSYDPVRATDEEDGAIGILNGLGIIAFAFRGHNLVLEIQVKSLSHSFRLHSATDNDGKDKVTDECMFAINSQATMPSTLKHPSHVPMWKGVKAAYVIIALCLYPVAVGGFWAYGDQIPPNGILSALYKFHSRDVSRVVLGTATLLVIVNCLTTYQIYAMPVFDNMETGYVHKKNRPCPWWMRAGFRALFGAINLLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIMRPAKGTAMWYTNWGLGSLGMGLSFVLIVGNLWGLVEKGLHVKFFKPADFQ >OGLUM04G21660.1 pep chromosome:ALNU02000000:4:25566297:25567352:1 gene:OGLUM04G21660 transcript:OGLUM04G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRRTISFPAPRPAAFAIGATARDKLAAAYHVRSASLPCRFHPLVVQLDDDVAALRLVIGQSPPAAPSASSVSAAASQVGRVLVSLSELLHHPQAQEPLRRLGRSTFAERLLDDFLRLADAHGSFREALVGLSALQAETRAALRRGDPARLASAARAQRRAGRDLPRLAAAARAVVSKSPAQLPEDLPADTAAIAAAVADATIAVASGSAAVFSGLSSLSNSAAAARVEVVSTPCWVTAPARLTASSDEPSTSHHRIWWVADLVRWMSRAKRRSAKKQNDGGGDDGESSTVQLRSESRMKPEEKARRAAFERHENLERCIASVDSSGEKVFRALVNTRVSLLNILSPSF >OGLUM04G21670.1 pep chromosome:ALNU02000000:4:25570797:25592199:1 gene:OGLUM04G21670 transcript:OGLUM04G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWARKLAYRGKLSAFCIPGCVEILPIAMAMAILPLSRTLLTSAAMAILPLARTLLTSAMAILPLARTSLTSQRGWRLGAVQPESVHQPRCDGMTMSLAV >OGLUM04G21680.1 pep chromosome:ALNU02000000:4:25586758:25587290:-1 gene:OGLUM04G21680 transcript:OGLUM04G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKDPTMIAVRWRRAFRSAIQKHMALCNCQSKWPLFPRLNKVNRPKQQCRFVNCKWRISYLRLGVGSIINLEKYDDAYGKFKIDTRCRQSHTMF >OGLUM04G21690.1 pep chromosome:ALNU02000000:4:25593025:25598115:1 gene:OGLUM04G21690 transcript:OGLUM04G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKLEDQEAVALCRGRAELLAAAVRHRYALADAHGALADSLHSMAAPLHRLLLLQLQASSPQLTLPTARKGGRPRTAAAAATLSLPHGRSAHLDDLGSPSGSETASPADSPLRAFPEQQLPYPHYAYGYGTGPAFAYPPPPASSLQFYYARSRPPPPSVGVAQRAPVSTERVYYGSFDPTSGYPQYYANGGVPATAAPQRMAAPAPPRSPPRESSWAFLNVFANYEPYDNYYYDSTAAAASAAAYTPSRSSREVREEEGIPELEEDEDDCVFKEVASGGYSAGSGGHRSRRSSIGSLSSVAEQENVVIDNDVVASTSEIYRRPLAHRNVAMRAPAQAAQRVAGNGGNVDVAGEIKAQLVRAAEATRELAPLLEVGKPSYQEHSHASSRLMSSIPVPNLGCKGVDLVDIRGGGVMVDSKSLSLTLEKLYFWERKLYGEVKFYAIMTCCRLVQKKFGPGWRQAEEKMRLLLAKNSKRLKLLDQRGAEAHKIDATRNLLRKLSTKIKIAVRVIAKVSRKINKVRDEELGPQVNALIQGFIKMWQYKLHSYHTQFQVISEAKNLVSVVSRENGPDLAMELELELIKWIINFSSWVNAHRNFVRALNGWLALCLNYETGETTYGEPPYSPGRIGAPLVFIICNRWSQAMDQISEKDVVNAMKALVSSVQHLWEQQNQEEGEERILAIRERERWMKMLEKKTLEVKREADELNKKLVLVLRRQSLHQRPTMQTYEAHCVEASSVHINLRLVLQALENFAANSLQAFQEILRQSDS >OGLUM04G21700.1 pep chromosome:ALNU02000000:4:25599624:25599939:-1 gene:OGLUM04G21700 transcript:OGLUM04G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILLLLTVLAPGPGVVRAHRSRHIVPGVRERTYTLGLRVRGADGGEALLSPLGTRLDGPGGP >OGLUM04G21710.1 pep chromosome:ALNU02000000:4:25601267:25604580:-1 gene:OGLUM04G21710 transcript:OGLUM04G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRHQHHGRWVVPAVAPAAAAFTAAGLLLVVVAFHCFLSPPLGGGGGGGGGHRVVRRPNPPFLLNKPSELRRNVVGTVDFAVPSGGSKLGEELWASKLASNFFGCSNATKAFADAKAVTEPNRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPNLDQKSFWKDASDFADIFDVESFISSLSNDVKIIRQVPDRNGKTPYPYKMRIPRKCTAKCYENRVLPALLKKHVVQLTKFDYRVSNRLETNLQKLRCRVNYHALQFTDPILKMGEMLVQRMRAKSGRFIALHLRFEPDMLAFSGCYFGGGEIERRELGAIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHIYVASGEMYGGEETLAPLKALFPNFHSKETLASKEELAPFLSFSSRMAALDYIVCDKSDVFVTNNNGNMARMLAGRRRYFGHKRTIRPNAKKLYSLFLNRTSMSWETFSSKVQTLQKGFMGEPNEIKPGRGEFHEHPMDCICAKTKGRSGHSKPHPSNRIGEAMEKRANDGEFDWRDLDYGENTPLGRDSSNETDSDMHELEDMKSVWASYREHR >OGLUM04G21720.1 pep chromosome:ALNU02000000:4:25612122:25616105:-1 gene:OGLUM04G21720 transcript:OGLUM04G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSRQPLLDHASEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEQDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWAY >OGLUM04G21720.2 pep chromosome:ALNU02000000:4:25612124:25615156:-1 gene:OGLUM04G21720 transcript:OGLUM04G21720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSRQPLLDHASEKGIIHHHFPVNFILKPWRLGMRFYLIIKFGIFQYVIIKTVTASLSLFLEAFGVYCDGEFNLRCGYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEQDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWAY >OGLUM04G21720.3 pep chromosome:ALNU02000000:4:25612124:25615156:-1 gene:OGLUM04G21720 transcript:OGLUM04G21720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNLRLLMPLLAQYTTPTWATLVAGFFVLLSLSLSIYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLINPNTSVYCGILRDGYEAFAMYCFGRYPYFAAVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVVIAIMYSLGLLRSPLAQSLELKSSIQDFIICIEMGIASIVHLYVFPAKPYELQANQSPGNVSVLGDYVSSDPVDPFEIKESNRPAKLKLPQLEQDERSTTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKNDKKSQDDNWVSAVSPDRPVRGIDDPLLGGSTSDSGFTKGKKHRRAVSTVAAADSWGGGDLASDGYEIRGRRWAY >OGLUM04G21730.1 pep chromosome:ALNU02000000:4:25616713:25616988:-1 gene:OGLUM04G21730 transcript:OGLUM04G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARRGRQAPPKYKSGVGVGLAVVARQEECGEDSDTMRALKDQCHDGGEVRGGRRRRRLVGGGEAGGTCWRRCREPERQETRTGATAAAR >OGLUM04G21740.1 pep chromosome:ALNU02000000:4:25617436:25620207:1 gene:OGLUM04G21740 transcript:OGLUM04G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAYPPPGSGEGFSKPPATDPCSSGHWSDLPVDLLHRILHSLELPEALAVADVCKSWCCAAIAAGVPRSCTPWLMSWSDKPPHELEYDVLLNNCKWQCPMGCEFRDLVNGHKTYEVNLPQVAWVGASHGWLAAADNLSNLLLYNPFTFTTILLPPITDLGCVEAVYDSEGSIVRYRLGKHKESDAKFLGVWFYQKLVLSCAPSLGGDYVAMIIHSSANRVSFASAIEGRWRLASTITQGSGDRYADCVYHKGRFYTLTMYGVLEMFGS >OGLUM04G21740.2 pep chromosome:ALNU02000000:4:25617436:25620207:1 gene:OGLUM04G21740 transcript:OGLUM04G21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAYPPPGSGEGFSKPPATDPCSSGHWSDLPVDLLHRILHSLELPEALAVADVCKSWCCAAIAAGVPRSCTPWLMSWSDKPPHELEYDVLLNNCKWQCPMGCEFRDLVNGHKTYEVNLPQVAWVGASHGWLAAADNLSNLLLYNPFTFTTILLPPITDLGCVEAVYDSEGSIVRYRLGKHKESDAKFLGVWFYQKLVLSCAPSLGGDYVAMIIHSSANRVSFASAIEGRWRLASTITQGSGDRYADCVYHKGRFYTLTMYGVLEMFGS >OGLUM04G21750.1 pep chromosome:ALNU02000000:4:25622966:25623619:1 gene:OGLUM04G21750 transcript:OGLUM04G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFYQKAVLSRSPSEHADHAVMIIHRDMDWVASTLDVNGKDRYADCVYHNGGILHCDSSGDSGEMDLEGPNGPTKEVIVSKMQYLPGLLTRHLVSTLWGDLLKVSAISRGQVKNGTRLQVREVHPDGSKKVSPKSKSTMALKEHVIFLGLNHSACLRTKNFSGLRPRCIYFSAPLMRSTCDLLYICHGWGGGRNYDMFPPLCT >OGLUM04G21760.1 pep chromosome:ALNU02000000:4:25624100:25627564:-1 gene:OGLUM04G21760 transcript:OGLUM04G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEHPAPASAVSAEEAATDAPPPMSLLMFLLDGEGGAVEVGRFGLSHPPSPLLPHRAHASAIGTDEAEDANPGKEAAVYLLLLEGADVRGIARLPSLEEGRPAGGAVGDDAAERGGETWEKAEAKIGEEEGAMSREDEMLETSEEKEEEEEISGSSDETEEEEGHGHKYYSVQIRMWPGIPRVVLSVFGDGCWEFTKGYRVKWLQQNEKEGVLKTIAIAPEIDDDRTQEFSDDLKKLICAKISANDYRVIKLLENFDDMDAINNKEPREVKIMSCLKAPCVVSFYQAWITDDDPYFSENLSCSTENDQSCSTEDDLSSSSEDKYVIILMEHCLRTLRNDLRFGPREINTEESWMLFEEITRAVQCIHHEGIVHRDLKPSNIFFGSNGLVKIADFGHACWATNKIDELKGTPDRGTPMYSAPELKEGQHVTEKSDWKWSGDSVLLKKLTALIPSNRPSTDEILKYIAQRRSSN >OGLUM04G21770.1 pep chromosome:ALNU02000000:4:25628404:25630817:-1 gene:OGLUM04G21770 transcript:OGLUM04G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASENRRLAAVGKPVPGIGEMGNRRPLRDINNLVGAPPHPSAIAKKPMLEKSGKEEQKPALVVSHRPMTRNFAASLTRKEQLDHQVSVADAAVVCTDPQKNPIPDGTVDDDVESCESNDYIAVDECNDTDEDESMMDIDSADSGNPLAATEYVEELYKFYRENEEMSCVQPDYMSSQGDINEKMRAILIDWLIEVHHKFELMDETLFLTVNIVDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVAVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAAQSDKQLQLLSFFILELSLVEYQMLKYRPSLLAAAAVYTAQCALTRCQQWTKTCELHSRYTGEQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKTEPALFLLESGAGGYNLQKQPC >OGLUM04G21780.1 pep chromosome:ALNU02000000:4:25633631:25649747:-1 gene:OGLUM04G21780 transcript:OGLUM04G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVLLGLSGVVLVMLSVLGSIGFFSAIGVKSTLIIMEVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQPPRCQHDQDSSSFSASGACNNCTTTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >OGLUM04G21780.2 pep chromosome:ALNU02000000:4:25633631:25649747:-1 gene:OGLUM04G21780 transcript:OGLUM04G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQAIPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKIDDLRANYSGSTVSLADICLKPLGTECATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >OGLUM04G21780.3 pep chromosome:ALNU02000000:4:25633631:25649747:-1 gene:OGLUM04G21780 transcript:OGLUM04G21780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAALAVLLDFLLQVSAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQPPRCQHDQDSSSFSASGACNNCTTTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >OGLUM04G21780.4 pep chromosome:ALNU02000000:4:25633631:25649747:-1 gene:OGLUM04G21780 transcript:OGLUM04G21780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched family protein [Source:Projected from Arabidopsis thaliana (AT1G42470) TAIR;Acc:AT1G42470] MALVRRRRGVAGGGGPALAAVAVALMQLVFLVPPTRAQQSNGTSRVVPAEGYCSMYGICAQRSDGKVLNCVNATKAVKPDTLFSARIQSLCPTITGDVCCTVDQFDTLHQQVQQVNNTMTVNGIDYYVTSTYGEELYNSCKDVKFGTLNTRAMDFLGGGAKNYKEWMAFIGRQADLNQIGSPYLITFPSDISGSTAVKPLNATIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTETSCSVKMGSLKAKCLDFSLVVVYLVLLTRRSGIFSHTKPLKNAEDKIHSSNNGKVPDSSAQVSEAASAPVQSAHPSVIQTYMSTFFRKHGTFVAKHPLLVLFVSLLVPTLLCIGLIRFKVEIRPEKLWVSSGSRAADEKQYFDSHLAPFYRIEQLVLATSAFGGSEAPTIVNDNNMKLLFQIQKKYFQLDPKKYDDSGIDHAKFCFQHYTTEETCLSTFQSPIDPSTILGGFPGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAYVNLVKEEILPMVLAHNLTMSFSSESSIQDELNRESTADAITIVVGVDNMCILVHAVKRQPDGLDLEERISTALVEVGPSITLASLAEVLAFAVSAINPMPATRVFSMFAAFVALIVLDFRRAQDGRIDCMPCARVKSSVVASDGGNHQGLPLLARYMKNVHAPILGYRAVKFVVIAVFVGFSFASIALSTRLQPGLEQKIVLPRDSYLQDYFDDLATYMKVGPPLYFVIKNFNYSSASEHTNKICSINQCDSNSLLNEIAKQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQTCIMDAHPQLNSKRNFHGFLTHFRQVIVLRVAKELILQVWTLTSDYVNSMKAARDFSSKMSKELQMQMFPYSVFYIFFEQYLGVWKTAIMNICGMMAILGIQLNAISIVNLVMSIGIAVEFCVHITHAFMALEIEKAVQGKLYRRWGLLYSGCSEFVWPPFKGDETSRTESTFSFVRVKLTTGEFTQLNISTPDRDTWKSAILKLAEHPCGHPSLLVRSSSAVYSISRGASHFILILRFIGKRVVH >OGLUM04G21790.1 pep chromosome:ALNU02000000:4:25661674:25661847:1 gene:OGLUM04G21790 transcript:OGLUM04G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVACGNAGPAHPAYGSIAALTQPAGLAQYRVSGQRDRLVYPRSRVTVPRIGYIFTN >OGLUM04G21800.1 pep chromosome:ALNU02000000:4:25665075:25667879:-1 gene:OGLUM04G21800 transcript:OGLUM04G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSEEAEAAATVRAPAQGHHGRRQDSDQISNLAAEAAAPANRRSPIMAPPKALASMSSAAGHHSGMRSSSSMSSITTRSSSSSNLPLGAAGGADVGVAGALYPEPEGRILEVPNLRIFTFAELRAATRNFKPDSVLGEGGFGRVYKGWVDERTMSPARSGTGMVIAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLIGYCVEDRELLLVYEFMAKGSLENHLFRKGSAYQPISWNLRLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTHYNAKLSDFGLAKNGPTAGESHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGMRALDTGRPAPQHSLVEWAKPYLADRRKLARLVDPRLEGQYPSRAAQQAAQLTLRCLSGDPRSRPSMAEVVQALVEIERIRSRPKAASSREDASPRVPTRSGGHGHHHHHHSSRPRSGSDGARSGYPSPRVR >OGLUM04G21810.1 pep chromosome:ALNU02000000:4:25679197:25681504:-1 gene:OGLUM04G21810 transcript:OGLUM04G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAASAVSTLSALAVFASTLDHGAVRSVHGYKVYGRGGRRRWERWVEREFVLTPASCREVPAPVAPPRILPAEWRGRPAYREGQVVAAGAWRCILAFDSAAAPPRTPPPVLSPFLNPRLMCVPSLYNDLEKVFRFQNVEKIPKLMQCDSEEKLSSWDARDKSSDEVHASESDSDDDLQSGEEEKPTVQKQRRANKKHIASITLVDIAQYFHLPIREASRTLKIGVSILKRKCRQYNIPRWPHRKIKSLDSLIQDLEYVIDDGDDHDDTGDDVQQEKHKQTAEEKQEAIMALTRRKQMLETEKETIQQIPAMDLKVETKQFREDVFKRRYRAKKDLAND >OGLUM04G21820.1 pep chromosome:ALNU02000000:4:25685225:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVPNHDEGQTRTPRMLCNRFCQRMEDDVHSTTSLQERAEKRKKLYQKSEEKIHAKELEQTQAKSKGEENNPATWKSVSEECAGYMREFLTDLAYCSNVEVVAREATA >OGLUM04G21820.2 pep chromosome:ALNU02000000:4:25684050:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTYLFVLLAPHLLCFLTDRISTALKFHSGDPDRHAEYELNPTAQRSAPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTASLPHHAVDPSAAIAGLLHRVAHRRPRPPRRRPQRRHRRRSPTASLPHHAAIAVLPHHVADPAPPSPSSPTASMSDLRGGSDGSVGR >OGLUM04G21820.3 pep chromosome:ALNU02000000:4:25685225:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQMEIADLRFYSLTHPMGCIYSKYINYSISELQRKKRRILMSHVSTIIRSWNKRIGGVPIPSTRSAPCSALPTLQRRARATMACSAQSAQGRGRERRRHALRRVLREGRRIGNGAEVGCVDRRAGAQLKPELYPFCFGFKLMFTPDFGAQHAVGDF >OGLUM04G21820.4 pep chromosome:ALNU02000000:4:25685225:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVSTIIRSWNKRIGGVPIPSTRSAPCSALPTLQRRARATMACSAQSAQGRGRERRRHALRRVLREGRRIGNGAEVGCVDRRAGAQLKPELYPFCFGFKLMFTPDFGAQHAVGDF >OGLUM04G21820.5 pep chromosome:ALNU02000000:4:25684050:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTYLFVLLAPHLLCFLTDRISTALKFHSGDPDRHAEYELNPTAQRSAPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTASLPHHAVDPSAAIAGLLHRVAHRRPRPPRRRPQRRHRRRSPTASLPHHAAIAVLPHHVADPAPPSPSSPTASMSDLRGGSDGSVGR >OGLUM04G21820.6 pep chromosome:ALNU02000000:4:25684050:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTYLFVLLAPHLLCFLTDRISTALKFHSGDPDRHAEYELNPTAQRSAPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTASLPHHAVDPSAAIAGLLHRVAHRRPRPPRRRPQRRHRRRSPTASLPHHAAIAVLPHHVADPAPPSPSSPTASMSDLRGGSDGSVGR >OGLUM04G21820.7 pep chromosome:ALNU02000000:4:25684050:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTYLFVLLAPHLLCFLTDRISTALKFHSGDPDRHAEYELNPTAQRSAPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTASLPHHAVDPSAAIAGLLHRVAHRRPRPPRRRPQRRHRRRSPTASLPHHAAIAVLPHHVADPAPPSPSSPTASMSDLRGGSDGSVGR >OGLUM04G21820.8 pep chromosome:ALNU02000000:4:25685225:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRMFDVNETLLSNLPYYADHRYRNKRIGGVPIPSTRSAPCSALPTLQRRARATMACSAQSAQGRGRERRRHALRRVLREGRRIGNGAEVGCVDRRAGAQLKPELYPFCFGFKLMFTPDFGAQHAVGDF >OGLUM04G21820.9 pep chromosome:ALNU02000000:4:25684050:25688576:1 gene:OGLUM04G21820 transcript:OGLUM04G21820.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRTYLFVLLAPHLLCFLTDRISTALKFHSGDPDRHAEYELNPTAQRSAPNSSAHPHASGDSARIHRIHRRRPSPSSPTASPTQRRHRRRSPTASLPHHAVDPSAAIAGLLHRVAHRRPRPPRRRPQRRHRRRSPTASLPHHAAIAVLPHHVADPAPPSPSSPTASMSDLRGGSDGSVGR >OGLUM04G21830.1 pep chromosome:ALNU02000000:4:25693280:25695645:1 gene:OGLUM04G21830 transcript:OGLUM04G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWAWQSQPAHRLLLLFNRDEYHSRPTQPAGWWTAGKAEVKLILGGRDELGGGTWLGCTRDGKLAFLTNVREPGTLVGAKSRGELPVRFLQGNQCPLEYAEEIAKEADQYNGFNLVLADVQSGNMAYISNRPEGDPVVQKVLPGFHVLSNAAIDCPWPKMLRLGQSFNRFLATQDGAEVSLQQMVEELMMDPVKADKSAVPDTGVDPDWEYQLSSIFIDTEKGQARYGTRSMTALAVKFNGEVTFYERYLESNLWKENLMQFELEMSQWEDLRGTSNISPKSC >OGLUM04G21840.1 pep chromosome:ALNU02000000:4:25696402:25699726:1 gene:OGLUM04G21840 transcript:OGLUM04G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKADADAEFKAAGKRKKAGGAGKPKRGLTPFFAFLAEFRPQYMEKHPNTKGVAAVTKAAGEKWRAMSDEEKAQYGGKKPDGESKPAAASKKKESTSSKKAKTDGAEQEGEGSDKSKSDVEDDENDGSGEDE >OGLUM04G21850.1 pep chromosome:ALNU02000000:4:25700028:25706443:-1 gene:OGLUM04G21850 transcript:OGLUM04G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQVLILNEESESRIVKMVLPLTEACQGMELVSGLSDPNKHKQSALVLLLKSGQICLYDDSEIERYLLHAQSRSPLALPNYSSVKLPYGDPSITAAKFYTSSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLLFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >OGLUM04G21850.2 pep chromosome:ALNU02000000:4:25700028:25706443:-1 gene:OGLUM04G21850 transcript:OGLUM04G21850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35560) TAIR;Acc:AT4G35560] MPYWIPFSESYGSGANVGNEVEVAFVSPQPLAENNRVLIIFRDGIMSLWDIKTSKVVSLSGKSMQQQSHQEAKAVTSACWACAKGSKIAIGFDSGDIYLWSIPDILNAQNLSSMGNQNLPLQRLNLGYKLDRVSIVSLRWVNSDGKAGRLYVNGFSDHAYLFQIAFLMGFDQIASYFDDGQDYFSSLATKYPWFLSMKDKHQTSTGSADIHKTRNLYVTGHLDGTIRFWDASCPLLLQNLMIKQQNEENSPSGTPITSLQFDMSSSILICGDRSGTVRIITFRKDSSDNIFSFLHAKQGESYNVRCIKLKGAVTSISLISNSKRVAVGTEKGIVSVVSIEDASILYQKQLECRVSGGIASLQFEMYSHNGYDKDLLLVGMEDSTIYILEEETGKLLNTNPVQTNKPSRALLMQTLELSPDDPSVSDTHDTVSKESLLLLCTENAIRLFSLSHAIQGTKNIINKKKPNGSCCFASLIHSVSSEIGLLLLFSNGKIEIRSLPDLSILKEASLRGFSYTRNLNSSSSIACSSDGEIILVNGEETFFFSTLCQNDIYRHVDSINTIYRKDNSPREESSYVVKSPREKKKGIFGMIMKDTKASKGKQSDANGDEQFTATTSEELSSIFSCANFAPVSEKRNSSIKDDENIELDIDDINIDDNPQKQKGPHFPGLSKQKISKGFQSLREKLKPRTEEKVNSGNRKPEDDTSVSQVDQIKMKYGYATNDDPTSLPKMIGNKLQENIKKLEGINIRAGDMANGAQSFSAMAKELLRNTKNEKATS >OGLUM04G21860.1 pep chromosome:ALNU02000000:4:25740800:25741903:1 gene:OGLUM04G21860 transcript:OGLUM04G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWEAYTADARAPLSALLGELSASYRRVVVICDIMNSFAVKEAARLPNGEAFVCNCVAVSSATGSIDPGHRLLRENGLRFIPMDTYLTKEFMDYEQQRARAAQSISSCAGILANACRALEGEFIDVFAERLDASSKKLFAIGPLNPLLDTGALKQGRRRHECLDWLDRQPPESVLYVSFGTTSSLRVEQVAELAAALRGSKQRFIWVLRDADRGNIFAGSGESESRYAKLLSEFCKETEGTGLVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPILAWPMHSDQPWDAELVCNYLKAGFLVRPWEKHGEVVPATTIQAVIEKMMASEEGLAVRQRAKALGDAVRSSRNDLEDFVDHITR >OGLUM04G21870.1 pep chromosome:ALNU02000000:4:25749211:25750605:1 gene:OGLUM04G21870 transcript:OGLUM04G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPB8] MAEKGNPANKVAIVAVPFPAQGHLNQVLHLSLQLASSSHGLAVHYAAPAPQLRQARARVHGWDDKALLSVQFHDLGISTYVSPPPDPTADTPFPSHLMPLWEAYTADARAPLSALLDELSASHHRVVVVCDTINSFAVEEAARLPNGEAFPVSCVAVSALALHIDTGHRLLRENGLNHAPLETYMTQEFLDYASERARASESILSGAGILANASRALEGDFIDDLAETLAAGGKKLFAIGPLNPLLNTGSSEQGRRRHECLDWLDRQPPDSVLYVSFGTTCSLRVEQVAELAAALRGSKQRFIWVMRDADRGNIFTDTGEGETRHAKLLSEFSKQTEGTGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESMSHGKPILAWPMHSDQPWDAELVCKYFKAGLLVRPWEKHGEVLPAATIQEVIKKMMASDEGLAVRQRAKALGDAVRSSRNDLEDFIAHITR >OGLUM04G21880.1 pep chromosome:ALNU02000000:4:25754411:25755688:-1 gene:OGLUM04G21880 transcript:OGLUM04G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKEVSSSSSRLDAAPLLPHHGHGGGGAGHHLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWVAGSVLLLAVAALTFHCMMLLVACRRRLAYDHPKIASFGDLGAAVCGPAGRHVVDAMLVLSQASFCVGYLIFISNTMAHLYPVGDSSPSSPLLTAKAIFIWVMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVSTWLANKPPVFASAGPTEILYGLGVAVYAFEGIGMVLPLEAEAADKRKFGGTLALSMAFIAVMYGLFGAMGYLAFGAATRDIITTNLGTGWLSVAVQLGLCINLFFTMPVMMNPVYEVAERLLCRKRYAWWLRWLLVMVVGLMAMLVPNFADFLSLVGSSVCVLLGFVLPAAFHLKVFGAEVGWPGLAGDVAVIVVGTALAVSGTWTSLAQIFSSSDV >OGLUM04G21890.1 pep chromosome:ALNU02000000:4:25758829:25759215:-1 gene:OGLUM04G21890 transcript:OGLUM04G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRWGHWRGRTSSASSASARKKTRTGEREAGLRREKGRDDGEKGSLSLPFWAAGRQGAWEWRPCRSAMRERAGNGDNFGAASAGSDAERERKETALSLAPEHVRCTREREAGRGREKRPSDQEGGK >OGLUM04G21900.1 pep chromosome:ALNU02000000:4:25767279:25771782:1 gene:OGLUM04G21900 transcript:OGLUM04G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAPFFAVDSGHHDGFVAMFFLSVHGRKLSDQGEEHKLFWPYQTGFVRMEAQFNATMVPFGVVRKDDLMEMLCT >OGLUM04G21900.2 pep chromosome:ALNU02000000:4:25767279:25771782:1 gene:OGLUM04G21900 transcript:OGLUM04G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAPFFAVDSGHHDGFVAMFFLSVHGRKLSDQGEEHKLFWPYQTGFVRMEAQFNATMVPFGVVRKDDLMEMLCT >OGLUM04G21900.3 pep chromosome:ALNU02000000:4:25767279:25770862:1 gene:OGLUM04G21900 transcript:OGLUM04G21900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAPFFAVDSGHHDGFVAMFFLSVHGRKLSDQGEEHKLFWPYQTGFVRMEAQFNATMLGY >OGLUM04G21910.1 pep chromosome:ALNU02000000:4:25775458:25777636:-1 gene:OGLUM04G21910 transcript:OGLUM04G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLSREEENGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSALEASFCMRTSPDVSSFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >OGLUM04G21910.2 pep chromosome:ALNU02000000:4:25775458:25777636:-1 gene:OGLUM04G21910 transcript:OGLUM04G21910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCALHPAAYRRIFHNPRSTRSHGLVAAASPPLHQPAAACGETHRIIQMHALFAVARDTAFSSSPAADERLPNEHPGGHLWNQSVLSREEENGSNDDSFRESLVSLLDNTRDYVMAPEVFEGVPVACDYLKGMDSDGMAASAVAAYSLDNNGQHAGVSSIEHGIASSPLLAYQLGKNSAVVQRSIQQQEVGSPMAAFLQQLIPTSVLDQSGIGFGGVCLDGSALEASFCMRTSPDVSSFSGHRSATAEELMSTDTREQEITRLARSCSSSGSDRNKKKLSEVRGGGKAKKFKSETSHSTSSPKHQSPKVKLGEKITALQQIVSPFGKTDTASVLLETITYIKFLHEQIQVTPSAQSFFAVLHMPPLFSQPYMTNSTNKGHIHWGGEGKRKAGLEHDLRGRGLCLVPVSWTSQEYCDSILPECWAPAYRNYFYR >OGLUM04G21920.1 pep chromosome:ALNU02000000:4:25780408:25782561:-1 gene:OGLUM04G21920 transcript:OGLUM04G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAYKKAKPGRLVFKGGEAASLHKPPKKHKKNKKPASDAPADAEADAEGAAAPSAEGAAEGGGDEYTIDAAKRMKYEDLFPVESKKFGYDPANAAKASRSRTVEEALDDRVRKKADRYCK >OGLUM04G21930.1 pep chromosome:ALNU02000000:4:25785191:25793325:1 gene:OGLUM04G21930 transcript:OGLUM04G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDSLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >OGLUM04G21930.2 pep chromosome:ALNU02000000:4:25785191:25793325:1 gene:OGLUM04G21930 transcript:OGLUM04G21930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQVWSFDSMDVSEQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDSLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >OGLUM04G21930.3 pep chromosome:ALNU02000000:4:25785191:25793325:1 gene:OGLUM04G21930 transcript:OGLUM04G21930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGWIGKLKIAAIALDNRNLLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLLLDDSLVTCEVLIGFPSKARWNIPIVMLEDFAHDQQNLIACLEDRFV >OGLUM04G21930.4 pep chromosome:ALNU02000000:4:25785191:25793111:1 gene:OGLUM04G21930 transcript:OGLUM04G21930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFVVAILTVYCS >OGLUM04G21930.5 pep chromosome:ALNU02000000:4:25785191:25793325:1 gene:OGLUM04G21930 transcript:OGLUM04G21930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G02090) TAIR;Acc:AT2G02090] MRRGYEEIDDDEWSNHSFKPSRVLKRPSRGAQPDSQPPPPIDSFRYNPRPSSSSAAAAAAATATVVLSDDDDDFELGEEERLRRAGKSTRVLNRPQRQHSPPGRALPPRESFRYNPKPAKAAAAVSVSDDDDDGFDLEDDDFDIPSSRTSRPRRTAGRRLATAVADLSEEDDDLELADDDFDHPDPRPTRPRRATARRFVIKDDDDSDGDVGASEVDGVEAEEDDGVNWSELENEDDEDGDYGETKVEVEEGDVVGKALRKCARISADLRQELYGSSTRNCESYAEIDDSSVRIVTQDDVDAACTSEESEFEPILKPYQLVGVNFLLLLHRKNIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELKKWCPSFSIIMFHGAGRTAYSKELSSLGKAGYPPPFNVLLVCYSLFERRSAQQKDDRKALKRWRWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVNFVTMDSEQFQAYNYAIDEYRGACQARSAKSTSNFSNNVVGLIPKRQISNYFMQFRKIANHPLLIRRIYSDKDVDRIAKLLYPKGAFGFECSLERATQELRKYNDFAIHQLLVSYGDSGTKGALTDEHVLGSAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWTLEVIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTIYRLVTKGSVDENIYEIARRKLVLDAAILQSGAELEDSTDVPEKTMGEILASLLLVNIPIVMLEDFAHDQQNLIACLEDRFV >OGLUM04G21940.1 pep chromosome:ALNU02000000:4:25815313:25821738:1 gene:OGLUM04G21940 transcript:OGLUM04G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSSAAAAAAFFGISRDGDQHDQIKPLISHQQHQHQQQQQLAASLTGVATAAPTAASSQGAPPAAPPAKKKRNLPGNPSNQPKYPFTISAMHAYISVLRDLVSIDWTDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPAQAQRRRVYLCPEPTCVHHDPARALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESSRLPPTSLSNLTSHLYGASNAGNMALSLSQVGSHLTTSLQDGGGHHHHPSPELLRLGGAGGGGGAGGGSSIAARLDHLLSPSGASAFRPPQPAFFLNAAAAAAATGQDFGDDAGNGQHSFLQAKPFHGLMQLPDLQGNGAGGPGAPGPNLFNLGFFANNGNSSGSSHEHASQGLMSNDQFSGGAGGGGGSDASAAGIFGGNFVGGDHVSPAGLYNDQAAMLPQMSATALLQKAAQMGATSSANGPGSMFRGFVGSSPHMRPAAQHMDQSDAHLNDLMNSLAGGGVNAAAMFGGTNGGGVPGAGMFDPRLCDIEHEVKFSQGGGGGGGAGAGTGDGTRDFLGVGGGGIVHGMSTPRGDHHQSSSDMSSLEAEMKSASSFNGRRMP >OGLUM04G21950.1 pep chromosome:ALNU02000000:4:25836359:25845437:-1 gene:OGLUM04G21950 transcript:OGLUM04G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLLSINHVTIKDVVVHAPEVECHSHTMADLGGVVDVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >OGLUM04G21950.2 pep chromosome:ALNU02000000:4:25836359:25845437:-1 gene:OGLUM04G21950 transcript:OGLUM04G21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLLSINHVTIKDVVVHAPEVECHSHTMADLGGVVDVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKYGLIEMIHFYFPMELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >OGLUM04G21950.3 pep chromosome:ALNU02000000:4:25836359:25845437:-1 gene:OGLUM04G21950 transcript:OGLUM04G21950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLLSINHVTIKDVVVHAPEVECHSHTMADLGGVVDVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNMLRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKYGLIEMIHFYFPMELLISFKRATGQKPQRIIFYRNLRGQHFAGFYPCMDIFLTIIVCDFNTCHFRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >OGLUM04G21950.4 pep chromosome:ALNU02000000:4:25836359:25845437:-1 gene:OGLUM04G21950 transcript:OGLUM04G21950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHSELREVLNSMGEDVVGCLNRVAVVVGNTRAVVDIIRAVEGKVHTIQAEGLLSIRVVEGQVHIIQVVGLLTIRAVEDQVHITQVVGLLSINHVTIKDVVVHAPEVECHSHTMADLGGVVDVVFLQVHQEQFPSCTKPHMSNTKPRWFHQPHRELAHPLSLRRSGQVQQQFQQLATRDQSSTSQAIQIAPPSSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMFELVTLYRYSHLGGRLPAYDGRKSLYTAGPLPFASRTFEITLQDEEDSLGGGQGTQRRERLFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTTRYSPVGRSFYSPNLGRRQQLGEGLESWRDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFLETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERELDILRTVSHNAYHEDQYAQEFGIKIDERLASVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVNNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPHRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMASGAATSRGLPPGVRSARVAGNVAVRPLPALKENVKRVMFYC >OGLUM04G21960.1 pep chromosome:ALNU02000000:4:25911156:25916104:1 gene:OGLUM04G21960 transcript:OGLUM04G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGGCGRNGAVRQYIRSKVPRLRWTGELHCSFVQAIEFLGGQDKATPKLILQLMGVKGLTISHVKSHLQMYRCSRLGSHGTGRRSEMQPQLQRKHSCGADEQVPREFLCPPLKRTRMGTEATYKGMQGSQGISEMRTTGTQYCIDDYMQAMAMERRIKEEGLRWQRDAAAAAAADGGAAASNLQTVGCSVQESDPFKIIKPEVHHLGPVLKLQCSKVENSGFISSSTGTAARDQPEPPPLEKCSLSLSLGPDPKCMPAIASSPSESSCILSSSSRSFSDCSGNSGCLVAPGVNLELSMSICGS >OGLUM04G21970.1 pep chromosome:ALNU02000000:4:25916850:25921087:-1 gene:OGLUM04G21970 transcript:OGLUM04G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADQPAYGDRRPSRRTYKPDQPEGLTISFRELYDLPTSPEFLFHEEALRSRRTCGEDLTFYTGCGYLVGRAAGAAAGLKRAAEEAERGDCGGSRCGSLGRAYGNRLGVVALLFVGIESTVGGLRDADGWANTVAAGIGTGALYRAAAGPRAAIVGSSVGGLMAGAAVD >OGLUM04G21980.1 pep chromosome:ALNU02000000:4:25929261:25938851:-1 gene:OGLUM04G21980 transcript:OGLUM04G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97 / Spc98 family of spindle pole body (SBP) component [Source:Projected from Arabidopsis thaliana (AT3G43610) TAIR;Acc:AT3G43610] MEAAASSLSSLLATLRVDGPWTPPATWESVTQAGGAARTANPGGRLRGDPIYELASVPDASLVRLALHALHGVKSSLDEIEELSVLFFSCPADRTSHRVANVWSRSSSTTSVGNILKSICTTGLSVLFLCKFLHFYLFQSRELNGRGREGHEHEVSDSEETEQPAPYSLVNQAFAAAVEKVLEGYFCSLNTLPASIKLRRLEGQPDIPSMTPDGASYNSNSEITLLEVYLHTEELRRHIKSLGNICFPKFAGLSLCQEGLTTDSNLGFENFPWSTDLLSYLYVHLRDADSVHYGLLKYLFVRSCEPYCNFIKSWIYRASVDDPYEEFLITQAKNKRTQGGSSDPVDNFTLLSLKGANHVSAPCFLKDVCGPLLRTGQQLQVLMKLLESCNLSDTGGDAHASRHIIHLEEILPWFDTSIESSMNSFTFSKSRVEAVICQRDAMYKSMIEKLHHFFSNVEVIPFDAALNVLHISTSPLDTAVSDVELFYHGTNALPACNMVAELKDNDASSTSQESSDKVDALESSESSSSYSSIDDIEDEIDTACDNMPSSMFSSYRASSGEAKCSSVTTKLLSYETCSVSDGINPASPINENKKKDDLSYGHVPMHSQSIEHDVVCDALELDNQYSEFWPFDSFLKNSFDISSGKMSLAEEFLYTGDKGAEQVSHANVVCRHSESGSPRLPNRDNDEKSSNIKQPWNTNIPYNFSINPILKNAVSCHTEHDLHGNRKNRALIGFNFESVTNPCEAYCGRSISSLDEFEVRSAMAVQSNAQASKQFDCSSKLLQSKTTSHAYLTSPGDISAQTNLLENPSGGAFWEKSLEYTAKSMEIAGDTTSSSDMPLDIAIDNFTMKLLEDGFDLCGHLQALRRYHFMELADWADSFIVSIYKKKWSFVKSEHKRAEIQGLLDLALQRSSCDSDPYKERLFVYMNEQPVVSLKASTCGLDVLDDILLGYKVDWPVNIVITEEALKTYAEIFRYLVQVRLAVFSLTEVWRFLKELTQLISRSSHNRPDVLKELSSVMKLRHQVYHFLSTLQQYLHCHLSDISWRRFQHSLQHQVRDMLDLEYVHLCYVTDALHICFLSAETKPIAAIINSILQQALELRSCFKSLNYISESTVKQLNLDSLINFSQVDAIRTKFEGNIKDLYILHLKSSKYGEIGLYRFWGYLNYNEYHSLMINKDMGCFYF >OGLUM04G21990.1 pep chromosome:ALNU02000000:4:25942796:25943068:1 gene:OGLUM04G21990 transcript:OGLUM04G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPTNFTGDRGRDGGLNPISIQRKLPPSPPLPPVLVVGDGGRDGGLPPSLLPTKSADDKRKGWPYPTSSPPLPTMYADDTGPCRHWSS >OGLUM04G22000.1 pep chromosome:ALNU02000000:4:25945213:25947004:-1 gene:OGLUM04G22000 transcript:OGLUM04G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVANGGAAPEWRVTVPEGATVTVEREAGRCRRAAVAWAWQLVSCVAALGSRASGLAGRVWKIGADDPRRAVHGVKVGLALALVSVFYYTRPLYDGVGGAAMWAVMTVVVVFEFTVGGCVYKGFNRATATVSAGAVALGVHWIASKSGDKLEPVVRSGSVFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDALVAMAQQRVSTIAIGIFICLAVCVLICPVWAGQELHRLTARNMDKLAGAVEACVEGYFVAGEEEAAGPEYKRRPAAAAAAEGYKGVLNSKASEDAQANLARWEPAHGRFGFRHPYAQYKAVGAAMRHCAYCVEALSGCIRSAEAQSPEGVKRHLAGASTRVATRCAAVLREASSSVAAMTTPSRGLDFDVADMNTAVQELQSEVRELPSKLAAAAGEPAAAQQLMDAVQLLTVTSLLIEVSARIEGVVDAVDTLATLAAFRSADDDDDEKPATEADAKLQTVSDHVTVEPEAAAAAARTTKNIEQV >OGLUM04G22010.1 pep chromosome:ALNU02000000:4:25972500:25974633:1 gene:OGLUM04G22010 transcript:OGLUM04G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFMQIELRSGLVLLPPGYTPTRVARDRGKKKRGTAAGGGTERPPSRPVPVGAESVGSSIYPPVIAVEGEGEADAAQGGLGFPEVPPGFEKVNAAPAPNTPTPVASPSTAGPKKLALIVFGS >OGLUM04G22020.1 pep chromosome:ALNU02000000:4:25975462:25975878:1 gene:OGLUM04G22020 transcript:OGLUM04G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMAGGGGEEGAGSMEGESLAAGRGSLVAAQLRAGGERLCGAELGSARPGGGTKGRNRGGAGRRREGREWSREKKVRAPIGGGGQRRRRLRLGDGRAHRRSAMVGAGGKGVATRRRPSRRDDGLGVDEDSDDGGFNG >OGLUM04G22030.1 pep chromosome:ALNU02000000:4:25978912:25983271:1 gene:OGLUM04G22030 transcript:OGLUM04G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >OGLUM04G22030.2 pep chromosome:ALNU02000000:4:25978912:25983271:1 gene:OGLUM04G22030 transcript:OGLUM04G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLYVQAVQPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGMAWTFVNLAHFAMTYHFFHWKKGTPFADDQGMYNRLTWWEQMDNGKQLTRNRKFLTVVPLVLYLIASHTTDYQHPMLFLNTIAVVVLVVAKLPNMHKVRIFGINAGN >OGLUM04G22040.1 pep chromosome:ALNU02000000:4:25997502:25999772:-1 gene:OGLUM04G22040 transcript:OGLUM04G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDILFSLSLLLKKSTSCCIRRWSSLVVFVFVALRLLRCPEEQELLGGSEVDAVGEPSCMDAAHWHQGLGLVKPMEEMLMAANAAAGANPNPAATAPSSKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSAASASPASASTANSVVTSASMSMSMASTGGGASKNPKLVHEGAQDLNLAFPHHGGLQAPGEFPAFPSLESSSVCNPGGPMGTNGRGGGALSAMELLRSTGCYMPLQVPMQMPAEYATPGFALGEFRAPPPPPQSSQSLLGFSLDAHGSVGGPSAAGFGSSAGLQGVPESTGRLLFPFEDLKPTVSSGTGGGGASGGGAGVDGGHQFDHGKEQQAGGGGGGPGGHDTPGFWNGMIGGGSGTSW >OGLUM04G22040.2 pep chromosome:ALNU02000000:4:25996430:25997473:-1 gene:OGLUM04G22040 transcript:OGLUM04G22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAKASALWWRGFKLEIGSRDDEGFNKRGIVKRRMKGRTRLGRKKEKWTPITMHASSEMSCLTIVPKPESSSHEKH >OGLUM04G22040.3 pep chromosome:ALNU02000000:4:25996430:25999772:-1 gene:OGLUM04G22040 transcript:OGLUM04G22040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDILFSLSLLLKKSTSCCIRRWSSLVVFVFVALRLLRCPEEQELLGGSEVDAVGEPSCMDAAHWHQASSEMSCLTIVPKPESSSHEKH >OGLUM04G22050.1 pep chromosome:ALNU02000000:4:26046418:26052131:1 gene:OGLUM04G22050 transcript:OGLUM04G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >OGLUM04G22050.2 pep chromosome:ALNU02000000:4:26046428:26052131:1 gene:OGLUM04G22050 transcript:OGLUM04G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCFPLAASLFFFFLQRPCSPQRSMEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >OGLUM04G22050.3 pep chromosome:ALNU02000000:4:26046418:26050804:1 gene:OGLUM04G22050 transcript:OGLUM04G22050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQLVARCSSSSSSSRMEVEEEAFFDTREELLPPSPAAALPWSGGLDSVRQRRERFMRSMGLERSPSLRQADFADVVGDVEEEGEVAAEAAAAEIGRWSSQSDENECSMSSWSTEETTSYDDGASDDNSVSGSGKASRSFSSLSFIQRLMSRNGKPSGAPKTIDRRRNGWLRRLGVSACVVDSGAADEASTSSSDSEQIGAGRYERIKVHSYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQLLATGGEDGVVRVWAVMQSEDCKIPLDDPSCVYLKARRKYGLAPVNAESEKKSKINGLKKSDSACIVVPTMVFQISEEPVHEFRGHSGDVLDLSWSSNKHLLSASTDKTVRMWEIGYANCIRVYPHSNFVTCVQFNLADENLFISGSIDGKIRVWDITRSSVVDWVDIRDIVTAVCYRPGGKGVVVGTITGNCRFYEISDNLLKLETQIALNGKKKSSLKRITGFQFCPSNPSKLMVTSADSKIRILDGTNVIQNYSGLRSGSCQLSATFTPEGQHIISASEDSNVYVWSHENQYECACKQAKTTQTSEHFRSNNAAIAIPWNGTKPRSPVPLSSQILPPQGDTFWSMSKAIKYNSSLCGKDSSIKKIVSTPAAPGIFNLNQEFFIESSCKSSATWPEEMLPSTTASVNLDESQFKLLRNCFQGTSNSWGQVIVTAGWDGRIRYFQNFGLPVHQ >OGLUM04G22060.1 pep chromosome:ALNU02000000:4:26055818:26061260:1 gene:OGLUM04G22060 transcript:OGLUM04G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAGTPPFLTKTYAMVEDPSTDETISWNDSGTAFVVWRPAEFARDLLPKHFKHSNFSSFVRQLNTYGFKKVVADRWEFANDCFRRGEKHLLGGIQRRKGSGTGGAGAAPAGGIPTAIPISSPPTSSGGEPAVSSSPPRGAAGIAAGVSGAVAELEEENARLRRENARLARELARARRVCDGVRRLVSRYDHDHGGGEEEAGEGDVKPMLFGVAIGGKRSREENGEDEEEEEEEEGADEDGEDDEVEEEDDEERERHAARRVPVREGKVRRTTELSDLDVLALSVRAAAAARPGGASRDRKSSVS >OGLUM04G22070.1 pep chromosome:ALNU02000000:4:26058330:26073811:-1 gene:OGLUM04G22070 transcript:OGLUM04G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRANILEELLLFPGSREYGMSETLRIDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRTIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCVKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASRRTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSCRELNIFPVIKEMKHQILRRI >OGLUM04G22070.2 pep chromosome:ALNU02000000:4:26058330:26073811:-1 gene:OGLUM04G22070 transcript:OGLUM04G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF810) [Source:Projected from Arabidopsis thaliana (AT4G11670) TAIR;Acc:AT4G11670] MDSAALLEVYRRDRRALLGFILSSAGGRAVDLSRVDLDAVSADYALGCVASGVQFDASEATRRYFDERRYPIMMGSPSVNSYFLLSRPERSGSPPNKAAPDIVPQAPAEENPTPIREHVDFFRAAINILGTDNGTKDVSLADIYPKQVNKMDILSLGLPKLSTELSDDDIRETAYEVLLASLFVSGKILFSEEKKEKKPKFLKGLRSKTEVSNPSPQPENHYSQLLDLIRANILEELLLFPGSREYGMSETLRIDWVVSVPDGPVEVLTIIERYNAKLCEAPKKFNLKGETYHWIQSYHLNFRLYEKLLCIVFDILEDGQLVEEADEILETVKLTWTILGITQKLHDTLFAWVLFKKFAETGEILLLKHTCLQTQKLRLHNDAKEIELYTNSFVCSAEACGGNMALSLVDSAILKINKWCFRQLENYHSYFNKVDNSIFEGMLNLVVISETSRTDDDDDDDEKAMLIGTPLDATQESKLIHILVVRSIQAAYKHALISSDCQSKAEFKHPLIILANELKLVAEKECTIFSPTLCKRYPEAGRVALVLLHLLYGQQLELFLERMDNSESLKEILAATNNFELCVAKKLYLMNEGAVGSLLSKYLKPYMISQFSSPLILQWLHVQHENVLEWTKRTIEIEDWEPLSAHERHATSVVEVFRIVEETIEQFFNSSLPLDTVHLRSLLIGITSSLQVYLHHMENQQVPRATLLPSAPVLTRYAESVNPFAKRKLIVPTVPEEKVANKLNNLTVPKLCVKLNTLQFIRDQLDNIEEGIKQSWVSVQSAVGLLDYLSCIASRRTLPKNLSSEESIDELFTIFDDVRRTAVSTTDRILNFIGTRAVFWDMRDSLLFSLYRASVESARMEMFIPTIDQVLDQVCDLIVDALRDQVVLRVFQACMEGLIWILLDGGPSRAFLETDVDLMHQDLAMIKDLFIAEGQGLPLDLVEKEARLTHQILDLFVLKREKFCSSQLNENDFQADTIIDMLINVSDQLPHHLELTTTRRRHVHDAHTLLRVLCHKKDKTASTFLKIQYHLPRSSDYDDISTKNAPSKAPMFSDMLKKLDLLYLGLSTSCRELNIFPVIKEMKHQILRRI >OGLUM04G22080.1 pep chromosome:ALNU02000000:4:26075354:26078398:-1 gene:OGLUM04G22080 transcript:OGLUM04G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSLLVREAAADQLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRGEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRRGQRDRAPASADEERGSDGSSSSSDDDVTEDDRRGSCTDCVSIAKHLESANTMFSFIWWIIGFYWISAGGEDVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPKKQTADETGPFGGIMTECGTNQPIEKVLAPEDAECCICLSAYDDGAELRELPCGHHFHCACIDKWLHINATCPLCKFNIRKSGSSSGSEEV >OGLUM04G22090.1 pep chromosome:ALNU02000000:4:26080092:26083269:1 gene:OGLUM04G22090 transcript:OGLUM04G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >OGLUM04G22090.2 pep chromosome:ALNU02000000:4:26080092:26086532:1 gene:OGLUM04G22090 transcript:OGLUM04G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C 2 [Source:Projected from Arabidopsis thaliana (AT1G63160) TAIR;Acc:AT1G63160] MAASSSSAPALADAYDIPWVEKYRPTRVADVVGNSDAVARLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPEGLEAIIFTADGDMRQALNNLQATVSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVIKNYDMAEYLKLELLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAS >OGLUM04G22100.1 pep chromosome:ALNU02000000:4:26084201:26089943:-1 gene:OGLUM04G22100 transcript:OGLUM04G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGDHFGDGVVPAGMQFPFSGAGPGVFTSSPALSLALADAVAGLNSGGGGKMVTAAHGGVGGGGGGGRAKARDALEVENEMSRSGSDHLDVVSCGDAGGGGGDDDDDEDAEHGNPPKRKKRYHRHTPQQIQELEAMFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKMQLERHENALLKQENDKLRSENLSIREATSNAVCVGCGGPAMLGEVSLEEHHLRVENARLKDELSRVCALAAKFLGKSISVMAPPQMHQPHPVPGSSLELAVGGIGSMPSATMPISTITDFAGAMSSSMGTVITPMKSEAEPSAMAGIDKSLFLELAMSAMDELVKMAQMGDPLWIPGASVPSSPAKESLNFEEYLNTFPPCIGVKPEGYVSEASRESGIVIIDDGAALVETLMDEFEFLRQRRWSDMFSCMIAKASTTEEISTGVAGSRNGALLLMQAELQVLSPLVPIREVKFLRFSKQLADGVWAVVDVSADELMRDQGITSASSTANMNCRRLPSGCVLQDTPNGFVKYLVQISVFSEVYNLSIDQFLDLPPAFMVTWVEHTEYDEASVHPLYRPLLRSGLALGAGRWIATLQRQCECLALLMSSIALPENDSSAIHPEGKRSMLKLARRMTDNFCAGVSTSSTREWSKLVGLTGNIGEDVHVMARKSVDEPGTPPGVVLSAATSVWMPVMPERLFNFLHNKGLRAEWDILSNGGPMQEVTSIAKGQQNGNTVCLLKASSSVPLTKNFTVQPTKDKQNSMLILQETCADASGSMVVYAPVDIPAMHLVMSGGDSSCVALLPSGFAILPAGPSIGADHKMGGSLLTVAFQILANSQPSAKLTVESVETVSNLISCTIKKIKTALHCDV >OGLUM04G22110.1 pep chromosome:ALNU02000000:4:26101925:26105256:1 gene:OGLUM04G22110 transcript:OGLUM04G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPF9] MSNADVEAGGPAGREATTGIKPPPGRYNPSGNGPNAVAPAPPSFYYYDQAAAQERHHRTWVVPLVVIANVAMFVVVMYYNNCPANGGRRDCVGRSFLRRLSFQPLRENPVLGPSAATLRKYGALDWYGVVHGNQAWRLETSTWLHAGLIHLAANMISLLIIGIRLEQQFGFCKPESVKSRKGKVGLVYLVSGFGGSVLSVLFISRNGITVGASGALFGLLGAMLSELITNWTIYSNRCAAMVNLIIIAAINLALGILPRVDNFAHIGGFATGFLLGFVLLIQPQFGWSEQVSSAKSKYNAFQIILLTLSVALLIAGFAAGLVSVFKGVDGNAHCSWCHYLTCVPTSSWKCDK >OGLUM04G22120.1 pep chromosome:ALNU02000000:4:26102850:26108146:-1 gene:OGLUM04G22120 transcript:OGLUM04G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00740) TAIR;Acc:AT4G00740] MGLLSSLRPHRRGALSGGGGGGQWQWSFLDAVWAVFLLAVVIFLALVFTPRRGEPLSAASSALAGATVPPCAASEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPVCLVPPPRGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKSGLLRTGLDMGCGVASFGGFLLKENILTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFMAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQEMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTDDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASPMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAAVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAAQVAQSIRWTVQMVQLVMSSESMAPRRPKRAPDAPTVMPFLLMKSTERTLPPKPDTR >OGLUM04G22120.2 pep chromosome:ALNU02000000:4:26102850:26107107:-1 gene:OGLUM04G22120 transcript:OGLUM04G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00740) TAIR;Acc:AT4G00740] MPYGKIAERKGHQGWMKQEGSYFIFPGGGTMFPDGAEQYIEKLAQYVPLKSGLLRTGLDMGCGVASFGGFLLKENILTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFMAYNGSYLIEVDRLLRPGGYLIISGPPVQWKKQEKEWAELQEMALAFCYKLITVDGNTAIWKKPTEASCLPNQNGFNIDLCSTDDDPDQAWYFKLKKCVSKVSLADEIAVGSILKWPDRLSKPSARASPMDNGANLFELDTQKWVKRVSFYKKSLGVKLGTAKIRNVMDMNAYLGGLAAAAVSDPVWVMNVVPAQKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADRINSLIRDPISGKSRCDLFDVMLEMDRILRPEGIAVIRDSPDVIDKAAQVAQSIRWTVQMVQLVMSSESMAPRRPKRAPDAPTVMPFLLMKSTERTLPPKPDTR >OGLUM04G22130.1 pep chromosome:ALNU02000000:4:26117927:26120073:-1 gene:OGLUM04G22130 transcript:OGLUM04G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWASRWLRGLLGGGKKPNSGSGDPKPAREKKRWGFGKSFREKSPAHPPPPPPPSAALQRAVTPRRAYTASDEGDDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAAKREEYAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQRRARACRAIRSQHVSAHPGPPTPEKYDQATHEGVPKHGRSGSLKGSSSKTPGSERLTRERSESCGRNWLDKWVEERYLDDEKNAKILEVDTGKPGRHASRRRSGSHHHHSSCSSMTSEQKSRSYATMPESPSKDSTTAQQSVPSPPSVGMAEALSPLRMAVDIAELCDSPQFFSATSRPGSSRSRAFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAHAFGPGSCAPVAQRTTASLHSKFTNKAYPGSGRLDRLGMPVKY >OGLUM04G22140.1 pep chromosome:ALNU02000000:4:26127107:26130950:-1 gene:OGLUM04G22140 transcript:OGLUM04G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYLQLASLRLATTIPLAPRLYDANLLAASGAAMASSMAYIALLCALLAAVVALLRWAYRWSHPRSNGRLPPGSLGLPVIGETLQFFAPNPTCDLSPFVKERIKRYGSIFKTSVVGRPVVVSADPEMNYYVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKSVLLAETDAACRGSLASWASQPSVELKEGISTMIFDLTAKKLIGYDPSKPSQVNLRKNFGAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLRGMMKERMAEPERPCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTIGVKLLTENPKVVDALREEHEAIIRNRKDPDYGVTWAEYKSMTFTSQVIMEIVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNPEIYEDPLAFNPWRWQGKPEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHSLVTKYSWRTVKGGNIVRTPGLSFPDGFHIQLFPKN >OGLUM04G22150.1 pep chromosome:ALNU02000000:4:26139362:26140838:1 gene:OGLUM04G22150 transcript:OGLUM04G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTYFPDLCFDRMAQADKTIRHQSPSDIVSSLAAVPDLRPSMENEHAEGDVAVELPDGFALILAIVFLHVMTIKDNNYITNRKTILKLYWTKQKIATKLYFKSKEH >OGLUM04G22160.1 pep chromosome:ALNU02000000:4:26149678:26151127:-1 gene:OGLUM04G22160 transcript:OGLUM04G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYIDQASKLDAGNTFEQFQFVQLLVIMHPRDRLESILCILLLVSLFMTLCDAPIALGGAHFNFNKDQKEVWDDLGDLLASFSNLNLCCFNHY >OGLUM04G22170.1 pep chromosome:ALNU02000000:4:26153402:26165970:-1 gene:OGLUM04G22170 transcript:OGLUM04G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGLVGAAAALVLLLLLLRHQARRWRNPRCGGQLPPGSMGLPLVGETFQFFSSDASLDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHETILKDREAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGWGVMVCPPAVHLNPYIYPDPLTFIPSRFKDKPEINRGSKHFMAFGGGLRFCVGADFSKLQLAIFLHFLVTKYRWIPLGASRVVRTPGLEFPDGYRIQDMEKSELLLGSYSYAALCGVTLIIGWLAHWVYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFILQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTGKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMVSFPIYFLGTSFYRSMQGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTLTVAFKYLTDNPKVVEDLKEEHGTILKKREGVNSGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQVKGYTIPSGWLVMISPMAEEKRSSMLKNYMPFGGGVRLCLGAEFSKLFIALFLHILVTEYSWTEIEGGEVLRISEIMFPQGYYIQLVPQT >OGLUM04G22170.2 pep chromosome:ALNU02000000:4:26153402:26165970:-1 gene:OGLUM04G22170 transcript:OGLUM04G22170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYVGLVGAAAALVLLLLLLRHQARRWRNPRCGGQLPPGSMGLPLVGETFQFFSSDASLDIPPFIRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGKDNVGEQQGAMFRYLKNMVLRYFGPESLKEGIIRDVERAVSSSLCTWSTLPAVELKEAVSTMVFDLAASKLLGLEPSRSKILRKSFFDFVRGLISFPLYLPGTAYYSCMQGRRRAMVVLEQVLEERKQSTGLQRGGEAQQHGDFLDYVIQEITKEKPVMTEKMALDLMFVLLFASFHTTSLALTLAVKLLADHPLVLEELTVEHETILKDREAGSELDRITWKEYKSMAFTSQVINETVRLANIAPVIFRKALKDIRFNGWGVMVCPPAVHLNPYIYPDPLTFIPSRFKDKPEINRGSKHFMAFGGGLRFCVGADFSKLQLAIFLHFLVTKYRWIPLGASRVVRTPGLEFPDGYRIQDMEKSELLLGSYSYAALCGVTLIIGWLAHWVYKWMNPPCIGRLPPGSMGFPIIGETFQFFRASPSIDMPSYYKQRLERYGPLFKTSLVGRPVIISLDPEVNRFILQQEGKLFQSWYPETAINIFGKKSLTTYNGTIHKFIRGVAAKLFGLENLKESLLPELENSMRESFASWTGKPSVEVQDGVSDMIFDLVAKKLIGLDVTNSRELRKNFQDFFQGMVSFPIYFLGTSFYRSMQGRRNVRNTLTDIMKERLSAPGKKYGDLVDLIVEELQSEKPMIDENFAIDALAALLFTSFATLSSTLTVAFKYLTDNPKVVEDLKEEHGTILKKREGVNSGFTWEEYRSLKFSTQVMNEITRISNVTPGVFRKTLTDVQEEKRSSMLKNYMPFGGGVRLCLGAEFSKLFIALFLHILVTEYSWTEIEGGEVLRISEIMFPQGYYIQLVPQT >OGLUM04G22180.1 pep chromosome:ALNU02000000:4:26169412:26172625:-1 gene:OGLUM04G22180 transcript:OGLUM04G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGATATKLHMPSAGGRRPSLFHLAAVAVLCTVSYLIGIWHHGGFSASPAGGVASSVSIATTASVSCVSPTPTLLGGGGGGGDSSSSAPLDFAAHHTAEGMEVASGQVHRTYEACPAKYSEYTPCEDVERSLRFPRDRLVYRERHCPSEGERLRCLVPAPQGYRNPFPWPTSRDVAWFANVPHKELTVEKAVQNWIRVEGEKFRFPGGGTMFPHGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLSSNRLTYPARAFDMAHCSRCLIPWQLYDGLYLAEVDRILRPGGYWILSGPPINWKKHWKGWQRTKEDLNAEQQAIEAVAKSLCWKKITLKEVGDIAIWQKPTNHIHCKASRKVVKSPPFCSNKNPDAAWYDKMEACITPLPEVSDIKEIAGGQLKKWPERLTAVPPRIASGSIEGVTDEMFVEDTKLWQKRVGHYKSVISQFGQKGRYRNLLDMNARFGGFAAALVDDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYKDRCEMDNILLEMDRILRPEGTVIIRDDVDMLVKIKSITDGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGEEKE >OGLUM04G22190.1 pep chromosome:ALNU02000000:4:26184430:26187156:-1 gene:OGLUM04G22190 transcript:OGLUM04G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSPPLSSSLLFFSLHLLRTSAVARAAGRWGRRESGGRRQVMRRRRRSTASRARPPSPPPSPPPGRSWATAAALSLPGAAAAAEAERRRVVYGSGSQRLWARGAYDDTNPPKTKQDSNISHVENFGCSVLCRAMKTNLANPCASKPYSEQSFPGTPY >OGLUM04G22200.1 pep chromosome:ALNU02000000:4:26188660:26192570:1 gene:OGLUM04G22200 transcript:OGLUM04G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDRRPTAHGTFSTLTRGPSRLLLLITAGPANQPHTTDATTLAVDSAQAPAAHTTPDRSTGGGIKVKGHLFVWTVDCGLYLHGSTSLVAAREFAQVSPRSVQRSSCVRDSPVSMEVMHDTTGKKEVVVCYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >OGLUM04G22200.2 pep chromosome:ALNU02000000:4:26188660:26192570:1 gene:OGLUM04G22200 transcript:OGLUM04G22200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDRRPTAHGTFSTLTRGPSRLLLLITAGPANQPHTTDATTLAVDSAQAPAAHTTPDRSTGGGIKVKGHLFVWTVDCGLYLHGSTSLVAAREFAQVSMEVMHDTTGKKEVVVCYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >OGLUM04G22200.3 pep chromosome:ALNU02000000:4:26188660:26192570:1 gene:OGLUM04G22200 transcript:OGLUM04G22200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDRRPTAHGTFSTLTRGPSRLLLLITAGPANQPHTTDATTLAVDSAQAPAAHTTPDRSTGGGIKVKGHLFVWTVDCGLYLHGSTSLVAAREFAQVSMEVMHDTTGKKEVVVCYMNAPLPYMIEENYGGCFFEDDVDLAQVLQDQEIVYQLIQGNYGTGSSKTHSNPSSSYSHGCELGERKPSGVASYEAQLVVDEALARELQQMEDQLASASIDDHNIIEHGRKPIASSTSSGGNASASRPPQVVMEDGIDPDNMTYEELQQLGEAIGTESKGLPEDVIALLPTSTYKIRIFSRKEKHDECVICCMTYKNRDRLTKLPCEHQYHQTCVTKWLKINKVCPVCNKEVYGSGK >OGLUM04G22210.1 pep chromosome:ALNU02000000:4:26195480:26199138:1 gene:OGLUM04G22210 transcript:OGLUM04G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >OGLUM04G22210.2 pep chromosome:ALNU02000000:4:26195773:26199138:1 gene:OGLUM04G22210 transcript:OGLUM04G22210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGASSMMGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPPEICKVARLNRAFRGAASADCVWAAKLPANYRYLAALAAAADDDSGGDGATEGNGSRCSSAAMIKKEIYARLCRPTPFDGGTKEFWMEKNKGGLCISISSKAMAITGIDDRRYWSHLSTEESRFHHVAYLQQIWWLEVAGEIDFCFPAGSYSLFFRLQLGRPHKYMGRRVYGCESIHGWNIKPTRFQLSTSDDQQATSQYYLNEPGNWILYHVGDFVVSSSDQLTNLKFSMMQIDCTHTKGGLCVDSVFIYPKGHRHEDCTICK >OGLUM04G22220.1 pep chromosome:ALNU02000000:4:26199330:26201485:-1 gene:OGLUM04G22220 transcript:OGLUM04G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGPPSRGEAEAGGDLTSTAREAGQRQGGAGAVRASQPASPVYQYQPPAPGNGIGMACPVPGHPIIVYQRLQHSKAIIALPCIIAAKNAFKVHTSSVKTRLLIELSTESEQLQLLPPQDRQEDCRRQILSLYRALMEWWWKLCGATAVGPPPEPLSSSSESINQSRGFPLDSPYTQAVTATSYLRERPSRRSNATSSYVDANKSDGASTQREVMMMLQGRRTMTQTTVTRKHMGPTWAPLTTSAKTGYYSTNFLGIKVDIVL >OGLUM04G22230.1 pep chromosome:ALNU02000000:4:26218050:26219732:1 gene:OGLUM04G22230 transcript:OGLUM04G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPH6] MTTCADDQTGCAFFAPLLSSKGAEVVILVAGDEAEEQQPAPVLTSKPPGRLAKAVNEAWSVSLGVAFPVTPSMFTCSARGEARSILGLAFPMILTGLLLYLRSMISMLFLGHLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGNYALLGVTMQRTVLLLIAAAIPIGGLWVQMRPLLLFCGQDAAIAAVAETYIFASLPDLVLQAFLHPVRIYLRTQSINLPLTVCAGLAIAIHLPINYVLVVVLGLGVKGVALASVLANLNLVLFLLAYIFLKGVHKRTGGFLLSAESFRGWGELISLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLVLGFGFGAFASAFAFLVRNVWASMFTADPAIVALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALVMAFWFHLDFRGLWFGLLAAQATCTVRMLLVIGRTDWAAEAKRSKQLTGAGAANMESDDRVAADEKSRLPVDTDVERSSDHTDRC >OGLUM04G22240.1 pep chromosome:ALNU02000000:4:26231666:26234380:1 gene:OGLUM04G22240 transcript:OGLUM04G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGLNLVSAALGFGMTAAFVAFVCARFVCCRARRADARASRPHPSLVDFDADFPSDFDRPIEHSRSGLEPLAVAAIPTMKYNCEAFHSEDDTQCSICLSEYKEKDILRIVPICHHNFHLYCLDAWLLKQTTCPICRISLKELPDGKSTVSSTPTMSQPPTLPESSVNPTSHFLPVHQEHRSHQDGPDTPESVEVVIEIRQ >OGLUM04G22250.1 pep chromosome:ALNU02000000:4:26246241:26246861:1 gene:OGLUM04G22250 transcript:OGLUM04G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHGAPSPRSSGKHPFYRGIRSRSGKWVSEIREPRKARRIWLGTFPTAEMAAVAYDVAARALRGPDAALNFPDLAASRTAPPASSSADDIRAAAAAAAASLQHDRAGGGIAPAASGSAHQQRGGSSAAARTTAGSGGAQQEGSSGAGAGSHQYFLDEEALFETPQFLRNMAAGMMMSPPRLSPSSSDDSPDPSEAGGSLWSYRDP >OGLUM04G22260.1 pep chromosome:ALNU02000000:4:26252832:26253491:1 gene:OGLUM04G22260 transcript:OGLUM04G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAYYGSGYSSSGTPSPVGGDGDEDSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAAMALRGRAACLNFADSPRRLRVPPLGAGHEEIRRAAVEAAELFRPAPGQHNAAAEAAAAVAAQATAASAELFADFPCYPMDGLEFEMQGYLDMAQGMLIEPPPLAGQSTWAEEDYDCEVNLWSY >OGLUM04G22270.1 pep chromosome:ALNU02000000:4:26259361:26262618:1 gene:OGLUM04G22270 transcript:OGLUM04G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGRARPLLMLKEWLELESSADLSRDGFGCYPRRQLAAELRGGGGGSGRRRNGAVIERVSAAVRAALLIRPSSSAREGGEAALSKSFSRRLGRGFWRKRRGEGDEVNSRVDSCSTAAVSGRDDGSSPAMSPRRRSWEGRHAGGVAGRQSHETQKQVASKMDCEATCHLDEELEQGQRRSPVSVMDFLSQDEEDDDGEVEDGNGNSEYDDVDDSIASPTFQQSLSNIRRVGQQLLQKIRQFEQLAELDASDVDDATLAKEDVVCHVADSDSMEDDTEEAFVQDLVDLLEANSPGSTRCFQKLLVDFFYDGLPPWQGERLDGPDRAKLLLEIAKAWLDDQDFSSRFDGKAEVEEIERIGRWRCFKEVGQELLAVDLEGEIFWSLVAEMVGELG >OGLUM04G22280.1 pep chromosome:ALNU02000000:4:26265309:26278934:1 gene:OGLUM04G22280 transcript:OGLUM04G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSLEVNNPVAELNAIKFSLMTSSDMEKLSSATIIEMCDVTNAKLGLPNGAPQCATCGSRSIRDCDGKKKLTGKLLGHFGVIKLAATVHNSYFIEEVVQLLNQICPGCLTLKQNGDTKKADGTTIQGTCKYCSKDGSKLYPSIIFKMLTSPRVTLSRSKLHRNTSVMDKMSIIAEVAGGVAHKSKNKAPHETLPQDFWDFIPDDNQPPISNVTKKILSPYQVFHMLKKLDPELINQDDRTKAYKRMVDLYSKKSDDESSASTDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPMGLALNLVVSEQVSSYNFETINLKCNLHLLTKEVLLVRRNGNLIFVRKANQLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLSTQSAVSINPLCCDPFKGDFDGDCLHGYIPQCLQSRVELEELVSLSGQLLNQQDGRSLVSLTHDSLAAAHQLTNADVFLEKAEFQQLQMLSSSISLTPMPSVFKSTNSQGPLWTGKQLFGMLLPYGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSLFSVMFKEYGCKALEFLSSTQDVLCEFLTMWGLSVSLSDLYLFSDHYSRRKLSEEVHLALDEAEEAFQIKQILLNSVSIPNLKYYDGGDDRSNTDEQSGFTQVSLPIIRSSMTSFKSVFNDLLKMVQQYVSKDNSMMTMINSGSKGSVLKFVQQTACVGLQLPASKFPFRIPSQLSCVSWNRHKSLNCEITDGTSECVGGQDMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGQQIVRFSYDTADGMYSDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNSLEDSPLMNLQEVLKCHKGTNSLDHTGLLFLSKHLRKYRYGFEYASLEVKDHLERVDFSDMVDTETMKIKRLGLEFIVREIIDQYNTLRKQLNNAIPSVSISNSETLHLKMENKSGKLGKNLGTGNDCVKNQTCCVTMVVQVEINSMSQLDVIKERVIPSILATLLKGFLEFKNVKVQCQEDNELVLKVGMSEHCKSGKFWATLQNACIPIMELIDWERSRPERVYDNFCSYGIDSAWKFFVESLRSTTDAIGRNIHRQHLLVVADCLSRPAHSFINAAKRDSVDNLSGTLDAIAWGKEPCTGSSGPFKILYSGKSHETKQNEHIYDFLHNPEVQALEKNVMDTYRKRTEKTSKRRSALNSEGNATINGGAISFNQKFLNAKVGIWENIIDMRTSLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPSSRCFIVLRNDDTTADFSYNKCVLGAANSISPELGSYIENRRSNRAVRPHQL >OGLUM04G22290.1 pep chromosome:ALNU02000000:4:26280936:26286987:1 gene:OGLUM04G22290 transcript:OGLUM04G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKAVTAIVLPSGTHLLFSSSKDGTVRVSDYQTEQVAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSLAERGKISFEAEVGAVKNGPGGLIFTSDEIGELKLWKWTAERTSGVSN >OGLUM04G22290.2 pep chromosome:ALNU02000000:4:26280936:26286987:1 gene:OGLUM04G22290 transcript:OGLUM04G22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKDGTVRVSDYQTEQVAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSLAERGKISFEAEVGAVKNGPGGLIFTSDEIGELKLWKWTAERTSGVSN >OGLUM04G22290.3 pep chromosome:ALNU02000000:4:26280936:26286987:1 gene:OGLUM04G22290 transcript:OGLUM04G22290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEDDEGGRHGRRRVRDRLGPTSPASSSASTGVPGNACSRFPCRYLHSELPEAPPERLRPSHRPSAAACGGGGGGGNCVVSSTREKPCKFFLSGDCRYGDECRCYLHAGSINDGFSLLTPLRGHQKDGTVRVSDYQTEQVAALARLKLNPVQEPLLFVGIPDAVKIWDTGAEMSLSEPTGEYMHWRLAMGCSSLQCNYTKKDSFELASSLVGHHRIRAWDLAKLQWTHPFWSYRSYNALALLGSISIILFSGSNNQGLGCYGKLETGSLAVTYTHNEDHGALALAGMQDAQLNPILLWSTNYNIVHLYELPSLAERGKISFEAEVGAVKNGPGGLIFTSDEIGELKLWKWTAERTSGVSN >OGLUM04G22290.4 pep chromosome:ALNU02000000:4:26280846:26286987:1 gene:OGLUM04G22290 transcript:OGLUM04G22290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVRKAVFLNRETFGSQFALAISRIPYSVVEEYTSTGLEELFADVGTWKKQRKNKHLNQQQMYDYQAMTLRPIQGQQTGTCTSDHLNKKVAVCSNLGMEINRNELGYTHLLLSSRLPLDVGAYLERTCNGVNSKRFVGNHFTAHIPLRESSADRRPRENKRRERKSKAAMPVSTLVTVLHITYSAIPS >OGLUM04G22300.1 pep chromosome:ALNU02000000:4:26293823:26300374:1 gene:OGLUM04G22300 transcript:OGLUM04G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQVEEWKGYYINYKLMKKMLKQYVQQTQLGGKDREQVLKEFSRILDEQIERIVLFLLQQQGHLANRIEELGEQRAALLEQHDISQVFQLREAYREVGRDLIKLLRFVDMNATGIRKILKKFDKRFGYRFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLAYLQDHEGSVLSIYDHPSVTLKDPIIDQVNHAVQKLTHATSFLQFLGQHALIIQEDVQSGSEDLVDDQSYHFMSLILNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFAGNLLYALAYDMNSLTVLLIGRLLCGLGSARAVNRRYISDCVPLKIRLQASAGFVSASALGMACGPALAGLLQTRFKIYSLTFDQSTLPGWVMCIAWLVYLLWLWISFKEPGHQVNANLEEGLAQPLLTGSEEGQDQNAEDNDDNEEESKHSHGPATSISSAYKLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFNWSTSAVAIFLAILGCTVLPVNAIVGSYITNLFEDRQILVASEIMVLIGIIMSFRYTPHYSVPQYVLSALITFVFAEVLEGVNLSLLSRVMSSRLARGTYNGGLLSTEAGTLARVVADATITAAGYLGPDLLLNITLLPPLVICIASLVATFCTYNTLY >OGLUM04G22310.1 pep chromosome:ALNU02000000:4:26301795:26304514:1 gene:OGLUM04G22310 transcript:OGLUM04G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDTTRALAILAATSFVAMLACVQAAGDESYTFMKDAVQSPQVSYYDYIIVGGGTAGCPLAATLSQRFRVLLLERGGSPYDDKRIGNMTRFADTLSDTSPSSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRTSDEYVRGLGWDLEATTAAYRWVEDVVAFQPELGPWQSALERGLLEAGIAPQNGFTFDHLGGTKVGGSIFDAEGRRHTAADLLRYARADGIDVLLRARVAKILFNVRAGRRPVAHGVVFHDSEGQMHRAYLSNGRGNEIILSAGAMGSPQLLMLSGVGPADHLRSFGITLVLNQPAVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITEVGSYIEGASGANWGVRRSGSGGDRPHRNFGMFSPQSGVISEYSLLFSQTGQLATVPPKQRTPEAIARAAEAMSQLDDTAFRGGFILEKILGPLSTGHLELRNRNPDDNPSVTFNYFAHPEDLRRCVAGVSVIERVIRSEAFANFTYPYFSVETLLNMTAGFPVNLRPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVNRVVDGEYRVIGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQNERLGNEGLGRRNL >OGLUM04G22320.1 pep chromosome:ALNU02000000:4:26306188:26313500:1 gene:OGLUM04G22320 transcript:OGLUM04G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper chaperone for SOD1 [Source:Projected from Arabidopsis thaliana (AT1G12520) TAIR;Acc:AT1G12520] MVGFLRALTAASAVPAAAAVAAVALSTNSSSSRLRLPSPASLPSLSSAYAAAPASGSARKPNAVPPMAAAAAATATADLSAAADKGAALPELMTEFMVDMKCEGCVTAVKNKFQTLEGIKNIEVDLNSQVVRVLGSLPVKTMLDTLHQTGRDARLIGQGNPNDFLVSAAVAEFKGPVIFGVVRLAQVNMELAIVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPSDYRSNKPLGDLGTLEAGEKGEAQFSASKEKLKVVDLIGRSIALYATEDRSDPGIAAAVIARSAGVGENYKKLCTCDGVTIWESS >OGLUM04G22330.1 pep chromosome:ALNU02000000:4:26310800:26313130:-1 gene:OGLUM04G22330 transcript:OGLUM04G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subtilase 1.3 [Source:Projected from Arabidopsis thaliana (AT5G51750) TAIR;Acc:AT5G51750] MDFCKARWKVPALCLVTVLVQASLSACAPTPKTYIVQMAASEMPSSFDFYHEWYASTVKSVSSSQLEDEEDDASTRIIYNYETAFHGFAAQLDEEEAELMAEADGVLAVIPETVLQLHTTRSPDFLGIGPEVSNRIWSDSLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTANCNRKIVGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGSPVQDANLFGYAGGVARGMAPRARVAAYKVCWVGGCFSSDILAAVDRAVSDGVDVLSISLGGGASRYYLDSLSIASFGAMQMGVFVACSAGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGLRNLSPQEQYPVVYLGGNSSMPDPRSLCLEGTLQPHDVSGKIVICDRGISPRVQKGQVVKEAGGIGMILANTAANGEELVADSHLLPAVAVGEAEGSAAKNYSKSAPKPTATLSFGGTKLGIRPSPVVAAFSSRGPNILTLEILKPDVVAPGVNILAAWSGDASPSSLSSDSRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAQIKSALMTTAYVHDNTYRPMKDAATGKASTPFEHGAGHIHPVRALTPGLVYDIGQADYLEFLCTQHMTPMQLRTFTKNSNMTCRHTFSSASDLNYPAISVVFADQPSKALTVRRTVTNVGPPSSTYHVKVTKFKGADVVVEPNTLHFVSTNQKLSYKVTVTTKAAQKAPEFGALSWSDGVHIVRSPVVLTWLPPQ >OGLUM04G22340.1 pep chromosome:ALNU02000000:4:26328634:26329173:-1 gene:OGLUM04G22340 transcript:OGLUM04G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFFLLYPSSPLFSLLPLPPSSCVLAVLVAASDVGMVLQCRSHFVGIESSPPSAESNCLCTAKLPRDGLRTSEKETKLAVLTSTAMVMAEVVTSEKLCGACSRRRRWTPTAGGGRLLSHASEKKLGWRPAASGQRGPHRRGPGGDGSGKLNPWAVELQDGRRRQDKVVFSGGADRR >OGLUM04G22350.1 pep chromosome:ALNU02000000:4:26331207:26332622:1 gene:OGLUM04G22350 transcript:OGLUM04G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPDPANPAARRPRLVVLLLVAFFALQLLVFLAFRGAPSPSSPDAAVDRVPVSARRDGEDSGCVGGLVYVYDLPPVFNEDLLALCEVLAPMYSLCPYLANDGLGFPAKGGNQSEFPPAELVGSWYSSDQFALEHIVHRRLLSHRCRTTDPARATAFFVPFYAGLAVGRHLWATNATDADRDRDCLALLSWLHAQPYYKRSNGWDHFIALGRITWDFRRSPDGGWGGSFLLMPGLANTTRLVIERDPWDAMDVGIPYPTSFHPRTAADVRAWQRYASSRSRAKLFAFAGAPRSAIKGDFRGLLLEECQAAGDACGALDCGEGRCIKQNELVMELFLGARFCLQPRGDSFTRRSLFDCMVGGAVPVLFWRRSAYRQYGWYVPVGNSQEEEWSVFIDRDKLRAGNVTVRGVLAAIPEAKVREMRNRVLEMIPKLVYSAADKEGLGDGMKDAVDVMIDGMLRRAAEQRRSWRKV >OGLUM04G22360.1 pep chromosome:ALNU02000000:4:26340697:26341992:-1 gene:OGLUM04G22360 transcript:OGLUM04G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDRRLLFLLAASLAVAAVSSHNITDILDGYPEYSLYNSYLSQTKVCDEINSRSTVTCLVLTNGAMSSLVSNLSLADIKNALRLLTLLDYYDTKKLHSLSDGSELTTTLYQTTGDASGNMGHVNITNLRGGKVGFASAAPGSKFQATYTKSVKQEPYNLSVLEVSDPITFPGLFDSPSAASTNLTALLEKAGCKQFARLIVSSGVIKMYQAAMDKALTLFAPNDDAFQAKGLPDLSKLTSAELVTLLQYHALPQYAPKASLKTIKGHIQTLASTGAGKYDLSVVTKGDDVSMDTGMDKSRVASTVLDDTPTVIHTVDSVLLPPELFGGAPSPAPAPGPASDVPAASPSPEGSSPAPSPKAAGKKKKKGKSPSHSPPAPPADTPDMSPADAPAGEEAADKAEKKNGATAAATSVAAIVASAAALLAASFL >OGLUM04G22370.1 pep chromosome:ALNU02000000:4:26346345:26348821:1 gene:OGLUM04G22370 transcript:OGLUM04G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPLSHPAMVALSLLLLVALYLARRAVLGKKRRYPPVAGTMFHQLLNFGRLLEYHTELSRKYRTFRMLTPTCNYVYTVEPANVEHILKTNFANYGKGPMTHDVLEDLLGDGIFNVDGGMWRQQRKVASLEFSTRVLRDYSSAVFRDTAAELAGILERGPAAKGRERVDMQDLLMRATLDSFFRVGFGVNLGVLSGSSKEGLVFARAFDDASEQVLFRFFDLLWKVKRFLNISSEATMKQSIRIINDFVYSIIDRKIEQMSREQHEFAKKEDILSRFLLEREKDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYAVCKNQRVQDKIAREVRDATTGDRDVGVQDFSSFLTEDAINKMQYLHAALTETLRLYPGVPLDVKYCFSDDTLPDGHAVKKGDMVNYQPYPMGRMKFLWGDDAEEFKPERWLDDSGMFVAESPFKFTAFQAGPRICLGKEFAYRQMKIVSAVLLYFFRFEMWDDDATVGYRPMLTLKMDGPFYLRALAR >OGLUM04G22380.1 pep chromosome:ALNU02000000:4:26356663:26356908:-1 gene:OGLUM04G22380 transcript:OGLUM04G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLDWNYLRGSATTPSLRQRDTASPAPSSPLTSSLLRRRSRGCIPPPTLHHVCATIADLRGVRNTAPQLLNGLLVAFSE >OGLUM04G22390.1 pep chromosome:ALNU02000000:4:26358147:26359930:1 gene:OGLUM04G22390 transcript:OGLUM04G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRQAYSPPPPPPSKLPRLSGTDPTDGVVTMAAPSPLVLGLGLGLGGSGSDRSGSDAEASAATVREARPPSALTFMQRQELEHQVLIYRYFAAGAPVPVHLVLPIWKSIAAASSFGPQSFPSLTGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPMEASAAVAPTSLPVRPALHTVATLATSAPSPSHLGFSSASKVLLAHTTTGTTRAT >OGLUM04G22400.1 pep chromosome:ALNU02000000:4:26360600:26365056:1 gene:OGLUM04G22400 transcript:OGLUM04G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein-related [Source:Projected from Arabidopsis thaliana (AT1G01930) TAIR;Acc:AT1G01930] MAAASPESRPPRSLFDLPADFFDSSSLLGSHPSSAPSAAEPSESIRPAAAPPPSQPSEAPGLRWTCNTCASEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEDDLDKADPDSLFDDLEVSSVSGSEDELENGPASDRGLSAKDKGEFRKKLYFRCHSGDTVSIWRCILLKEHEEPVFNSKSGQTESHGSTPFVQEDEMLNRVKNLTSEPRDASRLRIILLTGGGHFAGCVFDGNSVIAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEIQELIASWKSYFDLCVCVFMYAPSKNRQMLFEGDKTQSVLQACDIRPIPLTVHRPTLKEAKRLYTNLTQLCYEMECLSTDEPHVEDVTSFEQSKEAKQKKVMDSKESISVSSLSLDLPNKHEGTSIHPSNNETTPLHEAAKSGNAQQTLELLEQGLDPCIKDARGKTPYLLASDKEVRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEKEKEKEKAQASQSQRTQTNVRGTSDGQMANATASMPGLKQKHQLPQPTALSEEVRNDKGNSPRKERKEQPQPKEDLRLWRHNQVAHQGQQQQNIPHREQPRQTILLVRAVFLPWSAKYHSTGTITSTAAPHVCIFIQKCWKMIEEIRKLYDERHEI >OGLUM04G22410.1 pep chromosome:ALNU02000000:4:26365435:26370420:1 gene:OGLUM04G22410 transcript:OGLUM04G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein [Source:Projected from Arabidopsis thaliana (AT1G12480) TAIR;Acc:AT1G12480] MAAKPSSSSSSTGGHHTVDIRAAQAQPEDARQSAMSGPINIRGERRPPPMQRAFSRQVSLGSGVTVLGMDKVGKNGGRGQQRALPRSGKSLGVLNHTGALGQAAAGDGAARRGDFSMFRTKSTLSKQNSLLPSRIREPDLELPPHVEGPSVGRQGGEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPVGCFGVCLGLGSQAILWGALAASPAMRFLHVTPMINVALWLLALAVLVAVSVTYALKCVFYFEAIRREYFHPVRVNFFFAPSIAAMFLTIGLPRAVAPERLHPAVWCAFVAPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWAEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMALFLYMSLVVRINFFRGFRFSIAWWSYTFPMTTASLATVKYAEAEPCFTSRALALSLSLMSTTMVSLLLVSTLLHAFVWRSLFPNDLAIAITKDRQNGAFKPHGKGRKAGKRVYDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >OGLUM04G22420.1 pep chromosome:ALNU02000000:4:26367734:26370258:-1 gene:OGLUM04G22420 transcript:OGLUM04G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSSASAPAPVSFGERWPPKNSARISRGKFSLTAISLDDYLPMRSTEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFADIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >OGLUM04G22420.2 pep chromosome:ALNU02000000:4:26367734:26370258:-1 gene:OGLUM04G22420 transcript:OGLUM04G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSSASAPAPVSFGERWPPKNSARISRGKFSLTAISLDDYLPMRSTEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >OGLUM04G22420.3 pep chromosome:ALNU02000000:4:26367734:26370258:-1 gene:OGLUM04G22420 transcript:OGLUM04G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSSASAPAPVSFGERWPPKNSARFWQSSLPYESNDLGFLLIHPPYIQFSFICNTLTHKYSYRISRGKFSLTAISLDDYLPMRSTEVKNRTSTGDITSLRVITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTKIKVVGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGLISHFEAVLPMGPTIIYNVPSRTGQDIPPAVIEAVSSFSNMAGVKECVGHERVKCYTDKGITIWSGNDDECHDSRWKYGATGVISVASNLIPGLMRKLMYEGENTALNDKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRVEFVRIVESIGRENFVGQKEARVLDDDDFVLISRY >OGLUM04G22430.1 pep chromosome:ALNU02000000:4:26384111:26387143:1 gene:OGLUM04G22430 transcript:OGLUM04G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMDVKGAPLVGDGKMHMVVEEEMKTSLAAHQPSSQSQRVIGIEMMADVGSPSSPGSPYFPSSPSSHRDALSVSFSSRGVRNHKMLQCDIDKSDTEEDLYSSCEEDPCFNSLVDVFIGAATSTGLLTSSPEIDYMAANQSQSLFYAESALKHYNNNDENKIKYELSSVITSNAIIDRSGYGHVNFVAKGDLPDSVDEFFFAEVRWDIDSYVPVCMVSLEGKEKVGGYHEIEVDYPRGGFVGVPVDKKHCYACGDGLKHPEDGTLYESGHIASGSYYD >OGLUM04G22440.1 pep chromosome:ALNU02000000:4:26390941:26395629:1 gene:OGLUM04G22440 transcript:OGLUM04G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIAATLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKEKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVRSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEYIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >OGLUM04G22440.2 pep chromosome:ALNU02000000:4:26390941:26395727:1 gene:OGLUM04G22440 transcript:OGLUM04G22440.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEGWPALQPLLCLAWIAATLPIIVAALPIPAAAGGHLLRRLLSAFSSRGKTVRPSPASSSGSSSSKEKFTVPQKYFMHFYVVGVLATTILLLAIWFYAYMKMTPLLPESSSYSTIASHLVGSNSFSFGRVRSRTMGHKYHVWRTVFVLLLMEIQVLRRLYETEHVFHYSPSARMHIVGYLTGLFYYVAAPLSLASSCIPEAAEYLQGQVAEFIVKGRARMPDLVIDSSSLLQPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGTLREHKDSDEYVIPCGDWFNRVSCPHYLAELVIYFGMLVASGGEYIPVWFLFVFVITNLSFAAVETHKWYLQKFEDYPRSRYAIIPFVC >OGLUM04G22450.1 pep chromosome:ALNU02000000:4:26401872:26405345:1 gene:OGLUM04G22450 transcript:OGLUM04G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRLLFLLVMLLVVAAPGAPVFGANAPPEVKAEIDALLMFRSGLRDPYAAMSGWNASSPSAPCSWRGVACAAGTGRVVELALPKLRLSGAISPALSSLVYLEKLSLRSNSLSGTIPASLSRISSLRAVYLQYNSLSGPIPQSFLANLTNLQTFDVSGNLLSGPVPVSFPPSLKYLDLSSNAFSGTIPANVSASATSLQFLNLSFNRLRGTVPASLGTLQDLHYLWLDGNLLEGTIPSALSNCSALLHLSLQGNALRGILPPAVAAIPSLQILSVSRNRLTGAIPAAAFGGVGNSSLRIVQVGGNAFSQVDVPVSLGKDLQVVDLRANKLAGPFPSWLAGAGGLTVLDLSGNAFTGEVPPAVGQLTALQELRLGGNAFTGTVPAEIGRCGALQVLDLEDNRFSGEVPAALGGLRRLREVYLGGNSFSGQIPASLGNLSWLEALSTPGNRLIGDLPSELFVLGNLTFLDLSDNKLAGEIPPSIGNLAALQSLNLSGNSFSGRIPSNIGNLLNLRVLDLSGQKNLSGNLPAELFGLPQLQYVSLAGNSFSGDVPEGFSSLWSLRHLNLSVNSFTGSMPATYGYLPSLQVLSASHNRISGELPVELANCSNLTVLDLRSNQLTGPIPGDFARLGELEELDLSHNQLSRKIPPEISNCSSLVTLKLDDNHLGGEIPASLSNLSKLQTLDLSSNNLTGSIPASLAQIPGMLSLNVSHNELSGEIPAMLGSRFGTPSVFASNPNLCGPPLENECSAYQQHRRRQRLQRLALLIGVVAATVLLLVLFCCCCVYSLLRWRRRFIEKRDGVKKRRRSPGRGSGSSGTSTDSVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYNDGTVLAILRLPSTSSDGAVVIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSATTTVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEDEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >OGLUM04G22460.1 pep chromosome:ALNU02000000:4:26408189:26412534:1 gene:OGLUM04G22460 transcript:OGLUM04G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFKPFTGSGKRLDGKASKLQATEVPSASRSSHSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKKDEPKFQAFSGKSYSLKR >OGLUM04G22460.2 pep chromosome:ALNU02000000:4:26408956:26412534:1 gene:OGLUM04G22460 transcript:OGLUM04G22460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAGYGYHGSNFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNTTLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPENPQQPSVPASEATAEDENAKVEDELKFKPFTGSGKRLDGKASKLQATEVPSASRSSHSDSNKRVNQETLAPASSGASNSTRQKSGKLVFGSSANNNKEPQKASVKDDESPKKDEPKFQAFSGKSYSLKR >OGLUM04G22470.1 pep chromosome:ALNU02000000:4:26421177:26429151:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSASVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSTDVVVFDAPGEARQAWVGVDTEGRCGTARAVGQGGAELSNGAGYWATAEGGVRAHGDGGGGGGCKRRPRAWRRRRRRRRQAAAARIATAPAVSRGQGDGYRRRWRGSRSGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >OGLUM04G22470.2 pep chromosome:ALNU02000000:4:26421177:26425821:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSASVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSTDVVVFDAPGEARQAWVGVDTEGRCGTARAVGQGGAELSNGAGYWATAEGGVRAHGDGGGGGGCKRRPRAWRRRRRRRRQAAAARIATAPAVSRGQGDGYRRRWRGSRSGTPLDLHSHSTLPLHRPLTHLRRPATTAMEGRSRWR >OGLUM04G22470.3 pep chromosome:ALNU02000000:4:26426458:26429151:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHPPCCSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >OGLUM04G22470.4 pep chromosome:ALNU02000000:4:26421177:26424932:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFQLRFGLRMSPSRSSDEEEEDDEDEEGFEYEEMLSDDGTDSPPPLMMQAEKGGGGLVGAVVGALRRSLVMCSAGKVGEEEDSEDEEEEGMEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPSPTPSASVNVFGVSPTSLQCSFDHKGNSVPTILLMMQRKLYEREGLKIEGIFRINAENSQEICVRKQLNSGVVPDEVDLHCLAGLIKAWFRELPTGVLDSLTPEQVMHCNTEEDCALLASMLPPVEAALLDWAINLMADVVEHENYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKEREAAGTPKTTEPCSGSPNGQDKPPTPENLERPIICSDQKGIDKPMFDMATCDQLLFGPKQFLDHRENNKFEGPEKHDIGQPKRHSEASPLGNDSNNQVSSPGKEFGNRNVEGLFDKFSFRKGVERLCRHPVFQLSRSMKKSTDVVVFDAPGEARQAWGLI >OGLUM04G22470.5 pep chromosome:ALNU02000000:4:26425359:26429151:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHMVLILRFLVASQLEGSQMGGPLPMSLVMSSEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKVLQLLTRDY >OGLUM04G22470.6 pep chromosome:ALNU02000000:4:26426458:26429151:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHPPCCSSLFLVVTLLVFRSSPALPHTFFIFGDSLVDVGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGRTIADVIGEALGQKSFAPPYLAPNSSAEMMNSGVNYASGSSGIFDETGSFYIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKVLQLLTRDY >OGLUM04G22470.7 pep chromosome:ALNU02000000:4:26425359:26429151:1 gene:OGLUM04G22470 transcript:OGLUM04G22470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAAEDASGGREHGDGGGGGGGKQRPRASRRRQRSAAGRATATDGGGAAPDLIGRVPLGQQISYFEKTRARILEIMGEKAATGFLKKALFTVAAGSNDILEYLSPSMPFFGREKYDPSVFQDSLASNLTFYLKRLNQLGARKIVVADVGPLGCIPYVRALEFIPAGECSAFANQLTQGYNKKLKRMIYKLNQEMGPESRFVYANTYEIVMEIIQQYRQYGFENALDPCCGGSFPPFLCIGIANSTSTLCNDRSKYVFWDAFHPTEAVNFIVAGKLLDGNSAVASPINVRELFQYQYK >OGLUM04G22480.1 pep chromosome:ALNU02000000:4:26429787:26434815:-1 gene:OGLUM04G22480 transcript:OGLUM04G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAVMLTAAQAPARHRVWMVEDAVASDEFPAWHGCG >OGLUM04G22480.2 pep chromosome:ALNU02000000:4:26431150:26434815:-1 gene:OGLUM04G22480 transcript:OGLUM04G22480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPARAASVVRLFDAHCHLQDPRVLSVAPSLIRAATAAGVARFAVNGTSEKDWHLVKQMAEEYPWVPERSPDWMDSLRRFFAETPEAAVGEVEVFQQQLELAKELNKPVSVHCVRAFGDLLEILKRTGPFPAGVLLHSYLGSAEMVSSLEILGCYFSLSGFLTGMKSTKAKKMLKSMPLDRILLETDAPDALPKLDDISLLAVPVDSSSADNGESKKDSNSRASTTSNESLNHPSNIHIVMKYVASLLEISEIELAEVTYKNATKLFSYHGSKVHNEVEAV >OGLUM04G22490.1 pep chromosome:ALNU02000000:4:26437144:26438247:1 gene:OGLUM04G22490 transcript:OGLUM04G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSAAAKMRLGGGGGGGGFMLGCGCRDAKAVAVAVSVTSPCSAATETSTATTATWRRARTHPSASASASTGTLTVPSASSSFLWDDADAEADGEEVDFKRESSATTPSFSGLLRQLNELEQSVMTWGWKSPRRGNHFSPPPPPPPPPPLPLRPVVLHRAVDAGGKRSNKEGDAKFSSPPPSSHCPTTQLHRKVKSVDQRNREDDEAHFAPPAPPPLPLPPQQLRNVKGVDKGGSKEDSKHCPPPPQAPKHRKTKSCDNNDGFTAGKLDGSLVVVKQSEDPRGDFRRSMLNMIVENRIVTGDVLRELLHRFLELNAPHHHDAILRAFAEIWDEVFAGPDEPRHGPPRPPPRQRTPPRRRHPLPAWRL >OGLUM04G22500.1 pep chromosome:ALNU02000000:4:26440167:26442182:-1 gene:OGLUM04G22500 transcript:OGLUM04G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane proteins 14C [Source:Projected from Arabidopsis thaliana (AT1G33265) TAIR;Acc:AT1G33265] MTAPVTTTTSSLLLLHHPAAAIRSLPSPPRPSAVARPGRSRRRALLRCSAVSELAPTASAAYGALLLGGGAFAYVRSGSKGSIFGGLSGSALMGIAYYLMQSPETKALGDAVGFGSAFLFASVFGIRLYNTRKLVPSGLLLVLSLGALGVFYSAYMQDKV >OGLUM04G22510.1 pep chromosome:ALNU02000000:4:26447459:26449597:-1 gene:OGLUM04G22510 transcript:OGLUM04G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACQGIDLLSTKAAGDDHGENSSYFDGWKAYDTNPFDLRHNRGGVIQMGLAENQLSLDLIEEWSKNHPEASICTPEGVSQFKRIANFQDYHGLPEFRKAMAQFMGQVRGGKATFDPDRVVMSGGATGAQETLAFCLANPGEAFLVPTPYYPAFDRDCCWRSGIKLLPIECHSFNDFRLTKEALVSAYDGARRQGISVKGILITNPSNPLGTITDRDTLAMLATFATEHRVHLVCDEIYAGSVFATPEYVSVAEVIERDVPWCNRDLIHVVYSLSKDFGLPGFRVGIIYSYNDAVVAAARRMSSFGLVSSQTQYFLARMLSDEEFIGRFLQESKCRLVARHERFTSGLREVGIGCLRGNAGLFSWMDLRRMLREKTAEAELELWRVIVHQVKLNVSPGTSFHCREPGWFRVCHANMDDETMEVALGRIHDFVRQHQQRRVKAERWAANRQLRLSLPHHHHLSPAHLSSPLALLSPQSPMVRSTS >OGLUM04G22520.1 pep chromosome:ALNU02000000:4:26462909:26464866:1 gene:OGLUM04G22520 transcript:OGLUM04G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT2G46100) TAIR;Acc:AT2G46100] MAMLVRSPTSTLPAANHRQKSGVLLRRPTRRSARRFQLHAEKPASPGAGNETSSSSEDAVLRAAWYGSELLGIAASFFRPSQPPTEGDGAGAVEEAASEPQGRAQVAEAVKDDFARSYFVTGNLTLKAYEEDCEFADPAGSFNGLQRFKRNCTNFGSLLEKSNMKLTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAGSGKVCRHVEHWNVPKMALLRQIFRPSRWVWEKRTDE >OGLUM04G22530.1 pep chromosome:ALNU02000000:4:26468090:26469328:1 gene:OGLUM04G22530 transcript:OGLUM04G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRACRGESSGGGRSSLGYLFEPEREDIIPDHSTKSVQGTNKAPKGNIVLGDKMASDEADQEHQAAAPLKKEDSNPIVSSRSASNIYHTNQVGNNSGLLITDRPSTRVRCAPGGPSSLGFLFGDEDA >OGLUM04G22540.1 pep chromosome:ALNU02000000:4:26471007:26471591:1 gene:OGLUM04G22540 transcript:OGLUM04G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSIGRQEGGQAGEATACSCPSPHNAHRRRNCTRSRSLNEATRSSSELASVGQDAGRIERGHGPVQRHHTRATVTAAAQGEEGKMATAVA >OGLUM04G22550.1 pep chromosome:ALNU02000000:4:26471833:26475088:1 gene:OGLUM04G22550 transcript:OGLUM04G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCEPTRNHSRISPLRRPQMHTRSTVSHRATRRLDVSLSSSTTTEPRGLSITLPRHTLPLHPCPRKRARVRYEAGREERPRHGHRSLRRRYDQLRRQEAAPRGRRAPPVVAPAVGPRAAVLAAHADPAQPRARDRHVLRAAGHGEPAGPGSVPAADGARARALAGGRGGCRGGAAHRGEEGAEAVGEADVPGCGRHVQPRLHVHGRRRRVLPLPLATGGRGCADDRDVWHVCALRRRSGWDGVLGAVGAPLAVARLPVAHARVAPPCARGPVRAQRRVRHHQRRAGHLPPRLRLLPPGHRPRPLLRRGPRDYAVRHGLHVRPRRPGPPPLPRRPHRECALLPASGRGPQDTPHGQVRGRTVWAVSWTQGAGGGWWSGRAGEGACENQPELVILTAAIAASKTAPVELAELPLPCKIFCISGRFVDSINCRYRREGDSRSGSASSIRRCGSGEEHSIFV >OGLUM04G22560.1 pep chromosome:ALNU02000000:4:26478661:26480529:1 gene:OGLUM04G22560 transcript:OGLUM04G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDLDHLPQTERNLLVRSSLLGLRNSELRHPAQLDTSFNQGIQGIELPLPMKGAARFVVENATRQIKPVNGLPRLMTITTPQKHGKENNSNDSVLTKDENIEPLVAFSRPPPLPPVLGPFIMLSLFNMSSGGDENKN >OGLUM04G22570.1 pep chromosome:ALNU02000000:4:26482804:26485584:-1 gene:OGLUM04G22570 transcript:OGLUM04G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRHGRDRNGAPATRSAMVLLAAAVFVGWLMMWVTLPTRTFSSTWAPTLASHTNSTYFGKQGTRIVIYVFPILFVSVVACIYLHLLKRSGDSTGVQSQRGVFCTRMAAWRRPVLVRGPLGIVTGIELAFFLLFLALLVWSYSAFINLDFSKIHVKPVEKIMRNVLRWQAKLDRAALRLGHVGSFCCAFLFFPVARGSSLLPLIGLTSEASIKYHVWMGNLVMLFFTAHGLCYIVFWASTDQIHEMLKWTRTKVANVPGELALLSGLVMWVTALPRVRRQMFELFFYAHHLYALFLVFFALHVGVAFFCSILPGVFLFMVDRYLRFLQSRVRVRLVSARLLACDAVELNFCKSPHLTHSPMSTVFINVPCVSRLQWHPFTVTSSSSLKPDRLSVVVKRAGRWTEKLYETISSLPPSQPGHLDVSVEGPYSQATPASFLQYDSLVMISGGGGITPFISVIRELVHRSGTAAEAATPSLLLIAVFKTSADLAMLDLIVPASGGFSDISRLELRIEAFVTRESVPSAGDVVAIAHKVPAEEVLFKPSPSHAPIAPVLGHNGWLWLAAVVSSSFFIFLLLVGAVQRLYIYPVDGNSNRVYPWAARTLLNLLLLCVGIAVAASAAVLWNKRRRAEEAKQVENVATPASSPATWLDKPRRGDAEVESSPLHYLEQATAVHFGRRPDLRTMLLEIGGTNVGVMASGPPELLEDVATICSSGSASNLHFASISFTW >OGLUM04G22580.1 pep chromosome:ALNU02000000:4:26490201:26496892:1 gene:OGLUM04G22580 transcript:OGLUM04G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRVTGSQNIMEICLGPCVSSGASSRWFSSCTKHSNTSILNQIKAVDRYSPVNGMSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVALGTSDGGSSWIDIFDNARKCTLDATTDAGKKVKELTDAITPHVQQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKVAASSIDQTRLSAFDKISSLGLIALGVMALAEACVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKVFGLVTAFRFSSNFPSIASTYKEYILLLELDAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >OGLUM04G22580.2 pep chromosome:ALNU02000000:4:26490201:26496095:1 gene:OGLUM04G22580 transcript:OGLUM04G22580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIAATLRRSSRVTGSQNIMEICLGPCVSSGASSRWFSSCTKHSNTSILNQIKAVDRYSPVNGMSMISRVPLSAHMDTNWLSTSNPRFNALPGFLGASSICRAYSSDTGIKAEVPQNTVSNVPSTETVALGTSDGGSSWIDIFDNARKCTLDATTDAGKKVKELTDAITPHVQQFFDANPNLEKVVVPLGGTIFGTMMAWFVMPIVLRRIHKYSIQSPISALLGSSTKNDVSYETSLWSALEDPAKYLITFMAFSEMAGFTAPSISAYLPQAWRGAIVLSFVWFLHRWKTNFITKVAASSIDQTRLSAFDKISSLGLIALGVMALAEACVATAFAARDVLGNMLSGFSLQFSSPFKAGEYIKVFGLVTAFRFSSNFPSIASTYKEYILLLELDAGSIEGKVIEIGLTSTELMNPEQLPVTVPNSLFSSQVIVNRSRAKWRSNVTKIPIRIEDIEKVPAISEEIKVMLRSNPKVVLDSEAPAPYCYLSRLESSYGELTIGCNLTKMTKDEWLSTTQGILLEAAKIIKLHGVELGSTTQCC >OGLUM04G22590.1 pep chromosome:ALNU02000000:4:26498112:26500074:-1 gene:OGLUM04G22590 transcript:OGLUM04G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGGKEAVTASILRFLLLLLLPLTALYFFYTLHLLLASAASSSSSSCPPDAASSSSSVRLSTNGTSAGAAAVTVAAGKKAPAAASTETTLQHVVFGIAASSRFWDKRKEYIKVWWRPRGAMRGYVWLDREVRESNMSTARTGLPAIRISSDTSGFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLTVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALARMQDGCIRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLAAHPVAPIVTLHHLDVVQPLFPNAKSRPAAVRRLFDGPIELDPAGIMQQSICYDGGNRWTVSVAWGFAVLVSRGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSARRAASPRGGDTTVTRYERWRRANETRPACRWNIADPDAHLDHIVVLKKPDPGLWDRSPRRNCCRVLSSPKEGKKGGDKTMTIDVGVCRDGEFSQVV >OGLUM04G22600.1 pep chromosome:ALNU02000000:4:26501193:26509745:1 gene:OGLUM04G22600 transcript:OGLUM04G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIYTNIPPPHNTRDRGDIALPFRRTGGRAGRTCDTASLPQPGRAAAWWRAVVRGPKAVARDRASHAAPWRKTAAVVRRRREGPRRSHWELSRWRGTSISGNGSRSSQGRSNPPPIRYREGPFDYYPAVLCDCRRKAARWISWSEDNPSRRYLTCARARDGGCTFWSWYEPETTPYLRQVLNDLHNVVRGLKEEKSILRASFVSAKAQIDELTAVHNGDVADWTRKLKEKDDLACELRARVVQLEEGRKLLLLIVAGLELVHEFAHEHLQFQKSRSQLPLTKSAVYG >OGLUM04G22610.1 pep chromosome:ALNU02000000:4:26516821:26517459:-1 gene:OGLUM04G22610 transcript:OGLUM04G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVCCYSELLLPKQLLHLLLLLGYIRRFLLWAFHAVGLGDLLDLGDEQQAVLQDHAREHRAPAQALPPQQQHRRAEFRTVPAMVIEEVLPVVRFDELVAVAPAVCGGGDCAVCLSGIGGGDEVRRLSNCRHVFHRGCLDRWMEHDDQRTCPLCRAPLIPDEMAGALWAAAGVPDASDFDFSYFGAPLTPMPTPTLLRPHELLLTGLGGYQ >OGLUM04G22620.1 pep chromosome:ALNU02000000:4:26518255:26537335:1 gene:OGLUM04G22620 transcript:OGLUM04G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRERLEAPSGMGDATRRVLCHVAGESQPNAATCFTKVLRYTSVRGLESRRWKENDGGSGKAFRSVPGD >OGLUM04G22630.1 pep chromosome:ALNU02000000:4:26544363:26546585:1 gene:OGLUM04G22630 transcript:OGLUM04G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G63850) TAIR;Acc:AT1G63850] MPASSPSTSTSRSRPLFPKPSPSHLVPHDLASSWCCAPHGHTPTPAAAAASSSPSSQSSSPAAETMDPPPQPPTSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAVIHSIPAPPQQAHPLQPARSMDRQVLLQDRVAELIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDVEVYVETLRLMYCKDLRRRLMREDVSKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVAEEVEVGSGCNGGGNSGGGEEVLVRLLQVVLEGKDEKARREMKGLVSKMLRENSTSRGGAIGGDLRKESLYSACNGCLRLLHEQFKMAAGGDQSEVAQIARQADNLHWMLDILVERQIAEDFLRTWAMQIELAELHGKVPAIHRYEVSRVTARLFVGVGKGQILVSKEVRCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNGEPEQPPRLRITAICENS >OGLUM04G22640.1 pep chromosome:ALNU02000000:4:26559946:26561805:-1 gene:OGLUM04G22640 transcript:OGLUM04G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAPWRDPRQGYLYGVGSAVQMPMQQRSDAAAAGGVLKRSLGDMERWQQHQQHQRQIAMQQQLYLRTVRQRTAAASAAVSPLTSADIAAVLGGPPSQPLVLSGSSMGGAFGSPSSTLSSITTASRAVAMPLMQPQLQRQQQVTYMASSPQVQAFGTARALPPAPATSDLSILQELEKQLLGDDDEVEAAMSGTGSAVTGSEWEEQLNSITAAPSPPLTAATTPNNNNNAVGMTRSPSNSSTSTASSSASCSPPTSATTSRQLLSEAAAAIADGHNETAATHLTALKRAANSRGDVEQRLVAMMVAALSSRIGQTASVPDICGGETRAGSQLLHDISPCFRLALHAANVAIVDAVGDHRAIHLVDFDVSAPQHADLIRCLAARRLPGTSLKVTAVTDPASPFTQSVTATLHLQKLAERAGIDYRFKMVSCRAGEIEASKLGCEAGEALAVNLAFALSHVPDESVSPANPRDEILRRVRALGPQVVALVEQELNSNTAPLTTRFTDACAHYGAILESLDATIPRESAERARAEAALGGRAANAVAREGADRLERCEVFGKWRSRFGMAGFRPVALGPGIADQVLARQGPVAAGFAVKAENGVLRLGWMGRVVTVASAWR >OGLUM04G22650.1 pep chromosome:ALNU02000000:4:26574319:26576933:-1 gene:OGLUM04G22650 transcript:OGLUM04G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGIARARLAEERKAWRKNHPHGFVAKPETLPDGSVNLMVWRCIIPGKEGTDWEGGYFPLTMQFTEDYPTNAPSCKFPSGFFHINVYDSGAVCLSILSTAWKPSITVRQILIGIQELFDDPNPNSAAQNISYELYRKDMEEYRKRVRQQAKKYPSAL >OGLUM04G22660.1 pep chromosome:ALNU02000000:4:26581481:26582434:-1 gene:OGLUM04G22660 transcript:OGLUM04G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTCLISRGAFLLLAAAVAIALPCAAALQELQLQDAVLIDDVVQEAAQAWYHGRQRRTGVTYPLSLPGSLSGVAADVARFRAGSLRRYGVRLFGEFAVPPGLAVRGQASHLLAVRANLGNLSSVFDEYAASGGYRIASPVLGLTFYGLARRGDTARLEVLVTAAAIRVNFSMAVPALQPGAVPLCMAVALNGSVTVTDVQAGSNTCHVWDQGHFALVLGGAGDGGGVVAEAGEVSKWKLALFGAALGAGGTVLLGLVLVAVLSIQRRKSEVAEMARRAYEEEALRVSMVGHVRAPSAGGSRTTPDALENEYCAAL >OGLUM04G22670.1 pep chromosome:ALNU02000000:4:26583599:26584492:-1 gene:OGLUM04G22670 transcript:OGLUM04G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTRDVSDSTTDSDQRAGAVDPVVAIDPVFVEAAAMDHAVIIVDAGQTSSRRASDHVESAGRDAAAAASDDGGRHSSAG >OGLUM04G22680.1 pep chromosome:ALNU02000000:4:26593163:26599194:1 gene:OGLUM04G22680 transcript:OGLUM04G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSEMGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >OGLUM04G22680.2 pep chromosome:ALNU02000000:4:26593007:26599194:1 gene:OGLUM04G22680 transcript:OGLUM04G22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGINKTLEKYNSCCYNAQGSNSALAGGEHQSWYQEMSRLKTKLECLQRSQRHMLGEDLGPLSIKELQQLEKQLEYSLSQARQRKTQIMMEQVDDLRRKERQLGELNKQLKNKLEAEADSSNCRSAIQDSWVHGTVVSGGRVLNAQPPPDIDCEPTLQIGYYQFVRPEAANPRSNGGGGDQNNNFVMGWPL >OGLUM04G22690.1 pep chromosome:ALNU02000000:4:26602794:26603282:-1 gene:OGLUM04G22690 transcript:OGLUM04G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLVCFCRQIPRPIVALFKLLQAVALAFVLILCFLGLYEFPYTVEDHAPLIHGRRRDPLGDDGLQPEAVKRGLPLVEYMQLADLSADCHDGESGYPATCRVCLERLEATDEVRRLGNCTHAFHIGCIDRWIDLGEVTCPLCRSHLLPRQRRGLLGSRRFG >OGLUM04G22700.1 pep chromosome:ALNU02000000:4:26606373:26608858:-1 gene:OGLUM04G22700 transcript:OGLUM04G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCSSSGSDHSSYDLALTLRRKLLLLLDILAVLRFLAAALLERLGVVSCQEDNELPGCHSWCDSDVVDTGAMERLMQAKLSTSWYRLWRRASRGGSDNMASPHGDTSADICTICLAELEAGGGGGGCQRQVAELSSCSHAFHAACIDGCLIRPAKHAALLATSCVLAATWTIFRFIEE >OGLUM04G22710.1 pep chromosome:ALNU02000000:4:26609216:26610220:-1 gene:OGLUM04G22710 transcript:OGLUM04G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELIQLAESMRQAASLLGDDDPSDESSRAAPPPSSTPSCLATVPASRRCPGGRARILFAVDVVSSPTAAVASAARVASPRRPHAGSAPVDEAVGKLKSVLDNGEGDLDEVVLQAEELMAPLESHYSGWWRWLQWDSVQAVVDASPPRLPPPCRLVFLPPRRRPCRLVLPCSRHHVAGRPGVLLPTRPGSCPRGEVREEGKEIVADLDRLTRGAHVGPTLTQLSHRPKPRSKMLKDLG >OGLUM04G22710.2 pep chromosome:ALNU02000000:4:26610225:26610529:-1 gene:OGLUM04G22710 transcript:OGLUM04G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHRGAAGWRGDAAAATRAQAGDAAAGPDEEEEEGSDSGARRYESHHRATMSSSHGPHLFRLGIVATHVVVGH >OGLUM04G22720.1 pep chromosome:ALNU02000000:4:26610228:26610572:1 gene:OGLUM04G22720 transcript:OGLUM04G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDMGGDNAEAEQVWPVGGGHGGAVMGFVPAGTAVAALLLLLVRARRRVARLRPGCRRCVATPPRRPSLAAPSSERQEGRRGIEKKKGERDWVTWPADILGTCGSHADLVVT >OGLUM04G22730.1 pep chromosome:ALNU02000000:4:26615585:26624810:1 gene:OGLUM04G22730 transcript:OGLUM04G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFVPEWPSNPAQFKEIMSTYCREVRQLGLRLLGAISVSLGLEEDYIEKVLGEQEQHMAVNYYPRCPEPDLTYGLPKHTDPNALTILLPDPHVAGLQVLRDGDQWIVVNPRPNALVVNLGDQIQALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQS >OGLUM04G22730.2 pep chromosome:ALNU02000000:4:26615587:26624812:1 gene:OGLUM04G22730 transcript:OGLUM04G22730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLLSTVEHRETLPEGYARPESDRPRLAEVATDSNIPLIDLASPDKPRVIAEIAQACRTYGFFQVTNHGIAEELLEKVMAVALEFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFALSNGAYKSVWHRAVVNAVQERMSVASFMCPCNSAVISPARKLVADGDAPVYRSFTYDEYYKKFWSRNLDQEHCLELFKGQS >OGLUM04G22740.1 pep chromosome:ALNU02000000:4:26626101:26627485:-1 gene:OGLUM04G22740 transcript:OGLUM04G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAKPLLSDLVAQSGQVPSSHIRPVGDRPDLDNVDHESGAGIPVIDLKQLDGPDRRKVVEAIGSACETDGFFMVKNHGIPEEVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIDQWPSNPPSFRQVVGTYSREARALALRLLEAISESLGLERGHMVSAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRNGRWVAVNPVPDALVINIGDQIQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDGALHPLAYRPFTYQAYYDEFWNMGLQSASCLDRFRPNDQAV >OGLUM04G22750.1 pep chromosome:ALNU02000000:4:26634139:26636516:1 gene:OGLUM04G22750 transcript:OGLUM04G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFTEFECREFSSWVKNWSAAAGVALGIVRGVEVEWDVPKGSEMVTCADGAVLVNATAVRGGMWCAYDTDLIGDGFARGTVCSKGTSCSNSGQESDDRECFFTWKVVQSWHRGRGAEDQLLSEVSHRNIARAVGFCPNSSNPVHEHFAGGTLELLTGLRHQHPFDSVAPKLREGRLHEVIDPTLLTGKQLPAPNEEVRKMFELAVMYMLSAQNGLCMLGVAKELMQIVRNNIGSSSKIEISLEETFLELEPAADDLHVAQDAASPSSFKRELHHHLIHLE >OGLUM04G22760.1 pep chromosome:ALNU02000000:4:26634608:26635030:-1 gene:OGLUM04G22760 transcript:OGLUM04G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTATVRWFARRLQSDHLVQRWWHWGVHGSSYAPRPGVKGVMAVLTELAAVGHLPRCRSAPSLFSLFLEFLPFSLGSSYVGLRRQLVSEGSSEPQTTDATPSSSRLSFGQNWQGGQRMAGWRRPGPVPRGFSDLDSFC >OGLUM04G22770.1 pep chromosome:ALNU02000000:4:26636532:26643648:-1 gene:OGLUM04G22770 transcript:OGLUM04G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLWPTPQAHKTPWPLATAHRAVVLSYNVLLRKKKPTSAQLCGGRVGGSRRPPEIMDSFSSPSSAGSTASTEHLMEQIKAQLAQAYAQEFLEAIMCHRVCSSFLLREIFATQTQFTKVEREDLGKHKDGRVTRLHWDGEICSEP >OGLUM04G22780.1 pep chromosome:ALNU02000000:4:26656114:26657316:-1 gene:OGLUM04G22780 transcript:OGLUM04G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEQDEEEEEAEASPREIPFMTSAAAAATASSSSPTSVSPSATASAAASTSASGSPFRSSDGAGASGSGGGGGGEDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSAANEKGLLLSFEDRTGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAAEATRDRLFIDWKRRADVRDPHRFQRLPLPMTSPYGPWGGGAGAFFMPPAPPATLYEHHRFRQGFDFRNINPAVPARQLVFFGSPGTGIHQHPPLPPPPPPPPPPHQLHITVHHPSPVVTAGLPMVVDSVPHVNNPAAASKRVRLFGVNLDNPHPDGGQSSSGHDANALSLRMPGWQRPAPLRSLELPPHVPAGAAGAESSAASSPSSSSSSKREAHSSLDLDL >OGLUM04G22790.1 pep chromosome:ALNU02000000:4:26674488:26674974:1 gene:OGLUM04G22790 transcript:OGLUM04G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARKSKVLSPSLLALPLSLSSLAFDPTLPPLLSSLPHLRFGSSGEGEQRWGSAAQRMGGSRREGYLVIDMAGVSDDNDGGKHSSRMAKTVAMAVVIQQSSVMAMRVTGDNCSMNATPASCCRGLIYWFACSYSGHL >OGLUM04G22800.1 pep chromosome:ALNU02000000:4:26680576:26680884:1 gene:OGLUM04G22800 transcript:OGLUM04G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQSWGFLRGFGRGDETTMVARRLDLAWSRSTGRKMMSWRRRSGARSRAPWAQRRSRDYLLPLNLNQVSAHCLWAQERSSLQEQPRNMPEPDSATALSRPR >OGLUM04G22810.1 pep chromosome:ALNU02000000:4:26696383:26697596:1 gene:OGLUM04G22810 transcript:OGLUM04G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAVVAELLEEYTVLVARVLEQLFNDAPFPRRMRFLMLRSLPFVPPPLPPPPPSHALRGKLRVRSKFKPKRPSAVGKNGSKYGPRKFTAEK >OGLUM04G22820.1 pep chromosome:ALNU02000000:4:26698103:26698613:-1 gene:OGLUM04G22820 transcript:OGLUM04G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMQIVLAAGKIEAQYVEMKVPLYSYGCEKKIKKALSHLRGIHSVQVDYQQQKVTVWGICNRDDVLAAVRKKRRAARFWGADQPDLGEDSMPADARKHYLQAFTAYRFRKSWKKLFPLIRL >OGLUM04G22830.1 pep chromosome:ALNU02000000:4:26701593:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETAEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIELDDAENLSKMDSMHISRPSNQGQTTVHEKSEACKIQFVWTLEISYGVGVKACLFSCHSGLPKEENHVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.2 pep chromosome:ALNU02000000:4:26701593:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHSITLHLFHKGGYAYLLAVVRANQPSGYSSQVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETAEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIELDDAENLSKMDSMHISRPSNQGQTTVHEKSEACKIQFVWTLEISYGVGVKACLFSCHSGLPKEENHVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.3 pep chromosome:ALNU02000000:4:26701593:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHSITLHLFHKGGYAYLLAVVRANQPSGYSSQVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIELDDAENLSKMDSMHISRPSNQGQTTVHEKSEACKIQFVWTLEISYGVGVKACLFSCHSGLPKEENHVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.4 pep chromosome:ALNU02000000:4:26701593:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHSITLHLFHKGGYAYLLAVVRANQPSGYSSQVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTTEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVATKAKLPFMRSLKLARFSLSGRLKYRMASVSRLVCSVVTRVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.5 pep chromosome:ALNU02000000:4:26701593:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDAHSKTKNKVTVGVICPYTAQVLAIQQKLGKMKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHSITLHLFHKGGYAYLLAVVRANQPSGYSSQVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPDGGHETAEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIELDDAENLSKMDSMHISRPSNQGQTTVHEKSEACKIQFVWTLEISYGVGVKACLFSCHSGLPKEENHVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.6 pep chromosome:ALNU02000000:4:26701593:26714599:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDPVIVKINSVDGFQGGEEDIIILSTVRSNSDGAVGFLSNRQRTNVSLTRARYCLWILGNATTLSRSGSIWADLVRDAKDRQCFFNANSDKDISRVLAKHKIETNKVKDRKSTPFKVQVPSRSGMNDESPSTSTGIGGFPGDTEENVEDITSHTLAAPVSDGDGETSCRRGNRQQAEPRNELRWPPHSLPGTGMSSRPATSFAAIHSITLHLFHKGGYAYLLAVVRANQPSGYSSQVKRIPDTFSSLESYLDSFTCPLIEEVHADVFSSLDGYAHANFIEVVRMEKLDNEKFIFGFEVSEPSKDEKSRETYDPTEGDIIVVSTQKPKHVSDLTQNKASYVLGSVLKCGDDEDFPTDCCIVQLSSSIPVEADPETKMPKGAIFAVFLINMKTYNRIWKCLRLGANDGNLANLQNKSSTNMVNLVWQYKPKVVEDNSSQVSQCLKHGSMDFLGLEKLNLNASQLNAVADCVSVMENKLSSLKLIWGPPGTGKTKTISTILWAMLIKGRKTLTCAPTNTAILEVASRIVRLVRGCSDGSACFLSDIVLFGNKKRMKIDDGHELSVIFLDSRAERLLPCFVPNTGWRHCLCSLIDLLENSVTKYKYYIEDVLEKRKDIEKETAEKDKGENVPWRMQFGNGSCEKKCGRPEDKEEASRLLPFKDYLKDGYNNLSQNLSYCIEILYNNHPRNSGTERSFQCMLEVLELIKILHGMINCYKGNADIWSDELLETMIEEDSDPVLWSEQLVYVQTSTCIKSKFRLARLLCVQELKYLVKNLELPNYYSIQPIKLYLLQRTKCILCTVSSSFRLYNVPMDVSPSGICGPFKQPEKANLLEMLIVDEAAQLKECETLIPLQLPGITQAVFIGDEYQLPALVKSKIADNACFGRSVFERLSLLGYSKHLLNVQYRMHPEISRFPVATFYDGKISDGSNVTNGGHETAEKNGRSLKNTIEVATVLRIVQRLFKEAVSTQSKLSVGVVSPYNAQVRAIQEKVGKSYNMYDGFSVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNSKSIWQKIIKDAQDRGCFFDANDDKDLSNAIIKAIIELDDAENLSKMDSMHISRPSNQGQTTVHEKSEACKIQFVWTLEISYGVGVKACLFSCHSGLPKEENHVKRIPETFTSSSNYFNPFTYPLLEETHADVFSSLDGYSHQNFISVTRMKELLHDDESTFFCFEVANPAKDEKSKETYAPCEGDIIVLTSRKPKQVSDLTRNMTSYILGSIVKGGEDDDDLPDNCFIARLLSSVLPVETDSSTNEPKEPLFAIILINMKTYDRIWDCLHKGNNHIVDTVWQYKSKEVDEAMSSSSQLSQRFAARSAVDLNLEKYMLNNSQLNAVADCVLVSEKISPPIKLIWGPPGTGHRTLTCAPTNTAVLEVASRIVKLVHESPASSGQYLSDIVLFGNKERMKIGEDHDLSVVFLSSRTERLSQCFESMKGWNHCLCSLIDFLEIPVTKKYKWYTVQMKMKGPNSVVLPLKEFVKDKCNELLEDFYYFMEILCTDFPRNSTMRQSFQYMNEVVEPLNILHALINVNDDNDDNLWFDDLLNGKGHGDSDPLKWPDLLASVHTDVCNKSKIRKARLLCVQILRYLKINLKLPDWDRLSLSDDDRKREIRVYLLQRTKCILCTVSSSYVLHNVSMDDRSECLKPLELLVVDEAAQLKECETLIPMQLPGIKQAVFIGDECQLPALVKSKISDNADFGRSVFERLSSLGYNKHLLNIQYRMRPEISKFPVASFYDGKISDGPNVVSKNYKRNILPGKMFGPYSFINVDGGHETTEKHGRSLKNTIEVAAVLWIVRRLFEESVFLGSKLTVGVVSPYNAQVKSVDGFQGAEEDVIIISTVRSNRAGSVGFLTNLQRTNVALTRAKHCLWIVGNGTTLSNNRSVWQKVVNDAKHRGCFFEASEDKHLSNAIVNAVIELDDAENLVKMDSLQITNPRFQRAGSRYRA >OGLUM04G22830.7 pep chromosome:ALNU02000000:4:26714623:26728754:-1 gene:OGLUM04G22830 transcript:OGLUM04G22830.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGVALVSERVSVLTTSLAGSSSFHRANGGTDEITSRLPAFGLNDSQAGAIQSCVSAVQGNGASTTSGRFSLIWGPPGTGKTKTISVLLLMLMTTATSQSRYRVLTCAPTNTAISQVASRLLALSKQHSAAAAGGLCHGDLLLFGNKDRMGIDGDLKEVFLDNRVKILKKCFSPESGWRHGLSSLQVFLSFPLALRCQYIQACIALKDGTALPESSFVRSRFHDICQKLSRCFQTILSHVPKSVILEKNYNNIILLTTMLENFRKLLSKNSAAGDEVLVGIFMKEKKPDGSDGGVVHSDLVRNLRQSMTQILGVISTLLRGLQLPATTSPFKIKKFCLRSASLIFCTVSGSAKLYEQKMDLLLIDEAAQLKECESLIPLQVSGLKHAVLIGDECQLPATVKSKAADGALLGRSLFERLTLLGHQKHLLNMQYRMHPSISIFPNFSFYDKKILDGPNVTHVRHERSFLQGAMFGPYSFINIENGREDPGRSKRNMAEVAAIKKILHNLCKACVGTGEGVSVGIICPYAAQVEAIQSGIDANALRPLDVRVNSVDGFQGSEEDIIILSTVRSNSTGSIGFLSNRRRANVALTRARHCLWILGDAATLLGSGSVWGELVRDAVDRRCFYDWDDGGAGLLGVARRGHEDELDDAVEFATAFDTFADEAGCRMKRIPSTFSDLKSYLESYTSPLLEEMRMEMSSSLEAISTLPSTKISWIEQKNNNTVYDIVFDADSQNSKACNQPESYVPSVGDIIILSDVKPEHISDITRNGRPYIIAFVTEGGDEDDDSPPAKYVIISSGKIDSEDGKCQDRKKIKLFAAYLLNIVTYIRIWRCLDYNTAVRRNQSLIQEMAHYPLVADIVQKQKKDHSIDSMEIWSKLSTMDLNNSQNDAILNCISSMHSNNSSSSFSLIWGPPGTGKTKTISVLLWLMREIDHGTLTCAPTNLAVKQVASRFLKVIKESSDRACLGDVLLCGNKQRMCVDGNLKEIYLHDRVRTLLGCFVPMTGWRHRLSSLSDLFENGYSQYQKYLEDQKEELRRCFKEVLFHVPKSIILEVNYNNIISLLELLEDFNKKFLHKNIEDEVKGIFLYNDDQSDSSVSSLTKFSKTAISLGKIRIRCLELLNMLLSSLKLPITSSKRTIREFCMESASIVFCTVSSSSKISNKKLQLLVVDEAAQLKECEGLIPLRLPTLNHAILIGDECQLPATVKSKVCEDASFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNISFYDSKLLDAPNVNQKEHRKKYLPGLMFGPYSFFNIEDGKEDLDELGRSRKNVVEPILKPKIRLQLVSYVHILLKS >OGLUM04G22840.1 pep chromosome:ALNU02000000:4:26728800:26729459:-1 gene:OGLUM04G22840 transcript:OGLUM04G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKGGRAREGNKKPDDDDLVNTIFSWTLEDVMNQNLFADKVPSISFVFSIRLVNAIPDRFSGLKSYLDSFRAPLLEEIREEMSSNLETLPNNSSSTKHIQSLVRIPTGLRQCPLYRVTISDQRGACAPCIGDIVVLTDTVPRRPSDLASNGRSCCLAHVKDVVNRRTFLIRAAKKIGDADSYAFAASLLAFIPYARIW >OGLUM04G22850.1 pep chromosome:ALNU02000000:4:26732978:26734804:1 gene:OGLUM04G22850 transcript:OGLUM04G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13770) TAIR;Acc:AT5G13770] MAKCYSEWPPLPPLHPPRRTPSQTSLWTIRRQLASFVLHCSRSCASPLLEPKNLPDEFHAVSASTPAPVPVPVSPLPDAPKLGISNKFIRGLCSDRQTEQLAFECYRRALHQPEFLPDKKTMNALTVQLLRAKQWSSLEFLVEDFRAYGVMPERRTCARLVASCVKARKFGLADMVLGVLEGKRGAPAAVAFSSAMQAYNKLHMYRSTLLVYERMRAARLSRDADAYRAVMAACGALGKPEMVASLLKQYKSHKWYPSESCVETYAIVCDALGRAGRASDALKCLREMEADGIAPNATIYSSTIRSLADAHESSAAEDLYNEAWKKGMLGDPDMFLKVIVMHVEAGRVEKTMGVAKDMRETGLRVTDCILSTIVNGFVKRRGLKPAIRAYDKLIALGCEPGQVTYASVINVYCQLGRSDRAESVFSEMIDRGFDKCVVAYGNMISMYGKISRASDAMRLLAVMKKKGCEPNIWVYNSLLDMHGRLGNSRQAEKIWKEMMRRKIQPDRISYTAIINAFNRSGELDRCMDLYQEFRETGGKVDTALAGLMVGVFSKCSRFNELIELLKDMQGTRLDRRLYLTVLRSLRDAGLEVHVKWLQTNFSFVEEKT >OGLUM04G22860.1 pep chromosome:ALNU02000000:4:26735517:26736521:-1 gene:OGLUM04G22860 transcript:OGLUM04G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWAQLPDDLLDNVAQRTAGIKDYVRLRAVCKSWRSFLRPRSRPPWLMLPYDPCSESCVRGFLDASDGTVHEIDLPDTRGKRCCGSSHGWLVLERWPDVWLLNPATRERVQLPPLMRRGEALAPPRFMERGARERWEDCAYRSLRRPLLQREVRVAALSSDPSVVDGGCTVVVLLGAEEEAVFCRPTDASWTPLACPAGAFAAVDVACQSGLFHLVSHHGRVAVFDLVSPLREVPTRRDRLHALAHTWDGRCLVQRRGGCGHEPLLLATWSGGGGAGELAVFRLGSDGWWTEADDVGEDVVLLAAANSGCLGFDTATCTGSNKGMLVSPVTY >OGLUM04G22870.1 pep chromosome:ALNU02000000:4:26742786:26743451:-1 gene:OGLUM04G22870 transcript:OGLUM04G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYMFSRREGMIRCDEQEEDIGCPSESELSLSSSSEGMELADDASSSGLSSSAAGHFEMSSLMTELPLKRGLSKFFDGKSQSFASLAAVGGLEDMAKPMRKRLKTSRSCGVGLGLQDAHRRGRLSPRPLCGNASAASFKKVSKGGQLSVLDASRRTRSPATAAISPRPEGMPGQALLFA >OGLUM04G22880.1 pep chromosome:ALNU02000000:4:26746052:26746366:-1 gene:OGLUM04G22880 transcript:OGLUM04G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLGDFLAALFYATPSLGDAVTAEHEHSGGIERGVEAVEDSGGDGAAHEEEDKESGEALVVLKEARAAVAVESDEDEGDKDDDIKELGDELLHLAIRGALER >OGLUM04G22890.1 pep chromosome:ALNU02000000:4:26756008:26760496:1 gene:OGLUM04G22890 transcript:OGLUM04G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A13 [Source:Projected from Arabidopsis thaliana (AT3G03220) TAIR;Acc:AT3G03220] MAPCLLLVLFLLPALAAGHQHPSTLGSSALSEWRSAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFERGAACGGCYEVKCVDDLKYCLPGTSIVVTATNFCAPNFGLTADAGGVCNPPNHHFLLPIQSFEKIALWKAGVMPIQYRRVNCLRDGGVRFAVAGRSFFLTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQIWHINSDFRGQPLSFELTSSDGKTLTNYNVVPKEWDFGKTYTGKQFLL >OGLUM04G22900.1 pep chromosome:ALNU02000000:4:26760045:26760359:-1 gene:OGLUM04G22900 transcript:OGLUM04G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53730) TAIR;Acc:AT3G53730] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM04G22910.1 pep chromosome:ALNU02000000:4:26764704:26776354:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTMNFLRHRWPEKESQSLSLVYKNGECSIDLICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.2 pep chromosome:ALNU02000000:4:26764704:26776354:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.3 pep chromosome:ALNU02000000:4:26764704:26776354:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSFDGRMPTRGVEQAIVALKKGAHLLKFGKRGKPKFCPFRLSSDEKTLVWYSKDREKHLSLNSVSTVVLGQKTICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.4 pep chromosome:ALNU02000000:4:26763927:26775367:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRHRWPEKESQSLSLVYKNGECSIDLICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.5 pep chromosome:ALNU02000000:4:26763927:26775367:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRHRWPEKESQSLSLVYKNGECSIDLICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.6 pep chromosome:ALNU02000000:4:26763927:26774992:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLRHRWPEKESQSLSLVYKNGECSIDLICRDRDQAECWFLGLTALTSSPYSPLPLAGSKSSRQINSCAISPRSYLQRKCRLSAALDTPRHTQVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVAVLTEQCQQKSVMVQLYKQKLDDTWLVVRDEAARCKAAKDIIKVLTDQCNALSEKLSIGQLSENSKITPNSIPMQPTKIELQGNTGRNLVTRKLGQLNNTQNHGSSIQTEKECVPLSNAVVPEDVPFSQQNGARKFSSDGYTTEAPLAAPPTDSVTEQIEHGVYVTFSTSSCGKRDIKRIRFSRKHFGEKEAQHWWEHNKTRVYDNYKVEQMATPSVTLSCSSTR >OGLUM04G22910.7 pep chromosome:ALNU02000000:4:26762905:26775367:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVIKELIVPSMNFFLKVYPSYGSPKIIQKKFFGGNLDSSEALFYPRHPTFCDIDSYIEKLNPKIATPVKHGLKDIMVANRRAQNITHTPKLKTFEGPRVACRLDSLKDVFLWGSVLGSMLGSEDIPKSLPRLVGSAKTLDVQSIACGENHAAIITKQGEVYTWGSYSSGRLDQKVNVNASCPKMVESLASVHVKAVAFGSKHTCAITVSGELFEWGEGAHSLGLMNDWYGRNQWFPHKFLGPMDNISVSKIACGEWHTAIITSSGQLFTYGDGTFGVLGHGDTQGVARPKEVESLKGSRVKSVACGPWHTAAIVEVMSSFKGNAPSGKLFTWGDADRGKLGHADRKMKLLPTCVDSLTAYDLIQVSCGTAVTVVLTVTGMVFTIGSSRHGQLGNPKADGESICSVEGPLKTEFVREISSGSSHVAVLTMSGKVFTWGKGAEGQLGLGDYSNSSSPTLVEALEGRDVESVVCGFNFTAAICLHKTMSMKDQSVCSSCQMVFGFTRKKHNCYNCGSMFCSSCTSNKITMAALAPDTNRRYRVCDACFCQLQKVVDSSKDKSGLKISKGEMFKLELKAYTPKLSRLFKEANFFAEKMASVQGTNQRNEEPATAVQTKTERWGQVECPALFISAQDSFQTQPVSNSEGCAISFSQRMHDSAVLKSGVSLRFTDAQREEIEMMQTELQGQVKQLHSQVYFFSGNTHKICVS >OGLUM04G22910.8 pep chromosome:ALNU02000000:4:26770245:26775367:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARAAVIPVAAPPRTISVSLAASPSSSHPLRPVASSASAVSPPPPPPPPPLATTRRRAPPMAPLLGCLSFRTTEESLRNAFERFGQLTEVNLVMERVAKRPRGFAFLSYASEEESKNAMEGMQGKPLTSERSRNGSEITNTRRGKWKHRIFELYMARQEPRPLPVLWSTSAVSICLL >OGLUM04G22910.9 pep chromosome:ALNU02000000:4:26775234:26776597:1 gene:OGLUM04G22910 transcript:OGLUM04G22910.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLQTHDEENGNIEYLNCTWPDKNHGHSLFCGVLARFQSASCNRKAAPPAVGDPPLSLPRVSARICGTVNTDVGDPLSWS >OGLUM04G22920.1 pep chromosome:ALNU02000000:4:26775472:26777670:-1 gene:OGLUM04G22920 transcript:OGLUM04G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQETKARNDQGPVADHVGHQNHMENLTDPLDSSGMDMMDEARIPKARKPYTITKQREKWTEDEHKLFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFSKVIKESSGDNCNSLGAAPSIQIPPPRPKRKPVHPYPRKLGSTASKNVPALKQLEKPQLQVQSLYDQDNGSPTSVLTVPQIRADTLGSESGGSPTSTIDIEERCPTPSIATAELAVELPPTNDEEVKGNGDHEEVTCDRSGVPVLRLFGKRVMVNDLHQMSAPDAGNLQTVADMEVDASAETPTSGTGKFSSHGAAEANTWNPWLTNTQQFLYYLPNGQIFSVHSALPCFTFHNEGVTCTQFSNPQVVASDQQHQHQTSEAVDYKGIQREGSWTESNTSSSSVPETATHNSETTESYRNGNRNEDEMVPSPDSRKCVSPGSNCRRGFVPYKRCVADSEALLKSQAPQEEADGEMTRLCL >OGLUM04G22930.1 pep chromosome:ALNU02000000:4:26781941:26783974:-1 gene:OGLUM04G22930 transcript:OGLUM04G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G06740) TAIR;Acc:AT5G06740] MAILHSTTFCFSFVASLALIILDRTCSCLQFTYPSFGTPNKADFNFSAGSGIANGSLVITPSTGDISHRSGRVLYARETLKLWNSRRSALTSFRTEFVLNILPRNQTGEGMAFILTNNPELPTDSSGQWLGICNNRTDGDPKNRIVAVEFDTRMSVNETDGNHVGLDINSIGSLDRYPLSNVSLILSSGADVQVRITYNSTEQVLVAILIQFDTTGAHYGSKAWSVDLSQFLFDDVYVGFAGSTGDFTELNQIKSWNFATIDDDITTGRRHGRKVLLPLVAFILFAMSSFLVFLVWRRSTRKRRLAYRNLEKMIDAHGPVKFKLKELRRATANFSSSRKLGRGGFGTVYHGYLSSMNMEVAVKRVAANNKSSSNRGEQEFVAEVNTISKLSHRNLVKLIGWCHEGGELLLVYEYFPMGSLDKLLYGGARPAELTWERRYKIICGVASALEYLHHGSSSRILHRDVKASNVMLDEEYSARLGDFGLARVIHLDEVTHHSTQAVAGTRGYMAYECFFTGRASLDTDVYAFGVFVMEVLTGRSPSSSVTYHNRQQEHDHDGRRQLMYIVDWMWRHYGDGTVLEAADAVLGGAYDEAQVERAARLALACCHPSPRERPSMRTAVQVLVGGAPAPEPPFEKPAFVWPPDGKRQEIELPHVGELFTGGQLSFCSMTSTSITGR >OGLUM04G22940.1 pep chromosome:ALNU02000000:4:26788010:26792487:-1 gene:OGLUM04G22940 transcript:OGLUM04G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPWLERIASACWDRVRRYALTRRDEEDGSGSGSGGDADDLLLWSRDLVRHAAGEFSFAVVQANDVLEDHSQVETGAAATFIGVYDGHGGAEASRFISNHLAAHLVRIIWKGTLYLANLGDSRAVVGCLTGSNKIVAEQLTRDHNASMEEVRQELRSLHPDDSQIVVLKNGVWRIKGIIQGIARRLVKAALKEAARKREMKYNDIKKLEKGVRRFFHDDITILGSTCSCLHFNFPNFDTTSVDDFSFSPGSGIANGSLQITLSTGNITNQSGRVCYTRETLRLWDSKKRTVASFRTEFVPNILPNQQQNETGEGLAFILTSNLSSPRGSSGQWLGIANEQTDGSPANRIVAVEFDTRKSYDEDLDSNHVGLDVNGIRSVVQYPLSNAWPIDLSRYLSEEIYVGFAGSTGEFTELNQIKSWKFITAGDFDSKAARQEWTGHR >OGLUM04G22950.1 pep chromosome:ALNU02000000:4:26796896:26802238:1 gene:OGLUM04G22950 transcript:OGLUM04G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPT0] MAPPPPSSLLRDLLAADGFRNRRKPPDSNPPAAPRTTSMPLQHRRPSRPARSQSDVLTRSRLRETNNVGTSDGDGVDAGEEQRTATRRSSASLMSARSYNNNKDSGGAMRGGSAAAVPALDESVLTALISLVAGAVKRFVRDEGFRASLRGGCMSCLGGESNHRAVLDLRVIVHTVERAASEGLDDPRDLKRASLRLHAMASLDAKEADAVTAAGVPYQRLAACAHLYMSVISKLQEKDHSSAVHVLEAFCLAPHEARTSLLPALWDRLFRSGLSHLKAWRDRESATAASSDTRVKDVEKMFVEAVDDGTRALACYYRDWLLGRSQAMSLPVVPAPPSTVLASALRFSTSTSYDIGSDVACSSGSYSPAVKFALDETPSQYDREIEEEEEAEVDEKTADAESVFHECDGTGPKSYTHSLQTEENELMPDKVANEASERKSEDERSRQPDESTSYVPISDITAIDLPTLEFCEGPDLQSDTDGSQISIFATIPSDFLCPLTRQIFNHPVTIETGQTFERHAIVQWFDKGIRMCPITGQELESLSIPDINRVLKRLIDNWKSEHCKTLNSESTCPEKELTVTFVENVLSSECETSEKLEKARRLMAIGGIDFLLHKFHGGGEDEKAQAADLLLLCIRAEGSCRNYMAIRICNSSVVQLLHSEVISARGSAVRLLVELLCLKRFCLLFVTPNASKYVLYVEPHRDSVYREEAAKIITHSLRCSLSEENVVANTRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTRADSDAAVQDKGRDEDEVWLRDVTAALLGSGRRPFLEALSMCMSSPNHDLAATSLMTAAWLSRSLASIDAADVQLAAFSALIPRLKQRLAGDRSQAQHRVLASVTLYNFSKIPDCRALLMLLADGLRDHLVELAELTWTAGQLITELQE >OGLUM04G22950.2 pep chromosome:ALNU02000000:4:26796896:26802238:1 gene:OGLUM04G22950 transcript:OGLUM04G22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPT0] MAPPPPSSLLRDLLAADGFRNRRKPPDSNPPAAPRTTSMPLQHRRPSRPARSQSDVLTRSRLRETNNVGTSDGDGVDAGEEQRTATRRSSASLMSARSYNNNKDSGGAMRGGSAAAVPALDESVLTALISLVAGAVKRFVRDEGFRASLRGGCMSCLGGESNHRAVLDLRVIVHTVERAASEGLDDPRDLKRASLRLHAMASLDAKEADAVTAAGVPYQRLAACAHLYMSVISKLQEKDHSSAVHVLEAFCLAPHEARTSLLPALWDRLFRSGLSHLKAWRDRESATAASSDTRVKDVEKMFVEAVDDGTRALACYYRDWLLGRSQAMSLPVVPAPPSTVLASALRFSTSTSYDIGSDVACSSGSYSPAVKFALDETPSQYDREIEEEEEAEVDEKTADAESVFHECDGTGPKSYTHSLQTEENELMPDKVANEASERKSEDERSRQPDESTSYVPISDITAIDLPTLEFCEGPDLQSDTDGSQISIFATIPSDFLCPLTRQIFNHPVTIETGQTFERHAIVQWFDKGIRMCPITGQELESLSIPDINRVLKRLIDNWKSEHCKTLNSESTCPEKELTVTFVENVLSSECETSEKLEKARRLMAIGGIDFLLHKFHGGGEDEKAQAADLLLLCIRAEGSCRNYMAIRICNSSVVQLLHSEVEPHRDSVYREEAAKIITHSLRCSLSEENVVANTRKALLLLGGHFSFSGDLLAEDRMLKQAGFVDGSRVTRADSDAAVQDKGRDEDEVWLRDVTAALLGSGRRPFLEALSMCMSSPNHDLAATSLMTAAWLSRSLASIDAADVQLAAFSALIPRLKQRLAGDRSQAQHRVLASVTLYNFSKIPDCRALLMLLADGLRDHLVELAELTWTAGQLITELQE >OGLUM04G22960.1 pep chromosome:ALNU02000000:4:26806117:26810378:1 gene:OGLUM04G22960 transcript:OGLUM04G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTAKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAGHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >OGLUM04G22960.2 pep chromosome:ALNU02000000:4:26805572:26810378:1 gene:OGLUM04G22960 transcript:OGLUM04G22960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTASQRHDTDDNNNAAAADSPKKPSRPPAAAKTDDHPVSASAPAAAMRRGQAPADLGSVLGHPTPNLRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAGHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADNFSLMLVFLLQTFQKQVNMVNQEAYIVPFVLLFHQADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >OGLUM04G22960.3 pep chromosome:ALNU02000000:4:26805572:26810378:1 gene:OGLUM04G22960 transcript:OGLUM04G22960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTASQRHDTDDNNNAAAADSPKKPSRPPAAAKTDDHPVSASAPAAAMRRGQAPADLGSVLGHPTPNLRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAGHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >OGLUM04G22960.4 pep chromosome:ALNU02000000:4:26805572:26810378:1 gene:OGLUM04G22960 transcript:OGLUM04G22960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACGGSLRSKYLSFKQTASQRHDTDDNNNAAAADSPKKPSRPPAAAKTDDHPVSASAPAAAMRRGQAPADLGSVLGHPTPNLRDLYAMGRKLGQGQFGTTYLCTELSTGVDYACKSISKRKLITKEDIEDVRREIQIMHHLSGHKNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQTFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAGRYDGLIISFQSPLNTRELLKQTMLNFGTCTVIAESLSEEEIAGLKEMFQTMDADNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAL >OGLUM04G22970.1 pep chromosome:ALNU02000000:4:26810447:26813044:-1 gene:OGLUM04G22970 transcript:OGLUM04G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTQALADALRSCGSRGALAGARTLHGRLVTVGLASAVFLQNTLLHAYLSCGALSDARRLLRADIKEPNVITHNIMMNGYAKQGSLSDAEELFDRMPRRDVASWNTLMSGYFQARRFLDGLETFVSMHRSGDSLPNAFTFCCVMKSCGALGCRELAPQLLGLFCKFDFWGDPDVETALVDMFVRCGYVDFASRLFSQIERPTIFCRNSMLAGYAKLYGIDHAIEYFEDMAERDVVSWNMMIAALSQSGRVREALGLVVEMHRKGVRLDSTTYTSSLTACARLFSLGWGKQLHAKVIRSLPQIDPYVASALIELYAKCGSFKEAKRVFNSLQDRNSVSWTVLIGGSLQYECFSKSVELFNQMRAELMAIDQFALATLISGCFNRMDLCLGRQLHSLCLKSGHNRAIVVSNSLISLYAKCGDLQNAEFVFSSMSERDIVSWTSMITAYSQIGNIIKAREFFDGMATRNAITWNAMLGAYIQHGAEEDGLKMYSAMLSQKDVTPDWVTYVTLFRGCADIGANKLGDQIIGHTVKAGLILNVSVANAAITMYSKCGRISEAQKLFDLLNGKDVVSWNAMITGYSQHGMGKQAVKTFDDMLSKGAKPDYISYVAVLSGCSHSGLVQEGKLYFDMMTRVHGISPGLEHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLSACKIHGNDELAELAAKHVFELDSPDSGSYMLLAKIYSDAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVENKVHVFKADEVSHPQVIAIRNKLDELMEKIAHLGYVRTESPRSEIHHSEKLAVAFGIMSLPAWMPIHIMKNLRICGDCHTVIKLISSVTDREFVIRDGVRFHHFKSGSCSCGDYW >OGLUM04G22980.1 pep chromosome:ALNU02000000:4:26813192:26818342:1 gene:OGLUM04G22980 transcript:OGLUM04G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT5G65960) TAIR;Acc:AT5G65960] MESGAGSLEARPGVLVVGAPGVGKRTILSRLLAAEIPDTHDLSSGVLCQGWNIDTKYYSADLSVWTAHLGQGFSLDSLPHLDQLDALVMESSFLTLQNWVSSVDVQRFEILLCIGNKADLVLGHGAHVEYRRRMQKIGESSTDPHPEYLDFGINESEGCGLLSEEEPQIEIRDSASHWCIEHNIEYVEACASNADFDKCLSVNGDSQGLERLLGALSAHMWPGMILKSGNRITVPSLVEKESTDDESNYEFEYEVLSHGSDEQWEFIGESSTSRDLEGLNEDTATHDKTNQVVDADANSVASNPLPNGRVTETAEEKLVPQSHKSEVSNDMDNTQADASEKLQAGIPEGNKLFEDEHYGLDDLERLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMATMFGDNDEEGSEDI >OGLUM04G22990.1 pep chromosome:ALNU02000000:4:26816558:26819028:-1 gene:OGLUM04G22990 transcript:OGLUM04G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiple chloroplast division site 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) TAIR;Acc:AT1G20830] MAPASVAVSLSFRVVPPRPCRRRARWPRPIRASSDGSGVANGDRKVGALERRVGDLRAVVASVPSAVAAIKKNIGLNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGMAKPRVYDDPFNNPLVKIDEDTSTAQLFGKEYRLAPIRLTKEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNNVNKFSSSQRNMQLSDRQLRLSSNESSGSRQNSLSGTVKNQNGQPTLESEKRSPDNNSQSKNAPQLPTTTSARQLA >OGLUM04G22990.2 pep chromosome:ALNU02000000:4:26816806:26819028:-1 gene:OGLUM04G22990 transcript:OGLUM04G22990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiple chloroplast division site 1 [Source:Projected from Arabidopsis thaliana (AT1G20830) TAIR;Acc:AT1G20830] MAPASVAVSLSFRVVPPRPCRRRARWPRPIRASSDGSGVANGDRKVGALERRVGDLRAVVASVPSAVAAIKKNIGLNFIAGFCLGITLLAAVARQVIIRIHERDNKGSVADLIRRGQLKSGQRGMAKPRVYDDPFNNPLVKIDEDTSTAQLFGKEYRLAPIRLTKEQQAMHQKRRSRTYQWKRPTVFLKEGDSLPPDVDPDTVRWIPVNHPFAAASTEVDEETAKQNVYQKDGVPSRVKAEHEALQTRLEASNNVNKFSSSQRNMQLSDRQLRLSSNESSGSRQNSLSGTVKNQNGQPTLESEKRSPDNNSQSKSLEEQ >OGLUM04G23000.1 pep chromosome:ALNU02000000:4:26826925:26827512:-1 gene:OGLUM04G23000 transcript:OGLUM04G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMAARKLLEQPGTAAPPVLGGSIADDRDIVIILASLLCALICVLGIGLVARCACSRRGGGGPDAAAANKGVKKSVLRAIPTVEYVSPGGGGKGKEEEEAAAEEGEQSECAICLSDFEHGDAMRVLPQCGHAFHAACIDKWLRGHSSCPSCRRILVLQLPQGERCQRCGARPEPAAASAVWKPTHYSEVPPFLP >OGLUM04G23010.1 pep chromosome:ALNU02000000:4:26831659:26838054:-1 gene:OGLUM04G23010 transcript:OGLUM04G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT2G33640) TAIR;Acc:AT2G33640] MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEAKLDTQDETGKSESRTYEDVQKHKSCLGAGCLCCAIFVKEDCRKDDEAYQQEDYDEEALFCTLCNAEWLNNCVGRKNYVTFLCLMAVSLAWALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDSMNPTERAKHYPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYEADRCSSDNTSCRSSVMSVDIGNHINSRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTPTPISEHHPAKHFNPIYQTSANRSPFSAVASGVNEASVSDISTRRFGASNADRSSRSSVYWDQEAGRFVSSQANHGSSSRLPRSDLLYTGQSIFFGGPLMTDPATRSFRDPGGSSQRAGASRPHQLPVFVPSDPQKDRFSRLP >OGLUM04G23010.2 pep chromosome:ALNU02000000:4:26831661:26837708:-1 gene:OGLUM04G23010 transcript:OGLUM04G23010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT2G33640) TAIR;Acc:AT2G33640] MARRHGWQLPAHTLQVVAITVFFLLCIEFYAFFSPFLGKDLYQFIAIGVYSFLALSVLILYVRCTAIDPADPGIMITVNGALTYKSEAKLDTQDETGKSESRTYEDVQKHKSCLGAGCLCCAIFVKEDCRKDDEAYQQEDYDEEALFCTLCNAEWLNNCVGRKNYVTFLCLMAVSLAWALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDEQQSLPSSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLQPGRVPSTVDPDSMNPTERAKHYPKRPVRISAWKLAKLDSNEAMKAAARARASSSVLKPVNTRAQYEADRCSSDNTSCRSSVMSVDIGNHINSRSVRNSQYKSSYPPSRASADDIELYPQTPSSFQSNSQTPTPISEHHPAKHFNPIYQTSANRSPFSAVASGVNEASVSDISTRRFGASNADRSSRSSVYWDQEAGRFVSSQANHGSSSRLPRSDLLYTGQSIFFGGPLMTDPATRSFRDPGGSSQRAGASRPHQLPVFVPSDPQKDRFSRLP >OGLUM04G23020.1 pep chromosome:ALNU02000000:4:26840633:26844390:-1 gene:OGLUM04G23020 transcript:OGLUM04G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPU3] MKFIFYLFSIFCCLCSCAQSQNISGRPDAVRIGAQFARNSTIGRVAAVAVLAAVNDINNDSNILPGTKLDLHMHDSSCNRFLGIVQALQFMEKDTVAIIGPLSSTTAHVLSHLANELHVPLMSFSATDPTLSSLEYPFFVRTTVSDQFQMTAVADLVEYYGWKQVTTIFVDNDYGRNAISSLGDELSKRRSKILYKAPFRPGASNNEIADVLIKVAMMESRVIILHANPDSGLVVFQQALKLGMVSNGYAWIATDWLTSYLDPSVHLDIGLLSTMQGVLTLRHHTENTRRKSMLSSKWSELLKEDSGHSRFLLSTYGLYAYDTVWMLAHALDAFFNSGGNISFSPDPKLNEISGRGLNLEALSVFDGGQLLLEKIHQVDFLGATGPVKFDSGGNLIQPAYDIVSIVGSGLRTVGYWSNYSGLSVISPETLYKKPANRTRETQKLHDVIWPGETINKPRGWVFPNNGNEIKIGVPDRVSYRQFVSVDSETGMVRGLCIDVFVAAINLLAYPVPYRFVPFGNNRENPSYSELINKIITDDFDAVVGDVAIVTNRTKVVDFTQPYVSSGLVVLTSVKRQNSGGWAFLQPFTIKMWTVTGLFFLIIGTVVWMLEHRINDEFRGPPAKQLITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTASLTSILTVQQLTSPITGIDSLITSDVPIGFQVGSFAENYLAQELGVAHSRLKALGSPEEYKKALDLGPSKGGVAAIVDERPYIELFLYQNPKFAVVGSEFTKSGWGFAFPRDSPLSVDLSTAILELSENGDLQRIHDKWLASDMSSMSQASELDQDPDRLDVYSFSALFLICGLACIFALAIHACNLFYQYSRHAAEEDPAALQPSASDGSRSLSRRSKLQSFLSFADRREADIRRAAKEKAAGLGGSGGSMSGVSFTSSGSGSTTASC >OGLUM04G23030.1 pep chromosome:ALNU02000000:4:26846589:26852251:-1 gene:OGLUM04G23030 transcript:OGLUM04G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT2G17250) TAIR;Acc:AT2G17250] MGRSSSATAAGSKKRRSKTGALTLGEVKTLGEELLSSRANLNHAPTLLALLSPSAPLDLALEALISLQSFFVPLLPSIPSAAATAAAAAGDADPELVFRSWLRKRFDELVAALVELTVSPHSDDAIRDLALDALMDFVKLGKDGRFQSAIYHKFIHNLIHATDSIDPLLELLGPKYFIYTDVCYFTYTSLDKIVSSIGNKATGSEKSGLHSGDDGPEDRGTIYVRNIYNTLAHIPALDFQESKFNMWSTVGLSSKGEKNSSEDCSATYINKKLKSKFSKAWISFLKLPLPLDVYKEVLATIHKNVIPSMSNPAILSYDIGGVISVMALSGLFTLMTQHGLEYPKFYDKLYALLTPAVFMAKHRAVFLQLLDACLKSSYLPAYLAAAFAKRLSRLTLSVPPAGALIIIALIHNLLRRHPSINFLVHWEIDANDSEIANEASQRKKVGADPFNNEETDPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLENDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTCLFQESDFPGWTFRDQFKNMAETSVEGNGISTVDISNSSPAKRLRMET >OGLUM04G23040.1 pep chromosome:ALNU02000000:4:26853078:26855660:-1 gene:OGLUM04G23040 transcript:OGLUM04G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPU5] MERPPRRPSAARPRPRSLQRAAAALFLAILVALPFAVLYRGPVSRSLHDSWEWDPLPSLDASEEDGAARDDDLDSQDLKLQQVLQKASMGDNTVILTTLNSAWASPGSVIDLFIDSFRSGVRTSSLLNHLVIIAFDWNAYKQCLKIHPYCFALGTDGVDFSEEKRFLTSGYLEMMWKRIDFLRLVLESGFSFIFSDADIMWFRSPFPYFYPDGDFQIACDHYFGNATDLRNIANGGFNYVKSNERSIEFYSFWYSSRLRYPGLHDQDVFNVIKHDPYVSDIGLKIKFLSTSYFGGFCEPSRDLNKVCTMHANCCIGLQSKSYDGRLEELFVLTSKLEKIECIGMEGAAKLQPFLVTPIKRPYGQQKEMKMVKPAESALPSPRKDLQLNI >OGLUM04G23050.1 pep chromosome:ALNU02000000:4:26856847:26857432:-1 gene:OGLUM04G23050 transcript:OGLUM04G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIEGEQGWTLYPWEAGIVPRASRFEAGGEGGDNISGGLGQPPICVGKWGRWAMTVSPARADESGRREDIDVLGSDVHRRGVHGGCRSEEEEGPDRPWSNKVLDQDEILNQVYEFRYVFCKFMDLSEYSPSVGVDKKLGLVSLARAWLQLVKLGSA >OGLUM04G23060.1 pep chromosome:ALNU02000000:4:26858880:26860324:-1 gene:OGLUM04G23060 transcript:OGLUM04G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAALRPPFRRARLSTSHGGGGGVTHPLRPRLRRRALACRADLQQDAPFAAAIGACVLASLVLPTSRGRRDDDEEEGEFGATDTRMGVMGIISLLPYFNWLSWIFAWLDSGKRRYLFYAAAYLAPYLRTNLSLSPEESWLPIASIFACILHVQLEASIRTGDIETFRFVQRAWRLIFPSALKEDVQHRNKGKSIRTGSGNRRIPSAHESREKLRNSDIFKRRLDEPNDEKQNKSDWN >OGLUM04G23070.1 pep chromosome:ALNU02000000:4:26863152:26864783:-1 gene:OGLUM04G23070 transcript:OGLUM04G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSESGSGSGTGSRGEITLAIGGGGASAAAWTRLVSSGVEDVLVVGGAGGWRGGGGGDGAGGLLPYGHFLEACFLCRKPLASNRDIYMYRGDIPFCSEECRREQIEMDDEMERKEKKNISKKVAPRTPDPREVESTPRPPKARAGSILAG >OGLUM04G23080.1 pep chromosome:ALNU02000000:4:26866025:26867821:-1 gene:OGLUM04G23080 transcript:OGLUM04G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILNYRKSLALTSPDNFASTASGVVHESRSNVSGVILTCSTAPHTIGLSLWADQSMEISSSGKKYQQQQIAHLLWDLVEAALDPPWQPAAQPHSQRRTMDVDDEWRGVGRCRGIVGDKRRRERMTLAARALVPSAEVDWRRQSDGGLVAAEQWSEEEREIRRGSGARDGGAVAGWWRRAE >OGLUM04G23090.1 pep chromosome:ALNU02000000:4:26873479:26874553:-1 gene:OGLUM04G23090 transcript:OGLUM04G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSMFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKDHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRWKPFVSPKLLGGFGGHQGPVPLPSCKI >OGLUM04G23090.2 pep chromosome:ALNU02000000:4:26874101:26874553:-1 gene:OGLUM04G23090 transcript:OGLUM04G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGAAMPSSMFYVHEADVAQIHHFLEECSLCGKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHAVSVRKDHRNHHHHHRHHHQQPQPRPAIDANPWGTARGPALRV >OGLUM04G23100.1 pep chromosome:ALNU02000000:4:26878124:26878605:-1 gene:OGLUM04G23100 transcript:OGLUM04G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYHPTSIHDDIEAGFSGHSASPVKPAASPRRPGGRLFCDPCDDADDLLGHHHYLDICFRCRRPLGGNRDIFMYRGDMPFCSEECRQEQIEIDEAREQRSKQTGRAEQERQRQQKASHPRIPVWAW >OGLUM04G23110.1 pep chromosome:ALNU02000000:4:26886861:26892922:-1 gene:OGLUM04G23110 transcript:OGLUM04G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACAFFFDAEPVGETGRHALDACALCTKPLRRDSDIFMYRGDTPFCSEECRYEQMHLDAACARQAASARRKQQQQGQRSRHETAPAAPVSRKAGPVCEQGRHALDACALCTKQLTRDSDIFMYKGDTPFCSEECRYEQMQLDAVYARSAGRRQQQQYSGRADSRRGHRETRKVCVKRLRITRCVLLYMACSTNICHDSRRLEEELSSTIGLFWNLDTLLQCACLTMWCCRLIRGQKAGHLGPYSLRPRKPQFLGLCVQRLTVRLI >OGLUM04G23120.1 pep chromosome:ALNU02000000:4:26895758:26900465:1 gene:OGLUM04G23120 transcript:OGLUM04G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGLRKKFGLTKESGFHPIILVLSISSQHLLQLSTTGSVREGRRHVRRSTARRNKLTRNRRQGMCMMRSARRATQFARMGWRCGIKIQCIKSAKRRCTDAQFLSVIRPPPCNFPPSRTAQSSPSNGQAH >OGLUM04G23120.2 pep chromosome:ALNU02000000:4:26895758:26899982:1 gene:OGLUM04G23120 transcript:OGLUM04G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGLRKKFGLTKESGFHPIILVLSISSQHLLQLSTTGSVREGRRHVRRSTARRNKLTRNRRQGMCMMRSARRATQFARMGWRCGIKIQCNQAVQETK >OGLUM04G23120.3 pep chromosome:ALNU02000000:4:26895758:26899982:1 gene:OGLUM04G23120 transcript:OGLUM04G23120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGLRKKFLSTTGSVREGRRHVRRSTARRNKLTRNRRQGMCMMRSARRATQFARMGWRCGIKIQCNQAVQETK >OGLUM04G23120.4 pep chromosome:ALNU02000000:4:26895758:26899982:1 gene:OGLUM04G23120 transcript:OGLUM04G23120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGSQHLLQLSTTGSVREGRRHVRRSTARRNKLTRNRRQGMCMMRSARRATQFARMGWRCGIKIQCNQAVQETK >OGLUM04G23120.5 pep chromosome:ALNU02000000:4:26895758:26899982:1 gene:OGLUM04G23120 transcript:OGLUM04G23120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTATLPVIEAPWPPPSSCLARLLRDQPSHPASTPGVWRGSPIGPVTYDLGPVDVRLCYTTYDFGSQHLLQLSTTGSVREGRRHVRRSTARRNKLTRNRRQDQAVQETK >OGLUM04G23130.1 pep chromosome:ALNU02000000:4:26904317:26905858:1 gene:OGLUM04G23130 transcript:OGLUM04G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTLFRWLFLLLAIVSLAAADSGSGEAETTVPGQIRLSCGASASATDGDGRAWDGDAVSTFAPSVTGVAADASYQDPSLPSPVPYMTARVFSSSYTYSFPVKPGRVFLRLYFYPSAYGNLGAAAAAADALFGVTAGGITLLRDFNASQTALAVGYAYIVREFSLNVSSGATSLNVTFAPSPRGAPGHGSHHYAFVNGIEVVPTPDMFTTPVPAFANGGRPNPMPLRADTAFQTMYRLNVGGEAITPRDDAGGFYRTWDNDAPYIFGAAFGVTFAKDSNVSVRYNPPSVPKYAAPEGVYATARSMGPNAQINLNYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTAQSQMDVIVWSGGIGRAVYTDYLVVTAGSGQMDLRVALHPDLSSRPEYFDAILNGLEVFKLHKYGTHSLAGPNPPIPLKQVVSTVDGSRSESRKKSVVCAAVGGVAAGCFLAVLVAFAVAWAVRRRQRKAAAEKPADGLLGPTKGSALYDPVQK >OGLUM04G23140.1 pep chromosome:ALNU02000000:4:26909018:26910097:1 gene:OGLUM04G23140 transcript:OGLUM04G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSPSPPSSDPVTGSSDAASSFLPSLLIIAALLAFVLLASVSIHLLLRLLSRSSPPPPPPPPLPRTRREVHNVEAADASPVRRNGVCEGKKEVVGDEKQRLIESLPLFTMASSLAALPKSSPDCAVCLSPFTLDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRATVTLPHPSISAILAAEQPPPPEPRSRDRSRSFRVEMGSVSNRSASTATGGNARPTYSLGTFDYHIDEEVEAVVSRAAPMTTRSAAAVKEDKPAAEQSPPPPGEAVAEAAGATRGWLREYVERLATSASSLSSFSGRWSSRWSQSYQSHHSHSQEEPWLWDAEAVRMSPPGTEEEETAFMVLYRWIAGV >OGLUM04G23150.1 pep chromosome:ALNU02000000:4:26913597:26914013:1 gene:OGLUM04G23150 transcript:OGLUM04G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEDGARPPPGAWPRGFDLYGWAYSEGLHRHHGGDTLWRVCSLAPSPPLSALNVSRQITGTLTDLTLRAIMGECGFRWHEEFLEPLDEAQKKATRFGVADLFPSSRLLPAIGSRSGDGRRELTRELAGAAVEVGWS >OGLUM04G23160.1 pep chromosome:ALNU02000000:4:26915885:26918444:1 gene:OGLUM04G23160 transcript:OGLUM04G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRALSPLVFTLLLLLAASTSDASILEETCKSLAGNHTAIDYPYCVRFFQASKESATADTHGLAAIAVRITEAAAEGTAKRIAALRSSHKEEKMQECLRISSDLYAYMLAVLGNEAKAAALVDGGAQHAAASPPTPDVARYVADGCEGRFRGNKETLPLVAEYAEFRQSASIALALIEAISPPSDQFCKPNNLVRSFSPLIVFSLLLLLTSSTTSRASLVDDACTSFAASHADIGYAYCVRFFQSDEGSATADRYGLAAIAVKISAATARGTAKRIADLQDLERDKRRKDCLSACGEVYDSAVDSLDEAAKGIASRSADGLRDAVTVLSAALDTPDTCEDGFRELGLASPLVAEDEEFSKESAIALGVTSALSPPS >OGLUM04G23170.1 pep chromosome:ALNU02000000:4:26919934:26924741:1 gene:OGLUM04G23170 transcript:OGLUM04G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNAGGNNSSGAANNAEVQIPIPAPSKAEAAAAPETPAGKPFRWWAMVAVDVFFLIAGQTSATLLGRYYYTQGGRSKWISAFVRTAGFPILFFTLFFFPSKSPSSCTNTPMAKLAVIYIVLGLIIAADDMMYTGGLKYLPASTYSLICASQLAFNVVFSYVLNSQKVTPLIFNSVVLLTMSASLIGVSKESQGVTGVSGGKYLLGFVLTLGASCTYSLILALMQLTFETIIKKHTFSAVLNMQIYTALVATAASVVGLFASGEWRSLRGEMNAFRSGQFSYLMTLLWAAVSWQVANIGVLGLIFEVSALFSNVISTVSLPVIPFFAVVVFHDRMNGVKIVAMLIAIWGFISYLFQHYLDGKKAKKASSGDSAQG >OGLUM04G23170.2 pep chromosome:ALNU02000000:4:26920734:26924741:1 gene:OGLUM04G23170 transcript:OGLUM04G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQPFYLFIVSLIDDDSKLASSSLPLLLDEWMTSTRRILLFILFLNLTMSDATEIQLQITGVRGQEDVVAESDKSTSRADGTAAAAAAAAPLPPVSSQRLRWWAVVLANIVFVLGGQSVATLLGRIYYDQGGGSLWLATVVQSCGAPLAVPLLLYFRRPEASPVARPPLLKIAAIYAGLGVLLAGDNLMYSYALLYLPLSTYSLVCATQLCFNAVFSYFLNKERFTALVLNSVVLLTFSAALVGVSHSSEETNSSVPEGKFALGFVLALSASAAFALILSLMQLTFDTVLRSNAAHAVLELQLWSNAAASCVSVAGLFISGEWSSLTAEMDGYKKGEVAYGMTLAWTAISWQLATMGMVGLVATVSSLFTNVISTVGMPLSPIMAVIFLGDRMDGAKVIAMLIGIWGFLSYVYQHYLDDAKSKNTAGSADVTQTSEAKL >OGLUM04G23180.1 pep chromosome:ALNU02000000:4:26936624:26940954:1 gene:OGLUM04G23180 transcript:OGLUM04G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQEIQLQIREQESDHGEDGSAPKAAAVRGGSPRGGVRWWLSVAADMFMVLCGQTVATLLGRLYYNSGGNSKWMATLTQSAGSPLLAILLLFTPAPAADEPRPAAAKMAPIYVGLGIIIGFDNLMYSYALQYLPVSTFSLVAATQLGFNSVTSRLINAQRFTVLIANSVVVLTFSAALLGIGASSDETASSVPRGKYPAGFALTLAASAVFALILSLFEATFEKVVRTRTLRWVLRAQLWTNVVASTVSAVGLLASGDWRTIPAEMAAFKDGRARYVATLVGTAVSWQVMAVGSLRLIVRVSSLFANVTGTLSLPLVPVFAVALFGDRMTGIKAVSMLMAVWGFLSYAYQQYIDGRRAAGAGKGRAAAKCRVCAARAGSDPDSPA >OGLUM04G23190.1 pep chromosome:ALNU02000000:4:26950108:26950959:-1 gene:OGLUM04G23190 transcript:OGLUM04G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCRRHPYEGGVGVCAPCLRDRLLALAAAQNEASSLPPPPEPVPVFPRSVSPYVCRRKSDASGARRRTPSLLFFRTPQVGPAYGGGGGGGGGAGGFEEGDIEFEIRRRSSKFSVLAALFGAHRQHGSEEKRSSKERKHRSWLAAIMAPWGPRKKDPAVASPPRHSCHVISNRGLSPAMRYADEGGEEGSSPAESPWRPSPMRKTPCRRRPGGATGAGGVSGFAVCISPLVRPSPAWHHRGGGHPPDAAAFSGELGPSPLHRLSSGSSLPHCRSWKLADGGRFR >OGLUM04G23200.1 pep chromosome:ALNU02000000:4:26953064:26963925:1 gene:OGLUM04G23200 transcript:OGLUM04G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRKRTGAAAGAASKKPPASQPSQPAKFGILHFFERQSQASSQNAKRQKADGPSQPPAPPPPPPPPPLIEEEPSEVSPEVTKTLAPKRVRFSPGMLIKQSQDDGGGEVVTWKISPVNDRLRMVTSRQMTGMALHPCSNNEKHSSVESTKKWHSSLLGMSRCTASARNLDMCGTGPGGCDGVEDTQSPFRTPPSLSYRCSEQQLNGGVVSDGGPEQLGAGEHKKALLDLLDQVEDAIMEEELPADGENKGEQLINGDPKNISCSPIADSDQNVPSQKSLDALPFDSFLVLEVSEKHKGDNLPCDRYPVKVLRLLNEHGGKEHAVHLCDEWFHSLISPGDTVSVIGEFTDQGICIIDHDKNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDVPSTQFLEQQAKEVLLKNIESLYACGASESNTLKTLIEAVPKILNWYKCFMKISKCTNVNFGQIEGQKTVGVVEVMDIEEMAWAPRYGLKGIIDASIRSRVSCNGSSYDRVMPLEFKTGKGTSGQTAVEHTAQVILYTLLMTERYLNKDIDLGLLYYLHTDQTLGIKVKRSDLIGLIMRRNELATEILKASISQSFPPMIQAHGGNAATSGLGDLFDNLVNHLTVAHHTFLKHWDRLIDLEARVSQVKKKEIFQPHHSNTGSRHSSPSYFVLDVTNGHSIDSSGKSKRYIYTFLRQKLQPETADQPGEHVESVDFSLKCGDSVVLSTKSGRIAVANGYIRDLSRAHITVSLPRRLRLPGSNSLLEQGDLQCEVWRIDKDESVSSFATMRLNLVQLFAENPQNSHLRKLIVDLEAPRFDSGGLLSQDPALSYIRSLPNLNNDQQRSLHKILAAKDYALILGMPGTGKTYTMVHAVKSLLMRGESILLTSYTNSAIDNLLMKLKAEGVDFLRIGRHEAVHPDVKGHCLSTTDIQSVDAVKARMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPSSEARENGMGVSLFWRLSEAHPQAISALRCQYRMSSGIMELSNSLIYGNRLSCGSLEIANAKLKLSGRGPVRLKLKEILNPDRAVVFANTDEVPAFEAKEHRTVNNPTEALIISWITNELLKRGVAQDGVGIITPYNAQANLIQQHVDASVEVHTIDKYQGRDKECIIVSFVRSNGNSRASGSSLLGDWHRINVVLTRAKKKLIMVGSAATLSTIPLLRLMIEKVAERGGQLDLTNKDVQSIRELTSSRLNVQ >OGLUM04G23210.1 pep chromosome:ALNU02000000:4:26964638:26972384:1 gene:OGLUM04G23210 transcript:OGLUM04G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSPQSRGVAEPISLVGPTPADLESTARLERLLREEGLYESAEETAAREEVLRGLRGVVDRWVKRLTRQRGYPDGMADRATALVLPFGSYRLGVHGRGSDIDALVVGPSYVDRDRDFFGALAAALAETAAVAELQPVPGAHVPVIKMRFHGVQVDLVYAGVCLPVVPGDLDLSGRSVLRGLDLATARSLNGVRVADEILRLVPDAAAFRTTLRCVKHWAKARGVYSNVAGFLGGVGWAILVARVCQLYPNASPSMLLPRFFRVFARWKWPSPVMLRAIEHDDCELGLSLPVWDPRRNPRDKIHLMPIVTPAYPCMNSGYNVSHATLRVITEQLAVGDAVCQEIVKAGSGGGGWDKLFQPFNFFGAYKSYLQVDVTVTGGEEDDLREWKGWVESRLRLLSARVEADTSGMLLCHLHQQPYAAEPHNEPRRRRRTSSFFVGLSKPPAQPQQQQHQLFDLRATTEGFKEEVYMYDYWRPGMEVAVAHVRRKDLPSYVLRQLLRSPGRHDQLKRKRADDDPSSSPAASDHSASSSSSRDAKRPAAAPGRIGSSFEKKTTHEQSTRAAADGGPGPAAPRRLLPRAVEQSHENLLVAADIGEVRCCYSLYSGTVSKDSAASSDFHVLGIANAANRARHAPAIALVLLYRPQGGVGFRQGTNPSPHRCRRRRPYRRARRRRQPPPAKNDSAAYSDRGSRRDHGQAVYVMVRAVGVENIAAIASVDTCRKE >OGLUM04G23220.1 pep chromosome:ALNU02000000:4:26976018:26984875:1 gene:OGLUM04G23220 transcript:OGLUM04G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASLHLHVLARIPETAQQAFLRWGLLRDSSNSTKLQHLQEWQEMYSPCFWMDAFALIHLIFIMSILVQFLYKRIRWCRQRFKTSTAESKHSYQEQKNTDKKLGITYQASKVCCLLNLASHTLKIVVLLLQGSISDCKYPAFVLGESVQVLSWIILSLVVFSFQKTKSAKLPLIIRAWWIFSFLQSITSVVFDLRSILLDHEYLGPEKWINMFMLVICTLLFVISARGKTGITLVDNSITEPLLSPSTGQQTEIKRPCPYGKANLLQLVTFSWMNPVFAIGYKKPLDKNDVPDVYGKDSAEFLSDSFKKIIDDVENRHGLNTKSIYTAMFLFIRRKAIMNAGFAVLSASASYVGPSLINDLVKYLGGERQYGLKRGYLLAVAFLSAKVVETVAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPIQLSLAVYVLHQNLGVGAWAGLAATLAIMACNIPLTRMQKRLQAKIMAAKDGRMKSTTEVLRSMKILKLQAWDMQYLQKLEALRNEEYNWLWRSVRLSAVTTFIFWGAPAFISSITFGACILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSGDRVAKYLQEEELKYDAVIEIPRNDTEYDIEIDHGIFSWELETTSPTLKDVELKVKRGMKVAICGMVGSGKSSLLSSILGEMPKLAGTVRVSGSKAYVPQSAWILSGNIRDNILFGNPYDKEKYDKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCLMGILKDKTILYVTHQVEFLPTADLILDGNIVQKGKFDELLQQNIGFEAIVGAHSQALESVINAESSSRVTSTENSKPADTDDEFEAENETDDQIQGITKQESAHDVSQDINEKGRLTQDEEREKGGIGKKVYWAYLRAVYGGALVPVTIAAQSFFQIFQVASNYWMAWASPPTSATRPTVGLGLMFAVYIALSIGSALCVFARSMLVSLIGLLTSEKFFKNMLHCIMRAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSVIQILGTIGVMSQVAWPVFAIFVPVTVVCFMCQRYYIPTARELARLSQIQRAPILHHFAESLTGASSIRAYGQKDRFRKSNLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDYRRPPNNWPLDGNINIRCLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTLIQALFRIVEPREGTIEIDNIDICRIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPVNEYSDQRIWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLILDEATASVDSSTDAIIQETIRDEFRDCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPLKLLENENSEFSRLIKEYSRRSKGF >OGLUM04G23230.1 pep chromosome:ALNU02000000:4:26988825:26997652:1 gene:OGLUM04G23230 transcript:OGLUM04G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKETANQSGIQFTCGTQEPFPDASKEENVKNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGKEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSGDNGFERGYSLVLVFVGAKAIETLAYRQWFFGSLQVYQKVLYLSSQSRQKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHARIMKAKDDRMDMTSEVIRSMKILKLQAWDIQYLRKLEYLRKGEHLWLWEFLRLKALLAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLVDADLIMVMQNGRIAQAGKFQELQQNMAFGVIFGAHFCAVKQVCNAKGTSIYLSKHHAESEKVPSINESDAEKEISSKWQNTNMINCRQEVFRDNTEEGKLLQGEERENGYISKQVYWSYLTAARGGLFILMIIAAQCFFQIFEVASNYWMASACHPRTGSKSKMESTQFMVYVFISVGSALCILIRAVLVAVTGLLTSEKLFKSMMHCIFHAPMSFFDSTPTGRILNRASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFRQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPTITEGSKPPMAWPEFGMISISNLEVRYAEHLPSVLKNITCVIPAEKTVGIVGRTGSGKSTLVQVLFRIVEPREGTIKIDSIDICKIGLHDLRSRICILPQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >OGLUM04G23230.2 pep chromosome:ALNU02000000:4:26988825:26997652:1 gene:OGLUM04G23230 transcript:OGLUM04G23230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKETANQSGIQFTCGTQEPFPDASKEENVKNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGKEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSGDNGFERGYSLVLVFVGAKAIETLAYRQWFFGSLQVYQKVLYLSSQSRQKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHARIMKAKDDRMDMTSEVIRSMKILKLQAWDIQYLRKLEYLRKGEHLWLWEFLRLKALLAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLVDADLIMVMQNGRIAQAGKFQELQQNMAFGVIFGAHFCAVKQVCNAKGTSIYLSKHHAESEKVPSINESDAEKEISSKWQNTNMINCRQEVFRDNTEEGKLLQGEERENGYISKQVYWSYLTAARGGLFILMIIAAQCFFQIFEVASNYWMASACHPRTGSKSKMESTQFMVYVFISVGSALCILIRAVLVAVTGLLTSEKLFKSMMHCIFHAPMSFFDSTPTGRILNRASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFRQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPTITEGSKPPMAWPEFGMISISNLEPREGTIKIDSIDICKIGLHDLRSRICILPQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEGSILEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >OGLUM04G23230.3 pep chromosome:ALNU02000000:4:26988825:26995820:1 gene:OGLUM04G23230 transcript:OGLUM04G23230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKETANQSGIQFTCGTQEPFPDASKEENVKNRRKSSYGEATISQHFTFSWMNGLLAKGANKPLNEDDIPDVGKEESAQHISRIFSNIIVKGNFPLTVSSICKAAFLLIWKKAALNATFGVLSVVASFVGAYLIKDFVGYLSGDNGFERGYSLVLVFVGAKAIETLAYRQWFFGSLQVYQKVLYLSSQSRQKHTSGEIINYVSVDIERIVNVAWYVNMVFMMPIQITLATYILWKNLGLGSLAGIATTAIIMLCNIPFTRIQKRLHARIMKAKDDRMDMTSEVIRSMKILKLQAWDIQYLRKLEYLRKGEHLWLWEFLRLKALLAFMFWGAPAVISIMTFASCILMGIPLTAGRVLSTLATVNILKEPIFSLPELLTAFAQGKVSADRIVSYLQEEEIRSDAIEEVAIDENEFSAEIDQGAFSWKTDAKIPTLQDIHVKIHKGMKVAVCGAVGSGKSSLLSCVLGEMPKVQGTVKVFGTKAYVPQSSWILSGTIRENILFGSPFETDRYERTIEACALVKDIGVFSDGDMTDIGERGTTMSGGQKQRIQIARAVYKDADVYLLDDPFSAVDPQTGRHLYKKCLMGVLRDKTVLYVTHQVEFLVDADLIMVMQNGRIAQAGKFQELQQNMAFGVIFGAHFCAVKQVCNAKGTSIYLSKHHAESEKVPSINESDAEKEISSKWQNTNMINCRQEVFRDNTEEGKLLQGEERENGYISKQVYWSYLTAARGGLFILMIIAAQCFFQIFEVASNYWMASACHPRTGSKSKMESTQFMVYVFISVGSALCILIRAVLVAVTGLLTSEKLFKSMMHCIFHAPMSFFDSTPTGRILNRASIDQSVLDLETASTLSESTFSVMQFLGTILIISYVSWPVLIIFIPSILICIRYQRYYSLTATELARLSGIQKAPILHHFGETFYGAAIIRAFRQEDRFYRSNLSLLDNHSRPWFHLMAAVEWLSFRMNLLCNFVFGFSLVLLVRLPQGFVNPSIGGLVVMYAWNLNTQLSEATRNISRAEANMISVERILQYTKLPSEAPTITEGSKPPMAWPEFGMISISNLEVRYAEHLPSVLKNITCVIPAEKTVGIVGRTGSGKSTLVQVLFRIVEPREGTIKIDSIDICKIGLHDLRSRICILPQDPVMFDGTIRGNLDPMNEYPDSRIWEVVDKCQLGNVVRSTEKKLDEIVIENGDNWSMGQRQLFCLGRILLRKSKILVLDEATASVDSATDRIIQEIIRQEFKDCTVLAIAHRMNTVIDSDLILVLGEEYDAPTKLLQREDSTFSKLTKEYSQQSQHFKSSTAMHRMGSY >OGLUM04G23240.1 pep chromosome:ALNU02000000:4:26998279:27001997:-1 gene:OGLUM04G23240 transcript:OGLUM04G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFVMGDHARLLSFLRLATELAVTSPLFAKLSACLSSDAACLDGLARVRGRGRGRERLRVVAYRLGGMRYSWAPRFRLAVLLLLRDKFPELVGAVEVVDPTVAPVERRAMEELGCVVTASPALCLVVEQPTLIFMPYADRVFFENLLTLNWTPDQLGKIVVLGHSFSAMVKMLELSISKQEKCGVTEQREKVRRVLAIQSYVQELELCAEISGLFDNPLLGDEYPDELNRSVYNHSSEKCICMHCIAHIERAAMIYALPSIFSVHFFQFDPEVDIEHLIPDNCATKVWSYVNVQMNCDAQLEGWHLNPSDAYIEDKHLQEAKSIVKEMHETISDVRSSALYTKFIDHVKKDESVSSHISSMLGAHECIQLVIYGLGSFEFDVKSQYQLAFALLLKADNIFPIGDIEIYDPALSPADVKACFDLGLKFVGNLIESNFTAKQLNKIILVSYGFKNSGKSISAALENRSCGFTGIKGSLALERDRFLWASINYIDEVIVLENFDEEFWGVSELRVEFLDVAADVDMNSNVPSIHVSYFHMHLKERMLRPFKQDQGDCKDDEPQFWGQEFRHRIPAIHRNTWSPPPKGWIKLNFHGTGCSKNRSAGMGGVFHNDEGALSYFIGSLGNVDQTVASIQALEHGLEIMLEHHEPVKKLIVEGDDLTVIRWCNKISCPPARAHDSFLHSYWYMDLMPCEGAGVLAGSSKETNNESDSSSQDASPVKPLNDCSSENDKDEYDGSLQDASPSEPPNDSNCESGKYENSDLYKMLHPLSYLKSVTMEMDASPAELSEVSNNGNGSLQDASSSELSEVCNNGNGQDEDGCSSSSEFVIPPGWAQREYIAWRVEEEANQTAIGLARLGTALPDHGIMVHLSTKCDCEHGREMKKGRPDITWFDH >OGLUM04G23250.1 pep chromosome:ALNU02000000:4:27004401:27008833:-1 gene:OGLUM04G23250 transcript:OGLUM04G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPENDGDGGGGGGRPVMLPETVGDGGSSGLLCLPPEALGVSSSGGACVPRPETLGVEGGGTRTLAYASGGVCLPPETLGGVSCGGGGERTLVFASGGVCVPPEIFGVRGGGDLTLVYASGGGVFVPLEEAVGWSGGGSRTLVSTTGAGGGGVRRGKVFGEPRENLGAGGGGERTLVSDIGVCGGGKVFGGLRENLGAGGGEERMLVSAVGVGGGGVGLGKVFSGPRENLGAGGGGDCTLVSAIGDGGGGVGLGKVFGGPSENLGAGGEGDRTLISAVVADGGGVGLGNVFGGPRENLGSDGGGERTLVLAVGACGGGVGLGTDGAHTPPLLVAATPAQCRSRPCGRSEATKVRVKGPQCSSASHAARRHARGMGHPVKKAASRSCLQRPSVSSDSQQMPESVCGPPGKMLSNASSIIHGSRGMQHMSSKRGKRARRSSAGQPLPDEMMTEIVLRLPAYSIVRFRAVSRSWAAMLSSPGFQDGYAAMADARRMSMSKFVFFAASPASPRGATAVYSCDVGPVRRITTTTTDLLFNIDRLRPGFLVVSSRPCHGLTLLADTRSFAYWVCNSSTGVFRPLPRRRCHDLSSAGLVFDDRTKEHKVVHLFCHVSRGGESEAMTMGCEVYTLCTPSRPWRPASGGIPGRLGGVVICALLYEGAATKVPPVYANGFLHWQLYPDKYLIKTSSLTRRILCSKPCHGLNLISTSSDDYLCNPCTGSIRCLGIRGKFREIDPTVSIDDDRRHVTRVGRNVGLGFDRLSQEHVVVEMSRLKGDLQLCMIKTSCVDYWSCAGKPPRPVTDMPPAHVDGTLYWISEPQPTARDRVIVAFDISSREFSVLPCQPCCSERDGGDYPLLVELEGSLSLVVANAEENNLQIWRMQEADGTWHKSYSILLDERYPDFSLKTGVVVVPLDAXR >OGLUM04G23260.1 pep chromosome:ALNU02000000:4:27009962:27013705:1 gene:OGLUM04G23260 transcript:OGLUM04G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINVHGKTPVSSSPQLRAPSRVAAEQGRGGDPAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >OGLUM04G23260.2 pep chromosome:ALNU02000000:4:27009962:27013705:1 gene:OGLUM04G23260 transcript:OGLUM04G23260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPAQPPRVVINVHAFVCLMASPASPREFTQEAARQSLIAISRSVPAAGEAVNIKSPSGAMVNGHHHDDDGAEKYRSKLISISNLSPDAQPTPCSPKDTAAA >OGLUM04G23270.1 pep chromosome:ALNU02000000:4:27014837:27017835:1 gene:OGLUM04G23270 transcript:OGLUM04G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78800) TAIR;Acc:AT1G78800] MAAAAGGVAGEPSGTRTKQLKVAVIHPDLGIGGAERLIVDAACQLAAHGHDVHVFTSHHDKNRCFEETVSGPFEVKVYGDFLPRHIFYRFHAICAYLRCIFVAMCVLLWWPSFDIILVDQVSVVIPLLKLKAKSKIVFYCHFPDMLLAQHTTMLRRLYRKPIDMIEETTTGMADLILVNSKFTATTFARTFCSLHARGVEPAVLYPAVSVEQFQEPHAYKLNFLSINRFERKKNLGLAISAFSLLRSVASMLPGDARQEVTLTVAGGYDKRLRENVEYLEELKRLAASEGVSEHVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVINDETGFLCEPSAPEFSKAMLKLVNDHDLAVKMGKQARGHVVQKFSTKTFGDLLNSYVLNVYHQRIE >OGLUM04G23280.1 pep chromosome:ALNU02000000:4:27021046:27022311:1 gene:OGLUM04G23280 transcript:OGLUM04G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZPX8] MAMEPPPLFLCPISMELMEDPVTVATGVTYDRRSIERWFFKYGKTTCPATMQRLASFDLTPNHTLKRVISSWLDRASSSSSPSTAGSPATTTSSSSSNAMERERLPSVLAGIEETPFKATALKNLKSCMAGDEAAREDFVACGGIQVLGRVMTQALAESSAGGDFSAFRTCEEAAAVLATLPLSDDASVELLLKPECMRPVSVLVQRGSAEARLHAMSMICKISRASVRDWTAEVDVDDMVKALLELLSDGASAKLSSRALDVLLDVTARYSRGARRAKAVELGAVRVLAELLLDADRHVAERSLLLLKRMCKCPEGRLAFAEHGLAVAAVARAVLRVSGLATRLAVNVLWLVACAPAPAERVLEDMVVGGAVAKLLALMQVESSPSTKDKAVKMLRAHGAFWRQYPCFPTDLKDYLKSLN >OGLUM04G23290.1 pep chromosome:ALNU02000000:4:27023950:27024318:1 gene:OGLUM04G23290 transcript:OGLUM04G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAKVKVQDMVSGAKEKVKEGTAKAHGKAGQATAATHGEKEMAKQEEKAGKAQAKADEHQERAEHRANATTGRHGTRVPLTAGGNRHHHAPVGGPAVDPAYPAAGTGTGTGTYAASDKSYI >OGLUM04G23300.1 pep chromosome:ALNU02000000:4:27026279:27029669:-1 gene:OGLUM04G23300 transcript:OGLUM04G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREQQQQPRVSSPPPAGGGVMMPQHPYGAAPAMPPGSANVMHGVPLSFNPMASPTASSPMKPADMSGTMYRTDPVVQGMQQQPGSGGGGTAVGGGELVKKKRGRPRKYGPDGNIGLGLKPAAAVGTEAGGPSGGAGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATRVQVVVGSFIAEGKKGKEEHLKREPTSAPTPNHAAGFGAATAASPPSDGSSSDHSDDPGSPMGPNGSTFNNSGHPMHSSYAPVSWSLTGNQGRYDPDLKMMTD >OGLUM04G23310.1 pep chromosome:ALNU02000000:4:27034757:27036625:-1 gene:OGLUM04G23310 transcript:OGLUM04G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHMSSKRGKRARRSSAGQPLPDEMMTEIVLRLPAYSIVRFRAVSRSWAAMLSSPGFQDGYAAMADARRMSMSKFVFFAASPASPRGATAVYSCDVGPVRRITTTTTDLLFNIDRLRPGFLVVSSRPCHGLTLLADTRSFAYWVCNSSTGVFRPLPRRRCHDLSSAGLVFDDRTKEHKVVHLFCHVSRGGESEAMTMGCEVYTLCTPSRPWRPASGGIPGRLGGVVICALLYEGAATKVPPVYANGFLHWQLYPDKYLIKTSSLTRRRSRKRKWKRSGEE >OGLUM04G23320.1 pep chromosome:ALNU02000000:4:27037837:27038913:-1 gene:OGLUM04G23320 transcript:OGLUM04G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNPSSSMRNRKASFFRLEPCNIYVRWTKGESGNGRWRRALARFSLGAGDRGVIRGKVFGGPRENFGADGRGEHTLVLAIGVGSGSVGLRKVFGGPKGESRSGQWRKVHARFSRWRRRRKCWTMKGTGGGEERTLVSALGVSSGGIGLRKVFGGPRDNLGAGGGGERTLVLAVSGGSGGVGLGKIFGGPRRIWERAAEESARSFQPLVPLAVVLDRKPVARTRHRCWWEASSWLETAVVAAAPAQCRSRPCGRSEATKVRVKGPQCSSASHAARRHARGMGHPVKKAASRSCLQRPSVSSDSQQMPESVCGPPGKMLSNVSDRRAGVD >OGLUM04G23330.1 pep chromosome:ALNU02000000:4:27040192:27043149:-1 gene:OGLUM04G23330 transcript:OGLUM04G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETARPGESKRRNTDAVDTPSPRAWKRRKHAAPAANLPDDIISEILLLLPARSLIRFRAVCRSWDARLSSPSFAEAYAANAAAHRMTNYKFVFFAPSPNRSTAAYSCTRRTVAVDRLFTVDRLRTDFLCLCSKPCHGLLLFSDARSRRYWVCNPSTGECRRLPQQHRGLTGSSAGLVYDHRTKERKVVHLFFKERTAERDQDQDQCIQCEVYTLQDPSRQWRPANGDVESLTGRAVKALEIEDMVTKVPPVLAAGCLHWLVYPNRGDDVSLEPGQDAILCFSVTTENLRLLNAPASVLHAVHHRRLDENFSAVPIHLAELQGSLCVVHDLRQRGSETTSSIDLWMLRDHDAGEWSLDYRIAVTPILARGVHSPRFITVLGCCGGGGTDRMKILIATSQHKIHAYDPDTGHIDLVLSVSETDIGYQEEESAAAVWFGLYEDSLVRIGGQSFGQKQVLSGLTEILLRFPIKSIAKSMLVCREWCSLIESESFVSKHTSSSSKSLKILMITNGLDGRAFFDFAPVGSWLQAGPAHVSRRIICSKPCNGLNLISTSSDDYLCNPCTGAIRCLGIRGKSPRFNPGCCTDQQSPSRRHAFSVGRNVGFGLDRSTGDHVAVEIGRVDGVLACMVKTSSAETWTACAGKPPVPLSDMPPAHVDGTLYWMSVRTRQQERVVVAFDISSRSFNIVPCEPCLNNTDSDAFLVELDGTLCLVVTNAEADEMEIWAMHRDGSWVDAYMIHLNEHPDYSVKTGQAPVVPVDVSSKDGSILLNTGRALGYYDYKTGAIHNPYYSLDQLKLPHSSLAFPILCQESIARIQDDQLPTRVVPPFSLEEESSGCRGHPQHAGGATPGGCGPSRSVLQECEDGACRNVGVVYRSCCRRVFCDSCGRRCLEHSRLLYLDHDLPASFSDMDMQIQDSSLFLGHPCVPGTDYCYYYSTERGNVVRHVFISLKDYIQSNQSWHLIECGYRIEGKVIKDTWVQRYLEL >OGLUM04G23340.1 pep chromosome:ALNU02000000:4:27047978:27051722:-1 gene:OGLUM04G23340 transcript:OGLUM04G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEPNQDQQVLRPTPAATRQMEAADQEERSQDPQPSPASPHGGPRSLNLIKEEFVMGDHARLMSFLWFAMELAMSSPLFAKLSALFSSDAACLEGLERVRGRGHERLRVVAYRLGDMRYSWVPRFRLAVLLLLRDKFPELVGAIEVVDPTVAPVERRAMKELGCIVTTSPALCLVVEEPTLIFMPYADRVFFENLLILNWSPDKLGKIVVLGHSFSTMVKMLELSISKQEKCGNCATRVWSNVNVQMGYDAQLEGWHLNPSDAYIEDRHLYEAESIVKEMRETISDVRNSTLYTKFVDRMKKDQSICSHISSMLGAHECIQLVVYGIGSFEFDVKSQYQIAFALLLKEDNIFPISDIEIYDPSLSPADVKACFDLDLRVLLVNEQCQRSVDKPTIFFVPGLAFVGNLIESNFSPEQLNNIILISYGVKNIRDSIFAELENGTNGFTSLKGSPELERESHVSYFRMCLEERMSRPFQDDQDDCKDGKPQDWAHEFLHRIPAMHRKTWSPPPKGWIKFNFHGLGGSKDRSAGMGGVFHNEDGVLSFFIGSLGNVDQTVASIGAIELGLKVMLEYHEPVKKLIVEGDDLTVIRWFNRVSHPPARAHDSFLRSYLHLTSMSLPCEGAAVPAEISKDPDHENGSSSHDASPTKPPNDGNIENGKDRES >OGLUM04G23350.1 pep chromosome:ALNU02000000:4:27059083:27060991:1 gene:OGLUM04G23350 transcript:OGLUM04G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17668) TAIR;Acc:AT3G17668] MASTPAAGPNGVASPAAQAPSSSLPGFHEAPPSVRISRPSWIVRSESNIRRERPKRPDPPCTICKGTGKIDCRNCFGRGRTNHADLVMLPKGEWPQWCRICGGSGLDYCHRCHGTGEFREPMGFHFATIHRK >OGLUM04G23360.1 pep chromosome:ALNU02000000:4:27061099:27062235:-1 gene:OGLUM04G23360 transcript:OGLUM04G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFKGDLQLCMIKTSCVDYWSCAGKPPRPVTDMPPAHVDGTLYWISEPQPTARDRVIVAFDISSREFSVLPCQPCCSERDGGDYPLLVELEGSLSLVVANAEENNLQIWTMQEADGTWHKSYSILLDERYPDFSLKTGVVVVPLDADSNGGGRILLDTGRALGIDTLYSLDQLKLPQCQMAFPMLYGDSLVPIQDDEPPDYVAPTLRDDDGGRRCYYQPQHVEISGGEQPAAASCVFRPCEAAGGGCRGMGCVYAGSCCRRVLCRECSLPCVEHTDGFHTAILPFLPRRSATATEMAEDLLLGLPLEHPCVPGPEYCYYYSEWDDEEDGVGRHVFVSLRDLVRTRQPRRLIECGYRMDGKVVRETWVRRYRADIEAF >OGLUM04G23370.1 pep chromosome:ALNU02000000:4:27093650:27094703:1 gene:OGLUM04G23370 transcript:OGLUM04G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETKAEQLVTAVYKVHVHCKQCANTIVTQFTQFPGVREVKLDGGKVTVKGIGFDAEKLRKKVEKGCRRRVEQVPPPKDIVTEVKSKKEELKIITVRVPLHCAECAARVKEVLLEHKSIYAAKIDLGKNLCVVEGVIEEKKLFEYIYHRTRKYGFIEKVEKKEIIVEEKVEVKKKEAEKKKEGEKEEVKVKEKVKEVVAPYFIPCTHPHFIDYSHPELHGFKDTVFLHCSHSNQFLSNENPEACSVM >OGLUM04G23380.1 pep chromosome:ALNU02000000:4:27097378:27098295:-1 gene:OGLUM04G23380 transcript:OGLUM04G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTAATRYVHQLHHLHPDLQLQHSYAKQHEPSDDDPNGSGGGGNSNGGPYGDHDGGSSSSGPATDGAVGGPGDVVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGSGCDVFECVSTYARRRQRGVCVLSGSGVVTNVTLRQPSAPAGAVVSLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGNVVGALYAAGPVIVIAASFANVAYERLPLEEEEAPPPQAGLQMQQPGGGADAGGMGGAFPPDPSAAGLPFFNLPLNNMPGGGGSQLPPGADGHGWAGARPPF >OGLUM04G23390.1 pep chromosome:ALNU02000000:4:27121359:27123269:1 gene:OGLUM04G23390 transcript:OGLUM04G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSGSYDDVDYGDLFSIPNPPAPHLLNFPLQFFPSNGFISSADDSHRSPAGMFGSTPSPTSTTTELENSEDLSESADDAVLAYINQFLLGDEEDESCPGTITSVEDSALLAVEKPFVDILTASQEACQENSWIDSCCDFTGNGGLLDTFTTTHAACQPAPCEFEKEKGECAVHKGRKNPHDDCLLFEEESRRSKQLAVSEEETVREMFDKVLLCNGECELRAPLPAEARNCGVYVKGSGNKRGRKKGKSGASAEDDAVDLTTLLIHCAQAAAIDDHRNSNELLKQIRQRSSAYGDAGQRLAHCFANALEARLAGTGSNIYRSLAAKRTSVYDILNAFKLYVTACPFKKISNFFSIEAILNASKGMTRLHIVDYGIQYGFQWPIFFQRISKRPGGPPSVRITGVDLPQPGFRPAQLIEATGRRLHDYARMFNVPFEYHAIAAKWDTIRVEDLKIDKDKDELLVVNCLFRMRNMMDEMVTDDSPRMQVLKTIRKMNPNLFIHGVVNGTYNAPFFVTRFKEALFYYSSLFDMLETTASRVDENRLLIERDLFGREALNVVACEGTERVERPETYKQWQVRNIRAGFKQLPLNQETVKKARYKVKKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWEPN >OGLUM04G23400.1 pep chromosome:ALNU02000000:4:27126537:27127072:1 gene:OGLUM04G23400 transcript:OGLUM04G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSTEEVKHLTLHHLLKRQHRLKPAAVVWRWPTSVVPGGGAGRPPVADEQLAADDVDGLGGTWPPRSYTCAFCRREFRSAQALGGHMNLGAAEAPAAAAAARTEYAVALYPILNSGAGGAAVRIPRGDVLLSAPVALAAARRGHDHRCIDVGDDENDKKIDLELRLGWP >OGLUM04G23410.1 pep chromosome:ALNU02000000:4:27157177:27160857:1 gene:OGLUM04G23410 transcript:OGLUM04G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDALCSAGGDVLIYDTFNASAAAAVAVVPPASFLFGNNNAGGAAGPETTVQVAAGAVPEVDQLLKQAQQPGRRKRRRRARSCKSREDAESQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRGDQASIVGGAIDFVKELEQLLQSLEAQKRTLLMQPPPPPQQQREPKCDAADSTSAADQETPAAAAADGPPFARFFTYPQYVWCHNPAQDGGGGGGAAAENRAGVADIEVSLVETHASIRVMAARRPGQLLKMVAGLQALRLTVLHLNVTALGSLALYSISVKVEEGCGMATVDDIAAAVHHVLCIIDAEAASQMLLAGEASG >OGLUM04G23420.1 pep chromosome:ALNU02000000:4:27162838:27163989:-1 gene:OGLUM04G23420 transcript:OGLUM04G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPAWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPFDVAGTSADDSSGPVFSPLVIAIIGVLASAFLLVSYYTFISKYCGTVSSLRGRVFGSSSGGAAYGGGAGSGGRHGHGQSRSHESWNVSPPSGLDETLINKITVCKYRRGDGFVHTTDCSVCLGEFSDGESLRLLPRCSHAFHQQCIDTWLKSHSNCPLCRANITFVTVGLASPEPEGCAPGETGGDNTHEVVVVMDGLENLCEEQQEAVSRASTADDDHDAKDVAEGMEEANGAAEIREEGSPPKRGASSSDLHRDNRMCIADVLQESMEDELTAARESGLLAGGAGTSRRCHGENSKGRGGRSRRALQLQDAMEALPGKRLPSGGRSCFSSKSGRGKDSDHPM >OGLUM04G23430.1 pep chromosome:ALNU02000000:4:27168300:27170383:-1 gene:OGLUM04G23430 transcript:OGLUM04G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G35410) TAIR;Acc:AT2G35410] MAALSLARSPHHHHHAAAALALPAPRIPRLAPLLRTPRRPHPLIGIRRLPAAAVAASSPPEAQAVEDGEEEEEEGGEKRRKLYVANIPWSFPAPEIEKLFAQCGAVKDVEVIKGKDGKKKGFAFVTMATAEEAAAAVEKLNSLDVMGRTIRVEFSKSFRKPAPPPPPGTILERHKLYVSNLPWKARAPNMKEFFSKFNPLSAKVVFDSPSGKSAGYGFVSFGTKEEAEAALTELDGKELMGRPVRLRWRQSVDDSDDSVKADGEIEDVNVDGEAEGVTDNGTKDHGEDKQE >OGLUM04G23440.1 pep chromosome:ALNU02000000:4:27174240:27175896:-1 gene:OGLUM04G23440 transcript:OGLUM04G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDESPLRINTTRGGAMGGGGECDGAENQRWPPWLKPLLATSFFGQCKLHADSHKSECNMYCLDCMNGALCSQCLSYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGDYRGRKRHAGGGIKKTKKLHKGAAAVPSDSDDSSMTTSGGSDKSSVVQSFTPSTPPATANSYRTGKRRKGVPHRSPFGSLMVEF >OGLUM04G23450.1 pep chromosome:ALNU02000000:4:27219094:27219495:-1 gene:OGLUM04G23450 transcript:OGLUM04G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSALHGDAELGLGNGDANAAAEMVEAGQDVAELRRALFAGGVGKAAAALYLALFRPPAGLFLRSNPLFYSYYVVLVAVVLFGVAEAWVGLWASRDGRRRAAGVTMLWLSVIPALFLVGTGGSAILELK >OGLUM04G23460.1 pep chromosome:ALNU02000000:4:27222052:27222591:1 gene:OGLUM04G23460 transcript:OGLUM04G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDEWRCRKHPAPPCGGVCPYCLRDRLLRLCPECAHARPCPCAASSSSPSSSSSSAASGSAAVVGRVYSLIERERRMGLRSRSVAAGGGGGGRGIVVRDERPKSRAFGWVSFRKATSDRVVEVDDGAALARSSSVSATAVETRAPPKSRGWGRFIPGSIKALRHRKSRAAGDCREGVR >OGLUM04G23470.1 pep chromosome:ALNU02000000:4:27234655:27235053:-1 gene:OGLUM04G23470 transcript:OGLUM04G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAALSAFHGDVELGLGNGDANAVAGMVEAGQDVARLRRALFAGGVGKAAAALYLALFRSPARLFLRNNPLFYSYYIVLVIVVIFGVAEAWVGLWASHDRRRRAVGMTMLWLSVLPLLFLAGIGGSAILKL >OGLUM04G23480.1 pep chromosome:ALNU02000000:4:27239129:27240660:-1 gene:OGLUM04G23480 transcript:OGLUM04G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDDAEHETSPASASASSAPATRKRKRPGATATDGAPAQSADDAGGMCDDVLRNIFSRLPARAAVACTALSKHHRRLVTGAEFRRLHLLLGAPLPRPHVAYLATAPITRRGDDRVQVPRLPRRRRRMGIGAHAPMRALTDGRYENKSYVNTCNGVILLAMKKKTPSRSFILWNPAIADDEKKLTIPEGLQDNGEYYVAGLGYGRRSKTYKLLLCRLKCLSSKGPGGCRIFYRCAELVVCTLGAGAGAGDQPRTVLSGLDTKIKHQSLYLDGTIYLLDAEDSIVFAFDVEDETVTAIDLPGERSITKHASSKLMEMSGRVCVVTKDGTHTFSVWLLAAEDDHRWQRRCAIGENNIYYRSITAAWDHGDALLLLVDGSPYLYDITDERMTKTEMPIDVKPEEAANTLCWGYKPTLVSPGSIVGDGDGDEEEGRRRCGRDRTADIVAALRPVRERDVRRGRKATLDVTCFMEMLVRIKRELPGGMQDVIDMPLLNASLDVRYRYSDDED >OGLUM04G23490.1 pep chromosome:ALNU02000000:4:27244902:27249656:1 gene:OGLUM04G23490 transcript:OGLUM04G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSKTKSGHAEAKSNGKAEAKGTPPTPKSAKIARKPAVPKAPPRPSADKSQSPGSADRKKSASRITTPPEKQGKATKPAQESVAAKPSPQEPVAVKPSSQEQEQQALLAAVQEELVKAKEELVEKEKERGKVLDELERAKRAADEANAKLQEALAAQSKAAEESAAEESGDAEAEQASASMEDELRTKLASMQSQQEADMAALRSTVEQLEQARYELADAIDAKNAALTQADDAMRASDENAEKIKLLNAEVAHLKGLLDSEVGSSSKGAVEHIRKLEEENSGLKLELEKANVAEQRAVELEGVVEQLEVEIADVKKARARSEELLGKWKTKALELEVRLEEADQSNILKGESLESAMKELDAKMTLLQEKESEIEALQDKVRSLEDEVAKQKEDFHTADKEADELRLEIEDLRLKLEAAEEDLNNDKIASSEMETLIEQKNMLAKELEASKAEVEKIKKAMEGQASALHEMSAQLRVAQEKYLDKQEEIDRARAQVEELNVSLQNTKESYEVMLDEANYEKVCLKKSVERMEAETKSASEEWQSKELSFVNSIKKSEEEIINARAQMDKTLEAVKGKESENAELQEKLKHLEAQLMEANKTCEEAKAETFQWKEKLLDKENELQNIKQENDDLQAKELVASEKIKELSVLANAKDGATNGSHKEESNVKGDSEDDEPVMVVAKMWENSKVTDDASSKEKGNDGESEVDLESNTGDSIVDGNGLHSTTASNGNASPPKQQPQKKKPLLKKFGGLLKKKTQP >OGLUM04G23500.1 pep chromosome:ALNU02000000:4:27249256:27253197:-1 gene:OGLUM04G23500 transcript:OGLUM04G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLRRAAVAAGAASASAASLRQFQCAYHRSNERLLPCREDQEVSYGLNWAIAGRGVVVKDKVFYNLEKSELQKGGAAYTECLSGIPLHVRGNVISGIPDVSRAQFAKLLKLVTFHLSSISSLYVQDGTVGSSVECDAKVRVISDNPSAVLLLSNILWKTPDRSISHDTCPLTIYVASLISANVRNSLGSGSQYANGFAAADIERSSLILCGKAFADSAMLKGALSALTAPVLSARGGLPFPGWLLSFGGSAVLLFAPVDVIKSLKLQDVLVSTDSGVVVSPKGSNVLFATKAREPNLFTRPTQVIIVSSDSTDALPALSKLSPGQAAYHFLAGYCDGKFIPAYSKDPSPLDPIALANSLFSHLKEDNTPTYLINAKSSGKYIDGKEFVRLIEVLLSNNLPDRKSEDIRGHYSFWHSFVDYWDHKEMSDLHMVSLRRNWSGGPLDHGGLRFSFISSSINKRSAGRLSRSLLQRSRSTETKHIAK >OGLUM04G23510.1 pep chromosome:ALNU02000000:4:27255811:27257840:-1 gene:OGLUM04G23510 transcript:OGLUM04G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREERFPVWEAALGAGVAAAFATGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTIQVLVGYCSVYIFMQTFMIPGTIFMSLLAGSLFGQLRGVALVVFAASAGASSCFFLSKLIGKPLVFSLWPDKLMFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHIFLLATLIGLIPASYVTVRAGIALGELTSLSDLYDTQSIALLFLIGIVSVTPTLLGKDEAQEKTTEIAVTAS >OGLUM04G23520.1 pep chromosome:ALNU02000000:4:27262186:27268258:-1 gene:OGLUM04G23520 transcript:OGLUM04G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G16750) TAIR;Acc:AT5G16750] MASSQGLKKNYRCDRSLQQFYTGGPFAVGSSPGGGEGEVEGGEAEAEAFLACACGGEVRLVSAADASAIGEPIEGENEAVTALALSPDSRLLFTAGHSRLIRVWDLASRTCTRSWKGHDGPIRAMACHASGGLLATAGADKKVCVWDVDGGFCTHFFRGHAGVVTTVMFHKDPKRLLLFSGSEDATVRVWNLESKKCVAVLKEHFSAVTSLALSEDGQTLLSAGRDKIVNVWDVRKYNSKKTIPAFEMIEDVSFIGPGSNLLSCLGEPANIKRKTDGYFLTVGERGVVRIWCLESAQCIYEQQSSDVTVNTENEESRRGFTSAVMLSDDQGLLCATADQQFLFYCPTRTDGGDFQLNLYKRLVGYNDEILDLKFVGEDEQYLAVATNLEQVRVYDVASMSCSYVLSGHTEIVVCIDTCISSSGKTLVVTGSKDSTVRLWDMERRSCIGIGKGHLGAIGSVAFSKKSKNFFVSGSSDRTIKIWSWDDTLDDIGSEVPLKAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSPVEQCVITSSGDRTVKIWAVADGSCLKTFEGHTSSVLRASFLSHGTQFVSCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGKKTEMLATGGTDAVLNLWHDCTMEDKQEDFRKKEEELLRGQELENAVSDYDYAKAIQLAFELRRPHRLLELFTQLCRESDLEDPIEKALIGLPKEGLRVLLEYIREWNTKPKLCHVAQFVLFRVLRSLPPTDILEIKGISELLEGLIPYSQRHFSRVDRLVRSTFLLDYTLTRMSVVDPDIDEGTTRDDANGSSVENCEIAQAKPDALVAEENLQKSVKKRKSSKSSKKGGKKVKIASTGGSKDVPIEA >OGLUM04G23530.1 pep chromosome:ALNU02000000:4:27269221:27271252:-1 gene:OGLUM04G23530 transcript:OGLUM04G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRHTACCALRSTPAAMLWDISYVLVKQLPGAPSPPVSAWPMPRRQGRCRQAPLPLKKPTTTVMPLPQLDGHDLAPTSWSSEVSKALEDIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMAHARMADMGGGVMAPMPAPSTHYPARDRELLAGSADAGAGEEEPSADAAADEEVEREGAALAASSAWSTSRRRRKRPPATSSDVAWTVEDAVVDDELRSGTWMTKDTPVGEELRRGMWMTKDTATGDELRRGIDSGGCGRRRRAPAWGVDDRGRSRRR >OGLUM04G23540.1 pep chromosome:ALNU02000000:4:27276129:27277310:-1 gene:OGLUM04G23540 transcript:OGLUM04G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCCHDAGVKKGPWTEEEDRALVEHIKKQGGHVGSWRGLPRAAGLNRCGKSCRLRWTNYLRPDIRRGNFSDDEERLIIRLHAALGNKWSTIATHLDGRTDNEIKNYWNTHIKKKLLRMGIDPVTHQRLPPDLLADGGGLGAASPLLSPPRPAAAAALQPLLSAVASLGSLDTALRQFQLLQHLLNSITSSSSDVTATAGLMATNLAATNTMVNSSSNVASFQEQMNALAHANYKPGYLRDVVPSFPGQDMAPQLNSTNNSTPSTAPVLRSSAEPADQCCNDAALVPETYPREVAASVDHWKVQDFPSLEPLELPNLSTLESDLDPFWKEILESSFRS >OGLUM04G23550.1 pep chromosome:ALNU02000000:4:27278166:27278898:-1 gene:OGLUM04G23550 transcript:OGLUM04G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDSMGHEGGVREIEIWPVYLLGSHEHGAAPPQSHADWAENSWAGRPKRRRNLNKIIGSHAMHYTDGSPRSDVTELTLGRFIFIISDYQS >OGLUM04G23560.1 pep chromosome:ALNU02000000:4:27281248:27282706:1 gene:OGLUM04G23560 transcript:OGLUM04G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSQSHELETDVPASELWKIYGTLRAAELLPELLPHILAKVELVTGDGGVGTIVRLTFPPGIPGLQSYKEKFIKVDNKNYVKEAEAVEGDILKLGFLSYMIRFEIIRKGANTSVIRSTIEYEIGDEHPELQAMVSTASLAATAEKFAEYIKTQKVAQANT >OGLUM04G23570.1 pep chromosome:ALNU02000000:4:27284376:27285187:1 gene:OGLUM04G23570 transcript:OGLUM04G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVCHELETGLPAAEVWEVYGGFLVAQLLPQLVPEVFSKVELVEGDGGVGSVLHGRIHERKFIKIDNENYIKEAEVIEGGFLDQGFKKYVVRIEIIGKTDNSSVLRSTIEFEAEDASKASSVSTGGLAAIAEAVTKYMREQRSSAEPEQVPRQTSDEETF >OGLUM04G23580.1 pep chromosome:ALNU02000000:4:27286572:27291628:1 gene:OGLUM04G23580 transcript:OGLUM04G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKSSRGKGGPRPGPKPASQNPNPVSEIPHDGGGGEAVDAAAEAVGRLDVSACPTAEDAPVELPPSSQPPLEASSSGRDELGGSLEEEAVRKLQELVGFGGEEVELTEEEAAANDQRQEDEIFALEAIFGDNVVIFNKKGGQRSFQVHVYIEIPDGIDVSARLGYGSGSLKYGAGHDTDASDDLVYKFRVEHLPPILLTCLLPSPYPSHQPPLFTISAEWMNKMMVSSLCQMLDTVWEEQKGVEVTYQWAQWLQSSSLSHLGFASEIVLSSDSAYDHECGDKRALSHNAAPDVIIPRMMRWNDDKCHEAFLRAIHDCMICFSEFPGTDFVKLPCHHFFCLKCMQTYCKMHVKEGTVVKLLCPDTKCGVVVPPNILKRLLGEEEFERWEGLLLRRTLDSMSDVVYCPRCETACLEDGDNEAVCSSCLFSFCTLCRDRRHVGDKCMSPEEKLLILEKRQEAGKLQGDQHKFLEELRSIKAIMKDSKMCPRCKMAIHKIEGCNKMSCSNCGQYFCYQCNSAIEGYEHFRGSCKLFPQEELDRWNMQMNPRVQRQNVAQVQAEMFRQFAHPCPTCRQPCPKMGNNNHVFCWACQKHFCALCRKTVHKTSQHFGPKGCKQHTADP >OGLUM04G23590.1 pep chromosome:ALNU02000000:4:27292146:27296740:1 gene:OGLUM04G23590 transcript:OGLUM04G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRHDDESHGGAAATALLHEMLLRARRDGEEPELSDEQLRSNDQLQEDEMLALEAIYGDNISILSAKDGLRCFQVHVHCEIPDGISVSEELSRDDNRDQNSRFFDTFSVQHLAPISFTCLMPPSYPSHHAPYFTLSSQWLDTVKVSSLCLMLDTIWSQQLGLEVVYGWVQWLQSSALSHLGFNDGIVIQQPDSMMGPVDVRVVAEIVSVESAVQWLISYNEEQCHESFLIGLHDCMICFTERAGIDFIKLPCGHYFCQRCMETYSRMHVAEGTVLKLLCPNDKCGGIIPPSLLKRMLGDKDFERWERLTLQKTLDSMSDVAYCPRCVTACLEDEENNAQCSKCFFSFCTRCRYLRHIGERCISPEEKLLSLQDRNKVRQLSKGNFARRINLANEISSIKEVLRSSVLCPHCGTAISRVSGCDHMLCSNCRQPFCYACGKPLHRGHSSAPSSLSEQCRIDQENSAGETLKVNPSSLITEMKKELVEERSRQHPCPTCRQLNPKMGNNNHIFCWACQVHYCALCRMVVRKSSEHYGPRGCKQHSVDP >OGLUM04G23600.1 pep chromosome:ALNU02000000:4:27296968:27301075:1 gene:OGLUM04G23600 transcript:OGLUM04G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKRKLDDESGGEAAAAGLHLLLHEMLLRARREGEEPDLLPDEQLRSNDQLQQDEDKIFCYSASGLRKLPMLALEAIYGDNIGIFSAKAGLWCFQSSALSHLGFDDGILIQQPGSMMGPVDVRAVAEIASVESVAQWLISYNEEQCHESFLSSLHDCMICFTEYAGIDFITLPCQHYFCRRCMETYSRMHVTEGTVLKLLCPNDKCGGVIPPSLLKGLLGDTDFERWERLILQKTLDSMSDLAYCPRCGAACLEDEENNAQCPKCFFSFCARCRDRCHIGEKCMTIEEKLNSLQSVYTSRKCSVLLFDVHIVVLPSPEYQAATICYAVTVGNRSAMAVARQRIMAIQDGKQQPYVLLGMPGSLLCAVPQDGSEELRALWSPWMRAAQCRP >OGLUM04G23610.1 pep chromosome:ALNU02000000:4:27301187:27301744:-1 gene:OGLUM04G23610 transcript:OGLUM04G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPTRQMVPALAILALSVAGLAVAAAAAPPTRRGQEVHLFEATVRVADDGVEDPDEYNYRLLATVLGSVEAAQSVTYETYPGTFSAFLTNNQARRLSKVPGVLEVRQRDDPVPTDGQ >OGLUM04G23620.1 pep chromosome:ALNU02000000:4:27302919:27306094:-1 gene:OGLUM04G23620 transcript:OGLUM04G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASAVASSSSSPALEPHPDLSPVFSSPSAASSSSSSSRDEGARGVSCEGGGGDDVFDLDAPWVAAAEAESRLEEAVTAAAAARVGLCCTEEKGKGKGEEEEDEIRDNRQRQEDELMALEAIYSDDLAVFGKKGGLHYFEIYIHYDLNDGAEVCAKLSSANEKNPKDGRCCVGIEGHGDEPEDFSYTCNFEYLPPLVLTCLLPLSYPSKEPPYFTVTVKWMDGPNVSQLCKMLDTIWAELPGQEVVYRWVESLRNSSRSYLWFDGKITLGPDTPMQKGDNRAISRSLSLESVIPSMLSYSSKKRYQAFLEDLHMCMICLSQSKGSNFIRLPCQHLFCVKCLGTLCRMHVKEGSVFQLVCPDTKCNASIPPYVLKRLLTEDEFECWDRLILEKALDSMSDVVYCPRCVIGCLEDEDNNAQCPKCSFFFCSFCKEPCHPRRQCLTPEEKLQRRQASGRMSEREVAQEILNIKALYNDVRLCPKCRMAISKTAGCNKMVCGNCGQFFCFRCGKAIKGYDHFSECKLFAPRDISAWERQMEEQYGNHVRLSLRPVGGTIRCPKCRERNFKDDEKYIFCWACRANYCTMCRREVQDKRGHFGSPECVGLEDF >OGLUM04G23630.1 pep chromosome:ALNU02000000:4:27309264:27310589:-1 gene:OGLUM04G23630 transcript:OGLUM04G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRAPCCAKVGLNKGSWTPEEDMRLVAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIASCLPGRTDNEIKNVWNTHLKKRVSPEQKKGGGKSKKKTTCTDVLVPSPSPSSSTTTTTNCSSGDSAGEQSNTSKEEEEETDKIEIPMLELDPCCFDFDMLVDPVVPDTYCPAVSASASASAPTSPCSSTSPSCARAGVDPLLDLPEIVDLGPELWSIMDGGSGDGCTEAPPPAWSNAAAAAAANATVATTTSLEEEEGKEWWLEDLEKELGLWGPTDDYHCHPGPQGQPGRAGPPPSAVVEDPVSCYFQAGPTAAATWQGHEPSAVITSNPMDYYV >OGLUM04G23640.1 pep chromosome:ALNU02000000:4:27328113:27329502:1 gene:OGLUM04G23640 transcript:OGLUM04G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQLSLSAMPRLYQEEEDGDDLEAKPEKAPVARPSAKERSVHLIPLLTALCFVILFLFSHDPSASEMSSFGGKVGNRKHKLF >OGLUM04G23650.1 pep chromosome:ALNU02000000:4:27330916:27334220:-1 gene:OGLUM04G23650 transcript:OGLUM04G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTFSTVKVSNVSLKASLRDVKEFFSFSGDIVHVEMQSSDELSQVAYITFKDNQGSETAMLLTGATIVDMAVIVTPATDYELPASVLAALEPKDSKPSALQKAEDIVGTMLAKGFILGRDALDRAKALDEKHQLTSTATARVSSFDKKMGLSEKISVGTSAVNDKVKEMDQKYQVSEKTRSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFNKVANAANDVGTKAKEKIASEQEHKTVELESAEPNSSEGHGTQKDVDGEFAKIQVSESPEDIPISTTATVPITDEDSSQASPPAASPKKPEPAQGLIL >OGLUM04G23660.1 pep chromosome:ALNU02000000:4:27336485:27341851:-1 gene:OGLUM04G23660 transcript:OGLUM04G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNNGGPTVAVKLYIDKEKKKVLFAESDKEFVDVLFSFLTLPLGTIVRLLVKQSQIGCLDELYKSVEALSEDYFQTKACKAMLLRPRNAAGSHRDRLKVKVDDTNERLIYVCPTSSCDARSFSSFWGVCNSCTVTTTLILREKPVDCRTVESNDDGVFVKSDLKFIIFDDLHVAPASTSTMFPLLGKFGLLEQRNIEEKVLELNSHKIINLLKRALVSKQSLTGLLCDHPVETDSVNLDHLREKLFPKQENTTDPKFNAVRITIVQTKDDSSVLYAEVGYDFVDLVFGLLSLPLGSTIKAYGQVTSGGSNGLDNLYRSINGSGIGYVKQECQSLLLSPMLAPFFGCGSSVLLQVQESPIKSCSLRVIRAAKIPNEMLVKKELTLDRTQVLKLLRAALVTRNALSSPNPQQQKHSFLPAPPTQRTRFTSSSSSTTTTMAETKIEGPTIAVKLFVNKERSRVLFAESDKDFVDVLFGFLTLPLGTVVRLLGRQSQVGCLDELYKSVEDLSADYFHTKACKAMLLKPHNTAAEQCCQLKVKVDDTDHTAVYVCRYANCSANGDCGVTSVAGSVCKCGKVMEYIGEWPQDGGSTAAAGSDGGVFVKGCYKFIVTDDLHVAPASTSLMMSIFDKYGVRDPANLEQKILQLNAEKITCLLKRSLTSKQTLTGYYFDVPNPNDEANLYVLPESLYSEQDAEVDHKLNNMKIKVLQKKNNTSLLYAEVGEDFVDLLFGLLSIPLGSILKTYGKWSSNGCVDNIYMSIDGSAKGCMNPERQMLLVSPNVASFFGCSATNMLIQLGEAAPKQRNISGCFKCFKIAGFSCYGHCSDQIWNTGKKAYVFKNCLGTTKTCKLCEINPKVPSGGSHKGEGYVKPGVQKFMVTDDLHILPLSLTSTLQVVSESKVQAKDLVEKEFTLTKIQVMELLRAVLVTRNTLSSVLLPPKKKKRLHLQSSLY >OGLUM04G23670.1 pep chromosome:ALNU02000000:4:27344719:27347860:1 gene:OGLUM04G23670 transcript:OGLUM04G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEHLGARAAAAAGEDDQSPVEQVRLTVPTTDDPSLPVWTFRMWTIGLLSCAMLSYINQFFSYRSEPIVITQITVQVAALPIGHFLARVLPKRKFTVFGRECSLNPGPFNVKEHVLISIFANAGAAFGNGGAYAIDIINIIKAFYHRSISFPTSLLLVITTQVLGYGWAGLMRKYVVEPAHMWWPQSLVQVSLLRALHEKENLRMTRAKFFLIALICSAAWYVVPGYLFPTVGAVSWLCWAFPRSVTMQQIGSGMSGLGVGAFTLDWATVVSFLGSPLVYPFFAIINVWVGFVLLVYVMLPIAYWVLNLYQASTFPFFSASLFDHTGEEYRISEIVNDRFELDTDAYARQGKIHLSLFFATSYGLGFATIAATLSHVTLFYGTEMYRRFRQAAREKPDVHTRLMRRYDDIPNWWFYGMLALAMVAALLLCTVFKDEVQLPWWALLCAVAVAFFFTLPISVITATTNTTPGLNIITEYVMGLIMPGKPIANVCFKVYGYISMNQAVSFLTDFKLGHYMKIPPRSMFLVQFIGTIVAGTVNMSVAWWLLSTVPHICDKKHLPEGSPWTCPGSRVFFDASVIWGLVGPRRIFGPLGYYGALNWFFLGGLAGPAVVWLLARALPRHAGWIRLIHLPVLLGATANMPPASTLNYTAWCSVGAVFNYLVFRRRKAWWQRYNYVLSAAMDAGVAIMGVLIYFCLSSRGITPDWWGNSDINIDHCDLSTCPTAKGVIVEGCPVF >OGLUM04G23680.1 pep chromosome:ALNU02000000:4:27351856:27354399:-1 gene:OGLUM04G23680 transcript:OGLUM04G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF760) [Source:Projected from Arabidopsis thaliana (AT1G32160) TAIR;Acc:AT1G32160] MAAWADAALLRASSSPASATAAASSSSSSCCLARPRASLESRLHRRKSFLYTSSPKSGFLINSCRARSLKVKAKMDSGDGLTRLAPLMFETPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQEKEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMENDISMTPALAPLGGPVRDISSLPNQEDRLQSIHSPDALEMIQNHLNLIMGEKVAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKNMKTLPPNPKQQIVLENLKPNPFWDMESLVQITPDGEEIDLDDEESNPNKLRSYVSRLDADTLQRYATIRSKEAVSLIEKQTQALFGRPDIKVLDDGSVNAKDGQMITITFIELTHLVLEAAAFGSFLWEAESHVESKYHFVNS >OGLUM04G23690.1 pep chromosome:ALNU02000000:4:27355190:27358425:-1 gene:OGLUM04G23690 transcript:OGLUM04G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHTQPGPDVTSEVRMEHRHISTIRPAQTPPRWSSSPTQADEPTAADAARRRTSPDPEHPYSLEELNVVTEDSVEINDELSHVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPPRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLLDIVEECLSPTLLLNYAVS >OGLUM04G23700.1 pep chromosome:ALNU02000000:4:27359792:27370727:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSTPLRRAINAAALPRSGRRTPTPVTLQYPLLPRIISPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVKRTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >OGLUM04G23700.2 pep chromosome:ALNU02000000:4:27359792:27370727:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQLILPALTLSVPSRVVRGRCVSAICFLDRRELRQINPIAPRHQRRRAPPLRSPDSNSDTPPGLRFKDSPIGTLASPLAVDVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVKRTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >OGLUM04G23700.3 pep chromosome:ALNU02000000:4:27359395:27364143:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRAKATTQEKKTL >OGLUM04G23700.4 pep chromosome:ALNU02000000:4:27359792:27370727:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRRCVSRMPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVKRTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >OGLUM04G23700.5 pep chromosome:ALNU02000000:4:27359395:27364143:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVKRTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRAKATTQEKKTL >OGLUM04G23700.6 pep chromosome:ALNU02000000:4:27359792:27364143:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >OGLUM04G23700.7 pep chromosome:ALNU02000000:4:27359792:27364143:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKVVSGPDYDDEYDDDYDEYDEDYDDYGETGHSEDIQHPVKEEKESSKKSSSTVPVLWRCSMCMFDNHESMVYCEMCGVFRESFMKSAKDGSIKVHGIPSDFGTPSMPKSDCTKMPVNTRTTDFDGDPEIKNASISHEKVGSTQYTSAGSSSGAGKKVKHIALPEDVPVKRTAQLISDHFQLKEDQSSRASSSAQNEDVAQKLSSDIQKLGLEKNEVDTAKPYLPEEYKPEKWMFANEESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISKKDMHKNEKEAKEKGKGSFAYAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDACTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLIVAVNKMDAIGYSKERFEFIKVQLGSFLRSCNFKDSSVTWIPLSAVENQNLIKIPSDVRLTSWYQGFCLMDAIDSLQLPSRDVSKPLILPICDVIKSQSTGQFAAFGKLETGAIRIGSKVLISPCGEVAAVKSIERDSNSCDIARAGDNVAGIDGSKLIPGGILCNPGFPVPVSNFLELRVLVLDVTIPILIGYQVEFHIHHVKEAARVTKIVALLDKAGKPSKTAPRFLKSKQNAVVQVTLDAPVCVQEFSKCRALGRAFLRSSGSTIAVGVVTRVLRQDQN >OGLUM04G23700.8 pep chromosome:ALNU02000000:4:27365620:27370727:-1 gene:OGLUM04G23700 transcript:OGLUM04G23700.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRFNSSSQPSPSAFPAEWCAAAASVQFVFWTGESSGRSTPLRRAINAAALPRSGRRTPTPVTLQYPLLPRIISPGSHEPAPANPSHPPPAAAAPMEMAGGKHSTTDTPPGLRFKDSPIGTLASPLAVDVPTQLLLLELKPLSS >OGLUM04G23710.1 pep chromosome:ALNU02000000:4:27379832:27380229:1 gene:OGLUM04G23710 transcript:OGLUM04G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEPATATTVVLPVAEPDPDSRHDAAVAAAEEPVHGEADEEDEDEMASSRTSSEGWEQNSSDGERRMGVKSFCFHLERLVRDVHVCQALQQAQQLVLRL >OGLUM04G23720.1 pep chromosome:ALNU02000000:4:27381363:27382942:-1 gene:OGLUM04G23720 transcript:OGLUM04G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQ29] MAARSFSSASTTTILLRSGRWRRTMRAAAFPFRVSCSAAAAAAAGGTVVIGLAADSGCGKTTFVRRLTSVLGAGTAAAAAPPWGGNPGSNTLLGDAATVICLDDYHSLDRAGRKERGVTALDPRANDFDLMYRQLKTIKEGRAVAKPIYNHATGLLDPPELITPPKILVVEGLHPMYDERVRGLLDFSIYLDISSDIKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTRLIAAADDDGDEGKVLRVKLIMKEGVEHFAPAYLFDEGSTISWIPCGRKLSCSYPGIKFSYFPDTYFGHEVSVLEMDGKFDKLDELIYVESHLSNLSTKYYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQVVAERAASPPALAAVA >OGLUM04G23730.1 pep chromosome:ALNU02000000:4:27384454:27387687:-1 gene:OGLUM04G23730 transcript:OGLUM04G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCAMP family protein [Source:Projected from Arabidopsis thaliana (AT1G32050) TAIR;Acc:AT1G32050] MHHDPNPFDEGNADDNPFSNGGGGGGGGGSRQQYGFRPTEPAGFGAGRGDATVDVPLDTMGDSKSKARELSSWETDLKRREADIKRREEALRNAGVPMEDKNWPPFFPIIHHDIANEIPANLQKLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHVIIGIFYFVGFALFCLETLLSIGVLQRVYMYFRGNK >OGLUM04G23740.1 pep chromosome:ALNU02000000:4:27396379:27397372:-1 gene:OGLUM04G23740 transcript:OGLUM04G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVCRPVGGARRERRAVPRRRPAAAAVGMHGGSPVERRRRLVFRRRSLLYVHDGDVEELAAEADGDGDGGHDGDGDGGHGPVRNLTAMANVATLFRHAMIRGCSAMNSPESEETCEEENEPRLNMAMPWPASERMEMDFMRLISHLRTAPSIGEAAVGRVAQGTKVLAEGGHDRIFRYVFSAPPDEQLRRSYACYLSTSAGPRLFLIRRAAARHVG >OGLUM04G23750.1 pep chromosome:ALNU02000000:4:27397598:27398491:1 gene:OGLUM04G23750 transcript:OGLUM04G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVDSIHGDRDDATLLAVRSNAAELGRYVLALRLDGSSPPAQIKLPHPSNLGRVAKTSVEMLLVSSPDGTLSLAVARRHEISIVVIEGRQRGEAAAVFVVVEGRRRGEVDAPCRGGPGKGGGVGGARGDTAAIDGGRGGPARVLRQEQRHGALPDVPWVHGCLFELSLATMEVRFIGYYSWPAGEEARRAPPCREVAPSRRCCARRPWMRAGRWAATSMAAGGHCLVRRTGQLLTHHAVSGHGGGAQPWIEHDDKLLPLHAFVGFASMFQAVVSAGGGGGFMWSQSMGLKWIQST >OGLUM04G23760.1 pep chromosome:ALNU02000000:4:27414750:27418547:1 gene:OGLUM04G23760 transcript:OGLUM04G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCVWGSHTPLSLLSPPAAWAGERMEGDQAGGDLTDIVRAGGGAMPGSVVVDLQSTAAEWQLPAEPMLFPPPPSLSSTTDGCGAGGAAGADIFGGGGGDLFSGLVDPFSSDYSSGADFLDAMPDAMAKVGFDTAVGGGCGGGCGGGGGGGGGSGGHLLDMSRKPLLPRGMPMAAVGGLAAPRVMPSPLSPRAIRPYPPISAGDMMKLGITAGQAAGCAIDAAVAGMQMSSPRSGGIKRRKNQARKVVCIPAPTAAGGRPSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSHHSKNSGGGGGSGSKGSQNDKSQQQPSVKEEQKDQATTATTTTTSTITTTNSASPVVVKEEEAALAGSSEALELERVMDTTAAGVVDHSELMDHVFSESYKPMIPETGQPDDFFADLAELESDPMSLIFSKEYMEAKPSGGDHAQEKAMAKELDPFDMLDWSTTTNSSAGSSFEQGKRG >OGLUM04G23770.1 pep chromosome:ALNU02000000:4:27427461:27430651:1 gene:OGLUM04G23770 transcript:OGLUM04G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRTPLLADDETCGHRTAECRGVFPFIDFCSAEDCEASSSNQSLVSQEDRGQEVAEVQKVESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLMPILGAAVADSCWGKYTTVLAGFSIALVGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLISIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAAWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVRTTEKCFDDGMQNMRRRRGSTAVVVRHSASST >OGLUM04G23770.2 pep chromosome:ALNU02000000:4:27427461:27430651:1 gene:OGLUM04G23770 transcript:OGLUM04G23770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDADHERRRPEQGALRTPLLADDEASSSNQSLVSQEDRGQEVAEVQKVESCSNKALIIILSLQFLEITAFYGVYLNLIVYLQDVLHGDSASNVATVSSWVGTAYLMPILGAAVADSCWGKYTTVLAGFSIALVGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLISIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAAWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVRTTEKCFDDGMQNMRRRRGSTAVVVRHSASST >OGLUM04G23770.3 pep chromosome:ALNU02000000:4:27427461:27430651:1 gene:OGLUM04G23770 transcript:OGLUM04G23770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTICRSTMALSASLTDTIRTQGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLISIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAAWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVRTTEKCFDDGMQNMRRRRGSTAVVVRHSASST >OGLUM04G23770.4 pep chromosome:ALNU02000000:4:27427461:27431031:1 gene:OGLUM04G23770 transcript:OGLUM04G23770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHTICRSTMALSASLTDTIRTQGMVTITASATLPSLRPPSCGQSAYCVPATLSQKLVFFTGIYLCALGIGGAKAVLIAFGPEQLDDDDGGGKNERVRERKASYFSWYYAVANVGMLTAGTMLVWFEDNVSWGFGYGLCASFVAVAVVVLAATAPMYRILPPAGSPLKSVIQVLVAFSHKAKLTLPDDPTELYEDDGVKNSLQHPVHERLEHTNQFRCLDKAAIVSDEDLEDGDRWRLCTVSQVEEVKILLRLISIWLTSAVYFIANTQAQTTFVQQGTKTDGRIARGAFSVPAASLSSFQMAFVAVFVTLYNRAVVPAARRCLGRAVAFTPLQLMGFGHATAVVAVGVAACTEARRLHAARAGAPAMGIAWLLPQYLVMAASDASLTVGQLEFFYDQSPETMRSASTAFYFLAISLGNLLNSQLVTLVAKVTAAWGNAGWFPLDLDDGHLDYFFLLIVAITAVNFAVYVALAKNYTPKKVR >OGLUM04G23770.5 pep chromosome:ALNU02000000:4:27430731:27439397:1 gene:OGLUM04G23770 transcript:OGLUM04G23770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILMGRFGQIDYLWAVPSSWNPKLQDDVSLTVPLIQDKKSGSKAPAVVLGFECLESTAFNGIATNLVVYLETVLHGSSLASASNVTTWFGTSYLTPVFGAIIADTFFGNYNTILVSLVFYLLGMVLVTFSAFLPTTALCAVAGSTSCQQPVFGAQTIAFVGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKMSFFSWFYMCVDFGMIVSGLFIVWIQQNVSWGLGFGIATVCVAIAFGGFVLATPMYKRSMPTGTPLKSLAQVVVAACRKVSLRVPADAALLYEVHDKIDQPKITHTDEFSFLDKAAVIVQSDLEEDSNDASAAAAGSWRLCTVTQVEELKILMRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPAASMVSFEVFCVLAWVLVYGSVIVPLLRSFSPANGEPSQLRRMGAGRLLIAVAMAIAALVEMVRLDAAARGESLSIAWQMPQYFMLAGGEVFCYIAQLEFFYSEAPESMKSICTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARNYKVKTVVS >OGLUM04G23780.1 pep chromosome:ALNU02000000:4:27441950:27445931:1 gene:OGLUM04G23780 transcript:OGLUM04G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEIIVAQPSPRLYKSLTFRRIATKERTVPQDEEMKIRSPRFQDESLTAPFILDKKARSKAPTVVLGFECLESTAFNGIATNLVVYLETLLHGSNLASASNVTTWFGTSYLTPVFGAIIADTFWGNYNTILVSLVFYLLGMMLVTFSAFLPTTALCTVVGSSCQQPLLGAQTIAFLGLYLVAFGSGGVRAALLPFGADQFDDDNTADRERKMSFFSWFYICVDFGMIVSGLFIVWIQQNVSWGLGFGIATACIALAFGGFVLATPMYKRRMPTGTPIKSLAQVVVAACRKARLRVPADTTLLYEVHDKINQSKIAHTDQFGFLDKAAVVMESDLEEESNDVAADASWRICTVTQVEELKILLRLLPIWATSIVLSAAYAQLNTTFVQQGAAMNMRIMSFTIPPASMVSFEVLCVLAWVLMYSSVIVPMLNSLSLANGEPSQLQRMGAGRLLMAFAMAVAALVEMMRLDAAGRGESLSIAWQMPQYFALAGAEVFCYIAQLEFFYSEAPESMKSMCTSLALLTVALGSYMSSFIYAVVNAFTAVDGRPGWISDNLNEGHLDYFFWVMAALCTLNFVVYSAFARTYRVKLVVS >OGLUM04G23790.1 pep chromosome:ALNU02000000:4:27447556:27451004:1 gene:OGLUM04G23790 transcript:OGLUM04G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHALPRRDLQALCKRNGVRANMTNAAMADALAKLATVDGIGEFVKQAVALPPAPATKPAVKAVAEEDPREKKGSPLPRGGRVTVSSPEVVNLDDSDEEAPGQSKDAPLPRGRRGTVRSSKLIRPDDGEEEGKEDENRGENAPVHGEGWRGASRRARAEPVVAPTTRRRAAPTSKIETGDVAVEAPPAPTTRRRPQTSTEAAPAPTTRRRAQSTVAASAEEKVPRGRRTTRRAAAKKPDMLEEETREPLAPEQNSAHEEPVQEEQGIEVEVPAETVEAVAQECLPDPDAVVEEKPVQEEEGIEVEAPAETVEAVAQECSPDAVVEDEQAAVEAEQTISQDDSPIFGIVTGTIVGASEEAPVCNSECPKNMATEESSDTVSEEKEAVPADEVPLVTVTCGEATRDAELPTNIGNAKEEDDDEMEAAHEAGFAVEVDGSETVDELIGTLTEHADNAIQLNFSAELSCADEEAGVFATDDLQQSSATVKTMVADSEANEEEDALEAENEVGFAVEEKEVRTGDEPHETLSNDADGAIQLGEDALEAANEAGCAVEEKGVETVDEPHDTETNAAENAQEEGVVASEDLLQISETEQDEFNSDICHAVEHNERDNVESVSSEREDVSMENAFTGDLTLKFHGPGDLGDRNTSLLEEGARTLPLSTETPNNVTDAVVTAAEEMVSETMGISNKKSTELAAMEDGNEVKVVEKQKEDPVELVKLSLRTLRAKLKEKLTKHKRKEAKRVALGRLDENVC >OGLUM04G23800.1 pep chromosome:ALNU02000000:4:27451491:27453105:-1 gene:OGLUM04G23800 transcript:OGLUM04G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVASSKGRVIAGSFVARVLAGKAASPRRFVSASAYDKNVEEQVRPAVVPDDVIGSVGSPDKYWGPHPTTGVFGPAAVDAKAAAAGGAAKAGANGGASVLDQKVWFRPLEDVEKPPVA >OGLUM04G23810.1 pep chromosome:ALNU02000000:4:27456067:27456567:-1 gene:OGLUM04G23810 transcript:OGLUM04G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPETWHGEGVSYDTCCRDASPSASGAVPMCIIFKASTVRGAHARKGSYCPCRSMSHCPVLILILGCHHVFSNAPPVRIPNDQSVAADSLTPPIGRNLHWQANAFALFGLFLVVVDMGGDKFERCLKILEFWKLRSMNIWTISEQYCLLNDQ >OGLUM04G23820.1 pep chromosome:ALNU02000000:4:27458635:27460681:1 gene:OGLUM04G23820 transcript:OGLUM04G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLDPTQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARIMRNRSMAKEMAGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGEVEIPSA >OGLUM04G23830.1 pep chromosome:ALNU02000000:4:27461250:27463929:-1 gene:OGLUM04G23830 transcript:OGLUM04G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:floral meristem identity control protein LEAFY (LFY) [Source:Projected from Arabidopsis thaliana (AT5G61850) TAIR;Acc:AT5G61850] MDPNDAFSAAHPFRWDLGPPAPAPVPPPPPPPPPPPPANVPRELEELVAGYGVRMSTVARISELGFTASTLLAMTERELDDMMAALAGLFRWDLLLGERFGLRAALRAERGRLMSLGGRHHGHQSGSTVDGASQEVLSDEHDMAGSGGMGDDDNGRRMVTGKKQAKKGSAARKGKKARRKKVDDLRLDMQEDEMDCCDEDGGGGSESTESSAGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRLFLLQVQSMAKLHGHKSPTKVTNQVFRYAKKVGASYINKPKMRHYVHCYALHCLDEEASDALRRAYKARGENVGAWRQACYAPLVDISARHGFDIDAVFAAHPRLAIWYVPTRLRQLCHQARSSHAAAAAALPPPLF >OGLUM04G23840.1 pep chromosome:ALNU02000000:4:27474704:27480264:1 gene:OGLUM04G23840 transcript:OGLUM04G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRRASTPAAAAAADPAVAAWPLTPLVLALAVFSAMPHVTLSRGRENRWPPLEVNSQLTTTKNLSCDEVPYPFGLKGKSAPGFRVTCRKNDSAAMLRIGHQKFRIDQVSSQEGFVVIFAGPIYRLCYDRNGRPAVGSTGIGPTNLTDTPFFFSERNTLVATGCYSSFNATFTSSRRHQGWSTNGSCTTKCSVNSDASCPGTACCDAYGMPLDDAQEVTFEFNKTSASVAGTCSAAFILYQEEQIFKVSGNSKPMHLHQEEQIFRVGGGDSKPVHLEDVLVPLGERRMVLDWVIGRATCKQARNNSFKTQYRCNNESSCMDRFVGEGYVCRCKAGYDQHNGNPYEAGGCQDINECRFAGYNNCSYFYFCQNTPGGYTCSCPKNMTGDGYRTGTGCIDSHPPSGVGLVLMATLATVLLCYYWTMKKRKVARNRADLFRKNGGLLLQQRFSMMTSQSEGSSAKIFSAEELKDATDNYSESRILGRGGSGMVYKGILPNNTMVAIKKSILFDESQLEQFVNEITILSQIDHPNVVKLLGCCLETKVPLLVYEFIPNGTLFQHIHNRSSLRWEDCLRIAEETAEALDYLHSTSSTPIIHRDIKSSNILLDENLMAKISDFGASRSVPFDQSHVTTLIQGTIGYLDPEYFQSSKLTEKSDVYSFGVVLAELLTRQKPISASRPEESCNLAMYIVNLFNERRLLQEIEPHILAEAGEEQIHAVAQLSVRCLNLKGEERPVMREVASVLHGLRESFDEEQIIRRSNESIQISNGQDSVHSEARPIPSLQSSGEITTEYSLPAEILPSSYLAR >OGLUM04G23850.1 pep chromosome:ALNU02000000:4:27485525:27489146:1 gene:OGLUM04G23850 transcript:OGLUM04G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRITRVLNHPSAARTTQTRRCPLLRACAPRNKSNKIDPFATKLRLMAPGAKIPSIPSPPAVVVTVAPISLLMADVLRRRGLQLPRTASSRCGRPTCARGRRDAAAVAGVACFGVRGEMQQQQE >OGLUM04G23860.1 pep chromosome:ALNU02000000:4:27490040:27495434:1 gene:OGLUM04G23860 transcript:OGLUM04G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMPCRRASSTPVATAAAPALALGLALLAATPHGVLSQGIMALPPLPNPPPPINLTCDDIAYPFGLRGKYAPGFQVTCGPNNEAMLPIGGHSFRIDQVSLQEGFVVIFAGPISQLCYDRNGRPAAGSTRIGPTNLTGTPFFFSKRNRLVATGCYHSFLATFTSSLPNQSPSSIGGCETQCSGNPDGLCPGTACCKAEMPTDGAQEFTFKFSRTSASVKGEEAGTCSAAFILDGDEEQIINISGDGRRMPFGERRMVLDWVIGRNTCEQARSNNYKPQYHCNNVSSCIDASSGAGYFCRCKAGYNQYYGNPYEAYGCQGVGLALTVTLATTLLCYYWTMKKRKVARKRAELFRKNGGLLLQQRFSMIPSQGESSAKIFSAEELKNATDNYSESRILGRGGNGTVYKGILPNKTTIAIKKSILFDESQVEQFVNEITILSQTDHPNVVKLLGCCLETKVPLLVYEYIPNGTLFQHIHNRSATLSLTWEDCLRIAEETAEALAYLHSTSSTPIIHRDIKSSNILLDENFVAKISDFGASRSVPSDHTHVTTLIQGTIGYLDPEYFQTSQLTEKSDVYSFGVVLAELLTRQKPISVGRPEDSCNLAMYIVILLDERRLLQEIEPHILVEAGEEQIYAVAQLSVRCLNVKGEERPVMREVASVLHSLRESFAKEKAIINNDESIQITYEQERVHSEARPMSSLQSSEETTTQYSLPSEILASSHLAR >OGLUM04G23870.1 pep chromosome:ALNU02000000:4:27496032:27506083:-1 gene:OGLUM04G23870 transcript:OGLUM04G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMMLYLLLLPLLLLTCIFASYVHSMESSTSKCSNIPIPYPFGILGGNPAPAQGFEITCASSGPMVRINNIMFGILNISLLDGFVSILASATSQQCKRNSSFSLEGTNFTFSDTRNKFTALGCDMVAMLLNGSSGYSGGCASFCSTKSNIIDGMCSGVACCQAPVPKGLKKLELEFTNITGQLSRPKEVNNTPTCGEAFIVEQNSYVFSSVDLSNTNRNNPQYRPVVLEWSIDGGYCEEANRFMSYACKENSYCYNSSNGIGYRCNCSLGFQGNPYLQGPDGCQVTGLALLLLLLVLIFWTHWLVKKRKLAKIRQRYFMQNGGMLLKQKMFSQGAPLRIFTSSELEKATNSFSDDNIIGRGGFGIVYKGILSNQMVVAIKKAQRVDQNQMEQFINELVILSQVNHKNVVQLLGCCLETELPLLVYEFITNGALFSHLQNTSVLISWEDRLRIAVETASALAYLHLATKEPIIHRDVKSSNILLDENFTAKVSDFGASRPIPHNQTHVTTLVQGTLGYMDPEYFQTSQLTEKSDVYSFGVVLIELLTRQKPISNGRTDDVRNLACHFSMLFYQNQLLEIVDSQVAEEAGTKHIKTVAQLALRCLRSRGEERPRMIEVAIELEALRRLMKQHLVLQTEEDPLLCESGCGKLSTDASDYNIIIYKLLKKKKAKNIIIFSYGDLLRSNKKYLKVVLGISIGLGDSVGLDRIAVAGARGSSRVQRLAGVTVVRGRRGRLPRCPNSSVDIPHPFYIDTSSDLNVTKGFAISCGQSGPMILLDAGGGNYSVLNISLLEGYVRVSGQALYSYQCHNSSQGFLDLTPTSYMFSPTQNKFTAVGCDAMAMIRDVRNRSLNDTVSQYLGGCVSFCATEGSIVTGECSGVGCCQSSVPKGLNNLVLEFTSIRDQLMPTSSVVGEDEGSRWCSKAFIAEQDTYVFSRDHLDRDLGKLHMVLDWYIKSGNCKEATRSRQTYMCKENSDCYDVEDGGTGGYRCNCSEGFAGNPYMQGLGGCQGGSLGLMGVLLVLGFWTYWIVKKRRLAKQKQRNFLQNGGLLLQQQIFTHQAPARIFTTSELEDATNNFSDDRIVGRGGYGTVYKGILSDQTIVAIKKSKLVDQSQMEQFINELIVLSQINHRNVVKILGCCLETEVPLLVYEFISNGALFHHLHNTNLVPISWEHRLRIAAETASALANLHLARKVPIIHRDVKSANILIDENYTAKVSDFGASRLVPSNQTHVTTLVQGTLGYLDPEYFYTSQLTDKSDVYSFGVVLVELLTRQKPISYHRQEEGINLASHFTSLAQQNRLQEIVDCVVVKEAGMRHVYVVSHLILKCLKLKGEERPRMVEVAIELEALRRLMKQHLSLKSEKALRELMEQQSAEDCQEMQLLQEESGQEKMSKIEPLKLYHRDIASDKCMESSPLLSMDLPW >OGLUM04G23880.1 pep chromosome:ALNU02000000:4:27502629:27513412:1 gene:OGLUM04G23880 transcript:OGLUM04G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFRPSFFRRKYTLHRSMYPNVPCTKVVTWVWLCGIGLEAPKSDTFAVKFSSRRCKDACEEEQWQEKKTELHKVLDPYLAVSVRHSNSSTATASARHLIGLNSREAEPADLLQFRAVGRLIARSLVASDPARLAGARRSPIRREREREGGCWDGGRFHLRLRRRRWMMRQWARIIITLKGMRQFQTTLHNLSKWSTSVIRVIKLSPHISKRDTRDVITPEALILAIDG >OGLUM04G23890.1 pep chromosome:ALNU02000000:4:27508522:27513454:-1 gene:OGLUM04G23890 transcript:OGLUM04G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSGGGGGGGGGEGEGCGEAETGITDCSPGIIVWVRRRNGSWWPGRILGPDELPASQVMSPKTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKALTSRGTPVKRREKYARREDAILHALELERKQLASKYQNQGFRSDDISSVPFADMRREFDNSSTEYYSRNNTQKPQFPLGNSASQHCKDLSSTRYKSKKSKKRKGDSSNLPGKTKGLEQNFPYADSKRDFSESLALEGAENTLSNRNNGSHLGHMQAGPNLGSDGKNTPLTKNISEESVFEESLVKKHDRCRPLAQVVQSSLKLPHSFQRDDDPGPVLIEEGNDPLTTIYQAQQGWSTYMPNDSGETNNHGDIPPTQITSTGAHFETEGYLKQPDSFSAEQKISEFAEKQRSDSCERECSETETEDDAELLQRYAKRQSPGSDACDPYSIQASKKSRHVDGDVADDMVAFSTGIPQQNVLKDEDGSSELGVSQWHMKGKRNQRSALKRPMGKTDGNISLDRSNSSLKGSLYRVNESNPNMESTGASSHQYFGRSFYQTQELDYDYDDADLTNKARGHAEVRYYGKDYPPSLTPTRDLEQSYTSFNNTETYCKTSPPNKNGDQMSSLGRKACLEGASLYRQNYSSQLGYMGPMLFNVDLNVQAGYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVLASDDFLEHSTSASPAWRTGRRTAMPRIPRSNSTRVTLDDGDDEGLWDMNPPFSRSSTPFNQQFRLSKRSNTSFRSPLSHRSQKKPSNSKKGSSSSQKVRALSSISIGKRHHREGRQAKLHNILGDLIKPEGAIPLVTCVPAKVVFSRIMEAVGRPSLSIAHRARVASPAIRDAQR >OGLUM04G23900.1 pep chromosome:ALNU02000000:4:27517623:27519931:-1 gene:OGLUM04G23900 transcript:OGLUM04G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGAGYFEDSHDQSLMAGSLIHDSNQAPASSENTSIDLQKFKVHPYSTEALSNTANLAEAARAINHLQHQLEIDLEQEVPPVETANWDPAICTIPDHIINHQFSEDPQNILVEQQIQQYDSALYPNGVYTPAPDLLNLMQCTMAPAFPATTSVFGDTTLNGTNYLDLNGELTGVAAVPDSGSGLMFASDSALQLGYHGTQSHLIKDICHSLPQNYGLFPSEDERDVIIGVGSGDLFQEIDDRQFDSVLECRRGKGEFGKGKGKANFATERERREQLNVKFRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNRRKVLKLDQEAAADGESSSMRPVRDDQDNQLHGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKANSLLHAAKVLDEFQLELIHVVGGIIGDHHIFMFNTKVSEGSAVYACAVAKRLLQAVDAQHQALDIFN >OGLUM04G23910.1 pep chromosome:ALNU02000000:4:27521884:27528139:1 gene:OGLUM04G23910 transcript:OGLUM04G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:scramblase-related [Source:Projected from Arabidopsis thaliana (AT2G04940) TAIR;Acc:AT2G04940] MRWLPRLLSHAAAAGRATARTTGSHARGTNGFASGRGGGDGAVVPREWLRKLWTEELRRQRDAARRWGRRAVATTDGGGADSFGDFARAPSRSYQHDDRDLTPVEAKLKPLLSRANLLIARDIEWANIVLAFEQPVGFIREKSNVIFRQLFRSRRPFVAEITDAMGNEIFTVRRPFWLINSSIYAEVNGKEVGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFTLTDEDDNVLAQIDRNWRGIGFELFTDAGQYAIRFGDAGLNRKFGLASDIDELHVVRQLSLPERAVALALAVSLDCDYFSKRGGWGLPFLIATE >OGLUM04G23920.1 pep chromosome:ALNU02000000:4:27530664:27544743:1 gene:OGLUM04G23920 transcript:OGLUM04G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERDLGDIVLSWSLGEVMDDDLYRGKVEEIPRSFMSLDHYFKTYAAPLIEETRSDLCSCLELISEAPIFKILSMEEAGKSGLYFMDVDFWDNGAGFSSEAYTARNGDIFILSSMKPESADDLNRYGVTYCLAMVTEVSMDDEFQKGFRVKVAKDVTLQEGFNRLRHAIFLNNIMTNLRIWKAICFDMGMNNNFTVIKSLFAPTYMCRHLNLMKLIWGPPGTGKTKTVSALLWALACLKCRTLTCAPTNVAIVGVCTRFLHILRDFNKNANENFLPFSLGDVLLFGNKYNMDITEDLQDVFLDCRADELVECFSSLSGWRYRIASMASFFEDCGSQYDMLLEDDGRSDPICFLDFIKTQFDVTATALKKCIMNLLIHLPRKCFSHDSISNISMLFDSLEKVEALLHHENLTDDGAKRGFGFLSIQDISCAKSAFIIEKELNRAKLSCLQLLEDLERSLDLPTGRDRNWIQNYCMRNATLIFCTSSSSYRLHHMEIAPLDVLIVDEAAQVCKEAGFGISLFERLVVLDFEKHLLNIQYRMDPRISLFPNVQFYGRKILDGPNVMSSVYNKDYTNLPFGTYAFINISDGREEKEGTGNSWQNLVEVAVVLHLIQTIFKTWKRKGQMLSIGVISPYSSQVDAIESRLGKLYDTCDGFHVRVKSVDGFQGEEDDIIILSTVRSNVKGIVGFLADEQRTNVALTRARHCLWILGNANTLYSSGTVWKDLIADAQRRKCIIDATNDAAICKLVLKVKNELDELDDLLNADSAVFSNTRWKVVFSDEFKKSFAKLKYPQLRREVLQKLIKLGVGWRTTMKNLNFNVIDPFQLAKVYKVRDLYLVWSTDIEKSERYVQIIRIWDLLSHQNVARTVQRLENLFSMYTDEYLDKCRRVKTEGKLEVPVIWDAEHDIIRYRKVLEVDAQEDHDHVDISYAMENSKVSESFLLMKFYSLSSGVAKHLLTATDGSEIDIPFELTDEEQAIIRFPLTSFILGRSGTGKTTVLTMKLIQIWQQSLIASRGLNLDERNSTAQKDLSEVETFVKQVFITVSPKLCSAIRNQICKLTRYGSGDVSDQASILQMPDMVDDLEDFTDIPDSFIGLPCEHYPLTITFRKFLMMLDGTCKTSFFGTFCGELRSSTERGYSKSRALQAFIEMKEVTYEKFSASYWPHFNSELTKKLDASTVFTEIISHIKGGYQANKPFGGKLERLDYLKLSEKRFSSLNSQMRERVYDIFLDYENMKCTAREFDLSDFVNSLHRNLLSEGYNGDIVDCIYIDEVQDLTMTQIALLKYVCRNFEEGFVFAGDTAQTIARGIDFRFEDIRSLFYTYFLPEMEPCGQGINHGKQLRITDMFQLTQNFRTHCGILRLAHSIMSLLYYFFPSCVDKLNPEIGLVYGEAPVLLESGNDENAIMTIFGESKSDPGNLQGFGAEQVILVRDDATKKQVVDLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNKWRVVYDYMKGKNVIESSEEMSHSFFDKNKHYLLCSELKQLYVAITRTRQRLWICENADDNCRPMFDYWKKLCLVEVRVLDSSLIEAMQAGSSTEEDWRQRGTKLFAEGQYEMATMCFEKAGDAYREKLARAAGLLATADRVISTNFQMGQSSLQKASEIFESIGKHEKAATCYMKLGDYKKAGMVYMEKCGNSRLKDAGDCFELSACWSLAADAYFRAKCYAKCLSMCSKGKLFQQGLLLLQQLEEHLLENSSLVKVAAIRNTFLEDCALHYFECGDIKHMMPFVKSFSSMDHIRVFLNSKNLVDELLSVEMDMGNFVEAAGIAKHTGNVLLEADLLEKAGFLENATQLILLQLFVNSLWASHSTGWPPKRFAEKEQLLAKAKEMSRNVSESFYCLVCSEADALSDEHKSLASITYNLIEGNKCGNLLVELIASRLILDVHLQAEASGYCFESEPGSHDGRHCKDMLVLNQISLETLVYDWNYWSSIIVKVLRHLDHPKDAESNDLAAICEDLCAKYFGWRKDGDYDRYVVLNTDSSWLSNTGRNYLQQDGRRCWLDTLHCHSCAKDFWINELYSVGLSVLKKLESIVQILPTSSCSLGRTILVIYEIAKFLKESEFGMPKNTIKYYSIICERRFFELVFLVWRDETPKSLLCILDSATTYNLLSDSICSYLGSRNNKMTHSQVGRITMLLLHAARLDDSLISQLVQYLDRGSEWATFFLSLKKYLDNGVSRDILLLDFKFALDCTYKANWRAEHYISPICYVDLIECLGFLATTHLVLNDYMFCTKSLLAKMMKCRTTKGYFETCMAPSTDIDLGYAGHSARCFIYLSVKDLLGSKRMIVEWVQNTSTPTSSYVPILLRLAMAHKCQPDLNADMISSVDLSDAKKVMALLSTEKSSSLKQEPQLPEIKSVCNKICNATSGHFPLTSVGGSQKSTSNFNLSDVDHPLLEKFEAFQVNMPQKKNGSLISQILRSPLSWIEQRAPPVQMLELRHICKQVEEQHVREKRALSVKDLHSNREHGDGEKNIGKIVDVQGSQSNPDRASEWSGCSDDEQEAGGSNVVESTKEAPAAASSGPSSKNKPQKKKKSKKSKRSGRK >OGLUM04G23930.1 pep chromosome:ALNU02000000:4:27545357:27551923:1 gene:OGLUM04G23930 transcript:OGLUM04G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARLLERATRTAASCSSALLRSPLDSFSGRFPSFRSPLLRPAPAPSTVFPRGLSDTAFDAQALDTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEVHDFSMPDRVNAINPGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKTDLVDNAELEVLINKIKLINGMAQMRKTKFGDVDMDFVLGIGGYDLDRIESEVQLHERKETGHCHAGEEHGHQHHHGHVHDSAVSSVSIVSEGVLDLDEVNDWLERLVEEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWESDEKRVNKLVFIGRNLDEAALRKAFKGCLLFALDLIGEEQPGDRFIPDRSAMDMDMAQYLLTEPRKDKENAAASPAKEAYRKLLAEKILNNRTRILSFRNKPPEPESILTELRADAASIQAKPAKQRRYIPQSAERTLDAPELVDDYYLNLLDWGSSNVLSIALGNSVYLWDATNSSTSELVTVDEDNGPVTSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTMRGVHDSRVGSLAWNNNILTTGGMDGKIVNNDVRIRNHVVQTYQGHQQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRTQWLHRLEDHLAAVKALAWCPFQSNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLVWNKNERELLSSHGFAQNQLTLWKYPSMVKMAELTGHTSRVLFTAQSPDGLTVASAAADETLRFWNVFGAPEAPKTATKGSHTGMFNNSNHIHIR >OGLUM04G23940.1 pep chromosome:ALNU02000000:4:27552352:27557479:1 gene:OGLUM04G23940 transcript:OGLUM04G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVKVFDQAVREIKREVNLKVLKVPELEQKVLDATSDEPWGPHGTTLSELSHATKKFTGALYYEFYIGHYANTNGQSEQQTLALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETILGLINDKEKIKSVREKAASNRDKYVGLSSTGITYKSSSASFGSNYSSGERYGSFSGTREGDSYGDSYRDKEPVKSSPSYTGGQKSGSRIKKDVNRRNEDSLSSLKSNAKGNEDDFDDFDPRGSSSNGAANTNTSGVDLFAPNLLDDFIDVPAAATHETNDSADAQVDLFADADFQSAIPSTETAAGSDVQGNVDLFAEQPAFTAAFPPQTGFIPPPSSGTSEANTSTSKNTTPEPFDPFGAIPINSFDGSDPFGAFNSNVGSSSIPPPTQSSVGNISTPSQNPQAASDFGGFVSSTVETAAKDPFDFSSSNLGKTPLADPKADASDFGAFVSHSEEVAKDPFDLSLSTSSGRTNQAPLAAPKSDTKKENFQVKSGIWADSLSRGLIDLNITGPTKVNLADVGIVGGLDDGSDDKALPSWTMGAGGSSLGMSGIPSSTQSGGIESLANYNKYQFGSK >OGLUM04G23950.1 pep chromosome:ALNU02000000:4:27558640:27559322:-1 gene:OGLUM04G23950 transcript:OGLUM04G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLSRHAPYRRRPPPPRPPAPARPPTPPVSVASPPAAVDDDGGLLALPIAAPDSASGASDEAPAPRCSLLPFDLNLPASSPKEPAPHQKGGQSKRSGGVGGQARWTRGGGG >OGLUM04G23960.1 pep chromosome:ALNU02000000:4:27562895:27565169:1 gene:OGLUM04G23960 transcript:OGLUM04G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEGCYGGVGSAAAAAAATRDPKPRLRWTPDLHERFVDAVTRLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGRQSKKSAGLELAVADSGDALKYQVEVQRKLQEQLEVQKKLQMRIEAQGRYLKEILEKAQKNISLDANGSANLSSTRSQITDINLALSGFMDNATQVQEENNELMKPISDDNLKVNNLGFQLYHLGSQESKDVKCTPKTEELLLLDLNIQGGYELSSRGMQGCELDLKINQQRR >OGLUM04G23970.1 pep chromosome:ALNU02000000:4:27563554:27569510:-1 gene:OGLUM04G23970 transcript:OGLUM04G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLRNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAHDK >OGLUM04G23970.2 pep chromosome:ALNU02000000:4:27564051:27569510:-1 gene:OGLUM04G23970 transcript:OGLUM04G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLRNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAADSLEALR >OGLUM04G23970.3 pep chromosome:ALNU02000000:4:27563391:27569510:-1 gene:OGLUM04G23970 transcript:OGLUM04G23970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGSAILRHVGGVRLFTASATSPAAAAAAARPFLAGGEAVPGVWGLRLMSTSSVASTEAAAKAEAKKADAEKEVVVNSYWGIEQSKKLVREDGTEWKWSCFRPWETYTADTSIDLTKHHVPKTLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETSQSAAFSFADMYTLHLQRPAVTLYPLRNPAPPPPRRWCRSPLCPLPVSAATAGSRLAYIPRASAAANPHPDPQDEPEPQPKGGFWARWMAESAEMRAKVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGAAALAPAIDKGLKGIQEKLNLPSQMYAFALVVGSVAAVCFTIFGCLILSKWGKVTQRKRIWMNNAINIAV >OGLUM04G23980.1 pep chromosome:ALNU02000000:4:27571440:27573283:-1 gene:OGLUM04G23980 transcript:OGLUM04G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMAGATLLRHLGPRLFAAEPVYSGLAASARGVMPAAARIFPARMASTSSAGADVKEGAAEKLPEPAATAAAAATDPQNKKAVVSYWGIQPPKLVKEDGTEWKWLSFRPWDTYTSDTSIDVTKHHEPKGLPDKLAYWTEVP >OGLUM04G23990.1 pep chromosome:ALNU02000000:4:27575429:27583936:-1 gene:OGLUM04G23990 transcript:OGLUM04G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHVWSKCSIHKEREADRERGSEKMLACIACSSKEGGEDGSRGAATPHGRDAVKSLTSQLKDMVLKFSGSNKHQHYKAATAGSPSFRSRSYRRPYPGFIDDSAFMTTTRPGGEAYMYTRAAPPVRAASTSMATWDMTRSKSNRGWQQDAGRSPGGTTWIQSIEEEAGADDVTVVEDAVPREWTAQVEPGVQITFVTLPGGGNDLKRIRFSREIFNKWEAQRWWGENYDRIVELYNVQTFSGRQQGVSTPTSSVDDSVLRESSFCSRGGSTRESPVVTPATSSSLAKEPIARSMSCKAMAASASNYAAAAASTRAACYPSAAVPDPSDHVWAHHFNMLNSAAVGPSAAGGGVPSLYDPSRGTTSSRDEASVSISNASDMEATEWIEQDEPGVCLTIRELGDGTRELRRIRFSRERFGEDRAKEEPAPQVAAAEGAEPRRRRPGAAVRGALGVAAPFAASFLFSFVVGLAGLVLGGLSSTASVSMPSTCRILSTGVDLRSSKVCELGLLNYRAKHVFYPSSNRKFRCHDDYYWASVFEVEYTEYFSGQTSYAVAEAPKEALPLNCRPDFGVAWSTTLKFKVNESYSCRYTLGSSKADIYSDRLFNCTSEEPSTIEMLKRIFVLLSKSYMLEDFNSIGMLGYVMAGVVAGILSALLITILLRSLRGLVLAVVGSSYSKMIGLKELLLDYEIMERFL >OGLUM04G24000.1 pep chromosome:ALNU02000000:4:27590845:27594862:-1 gene:OGLUM04G24000 transcript:OGLUM04G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAVVYEGGVVGASLVGLGWAGLWFLNRRLYKEYEERRALVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGARLPIPNPLSPQLAHRFFFAFRGWSDRLIVLGGFAGVRRERALLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDEMDIKTLERQLMQSMETCIAKKKKIVLSKMEMERIQGSEEKLKARSFLKRIIGTVVRSVQEDQTEQDIKSLDAEVQALEELSKQLFLEIYELRQAKIAAAFSRTWRGHAQNLLGYALSVYCVYKMLKSLQSVVFKEAGSVDPVTMTITIFLRHFDIGIDVTLLSQYISLIFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTSRQTDKHPID >OGLUM04G24010.1 pep chromosome:ALNU02000000:4:27596688:27600261:-1 gene:OGLUM04G24010 transcript:OGLUM04G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPFASLSPAADHRPSSIFPFCRSSPLSAVGEEAQQHMMGARWAAAVARPPPFTAAQYEELEQQALIYKYLVAGVPVPADLLLPIRRGLDSLASRFYHHPVLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVEAQLVAPHSQPPATAPAAAVTSTAFQNHSLYPAIANGGGANGGGGGGGGGGSAPGSFALGSNTQLHMDNAASYSTVAGAGNKDFRYSAYGVRPLADEHSPLITGAMDTSIDNSWRLLPSQTSTFSVSSYPMLGNLSELDQNTICSLPKAEREPLSFFGSDYVTVDSGKQENQTLRPFFDEWPKARDSWPDLADDNSLATFSATQLSISIPMATSDFSTTSSRSPNDE >OGLUM04G24020.1 pep chromosome:ALNU02000000:4:27619900:27621256:-1 gene:OGLUM04G24020 transcript:OGLUM04G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G64850) TAIR;Acc:AT1G64850] MGQAWASLQDKLQGRQWKEKQVRKITDKVFDRLTEDTKKREQEALTFEEVYIAVLCVYNDINKYLPGPHYDPPSKEKLKAMMNVNHYEVKEYNQIRLFSSLRSSLLWDSNSDSGRLVAFVRLSQGFVTADDTVMQQEYDINLDGLLDREEFAEFIRKLTAESLCAISFKLLVTLVAAPALALATKRATEGVPGVGRVVRKVPNSIYASVITLGVVMAQKSTERVE >OGLUM04G24030.1 pep chromosome:ALNU02000000:4:27622748:27624393:-1 gene:OGLUM04G24030 transcript:OGLUM04G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTIESIRKIGKCAIKRNIPEIEFDADGSIFFFSGIIADCCRGKERSAFPASDSGASIQNQKQISSHKYAILDVDSTLRVLEFISDEERRMMAQFFCRMWDRAQGKLYVVRICDKVFTELSDKNTQLLDISSLHVATLMVYNSINKQLAGPHKDPPCMNVVAKQMEQYKKETPEGITLEEFRRLIMEWVRKDLRLVLANKAAVAIMAAPLLAVTTKSAGRQVPRVGPAVEKVPTPLLFTVFSVGLMFLQDIRAGKQ >OGLUM04G24040.1 pep chromosome:ALNU02000000:4:27624278:27630842:1 gene:OGLUM04G24040 transcript:OGLUM04G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLKKKMDPSASNSISAPPSEEMGEPEKMDEMEQVSSDLKSLKTLYGLLHRGPTDETLDETSRAFMTKMLDDITRQTLLRQAKMLSPALERKLSIQSDHRRTRDDAVPVPVPVPVVKPIASFSPSLHASEKSSRLRTQGATRRRDGRHGQDNYRLLARVASNRSARTTVPPPAPRHRQSPERRLDRLASRRSSRAVTPRRGTVDGGNPLSDMRCPRRGDDSSMERGGGGSSSSRSLSREPSSAVQERGRGLHRGASPAAAPRVGAEGGSSTRRLGRLDSGLSVNLVPPPLAPRHGSQRGGRGAATTTKLSSSTDVAATIRSSIRPSSREFMERSPRRAGEAENDRKEGADAASVSKGRPSRGELSSLERGSSSGRSLSREPSSAVQERGRGLHRGASPPAAVRVGAEGSSTRRLERLDSGLSASMVSRRGTPRAGRGASTPKLSSSTDAAAMTRSRIRPNRDLTERSLRRAGEADEDESLRRCRGKGKGKEKGDDDAASVSMGRPSRPPRRALNRINSSSTYSSSSCPPEPTSSTSGSTSSWVPPRDNAPSWAPPPPRGNPPSWVPPPPPPGGNAPSWVPPPPQPRGIAPPEYGFQVSGASRVSRHLRRQERLERRVERMRRFKEKLGTVFHHHHFGPSGSNEGAPPLFSRDVHDNSYHRPSPWKVLGGVLHRTTRRGEKNNEGAPPLFSHDVHGNGHHRPSPWKALGGVLHRATRRGEKKTRSVPADHRGGVGGGGGVGHVLLHMWNKRRAMAKQRGGVGRALFQMWGKRRAAAKQRGGGVRRALFLMWVQRRATTAKRRGGGGVAHALFHMWGKRRATASAGMCGTGSRWKAKKLHWWQRVRPRHRSGHGKALR >OGLUM04G24050.1 pep chromosome:ALNU02000000:4:27631493:27632352:-1 gene:OGLUM04G24050 transcript:OGLUM04G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQ68] MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRAQMEAEFQRKVAESSGDSGANVKRLEQETAEKIAQLKQQAASISPEVIQMLLRHVTTVKN >OGLUM04G24060.1 pep chromosome:ALNU02000000:4:27642127:27645198:1 gene:OGLUM04G24060 transcript:OGLUM04G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ascorbate peroxidase 4 [Source:Projected from Arabidopsis thaliana (AT4G09010) TAIR;Acc:AT4G09010] MPRAQRVVEAGNTNARDSPPYPAFALPPCLRHASRPPPADEAAAAAQFRLSSRGSCGWLREAAARNGWRELPLDRAAASRAAAAAARPTRLRFLRLVSRATARPHSGVLPCYRAGRAFEGSTGFTGVATAADLIERRQRSEFQSSIKSTLATAITAKKELIPSLLTLALNDAMTYDKATKSGGPNGSVRLRPENSGLSAAVDLLVEAKKEIDSYSKGGPIAFADLIQFAAQSALKLTFVDAAIAKCGGNEEKGRTLYSAYGSNGQWGLFDKLFGRQDTQEPDPEGRVPDWSKASVQEMKDKFVAVGLGPRQLAVMSVFLGPDQAATEERLIADKDCRPWVEKYQRSRETVSRTDYEVDLITTLTKLSSLGQKINYEAYTYPKQKIDLGKLKL >OGLUM04G24070.1 pep chromosome:ALNU02000000:4:27644008:27647353:-1 gene:OGLUM04G24070 transcript:OGLUM04G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKPLLFPHPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEEDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLQQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWFQSLSNRWKIHLCRNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQIPNRVRYPSFRIRFLCILSPEQFVE >OGLUM04G24070.2 pep chromosome:ALNU02000000:4:27644008:27647353:-1 gene:OGLUM04G24070 transcript:OGLUM04G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:putrescine-binding periplasmic protein-related [Source:Projected from Arabidopsis thaliana (AT1G31410) TAIR;Acc:AT1G31410] MEGKPLLFPHPRIPVAALVPNHLPRRPRASGILLLLGDRRPRQLGVALRRRPRRHQAETAPPSRRGGGGCWGRWAARAAAGLVLHLAVCSVALLFPTYARACVGGALPPPPPAAAMAAEEEDDDEEWKVALQQWKSKTYSLSVPLRVVALRGSFPPAWIKDFVEAQGKRLKFSPEFRTNLDVLYSEMSQCLDKGQLQQKSAMAADVVSIGDSWLGYAIRKGLVEPVKNAEEQDWNRNGEVDPNGSIWAVPYRWGTVVIAYKKNKFKRHNLKPIQDWGDLWRPELAGKISMVDSPREVIGAVLKHLGSSYNTNDMESEITGGRETVLESLTQLQNQVQLFDSTNYLKSFGVGDVWVAVGWSSDVIPAAKRMSDVAVVVPKSGSSLWADLWAIPSATKFQTDRIGGRTRGPSPLINQWFDFCLQSARSLPFRQDVIPGASPLFLEKPVPEVPQERNKRKPKLETNLVRGAPPLEILEKCEFLEPLSEKALDDYQWLITRMQIPNRVRYPSFRIRFLCILSPEQFVE >OGLUM04G24080.1 pep chromosome:ALNU02000000:4:27646259:27650682:1 gene:OGLUM04G24080 transcript:OGLUM04G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPHLYGTAHIEPLGSTSPLRREGATERDDPQGNREGIRLGLPLLQRDLPLLVVVLLLRRHRRRRRWRGESAAHAGARIGGEEQGDGAYRQMEHQPRRGACRPPPPAASAAAAAGRRGLGLGVPLSRRLTTASSSSARPLRPRGGRAAGSVRCMARRPESSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGTTKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQAYQR >OGLUM04G24090.1 pep chromosome:ALNU02000000:4:27651692:27664849:1 gene:OGLUM04G24090 transcript:OGLUM04G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLKKKMDPSASNSISSSPSDKMGEPEKMDEVDQVSSDLKSLKALYGLLHRGPTDETLDETSRAFMTKIPIIAATRGDAVPVPVPVPVVKPIASFSPSLHACERTSRLRTQGAVRRRDSRHGRLLARVASNRAARTAVPPPAPSHRQSLERRLDHLASHRSSRAVTPRRGTVAAGNPRSDMRWLRRGDHSSMERGGGSSSSRRRSLSREPSSAVQERGRGLHRGASPPAAPRVGAEDGSSTRRLGRMDSGLSVNLVPPPLAPRHGSQRGGRGAAATTKLSSSTDAAVTIRSSIRPSSREFMERSPRRAGEAENDRKEVADAARPSRGELSSMERGSSSRRSLSREPSSAVQERGHGPHRGASPPAAMRVGAEGSSTRRIKRLDSRLSASMVSRRGTPRGGRGAATPKLSSSTDAAATTCSRIRPNSDLTERSLRRASEADEDESPRQRRGKGKEKEKADDDAASVSMGRPSRPPRRALNRINSGSTYSSSSPPESTSSTSGYTSSWVPPRDKVPSWVPPPPRGNAPSWVPPPPQPRGNAPSWVPPPPQSRGIAPPEYGFQVSGVSRISCHLRLERRVERMRRFKEKLGTVFHHLHHHHHFGPSGSNEGAPPLLSRDVHDNGHHRPSPWKVLGGVLHRATRRGEKKTRSVPADRRGGVEHMLLHMWDKRRAMVKQRGDGGGGGVGRALFQMWGKRRAAAKRRGGGVGRALFQIFI >OGLUM04G24100.1 pep chromosome:ALNU02000000:4:27665480:27667740:-1 gene:OGLUM04G24100 transcript:OGLUM04G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGCGEGGGGGGGRDERVPQWGAQETRELIAARGEMERESAAAAAARRSAKTLWEAVSARLRERGYRRTAEQCKCKWKNLVNRYKGKETSDPENGRQCPFFDELHAVFTERARTMQQQLLEPESGPSVKKKLKRPSGDLSSEDSDDEEDGGGDSGDEKPIRSRKRKTADKRQQSQRMAEKSRTSISSIHELLQDFLVQQQRMDIQWHEMMERRSQERIVFEQEWRQSMQKLEQERLMLEHTWMEREEQRRMREEARAEKRDALLTTLLNKVLQEDL >OGLUM04G24110.1 pep chromosome:ALNU02000000:4:27668679:27669231:-1 gene:OGLUM04G24110 transcript:OGLUM04G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGKNLFDIGIIEVKHSGRQPIVVVKLEPPSLLLAESGMCSYRNYQVASLCIWQRRNALLTGGKWMDGYEIGPGPIQLKAHEY >OGLUM04G24120.1 pep chromosome:ALNU02000000:4:27669301:27673653:-1 gene:OGLUM04G24120 transcript:OGLUM04G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSSVRLPLRAAPPLYGRRELRADGARAPSPALVAVKPLSCRAPASYRSALLLHRRRRYALPPVAATATSKPVLKDPKKYQEWDSLTAKFAGAANVPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKKETGAVIVQTLGVISTYVVIAQLAMAESMPLPQFVATSAVVAAGLLLNFLNYFGWLPGTLWLLWEDFITIGGLAVLPQVMWSTFVPFIPNSLLPGVISGSLAATAVVMARMGKLSKGGINFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFTMLLAMIGNGLMIPRAVHWFCLGIFPTGLGFDSISRESFLATTFGLLLWLGFTLWRDTIAHGNSSPVTSLKELLFGK >OGLUM04G24130.1 pep chromosome:ALNU02000000:4:27677437:27681315:1 gene:OGLUM04G24130 transcript:OGLUM04G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQ77] MPRYCRHPALSSLPFIASSLPPSLFTAQHAATIYATPSLPAVVTGRASHSQPEAHLLSVIFSVFFSSTLAMAASSGEWLSRAAMVLVLGLVVASSAKAGDVDMVFLKSAVSTGAVCLDGSPPVYHFSPGSGSGANNWLVHMEGGGWCRNAQECSVRQGNFRGSSKFMRPLSFSGIIGGNQRNNPDFYNWNRIKVRYCDGSSFTGDVETVETSTNLHFRGARVWNAIIEDLLAKGMSKAQNALLSGCSAGGLAAILHCDQFRDLLPATANVKCFSDAGFFVDGKDITGNDFVRTFYKDVVNLHGSAKNLPSSCTSKMSPDLCFFPQNVVPTLRTPLFILNAAYDAWQIKNVLAPSAADKKKTWAKCKLDITACSSSQLTTLQNFRTDFLAALPKPEQSPANLSIFIDSCYAHCQSGSQDTWLAQGSPVVEKTQIGKAVGDWFHDREVSRRIDCPYPCNPTCKNRDDD >OGLUM04G24140.1 pep chromosome:ALNU02000000:4:27685870:27688284:1 gene:OGLUM04G24140 transcript:OGLUM04G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22150) TAIR;Acc:AT3G22150] MSSPRCAVSLPPTAAATTATNGGGGGRRNAQPAAATAASQVKKLCKQGRLDHARRLLLEALPRPPPTLLCNALLIAYAARALPEEALRLYALLNHAARPPVRSDHYTYSAALTACARSRRLRLGRSVHAHMLRRARSLPDTAVLRNSLLNLYASSVRYREARVDVVRRLFDAMPKRNVVSWNTLFGWYVKTGRPQEALELFVRMLEDGFRPTPVSFVNIFPAAVADDPSWPFQLYGLLVKYGVEYINDLFVVSSAIDMFSEFGDVQSARRVFDRAAKKNTEVWNTMITGYVQNGQFSEAIDLFSKILGSREVPLDVVTFLSALTAASQSQDVSLGQQLHGYLIKGMHRTLPVILGNALVVMYSRCGNVQTAFDLFDRLPEKDIVTWNTMVTAFIQNDFDLEGLLLVYEMQKSGFAADSVTLTAVLSASSNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSGRVEMAQRVFDSFKNAKRDEVTWNAMIAGYTQSGQPEKAILVIRAMLEAGLEPTSVTLASVLPACDPVGGGVYSGKQIHCFAVRRCLDSNVFVGTALIDMYSKCGEITTAENVFGGMTGKSTVTYTTMISGLGQHGFGKKALALFNSMQEKGLKPDAVTFLSAISACNYSGLVDEGLALYRSMDSFGISATPQHHCCVADLLAKAGRVEEAYEFIEGLGEEGNFVAIWGSLLASCKAQGKQELAKLVTKKLLDIEKQYGHAGYSVLLSQVLAAESNWNSADSLRKEMRTRGLKKEAGSSWIKVQNAALEHKFIEKDKNYVENEHMFSILDGDADSTDRL >OGLUM04G24150.1 pep chromosome:ALNU02000000:4:27689426:27691327:-1 gene:OGLUM04G24150 transcript:OGLUM04G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G31600) TAIR;Acc:AT1G31600] MAGSGYTRPPPPPLGEGSAPAPSAALYVANCGPAVGLTHDDIRAAFAAFGEVAGVHGADGSGVRVIVRFREPAAAEAAMSALHGRPCAGLAGRVLHIRYSVPAKPKAPVGGSLPVATSASELGVPGIYLVPDFVTAAEEQELLAAVDNRPWKSLAKRRVQHYGFEFLYETRNVDSKQFLGELPPFVSKIIDKIMSFPGANKCTSKLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPSMVSGTDKDSIEEPQCIRKAVFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRNTRRVSFTFRKVRMGLCNCEYGQFCDSQSK >OGLUM04G24160.1 pep chromosome:ALNU02000000:4:27692299:27699147:-1 gene:OGLUM04G24160 transcript:OGLUM04G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAAAAPPWEPSVGTVFRRLAGAGDSGRSPEASLPSPSSSGNGVATRISNLHGVKRKPFVARLTADIIQTFVRCNPAFKYSESLNPKIFLTNPSTPAHNDGLDNANWDLILYVNLELVNRTSNRRFVVKEMLGQGTFGQVVKCLDTETNDYVAVKVIKNQPAFYHQALVEVSLLRALNQTHDPDDQYNIVRMLDYLLFQNHLCIAFEMLGQNLYELLKRNSFRGLKMKFVRAFSKQILDAMVVMRGARIIHCDLKPENILLTPSVTTDAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVILGYPYNTAIDMWSFGCIVAELFLGLPLFPGASEYDVLQRMVKILGGQPPDYMLREAKNSAKFFKHVGSIYRGNEVHDGIGSSYRLLTEEEIEVRESEKPKVVKWYFPQLRLDQLICSYPWKNSELTETEKAERVILVDFLKGLLKFDPNERWSPLQASCHPFITGEPFTGPYEPIPETPKIPIGRAAAVEHNPGGGHWLAAGLSPQVGSVNRSLPPNNPYPPKIPYSYGSSYGSFGSHGSYVGNAGHASSYGSFGDGNAVNMYYSPLGPGFKQIESSPDVRLRPRFSHDRGIRLSPGSTGPMSLGASPSQFTPPNYQMQIPSNSTGMHGSGSPASGGIHGSPLGKTPSSYSKRRGLPMLPHEYPSQHGQGRHGDGVGFSHSDANVRGHPVYSQNSIPSSGYSSWRPQIGSGSFSLEASSSHGPSQTFHSHFAPRLQTLDNLSDSSAASTLDNAYWDPYFSDESLLHEDSSLSADLSSSLHLRDSANPTSGSARTANVQSHIFMGSNPLPAGESYRADHFFHASSRGSLGGNTHSAVPVNYGGYNPVNHPQQNPQIRHGQPYIQHRYNQATSTHNHPRGSHHNRQPAWPMADGTPWGGTSGHPFTTTGLPSSLPRKDYGSIF >OGLUM04G24170.1 pep chromosome:ALNU02000000:4:27703399:27707651:1 gene:OGLUM04G24170 transcript:OGLUM04G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGPTRQCKAFFLAVGVSVASPRLASHGHSKLSPSRSLAEKSFRSRMRHLPLPPAAAAAAAAPREASPPPPPPPAARALSPTAVAFAQIPSVAMAARAQAWLFAAALVIFHGSEYVLAAAFHGRRNVTATCLCVILGILAFLYGQ >OGLUM04G24170.2 pep chromosome:ALNU02000000:4:27703399:27707651:1 gene:OGLUM04G24170 transcript:OGLUM04G24170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILGPTRQCKAFFLAVGVSVASPRLASHGHSKLSPSRSLAEKSFRSRMRHLPLPPAAAAAAAAPREASPPPPPPPAARALSPTAVAFAQIPSVAMAARAQAWLFAAALVIFHGSEYVLAAAFHGRRNVTATCLCVILGILAFLYGQ >OGLUM04G24180.1 pep chromosome:ALNU02000000:4:27708498:27716835:1 gene:OGLUM04G24180 transcript:OGLUM04G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASWPPTPRSPRPQAPSVERAKGPSGLDKVVLREARGFSAEVHLYGGQVTSWKNDRGDELLFVSSKATFKPPKAIRGGIPICFPQFGTHGILEKHGFARNRFWAIDDNPPPFPTSTAAKAFVDLILKTSEEDLKIWPHSFEFRLRVALGPGGDLALTSRIRNTNTDGRPFSFTFAYHTYFSVSDISEVRIEGLETLDYIDCLRGKERSTEQGDAIVFESEVDKIYLDAPAKIAIIDHEKKRTFVLRKDGLPDAVLWNPWDKRTKNMQDFGDEEYKHMLCVEPAAVEKPITLKPGEEWKGKMDLSAVPSSYCSGQLDPNKRDTEVTNDPNLGKICRGILQKINGASPSLSPPPPVASGGGASLGRRPAGTHLLRQIRRIPPRLQDPTFSFAAELDNRLPKPRRKHPMAGAADPPHNTASFRHRRAPRQVTGARGGATERPPRPASVAVSWRSSTFDFSAHPALASNHELFPVPFHVRTAQVQIVN >OGLUM04G24190.1 pep chromosome:ALNU02000000:4:27715072:27716571:-1 gene:OGLUM04G24190 transcript:OGLUM04G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKEASQTPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLISRLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDYGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >OGLUM04G24190.2 pep chromosome:ALNU02000000:4:27715072:27716571:-1 gene:OGLUM04G24190 transcript:OGLUM04G24190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHMGRRTVGGLLFTKGGSILLFREDSARHKATNCCTRHGCSSKHLAGKDKQTHRAATAAKEASQTPRRSQIFRKPSTRTPQGSTATDNISRNAASSYSENDNRPRETPGRDLISRLKERVNASRKRSLNRENSPSSPNGLSATSSSSSRTVSRPSHRAASRIRKADEGANAGAVNVRRDSSGDTRRNSDRDVDDFLLVEQAARDSTEGFISGFLARYRSNHQGLLSSLDDSIEDANGYWRFNMEGSEELENYFIFNDRHRGMRMDIDGMSYEELLALGDRIGTVSTGLSEDALSKCLDRSMYMATTSGTHEDCERKCSICQANGEEVGKMVCKHYYHFSCIKNWLRQKNWCPICKSVALNTN >OGLUM04G24200.1 pep chromosome:ALNU02000000:4:27718207:27719454:1 gene:OGLUM04G24200 transcript:OGLUM04G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPETTRRNERDGEEEERSLNTEEEERGRQRRGTHLDRIVAVRCGARVGSDGGRCDAAGVGPAQTESSPPPNFLLLPDNLPPVSTHAWTAV >OGLUM04G24210.1 pep chromosome:ALNU02000000:4:27722693:27726558:-1 gene:OGLUM04G24210 transcript:OGLUM04G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWRKKVVFPARRAWAAVSTRVRARKPGSGGSILKLHEDVQTCGYKDVQVMFEILKSELEESRAPTKQRKPPAWRPPSAWSSRSSSIAAAQ >OGLUM04G24220.1 pep chromosome:ALNU02000000:4:27744186:27746455:-1 gene:OGLUM04G24220 transcript:OGLUM04G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSFRHDLHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYREVQGGETEKLLSYFRPCIMPQPGGVASGFNHVEVNQQDHVTRLYVCQGKHVVHVKEVPFVRSSLNHEDIFILDTANKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGKCEVAAVEDGKLMADTEAGEFWGLFGGFAPLPKKTSSEDNGDDKETVTKLLCFNQGTLEHISFESLEHELLETNKCYLLDCGAEMYVWMGRGTSLQVRKGASEAAEKLLIDENRKGSNVIKVIEGFETIMFKSKFNKWPPTPDLKLSSEDGRGKVAALLRSQGLDVKGLMKAAPEEEEPQPYIDCTGHLQVFFR >OGLUM04G24230.1 pep chromosome:ALNU02000000:4:27748138:27751675:-1 gene:OGLUM04G24230 transcript:OGLUM04G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSCCRRDCEIESSIQAWQRLRASDALLKRLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDGYPKECGLQ >OGLUM04G24230.2 pep chromosome:ALNU02000000:4:27748138:27756773:-1 gene:OGLUM04G24230 transcript:OGLUM04G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVRRRHAFSTSCLTTPSDGGAPSPSPRLLHSSRRLHAFSPRDGGASSPFPSSSLLSDGGSPSLLPDSSLPCGETNGSPLPSDMMVRPPQIWSRLFLVSITTPGMRHPRHRCQSLHHRPEAMAPARAHHLLACLLASALLAAATPVTGGGLMTDQLEVLFGQTQLLNDSNGDQTIALTLDRVMGSAFKSKTSYLFARIDMDIKLVADDSAGTVTTIYLISEKDWNTHDEIDLEFLGNVTGQPYTLHTNIFANGEGGREVQYRLWFDPTQDFHTYSVIWNPDEILILVDNMPIRQFKNHLDSGVPFPIYQPMRLFGCLWDADDWATEGGRIKTDWSQAPFVAYFRNYTADGCVPSSYAWVCGQGPASSSDWFDRGLDDVKQQQQLREAQDKYMIYNYCNDPKRFPDGYPKECGLQ >OGLUM04G24230.3 pep chromosome:ALNU02000000:4:27752546:27756773:-1 gene:OGLUM04G24230 transcript:OGLUM04G24230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVRRRHAFSTSCLTTPSDGGAPSPSPRLLHSSRRLHAFSPRDGGASSPFPSSSLLSDGGSPSLLPDSSLPCGETNGSPLPSDMMVRPPQIWSRLFLVSITTPGMRHPRHRCQSGCLQGSEWLEFSTVSNGRKWS >OGLUM04G24230.4 pep chromosome:ALNU02000000:4:27752546:27756773:-1 gene:OGLUM04G24230 transcript:OGLUM04G24230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECRVRRRHAFSTSCLTTPSDGGAPSPSPRLLHSSRRLHAFSPRDGGASSPFPSSSLLSDGGSPSLLPDSSLPCGETNGSPLPSDMMVRPPQIWSRLFLGSEWLEFSTVSNGRKWS >OGLUM04G24240.1 pep chromosome:ALNU02000000:4:27748636:27750013:1 gene:OGLUM04G24240 transcript:OGLUM04G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSILAKRYEVLDLNADPITRSSVSAIVWSPLLSLSSCVWPNSTSSWSVMSPPPVTGVAAASSAEANRQARRWCALAGAMASGRWCKL >OGLUM04G24250.1 pep chromosome:ALNU02000000:4:27757039:27758103:-1 gene:OGLUM04G24250 transcript:OGLUM04G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQ94] MGFGSREMACALVALVLGLCCVGGARATGRIDEGLEVMWGDGRGSVSPDGQVMALSLDHTSGSGWRSKNTYLFARVDLQIKLVANNSAGTVTTCYFMSEGEWDIHDEVDLEFLGNVTGQPYTLHTNVFANGTGGKEQQFHLWFDPTTDFHTYSIVWTSQHILVLVDGTPIREMKNHADKGIAYPSSQRMRLYGSLWNADDWATQGGRVKTDWSQAPFVARYRNFTATEAASSSSSSSPAGYDQQMDATAQQVMKWARDNYMVYDYCADSKRFPQGFPPECSMP >OGLUM04G24260.1 pep chromosome:ALNU02000000:4:27760755:27761449:1 gene:OGLUM04G24260 transcript:OGLUM04G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFGAVVLSPIPMANRESKEKAVAEEEEKGVISPAATETAGEKKASAAAAGEETKKKQKEKKMKKVRMPQHEVDRIMSYKHRPLTMPPGYKNFSKELLACFPVPVDQLDDYWARKNKIHDEGAKPILEKQERIRKEYKEKGYAEYWITDDEDQAATRSRAPAPGRRRGRPGVTKKHTGGTKKL >OGLUM04G24260.2 pep chromosome:ALNU02000000:4:27760465:27761837:1 gene:OGLUM04G24260 transcript:OGLUM04G24260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALIPCVAFSTTFLLWAQPAAKDHISRSGPNFEDKYTRERLPVSYLGPPAAPPPPPPSLILTTVTSRRTMASSSEESKEKAVAEEEEKGVISPAATETAGEKKASAAAAGEETKKKQKEKKMKKVRMPQHEVDRIMSYKHRPLTMPPGYKNFSKELLACFPVPVDQLDDYWARKNKIHDEGAKPILEKQERIRKEYKEKGYAEYWITDDEDQAATRSRAPAPGRRRGRPGVTKKHTGGTKKL >OGLUM04G24270.1 pep chromosome:ALNU02000000:4:27765824:27771207:-1 gene:OGLUM04G24270 transcript:OGLUM04G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLNGMMNWIRRIIFCSPRAIWGRRPRPNGGRRRPRPIQRLFRVSHPAVNPTAEPIGRQFLEQLDYPLPTITGSALLDETNLILHDFYKNISDIRSQIYNQQGLRLAKMNVVKEQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLDDDKTGDASADLDYEKSACSGETSDAAFDEESASPGDATKQADIDEPIAILGTPMVARSVDYRVVTVGNPGAAPAAPYIPVRPHRRNFFLAASATSVW >OGLUM04G24270.2 pep chromosome:ALNU02000000:4:27765824:27768284:-1 gene:OGLUM04G24270 transcript:OGLUM04G24270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGDSCLLPPWGMMNWIRRIIFCSPRAIWGRRPRPNGGRRRPRPIQRLFRVSHPAVNPTAEPIGRQFLEQLDYPLPTITGSALLDETNLILHDFYKNISDIRSQIYNQQGLRLAKMNVVKEQALWEEAEELVCTSKKIFDSTNLDKKLLYPFPSWFNSRETRKKNYLDLTSWVSLLETYANERSASPEADDEAYDVGLDDDKTGDASADLDYEKSACSGETSDAAFDEESASPGDATKQADIDEPIAILGTPMVARSVDYRVVTVGNPGAAPAAPYIPVRPHRRNFFLAASATSVW >OGLUM04G24280.1 pep chromosome:ALNU02000000:4:27774222:27775404:1 gene:OGLUM04G24280 transcript:OGLUM04G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQ99] MAPMPLASSSSKLCSLLILCLAFLAAVHRSAAGIFDEIELIWGANRTYFFMDGESEALALSLDQSQGSCFRSREKYLYVQIDVEIKLIEGDSAGTVCTIYTISEGPWEIHDEIDLEFLGNVTGEPYTLHTNIFANGVGGREQQFRLWFDPTADYHTYSIVWNPKRILILVDGKAIRDFKNNEDQGVPFPTWQSMRTFGSLWSAEDWATQGGRVKTDWKQAPFVTYYRNYNVTWCRPSPGVAWCGDEPKDSTRFDLDANTLSDLQWVRSNSMIYNYCDDSVRFNATTLPKECTLQ >OGLUM04G24290.1 pep chromosome:ALNU02000000:4:27775848:27778837:-1 gene:OGLUM04G24290 transcript:OGLUM04G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQA0] MARPLGQQQVGAAAALVIVVACCVVAGCSGARGRGFREEFDVIWGEDHVRVTDEDGVAARQVVALTLDQASGSGFQSKDQFLFGEFSMEMKLVPGESAGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGKGNREQQFYLWFDPTADFHNYTILWNPLNIIFSVDGKAVRVFKNRASAGVPYPSGQAMRVHASLWNGDFWATRGGQVKINWTAAPFVASYRTYAYSACAVPAAAGCGGPCTSGQLPNSTSSPSTCDCGGAWMDRQLGPDGERDVAWARANYMIYDYCGDQWRFPQGRPAECSLDQNSGHRT >OGLUM04G24300.1 pep chromosome:ALNU02000000:4:27787962:27791383:1 gene:OGLUM04G24300 transcript:OGLUM04G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMGCYAPRRADDQLAIQEAATAGLRSLEMLVSSLSSSSQAAGAHKASPQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESSAPAAPVAAAPPPPPPPAPVAAALAPTSSQPQTLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLLSSGKPPLSGHKRKPCAGGHSEATANGGRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGPLPAPPAAAAVAAIPVSVAVSTGNGHV >OGLUM04G24310.1 pep chromosome:ALNU02000000:4:27792352:27802437:1 gene:OGLUM04G24310 transcript:OGLUM04G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTLYSTVASDEKMRKGSADKSHRMVPHLANKLHQVLETGRGEKTQPVANTKADGGSATRNTYPVG >OGLUM04G24320.1 pep chromosome:ALNU02000000:4:27802552:27803498:1 gene:OGLUM04G24320 transcript:OGLUM04G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT5G10700) TAIR;Acc:AT5G10700] MLSLLPLRLPFSAAATHPNSTFLLQRAVRLPILPISPRASMSAIAATPDDAASAAAAASVGGEVGKEAEDVAVQYVVLRRDLADAWPLGSVVAQGCHAAVAAVWAHRDHPDTAAYCGPDNLDRMHKAFSCEAGFNSCLWNSVTLEVKGETQLKNLAEKLGAAGVRHKVWIEQPENIPTCIATAPCPKSQVSSFFKKLKLCK >OGLUM04G24330.1 pep chromosome:ALNU02000000:4:27806672:27808792:-1 gene:OGLUM04G24330 transcript:OGLUM04G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGEKRRRGHLNPAGFAGGLHDHEEKKKNEEHKLDMSGMSMDALPHLTMSLGQVTILDLSNNNLESIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLESLPNTIEECRALEELHANFNELTKLPDTLGFELHSLRKLSVNSNKLAQLPSSTSHMTALRALDARLNCLRALPDGLENLASLEALNVSQNFQFLRELPYAVGLLASLRELDVSYNSIAALPDSMGCLTKLARFSAVGNPLVSPPMDVVEQGLDAMRAYLTARMNGDDGKRKKKAWLPKLVKYSTFTARMTPGRTRVHENTEGLLMSDYRSLNGIASPRFLTMLSPRRLFSPQRNSPKHC >OGLUM04G24340.1 pep chromosome:ALNU02000000:4:27818356:27818694:1 gene:OGLUM04G24340 transcript:OGLUM04G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTSAAGFRALVQELTSCNADPSKYSPRASADDGCGGGATTLHESGPAAASDADALVAAPGHPAATTFDEGGGVGYYTDDDIFRSQLLDTSYSVFSSPTLLYNDHPHSKV >OGLUM04G24350.1 pep chromosome:ALNU02000000:4:27820155:27826442:1 gene:OGLUM04G24350 transcript:OGLUM04G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARARDISHRWLRWRAKQGNAKYVTIHFFHGHPFDSFASQSSELRFTAAEIVVLGGDKDEGRAALNGEIDRSKRRTTVNNCCEGPAACSGLVWFSRGLLKVARDSKYTIVNDQRKAATKVQQAARGPASNRKQKKNPEPSEMRSVAGRTCKAASRNEFSDAYGPDRSQQADTYEMKASKWCQTK >OGLUM04G24360.1 pep chromosome:ALNU02000000:4:27826689:27838790:-1 gene:OGLUM04G24360 transcript:OGLUM04G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQA7] MESASSSLATSGRRRSSGGGGGSWGSIGSADDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKREEQREEVISKIRAQAHVVRAAFRFKEAGQVHVQQKEVAAPPVDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVARMLKTDTEKGISGDDSDLTARRNAFGSNTYPRKKGRSFLAFLWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVVVTATSDYKQSLQFQNLNEEKQNIKLEVVRGGRRISVSIYDLVAGDVVPLKIGDQVPADGILISGHSLSVDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGVATFIGMVGLSVALAVLVVLLARYFTGHTYNPDGSVQYVKGKMGVGQTIRGIVGIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMDPPDNVQVLSASISSLIVEGIAQNTSGSIFEPENGQDPEVTGSPTEKAILSWGLKLGMRFNDTRTKSSILHVFPFNSEKKRGGVAVHLGGSESEVHIHWKGAAEIILDSCKSWLAADGSKHSMTPEKISEFKKFIEDMAASSLRCVAFAYRKYEMGDVPREDQRADWILPEDDLIMLGIVGIKDPCRPGVKDSVRLCAAAGIKVRMVTGDNLQTARAIALECGILSDPNVSEPVIIEGKAFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMQRPPVGRREPLITNVMWRNLIIMALFQVIVLLTLNFRGTSLLQLKNDNQAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGITGNHLFMAIVAITVVLQALIVEFLGKFTSTTRLTWQLWLVSIGLAFFSWPLAFVGKLIPVPERPLGDFFACCCPGSKQAADAKGDDADHSDILDGARCDFAAELLQGDF >OGLUM04G24370.1 pep chromosome:ALNU02000000:4:27849017:27849677:-1 gene:OGLUM04G24370 transcript:OGLUM04G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPPEDASARREGEDPLSAAADIGGGGGGGGEEDAGARAEVELEQGPAHQGGRPGTTNPDAGVLTRELGHKSNGETVQWLLQQAEPAIVTATGTGVSVDIPRALPPFPKLRPLQVAPPPPPHVGCRRRAARRVRGVRRCHIGFVTMFAGHAAAAMPGLELGLSQDGHIGVLSARSLSQFYHQVGGADAADQLPHPAASSPTPSAAAGGRGG >OGLUM04G24380.1 pep chromosome:ALNU02000000:4:27850794:27853349:1 gene:OGLUM04G24380 transcript:OGLUM04G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAAKVVVPESVLRKRKREEVWAAASKENTVAEKKKSIESRKLIFSRAKQYAEEYEAQEKELVQLKREARMKGGFYVSPEEKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLTNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OGLUM04G24390.1 pep chromosome:ALNU02000000:4:27857590:27859008:-1 gene:OGLUM04G24390 transcript:OGLUM04G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRPPSESHHGSSRSAKRTSSASSSHGSSGSVEERPYSSGRHGSSGRMEEGTAAPSCRHRSRRTDKRPSSSGRHGSGRTANKHERPAPATRPRQRRKRKYLYLVMDDWEKGYGIYRVDVNSFDPDAEFGSDSEAECQARNRDDEPSPLVRVEAPINYSRWITAHGSKIFMMLPVRAEVIPGIPVFDTVTRAMMVCPWPKNLGEQLQPFCVSVGDRLVRLCNPGFQVLGAQPPPRSGHGYVDVPWTWEEISDDNASSMPPFTSDRVSAYAVHPDGRTIWVSVSNWKPVVDGRGGGSYGDRNNTFTFDMEHLEWTHVGEWLMPFEGQAHYVGELDAWVGLSRVHKGYLCCCPNDGYGPWGEGPFTSRIGSEMMFRPDKYGLRAAKLLYMGKSRFCMVESKLHNSPANQCKWVHGDEPEVEGRIRAIRMTTFRVEYNRVGEPCVAGRRARATRFFKDALTLLPLRFGYSLISCG >OGLUM04G24400.1 pep chromosome:ALNU02000000:4:27859302:27859568:-1 gene:OGLUM04G24400 transcript:OGLUM04G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAEDRSGSGGIEMGIALPPERMARFRRWLTDAIAWVLDRLPNSTRLLEWNLQRIKVAMKFSPIARSSGEMKISVASYFQNGMIMQK >OGLUM04G24410.1 pep chromosome:ALNU02000000:4:27859608:27862626:-1 gene:OGLUM04G24410 transcript:OGLUM04G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRTHVVGGLRALRTAIVSPSPAPPAGERALPLTFLDAQWISAHPVERVFFYRLGPGGDDVDAVLSRLVESLARVLHAFYPLAGRVRLTPGETNRYELFYQPGDGVAFTVAEHDGVGVGVGVDELADTDEPREVAKIATFVPELPNGGAVLALQATVLPPDRRGLALGVTVHHSACDGVSSTHFLHTWAAACAGDRVLPEPPVIDRTIIRDRNDMYDSFASPASEAKHAFDSTDVAGKLLATFTLSRQQLQNVKDAVAGEAARRGVAPPRCTSLVATLGLTWLCFHRAGPDGEEGHRGDGRAHLVFPVDHRSRLEPRVPEKYLGNCIGPGFATAQYRKELATTTIADGLFAACAAVAAGVDEAVRGEPAYWERWVERITEACTADMSLSVAGSTRFGVYDVDFGFGRPAKVDVVSVAKTDAMSVAEDRSGSGGIEVGIALSPARMERFRRWLADAIAWLSSSSQFPLQLARGLLTACAAVAAGIDDAVHRDTKQWELGRAHHRGVRGRHAVVRGRIDEVSACTTWTSGSGGR >OGLUM04G24420.1 pep chromosome:ALNU02000000:4:27864293:27866041:-1 gene:OGLUM04G24420 transcript:OGLUM04G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVLFVGERIKRLYISSLSADIRKGFGTSDVDRLPTIGTSSLGGDPIGEEKVSIRACQVVDIDH >OGLUM04G24430.1 pep chromosome:ALNU02000000:4:27868339:27868554:-1 gene:OGLUM04G24430 transcript:OGLUM04G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVAAMCGAAEERVVGTHKAPGACPRCGGAVVATDVESERRILCLPLCVKSKRKYSCSRCLRRLVTLYS >OGLUM04G24440.1 pep chromosome:ALNU02000000:4:27874182:27881138:-1 gene:OGLUM04G24440 transcript:OGLUM04G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQB5] MARRSSSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVREYESEISRLDDEVNQLRDQLRKAGVHLDENPTGDKVSREKLVEIDPINNERREKVKEAMAHAWNSYVKYAWGMDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKDEFQRARDWVADSLSFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDITDRLLPAWDTPSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVIRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWVQGNKTEHVKHYRQMWETSMEGLLSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYEETEKAEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHTGQDMNVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPLNDNSKARSVGIATPTVRPFGRKQGKQE >OGLUM04G24450.1 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.2 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKGWVALQVAFLQWFWIAVDAFHLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVLIIPSHLMKTDISGLKGETLIFANTMFCILSCILFADCTFYLHCKFELVQSLSCLDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.3 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVLIIPSHLMKTDISGLKGETLIFANTMFCILSCILFADCTFYLHCKFELVQSLSCLDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.4 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.5 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.6 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKGWVALQVAFLQWFWIAVDAFHLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQTKAAKEGLETDRQLCYVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKNGGSFSMNLNDSVTHCIAAEKKDFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24450.7 pep chromosome:ALNU02000000:4:27882823:27891716:-1 gene:OGLUM04G24450 transcript:OGLUM04G24450.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase IV [Source:Projected from Arabidopsis thaliana (AT5G57160) TAIR;Acc:AT5G57160] MAATVRFGLLVAMFQAMSRDRTAAKKRARLRALLDRAYGPGGRDDYFSALRLVLPGLDRERGSYGLKEAALASVLVDALGIAKDSPDAVRLTNWRRGGGFRNAGNFALVAAEVLQRRQGMTSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSVFHEFHPDAEDLFNVTCDLRLVCEKLNDRSQRHKRQDIEVGKAVRPQLAMRVNNASSAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRICTWNVQKCPDVAFDILYAGDTSVIHQSLTERHEILRKVVRPLKGHLEILVPTGGLNIHRPPDEPCWSILAHSLDDVEKFFKDTVDNREEGIILKDLESKWEPGDRSGKWLKLKPDYIHAGADLDVIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEELDALVTKLKPHFRKNEYPKKPPRFYEVTNHSKERPDVWIESPDKSVIISITSDIRTIKSEVFAAPYSLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTHRAADDDNDLKNVKVKQPRTNKKGEKKNVLIIPSHLMKTDISGLKGETLIFANTMFCILSCILFADCTFYLHCKFELVQSLSCLDFVNIPPSYNLDYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAATRQGRIIHYSWILDCCKEKRLLHLQPKYILFLADFARHKFPEEIDSYADYFYWDIDISDLKQIFSNMDRAVVDSNMVHHYKKRYCADERFCFFQGCCVYFYHAPLVNADYNVISDLALKRVKQDLTMHGGQVCSILAPATHLIIVSVLQAYNFDMLYKSLPPAERRYLHDKRLQVVSNKWLEDSVEKQTRLPETTYSLKPDTLEEIEIERSEETVQPCNDKLEENEKADTSHVKHAPRKRGRPSSSASRTAKPAPRPVRRTRARRGNQHAKIDDVEPEESDHGETGLDDQIPDTDNISKMEVDSFDKDQVSARPVRRTRARRGKQHAKVDYGQSEESDPGETGQDDQRLDADYISKMEEDSSDRDQGAHPAVPRVVRRSRAQRGKWLAKIDHETGPGETGQDDKKLNADSISKMEEHAHDKDQEPPPGAQLITLDEQEPKGIKSSTTETPSSPKHERNQTVLRRDTAETTSSATCEKMEQMVDPLHAMLLDMIPSLGQMKTDVGNRVAEAKAETNPPWVGSSTSSYVAPVPQASASSASSSGVPAPHAGSSTQSTGVPAPDPTAGAPKKKKVSYKDVAGALLKDW >OGLUM04G24460.1 pep chromosome:ALNU02000000:4:27892011:27910096:1 gene:OGLUM04G24460 transcript:OGLUM04G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWNGISTDYRVNVEKRSVQLTAPDDRVENDKLGAAEEELVSKAEAAAADVGASIGKVGAASAVENTSGHQGEGLTKQQHYQPKNKTKNKKFKISAVFCPRMITQLDAYTTFYRYKYKLSEKKTIAQGTFCQNNKLSAPVTESFLPVKLMKEKEY >OGLUM04G24470.1 pep chromosome:ALNU02000000:4:27895463:27897423:-1 gene:OGLUM04G24470 transcript:OGLUM04G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSTAMPYTGGDIKKSGELGKMFELHAVKSRKSGPLSNAPSRNASFGGAASNSGPVPNAGDRSNYSGSLSSSVPGASGSARAKSSSGPLNKHGEPVKRSSGPQSGGVTPMARQNSGPLPPMLPTTGLITSGPITSGPLNSSGAQRKVSGPLDSAASKKTRATSFSHNQAVTKITTEDSYSITGSLSKLILGAVGVLFVLGLIAGILILSAVHNAILLIVVLVLFGFVAALFIWNACWARRGVIGFVDRYSDADLRTAKDGQYIKVTGVVTCGNFPLESSYQRVPRCVYTSTTLHEYRGWDSKAANTQHHRFTWGLRSMEQHAVDFYISDFQSGLRALVKAGYGARVTPFVDESVVIDIDPDNKDMSPEFRRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQKNDNVLMIVPPPEPISTGCQWAKCVLPRDLYGLVLRCEDTSNIDVIAV >OGLUM04G24480.1 pep chromosome:ALNU02000000:4:27897489:27898801:-1 gene:OGLUM04G24480 transcript:OGLUM04G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIGCSMRRIAVHIKTQI >OGLUM04G24490.1 pep chromosome:ALNU02000000:4:27902419:27902847:-1 gene:OGLUM04G24490 transcript:OGLUM04G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVLNGRRGADFSNGRSDISRCRFRLGDELLLGGAELVVLDAVIWGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYRNTLPPPHPNTVPPQGRVGTSRYPVMTAVGFYPLNGDDLVPLWPAVR >OGLUM04G24500.1 pep chromosome:ALNU02000000:4:27908504:27910896:-1 gene:OGLUM04G24500 transcript:OGLUM04G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSRTLALARADSAAVPSYGRLHVRGVSSKVEFIEIDLSSEDAPSSSSSSGVEGGGFGPREMGMRRLEDAIHGVLVRRAAPEWLPFVPGGSYWVPEMRRGVAADLVGTAVRSAIGAAWNAEAMTEEEMMCLTTMRGWPSEAYFVEDPLRNRKLGAVEFC >OGLUM04G24510.1 pep chromosome:ALNU02000000:4:27911281:27915918:1 gene:OGLUM04G24510 transcript:OGLUM04G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGPSIHRRSGSFGWVRSNPQNTELALAASPMAEADAQTQSRAHSSTAAPVAGETAGEPVGFPQNGATNGAPLMFPVMYPMLMTGMHPQQSLDDQAQGPGIYAIQQNQFMGSTLMPLTYRIPTESVGAVAGEEQAQDARQQHGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASVIYLYQTGAITPLLRWLQRAGGAAARPPQAPARPENRAPLAAQNDGNVQPPGGNLADPANNDQAAENQEPGAAAANENQQEVDGEGNRRNWLGGVFKEVQLIVVGFVASLLPGFQHND >OGLUM04G24520.1 pep chromosome:ALNU02000000:4:27915056:27918895:-1 gene:OGLUM04G24520 transcript:OGLUM04G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAAPTHIRFSSAAPPSAAALRRPRRRCATPVRCSLAAAPGLRAPPELIDSILSKVKGTDRGVLLPKDGHQEVADVALQLAKYCIDDPVKSPLIFGEWEVVYCSVPTSPGGLYRTPLGRLIFKTDEMAQVVEAPDVVKNKVSFSVFGFDGAVSLKGKLNVLDGKWIQVIFEPPEVKRAMPMRVLTVGKKRSRGAQLIVEEYKEKLGYYCDIEDTLIKSNPKLTSDVKVQVEAEDMAMMLQLKPEDFVVVLDENGKDVTSEQVADLVGDAGNTGSSRLTFCIGGPYGLGLQVRERADATIRLSSMVLNHQVALIVLMEQLYRAWTIIKGQKYHH >OGLUM04G24530.1 pep chromosome:ALNU02000000:4:27920145:27924533:-1 gene:OGLUM04G24530 transcript:OGLUM04G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAHQLWQLPIKDMKSAPLPRGRTSPKKHLCILVVAFVSIVTLWAYLYPPQHYTSPMRDWLPAEPVRELTDQERASQVVFKQILSTPPVKSKRSKVAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHASPLFIDRDIRSEKVVWGKISMVDAERRLLANALEDVDNQHFVLLSDSCVPLHNFDYVYNYLIGTNISFIDSFYDPGPHGNFRYSKHMLPEVRESDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCKPGMEDGRNCYADEHYLPTLFHMIDPNGIANWSVTHVDWSEGKWHPKAYRANDVTYELLKNITSIDMSYHITSDSKKVVTQRPCLWNGVKRPCYLFARKFYPESINRLMNSFSNYTRF >OGLUM04G24540.1 pep chromosome:ALNU02000000:4:27926890:27930331:-1 gene:OGLUM04G24540 transcript:OGLUM04G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAVIAAVLVFCLCFVEVARCDVVAAAVSPSSPEQAQELQMLRAKVASLEDEISGRKEETLQLENVVREKSAQIAALVSELEVLQVPNVADDESVLKANAHNEMLEKQVLRLGSDLEDQVKKGESLEARASEAEKSLLELTQKLDHAEKINMEQKKKIEELNHSLRQVQDKLFEVEMEAKLKAEELMKVHGMWLPHWVMARFVYCQDLASDKWQLHGKPVLDALAQKKSVPAAKAHLNSLKKSTDVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPRLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDNGLLKSDSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGSGGTRSKKASNRRRTQRVDS >OGLUM04G24540.2 pep chromosome:ALNU02000000:4:27926890:27930331:-1 gene:OGLUM04G24540 transcript:OGLUM04G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRAVIAAVLVFCLCFVEVARCDVVAAAVSPSSPEQAQELQMLRAKVASLEDEISGRKEETLQLENVVREKSAQIAALVSELEVLQVPNVADDESVLKANAHNEMLEKQVLRLGSDLEDQVKKGESLEARASEAEKSLLELTQKLDHAEKINMEQKKKIEELNHSLRQVQDKLFEVEMEAKLKAEELMKDLASDKWQLHGKPVLDALAQKKSVPAAKAHLNSLKKSTDVYASAIATRSTQAYRVCRDTIQPSMAKAQEFADHYWQESKKFTTPYITKVVAASEPRLSRVCAVLEPYTRPVISAWRKLVMSASVPHRQVQKGIKHFVNDNGLLKSDSADRFAWFTASALVALPMFYTYKMLSAAIWRKAVAAQGSGGTRSKKASNRRRTQRVDS >OGLUM04G24550.1 pep chromosome:ALNU02000000:4:27931604:27932164:1 gene:OGLUM04G24550 transcript:OGLUM04G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRGWPRARLCRWLQSRRHELFCGHRCGGACVGDVKMLAKALPCFWPVRQRRRPWVSFPSLEALSWHSFISSQISPGENLVPIFGRVAVASRIVSSLGASLRRSSNASMTIDGFAFLGPLLSCGGRHALRLFLLMKSKLLADGVRRRLATMTCCSLFQGVLVLAV >OGLUM04G24560.1 pep chromosome:ALNU02000000:4:27933353:27942358:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNILLEALLASLFCLTASKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVARNSKMLNM >OGLUM04G24560.2 pep chromosome:ALNU02000000:4:27933115:27942358:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNILLEALLASLFCLTASKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVARNSKMLNM >OGLUM04G24560.3 pep chromosome:ALNU02000000:4:27933353:27942358:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVRLPPFVSTATSSLAPEDRTCARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNTKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVARNSKMLNM >OGLUM04G24560.4 pep chromosome:ALNU02000000:4:27933353:27945552:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVRLPPFVSTATSSLAPEDRTCARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNTKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVARNSKMLNM >OGLUM04G24560.5 pep chromosome:ALNU02000000:4:27933353:27942404:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPDLSGRKRWAGEPANHSSSGAPTQEKEQVDGRARWILQVQVRLPPFVSTATSSLAPEDRTCARLSMLSAFKERGKQTVEQGVTNTFGNVVFAGLAYLTSYLKAKKHNSCIFSKNTKMPKGVGALTIALKEGAVFAACAGQILQAFPFWWKWNSMCHRKAEIVRSILAIIVVIYQPIQSSNRALADLPSLLQKSPSPSVARNSKMLNM >OGLUM04G24560.6 pep chromosome:ALNU02000000:4:27942298:27950529:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILGRGDMGGDHHRLPPSSTSPPPEQTAGNRTAARKAAAGPSFSLEGAADAHCTARRGRADTVLLCTTRAAGNMFFVSVIVGTRELDSPSH >OGLUM04G24560.7 pep chromosome:ALNU02000000:4:27945395:27950529:1 gene:OGLUM04G24560 transcript:OGLUM04G24560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHHRLPPSSTSPPPEQTAGNRTAARKAAAGPSFSLEGAADAHCTARRGRADTVLLCTTRAAGNMFFVSVIVGTRELDSPSH >OGLUM04G24570.1 pep chromosome:ALNU02000000:4:27952374:27952806:-1 gene:OGLUM04G24570 transcript:OGLUM04G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELTEEDGEERGRGTVEASSAGELHHGGDAGAESPPRCTALVLWLGGPRVAEWVKIGPRPLRSPWALGHLLTLIFRFGCWMDLHLRTFRRAHHRHVLLCHACTNSTIAR >OGLUM04G24580.1 pep chromosome:ALNU02000000:4:27953135:27962359:-1 gene:OGLUM04G24580 transcript:OGLUM04G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTLQRVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLDWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSKCETVNTRHAGEAVIDISTLSPPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFEIISAYANVGYSLGYSCERLLKLDATCKATSYGFVGKWTDEDTLKRIKLYIAMKLLLPNSEVLRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDKQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIESNSPANNGDHKITECGQSEETMSQNQVQQNKSITYNPCAVLVRIVTDARNVLKSKEINMYTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNSISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIIRQQTEITPFYFHSAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >OGLUM04G24580.2 pep chromosome:ALNU02000000:4:27954653:27962359:-1 gene:OGLUM04G24580 transcript:OGLUM04G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTLQRVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLDWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSKCETVNTRHAGEAVIDISTLSPPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFEIISAYANVGYSLGYSCERLLKLDATCKATSYGFVGKWTDEDTLKRIKLYIAMKLLLPNSEVLRIYWEKAQHLCGFLSMKLISRARCVASSVKQSYSFLNLKPQGKPGPKDLDLLFTSVSTLTVSSMATVEMEDLSDKQLWVLILLMLMGGEVFTSMLGLYFNNANANRNENSQRSLPSISLDIESNSPANNGDHKITECGQSEETMSQNQMQEMY >OGLUM04G24580.3 pep chromosome:ALNU02000000:4:27953135:27962359:-1 gene:OGLUM04G24580 transcript:OGLUM04G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTLQRVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSKCETVNTRHAGEAVIDISTLSPPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFEIISAYANVGYSLGYSCERLLKLDATCKATSYGFVGKWTDEGKLMIILVMFLGRWTEEGKLIVILVMFLGRLKEFILK >OGLUM04G24580.4 pep chromosome:ALNU02000000:4:27953135:27962359:-1 gene:OGLUM04G24580 transcript:OGLUM04G24580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHGTLQRVKHLHVFISMRLRSLSKCAVCFFRRCFWFFMFQSNPLIVQLVYFISISFVGFLALKILKPRHKPTPRDLDLMFTSVSTATVSSMATVEMEDFSNQQLWVLILLMILGGEVSISMLALHFNNAETNTNEVLPKRSPSTRRNIESFDAVNDSNQNSSQGFQSEATISLDWVQGSRTMKQKCRNMLAHIVTGYFIAAVVCSSLVIIIFAQIDSDTRQLLKSKDIKIWTFSIFTAVSSFANCGFTPVLAGNTILSPLLRLSIWILRKVSRREEYAYILQHPEDTGYRYLQLQKNSVNMVLTVGGLVLLQVMFLSYFEWNSKCETVNTRHAGEAVIDISTLSPPILVLFALVMYYPSDNSALPINADNKPLTERGRNSNDQAIWKNFIISKSACLAIFTIIACITERKSISTDPLNFNIFSIAFEIISAYANVGYSLGYSCERLLKLDATCKATSYGFVGKWTDEGKLMIILVMFLGRWTEEGKLIVILVMFLGRLKEFILK >OGLUM04G24580.5 pep chromosome:ALNU02000000:4:27953135:27954635:-1 gene:OGLUM04G24580 transcript:OGLUM04G24580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFCIFTAVSSFANCGFTPLNSNMQPFRKNWVLLLLVIPQILAGNTLFSPLLRLCVWVLGKVSGKAEYAYILQHPGETGYKHLHVRRNSVYIVLSVTGLILLQVMFICSFEWNSESLEGMNWLQKLVGLLFQSVNTRQAGESILDISTLSPSTLLLFAVVMYLPSDASFLTANADNQPLTDKKTNSISRALWRNFTVNKLSCLAMFTFLACITERKSISSDPLNFNIFSIVFEIIRQQTEITPFYFHSAFGNVGYSLGYSCQKLLKPDATCKDASYGFVGRWTEEGKLIVILVMFLGRLKEFILK >OGLUM04G24590.1 pep chromosome:ALNU02000000:4:27963346:27972905:-1 gene:OGLUM04G24590 transcript:OGLUM04G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSRRALAGGALSMHVAYFLAISCLGYGLLGVLKVREPGAAPRRIDRFFTAVSAATVSSMSTVEMEVFSNGQLVVLTVLMLLGGEVFVSLVGLASKWSKLRSDAMDRSRRVESHGDVALADIDGGDVENPTSSGEEAASRRRPMDADTLRHNAVRALFYIVLAIFAVVHVVGAVAVAAYVLASPGARRTLGDKSLNTWTFAVFTTVSTFSNCGFMPTNENMVVFKRDAPLQLLLVPQVLAGNTLFAPLLAACVWAAAAATRREELVEMAREGGRAAAAGYAHLMPARRCWMLAATVAAFVAVLMALVCGMEWGGALQGMSPWEKVVNALFLAVNARHTGESTVDLSILAPAILVLFVLMMYLPPYTTWFPFEENSTTKDSNAENQGIRLLESTLLSQLSYLTIFVIAICITERRKLKEDPLNFSVLSIVVEVVRQVRLNGFLPEKKNADQVTGGTTISGVKLGISRYKVKEIHHFTQDDLMAEDIFVLDCRTDLFVWVGQEVDAKLRSQAMDIGEKFLLHDFLMENLSQDTPIFIVTEGSEPQFFTRFFTWDSAKSLMHGSSYQRKLAIVKGGATPSLDKPKRRTPAFSGRNAGQDKSQQRTRSMSHSPERHRIRGKSPAFTAIASAFENPSTRYLSTPPPAVKKLFPRSGGSELPKTSSKQSAINALTSAFEGPTKSTIPKSVKASPEAEKAIQEEGSTIGESENEPEDDENSTIYPYERLTTTSDDPAPDIDVTKREVYLSSVEFAEKFGMTRASFKNLPKWKQNRLKSDLQLF >OGLUM04G24600.1 pep chromosome:ALNU02000000:4:27982077:27987694:-1 gene:OGLUM04G24600 transcript:OGLUM04G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonic acid kinase [Source:Projected from Arabidopsis thaliana (AT3G10700) TAIR;Acc:AT3G10700] MVAPGGGGGGAASWPSEGELDVVRAKVVEISGRGAGEVRVVACPYRICPLGAHIDHQGGTVTAMTINYGVLLGFVASDDAEISLQSGQFEGVIRFRVDDLQKPIENPENINWESYARGAVYALQNFGYDLKKGIIGYISGVKGLDSSGLSSSAAVGIAYLMALENVNDLVVSPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKGCNITYRRRVDIIREFLSAKRLPVLFYVLQAVKMHQVFFVMCILEENLARRAEHYFSEMKRVVKGRDAWARGDLREFGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAVVESGQAEAAAAFVRAEYEKAQPELVSKIPPGRRVLVCEPGDGARVI >OGLUM04G24610.1 pep chromosome:ALNU02000000:4:27990847:27991471:-1 gene:OGLUM04G24610 transcript:OGLUM04G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESYCNLMLDLHREFPDELREAAAGLIYASARCGDVLELQEAKGCHGALARRVQGPRRRQETAGRRAVRQGHEETIAGDEVSGDGKKPGRREEGSLGSPAVEEEKEKARREGVMASWGLAGGHRRRRCALATDAAQPSFSPRHVAREVNGRWWDPRMASEWQVE >OGLUM04G24620.1 pep chromosome:ALNU02000000:4:27998182:27998724:1 gene:OGLUM04G24620 transcript:OGLUM04G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLNPGGGGGKKSNKITEIVRLQQMLKKWRKLSVAPKDAAATAGNGGGNGGAGESKAKKFLKRTLSFTDGGGASPAGTPPPRGHLAVCVGPTAQRFVIPTDYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPAFEAILKAVEKNKKDNAAAFCYCSYEYAADEVALGAPNNPLCR >OGLUM04G24630.1 pep chromosome:ALNU02000000:4:28006431:28012960:1 gene:OGLUM04G24630 transcript:OGLUM04G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore complex protein-related [Source:Projected from Arabidopsis thaliana (AT5G05680) TAIR;Acc:AT5G05680] MTRITAPPPPSSPPSSPPPLRHSPAALGTPRSRRRHSPSPSLALTPSSSASASASASASSRPKVRPSPRRAYAAAQWVALPSHPAFSRGDGGEGLGGGGGGAAWDASASRLYVWDPSARGVHRICVRVRDAEAGKDGEDVAVEAAVPSEMLMPETDLGYKVTHLSLNTDGSSLLLAGSHNISILYVHERVSEDGDKVICRTVPVASQILPSNNDGIKVLQTSWHPFSSSHFGVLTSDAVFRLFDLSFDLEQPEQEFYLQPILPGKCQNASSICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPIVPFGSDYNKKHIQEIYEDVNSFGLKSSNQNVVTNSRLAIAWLEATFPDLSRQPADNSALMSRAHPYASLDDSLTLQGPLCRVCEENNEPESKSNSCEGKAVGFVYSSVGKDSILVTAWGSGQLQIDALADEIQPQWSIGIPTRLNVDSHGQIKSVAMICDSNSEDSWAMRSYRPSSTGSNVKSNTDAVWMGHSPPLLRLAIVDLALAKTSNDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNNEANSPFLSGFVTIADAYGHVQLVSITCPGECFVVEMKGWKEPTPLQLDIDSKSIKDVESFTTGMISKELIAGPDPPIVPSSSSLRSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHGDYLKTEIEDKQKRLQAVKQSLLSIEAKDQDINNRINRAFKVYDLLEKRIEGFKILPAANKKPLSQAEQEFKAQLDRFADVELDALRSSITALSARMKRFAHPAIGSAAGTGMSTWQTPKVGRSHVSDSQMSLLKSSLEKLSLLNEENNVKLRLIEHELKNQEQ >OGLUM04G24640.1 pep chromosome:ALNU02000000:4:28013835:28019461:-1 gene:OGLUM04G24640 transcript:OGLUM04G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVATVSSASGILAMLQEPAEELKLHALASLNSVVHLFYPEISTSIPTIESLYEDEDFEQRQLAALVVSKVFYYLGELNDALSYALGAGPLFDISEDSDYAHALLAKALDEYASFRTKASKGAEEEENVDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLELAIVRCDNIHGALSYCINLSHQYVNHREYRFEVLRCLVKIYQTLPHPDYLSICQCLMFLGEPETVANILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASQTPESNPDSGSALPDDQAANAGTGSTEPAGDVQMRDDTATPNGSSHTVDPNEAVEMRNSVCHSATICANAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGSGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSAVKLPTAILSTYAKAKSRAKKDAESKANQEKATEDASGSSSSKATKTQEKDADAMQVDNAAEKKAPEPEPTFQILTNPARVIPTQEKFIKFIEGSRYVPVKPAPSGFILLQDMQPTEAEVLALTDAPSTVAATTGSAAAATGQQASSAMAVDDEPQPPQPFEYTS >OGLUM04G24650.1 pep chromosome:ALNU02000000:4:28020413:28023567:-1 gene:OGLUM04G24650 transcript:OGLUM04G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase C-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT4G31240) TAIR;Acc:AT4G31240] MGETAEGVEAGEKYVSIPQLAGVGTLLSNGGKEIPLSSIEGKRICLFFSAHWCRPCRNFTPKLLQIYRKLRNTCKNMEIIFISLDRDEISFLDYFKGMPWLALPFDTGLRQKLCVQFDIEHIPALIPLSTTLSHGFRVEEDAVKLVEEYGVDAYPFGAKRRSELEGMDDARRQGGNLLQLLGCKEREYVISADGIKTPISDLNGKTIGLYFGAHWCPPCRAFTKQLREAYDELKALRPGNFLVIFISMDRNEEEFQASLSAMPWFAIPYSDTTVQELSRIFTIKGIPTLLILGPDGKVFKTDGRRIISKYGAMAFPFTESRAYELEEVLKKERDSLPHRVRDHRHEHELELDMAKAYVCDECQQKGQNWVFSCKQCNFDLHPTCAQESTDLSTQLFHQTPSVQPCRLNLKTLREEYHLIFTNSNRKTSRPQSSYTRQQRAIRSTYHNFTSTSTNQMWPQLKTLRFLNGEPENSDISSIHVAFADLAGKIRGEDDKRD >OGLUM04G24660.1 pep chromosome:ALNU02000000:4:28024607:28027208:1 gene:OGLUM04G24660 transcript:OGLUM04G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMSCCMLRPSANTVRLTVEETLQKRVHACKVFVVGSQRRRVRNWVVFAKRRRRSSRRQHDVLDAFDGEEELPNDEKFKEWKGKALSRMGTLWICHGWMSQVSGST >OGLUM04G24670.1 pep chromosome:ALNU02000000:4:28029348:28034348:1 gene:OGLUM04G24670 transcript:OGLUM04G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQQAQDSADHMEPLESRLVVEKSPSTSVSVKKQILSSEDHNLSSTALHGASSLKSTKSAQEKGSFLGKGGEQHFIYQPNVYTPQPHTVFSGGYLNHLGQWEEYPHVASADGTDAASPVMYSSYSPVPTMGDSQPYYPLHCPLSSPYYQPPASPSMGYSNSATGMSQFDPVQEYYLPDGLLYSPTPGFHQHFGSFDGTQMQQSVTGIFGQGNIPLASGMHQGSMYSSGSYKARQQVGNFGGSTPNWSAASRRFSPFDRGFKHDKGSLEFMNEQNRGPRATKPKKEVNNSSTEDKNRKSALINDSNLYNQHDFVIEYEDAKFFVIKSYTEDHVHKSIKYGVWASTASGNRKLDAAYREAKEKEATCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIVKDVPNSLLRHIILENNENKPVTNSRDTQEVRLDHGLQMLTIFKNHEVETTILEDFDFYEQREKAMLDIRQRQKQQHTDSEVQKPMVEAKEPVDLMNQISATFARAVQLGETKGSREDKPKVEDASAAAVAVPENEPVALAETEGLLADSEPSPLKESG >OGLUM04G24680.1 pep chromosome:ALNU02000000:4:28034270:28037368:-1 gene:OGLUM04G24680 transcript:OGLUM04G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGPKFAGMMGGVVGGAGGHDDIGGNFCDMAYYRKLGESSNMSIDSLNSLQTSTHGGGSVAMSVDNSSVGSSDSHTRMLNHPGLRGHVAANYSVGHSIFRPGRVSHALSEDALARALMDSRYPTETLKDYEEWTIDLGKLHMGMPFAQGAFGKLYKGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHPNIVKFIGACRKPMVWCIVTEYAKGGSVRQFLMKRQNRSVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPVLSEIMTRCWDPNPDVRPPFTEVVRMLEHAEVVILSTVRKARFRCCISQPMTTD >OGLUM04G24690.1 pep chromosome:ALNU02000000:4:28040965:28044838:1 gene:OGLUM04G24690 transcript:OGLUM04G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGTNGYVQTNKFFIKPRSSSAGGPPRAAPAPGFDDAGGGLGGMRKPNKEILEHDRKRQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEAAAAAAAEEEGRGAGRQPLPGKGFSDTQSHHVAARKEKQLETLRAALGLDVDDKAKKNADVESDVESGELVPGKEYEGLEIDGPEDGKTLKDDRRHAKKGKKDKGSDAKSHGKSSRKIKLGHDSDSDHDDNKKKKKNSRYGSEDDSEAKYNEKKHSKHLKNSRHDTDDDSESDHKNAKCKKSSRHDSESDSESDHKNARRGKSSRHDSESDSDGDYGKKTTKHGKNDRDERKKRPATISRRHDSEDEKPRKGKRMDDSDTDSEGDGSFSESDSDYDRKKKKSTNSSRNESKDDKPRRKAPKDKYSDGPESGSDSDHGGKSKYSKISRRDSELQSRKDADKQDQYRDKLKSEFASDGYGEKKSVKIPYNDLKDDKPSSKVVRKDRYSDESETDSETYVKKKKNLPKSYHHSDKPAQRGKEKEENSGKNVDKRKRHDTDSDSDSHARERKRHLDARASLAAQKKRSVTSSSESSESSDYSSSSDSESDVSSDSREDRKSARQLKHDELERKKRSQDEKRKELEKQKQEEERKELDRQKQREEERKAKELEKQKQREEERKALEKQKQGERDGDRYERDHEGRKGENDVERDYKRKVGEDRYDPSRRQDEDRYDPNRRHDEDRYDPNRRHEEHGRRRNRDFDSRDPKRSRHDDSYHHSRRDYEQRYSRDEYRDRRHR >OGLUM04G24700.1 pep chromosome:ALNU02000000:4:28050750:28054098:-1 gene:OGLUM04G24700 transcript:OGLUM04G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYTWGVVSDNYGRRQERISLYRHLGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWVNKKVPCSAFSFKEAYILIVKMYTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >OGLUM04G24700.2 pep chromosome:ALNU02000000:4:28050750:28054098:-1 gene:OGLUM04G24700 transcript:OGLUM04G24700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYTWGVVSDNYGRRQERISLYRHLGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >OGLUM04G24700.3 pep chromosome:ALNU02000000:4:28050750:28054098:-1 gene:OGLUM04G24700 transcript:OGLUM04G24700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >OGLUM04G24700.4 pep chromosome:ALNU02000000:4:28050750:28054098:-1 gene:OGLUM04G24700 transcript:OGLUM04G24700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQSATYSVDDALLSSGFGRYQILILSYAGVGLIAEAMEMMLLSFVGPSVQRGFLFTAIVTSGAGFLSAFAPNYVSLISLRFLVGIGLGGGPVLASWFLEFVPAPTRGTWMVVFSAFWTVGTIFEASLAWTVMPKFGWRWLLALSAVPSFVLLLFYVITPESPRFLCMKGRVTEAMDVLEKMARLNNVQLPSGRLVSDKNIELDELSTSESTTLLADAEEDDTIIEDKGSSFGGLSVAKLLSPKLIRATLLLWMTFFGNAFSYYGIVLLTSELSNEIPGSFLSAMIVDRIGRKLSMASMLFTSCVFLFPLIFSRTDILTRVSLFGARLCISASFTIVYIYAPEIYPTSVRTTGIGVASSVGRIGGILCPLVAVALVHSCQQTTAILLFELVIFLSGLAVSFFPFETKGCRLNDTEVVMN >OGLUM04G24710.1 pep chromosome:ALNU02000000:4:28058978:28072678:1 gene:OGLUM04G24710 transcript:OGLUM04G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEAINGHGHEVTVKVTSTSTVAPALPVQEHRLPLSNLDLILPPMDVGVFFCYAGEGSGGGGGGALPPAATLKAALAKVLVAYYPLAGEVVANARGEGELLCSGRGVDFAEATAGDAVLRQLRLAVVDESAEKLVPKKKAGVMCVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLAAAGPGRTKLEAFTAHLWQLHARAASSPPRHRRSCCMGVVVDGRTRLRCDGAMGAYFGNVLTIPYGAMSSGDLSGMPLADVAGDVHRWVAEAATRDHFRGLVDWVEQLRPEPSVARAYLGGDGGAEAAACVVSSGMRMPVGEVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHAAAEVLKAMEEEETTVFRALESDYVFGPLSSTVSSTLHYTRRYISNMVKEEQGGEVAMAAAAAVTTTVAPALPTQEHRLALSNLDLLLPPLDVSVFLCYRRPAPSAAALKEALAKALVPYYPLAGEVAANGDGEPELLCSGRGVDFTEAVAGEEDMRGLRIGMVDERVEKLVPAKKAASVMAVQVTKFKCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARDGHGGATPPPPTIPSFRRSIVAPGDPPPPRSPSTDELIDRLFAPLGSAPPPPADAAAAAVNRIYRVAAADVAALQDLAGPGRTKLEAFTAHLWQLNARAAEAASAAERERPCCMGVVVDGRGRMFPDGAMRAYFGNVLTIPYGVMGSGELRAAALADVAGDVHRWVAEAATGDHFRGLVDWVEARRPKPAAARAYLGGTGGGDAAACIVSSGMGFPVGEADFGTGAPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVSPELAAAMEEEPTVFRSLDNSYFHKEAGRKTKEGDSEDSTATTTTAPVALAPKSQMAFLRVRILGLGEENGRRMWRPTGGER >OGLUM04G24720.1 pep chromosome:ALNU02000000:4:28073299:28074472:1 gene:OGLUM04G24720 transcript:OGLUM04G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDVKPVAGLSSSKSTPANL >OGLUM04G24730.1 pep chromosome:ALNU02000000:4:28076217:28079846:-1 gene:OGLUM04G24730 transcript:OGLUM04G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMERQSASSSASCSPSSSAAGTSSSSSACGGKKRPDILNMIRSATCLNSSSTDTGKGRSKQSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYDKSHELGLFAIFDGHLGDSVPSYLKANLFCNILKEPIFWTNPQEAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWVANVGDSRAVVCERGAANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVRHVPINSSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >OGLUM04G24740.1 pep chromosome:ALNU02000000:4:28089145:28104338:-1 gene:OGLUM04G24740 transcript:OGLUM04G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPSPAAAPIQVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPKPPPSSSSSAAATPAPPAPAAPPPPTSRRGGGGGAALPPPRAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGVELAIDLAKLHNFLASSNNNAAAAPPDNVPPASGPASRAPLVPAPPPAPFPPVPTPGMTQAPQMVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGQEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLVEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGVLTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGIVDIKAKNTVHIDSLSGASTVLFTFTIDRGVTWETAKSMLDERQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALANQVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESAYSGSKILMTDMDRLDLFCSHSYWWCRSLSDVRCSSSEVRHI >OGLUM04G24740.2 pep chromosome:ALNU02000000:4:28089145:28104338:-1 gene:OGLUM04G24740 transcript:OGLUM04G24740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPSPAAAPIQVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPKPPPSSSSSAAATPAPPAPAAPPPPTSRRGGGGGAALPPPRAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGVELAIDLAKLHNFLASSNNNAAAAPPDNVPPASGPASRAPLVPAPPPAPFPPVPTPGMTQAPQMVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGQEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLVEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGVLTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGITVHIDSLSGASTVLFTFTIDRGVTWETAKSMLDERQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALANQVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESAYSGSKILMTDMDRLDLFCSHSYWWCRSLSDVRCSSSEVRHI >OGLUM04G24740.3 pep chromosome:ALNU02000000:4:28089143:28104338:-1 gene:OGLUM04G24740 transcript:OGLUM04G24740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSPSPAAAPIQVRCAGCRGVLAVAPGMTEFICPKCGMAQRLPPQLMPKPPPSSSSSAAATPAPPAPAAPPPPTSRRGGGGGAALPPPRAQGVDPTKIQLPCANCQAVLNVPHGLARFRCPQCGVELAIDLAKLHNFLASSNNNAAAAPPDNVPPASGPASRAPLVPAPPPAPFPPVPTPGMTQAPQMVPGALIPMVLPITDPPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPIVETSSLSAVQPPEPTYSLNIMDELDETKALSCLQIETLVYACQRHLYHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSIGSDLKYDARRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGQEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFAQFLGSLEKGGVGALELVAMDMKARGMYVCRTLSYKGVDFDIVEAPLEERMMNMYRKAAEFWAEFRLELLSAGESFTEGISNQIWRLYWASHQRFFRHMCMSAKVPAVVKLAKEALAENKCVVVGLQSTGEARTEEAITKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIHRKRHSAPDVSFKGRVRKVAKLVEVSDDDSDDYSPSESDHGSTESDEEFHMCQICNTEEEKTLLLHCSGCSRHVHPGCLTPPWTGVLTDDWSCYTCKKLEGEENEQDAHVADFSQRYDAAVEKKKKILDMIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDGKKLISIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTIMYRGIMEQDSFPVVPPGCSDNQSSIQEFIAEAKAALVSVGIIRDAVVCNGKVAGKLSGRIVDSDMHDVARFLNRLLGLAPKIQNRLFDLFTSILDVVLHNARIEGQLDSGITVHIDSLSGASTVLFTFTIDRGVTWETAKSMLDERQKDGAGSSNDGFYESKREWMGGRHFILAFEGSIEGMYKIIRPAIGEALREMPLTELKSKYRKVSSIEKVNKGWQDEYDASSKQCMHGSKCKVGSYCTVGRRLQEVNILGGLILPVWGTIEKALANQVRQSHKRVRVVRLETTTDNQRIVGLLIPNSAVESAYSGSKILMTDMDRLDLFCSHSYWWCRSLSDVRCSSSEVRHI >OGLUM04G24750.1 pep chromosome:ALNU02000000:4:28104695:28115922:-1 gene:OGLUM04G24750 transcript:OGLUM04G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRAAASAAAEGGSPEPLEVRCAGCGETLEVEPGLTEFICPDCAMPQSLPPEFMPPPPPRRKALPLPRGAADVRGARLPCGACGALLSVPVGLARCACPICAAELAVDTARLRHYLLSSAAVEGAVPVVPIGTSSPPPILQVREAHEEHPNIASRTGLIQAEPNDPLNRMEQGHTKRPNQLPEQSDPYRSDYMMDGEGIHEANEIIRRHHKQRNRESVGRGIISAEKTQEKPLNQFRHQAQDQHSSYAFRMKQTHLDHRDRVNEVQADSIDPTVFSESRCIALIDETTATRINCTTVHSVGPKPINVDKNQAQAPNSKRIIQQAQKQPSYIAISQENAQDKHADGVIHVQEKQLRTASQVNHRQETCAQLDNQTVAGDSSGRIRCPEKEQSEPFSCTIRKRKTKSLLANSNSGLELRRSKRLAKDSPAAKDKKHSKNKSFEQQVSQNDQVSAAVIDTESIHRDPVERQAASSTGHMPAAITDSEPSENEPDDLYMPSPDQSLSNSPDIDRIINSICPSSSPRHKTPEKVSNEFDNVQLTTPPPSDIDMSDPEHFACNYVPQEVRKALAKLRSSNSSFERVMSQASSGDVHALSDSEDCDERWNVGTKRNQGCGRGRGLTLCLKVWNLPKGVRIPVLLNASGEPVGKEAGTLSTFLGALARDGILAPLTHQDWRRVPEKNKDVMYHIVKLKFDIAPAAEFWIVKCIGRKWKSWKALLKQKHYDTHETVEECLADQNPRVLKEQWQYLVAYWGTEKAKAASSRNKACRANVTATHTAGTKSFARIIEEEKQKRPNNEEPTAADLFLLTHTHRNGKPMKKEKADIIVRHKEARVREQSHKQAECSGSDSAAHKVGLESCSIGLRGKRGHRRKAVLQSSFKEAEEAKRKAEDEAATLRKKMVAMEESQKKLQEDLANMKSTVSAMQKTTSTGDLSDGQTQNFPQAMAPPPPPVAMAVAAADEEPDEILEVGCAGCGETLEVERGLTEFVCPDCATPQSLPPDLMPAPPPPRRRALPLPRGAADARGARLPCGSCGELLSVPVGLSRCACPLCGAELAVDPARLRNYILSSAATAAVPVPVPVPVAPAPAQPILGGREAWQRRPNSAVRSGFARAEADDRLFPLERSRIQHPDRLIHLQQDEEEYPDGVFGGEEAHEIVSSNIQQRNRCSVEPGIVSVKNRLSPIKAARHQVHDQRSSYGTQRKPAQLARLHRVIHSEEVQEGPLSHEVYREASHAELIYETATTHSNRRIMCSVAPEAVGSVDKRRIEHTNQITQKRQKHTAHAIRAEHTQVGCLDGAIHAEEAQPEPVDQANHGEEGCIQVIDKTTARGDSWKSGCSVRHNTVSAGKRKTSTADQVTKQTQTNQSYANDAEHAQIEHPDQEIHEAVSQTTHREAMCFRPRKDSSARHSERDIVHVVDPKNNVNKRQIEPLSHVIQHTGHTSDINNHAMQVDFDPQSKHIGRKGKPKTGTGVDSNLTLKNQDLLVSPNQLSHINQKHMPPNHEAQKKHINVENCKQPSSQAQEKNRKGLMASSNSSLHLRRSKRLAKDSVAVVENEPVENDPVDLQVSSPNCQVSAVAMSSEPIEQEPILHQSPSPNCEVLVSTTDAESVESEHHEHCAFSPHQSMSDPPDIDRIIAGLCPSTSSVHEKPREISSEPDDPYLATTPSNPDMSDPERFAQHYCQVFPLEVRRALSKKRSNSLLNHLVSEECSDEEFVHDFPDTEQARDCQKPSGQNIGSKRKKGHRRGPTLCVKVWTLPEGVRLPVSLNNSGFPVGKNAAMFGNFLGTLARDGILAPLTYKKWKSIPKENKDVMWHIIKLKFDVAPSSESLLLKCIRTKWRNWRCNLKRKHYDSHITEEERLADCDPRVLKEQWRFLVAFWNTEEAQAASARCKASRAKSTYINSTGSKSFARILDEESCSRDKARKCSDDVTAMGEKRRGRMHNHEPGASPSGLKEKAALKASFKEAVDAKEIAENEAAILRKKMMVMEETQKKLQEDLANMRNTVSAMQKMMSNGGLPDGLMGASTAPPSFPQGQNASSSRDDLQSPYIDYSVLLNLVGGCEYTVENKNGASL >OGLUM04G24760.1 pep chromosome:ALNU02000000:4:28117397:28122239:-1 gene:OGLUM04G24760 transcript:OGLUM04G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGGQPEFVEVRCAGCGETLEVEPGLTEFACPDCGTHQALPPELMPPPPPRPRRALPIPGRGPPPAAVVHAPVPVPVQVPAPARMPCGGCCALLSVPAGLVRFACPVCAAELVVDGGRLRLYFASPAAPTVSVVAPPPAGVTLTASSLRHRPEQSQIERHHPIRSEQIPAQCSIRSVPREETFSSFRNDSPAAVQHTLARKEPVNHSIHRGESCNETLDKTTARSSSRKARLQAGIESIYVEKLQPERPIQESTPQAQACPPSNSVRGDHAQGQQPLVDISSRELRAKNVSSTMEREKAEPLNQASELKKAQAGKTIGCPKRKRSSKNAGENKRKNKGFPSSPNEGLYLRRSKRLTKQPEQPINDDPVQQPAASPNQYNSDPPDIDRLIANLCPSPSPQCQMPQACSNESGNADASVLPASSNREMPQAEQSPHRYNQLCPPEVRGTQQLDKSGEQVQVQPQSPEQVTHAQQVSSTITALLKQKYPGSTYLPVGEHGDVPANEELVYRWKHYPAETRAAILNEFLQRYKWAPGREAECLKIFQRRAVKQFTGLLCEEKRRVRAELAALQKAKKASGSRSSNSHAESEEEDATEEPKDNQTEKVNEDEDPLLWKPFPPAWMHPNWWERLCEYWAKEEVLQMSLKYRKNRFVGGRAHHTSGSRSFAMQRQLMVIENGGKPVSELEIFNKTHKFNGGTGEFVSEKAKRIVEGFKKRMEEAGDKPADPDAAWIQQVGGRNRGRYYGLTGTIDKAKIAEIAKSIPGKRGQQKFSQEEDESDKQHGERRQSARHHDDDDDDDDEDYEVEEEEVVSTSD >OGLUM04G24770.1 pep chromosome:ALNU02000000:4:28124212:28131294:1 gene:OGLUM04G24770 transcript:OGLUM04G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRCSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFEKLPVNCSSPTTPHQHHQPSPIYSQVPPFIYSC >OGLUM04G24770.2 pep chromosome:ALNU02000000:4:28124212:28131294:1 gene:OGLUM04G24770 transcript:OGLUM04G24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPGILEVRCAGCGETLEVEHGLTEFACPDCGMAQALPPELMPPRPRRALPLPGRASVVPSAVPAPATATAAAPPTRVSCGGCAAVLSVPHGPGRFSCPLCGAEIAPPPPLAAVVSVVAPPAAIPITSNRPAMTSEVLNGNSNQPTLAGQVQKSIIPEQHYKHSFREESFSSFRVDSRTQIPDVGRLQNECVNPSADREESRTEPPNGTMTKPGKKKTTSAAGPKSHPAGKLQEEHISKAIHASRAQGMPSNSVGGGFHNGMIAVHNKQKTGHIAAPSAIEHEQINTLHQVCDKQQPGENSSDLVHVEQVQVACKAVQNNKKGTKYTKGNQKRQGKSPLNYSTELPHLRCSQRLTKGSPDPIDIEPIHRIDASPNQNQSETPPIERAIDDTYHISPNQHRYPQSVSNKLDNADATTPALNHSMQQKERIPQCYSQMYSPESRWVLPNRSSNSCHEHEMPNESFDGIVQLDSSDDEVHSIPLENQNQDMDGQLEQQACAGKNLSEHGRQKNGFIGSSGDAKHHGGLSSGMGTRHQMNLAASCSRLAVLLPVADATPLPTISSPSSFESLSVPLSGMLNCFC >OGLUM04G24780.1 pep chromosome:ALNU02000000:4:28136247:28136918:1 gene:OGLUM04G24780 transcript:OGLUM04G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNAAEAVAVAVAEGGGAGMEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDSAALHFRGPKAKTNFPVAFAHAHHHAPPPPPLPKAAALAVVSPTSSTVESSSRDTPAAAPVAAAAKAQVPASPSLDLSLGMSAMVAAQPFLFLDPRVAVTVAVAAPVPRRPAVVSFKKEVARLDEQSDTGSSSSVVDASPAVGVGLDLNLPPPTEEA >OGLUM04G24790.1 pep chromosome:ALNU02000000:4:28141964:28145120:-1 gene:OGLUM04G24790 transcript:OGLUM04G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQH3] MAVRVPSMELHRPPPSVSGVRGKSCLQKPFLVQAKRLEGLEKANPRSRGKQSLEQEKKRAPLIRGTVSPPLPVPGHITQPPYVGKKDAPEIASEIQMHDKVSIVHMKAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRELQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECMLRAISACKHGTSLKKIGRRISEHAERHGFGVVDRFVGHGVGRIFHSEPMIYHQRNNMPGQMVEGQTFTIEPALSMGSIDCDMWDDGWTAVTTDGSLAAQFEHTILITKTGAEILTKC >OGLUM04G24800.1 pep chromosome:ALNU02000000:4:28147185:28148339:1 gene:OGLUM04G24800 transcript:OGLUM04G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLMAAMLLGGARVAGNTTTAVMALHHPRPSYFLPPRPRLAAASWSRLRLQTAPRSSQAYDNSPADDRRDVGDRYRDAAEEAKEATGDAKERVKGMAGEAKGRAADMAGRAKDETEDTASRATDRAKHEAADKAADVKDRAKDAADMAQGTARAARDKTAETAEGAMDRAGEAKDRTVEGTKHAGEKVAEMTKEGASKVVETAQAIGEKAKQAAQGAWGATKEAAQGVKDTVAGGDVDADAAMKEQDRIAQEEMKRQAREKGAGLP >OGLUM04G24810.1 pep chromosome:ALNU02000000:4:28149074:28153663:-1 gene:OGLUM04G24810 transcript:OGLUM04G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQH7] MAASSSSSASASAMGGGGMRKAPSMEWRWVSTEEDDEGEEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGEGEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHANVMQVRLTSYV >OGLUM04G24810.2 pep chromosome:ALNU02000000:4:28149074:28153663:-1 gene:OGLUM04G24810 transcript:OGLUM04G24810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQH7] MAASSSSSASASAMGGGGMRKAPSMEWRGGSFGSEEEEDEEDGGGGGEGEGEGEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHANVMQVRLTSYV >OGLUM04G24810.3 pep chromosome:ALNU02000000:4:28149074:28153663:-1 gene:OGLUM04G24810 transcript:OGLUM04G24810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQH7] MAASSSSSASASAMGGGGMRKAPSMEWRWVSTEEDDEGEEDGDTVEAAAAAVGAVGRGGSFGSEEEEDEEDGGGGGEGEGEGEDGEKQKLIRTVPSVDWFDVEGYEVSVAQHIEDSEEFDFGRTMFLALQTLAVVFGDIGISPLYTFDVMFSKYPILGEEDVLGALSLVLYTLISMPLVKYVLVVLWANDDGEGGIFALYSLICRNAKVSLIPNQVHSEKRMSSFRLKLPTPELERSIKVKEKLESSLLLKKLLLGLVLFGTAMFISNGVITPAMSVLSAVSGLKVGIPNASQGLVVMISVVLLVILYSVQRYATSKMGFALGPSLLIWFCCLGGIGIYNLSTYGPAAFKAFNPLYIIYYFGRNPFQAWLSLAGCLLCATGSEAIFANLSYFPVRYVQSMFALLVLPCLVLAYLGQGAFLIANQNSSEQIFFSSIPSGVFWPVFLIANLAALIASRTMTTAIFQCLKQSIALGCFPRLKIIHTSRKFMAKIYIPVVNWFLLFSCLGFILLFRSIYDVGNAYAIAELGVMIMATVYVTIIMLLIWETSIVKVLSFVITFLSLELVFFSSSLSSVGDGGWALIIFASGILMVMFIWNYGSKLKYDSEVKKKLSKDLMRKLGPNLGTIRAPGLGLVYSEIVKGVPAIFGHFLIALPAIHSIIVFVCIRNVPVPVVPQTERFLFQRVCTRGYHMFRCIARYGYKDKNQESQSTFERLLIEGLEKFIQREAVELSLQSGDDIDSDEEPPTPSRTIVAPNGSLYSLDVPLLADFVPSAEVIPEASCSTPQHDPVVDYTQNLELELAFIRQAKQSGAVYLIDNPIVKARKNSWFFKKLIINYFFAFLRNNCRRAMMSMSIPHANVMQVRLTSYV >OGLUM04G24820.1 pep chromosome:ALNU02000000:4:28157611:28161686:1 gene:OGLUM04G24820 transcript:OGLUM04G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLTTPSQALALAPGAAASRVGGGGGGSARVSFPSGRVQRRGALGMRVRASVAIEKETPESEPPPTFLREDGSGAGSGSVRERFEAMIRRVQGEVCAALEEADGSGARFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAADGPKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDAPGAPRQWWFGGGTDLTPSYIIEEDVKHFHSVQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVVPAYIPIIERRKDTPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHTPEEGTEERKLLDACINPKEWLDL >OGLUM04G24830.1 pep chromosome:ALNU02000000:4:28170766:28176781:1 gene:OGLUM04G24830 transcript:OGLUM04G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24480) TAIR;Acc:AT4G24480] MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGDAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGEAENHADVAADAAAVSYRLWVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRRLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNNNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGSKFNSQIVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >OGLUM04G24830.2 pep chromosome:ALNU02000000:4:28170766:28176781:1 gene:OGLUM04G24830 transcript:OGLUM04G24830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24480) TAIR;Acc:AT4G24480] MPHRRRLLNPAPSLPPPPAAAPAGFHHHLLAVDDTRLPLLADYALLQGDAAAAPASAEWSAGSGFTGISTDPATATTATTASTATAPGSASNLTAATAGGGGRETWVRRAREGYYLQLSLAIRLTSEAFLAGVPPELLIGCGGGGEAENHADVAADAAAVSYRLWVNGCLSWGDKIAHGFYNILGVDPHVWAMCNAAAEDGRRLPTLVALRAVDSGESSVLEVVLVDKCGDPALADLERRALDLYRAAGVSLDLVRRLAVLVSDHMGGALRSEDGDLFMRWKAVSKQLRKRHRCVVVPIGSLSIGFCRHRAILFKSLADFIGLPCRIAQGCKYCSAPHRSSCLVKIDNERREYVVDLVVEPGRLSSPDSSINGQLLSSVPSPFKTSCTMSSANYATPAASWNRAISGDRRNSILSNPQYSVAKYCVAEEKSSVQVATKEAMLPKCGQITQNGNCNNNSMAVFEVSKQMKAMEISSESGDKDNISSATPLKRLSIEPSFCADWLEISWDELELKERVGAGSFGTVYRADWHGSDVAVKVLTDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKASAGEMLDLRRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELMTMQQPWNGLSPAQVVGAVAFQNRRLPIPQETVPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKSMLGGS >OGLUM04G24840.1 pep chromosome:ALNU02000000:4:28181292:28190162:1 gene:OGLUM04G24840 transcript:OGLUM04G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQKMNKRKRSHKSEGSEEIWKENNSMAFRNIEALPQVTINRGIDEDEGSISRGERDLKRKTTRDLRSTCASPHRRAVPVGAEEAALAAPRSTTT >OGLUM04G24850.1 pep chromosome:ALNU02000000:4:28190354:28191065:1 gene:OGLUM04G24850 transcript:OGLUM04G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPLSQRDSFPSTSISCKSTRHKDRAGGEGRAAAVAGARELGEGAVVVAETEGESDGGDRSGGAGGWSQRAEGWGGGGGRSQRVEGRGGGGGRSRRREQQRWPEPEEGGATVVVAGSRGSEGVAVMRRRSRRREQRRWSEPGRKGRWGGSGRRRRCRVSPDTSQVSSDRYRVIPDRYHPIPRKYHLICDENRMIPDRYHLIRREYHLKTW >OGLUM04G24860.1 pep chromosome:ALNU02000000:4:28193526:28197660:1 gene:OGLUM04G24860 transcript:OGLUM04G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEGQETPAAAAVHGHRLSTVVPSSVTGEANYDLADADLAYKLHYLRGAYYYPAGDAVRGITIKSLKDPMFPWLDAYFPVAGRIRRAEDDAADAAAARRPYIKCNDCGVRIVEARCDRALDDWLRDESPDRLRQLCYDKVLGPELFFSPLLYIQVTSFKCGGMALGFSWAHLIGDVASATACFNTWAQILSGKKPAGTVLEPANKPLDRAPAAAAAAPPRSVKPVGPIEDHWLVPAGRAMAWYSFRVTEPALKKLQSAAGRHAAGTFELVSALLWQAVAKIRAAASKEVTTVTVVRTDMAARSGKSLANEQRVGYVEAASSPAKTDVAELAAMLAGDKVVDETGAVAAFPGDVVVYGGANLTFVDMEQVAPYELELKGQRPVHVEYGLDGVGEEGAVLVQPDAGGRGRVVTVVIPRDEVDSLRAALGSTLLLLQDA >OGLUM04G24870.1 pep chromosome:ALNU02000000:4:28198136:28200305:1 gene:OGLUM04G24870 transcript:OGLUM04G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLRSKREKRLRTLRREIAQPFYDKKEAAKLAAQAAALEAPALPVRAPPPPSKDSGGSRAASSSASAMDVEMADEGNNKSKSFLKPIGSISKKKVQLHLKIKKDKRKARKKGRFSKK >OGLUM04G24880.1 pep chromosome:ALNU02000000:4:28201762:28205868:1 gene:OGLUM04G24880 transcript:OGLUM04G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRLAAALCLAVAAVSVAVATARFVVEKNSVKVLSPRSLRGRHEAAIANYGVPDYGGTLTGVVVYPADARLADGCRPFGEAAAKALRSRSRRPVVLLVDRGGCYFALKTWHAQLAGAAAVLVADGVDEPLLTMDSPEEETPDMAFLANITVPSALVTKQFGDALRAAASAADDEVVVRLDWRESMPHPDERVEYELWTNSNDECGPRCDEQAAFVGAFRGHAQLLEKRGYALFTPHYITWFCPDAFLETTQCKAQCVNRGRYCAPDPDGDLAAGYSGRDVVLENLRQLCVHRVANARNASWLWWDYVADYHLRCSMKGDHYTRRCADDVAKSLGLPMDLIDECMGDPDADVENDVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTSVLKAICAGFKESTEPDVCLTPEMETDECLNNNGGCWRDEKTNITACKDTFRGRICQCPVVDGVQYQGDGYTHCKAVGPGRCAMNNGGCWKGTRDGKTFSACSDSDLSGCKCPPGFKGDGLHCEDVDECSEKLACSCPHCSCKNTWGSFDCSCHGNNLMYIKAEDTCIAKNMSAFGWLVTTLVLSCLAGAGVAGYVFYKYRLRRYMDSEVMAIMSQYMPLEGQNGERLPLREEAQLQRLNILAMSIRFETPRHMTATNAAMYMAKFRHHT >OGLUM04G24890.1 pep chromosome:ALNU02000000:4:28205761:28211964:-1 gene:OGLUM04G24890 transcript:OGLUM04G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFLLSPAATRPIGWLIQPMSSRLCVKNLPKGADEGRLREVFSRKGEVTDAKVIRTKDGKSRQFAFVGFRTNEEAEEALKYFNNTYIDTCKITCEVARKIGDPEAPRPWSRHSLKKPEYNSKDKTKTGDVSAPLKSSKGQKVSDDVGGSKGSAASDPKFQEFLEVMQPRSKAKMWANDTMGTLDASAKDSMVVSEKPEKPQKNVPVSENDSSSGDSSDEEMANDQSESDDSSSEEASEELKIESKQGRDMTDMDFFKSKIKKNWSDSESDSEDSGDQLDHSTDDEDSSDESHDADEKDEIAHQKDSLNLKKNVDKEMTLEGSTVQEAGNSDNEEVENLDSQLKEDDNQEKEDEEAALITDEKKLALETGRLFFCNLPYATTEGDLVELCSQYGDVDQARIVVDKTTKLSTGRGYVLFSLPDSAVRALELDNSSFQGRLLRVKAAKPLNNKKIESSYEEKKMSLKQQKLDQRKASEISGDTRAWNSFYMRQDTVAENIARKNGISKSELLDREADDLAVRIALGETHVIAETKKYLSRSGVNVAALEELASKRNEKFKRSNHVILVKNLPYSSCEEDLATMFRKHGSLDKIILPPTRVFALVVFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPTSAPVEDDEKDVVGDRIVTKAIVEQTVEGVSAEEIDPDRVELRSVFVKNLNFKTSDESLKQHFSTKLKSGSLKSATVKKHIKNGKNVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGRKDGQTKKNEKDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEFVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEQSGFQRLSKKRKQTSLVDEGSVKFSRIVE >OGLUM04G24900.1 pep chromosome:ALNU02000000:4:28216598:28241811:1 gene:OGLUM04G24900 transcript:OGLUM04G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARAVQISLPPDACCFSGGKSSHRMSPATQLVRAPQHAVATELVNVVMHQRKAREDTMRKKKKQQLHKFEMLPSPYDTAWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNQLDSITKDALLSTVACVLALRRAGCSYWIQHYFSWFLLDKPTSKEYFISKETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVLNFQRKNGSLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAVYTKNIHRQLSMLDALENMGISRHFDGEIKSILDMTYSCWLQRDEEVMLDITTCAMAFRILRMNGYDVSSDDLCHIAEVSDFHSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRTSSIFEEVEHALDCPFYATLDRLVHKRNIEHFAAMSYISYAQNNIPDELERIDSWVKENRLHELKFARQKSAYFCLSAAGTVFDPEMSDARIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHHKEELYSEQVEIVFFAIYNSVNQLGAKVSAVQGRDVTKHLIEIRISNYVPTPEEYMENAAMTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYQREDGEGKVNSVSLLVIQSGGSVSIEEARREIMKPIERCRRELLGLVLRRGSAVPGPCKELFWKMCKVCYFFYSRGDGFSSPTAKSAAVDAVISDPLDLAAVVASQEPIYIIPASKRKLGESAVAFSPRCAVSSPPPPPADDDAAAAEFLLLLLLSLPRRAVPRSSTPRDGASSWVYIEKRLGVGGGNASSLQDMHRKELQARTRDQLQTLELSTSSSYDTAWVAMVPLRGSRQHPCFPQCVEWVLQNQQDDGSWGTRGFGVAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLVSKFGSAVPTVYPLNIYCQLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFCESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKCVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERESLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKLHPLSPTPLFSLPISLRRAWLANSSSRWPAAAAGVSASRAPDCGGVKVDLGLKYGANPDEVLPLLRAARREGLNVAGVSFHVGSGASRAGVYRGAIKAARAAFDVAAETRDAADAHPGHRRRLRGWRGRRWAAPAWSPLPSSFSRLARLVSGKTETPTPMPAGAGRTSSNLYPRLSPAATPAAAAGHPLQNFQTFMAIEAKRHCSSSSSEEGGAAATTAARSAVRERLQLAPPSPSPYDTAWVAMVPALRRGGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYITAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTMLDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLVVKKEYVEKKEMIPICFMECDEKKKNKEERIVIFWAEKKEYGEKEGEGEGEGK >OGLUM04G24900.2 pep chromosome:ALNU02000000:4:28216598:28241811:1 gene:OGLUM04G24900 transcript:OGLUM04G24900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARAVQISLPPDACCFSGGKSSHRMSPATQLVRAPQHAVATELVNVVMHQRKAREDTMRKKKKQQLHKFEMLPSPYDTAWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNQLDSITKDALLSTVACVLALRRAGCSYWIQHYFSWFLLDKPTSKEYFISKETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVLNFQRKNGSLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAAHAIDNSQFAVRDEEVMLDITTCAMAFRILRMNGYDVSSDDLCHIAEVSDFHSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGRLLKEQLSSGALQRTSSIFEEDELERIDSWVKENRLHELKFARQKSAYFCLSAAGTVFDPEMSDARIWWAINGVLTTVVDDFFDVGGSREELENLISLVEMWDEHHKEELYSEQVEIVFFAIYNSVNQLGAKVSAVQGRDVTKHLIEIRISNYVPTPEEYMENAAMTFALGPIVLPALYLVGPKIPESVVRDSEYNELFRLMSTCGRLLNDVQTYQREDGEGKVNSVSLLVIQSGGSVSIEEARREIMKPIERCRRELLGLVLRRGSAVPGPCKELFWKMCKVCYFFYSRGDGFSSPTAKSAAVDAVISDPLDLAAVVASQEPIYIIPASKRKLGESAVAFSPRCAVSSPPPPPADDDAAAAEFLLLLLLSLPRRAVPRSSTPRDGASSWVYIEKRLGVGGGNASSLQDMHRKELQARTRDQLQTLELSTSSSYDTAWVAMVPLRGSRQHPCFPQCVEWVLQNQQDDGSWGTRGFGVAVTRDVLSSTLACVLALKRWNVGQEHIRRGLDFIGRNFSIAMDEQIAAPVGFNITFPGMLSLAMGMDLEFPVRQTDVDRLLHLREIELEREAGDHSYGRKAYMAYVTEGLGNLLEWDEIMMFQRKNGSFFNCPSTTAATLVNHYNDKALQYLNCLVSKFGSAVPTVYPLNIYCQLSWVDALEKMGISQYFVSEIKSILDTTYVSWLERDEEIMLDITTCAMAFRLLRMNGYHVSSVELSPVAEASSFCESLQGYLNDKKSLLELYKASKVSISENESILDSIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQVRIVFSAIYTTVNQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKCVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERESLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKLHPLSPTPLFSLPISLRRAWLANSSSRWPAAAAGVSASRAPDCGGVKVDLGLKYGANPDEVLPLLRAARREGLNVAGVSFHVGSGASRAGVYRGAIKAARAAFDVAAETRDAADAHPGHRRRLRGWRGRRWAAPAWSPLPSSFSRLARLVSGKTETPTPMPAGAGRTSSNLYPRLSPAATPAAAAGHPLQNFQTFMAIEAKRHCSSSSSEEGGAAATTAARSAVRERLQLAPPSPSPYDTAWVAMVPALRRGGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYITAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTMLDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLVVKKEYVEKKEMIPICFMECDEKKKNKEERIVIFWAEKKEYGEKEGEGEGEGK >OGLUM04G24900.3 pep chromosome:ALNU02000000:4:28216598:28241811:1 gene:OGLUM04G24900 transcript:OGLUM04G24900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALEARAVQISLPPDACCFSGGKSSHRMSPATQLVRAPQHAVATELVNVVMHQRKAREDTMRKKKKQQLHKFEMLPSPYDTAWVAMVPLPGSSSQLPCFPQCVEWILQNQQSNGSWDLNQLDSITKDALLSTVACVLALRRAGCSYWIQHYFSWFLLDKPTSKEYFISKETELKREYEENYRGRNTYMAYVSEGLGNAQDWNEVLNFQRKNGSLFNSLSITAAVLVHNYDAKAHRYLNLLLNKFGTAVYTKNIHRQLSMLDALENMGISRHFDGEIKSILDMTYSCWLQRDEEVMLDITTCAMAFRILRMNGYDVSSDDLCHIAEVSDFHSSHQGYLSDTRTLLELYKASEVSVADNEFILDRIGSWSGSLLKESVCSNGVKKAPIFEEMKYALKFPFYTTLDRLDHKRNIERFDAKDSQMLKTEYFWVKDEKLDQLPFARQKLTYCYLSAAATIFPRELSEARIAWAKNGVLTTVVDDFFDLGGSKEELENLIALVEKWDGHQEEFYSEQLGAKASALQGRDVTKHLTEIWLCLMRSMMTEAEWQRTKCVPTMEEYMANAVVSFALGPIVLPTLYFVGPKLQEDVVRDHEYNELFRLMSTCGRLLNDSQGFERESLEGKLNSVSLLVHHSGGSISIDEAKMKAQKSIDTSRRNLLRLVLGEQGAVPRPCKQLFWKMCKIVHMFYSRTDGFSSPKEMVSAVNAVVKEPLKLKLHPLSPTPLFSLPISLRRAWLANSSSRWPAAAAGVSASRAPDCGGVKVDLGLKYGANPDEVLPLLRAARREGLNVAGVSFHVGSGASRAGVYRGAIKAARAAFDVAAETRDAADAHPGHRRRLRGWRGRRWAAPAWSPLPSSFSRLARLVSGKTETPTPMPAGAGRTSSNLYPRLSPAATPAAAAGHPLQNFQNPVRWPRPPERDGEGRREGMEDEDGAYVWVPQWIVTHLRRLGRQILEIDEVTTGVSLSTGTSPRLGANYCPENIEPASIAFMAIEAKRHCSSSSSEEGGAAATTAARSAVRERLQLAPPSPSPYDTAWVAMVPALRRGGGGGPRFPQCVAWIQRNQRGDGSWRHAAAAHQQLGSSPEIVTERDLSSTLACVLALARWDAGSEHVRRGLQFIGRNMSVAMDDQTAAPASGSVVSFAAMLRMAMEMGLEVPAVSQADVRDRDAGVICHGGRTEYTAYVSEGLGNIQNWNEVMKFQRKNGSLFNSPYITAAALVHNYDAKALQYLDMLLDKFGSAVPAAYPANIQSQLYMVDVLEKMGISRHFVGEIKSILDMTYSCWKQRDEEIVLDMQTCGMAFRMLRMNGYDVSSDELSHFSEPSSFHNSLQGYLNDTRSLLELHKASKVSIAEKEVEYALEFPFYTMLDRLDHKRNIEHFDITSSQMLETAYLPCHSNEEIMALGVRDFSSSQFIFQEELQQLNSWVKESRLDQLQFARQKLDYFYFSAAATIFTPELSDVRILWAKNGVLTTVVDDFFDVGGSKEELENLVALVEKWDKNDKTEYYSEQVEIVFSAIYTSTNQLGSMASVVQGRDVTKHLVEIWQELLRSMMTEVEWRQSRYVPTAEEYMENAVVTFALGPVVLPALYLVGPKIPDSVIRSQECSELFRLMSKCGRLLNDVQSYEREGSQGKLNSVSLLALHSGGSVSMEEAVKQIQRPIEKCRRELLKLVVSRGGAVPRPCRELFWSMCKVCHFFYSGGDGFSSPTAKAGAVDAVIHEPLNLVVKKEYVEKKEMIPICFMECDEKKKNKEERIVIFWAEKKEYGEKEGEGEGEGK >OGLUM04G24910.1 pep chromosome:ALNU02000000:4:28247303:28247911:1 gene:OGLUM04G24910 transcript:OGLUM04G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKNGRIALLLAALALSAQLAPAAATWCGSNCPTTKPPPPPCQPPPPTPTPASPTTPPTPWTPPPATPTPPTPTPWTPTPATPPPTPATPATPTTPPTPAPAPSTPTGKCPVDTLKLLACVDALNGLVHAVVGATAGDTCCPLLSGVADLDAALCLCTAIKAKALGLSLVLPVAISVLVNDCGKYVPSDFQCPSTDPPYI >OGLUM04G24920.1 pep chromosome:ALNU02000000:4:28256933:28257412:1 gene:OGLUM04G24920 transcript:OGLUM04G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAKNGRIAVAALLLAALALSAQLAPAAACSYCPTPKPPPPPPPAPSGVPCPPPPYTPTPATPTPSTPTGKCPVNTLKLLACVDALNGLVHAVVGATAGDTCCPLLSGVADLDAALCLCTAIKAKALGVSLVLPVAISVLVNECGKHVPSSFQCPS >OGLUM04G24930.1 pep chromosome:ALNU02000000:4:28259123:28264071:-1 gene:OGLUM04G24930 transcript:OGLUM04G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYVALGSYQLVISEIDSSAATSLQAVKLLALYLSGDKESAIASLKEWLSDSAVGSNPVLRLIAGIIFMHEQDYTEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFDEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRYLSQLKLSHPDHVLVKRAVSAEVNFERALQAVA >OGLUM04G24940.1 pep chromosome:ALNU02000000:4:28264901:28271811:1 gene:OGLUM04G24940 transcript:OGLUM04G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTATAAAEQAPPPPPQHTRKAEGLAAHDDSGHLTPIRISRRKTGDDDVAIKVLYCGICHSDLHTIKNEWRNAVYPVVAGHEITGVVTEVGKNVARFKAGDEVGVGCMVNTCGGCESCRDGCENYCSGGVVFTYNSVDRDGTRTYGGYSDAVVVSQRFVVRFPAGGALPLDRGAPLLCAGVTVYAPMRQHGLCEAGKHVGVVGLGGLGHVAVKFARAFGMRVTAAMGTMHGIINTASASTSMHSYLALLKPKGKMILVGLPEKPLQIPTFALVGGGKVLAGSCMGSISETQEMIDFAAEHGVAADIELIGADEVNTAMERLAKGDVRYRFVVDIGNTLKSD >OGLUM04G24950.1 pep chromosome:ALNU02000000:4:28269733:28272180:-1 gene:OGLUM04G24950 transcript:OGLUM04G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79490) TAIR;Acc:AT1G79490] MDEPKPKKGTLPDPALLLLAASSLPASDPTPLLALVAWARVQPWFVPSDDLSSLLAARLAPATHSSELLSLFDDTLALPDPAAFPKTLNAVLSALATHGLLEPAFFCFKRLRDAGFRVLETHAYNALLSLLLTRGLAFKAFEVLDEMSSSGCALDEGTYELVVPALARAGRIDAARKMFDEMRKREGIGRASAGMYGVLVDVLAKAGRLDAAMGMYREMVAVGHRASPAVSTAMVEGLVRAGKLDAGMELWEEMRRGGLRPSFGLYTMVVEANARSGRLDVATQLFGDMEKSGFFPTPATYACLVEMHASAGQVDAAMRLYHSMANAGQRPGLSTFTALLMMLANKRMLDLAAKVLLEMKASGFPIEVTASDLLMIYIKEGSTELALRWLRFMGSAGIRTNNFIIRQLFESCMKTGLYDSARPLLETYVAGAAKVDFILYTSILAHLVRCQDEGRERAIMDILSVSKHKAHDFMCGLFTGPEQRKKPVLSFVREFFQGIDYDNEESAARYFVNVLLNYLVLMGQMNRARCIWKVAYENKLFAKAIVFDQHIAWSLDVRNLSVGAALVATVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKMVVAQVLASLESPFEVSKVVLRAPGDSVLEWFKKPIVQQFLLNEIPSKADVLMHRLNVMFPSSAPEVRSLSIPRSLSMSR >OGLUM04G24960.1 pep chromosome:ALNU02000000:4:28272213:28279065:1 gene:OGLUM04G24960 transcript:OGLUM04G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQJ7] MFLGRGPLQGPSHTVAESSSSSSPLLLLCSTREDLGEVMSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKVE >OGLUM04G24960.2 pep chromosome:ALNU02000000:4:28272213:28279065:1 gene:OGLUM04G24960 transcript:OGLUM04G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQJ7] MFLGRGPLQGPSHTVAESSSSSSPLLLLCSTREDLGEVMSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKVE >OGLUM04G24960.3 pep chromosome:ALNU02000000:4:28272213:28279065:1 gene:OGLUM04G24960 transcript:OGLUM04G24960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQJ7] MFLGRGPLQGPSHTVAESSSSSSPLLLLCSTREDLGEVMSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKEGL >OGLUM04G24960.4 pep chromosome:ALNU02000000:4:28272213:28276744:1 gene:OGLUM04G24960 transcript:OGLUM04G24960.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar ATPase assembly integral membrane protein VMA21 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQJ7] MFLGRGPLQGPSHTVAESSSSSSPLLLLCSTREDLGEVMSGVLAKFAIASAVMWTAPVAIVYGFYYQMIPGVSQLSSSTQTLASGFLAVISINLVIGFYICMAMKETPHQEPQPDPTFLANAKASIDQPTPSQVNDDSHGKGKEGL >OGLUM04G24970.1 pep chromosome:ALNU02000000:4:28274161:28276128:-1 gene:OGLUM04G24970 transcript:OGLUM04G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKHTLQVLPWLLLFAQHTAASACDCANTTDGADRQGAMKLKLIAIASILAAGAAGVLVPVIGRSMAALRPDGDIFFAVKAFAAGVILATGMVHILPAAFDALTSPCLKRSGGDRNPFPFAGLVSMSAAVATMVVDSLAAGYYHRSQFRKARPVDNINIHKHAGDESTERAQHINAHTHGAHTHSHGDIVVHGSPEEGSVAESIRHKVVSQVLELGILVHSVIIGVSLGASVRPSTIRPLVGALSFHQFFEGVGLGGCIVQANFKVRATVIMAIFFSLTAPVGIVLGIAISSSYNVHSSTAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQINTKLQLMAYLALFLGAGLMSMLAIWA >OGLUM04G24980.1 pep chromosome:ALNU02000000:4:28284767:28288395:1 gene:OGLUM04G24980 transcript:OGLUM04G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20050) TAIR;Acc:AT4G20050] MKNMEAARRGRRGRQGGGLLLVVLAALLLLLLALARGASAWAHGGLAGGAGERRYMDLAMRRMESVRSSFVARRELATSTAASSSRVYHVTDYGADPTGGADATAAINSAIADAFRRPSNATMTGGIPDLGGAEIHLDGGSYLLKGPLSLPASGGSNLKIHSGSLRAADDFPTDRYLIELSAKAAGGGGGSSPAMSYYYEYVTLRDLMLDCNYRGGGVRVVDSLRVGVDNCYVVHFATDGVAVSGGHETFVRNTFLGQHMTAGGDPGERSFTGTGIRLDGNDNSVSDVVVFSAATGIMVTGGANAISGVHCYNKATGFGGTGIYLKVPGLTQTWITNCYMDYTGIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQIVGNLFNGRGKGVDIVELDGEFATVEQVYVAQNAATGMTVRSTTARAAAEGNGSSWTVDFSPVLLFPDRIGHVQYSLAAGDAFPGHALRNVSGNRVVIATDAAVSATVHVLVDQNSS >OGLUM04G24990.1 pep chromosome:ALNU02000000:4:28291835:28293297:-1 gene:OGLUM04G24990 transcript:OGLUM04G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLPKLTTPSCKSPPTPTPTPLKPQLGLPGKLLQPAQAVAPTHLNLLLPLLGASQQEAAAAVPTPKSRSKNGGGRSGGGGGEDPRRSDYYLNLGTAVRTLRDDLPAVFVREPNYEIYREDITFVDPLNTFHGIDNYKTIFWALRFHGRLLFREIGLDISRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRPAAKVGSIADIVVASCPPSPNLTFWDMVGSTGDGCSWANLYQAVVETVEREGNDPAGIAIEGLLTCS >OGLUM04G25000.1 pep chromosome:ALNU02000000:4:28295181:28298997:-1 gene:OGLUM04G25000 transcript:OGLUM04G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLQPSLSIPPSFAGASPPSPSPIGGGGVGGGVGQPAKDMKMASAEQLVLDLCDPELRENALLELSKKREIFQDLAPLLWHSFGTVAALLQEIVSIYSALSPPTLSPGASNRVCNALALLQCVASHPETRILFLTAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLAEQPSTRLLKHIIRCYLRLSDNSRACAALQTCLPDMLKDGTFNNCLRDDPTTRRWLQQLLLNVTGAGMGAAPQPGLDHMMGI >OGLUM04G25010.1 pep chromosome:ALNU02000000:4:28299515:28301768:1 gene:OGLUM04G25010 transcript:OGLUM04G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQGANLPPCRYEKRHSNIPAHVSPCFRVREGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >OGLUM04G25020.1 pep chromosome:ALNU02000000:4:28302170:28304215:1 gene:OGLUM04G25020 transcript:OGLUM04G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPKVFLSSKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRQRHSNIPAHVSPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSTGGSGGKKAFTAA >OGLUM04G25030.1 pep chromosome:ALNU02000000:4:28305122:28309493:-1 gene:OGLUM04G25030 transcript:OGLUM04G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPKNQQDTGSSGRKEAQCGVLAA >OGLUM04G25030.2 pep chromosome:ALNU02000000:4:28305607:28309493:-1 gene:OGLUM04G25030 transcript:OGLUM04G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIVVGSVAAAAAVGRWGAAPPQAMLERMKDYGQEGAFALWDELSPEDRELLVRDIESLDLSRIDRIIRRSLGSQGFTLPTVEPVPESSVSKVEERLPEDKERWWKKGLKAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSGKSLFQLQAERILCVQKLAAQSSDSPNNTVPIHWYIMTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >OGLUM04G25030.3 pep chromosome:ALNU02000000:4:28305605:28309786:-1 gene:OGLUM04G25030 transcript:OGLUM04G25030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPFTDDITRKFFESRKYFGLEADQVTFFQQGTLPCVSADGRFIMETPYKVAKAPDGNGGVYAALKSRRLLEDMSSRGVKYVDCYGVDNALVRVADPTFLGYFIDKAVSSAAKVVRKAYPQENVGVFVRRGRGGPLSVVEYSEMDAAMATEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSTYHLAEKKIPSIHGYAMGLKLEQYIFDAFSYSPSTALFEVLREEEFAPVKNANGASYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >OGLUM04G25040.1 pep chromosome:ALNU02000000:4:28315884:28320165:-1 gene:OGLUM04G25040 transcript:OGLUM04G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIHHQPGGVANQIYYSYKDTVTSKPLNHIAFFLLVSTVFLLLLLLFFVSLLDDLDLLVSSRLHGGSGRAVVTMDSNEGSHRITRSGIVKAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDALVNANISDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTTDAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGMTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQ >OGLUM04G25040.2 pep chromosome:ALNU02000000:4:28314090:28318553:-1 gene:OGLUM04G25040 transcript:OGLUM04G25040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNEGSHRITRSGIVKAKPAVTADGKIEPAGEGLPHGWLKEYRPRKNQSGSRVKGDTFYIDPTNMYEFRSQKDVQRYLESGDVTNCVMIQNKRKMEDLHTARNQSHHTRRPSDHRQLDAGEGATQCDLPIARGNSARSDALVNANISDNSEDMSSSVPKGVSEGKLTRLKLQKARVPNQSVEHESSTGEVANMEHKPKEKKQKTKPVKQISTPLRASPRLAALKINQEGNNEPKDEALSTNTDTANQSQPKLTKSPKAKANSSVLPEKSDGAHTSNASEIPQNKYPSATEQMQGSSVHPQQAGTTDAMPGSALSSLLRSIWSDPCLKFAIKTLAGDIPALDFIPSQDMNKGMTPNCSSSAYDGSRNHAQVDHVGMPMPRPSDKFYGSGWFPPQLEMLIFFYGFLVHRQRSFLDFF >OGLUM04G25050.1 pep chromosome:ALNU02000000:4:28324649:28328674:-1 gene:OGLUM04G25050 transcript:OGLUM04G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSESEGTNRGSMWELDQNLDQPMDEEASRLKNMYREKKFSSLLLLRLAFQSLGVVFGDLGTSPLYVFYNAFPHGVDDEEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKISTIPNQHKTDEDLTTYSRQTYEENSVGAKIKRWLEAHAYKRNCLLIVVLIGTCTAIGDGILTPAISVLSASGGIKVQNPNMSTDVVVIVSVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGALNIHKYKGSVLKAYNPVYIYRYFQRRNSDSWASLGGIMLSITGTEALFADLCHFPVFAIQIAFTLIVFPCLLLAYTGQAAYIIAHKDHVADAFYRSIPGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVTFIVLSLMVEIPYFSACLLKIDQGGWVPLVIATAFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPMDERFLVRRIGPKNFHIFRCVARYGYKDLHKKDEDFEKMLFNCLLSFLRLESMMEGYSDSDDFSVPEQRTEGSISNAFLAEKTNNNTMCSNGDLSYSSQDSIVPVQSPLRGNSLLRYSSQASHTVSDELEFLNRCKDAGVVHILGNTIVLARRDSGIIKKIAVNYMYAFMRKICRENSVIFNVPHESLLNVGQIYYI >OGLUM04G25060.1 pep chromosome:ALNU02000000:4:28328817:28329434:-1 gene:OGLUM04G25060 transcript:OGLUM04G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLESFPAYFSSPPVWLGAAALSASAPPPPPRRSLPCRGCCVLPVRARQRRSRGGDCCCRCQKSDLGEGGGVLVDTESEFCV >OGLUM04G25070.1 pep chromosome:ALNU02000000:4:28334687:28335626:-1 gene:OGLUM04G25070 transcript:OGLUM04G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSTYLQRREGRREERRLGGGYSCGGAEGGAAVEGKRRADDEEGRSWRQRSGGGREALACCGGRSGGGGGCCGGMAAAAAAEGRRLRTSGSSGGSATQTAAVEGKRWVGDEEGPRAAIATLSLCSEPGSRRRRSLPLLRAQQPPPPLPPAWSAAALAVAGDKKLEMWGIRMERWGLGWG >OGLUM04G25080.1 pep chromosome:ALNU02000000:4:28336735:28339254:1 gene:OGLUM04G25080 transcript:OGLUM04G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESPFRADVLRGKAALVTGGGSGIGFEIAAQLARHGAHVAIMGRRREVLDKAVAALRSHGLRAVGFEGDVRKQEDAARVVAATVQHFGKLDILVNGAAGNFLASPEDLTPKGFRTVVDIDTVGTYTMCYEALKYLKKGGPGKGPSTGGVIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIEGTPGMRKLAPEEMAKGSREIMPLFKLGEKWDIAMAALYLASDAGKYVNGTTVVVDGGLWLSRPRHIPKEEVKELSKVVEKKVRASGVGVPSSKL >OGLUM04G25090.1 pep chromosome:ALNU02000000:4:28340690:28342865:1 gene:OGLUM04G25090 transcript:OGLUM04G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKKIENPTNRQVTFSKRRMGLLKKANELAILCDAQIGVIVFSGTGKMYEYSSPPWRIANIFDRYLKAPSTRFEEMDVQQRIIQEMTRMKDENNRLRIIMRQYMGDDLASLTLQDVSNLEQQIELSLYKVRLRKQQQLLDQQLLEMHNREMQIPGDQSNYLCHMNLIGEQAQAPLMVNLKPFPLWDVGGSSQMYNQDAESSMTALQLSPQLEYKLQPLQPNLQEEANLHGYIPKDTMESGCN >OGLUM04G25100.1 pep chromosome:ALNU02000000:4:28343616:28352264:1 gene:OGLUM04G25100 transcript:OGLUM04G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCLADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLEGLSDKARGWMAHILDSSLRAGCESSEHHQWRYPLRSSEKKGAPVAAITQTMFSTIKTDSENSGTASATKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLPLIAVAEAQGRARPVELSMCAPERVPTGLRRVHYNLFTNTAEAAAGGRRVMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWM >OGLUM04G25100.2 pep chromosome:ALNU02000000:4:28343616:28352264:1 gene:OGLUM04G25100 transcript:OGLUM04G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIIPAYGCAKLHAAPPDYPCVARLRHRRLLSFLWLHSFKSTFEAMAEETKVLLSLPRLERLIARGMWGEAIGYACRFLPRRPPSLPAQRTHLTAEAQTLLLFLHMHRCLADVVAGNETGAAWSDKHRRRYCLARVTGISSHAIAIRRIIQTFVLSDKIRESMDWGRVREKVVRVVRRLVHDTPELAGFLDLPGGMVKPHNVLPIGFGFRSKRHVRQQRRPRAYTIAQLYLEMKRCVPSSGQPDQGLSLDSSLRAGCESSEHHQWRYPLRSSEKKGAPVAAITQTMFSTIKTDSENSGTASATKKGVLDSIVLQTKFGNMTSPEKNYGFSSLTNAGTYMHSSQEDCHTENYCQGFIPRKHQREELAPEEDIDPKRQHTTLTFGEASLPLIAVAEAQGRARPVELSMCAPERVPTGLRRVHYNLFTNTAEAAAGGRRVMNKRTCLVFHACDVSDLVDLVETTYRPVAEGGCATSGGSPRRSSRAPIEAMTRPRSIGRFIDQLMVRRLVHAHPRRRPPELLQSSTLTAASSSLATSSRQPRTWM >OGLUM04G25110.1 pep chromosome:ALNU02000000:4:28353294:28357023:1 gene:OGLUM04G25110 transcript:OGLUM04G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGSSDAEEARGGSKMLLRSLDYPCATRLRHRRLLAFLINNDYMDAYDDDLEDLMKAGRLVEARNYVWRFAPPGAGGAGGEPSSSAEAVTLWKFIHQLMVLDSFAHGGIRDHTAIRGWFTRILAEPPGFSVLYPSCLALAAHFVAGRVEAARDMADWKVVRSKAASLAGKMAREAPEIRCTMLLPLARAKPKDLFPLIASSSFRRRRYVKAARRASSSHLAQFYLNKKKRLPSPSHPGQSYVSRSELFALLGIHSVPFMRTMLTNSSNKGNPANIHPLHHQGQISTSDEEPDTDGNG >OGLUM04G25110.2 pep chromosome:ALNU02000000:4:28353294:28355942:1 gene:OGLUM04G25110 transcript:OGLUM04G25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEGSSDAEEARGGSKMLLRSLDYPCATRLRHRRLLAFLINNDYMDAYDDDLEDLMKAGRLVEARNYVWRFAPPGAGGAGGEPSSSAEAVTLWKFIHQLMVLDSFAHGGIRDHTAIRGWFTRILAEPPGFSVLYPSCLALAAHFVAGRVEAARDMADWKVVRSKAASLAGKMAREAPEIRCTMLLPLARAKPKDLFPLIASSSFRRRRYVKAARRASSSHLAQFYLNKKKRLPSPSHPGQSYVSRSELFALLGVRGLVLKIFPSKVRD >OGLUM04G25120.1 pep chromosome:ALNU02000000:4:28374888:28388975:1 gene:OGLUM04G25120 transcript:OGLUM04G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGASPAQFPVPRALMWAPSFKLPTATSPARRRRRRRRENVAAAAAAAQPFAASFQGETDCTSHASARMFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGLKQMTLSLPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKVIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGSSIIGETRGVGLLLATEFANNKSPNDPFPVEWGVAQIFGTECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRLELCKMVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >OGLUM04G25120.2 pep chromosome:ALNU02000000:4:28374888:28388975:1 gene:OGLUM04G25120 transcript:OGLUM04G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGASPAQFPVPRALMWAPSFKLPTATSPARRRRRRRRENVAAAAAAAQPFAASFQGETDCTSHASARMFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGLKQMTLSLPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKVIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRERNIPAHVKQISPRFQEGIKAFAGRVAQIFGTECKKRGMLVKVVGDEIAMSPPLIMSQREVDGLVSIYGEALKATEERVAELRLELCKMVIARGLLRSNASSSSSQAINLLKYVTSTGSLQGHTQNLCDASTRHFSSVPSPQSNSTEENGFKGHGMLAPFTAGWQSTDGSYVYDIDGKKYLDSLAGLWCTALGGSEPRLVKAATEQLHKLPFYHSFWNRTTKPSLDLAKELLSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPDKKKFIARSKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPGETEEEFATRLANNLEELILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKVQAIVKKYDILFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >OGLUM04G25120.3 pep chromosome:ALNU02000000:4:28374888:28388975:1 gene:OGLUM04G25120 transcript:OGLUM04G25120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGASPAQFPVPRALMWAPSFKLPTATSPARRRRRRRRENVAAAAAAAQPFAASFQGETDCTSHASARMFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGLKQMTLSLPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKVIQAVLQKYDVLFIADEVITAFGRLGTMFGSDMYNIKPDLVSMAKALSSAYVPIGAIMVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYQERNIPDHVKQISPRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >OGLUM04G25120.4 pep chromosome:ALNU02000000:4:28374888:28388975:1 gene:OGLUM04G25120 transcript:OGLUM04G25120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGASPAQFPVPRALMWAPSFKLPTATSPARRRRRRRRENVAAAAAAAQPFAASFQGETDCTSHASARMFSTSGSSPLLDSTEGNGFKGHSMLAPFTAGWHSTDLEPLIIERSEGSYVYDSKGNKYLDTLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNSTAKPPLDLAEELISMFTAKEMGKVFFTNSGLKQMTLSLPAMHLKFDLPAPFVLHTDCPHYWRFGLPGEAEEEFATRLADNLENLILKEGPETVAAFIAEPVIGAGGVIPPPKTYFEKVIQAVLQKYDVLFIADEVITGFGRLGTMFGSDLYNIKPDLVSLAKALSSAYVPIGATLVSPEISDVVHSQSNKIGFFAHGFTYSGHPVSCAVALEALKIYRFQEGVKAFAGSPIVGEIRGVGLILGTEFADNKSPNDPFPAEWGVGAIFGAECQKRGMLVRVAGDNIMMSPPLIMTPDEVEELVSIYGDALKATEERVAELKSKKNN >OGLUM04G25130.1 pep chromosome:ALNU02000000:4:28393500:28402617:1 gene:OGLUM04G25130 transcript:OGLUM04G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQAAEVGEVTAPKLPDKAVDKAQVHDDDKENLVNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFDSAKVVEEEKASPIEQGKTAALEEQALTRESAMLNQETKQLEELTRRIEELELEKEKLVKGVTEAENKQNLHYTSLQEAQRSLADKDKELADATQSLKELGSELESSKERIQEIEAELAASADKLHKLEELKDERSLHAAQEAKRASELDKTLETAQLNMKEMEAQISSLQEEIKGHQDKAIDHQQVEESLRSTLSELKMVQEALELSKSQVDDLEQKLASQDANISHLTEELSLHRSSEESLKEKTLKLENELSSAHEELQAKILNLQEMEIKLEEQAKEKQTWEATLEKQQEQILNLQTELDESKGGNETLRGTIADLNSKLAERDSLLRQAEDEHAKAQLLLSEALSHKDELEVNLKSINEQHGESRAAAENASQKILELEALLQTLHATEEALKLQLEEAEARVEVAEKKGSDLEQLLGESENKLVASSGELKLLEERVQQEAASSAEKEKLLEEATNSVEAYKEKINELQASLDSTTSKNQLLEQEVKDLSDKFTEHQEQAHSVHERSLELESLLHTSKSDAEVAHTRTQDLENELNTANEKFKEVEADLEQYRSKVSQLSDELEAYQTKAASLEAVMESASEKEKELVESLGQITEEKKKLELLVLEYEEKTEEYLKEKQSLEERLQSQESKVLALEESLVKMGEEKESHEGTIADLNLQLSNKNDMYMQLESQLSQAGDDHSKTRSLLSEAQLHKEELELNLKSLNDLHVESKTAAESALQRIAELETQVQELSAAEQSLKSHLTEFESKLASAEKKSMDLEQELKDATEECNSSRLRVDELSGELEEYKEKRTSLEASLLEAKQKEAELSEKLDQVNEEKEKFEELSKKATIKHLEAENQVQALQGELESARHKLEEVESDLEALGIRETSVLDKLKSAEEQLEHKGKALEHATSKKIDLEALYQSLLEDTEMKLQQAGENLTQKETECQELSEKLKAAEEQAASYQAKATAAAEEVESVKVELEAFETEISTLETTIEELKTKASNAESRAEQALVESAMMSETNQALKEDLDAKLAMLRELQEQFDSTHAEKEKVFTKLSAHEKTIEHLTEVHSRGLELHATAESKNAELEAQMHEALETIGKKDSEVKDLNERLAALESEIESLTHVNEAMKQEINAKLVKVDELQEKLSSISSEKEEVAEKVVVHEKTIEHLREKHSRGLELQSAAESRSAEIENELREVLETVAQKEAEVTDLKEKLVSLETENEKLVGINEALKGELDTKVAMFDELQEQFSSTHAEKEEAAEKLAVHERTISHLTEVHTRSLELHSAAESKNEEIESKLHEALEMAAQKEAEVKDLSKKLDALEIELGYYEEQATEAAAAEETHKIKFDEAVHKIKSLEEQLAVTENKVELFHTEKENLVIANSKLNEELELHQNKLNELQVALAAAVAEKEGSSEEIHSLRKTLDGMIQRKEELESQLSLNSYIAITYFVALRKLSPCQVSSTVEEHEELKSKYNITLEEKQLLNEKYESAKKELGEAIAKLEEQMNVDKSEKELHISKLERQITLSELKYMEEIQTMQVETTEKDEALTTKMQEHANLVHEKDALEQQLLEVRKELNDAYHTIANQEEQVSVREIKWDAYKKFSEDQLEAEQQHVAELEVQVSSLKQQLQEAEIHYKHKEEQVSLREVQWEADQEHSVSELKAQRQYAAELEKQIGALTQQLQLVEKQYEQKVTEEREKLALVNTEVSKLTQKLSKSAEMEKKIEHLEQKLQAKDSVESTSRDFSLDSSTLPSKQRDRSLAPETTPPNPTQQQELREPSGIMAFKFILGVALLSVLIGVFLGKRY >OGLUM04G25140.1 pep chromosome:ALNU02000000:4:28413104:28418522:1 gene:OGLUM04G25140 transcript:OGLUM04G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFLTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >OGLUM04G25140.2 pep chromosome:ALNU02000000:4:28413104:28418126:1 gene:OGLUM04G25140 transcript:OGLUM04G25140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFLTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQEFAYYKDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >OGLUM04G25140.3 pep chromosome:ALNU02000000:4:28413525:28418522:1 gene:OGLUM04G25140 transcript:OGLUM04G25140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEEARRRIGTMKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFLTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >OGLUM04G25140.4 pep chromosome:ALNU02000000:4:28413525:28418522:1 gene:OGLUM04G25140 transcript:OGLUM04G25140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEEARRRIGTMKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQTFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFLTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >OGLUM04G25140.5 pep chromosome:ALNU02000000:4:28413176:28418522:1 gene:OGLUM04G25140 transcript:OGLUM04G25140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSDDKAQLSGLAQSEESSLDVDHQSFPCSPSIQPVASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLQKGLLPRHPGRLPKGQQANSLLDLMTIRAFHSKILRRFSLGTAVGFRIRKGDLTDIPAILVFVARKVHKKWLNPAQCLPAILEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFSELVDKLCGSDECIGSGSQVASHETFGTLGAIVKRRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDISTVTTVVRGVGDIGDVKVIDLQCPLNSLIGRQVCKVGRSSGHTTGTVMAYALEYNDEKGICFLTDILVVGENRQTFDLEGDSGSLIILTSQDGEKPRPIGIIWGGTANRGRLKLTSDHGPENWTSGVDLGRLLDRLELDIIITNESLQDAVQQQRFALVAAVTSAVGESSGAPVAIPEEKVEEIFEPLGIQIQQLPRHDVAASGTEGEEASNTVVNVEEHQFISNFVGMSPVRDDQDAPRSITNLNNPSEEELAMSLHLGDREPKRLRSDSGSSLDLEK >OGLUM04G25150.1 pep chromosome:ALNU02000000:4:28418705:28423472:1 gene:OGLUM04G25150 transcript:OGLUM04G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19860) TAIR;Acc:AT4G19860] MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAHLWSKFDASTGKTVSVDEKTNIVVPEDRYGLYAIDTLDPDMIIGDDSVCYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAIWAKETQDVLCNAKLPKSVKFYNIYGTDYDTAHTVRYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >OGLUM04G25150.2 pep chromosome:ALNU02000000:4:28418705:28423472:1 gene:OGLUM04G25150 transcript:OGLUM04G25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19860) TAIR;Acc:AT4G19860] MAVLEDLIRAIELWLRIAKEQVPLVDPTLDPVLLVPGIGGSILEAVDEAGKKERVWVRILAADHEFRAHLWSKFDASTGKTVSVDEKTNIVVPEDRYGLYAIDTLDPDMIIGDDSVCYYHDMIVQMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSRKLESVYIASGEKKINLITHSMGGLLVKCFMSLHSDVFEKYIKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESRFFISKWSMQQLLLECPSIYELLANSTFQWEDTPYLQIWRQKLDTNGKKSAMLESYEPDEAIKMIREALSKHEASHFIYTFTLQYNLPNIISCFRKKNDVGILQIISDGMHIPLPLDMDILRWAKETQDVLCNAKLPKSVKFYNIYGTDYDTAHTVRYGSEHHPISNLSDLLYTQGNYICVDGDGSVPVESAKADGLDAVARVGVAADHRGIVCDRHVFRIIQHWLHAGEPDPFYDPLNDYVILPTAFEIEKYHEKHGDITSVREDWEIISHRDDESKRPAELPPMFNTLSASREGEDGSLEEAQATIFVHPESKGRQHVEVRAVGVTHDG >OGLUM04G25160.1 pep chromosome:ALNU02000000:4:28423929:28424549:-1 gene:OGLUM04G25160 transcript:OGLUM04G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQGPPPGTAAYPPPGTGYPPPAYGAPPPVAADYGGYQQQPPPPPQDSQSRGDGFLKGCCAALCCCCLLDMCF >OGLUM04G25170.1 pep chromosome:ALNU02000000:4:28432471:28443221:1 gene:OGLUM04G25170 transcript:OGLUM04G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEHGGGGRGRGRGRGGGRGGGGGDGRGAGYGGGGGGVGGRGGRGPPGGGGGRGYEPGGGRGYGGGGGGGGRGYGGGGGYESGGGRGYGGGGRGYESGGGRGPGGGGRGHESGGGGGRGGNVWAQPGRGRGGAPAPAPAPAPAARRIQDEGAARSSGTVERIASTEVVRVQPPAPPVAVSHSGTRVPMRRPDGGGSVSKAKVKLLVNHFIVKYRQASTVFHYDIDIKLDISSPKASDKELSKGDFLTVKDELFKDESFRRLSSAVAYDGKRNLFTCAELPDGLFRVKVRSRTYIVSVEFKKKLPLSQLSELPVPREVLQGLDVIVREASSWRKIIIGQGFYSQGRSVPIGPDVVALKGTQQTLKCTQKGLILCVDYSVMPFRKAGPVLDLVQKSVRYLDYRTTLNKHQLDTLKNELKGQRVTVNHRRTKQKYIVKGLTDKPASQITFVDSESGQTKKLLDYYSQQYGKVIEYQMLPCLDLSKSKDKQNYVPIELCDLLEGQRYPKASLNRNSDKTLKEMALIPASRRKEEILELVNADDGPCRGEIAQQFGISLDVQMMEVTGRTLPPPSLKLGTSSGQPCKFNIDQPNCQWNLMAKRLAEGRGLQCWGVVDFSANSGQHALNGNMFIDKIVRKCCDLGVQMNRNPCYVQLLDMEVLSDPHQLFEELNKAKQAAASKKQKLQLLFCPMSDQHPGYKTLKLICETQLGIQTQCFLSFLANKQQGQDQYMSNLALKINGKIGGSNIQLFGESLPRISGAPYMFIGADVNHPSPGNVESPSIAAVVASVDQGASKYVPRIRAQPHRCEVIQHLGDMCKELIGVFEKRNRVKPQRIIYFRDGVSDGQFDMVLNEELVDMEKAIKTKDYSPTITVIVAKKRHHTRLFPKDLNQQQTKNGNVLPGTVVDTGVVDPAAYDFYLCSHNGLIGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPPPSSAASSSSASSSGAGASDFRSFPALHEDLVDNIWSVAVAATPGEATTAATGTREAEEGKGVLTGEETGSAVEEETGLAEDAFPVEEESSLAEAAMFWEEAKAVGGGLLLVVVVLVEEGPSSAVGAELAALGEWGERPCAVGDGARATTETSTTTSASVTTTATTTTSASVTTTTTTTSASVTTTTTTSASSEGDPGRGILEFVVVQRIPYGEDEKEEPPATPIASSNKNKREEPPTKHRPMARPPGGGGPLSKGEVKLLVNHFSVDYPKESTFFHYEIRIKLGDGPNRKLSKAELLTVKNELFEHESLQELSSAVAYDGERNLYTCAELPEDCIVPVSKFRVKDSSRTYIVSVKLKKPLPLSQLLEQRPGPRDVMQGLDVIVREASSFGKIVLGQGFYPQSGSEAISDSNIVALKGTQQSLKCTQKGLILCVDYSVLPCWKAGSVLDLVKTMKFMEYPLLEDQLKKLNNALKGLCVTVSHRKTEEKYTVKGLTDKPADQITFKDSKSGQTTKLIEYYKETYKKEIEHPMLPCLDLSKSKSKQNYVPIEFCNIPEGERYPVARLDDKKSDNKGEQEKPSTKTTLRKISIKVASSRKEEILDLVGNAQDGPCRGKIAQRFRISLDAAMMEVTGRILAPPTLELGTGTSRGQTFKFTIHQDDCQWNWKLKKYDKRVVARGGTLNCWGVVDFSEGDLESKFIDKVVRKCSALGMVMTRKPCYEHVSNMEVLSDPKSLRDALIEAKRAAEEEDKKLQLLFCPMLNRCHGYKTLKLMCETELGIQTQCFLSTAAKLDEKRQDQYITNLALKINSKIGGSNMQLDPDSIPVVSAKDFMFIGADVNHPPPGNVSKDIPSIAAVVASVDKGASKYVTRIRAQYHRCEMIQNLGDICKELIGAYEKVNKKKPDSIIYFRDGVSDGQFDMVLNEELADMENKIMVGDYPKITVIVAKKRHHTRLFPKDRNQRQTKNGNVLPGTVVDTDVVDPTAYDFYLCSHKGEVGTSRPTHYYSLLDEHGFASDDLQKLVYNLCFXAVAVEYQYHHHYSRPEPAMVVPVHLPYYAANATPYYAGGYYPIPPPMPAMLRHPPQLRPQPSRFDEDYFNEDNTVGCHVM >OGLUM04G25180.1 pep chromosome:ALNU02000000:4:28447711:28452345:1 gene:OGLUM04G25180 transcript:OGLUM04G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSERYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >OGLUM04G25180.2 pep chromosome:ALNU02000000:4:28447711:28452345:1 gene:OGLUM04G25180 transcript:OGLUM04G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT1G64810) TAIR;Acc:AT1G64810] MEILHNNGFCVSLIDINRTRMNKLVKVGSRPRQIAWGMSRICCEQSPGTSSERYERYQRQPQNVDLPELLPKKKKKPFPVPIKKMLQASRQDKRLARMRIEKPLEPPKNGLLVPELIPVAYEVLDNWKVLIRGLSQLLNVGTVYGCRKCPQVHVGPVGHQIQDCYGTGSQRRNSHHSWVRGSVNDVLIPIESYHQFDPFGWRVKHETRFDYDRIPAIVELCIQAGVELPQYPSRRRTAPVRMIGKKVIDRGGFVDGPKPHRSEDCISLLAELDTFSNQQGQSSTPSNVEELAEKTLKAYLNVQRGVARLMRKYTVKTCGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDDVIPPNYVWHVRDPTGPPLRSSLRSFYGKAPAVVELCVQAGAEIPEEYRPMMRADVVIPDSEEARLAA >OGLUM04G25190.1 pep chromosome:ALNU02000000:4:28452607:28453938:1 gene:OGLUM04G25190 transcript:OGLUM04G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEELKRVDLKVNVSCCEGCRRKVMKAISLKEMLNFVFVCMGCCRRAEDRDQPVARQGDRRRRRGQQGARQEALQGRQDRRGDGAAAVVNGGAFGRRQEERRQWRREADLAGGDEHGFASDDLQKLVYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEGMMMSQPAASAASASEAMMPAAQPQAAAAAAAAASPSSSAASSSEGMTASQPQAPAAEAASSSAGAADFRELPPMHGDLLNNMFFL >OGLUM04G25200.1 pep chromosome:ALNU02000000:4:28454677:28458508:-1 gene:OGLUM04G25200 transcript:OGLUM04G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASTSGDPTAAAGEPTPSSSASAQPRQPPSRISHIVRTYLDLSSNPKKRRAAPKSHPKPAAGQGAPDAGDGKDGGGKAAATPSSAAQPTTTRLLRELGVRVSRYTHEERRDIVLRYMQKRSGRQGVKRATAKAPSRQALAERRRRGARGQFLGKEGAKTVDKPEEDPEVPPEVIANAGGVPIVGMVFENEEKAYEYYASYAGNVGFSVRKGLWDKTVKNVARSRVFVCYREGFRSKNEAKRPRPETRTGCPARIAIKLVSNGKYRVAEFVEDHNHQLAAPFDIDMLKSEREFTHDFHHCMFDIEDDQEFDEIWNVIIKKYSLKGEECNEVEKSTGKKKTEVYRHKSAVVTNKRQKTRKDTRHPDEAATGTRDGELNMTPDNRQSEARNSPNQFLPDQLMQGHYILGQSYGLGTSENLHNNLNQFGQASSLPTMQQQAFPGNNQLTQGYPSDMHALQFVGANPQMEHQNGDQGQSSIPVWDFL >OGLUM04G25210.1 pep chromosome:ALNU02000000:4:28459938:28462690:-1 gene:OGLUM04G25210 transcript:OGLUM04G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >OGLUM04G25210.2 pep chromosome:ALNU02000000:4:28459938:28462690:-1 gene:OGLUM04G25210 transcript:OGLUM04G25210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGTEHKDLYISFQNMLNRNHS >OGLUM04G25210.3 pep chromosome:ALNU02000000:4:28459829:28462690:-1 gene:OGLUM04G25210 transcript:OGLUM04G25210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEAAAGVVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAARLRRDLADRDRAEADLRARLADSDARLLAALDENAKLAKERDSLASTAKKMARNLAKLEAFKKQLMKSLSEDNLLQLSEIGDDRDFDANNNLTARVPSWKDEVSSSRTSADSSSRSTMTESAQEHQFSVTPYTAPKLTPGSTPKFLSGPTSPTKSLSEVHSTFSSWHGSSSHQYSAPTSPPQHRSFAGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREVAYCPFIIVDFSVGYFLRKYVNMIFLLYLMNLRRTLSQRQKRFLEQNIKICTFLSRICLTATILECLSQASVYFCLLNKQVVLLPNSDFSVKAAFHVLMD >OGLUM04G25220.1 pep chromosome:ALNU02000000:4:28464589:28466957:-1 gene:OGLUM04G25220 transcript:OGLUM04G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56090) TAIR;Acc:AT1G56090] MAGAAVEQAHELYRGGRHRDALELYTAALAAARGPAQRIALHSNRAACYLKLHDFHKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLHARLKTQLALAPIPESEEESLYLEEDKQELPPERNVNIEICITKSDKPATEMILKKKPTTEPIVENKPATELILEKKPATELILEKKHATEPPKVEVPPSLPQKPQGWETIAKPKGHSGLDYSKWDKVEDDSSEDEDEEEEELPQYKFKVRTVAGSPELRGASPVTQIHNSLVPSCEQKFRFQDLKPGWQIPKSELSRLEEMREGLQ >OGLUM04G25230.1 pep chromosome:ALNU02000000:4:28492438:28533064:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKTYAMDASGPIPEELRNLTRLTNLNLGQNILTGPIPSFIGELTAMQYMTFGINALSGSIPKELGNLTNLIILALGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTSLDLSFNNITGQVPEAMLGLNSLSFLFLGNNNLSGSLPSSKGPSLTTLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNEIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRIFDIYISRANEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVSGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEEKIYIFEWAWELYENNNPLGLVDPNLKEFDREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVKLGAGRTGLAADLVAVLELRRLGRRVIFAPARAGEASGGEVSRRKMGVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLNLGQNLLSGPLPSFIGELTNMQKMGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLASLDFSKFAKIFLTTSSQETFLLGLVTKICNLSYLQGWHAFREIHPAFLVLHTSSFAVNCGSNRFISGSDNLRYETDDVNLRAASYYVTGTPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGICMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVSLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGRGDPCTGAATDGTDFSDQNTTAIKCDCSDQNNTVCHITRLKIYARDAVGQIPEELQNLKHLTHLGFGSNNFSGSLPSELGNLFKLEELYIDSAGLSGALPSSFANLTRMKILWASDNNFTGQIPEYIGSWNLTDLILRNCMISNNLESIDFSKYASLTLLDFSYNQLSGDLPSWVSGKDLQLNLVANNFLDNELNNSILPSMQYLNCLQRTASFAVNCGGPLTSGSDNLRYQSDEVNLGDASYYITGAPTWGVSTVGRFMDASNGGYTIRSSRQFQNTLDSEMFQNTRTSASSLRYYGIGLENGNYTVTLQFAEFGFEDTQSWKSLGRRVFDIYLQGERKEQNFDIRKAAGDKSYTVVKRSYKVPVTKNFVEIHLFWAGKGTCCIPTQDNYGPSISALSLIPAGTPLNNNSWFHFENNVFSVINY >OGLUM04G25230.2 pep chromosome:ALNU02000000:4:28494714:28533064:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKTYAMDASGPIPEELRNLTRLTNLNLGQNILTGPIPSFIGELTAMQYMTFGINALSGSIPKELGNLTNLIILALGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTSLDLSFNNITGQVPEAMLGLNSLSFLFLGNNNLSGSLPSSKGPSLTTLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNEIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRIFDIYISRANEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVSGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEEKIYIFEWAWELYENNNPLGLVDPNLKEFDREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVKLGAGRTGLAADLVAVLELRRLGRRVIFAPARAGEASGGEVSRRKMGVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLNLGQNLLSGPLPSFIGELTNMQKMGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLASLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFTFNFIFLTTSSQETFLLGLVTKICNLSYLQGWHAFREIHPAFLVLHTSSFAVNCGSNRFISGSDNLRYETDDVNLRAASYYVTGTPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGICMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVSLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGRGDPCTGAATDGTDFSDQNTTAIKCDCSDQNNTVCHITRLKIYARDAVGQIPEELQNLKHLTHLGFGSNNFSGSLPSELGNLFKLEELYIDSAGLSGALPSSFANLTRMKILWASDNNFTGQIPEYIGSWNLTDLILRNCMISNNLESIDFSKYASLTLLDFSYNQLSGDLPSWQLVVHLSIEKQQQ >OGLUM04G25230.3 pep chromosome:ALNU02000000:4:28494714:28533064:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKTYAMDASGPIPEELRNLTRLTNLNLGQNILTGPIPSFIGELTAMQYMTFGINALSGSIPKELGNLTNLIILALGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTSLDLSFNNITGQVPEAMLGLNSLSFLFLGNNNLSGSLPSSKGPSLTTLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNEIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRIFDIYISRANEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVSGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEEKIYIFEWAWELYENNNPLGLVDPNLKEFDREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVKLGAGRTGLAADLVAVLELRRLGRRVIFAPARAGEASGGEVSRRKMGVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLNLGQNLLSGPLPSFIGELTNMQKMYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLRIGDIENGSSSSLAFISNMTSLSILILRNCRISDNLASLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFTFNFIFLTTSSQETFLLGLVTKICNLSYLQGWHAFREIHPAFLVLHTSSFAVNCGSNRFISGSDNLRYETDDVNLRAASYYVTGTPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVLPLTTNHGPKMDFLGSIFAYLCKIPFSLFNLTSVLCSDFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGICMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVSLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGRGDPCTGAATDGTDFSDQNTTAIKCDCSDQNNTVCHITRLKIYARDAVGQIPEELQNLKHLTHLGFGSNNFSGSLPSELGNLFKLEELYIDSAGLSGALPSSFANLTRMKILWASDNNFTGQIPEYIGSWNLTDLILRNCMISNNLESIDFSKYASLTLLDFSYNQLSGDLPSWQLVVHLSIEKQQQ >OGLUM04G25230.4 pep chromosome:ALNU02000000:4:28494714:28533064:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKTYAMDASGPIPEELRNLTRLTNLNLGQNILTGPIPSFIGELTAMQYMTFGINALSGSIPKELGNLTNLIILALGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTSLDLSFNNITGQVPEAMLGLNSLSFLFLGNNNLSGSLPSSKGPSLTTLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNEIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRIFDIYISRANEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVSGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEEKIYIFEWAWELYENNNPLGLVDPNLKEFDREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVKLGAGRTGLAADLVAVLELRRLGRRVIFAPARAGEASGGEVSRRKMGVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLNLGQNLLSGPLPSFIGELTNMQKMGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLASLDFSKFASLSLLDLSFNNITGEVPATLLGLNFLNFLKPSNLKRIFTFNFIFLTTSSQETFLLGLVTKICNLSYLQGWHAFREIHPAFLVLHTSSFAVNCGSNRFISGSDNLRYETDDVNLRAASYYVTGTPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGICMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVSLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGRGDPCTGAATDGTDFSDQNTTAIKCDCSDQNNTVCHITRLKIYARDAVGQIPEELQNLKHLTHLGFGSNNFSGSLPSELGNLFKLEELYIDSAGLSGALPSSFANLTRMKILWASDNNFTGQIPEYIGSWNLTDLILRNCMISNNLESIDFSKYASLTLLDFSYNQLSGDLPSWQLVVHLSIEKQQQ >OGLUM04G25230.5 pep chromosome:ALNU02000000:4:28494714:28533064:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTVAQAQQAPTTRTDPTEAAALNAVFAKLGQKAQPSWNITGDPCTGRATDGSSTEDDSFNPAITCDCTDQNGTVCHITKLKTYAMDASGPIPEELRNLTRLTNLNLGQNILTGPIPSFIGELTAMQYMTFGINALSGSIPKELGNLTNLIILALGSNNFSGPLPSELGNLDKLTELYIDSAGLSGELPSSFSKLTKVKKLWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPATLSKLVQLTSLDLSFNNITGQVPEAMLGLNSLSFLFLGNNNLSGSLPSSKGPSLTTLDFSYNQLSGNFPSWANEKNLQLNLVANNFVLDNSNNSVLPSGLECLQRNTPCFLAASFAVNCGSNRSISGSDNYVYQADGVSLGAAQYYVTGETKWGVSNVGKFMDAPSNEIYIFNSSRQFQSTLDPELFQTARLSPSSLRYYGIGLENGNYTVTLQFAEIEFEDTKSWKSLGRRIFDIYISRANEKDFDIRKAAGGKSYTAVKKQYLIPVTKNFVEIHLFWAGKGTCCIPAQGYYGPSISALSLKPNFTPTVRSAAAQRKSSSKTGVIVGVVVGVAVFGLVALAGIFLWMQRRRKLSLEQQELYCIVGRPNVFSYGQLRSATENFNFSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSNQGKQQFATEIETISRVQHRNLVKLYGCCLEGKHPLLVYEYLENGSLDKALFGTEKLNIDWPARFEICLGIARGLAYLHEESSIRVIHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVSGTFGYLAPEYAMRGRMTEKVDVFAFGVVLLEILAGRPNYDDALEEEKIYIFEWAWELYENNNPLGLVDPNLKEFDREEVLRAIRVALLCTQGSPHQRPPMSRVVTMLAGDVEAPEVVTKPSYITEWQLKGGDTSYLDSELAVKLGAGRTGLAADLVAVLELRRLGRRVIFAPARAGEASGGEVSRRKMGVSRLLHGCVLVLLLAAAVVQAQRAATRTDPTEAAALNAVFAKLGQQAQSSWNLSGDPCTGRATDGSAIDDTSFNPAITCDCTFQNSTICRITKLNLGQNLLSGPLPSFIGELTNMQKMGLGSNHFNGSLPTELGNLIKLQELYIDSAGLSGPLPSSLSKLTRMQILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPIPAALSNLVQLSSLILRNCRISDNLASLDFSKFAKIFLTTSSQETFLLGLVTKICNLSYLQGWHAFREIHPAFLVLHTSSFAVNCGSNRFISGSDNLRYETDDVNLRAASYYVTGTPTWGVSNVGKFMDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSWKSLGRRVFDIYVQGERKEKNFDIRKTAGDKSYTVVKKQYKVPVTKNFLEIHLFWAGKGTCCIPTQGYYGPTISALSVIPADFTPTVGNTAQKNKSTSKTGVIVGVVVGVMVLGLVALVGICMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLTIDWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLIDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVSLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGLVDPKLKEFNREEVLRAIRVALLCTQGSPHQRPPMSRVVSMLAGDVEVPDVLTKPSYITEWQIKGGNTSFANSAVSGQSSSAPGSTSEQQGSSLFLNSVIPEGRGDPCTGAATDGTDFSDQNTTAIKCDCSDQNNTVCHITRLKIYARDAVGQIPEELQNLKHLTHLGFGSNNFSGSLPSELGNLFKLEELYIDSAGLSGALPSSFANLTRMKILWASDNNFTGQIPEYIGSWNLTDLILRNCMISNNLESIDFSKYASLTLLDFSYNQLSGDLPSWQLVVHLSIEKQQQ >OGLUM04G25230.6 pep chromosome:ALNU02000000:4:28468249:28494427:-1 gene:OGLUM04G25230 transcript:OGLUM04G25230.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQSSSSKCCCQKITASFAVNCGGPLTSGSDNLRYQSDEVNLGDASYYITGAPTWGVSTVGRFMDASNGGYTIRSSRQFQNTLDSEMFQNTRTSASSLRYYGIGLENGNYTVTLQFAEFGFEDTQSWKSLGRRVFDIYLQGERKEQNFDIRKAAGDKSYTVVKRSYKVPVTKNFVEIHLFWAGKGTCCIPTQDNYGPSISALSLIPADFTPTVSTSISPEGNNNTSKAGVSTSKAGVIVGVVVGVTILGLIALAAILIWRQNRRKRKLSLEQQELYSIVGRPNVISYGELRSATENFSSSNLLGEGGYGAVYKGKLTDGRVVAVKQLSQTSHQGKVQFAAEIQTISRVQHRNLVKLYGCCLESNNPLLVYEYMDNGSLDKALFGTGKLNIDWPARFGICLGIARGLAYLHEESSIRVVHRDIKASNVLLDAYLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGRLTEKVDVFAFGVVLLETLAGRPNYDDALEEDKIYIFEWAWELYENNYPLGVVDPRLTEYDSEEALRAIRVALLCTQGSPHQRPSMSRVVTMLAGDVEVPEVVTRPSYITEWQIKGGNTSFMGSDVSWRSSSAPREIISPQDSSPFLSSVEYIDSAGLSGPLPSSFSKLTRMQTLWASDNDFTGQIPDYIGNWNLTDLRFQGNSFQGPIPSALSNLVQLSSLRIGDIENGSSSSLAFIGNMTSLSILILRNCKISDNLASIDFSKFASLNLLDLSFNNITGQVPTTLLGLNLLNSLDFSYNQLSGNFPTWASGKNLQLNLVANNFVIDSSNNSVLPSGLACLQRNTPCFLASSFAVDCGSNRLISGSDNFRYQTDDASLGAASYSVTGEPTWGVSNVGKFVDAPNGSYIIYSSRQFQNTLDSELFQTSRMSPSSLRYYGIGLENGNYTVTLQFAEFGIEDTQSYKSLGRRVFDIYLQGERQEKNFDIRKAAGDKSYTVVKKSYKVPVTKNFLEIHLFWAGKGTCCIPGQGYYGPTISALSVTPAVLGLVALVAIFMWRQKRRKLSLEQQELYSIVGRPNVFSYSELRSATENFSSSNRLGEGGYGAVYKGKLNDGRVVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLNIDWPARFDICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDTLEEDKIYIFEWAWELYENNNPLGIVDPNLREFNRAEVLRAIHVALLCTQGSPHQRPPMSRVVSMLTGDTEVTDVLMKPSYITEWQIKGGNTSFANSAVRGQSSSAPGSTSQQASSVFLNSIIQEGREMDPRLSYCCYLHGCLCVLLLCSWRSADAQAQQPPPQTDPTEAAALNAMMARLGLSAPPSWNISGDPCSGAATDDTPLDDNPAFNPAIKCDCSDHNNSTLCHITRLYVLYETDEISSSSSCEKFLLHSVRNFFSFRKSTRWMDFRKNYFTGPLPAFIGELTALNTVGINALSGPIPKELGNLTNLVSLALGSNNFNGSLPDELGKLTKLQQLYIDSNDFSGPLPTTLSQLTNLSTLRLQGNSFQGPIPRSLYNLVKLRSFVLRNSRISDSLASLDFSKFGSLNLLDLSFNNITGQIPPSILNLPSLTFLNLVANDFVIDGTDMSDLPWGLNCLQRNTPCFLVASFAVDCGGSRTISGSDNAMYQADNANLGAASYYVAGTPTWGVSTTGRFMDPPNGSYIIYSSRQFDNTLDSGLFQTARMSPSSLRYYGIALENGNYTVTLQFAEVDFPDVQSWRSRGRRIFDIYVQVACKLTISLGERKEQNFDIRKAAGGKSFTVVKKQYVVPVTKNFLEIHLFWAGKDFIPTVRSPADNKSRSKIAVIIVVVVGVAVFALAALAGHFIWRQKKRKILLELEELYNIVGRPNVFSYNDLRSATENFSSSNLLGEGGYGLVHKGRLSDGRAVAVKQLSQSSNQGKKQFATEIETISRVQHRNLVTLYGCCLESNTPLLVYEYLENGSLDQPLFGKGSLNLDWPTRFEICLGLARGIAYLHEDSTVRIVHRDIKASNVLLDAGLNPKISDFGLAKLYDNKKTHVSTKVAGTFGYLAPEYAMRGHMTQKVDVFAFGVVVLETVAGESNYQNTLEEDRTYIFERVWELYENGHPLDFVDPKLSEFNSEEVIRVIRVALLCTQGSPHKRPPMSKVVSMLTGDADITEDAAKPSYITEWQIKVGSCHHTGSSQVGSASTPPSSGDGGAGQASSQGAGEGSPLTPSPLFTSIIDEGR >OGLUM04G25240.1 pep chromosome:ALNU02000000:4:28534973:28556423:-1 gene:OGLUM04G25240 transcript:OGLUM04G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGRGKIATHIRLQESMEGAVAQAQKAPTATTDPNEAAALNDVFAKLGQKAAASWNTTGDPCSGTATDGTDINDSSINPAIKCDCSDQNNTVCHITRLSFDINTLSGPVPKELGNLTNLISLGFGSNNFNGSLPSELGSLFNLEQLYIDSAGLSGALPSSFSKLTRMKILWASDNNFTGQIPDYIGGWNLTDLDFSYNQLSGNFPSWASDKKLQLYLVANNFVIDRSNSVLPLELECLQRNTTFSLASPFAVKCGSSRSMTDSNNNFYQTDDATLGPASYNVRKTSSPIWAVSNVGKFMDTLASDGNYMIFYSSQSQNTLASELFQSARMSPSSLRYYGIGLENGNYNVTLQFAELGFTESQSWRGTGRRVFDIYVQGERKEQNFDIRKAVGGKSNTAIKKDYTIHVTKNIVEIHLFWAGKGTCCIPSQGYYGPSISALSVTPSTLLNYYVFFV >OGLUM04G25240.2 pep chromosome:ALNU02000000:4:28534973:28556423:-1 gene:OGLUM04G25240 transcript:OGLUM04G25240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGGRGKIATHIRLQESMEGAVAQAQKAPTATTDPNEAAALNDVFAKLGQKAAASWNTTGDPCSGTATDGTDINDSSINPAIKCDCSDQNNTVCHITRLSFDINTLSGPVPKELGNLTNLISLGFGSNNFNGSLPSELGSLFNLEQLYIDSAGLSGALPSSFSKLTRMKILWASDNNFTGQIPDYIGGWNLTDLDFSYNQLSGNFPSWASDKKLQLYLVANNFVIDRSNSSRSMTDSNNNFYQTDDATLGPASYNVRKTSSPIWAVSNVGKFMDTLASDGNYMIFYSSQSQNTLASELFQSARMSPSSLRYYGIGLENGNYNVTLQFAELGFTESQSWRGTGRRVFDIYVQGERKEQNFDIRKAVGGKSNTAIKKDYTIHVTKNIVEIHLFWAGKGTCCIPSQGYYGPSISALSVTPSTLLNYYVFFV >OGLUM04G25250.1 pep chromosome:ALNU02000000:4:28557946:28586727:1 gene:OGLUM04G25250 transcript:OGLUM04G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRPVPPSAAAAGGWSPELLRASPGRRRVALGRPSVRAVFPCYSRSVGRKKTKAHNVENQESTEYFAEDTPAPKKLRKRCNYSRVIKEKFKELYGELGSRSNRRSVTLNGGRSGASLLSDLCVGIVEYLQRGHGACGEELEDDPASRSKKRRRRRPRASVAQQDDVTVLGPHWCDFVWATAHGLL >OGLUM04G25260.1 pep chromosome:ALNU02000000:4:28558219:28567307:-1 gene:OGLUM04G25260 transcript:OGLUM04G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPEELRNLTHLTHLYELSDFLRGVAVLQLPRHCSNIEHGTIPSFIGELAAMQYMTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSKLGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLRIGDIASGSSSSLAFISNMTSLNTLDLSFNNITGQEFGGKQFHAASFAVDCGSTRFISGSRNSSYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRGERKEKDFDIKKEAGGKSYTAVKKDYIISVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELSGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKVWRLYESDRALDIVDPDLAEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPTRATSSPKMSSPFLSSVVDEGSC >OGLUM04G25260.2 pep chromosome:ALNU02000000:4:28558219:28567307:-1 gene:OGLUM04G25260 transcript:OGLUM04G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVLLLLLAAVAQAQKAPTATTDRIEAEALKAVFEKLDQKAEWNTTGDPCSGAATDSTDINDSSINPAIKCDCSDQNNTVCHITGLKIYDKDATGQIPEELRNLTHLTHLYELSDFLRGVAVLQLPRHCSNIEHGTIPSFIGELAAMQYMTFGINALSGSIPKELGNLTNLVSLGFSSNNFSGSLPSKLGSLFKLEELYIDSAGLSGELPSSLSKLTRMKILWASDNNFTGQIPDYIGSWNLTDLRFQGNSFQGPLPANLSNLVQLTNLRIGDIASGSSSSLAFISNMTSLNTLDLSFNNITGQCLTFGAGVSSAKYSVLSWFSTFYQADATNLGAASYHVTEPLTWGISNVGKFMDTPNGTTIINNARQFQATLDSELFQTARMSPSSLRYYGIGLQNGNYTVSLQFAEFGFEDTESWKSRGRRGERKEKDFDIKKEAGGKSYTAVKKDYIISVTKNFVEIHLFWAGKGTCCIPTQGYYGPTISALSLSPNFTPTIGNVAEQNSSTSKTVVIVAVAIGVTILGLVALVGIFLWRKKRRKLSLEQQELYSIVGRPNIFSYGELSGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDALEEDKVWRLYESDRALDIVDPDLAEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPTRATSSPKMSSPFLSSVVDEGSC >OGLUM04G25270.1 pep chromosome:ALNU02000000:4:28574818:28577149:-1 gene:OGLUM04G25270 transcript:OGLUM04G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRIVAVKQLSQTSHQGKKQFATEIETISRVQHRNLVKLYGCCLEGNNPLLVYEYMENGSLDKALFGTEKLHIGWPARFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNPKISDFGLAKLYDDKMTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVLLETLAGRPNYDDVLEEDKIYIFEWVWRLYESERALDIVDPNLTEFNSEEVLRAIHVALLCTQGSPHRRPSMSRVVAMLTGDAEVGEVAAKPSYITEWQIKGGGTTTTTTGSSSTSSSAANGQWSSAPPPLPPPTRATSSPKMSSPFLSSVVDEGR >OGLUM04G25280.1 pep chromosome:ALNU02000000:4:28586915:28588867:1 gene:OGLUM04G25280 transcript:OGLUM04G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQIHTRGGFGWDSEEDSEEEDSEESEEEVVEEEVEDGEEEEEEEIAVTPEMEARLYAEFQATARERLMPAVTLAESCKKFGYHPPAPPFEIINYSDLFERAWGWDTILPFSVARTFSRYKEYLVDYYNRNQKKPNAAAAAADRTGDHDSLTALANKCAEMESHLMFLLKCRAGVFTENVEIKISRTSDRITKRARETTNALESEFPAAAVAFKCITKEAELMCAMLIHAAEDHPYWFVLCNKIRLYALRFMTYKEPEHILAAAAMMGITREANLTCELVKERHKDKNGREFFSIFIRTRTLASMYYILKEYSAVGKPTRGNTAGKLISDDSDVKTCSGKDVVENDILDDWIVISPKKAETIG >OGLUM04G25290.1 pep chromosome:ALNU02000000:4:28591727:28595692:1 gene:OGLUM04G25290 transcript:OGLUM04G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRVPRVIITPPGFILLYSNGPLPPLTRAEFFPFQLKRLRILATMELSGDAAVVSGCRNRKRKRHMQQQYSLEYPCVSRLRHRRLLAFLSRHDYDSTFDALVQETSVLFRVGHIQALVRQGRWADAASYANRFAPPSRVRTDAGLVFHEFLYIHHVLDCIVAGDHLRGAQVAARYQRHVRDNPDPSPGAIKLIRILITILHCRPIRIFDTDTHDTCALLCAGCLLLLSVRRDITQDYHVMQQTGLQISSVILVCLVSPAFVDFPLSLDFRTFSDKSLQAGVLHDGYPFQHSCNEGVTGAPIGLDSPANSYGISTQTIADMMRPLIISDIGQGSVARNNPTRPETTAHQAISPTTQQITTEFVQHSESQFQEYNSQMDGRVRIVESGMKRRILGEENFMTNN >OGLUM04G25290.2 pep chromosome:ALNU02000000:4:28591727:28595692:1 gene:OGLUM04G25290 transcript:OGLUM04G25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSRVPRVIITPPGFILLYSNGPLPPLTRAEFFPFQLKRLRILATMELSGDAAVVSGCRNRKRKRHMQQQYSLEYPCVSRLRHRRLLAFLSRHDYDSTFDALVQETSVLFRVGHIQALVRQGRWADAASYANRFAPPSRVRTDAGLVFHEFLYIHHVLDCIVAGDHLRGAQVAARYQRHVRDNPDPSPGAIKLIRILITILHCRPIRLPSSTICQERYYTGLSRNAANWLADIIGVTGAPIGLDSPANSYGISTQTIADMMRPLIISDIGQGSVARNNPTRPETTAHQAISPTTQQITTEFVQHSESQFQEYNSQMDGRVRIVESGMKRRILGEENFMTNN >OGLUM04G25300.1 pep chromosome:ALNU02000000:4:28600753:28601424:-1 gene:OGLUM04G25300 transcript:OGLUM04G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLGPTRELRGGANAVRCSAAAHEIPAPIPLKPERKYAPRGPNRTRTHCHVGPMRAWDPPVRVGNLSPTRVYSDVLALVSPPPSSLSLRDTVLSLPPPLLTMRGLMRCASTGACRVAPGAVAARASAAAVGGGTTKVPAGHVPVEVGAEGEETERFVVPAELLGRPPIAELLRRAAQEYGYARRGPIRIPCPAAAFRRLLGALTGGSGEGGLALAYFSVVV >OGLUM04G25310.1 pep chromosome:ALNU02000000:4:28608404:28612213:1 gene:OGLUM04G25310 transcript:OGLUM04G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRKRNDDGGGGGGAAVPRGCVALLLVGDGGGGGEEIRVVVEVRALGQPRVGMLLERAAGEYGYDQEGVLRVPCSADEFRRALAADAAAGARGKKKKKCSEYQTNNYLCGANNKITTAAAVPRGCVALLLVGNGGGGGDGDDGERVVVEVRALERPRVGALLEKAAREFGYDQKGVLRVPCSAGEFRQALTADGGAAAAGAPCRRR >OGLUM04G25320.1 pep chromosome:ALNU02000000:4:28615377:28620104:-1 gene:OGLUM04G25320 transcript:OGLUM04G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 6 [Source:Projected from Arabidopsis thaliana (AT1G03000) TAIR;Acc:AT1G03000] MVEATRQRRKPLVLASTQALLDSLPGNRCGSALPPPPPPEPVRIRAGVLRFPNSSSSSSSAGGGEFGEAASFVSLPSSALRRLAVVTGTPVLVKNADNNVGRIAKAVVLDHPCLDDSLTEHTEPVDAASPSDNAMGILPCRSFPTNGFPPLDEEVAYVSPLLAFNLGVHVSCLKLLVQKGEKPFKFCSKVEDSDLAAGEESNPILHLEILPSPQVPKHALHLRVSVVRIPECGVFASLKANSAIGGSDYQDMIDQALNEHFKFDRFLARGDVFCIRNSWNCGATSCLACNREDNRLHPHDMIYFKVTGMEPSDEPVHRVNCNQTALVLGGGASASIPPYSLFASPGASVPLHSEIVEHLASIIAPAICPSNILPKVKFSTFLYGPSGCGKRTVVKHVANHFGLHVVECSCHDLMTSSDSGAPAALVAAFKEAHKYSPCIILLRHFDAIGNTSSNEGTQSAQSGTAANIESVIKKYIGQHWVATESLPARDINGNPYLVEPECVSPLQVILVATADRAEGMPQSIRRCFRHEINMKSINEEQRRNLISETLHCVSTAADESINDKFVKDLAVQTSGFMPRDILALVADAGISFAHKVETDKNNSEGDKLKGILPTSSSSSQNEEKTFCKEYILSSLERAKKRNRAALGTPNVPNVKWEDVGGLEEVKKVILDTIQLPLLYKHLFSSKLGKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSSDSAGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNSDASYRERILKAQTRKYKLHENVSLLSIAQQCPPNFTGADIYALCADAWYHAAKNLAKTLEADPSRTSEASADDVIVEINDFMTVLGDIAPSLSIEELQNYEQLRKKIEGPSR >OGLUM04G25330.1 pep chromosome:ALNU02000000:4:28643218:28644102:-1 gene:OGLUM04G25330 transcript:OGLUM04G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVSSVARLLRGEAGRRGGAAGEVVTMDLLGGCGGDSRGGVVGGGGGEDEVVDLEVKVPAGWERRLDLMSGKTFLTPRLQGVHVGHQDLNLPPPPSSAAAAASTSAAVCTLDMVRSALERATAG >OGLUM04G25340.1 pep chromosome:ALNU02000000:4:28652565:28656585:1 gene:OGLUM04G25340 transcript:OGLUM04G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQR0] MTTARFVSPSLSRVSPSPAGRVSGSSWLSRAGVALPSRPHGLSLHLRPPAMASAAAAGNGSPSAPEDSTALSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVCVKAKGDTHIDDHHSNEDIALAIGTALLEALGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLSIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRRGTVPSSKGVLSRS >OGLUM04G25350.1 pep chromosome:ALNU02000000:4:28656745:28658242:-1 gene:OGLUM04G25350 transcript:OGLUM04G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAFAAVFAAVALVVCSSVLPRALASDPSQLQDFCVADKLSAVFVNGFVCKNPKQVTANDFFLPKALGVPGNTVNAQGSAVTPVTVNELPGLNTLGISFARIDFAPNGQNPPHTHPRATEILTVLQGTLLVGFVTSNQPGGGNLQFTKLLGPGDVFVFPQGLIHFQLNNGAVPAVAIAALSSQNPGVITIANAVFGSTPPILDDVLAKAFMIDKDQVDWIQAKFAAPPAASGGGGGFIGGGGGGGFPGGGAP >OGLUM04G25360.1 pep chromosome:ALNU02000000:4:28661892:28665468:1 gene:OGLUM04G25360 transcript:OGLUM04G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G14580) TAIR;Acc:AT3G14580] MSRAVARRSLPPFLRLRSAVCDDGYWIGRLDHKDWLSPNELLKIFANIRDPSLITGSFKRACDRRDYKPNEALYSMMIDRLASCRRFSDVEEVLARARAERFRFSDEFFYRLIKMYGNVANHPEKAIETLFAMPRYNCWPSTKTFNYVLHMLVCKRQYEVVHEVYLSASRLGVALDTCCFNILVKGLCQFSKFDDAISLLYEMPKQGCVPNVTTYSTLMNFLCQHGQVDKAFELCERMQKEDIAADAVVYNILISGLCREQRVTEAFNLFKSMVPKGCYPNSGTYQVLLDGLLSSGKFVEAKGLVSMMRAEPWDYWDLIMAKTFSLGLYVIRANIDRDCVKLGKVLFILFSEGVL >OGLUM04G25370.1 pep chromosome:ALNU02000000:4:28666459:28670489:1 gene:OGLUM04G25370 transcript:OGLUM04G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >OGLUM04G25370.2 pep chromosome:ALNU02000000:4:28666296:28670489:1 gene:OGLUM04G25370 transcript:OGLUM04G25370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDSRRKPNVVGKFTVAVALTVMCIIVLKQSPGFTSTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLRDNYRVTIVDNLSRGNMGAVRVLQRLFPEPGRLQFIYADLGDAKAVSFFSTIQVNKIFSENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLTVLEAMAAYNVKTLIYSSTCATYGEPDTMPITEATPQNPINPYGKAKKMAEDIILDFSKRSEMAVMILRYFNVIGSDPGGRLGEAPRPELREHGRISGACFDAALGIIPGLKVRGTDYPTADGTCIRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGHGRSVKEFVEACKSATGASIKVSFLTRRPGDYAEVYSDPSKIHDELNWTARYTDLRESLSTAWKWQKAHPNGYGSA >OGLUM04G25380.1 pep chromosome:ALNU02000000:4:28670556:28670969:-1 gene:OGLUM04G25380 transcript:OGLUM04G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQPGRPYQPRGGGGGDLVGTGFATVLTAVSFLTLNTIGGGGGEGGGAPPVEGAEQLQLVLACAILAAGLLFIMYGMRGRGAAAPPPPAGAVLFLRRAVDVAAAVLWNAGGVERPLPTPVMVLLLCPLLAEWLGFV >OGLUM04G25390.1 pep chromosome:ALNU02000000:4:28673703:28673960:1 gene:OGLUM04G25390 transcript:OGLUM04G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHNRRLSVVVALLMLAASIVLLLLAPPCDGRRQLRGSEATSGGGVGEEKASLLPPLIPNLPLPPIAPGNPPARNSVVNNKSP >OGLUM04G25400.1 pep chromosome:ALNU02000000:4:28674431:28679396:1 gene:OGLUM04G25400 transcript:OGLUM04G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFPKGQKSDGALADHLELEKRERWGDEGTCSLSALDMSLSGSDDELAMAETNHLLLLLLLSPPRLRLLSWTPTTPLACAALSRAAKLSRDLVSEKGERGIRFLLEIRAASAHPRPNHT >OGLUM04G25410.1 pep chromosome:ALNU02000000:4:28675493:28679182:-1 gene:OGLUM04G25410 transcript:OGLUM04G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLITKLSFTRWNADWKSATSLYEQAAIAYRFKKENEKAKDAFEKASKGQEMISSASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >OGLUM04G25410.2 pep chromosome:ALNU02000000:4:28675493:28679182:-1 gene:OGLUM04G25410 transcript:OGLUM04G25410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLITKLSFTRWNADWKSATSLYEQAAIAYRFKKENEKAKDAFEKASKGQEMISSYPSEKKFIAVFNIPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >OGLUM04G25410.3 pep chromosome:ALNU02000000:4:28675493:28679182:-1 gene:OGLUM04G25410 transcript:OGLUM04G25410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-soluble NSF attachment protein [Source:Projected from Arabidopsis thaliana (AT4G20410) TAIR;Acc:AT4G20410] MASSSSDPDKLMSKADKLQNKIELHEMECRLEECYLLENEKAKDAFEKASKGQEMISSYPSEKKFIAVFNIPWDAAKHMESAGALAKELGRWNEVSDFYRRASEFYRECGRAQPASDALAKGASFLEDNTPDEAIKMYDEACSILEEDGKEQMAFDLYRAAASLYVKLEKYSDAAATFLRLGSAADKCNAINSQCKGYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEGIKQAAQSSAIKHLDHVVIRLAKKLPTGDLQTIKKLADDDGEDSLDENDLT >OGLUM04G25420.1 pep chromosome:ALNU02000000:4:28679515:28683848:1 gene:OGLUM04G25420 transcript:OGLUM04G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIIKIDASLGLDQLAAIGSLYQFIRGGGEGKEAASQEEEQTPKLRPTPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPSQIPPIRPSEPRPFQITYATQQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQQRRGLASSSGHNSGWITERNSSYNFLE >OGLUM04G25430.1 pep chromosome:ALNU02000000:4:28684316:28688223:-1 gene:OGLUM04G25430 transcript:OGLUM04G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G46330) TAIR;Acc:AT5G46330] MSQHYTKTICIAVVLVAVVFSSAAAAAGSGASVSVQLEALLEFKNGVADDPLGVLAGWRVGKSGDGAVRGGALPRHCNWTGVACDGAGQVTSIQLPESKLRGTLSPFLGNISTLQVIDLTSNAFAGGIPPQLGRLGELEQLVLYDNGFTGGIPPELGGLASLQLLDLSNNSLAGGVPSRLCNCSAMWALGLDVNNLTGAIPSCIGDLSKLRIFQASMNNLDGELPPSFAKLTALETVVLSFNQLSGSIPPEIGDFSNLQILQLIGNRFTGAIPGELGRCKNLTRLDLYGNGFTGAIPGELGDLANLQALRLFDNALTSAIPPSLGRCASLELLALSTNQLTGSIPPELGELRSLRWLSLHANRLTGAVPATLTSLVNLTYLSFSSNSISGPLPASIGSLRNLQRLVVQNNSLSGQIPASITNCTQLTNVSMSFNMFSGPLPAGFGRLQELEFLSLGNNSLSGDIPDDLFDCGNLTTLNLAFNNFSGGLSRRVGRLGDLELLQLQGNSLSGEIPEEVGNLTKLIGLELRMNRFAGHVPASISNISSLQLLDLGHNHLDGVFPAEVFELRQLTILDAGSNRFAGRIPDAVANLRSLSLLDLSSNMLNGTVPGALGRLDQLLTLDLSHNRLAGAIPGAVIASMSNVQMYLNLSNNAFTGAIPSEIGGLVMVQAIDLSNNQLSGGVPATLAGCKNLYSLDLSGNSLTGELPANLFPQLDLLTTLNISGNELDGEIPADIAALKHIQTLDVSRNAFAGGIPPALANLTTLRSLNLSSNTFEGPVPDGGVFRNLTMSSLQGNAGLCGGKLLAPCHGHAAGKKRVFSRTGLVILVVLIALSTLLLLMVATILLVSYRRYRRKRRAADIAGDSPEAAVVVPELRRFSYGQLAAATNSFDQGNVIGSSNLSTVYKGVLAGDADGGMVVAVKRLNLEQFPSKSDKCFLTELATLSRLRHKNLARVVGYAWEAGKIKALVLDYMVNGDLDGAIHGGAAAPPPAPSRWTVRERLRVCVSVAHGLVYLHSGYDFPVVHCDVKPSNVLLDGDWEARVSDFGTARMLGVHLPAAADAAAQTVSTKADVFSFGVLAMELFTGRRPTGTIEEDGVPLTLQQLVDNAVSRGLDGVHAVLDPRMKVATEADLSTAADVLAVALSCAAFEPADRPDMGAVLSSLLKMSKLVGED >OGLUM04G25440.1 pep chromosome:ALNU02000000:4:28694637:28695074:-1 gene:OGLUM04G25440 transcript:OGLUM04G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQDHDGAARGGATPRPHLREACVTHLLLVAAAPLVDALRASPAEPLALFNLGRRLLLRLHRRGALYFLHLFPRMFGLRAPLPLSLSLTAPATELLAVAASPTAAAASSGERWGDSDGRAAPRVPSDLGEESEGRRRGSGLVSGV >OGLUM04G25450.1 pep chromosome:ALNU02000000:4:28697956:28698972:-1 gene:OGLUM04G25450 transcript:OGLUM04G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVKSPEPPFAFRPARPPLPPLLDDEEDGEFEFSVPAAAAVLSAADELFSGGRLVPMLPPPPRRPPSSSSPPCSPPPCLEVPPSEPASPRAPRCGGHRWRDLLTLVSKRTSDGEAKDRVGGSPRRREAHAQPLLSRASSSSSSASSCDSGIRNARRPPRTRSAPVASLLHLMSKKPAAVDAPPKRRDHHHQPFLARVSSSSSSSASSSSDSGRNSRAPWHPPGPARPRPAAAAESPRVSASGRVVFRGLERCSSSPATAGIGPRRPRPRGMERSFSANVRVDPVINVFGFGHLFLPSSPSKEKKADKDRDIAGGGGGGRRNRPAKLAMVLRDPQD >OGLUM04G25460.1 pep chromosome:ALNU02000000:4:28702874:28705700:-1 gene:OGLUM04G25460 transcript:OGLUM04G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPMDEELVVDYLYHKLSGGGGGGAGEFYGGVAMVDVDLNKCEPWELPDAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAAGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTANPRPVVSGEAAVSLSGELSLPPPPPLPVAPAVVDGYTGGGYYEQDSSAGYHHHHHRPPTSAALPFKDLTDFRDLLSNMVQGGGGGAAAAAAAKSEGFHLGWSEEESSGYVQQSAMASQAWNPF >OGLUM04G25460.2 pep chromosome:ALNU02000000:4:28702874:28705700:-1 gene:OGLUM04G25460 transcript:OGLUM04G25460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISMMEANLPPGFRFHPMDEELVVDYLYHKLSGGGGGGAGEFYGGVAMVDVDLNKCEPWELPAYFLHNLIIFPARARARAAGRRRRADAARVGATEWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRAIVTRRRAAAGEAVAGGEVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVDGHAVADHPSSSTSSSSSNLLKEDWVLCRVFYKSRTANPRPVVSGEAAVSLSGELSLPPPPPLPVAPAVVDGYTGGGYYEQDSSAGYHHHHHRPPTSAALPFKDLTDFRDLLSNMVQGGGGGAAAAAAAKSEGFHLGWSEEESSGYVQQSAMASQAWNPF >OGLUM04G25470.1 pep chromosome:ALNU02000000:4:28710405:28718679:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMKRKDRVCIQYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >OGLUM04G25470.2 pep chromosome:ALNU02000000:4:28710405:28716315:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMLMHYNTSL >OGLUM04G25470.3 pep chromosome:ALNU02000000:4:28710405:28718679:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMKRKDRVCIQYRQGREEEEASKTWRRGATPNGRKGGKSSVGGSIRNSRSEARREEGGATWAPTTQLPRTAATGGNVPPCLFGWGLPGRAKLG >OGLUM04G25470.4 pep chromosome:ALNU02000000:4:28710405:28718679:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMATGGNVPPCLFGWGLPGRAKLG >OGLUM04G25470.5 pep chromosome:ALNU02000000:4:28710405:28718679:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMATGGNVPPCLFGWGLPGRAKLG >OGLUM04G25470.6 pep chromosome:ALNU02000000:4:28710405:28714758:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMVLSVTLLCV >OGLUM04G25470.7 pep chromosome:ALNU02000000:4:28710405:28714758:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMVLSVTLLCV >OGLUM04G25470.8 pep chromosome:ALNU02000000:4:28712065:28714758:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVISETCAPEARDVFMDEHSNGHLAKGHDKLAVIKEETNIEDLIKDEKAEDVELNMIVDEVVLVGNGLAGALQFCMKCSVRAQIGGQASDANASGLSVVEKEHPRSLTPMLWAKKVAMIFPVPLRNYFTFGNVCLVQIYSNLPYSETCYSAFLCQVEHFSEHQTKRPCWEQCLRHLQRDRRTEPAVDLTVWSRFRALTDSNDRARYQRHMTCGENFSDQRYLPMHMLSHEIAEPEPMVLSVTLLCV >OGLUM04G25470.9 pep chromosome:ALNU02000000:4:28710407:28712500:1 gene:OGLUM04G25470 transcript:OGLUM04G25470.9 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSQGNLYSRVYDDPVEEEGTALDEAGNFNNDLENNIEEKIGRPLSPRKRAGNLTSAMNMISDIYS >OGLUM04G25480.1 pep chromosome:ALNU02000000:4:28721487:28725121:1 gene:OGLUM04G25480 transcript:OGLUM04G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60570) TAIR;Acc:AT5G60570] MEDLQDCDCKSLVAVPGSVVLHLFRLFNQQDNSWQKYTLAYFLLVRNEYFSRDSRKHSDGKNQLLDCCDDSELDLDVLYADLDSKELELKLQKPVVKTQSKGDSSASGSNDCFFPGLHDDLAQDCLAWASRSDYPSLSCLNKKFNLLINSGYLYRLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLARGWSRCTPMNLPRCLFASGSFGEIAIVAGGCDKNGQVLKSAELYNSETGHWETLPDMNLPRRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRIHDMYPGGTSASQSPPLVAVVNNQLYAADQATNVVKKYDKGNNTWNIVKPLPVRADSSNGWGLAFKACGDRLLVIGGHRVPRGEVILLHSWCPEDGNGGADWEVLSVKERAGVFVYNCAIMGC >OGLUM04G25490.1 pep chromosome:ALNU02000000:4:28725947:28727587:-1 gene:OGLUM04G25490 transcript:OGLUM04G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCFMFRKKQPVEGDDGEHRVKIFSYSELRKATHDFSGANKIGEGGFGSVFRGVLRDGTTVAVKVLSATSRQGVREFLTELTAISDIKHENLVTLIGCCAEGSHRILVYNYLENNSLAQTLLGSRGSNIRFDWRTRVKIAVGVARGIAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSFGVLLLEIVSGRCNTNTRLPYEDQFLLERTWVRYEQERLAEIIDADLGNDLDVDEACRFLKIGLLCTQDAMARRPNMSTVVRMLTGEKHFSVHRITRPAMITDFADLKVSSSQQKENETTRSSNMRSFSTTDETEPFSSSETPTQTSI >OGLUM04G25500.1 pep chromosome:ALNU02000000:4:28736787:28740433:1 gene:OGLUM04G25500 transcript:OGLUM04G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62940) TAIR;Acc:AT3G62940] MDETLADESAAAGGGGGEAAAAEPAQESQQETLEEVLSRHRKEKSKLQDKETSLKKAAAKGSKAEQKAKKKQVEEEISRLSAELEAKHAAELATFGYKSSGSSEKGNMDTLVKAIAGVSVTSNADSAKPSKGARRREKKAKEEAAREQRIQEEQNNLVSDRMIENEKLEKKLEPMGLTIQEIKPDGHCLYRAVENQLSLYSRETTQYNYQELRQMTANYMKEHAADFLPFFLSEGKVESGPDPLESFKRYCEEVESTAAWGGQLELGALTHCLKKHIVVYSGSFPDVEMGKEYKLDSGGKDGPSIRLSYHRHAYGLGEHYNSVVPA >OGLUM04G25510.1 pep chromosome:ALNU02000000:4:28741122:28743656:-1 gene:OGLUM04G25510 transcript:OGLUM04G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLALAVASIVLANLHLLGVHGRDLLLSCGSNATVDADGRRWIGDMAPGLNFTLSSPGIAASQAGSSNGNEIFGLVYHSARFFSTASWYNFSVLPGNYCLRLHFFPYTFGNFSGNDSLFDVTANDFKLVSKFNVSEEIVWRSTVSNSAINAVVKEYFLLVGSRGLQVEFDPSPGSFAFVNAIEVMLTPDNLFNDTVNKVGSAGNGQLPLGLSNRGLETMYRLNVGGHALNSSSDQYLHRPWYTDEAFMFSANAAQIVSNTSSVSYLSNNDSSISPIDVYETARIMSNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELFYDKPNQRVFKIYINNKTAAEDYDVYVRAGGINKAYHEDYFDNLPQQVDSLWLQLGPDSLTSASGTDPLLNGLEIFKLSRNGNLAYVLGHIDMGNQRGISKDRNRKILWEEVGIGSASFVTLTSVVLFAWCYVRMKRKADEKEAPPGWHPLVLHEAMKSTTDARAAGKSPLTRNSSSIGHRMGRRFSISEIRAATKNFDEALLIGTGGFGKVYKGEVDEGTTVAIKRANPLCGQGLKEFETEIEMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSDLPPLTWKQRVDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKIADFGLSKTGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACGRPVIDPTLPKDQINLAEWAMRWQRQRSLDAIVDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRNNVDCESFGSSELGFADMSFSLPHIREGEEEHHSKPSSIREDPDT >OGLUM04G25520.1 pep chromosome:ALNU02000000:4:28747987:28749675:-1 gene:OGLUM04G25520 transcript:OGLUM04G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein 2 [Source:Projected from Arabidopsis thaliana (AT5G21040) TAIR;Acc:AT5G21040] MDFDCKTARGDSSSVNRSCIVTEGTVVQAKPVSHNGKAKHWNSLSTLNNQKCSYELLSDPKKNVETSDGETASKCDSWCFTDLPSALVCEVLEHLDPKELGIVSCVSTLLHTLATDHQGWKKFYCERWGIPTPPVTLNGPLVPGGTSDWKSWKTLFVEREFRSKSFMGRFSVDVLRDHSEDVRTVFLLASVNLIFTGGNDSVIRMWDLEEGLLIDKSRPLCCTIRAIAADTRLLVTAGTNAFIHCWRAVEGNSYPFHISGNGTDQSPEFRLWGHEGPVTCLALDSLRIFSGSWDMTVRVWDRSEMKCVQKFMHADWVWSVAPHGNTVASTAGRDAYVWDIRSGELENVISNAHYGNAFSLARTHLADVLFTGGEDGAIRLFNVSEVSDDEDIKPAATWVPHTGPVHSLAFEYPWLVSASSDGRVALIDLRKLLTPRKSSKQPFRVKNFDPSSIEPPQRMLHGFGCDLFSVAIGADKIVCGGEDGAVKVWNFSEALEIEKRAQALRSMRQENRMRRKKAQVEMNANGRRSDQCGSIAMKRNQLKGDKSVTWHSKRAINDKVKS >OGLUM04G25530.1 pep chromosome:ALNU02000000:4:28754946:28756352:1 gene:OGLUM04G25530 transcript:OGLUM04G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDLGRPLLVALCVVALFAVGSESHGLEDFREGNTEATPAMASFFGSKPEAAELPEALDASNAAAATAKPEAASAIPRATATTTASASSAPPRRSMSVAAGVACAVAAVAVVGVAVAVAYVVRARRAARRESEVRLGSPKPTREMKLQSWTTVAGSSGFCMRSLLQRVQAAEREDIQGLFGTAPAPVPLFLELELSQTVSASPKLGVELSHKMN >OGLUM04G25540.1 pep chromosome:ALNU02000000:4:28762004:28769161:1 gene:OGLUM04G25540 transcript:OGLUM04G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMHRSSSDGGSSSGWSEAAAASAAGDERAGWEVRPSGMVVQAREEGAGAAAGGGGGMGIPPRPPPPEITVRVKYGAARHEVAVSSIASFGELKKLLAARTGLPAADQRLTYRGKERSNADYLDVCGVKNKSKLYLAEDPTSVERRYIERQKSAKIETANRAIGAIALEVDKLADQVRSIEKSITRGSKVAEVQITTLIELLMRLAVKLDSIHAEGDSSSQKNIQAKRVQKCVETLDVLKISNARLQNVIVTTKWETFDAPATTQWELFD >OGLUM04G25550.1 pep chromosome:ALNU02000000:4:28768289:28779062:-1 gene:OGLUM04G25550 transcript:OGLUM04G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPLEWYCQPVNGGVWSDVENAFGAYTPCGTETLVVCISYLALFGVCFYRIWRTTRDYTVQRYKLRSPYYNYMLGLLVVLCIAESLYRIATGTSIMNLDGETSLAPFEVTSSIIEIAAWCCMLVMIALETRIYIYEFRWYIRFVVIYILVGEAAMFNLVLSVRQYYSSSSIFYLYCSEIICKLLFGILMVVYLPSLDSYPGYTPVRHDTLVDNTDYEPLPGGEQICPERHVNIFSKIFFSWMTPLMQQGFKRPITDKDIWKLDSWDETETLYNRFQKCWNNELQKPKPWLLRALHSSLGGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLSEAQYFQNVMRTGFRLRSTLIAAVFRKSLRLTNDSRKKFASGRITNLISTDTESLQQVCQQLHSLWSAPFRIVTAMVLLYAQLGPAALVGAAMLVLLFPIQTVIISKMQKLTKEGLQRTDRRISLMNEILAAMDTVKCYAWEQSFQSKVQDIRDDEISWFRSAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPLDPELPAISIKNGYFSWESQAERPTLSNVNLDVPMGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSNTSVVLRGTVAYVPQVSWIFNATVRDNILFGSPLQPPRYEKAIDVTSLHHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKGELQHKTRVLVTNQLHFLPYVDKILLVHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQMEEKQDESKTQDDIKHPENGGSVIADGDIQKSQDTSNKTKQGKSVLIKQEERETGVISAKVLSRYKNALGGIWVVSVLFFCYALTEVLRISSSTWLSVWTDQGSTKIHGAGYYNLVYGLLSFGQVLVTLTNSYWLITSSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDVDRNVAVFVNMFMAQISQLLSTFVLIGIVSTMSLWAIMPLLILFYAAYIYYQTTSREVKRLDSITRSPVYAQFAEALNGLSTIRAYKAYDRMANINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDSRPPPGWPSSGVVKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILVDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGKVLEFDSPEILLSNEQSAFSKMVQSTGPSNAEYLKTIVFGDGEERLRREESKMQDIQRKWVASNRWAVAAQFALAASLASSHSDLLALEAAEGNNILRKTKDAVITLQNVLEGKHNTEIDDTLAQYEVPSDRWWSSLYKVMEGLAMMSRLGRNRLQQPSYNFENNSSIDWDQM >OGLUM04G25560.1 pep chromosome:ALNU02000000:4:28780883:28791156:-1 gene:OGLUM04G25560 transcript:OGLUM04G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYEVECQLYIYWVLTTWLELGHMDCEVFFEGDVRDELLLMTLTRFLCCIIRTSVSRAVEEDRNIPAALYPGMGLHAWLKTEKQRASRGRRTSWGGKVKKVAAMDGGWQEQGAFSEERGSSSSYSQLRQQRLEVWTREPMFRLRRKFTCGTPPTKKCQDDPIIVP >OGLUM04G25560.2 pep chromosome:ALNU02000000:4:28783766:28791156:-1 gene:OGLUM04G25560 transcript:OGLUM04G25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYEVECQLYIYWVLTTWLELGHMDCEVFFEGDVRDELLLMTLTRFLCCIIRTSVSRAVEEDRNIPAALYPGMGLHAWLKTEKQRASRGRRTSWGGKVKKVAAMDGGWQEQGAFSEERGSSSSYSQLRQQRLEVWTREPMFRLRRKFTCGTPPTKKCQVSLVLLNDAFVNLSGASRTSGDVLCYSVLGRMGFTAHQNLAHGTTQLSIPLN >OGLUM04G25560.3 pep chromosome:ALNU02000000:4:28783766:28791156:-1 gene:OGLUM04G25560 transcript:OGLUM04G25560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYEVECQLYIYWVLTTWLELGHMDCEASFHLEEVCLEKYPDVGFCAAVFSPPWNSKLLEKVHLPTGTTKQPAWLKTEKQRASRGRRTSWGGKVKKVAAMDGGWQEQGAFSEERGSSSSYSQLRQQRLEVWTREPMFRLRRKFTCGTPPTKKCQVSLVLLNDAFVNLSGASRTSGDVLCYSVLGRMGFTAHQNLAHGTTQLSIPLN >OGLUM04G25560.4 pep chromosome:ALNU02000000:4:28784372:28791156:-1 gene:OGLUM04G25560 transcript:OGLUM04G25560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMKYEVECQLYIYWVLTTWLELGHMDCEESISWEEDELGREGEKSCSNGWRMARAGGFFRGEGQQQQL >OGLUM04G25570.1 pep chromosome:ALNU02000000:4:28787201:28790488:1 gene:OGLUM04G25570 transcript:OGLUM04G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQAGALSPTKLRMKLLGTHNRVRVISNSSSRTSPSKNTEPSQAQNRLLVCDVLEEVSGSSDGSKCSSAINKTEALEKDLPLDINKVEDMTKSSVQQPASSNSSMIHPVRTIEEESNDCDSGIDNASTSSFEFHGGEKTAAQNPTSGYFSRQTSSKWNDAEKWIVNKQNVQQNISKGAPQNQSAQQMNSAAGRGFIVPKISNRNTIPRPMQNMKRPSPASSASRSILERLSFGSHQPKLVRHADVCTVNNAGFTSEYQTKATDNGSSIEMRPYKDPKAIPAVHSVSVRDVGTEMTPIPSQDPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSTASPGDDNTDDGPYFNRKGGTNEISDDEMRLKTRKEIAALGIQLGKMNIATWASKEELELVSASPSIADLERMKKEYAARAAAYEEAENFKHTARFKKEELKIEAWESLQKAKIESEMKRIEEHAEKLRSKAMAKMAEKLEMTRRLAEEKRASANARMNQQAAKAVHKAELIRQTGRVPGSCILCCSGCFCQH >OGLUM04G25580.1 pep chromosome:ALNU02000000:4:28794820:28804943:1 gene:OGLUM04G25580 transcript:OGLUM04G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVENEDLMDLLFSYLKPDRPHGTLLAGYFCKVVICLMLRKTLPFVNYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSGYVDSMQWLDDIKVLEMIVDKFSSSDSPEVHANAAEILCAITRYAPPALATKISSASFVARLFHHAFEDSRPKSVLVHSLSVCISLLDPKRLVLASYQVFRSQLSQATLVTASPETVGGMLDSLGDLLKLLDVSSDENVLPTTYGVLQPPLGKHRLKIVEFISVLLSIGSEAAEIRLIHLGAIKRDQLICHVLDECKLVTRILEAEKNSALSIDLTKHTVPLEGRFTPRIGLVGHMTRISNKLIQLAKTNSIIQSHLQQNSGWGEWHAGTLTRRNAVENVYQWACGRPTTLQDRGRDSDEEDFRDRDYDDQVPHERDDEDVYFDDESAEVVISSLRLGDEHDSNSLFTNSNWFAFDEDKALNGEVNPEASPSPNSEISSPNVDDENDEVILTEVTDGRKGSESLLAVDLNEESSHTGLTNVSIDKLEDDIRPPTPDVKESPPEFVEWREEEAEPADVPENDTAVPNGEVGSVDQMDGIEDVMSGTTELRVEKEIEVLSGTSVPESTIGELLPGSTEISTTRHPEPVDDRNPMEPPMGEQKAES >OGLUM04G25590.1 pep chromosome:ALNU02000000:4:28805178:28805617:-1 gene:OGLUM04G25590 transcript:OGLUM04G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMDKGRALPKFGEWDVKNPASAEGFTVIFQKARNDKKTTGPGNARVGIPPAFRNTDDGGYRPEFKPAESYQQHTPPKRVKKKWAFCAGC >OGLUM04G25600.1 pep chromosome:ALNU02000000:4:28812799:28829627:1 gene:OGLUM04G25600 transcript:OGLUM04G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDSSDDSSSDDEPVKKPVARPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEDNTTKTIIPAKAAAVKKEEESSDSSDGDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKSTKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKQAPVAQESGSSDESSEEDSDMESDEPAKTPQKKETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >OGLUM04G25600.2 pep chromosome:ALNU02000000:4:28812799:28829627:1 gene:OGLUM04G25600 transcript:OGLUM04G25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDSSDDSSSDDEPVKKPVARPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEDNTTKTIIPAKAAAVKKEEESSDSSDGDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKSTKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKVQAPVAQESGSSDESSEEDSDMESDEPAKTPQKKETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >OGLUM04G25600.3 pep chromosome:ALNU02000000:4:28812799:28829153:1 gene:OGLUM04G25600 transcript:OGLUM04G25600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSKKSAVEVAPTSVSVSEGKSGKKGKRNAEDEIEKAVSAKKQKTVPEKVVPSKEEAKKVKKQPPPKKVESSSSEEDSSESEEEVKAQPKKTVQPKKAAQPAKEESSDDSSDDSSSDDEPVKKPVARPNKAALSTNSSSSDDSSDESSSDDEPVKKPAAPLKKPVALATNGSKKVESDSSSSDSSSDEESDEDDKKTAAPVKKPSVAAIQKKTQESDSSDSDSDSESDEDVPTKAPAVAKKKEESSESSDSESDSDSDDEDNTTKTIIPAKAAAVKKEEESSDSSDGDSESESDSDEPAKPTIPAKRPLTKDTKKGQSKDESEDSSDESSEESDDEPPQKKIKDSTTSGTTKPSPKSTKKEISSDDESDEDDSSDESSDEDVKQKQTQAKKQAPVAQESGSSDESSEEDSDMESDEPAKTPQKKETAVSVGSNKSATKLGQEEPKTPASNQNQATGSKTLFVGNLPYNVEQEQVKQFFQEAGEVVDIRFSTFEDGNFRGFGHVEFATAEAAKKALELAGHDLMGRPVRLDLARERGAYTPGSGRDNSSFKKPAQSSGNTIFIKGFDTSLDIHQIRNSLEEHFGSCGEITRVSIPKDYETGASKGMAYMDFADNGSLSKAYELNGSDLGGYSLYVDEARPRPDNNREGGFSGGRDFNSSGRGGRRGGRGDGSRGRGDRGRGRGFGRGDRGRGGRGTPFKQSAGTPSAGKKTTFGDDD >OGLUM04G25610.1 pep chromosome:ALNU02000000:4:28830499:28836797:1 gene:OGLUM04G25610 transcript:OGLUM04G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEWSVVDAAIGWLVQSVLGTLLAGKLEAWTREVGLAGDVRRLEVGMRSVEMVLAAAAARGRELVGNEPLARSLDDLRQLLYDAEDVVDELDYYRLQLEIVQREDAAAAVDSCVEGGNASSFSSTSSSTCCLVWNAATKLTSWASMAVDFVMAHAGSKRKRGQYELAQDDATVVVVPFENKDDISRRINEIATSLCTISDSVHKAIHLEASYCIAVPKDGVVSNRRLTTSVPVEQKVYGRDSDRDMIVELLVNGKSRDLNVLPIVGNGGVGKTTLARFVYRDRRIKDHFDLQMWVCVSSIFDEVRLTREMLEHACRDRQDCEKISSFNVLQEMLMDSVRNRRFLLILDDVWEDKDKNRWNRLLAPLRQNQTVGCMILATTRSPSVAKKIRTLTSVELKGLDDDNFWLFFKQCAFGDENHGDHPSLQVIGQQIAKTLKGYPLAAQSVGALLGQNLNYEHWWKIRDQWASLQKGFVSCKDTKNRVEQTGREYLDKLVDLGFFQKDGPHYVMHGLMHELAQAISSNDCATIDGLKSNSIPSTIRHLSIITSAYDEVEHDSFPTDKFERQLEKITCLKKLRTLMFFGHGPYGSRNLLKCLLTLCKHAKGLRYLRVHFAFMLIDALWNSIKPFHLRYIEFFNGSITFEKSWWETEDGPRFSNVYAQLLRTCYHLQALDEGSSSNLVPRRINNLVNLRHLIADKERHSEIANVGRLTSLQELRKFRVENVDGFEIGQLQQMNELVSLGIFQLGNVKTKEEARRARLIDKDYLENLCLVWDDSTTSLKPAMATAEEVLEGLHPNRNVKRIKIRGYNGAICPMWLGSNVSVPLLRSLHLKNCSEWRAIQLEEISSLGKLNLIRMWSLVDVSIPSLDELVLIDLPNLEKCIGTYNRELTSNMRILRMERCGQLKDFTLFLNYEHFRVERKTWQWTILPFEEMHSLKDLKLIAMPGVREVSVPYLKKLVIRNMPNLECCTCANLDLLSSCLEVLKITKCRKLTSFQVLQVLPPHCEEKTWLPNMNKLKVHSCPHFIVSCPFPPSAELSKVSVSIRGVLAPTAIEMRKHWPLFTIKSYEGSVLNDDTVAFNNLTSILNFRIINCPNLVSVSFEALSQLMSLQSLEIVDCPRLLWPQMMLEEACEGKTKFPSITHLSIVSSSMLGDPLLLPCTQSEQLTVNDKPSTFSCPVEEQSNHLSLLYISSTTTTATTESTRNGPILFHMPHLLYTYVKKLHISDCPNLVFCSRKVGFAGFTSLEELAVTRCPKLRMPMVHEGVSDDHIGGRFLLPPLLNQFETDNLSEKLQLYFPENHTSLRRLSVWDSPSLICLQLHSCTKLEELEIFNCKNLCTLGGLTFLSSLKIMKLARNPKLSTSWEFDSQDQQGTGDQAGDLSILSGLEWLETDDFSVLTMSFFKHLNSLRHLTLSSSRSYWRVVRLSEGQGRVLQKLTHLQELRFLCCDDLLVLPEQLHCLSSLKKLEIGYCPGILRLPEEGLPLLSLEELETRGCTEELNRQCRLAATEKLKVLIDGKREIFPSSQRRGFDTITTLAAWTIWKERNNRVFNQKQRSWTEVARAMAEEAELWRLANTAMPAMPLGRSGRNIVSRE >OGLUM04G25620.1 pep chromosome:ALNU02000000:4:28840310:28845805:1 gene:OGLUM04G25620 transcript:OGLUM04G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVWNTVGQAAIGWLVESVLGSLFTDKLSSWLRRVNLDDDVEELVSEMRNVAVVLEAAKGMKVGDQNEPMAGSLLHLKDLLYDAEDVLDKLDYCRLQEQIIKGNSGDVTSPPTPYFVSITRWFTVTGWKRKREENHTHLDNKIQFSATIKQIAGKLRDACGDVSKGLKINGLKSPEASNLRHQSTARATNATTSYLLEPKVYGRAVEVESIKKLILTNRSKGITVVPIVGNGGMGKTTLAQLVYKDPAVGSQFDVMIWVHVSDKFDMVRVTREILECVSKKQPKEASNFNMLQQDLEEQMRYKKFLIVLDDVWDVRKDDWKKLLAPLRPNNHVDPSQKEATCKMIIITTRIQSVAESLGTEQLIKLRALEDDDIWSLFKKHAFGNDKHDSNPTLQNLGRIIVKELKGNPLAAKTVGALLGRNLTIDHWSSIIENKEWQSLKRTDDGIMHALKLSYDNLPNQLQQCFSYCSLFPKGYSFSEAQLVQIWIAQGFVEKSSEKLERKGVEYLEELVNSGFFEQVKSMRSSSKDFVMHDLMHDLARMVSKTEYATIEGSEGKELVNSTHLHYLRLENDEFGALPQALSNCYHLQVLDIGSCGIPSFIPNDINKLVNLRHLVGEDEVFSRIAGIGEMTSLQELTNFEVQNSIGFEIAQIQSMSELVELGVSQLKNITRRDEACGARLRDKKNLEKLHLSWMGSMSQDGYNSDESYDTTSQDGYNSDESYDNEYETEHFPDIAREVLHGLEPHHKLKHLRISLFNGATSPTWLLSSLTCLQTLHLENCGKWQRLPLERLLLLRKLVLIKMENATEVSIPSLEELVLIELPRLKTWSCTSVRDLNFSLRCLNIKHCPLLKVGPLFENCQQFEVQRKSWLSHLSQLTIHSCPHFCVHNTLPPSPIVSKLSIAGVSTLPTVKGSSSGTLRIGHPNDFGYSFDEGSDQLITLDDKVLSFHSLRFLTELVINGCQNLTSISFESLRQLICLRSLSIYNCLKLFSSNVPLELAHEDTQGANRNVLPSLEQLDIMNCGITGKWLSLMLQQAQALRELSLRDCNQITGLSIEEEQSTEASSLKYPGDTTSNSDQDGLLRIPLNLISSLKDIFIERCFGIKLNESMEGFARFTSLERLQIEVCPNLLSSLVHIDGYNEQENKRLLPLSLQELALCFDDLPENLLPGFLRNPNPICLKKLTVLCGTNLKSLELQSCVALEELDIIDCESLATLEGLQSLSSLRYLNVFGCPDLPAYLESLSGKVPELCPRLEKLHVGASTLTTSFCKHLTSLKFLRLHSGNEEVARLTDEQERALQLLSSLQELQFDCCHKLVDLPTVLHSLLSLKSLEISSCRSITKLPEKGLPPSLQELDISYCSKELTDQCIPLSSKMKVKIRRE >OGLUM04G25630.1 pep chromosome:ALNU02000000:4:28852565:28853071:-1 gene:OGLUM04G25630 transcript:OGLUM04G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTGHRDGGTSPASGDAAMRKRCGRDPAEAAERQAAGACGSTALVEARRLGHHGGSRHGEAEPRRWVGRFRELKGQCLRIWQCPFCGVRSPF >OGLUM04G25640.1 pep chromosome:ALNU02000000:4:28854439:28854717:1 gene:OGLUM04G25640 transcript:OGLUM04G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMAVIIKILALISDAYRNAEKLPAAVISCGVVEAAAAIFLGAFKAPGGIFQHHSKAPFYLYYGIIGGVAIFGFAEAWTGFWVSFCSEKTE >OGLUM04G25650.1 pep chromosome:ALNU02000000:4:28855435:28855878:1 gene:OGLUM04G25650 transcript:OGLUM04G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRCSSHFQFQAYIESPSTTKKTHTLVMELMASLINILALISEACRSAEKLPAALISGGVVEAAAAIFVAFFKPPGGVFQHHGKAPFYLYYGIIGGVAIFGFAEAWAGFWVSGDLSGRRAVGKTILWVSILPLVLVAALGGFVFMR >OGLUM04G25660.1 pep chromosome:ALNU02000000:4:28860264:28863593:1 gene:OGLUM04G25660 transcript:OGLUM04G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLSSILRAPKPKALFLPDFHTAQHTHTLEMELMAILLRTASLISEACRNAEKLPAALITGGAVEAIGAIFLCLFVPPGGLFENHGKGPFFLYYGILIAVGVFGLGEASAGFWVAGNLTGRRAVGKTILWVSILPLVMVAALGLEVHKYMDLPEFLAKILQAIADACLDENKLPRALISCGVLQAAAALSLIFFQAPGGIFGHHDDRSSDMCLRCLYSTSDSAAHICTRVQKANAANKL >OGLUM04G25670.1 pep chromosome:ALNU02000000:4:28864416:28870435:1 gene:OGLUM04G25670 transcript:OGLUM04G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVEAGLLEGGIRWLAETILDNLDADKLDEWIRQIRLAADTEKLRAEIEKVDGVVAAVEGRAIGNRSLARSFRRLRELLYDADDAVDELDYFRLQQQVEGGVITRFEAEDTVGDGAEDEGDIPMDNTDVPAAAAAGRSSKKRLRYIADLSNRLTTITCSSSAGEGASNATGNSVGRKRMRMDGTSTHHEAVSTHPWNKAELSNRIQCMTHQLEEAVNEVMRLCRSSSSNQSRQGTPPATNATTSSYLPEPVVYGRAAEMETIKQLIMSNRSNGITVLPIVGNGGIGKTTLAQLVCKDLVIKSQFTVKIWVYVSDKFDVVKITRQILDHVSNQSHEGISNLDTLQQDLEEQMKSKKFLIVLDDVWEIRTDDWKKLLAPLRPNNQVNSSQEEATGNMIILTTRIQSIAKSLGTVQSIKLEALKDDDIWSLFKVHAFGNDKHDSSPGLQVLGKQIASELKGNPLAAKTVGSLLGTNLTIDHWDSIIKSEEWKSLQQAYGIMQALKLSYDHLSNPLQQCVSYCSLFPKGYSFSEAQLIQIWIAQGFVEKSSERLERKGWKYVAELVNSGFLQQDESMWYSSECFVMHDLMHDLVQKVSQTEYATIDGSECTELAPSIRHLSIVTDSAYRNEKCRNVSRNEEFEKRLMKVKSRSKLRSLVLIGQYDSHFFKYFKDAFKEAQHLRLLQITATYADSDSFLSSLVNSTHLRYLKIENKESGGTLPRALSKYYHLQVLDIGLRGFEVPHIPNDINNLVSLRHLVAKKGVCSSIANIGKMTSLQELDNFIIQDLSGFEVTQLKSMNKLVQLGVSRLKNVRTQEEACGAKLKDKQHLEKLHLSWKDAWDGYDSDESYENEYDSYMNIETEGEELSVGDTNGTKSLQHHSNISSELALSKVLDGLEPHHSLKHLRISGYNGATSPTWFPSSLTCLQTLHLEKCGKWQRLPLERLSLLIKLVLIEMGNATVVSIPSLEQLVLIDLPSLNTCSCTSVRNLNSSLKVLKIKNCPALKVFPLFENCQKFEIERTSSWFPHLTKLTIYNCPLSCVHNSLPPSSIVSKLSIGNVSTLPTVEGSSTGTLTIGVNPNDWDGFFVQDSDQLETLDDKVLAFHNLRSLTGLTICACRNLATISLESLRQLVSLKSLELYNCPELFSSNVPPELTCEYMSGANHSALPSLERLHIEYCGITGKWLSLMLQHVQALQELSLEGCWQITGLSIGEEENSQPNLMPAMEDPSSGYPGRDKLLHLPLNLISSLKRLSITGCNDLTFYGRKVDFVGFTSLEELVISQCPKLLSFLAHNDGNDEQMNGRWFLPLSLGELVIEHVDSLKTLQPCFPENLTRLKRLDVLDNRSLTSLQLHSCTALEELIIKRCESLSSLDGLQLLGNLRLLLAHRCLSGHGEDGRCILPQSLEELFISEYSLETLQPCFSGNLTHLKKLLVMGNSSLVSLQLHSCTTLQELKIQNCKSLNSLEGLQLLNDHGQYGRLILPQSLEKLYISEYSQETLQPCFLTNLTCLKQLEVSCTTSLKSLELQSCTALEHLKIQCCASLATLEGLQFLHALRHMEVFRCPILPLCLGSSSEQGYELCPRLERLKIDDPSILTTSFCKHLTSLQRLELTCCGSEVARLTDEQERALQLLLSLQELWFGDFHNLIDLPAGLHSLPSLKRLEIWDCESIARLPEKGLPPSLEELDIGYCSEELVQLCRTLASKFKVKINRRYVN >OGLUM04G25680.1 pep chromosome:ALNU02000000:4:28871835:28873385:-1 gene:OGLUM04G25680 transcript:OGLUM04G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALLAGRGGANHHHHHQWGETRAPLAPVAPNSDPNHPLQQRANGSATRPPPSSYVALRPGAMAHREARALRDRLAGELGQVRALIARIDTWQQGQVKRHGSPRRDLPTPPAKLRAAMRKRCDQILAKLRKDKRSIWFNAPVEVDRLGLHDYHAVIKCPMDLGTVRANLAAGRYSSHDDFAADVRLTFSNALRYNPAGHEVHTFAGDLLASFEKMYKASVSWFEQELKLLEPPMPVPPPELPPATAPAQVKPRAGNVKMRKPKAREPNKREMTLEEKNLLRIGLESLPEQKMHNVLQIVRKRNGNPELVGGEIELDIDEMDVETQWELDRFVNNFKKALNKSRRAAIVNGENADVIDASVANDSDMLVNGSTATMVDNGDVTMAIESKDPDKITTQAEQLDEYVDIGDEMPTATYQSVEIEKDTEVASSGSSSSSDSGSSKDSVSESGNAHSLV >OGLUM04G25690.1 pep chromosome:ALNU02000000:4:28874639:28875070:-1 gene:OGLUM04G25690 transcript:OGLUM04G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAQLVARFSVEVAPPPLSSIIRPRRRGFPAMLDTIAEEEPDAPPPPPAIVMARELSASYALLRGVAAAVAPAPPPPPPRNVMVGSSCSSLVLVRAEAKEKRCVVVVVGSSAASAAVVHGEKRLHLAAAPASEAAACSEIGA >OGLUM04G25700.1 pep chromosome:ALNU02000000:4:28878236:28882369:-1 gene:OGLUM04G25700 transcript:OGLUM04G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSSYGENVRRKSHTPSAIVIGGGFAGIAAANALRNASFEVVLLESRDRIGGRIHTDYSFGFPVDLGASCLSGTIIFNPLPFFVSIRLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTKGHQVPQELVEKIGKVFETILEETGKLREENKEDISIAKAIAIVMERNPHLRQEGIAHDVLQWYLCRMEGWFATDADAISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLGHRVVEIVRHRNRVEVTVSSGKTFVADAAVVAIPLGVLKANTIKFEPRLPEWKEEAIRELSVGVENKIILHFSEVFWPNVEFLGVVSSTTYGCSYFLNLHKATGHPVLVYMPAGRLACDIEKLSDEAAAQFAFSQLKKILPNAAEPIHYLVSHWGSDENTLGSYTFDGVGKPRDLYEKLRIPVDNLFFAGEATSVQYTGTVHGAFSTGLMAAEECRMRVLERFRELDMLEMCHPAMGEQTATVSVPLLISRL >OGLUM04G25710.1 pep chromosome:ALNU02000000:4:28884208:28892370:-1 gene:OGLUM04G25710 transcript:OGLUM04G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPDWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKAISNSSLNQREKKFRCLGASTHGKRAAPHPSCADRVLHPTGRAARVFGVVSLTRALGRRRVKHPSVDHSIPSRVSAQHNDSLACRCRALPPSSRRQGRCETARARLRATEKGRGWWKLREKPRFVEMSRWHWQFAPAMEGLLLLRKLGKRVAPC >OGLUM04G25710.2 pep chromosome:ALNU02000000:4:28884208:28892370:-1 gene:OGLUM04G25710 transcript:OGLUM04G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKAISNSSLNQREKKFRCLGASTHGKRAAPHPSCADRVLHPTGRAARVFGVVSLTRALGRRRVKHPSVDHSIPSRVSAQHNDSLACRCRALPPSSRRQGRCETARARLRATEKGRGWWKLREKPRFVEMSRWHWQFAPAMEGLLLLRKLGKRVAPC >OGLUM04G25710.3 pep chromosome:ALNU02000000:4:28884208:28892370:-1 gene:OGLUM04G25710 transcript:OGLUM04G25710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQPTGRAARVFGVVSLTRALGRRRVKHPSVDHSIPSRVSAQHNDSLACRCRALPPSSRRQGRCETARARLRATEKGRGWWKLREKPRFVEMSRWHWQFAPAMEGLLLLRKLGKRVAPC >OGLUM04G25710.4 pep chromosome:ALNU02000000:4:28884195:28892370:-1 gene:OGLUM04G25710 transcript:OGLUM04G25710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLRNSIWSSFPVRFLKQQAGLSTVELLDPRSCGKRHFTTQVQEHGKEVAAAATILVFDLETTGYFHKDHRIVEFALCDLSGGKNSTFETLVNPERTVPDYVEHLIKIGTDLVCRPGIPRFSDVIPLLLAFVRSRQAPGKPVLWVAHNAKQFDARFLAQEFDRCSAPLPADWLFFDTLLLAKKMVKAEGKKRRTSLEALREHYGIVSHDDAHRAMRDVMILSQVFQKMTFDLKLTNEELINEAMKAISNSSLNQREKKFRCLGVENELLLVSIKR >OGLUM04G25720.1 pep chromosome:ALNU02000000:4:28897496:28901277:1 gene:OGLUM04G25720 transcript:OGLUM04G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITNVSEYEQLAKQKLPKMIYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSRINMATNVLGFNISMPIMIAPSAMQKMAHPEGELATARAASAAGTIMTLSSWSTSSVEEVNSAAPGIRFFQLYVYKDRNIVRQLVRRAELAGFKAIALTVDTPRLGRREADIKNRFNLPPHLVLKNFEALDLGKMDKTNDSGLASYVASQVDRSLSWTDVKWLQTITSLPILVKGVMTAEDTRLAVESGAAGIIVSNHGARQLDYVPATISCLEEVVREAKGRLPVFLDGGVRRGTDVFKALALGASGAGVRKVLQMLRDELELTMALSGCTSLGEITRNHVITDSDRIRRSRL >OGLUM04G25730.1 pep chromosome:ALNU02000000:4:28901882:28905893:1 gene:OGLUM04G25730 transcript:OGLUM04G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSTSVLGYNISMPIMIAPTALHKLAHPEGRFIWNAGELATARAAAAAETIMGLEFVSSNFQFTLPQNVMLKIFEGLDQGKIDKTNGSSLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDKYGAAGIIMSNHGGRQLDYLPATISCLEESKKKKVGSFTDPAISCVEEHESQVVREANGRVPVFIDSGFCRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >OGLUM04G25730.2 pep chromosome:ALNU02000000:4:28901882:28905893:1 gene:OGLUM04G25730 transcript:OGLUM04G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSTSVLGYNISMPIMIAPTALHKLAHPEGRFIWNAGELATARAAAAAETIMGLEFVSSNFQFTLPQNVMLKIFEGLDQGKIDKTNGSSLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDKYGAAGIIMSNHGGRQLDYLPATISCLEESKKKKVGSFTDPAISCVEEVVREANGRVPVFIDSGFCRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >OGLUM04G25730.3 pep chromosome:ALNU02000000:4:28901882:28905893:1 gene:OGLUM04G25730 transcript:OGLUM04G25730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSTSVLGYNISMPIMIAPTALHKLAHPEGRFIWNAGELATARAAAAAETIMGLEFVSSNFQFTLPQNVMLKIFEGLDQGKIDKTNGSSLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDKYGAAGIIMSNHGGRQLDYLPATISCLEEVVREANGRVPVFIDSGFCRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >OGLUM04G25730.4 pep chromosome:ALNU02000000:4:28901882:28905893:1 gene:OGLUM04G25730 transcript:OGLUM04G25730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSTSVLGYNISMPIMIAPTALHKLAHPEGELATARAAAAAETIMGLEFVSSNFQFTLPQNVMLKIFEGLDQGKIDKTNGSSLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDKYGAAGIIMSNHGGRQLDYLPATISCLEEVVREANGRVPVFIDSGFCRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >OGLUM04G25730.5 pep chromosome:ALNU02000000:4:28901882:28905905:1 gene:OGLUM04G25730 transcript:OGLUM04G25730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDFYAAGAEDQWTLRENSEAFSRILFQPRVLVDVSCIDMSTSVLGYNISMPIMIAPTALHKLAHPEGELATARAAAAAETIMGLEFVSSNFQFTLPQNVMLKIFEGLDQGKIDKTNGSSLAAYVASQIDRSFSWKDIKWLQTVTSLPVLVKGIITAQDTRIAIEYGAAGIIMSNHGGRQLDYLPATISCLEESKKKKVGSFTDPAISCVEEVVREANGRVPVFIDSGFCRGTDVFKALALGASGIGRPVLFSLAVDGEAGVRNALRMLRDELEITMALSGCTSVKEITRGHVVTESDRIRRCSRL >OGLUM04G25740.1 pep chromosome:ALNU02000000:4:28906400:28913242:1 gene:OGLUM04G25740 transcript:OGLUM04G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT1G11860) TAIR;Acc:AT1G11860] MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTNERLKKL >OGLUM04G25740.2 pep chromosome:ALNU02000000:4:28906400:28913663:1 gene:OGLUM04G25740 transcript:OGLUM04G25740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT1G11860) TAIR;Acc:AT1G11860] MRGLLACATLARRAAGATSTARRHLAGAAEAAEAELKKTALYDFHVAHGGKMVPFAGWSMPIQYKDTIMDSTLNCRANGSLFDVSHMCGLSLHGRQAIPFLESLVVADVAALKDGTGTLTVFTNDRGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGEHMEAFNKKGGDVKWHVHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYACFLTRTGYTGEDGFEISVPSENAVDLAKALLEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGADVILKQLQEGPKIRRVGLLSQGPPPRSHSEIVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAVVTKMPFVPTKYYKPS >OGLUM04G25750.1 pep chromosome:ALNU02000000:4:28922854:28929940:1 gene:OGLUM04G25750 transcript:OGLUM04G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFQGTGYEVAVDSLLTAQLHPDTAHIECQCQDEEPPSRLCDQQQNPNAWDPLPLHPRTDGRGVIGRCLLLSLPPGHPSSPAGERARRGKKAAAGEGGGDDRGPHPLRASSSSADAIAGLPASFLPAHLAGHRALLHHLPSPFGLGTTMTDDPSAAYAACAHLGRTCPPGCPLARYFPAAGDQPD >OGLUM04G25760.1 pep chromosome:ALNU02000000:4:28924918:28927170:-1 gene:OGLUM04G25760 transcript:OGLUM04G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQX7] MAKSSFKQDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >OGLUM04G25760.2 pep chromosome:ALNU02000000:4:28924920:28927159:-1 gene:OGLUM04G25760 transcript:OGLUM04G25760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQX7] MAKSSFKQDHTLERRQAEANRIREKYSDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLL >OGLUM04G25770.1 pep chromosome:ALNU02000000:4:28930042:28930275:1 gene:OGLUM04G25770 transcript:OGLUM04G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAYEADAQAADPVRGADGVVLDLERQLDCLKTDLATTQSKLALYRRRYAEPPPADAAAAATAGTDTLDRARALN >OGLUM04G25780.1 pep chromosome:ALNU02000000:4:28937193:28945358:1 gene:OGLUM04G25780 transcript:OGLUM04G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTRCRHMRTSNFTPIFIFWFGIGNLLDDIGADGDRTIVVSIVTRSGCDSLNAAMESINVARGASVTPRMALPCTSNLQTLHYNLLLHRNRKTNGRKLRSVSCRASAGRGGGRGLDRRDVLLGIGAAAAMVATQGGGGALAAPIQAPDLGHCHEPVDLRAGTVAVDFTPPPASSPLRVRPAAHLVDISYLTKYERAVSLMKKLPADDPRSFKQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWFFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPAGMSFPAIYANCRLSSLYDPRRNQAHQPPFPLDLNYNGTDPTIPEDQLIDQNLKIMYRQAKLFMGHPYSAGDQPKPGAGTVEFVPHNTVHNWTGDPRQPNGEDMGMFYSAARDPVFFAHHGNVDRMWYIRRGLFPRDTDFADPDWLDASFLFYDEEARLVRVRVRDSLDEAALRRPRDPPVRRPAPAAGAPPGTLDKTVRVALTRPKTSRSRKEKDAEEEVLVIEGIEVPDHSAYVKFDVFVNAPENADVASATCAGSVALTPHGTVARFGISDLLEDIGADGHKTIVVSIVPRSGCDSVTVSGVSIGYREREHRGGEYVHRERRANADSVHHDERMRSPRKTVARSLLEN >OGLUM04G25790.1 pep chromosome:ALNU02000000:4:28948672:28951068:1 gene:OGLUM04G25790 transcript:OGLUM04G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINVAPGTTATPRMAPPPPPCTTNLQTLHYNNLLLHRNTKRWRPRSVSCSARAAGGGGRGVDRRDVLLGISGAAAMVATQGGGGGGALAAPIQAPDLGDCHQPVDVPATAPAINCCPTYSTGTVAVDFTPPPASSPLRVRPAAHLADRAYLAKYERAVSLMKKLPADDPRSFEQQWRVHCAYCDGAYDQVGFPGLEIQIHSCWLFFPWHRMYLYFHERILGKLIGDETFALPFWNWDAPDGMSFPAIYANRWSPLYDPRRNQAHLPPFPLDLDYSGTDPTIPKDQLIDQNLNIMYRQAIHELRLDMISGARKAQLFMGQPYRAGDQPEPGAGTVESVPHNPVHRWTGDPRQPNGEDMGIFYSAARDPVFFAHHGNVDRMWHIRRGLLFPGDTDFTDPDWLDASFFFYDEEARLVRVRVRDTLDPAALRFTYQDVGLPWLNAKPSTGAAGTPAPAGGAFPATLDKTVRVAVTRPRASRSREEKEEEEEVLVIEGIEIPDHSTYVKFDVFVNAPESGDGTATCAATCAGSVALAPHGIHREGQRSPRKTVARFGICDLLDDIDADGDKTIVVSIVPRCGCDSVTVAGVSIGYAK >OGLUM04G25800.1 pep chromosome:ALNU02000000:4:28951767:28958958:-1 gene:OGLUM04G25800 transcript:OGLUM04G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSRLCSRSRPVLVVRPTTAAAAAAVTGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIFGFHEQEKSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIGGEPLSRETEEVLFADDDPRIIKDEQYEPDIAPAQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNRSMSALASEPDILIKGAFNGWRWKKFTEKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESDIDEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNILNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAERLVKSYEKDGDWWYADVTLPERALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVRHPGIFLFGGILFSVSVEFYGNTISEVLWNLKDTGKNKVKDLHYRQSFTWGNTEIKVWMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHYSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYLELYHSARKN >OGLUM04G25800.2 pep chromosome:ALNU02000000:4:28951767:28958958:-1 gene:OGLUM04G25800 transcript:OGLUM04G25800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSRLCSRSRPVLVVRPTTAAAAAAVTGFAQPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIFGFHEQEKSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIGGEPLSRETEEVLFADDDPRIIKDEQYEPDIAPAQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNRSMSALASEPDILIKGAFNGWRWKKFTEKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESDIDEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNILNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAERLVKSYEKDGDWWYADVTLPERALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHYSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYLELYHSARKN >OGLUM04G25800.3 pep chromosome:ALNU02000000:4:28951767:28958958:-1 gene:OGLUM04G25800 transcript:OGLUM04G25800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAHSRLCSRSRPVLVVRPTTAAAAAAPIIRCRRFTRTRLLRCLVASADYSKRNPRRASTPKPKGAASRTYAPRPTVESSMKKIGQSGTDEGDLGTSNGKLSSEATEQTSNVEESSEVDFSGNVSSSVFLEGMDDAFEAETEEEVEQNQSPELSSESMDDDAIDRKLDEYRGKISALISSKPEPTSVSSTHVQDRSIFGFHEQEKSVVSFHEQDRSIVSVPEQSQPSSGVSGQNPTEEKTIISGQDVTEEAPEEITGKSIGGEPLSRETEEVLFADDDPRIIKDEQYEPDIAPAQDDVDPQVLRRRLEELAEKNYLAGNKCFVFPEVVQADSVIDLYLNRSMSALASEPDILIKGAFNGWRWKKFTEKMHKSELTGDWWCCKLHIPKQAYRLDFVFFNGDTIYENNNHNDFVLQIESDIDEHSFEDFLVEEKQRELERLAAEEAERKRQAEEERRKEEERAAMEADRAQAKAEVEMNKNKLQNILNSASRYADNLWYIEPHTYKAGDRVKLFYNRSSRPLMHNTEIWMHGGYNNWSDGLSIAERLVKSYEKDGDWWYADVTLPERALVLDWVFADGPPGNARNYDNNGRQDFHAVVPNNISEDLFWVEEEHMIFKRLQKERKEREDADRRKSEITAKMKAEMKEKTMRDFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRCSFNRWTHPSGPLPPQKMVNAENGSHLRATVRVPLDAYMMDFVFSESEEGGIYDNRNGMDYHIPVTDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKYDCLNLSNVKDLHYRQSFTWGNTEIKVWMFWVGCVYGRNDESRFGFFCHSALEFLRQNGSSPDIIHCHDWSSAPVAWLFKEQYAQNGLSNGRVVFTIHNLEFGAHHIGKAMARCDKATTVSYTYSREVSGHGAIAPHYSKFHGIRNGIDPDIWDPYSDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDHRIQGDFTNLASKLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSMFEPCGLTQLTAMRYGSIPIVRKTGGLYDTVFDVDDDKDRAREQGLEPNGFSFEGADSNGVDYALDRAITTWYDARDWFHSLCKRVMEQDWTWNRPALDYLELYHSARKN >OGLUM04G25810.1 pep chromosome:ALNU02000000:4:28962563:28962970:-1 gene:OGLUM04G25810 transcript:OGLUM04G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRKPRSSSSAAAGVVDHHRFLRPGALARLRDARLRRRNPAVSRPAPPSSPSPSPAAPSSSPPPAAAGDGEGGAVMPYFVPVSRLLAPRCPQRKKLSAAKRVMLFAPPPPSPDLPVEVAMEFLGSPDMVVAAH >OGLUM04G25820.1 pep chromosome:ALNU02000000:4:28964401:28968905:-1 gene:OGLUM04G25820 transcript:OGLUM04G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHASRRRRKNWMRCNHLLKMDNWIGEFLASLLLPTQGKRPRWRRPRVWRAASRRSRMLWSDLSSAPKTKTARHSAFFASRAACPSGSSGEFSARRIRLYSDSTTIRLRARSSAAAQIIPPPIPPPPRLAADHRRKRALGFEAQEKKKAHGPSDRVPARRHVANLQGFRHHTPLIRRNRRQNARAQRIPFPSPLSLSPVRVSDWSPELALFGSDRIGSVEARVHNVSLEASEQDIREFFSFSGVIVHVEMQSGDERSQFAYITFEDDEGAERAMLLTGATIVDMSVIITPATNYQLPAAVLADIESKNAGGVESALRKAEDAVVSMLAKGFVLGKDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQIAGKQHKHQEADLPKADIPGGFTMAAESEKHKHPYSELSKTHLPRSPATIPVCTATTDVNSTKTPKKPENAEGFI >OGLUM04G25820.2 pep chromosome:ALNU02000000:4:28964401:28966833:-1 gene:OGLUM04G25820 transcript:OGLUM04G25820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSMVSTIKVHNVSLEASEQDIREFFSFSGVIVHVEMQSGDERSQFAYITFEDDEGAERAMLLTGATIVDMSVIITPATNYQLPAAVLADIESKNAGGVESALRKAEDAVVSMLAKGFVLGKDALERAKSFDEKHQLTSTATAKVTSLDRKMGLSQKFSTGTLVVNEKMKEMDQKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYILTGAAWVTDAYSKVATTATDAGAKSKEMVVAEQDDKHQDDKPAKDYSPISSKEFVQEGKHQEGERPKKNMPEKPEMGKRELENQEAAIPTAHAQENAQIAGKQHKHQEADLPKADIPGGFTMAAESEKHKHPYSELSKTHLPRSPATIPVCTATTDVNSTKTPKKPENAEGFI >OGLUM04G25820.3 pep chromosome:ALNU02000000:4:28967124:28968905:-1 gene:OGLUM04G25820 transcript:OGLUM04G25820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMHASRRRRKNWMRCNHLLKMDNWIGEFLASLLLPTQGKRPRWRRPRVWRAASRRSRMLWSDLSSAPKTKTARHSAFFASRAACPSGSSGEFSARRIRLYSDSTTIRLRARSSAAAQIIPPPIPPPPRLAADHRRKRVLDEQGIGL >OGLUM04G25830.1 pep chromosome:ALNU02000000:4:28967197:28967457:1 gene:OGLUM04G25830 transcript:OGLUM04G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMIWAAAEDLARSRMVVLSLYRRILRALNSPELPLGHAARLAKKAECRAVFVFGAEERSLHNIRDLLDAARHTLGLLHRGRFP >OGLUM04G25840.1 pep chromosome:ALNU02000000:4:28969443:28973061:-1 gene:OGLUM04G25840 transcript:OGLUM04G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKHRSAAAAAAPAAAPPSAVRTPRRLRRRPLKTASGSGGGGGGGGGRRSGPATPLLKWDVGNGAEKGGGGGEKAGGPSEKAAAARDVSVRRLAAGVWRLRPPEAVAGGAAAGSGERRRVHVGLEHIPRHLQVQLIKQNNLVHHQTLKNEISSPISVLERKSGELHKVPLHAATAVLPVTAMEKATKWEPECLEGMEAHDAYLIASQLNLLNEQQDATYVANLQMELQQARDRVSELETERRAAKKKLDHLFKKLAEEKAAWRSREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYDNERKARELTEEVCNELAREVEEDKAEIEALKHDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFIAACSCARGDIMVVEEAENIIQAIKSVRAQDIEFRYEPPAQSEDIFSIFEELRPSEEPVIKEIEPCYKNNSAMCESEIQEASPMTDIFLEKPTKVYSSKSPQNESDTEDGSSWETISHEDMQASSGSPDGSEPSVNKIYDGSISWTSRNDFEYKEAEKLKDDSTDIYLTNMNQPKKKESALSKLWKSSRPKNNDVCKKDAVETINGRSSNVRLSVGTHSTIDSGIQEIGLSSPSIGQWSSPDSMSMQFNRGFKGCMEYPRTSQKHSLKSKLMEARMESQKVQLRQVLKQKI >OGLUM04G25850.1 pep chromosome:ALNU02000000:4:28977470:28981173:1 gene:OGLUM04G25850 transcript:OGLUM04G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTAPSFSLGSEFDEPEEAVGYTAPDAPSFSLGIDFEGDGDESHLTDAGNGGEEQKRYEAPDAPSFSVGIDSDSDGGEERRREEQRRSYEAPDAPSFSLGIDSDGDGGDEPHLTNGGHREEQQRRYEAPDAPSFSLGIDFGDGDDEPRLPNASRQAPRYEAPDAPSFSLGFDDDEDDVLIGGSRHELGTVEEEDDDFVLADGQQQQQRRHETVVPDPAPPPPEMNRFKRLRRGPAPPSQAPTPPPHRTPAPATMEASPVVSSKSVLGDIGSFEDEIEDFTDEERFMRDVPPSVGSCITSSSSRFSHASNSKFSLMNHGVLMSQSTSKSKKFAQTPNYSASKSMEESSTKKLLPKTALSPMRKIHLLDSDSDSDDNKEMPGLQQNCKSKVSTVQHKGKAEMNDSWATPALDEFCNEYFKSVEDSRPSQQKEGNSFCGPKVIRSNYSVSETGGHFPHQSTPSGAVLEDNQTDSHPPAMHYFFHHDQLVRDLVRQRLKHFVPVGVDSRGNEQDGTQNLQYRSQTGRCAAENDRWVTPNKRMPVATQVGRRRVNPAGMSGSGHWLTGDDGKKVYISKDGQELTGRVAYRQYQRESGKGFRQSKKKSSAGTRAKKATTKVKQEKTRAKRKR >OGLUM04G25860.1 pep chromosome:ALNU02000000:4:28986161:28989183:1 gene:OGLUM04G25860 transcript:OGLUM04G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQZ2] MGQFIKIAARTFSISSPQPRKASRHDALSPLCCFSVRARALRLAGWAKLIAMDTVVAAATTGSRRWLPWARRQAAAYLAAAGGGDDGWAACAASAVRIVMWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWVEERIDEYVEMVHSLYADSLPDSQKPLEPVNTGKKKMS >OGLUM04G25860.2 pep chromosome:ALNU02000000:4:28986429:28989183:1 gene:OGLUM04G25860 transcript:OGLUM04G25860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZQZ2] MWILGNPIKLEGMENLNTRGIFICNHASPLDIFLVMWLAPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPAAAIESMKEVARAVTKNNLSLILFPEGTRSKTGRLLPFKKGFVHTALQTRLPIVPMVVTGTHLAWRKNSLRVRPAPLTVKVLPPIETDGWVEERIDEYVEMVHSLYADSLPDSQKPLEPVNTGKKKMS >OGLUM04G25870.1 pep chromosome:ALNU02000000:4:28987349:29000857:-1 gene:OGLUM04G25870 transcript:OGLUM04G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIIQEDLYLDDDDEQFDGDLNEDDEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGRNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYNIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLVSVDDEQVQCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAALASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVTPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSIISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLSKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDHRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPYSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDCIPERRKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILRFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLAIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLYDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQAIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACQILLVMFSNSFSAGLSGSSGFCESGRLSAYSECTISTYSSILSSTHPSVSIGGSTFTVSGAGLTRRLFFLHARCVPVTTIGTIGSLVCRAVCTNPCVPRIEIHRGVAFRFWTVCPLGTGSVIGCSW >OGLUM04G25870.2 pep chromosome:ALNU02000000:4:28987492:29000857:-1 gene:OGLUM04G25870 transcript:OGLUM04G25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIIQEDLYLDDDDEQFDGDLNEDDEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGRNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYNIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLVSVDDEQVQCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAALASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVTPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSIISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLSKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDHRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPYSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDCIPERRKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILRFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQSIPLFPALLRSNAVHFKSDRLWMLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLAIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLYDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQAIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACQILLVMFSNSFSAGLSGSSGFCESGRLSAYSECTISTYSSILSSTHPSVSIGGSTFTVSGAGLTRRLFFLHARCVPVTTIGTIGSLVCRAVCTNPCVPRIEIHR >OGLUM04G25870.3 pep chromosome:ALNU02000000:4:28987492:29000857:-1 gene:OGLUM04G25870 transcript:OGLUM04G25870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTADVGGEDEHEIIQEDLYLDDDDEQFDGDLNEDDEMEEAEPKREQNLVKVGMEAWNQSFGATCKVRLIHILKNLHTAEIKIYSDASREFIELLDGDPEGEVLREYVQQSPRLVELAEAWRLHREKPGMAYILSLFATVLGHPGGKLRRHGLVKKSLDGVARMILEDKEKMGDVYLELNSGEFRRQNAALDLLAAIVRRGGGLASEIAKSFDFKMAVLPQLSGVRKKRGGRDGRNRKKGTDFGSTRRSFVGFAMSFLEVGNPRMLRWILQQRELYSGVLRGIGDDDTDTIVYILSTLRNNVLVDESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLIMVCTDPKNGLMPSSNLRGNQKRLLDLMKKLKATEVVHHKNLLLAIVSKSLSLCSAYMNEFPYNIEPRPSSSWFSAISLAADMISSVNCDGIFQNLLSTSHDLVSVDDEQVQCIVPNMCTRAVINRGLLHSDDLVKHGALRLVFESVNLLCDVIEVINDIVSNARVKSEHDNSTKVTVKIDGFPGLSCSTSADASIVHEVLHGDKMHVDRWITLREYIQDVVRGAIPDPQVLLKLLSSASQKHQNYSQSKQKKHAQLEPPRKKRRRGATDEDADIIIGGIDVELSRDEPEEQEMDLANDHTTILCEIWGLNKQDPKIKDAKVVGDVFHSKLLDVLRFYLRVMPSSFDGSFDFFKVMPPNPLDLSMDEQQSLLSLLVEYSGQSDGYWCPEKVPESMYKYLQPLIDIMLHSQVKSIRDKAYILVKAALASSGAFDQNIAEIDAWLAFLPCYKAKGCEREGLGVEASNRLSHIVTPFLCDAISVVGNNLYKYQEHIRKLISKFNQFEGYSPSFSPLIVCVLQKCLRLLDSESASVKLHEKSIISLYVCNTVYLIMQSQVDVLLLPDLVGTILNERLSKFSSEEINSRICFAEWRPLMYLLHILRSISDQKSSSLFSTLEHSSEVYANSLCSVTRTIEEMSNQQPTNLPDDVATSFLYSVICAPPDDVISSFPKLLHVLKTHFPSNLPFLSSVLFLQHDYLAKVASYCPDMFFSSLRQIKGNLDVDSVNIVEDKWGKHSTCSESAVISTFLNVTPFCALLPSVLSLAFSAPDEITKAHPLLQDELVHLLQAKISESPLSELTIFLRVVLFWSHHLLSSYTVKCSDILAQLCGVCFSLIDSIFERIRVLTADTANSKSSVAFYPVECLNGIVESVVQHPIIGLSLSCSLSNFQDLADGSVEYVKEDFASFSKEKLHLADSFVLNLLSNLYGLVLLAGSFGANYSNNDDQSLESLFGPPKLLLERILLLFKEKFELCMEKRNFGLFLPNFYMFRTLAKFVSPVRLLELANWMFSTFEGFSSSSPAYAPAAFCCLYTADIAFEMLYDYLQQIDHRSGPCRLWGLEIHNCDIATIQQVYNIILHFATKLNLEFADLCLLKMLIRIHHTEISAVRNTDYIALHMMLSTMVANTPIDILHHCMFPTSKVKAKAIQLLLGANPMHMRLFGKLLTDILKKDTSVMQVVGSDSNASWTHEDSFILLLPAALSYIEHHSGGNRQCVDFLEPVPVFYREILLSDNGFPCWKSFVTRSIFEEDFSDFRHTSVEDIMNYFGDTLLGKSITMLRYYFYSKEIPRKQRLKIIASICPQSSELLDSDISFVTPVSCNGIMKLTNELFAKISLIRMLLSPPRGSLNNEIAPEKESKRVNNAKLSFISILVRTLDKIFRNFPYSDGILLSSPEEQNVVSCLEYAILKNIVELSSEVQSHLNQLKPIPFLNQLIRSSLLHRFSDPVVIKAIRCILVVLSEGKFPADEILELILGHSHFVSTITCSGVSECPSACNPTGGLLQPAPSILKSVDSAFAKENKFQDCIPERRKVEIIRLLRVLYDIKSRQHNSSLLDESRELGFLLLSVYGATLSETDLEILHLMNEIESSECKAITDVDHLWGKAAVKFREELKLEFSASDTHKMENAEISDRRRSLFRENIPIDSKLCVMTALQFCYRRSSRASIFSLEQLQQDNFGDIFKATSQSMDAVRIYDPVFILRFSIHTLLMGYIEPVEFSRLGLLAITLVSISSPDEDLRKLGYESLGTFKKSLEASQKSKETWQLQLLLTYLQNGISEQWQRIPSVIAIFAAEASLTLLDSSHTQFATISKFLMHSASVNLQLLYAGSNLADDAKIYKRGGVLELALSYGSSAVSDSETKLLTLQVLKKCVKLPVLAHHLTKDSGLLLWLSSVISSHVEGLDSVKNSYSSTVIGSALEVVNDLTSSRLIAEWLQETALEQLSRISKYLYVLVEDMKLLKGNVPLLTSVLNVIASTMRLSMKRKIYQPHFSLSLHGIHKLCRTIGGISRSIEVKLAMQLAIDVILMNGPLPVLSEMDKSMTATVVSWATSNIFWLYDEQRSVLKMPHEEPLKNECLLSKMLRWLVASIILGKISCISHEKCGDLTRDANNFGSLESFLNYTYDEKVETVGSHSADEALAIIILYLQKHLKMNRDFLPSVVAALCLLLLDRSNKQAIRNFIGDYGQIEMLCSQIQCPAEANPAWRWHYYQPWKDPAMHRNEAEHLEEEQACQILLVMFSNSFSAGLSGSSGFCESGRLSAYSECTISTYSSILSSTHPSVSIGGSTFTVSGAGLTRRLFFLHARCVPVTTIGTIGSLVCRAVCTNPCVPRIEIHR >OGLUM04G25880.1 pep chromosome:ALNU02000000:4:29001807:29016833:1 gene:OGLUM04G25880 transcript:OGLUM04G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSSRCLTASVTGTHNLEVTSYSLLEGMGVGKFVSSTTFSVAGYDWNLRFYPDGITDNDRKEGYVSASLHLVGTTTGAMPIREHAIRRIRFTGNDSFKIECSLTVISESRAEDVSTIPVPPSNLHQHLAGMLHGVEIADVEFSVGGEPFRAHACVLAARSPVFRAELLGPAAARSIKIDDDDDMEPATFKALLHFIYTDHLPNDSGFGKDAAMQRRLLVAADRYGVDRLRAMCEAKLYESVSVGTVVDSLEFAEKHHCAQLKDACLGFMASPNVLGVVRKTDGFKRLVEGCPWVLKEILDKVELVWFCLFSMASSTASPSDGRSPRLPETLSRCVTASVAAAHNFEVTRYSLLAGVGAGEFVTSGAFSVDGHDWNIQVYPDGWKQEMNAGYVSVFLCLCGGATGVRAKYTLSLSENGGESVQRSLTHRFDTVGAFWGFPRFMERPRLRQWLLRRGPGGGDDCVTFRCALTVIREPRTEGVAAVAVPPSDMRRHMANMLRGGDGADVVVLVRDQPFRAHRCVLAARSPVFRAELFGGGHMRERRTSCVVVDDMEPSIFSAFLHFIYTDSLPENPDTPGDDQDCMAMQHLMVAADRYGLDRLVLICEEKLCRGIDVQTVATTLALAEQHQRVALKDACLGFIVSRGVLGAVARTDGFKHLLTTCPSIMVDILDKVASVMSNIQLIAPPSLYHAASAAAMGDHRDPAFPAAAGGCRLPKTSSVSVTESVTAVHDFKVTGYSLIEGLGIGRYVSSSTFTVGGVDWAVRFYPDGSTVTCLGNASAFLYYCGREKEVRTRFTLNLLGKDGKLSQVTNSYMKHTFSPASDNWGFIKFAEKSKLQSSPFLHNDCLTIRCLLTVVRESHTKDVEVNSVVVPPSNLHTDFENMLQDGEGSDVTFTVGGQEFRAHRCVLAFRSPVFKAELFGPMKENGTQCIKIDDMEPEVFEALLHFIYTDRLPDSCRDGKAAAMQHLLVAADRYGVDRLRLICERRLSETIDVETVATTLVLAEQHHCSQLRRACIGFVASPNMLGPVIESDGFKHLVESCPLIMKEILNMLTSSAARTSSRSVWEGITGTHDFEVVGYSLMDGFGAGRHVCSGDFSVAGHDWYVAFYPDGLDQDSAGYASACLAYRGKERLVRAKYSLSLVARDGRASPLAGDTLRSHYFTPTSRSADVLKFVEKSKLSSSPSSSSYSCLDDDTLTIRCVVTVVTGPRVESVAPAKERGPRVTVPPPSLHEHLARMLRDGRGSDVAFRVGGRVLRAHRCVLAARSPVFDAELLGPMMETTAPCIEIHGVEPAAFEALLRFVYTDSWPLAGVDVAATVRLLSAADRYGLERLRLMCEEKLHEGIDVDNAADVLAMAELHHCSQLRDACVAFIASPSTLGPVLASSGFEDLIMATGASVTKEILHKVSESWSGPGNRNNSSKRK >OGLUM04G25890.1 pep chromosome:ALNU02000000:4:29017434:29026993:1 gene:OGLUM04G25890 transcript:OGLUM04G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPSRKAEAAQRPSSSSSSPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVLHTASVEGGYGVVLKKARKVANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHTKDVCRTPVCDTVAASVSVKSQTSHVNVFPLKEVKKCSTPGEETNLSIGRSSPGPRLSYNEIMSSAVVGSKDGNAKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAASSVNPIYISNSVAGVPTGLPVFETNSVPGGSSLSSKAVHYNNLAAANYAISPQYTQTTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHQASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAVRPIMVPAASSMVPGKYM >OGLUM04G25890.2 pep chromosome:ALNU02000000:4:29018920:29026993:1 gene:OGLUM04G25890 transcript:OGLUM04G25890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKRTKPPPPSRKAEAAQRPSSSSSSPSPSLPEALLLATVCMVGLPVEVQVRDGSAYAGVLHTASVEGGYGVVLKKARKVANGNDNANIPLGAFVDSLVIHPDDLVQVIAKDFSLHTKDVCRTPVCDTVAASVSVKSQTSHVNVFPLKEVKKCSTPGEETNLSIGRSSPGPRLSYNEIMSSAVVGSKDGNAKSAVLTTPTMSSDVKISPPATVAKTATPSKTIAKESKLNPCARVFSPSFASSRPVLAAASSVNPIYISNSVAGVPTGLPVFETNSVPGGSSLSSKAVHYNNLAAANYAISPQYTQTTMGHNVSRLDPARIGTPYHPMQVGPAYISPSPQPVTGGKFNHVVYVHPFSQDVMHGAPVMPQGWSLPAPLNSHQASLQKFQGTAPVYVAPPIMATGNLPLVVPSPAPLVQPFQAVRPIMVPAASSMVPGKYM >OGLUM04G25890.3 pep chromosome:ALNU02000000:4:29017434:29021622:1 gene:OGLUM04G25890 transcript:OGLUM04G25890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQPPSQPQPGMGGPPPPPQGAAGQPPQWGAIPPPMPPHQYGAPPPQQPPAMWGQPPPQAHYGQVPPPQPYYAAPPPQAMPAPAAADEVKTLWIGDLQPWMDESYIYNCFAATGEVQSVKLIRDKQSGQLQGYGFVEFTSRAAADRILQTYNGQMMPNVEMVFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPTEQARAMTEMNGMLCSSRPMRIGPAANKKTTGVQERVPNAQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRPSAEQALAVLQGTLIGGQNVRLSWGRSLSNKQPQHDSNQWGAGAGAGGYYGGYGQGYEAYGGYAQPQDPNMYGYGAYAGYPNYQQQQVAQQQPPQQDK >OGLUM04G25900.1 pep chromosome:ALNU02000000:4:29029227:29031383:-1 gene:OGLUM04G25900 transcript:OGLUM04G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVAHNEPLPMEQTLSSGAKRKRGRPRKYEYGMHELPYSVQHIQSVPPLHSTQDSSNIQQDGIQINHTSGGSFGPNIGTIQALPTKQGPANRSSGPRDSVNLVKTSLSQASIYTSAPLQGNSVKDDIVGKYFVGKMSKKFPGFSLITVKVKDNQVLKGWIPDENNLRPITPKDDLAPDLPMLRPSQVRKRPSTVYRQAAGPIPVPLEDVTFAKPLQMRRPVEKSFTKHTVPSVPRPHMGSGVVAAVPISVSPSNAESRIFSEQGTEHVNPQPLSAVVPIKSGQPVLASCKEVAGGKTVNEIQTVSESSKHTEESSGERHLLNVPVMDAIKESLGPKEQPNATNSKQQTFMEPPESTEQAVQLDTERDISKGADGSKSEASGGTAPPVEASTAVHNPQGNLLHLLGTFYYYLLFTARTNKFAVTLKIKIPDDSHEMKVDNK >OGLUM04G25910.1 pep chromosome:ALNU02000000:4:29031399:29036426:-1 gene:OGLUM04G25910 transcript:OGLUM04G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEENKAVTPNEPIEASSSGSKRKRGRPRKSEYGMHEKPYSVQPIQSVPPLHSTEDYSNIQQDGIQINHKSGGSVGPSANLVKTSLSQASTYTSASLQSNSVKDGIVGKYFVGKMSNKVPGFSLITVKVKDNLVLKGWIPDESDLRPITPKDDLAPDLPMLRPSQVRKRPSTIYKQAAGPIPVPLEDVTFAKPLQMRKPVEKSVAKGKNQYQQILTVQTKSIGWDRRTARLRLHDRNGKRFPSTPRVASPSLSRFPPHLSSSSSLRVSAGGATASSPPPPPRLAAPPLLAPRRTGGRTGDGDAARIASSPHTRTRPWPLSPLVRGLLARAGTAIVSGSDRIGGSAAKS >OGLUM04G25920.1 pep chromosome:ALNU02000000:4:29040516:29042599:-1 gene:OGLUM04G25920 transcript:OGLUM04G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic lipocalin [Source:Projected from Arabidopsis thaliana (AT3G47860) TAIR;Acc:AT3G47860] MVLAVLLGSSSLPAPHPACSSRSAGRNNFRCSLHDKVPLNAHGVLSTKLLSCLAASLVFISPPCQAIPAETFVQPKLCQVAVVAAIDKAAVPLKFDSPSDDGGAGLMMRGMTAKNFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIKGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYAANFGYDPSKIKDTPQDCEVMSTDQLGLMMSMPGMTEALTNQFPDLKLNAPVAFNPFTSVFDTLKKLVELYFK >OGLUM04G25930.1 pep chromosome:ALNU02000000:4:29045240:29060626:1 gene:OGLUM04G25930 transcript:OGLUM04G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVTDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAKGSVPNLFLNVTHTAIAASKRLGFSKCSCAAAAAADNGDPIPTCPRSEQGAQGRGLCCGWSHNDHDSEEEEEEATGGGIRKLACGARNTIHAVGKRLSCSSFPAVDDDDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTTKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLIQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPKVDGEKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLEGLDPGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSNHDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLKKLHYESTLYTLRIVGKTDLNGLDDKILAFYNLTQLQELKVHNCKHLAASHLQMLTSLKRLVLIDSCVVFHPSESRSEDEWQLPVEYLRIWNWSVDGKALRKLLSRLPKLSELYLWDCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFSAHLSNSLQRLFLSRCPELILDVARPVLPTSHEEGTGGWGLQSLHSLQILHIWHCPKFLSTYNAPGCPFPSSLQCLKIVGCMEGVQTLDFISNLNFLTELHIEDCGEDLRCEGLWPLLTQGQLSELGVFATPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELRTDDFAGVLVKPICLLLSSSLTELRLGLNGEVERFMKEQEEALQLLTSLRDLQFWRCSKLQCLPVGLHRLTSLKRLCIENCPSIRSLPKGGLPSSLQELDVRYRNNEKLKQRTPQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGITPIVSSRMTIIFRCSVVQNICLEYSCGLPKGVIGSDSIAFCHSQQHEDVTVSWSWMQLYPFQDNAQQA >OGLUM04G25930.2 pep chromosome:ALNU02000000:4:29045240:29060626:1 gene:OGLUM04G25930 transcript:OGLUM04G25930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVTDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAKGSVPNLFLNVTHTAIAASKRLGFSKCSCAAAAAADNGDPIPTCPRSEQGAQGRGLCCGWSHNDHDSEEEEEEATGGGIRKLACGARNTIHAVGKRLSCSSFPAVDDDDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTTKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLIQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPKVDGEKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLEGLDPGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSNHDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLKKLHYESTLYTLRIVGKTDLNGLDDKILAFYNLTQLQELKVHNCKHLAASHLQMLTSLKRLVLIDSCVVFHPSESRSEDEWQLPVEYLRIWNWSVDGKALRKLLSRLPKLSELYLWDCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFSAHLSNSLQRLFLSRCPELILDVARPVLPTSHEEGTGGWGLQSLHSLQILHIWHCPKFLSTYNAPGCPFPSSLQCLKIVGCMEGVQTLDFISNLNFLTELHIEDCGEDLRCEGLWPLLTQGQLSELGVFATPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELRTDDFAGVLVKPICLLLSSSLTELRLGLNGEVERFMKEQEEALQLLTSLRDLQFWRCSKLQCLPVGLHRLTSLKRLCIENCPSIRSLPKGGLPSSLQELDVRYRNNEKLKQRTPQSIHALFPNMWISCDILYLYIDLMWQKHIFGNQQCGSYVLEGITPIVSSRMNICLEYSCGLPKGVIGSDSIAFCHSQQHEDVTVSWSWMQLYPFQDNAQQA >OGLUM04G25930.3 pep chromosome:ALNU02000000:4:29045240:29060626:1 gene:OGLUM04G25930 transcript:OGLUM04G25930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVSAARWVVGKALGPVTDGLVEAWAASRELGPNVDALKMELLYAQGVLDNAQGKDIRSPALKELLQKLRDLAFDADDVLDELDYFRIQDELDGTYHAADEHAKGSVPNLFLNVTHTAIAASKRLGFSKCSCAAAAAADNGDPIPTCPRSEQGAQGRGLCCGWSHNDHDSEEEEEEATGGGIRKLACGARNTIHAVGKRLSCSSFPAVDDDDDDSSVSICCGACMHKPPQQRKDVIKETPKLKIDRVGLSIRMKDVVDQLQLVCAKVTTILNLEIHHSIRSTDSSTASNRPITTPTSIEPKLYGRDDTTKSIIDYITQGTDCGKDLTVLPIVGPGGIGKTTLIQYIYNSLEVQNHFQVKVWICVSQNFSVDKLIEEIKQYVPKVDGEKDGRPEELIEQRLKSKRFLLILDDIWKCQSDDWKKLLLPLTKGQTKGNIILVTTRFPVVAEMVKTMDNSVDLEGLDPGAFRDLFLAYVFGDKLPRDVHKDLLVIGDKIAGKLKGSPLAAKTVGRLLRNHFDQYHWNRVLESREWEMETSNHDIMPALQLSYDYLPFHLQQCFFYCALFPEDYKFDTKELTCFWIGLDILHSEYQNKTNDDIALNNLNDLVSHGFFKKDETDGHPCYIIHDLLHNLAVKVASRECVSLHYSNVRSVEIWPSIRHLSINTDGVDDSDGMNNESFRNILQKLKTRVKVENLQTVMIFGELDESFAESFHDLFKEASALRVLHLPKMSFPVGFIFNKFSTLVHLRYIRLGAPRRSKTHLTSALSRFYHLRILDLEAWDGCLDLPRDFSNLSKLCHFLTKHDKLHFAICDVGKLQFLQELERFEVNKEEKSFELKQLGHLMELRRLGIYNLERIDTKEAAAEAKLFDKNHLLKLALSWDKCQASRYPDKEDQVLENLRPCNNLKELFIIEHGGSTCPSWLGAELSVKSLETLHLSNVTWKNLPPIGEVCLVNGLGEDQFVSCNTGQSFQNLKRLELVGLPNLRKWTAKEVPMFSLIEVLIVKNCNEVIELPFSYCTYCPSEGYENLFPRLREVEIENCPQLRMPPMPYTQTLCFVHINDVGTRLKKLHYESTLYTLRIVGKTDLNGLDDKILAFYNLTQLQELKVHNCKHLAASHLQMLTSLKRLVLIDSCVVFHPSESRSEDEWQLPVEYLRIWNWSVDGKALRKLLSRLPKLSELYLWDCNKITRMCIAVEQQQTTAVELEDTQAVESIQQQQVAEDLVEEEGVVPQLAMDQEDDDGMLIFSAHLSNSLQRLFLSRCPELILDVARPVLPTSHEEGTGGWGLQSLHSLQILHIWHCPKFLSTYNAPGCPFPSSLQCLKIVGCMEGVQTLDFISNLNFLTELHIEDCGEDLRCEGLWPLLTQGQLSELGVFATPRFFAGLDPILGGLQDGQEQQLSPLQCSSKLQELRTDDFAGVLVKPICLLLSSSLTELRLGLNGEVERFMKEQEEALQLLTSLRDLQFWRCSKLQCLPVGLHRLTSLKRLCIENCPSIRSLPKGGLPSSLQELDVRYRNNEKLKQRTPQSIHALFPNMWISCDILYLYIDLTIIFRCSVVQNICLEYSCGLPKGVIGSDSIAFCHSQQHEDVTVSWSWMQLYPFQDNAQQA >OGLUM04G25940.1 pep chromosome:ALNU02000000:4:29074370:29081087:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFQFADEGGFCFVAVKVGDLEEGTAAINFRAEDISLGWEGSVANIQFSWDLGGDQNTNGTAHIKFVPLELLKRICHKISCAVAWDCAWLDCRALQGQKKEGVLHLHVPKAGDPANVSTPR >OGLUM04G25940.2 pep chromosome:ALNU02000000:4:29079030:29081087:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFQFADEGGFCFVAVKVGDLEEGTAAINFRAEDISLGWEGSVANIQFSWDLGGDQNTNGTAHIKFVPLELLKRICHKISCAVAWDCAWLDCRALQGQKKLPALLYEILAAAVCDV >OGLUM04G25940.3 pep chromosome:ALNU02000000:4:29079030:29080919:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRKQSQHSVSRFEFADEGGFCFVAVKVGDLEEGTAAINFRAEDISLGWEGSVANIQFSWDLGGDQNTNGTAHIKFVPLELLKRICHKISCAVAWDCAWLDCRALQGQKKLPALLYEILAAAVCDV >OGLUM04G25940.4 pep chromosome:ALNU02000000:4:29074370:29080919:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRKQSQHSVSRFDEGGFCFVAVKVGDLEEGTAAINFRAEDISLGWEGSVANIQFSWDLGGDQNTNGTAHIKFVPLELLKRICHKISCAVAWDCAWLDCRALQGQKKEGVLHLHVPKAGDPANVSTPR >OGLUM04G25940.5 pep chromosome:ALNU02000000:4:29074345:29080919:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGRKQSQHSVSRFEFADEGGFCFVAVKVGDLEEGTAAINFRAEDISLGWEGSVANIQFSWDLGGDQNTNGTAHIKFVPLELLRVYYTYMYPRPGTLPMSARRGEGAKAISK >OGLUM04G25940.6 pep chromosome:ALNU02000000:4:29074345:29078396:-1 gene:OGLUM04G25940 transcript:OGLUM04G25940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCQAQAFAVVNRQLPERAAEIGPEEKEPIIFYLSLLCNSLMLFCWLRVYYTYMYPRPGTLPMSARRGEGAKAISK >OGLUM04G25950.1 pep chromosome:ALNU02000000:4:29074594:29079113:1 gene:OGLUM04G25950 transcript:OGLUM04G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVSAARWVLSKALGPVTDGLVEAWAASSELGPNVSALKMELLHAQGMLDNAQGNETRSPALKLLLQELRQVAYNAEDVLDELDYFRIQDKLYHTYHAADEHAGGCVCNLVQNASHAAKAVARKLKCCPRTGDAKPAGDPDDESEGDQDGDARHGGAICCVCPSVNIGIGRRILFGACSFMAPEEKRFIEAPKMKFYRVEMSKKIKSIIEKLRPLCAKVSTILNLELLESNRRIAQDIATGITAASEERGSMAFPPRKSTNNRPITTPEIQEPKFFGRKVEMGKILHDITEGSNKDLTVLPIVGLGGFGKTALAKHIYKNVDKHFDVKIWICAVNYSSVSRLTKKIMESIPPVKNEESCSPEMLTEQRLKSKRFLLVLDDMWICDRKEEWDRLLRPLRKGKEGNIILVTSRFPAIAETVKTTDHLIELKGLGYEASRSLFLACVYGDKQSANEHRGLAEIGNKIIEKLKGSPLAAKTVGKLLSNNLNVEHWTRVLDSKEWELLTGEDDIMPVLKLSYKYLPFHLQQCFSLCALFPKKYKFNGQELTRLWIGLGILQSSSKTKSIEEIGMDNFNALISHRFFEKDETDGKSCYVIHDLQHDLALMVASNECLSIDPSNVKSVEIWPSVRHLSITSNAAEYADVMAKGNFRRELKKLKTRLEVQKLQTLMVFGEFDESFARSFGSLFREANALRVLCLPKISLRVESILHNFSSLIHLRYLMLGTKYTRDQMQLPRTLSRFYHLMILDLQTWDGSCDLPKDMGNLAKLRHIFTEKDKIHANIHNVGKLQVLQELKKYCVKKEDGGLQLKQLGYMIELRELGIYNLENTLTKAAAVKAKLMDKKYLRKLTLHWDDAQHPEPDAGAMVIESLQPHSSSLQELCIRGHGGLCCPSWLSNEFSVKALRSLHLVGVAWKVLPSFNQIWELHELTLENIVTIRKFVLEQWCCKLKRLTLIDLEELTEWITQDCNTFFPDLQTLEIKNCPNLLELPFIDNIGDPRKKDVSMTWFPKLEEFEISLCIEISSFPPIPWTQTLDYVRITDVGSNIMEELEYSKTSSLSITGNNNLHSLEKVLVFNNLTELQELSMEKCPPLDMESLLMLSSLKILFVSGSGSLFVPLTSLSDAKWQLPVEELYISDCVANGKELSQLLSHLPKLSVLNIGNFKRITRLDVAVEQQQLRTLVSSTAIHIEHTQPAEQHEGIAEEAEVEDDGLLLLGSNLCRSLRELTIYDCKCLSLAAPHPLLNGHEPRGLQALGSLRTLEILRCPKFLSEYSLDSPCYPFPTSVQVLTFHGAVDMKHLSNLTFLTRLYIEDSENLKCKDLAQDQLSQLVIYRCPEFFVGWGLAQRSSKLQMLYTDDFEGVLVKPICSLLSSCLVELVFHSNDEVESFTKKQEEALQLITSLQELRFRRCKKLQCLPAGLRRLTSLKRLSIDQCPAIQSLPKDGLPSSLQELDVTYCGSKDLIQQCRKLKGTIQKIIL >OGLUM04G25960.1 pep chromosome:ALNU02000000:4:29079115:29081395:1 gene:OGLUM04G25960 transcript:OGLUM04G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLAALHHLCKAMDPIPFTQSIPVEKQPSAAGSDRCQRQPNKKAKGRSKRSTVASMRLTEEQRHWWSGQHSGESPAAGVVVRPRRRVGLRLRVSDAVHQRVGHGLSEDPNLLSPWPLMSHLSSNPTVCWKHFCIRVLYLFLYFYLYY >OGLUM04G25970.1 pep chromosome:ALNU02000000:4:29084246:29089623:1 gene:OGLUM04G25970 transcript:OGLUM04G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRNDGSSWSADVGMAESVAIRGAQWVVSKALRPLSDGLVEAWAASSALAPNIEAVKIELLYAQAMLDNARGREIRSHALVELLQKLRRLAYDAEDVLDELDYFRIQDELEGTFETVDRGCFHDIVRDARHTTKAAAKQLECASCFSVSRARSTVKTVGKRLLCSSLLLVDDGQHSNISGNEKKYICGVCTRMCMGLWKKQSTKTPKLDFDRVDASRRMRRIVEQLQPICAKVSTILDLELLGSAIAKLEFMGSRRGIGGDTTTNRSTTTSESIEPKLYGRDTEKNTILENITKGVHCHQHLSVLPIVGPGGIGKTTLTQYIYNTKEVKDHFQIRVWACVSLDFNVYKLTQEILNSIPKAEDEKDDSQPQSLDQLQKLIEKRLKQKRFLVVLDDIWKCGEEEWERLLVPFRKSQVNGNIILVTTRFFDVSEKVKTNNCKVTRLDGLEPEEFWRFFMACVFGYGEINQQLEYKELVSIGKQIVQKLKGSPLAAKTVGRLLRNNSTPDYWSSVLQSKEWDHQTSDYDIMPALKLSYDYLPFHLQQCFSYCALFPEDYMFSGEELIRFWIGLDILHPDHPSKTIEDIGHIYLNDLVNFGFFKKEIDEENTYYAMHDLLHDLAQKLVSNVGSHLPASLSRFYHLRVLDIQEWRSALSLPKDMANLSKLRHFLVPYYASELHSNISNVGKLRCLQELKHFKVKKMGDGFSLNELGGLTELGGSLSISNLEHVEINEAREANLLYKKRLHHLALNWSDNRSDKNPDIENQILESLQPHSNLSELWIKHGGSTCPVWLGTSLSVKGLEALRLVGANWEMLPPLGELWLIDWSGREYSGCTTSHYFRNLKRLELIGLPNFRKWVAKEICPMWFSVIETLIVIDCSELIELPFSYYTQQPLEGDGKKTWFPRLRDATIVNCPKLVPLPPIPYTQTLCNVYINNVGTCLKELNYRSRSASLKIRGKEGIDVLDDKVLAFHNLSQLQELIINYCPPLAGSYLQMLTSLKRLQLCGSSVVFHLSESRSGSSYKWPVPVEYVSIDDYHGSGKALSQLLSHLPKLSELHLWGCYKITRMCISVEQQQTIVVELEDTQAIESIQQQQVVEDLVVEEEEGVPQLAMDQEDEDEMLIFPAHLSNSLQQLYLSLCPELILDVARPGLPTSHEVTGGWGLQPLRSLQRLEIWRCPKFLSAYEAPVCPFPSSLQGLKITGRMEGVQTMDFHSNLNFLTHLSIYFGGEDLRCEGLWPLLTQGQLSELEVYHTPRFFAGLDPKLGGLQDGQEQQLPPLQCSSKLQELHTDDFAGVLVKPICMLLSSSLINLVLRWNHEVERFTKEQEEALQLLTSLQDLRFQGCSKLQCLPAGLHRLTSLEKLEIARCPSIRSLPKGGLPSSLQELNVLMCYNEKLKQRCKKLVGTIPNIILD >OGLUM04G25970.2 pep chromosome:ALNU02000000:4:29084246:29089623:1 gene:OGLUM04G25970 transcript:OGLUM04G25970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQRNDGSSWSADVGMAESVAIRGAQWVVSKALRPLSDGLVEAWAASSALAPNIEAVKIELLYAQAMLDNARGREIRSHALVELLQKLRRLAYDAEDVLDELDYFRIQDELEGTFETVDRGCFHDIVRDARHTTKAAAKQLECASCFSVSRARSTVKTVGKRLLCSSLLLVDDGQHSNISGNEKKYICGVCTRMCMGLWKKQSTKTPKLDFDRVDASRRMRRIVEQLQPICAKVSTILDLELLGSAIAKLEFMGSRRGIGGDTTTNRSTTTSESIEPKLYGRDTEKNTILENITKGVHCHQHLSVLPIVGPGGIGKTTLTQYIYNTKEVKDHFQIRVWACVSLDFNVYKLTQEILNSIPKAEDEKDDSQPQSLDQLQKLIEKRLKQKRFLVVLDDIWKCGEEEWERLLVPFRKSQVNGNIILVTTRFFDVSEKVKTNNCKVTRLDGLEPEEFWRFFMACVFGYGEINQQLEYKELVSIGKQIVQKLKGSPLAAKTVGRLLRNNSTPDYWSSVLQSKEWDHQTSDYDIMPALKLSYDYLPFHLQQCFSYCALFPEDYMFSGEELIRFWIGLDILHPDHPSKTIEDIGHIYLNDLVNFGFFKKEIDEENTYYAMHDLLHDLAQKLVSNVGSHLPASLSRFYHLRVLDIQEWRSALSLPKDMANLSKLRHFLVPYYASELHSNISNVGKLRCLQELKHFKVKKMGDGFSLNELGGLTELGGSLSISNLEHVEINEAREANLLYKKRLHHLALNWSDNRSDKNPDIENQILESLQPHSNLSELWIKHGGSTCPVWLGTSLSVKGLEALRLVGANWEMLPPLGELWLIDWSGREYSGCTTSHYFRNLKRLELIGLPNFRKWVAKEICPMWFSVIETLIVIDCSELIELPFSYYTQQPLEGDGKKTWFPRLRDATIVNCPKLVPLPPIPYTQTLCNVYINNVGTCLKELNYRSRSASLKIRGKEGIDVLDDKVLAFHNLSQLQELIINYCPPLAGSYLQMLTSLKRLQLCGSSVVFHLSESRSGSSYKWPVPVEYVSIDDYHGSGKALSQLLSHLPKLSELHLWGCYKITRMCISVEQQQTIVVELEDTQAIESIQQQQVVEDLVVEEEEGVPQLAMDQEDEDEMLIFPAHLSNSLQQLYLSLCPELILDVARPGLPTSHEVTGGWGLQPLRSLQRLEIWRCPKFLSAYEAPVCPFPSSLQGLKITGRMEGVQTMDFHSNLNFLTHLSIYFGGEDLRCEGLWPLLTQGQLSELEVYHTPRFFAGLDPKLGGLQDGQEQQLPPLQCSSKLQELHTDDFAGVLVKPICMLLSSSLINLVLRWNHEVERFTKEQEEALQLLTSLQDLRFQGCSKLQCLPAGLHRLTSLEKLEIARCPSIRSLPKGGLPSSLQELNVLMCYNEKLKQRCKKLVGTIPNIILD >OGLUM04G25980.1 pep chromosome:ALNU02000000:4:29098679:29102318:-1 gene:OGLUM04G25980 transcript:OGLUM04G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRATKCRTMQLLRELQTNKLDTSSKIFDAPRQQDDIYAGIMPEFPLDKLPEDILHHVYSLMPLKDAARAACVSHGFLRCWRRYPILVLNSKTIGLAKRKLSLYAEDVPLYEPALKVDDMESYAISKIDHIINNHSCIGVKVFKLQLFACPNIDAAVLDKWFVHVIKAGIKELSLEMSLCKKRTEYNFPCSILSSKAGGGTIQSLFLSSCSFHPTVALGCNISLTSLHLYEVHISGEEIGQFLSNSFALERLVISDCNDIIQFKVPCLMQQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTVCYARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPSHVEYNKEALIEAHKAHEAVRRCIEEEAPSSVKLKVQEPCRECYSYY >OGLUM04G25980.2 pep chromosome:ALNU02000000:4:29098679:29102318:-1 gene:OGLUM04G25980 transcript:OGLUM04G25980.2 gene_biotype:protein_coding transcript_biotype:protein_coding METRATKCRTMQLLRELQTNKLDTSSKIFDAPRQQDDIYAGIMPEFPLDKLPEQLKYLQVTKCEMLEVISIDAPKLSSFIYGDVGIQISLGDPLQVKDIRLMGYNQPNTVCYARTELPSIMPNVESLIVSSTDEMISTPMVPIKFLHLKLLEIYLAELLAFPPNYDFFSLVSFLDGSPALETFILHVKQRCERRDSILDGEHTNLRQILHPRHANLQNVTITGFNSTKSMIELTSHILENAPSLKCITLDTANFYDKNLLTMGECLPMRKGGILEARKAFDAAKRYIAGKVPSHVEYNKEALIEAHKAHEAVRRCIEEEAPSSVKLKVQEPCRECYSYY >OGLUM04G25990.1 pep chromosome:ALNU02000000:4:29102687:29108367:-1 gene:OGLUM04G25990 transcript:OGLUM04G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMNGKRKRSGGSMETRAIKCRTMQLLHELQTNELGASAKIIDAPPRRGDISTGIMRELPLDKLPEDILHRVYSLMPLKDAVRAACVSHGFLRCWRRYPILILNNKTIGLIKRKLSLDDMESYAVSKIDHIIKSHSGIGVKVLKLQLFACPNISAAVLDKWFVTVIKPGIEELSLEMSSLKKRTVYNFPCSVLSNKAGGGTIRSLFLSSCAFHPTVTLGCNRSLTSLHLCKVDICGEELGQFLSNSFSLERLVISDCSDIIKFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGIKISLGDPLQVKDIRLMGYNEADTVCYARTKLPSILPNIESLIVSSPNEMTSTPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQRFERHDSILDGEPTDLRRILHDGHANLQNVTITGFNSSKSMIELTTHVLENAPSLKCITLDTANFSGKNHLAMGECYPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCVPTIFLHHPPSHRLRSPLHCGGLRRM >OGLUM04G25990.2 pep chromosome:ALNU02000000:4:29104444:29108367:-1 gene:OGLUM04G25990 transcript:OGLUM04G25990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYMNGKRKRSGGSMETRAIKCRTMQLLHELQTNELGASAKIIDAPPRRGDISTGIMRELPLDKLPEDILHRVYSLMPLKDAVRAACVSHGFLRCWRRYPILILNNKTIGLIKRKLSLDDMESYAVSKIDHIIKSHSGIGVKVLKLQLFACPNISAAVLDKWFVTVIKPGIEELSLEMSSLKKRTVYNFPCSVLSNKAGGGTIRSLFLSSCAFHPTVTLGCNRSLTSLHLCKVDICGEELGQFLSNSFSLERLVISDCSDIIKFKVPCLMQQLKYLEVTKCQMLQVIEIDAPKLSTFIYGDVGIKISLGDPLQVKDIRLMGYNEADTVCYARTKLPSILPNIESLIVSSPNEMTSTPVVPSKFLHLKFLEIYLKELFASLPSYDFFSLVSFLDASPALETFILHVEQRFERHDSILDGEPTDLRRILHDGHANLQNVTITGFNSSKSMIELTTHVLENAPSLKCITLDTANFSGKNHLAMGECYPMMRGGILEARRAFEAARRHIAGKIPSFVEYKFLEPCRHCHFGY >OGLUM04G26000.1 pep chromosome:ALNU02000000:4:29109036:29114262:1 gene:OGLUM04G26000 transcript:OGLUM04G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRTCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASSGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPTE >OGLUM04G26000.2 pep chromosome:ALNU02000000:4:29109036:29115672:1 gene:OGLUM04G26000 transcript:OGLUM04G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRTCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASSGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKGAPIHDFSFDDLVPTE >OGLUM04G26000.3 pep chromosome:ALNU02000000:4:29109036:29114542:1 gene:OGLUM04G26000 transcript:OGLUM04G26000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPELAAGDGSYDFHLRSLSAASRDSAAAADPASDPNLLESVRRVCEMCKEAKGASDEMVARAFPVMSKLFQRCAAAPTQSVASSGVLLLTILQFFLDFGEAVLHDADGSLRTFFRTCLSREFADPIVAERTLEFLIANKTKILSSFPTLIPQFFPLLLKLIASSGERLERKFSEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDAIGNAGSDDSGPLDLADPMFLDLLKDENDGIAAKHWTSPTISSTLQAAVNSPQSDRLKQSLKMAPRFLTLYFATALRDVNDSLLCALIPVVMSRYAAMFPDKVYSFEVRKRLSDFILAAFQRSPDIIALLKTELALHLCWAIGEHGAGGKNRKDVARELFENLELLLYENLATSRLGLSQDTGFDPMGASSRKSSQARLLCFVVTAIAKLATFHNELLPRARVSLAKVARSRTSDRRVWQRACDYLGLLNEPAICLSVLGPSTAQGNGPGIVNWSEGGTKMVAHIPFYLLAEQKEEP >OGLUM04G26010.1 pep chromosome:ALNU02000000:4:29114281:29120685:-1 gene:OGLUM04G26010 transcript:OGLUM04G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPARHMPSMIGRNGAAYGSSSALSLSQIDRTMERREIFQVADLAHILRGKPVDHLQQPNLLDNHQFQQAFQHQQQQHHLLDQIPATTAESGDNMIRSRASDPLGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPMAAAASRAPLDLPVAPYGVPGDMFGGGGAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDAAAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGGGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQSSKILELSSVGSQERTSDCPCHVFFLAASMKAMLISVSSSGRVV >OGLUM04G26010.2 pep chromosome:ALNU02000000:4:29114279:29120695:-1 gene:OGLUM04G26010 transcript:OGLUM04G26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPARHMPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAFQHQQQQHHLLDQIPATTAESGDNMIRSRASDPLGDEFESKSGSENVDGVSVDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERHENSQLRSDNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVPFPVLSNPMAAAASRAPLDLPVAPYGVPGDMFGGGGAGELLRGVQSEVDKPMIVELAVAAMEELVRMAQLDEPLWSVAPPLDAAAAAMETLSEEEYARMFPRGLGPKQYGLRSEASRDSAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGGGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQSSKILELSSVGSQERTSDCPCHVFFLAASMKAMLISVSSSGRVV >OGLUM04G26010.3 pep chromosome:ALNU02000000:4:29114505:29120685:-1 gene:OGLUM04G26010 transcript:OGLUM04G26010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPARHMPSMIGRNGAAYGSSSALSLSQPNLLDNHQFQQAAVVIMTHANLVEILMDANQYAAVFSNIVSRAVTLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSSEGRKSMLKLAERMVVSFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPWDILSNGGIVQEMAHIANGRDQGNCVSLLRVNSSNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAHDGGGGDGGVGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQSSKILELSSVGSQERTSDCPCHVVPIYSLDYFSLVFPQIREISKKCVTTTQGRLVRVLTSSSCSPLGCCYLMNLGFFWSTKKTP >OGLUM04G26020.1 pep chromosome:ALNU02000000:4:29166485:29169455:-1 gene:OGLUM04G26020 transcript:OGLUM04G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MAPLKPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLMQSCNKGALNAPLISPQGPLEVLSDPMLEVAGPLSKTRYKATSQSSTKPPILHKCALPNLEDASVEYVRNGSRSKIIFILQTIRSSLKRRYGSVIFSNY >OGLUM04G26020.2 pep chromosome:ALNU02000000:4:29166485:29169455:-1 gene:OGLUM04G26020 transcript:OGLUM04G26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MAPLKPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLMQSCNKGALNAPLISPQGPLEVLSDPMLEVAGPLSKTRPNLEDASVEYVRNGSRSKIIFILQTIRSSLKRRYGSVIFSNY >OGLUM04G26020.3 pep chromosome:ALNU02000000:4:29166485:29169455:-1 gene:OGLUM04G26020 transcript:OGLUM04G26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 10 [Source:Projected from Arabidopsis thaliana (AT1G63270) TAIR;Acc:AT1G63270] MAPLKPPPPRLLLNGVSCMRNAQTVLRDINVSVHDGTALVLTGANGSGKSTFLRMLAGFSRPSAGEVLWNGHDITSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKHGKSAPAIELMGLGRLMNEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGVKLLEYIIAEHRKKGGIVFVATHLPIEIEDAMSLRLPQRFPRRKTLVDLMQSCNKGALNAPLISPQGPLEVLSDPMLEVAGPLSKTRYKATSQSSTKPPILHKCALFFCCILFGCYLLFRPNLEDASVEYVRNGSRSKIIFILQTIRSSLKRRYGSVIFSNY >OGLUM04G26030.1 pep chromosome:ALNU02000000:4:29175701:29177452:1 gene:OGLUM04G26030 transcript:OGLUM04G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNTSHYSSCISPAAETSSMSAGESSWAMHIANFLASPYNSQEMCQEPVISGSSSFSSGFSSSFATSYDDASFITSEMMCDGDDDDDSLQDTACSSAAAPKLTSNLNNVDMKSMATMEAKDINITQLAKYFVDASSRQPAAEVLQETVSVDNNNDKSLYECNELRKKGLCLVPLSMLINYLG >OGLUM04G26040.1 pep chromosome:ALNU02000000:4:29180672:29182249:-1 gene:OGLUM04G26040 transcript:OGLUM04G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKMKGIFKGLKVISQIFVVKEHEMQIGSPTDVKHVAHIGWDGLTGNASPSWMNDIRASSELLSLGNFAPSAGTSWASQDFDQPRDSSSFATPSENTSLQQQEAAPPPDIPRPPAARKTRRKRRSTSDCPVPSSSSSSARPSCDSTMAPASDANASQDQNCNSAT >OGLUM04G26050.1 pep chromosome:ALNU02000000:4:29185753:29187090:1 gene:OGLUM04G26050 transcript:OGLUM04G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKLPMWTNPETITKVVMSASPAAADVGGGGGGITVAAIARADPRFVARLGKIFVCHLPGRKKKKHHPDDDDNAWSDISRVFYVQDIVFLEGKLYAVTEAEEISAFDDADIEHYSHLPSDQWRWTHVGKQAPAFGRTEFYLVACHTMGKVLVVSRDFGRARVPDTGGGRAAARYHTSRFKVYVVEEHDQLETWGSWRKKTRLTRIPRLRGHALFIGDASCQAFDVTSSGAGGKIAENQIWYVDDERNMVVTVAAGDGPVISSSWALRSVQSYDMRTSCFRRYQRKPHKPSSPWECVMLQRYLGVEAMVPPPVTEFGATLLLWEVINGMGATKEPTYYYSASRRRRRTTSTTTTEADDDGAADYHAVTVSVNVLNRDCLRFTQVGASVQEAKQMVAWEAVTFLRSRFRSVLDDSPWSSIPHYHSHASEIEYDKDFDDDFDYADL >OGLUM04G26060.1 pep chromosome:ALNU02000000:4:29187467:29188192:-1 gene:OGLUM04G26060 transcript:OGLUM04G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTNAKNTNPPAISSATIPRAKKPAAAAVSPSSKLASSNKVTKVSPPPPQLSALWAAASSSDEEEEAVAVAVVPAPPPPQPASKKGKYVKGRAASKNKYLVPRRGEEDQAAEGGGNSSSKLMSGAPEEFGLPAGTFDAFADAQGEDAAAGRGAGGDYVHVRVQQRNGRKTLTTVQGIGGEYNYAKVLRDLKRELCCNGNVVEDKELGKIIQLQGDHRNSVSDFLAKAGMVRKDNIKVHGF >OGLUM04G26070.1 pep chromosome:ALNU02000000:4:29189893:29191262:-1 gene:OGLUM04G26070 transcript:OGLUM04G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT3G21740) TAIR;Acc:AT3G21740] MALMRRRVGGYICSELCGSVMNQRLYSSRVDWKQLRPMILKRIKNRAKEYPIKRMIPVAEEVVKAREIVTKGVSTLLQVVPIHSCKFCPEVHIGAVGHEMQSCHGFKRMIKNQPHKWGPGCLNDILVPVESFHLENTFQDEIKHDQRFDFPRVPAVLELCHQAGADIPDEVWHRSGTSSAIVRENDEKPAAFLPEELRFIGQRTIEAWERLRLGVTKLLLVYPSKVCERCSEVHVGLSGHKARMCGVFKFEGWRGKHKWKKADVDDLVPPKIVWHQRPHDPPVLVDAGRDYYGHAPAVIELCMQVGARAPPKYHCMMKAQGLAPPIK >OGLUM04G26080.1 pep chromosome:ALNU02000000:4:29191950:29201975:1 gene:OGLUM04G26080 transcript:OGLUM04G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR35] MALLMGAKPTTAPFYSSLLQSCISSGAFRQGKSVHGSIVAASASTPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFVLMRASGARPNQFTYGSAASACAGAGCARSGKQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMLPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRRMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEVEGNSFIIGSGSTVVMHNMPYRLLFGFVLPLVLQFSLVLSNPPGLNIGFYQYTCPKAEVIVRDEMTKIISRVPSLAGPLLRMHFHDCFVNGCDGSILLDSTPGSPSEKESIPNLSLRGFGTVDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGTMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >OGLUM04G26080.2 pep chromosome:ALNU02000000:4:29191950:29201975:1 gene:OGLUM04G26080 transcript:OGLUM04G26080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR35] MALLMGAKPTTAPFYSSLLQSCISSGAFRQGKSVHGSIVAASASTPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFVLMRASGARPNQFTYGSAASACAGAGCARSGKQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMLPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRRMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEGCDGSILLDSTPGSPSEKESIPNLSLRGFGTVDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGTMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >OGLUM04G26080.3 pep chromosome:ALNU02000000:4:29194764:29201975:1 gene:OGLUM04G26080 transcript:OGLUM04G26080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR35] MALLMGAKPTTAPFYSSLLQSCISSGAFRQGKSVHGSIVAASASTPDLHLSTKLVIFYARFGDVAAARKVFDGMPHRSVVSWTAMVSGYARNGRPREALELFVLMRASGARPNQFTYGSAASACAGAGCARSGKQVHACAAKGRFAGDMFVQSALMDMHLRCGSVEDARQLFAEMGKKDVVSWNALIRGFVERGHDGDALGLFSSMLKEAMLPDHYTLGSALKACGIVGVAVNVELIHSCIIKLGYWDEKVVIGSLINSYAKCRRMSSARVIYDSISEPDLVSSTALISGYTMDRNYSEDAMELFCKIHRKGLWIDGVLLSSVLCLCASVASARFGTQIHAYMCKKQPMGDIALDNALVDMYAKAGEFSDAKRAFDEMPYRNVISWTSLITACGRNGSGEDAVTLFNRMVEDGVRPNDVTFLSLLSACGHCGLTNKGMEYFTSMMSRYGIDPRAEHYSSAIDLLARGGQLEDAWKLVQKTNFKPNSSMLGAMLGACKLHGNMLLGETAAKNLFSIDPGSSVNYAVLANMYAECSLWEDAQRTREVIDETTDGKEGCDGSILLDSTPGSPSEKESIPNLSLRGFGTVDRVKAKLEQACPGVVSCADILALVARDVVFLTKGPHWEVPTGRRDGTRSVKDDAVNNLPPPFFDATRNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSSFASRLYNFSGTMMADPTLDKYYVPRLKSKCQPGDKTTLVEMDPGSFRTFDTSYYRHIARGRALFTSDETLMLDPFTRGYILRQAGVAGYPAEFFADFAASMVKMGNMQVLTGAQGEIRKHCAFVN >OGLUM04G26090.1 pep chromosome:ALNU02000000:4:29203616:29204927:1 gene:OGLUM04G26090 transcript:OGLUM04G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPPTATAGFIAGGRIRRPLPRERPHLTRCTKLLCSWFLSLLLVAGVLLFVVYLVVRPHRPRFHVAAFTAAGVQSGGGPVALSGQLTIHNPNHDLAFFFGRVYMSVQYRGDGEVVVDGKDLTGGPLYEPPRGTSAVGFEGVAVPAGAATDMMARDAAAAAAGGGGVAFTVKVRSRIRY >OGLUM04G26110.1 pep chromosome:ALNU02000000:4:29212118:29222431:-1 gene:OGLUM04G26110 transcript:OGLUM04G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLIADGMTVQVGIVNLLLETHGGPRHQGGATWSPPLAAITFRDLVLYTTNEKWQKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCQSPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKLERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENGTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSPSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGIEGLQAIKPCLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >OGLUM04G26110.2 pep chromosome:ALNU02000000:4:29212120:29221047:-1 gene:OGLUM04G26110 transcript:OGLUM04G26110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLIRWNDSPSRYCEPSIRNTWWSTSSRRRNLKLEWQSLSVDLLPHPDMFTDARFNSSSSEDGKRDDDGAKRMFFGGERFLEGISGEANITVKRTEQNNPVGLEVQLHITEALCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDAEFQLEFLMQSLFFSRLTPSPLPPKFASKTVITCEPLMVTLQEQSCLRIASFLADGVVANRSAILPDSSINSMSFYIKEFDLSIPLDAEEITRYSGTKNVCPQSSFMGARLHVENLYFCQSPSEKCLLLNLDKDPACFLLWGYQPVDASQRKWATRASHLSLSLETSSTSNEQRTVRGSSPSLWKCVELDDIRFEAAMVTADGSPLLIVPPPEGVVRIGVAFQQFTTNTSVEQLFFVLGLYTYFGQVGERISKVSKGNCSATKTSADKLERKLPSDTAVSLTMNSLQLNFLESLSSNDLQLPLVQFGGEDLYLKVSHRTLGGAFAVTTNLTWKTVSVNCLEGESAIFGENGTAVTGEPNILLHENGHPNMRAVFWVDHRNKNQSKEARFIDIDITHVMPYDMRDMECHSLSVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRTLKDLSSGPLAKLFSPSHLTDKEDGMPNSKDNDYNSKFDLEVPDDLDVSIELRNWLFALEGTEEVGDWLSPHGSDHISREEKCWHTTFTNLHVSGRSSDRPGSAEKVIHKRALPIERFTAGIEGLQAIKPCLRDQLIGNATSNNLQTGSVFDNTSSIGDQGVDVEATMVICEDEIEGPKWTMDNVKFSVKEPIEAVATKEELEHLTMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGIDNTFSPRKLSRQNSFGSIGTPRTPNLHSTTDAGTKELLESTVASLQIEILESKAKCTALVSQASGVEDQKCAEDIRQLNDKLESMQSLVTKLRTLI >OGLUM04G26110.3 pep chromosome:ALNU02000000:4:29221057:29222431:-1 gene:OGLUM04G26110 transcript:OGLUM04G26110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLVLPPSLAVETARVGKLQITLPSVSNVQVEPIVVNIDKLDLCFIAITS >OGLUM04G26120.1 pep chromosome:ALNU02000000:4:29224804:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MPCMAMDAAAAAGGEMSRQKATASAPPPPELDMVARAVQRLVARNDAVEALSGGGEAAAGVGAGMAAFEAARGAPAPRIGVAQYLERVHRYAGLEPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHNNAFFARVGGVSNAEMNRLELELLAVLDFEVMLSHRVYELYREHLEKEARRDGGGGDMLAGASAAAAAKAGRMAAVSPSKLLERAAVNGAAQHDDWRSLGTAAAAEAANGVRRHRSSSSSRYSFDC >OGLUM04G26120.2 pep chromosome:ALNU02000000:4:29229619:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSQPAPSRDGTIYTSPPPNLLLLLLLLLAVAAASGRARVSGRRGVLLLLLLLLLLFWWSAGARVRCRRXXXXXXXAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >OGLUM04G26120.3 pep chromosome:ALNU02000000:4:29229619:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSQPAPSRDGTIYTSPPPNLLLLLLLLLAVAAASGRARVSGRRGVLLLLLLLLLLFWWSAGARVRCRRXXXXXXXAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >OGLUM04G26120.4 pep chromosome:ALNU02000000:4:29229619:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MSQPAPSRDGTIYTSPPPNLLLLLLLLLAVAAASGRARVSGRRGVLLLLLLLLLLFWWSAGARVRCRRXXXXXXXAGAAGGGKDDELADLVRRLVDALARYSDRLPFDLDRQKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >OGLUM04G26120.5 pep chromosome:ALNU02000000:4:29230641:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MTCACTKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >OGLUM04G26120.6 pep chromosome:ALNU02000000:4:29230641:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MTCACTKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQRAERVASNVFSSTSLEQFFGGLDQR >OGLUM04G26120.7 pep chromosome:ALNU02000000:4:29230641:29233384:1 gene:OGLUM04G26120 transcript:OGLUM04G26120.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 22 [Source:Projected from Arabidopsis thaliana (AT3G21865) TAIR;Acc:AT3G21865] MTCACTKLRSFTTLAAITITLIFAWKLLRAPQEQPRRPRRRVAPSPSNTSSRSRPGALTSTDACSSSADSRAQEAINQLFQPLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVLEVLIEIAKFCDVYLMERILDDESGEKVLSALSEAGLFTNSGLIKDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSSSKQWHSEN >OGLUM04G26130.1 pep chromosome:ALNU02000000:4:29233244:29237617:-1 gene:OGLUM04G26130 transcript:OGLUM04G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ATXR2 [Source:Projected from Arabidopsis thaliana (AT3G21820) TAIR;Acc:AT3G21820] MAVSVFNTRRRTSLVSAFIARTRMFISTPLPQVRDKVSRTKPPKPHGGGGERRRKKQPQEAAARAGGGMGGSSASPCDLDREFAPQIAQLLATPPLQPAQEYYNGLIQSRKHDGIRVNFSSKHGKGVCANKEFAEGDLILKDQILVGAQHSLNKIDCAVCSYCFRFIGSIEFQIGRRLYWQSVGSSSDCTNRRHCHESDVGSSASSSGATKENSSTLPEEVLGSLITGDMSLPFTDHFSLPQVVPCRGCEEERYCSQSCADSDWETYHSLLCTGSKTEPSQRSALQKFIEHANGSNDIFLVAAKAITFTLLRYKKLKTQPEFQNNTDESNFSLLMEAWKPLSMGYKKRWWDSVALPEDVDSCDEDTFRQQIRDLALTIIIFCVSQSLQLLKDAIFDSDGLVVASPVEDYFIHIDDLPDDEKCNISQEEAEKVTRPFLDALGEDYAAPCEGTAFFPLQSCMNHSCCPNAKAYKRDEDTDGNAVIIALEPIKKDDEITISYIDEDVSYEERQAELADYGFICTCPRCQEEKPN >OGLUM04G26140.1 pep chromosome:ALNU02000000:4:29238686:29239502:1 gene:OGLUM04G26140 transcript:OGLUM04G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLKALVAFMAVATVAELAAGSKTWAIKWASGGNYGDWSSKNTVAVGDSVVFTYGPPHTVDELSAADYTACSFAAPLSSDAGGSTTVVFDKPGTRYFACSSGSHCSMGQKVAITVSNSTAPPSSSKGGSSSYGAAAGGGAELASKLVVGLAVGAGAILAL >OGLUM04G26150.1 pep chromosome:ALNU02000000:4:29240115:29245576:-1 gene:OGLUM04G26150 transcript:OGLUM04G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDLCSDSEEYFSPYSDTEDNLDFDDPNDGVNQVVLHNTAFGNNSSELLVGLDDDNWLNNTHALSSHIPAENRSDIIESSSGVNTDCQNSAWQYRTLPHTFMSSSYKSRPLSLTGGNNVESTHPTVKPNTVHYNGIGFPSPAIASGYKPYVSYGQGVSIDDDDDDVYEVLHQPFPFSHSSLGDKKIEEESTWKYNGFQTSSANGIEMPTSAMSTGGVSAYGGLNSHRIFPPSVPYNNSVNNFGVNGLGTQSHLNIEKRLFGRDERVVYDEALKQISQETTEENLPEGVMSISLLKHQRIALAWMVSRENSSHCSGGILADDQGLGKTISTIALIQKERVEQSKFMSADVGSMKSVANLDEDDEVVIVMDKKQLKGESVNMLQDSTLFPSSEAASDAADLKPWASLPGSAVDRMVNAVKVEPKKKARVRPSSSSTLRSANRSTAGTLVVCPASVLRQWASELAAKVTESSKLSVLVYHGGSRTKDPTELTKYDVVVTTYTIVANEVPKQNSDEDMEEKNSETYGLCPAFSIGNKRKKDSEPKKKKKPKNSDADLDGGPLTRVRWFRVVLDEAQTIKNHNTQVARACCGLRAKRRWCLSGTPIQNTIDDLYSYFRFLKYEPYSVYGSFRSMIKYQISRDATRGYKKLQAVLKIVLLRRTKETLIDGEPIIKLPPKTIQLSKIDFSKEERTFYMMLEEGSREKFKEYASAGTIRENYANILVLLLRLRQACDHPLLLKGKEKDLIDTGSVEVAKKLPKETVINLLGQLEGDYAICSRCSDPPEDVVVATCGHVFCYQCVHKSLTSDENVCPSPSCGKKLSAQSVFSPGVLRFCIADKLESGATTSSSVEADGSPSICESSYISSKIRAATDILNSIVNTPALTWSDTMESSPSEVAPSKAIVFSQWTGLLDLLELSLDSSRIKFRRLDGAMSLNLREAAVREFNTDPEVRVMLMSLKAGNLGLNMVAACHVIMIDPWWNPYAEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKRKMVQSAFGEDKPGGSATRLTIDDLQYLFGI >OGLUM04G26160.1 pep chromosome:ALNU02000000:4:29249475:29250658:-1 gene:OGLUM04G26160 transcript:OGLUM04G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal cysteine residue is changed to a serine 1 [Source:Projected from Arabidopsis thaliana (AT1G11530) TAIR;Acc:AT1G11530] MEIQQQKGVGNSKVVKVEKEESWDLFVNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEILFLYVDVDDVQSVSSKLGVKAMPTFFLIKDKEVVNKIVGANPDEVKKMVDASAESFGVTAPPDIVVE >OGLUM04G26170.1 pep chromosome:ALNU02000000:4:29253251:29257972:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >OGLUM04G26170.2 pep chromosome:ALNU02000000:4:29253251:29255847:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >OGLUM04G26170.3 pep chromosome:ALNU02000000:4:29253251:29257972:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >OGLUM04G26170.4 pep chromosome:ALNU02000000:4:29253251:29255847:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPICLFPFMFFTVAARTTSLNSITYSPSRPQHRRAAWHRSVAFFFGIKVDSVAHC >OGLUM04G26170.5 pep chromosome:ALNU02000000:4:29253251:29255600:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLAAPLKIRAETPMRSRSRVARPTLTETWFYCSPSHPRCPLPLLGIRSSSSSPHPHLFPISSACRMASQRRLLLRHQGRLGGSLLAQMAGGEWIFQFFLSDLPDGVAS >OGLUM04G26170.6 pep chromosome:ALNU02000000:4:29253848:29257972:1 gene:OGLUM04G26170 transcript:OGLUM04G26170.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWIFRNGAASILLTNKYKLIFVHRKFCGSVEYIPSLQLKNFMTTDCICTTVQWMHRRRMNTHSMTELKRREIQSYKSYGTNRYTFRGITIILMQEPAAKFCRAKVVHHFGTHGCIQSYSRKYIAMQLILLKSATTERKHMMHACSLLLTAH >OGLUM04G26180.1 pep chromosome:ALNU02000000:4:29257257:29261733:-1 gene:OGLUM04G26180 transcript:OGLUM04G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR60] MASSLTPRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFTGREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRSTMDAENAQHAELEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLVSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFELADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYNMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >OGLUM04G26180.2 pep chromosome:ALNU02000000:4:29257257:29261733:-1 gene:OGLUM04G26180 transcript:OGLUM04G26180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR60] MASSLTPRSPYPKKENLGNARRGMGVKPGPRRNVLSAINNGGGTNSDTASVDGGEGGAGPAAPVIEFTGREDVERLLAEKMKGKSKTDYKGRTEQMSEYIKKLRACIRWYIELEDGYLVEQEKLRSTMDAENAQHAELEAQLSSDLEELKAAHLNLTRQCDSLEESFNKEKADRMLAVESYEKERQQRESAEASRDLLSVDLERVSHEAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGDIISKLQKEKSAMMETMASLKDLNNSMKNHLVSSRTSQQEAIRMKEQLMKEVDCLRIELHQIREDRDQSVSQVNTLSAELANYKELAGKSTKDCESLSVKVSAFEVSGFRLTKSILSVRNYLAHHYASAQETCSMQQEQIQTLQKQLAVATNKLKLADVTAIEAMTGYEEQKVIIKDLEERLASAEFQIVEADKLRKKLHNTILELKGNIRVFCRVRPLLQDNDSSGAEEALISYPTSVESAGRGIDLMNQGQRFSFSYDKVFDHGASQEDVFVEMSQLVQSALDGYKVCIFAYGQTGSGKTYTMMGPPGRDQKGIIPRSLEQIFKTSQSLESQGWKYNMQASMLEIYNETIRDLLAPGRSNNFDLSTSKQYTIKHDPQGNTTVTDLTVADVFSSADVTSLLAKASQSRSVGRTQMNEQSSRSHFVFTLKISGSNENTGQQVQGVLNLIDLAGSERLAKSGSTGDRLKETQAINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRHTQARSFDSRLSYG >OGLUM04G26190.1 pep chromosome:ALNU02000000:4:29266249:29271518:1 gene:OGLUM04G26190 transcript:OGLUM04G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQHAPAFTPDAASASATGGAGERQSLPALQAKMKRDPEGYEEELRQLRRHFESSVFLFRQQAALASTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARALPSGLRVHLVQALILLVNRKIVDLEDTMELFMELQVIGDRAVKKLAFSHIVHSIRRMNQTHKNEARNRKLQNILFTFLQGEEESRAKRAFTILCDLHRRRVWFDDRTANAICNACFHGSSRIMIAAISFLLGYENVEQEDDSDASSSEDEAQNPQIILSKEDVYKANHKGTAATKKKKKAKLQRVIRSMKRQQRKSTEDTGSNYYSPLTYLKDPQGFAEKLFSRLQKCNERFEVRMMMLKVIARTIGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPADPKARPKAFGEATIASDVPGAELLDEDFSSEGEGSDDESDAFDSNDEKELQSARGTKQNLDGSSEANKLDTDEGMKEEDQLSGDEDDTEELDEDQDISDNDSEENDDELECDSDMDEENDVSESDDDEELSEKLDDSDEGSDQDDDSDQDDKSKNSSRKANKRKLSDYIGQLNAADASLRALKKLAGAKKAEASCDEAGKILSDEDFKRIKELKAKKEAKLALAQHGLGKGHDTKSVTFKMPSSDQLSLKRVDPSKLEAHIKRKLTKEERLEMVKAGREDRGKYQARTAVKQKKTGGLSNRQKQHKKKMPLAASRAKAARSRQEKKKLQKRSGKQFRGRKAWK >OGLUM04G26200.1 pep chromosome:ALNU02000000:4:29271730:29274650:1 gene:OGLUM04G26200 transcript:OGLUM04G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVERKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPENMEKNSHFKDLHALGPLAVFRADLEEEGSFDEAVAGCDYAFLVAAPVNLKSENPQKELVEAGVRGTLNVMRSCVRAGTVRRVVLTSSAAAVSGRPLQGDGHVLDESSWSDVDYLSSPANKTSPGKAYSLSKVLSEKEASRVAEENGISLVTVCPVVTVGPAPAAEAKPCVAAVLSLLSGDQEMISTVKLMEKATGGLMLVHVDDLCRAEIFLAEKPPPPPPPAAAAAAERYICCGLSATMLQLARFLAAKYPEYNVDVAALGDLPEKPRIRLSSEKLAGEGFEFKNRTLDEMYDDAFLEYGRALGILPY >OGLUM04G26210.1 pep chromosome:ALNU02000000:4:29279828:29282321:1 gene:OGLUM04G26210 transcript:OGLUM04G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCARAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQEYSISKVLSEKEATKFAEENGLSLVTLCPVVAVGASPAVRVDTSVPACLSLITGDEEMMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPEKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >OGLUM04G26210.2 pep chromosome:ALNU02000000:4:29279828:29282321:1 gene:OGLUM04G26210 transcript:OGLUM04G26210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEVERKTVCVTGGNGYVASLLVKMLLEKGYAVQTTVRDPNNPEKVSHFKDMEKLGPLKVFRANLEDEGSFDEAVAGCHYAFLVAAPVYDKSHKSDDLEKEIVQGGVEGTLNVMRSCARAGTVKRVILTSSTAAVSSLRPLEGAGHVLDESSWSDIEYLRSMEKLSPTQEYSISKVLSEKEATKFAEENGLSLVTLCPVVAVGASPAVRVDTSVPACLSLITGDEEMMNILKGIEKASGWSMPMVHIEDVCRAEIFVAEEESASGRYICGSLNTTVTEIAGFLAAKYPQYNVRCDCIEEHHPEKPTISLSSAKLIGEGFEFKYKNLDEMYDDLVAYGKALGLIPN >OGLUM04G26220.1 pep chromosome:ALNU02000000:4:29283521:29285423:1 gene:OGLUM04G26220 transcript:OGLUM04G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVGEEAAGGRGEEKVRQPASRRRRQCRRQHPPFLLSLSLSLSLFSPVASRPATNIVARRRHRGGWCCVGHAADVGGAGAEEEEVVALSSSSSSASRKKVGAAAEARGLPRLVRYKVLVLVLVLQFLYSRQASVAAPNSGPLPGCGAWGCWHTRCGAAVDLALDTLEACLSVHTSRGNPLASAAAAPTFFPDAGDDDDDSATSKSASGGSPAIPPPTTAPCFPPPRDTTARTASARSPTTSSSPASRQGRDSHRRARLPLAARLELHAAHPPRQAPCAPAWRALGAARSTMAAAHGEVPVEAVVASAAAAAALVADLLLSAAEAVGVAAVDRALAGHPDPFRVVHRSELAESSTICSPASLALALNREEEPRTG >OGLUM04G26230.1 pep chromosome:ALNU02000000:4:29289702:29304801:1 gene:OGLUM04G26230 transcript:OGLUM04G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFQPTPFIPKKTKLRQDVTYSSTTNLDRDQLTTQIELFPKYSNKNKFLVLFVSSGLVGCCSDRSTTTMSAVERKTACVTGGNGYIASALIKMLLEKGYAVNTTVRNPDDMAKNSHLKDLQALGPLKVFRADMDDEGSFDDAVAGCDYAFLVAAPMNFNSENPEKDLVEAAVNGTLNAMRSCAKAGTVKRVIITSSDAAISRRPLQGDGHVLDEESWSDVDYLRTEKPPAWAYSVSKVLLEKAACKFAEENNMSLVTVFPVFTLGAAPAPVARTSVPGILSLLSESSARYICCSFNTTVLALARFMAGRYPQYNVKTDRFDGMPEKPRVCCSSEKLIREGFEFKYTSMGDILDDLVERTYWSLEGTEVAGGTAMSAVGMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPDDMRKNSHLKELEALGTLKVFRADLDEDGSFDEAVNGCDYAFLVAAPVNLQSENPEKELVEAGVRGTLNVMRSCVRAGTVKRVILTSSAAAVSRRPLQGDDGHVLDLDESSWSDVDYLTREKPPSWAYGVSKVLLEKAACKFAEENNISLITVLPVFTLGAAPTPFTTTSIPTTLSLLSGDEAQLKNLKGLAATGSIPVVHVDDVCRAEIFLAEESASGRYICSSLSTTVMALARLAAAKYPQYNVQTECFEGFPEKPRVCYSSEKLTREGFEFKWTDLDEIFGDLVEYGKALGILPH >OGLUM04G26240.1 pep chromosome:ALNU02000000:4:29306975:29310566:1 gene:OGLUM04G26240 transcript:OGLUM04G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVEMKTACVTGGNGYIASALIKMLLQKGYAVNTTVRNPGDDMKKTSHLKDLEALGPLEVFRADMDEEGSFDDAVAGCDYAFLVAAPVNFQSENPEKEMIEAGVQGTMNVMRSCVRAGTVKRVILTSSAAAVSGRPLQGDGHVLDEDSWSDVEYLTREKPPAWVTFYLFLSLHLIPTIFLIMHRNPFSISTRTNVTNRST >OGLUM04G26240.2 pep chromosome:ALNU02000000:4:29307771:29310566:1 gene:OGLUM04G26240 transcript:OGLUM04G26240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAACEFAEENNISLVTVFPVFTLGAAPTPTAATSVSAMLSLLSGDETQLKTLKGLAATGPIPTVHVDDLCRAEVFVAEKESASGRYICSSLSTTVMAFARFVAGKHPRYNVKTDGFQGFPEKPRVCYSSEKLVREGFEFKWTDLDEVFDDLIEYGKVLGILPQ >OGLUM04G26250.1 pep chromosome:ALNU02000000:4:29307159:29310161:-1 gene:OGLUM04G26250 transcript:OGLUM04G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVLRLLQMYLPDADSFSATNTSARQRSSTFSPDSKESIAETLVAAVGVGAAPKVNTGNTNVTQAGGFSLVRYSTSDQESSSRTWPSPCSGLPETAAADDVRITRFTVPALTHDLITFMDPGALVSWQPWKERNFWVFDSVLSSVPVVLESILSEGHLCILMSAEAIYPFPPVTHAVFISTADISSGGHAGYFDPSQSA >OGLUM04G26260.1 pep chromosome:ALNU02000000:4:29311736:29316327:1 gene:OGLUM04G26260 transcript:OGLUM04G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:organic cation/carnitine transporter4 [Source:Projected from Arabidopsis thaliana (AT3G20660) TAIR;Acc:AT3G20660] MSTEALLAGGGGEVRRRVSIDDALAWHAGEFGRWQLRHFVLVSSAWLLEALHTMVIIFADREPAMVCAAGDGRCGDRCAGATAAGTGWEWAGGRASSTVSEWGLVCGERYKVGLVQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNGVFGMLTAMAPNYWAYAALRLLTGFSAGSVGLCSFVLATEPVGPSRRGAAGMSTFYFFSGGIAALAGIAALFPTSWRMLYVVTSVPSLVFVVAVLPFVSESPRWYLVRRRADDAMRVVRAIASSNGRSIPDDVSLKLDDEGDDDNGAGAGKVVDSSASASGSIIDVFRSRTTRFRLVLSVVINLLASVVYYGLSLNVVNLKTNLYVSVLVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGISCSAGSLIAGAGAMRVARLACGVVGIFGMAATYNLLFIYTAELFPTAVRNAALGCTSQASQMGAILAPLVVVLGERVPFALFGVSGLVGGFLVFCLPETKNKPMYDTMAGLEEGEKTLLK >OGLUM04G26270.1 pep chromosome:ALNU02000000:4:29320017:29322296:1 gene:OGLUM04G26270 transcript:OGLUM04G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 9 [Source:Projected from Arabidopsis thaliana (AT4G03210) TAIR;Acc:AT4G03210] MASLAVVVVVVMVVCAATAGVAAAGKFDDVVEPSWANDHVVYEGDLLKLRLDSSSGGGFASKSKFLYGKATADLKLVAGDSAGVVTAFYLSSGGDKHNEFDFEFLGNVTGEPYLVQTNLYIDGVGNREQRIDLWFDPTADFHTYAVLWNPSQVVFLVDDTPIRVYENKNATAVVKGHHRHAAAANATSNATSASVPPFPSPQPMSVYSSIWNADDWATQGGRVKTDWSHAPFVATFRDVRVEGCAWAANATDSDAGEVARCTGSSWGKEGRYWWKEKDMEELTVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECASR >OGLUM04G26280.1 pep chromosome:ALNU02000000:4:29323684:29325514:-1 gene:OGLUM04G26280 transcript:OGLUM04G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVFRLLPLQSFLALAATVAAAASRIDHTLNSSNAVPYDGTRYEQVSGSPVFCEAKLGEIKKGCLSDNLWAYPDQAKPGKERSSTAVGSIHTEMNRAVNHALAHRLCVINQA >OGLUM04G26290.1 pep chromosome:ALNU02000000:4:29333582:29336929:1 gene:OGLUM04G26290 transcript:OGLUM04G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHQMMHAAPAAMYNGGGGTTSSHGVWWSNAVGVPAAATCSTTTELAGDTAWSSALAAGYDGMVADNGGKQAKSTTTASSESPGNNSSVTFQEPASIPDPAAVAAVPQPGLAGFTDWTQPFMNNGAGLHEFLQDGHHDMSASSLMNHSSNNLALQQAGHHHELLSSFGSDLLLSPTSPYGGFQSSLLRSLMEPTAKQQQQQPALAGLQQYHQYQQQMGHTPAAAAKFAQAVGARDSLQFTNDAPFWNPSAGFGMPAAVAVAAAAAQDQASVRSAKRSSPAPPRAAATLALKTAMEGVGDSSSVITKKETAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNGAHQVPHLKNSSPDKSKHGDISLKGRGLCLVPISSTFAVASEVPVELWTPFGANFIR >OGLUM04G26300.1 pep chromosome:ALNU02000000:4:29339983:29343652:-1 gene:OGLUM04G26300 transcript:OGLUM04G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZR74] MAFATVFVLVFLISLCKSDDQLTPAKPLYPGDMLISDGGVFALGFFSPTKSNATLYVGIWYHKIPNRTVVWVANRDNPITAPSSAMLFISNSSDLVLSESGGHTLWEARNNITTGGSGATVVLLNSGNLVLRSPNHTILWQSFDHLTDTILPGMKLLLKYNGQVAQRIVSWKGHDDPSTGNFSLSGDPNSDLQVFLWHGTSPYWRSGAWNGALVFATYQSNTSSVTYQTIINKGNEIYMMYSVSDDSPSMRLTLDYTGTLRRLIWNSNLFAWSVLFSTAAYTCERYASCGPFGYCDAAEAFPTCKCLDGFKPNGLNISRGCVRKEQMKCSYGDSFLTLPGMKTPDKFLYIRNRSLDECMEECRHNCSCTAYAYANLSSASMMGDTSRCLVWMGELIDLAKVSGDGENLYLRLPSPTAVKKETDVVKIALPVVASLLILTCICLRSKEIQNKIMVQYLSASNELGAEEVDFPFIAFEDVVTATNNFSSYNMLGKGGFGKVYKGILEGGKEVAVKRLSKGSGQGIEEFRNEVVLIARLQHRNLVKLVGCCIREDEKLLIYEYLPNKSLDAFLFDATRKTVLDWPNRSKIVKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAEMSPKISDFGMARIFGGNQQQANTTRVVGTYGYMSPEYAMEGVFSVKSDIYSFGILLLEIISGFRISSPHLIMGFPNLIAYSWSLWKDGNARDLVDSSVVESCPLHEVLRCIHIALLCIQDHPDDRPLMSSVVFMLENNTAPLPQPKQPIFFVHKKRATEYARENMENSVNGVSITALEGR >OGLUM04G26310.1 pep chromosome:ALNU02000000:4:29344629:29349452:1 gene:OGLUM04G26310 transcript:OGLUM04G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAEDDDEGVVIGLSRLATQTYVRSDSEMLWYHMPRKKLWLEAVGEKKPSAKTLPLETSTSPGEISRFACVSWSSPLQEEMRNSKEMKTGRQAIIW >OGLUM04G26310.2 pep chromosome:ALNU02000000:4:29341599:29348503:1 gene:OGLUM04G26310 transcript:OGLUM04G26310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGINHNVEAYDGRGMINSTSWARKPEVQILTITTDLCQVYKLPHPNQAPRCVTHHAGTAQVRVSICCARAVVPALFHALIKASVPDVQELVRRFHARQGQETISITTFHLLLSHTSS >OGLUM04G26310.3 pep chromosome:ALNU02000000:4:29348711:29349569:1 gene:OGLUM04G26310 transcript:OGLUM04G26310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAEDDDEGVVIGLSRLATQTYVRSDSEMLWYHMPRKKLWLEAVGEKKPSAKTLPLETSTSPGEISRFACVSWSSPLQEEMRNSKEMKTGRQAIICYDIRVS >OGLUM04G26320.1 pep chromosome:ALNU02000000:4:29344496:29359375:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTLVDSGNELYYSYTVSDSSIYTRLTLDSTGAMMFLSWDNSSSSWTLIFQCPAAGSCKVYGSCGPFGYCDFTGTVPACRCLDGFEPVDPSISQSGCRRKEELRCGEGGHRFVLLPDMKVPDKFLHIRNRSFDQCVAECSSNCYCKAYAYANLSGGGTMADPSRCLVWTGELVDSEKKASLGENLYLRLAEPPVGKKNRLLKIVVPITVCMMLLTCIVLTWICKHRGKQNKEIQKRLMLEYPGTSNELGGENVKFPFISFEDIVAATDNFCESNLLGRGGFGKVYKGGIDDNMKGILEGGTEVAVKRLNEGSGQGIEEFRNEVVLIAKLQHRNLVRLLGCCIHEDEKLLIYEYLPNKSLDAFLFDATRKYVLDWPTRFKIIKGIAKGLLYLHQDSRLTIIHRDLKASNILLDTEMNPKISDFGIARIFHGNQQQANTTRVVGTYGYMSPEYVLGGAFSVKSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYVNTLNADNFDTKLNFSSQQHFGTAGISNFGQNFILQAWRLWKDGNATELLDKCIHVGLLCVQDHPNDRPSMSSVVFMLENESMLLPAPKQPVYFEMKNHGTQEATEESAQGIAYKFANTDCSAFQGIYKRENMTTLHVVIFMFLISFCQSDDRLTPAKPPIFPGGDKLISDGGVFALGFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGRTIWTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYKWSQIVDNGEEIYAIYNAADGILTHWKLDCTGNVSFRAWNNVSSTWTSPFERPSHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAVNNKNIVKIVLPAIACLLILTACSCVVLCIRRNKEILKKTELGYLSAFHDSWDQNLEFPDISYEDLTSATNGFHETNMLGKGSFGKGTLEDGMEVAVKWLNKDSEQGVEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFDHAMKSVIDWPTRFNIIKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNSEQQASTNRVVGTYGYMAPEYAMEGIFSVKSDTYSFGVLLLEIVSGLKISSPHYIVMDFPNLIAYAWNLWKDGMAEAFVDKMVLDSCLLNEVLQCIHIGLLCVQDSPNARPHMSLVVSMLDNEDMARPIPKQPIYFVQRHYDEEERQGSEIFISLLFLISSCKGDDQLTQANRLISPGDVLVSKGKVFALGFFSPTASNQSFFLGIWYHNISESERTYVWVANRDNPITTPSSSSSATLAISNSSNLTTNVTATGGDGAYAALLDSGNLVLLLPNGTTIWQSFDHPTDTLLMGMRFLVSYKAQVAMRFIAWKGPDDPSTGDFSASGDPSSNLQVFLWNGTRPYVRFIGPNNMWSSVFPYSSSLIYETSVSTDDEFYVRYTTSDGSSYKRLQLDYTGTLKFLAWNNSSSSWTVVFQRPAPAVECDPYASCGPFGYCDATAAIPRCQCLDGFEPDGSNSSSRGCRRKQQLRCGDRDDRFVTMAGMKIPDKFLHVRNRSFDECAAECSRNCSCTAYAYANLTGADQARCLIWSGELADTGRANIGENLYLRLADSTVNKKKSDILKIVLPVITSLLILMCICLAWICKSRELPFICLEDIVTATNNFSDHNMLGKGGFGKVYKGVLEGGKEVAIKRLSKGSQQGVEEFRNEVVLIAKLQHRNLVRLISYCLHEDEKLLIYEYLPNKSLDTFLFDATRKSVLDWTTRFMIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTNMSPKISDFGMARIFEGNKQQENTTRVVGTYGYMSPEYALEGSFSVKSDTYSFGVLLLELAWSLWKDGNAMDLVDSSIRESCLLHKVLRCIQIALSCLQDDPTARPLMSSIVFMLENETASLPTPKEPAYFTARLYGTKDTRENKERSVNNVSITALEGPPPDGKEPRRLRRCRIQDSPTDRSCKLSSTRRQIRFHPAAAAATATARAISDESEVGWM >OGLUM04G26320.2 pep chromosome:ALNU02000000:4:29344496:29353802:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADTDSMLMRCTLSRDKARDNSYLQYAAAKCIRRNKEILKKTELGYLSAFHDSWDQNLEFPDISYEDLTSATNGFHETNMLGKGSFGKGTLEDGMEVAVKWLNKDSEQGVEQFRNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFDHAMKSVIDWPTRFNIIKGVARGLLYLHQDSRMMIIHRDLKTSNILLDAEMNPKISDFGMARIFGNSEQQASTNRVVGTYGYMAPEYAMEGIFSVKSDTYSFGVLLLEIVSGLKISSPHYIVMDFPNLIAYAWNLWKDGMAEAFVDKMVLDSCLLNEVLQCIHIGLLCVQDSPNARPHMSLVVSMLDNEDMARPIPKQPIYFVQRHYDEEERQGSEIFISLLFLISSCKGDDQLTQANRLISPGDVLVSKGKVFALGFFSPTASNQSFFLGIWYHNISESERTYVWVANRDNPITTPSSSSSATLAISNSSNLTTNVTATGGDGAYAALLDSGNLVLLLPNGTTIWQSFDHPTDTLLMGMRFLVSYKAQVAMRFIAWKGPDDPSTGDFSASGDPSSNLQVFLWNGTRPYVRFIGPNNMWSSVFPYSSSLIYETSVSTDDEFYVRYTTSDGSSYKRLQLDYTGTLKFLAWNNSSSSWTVVFQRPAPAVECDPYASCGPFGYCDATAAIPRCQCLDGFEPDGSNSSSRGCRRKQQLRCGDRDDRFVTMAGMKIPDKFLHVRNRSFDECAAECSRNCSCTAYAYANLTGADQARCLIWSGELADTGRANIGENLYLRLADSTVNKKKSDILKIVLPVITSLLILMCICLAWICKSRELPFICLEDIVTATNNFSDHNMLGKGGFGKVYKGVLEGGKEVAIKRLSKGSQQGVEEFRNEVVLIAKLQHRNLVRLISYCLHEDEKLLIYEYLPNKSLDTFLFDATRKSVLDWTTRFMIIKGIARGLLYLHQDSRLTIIHRDLKASNILLDTNMSPKISDFGMARIFEGNKQQENTTRVVGTYGYMSPEYALEGSFSVKSDTYSFGVLLLELAWSLWKDGNAMDLVDSSIRESCLLHKVLRCIQIALSCLQDDPTARPLMSSIVFMLENETASLPTPKEPAYFTARLYGTKDTRENKERSVNNVSITALEGPPPDGKEPRRLRRCRIQDSPTDRSCKLSSTRRQIRFHPAAAAATATARAISDESEVGWM >OGLUM04G26320.3 pep chromosome:ALNU02000000:4:29353843:29363816:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMACFPVFIFLPLIFSFCKCDDQLTQAKKLYPGDMLVSQSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKARVTMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNIVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLTIPRCQCLDGFEPSDFNSLRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCSHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLVRKWQSKGKRRNNKNQNRMLLGNLRSQELIEQNLEFSHVNFEYVVAATNNFSDSNILGKGGFGKVYKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPMLDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHVVGTYFGVLLLEIVSGLKISSSKLTPNFFSLTAYAWRLWKDGNATELLDKCIHVGLLCVQDHPNDRPSMSSVVFMLENESMLLPAPKQPVYFEMKNHGTQEATEESAQGIAYKFANTDCSAFQGIYKRENMTTLHVVIFMFLISFCQSDDRLTPAKPPIFPGGDKLISDGGVFALGFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGRTIWTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYKWSQIVDNGEEIYAIYNAADGILTHWKLDCTGNVSFRAWNNVSSTWTSPFERPSHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAGLCRLMMFSLAIVPTLSMNMTINVILQ >OGLUM04G26320.4 pep chromosome:ALNU02000000:4:29353843:29363816:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMACFPVFIFLPLIFSFCKCDDQLTQAKKLYPGDMLVSQSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKARVTMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNIVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLTIPRCQCLDGFEPSDFNSLRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCSHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLVRKWQSKGKRRNNKNQNRMLLGNLRSQELIEQNLEFSHVNFEYVVAATNNFSDSNILGKGGFGKVYKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFGTPNHIFIFPLSLLRCLNNFKSCFILFMVDDSKKPMLDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYVNTLNADNFDTKLNFSSQQHFGTAGISNFGQNFILQAWRLWKDGNATELLDKFFVDSYPLHEAFRCIHVGLLCVQDHPNDRPSMSSVVFMLENESMLLPAPKQPVYFEMKNHGTQEATEESAQGIAYKFANTDCSAFQGIYKRENMTTLHVVIFMFLISFCQSDDRLTPAKPPIFPGGDKLISDGGVFALGFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGRTIWTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYKWSQIVDNGEEIYAIYNAADGILTHWKLDCTGNVSFRAWNNVSSTWTSPFERPSHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAGLCRLMMFSLAIVPTLSMNMTINVILQ >OGLUM04G26320.5 pep chromosome:ALNU02000000:4:29353843:29363816:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMACFPVFIFLPLIFSFCKCDDQLTQAKKLYPGDMLVSQSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKARVTMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNIVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLTIPRCQCLDGFEPSDFNSLRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCSHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLVRKWQSKGKRRNNKNQNRMLLGNLRSQELIEQNLEFSHVNFEYVVAATNNFSDSNILGKGGFGKVYKGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPMLDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYVNTLNADNFDTKLNFSSQQHFGTAGISNFGQNFILQAWRLWKDGNATELLDKFFVDSYPLHEAFRCIHVGLLCVQDHPNDRPSMSSVVFMLENESMLLPAPKQPVYFEMKNHGTQEATEESAQGIAYKFANTDCSAFQGIYKRENMTTLHVVIFMFLISFCQSDDRLTPAKPPIFPGGDKLISDGGVFALGFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGRTIWTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYKWSQIVDNGEEIYAIYNAADGILTHWKLDCTGNVSFRAWNNVSSTWTSPFERPSHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAGLCRLMMFSLAIVPTLSMNMTINVILQ >OGLUM04G26320.6 pep chromosome:ALNU02000000:4:29353843:29363816:-1 gene:OGLUM04G26320 transcript:OGLUM04G26320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMACFPVFIFLPLIFSFCKCDDQLTQAKKLYPGDMLVSQSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWIANRDKPITAPSSAMLAISNSSNFVLSDLEGHTFWTTMANINTRGDRAYAVLLDSGNLVLRLPDNTTAWQSFDHPTDTLLPNMKFFLRYKARVTMRLVAWKGPNDPSTGDFSYHSDPRSNLQAFIWHGTKPYYRFIALSLNIVLVSGEAYGSNIATLMYKSLVNTGDELYIMYTTSDGSPYTRIKLDYMGNMRFLSWNGSSSSWTVISQQPAAAGDCNLYASCGPFGYCDFTLTIPRCQCLDGFEPSDFNSLRGCRRKQQLGCGGRNHFVTMSGMKLPDKFLQVQNRSFEECMAKCSHNCSCMAYAYAYGNLTKADTMSDQSRCLLWTGDLADMARASLGDNLYLRLADSPGHTSEDKKKNRYLVVVLVTIIPCLLMLTCIYLGKLEGGREVAVKRLNTGCTQGIEHFTNEVVLIAKLQHKNLVRLLGCCIHGDEKLLIFEYLRNKSLDYFLFDDSKKPMLDWQTRFNIIKGVARGLVYLHQDSRMRVIHRDLKASNILLDEEMSPKISDFGMARIFGGNQHQANTKHSDTYSFGVLLLEIVSGLKISSSKLTPNFFSLTAYVNTLNADNFDTKLNFSSQQHFGTAGISNFGQNFILQAWRLWKDGNATELLDKFFVDSYPLHEAFRCIHVGLLCVQDHPNDRPSMSSVVFMLENESMLLPAPKQPVYFEMKNHGTQEATEESAQGIAYKFANTDCSAFQGIYKRENMTTLHVVIFMFLISFCQSDDRLTPAKPPIFPGGDKLISDGGVFALGFSLTTTNSTPSLLYLGIWYNNIPERTYVWVANRDNPITTHTARLAVTNTSGLVLSDSKGRTIWTTANTVTIGGGGATAVLQNTGNFVLRLPDHPTDTILPGLPGFKLWTNYKNHEAVRVVAWRGRRDPSTGEFSLSGDPDQWGLQIVIWHGASPSWRSGVWNGATATGLTRYKWSQIVDNGEEIYAIYNAADGILTHWKLDCTGNVSFRAWNNVSSTWTSPFERPSHGCLHYGACGPFGYCDITGSFQECKCLDGFEPADGFSLNSSRGCRRKEELRCGGQDHFFTLPGMKVPDKFLYIRNRTFEECADECDRNCSCTAYAYANLRTILTTGDPSRCLVWMGELLDSEKAGAVGENLYLRLAGSPAGLCRLMMFSLAIVPTLSMNMTINVILQ >OGLUM04G26330.1 pep chromosome:ALNU02000000:4:29351419:29354022:1 gene:OGLUM04G26330 transcript:OGLUM04G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCRAESDPVGPQVQNPSVWSSDDGEEGTDHRAGSGGGANREGGSSATANATPRAREVARRRRQRRCCQARELVFPRVRGADVSRIHHIVAGQAFGVAGALWRAGESKVQVLTNGTGFLRVEKLPHPYQAP >OGLUM04G26330.2 pep chromosome:ALNU02000000:4:29351419:29354022:1 gene:OGLUM04G26330 transcript:OGLUM04G26330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCRAESDPVGPQVQNPSVWSSDDGEEGTDHRAGSGGGANREGGSSATANATPRAREVARRRRQRRCCQARELVFPRVRGADVSRIHHIVAGQRKHHETAQTCRRAGESKVQVLTNGTGFLRVEKLPHPYQAP >OGLUM04G26340.1 pep chromosome:ALNU02000000:4:29354813:29355566:1 gene:OGLUM04G26340 transcript:OGLUM04G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVFAVVQIVRPLESDSTSPEVLVTASLAVWVVIGLSRLGVELVVVREKPRAKTPPSEMSLSPPGKMGGFAGCSTKQVGKHRAFASLQENHLQRQYGRQAIYQHN >OGLUM04G26350.1 pep chromosome:ALNU02000000:4:29355655:29359058:1 gene:OGLUM04G26350 transcript:OGLUM04G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRRGRCFTGQRWRGIGMGRGEGIPEQIRPTRGSARRRYRFSPRLAFFSESTSSPVQTKHLDGSAMVPPPLKLA >OGLUM04G26360.1 pep chromosome:ALNU02000000:4:29359940:29362846:1 gene:OGLUM04G26360 transcript:OGLUM04G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSISLGKIGFPSVSWSSLEFNSLAVTSSEDQEKWEEMVCIPGESANRRYRLSPRLALAMSARSPGASFQTWSRNGSYLRIPTVAFFDILSKS >OGLUM04G26360.2 pep chromosome:ALNU02000000:4:29359940:29362920:1 gene:OGLUM04G26360 transcript:OGLUM04G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQLWLHLAMHSSKLLFWTCKNLSGSFIPDMVTKWFLPPHPNCCFLRHPLKELKSLGSNPSRHWHLGMVNVKSQ >OGLUM04G26370.1 pep chromosome:ALNU02000000:4:29364477:29392144:-1 gene:OGLUM04G26370 transcript:OGLUM04G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIINVPRSTLRSFWSSGLFGNNVNAKIFQSESNTPLESGVVLFWPGSFAVPGKATRKQKNQDLMRFRAKVWKEKEDECKNLGGWCGRNGTEEFPTPKLEGEAKLLPCFCPKKKNKGQMNMAYLPVNRLISPSGMLVSKSGVFALGFFSPATSNQSLFLGIWYNNIPERTYVWVANRDNPITTPSSAMLAISNSSDLVLSDSKGRTVWTTMTNVTGGDGAYAVLLDSGNLVLRLSNNATIWQSFDHPTDTILSNMKILLRYKEQVGMRLVAWKGLDDPTTGDFSCSGDPSSDLQVFVWHGTKPYYRSIVLDSVWVSGKAYGSSTSFMYQTYVNTQDEFYVIYTTSDGSPYMRIMLDYTGTFRLLSWNVNSSSWAIYSQRPAAIGDCDPYGSCGPFGYCDFTSVIPRCQCPDGFEPNGSNSSSGCRRKQQLRCGEGNHFMTMPGMKLPDKFLYVQDRSFDECAAECSRNCSCTAYAYTNLTITGSPGTTASQSRCLLWVGELVDMARNNLGDNLYLRLADSPGEKRNNENQNRAMLGNFRASHEVYEQNQEFPCINFEDVVTATNNFSDSNMLGEGGFGKVYKGKLEGGKEVAVKRLSTGSTQGVEHFTNEVVLIAKLQHKNLVRLIGCCIHGDEKLLIYEYLPNKSLDHFLFDPASKFILDWPTRFKIIRGVARGLLYLHQDSRLTIIHRDLKTSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMDGVFSVKSDIYSFGVILLEIVSGLKISLPQLMDFPNLLAYAWRLWKDDKTMDLMDSSIAESCSKNEQPPTFSTLASPSSSWSSFLSEHLPPGVASDTLSNGRNLTDGNTLVSAGGSFTLGFFSPGLPTRRYLAIWFSESADAVWVANRDSPLNDTTGVLVIDGTGGLLLLDGAGKVAWSSNTTGKSSSAMAAQLLESGNLVVRERDQNTGIIIWQSFDHPSNTQIAGMRLGNNPQTGAEWFLTSWRAHDDPATGDCRLVMDTRGLPECVMLCGGAKKYRTGPWNGLRFSGVPEMASYASIFSSQAQVVVRPDEIAYVFNAAAPFSRLVLNEVGVTERLVWDPSSKGWIPYMQAPRDVCDHYAKCGPFGLCNEDTASTLFCSCMAGFSPVSPSQWSMRDTSGGCRRNAPLECGNGSTTDGFVPVRGVKLPDTDNATVDMGATLDECRARCLANCSCVAYDAADISGRGCVMWIGDMVDVRYVDKGQDLHVRLAKSELVNNKKRTVVKIMLPLTAVCLLLMMSIFLVWLYKCRGKRHQNKVVQKRGILGYSSASNELGDENLELPFVSFGEIAAATNNFSDDNMLGQGGFGKVYKGMLDDGKEVAIKRLSKGSGQGAEEFRNEVVLIAKLQHRNLVRLLGYCIYGDEKLLIYEYLPNKSLDAFIFGTFTILIDHANKYVLDWPTRFKIIKGVARGLLYLHQDSRLTVIHRDLKPSNILLDVDMRPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIVSSLKISLPLLMDFPNLLAYAWNLWKNGRAMDLMDSSIAKSCSPTEVLLCIQIGLLCVQDNPNKRPLMSSVVFMLENETTTLSAPIQPVYFAHRAFEGRQTGENSSSLLEGRTKLGLPPIGGMPLPCLLDFVPTNLGMLGGNKEVAIKRLSKRSGQGVEEFRNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNKSLDYFLFGIFSVKSDTYSFGVLVLELISGSKISSPHLIMGFPNLIACAWSLWKNGKAEDLVDSIILQIYSLNEFLLCIHVGLLCLQEDPNARPLMSSVVAMLENEATTLPTPKQPAYFVPRNCMAGGAREDANKSVNSISLTTLQGR >OGLUM04G26380.1 pep chromosome:ALNU02000000:4:29386972:29391614:1 gene:OGLUM04G26380 transcript:OGLUM04G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDLTQSRAAAAAGGTGAAPRGGRRASGCGHGHGRRARVGWRQRGCCHAREQTASTGSIWGSSGCSGGRHGSRLRGEDGGPHGVTSRGAKLPVAGATVPPRREPLEAKG >OGLUM04G26390.1 pep chromosome:ALNU02000000:4:29404768:29405151:1 gene:OGLUM04G26390 transcript:OGLUM04G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVASGRWQMAAAAETCDGAVRECDVDDEEEVEEMALMGEAAAAAGETLMRRPTNCYAALDANKVSCNKRGQSYYQNCASQKAGNPYRRGCSAITRCARNTN >OGLUM04G26400.1 pep chromosome:ALNU02000000:4:29405445:29405753:-1 gene:OGLUM04G26400 transcript:OGLUM04G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMGAAGAAAGETGCRTLQRRLLDYLAARPEWRRSGGRDHVVLAHHPNGMLDARYKLWPCVFVLCDFGRYPPSVVGLDKDVIAPYRHVVPNFAGQRLRRLR >OGLUM04G26410.1 pep chromosome:ALNU02000000:4:29409041:29412656:1 gene:OGLUM04G26410 transcript:OGLUM04G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPGISPSAAIPRQTFRSSSGTRQGHITVSFCLIVSRCPVQHTCTTAPLLSMNTKDEFCLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGSNSTVNRKKKRHMVVNIVLPAIVCLLILTACIYLVSKCKSRGVRQNKEKTKRPVIQQLSTIHDLWDQNLEFPCISFEDITAATDSFHDTNMLGKGGFGKVYKGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTGATLDWLTRFNIIKGVARGLLYPHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >OGLUM04G26410.2 pep chromosome:ALNU02000000:4:29409041:29412656:1 gene:OGLUM04G26410 transcript:OGLUM04G26410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPGISPSAAIPRQTFRSSSGTRQGHITVSFCLIVSRCPVQHTCTTAPLLSMNTKDEFCLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGVRQNKEKTKRPVIQQLSTIHDLWDQNLEFPCISFEDITAATDSFHDTNMLGKGGFGKVYKGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFSMFDQSKEILLLDHTTGATLDWLTRFNIIKGVARGLLYPHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >OGLUM04G26410.3 pep chromosome:ALNU02000000:4:29409041:29412656:1 gene:OGLUM04G26410 transcript:OGLUM04G26410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPGISPSAAIPRQTFRSSSGTRQGHITVSFCLIVSRCPVQHTCTTAPLLSMNTKDEFCLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGVRQNKEKTKRPVIQQLSTIHDLWDQNLEFPCISFEDITAATDSFHDTNMLGKGGFGKVYKGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTGATLDWLTRFNIIKGVARGLLYPHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIVSGLKISSPHHLVMDFPNLIAYDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >OGLUM04G26410.4 pep chromosome:ALNU02000000:4:29409041:29412656:1 gene:OGLUM04G26410 transcript:OGLUM04G26410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPGISPSAAIPRQTFRSSSGTRQGHITVSFCLIVSRCPVQHTCTTAPLLSMNTKDEFCLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGVRQNKEKTKRPVIQQLSTIHDLWDQNLEFPCISFEDITAATDSFHDTNMLGKGGFGKVYKGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTGATLDWLTRFNIIKGVARGLLYPHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >OGLUM04G26410.5 pep chromosome:ALNU02000000:4:29409041:29412656:1 gene:OGLUM04G26410 transcript:OGLUM04G26410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHPPGISPSAAIPRQTFRSSSGTRQGHITVSFCLIVSRCPVQHTCTTAPLLSMNTKDEFCLKYTISDDSPYTRVMIDYMGNFRFMSWNSSLSSWTVANQLPRAPGCDTYGSCGPFGYCDLTSAVPSCQCLDGFEPVGSNSSSGCRRKQQLRCGDDHFVIMSRMKVPDKFLHVQNRNFDECTDECTRNCSCTAYAYTNLTATGTMSNQPRCLLWTGELADAWRDIRDTIAENLYLRLADSTGSNSTVNRKKKRHMVGTLEDGKEIAVKRLSKCSEQGMEQFRNELVLIAKLQHKNLVRLLGCCIHGDEKLLIYEYLPNKSLDKFLFNHTTGATLDWLTRFNIIKGVARGLLYPHQDSRMKIIHRDLKASNILLDGEMNPKISDFGMARIFGGNEQQESTRRVVGTYGYMSPEYAMEGTFSVKSDTYSFGILLLEIDSPNARPLMSFVVSMLENEDMPHPIPTQPIYFVQRHYESEEPREYSDKSVNNAELIYVQKLNRYSSNRIMIRDPHK >OGLUM04G26420.1 pep chromosome:ALNU02000000:4:29410408:29413363:-1 gene:OGLUM04G26420 transcript:OGLUM04G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEASARRSQPIRGVRAHRAGTGAAHPRRRSATASRRRGRSGGDVADDGAARRESERPPARTGRPDRGCRRWRLRELTEQASIGYRQHLLCPIMNKIQDC >OGLUM04G26420.2 pep chromosome:ALNU02000000:4:29410604:29413363:-1 gene:OGLUM04G26420 transcript:OGLUM04G26420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNEASARRSQPIRGVRAHRAGTGAAHPRRRSATASRRRGRSGGDVADDGAARRESERPPARTGRPDRGCRRWRLRELTEQARI >OGLUM04G26430.1 pep chromosome:ALNU02000000:4:29413439:29415321:1 gene:OGLUM04G26430 transcript:OGLUM04G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGHRCSRWSEAAECASTPVRCSAAARRSHRSRGHRDRGGAESRTSWGWFVRHCDGSGTPRGGGGFAALQPRRGTASGCGNGSASAYFPIPGSENTCLTEWWLQARTYFRKCYRTNFDSACMLICWQIWKERNARVFDQRSRSPNQLAEAIKEEILFAGLWFLPQSNSTPVVASENYLYFDFIPPNLNKIWSPVPSADPAKRGTASADALLGKRRRRSRRRRLRGNRSRRATSGRDVVSPRRFRFRRAISTPLECRIIESTKSSAFPSFHKLQA >OGLUM04G26440.1 pep chromosome:ALNU02000000:4:29415015:29418028:-1 gene:OGLUM04G26440 transcript:OGLUM04G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRA2] MNMACLPFLICLLLISFCKCDDQLIQAKQLHPGDVLGSKSGVFALGFFSPGTSNKSLYLGIWYHNIPQRTYVWVANRDNPISTPSSSVMLAISNSSNLVLSDSEGRTLWTTNITITGGDGAYAALLDTGNLVLQLPNETIIWQSFDHPTDTILPNMKFLLRYKAQVSRRLVAWKGPNDPSTGEFSLSGDPSLDIQAFIWHGTKPYYRFVVIGSVSVSGEAYGSNTTSFIYQTLVNTQDEFYVRYTTSDGSANARIMLDYMGTFRFLSWDDSSSSWTVRLQRPASTIDCYTYASCGPFGYCDAMLAIPRCQCLDGFEPDTTNSSRGCRRKQQLRCGDGNHFVTMSGMKVPDKFIPVPNRSFDECTAECNRNCSCTAYAYANLTIAGTTADQSRCLLWTGELVDTVRTGFGDDQNLYLRLAYSPGYTSEANKKNKKVVKVVVPIIACLLTFTSIYLVRKWQTKGKQRNDENKKRTVLGNFTTSHELFEQKVEFPNINFEEVATATNNFSDSNMLGKGGFGKVYKGKLEGGKEVAVKRLGTGSTQGVEHFTNEVVLIAKLQHKNLVRLLGCCIHGEEKLLIYEYLPNRSLDYFLFDDSKKSMLDWRTRFNIIKGVARGLVYLHQDSRMTIIHRDLKASNILLDEEMSPKISDFGMARIFGSNQHQANTKHVVGTYGYMSPEYAMEGIFSVKSDTYSFGVLVLELISGSKISSPHLTMDFPNLIARAWSLWKDGNAEDFVDSIILESYPISEFLLCIHVGLLCVQEDPSARPFMSSVVAMLENETTARPTPKQPAYFVPRNYMAEGTRQDANKSVNSMSLTTLQGR >OGLUM04G26450.1 pep chromosome:ALNU02000000:4:29418799:29422875:-1 gene:OGLUM04G26450 transcript:OGLUM04G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRA3] MSSMFLIILSCMLLLSNSGRTTTGAELGDTLGKGRNITDGERLVSAGGSFTLGFFSPASSSSSSTSRRYLGIWFSVSDDVVCWVANRDRPLTDTSGVLVITDAGSLLLLNGSGHVVWSSNTTTGGGASMAAQLLESGNLVVSDRGNGGAGAGTGAVVVWQSFDHPCDTLLPGMKIGKNLWTGAEWYLSSWRSAGDPSPGNYRYRTDTKGVPENVLWDGDGEVYRTGPWNGLWFSGIPEMETYSDMFSYQLTVSPGEITFGYVANASAPFSRLVVTGVGEVQRLVWEPSSRAWKNFFQGPRDLCDDYGKCGAFGLCDADAASTSFCSCVEGFTPASPSPWKKMRDTSAGCRRDAALGCATDGFLAVRGVKLPDAHNATVDARVTVEECRARCLANCSCVAYAPADIGGGGGGGAGSGCIIWADDLVDLRYVDGGQDLYVRLAKSELGKDGIRQRRPPAAVVIGASIASVVGVLLIILLVLLYVIRRRQRPRVSDDDAGVPAATAAVHARPNPALAALSINLSSVKEATGNFSESNIIGRGGFGIVYQGKLPSGRKVAVKRLTQSLVTDKRKEDFIREVEMMSNTRHAYLVELLCYCQEGGEMILVYEYMENMSLDLYIFELTGEDCRLRASLNWVQRLEIISGIAIGVEYLHNVKVIHRDLKPSNILLDGNWRPKVADFGTAKLFINDQTDPTLVLSAGYIAPEYAAQGNLTLKCDVYSFGVVLLEIISGKRNRTLPTFLRDTWESWKQHEIEDILDSGLIKPEPDLLLGLDRCIQIGLLCVQQSPDDRPTMNQVVSMLTKYSSQIAVPKNPMINSRCEPSVSQVVSDTEPVSHDRPGPSLN >OGLUM04G26460.1 pep chromosome:ALNU02000000:4:29424041:29429957:-1 gene:OGLUM04G26460 transcript:OGLUM04G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATTGICLVDVILFSFFLVAPRAFAAAAAVTDTLCGGRNITDGETLVSADGTFTLGFFSPGVSAKRYLGILFTVSPDAVCWVANRDSPLNVTSGVLAISDAGSLVLLDGSGGGHVAWSSNSPYAASVEARLSNSGNLVTVSPGEISYGYVSKPGAPLTRSVVLDTGVVKRLVWEATSRTWQTYFQGPRDVCDAYAKCGAFGLCDANAPSTSFCGCLRGFSPTSPAAWAMKDASGGCRRNVPLRCGNTTTTDGFALVQGVKLPDTHNASVDTGITVEECRARCVANCSCLAYAAADIRGGGGGSGCVIWTGDIVDLRYVDQGQDLFLRLAQSELDEGRSRKFHFLAVISPISATIIMLVLLLAIRCRGKHKISEGIPHNPATTVPSVDLHKVKAATGNFSQSHVIGQGGFGIVYKGQLPDGRVIAVKRLHQSTLTKKGKKDFTREVEVMARLRHGNLLRLLAYCSEGSERVLIYDYMSNRSLDLYIFGDSGLRLLLNWRKRLDIIHGIANGIAYLHEGSGECVIHRDLKPPNVLLDDSFRPKIADFGTAKLLVADQPEPSNLTVVVSPGYASPEYAWRGEMTLKCDVYSFGVVLLETLSGQRNGPMYSLLPHAWELWEQDRVMSLLDATIGLPLSVSGPDHTKMEDELARCVQIGLLCVQDAPEERPAMSAVVAMLTSKSSRVDRPKRPGVHGGRSRPPLRESELLGATDIDDDLT >OGLUM04G26470.1 pep chromosome:ALNU02000000:4:29495998:29503786:-1 gene:OGLUM04G26470 transcript:OGLUM04G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLGCIRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFVETKRSLIVLVVPPVAATIAILLIAFGVWAIWCKKNHGILDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >OGLUM04G26470.2 pep chromosome:ALNU02000000:4:29495998:29503786:-1 gene:OGLUM04G26470 transcript:OGLUM04G26470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFLGCIRRIQAPRLITKEAGPPADEAIFRSDSVKSAVLSSPLVEFSTIYSATNNFSNKLGGGGFGFVYKGVLPDGQEIAVKRLSNRSSQGLEEFKNEVIVLSKLQHRNLVRLFGCCVHGEEKMLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFDVIPDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >OGLUM04G26470.3 pep chromosome:ALNU02000000:4:29495996:29502842:-1 gene:OGLUM04G26470 transcript:OGLUM04G26470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYEYMPNKSLDSFIFDESKRLIFGWKLRYKIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDDFNPKISDFGMARIFGEHQLQALTHRIVGTYGYISPEYAMEGKFSEKSDIFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTICSYDEVCRCIQVGLLCVQELPGDRPSMPLVLRMLSGDVTLPAPKQAAFFVGRVPLDDNNTGSGNQLTQSSNLLRLVAFFFLLSGQTSAAAAAGVADKLDKGQNLTDGQTLVSSGGGSYTLGFFSPGKSTKRYLGIWFTVSGDTVYWVANRDRPLDGKSGVLLLNDDGSQLVLLDGGSRRTVWSASFLAASAAVVQLLDSGNLVVRNGSGGDAYLWQSFDQPSDTLLPGMKMGKSLWSGQEWFITAWRSADDPSPGDYRRTLATDGLPELVLWRGGGGATKVYRTGPWNGRFFNGVPEASNYSDKFPLQVTSSAREVTYGYGSVATAGAAPLTRVVVNYTGVVERLVWDASSRAWQRFFQGPRDPCDSYARCGPFGLCDADAAATSFCGCVDGFTAASPSAWALRNTSGGCRRGVALDCAGGGGGSRTTDKFKVVRGVKLPDTRNASVDMGATAAECERRCLGNCSCVAYAAADINGGGCVIWTDDIVDLRYVDRGQDLYLRLAKSEFDVIPDNPSMGVASVNLATIKSITENFSENCLIGEGGFSTVYKGVQSDGRMVAVKRLKQSALTNKGKKDFAREVAVMAGLHHGSLLRLLAYCNEGNERILVYAYMKNKSLDNHIFGPLPRRANLHWRRRLDIIQAIAKGVAYLHEGPDGSVIHRDLKLSNILLDDELKPKIADFGTAKLFVADQSGQTLVVSQGYASPEYALRDEMTLKCDVYSFGVVLLETLSGVRNGSMQTLLPQAWRLWEQGNLMDLLDPAMARPAPDDAELLYDLERCIHIGLLCIQDMADDRPTMSEIVAMLTSRTSQMEQPKRPTLDSRAAMRPLRQSDVQGSTTTDLT >OGLUM04G26480.1 pep chromosome:ALNU02000000:4:29522573:29527679:1 gene:OGLUM04G26480 transcript:OGLUM04G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRAPIFRNISQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCRSNHDDDDRNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >OGLUM04G26480.2 pep chromosome:ALNU02000000:4:29522573:29527679:1 gene:OGLUM04G26480 transcript:OGLUM04G26480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLKSNGDPIAFQIQSNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPTTDQEAVKSFLGQVKKAREMNIDSWHIIMRMRAPQEGPCEPIAPLELPHSLHAFHRVSGSDSLNMEGYHTYRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQSTYAKLGLKQGWFAASLTHPSSRNISQLAKVRIMKRPGGQWEELKIPRSIRSIVCLNLPSFSGGLNPWGTPGTRKVQDRDLTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLRQVTMLASDPCKSKSVNDPSSPMCRSNHDDDDRNSLEDEDEWEEGRKKFGAADTFKFPDEVDIAHLS >OGLUM04G26490.1 pep chromosome:ALNU02000000:4:29528690:29528965:1 gene:OGLUM04G26490 transcript:OGLUM04G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRHMSRVGARAAQAVREGAGRSVKDKAQSATTSSAAARSGGGSRAPAAGSVEKGRVSAAAAARAAEEKRRRAEQSLRTVMFLSVWGPNT >OGLUM04G26500.1 pep chromosome:ALNU02000000:4:29529466:29530152:-1 gene:OGLUM04G26500 transcript:OGLUM04G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYASRVLLRAAAQAMRAARQPAPAVAKPVPAAKPSAVAPGASQRQQARRLSGGVAEPAEKAAVAERMRRRRREKSENVMQLNIQDEKGTCSILKFQDW >OGLUM04G26510.1 pep chromosome:ALNU02000000:4:29531350:29531643:-1 gene:OGLUM04G26510 transcript:OGLUM04G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRTLQQRGRQQAVAGTSGAKSGGGARALQPAAAAAARRKAQQQEEELRTVMYLSNWGPNN >OGLUM04G26520.1 pep chromosome:ALNU02000000:4:29537465:29542015:-1 gene:OGLUM04G26520 transcript:OGLUM04G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDLHPCFTPRSCSCSVLPTPCSLSLLPSLPLLHATFRVHPNAAARKAVEGCKPADPHHPMWEEAQADGCGLEAPFLSVPATFHGVGKKLPASAAAVAERRRAEKAEEGLRTVMYLSCWGPN >OGLUM04G26530.1 pep chromosome:ALNU02000000:4:29542385:29542645:-1 gene:OGLUM04G26530 transcript:OGLUM04G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >OGLUM04G26540.1 pep chromosome:ALNU02000000:4:29544610:29544873:-1 gene:OGLUM04G26540 transcript:OGLUM04G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRAAKANARAGVSRGKKLPASAAAVAERRRAEKAEEGLRTVMYISCWSTN >OGLUM04G26550.1 pep chromosome:ALNU02000000:4:29547137:29547397:-1 gene:OGLUM04G26550 transcript:OGLUM04G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAKASWMVAMSVGAVEALKDQGGLCRWNYALRSIHKAAKANAAGVSQGKKLPASAAAVAEKRRAEKAEEGLRTVMYISCWSTN >OGLUM04G26560.1 pep chromosome:ALNU02000000:4:29547813:29549233:1 gene:OGLUM04G26560 transcript:OGLUM04G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGLCKAHQLRPAALLSPRPLLRRASPCLPAAGAGGELRRASAASRLVVRRRCQEEEQQQQKEEEERSNGGGGDGEQEQRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGLVCDWFVKDKLKREQNVGSAVLQWDDPGF >OGLUM04G26570.1 pep chromosome:ALNU02000000:4:29549035:29551852:-1 gene:OGLUM04G26570 transcript:OGLUM04G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G13780) TAIR;Acc:AT5G13780] MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDVEAKYYADGEDAYDMRKPLRQPQPKKHHHHHHHHHGPGGCCSHDAPPAASGSSPPSSNSPEKKTDS >OGLUM04G26580.1 pep chromosome:ALNU02000000:4:29552114:29558090:1 gene:OGLUM04G26580 transcript:OGLUM04G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESNTLRVLVATDCHLGYMEKDEIRRFDSFEAFEEICSLAEQNKVDFVLLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTINFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGEIAVYPVLVKKGTTFVALYGLGNIRDERLNRMFQTPHAVQWMRPETQDGMSVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFIVWGHEHECLIDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLRSVRPFHYAEVVLKDEVDVDPNDQASVLEHLDKIVRNLIEKSSQPTASRPETKLPLIRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQTTGVGNIDDSEKLRPEELNQQTIEALVAENNLKMEILPVDDLDIALHDFVSKDDKMAFYACLQRNLEETRTKLNSEADKFKIEEEDIIVKVGECMQDTGGRSVTAQSNLNSFSDDEDTREMLLGARTTNAGRKASGFTRPSKDATDVAKTGTSRRGRGRGTASIKQTTLNFSQSRSSAAIRSEEVQSSSDEENETNEANEVVESSEPEESPQQTGRKRAAPRGGRGRGRGATAKRGRKADISSIQSMLMSKDDDDDDEDDRPKKPPPRVTRNYGAVRRR >OGLUM04G26590.1 pep chromosome:ALNU02000000:4:29558252:29561273:-1 gene:OGLUM04G26590 transcript:OGLUM04G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEREEEEAARSPPPKRPRRDGDAPPEPQPARVGLNPADCDLDFDVGGGGLRGEALHDGGFAYCWSGARATVGVRGGGKYCFGCKVVAEQAVEMEDTAADQQHLCRVGVSRGGDPVGALGETGHSFGFGGTGKFSHQGKFVEYGVKFGVGDTVVCAVDLDSKPLASIGFSRNGVWLGIAKHFDASDKGLGLVESPVSSMQWESAIFPHVLLKNVVVEMQFSKEDGLQLVDGYEPWPSACVDGNAVSGPVFAEQKECEIMMMVGLPASGKSTWAEKWIKEHKEKRFILLGTNLALEQMKVPGLLRKNNYGERFERLMDRATMIFNTLLTRAAKIPRNYIIDQTNVYKNARSRKLRPFANYRKTAVVVFPSPSELKVRAAKRFKEMGKDVPADAVNEMTANFVLPLSKDMPDSKEPFDEVIFVELSRDEAQRNLDEMKRLLPKASTPSYANFSNQNVSSTYSGTIAGTMPSLSPGYHRQMDSPYGSGVQTPRALTHQQAAWGVQGFQSPAGINHHQVHLSSYPNTPYQHQQIQSSHPSTPYQHQAQSTSYPSTPYQDQIHSSYPSNPTQHQIHLNYPSTPNQYQSHSTYPNTPFPGHGNSVYDSNGGPGPYNPNPYSMNTDMQQRIQAPIGDRNQSYAVVSNEAYGRSGYEAANSVGRPINTHPAVYNSGSGNYMPYMQHSHDVHNCGSQYSAPVPRPPYGAPPPNYMNPQTSIWHI >OGLUM04G26600.1 pep chromosome:ALNU02000000:4:29562959:29567290:1 gene:OGLUM04G26600 transcript:OGLUM04G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) TAIR;Acc:AT2G20810] MRSCERQVTRSKPVVEQSSRARRERQARQRDEGEAACLCRAAPREIWAEGKARRDATRRTRETKGKGRGGGDKNLRRTKRLPRPPRSRRRAVPCPALAPLDTGGAPARPRDPMRRRPFLDQRRPSSFKRRWQQRPWWFRLAVTLLLALTCLFLLLALRGSPDPDPVVLPSTDSSRSAATTSPLLHQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDHRGATVEIQKVEDFTWLNSSYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >OGLUM04G26600.2 pep chromosome:ALNU02000000:4:29562959:29567290:1 gene:OGLUM04G26600 transcript:OGLUM04G26600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:galacturonosyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT2G20810) TAIR;Acc:AT2G20810] MRSCERQVTRSKPVVEQSSRARRERQARQRDEGEAACLCRAAPREIWAEGKARRDATRRTRETKGKGRGGGDKNLRRTKRLPRPPRSRRRAVPCPALAPLDTGGAPARPRDPMRRRPFLDQRRPSSFKRRWQQRPWWFRLAVTLLLALTCLFLLLALRGSPDPDPVVLPSTDSSRSAATTSPLLHQRSYLDGVTDAFNMTDEMLSAHSFSRQLMDQISLAKTYLVVAKEANNLQFAAELSSQIRRAQSILAHAAAHGGTVTEQDAEKAIRDMSVLFFQAQQFRYDSAVTIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKTTELQRKFTERSPAVQSNLRDNSLYHYCVFSDNILAVSVVVNSTTLNSMHPEKIVFHLVTDEVNYAPMRAWFALNDHRGATVEIQKVEDFTWLNSSYVPVLKQLQDAATQNYYFSGSGNRGTPVKFRNPKYLSMLNHLRFYIPEIYPELRKVVFLDDDIVVQKDLSELFTINLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLVMWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEPLDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDNYVDYSHPLLQRCFTH >OGLUM04G26610.1 pep chromosome:ALNU02000000:4:29566013:29572154:-1 gene:OGLUM04G26610 transcript:OGLUM04G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPRAAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREALDSVFAQIVGADAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPQMVALIGMLLQMLSDLRPDAPSYKDLVMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDETNMAHVFAQDTGRVSIRGGMDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTVVPENSANPGDSAPAEEHHSYGNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELGTSKDSLSEANQNSTQAVELVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGKVTKVR >OGLUM04G26610.2 pep chromosome:ALNU02000000:4:29569263:29572154:-1 gene:OGLUM04G26610 transcript:OGLUM04G26610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAAAAAVSPLVAWPRAAPASRGGRRAARASAFHPDVSRAVESLQAEFREVDRALALNSARVSAAFHAAHVAPHHFGGSTGYGHDDGGGREALDSVFAQIVGADAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREVPQMVALIGMLLQMLSDLRPDAPSYKDLVMQNPNCMVMVDNCYGEFVETSEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGKKDLVAAAAARLSAPGLGVEFGSTPGHVMRALFQGLFLAPQMVGEAVKGGMLIAEVMSTKGYRVQPLPRVPRHDIVQAVELGNRERLIAFCEIVQQTCPVGSFIKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLSDETNMAHVFG >OGLUM04G26610.3 pep chromosome:ALNU02000000:4:29566013:29568270:-1 gene:OGLUM04G26610 transcript:OGLUM04G26610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKYRSRGYTMASSNNKRPPPYLLLLLLALGAAALSVGILHKMRERRVFSILLQERDQQLISLQALLQKEQEISKEMRRKMDELEAKTSVLSIERTELKNKLMDSETTTTYLTNTQKELEAALVEKEGHINQMKENAAASGPEQMAAIKELLQQKEAELEEIKTKLHDYKKSDTNISESILVGTNNENTTSDTVVPENSANPGDSAPAEEHHSYGNSASESNQDESTGASTNNENATVDTVVVDKYANSSDSTPATTEEPHPYNTTASESNPQENSSPEQHFIKLRTNREDDEPQDKTTGDANDNSNDALEGSHLGKSELPQWSPKLADSQDNSTEELDSTRQLENSQGEANYESRGSNLLEKEVEASNEVEPMKETSPETELGTSKDSLSEANQNSTQAVELVADPADVKPSMPIYNDETKETSKRRRRRKFRSRRKKRTNAAATNVDGKVTKVR >OGLUM04G26620.1 pep chromosome:ALNU02000000:4:29568314:29574540:1 gene:OGLUM04G26620 transcript:OGLUM04G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional nuclease i [Source:Projected from Arabidopsis thaliana (AT1G11190) TAIR;Acc:AT1G11190] MASDKCSSFLLAAAAAAVLVLASAPVAHSWSKEGHMLTCRIAQDLLEPAAAHAVRNLLTEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVREGETLSDDYFGSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >OGLUM04G26620.2 pep chromosome:ALNU02000000:4:29572499:29574540:1 gene:OGLUM04G26620 transcript:OGLUM04G26620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional nuclease i [Source:Projected from Arabidopsis thaliana (AT1G11190) TAIR;Acc:AT1G11190] MASDKCSSFLLAAAAAAVLVLASAPVAHSWSKEGHMLTCRIAQDLLEPAAAHAVRNLLTEEADGDLSALCVWPDQVRHWYKYRWTSPLHFIDTPDKACSFVYSRDCHGPDGAEDMCVAGAIANFTSQLMHYNHGSADRKYNMTEALLFLSHFMGDVHQPMHVGFTSDQGGNTINLRWFRHKSNLHHVWDREMILTAIAEFYGKDMDAFQKDLVHNFTTGTWSDDVSSWGDCEDLLSCSTKYATESINLACKWAYNDVREGETLSDDYFGSRLPIVTRRIAQGGVRLAMFLNRLFGEHNRDVASPA >OGLUM04G26630.1 pep chromosome:ALNU02000000:4:29575207:29579301:1 gene:OGLUM04G26630 transcript:OGLUM04G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVLGSKPADCFQFQDPNSWTCMTELVSDVVVEVGDFSFHLHKFPLMSRSGTLQKLISEAAAGADDGEPCSVELHDVPGGAAAFELAARFCYDVRAELDAGNVVALRCAAEHLGMTEDHGGEGNLVEQAEAFLRDVLGSWDDALRALRSCDGALLPLAEELLVVPRCIDALASKACADPTLFGWPMVEYYTARGLEETVMWNGIATAGKPRSPGPDWWYKQASSLKLPVYKRLITAMRSKGMSPENIAGSLTHYAKRHLSGLTRHSGYVGGGGASGTVLSDVEQRALLEEIVALLPVERGVATTRFLLGLLRTATILNAGAACRDALERMAGNQLEEAALEDLLIPNTGYAVETLYDVDCVQRMLEQFVAANTSAFAASPEITDEAQLVDGPSGELMPISTVAKLVDGYLAEVATDTNVKLSKFQSIAELVPDYARAIDDGIYRAIDIYLKAHSWLTASEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVAGWFFVADNVDQGSPIAAGRYAPERSGELDFGAGPPEEEDGDDDDDEARNNVRSSSSATMSVDDIRQRVVELEEECSSMREEIHRIGKPKGALSRLFRKLGLGGRSAARRQQQQPPPPPTSSGDERRKSMSLEC >OGLUM04G26640.1 pep chromosome:ALNU02000000:4:29580078:29587903:-1 gene:OGLUM04G26640 transcript:OGLUM04G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MYRPRTTQRNAALPPLHPPPPPSPACRRRRGREAETLRDDRSDVPLHPSPSAAARRTRGRRSPAMLRRCVRDLYPLRPLRRIPRPISSEVPSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNIPKVLLGTLMVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYEDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFKSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >OGLUM04G26640.2 pep chromosome:ALNU02000000:4:29580078:29587941:-1 gene:OGLUM04G26640 transcript:OGLUM04G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: mitochondrion; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Mitochondrial inner membrane protein Mit /.../ (InterPro:IPR019133); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G39690) TAIR;Acc:AT4G39690] MRVSAKVPSPAFLRPRSKSTKASQQSSTQNTVPGPQGEPSQSGSNIPKVLLGTLMVGAAAMAAYQAGYIDDQFKDIIFPSTMKEKNIRKIYEDLKAPSEQKVDEKQVVSDPNVDIVQNSNNEAHPQKDLPTEGMGPPEIPTTDEQTVSSEEKEKETLAQGTPQIPDEHGAAAKPLSQDIPVIDINPSVDDKATGEVLPEQTDKTTTSVSPVQSSLATAGPSHHVHTDTDGPKDPSSAGAVEHKSLAETYLLQEPDNSKDMGAKESKHDGVISTGTSDDGKIVLDIIEAIHAAERKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKSAAVIKELQENAEQKLRDELQQKDEETSQQVEKVRELAKAELAAALAKERASQIEQIAEANLNIDALCMAFYARSEETRQSHSVHKLALGTLALEDALSTGSPIRTEVDQLRKSLEGIDKDSLLELALSSIPEDVLEYGSDTPMDLKQKFKSLKETVRHFSLIPAGGGGMLTHAVAHVASSIKIKEDQSGDGIESLLNRVENLIIHGDLSAAAEALERGLQGSEAAEIASEWVKQARKRAIAEQTLTLLHSYASSITFS >OGLUM04G26650.1 pep chromosome:ALNU02000000:4:29588427:29591102:-1 gene:OGLUM04G26650 transcript:OGLUM04G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSAFSEEILAEKLAKLNNTQQCIESILYPPSFPRAKSSREFGCFFRALSHWCIYHRKNAEQIVQTWAKQFHSSGNEQKTPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDERGKKVVSRLVEIWQERRVFGSRAGGIKDVMLGTAPLPVLDMTKKRSHGSSIKIVKRDSRSVKLRLGVGGTAEKIVSALHTVLSEQADEDSDLEKCKTSMRRVGKMQKDVSSACSKGDDPRRETLCTELKEEEDSMTECIEKLKVVEANRATVVSELKEALQEQESELEKVRTQLQLAEAMVEEAANMQRKLKNEPVIPSSKPLSSVEPGKPLSNGQAKDQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRQDKRLKIEHSSQVPSVANAAAFVPMPQMTTTTAQQPQAILVQQTPMQNQPPAPQPQYNIYQAPPHQFVQQPGGVMMGMAYNMSTMAPPPPPPPQMMNLARPSPSTPQPPMGIMPQAQPPPPAPKMLQQQMPMNVGPPMQFALQQSGAPSFRPLQPPPGMQFFHPQSQ >OGLUM04G26660.1 pep chromosome:ALNU02000000:4:29593528:29596507:-1 gene:OGLUM04G26660 transcript:OGLUM04G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAFALHGSTPPPITSLTDHHTAARPGLSCAHHLPCPSCPRCPPLYPPLSLSRSSPFSAPPFLLCTSPLLSWSSPSCVLCSSLKKPSDLWFLAFLSFLNTAVQMAPLTPEAEAPGGGALLAAGDDVAAANLLAAAVATEGPVFDMPDFKMGGKKSDDAAPTDAGDEDGGDDDGDEDGDFGEGEEDVSEGEGYDNPKGIDNNKKRGEPEENGEEDEEEPEGQGGGGGDDDDDDDDDNEDDDDDDDGGEDDDGVDEEEEDQDNEDDEEDDDEDSLQPPKKRKK >OGLUM04G26670.1 pep chromosome:ALNU02000000:4:29600202:29601749:-1 gene:OGLUM04G26670 transcript:OGLUM04G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRKADPAESPVAASEAGAGTNHHQETPSSELKPRGTIYFPITDDPPEPSAEGGAEGEDGAGGDDDEEDIAKLLEPLSREQLVALLRTAAETTPATMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPTSQSQNPSSNTNANSGAANNAGSASSSQPDNMQRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKTTGKPKGFALFVYKSVESARRALEEPTRNFDGKMLNVQKAIDGRTKNTPGMNANSNPSGTAASAAAAAAAAQMTAPATAAITPYDASAYGATAVPDLGYAQQAAMLGLGAQQQAFAQPNAAMLAMMAAAMQNPAMLATLNPAFAAAALGAGGQQVHAAGIPGFGAQGFGTQGFAAGAAAFPNAAGVQAPPGFQGPPGFQTSAGFQVGQAASQAGTAAAAAAGAAGYQAAAAGQGQVPGTQIGGAGFQGGF >OGLUM04G26680.1 pep chromosome:ALNU02000000:4:29611203:29619893:1 gene:OGLUM04G26680 transcript:OGLUM04G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >OGLUM04G26680.2 pep chromosome:ALNU02000000:4:29611151:29619893:1 gene:OGLUM04G26680 transcript:OGLUM04G26680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >OGLUM04G26680.3 pep chromosome:ALNU02000000:4:29611203:29619893:1 gene:OGLUM04G26680 transcript:OGLUM04G26680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >OGLUM04G26680.4 pep chromosome:ALNU02000000:4:29611151:29619893:1 gene:OGLUM04G26680 transcript:OGLUM04G26680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELYPGYLEDHFNIHKLSISSASPPEYMTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >OGLUM04G26680.5 pep chromosome:ALNU02000000:4:29617187:29619893:1 gene:OGLUM04G26680 transcript:OGLUM04G26680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASTQFAAPVRMGAYDRPPPVGMWSHEQFKVDNGQATSASTIMEAEMKFENRLEEIPQVVLEEGRNVDQEASKPPDKVLRRLAQNREAARKSRLRKKAYIQQLETSRLKLAQLEQELQRARQQAVYANGSLREPNLGFTGPIDPGALGFEIKYSHWVDEQNRNTGELRNALLQGQTTDQDLELKLLVEAGLDNYNRLFEMKEEAANSDVFYIMSGMWKTPTERFFLWIGGFRPSEVLKNLRPQLEPLTDKQVVEVGGLQQTSMQVEDALSQGMDKLKQTIADSLTAADPFDSPEAYMVHMANAVEQLRSLVQFVTQADHLRQQTLQEMHRILTTRQAARGLLALGDYFQRFRALSSLWAARPRDSGIS >OGLUM04G26690.1 pep chromosome:ALNU02000000:4:29623051:29623666:1 gene:OGLUM04G26690 transcript:OGLUM04G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHATLADARGHHRILLILAVVLLLAATGDGIRSPPFSTDDVVTPVHGAQDEKISATATLVISPQQATAGDNVGRGVLQEEVRATGSSLPDCSHACGACSPCNRVMVSFKCSIAEPCPMVYRCMCKGKCYPVPSS >OGLUM04G26700.1 pep chromosome:ALNU02000000:4:29624974:29632762:1 gene:OGLUM04G26700 transcript:OGLUM04G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACADAAAAAACCCSERTTRIVARALELQTTVLSKLECIPTSMCKVMHKNGLRRARGYPRSKPGITWETLFIKVLLIYEQEFFITNLWKITKVPTILHCTMSSGSELKTQWQPDSKIDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >OGLUM04G26700.2 pep chromosome:ALNU02000000:4:29624974:29632762:1 gene:OGLUM04G26700 transcript:OGLUM04G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPACADAAAAAACCCSERQDYSHSSSGLGVTDNSAFKIGVYTNFNVQSNAQEWVEESKRISSIKTRNNVGNTIYKGSTHLRAGILHHEPLEDHKSSNYSSLYNVRERMTPNSLANRHANMELAKHNTRNQAASAVSALTSVVNDDIKPLNRSSGSELKTQWQPDSKIDASVLKISKVETSLQFDDKARDGYDGDEHECTAKNTVQDSPAKAPMSKESKDARKALATVYDKVLVVDNVKSARSVVQLLTSKYKNFIHACDTEVANIDVKQETPVSHGEVICFSIYSGNSDAEADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIRKVWHNYSFDSHVIENYGIKVAGFHADTMHLARLWDSSRRIDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGWKKIKKDGSAGKIISMEPVEVLQRDDREMWICYSSLDSMSTLRLYESLKSKLEKKHWTFDGCPRGSMYDFYEEYWRPFGALLVKMETAGMLVDRGYLSEIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGETLPKSRTIKVPNDGSLIAEGKKTPKYRTIELFSIVEDLKTDLFTASGWPSVSGDALRSLAGKLPTDLVYTTDDVEDDDSGDSEISEHDLNDTASYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCDEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLASCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTPVGLARDWKVSVKEAKDTLKLWYRDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAHATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNARLKELGWRLLLQVHDEVILEGPTESADLAKSIVVECMSKPFYGTNILNVELAVDAKCAQNWYAAK >OGLUM04G26710.1 pep chromosome:ALNU02000000:4:29632475:29633656:-1 gene:OGLUM04G26710 transcript:OGLUM04G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRFAVAGRVLLHRVESLPPPRPPLAFLSSESRGHLVRRFSTPSSTPPHFMVQYLVSKCGLSPAAAAKAAPRFAHLDSASRPDAALAFLRSQGLTRAQVREVVSWKPELLLSDVDATLDPKFRAVRALGLGRADVARLFALYPPALTYGIHTNLLPRVLFWIDFLGSAKLLMKWLAKTWLLRYSVDALLRNLSTLRSLGVQQSRITTTVRMQPTLITQTPARFQKLVGRVEACGVPPSSGMYMWAFFALHNVSEGSFRAKKAAVVGAAGCTEEEFDAMFRRAPCLVFVPAALLRRKVEFLMAEAGCDATHIVTNPVLLTLSLGKRMAPRCRVVEALRSRGVGIGKKANLGSVMRYPEDKFVERYVLRYKEEVPELLELYPPRLCKGSSQTR >OGLUM04G26720.1 pep chromosome:ALNU02000000:4:29634153:29634490:-1 gene:OGLUM04G26720 transcript:OGLUM04G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGAGALCRAIVFLFTTAEWLETLACTKVSAEMSSLMGMLPAKAVLATTGDVVSVRDVRVGDVVAVRAGEIVPVDGVVVDG >OGLUM04G26730.1 pep chromosome:ALNU02000000:4:29650811:29659221:-1 gene:OGLUM04G26730 transcript:OGLUM04G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEERADHIRCAQASLKVQSLMSAVSIVDVSYVAVPAAAALPPEPVKLTAMEALWLPFPVLQHVLFYEVAGSPPFDSVVRSLRSSLGATLASFAPLAGKLVYLEDAGDVAIACSASDAVKFVAAESDADVRRLAGDELHDLATFQKLVPELDMSKLPTSVLAVQATRLEGGLAVGVTVHHGVADGKSLWMFVEAWAAACRGETPPVATPCFDRSAIKLHLGEEIARTVLRKYAPKLPQVAELEIFVEQRNRFTRRTFTVDAQQIERLKQRIARDGEARGAPLRRPPSTFVAVVATAWTCFARCKTTAADDGEVFVLFIADVRERLDPPVGAGYFGSCLTVRVARLPVRDIHGDGALAAAASAIQEEIAKVAEDPLAGWDFLRLMETLVPVMERAMNVSGSPMFRPYDVGDFGWGKPRRTEPIRMNHDGQVALVRAKDGRAVQVSVSLLRSAHMQGFKSQLLDPAHSPPLSIVLVQQGRGQMSAAVTVSVVGVSHVAVPAKAALPTEPMKLTATEALWLRIPLLQHVLFYESAGSSWPPFDGIVDSLRSSLGATLATFAPLAGRLVHLEDTGDVAIVCSASDAVRFVEAECDADVRSVAGGGGDAPDDDLRLLEQLAPELDMGELPTSVMAVQSTRLVGGVAVGVTVHHGVADGKSFWMFVEAWAASCRGETPAATPCFDRSVIKLPDGEALARSVLRKYTPNLPVEPNLNDHCTSGSPLFLFSDPILFLLPSPLFSSSPSSSPPPLSSLPSAGPSSSPQLPLPSAGRRERIVRDGEAHGAPPRRPPSSFVALVATVWTLLVRSKSKTSAAEDAEAFLFFFADFRERLDPPVDARYFGTCLTGCFVALRARDLLHGDGALAAAASAIQEEIRRMADDPLALWDFFSLNSRAAYEKVATVSGSPGFCPYDVADFGWGKPRWTVPARMNHDGQMALARAGDGRGVQVSVSLLQPKQMDAFKSRFLELLG >OGLUM04G26740.1 pep chromosome:ALNU02000000:4:29679693:29680274:1 gene:OGLUM04G26740 transcript:OGLUM04G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFSLDGYSDGGDADVAEPASPIRSSANRPRRPSASRDEVPRYVLRVIRTAAAASASLPPVPGYSPRMSIDMACTHSWAPYTAVIPALLSLSFLSLRDEHSPGVAKKTIAELYGHATPFDAAGRRFPAGEVYVCLDRAPLASYIQSIQRNVTVSDVSYGDKTKACDNYLSAVSSAIDELTRDDHYTPVSSAV >OGLUM04G26750.1 pep chromosome:ALNU02000000:4:29682447:29683005:-1 gene:OGLUM04G26750 transcript:OGLUM04G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVEEEGNRFQEPASDRCEDNEDKEQDNSEESSSVDQRKEEEEEEEKEGCEEATPAAAAAAAAPSFFAHPCSLLQYIARVCACCLGLSDSFCDPKASSVLVPEPEPAAADPSQEEEDMKSSEATTRVRAARLRPKPPGNPREGSGGNGGHHH >OGLUM04G26760.1 pep chromosome:ALNU02000000:4:29687715:29688620:1 gene:OGLUM04G26760 transcript:OGLUM04G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHAVVVDDGGSRRMRPASLSSSSDAEDDDAGSKKQFLRAAGRALEAAPPVRRRPGRPSRPVRMFHTMCRSLPVLTPGCGRLQPAGAACRIAAPSRLSPSASLMSKLVASASTGAAGASRRRMTGTLFGYRDARIALSLQDNPRCQPTLVVELALPTHALLRDLGTTAGARIVLESEKRAADGGDGAGAGASYRREREQQDGWVLEESMWTMSCNGKKVGYAVRRDPTDDDIAVLETLWAVSMGGGVLPGISDMDGKDGEMAYMRGSFEHIIGSRNSESLYMISPHGGDCPELAVFFVRL >OGLUM04G26770.1 pep chromosome:ALNU02000000:4:29695654:29696474:1 gene:OGLUM04G26770 transcript:OGLUM04G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFAAQLKDRFLGLVERVAGCGRGAGDKGVKEPTKLSTVQRVEIRSRDPNVSGGEKPPNN >OGLUM04G26780.1 pep chromosome:ALNU02000000:4:29696809:29698772:1 gene:OGLUM04G26780 transcript:OGLUM04G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYAAQLKDMFFGLVERVTGYGRGEDKDVAAGVDEPSKLASEEVAVSSEEVVIVQRNEIRSRGADPSVSGGKQPGINAAGI >OGLUM04G26790.1 pep chromosome:ALNU02000000:4:29699068:29701802:1 gene:OGLUM04G26790 transcript:OGLUM04G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 2 [Source:Projected from Arabidopsis thaliana (AT2G21970) TAIR;Acc:AT2G21970] MAAAARAIICEMAPQRGAAAAAATAQQQATTRRDGGKIMLQPRLCTLRSYGAGSGVVARRRVVEEEESGGGGAGSSPFFASLADYIESTRKSQDFETISGRLAMVAFATAVAVELTTGNSLFKKLDMQEIEEAAGVCLAVVAGAAAFAWVSSARTRIGQMFTLGCSAFVDSLIDNIVEALFSEGELQDWSDDV >OGLUM04G26800.1 pep chromosome:ALNU02000000:4:29702343:29704663:-1 gene:OGLUM04G26800 transcript:OGLUM04G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAALVAPTANPRNPLRLIAAAPHRQWRRVAAAASSSAAPGVDLKALQAAIDKKDSEEVKQAMDQLKELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGSTGFLGVLAGQLPGDWGFFVPYLIGSISLIVLAIGSISPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDDQLQKLIYSGQLDQKEIDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPPLTKDQQQNLTRWAVLFSASLLKNNKAAHEALMSAMSQKASVLGCIEAIENAS >OGLUM04G26810.1 pep chromosome:ALNU02000000:4:29721357:29722832:1 gene:OGLUM04G26810 transcript:OGLUM04G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSGSGGTGARTGAAAERRSTAGFAPSDVTYKSCGHGILDGKVNGCIHRHHRHAASVSLPLQLFPPSAPRSGCAHQSPTTSARSFSAPSNSPFSRVEDAAAVRVPDPLHEVDRVLAAVHD >OGLUM04G26820.1 pep chromosome:ALNU02000000:4:29724775:29725647:-1 gene:OGLUM04G26820 transcript:OGLUM04G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESGGCPYIHFRLYEDCQRMTSSYVQMVSDIKRHVSHLAAAENQGVVPFISAGQIFPGPAAGEAYCKYKTLVLPSVPNHNMHFVVVLHTPPNWAGGTREPRSTLRTVVSISEAVRFRELLTRIVMGFAAGLYGPTLQPWVMPWPSLVPNTPTPRIFTTAFDDDHFKNWDVYCKLARAGAQGFAPGTRGFATYESLIAFLGACLH >OGLUM04G26830.1 pep chromosome:ALNU02000000:4:29728093:29732226:1 gene:OGLUM04G26830 transcript:OGLUM04G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAALHSQAAAAATSSSSPPLPAMTRIPNARDGGDPLDTEEAYALDSGAAATSEAKIRSRWRRRAVSSSSSSAMEIGDFENWMKIMDFDCKSINAAVPNIYQS >OGLUM04G26840.1 pep chromosome:ALNU02000000:4:29732751:29734656:-1 gene:OGLUM04G26840 transcript:OGLUM04G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDVSVFDDEEIGRVMDLFFTDGVLGLDGGVSPEEEEEEEEEVEVDLEPLFYDEAATVAESVAAAERRRVREEDRARVVEQQTKVRKAKEAYFKKNRKFNPKTGLHYFTRIFFINPLTFDLNEESPLGPMRYTDRIYNEHEALRMRNSVNILSVKILSSDVGFPINVYGTVIARDSLDEKCIYLFRRPRDDCQLINSKDDSLILTGPTRGLVLQDAVYFEVDLRIKDDRLRGKKKEHSKGLLMIDGIRRTIKVKTEVENDTFVGKLGTVELRYAVIKDAVEATVEIKVVEGYFCGEVAACTTNIQDKVVLLDSRTCCVMADNLDVQLSRRVMAVHNKEKLLLTVVNQDDEVPTGCVTQTIDFTPKFNGSDVTEVTCGSVKMLVKVTWSLMV >OGLUM04G26850.1 pep chromosome:ALNU02000000:4:29735261:29735752:-1 gene:OGLUM04G26850 transcript:OGLUM04G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRWQWWLKLDGGKLMPLVSLATSNDDVKLIGSACNESCHVWMKIETDTE >OGLUM04G26860.1 pep chromosome:ALNU02000000:4:29748105:29761150:1 gene:OGLUM04G26860 transcript:OGLUM04G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASAAIYSVGNSDEDAFTRCSGYLFEEGAATDGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVELLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTGENEGDSTDGISLVLYEMRSLPEFLPVLSVIPELPPESQQHFLLLPADLTNRGVDASPRAVERRRPQATGERIPHAAALAHKFPIICMIPLERTRKTSKVSVGTNYNASPRTPLKSQIYSQ >OGLUM04G26860.2 pep chromosome:ALNU02000000:4:29748105:29758934:1 gene:OGLUM04G26860 transcript:OGLUM04G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASAAIYSVGNSDEDAFTRCSGYLFEEGAATDGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVELLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTGENEGDSTDGISLVLYEMRSLPEFLPVLSVIPEYCSPSLTQLPPESQQHFLLLPADLTNRLMPDVERISPNTLH >OGLUM04G26860.3 pep chromosome:ALNU02000000:4:29748105:29761936:1 gene:OGLUM04G26860 transcript:OGLUM04G26860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASAAIYSVGNSDEDAFTRCSGYLFEEGAATDGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVELLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTGENEGDSTDGISLVLYEMRSLPEFLPVLSVIPELPPESQQHFLLLPADLTNRVLSRAVARTIRRIFI >OGLUM04G26860.4 pep chromosome:ALNU02000000:4:29748105:29755359:1 gene:OGLUM04G26860 transcript:OGLUM04G26860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71810) TAIR;Acc:AT1G71810] MLLLHPRPLPAPAPARRFEPAVRVPPPRRRRRRRRPPLPPLASASAAIYSVGNSDEDAFTRCSGYLFEEGAATDGELPTAYDLAGIGAVYRRRPLLVVRRALQIGTSFGRWFTLRYLDRVNERSDDMFEIRAAQLRRILLELGPTFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTEVAFNIIETELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNRKVVAVKVQRPGVQAAISLDIYILRFLAGVARKAGKLNTDLQAVLDEWASSLFREMDYRAEARSGLKFRELYGKFRDVLVPEMYLEQTRRRVLIMEWVELLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDGLAKDFVTLGLLPPTAQKDEVTKALTGVFQNAVDRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQTLLYKSLRARTEQSLTRDQQEETDSSKFAIKQVLSFTLTEQGAFVKDLLLQEIAKGLDALGAATLSSATSAAASRLPFAVPSPSPSLDNEDATNLRNLHRLLLLLSKVPQKEDSSPIPGYNSTGENEGDSTDGISLVLYEMRSLPEFLPVLSVIPEYCSPSLTQLPPESQQHFLLLPADLTNRVLSRAVARTIRRIFI >OGLUM04G26870.1 pep chromosome:ALNU02000000:4:29756471:29759486:-1 gene:OGLUM04G26870 transcript:OGLUM04G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFARPLVLGSDRRSCGAKLKVSCSRKSAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHNDVTTLTPSAIAIEVFFPWSNLMY >OGLUM04G26870.2 pep chromosome:ALNU02000000:4:29756471:29759486:-1 gene:OGLUM04G26870 transcript:OGLUM04G26870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVGLRAKPGAWAGLGNPRRSSTARVPVRFAVEKFARPLVLGSDRRSCGAKLKVSCSRKSAGIDKTYYSADEALVLKQKAEDVVPYLNDRCIYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNERHETYFHFFSRSYMKKGSTIWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTALFEQRMDSYANADARVSLEHIAVKQGHNDVTTLTPSAIAIEVFFPWSNLMY >OGLUM04G26880.1 pep chromosome:ALNU02000000:4:29763754:29770036:-1 gene:OGLUM04G26880 transcript:OGLUM04G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARGVLAMVVAVAVVWCNNVARAQTPVFACDASNATVSGYGFCDRTKSSAARAADLLGKLTLAEKVGFLVNKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSTLVPGATSFPQPILTAASFNASLFRAIGEVVSTEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLASKYAVGYVTGLQDAGGGGDALKVAACCKHYTAYDVDNWKGVERYTFDAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNKVNGKPTCADKDLLSGVIRGDWKLNGYIVSDCDSVDVLYNNQHYTKNPEDAAAITIKSGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAITNNFIVLMRLGFFDGDPRKLPFGSLGPKDVCTSSNQELAREAARQGIVLLKNTGALPLSAKSIKSMAVIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVGCSGNSLQLSAATQAAASADVTVLVVGADQSVERESLDRTSLLLPGQQPQLVSAVANASRGPVILVVMSGGPFDISFAKSSDKISAILWVGYPGQAGGAALADILFGYHNPGGRLPVTWYPASFADKVSMTDMRMRPDSSTGYPGRTYRFYTGDTVYAFGDGLSYTKFAHSLVSAPEQVAVQLAEGHACHTEHCLSVEAAGEHCGSLSFDVHLRVRNAGGMAGGHTVFLFSSPPSVHSAPAKHLLGFEKVSLEPGQAGVVAFKVDVCKDLSVVDELGNRKVALGSHTLHVGDLKHTLNLRV >OGLUM04G26890.1 pep chromosome:ALNU02000000:4:29774837:29777945:1 gene:OGLUM04G26890 transcript:OGLUM04G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:programmed cell death 2 C-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G64830) TAIR;Acc:AT5G64830] MGEEVHLGLPGPWAEDYREKADHYTTKIGGVPDWPTEDMGIKPHLLQCSLCGTKLCLVHAPVAKFNIENRAIYVLVCTKPKCGTNPQSWKVLRVQKCHTSAQTDGKVDETDQINGNVCSSEPSSSSLLNKSHEVSDDDFDLDALANALEEAATLASNSKKQNKPKRSNAPIKCPVGKQKVDDPSLPVLPCFYIYYGKEQFRDKGSLGSNSSKSVLAKEIADVANDEEEKWEGENYEYDRAIGADRTFLKFKKRLDAYPQQCFRYSYGGKPLLAATNLQDSGTCQLCGSPRQYELQLMSPLSYFLHEAGDGSSDYAPDGWTWLTLIIYTCSKSCCPSSCVGKPGSCCWGVAEEEIMIQED >OGLUM04G26900.1 pep chromosome:ALNU02000000:4:29781050:29782963:1 gene:OGLUM04G26900 transcript:OGLUM04G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNMKNLWFHGKEHIAASPFPQVATSMNFTCLVSSKTIETSKKMFQQSDQSLSIPDDGCRLVLGLGPTPNLHYADNESSGGNRDKESANLFSQHFAIADHGLMLGISRGGTRNFQATTMIEKYSHQNRNGIVFPLTDEGSTSAKRKPGGYVLPLLFAPRSDDICPNGTPPVTNIQHVETVDDDDDDHITSLNQQKVQVSPEPSATTDCSFAATSDMIFSSTSTEQRSHQRHPKKCRFNGCSKGARGATGLCISHGGGQRCQKPGCNKGAESRTAYCKSHGGGKRCQELGCTKSAEGKTEFCIAHGGGRRCGTPGCTKAARGRSGFCIRHGGGKRCRVEGCSRSAEGQFGLCISHGGGRRCQYPNCSKGAQGGTMFCKSHGGGKRCIFEGCTKGAEGNTLLCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKVDGCDKSAQGSTDFCKAHGGGKRCAWSTGCERFARGRSGLCAAHGTLMASKQVPESEHSRGMIRNSLFSKMVSASSMTAGASMDHAISSSLPGASSDRGESLEEMRNGKLLIPHQVLVPGSMRPSSSHGKGQEDGGSQEQQCFGFVVPEGRVHGGGLMSMLGAGGNLDDPKA >OGLUM04G26910.1 pep chromosome:ALNU02000000:4:29784078:29793911:1 gene:OGLUM04G26910 transcript:OGLUM04G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRG7] MSFYLEWVPRSNHRPRFSSPSPTEPSLHPLRPLPLAGDRSSVHGGGGATAELGNGGSVAGSTFAHSIRRPIVEKQMINWSDRFGPVFIKKPPGYSLEVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKHDSYAQIPVDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERELQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAPPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRNLFESEEEAPMVKMNKAEDVFNVKRKIGGEVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENINRYAR >OGLUM04G26910.2 pep chromosome:ALNU02000000:4:29784078:29794815:1 gene:OGLUM04G26910 transcript:OGLUM04G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRG7] MSFYLEWVPRSNHRPRFSSPSPTEPSLHPLRPLPLAGDRSSVHGGGGATAELGNGGSVAGSTFAHSIRRPIVEKQMINWSDRFGPVFIKKPPGYSLEVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKHDSYAQIPVDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERELQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAPPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRNLFESEEEAPMVKMNKAEDVFNVKRKIGGEVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >OGLUM04G26910.3 pep chromosome:ALNU02000000:4:29784762:29794815:1 gene:OGLUM04G26910 transcript:OGLUM04G26910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRG7] MHVFIWVAGWVDCLGRLGRRGVGEEQGRSRSTSRYSLEVMEEPSKDNGQSSCVVDPELEPMMLDDAREGVSHTLDDANGHSSMDVDRGCHSMDTTRSSLGDDGKGKHDSYAQIPVDMSIPSLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQELFDSLGEVTVEPSYDPSNRGPGGWRHAIIKFGRVQLEEPVFWSHGCDIDEQSLKLKPRHARLQNMTYSSKMKVEVHFQVYSMEKSDKAKTGNDKFGYKRNIINETYYINIGRLPVMVMSNLCWLHKLKESDCQFDSGGYFLIKGMEKVFIAQEQKCLTRIWVEDRPCWMVSFLSPIRRRRIYIKLIDSANNEDASGGKIISISFLYANMPIWLMFFALGISSDKDIFDVINMEDCDACVINTITATIKESDELCEGFRKSDKARQYVDELIKNSKFPPAEPFDDYIAKYLFPSISGNRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLGRELRAHIRHAERLMVKALQRDLNSERELQEFDHYLDASIITNGLNRAFSTGSWCHPYKRNERCAGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTATVSSRVAPPLIDRFISCGMNKLHEIPTEEVPRMDKIFLNGDWVGSCSDPASFVLRLRCMRRSGLIDPQVEIKWDKHQREVRVFSDAGRILRPLLVVENLNKIRRPKGSSYSFQWLMQQEIIEFIGVEEEEDIRSAWGIRNLFESEEEAPMVKMNKAEDVFNVKRKIGGEVSGYTHCELDLSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCIGRSEYTFGRKDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHFRNYKAEVENKGGPGGNKRLKMKDKIDFGKMQSKRGRVDNLDDDGLPYVGASLQSGDIVIGKVSESGEDHSIKLKHTEKGMVQRVLLSANDEGKNFAVVTLRQVRSPCLGDKFSSMHGQKGVVGFLESQENFPFTYQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASFDVITDQLHKAGFSRWGAESVLNGRTGERMHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHVCQTCERVANVIMRPVPGGKKIRGPYCGFCRSSENIVRINVPYGAKLLYQELFSMGICLRFETEVC >OGLUM04G26920.1 pep chromosome:ALNU02000000:4:29800589:29803924:1 gene:OGLUM04G26920 transcript:OGLUM04G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAAIGSIVAAVGVVAVVCAIAAVTSSKKDRNGELTANVRLSTVCSVTRYPGRCEQSLGPVVNDTIDPESVLRAALQVALEEVTSAFNRSMDVGKDDDAKITKSAIEMCKKLLDDAIEDLRGMASLKPEEVTKHVNDLRCWLSSVMTYIYTCADGFDKPELKEAMDKLLQNSTELSSNALAIITSLGELMPAAKSNGSTGAHRRLLGLQGSEAAEGVSLRELLAVHDKVQELTDVKDVSRHLLSETLDAITEMSHDAGRRLLGVTLSEATDDSDDSGLPGRRLLSMSFHDADHGVHGGDHRRLSMSFHDADHEVHGGEHRRLSMSLNDADPEEQGGEHRRLSMSLNDADPEVQGGEHRRLSISLNDADPEVHGDEHRRLSMSLNDADTEVHGGEHRQLSVSLNDADTEVHGGEHRRLSMSLNEADTEQPSGEHRQLSVSSSDAGSSTDSTSDSDTPGGDPKEPAAVDDSKNVVPGEPAAAAAAAAEHRQLGTLFNAIHNMTSKADTVKRRLLSMPQDGSDATEHEGGGRALLSIQLQSIADMSAEMNRHLLAAELPDELAGKRQLLSTTLRELNEATTAAKGQLDKIENGTVHSDAIPERILGDEYRATPHHRLLTTDVVGTIEDIEHERHNQPKPGEFPSWVSAHQRRLLQAGTQKPDKVVAKDGSGDFKTITEAVNAVPKNSPTRFVIYVKAGEYNEYVTIPSSLPNIFMYGDGPTKTRVLGNKSNKDGVATMATRTFSAEGNGFVCKSMGFVNTAGPEGHQAVALHVQGDMSVFFNCKFEGYQDTLYVHANRQFFRNCEVTGTIDYIFGNSAAVFQSCLMTVRKPMDNQANMVTAHGRTDPNMPTGIVLQDCRIVPEQALFPVRLQIASYLGRPWKEYARTVVMESVIGDFIKPEGWSEWMGDVGLKTLYYAEYANTGPGAGTSKRVTWPGYRVIGQAEATQFTAGVFIDGLTWLKNTATPNVMGFVK >OGLUM04G26930.1 pep chromosome:ALNU02000000:4:29807216:29808547:1 gene:OGLUM04G26930 transcript:OGLUM04G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTNSTEARREMVLADAGRRATRSFSLPTVDRQRLRWKAVSMLSSLGISQGRRSGAFKYATTSVEGMMKSENDHAGLALLHVQEAAAKRAVIKPCTPTLTPPNEPEVINAWELMAGLEDDPPTPPCASHEPPAVTPQWMQADTDIPIVALDFDPEILSGFREALADTSPSEPTSCSVTEEEEQPAQPEKHADACDAPTSLATGDMPEKRADACDAPISLATGDMPEKRADACDKTISLATGDMPELSGIVRARINAFQEKIERRSSKGARDAKVAHLRPPGGDKKAVVYFTSLRGVRKTFVDCCSVRSILRSYSVRLDERDVSMHAVFRAELAELLGPGGFACAALPRVFVDGRYLGGAEDVHALHEAAELARMLEGCEAAPVRKLGYMEACAACGDVRFVPCETCYGSCKIFVDDDVDAGEFRRCPDCNENGLIRCPVCCC >OGLUM04G26940.1 pep chromosome:ALNU02000000:4:29809199:29812862:-1 gene:OGLUM04G26940 transcript:OGLUM04G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVNEPPVPPPLPRTDWLSREEEDDLGATGERSQAKPLGAGRGSRGETEKNSRKGGIFSALISCRIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >OGLUM04G26940.2 pep chromosome:ALNU02000000:4:29809199:29812862:-1 gene:OGLUM04G26940 transcript:OGLUM04G26940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVNEPPVPPPLPRTDWLSREEEDDLGATGERSQAKPLIMSGTGYTVEVTNLSSRASESDLHEFFSFSGAIEHIELIRSGEYGSTAYVTFKEPYSLETAVLLSGATIVDQPVCIARWGQPNEPYNFWDTPNWYTEEEIEYRTYQTCQFNSTPQEALTIAQDVVKTMLARGYVLSKDALARARAFDESHQVTATAAAKAAELSKRIGLTDRVSAGVGAIRSVDETYHVSETTKTVATATGRTAVKVVNGIMTSSYFSAGAMMLSDALHRAAQAAADLAAHGRHN >OGLUM04G26950.1 pep chromosome:ALNU02000000:4:29822708:29823122:-1 gene:OGLUM04G26950 transcript:OGLUM04G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRSRRAGSSVPSSSSSSRTSISEDQIAELLSKLQALLPESQARNGAHRGSAARVLQETCSYIRSLHQEVDNLSETLAQLLASPDVTSDQAAVIRSLLM >OGLUM04G26960.1 pep chromosome:ALNU02000000:4:29873517:29879391:-1 gene:OGLUM04G26960 transcript:OGLUM04G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAAAAAGDGKVEKAANGGVNGCDAAGEGKKRADQAVAFHELFTFADKWDLVLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVSKYALYFVYLGLVVCASSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVAAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEVIRQKPSIVHDHKDGKLLAEVHGNIEFKDVTFSYPSRPDVMIFRDFSLFFPAAKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTIHENILYGKPDATMAEVEAAATASNAHSFISTLPNGYNTMVGERGIQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSENIVQEALDRLMTGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSSGAYASLIRFQEMAQNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFFKLLKLNAPEWPYAVLGAVGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFIVGFIIEWRVALLILATFPLLVLANFAQQLSMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQNKILSLFSYELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTNVRGDIELRHVDFAYPARPDIQIFKDFNLKIQAGRSQALVGASGSGKSTVIALIERFYDPTGGKVTIDGKDIRRLNLKALRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIQAAKTANVHGFVSQLPNGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHSDLVSRPEGAYSRLLQLQHHA >OGLUM04G26970.1 pep chromosome:ALNU02000000:4:29885645:29888776:1 gene:OGLUM04G26970 transcript:OGLUM04G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPTIGMMDSAYFVGRGEILSWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKILQDVFNKLRLSKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERSLKGSNKSSKSLQANRLSSANSADGGPCVGKVNVISEEHYIEQIQQLSEKIAGLKISMDSAEKERDFYFSKLRDIEILCQRPELEHLPMTKAVQKILYAADARDSPLPEANEIITRSPSMFPDEA >OGLUM04G26980.1 pep chromosome:ALNU02000000:4:29889230:29890203:-1 gene:OGLUM04G26980 transcript:OGLUM04G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRFPAGGATSVPAAMPHRVPMATAPSRLPATVAMALVSLRYPGLISTPSAAIRLTPINSATHFDVLRLRARRTDGEDDVSALPEIDGAASAFPCTASTASPRSCVPGFPNTQSAFPNTRLSLLGWILKLVQERFSGDPSLLSSLLVLLIGGWQTAYFKEAVERRIKGSMRIPPCILTIFTFCAAVATLLYKAVKASEELDGIISHLIKKFEKLLESHDVKTQSEKLPEPREAEAKSQAKEVKTQDKS >OGLUM04G26990.1 pep chromosome:ALNU02000000:4:29891210:29893674:-1 gene:OGLUM04G26990 transcript:OGLUM04G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRCCPSAGALFRRPVGTRPGPDRVSAGLLRCSKRGNGVVGLRLSCAAASPASGCSEALPPSPAPGSYTLPGSPWWAENLSEEDATYFSLADSDPPGKGREEMDAIWHALVSGPLEPVFRVLREIGAAGNLFRCRSFHIGILSGALLVIAGFSQLCNMTPFLFVDIVLGYMFYKLSILAAELQRNGRAKNICARIQLVLLFVLSFKDSRGYQGTYGVLAGLIWFLNVQLYFRTVYYEMVGTNYIRLFWLGVYRILQSKGGLIKVAKGLIMYGCKWKKEN >OGLUM04G27000.1 pep chromosome:ALNU02000000:4:29899434:29903543:-1 gene:OGLUM04G27000 transcript:OGLUM04G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRCRPTRGAAFRRPVGTRGADRFSAGVLRCSERGNGVVGLKLSCAAASASPASQALPPLPWWAKELKEEDEKFFPLVDLDPAGQGQEEIDAIWNALLSGPLQPVLRALREIGAAGNLFRCRSFHIGILSVLIFILSFKDNSSSQGIYGILSELIWFINVQLYFSMVYSEVTGLKHMRLFWLGVYRLLQTKAIGDTALPLPCGGDSGDFVPSGGDSGVFVPRGGDSGVFVPRGGDSGVFVIPASSSGCLPSLLASPVVTRRSHTRARRPKSDGTNSPLPDGDDTLADDAISTLPDTEATSSAPATPQIPDSNAAASTLFERFVYISTGGSSMSGTGTSTLFLFLHVVSALLITAVKNPSIRKKVADQVGHRVRLSPSVLSVFVFSSAAIAFTYDAIKSGKELEGLGSYLLKITKTGKYK >OGLUM04G27010.1 pep chromosome:ALNU02000000:4:29904221:29904676:1 gene:OGLUM04G27010 transcript:OGLUM04G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATKGAVAAVGMAGSNIPTVFVEWGGARAGGRERRVNVSTTTVTGDSLHWLNDVDVGRGSEQGGAGVGVPLAWEKPPGEEGPNRERDREKGGRKKGKNEIMIRGHHMLTQHDGTGQCCTSVKTIFNTISLPLVIQNM >OGLUM04G27020.1 pep chromosome:ALNU02000000:4:29905853:29906612:1 gene:OGLUM04G27020 transcript:OGLUM04G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIQPLPFRRTRMDAALAASSCQAVTDAIRDIYAQDMEKLNFEQLYRRVYEVVLNKHGELMYSEVATALTAEVEGLRTSLVAVADGGGGGGAFLRELLSKWRRHTEAVAAVRDMVMYMERTFVVTYRKVSVQELGVKLWRDGVVCSGDVMPRLVEAVRRERAAAAEPGDLMAGVAEMLTKLGDKVLSQVMDASSVDDYSSASLEMSVSEYQ >OGLUM04G27030.1 pep chromosome:ALNU02000000:4:29924301:29934473:1 gene:OGLUM04G27030 transcript:OGLUM04G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQKKRSPKIEPFRHRVDADPKSFDKSWKKLEDAIREIYNHNASGLSFEELYRTAYNLVLHKHGLKLYDKLTENLKGHLKEMCRSIEDAQGSLFLEELQRRWADHNKALQMIRDILMYMDRTFITTNKKTPVFDLGLELWRDIVVRAPKIHGRLLDTLLELIHRERMGEMINRGLMRSTTKMLMDLGSSVYHDDFEKPFLEVSASFYSGESQQFIECCDCGEYLKKAERRLAEELERVSQYMDAKTADKITSVVDTEMLANHMQRLILMENSGLVNMLVDDKHEDLSRMYNLFKRVPDGHSTIRSVMASHVKESGKALVSDPEKIKDPVEFVQRLLNEKDKYDEIISISFSNDKAFQNALNSSFENFINLNNRSPEFISLFVDDKLRKGVKGANEEDVETVLDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTTSDEAERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTMQSFYANLSGDTDSPTISVQILTTGSWPTQPCTPCKLPPEIVDISEKFRAFYLGTHNGRRLTWQTNMGNADIKATFGGRRHELNVSTYQMCVLMLFNSADGLTYSDIEQATGIPHADLKRCLQSLACVKGKNVLRKEPMSKDISEDDTFYYNDKFTSKLVKVKIGTVVAQKETEPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIITEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKVDRKMYRYLA >OGLUM04G27040.1 pep chromosome:ALNU02000000:4:29936455:29937264:1 gene:OGLUM04G27040 transcript:OGLUM04G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit D (VATD) / V-ATPase D subunit / vacuolar proton pump D subunit (VATPD) [Source:Projected from Arabidopsis thaliana (AT3G58730) TAIR;Acc:AT3G58730] MSGQTQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGEAMRASSFSLAEAKYVAGDGVRHVVLQSVRSASLRVRSHQENVAGVKLPKFTHFVDPAAGSAGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTISYIKGELDELEREDFFRLKKIQGYKKREIERQMAAAKQFAEEQLAEEVALKRGISVGAATNMLVAGGERDEDIIF >OGLUM04G27050.1 pep chromosome:ALNU02000000:4:29939642:29943075:-1 gene:OGLUM04G27050 transcript:OGLUM04G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT3G25660) TAIR;Acc:AT3G25660] MPPPLQAQRLLLSHRRLPSPHRRRFTAVSSLPSAPAKTVAAAAAHAPSSILSIRESLLSGERTASEITAEYLSRLRRTEPSVHSFIHVADAAAEREAEELDRRIATEGQDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYQPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSGFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVVDTATILSVIAGHDKMDSTSSSHDVSDYKSELVPLDLLESKPLNGMRIGIIQETLGEGVETGVISSIKDAASHLEQLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSGDDLNELYGGSRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKKSFKEALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGSAGLPVGLQMIGSPFSEGNLLRIGHIFEQTLQNYSFVPPLLAES >OGLUM04G27060.1 pep chromosome:ALNU02000000:4:29946439:29951603:1 gene:OGLUM04G27060 transcript:OGLUM04G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-acyl carrier protein synthase III [Source:Projected from Arabidopsis thaliana (AT1G62640) TAIR;Acc:AT1G62640] MVAASGLAPPRLAVPCPRAAGRGCGGHHRVGFLRSAPVALAGPAAAQLRCCASTVDDGVVSAAAAPKPRLPRVVGMGSKLIGCGSATPSLSVSNDDLSKIVETSDEWIAARTGIRNRRVLSGNETLRELSVQAAKKALEMAQVNADDVDLVLLCTSTPDDLFGGAAQVLAEVGCANAFGFDITAACSGFIIGLITATRFIKGGGIRNILVIGADALSQFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNCVSSHVESILSKTNGVPSFPPKKATFSNIEMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKVISNLANYGNTSAASIPLALDEAVRAGKVKAGDVIAASGFGAGLTWGSAIVKWC >OGLUM04G27070.1 pep chromosome:ALNU02000000:4:29952276:29952521:-1 gene:OGLUM04G27070 transcript:OGLUM04G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATHRVVRKPAVHRHSLVFFFNVHGDKWVEPLPEYTEKIGEAPRFRRFLYSEYQQLRMRNKTHPPSRPEDVVHITHYAI >OGLUM04G27080.1 pep chromosome:ALNU02000000:4:29952937:29953377:-1 gene:OGLUM04G27080 transcript:OGLUM04G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNNVGAGALPVVDLAPFLATGDDEGGVARASASATGAVREACRDYGFFRAVNHGVPAELMARALELSAAFFALPDEEKAKARPVEGSEAPLPAGYARQPAHSADKNEYLLAFDPQLGFNLYPDEPSGFRSDQELKTAITRLKL >OGLUM04G27090.1 pep chromosome:ALNU02000000:4:29954955:29965288:1 gene:OGLUM04G27090 transcript:OGLUM04G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDNKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIQYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVSSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >OGLUM04G27090.2 pep chromosome:ALNU02000000:4:29955716:29965288:1 gene:OGLUM04G27090 transcript:OGLUM04G27090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSAHKAQYIDGQREMFKRLDESSPRSSVPSEVGGRSTLKFSMPSFGYDSFNPVRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQEKFLFQMNWFCFLSCVFAVAVDPLFFFLPIIDGDNKSSCIGIDKKLAVTSTIIRTILDLVYLIRVFLQFRTAYVAPSSRVFGTGELVIDPMRIAIQYLKSYFVMDFFALLPLPQIVVWRYLHTLDGPDVSSTKNALVWVVLFQYIPRLLRIFPVTKDLKRTAGVFIETAWLGAAYYLLWFMLAGHNVGTLWYFLTIEREDSCWRSNCHSNDGCNKSYLYCSDNHTGNYTSWLSKRTELLSACSTNSFQFGIFEQALVSGILRPGNFISKICYCFWWGLQNLSTLGQGLQTSIYPGEVLFSIAICVIGLILFALLIGNMQTYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPQIRERVRRYERYRWLETRGVDEENLVQTLPKDLRRDIKRHLCLGLVKRVPLFENMDERLLDAICERLRPTLYTENEYILREGDPVDEMHFILHGCLESETTDGGRSGFFNKVQLKEGAFCGDELLTWALDPKSAANFPASTRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRYYKRKMAEQHRKEEEAANRQSSSSHHPSLAATIYASRFAANALRGVHRLRSRASPTIVRLPKPPEPDFAVDEAD >OGLUM04G27100.1 pep chromosome:ALNU02000000:4:29968944:29971104:1 gene:OGLUM04G27100 transcript:OGLUM04G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTRTRTSLLALASLLLLLLATRAHGIRLDRQLHEAINNKQEIMRDSKAEQSLNTARLMNKHCTSDGHCNSGKVQRPVVQAEAGAAAKQQQQNQSLERSGDANQQEQETAPRQQEKTSSTATATMTTYPDILDIAGMDYSPATRKPPIHN >OGLUM04G27110.1 pep chromosome:ALNU02000000:4:29973696:29976396:1 gene:OGLUM04G27110 transcript:OGLUM04G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPATSLIGGGVGGVAYVVLLLFLANACVSDAGFEVSSRVLMSDEQGRSGLLATWRRLIVETPSPGAGADAAHPGTKSLPLAAARTHRRDPLANLTMYSGGWNISDQHYWASVAYTAVPLILVGMLWFIVFGIVLLIISCCCCFCRKKYNTYSPATYFISLILLIIFTLATIAGCIILHCGQELFHSSTIKTVDYIVGQGNLTVDSLRNFSGSLAAAKNIGVDQVFLPVQVQQKIDVIEDKLNSSANEFSTRALENSKKIKHVMDKMQYNLMVIAAVMLGLAIFGFLFSILGLRFLVSLLVIAGWFVLVITIMMSAAFLLLHNVVADTCVAMDDWVTHPQAHTALDDILPCVDVATANESMYRSEEVTVQLVALVNNVIVNISNRDFPPSFRPLYINQSGPLMPKLCDPFNPDMSPRKCAPGEVNFDTAAAEWKKFECQTTGPPGSEVCATEGRVTPAAYGQMTAAASISQGLYQYGPFLMELQDCSFVRETFTAISDNNCPGLELYSRHVYLGLLLISGAVMMSIVFWMVHTRQRRRRSLGLGR >OGLUM04G27120.1 pep chromosome:ALNU02000000:4:29977531:29978097:1 gene:OGLUM04G27120 transcript:OGLUM04G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDWYAWLSAARLDQEVAYEYALVFSRNELEADDLAYFDHEFLHSMGVSVAKHRLEILKLARRSRRRAALSSRLVAAVDRVARYVRALVVVVVQGREDESSSALVLVPSQQLQPDVDKTPRRSRSKPKSTKEVAAAAAAPTTPKAMRSPVLAIGGRAASTVHAVKDGEKGGEDMVRWDRLFQDLKPN >OGLUM04G27130.1 pep chromosome:ALNU02000000:4:29981968:29983275:-1 gene:OGLUM04G27130 transcript:OGLUM04G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGRKRRSRSALAVVPATPSPPSPPQLTSLLALLASSVSLALRFASDRDLLLHPSQTLELDPLVLAAARGVSRLLAMLPLHLQTLTLTSMSLSPPAPSPPLPSSWFLRLLSESHSLPDSAWRDAFRMSRPAFFQLLHSLALSDPAAASSSSLALPPDHKLGAALFRLAHAAPARAVARRFGLPSPAVAARAFYEVCRTIADRLAILLDLGAPDRIARAVPGFCALSLPNCCGALGYARIGDAVIAQALVDAEGRFLDVSVGWDTAMAPAEILPRTKLYSSQSLVLANAPHGELIGGSVPRYFLGPACCPMLPWLVTPYNDMDAKNGMSKESIFNNVHSHGMRLVRNAFGHVRSRWRLLDECWKGECQEALPYVVVAGCLLHNFLIKCGEPDPEEIQEGAAAELFSDFEGEKDKEGERIRDVLAVHLSLVSRNQ >OGLUM04G27140.1 pep chromosome:ALNU02000000:4:29987787:29992192:1 gene:OGLUM04G27140 transcript:OGLUM04G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-associated (UBA)/TS-N domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G12550) TAIR;Acc:AT2G12550] MATDEASPAAAAAAERIRVVGAWAGSLEVELGGWTVPMLRAEVARRAGGVEPDRINLIFGGRVLKDDPPASLQQAGLKGNAKVLSTLLAPDRGKAIAAQAAAAAAEEEHNNRLVRLWNAAEALCQRHTDGSFHEEDFNLDLEDQSGQKVMFGSVDDLKAVKMALMLHQKAKTFIKRDMHKEALDVLAMAEEAFSLCDPKLIERVDNVPMLQLDIVWCYFVLRDVSRLEVAGTRLERARSGFERSHGKDSARFRLLQAGRHADLAIYVRLELLEGVVAYHNGNTVKARESLTSAQAKYMQLQVPDEAISILMSMGYGARAAKRALKMTGYDIQSSVDLLCEEREKKIRRVQEDMEMQKEIMEQKKYGKTPMNKAVNMQKLKGLVAIGFEKKLAAEALRINENDADKALDLLTDPEQNCILQHKIMSKAKRLSRGSGSGSSSSRAAAATTASGVNNSQAPVDTSANVPDGSAMEESHVLPVINEEAANNVEAVNDDETVNHEEEDMSEESAEGEEEANPPPVRDVAMENELAHEMTGDALDDYDIDVSNEGQAISEYLSLLDSAA >OGLUM04G27150.1 pep chromosome:ALNU02000000:4:29992362:29993234:-1 gene:OGLUM04G27150 transcript:OGLUM04G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKVSAMLLLAMLALSSSPLALACGSCGSGGGGSAPSGGGGSAPSGGGGGIGGVVGGIVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGIVGKVPPVVGGVVGGVAPVVGGVVGGVAPVVGGVAPVVGGVVGGIAPVVGGIIGGGSGGGGYSPSPSPPKRHGGRKACPPSPTPTPSTPTPSSSDTCPIDALKLGVCVDLLGNEVHIGDAHVTCCPLVKDIAGLSAAACLCTAIKAKVLDISIYIPIALKLLVNCGCDVPPGYTCA >OGLUM04G27160.1 pep chromosome:ALNU02000000:4:29995792:29997296:-1 gene:OGLUM04G27160 transcript:OGLUM04G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRDVAALALAIMLAMASTLPGAAAAAAAGASPRRGLWGKDMPVNPFCPWDAVKFGACAGVLGVVGVQAGAHLGSKCCALVDGLAAAEAAACFCTTIKESVLGIPTEWTVGVSVLVH >OGLUM04G27170.1 pep chromosome:ALNU02000000:4:30001460:30006484:-1 gene:OGLUM04G27170 transcript:OGLUM04G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52510) TAIR;Acc:AT1G52510] MSCCPCTTTTSSPLSLPRPACRGRWSGGGGSSANAAAWRARGGGAKRSLVVAASGSKDETAEGKKQEEESEFNPFGFVTDNPSSRGAIQLPESPAQDGNVGQMLYRIEDKGREFGSRVKSGKLRWFVRETGSAGARRGTVVFIHGAPSQSFSYRMVMSQMADAGYHCFAPDWIGFGFSDMPQPGYGFDYTEEEFHKSLDELLSTLNITEPFFLVVQGFLVGSYGLTWALKNSSKVLKVAILNSPLTVSSPVPGLFNQLRLPLFGEFTCQNAVLAERFIEAGSPYVLKSEKADVYRLPYLSSGAPGFALLEAARKANFRDVLSRISAGFASNSWEKPILLAWGISDKYLPLPIAEEFQKGNPAAIKLEPIEGAGHMPQEDWPEKVVTALRSFL >OGLUM04G27180.1 pep chromosome:ALNU02000000:4:30006945:30011298:-1 gene:OGLUM04G27180 transcript:OGLUM04G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSNSPDMIFQVYTPRKRKILNSVHYSESNRAVSHGSGLVTSAKDSHQGEESFLNGPAGLSSILSNSQGFAPQDILSGTTELSGIRCTTSSCKPNETILHTISTNNGTSNCQDTTQSFSLLMECTNHTTPSKPMHPHITFQLMHTKIESSNSCAKYADSTDQSQTAVAHKLLFSCEKGDQSIVAMVHPSQSSLEKIQQKQGISSYEAKHDVQGSTKADDSSSILSPHSLDDEIMSVVGLLSPSAYESLCDGTIQLSSTVKVSVIAFFKSGEKNHDITWPKIIEIKGRVKLDAFEKFVQELRRCQTRSLMVISLCWKFGTSKAGLLGMKEVAENFEANQKVGFADVCDGSNLYVCPRSDAVITILAKCGFFKGMSAADTNQDSLIGCIVWRRNPLSKIVDHSRSETIGKGSPCVPHVGARHPTSLPFSDGHQATSCSNNPGMDSRTNTGNIQNDIDQMQEIQSNSVKTHPVATGLLSQCGHAKSTGERDPTEKVSPFELASHEVPEIQQHVQSIIDSHSGSFGVWHPTSHSQGLSLKSLHVQQRTTHYDLAAQKNHMAEAFSGSITQEYASNAVESSKQQETNPFLLQPDTYGAHRANDIDDLPKVDFANLHRVELKFDVHPINRETTITSDVLICPRLSDKKSNSPFQQSLQPSFRVEGIKNHEKVEVKENVTDMISREITNQVSHRKAEIENFKSKDDIDAEDMPECHFQGLDNEKLHQSGSSKHTSPSLKNSTGSKAPSQVFPPITQKSYTPLEVEDISSGHDPNMPSGCLNIPRDQGSFPRHPPPAQGDQRLAARHPPVLADQGYVPRLPPPVLEDQGLAPRCPPPALAGQGFVPRHPPPVLPNQGFFPRRCPPFAGHVRPPSNFAPPGPWRPVLHPGQEYHHHHYGHPGRSNGPSGSGNKPGYHNPSYGNGPGGCRPPHQAQG >OGLUM04G27190.1 pep chromosome:ALNU02000000:4:30016427:30018886:1 gene:OGLUM04G27190 transcript:OGLUM04G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASPDHLFGLRNSFYVGAYQAVITGVQAIPARAALSPDALAERDSLLYRSYIAIGSHQLVIDEIGPGAATPLQAVRLLAVYLSGGAGGKESEIRKLNELLADDAVGSNPILRLVAGTVLMHERDYAGALKHTNSGGTMELLAMNVQICLQLHRSDHAEKQLRIMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPATCLILNGKALCLMHMGNFEDAEGLLLESLNKDAKDAETLANLVVCSLNLGKSASRYLNQLKLAHPDHMLVKRMSSAEDSFDRACQAIS >OGLUM04G27200.1 pep chromosome:ALNU02000000:4:30019603:30040788:1 gene:OGLUM04G27200 transcript:OGLUM04G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRJ9] MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRRRRRRGRGMGFLVGLALGIAAGVALIVGFARAENSRAARRRQLAATIASFSKMTIEDSRKLLPADLYPSWVVFSTQQKLKWLNQELIKIWPFVNAAASELIKTSVEPVLEQYRPIILASLKFSKLTLGTVAPQFTGVSIIENDESGIVMELEMNWDANPSIILDVKTRLGVSLPIQVKDIGFTGVFRLIFKPLVDQLPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEDTIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVTLKDLQPGKVKDVWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKEETPNPFRQQFSMTSLERTMTSMENGSGSNGFNRLSSRKKKEIIMRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKSKTKYKTRDYMGRCILTLTKVLIEEDYKDSFKLEGAKSGKLNLHLKWSPQPIFLHDKHSPVDAPRGAQRHAATNQSPAAPAAPTPLAEARGAPPIPEPRRPRRVDAPRGAQLRAASPGAPPHPPRTRRLSRSRRGRPLSLGSGQRAFRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGGLIMFDVTSDYITYHLVDLPPVITLGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >OGLUM04G27200.2 pep chromosome:ALNU02000000:4:30019603:30040788:1 gene:OGLUM04G27200 transcript:OGLUM04G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRJ9] MAPRDQSCGDGGEVDPEGGIEAPLLSSGSSFFQDPAHEDGDGDEEARRRRRRFLLAGRSQSNTTSQVALVGVGVCPIESLDYELIENEVFKQDWRARGRGHILRYVALKWALCFLVGVLSAAAGFVANLGVENVAGAKFVVTSNLMLAGRYGTAFAVFLVSNFALTMLATVLTVYVAPAAAGSGIPEVKAYLNGVDAPDIFSLKTLVVKIVGCIAAVSSSLHVGKAGPLVHTGACIASILGQGGSSKYHLTCKWLRYFKNDRDRRDLVTCGAGAGIAAAFRAPVGGVLFALEAVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSDKCGLFGKGVLGGVLGSLHNFFLDKVLRLYNFINEKGQKYKLLLAAVVTICTSCCLFGLPWIASCKPCPSDTEEACPSIGRSGNFKKYQCAMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFFTSYFLGIFSYGLALPSGLFVPVILTGATYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVVILELTNNLLMLPLVMLVLLISKTVADAFNANIYDLLVKLKGFPYLEGHVEPYMRQLSVSDVVTGPLQAFNGIEKVGHIVHVLRTTGHNGFPVVDEPPFSDSPVLFGLVLRAHLLVLLRKKDFIPNCSASALDASKQFLPHDFAKPGSGKHDRIEEIEFSAEELEMFVDLHPFTNTSPYTVVETMSLAKAHVLFREVGLRHLLVLPKSSKRAPVVGILTRHDFMPEHILGLHPFLFKTRWKKVRFGKSAFTNLVF >OGLUM04G27210.1 pep chromosome:ALNU02000000:4:30042491:30057621:1 gene:OGLUM04G27210 transcript:OGLUM04G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFANKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGITNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPRTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNFGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDVGSPREANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDRVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSIILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPGKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >OGLUM04G27210.2 pep chromosome:ALNU02000000:4:30042491:30056498:1 gene:OGLUM04G27210 transcript:OGLUM04G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFANKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGITNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPRTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNFGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDVGSPREANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDRVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSIILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPGKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSLPRSRDGAESAADQT >OGLUM04G27210.3 pep chromosome:ALNU02000000:4:30042491:30056406:1 gene:OGLUM04G27210 transcript:OGLUM04G27210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15290) TAIR;Acc:AT1G15290] MAPKGAGRGKGRGGGGGGKGDKRKKEEKVVPSAIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVTRGQRLEDGVEIVSLKPCSLTIVEEEYATEAAAAAQVRRLLDIVACTTAFANKPRDGAKHKSSKHARPATPPSPPALAASPDAHGAGAAQAPPISEAHDMAAIRPPPRLGEFYDFLSFAHLTPPVHFIRRKESNGASQEGDYFEIEVKVCNGKLLHIVASVKGFYSAGKPHTVSHSLVDLLQQLSSAFANAYDALMKAFLDHNKFGNLPYGFRANTWLIPPIYLDSATKCPALPVEDENWGGNGGGNGRDGKYDRRRWAKEFSTLARMPCKTEEGRVIRDRKAFLLHNLFVDTAIFRAASTIQRLIDLSGNSTSQQAGPDGSLAIEERVGDLLITVKRDQADASLKLEDKVDGVALYQTGSMDISQRNLLKGLTSDESVVVKDTSTLGVVIVKHCGYTATVKVSGRTKDGNGGKQTSDICDHLDGITNVDVDDLPDGGSNALNINSLRISLPKIVNSDIASTQCPTPQSHVDNHARKLVRKILEDSLMKLENMPANNPRTIRWELGSSWLQNLQKKDSPASEDKKNAGHVEKETTIKGLGKHFEQLKKIKKKECHVEGAMSEKEDSDSNCSVINGMEESENTKETDISKLMSEDDFCRLKDLGAGLHQKSLEELTMMAHKFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMCSLGRVVELAEKLPHIQSICIHEMVIRSFKHIVRAVIAAVDDMQNMSAAIAETLNILLGCPRLESDTETDAHSEHNLRFRWVERFLSKRYNWKLKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVNIIPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVNFGTKALSKIVAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGIPKPDSSIASKGHLSVSDLLDYISPDQERKERDTQRKGRRAKNNIRAHQGELVEEKESFEHDVGSPREANKEEFQQVKSKAHPPAISEENYAIHDELKQVDPLSPEEYSDEGWQAANLRGRSANVRKKSSRRRPALTKLMVDRLEDGRTGSAYRAGVQQHMKGDKEDVINSSSQLSFGSFLKTDKVNGNSSNIEDRVFNAISKPERGIKLSGINRPATIASKLVSYKDVAVSPPGTVLKPILEQKEAKEKDNAQNTDLIVSSEEEDKKLTDEDEEKEKPSHDSSKEVLSSEPEEIGNDEKAPDSNSDESPTESKKKGGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASVVLQPIPSQPMEILPHAIDTRVPRGPRSTLYYRTGHTFQRKQGYAHSQSIILRGSNSPPTMNPHAAEFVPGKTSQQPDVANREPSADVSVTDSADQLLAPQTSDEVKAGMPAAEQAIQGESTSPGKGKENRAKDALRNSCKTELARQILFSFIVKSVHDSLGSTGAESDRKPSGPDESGNAQSSNNINKSPSGRKELDKQQKATVVPKSEKDTEGFTVVSKRRRSKQHFVHPIHGLYSQQSICTSVS >OGLUM04G27220.1 pep chromosome:ALNU02000000:4:30060025:30060633:-1 gene:OGLUM04G27220 transcript:OGLUM04G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASHAPRSVVVSSSSPAAAIATAGCVVDTNTTFVQADPATFRALVQKLTGAPGSGGSKPAPAAPVMRRPKLQERRRAAPARLELARPQPLYYSHHHHRLMHSPVSPMDYAYVMASSSSSSSSSLPSSSSSLSPSPPASSSSCGVVVITKEEEEREEKAIASKGFYLHSSPRSGGAGDGERPKLLPLFPVHSPRSSSFARS >OGLUM04G27230.1 pep chromosome:ALNU02000000:4:30063423:30064169:1 gene:OGLUM04G27230 transcript:OGLUM04G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMEVVATEIMDAALHVAVAGRTLAVAERDGTHDPATGRALTGSWLWDSSLVLASHLASCVHHHHLRGATVLELGAGTGLPGIAAVACLGAARCVLTDVRPLLPGLRANADANGLTAEQADVRELRWGGHLEPEVQVDVVLMSDVFYDPDDMPAMADTLHGLWRDGDSDSDGGGTVGWAASEVRDGVQDCIDVLREQGFEVVEVDRVTRPLLRDPEQAADFAVYRLFRRTTSPSIVSDPTPITTAGC >OGLUM04G27240.1 pep chromosome:ALNU02000000:4:30064606:30069212:-1 gene:OGLUM04G27240 transcript:OGLUM04G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT4G32140) TAIR;Acc:AT4G32140] MGSNLKYRAGLVLIVAVVLIWVTSAEVTQGIFTKYKQPFAITYLGASLMIIYIPLSFLKDFICNLLRRSSSSSRVSKVTNKSSFGGCAPLKNGEFHKMLEMESQKTIVINYTDVDIPVIEETKPLICGITEFDDVLKEQELSTKEIAMYGLYLCPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKVIAVFISMAGVVMTTMGQTWASDESEISNSGATQRTLLGDMFGLLSAMSYGLFTVLLKKFAGEEGEKVDVQKLFGYLGLFSLVLLWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGLIGSVLSDYFWYALTKDNIFIILLPSCAILDMELLVRALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRRYSAVYIFGSVQVFSGFVIANLADRFSRFLGL >OGLUM04G27250.1 pep chromosome:ALNU02000000:4:30090805:30094019:-1 gene:OGLUM04G27250 transcript:OGLUM04G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 22 [Source:Projected from Arabidopsis thaliana (AT5G10790) TAIR;Acc:AT5G10790] MTTPSAPPPCPHLAAYRHTTRSLRFLRRCLRVRPLGRPEIRREPRELPRCSPCSSPTSSSSRLYACLSCAAVFCPTHAASHASASTSGGHQIAVDVDRAELFCGACGDQVYDPDFDHAVVLAQSSSLPPPSASASPSPSPVAPRKRRRVEYRAWAPDPAEFALISSADPTTSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRYLCPRQTPMRRRSAEANNKAACLACDLDEIYSAAFSGERTPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTHCGFTSTTFEPCMDISLDLDAGYNNSLGVANPKVHVRNGERSSGGTNTKVSTLMRCLERFTRAERLDAEQKFFCERCKERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKMSRKVDHCLQFPFSLDMAPYLSSSILRSRFGNRIFPSEASDADSVSEFSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERATAV >OGLUM04G27260.1 pep chromosome:ALNU02000000:4:30097586:30100344:-1 gene:OGLUM04G27260 transcript:OGLUM04G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVDDQVRMRQVQRSKNKQINMSELVNSMTQELDYYWSLGTDIDHGTESCLIYKVQQHIRDIDRFSYEPCIVSVGPYHHGSADLQNDLAKYVEAALRWYPKAITDSDRPKDFHHLLHLCHIYFQPSQKLEEDHNYKFVPQYIHSFLSFGRKYFRINYYLENNRHDSSFQNEVDLAQGGDHLNRWRRAAQYLEAGIKFKKREYDKLKPHSLLDIWFSNGSMDIPCIVVDEYTGSLFRNLIAFEQTCPQFGDDFTAYIVFLSQLISMPEDVTLLIQRKIIVHELDSDERVSDLFTMLSKDVVFDFNGNYYLKSLCQTMEAHYQSRINRWMAWLWLNHFSNPWLALAASATVIVLICTIVQTVFGILAYVNPPKPCTVTVQ >OGLUM04G27270.1 pep chromosome:ALNU02000000:4:30103393:30103928:-1 gene:OGLUM04G27270 transcript:OGLUM04G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRRRCARRCSGHRRRQEKDEAAVLVKREHPGRAVAADAARRERFDRMAASDSAAAACHLWSAFDSMTRRKDPLDGLKLYSGDEHYWSSVGFTVAPVFAAAGIWFVVFGVAHRCVRNFSGHLAAGE >OGLUM04G27280.1 pep chromosome:ALNU02000000:4:30112005:30115548:-1 gene:OGLUM04G27280 transcript:OGLUM04G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80460) TAIR;Acc:AT1G80460] MAEEVYVASIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIMESVKICMAKALDKAAADGHNMDVGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPICRRLESDLSGGRTHFVETCGLPISTYFSALKVLWLIENVDAVKNAVRAGDALFGTIDTWLIWNLTGGIGGTDRDGNKVFGHHVTDCSNASRTMLMNLKALDWDKPTLEMLGIPAEILPKIISNSERIGVVANGFPLAGVPIAGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPSAPTNYALEGSIAIAGAAVQWLRDSLGIISTAADIEKLADTVQDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWSKEQIFAGLHKENTTVFRPKLDEAHRRKRADSWYKAVSRSFDLADLSL >OGLUM04G27290.1 pep chromosome:ALNU02000000:4:30119268:30122703:1 gene:OGLUM04G27290 transcript:OGLUM04G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFLVALCLLDSNISTSHGCFVEERTALMDIGSSLTRSNGTVPPSWGRGDGDDDCCLWERVKCSNITGRVSHLYFSNLYDSLEVLNAHGDSFWRFNTTVFSSFPELQFLDLSSIYPSSLNIDGLKLPKLQHLNLSYNWLQESILADLGELVSLEVLDASSNAMSGVVPTAVLKNLTNLKELNLSANGFSGSLPGSLLELPHLDPSGSSLAGRTPINSSLEPVSLQVLNLNNNRMSGALPTERAFGYLRNLRELHLSSNNFTGNISTFLLSLPHIERLDLSGNTFEGPIPISPSSNLSLSLKGLRFSQNNLSGKLSFFWLRNLTKLEEINLSGNINLAVDVNIPGWVPPFQLKQLALSGCGLDKGIIAEPQFLRTQHHLQELDLSNNNLSGRMPNWLFTKEATLVNLNLGNNSLTGSLSPIWHPQTALQSIVISTNRIAGKLPANFSAIFPSLSTLDLSDNNFYGEIPMSLCSIKHMKDLSLSNNNFSGEMPTCVFTDFPELWTLSASNNQLGGLVFGGMKKLSIGFAMHLQNNKFEGTLPRNLSGALVLDLSGNHITGSIPQKNCSLASIEILDLSNNNLSGSITRCASASLSSLNLHNKLTGNLNWLRHLDKIKTLSLGWNDFEGQITPNLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAAQNYSPLLLIYVIIEAYIIVHDPIDFTFATKGGQYTYAYNFFDLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTFGMDSYQGNSNLRSMSKGNICSPDSGAGDLPSEGRDSMADDPVFYAVSAASFVLAFWGTVAFLFFHPLGRRATIATGNLVFWCGH >OGLUM04G27290.2 pep chromosome:ALNU02000000:4:30119268:30122703:1 gene:OGLUM04G27290 transcript:OGLUM04G27290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGEDGVYFRMRFFFFFFLVALCLLDSNISTSHGCFVEERTALMDIGSSLTRSNGTVPPSWGRGDGDDDCCLWERVKCSNITGRVSHLYFSNLYDSLEVLNAHGDSFWRFNTTVFSSFPELQFLDLSSIYPSSLNIDGLKLPKLQHLNLSYNWLQESILADLGELVSLEVLDASSNAMSGVVPTAAFGYLRNLRELHLSSNNFTGNISTFLLSLPHIERLDLSGNTFEGPIPISPSSNLSLSLKGLRFSQNNLSGKLSFFWLRNLTKLEEINLSGNINLAVDVNIPGWVPPFQLKQLALSGCGLDKGIIAEPQFLRTQHHLQELDLSNNNLSGRMPNWLFTKEATLVNLNLGNNSLTGSLSPIWHPQTALQSIVISTNRIAGKLPANFSAIFPSLSTLDLSDNNFYGEIPMSLCSIKHMKDLSLSNNNFSGEMPTCVFTDFPELWTLSASNNQLGGLVFGGMKKLSIGFAMHLQNNKFEGTLPRNLSGALVLDLSGNHITGSIPQKNCSLASIEILDLSNNNLSGSITRCASASLSSLNLHNKLTGNLNWLRHLDKIKTLSLGWNDFEGQITPNLCKLKCPRIIDFSHNKLSGSLPPCVGNISCESDTAAQNYSPLLLIYVIIEAYIIVHDPIDFTFATKGGQYTYAYNFFDLMSGIDLSGNMLSGEIPWELGNLSHIKSLNLSNNFFTGQIPASFANMSEIESLDLSHNELSGLIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTFGMDSYQGNSNLRSMSKGNICSPDSGAGDLPSEGRDSMADDPVFYAVSAASFVLAFWGTVAFLFFHPLGRRATIATGNLVFWCGH >OGLUM04G27300.1 pep chromosome:ALNU02000000:4:30126067:30129344:1 gene:OGLUM04G27300 transcript:OGLUM04G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSFPWGFFCFFLVVLCLPDSNISTPSHGCFVEERTALMDIGSSLTRSNGTAPRSWGRGDDCCLWEHVKCSNITGRVSHLYFSNLYASDEVLDAHGHSFWRFDTTVFSSFPELQFLDLSMNNATFQSWDVFESFRNLRELDLSSNRLNGSIPSSLFSLPRLEHLSLSQNLFEGSIPVTPSSNITSALKTFNFSMNNLSGEFSFFWLRNLTKLQKIDVSGNANLVVAVNFPSWSPSFQLKVLVLSGCNLDKNIVREPIFLRTQHQLEVLDLSNNSLSGSMHNFLFTEQTTLVYLNLGNNSLTGSLGPIWYPQVNLQAISLPMNRISGHLPANISSVFPNMSFLDVSSNTISGEIPSSLCNITRMEYLDLSNNSLSGELPNCLLTEYPILTTLKVSNNKLGGPIFGGTNHLSIKHALYLDGNKFEGTLPRYLTADFDAHGTLDLHDNNLSGKLDFSQWNLSTLCTLSLAGNSLIGEIHPSICNLTRIMLLDLSHNNLSGAIPNCMTALELDFFIVSHNSLSGHIVPFSFFNSSTVMALDLSHNQFNGNIEWVQYLGEIKYLSLGSNKFEGQISPSLCQLQSLRILDFSHNSLSGPLPSCIGNLSFGQNPVGIPLWSLICENHFRYPIFDYIGCYEDRGFSFRTKGNIYIYKHNFINWMSGIDLSANMLSGQIPRELGNLGHIKALNLSYNFFDGPIPATFANMSSIESLDLSHNKLSGAIPWQLTRLSSLSVFSVMYNNLSGCIPDSGQFGSFDMDSYQGNNLLHPASEGSECAPSSGHSLPDDGGEKANDPILYAVTAASFVVTFWITFAFTSFRM >OGLUM04G27310.1 pep chromosome:ALNU02000000:4:30129874:30133863:1 gene:OGLUM04G27310 transcript:OGLUM04G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPWGFFFLLVLCLSHPDIYMPCGCFVEERAALMDIGSSLTSSNSTAPRSWGRGNDCCLWERVKCSNITGRVSHLYFSNLYDVLKVPAGGAMFWRFNTTVFSAFPELQFLDLSSIYLSSLGSDGLVGLKLPKLQHLNLTHNRLRESIFAPLGELVTLEVLDVSHNYIQGVLPTAVLKELTNLQELYLNNNHFNGSLPKSLLTLPHLRILDLSTNSLAGGIPISSSSEPVSVEVLNLSNNNMSGALPTEQAFGYLRNLRELDLSSNKFSGNISTFLFSLPHIERLDLSRNQLEGSIPITPASNLSLSLKGLRFSQNNLSGRLSFFWLRDLTKLEQIDLSGNSNLAVDVTISGWVPLFQLKQLALSGCDLDKGIIAEPHFLRTQHCLQELDLSGNNLSGSMPNWLFTKEATLINLNLGNNLLTGSLDPIWHPQTALKSFITPTNLIAGKLPANFSSIFPSLSTLDLSGNKFFGEIPISLCHINQMQNLYLSNNNFSGEMPACVFTDFPELWTLRASNNLLEDNKLSGALDTSFWNLPNLVVLNLAGDISDDLFNTSNLMALDMRYNKLTGNLNWLKHFNNIKILSLGWNEFEGQITPNLCKLNCPRIIDFSHNKLSDPLPPCVGNISCVDNRVAQNISPFFLLGLLLTEVSISVYNPRVFTFATKGARYTYGLNFFDLMSGIDLSENMLSGEIPLDIGNLNNTKSLNLSNNFFTGQIPASFVNMSEIESLDLSHNELSGSIPWQLTKLSSLAVFSVAYNNLSGCIPNSGQFGTFSMDSYQGNSYLRNMPKGNICSPDSEAGDLPSEGRDSMADDPVLYAVSAASFVLAFWATVAFLFFHPLGWHAILATGNLVFWRGH >OGLUM04G27320.1 pep chromosome:ALNU02000000:4:30135262:30138880:1 gene:OGLUM04G27320 transcript:OGLUM04G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLLTWGSLSLVLLSVLQSSMMYMSSGCFTEERAALMDIKSSLTRANSMVVLDSWGQGDDCCVWELVVCENSTRRISHLHLSGIYYPPISTPSDRWHLNLSVFSAFHELQFLDLSWNYPSSLSFDGLVGLKKLQYLDFTYCSLEGSFPVFNGEFGALEVLVLNHNHLNRGLSAQAFQNLQNLRQLNLSLNHFGGELPTWLFELPHLKILDLSNNLFEGSIPTSSSLKPFALEILDLSHNHLSGELPTAVLKNIRSLNLRGNQFQGSLPVSLFALPQLKFLDLSQNSFDGHIPTRTSSEPLLLEVLNLQNNRMSGSLCLWSERAFGNLQNLRELYLSSNQFSGSLPTFLFSLPHIELLDLSANLLEGPIPISISSNLSLSLKNIRFSQNNLSGTFPFIWLRNLTKLEEIDFSGNPNLAVDINFPGWIPPFQLKRLVLSSCELDKSTLSEPYFLHTQHHLKVLDLSDNNLTGNMPNWLFTKETALVSLNLGNNLLTGSFAPVCRTCEFFQHLNLSMNRIEGQLPSNISSMFPILSILDFSNNNFSGQIPTSFCQIGSMDYLDLSDNRISGKLPACMFTNYMLVTLKVSNNELSGLIFDGVNNLSIISQLYLDNNKFEGTIPHNLSGQLKIIDLHGNRLSGKLDASFWNLSSLRALNLADNHITGEIHPQICKLTGIVLLDLSNNNLTGSIPDFSCTSELRFLNLSRNYLSGNLSESYFNTSNLIALDITYNQFTGNLNWVGYLGNTRLLSLAGNNFEGQITPNLCKLQYLRIIDFSHNKLSGSLPACIGGLSLIGRANDQTLQPVFETISDFYDTRYSLRGFNFATKGHLYTYGGNFFISMSGIDLSANMLDGEIPWQLGNLSHIRSLNLSYNFFTGQIPATFASMNEIESLDLSHNNLSGPIPWQLTQLASLGAFSVAYNNLSGCIPNYGQLSSFSIDSYLGNNNLHKISQGKRCSPSPGAVAKEDVGERYDDPVLYIVSAASLVMAFWATVAFSFCHSYRQSVKNKM >OGLUM04G27320.2 pep chromosome:ALNU02000000:4:30135262:30138880:1 gene:OGLUM04G27320 transcript:OGLUM04G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYLLTWGSLSLVLLSVLQSSMMYMSSGCFTEERAALMDIKSSLTRANSMVVLDSWGQGDDCCVWELVVCENSTRRISHLHLSGIYYPPISTPSDRWHLNLSVFSAFHELQFLDLSWNYPSSLSFDGLVGLKKLQYLDFTYCSLEGSFPVFNGEFGALEVLVLNHNHLNRGLSAQGSIPTSSSLKPFALEILDLSHNHLSGELPTAVLKNIRSLNLRGNQFQGSLPVSLFALPQLKFLDLSQNSFDGHIPTRTSSEPLLLEVLNLQNNRMSGSLCLWSERAFGNLQNLRELYLSSNQFSGSLPTFLFSLPHIELLDLSANLLEGPIPISISSNLSLSLKNIRFSQNNLSGTFPFIWLRNLTKLEEIDFSGNPNLAVDINFPGWIPPFQLKRLVLSSCELDKSTLSEPYFLHTQHHLKVLDLSDNNLTGNMPNWLFTKETALVSLNLGNNLLTGSFAPVCRTCEFFQHLNLSMNRIEGQLPSNISSMFPILSILDFSNNNFSGQIPTSFCQIGSMDYLDLSDNRISGKLPACMFTNYMLVTLKVSNNELSGLIFDGVNNLSIISQLYLDNNKFEGTIPHNLSGQLKIIDLHGNRLSGKLDASFWNLSSLRALNLADNHITGEIHPQICKLTGIVLLDLSNNNLTGSIPDFSCTSELRFLNLSRNYLSGNLSESYFNTSNLIALDITYNQFTGNLNWVGYLGNTRLLSLAGNNFEGQITPNLCKLQYLRIIDFSHNKLSGSLPACIGGLSLIGRANDQTLQPVFETISDFYDTRYSLRGFNFATKGHLYTYGGNFFISMSGIDLSANMLDGEIPWQLGNLSHIRSLNLSYNFFTGQIPATFASMNEIESLDLSHNNLSGPIPWQLTQLASLGAFSVAYNNLSGCIPNYGQLSSFSIDSYLGNNNLHKISQGKRCSPSPGAVAKEDVGERYDDPVLYIVSAASLVMAFWATVAFSFCHSYRQSVKNKM >OGLUM04G27330.1 pep chromosome:ALNU02000000:4:30150512:30155275:-1 gene:OGLUM04G27330 transcript:OGLUM04G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (ubiquitin-hydrolase) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G42160) TAIR;Acc:AT2G42160] MFSLLIQSVDFTDDAAAAAATAVAAGETSGTSHPLSPRSGDPLPTTTSASVTAPLELPGATSASPARNPKINYTRGILHLYRSSSSSSTASYASAVAATPSSSSSGPAAPQLASDCLLPPWRGTRLLVLAVPTHVSPDDFVRFCGPYIEHASDIHVVRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEVEVCHVLFIVAVQYTPSTKPAVTPPVGSTELPTCPVCIERLDQDISGIMATTCDHSFQCSCVSMWVNSSCPVCQFCQKQSKNPTCSVCQTSGNLWICIICGFVGCGRYEEGHAIRHWKETQHCYSLDLETQRVWDYVGDSYVHRLNHSKSDVKHSKFKSKCKYSGDKCANCSCNDEEDIGGAIFSSKAETIVDEYNRLLASQLETQREYYEARLSEAKKEKEQHISDAVDKAVNDKSKEIQQKIENAMLEKKKLADMNEKLTKNQDIWRRTLKEIEERERAQLKLKDDTIRDLEEQIKDFKFSIKLQKSIEKNKNADDLKGGLLVPLPMVPDSGTKDRPYEWQNENATVAQNANTNEAALTM >OGLUM04G27340.1 pep chromosome:ALNU02000000:4:30157452:30158246:1 gene:OGLUM04G27340 transcript:OGLUM04G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLAVGVSLMLALSLALFLTIAVLLLADLFCSHLRRRRMRAEEGEALSRRRAKLGLAGTSRAAAGDDDAASVATTATTTTAREALSSTPPFYYAHGVMQAAADTKDLLLAIPKLESAVWRWSPARRSSPSSSSSANPVYERFVCISNPVYERGAAPPADVRGDTDTPFETPDASPSPFGITEEVEEEGGEFSPPLSVMRKLPPLGVVACPPPSMGFIDGRPSLGFTDGRPSLTAWSGTVTDTNRASSSSSNLTAHFFSSWSPK >OGLUM04G27350.1 pep chromosome:ALNU02000000:4:30161743:30168435:1 gene:OGLUM04G27350 transcript:OGLUM04G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVAGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENATSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIKEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGVLNKSHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCGLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKRSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >OGLUM04G27350.2 pep chromosome:ALNU02000000:4:30161743:30168435:1 gene:OGLUM04G27350 transcript:OGLUM04G27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHAAVVEIIDDDDDVAAASTPPALHKRSHAVAAAAPDSPDAFSPSPPDPKRRQLAASTIVLDDTPTPPKRRPPPVAADRSASVVADTPRSFVPCSLRNRAIAGDTPDSVLPSPSFHLDAPDSATPGSDVPCSVGLDDIVPETPGFNSPRLARPPAVAGLTSPMTARKFSGVSCPISLDSDDELDDTVYRESLTRTPSNMAKPEHAIQPCTTSCTDKVENATSTDKKDYSKSNVGYQTNNSACKNNGTTSYNQPPRANSPCEDSTLKEADPFINNHCPQEENALPIEERKKKQQEEKRLKKEKKAREIKEKKQKRLETKKQKEAMKAELAELKKLEKEKKKWESGKLATKCIVAEIDSSVIESGSVGGHLVQGFHEKGLCFRVTSNSIKGSILWKMQIPNEFTQDQASTSQVPYILFVLQAEEFCGLVSGGTLLDHVQKVRRQYPEFTICYVTNKLMSFIKRREQNQYNKTTSNSNSWKRPPVEEALCKLATHYARVRSRHCTDEAEVTEHIVGLTYSLANCKKPLTWLSVHANGSNISKGCVDKDRIKRSAWLKSLVAIPGVSPGQAIAIEKKYPSMRSLLNVHEKEHLLEDLRLEGPLGDFKRRLGPACSKKVYTILMAQNGAAEVEVDRRGA >OGLUM04G27360.1 pep chromosome:ALNU02000000:4:30177820:30182668:1 gene:OGLUM04G27360 transcript:OGLUM04G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGDSTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEAFVEEEPCCICQL >OGLUM04G27360.2 pep chromosome:ALNU02000000:4:30177694:30182668:1 gene:OGLUM04G27360 transcript:OGLUM04G27360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQKNSVEQLADVFGFDHASSSGNPVMDQQGYWNNILGSVESHNLQGYQVNRSDGTIPYGNGVHQNGTFLGFWESGEASASGSSLHFGGSNEIKAEQRNIGGGLRIGERRLVAERNLSLDNVDIGLNINGNDLSGENSNVNGASQGSELHGGCSHTGSNGQASELRLHPYRTFILGADQPEPFNSLNGSENPLGDFSLMPEGIDQRPGSSLDGRRLACKRKNIEGVNGQCSAGDSTSFSHRNDSIFHNIASSSHNPSPSTNLPSPNCLLVPSTLDEQLPRYGATTAGLSSSSYDPSGGNNNSGGSQRSFRPRTSLAQHIGPYGVWPSSSTIRHSNSWNHQPPPFQSSFDEPPEVIPVVSSLNFQYQHPMNVVPGIPQMSHRFTGPGASSSRTGNLENRIIGSEEFSARNVVATSFPDAVPPAALDMRHLIPEPSSWNVDGRATTIPGNVPSSSRANTNSMVNPPAGSPFIAHQNLHRRNPRNLSEEISRLSGALRGHQHPRLRSGFLLERQGDGVWGVPLSTRSREGRRLIEIRNALEMIHRGENVRFESIFYGGVDIHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEEVTKLLKQRKFSSWRLEAFVEEEPCCICQL >OGLUM04G27370.1 pep chromosome:ALNU02000000:4:30186238:30186936:-1 gene:OGLUM04G27370 transcript:OGLUM04G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEYHRSSSEDSAASAAAAAAAAAAAMAPLAAAAAAVAAKEEQAAAAAVLPLQQQQPRRQYRGVRMRKWGKWVAEIREPHKRTRIWLGSYATPVAAARAYDTAVFYLRGRSARLNFPEEISSLASLSEGGGASEPREPDGGTLSAASIRKKAIEVGSRVDALQTGMMVAPTTHHRERQKHHHHHHHHPHLQPHGEEQHHHHEQKHQRTAWSGRAKNPDLNQAPSPESSDAE >OGLUM04G27380.1 pep chromosome:ALNU02000000:4:30210183:30214991:1 gene:OGLUM04G27380 transcript:OGLUM04G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >OGLUM04G27380.2 pep chromosome:ALNU02000000:4:30210183:30214991:1 gene:OGLUM04G27380 transcript:OGLUM04G27380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLNDSPAAEAAPPPLSPSADDSGASSSSAAAVVEIPDDADDDSAAVVVVTRQFFPPAVPGGGGDPAPGNARAGWLRLAGAAPPVAATGPAASAAVSKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVLIDHRFFLFRSIVRSWAISRKSSCGFLVHCRYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAGEFEPPAAATGDAAEHNLDLSLGSSAGSKRGNVDGGGDDEITGGGGGGAGSDQRVPMAFDLDWQTAAARSTKAKFDQNSNHPQMPPVLQVTHLPFSPRHHHQFLSNGDPGTAGGLSLTIGAGMAGHWPPQQQQGWGNAGGMSWPLPPHPPPPPTNAAAAATATAAAASSRFPPYIATQASTWLQKNGFHSLTRPT >OGLUM04G27390.1 pep chromosome:ALNU02000000:4:30219014:30219589:-1 gene:OGLUM04G27390 transcript:OGLUM04G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDEDKDTKVDGREKKVTRILAMTHVPADTDAAYWLTGLWTRGSNLALSRILAIRMRGEFIASLPQYKMIFVSTFINSVEKGSVMTEEY >OGLUM04G27390.2 pep chromosome:ALNU02000000:4:30219014:30219438:-1 gene:OGLUM04G27390 transcript:OGLUM04G27390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVPADTDAAYWLTGLWTRGSNLALSRILAIRMRGEFIASLPQYKMIFVSTFINSVEKGSVMTEEY >OGLUM04G27400.1 pep chromosome:ALNU02000000:4:30219593:30225161:1 gene:OGLUM04G27400 transcript:OGLUM04G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQAHKRTISTKNKANISEREQEKEKIARLERSLQCLNEQISFAQAECVEKDAILAKQAKVAEEAILGWEKAEGEAIAIKTQLDDTLDQKAAIEQRICHLDEALNVAMVERELLIKDTAKLISHEQVKVERLEGDVVEKINIIASLDAENRKLSEMLSMKEKMISELTEAKGVIESNFKNLEVKLESADKLNSSLRYEVCMLQKQLDIRSEERKFNLKSADAAHKQHLENVKKITKLEAECQRLRSMVRKRLPGPAAIAKMRNEVETLGNNAVITRTRRFNSTTSFNSGNLVQNSYDASHESSSLLARLHAMEDENKTMKESLSSKDGELQYSRTMLARTTSKLSQVEAQLEELSRGRVATDLVKCSPTVVENPLSSISEDGCNEDNVSCSSSWASALISELEHFKKGKLTTPSCKSTGVSDLSFMDDFEEIEKLALACDAKPTESYDSRRESRESSGKELVTVDGPIETSDQLRQHKIEKAVLKLIELIEGVVQRSSKDYSSTVVLSGGSEGNRSNTLTGYVARAFLWKTSELTSVLQNFVLGCNELLYGSTDVERFVLEINITLDWIISHCFSLQDVSDMRETIIKNLEINSSSGLEVVPVIKHTGIQTTDGMCEPRTPNKMQMAIVSVSSLMDIGYKADDDSEIFRNKIPVSKCEESEGKASSLRAELNALKETGKMMAHGVDGESTINELGKPSNSDINKGNQHGVSSLESKLQLERFPAKEGPKCVSRNEDQHVQMRLEISTASEKLIECQETILNLGKQLKALASPKDAILFDKVVHTKIQSERKPRSQSLNEMLAMDDGGFDYLSSPKTKEIICAELRSWHERSCSVDNGGDDSVTCSSHPMPVAPPMRPYDVNGTCKDEAALKVVALMPSKQKGNTNLLKRILAGRRKEAMTKPNVVATA >OGLUM04G27410.1 pep chromosome:ALNU02000000:4:30234098:30234977:-1 gene:OGLUM04G27410 transcript:OGLUM04G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WUSCHEL related homeobox 4 [Source:Projected from Arabidopsis thaliana (AT1G46480) TAIR;Acc:AT1G46480] MRLHHLHVAYLDHKASSSSSSPAPPSISPSSIPGSAAFPAFSFKCLRPLAPKISLPEPRKMIAPPDFVVPRARNASKLLNYTVQVPAAGTTRWNPSAEQIKVLEMLYRGGMRTPNSIQIERITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTLDPSLLPATANETKEAPEKKEKDVEDGLASCKRRCKAWGDGAGDGDAVVATEAAGGYTDEVTLELFPLHPQGKA >OGLUM04G27420.1 pep chromosome:ALNU02000000:4:30240900:30241232:-1 gene:OGLUM04G27420 transcript:OGLUM04G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVVLLAVPAAAAAGGGFLQAFLQYSFLVWPFNLVLPLARHLPRVCVALRGAAEFLAGEMRMFLSGRRRVQLPQLSGYGRSSSLSPGERRSREELVAYTMVALVGISY >OGLUM04G27430.1 pep chromosome:ALNU02000000:4:30250647:30250901:-1 gene:OGLUM04G27430 transcript:OGLUM04G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHLVAIVAARGFLHLFHLSAPLLWPLNLWLPLPRHLPAACAALYGGVVFHAALLRRANARRGDGGGGEADELLRQALLSISY >OGLUM04G27440.1 pep chromosome:ALNU02000000:4:30255225:30258310:-1 gene:OGLUM04G27440 transcript:OGLUM04G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRLRLLLVVLVAAAYAAGDAASSHSQPTLPAVPPVQAVRPPPAAVGGGSHSQPSFPPRAPVRAVPPPAKLASTATPGALLAAFLAKADPSAHLRFPLAVSPCSHPAVSCSADGQITRLVLESSGLNGTFAPATLSRLVELRVLSLKSNALHGPIPDLSPLENLKALFLAGNRFSGPFPASVASLRRLRSIDLAGNRLSGALPPGIEVAFPHLTFLRLDANHFNGSLPAWNQSSLKLLNVSYNNFSGPVPVTPVMAQMGAAAFAGNPELCGEVLRRECRGSHLLFFHGPGNNGSAAPPVQSAAATGDGPQRDDISLPDSSTPRSRKLRRRAAIAVAATAGAFVAVLLLCAMIAMKRGKKRRRPSSAAYPSPKKSAAMSEVSRDNTDLGYVECVPDEETAAMMMPEEKARRLERSGCLTFCAGEGASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGAAALEAEAFEQNMDAVGRLRHPNLVSLRAFFQAKEERLLVYDYQPNGSLYSLIHGSRSSRAKPLHWTSCLKIAEDIGQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLAFLLESSEVKDDAAYRAPENMKSNRRLTPKSDIYAFGILLLELISGKPPLQHSVLVATNLQTYVQSVRDDEGVDVERLSMIVDIASACVRSSPESRPTAWQVLKMIQEVKEADTAGDNDSDLTSNS >OGLUM04G27450.1 pep chromosome:ALNU02000000:4:30263698:30264654:1 gene:OGLUM04G27450 transcript:OGLUM04G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHGSRDKLQAAASSSHRRVLLLLFATCFALATFVTFVYNTSHFASASGSGAAADSSGGGASAGSGTGAVSALPLPVFDALVHFASISNATHRMSDTDIRAISAVLRARGPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPRHPGLEAYDVSYTTKVRDFRDLLDAARASRAAECRPIQNLLFSECRLAINDLPNDLYDVAWDIVLIDGPSGWNPTSPGRMPSIFTTAVLARTGATAAKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSGTPSLGHFVVRPDGRRDAFCSGQDSTAAGTSSEKSGK >OGLUM04G27460.1 pep chromosome:ALNU02000000:4:30268813:30272074:-1 gene:OGLUM04G27460 transcript:OGLUM04G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISMALAAAALLLLLSLAAADMSIVSYGERSEEEARRLYAEWKAEHGKNYNAVGEEERRYAAFRDNLRYIDEHNAAADAGVHSFRLGLNRFADLTNEEYRDTYLGLRNKPRRERKVSDRYLAADNEALPESVDWRTKGAVAEIKDQGGCGSCWAFSAIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFDFIINNGGIDTEDDYPYKGKDERCDVNRVSFVFFAPLVFQKNAKVVTIDSYEDVTPNSETSLQKAVANQPVSVAIEAGGRAFQLYSSGIFTGKCGTALDHGVAAVGYGTENGKDYWIVRNSWGKSWGESGYVRMERNIKASSGKCGIAVEPSYPLKKGENPPNPGPTPPSPTPPPTVCDNYYTCPDSTTCCCIYEYGKYCYAWGCCPLEGATCCDDHYSCCPHEYPICNVQQGTCLMAKDSPLAVKALKRTLAKPNLSFLFGNGKKSSA >OGLUM04G27470.1 pep chromosome:ALNU02000000:4:30278188:30279794:-1 gene:OGLUM04G27470 transcript:OGLUM04G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLSLLLPVTCFILVVLHAVHVEARPDPAAFGDSDNGFYTLFVFGDSFADTGNLPKRRLSEQSREWYYPYGRDRGNNRPTGRFSNAMVQSDLIARMLGRHEAPPTYRRVDNYVHPHGMNFAAGGSGVFKLPSGAPTLDKQVDHFRDLVQDGTITRRNLRNSIALVAVSGNDYARLANVNDTSKMIKFVDEVTSEIAKQVHRLKNNGARKILVNNLHPVGCTPWVTRPGNYSGCSSTGNMGAYLHGSNLQQKLSHLDYVHHVDLNTAFSNIVNPDQGSKHKVSSQFEHKMQPCCESLDPNGFCGQKGHDGKDLFSVCNDPEKYFYWDDVHPTEAGWKAVMQQLEGPIKKFLGIN >OGLUM04G27480.1 pep chromosome:ALNU02000000:4:30283104:30285579:-1 gene:OGLUM04G27480 transcript:OGLUM04G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRN6] MKLPLLRPLWPMLAPAAGSPDSPPEPSKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPINPTAHLVSLVSPPVMLAAANATTTITTTTTTTTTTVTTTTVAAEVGAHPQHHHHGPVFVGRHPIRVRPWPHPDPNELLKAHHILAAVQNAQRSSRRRGAGPPRPVIAVTPTTTSALQVPSLTSMAHTLRLVDGPLTWIVVEPEHHTDAVAAVLSRSNLNFLHITGPDSSTSRLRMHALREIRKRKMDGVVVFADENSILRTELFDEAQKVKSVGAVPVGVLGEDEGTSETFLQAPSCDAEGKLVGYHVSEETMLPANRGDMLLSSRLEWAGFVVNAQALWEGGGAASRPEWVRDIDAIDDGAAASPLSLVTDAARVEPLASCGQAALAWSHRSDALHEVKFPHEWKIDPPLVTIASRQQDAKPETPLKRTTLLNTEGQH >OGLUM04G27490.1 pep chromosome:ALNU02000000:4:30285832:30290955:1 gene:OGLUM04G27490 transcript:OGLUM04G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTRGEVGVGVGVGLAVAVEARDWGRKHARTLGIFRPLAATRWQPDTEFHCVVTSRGAAWLAKGRQPMLAARDISEDPIGEIFVSKQTMVERYRKQCQEYRATIHPYEADQVYDLAFDTMFRTDK >OGLUM04G27500.1 pep chromosome:ALNU02000000:4:30290981:30292390:-1 gene:OGLUM04G27500 transcript:OGLUM04G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTATPHVLLVPFPAQGHALPLYDLAALLAARGLRLTVVTTPGNAAQLAPLLAAHPDSVRPLVLPFPSHPSLPAGLENTMNCPPVYIAVFIHALAALHRPILAWARSQPAHPVVAVVSDFFCGWTQPLAAEIGVPRVVFTPSGVLGTAVPHSLFRRLVKRPVGCDDGFPVAFPAIPGEPAFEWREISMLYKAYIEGLVEEQVGESLKQNCLWNLESWGFVSNTFRALEGRYLDAPLEDLGFKRVWAVGPVAPDTDAAGERGGEAAVAAGDLSAWLDAFPEGSVVYVCFGSQAVLTPAMAAALAEALERSAVPFVWVVSGDGVVPEGFEARAAAAAKRGMVVRGWAPQVAALRHAAVGWFMTHCGWNSVLEAVAAGVPMLAWPMAADQFVNARLLVEDAGVALRACAGGAGVAPDAGELAAVLASVGEKGSGARARAKELAADAAIAVRSGGSSYEDLERFVQEIQKL >OGLUM04G27510.1 pep chromosome:ALNU02000000:4:30292526:30296219:1 gene:OGLUM04G27510 transcript:OGLUM04G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MEMTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVADASRSSCGCRATPRNAPILRSSWIGFMITDQLSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLATATCCKSLVVVYGGNCVLQIGVASGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >OGLUM04G27510.2 pep chromosome:ALNU02000000:4:30292526:30296219:1 gene:OGLUM04G27510 transcript:OGLUM04G27510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cofactor assembly of complex C [Source:Projected from Arabidopsis thaliana (AT1G59840) TAIR;Acc:AT1G59840] MEMTRSLTLAPLPATLRPASAACRRRRRRRGLPFGALFSPSPPSNQQQQEMHIRALQPRQDWVGEWVRSNDTLVRGLPILVGGASLLAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGTGVLDSALRELLWTWDSLATATCCKSLVVVYGGNCVLQIGVASGSPEDGNAVMVDAQKFMQGSLYRSAMESKKQSYLANLALYPGRTELPFLPANTQALILQPIGDKGIAVIGGDTIRGFTNLDQAWIAMIADKLDATLSKS >OGLUM04G27520.1 pep chromosome:ALNU02000000:4:30295946:30298763:-1 gene:OGLUM04G27520 transcript:OGLUM04G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLAGAEKEVLVEIVRFTQKNGLKGAEGGWKDFLARNDKKFGASVSDPKKRSKDVLLAFLLTFSKDFQKVYFGKLIRRLNEGSAFEQFLKEFPDKISPQLKLVQLTAEHPEYRKNYNFPSYKEGWKVLREGKITNFMKSTMLAIDCEMVLCHDGTEALVRVCVVNSNLEVKLDELVNPLKAVADYRTSITGLSKKDLKGVTCSLVDVQKSLKKLLSKGKILIGHSLSKDLHALKFDYSRVIDTAYIFKYANLPSTASASLNSLCKSVLGYAVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEIARDSVPESDMMKLLAHKIPVYLPCQELLKLFSGNPSIDEKIDSRVRGDYYSTCISFGDRDEVEKAFDELDGQEAKDSSGRLQKNVLLKRDNGDVASFYVRKMAYGAQLNKSELSNKRPPPTEHVEPTKEDNDGDKQKKRKTGKKHEKKKAKISGAE >OGLUM04G27530.1 pep chromosome:ALNU02000000:4:30307143:30308203:1 gene:OGLUM04G27530 transcript:OGLUM04G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQVGVDALRSGAAALDVVEAVVRELESDPFFNSGRGSALTRLGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARLVMDKSPHSYLAFDGAEQFARDQI >OGLUM04G27540.1 pep chromosome:ALNU02000000:4:30313449:30318114:1 gene:OGLUM04G27540 transcript:OGLUM04G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRP3] MAAPSQTTATTTHHRVLLPSHHHHRAVPPSLLRLPLRAARRGRLSAAAAAAAPAASTAAPSEPAAGAVPGKPTVLVAEKLGAAGLELLRGFANVDCSYGLSPEELRAKIPLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMSRNIAQADASLKAGKWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRAHAIGVELVSMEDALTTADFISLHMPLTPATNKMLNDETFAKMKKGVRIINVARGGVIDEDALVRALDSGIVAQAALDVFTKEPPAPDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPYVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVHIAHVESKFPSAISESGEITVEGKVKDGIPHLTKVGSFQVDVSLEGSLILCRQVDQPGMIGAVGSVLGEENVNVSFMSVGRIAPRKHAVMAIGVDEEPKKSTLTKIGEIPAIEEFVFLKL >OGLUM04G27550.1 pep chromosome:ALNU02000000:4:30316299:30331262:-1 gene:OGLUM04G27550 transcript:OGLUM04G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALAFACAASGVGCSDPRFPHLDRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCVAHVSWDKTGGAQLSSVPRPGSLPRVPSGGILIQRPVSWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGSAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPDALRSKFPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVSSGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSTSKMYSTKLSKNYIALDASNDEYEHPHLWYDTNAVIHALELFLRFGDEVSDSNTFRYDLVDLTRQTLAKYANQVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEIQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDRKVFPTTATGDALNISRTLYKKYLHDADLIQPEDPVSESLQTYNA >OGLUM04G27550.2 pep chromosome:ALNU02000000:4:30316299:30331262:-1 gene:OGLUM04G27550 transcript:OGLUM04G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPRLLRVLLLLVALAFACAASGVGCSDPRFPHLDRVRELHRGEGRPAAEQEAAARGLLARLLPSHSGSFDFRVISADQCGGKACFIVDNHPLFDGEGTPQVLLLGTSGVEISAGLHWYLKHYCVAHVSWDKTGGAQLSSVPRPGSLPRVPSGGILIQRPVSWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFQRYNISKSDLDDFFGGSAFLAWSRMANMHGWGGPLPQSWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPDALRSKFPSAKVTHLGNCNPRWCCTYLLDASDPLFVEIGKLFIEEQIREYGGTSHVYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFSYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPIWINSDQCMLHNFAADFEMYGVLDMVSSGPIDARLSANSTMVGVGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSIVGLQDAWKILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIQTPGLYTSTSKMYSTKLSKNYIALDASNDEYEHPHLWYDTNAVIHALELFLRFGDEVSDSNTFRYDLVDLTRQTLAKYANQVFVKIIESYKSNNVNQVSNLCQHFIDLVNDLDTLLASHEGFLLGPWLESAKGLARDKEQEIQYEWNARTQITMWFDNTKTKASLLRDYANKYWSGLLRDYYGPRAAIYFKYLILSMEKKEPFALEEWRREWISLTNNWQSDRKVFPTTATGDALNISRTLYKKYLHDADLIQPEDPVSESLQTYNA >OGLUM04G27570.1 pep chromosome:ALNU02000000:4:30339495:30348904:1 gene:OGLUM04G27570 transcript:OGLUM04G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGAVVFQLLVTTTTLSAAAPTPIALPGCPESCGGIQVPYPFGIGDGCSYHGFNLTCDDEAHHHQTPPKLFMATDNGTVVQVLNISLPDGTVRVRSKLSQSSIAGSSSSSSNASSSRSDLPADGPFTVSSAYNWLVAFGCNIVADLTPYGNIAEGSSSAATCIDGWQNFAGPSCSGIARCRTSVGRGVHSYTIQVTSLIDRNSMGASTSTTWAAAFVAEQGWFSANENAMLYNFTNWLPFTVESVPVVLEWWLDLIRDGAILPLSVGPNTTDFRCLSLHSSSYYNDLNYDRRRCNCSQGYEGNPYIRDGCRDIDECQQPDVYPCHGTCINMPGTYRCLAKKSVKSLPGLITIIAVSAGFGLLFSLLGVAKITNKIKQRRAKKLRRKFFKKNHGLLLQQLISSNKDIAERMKIFSLEELDQATNKFDQNRILGGGGHGTVYKGILSDQRVVAIKKSKIVVQREIDDFINEVVILSQTNHRNVVKLYGCCLETEVPLLVYEFISNGTLSFHLHGQNENPLKWKDRLRIALETARAIAYLHSAASISVLHRDIKSANILLTDTMTAKVSDFGASRSISIDETGILTVIQGTYGYLDPEYYYSSRLTEKSDVYSFGVILAELLTRVTPVFSSETSERTSLASYFVSFIRDNRLSDILDSQIVNEVGAEDAKVVAKLAEACLRLKGEERPTMRQVETTLEDVQRSKVQLNHQIARVSNSNTLKNQTYEGSKCYEGTRQYSLEKEFIQSSEFPR >OGLUM04G27580.1 pep chromosome:ALNU02000000:4:30340169:30340774:-1 gene:OGLUM04G27580 transcript:OGLUM04G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQYKLANPIVGVVVVDSSWAKDGAAARTTVRVVAEQLQCAAAVLGDVRLMSLFLRARGVWVTRRRHCRLRARTFGSPLTLPPDPLGAVDERDRRRIGRERRRAKQAGVAGESSYGRRARWRWASAAAAAGGAPSPPKSGGARPLLRFGRRPCFARAPVSARRGVAYPLKKTREKGNKEEMTWHPDMWGLRGFHADSAAM >OGLUM04G27590.1 pep chromosome:ALNU02000000:4:30379415:30380556:-1 gene:OGLUM04G27590 transcript:OGLUM04G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTSVPVLVPCGTKRWTGENLFFHSCQDVLCSITSKLPLKEAVRTSVLSSHWKRIWTCRANLELSTRTVYSDYDWERCSSHRGFNLNKRKFIKIVDSVLQQHEGAGTEQFRIRFALDNKNSYHINRWVKNAAALKTKGLVLELYSVLFGPRIVRYDFPLKMINSNLCYLRLWFASLKVPADSRGSLNLTKLSLREVDITDEDLNQFLSECNHLREVDITDCKMLTNLRVPGHLNQLKSLLVAICPLLREIKLSCGVTALDYRGPFIPLQLAIPSQTTNVSISLLTFHSALGYIFSDLPSTLTNLETLTLKSKQVERIDMLSRLPRLISLRHLTLGLTI >OGLUM04G27600.1 pep chromosome:ALNU02000000:4:30395491:30398903:-1 gene:OGLUM04G27600 transcript:OGLUM04G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSKKRKLAEEDPGIIGAGRPPSPPSGSRGSADRRLGVVVVADPDSDQGSCDSLLSDATTRLTQDDAAEAASRLLIAVVVRSPEALLAFVQRLTPDAADGYPPGSELWYMAAQLFRDDACWHQFFLDDRHTAESRLRFIQVHCNWLRRGAAPSATAHRYQPVC >OGLUM04G27610.1 pep chromosome:ALNU02000000:4:30399611:30404791:-1 gene:OGLUM04G27610 transcript:OGLUM04G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSVGSDWKATNGAAQDEIMQPTLIYATSSRGIVLGLSRPHGHIGFDPGRTEQILQSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFESDSLYLTTGEAVASLSTFSKMTAP >OGLUM04G27610.2 pep chromosome:ALNU02000000:4:30399611:30404791:-1 gene:OGLUM04G27610 transcript:OGLUM04G27610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 91 [Source:Projected from Arabidopsis thaliana (AT1G23090) TAIR;Acc:AT1G23090] MVGMRGAYGYNDNGNEGGVVVGGGEAEAEIAAMAVLHKVAAPPAQSTASKLKARVKETFFPDDPFRGFKGKPLTTKWVMAVQYLFPILDWVPSYSFSLFKSDLGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLIMGSMLRQAVSPAAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVIHHTKEWSWQTILMAVCFLVLLLTARHVSMKWPKLFWVSACAPLACVIVSTLLVFLFKAQKHGISIIGQLKCGLNRPSWDKLLFDPQYLGLTVKTGLVTGIISLTEGVAVGRTFASLKDYQVDGNKEMMAIGLMNIVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVIMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDLPAVYNIWKMDKMDFLVCLCAFAGVIFISVQQGLAIAVGISIFRVLLQITRPKMMIQGNIKGTDIYRNLHQYKDAQRVPGFLILTVEAPINFANTNYLNERIKRWIEEESSAGTKQSELHFVILDLSAVPAIDTSGISFLIDLKKSTEKHGLELILVNPTGEVMEKIQRANDAHGHFESDSLYLTTGEAVASLSTFSKMTAP >OGLUM04G27620.1 pep chromosome:ALNU02000000:4:30410096:30436783:-1 gene:OGLUM04G27620 transcript:OGLUM04G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASISIQVKDMNSSTAQVRQNKVTSHSSNVTVENQAHYVFQTPEKVLGXLSLPAAAAIPGAAAAIAGAAGCFALGYFLALSRFPRQVVAASPASGETSDEDSEEDSEEEDDDENSGRSRVAKRAPKRAGLRLLFWARNVVTKSDSAKEAERARAKSQTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHITIDAGRTQIAPRPADIVDDVTGVFQCAFVAEDIDQQAAESLGKSPSASDLTALHASSSSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEEKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCLSMARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >OGLUM04G27620.2 pep chromosome:ALNU02000000:4:30410096:30432135:-1 gene:OGLUM04G27620 transcript:OGLUM04G27620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHITIDAGRTQIAPRPADIVDDVTGVFQCAFVAEDIDQQAAESLGKSPSASDLTALHASSSSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEEKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKDLSFFVLLVIIVLCLSMARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >OGLUM04G27620.3 pep chromosome:ALNU02000000:4:30410096:30436783:-1 gene:OGLUM04G27620 transcript:OGLUM04G27620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGASASISIQVKDMNSSTAQVRQNKVTSHSSNVTVENQAHYVFQTPEKVLGXLSLPAAAAIPGAAAAIAGAAGCFALGYFLALSRFPRQVVAASPASGETSDEDSEEDSEEEDDDENSGRSRVAKRAPKRAGLRLLFWARNVVTKSDSAKEAERARAKSQTAASPLEVENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCRWERCGQVKVVVKIESEEDMLVLQGRAKSLNIPTHITIDAGRTQIAPRPADIVDDVTGVFQCAFVAEDIDQQAAESLGKSPSASDLTALHASSSSSSSAADALLDAPTRRQPPVAPPPSLGLRLAARRPNLPPPPAPAPASPAPRRSASATAVLAAQDRAAGAAVGGVAEAKVGGDREEEKGGGSESGSGSDEDDSDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >OGLUM04G27620.4 pep chromosome:ALNU02000000:4:30410098:30414359:-1 gene:OGLUM04G27620 transcript:OGLUM04G27620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAIAEREEAVAKLEGEKASLEKLLAEREKEQAQEASELQTSMIETMEAVEMEKQRHHSTRMEALARLAKLEVTNAELAKSLAREQWNLDLQVDQVAQLREEVDMKTLTQDKYKRKIAKMQKTSPPLVNEIESLRRFKLEEEMIDAEYALTCDRIVNLKDKARKIKENIELTKRRMVHPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLLRIEAVSRSLDNNGSSSLASSSSSSKIDIEAGTWQESHSPRLRDRIRNGQRQLGSAIRQLDSIFSAGHIFLRRNPKALVWAMVYLVCLHIWVLYILTSHPTISEARPGATFSLESLNKTGI >OGLUM04G27630.1 pep chromosome:ALNU02000000:4:30432195:30435964:1 gene:OGLUM04G27630 transcript:OGLUM04G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVAMQDDLERIIEVSRIGERIGKYKQAYARFGSFGIPQEEFLKALSAFEQLINLIEKGVAVEQLYQIDETDITKNSLCFLRFLTEIEICTHEDHYKGFLLTADYSSVFEFCQVEVRPENAEASNEQMKALVEALGIPVLVENLDTTSETDTPILNQHFIYPRPESKEGTMLGPLNSHEIVSPESSGYHAARGELQNQPSTSGSSTNSSTEALGLQSIGTSSTPNERDGKGDRTINDLSPAERRRLAILLYRPGHYDILCPK >OGLUM04G27640.1 pep chromosome:ALNU02000000:4:30451590:30454704:1 gene:OGLUM04G27640 transcript:OGLUM04G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVLPLLLVVVVAAAMARAPTAHAWGKEGHYMVCKIAEGFLTKEAATAVKELLPGWAGGELAETCSWADTERFRYRWSSPLHFADTPGDCQFNYARDCHNTNGEKDMCVVGAINNYTNALEDSSSPYDPTESLMFLAHFVGDVHQPLHCGHVKDLGGNTIIVHWYTRKSNLHHVWDVNVIETALKEFYNEDVSTMIKAIKMNITDEWSNEEKQWETCRSRTKTCADK >OGLUM04G27650.1 pep chromosome:ALNU02000000:4:30466650:30471641:1 gene:OGLUM04G27650 transcript:OGLUM04G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEKKNIKKGGEVEEEVARKGEERKEEVVVVEEEKSQQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >OGLUM04G27650.2 pep chromosome:ALNU02000000:4:30466650:30474197:1 gene:OGLUM04G27650 transcript:OGLUM04G27650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEKKNIKKGGEVEEEVARKGEERKEEVVVVEEEKSQQQQQQQGEEELVGLSLAGGRPKVFPMSSPPPNPSQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAAASATKSNAAVAVKPPVMPAANATQAAVSPVLTRSLSLQSTSVATGQPQVVADPSSICKLQADLPIARRHSLQRFLEKRRDRLVSKAPYPTKSSEGMEASGMEVTAEGKAQ >OGLUM04G27660.1 pep chromosome:ALNU02000000:4:30472101:30473820:-1 gene:OGLUM04G27660 transcript:OGLUM04G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATTTLPLAPLALALAPPSSPISQCSLLLLRPRAPAALSLRPSARLLVAVAAREPELGGSGGGGGGAGDGSGSGGGGDSEKPRGGGGGEEGEGEEEKMGQGLSMSQKITLAYAALVGAGGAMGYMKSGSQKSLAAGGISALVLYFVHTQLPVRPVFASSIGLGISAALLSVMGSRFKKSGKIFPAGVVSLVSLVMVGGYIHGILRSTHA >OGLUM04G27670.1 pep chromosome:ALNU02000000:4:30475301:30480048:1 gene:OGLUM04G27670 transcript:OGLUM04G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >OGLUM04G27670.2 pep chromosome:ALNU02000000:4:30476210:30480048:1 gene:OGLUM04G27670 transcript:OGLUM04G27670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENPQIEMGAFKANGPQLQNGGLRSSMVQSWNLQRFVESALRSIRIVIFTSKLNLLLPFGPASIILHYTTSRHGLVFLFSMLGITPLAERLGYATEQLAIYTGPTVGGLLNATFGNATEMIIAIYALKNGMIRVVQQSLLGSILSNMLLVMGCAFFAGGIVHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEVRQGASEVSLSRFSSCIMLVAYASYLYFQLSGRNNAYSPIGSEEMPNEDAAEEDEESEIGMWESIAWLAMLTLWVSILSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETATLFITVLVVAFMLQDGVANYLKGLMLILCYLIVAASFFVHVDPQSSDD >OGLUM04G27680.1 pep chromosome:ALNU02000000:4:30486107:30487501:1 gene:OGLUM04G27680 transcript:OGLUM04G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-acetic acid inducible 33 [Source:Projected from Arabidopsis thaliana (AT5G57420) TAIR;Acc:AT5G57420] MISGAGGEQQQQQDTKRRLPAPTTSEQERRQKQHRGKMLRLSVQQGDDVTAGVVPPVTVVLDGRSICHRVHLSKHTGYRSLAAALRRMFVDADDDVGAADEAAGRSSCSDADRGGLDLSNAVPGHVVAYEDIENDLLLAGDLNWKDFVRVARRIRIIPAKPSSRRRPQS >OGLUM04G27690.1 pep chromosome:ALNU02000000:4:30490662:30496981:1 gene:OGLUM04G27690 transcript:OGLUM04G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRR4] MALALEAARSWAASVLPPELAAAAGGDPLAALAATAAALVAGVVILAVWFRSGGGAPPKAAAPPPRPPPVKVEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKDEYSQWVVASQRSLLEVMAAFPSAKPPLGVFFAAVAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPTPTGRIHQGVCSTWMKNAIPSEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSILFFGCRNRNMDYIYEDVLQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >OGLUM04G27690.2 pep chromosome:ALNU02000000:4:30490662:30496981:1 gene:OGLUM04G27690 transcript:OGLUM04G27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRR4] MALALEAARSWAASVLPPELAAAAGGDPLAALAATAAALVAGVVILAVWFRSGGGAPPKAAAPPPRPPPVKVEADADADDGRKRVTVFFGTQTGTAEGFAKAMAEEARARYEKAVFKVVDLDDYAAEDEEYEEKLRKETIVLLFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKYAVFGLGNRQYEHFNKVAKVVDELLEEQGGKRLVPVGLGDDDQCIEDDFTAWKEQVWPELDQLLRDEDDTTGASTPYTAAIPEYRIVFIDKSDVSFQDKSWSLANGSGVIDIHHPVRSNVAVRKELHKPASDRSCIHLEFDISGTGLVYETGDHVGVYSENAIETVEQAEKLLDLSPDTFFSVHADAEDGSPRKGGGSLAPPFPSPCTLRTALLRYADLLNSPKKAALVALAAHASDLAEAERLRFLASPAGKLAKEAFLKLWLHSLQLSPHWEYSLQLLHLAYNRVTTPYHHLQTARSDSLNMSLQDGSFQNSCNMCVSLWTYTNGKDSPRSLFDMDEEYSEECSWAPIYVRQSNFKLPADPTTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGNSILFFGCRNRNMDYIYEDVLQNFIQEGALSELIVAFSREGPAKEYVQHKMTEKATEIWNIVSQGGYIYVCGDAKGMARDVHRALHTIVQEQGSLDSSKTESYVKSLQMDGRYLRDVW >OGLUM04G27700.1 pep chromosome:ALNU02000000:4:30503049:30507622:1 gene:OGLUM04G27700 transcript:OGLUM04G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDTSHHYPWLNFSLAHHCEMEEERGAAAELAAIAGAAPPPKLEDFLGGGCNGGSSGGACPPVQTTAPTAAELYESELKFLAAGFQLSGAAGAAPPVPALLPAAALEQTDETKQLALTPQAAVAPPPEQKKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTEEEAAEAYDIAAIKFRGLNAVTNFEIGRYNVESIISSNLPIGSMAGNRSTKAGLELAPSSSADAIAATEANHTGVAPPSTLAFTALPMKYDQADYLSYLALQHHQQGNLQGLGFGLYSSGVNLDFANANGNGAMSNCYTNVSLHEQQQQHQHQHQQEQQQDQQDDQSQSSNNSCGSIPFATPIAFSGSYESSMTAAGTFGYYPNVAAFQTPIFGME >OGLUM04G27710.1 pep chromosome:ALNU02000000:4:30513073:30518269:-1 gene:OGLUM04G27710 transcript:OGLUM04G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLAVDAGFAAAGGSMPDVSRSVVGIALVFVAAVAIVAFVVFNCADGKGSSGGRKRRSYGGGGGGGGGGLAVDAGLGRGFAAAGGSSVPDATSNVVGIVLVFVAAVAIVAFVVFNCAGGSGERRRSTFWGGDDAGGGADGGGGGGCGGGGGGGGCGGGGGGG >OGLUM04G27720.1 pep chromosome:ALNU02000000:4:30524203:30524872:-1 gene:OGLUM04G27720 transcript:OGLUM04G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFGAVPTAPAAGWILIGFGFAAALAVVAIAVFGCADGPKDSPRRKKDKRRRRREDGDGGGGDGGDGGGDGPDGGGDGGDGGGGDQPSSDAHGGHHHHGHGQGGDNGGGHHHHGHGHGGAGDHGGGGHHHHHGHGGDHGGGGWHHHGGDHGGGGGHHYSGDHGASSGFSGGGCGGGGGGGGGGGGF >OGLUM04G27730.1 pep chromosome:ALNU02000000:4:30527679:30528083:-1 gene:OGLUM04G27730 transcript:OGLUM04G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRALAVTAGNGFAAVPTTAPAAGWVLVAFGFAAAVAVVAIAVFGCADRPKQKPKRKKDKRRRRRDDGGGGDDGPDGGDGGGDGGGHHEHGGDHGGGWHHHGGDHGGGGHHFSGDHGGGGGCGGGGGCGGGGGC >OGLUM04G27740.1 pep chromosome:ALNU02000000:4:30528396:30529006:-1 gene:OGLUM04G27740 transcript:OGLUM04G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGHMELDEAGEGRRRSQDLGRATMRRRRAVVDFRLRMGVARGSKLRTPMGLYQPLDRTVRLRLIDQLLLANCQRLSGDFGCSRGSESKTPNPQDLYQPFDQAVWLRFNGSPGITDSFSCGRDCHGLFGLGRMARPRRSGTSLLGESSVGVVGGAGAFRRNGTESSPPVKMSSTQFLCNFCEQTFDDLIWGVE >OGLUM04G27750.1 pep chromosome:ALNU02000000:4:30536330:30541369:1 gene:OGLUM04G27750 transcript:OGLUM04G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLRSRSLKRLLSLGRRSNNSDESNDECVVVVDVVDQPPPSNKPTWRCFSYEEVNKATNGFHRDNMVGRGGYGEVYRGVLEDGSAVAVKRLSPAAAADEKKEKDFLTELGTVGHVRHPNVTALLGCCVDRGLHLIFEFSARGSVSANLHDERLPVMPWRRRHGIAVGTARGLRYLHKGCARRIIHRDIKASNVLLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLLAWAKPYLNDGVAQGLVDPRLGDGGYDGAQLRRLMFVASLCVRPAAAWRPTMTQVLELLESGEISQDQWLMPEEEEEDEFWDFDDLDDFEDDDDDDDDNYDNDDESDSPSISSSACSIHAND >OGLUM04G27760.1 pep chromosome:ALNU02000000:4:30544190:30548890:1 gene:OGLUM04G27760 transcript:OGLUM04G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARVQQEKPWANDPHPFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMVEA >OGLUM04G27760.2 pep chromosome:ALNU02000000:4:30544190:30548890:1 gene:OGLUM04G27760 transcript:OGLUM04G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTSSAAMARQTWELENNIPAAASDPDALDAIYRYDEAAQARVQQEKPWANDPHPFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIVVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRYGMLMPSQRKKEQEESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSNKASTSAPDSSGPEPMGLVNLVGQIIRSHFEEETKDLIN >OGLUM04G27770.1 pep chromosome:ALNU02000000:4:30550997:30552937:1 gene:OGLUM04G27770 transcript:OGLUM04G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGSWKHRNLPERQRRSETGWMRSWPPLPDRGLPLVWPGSQPAPRRMTRISQRCRWREPDPRYVRGTSGAAAPFVPHPTDRLAH >OGLUM04G27770.2 pep chromosome:ALNU02000000:4:30550997:30552939:1 gene:OGLUM04G27770 transcript:OGLUM04G27770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWHVYMFDRCSRDEESFTWALFLLVQASAALGDGLDALLASSAGSGAAAGLAGLSAGASEDDTDIAEVPMEGTGSSLRQRDVGRSCAVRSASDGQARPX >OGLUM04G27780.1 pep chromosome:ALNU02000000:4:30552717:30555013:-1 gene:OGLUM04G27780 transcript:OGLUM04G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVHGLHLIVLTSLCCVALSAGEGDRRSVLWRGRSIAVEDAADSVLVSPSGNFSCGFYKVATNAYTLAVWFTASADATVAWTANRDTPVNGVGSRAELRKDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVSDAAGNRLWQSFDWPTDTLLPEQPVTRYRQLVSAAARGSPYSGYYKFYFDSSNILNLMYDGPEISSNYWPDPFKKWWDNNRTAFNSSRHGSFDRRGVFTASDQLQFNASDMGDGGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVQRQCDVHGLCGRYGICTYSQGPTCSCPDGYVPHDASDWSKGCRRTFDVRCGEDVAFAEMRHTDYWGFDLNYTAGISFDTCRRLCLVDCRCEAFGYRQGTGECYPKISLWNGRVMSIPYQTIYLKFPTGAKNLNPSLLHFDGHTCTMDERDATVSSSYLHGRRNTINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADSVAAGRVGDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLDEMTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDRALFDGDDGEDNTGVVLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKAPAESPARPAAAPDPAEEARSASSPSPSAADA >OGLUM04G27790.1 pep chromosome:ALNU02000000:4:30577491:30579935:1 gene:OGLUM04G27790 transcript:OGLUM04G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRS7] MSRRIHGMCLLVLATFLSCIALSAGDHRSVLWRGGSIAVEDAAENVLVSPSGNFSCGFYKVATNAYTFAVWFTASADATVAWTANRDSPVNGVGSRAELRRDGSLVLQDYDGRVVWSTNTSGTPADRAQLLDTGNLVVADAAGNRLWQSFDWPTDTLLAGQPVTRYKQLVSASARGLPYSGYYKFYFDSSNILNLMYDGPEISSNYWPSPFNKWWDNNRTAYNSSRYGSFDRRGVFTASDQLQFNASDMGDEGVMRRLTLDYDGNLRLYSLDAAAGRWHVTWVAVGRQCYVHGLCGSNGICSFRPGPTCSCPVGYVPNDASDWSKGCRRSPDVRCGGDDVVDFVEMPHTDFWGFDVNYTAGVTFDACRRLCLDDCNCKAFGYRPGTGRCYPKIALWNGRIPIKPDQTIYLKVARSVKNQMINQSSSFLHFDGHACTVDERDASVGSSYLHGRSNEINFIYFYSFLAVVFVVEAIFVVVGYLFVFRADPVAAGRVRDEGYSLVFSHFRRFTYDELSDATCGFRDEIAKGGTGSVYKGVLEDGRSIAVKRLGELTQADEVFRSELSVIGRINHMNLVRIWGFCSEHPHRLLVSEFVENGSLDKALFCDDGESSGVVMLPWRSRYKIAVGVAKALAYLHHECLEWIVHCDVKPENILLDGDFEPKVTDFGLVKLLSRDAGSHMALSRVQGTRGYIAPECWTVGRPITGKADVYSFGVVLLELLRGQRVCDWVAAADGAWDLQRLAAWLKEKLKRDDDEEEVSTWLEELVDARLRGDFNHVQAAAMLELAVCCVDGEPNRRPSMNAVAQKLISLHDTR >OGLUM04G27800.1 pep chromosome:ALNU02000000:4:30579973:30582760:1 gene:OGLUM04G27800 transcript:OGLUM04G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPACIDHLSWKAGTPMHGTRLRDESDYKALKINLKTRSPSSVHRSTPNHDEIHTNGSY >OGLUM04G27810.1 pep chromosome:ALNU02000000:4:30584241:30584772:-1 gene:OGLUM04G27810 transcript:OGLUM04G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAGVALHALHAGVGRGAAAAAASLSPAAVVILAVVATVAVAAVAAFGCADGAKRQRRKNRNDVYYYGQGYPPPPPAGAYGYPAQQPPPPAGYAYPAANAGRPGRSGG >OGLUM04G27820.1 pep chromosome:ALNU02000000:4:30587318:30590118:1 gene:OGLUM04G27820 transcript:OGLUM04G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRYRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGGGEDRGKKLEWRTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSSTNLSSGGTTTGSSEVAVSPPAKKSTDVMVE >OGLUM04G27820.2 pep chromosome:ALNU02000000:4:30587318:30590118:1 gene:OGLUM04G27820 transcript:OGLUM04G27820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVAIISSVSATAAAVVMLALIKRCRRYRRKMKKKMLARIAHEATEQHREMEARDAADSVMIEIGPVEKFLNEILSEKPMRFTSEQLAACTGNYSSELGSGGFGVVYRGELPNGLQVAVKVLKVSMNKKVQEAFMAEIGTIGRTYHVHLVRLYGFCFDADTKALVYEFLENGSLEKYLYGGGGEDRGKKLEWRTLHEIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTADFTPKVADFGLARLGERENTHMSLTGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEVLGRRRNYDLAAQAESQEWFPKWVWDRYEQGDMECVVSAAGIGEEDRAKAEMMCKVALWCVQFQPSARPTMSSVVRMLEGEMAIVPPVNPFHYVMSGGSGSSTLTSSSTNLSSGGTTTGSSEVAVSPPAKKSTDVMVE >OGLUM04G27830.1 pep chromosome:ALNU02000000:4:30590773:30592156:-1 gene:OGLUM04G27830 transcript:OGLUM04G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNGSLALAIIGVITTGIVAVVTIVAIYKCAKIAIKMWYSSSRDHHTPIANGAGSSSSRGGIGGADADVVEMGSMSHFIEGLQNERPVRFSARQLRAFTKSYAHKVGSGGFGVVYRGVFPSGAPVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDADVKALVYEYMEKGSLDRYLFDSSPSPAAERIGFEKLHEIAVGTAKAVRYLHEECAQRIIHYDIKPENVLLGAGMAPKVSDFGLAKLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLLFEMLGRRRNLELGAGAGAHGHGSQEWYPRWVWHRFEAGETEAVLARATAAAAGGGREREKAERVCMVALWCVQYRPEDRPSMGNVVRMLEGEDHIAAPRNPFAHLAPYSAAASTPTTTTATTESDGSSARTGR >OGLUM04G27840.1 pep chromosome:ALNU02000000:4:30595055:30596125:1 gene:OGLUM04G27840 transcript:OGLUM04G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDRFLDDILREKPTRFTPENLREFTGDYAERLGAGGFGVVYRGRFPGGVQVAVKILHRTLDRRAEEQFMAEVATAGRTYHINLVCLYGFCFDATTKALVYEYLENGSLDRVLFDAAAGAALEFDTLHGIVAGTARGVRYLHEECQHRIIHYDIKPGNVLLAGDYAPKVADFGLAKLCSRDNTHLTVTGARGTPGYAAPELWLLLPVTHKCDVYSFGMLVFEILGRRRNLDTRRPAESQEWYPRWAWQRFDQGRFGEVMAASGIRSKDGEKAERMCKVALWCIQYQPEARPSMSSVVRMLEGEEQIARPVNPFAYMATIDAISSSSSGGGGVSTATSASASGDSAQSTRHDICH >OGLUM04G27850.1 pep chromosome:ALNU02000000:4:30596999:30604178:1 gene:OGLUM04G27850 transcript:OGLUM04G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRARRRRSPEDDGEGEDGSASGRVTRRRLSPEEGALSLAEASASVAAAGAGAESSPGWLSSIVSGARRVISSVLFSSPEEAASGEEEEDDDEEDETEDNHGAIVSYNESKLAIEEMSRVTDAALPEAPEYGTPKEIPTRNTVVGNDFTGAWRSLSRHRNGTEPVPFSSIGSGSFSPGSPLHASPELLSAAVMEAKKWLEEKRQGLGSKPEDHGPCTLNTDMLNSGFESDMGSPVDLAKSYMQSLPPWQSPFLGSQKFKTPPSAGLHMYDYEGKSKYSLPSSKVTTKEEYLSNFWENLEESRRSRLGSSGSSPDASKVRQYGSTSRLFENDTSIFSSGTDKKVDKPEQNNKGSDKVAGAEPANGHSSPITDKNHVFVDPVDPANDIGNVVKECNAASKVHIEEISQGNQMSSTSVTKDADRDGDVKAPVAEPEIHMESDINSASELRTKDTGPHIHASLNGSTKKTSANGLRDQSNANSGVESSGNDNPSCTNSSTGVPPTSNEVNDPKADAADGDSVENGTRLNSEEPAQVDPKPAYVRRGRKRVVRGTRGRAK >OGLUM04G27860.1 pep chromosome:ALNU02000000:4:30605107:30605688:-1 gene:OGLUM04G27860 transcript:OGLUM04G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25190) TAIR;Acc:AT5G25190] MTKYKGVRQRHWGSWVAEIRHPLLKTRIWLGTYGTAEDAARAYDEAARLMSGPAARTNFPLSSGGGNARSCLSPTLRARLEKCCGAGSSAQAQQGAVAGQDDNDDAAAAAAMGVDDGDEYVEEMIQELTFYGSIEIVQP >OGLUM04G27870.1 pep chromosome:ALNU02000000:4:30625637:30632803:1 gene:OGLUM04G27870 transcript:OGLUM04G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H(+)-ATPase 5 [Source:Projected from Arabidopsis thaliana (AT2G24520) TAIR;Acc:AT2G24520] MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSEEGNRRIEMFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQTVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFTKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILTLCNCKEDVKRKVHAVIDKYAERGLRSLAVARQEVPEKSKESAGGPWQFVGLLPLFDPPRHDSAETIRKALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDASLEALPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFLLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGSYLALMTVIFFWAMHKTDFFTDKFGVRSIRNSEHEMMSALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVTAFMLAQLVATFLAVYANWGFARIKGIGWGWAGVIWLYSIVFYFPLDIFKFFIRFVLSGRAWDNLLENKIAFTTKKDYGREEREAQWATAQRTLHGLQPPEVASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >OGLUM04G27880.1 pep chromosome:ALNU02000000:4:30656439:30660776:1 gene:OGLUM04G27880 transcript:OGLUM04G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVPSAAAASSCDDFGYNATPPPPPSLLPIMDQDGGGGSIQRDHHHHHHQQQLGYNLEPSSLALLPPSNAAAAAAHHATIAHASPHDLLQFYPTSHYLAAAGGAGGGGNPYSHFTAAAAAGSTFQSYYQQPPQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGEHSGEKRRAQANKSAATKDKAGSSSKNAGIGDGFETQLLGGAHMSKDQDQAMDLGEVVKEAVDPKGKASMQQQQQQAHHGIHQQSHQQHGFPFPSSSGSCLFPQSQGAVSSTDTSNIAQVQEPSLAFHQQHHQHSNILQLGQAMFDLDFDH >OGLUM04G27900.1 pep chromosome:ALNU02000000:4:30680140:30681167:-1 gene:OGLUM04G27900 transcript:OGLUM04G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFEHIRRSALASPSTRKYSTIAQPNPRLPTARRRREGKRIGGLLRSSDGGIWVVAQSFRQVLQRQIDLNYIALRDNTKQCLKKNLLSNA >OGLUM04G27910.1 pep chromosome:ALNU02000000:4:30680928:30685724:1 gene:OGLUM04G27910 transcript:OGLUM04G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPHRRRRAVGRRGLGCAMVLYFRVLGDARAERRMCSKRESMALLGFGCGWPSPIPVNEKAGKASYDLPCCMILLSFDRGDQVVVASTFELGEDILGRTELTLIKRIRVSCKDGLKNLRSPIRDVQTCNALEDASPPPVYLSKPAPGFVGELARVVADEIVPEAAVRLHEPLAGVDDAMIRPPPLLLPGAFRRASLRPSSSPMRSISCMDMNVAGEDRTRSSIGVIIAKRTASYVADPFCHHEHVMHDASIIKVCVTHVAGLPVKRRREAKHRFDRSSVSGHQVRTSADQKYCTAKIFTEVGRQGDVRDGQVEKKLENAFLVGQKLHLPPCSPCKGRQGT >OGLUM04G27910.2 pep chromosome:ALNU02000000:4:30681097:30685724:1 gene:OGLUM04G27910 transcript:OGLUM04G27910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYFRVLGDARAERRMCSKRESMALLGFGCGWPSPIPDLPCCMILLSFDRGDQVVVASTFELGEDILGRTELTLIKRIRVSCKDGLKNLRSPIRDVQTCNALEDASPPPVYLSKPAPGFVGELARVVADEIVPEAAVRLHEPLAGVDDAMIRPPPLLLPGAFRRASLRPSSSPMRSISCMDMNVAGEDRTRSSIGVIIAKRTASYVADPFCHHEHVMHDASIIKVCVTHVAGLPVKRRREAKHRFDRSSVSGHQVRTSADQKYCTAKIFTEVGRQGDVRDGQVEKKLENAFLVGQKLHLPPCSPCKGRQGT >OGLUM04G27920.1 pep chromosome:ALNU02000000:4:30682050:30684689:-1 gene:OGLUM04G27920 transcript:OGLUM04G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >OGLUM04G27920.2 pep chromosome:ALNU02000000:4:30682050:30684689:-1 gene:OGLUM04G27920 transcript:OGLUM04G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGGEASSKALQLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKIWAIDLADCEFCPPQDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >OGLUM04G27920.3 pep chromosome:ALNU02000000:4:30682050:30684689:-1 gene:OGLUM04G27920 transcript:OGLUM04G27920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQEMLRIGDDDGRSDALERELKEKLRLRGDASPAKCTRQEQRWRSDHGIVDARERFVQAYGRLRDDLVGDDSCELTDEARRWLAQMIDYNVPGGGEASSKALQLNRGLSVIDSYLLLKQGSEVTEDDFFLACVLGWCVEWFQACALLLDDIMDDSHTRRDQICWYRRPEVGLRGINDGIILKCHILIMIKKYFREKPYFLDLLEIWSEIALQTSLGQMLDLIGTHTGADDLAKYSIEGYRRIVKYKTAYYSFYLPVANALLLSGAKLEDFSGLKDILIEMGIYFQIQDDYLDCFADPNTIGKALGHADNNQIEVLHRNYGKKDSSSVSEVKRTYAALDLKDIFSEFERRCYNHLVTSIEAQKDHAAREILKSFLKKIHQRKK >OGLUM04G27930.1 pep chromosome:ALNU02000000:4:30689913:30693138:-1 gene:OGLUM04G27930 transcript:OGLUM04G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAASIASHLPSGVSAAVAALAASPGEVVRRAAGLEDELRELLRLNGGGRGRGGAAAEQGQGEQTRDRFVRAYERLKSELLNDRAFNFDFTEETRQWVAKMMDYNVPGGKLNRGLSVVDSYMLLRQGTEVDDEDFYLACVLGWCVEWLQASALVLDDITDNAYTRRDNLCWYKLPTVGMSAINDGVLLKCHVQAIIKRYFKEKFYFLDLMELWNEIGLQTAMGQMLDLITTHTGAKDLARYRIQGYRRIVKYKTSYYSFYLPVACALLLNGARLSDYVELKNVLIEMGVYFQIQDDYLDCFGDPEVIGKVGTDIEDYKCSWLIVQAMELANENEMKILYENYGKSDPKCVAEVKSVYRELDLQDIFLEYESRVYKHLVSTIDAETDRAIRDILKSFLKKIYRRKK >OGLUM04G27940.1 pep chromosome:ALNU02000000:4:30694994:30698509:1 gene:OGLUM04G27940 transcript:OGLUM04G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLDYCFSNDYMVLRPDRAGAYELLHLLFSPKVGRNKAVDCFTSTELHSFRRRLSIFLNLLLQLFLLSLVGPLVAVLGGALEFALNLASNVLHGRMEYPDRKSASYRSITGLIDPRVDLERSITPADSRYHAALCVMASKVAYENEAFIRDVVTRRWQMEFVQFFNCWNEFENAYTAQAFVFCDKAADAELVVVAFRGTPALDVARWCADVDPSWYKIPRLGRAHAAYTHALGAQRNIGWPKWVEHVKGKPQRVHAYYAIRDAVKRLLEANGRARVLVAGHGSGGALAVLFATVLAYHKEKAALDRLVGVYTFGQPRVGDAMLAMFAERNLDRPRKRHFRITYGDDPLPRLPHESSAAHFLHFGIRLHFDSLYNLKVVKELPGDGSSSSSAAEFATSRINAAWELARSAYLGYWRSAYCREGWLLMAARAAAVALPGLPFHRVQDYVNAVTLAGSNIPKDM >OGLUM04G27950.1 pep chromosome:ALNU02000000:4:30699201:30700358:-1 gene:OGLUM04G27950 transcript:OGLUM04G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADATTAAQGRRRDDRWSSLPFDMLVLVVDRLGWSNHPSFALTCRHWRSAVSPFYPAWITPLLLSSADVGVANARYYSPYFHRSFEVDGCTLNVPPEANLCCSNGRRLTLCLPKLVLQTDLVTGAVDELPEMPFYWFNFIVYDDADRRMYCVNTIFVVRLARAIQDEDGEWGPWDLTEFNVEEGAQLQASPISNPVLHGGLLYVLGEDGKLAVYDPCNHDDNFKVVDKLKGFGIEHDRVDSYLFESDQGELMAVLVGYTGTPVHVLKLNEETMEWEKMESLDGRALFTGTYTTMMRKTKLKSMQNKVFLPRLYEWPKTIHVDLVIRDGEPAFVPKSHSQSSIEKITSNTSIWSYKVGQQEEARKVWGSEKVDYSIWVDFSTNLQ >OGLUM04G27960.1 pep chromosome:ALNU02000000:4:30713089:30714799:1 gene:OGLUM04G27960 transcript:OGLUM04G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIMLLSFRVSSGHLLLTTWKQISVTINHGIRTCILMSFCFSFTSHTLYQEKNTKKRSKLRSNSWRQATSHLMHWIAGLLRCCCWEWDTPPPPTMATACPCIACHGVRCCIFRAFTRGARSSETTESGPRRRAGCSWRAAARRRPSCGTLSPAPGSACRPTTTAPSSP >OGLUM04G27970.1 pep chromosome:ALNU02000000:4:30716539:30723325:1 gene:OGLUM04G27970 transcript:OGLUM04G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGAGEEGGAAAAQPQQEGPVVTCKGVNGLDKVVLREVRGSSAEVYLYGGHVTSWKDEHGEELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLDPHGFARNRTWSVENDPPPFPVPTSNKAYVDLILTHTEEDLKIWPHSYEFRLRVALGPGGDLMLTSRIRNTNADGKPFSFTFAYHTYFSISDISEVRVEGLETLDYLDNLQERNRYTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMSDFGDDEYKRMVCVEAAAIEKPITLKPGEEWTGKLELSAVPSSYYSGQLDPDRVLQDSSVPEDSIS >OGLUM04G27980.1 pep chromosome:ALNU02000000:4:30725796:30727076:1 gene:OGLUM04G27980 transcript:OGLUM04G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEAWPLAAPQQQQQQPSAPPPQPQAQQQNGRIDLREVKLQLEKRLGPDRSRRYFSYLKGYLSNRLSKADFDKVCLQTLGRENLRVHNRLIRSVLYNAYHAKCPPPTPAPDVGRSVGATVKKVCQPGEALNSCNGDIRLLQMQGSRHMSTMQDHQSKDRMKSTGLSCRVDASANHSQITHGGAAVPENGTLSSSDLKRSVHFQHESAEPLAKHQRVEQSPTGNIIKLRRSMSNVSDHSAEASNSPVRAPLGIPFCSASVGGARKLPPPPISAGEDHCTSCCEHRELLNTEALHRRMEKTAESLGLAGVTLDCADLLNNGLDKYLKNLIRSSVELIGANVQSDARKGELYKQHAYGKHMNGVWLPNHVQMQSGSGPSGATNDIRNHHLISLDDFKVAMQLNPQQLGEDWPVLLEKICLCSPEEND >OGLUM04G27990.1 pep chromosome:ALNU02000000:4:30729275:30732854:-1 gene:OGLUM04G27990 transcript:OGLUM04G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWQRAVVVPVKRAWVVVAARLRRKKQYDGRGVLVKLHDDIQTCAYEDVQVMWEILQRSETARLATAPPSPGSARALIWPGRAATPRRRHR >OGLUM04G28000.1 pep chromosome:ALNU02000000:4:30734768:30738906:-1 gene:OGLUM04G28000 transcript:OGLUM04G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPAFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >OGLUM04G28000.2 pep chromosome:ALNU02000000:4:30734768:30738906:-1 gene:OGLUM04G28000 transcript:OGLUM04G28000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQERTQPTAALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPAFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >OGLUM04G28000.3 pep chromosome:ALNU02000000:4:30734768:30738906:-1 gene:OGLUM04G28000 transcript:OGLUM04G28000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73110) TAIR;Acc:AT1G73110] MATATATSLASSAAHRRLPPSRSAASSILRAPNRGRLCPGSPSVLRASSSSPSSPQPTAGGDEGEEEEEGRRLSKQSSWEAKDSEGDDYLYRLGKEADNMNIAVGARSGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLVRIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQPDREDIINIVHRMYIKDGLSFEDVSKIVDTFPNQALDFYGALRSRTYDRAILQWVEEIGGHEQLNEKLLKRKKGEELPAFIPPKTTVDALIESGDSLVKEQELIMNSKLSKEYMKNLDD >OGLUM04G28010.1 pep chromosome:ALNU02000000:4:30739651:30749671:1 gene:OGLUM04G28010 transcript:OGLUM04G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKVHAARKPNPSAAAPPDSKAKAGRSRPTKNSEPSSYLAGIDLPPSDDDDDDELAGAATPRAASFAPVDVNAAAPPPPPQRKEVKTKKKEERRKHEAAAAAANLWDDPDSYVVTIGGRVLGRGAAAAADAATDNVRDVVVEDFDVSVQGVALFEGASLRVAHGRRYGLVGPNGKGKTTLLKLLHWRKLPVPRGIRVTLVVQEDDNRDPRPVIEVVLAADEELATLRAERDQLEASSAAAAANGARLAEVYEELTQRGWDTAPARAAKILAGLRFDQASQARPVSSFSGGWIKRIALAGALFMQPTLLLLDEPTNHLDLRAVLWLEEYLTAQCKSTLVVVSHEEGFLNAICDEVVHLQDKKLHAYRGGFDSFVGSYEQKKAKAMKESERLAKAARKSGRRAPKKWHDYTVEFHFAAPTELAGGPSSASPRPGKSTLLKLLAGELTPTSGEARRNPKLRIGLYSQHFCDALPEEKSPVQHLLDTHPHLESKPWEARAKLARFGLAKESHLTTIGKLSGGQKARVALASVALGEPHVLLLDEPTNNLDMQSIDALADALDEFAGGVVIVSHDSRLVSRVCDDEERSALWVVQDGTVRPYDGTFADV >OGLUM04G28020.1 pep chromosome:ALNU02000000:4:30749773:30751452:-1 gene:OGLUM04G28020 transcript:OGLUM04G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: rRNA processing; LOCATED IN: preribosome, small subunit precursor; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nucleolar, Nop52 (InterPro:I /.../01); Has 543 Blast hits to 530 proteins in 201 species: Archae - 0; Bacteria - 10; Metazoa - 211; Fungi - 164; Plants - 46; Viruses - 0; Other Eukaryotes - 112 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G20600) TAIR;Acc:AT5G20600] MAAAAAAADASAEAAAIARRLASCNGSARERAVRHLLSTFLPASAPHLSASDLLKLWKGLFFCFWHSDKPLYQSSLATRLAAGVSSGPSPAAAAAFFAAYLATLRREWVHIDTHRLDKFYLLNRRFLHHAFLLLAANSFAADLTSQVVSILSEKVLLPEADNVAAGSPRGLGYHVAEVFLDELSPVLPVSLQTMEVLLSPFFAVLEKSSDRVMVAKVKSSVFERFLESGKQLLEMAKKGEGVEKGSADEKIGKVGLLFGFSKRFSDIGAKAETVQANRKVLFGLRDAFVKVEKGLELSGVEIAVSEFKSTEVTGVENGMDLDEVKVEKKKKKKAKKASLVEGETEGAKDSKQEKKVKKEKKEKKKKKKVEVVDEGDVTEQSTDAPAEEDQQMGDGTEAVTFDETLMSNLQKQFEKAAAEAGMVNGGSSSSASPASGKAAKKRKRAKSADRLSVSDGDDVSSEGTIISQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVLPGPIKETPTPVKKTKPKAKSAKKVLKKPSSAAKRLRKLQNFSA >OGLUM04G28030.1 pep chromosome:ALNU02000000:4:30754011:30755793:1 gene:OGLUM04G28030 transcript:OGLUM04G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPNASAVFLAFIVILIIVIFILLGICWKFLRPDIMRRLMRPKRAPSEVPEYFSGNMSGNLRTITYFDYATLKKATRDFHQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCSEGQQRLLVYEYMKNKSLDKILFGVDGAPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIVSSRKNTDLSLPNEMQYLPEHAWRLYEQSKILELVDAKLQADGFDEKEVMQVCQIALLCVQPFPNLRPAMSEVVLMLTMKTTEQSVIPAPVRPAFLDRKSLKDKNNGGGSDTAAEMRSTSYWLGTPSPMVDRPYDMSCGI >OGLUM04G28040.1 pep chromosome:ALNU02000000:4:30775320:30775589:-1 gene:OGLUM04G28040 transcript:OGLUM04G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWSRAAVVACLLLLAAACAESARPLPAPAKAAAAVVVVRPRSAFDVVVAGLVGIGLGHRWRPGGGDLVDDEKRRVPTGPNPLHNR >OGLUM04G28050.1 pep chromosome:ALNU02000000:4:30784990:30790529:1 gene:OGLUM04G28050 transcript:OGLUM04G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDQKLLCLVFVSGVLVLANLADVSSAAASSLSRQQDQVARVLGRRGRELIGEGLSGHQYRHEGKHKEQHEVVAMEATTKETAETKAGWVDDDEGAREGLIDSADYSGVAMHSPSPPKRKHPKKP >OGLUM04G28060.1 pep chromosome:ALNU02000000:4:30786427:30792721:-1 gene:OGLUM04G28060 transcript:OGLUM04G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamine synthetase 2 [Source:Projected from Arabidopsis thaliana (AT5G35630) TAIR;Acc:AT5G35630] MAQAVVPAMQCQVGAVRARPAAAAAAAGGRVWGVRRTGRGTSGFRVMAVSTETTGVVTRMEQLLNMDTTPFTDKIIAEYIWVGGTGIDLRSKSRTISKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRNRAAQVFSDPKVVSQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGSDKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYILERITEQAGVVLTLDPKPIQGDWNGAGCHTNYSTKSMREDGGFEVIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDNFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYVVTALLAETTILWEPTLEAEVLAAKKLALKFLENHATFHSPVNILVEHHP >OGLUM04G28070.1 pep chromosome:ALNU02000000:4:30793796:30796708:-1 gene:OGLUM04G28070 transcript:OGLUM04G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRRGGGASAAFDRSVFPILLAAAARSTHRRTPHGSDSTVARLLRRALSRSRPSLHPLPASLVAILPLLLTSSSASVAALSCEVLGAAALQSMEASKTLASDAAIADCLARALRCGSQRVAEAACNAIMDLSASSAGREHLAGSAVLQSILSTECSKSASKANKSFYLMVDTLVLMVNSCQVDSLHNLQQDVVRKVLPLLHKIWKNVDKLGSSTDCINSKNQLQRKEHEISEAIFRLSMNIACPAHLEPDEVRRSIFGQSVSDYENFLLNYWEKSTYLVTRKQKNLHVDSVFTSLLNEFDPKTPDTIIQSLVNGIVSCPAIASDELDISSFLREVQGSLGATVKYRQDIRVVRTNDQCDQTSIGYAMEEHFFDDGMTFQDADAFVEKCKDAFKNGFSVALRGMEFRSEKIAAIASAVADLFGQPSVGANIYFSPPRAQGLARHYDDHCVLVWQLLGCKKWMIWPDTKLLLPRLYEPFEPLDDLVDDCGGRMEILLEGDIMYVPRGFVHEAHTDVDVGGFEVNSTVDCSLHLTLAIEVEPPFEWEGFTHIALHCWTEKHWSSPFVKSQEEARTSLFALLLHVAIRLLSKNDATFRKACMVAAKLPPSSSCTTTHSKALRSNQRSFFDEIIKKIDKSCNFKEALMCIGLAVREKNDEPFQWMCWLRHLPQHGGTNDQVDFCNILGALEELLEAVSCNLEQSLTEFTDFKSKFCRCVAYEDACESFEMLLQMYRTTRNQYTRGMLALHRKHEI >OGLUM04G28080.1 pep chromosome:ALNU02000000:4:30803189:30803967:1 gene:OGLUM04G28080 transcript:OGLUM04G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTLLVLLVAAAVAVVPLAAGQPWATCGDGTYEQGSAYENNLLNLALTLRDGASSQEILFSTGSNGAAPNTVYGLLLCRGDISRAACYDCGTSVWRDAGSACRRAKDVALVYNECYARLSDKDDFLADKVGPGQLTTLMSSTNISSGADVAAYDRAVTRLLAATAEYAAGDIARKLFATGQRVGADPGFPNLYATAQCAFDITLEACRGCLEGLVARWWDTFPANVDGARIAGPRCLLRSEVYPFYTGAPMVVLRE >OGLUM04G28090.1 pep chromosome:ALNU02000000:4:30804738:30808965:1 gene:OGLUM04G28090 transcript:OGLUM04G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSIPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGMRRHPGNNGTMAMASRGGGRFSRNEPTKDSAPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEAMAVGAQMVSAGDHMGRNGVAC >OGLUM04G28090.2 pep chromosome:ALNU02000000:4:30804738:30813837:1 gene:OGLUM04G28090 transcript:OGLUM04G28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGYNNPYDSSGTDDDLPPTQNRGLRGRSFSANGRASVMPFSYIRPHNDLESEIHQVEQEAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDDEHRELLNKVNEDVAIRRMRELRQGGGSLSAQHRGSRIFHDTEPGPAAKRQRTPLSIPSHSAGLQSPAMPSPSIPSSTKWGPFSGTKGKKTRTNTPLAVPSADPTSLINRKIYTRWPDDNNFYEATITDYNPATGKHALVYDMGTVAQTWESVRLSDLAPEDIIWDLDDQGISNRDGWGMRRHPGNNGTMAMASRGGGRFSRNEPTKDSAPPQNGINRNIGHIDVPNTRSVVIEVERVLSNPNMGEIEKAKKLLQDQEQSLLDAIARLDDASDSESEAMAVGAQMVSAGDHMGRNGVAC >OGLUM04G28100.1 pep chromosome:ALNU02000000:4:30809692:30813301:-1 gene:OGLUM04G28100 transcript:OGLUM04G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETRIDSVDGQIIGLFGVFDGHGGAKVAEYVKQNLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >OGLUM04G28100.2 pep chromosome:ALNU02000000:4:30809692:30813301:-1 gene:OGLUM04G28100 transcript:OGLUM04G28100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSVIPTDGSPVSGGGLSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAIAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVIDHSLEFLILASDGLWDVVTNEEAVDMTRSIHDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >OGLUM04G28110.1 pep chromosome:ALNU02000000:4:30815489:30816544:1 gene:OGLUM04G28110 transcript:OGLUM04G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSANDGYEYDDDAVSGSANEVPRVTGVHQFTIRQYSGVKGKGVGKSVLSRNFTVAGREWFVRFYPDGYNSDTSDHVAFFLQSLYRPSCGSVYHVEFSFALLGGGGGGAVLHDVRIDRPCRFDNRNNSWGIRKYIAREQLEGAALGAIHDDALTVRCTMHVIQRRRGADRPVVTGAGGPVRVPVPPSCHARNAMEFLLSGDAPFDLEIHVGDATFGAHRLALAGQSLYFRKLLYGQVGNEASSPAIRLREMSPEAFGAVLHYVYHDSLPPEATKGRNAAAMAREVFEAADMYAMERLKLMCASNLCRFIGDDTVSGIMELAKAHSCDPLKKACENYMKRRRIPFNPDS >OGLUM04G28120.1 pep chromosome:ALNU02000000:4:30818636:30820961:1 gene:OGLUM04G28120 transcript:OGLUM04G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLPLEVASAPKLDDDGHPQRTGNLWTCVAHIITAVIGCGVLALSWSVAQLGWVAGPIAMVCFAFVTYISAFLLSHCYRSPGSEKMQRNYSYMDAVRVHLGRKHTWLCGLLQYLNLYGIGIAYTITTATCMRAIKRANCYHSEGRDAPCDSNGEHFYMLLFGAAQLLLSFIPNFHKMAWLSVVAAIMSFAYSTIGLGLGLAKTIGDGTVKGNIAGVAMATPMQKVWRVAQAIGDIAFAYPYTIVLLEIQDTLRSPPPESETMQKGNVIAVLATTFFYLCVGCFGYSAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFAASFPNSAFVNRSYSVKILPWRRGGGGGGGAGRYEVNLQRVCFRTVYVASTTGLALVFPYFNEVLGVLGALVFWPLAIYLPVEMYCVQRRISPWTPRWAALQAFSVVCFVVGTFAFVGSVEGVIRKRLG >OGLUM04G28130.1 pep chromosome:ALNU02000000:4:30821761:30829761:1 gene:OGLUM04G28130 transcript:OGLUM04G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZRW8] MKLVYRNLARNGPGSVKLVPEEEDDLWHAYNLIVPGDTLQSVTVRKVLREMASGGRDAERVRLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTMELELKRQFTLTKELWDWLALDTIQQACDPTASADLAVILMQEGLAHLFLIGRSITVTRARIETSIPRKHGPAIAGYESALKKFFEHVLQAFLKHIDFEVVQCAVIASPGFTKDQFRDYMHLEAARRDLRLIIENKQRIVLAHAPSGYKHSLKEVLDSPSVMTLIKDTKAAQEDSARACYGPKHVEIANERLAIQTLLITDNLFRNSDIATRQNYVRLVESVKKFGGTVHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >OGLUM04G28140.1 pep chromosome:ALNU02000000:4:30833170:30833484:1 gene:OGLUM04G28140 transcript:OGLUM04G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLASLVGWNGGGGWRERRARQRLRRRQYCGGTVWLGRRRRPAGRCRLAVSRLVRWRMVAELLRPIRKALMEMAAAAGRRQLVALPQLSFPFVGTLALPAAVA >OGLUM04G28150.1 pep chromosome:ALNU02000000:4:30836744:30840164:-1 gene:OGLUM04G28150 transcript:OGLUM04G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRTPPPPTPPNPNPSVIGSGAAADGGGFGRGEAAAATKHMLAFHFLRALSRIHRATPVTRRTRTIRRAAYSSMARAASPRRAWSRALLGQARARRSRTLMRRAAVLVRRRVVAAPAPSPASARGVRIIAAGETSAAARAVPPPPRQQGEPPRADALRRLVPGGAGMEFSSLLEETADYLRSLRAQVRLLSDWGRNRM >OGLUM04G28160.1 pep chromosome:ALNU02000000:4:30854636:30859627:-1 gene:OGLUM04G28160 transcript:OGLUM04G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSPPTNHSSASLCTIRPSLDPAFIDAPAVREHHDVEVSSAAHLLVLNLVQCRSVNGFPVASRFMQIGKSRKLFHDVLVHSKPNSFTAQNIGSNFAFGLAHTGRACLHGKVPHGPGTSSMLGRAKESLYRNPAARNFSVLSTCSQNAFHGQLAWKQLMTIGSHVPKASPILSRVACAISLAATRSNLVPYLFAFVAGEIMLAQKTSADGEYLPIRDSLYTRAQDGRIYVTSLIFSAVELVIIIFRSIYLALLFTPSILMAPFADTLGSKYRKTWLRLVHRTLEKAGPAFIKWGQWAATRPDLFESDLCAELSKLHTKAPAHSFAYTKKTVEKAFGRKLSDLFENFEEEPVASGSVAQVHRAGLRFRYPGQHIKRVTVAVKVRHPGVGESIRRDFTIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETYEHGESVAHYVDDLDGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVIQPRNSNNTLLKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAERTLKLSKQQNCPNPKAFIEEVERSFSFWGTSEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIEGLMAP >OGLUM04G28170.1 pep chromosome:ALNU02000000:4:30861101:30865314:1 gene:OGLUM04G28170 transcript:OGLUM04G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-glucan-protein synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G16510) TAIR;Acc:AT5G16510] MSLEIQDSEVDIVIAALQPNLTTFFEAWRPFFSRFHIIVVKDPDMAEELQIPTGFDLKVYTKSDMGVLGATSIDFSGHSCRYFGYLVSRKKYVISIDDNCFPAKDNGGLTVDAVAQHMSNLKTPATPFFFNTLYDPFRKGADFVRGYPFSLREGVECMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPVMFPALRLRKEGKHRWDTLEDVWNGLCAKVVCDRLRYGVKTGLPYVMRSDAEAGKALESLKEWEGVKVMDVVLPFFESLKLSSTSVTVEDCVKELTSIVKEKLGPQNAIFAKAADAMEEWTKLWKSHGAQSA >OGLUM04G28180.1 pep chromosome:ALNU02000000:4:30864002:30875153:-1 gene:OGLUM04G28180 transcript:OGLUM04G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMSTFMWWTERRIFSGQNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEHEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMVAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >OGLUM04G28180.2 pep chromosome:ALNU02000000:4:30864002:30875153:-1 gene:OGLUM04G28180 transcript:OGLUM04G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQHNAQFHKNKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMNLNHKNIVKYLGSLKTRSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDSIQRPDAKTLLMHPWLQNSRRALPSLRQPVQSPRDIDEDDEGSSGDNHSGFSGPPRDTQTPTASGLEHEDGRKDLVSESARQDIPDEFHDGMLKTTGSSSSNDVELMKDNVVLNKDPTLVFHEKLSLESSLGATDLNGKLTHEVSQDGPPNKLTSSGQESRKSDGKYVEDESKDGSSLEDGDAFSFQAGGQNINFQKEAKTSVEMANELSRFSDTPGDASFDDLFPPKKRGDHGAEASTSTTGEELQYNGAQNDLAKELKTRMAQKQKENDTEHMNGGKLLEYVMRLREEDIDGTAFDETIPGESLFPLQSVEYSKIVAQLKPGESEDVILSACQKLVSIFNQRPEQKQIYVSQNGFLPLMELLELPKNRIITSVLQLINQIVKDNTTFLENACLVGLIPVVMNFAVPDRAKEVRVQASRFLQQLCQAREMVHLAIDGIWQVFKLQHSTPRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPPMLEISKTRLDHHHSSGSLQSLQADADRHHIIMDPSASPRFTDMVAAGHMERNDNDPIRPQRLSVSAGRTSTDRSPKHIELVSNGHSSGQNDQIRPLLSLLEKEPPSRHVSGQLDYVRHLSGLERHESILPLLHASTERKTNGELDLLMAEFAEVSRQGRENGNLDSNIKTSNRVPSMKYAPSSGPTTSNEGASTSGAASQTASGVLSGSGVLNARPGSTTSSGLLAQMVSMSADVAREYLEKVADLLLEFAQADTVVKSLMSSQSLLARLFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELHDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLSHDNDHRKVEQALLKKDAIQKLVKFFQDCPEQYFVHILDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >OGLUM04G28190.1 pep chromosome:ALNU02000000:4:30877259:30879539:-1 gene:OGLUM04G28190 transcript:OGLUM04G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFWVTTLIFLLAGFVASLFSLLCCNRGPSTNLFHLTLVITATVCCWMMWAIVYLAQLKPLINPILSGE >OGLUM04G28200.1 pep chromosome:ALNU02000000:4:30886345:30889042:1 gene:OGLUM04G28200 transcript:OGLUM04G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGGRAAASMARTASASRRQPETTSLAVDEAGGRASRRPRGWKAVGFMIGLYLAIAMALNAFAQPVTNYLIKRYNMKPNAATNVANVFSGTYSFSPVVGAFVADAFCGRFWTLLFGAVAAFVAMVVITLSATIRQLKPPSCSDVARQAGTCAGPSGLHRAVLYIGMALLVVATGGANPTSLPFGADQFDHDDASSGSSSNEADERRRRAEEPAGLKRFYNWYYVVTMMASFMALTFIAYIQDKVSWGLGFGIPTALVAATFAVFLAGTPLYVRVPAEGSIFSSVARVVVASCRKRRLTLPHPRDARQQEAVLYNPPIVVAAGTGTSRVFKLPLTLQLSFLNKAAIVTADADEIRPDGSPARPWSLCSVQQVEEVKCLVKIVPVWISGVMWFISVAELTNYTFLQALTMDLHMGRSFTIPPVSIAAIFNLAIVLFVPVYDLLVARAAQRVTGVEGGITVLQRQGVGVAISGLALVVAAVVERRRRASALDNGGTSPMSVFVLAPQLAVMGVSAAFNMIGQMEFYNTEFPDQMRTLANAAFYCAQGASSYLATAVVNVVNARTRRRGGGQGWVAEDINAGKLDHFYYAMAVFAAINFVYFLVCSYFYRYKGEPEVAAEDSPPATPEAVLLKH >OGLUM04G28210.1 pep chromosome:ALNU02000000:4:30890145:30890861:-1 gene:OGLUM04G28210 transcript:OGLUM04G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTVLRVDTSCDKCKRKILQTVSGLQGVDKIDIDSEKGTMTVTGSADPVDVIERTRKAGKRAEVVTIGPPPASSSSSSSKPSTEQQQIKKQAPKAEEKVYTAAAEKRAPEPPATVYVHYIPASTWSAPAWPSYEYDQSVVYHQQDPPPACSIM >OGLUM04G28220.1 pep chromosome:ALNU02000000:4:30891866:30895408:-1 gene:OGLUM04G28220 transcript:OGLUM04G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLHEGDAKDWVYKGEGAANLILSYTGSSPSMLGKVLRVKKILKDKGQPAPNCIVFSSHEEHLWGKIPGLLESVKNDCLPQAYATIVMSQHLGTNHVDGGVRVRVSKNFFELAGKNVLDNRPAWRVNASAIDAGADSALLISDHTLFSSNPRGSSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHLGEISKTSEYDPLDLFSGSKERIHMAIKSFFSTPQNNFRIFVDGSLVFGGMGGGADSVHPNETEKCLEDLSKVTGLQLSDFIELLSEAIFKSGMLGKLLATQKLDDHDIEGAIHLYYNIISQPCLVCKSITDTELLRKYSTLHSLPLDKSEKIVRDFLISATAKDCSLMISFRPRQSGTTDSEYDSVFLDSVNQSYDYKAYFIDLDVKPLDKMVLLPSRENV >OGLUM04G28230.1 pep chromosome:ALNU02000000:4:30897269:30903318:-1 gene:OGLUM04G28230 transcript:OGLUM04G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRGRKRAAAAAAVAEEDSSKPEAAEAKKPAARGRGKRAKASPKPKPETEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKALEEGGELYGKTVYLFGSTEPQLLEVNGESKIVLIPIVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALRHLKTERVKLFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFADEKVKDEVLPEDEKEKFKEFIKERVRERKRELKQAKEARKKAIDDMDPKVKEAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRHAHHLM >OGLUM04G28240.1 pep chromosome:ALNU02000000:4:30910170:30911365:1 gene:OGLUM04G28240 transcript:OGLUM04G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRCGGGDRGWGDTVLKAEIGGCTETVDEPEIGGGTEETGEPEFLVEIGGGAEETGEKGDEWRSGEWRRQLAGWEGGCGVRRATAELAMRSGRRVGARAPGDDGGGDVHRRDGQENWWRRWISRSEGKCDYFEGAGVREGGCYSEHQISWG >OGLUM04G28250.1 pep chromosome:ALNU02000000:4:30911388:30925791:1 gene:OGLUM04G28250 transcript:OGLUM04G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLLAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVSCVVCFNLFVVPAIRSLSGWSNPHLPRVHTRLLHPLRGDPHRQEFHRAVIRWVPDDGSGRPGYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKVTPFAMLSRATAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >OGLUM04G28250.2 pep chromosome:ALNU02000000:4:30921424:30925791:1 gene:OGLUM04G28250 transcript:OGLUM04G28250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MLPVEEALAAVLSAAAAAASAAARAAEAVPLHDALGLVLAEDVRAPDPLPPFRASIKDGYAVVASDGPGEYPVITESRAGDDALGVVVTPGTVAYVTTGGPIPDGADAVVQVEDTEQLAGAPDGSKRVRILVRPTQGQDIRNVGCDIQKDSVVLKSSEHIGPAEIGLLATVGVTTVKVYRRPTIAVFSTGDELVEPATESLSRGQIRDSNRAMLLAAAIQHKCKVVDLGIAKDTEESLKEHMDAALSSDADIILTSGGVSMGDRDLVKPCLASMGKIHFEKIRMKPGKPLTFAEIVTEDSSKPSKKVLAFGLPGNPVIPADEIGLGRYVAESTGQQASSRLLSMKSANALLEVPLVGQMLEAGTSMQAILISDMTSSPFDKLPTASNPLPSHLFPSAKSVSTDLSQVPASQNTEVKVAILTVSDTVSLGAGPDRSGPRAISVVNSSSEKLGGATVVATAVVPDDVEKIKNILVKWSDIDRVSLILTLGGTGFTPRDVTPEATKSVIEKEAPGLTYVMLQESLKIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHAEAAPVDQWDRSFRAASSGRGCSCEP >OGLUM04G28250.3 pep chromosome:ALNU02000000:4:30911388:30916821:1 gene:OGLUM04G28250 transcript:OGLUM04G28250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdopterin biosynthesis CNX1 protein / molybdenum cofactor biosynthesis enzyme CNX1 (CNX1) [Source:Projected from Arabidopsis thaliana (AT5G20990) TAIR;Acc:AT5G20990] MVTRWSEKGPGLKILWIWTLGTAGIMITNVVRTRVNDMQKILQEEDEAAAAAAPMASGERVLKDDE >OGLUM04G28260.1 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSIEGQDHQVREVGPRRRVLHIERVSQVMSLLIIRKGENFIHQAFSPQAFALFICGKEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.2 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRRVSRSPHSKHSHRRHSPYSSAERWYFHMNFSGVLSFSNMEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.3 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPDGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.4 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPGTISYYYCSIYVIYGYFQSGAEDNIADGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSIEGQDHQVREVGPRRRVLHIERVSQVMSLLIIRKGENFIHQAFSPQAFALFICGKEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.5 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPGTISYYYCSIYVIYGYFQSGAEDNIADGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRRVSRSPHSKHSHRRHSPYSSAERWYFHMNFSGVLSFSNMEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.6 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPGTISYYYCSIYVIYGYFQSGAEDNIADGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKGIGQEEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28260.7 pep chromosome:ALNU02000000:4:30926454:30933474:-1 gene:OGLUM04G28260 transcript:OGLUM04G28260.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYPHHRRFAVSGDAPPPPPPQPQPPPPSHASPHWYPGPSPPPYPPHANHPFPPQHHQWGPPHPPPPLPQQPPPYGYHPSLPPMPMQPPPPAAGNPWPPHHAAAQPPPPSYPPPPPGQGWANHSWAQSHGYAGHGNEEDWATKAKAWAAAKSVTENHHIQQHAISANRPEVHNYGYQDQYQQPAGLPAEPLRPPIPQSSNDQLPFQMTGQHRETNYLPGTISYYYCSIYVIYGYFQSGAEDNIADGGPLAPPAKNFGSFPSAYEQEVSYNYPSTPGVGNAMIQYPSSQTQPPPTASAMQDGFPQAPSSMHIAPSLEQPHFGHDGQSSKIAVDPSDQPLEFNSRKAPDMAVHRTANFNSNIPAAPIAASDHDAHSAPAQSWIPSTTVLFPQASVPPQAAQMDPSVHAAPLFGAVSGSSYIPPAAFGVGNVTEAFPVDANTPFNVTERSKKPPVPNWLREELLKKKSTSVSASVQHSTEFHSKGSEDAEKTLKSSDQADSRSVDSAKSTDDDEEDEDEIEAARMAAMNQEIKRVTDDLFDEIATKVLNEDDPSAEPNEPTRVSSVKGPGLGESKPKVSAKVVVPAKPTNVSSADHSDGTGLSSPKGALLGLASYDSDDEDDDGDNEDKVPVSNLSSETKAGAARPGEGEKATDGEQHGNHNERNSVVQNASLGEDRKFNDEMSHRNSSAELKQELRVHDTHSREFPSDAKISSQPKDVAPTPDEKAHGYSQNGKVPPSGNNAENFSNMESSQGHLGKSSNEEDFVKEPKAVRRKESESSSKRYNDDDKSSMYGNIDKKGSFKEEKGSDRSVRHGADTREPRSRGNSKQDDAKGERKDYQKDVREKSRDSADRREKGKHEKEDRSRQTIKGSSSHSSRRSRSPSARSRTKKESSSHRESVSSDEPSDNSKRRKLHSRKSSMSPSPPKSRNRYLLSEETSGSYISYPFTYPFSYVSSLDEFRGLHIASILTAGIRLIHLRKEAFQIQNSSQKKIEDTFDFKMPRHPCEAMCGITLHIVLIH >OGLUM04G28270.1 pep chromosome:ALNU02000000:4:30948294:30955410:1 gene:OGLUM04G28270 transcript:OGLUM04G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVKAAQLSGRTLEKVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHALFNNYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPTAIPSAAGS >OGLUM04G28280.1 pep chromosome:ALNU02000000:4:30948556:30951421:-1 gene:OGLUM04G28280 transcript:OGLUM04G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPTLVRLRLRVGSVARLRLRLRHRHRRRRSPEALAPFLEVGIPICASSKQLFILEVELVPYLAWPHRQHILHLLHITDYTRITKRIPHLHLNLHRQLMDHINSSTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITANLRWKPAEFACNVKTCDVSASLLPNVPSWLARECTWQFTCRNSLVSSVTVGDTSGFCANSLFIACLCVVICETLNIEPILDLTVEKLQCSPVMHTVS >OGLUM04G28280.2 pep chromosome:ALNU02000000:4:30948556:30949986:-1 gene:OGLUM04G28280 transcript:OGLUM04G28280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSAYPPPPPYYRLYKDYEKDPSSAPEPPPPVDGPYQLFGATYTTDVVLPSLEDQGVRQLYPKSPDIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFKNLHHLLNSLRPHQEERGGTKATWGITANLRWKPAEFACNVKTCDVSASLLPNVPSWLARECTWQFTCRNSLVSSVTVGDTSGFCANSLFIACLCVVICETLNIEPILDLTVEKLQCSPVMHTVS >OGLUM04G28280.3 pep chromosome:ALNU02000000:4:30950005:30951421:-1 gene:OGLUM04G28280 transcript:OGLUM04G28280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNPTLVRLRLRVGSVARLRLRLRHRHRRRRSPEALAPFLEVGIPICASSKQLFMFFPFRLGS >OGLUM04G28290.1 pep chromosome:ALNU02000000:4:30955932:30957808:1 gene:OGLUM04G28290 transcript:OGLUM04G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BSD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G24820) TAIR;Acc:AT3G24820] MAFSSFSWPFRRRGGGGGGGPSKPSASSAAAAAAAAGNEEEELGVTPQLLDFLRTLSPDSFKSSALQLQGNSHTLAISISISSFPLRSGLDRDVRVEAGDLTGWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYTSSYELRAIQKEKVRRMETENGKAKDVTTVEVEMQESKCSRDSQTLPGDEESQDS >OGLUM04G28300.1 pep chromosome:ALNU02000000:4:30958353:30959990:-1 gene:OGLUM04G28300 transcript:OGLUM04G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L RNA-binding motif/Ribosomal protein S4 family protein [Source:Projected from Arabidopsis thaliana (AT5G15750) TAIR;Acc:AT5G15750] MRKLRFHEQKLLKKTNFLDFKREKGHRDAIVTQRYLLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPYRAEMTDMLLDKLRRLATVMVKLKFAEHLKEAVTYIQQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVQEYNGELDDFDAMA >OGLUM04G28310.1 pep chromosome:ALNU02000000:4:30963643:30964074:-1 gene:OGLUM04G28310 transcript:OGLUM04G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCSKIRYIVWLRQTLRRWRSRAAARAAAEAVPAGHVAVCVGGASRRFVVRAAHLNHPVFRELLRQAEEEYGFPSGAYCGPIALPCDEGLFEHVLRHLSSPSSAARFVTLEDIQSGALSCCCAAAGDALPLLRGIATDKAVW >OGLUM04G28320.1 pep chromosome:ALNU02000000:4:30979551:30980018:-1 gene:OGLUM04G28320 transcript:OGLUM04G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVITIQSLVWLRRTVRRWRSRAAARPAGSKMERDGDAVPAGHVAVRVGGGGEDARRFVVRVVHLNHPAFRELLRQAEEEYGFPSGASGPVALPCDEDHFRDVLRRVSSDERHHLAFCRPAATCGVTKRRAESSSSSSSQAPLLQGMAVDSLVW >OGLUM04G28330.1 pep chromosome:ALNU02000000:4:30984300:30987856:-1 gene:OGLUM04G28330 transcript:OGLUM04G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavanone 3-hydroxylase [Source:Projected from Arabidopsis thaliana (AT3G51240) TAIR;Acc:AT3G51240] MAPVATTFLPTASNEATLRPSFVRDEDERPRVAYNQFSDAVPVISLQGIDEAARAEIRARVAAACEEWGIFQVVDHGVDAGLVADMARLARDFFALPPEDKLRFDMSGGKKGGFIVSSHLQGEAVKDWREIVTYFSYPVKSRDYSRWPDKPAGWRAVVEQYSERLMGLACKLLGVLSEAMGLDTNALADACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDAGKTWITVQPIPGAFVVNLGDHAHANVNLQYLSNGRFKNADHQAVVNSDCCRLSIATFQNPAPDARVYPLAVRDGEEPILEEPITFAEMYRRKMARDLELAKLKKKAKEQRQLQQAALPPPPPTQVAAELAAQKPKSLDEILA >OGLUM04G28340.1 pep chromosome:ALNU02000000:4:30990163:30994182:-1 gene:OGLUM04G28340 transcript:OGLUM04G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I [Source:Projected from Arabidopsis thaliana (AT3G07270) TAIR;Acc:AT3G07270] MTHLEARGTTTAMGALEEAHLAAAISACECECYEEEEEDDLVEGDGEAAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIQPAGVAVALQCWHIPLPENLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHCKKATTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYQSPAGDAADHRAIHSELHLPFCAQCEHHLLPFYGVVHIGYLDGGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKSRFLQNVVDTTGLAV >OGLUM04G28340.2 pep chromosome:ALNU02000000:4:30990163:30994026:-1 gene:OGLUM04G28340 transcript:OGLUM04G28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I [Source:Projected from Arabidopsis thaliana (AT3G07270) TAIR;Acc:AT3G07270] MGALEEAHLAAAISACECECYEEEEEDDLVEGDGEAAAADAMEPAVRALLLGLGEDARREGLRRTPKRVAKAFRDGTRGYKQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIDLFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVADVFAKRLQNPQRLASEVCGALHASIQPAGVAVALQCWHIPLPENLKCKTLQGWISTSHSSRSGVFEGESSSFWNDFSALLKLRGIDMERDSHSASIAWCPLRSHDVPVCNGHCKKATTNGAISPKSVPAPSNMVSAVSSMLLSLGEDPFRKELVGTPQRYVQWLMKFRACNLDVKLNGFTLNNLSVYQSPAGDAADHRAIHSELHLPFCAQCEHHLLPFYGVVHIGYLDGGDGEVIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHCGAIVVVEANHICMISRGIEKIRSSTATIAVLGQFLTDPSAKSRFLQNVVDTTGLAV >OGLUM04G28350.1 pep chromosome:ALNU02000000:4:30997896:31004403:1 gene:OGLUM04G28350 transcript:OGLUM04G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT1G19880) TAIR;Acc:AT1G19880] MSASGEPEKVPAAAEGEEKADGAKDAADSGGELLYCGATNFETMGRKVVGGAQGNLVSPTRMRSLMGVDIRFVASGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLQRNLPTVVSELSKYKVIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIETSPMPCLVTEATNAVCGADFTVWLSSVEGSTILTAGLPQYGQLGHGTDNEYNIKDSSVKLAYDPQPRPRAIASLSGKTIVKAACGTNHTVAVDSSGYVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKHNVLPPNAIISAGAASSACTAGGGQLYMWGKLKNTGDDWMYPKPLLDLSGWNIRCMASGNMHHVVGADDSCISWGTAQNGELGYGPNGQKSSANPKKVDILEGMHVISVGCGYGLSAIVVDRSIASDRLNQLDIYDGDTSTEVEDRVEVQVAKKASASTNARSNKRKKTKDVSESEEDDDEEYDSDDDENGEIKGGKGRRGRKPSNRGRGRGAKKATPEPKPSARGRGRPKKTESPAQKTGSSGRGGKRGKRGRARK >OGLUM04G28360.1 pep chromosome:ALNU02000000:4:31004793:31008270:-1 gene:OGLUM04G28360 transcript:OGLUM04G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MATFHLRTKSNPEPRARFCHSASPSPTTLLYPLPLHSSHLRPRGGGGGDEARRGDPRHSLTLRSRGRFAAGDAVFSVNSGERLPRSDLHVADVVHKTLNMKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >OGLUM04G28360.2 pep chromosome:ALNU02000000:4:31004793:31007735:-1 gene:OGLUM04G28360 transcript:OGLUM04G28360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MRGASGSREARVRERSDPVRGVMTRHGSDSEGNIPHHPSHPETSSSNLRESGEKPTGINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >OGLUM04G28360.3 pep chromosome:ALNU02000000:4:31004793:31006996:-1 gene:OGLUM04G28360 transcript:OGLUM04G28360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators [Source:Projected from Arabidopsis thaliana (AT5G13240) TAIR;Acc:AT5G13240] MTNLIMPTLNMKFLEYTPFDSINLFLDNLDLGDCTIRGNLEAFSCKHTGNDRRLSISLEHEILDCLSKSSDSDHSSPVEHLSCRSSRKTLIYLVLTLSHMYPDYDFSAVRAHLFFKEEEWESFKEMTDTYLSEASKQWAATNEGTSLLDSMTNVIDEVIKIGESDIYSYNPDQDGDPFLEKGVIWSINFFFYNRKLKRVVSFRCSCISKISGDDFLTSAPSDGEEEDALIDMDI >OGLUM04G28370.1 pep chromosome:ALNU02000000:4:31015679:31020708:1 gene:OGLUM04G28370 transcript:OGLUM04G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKNGCLKILACAGGGSDPSAGSDGDADDHVDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEIAPSNIYSSTYSYASEKPLQQEKPDEKILQQEKSDEKILQQDEPAEKILQQEKPEEKILQQDKPDEGPLNEETPDEKLIEKSIDQPGDESIEKPADEPIEKSADQITERSIEQPAERVTEVPIQEPAERVTETPIVKPNDNDVEEHTDKTDESIFVSSTEVKQEETVSLFDGSSEDHQEDCAETAAAVIQSGIRVHTEEQELPNDKDLVKLQAVIRGHLVRRQAAESLQCLLAIVKMQGLVRVHQAQQYGGKFQDSLICSSSEKLLNNGFALKLMDSMSTSKSIHIKCDPSEPDVAWKWMERWTSMIPPNTGGHLLEDRENNESVDEKIKGDAQHEENTLPLDSDISFPKLVPDDAEETLRPSDSHPLEVSACIPDRTSGMEIEDVPEPDLIEKFKEDVEKLTEPETENVAEQPLEVSVEQSTQTDTSREPIPLPEKPESSFDDTMDAYKTEQTSEMEGKKFMARKSCNPAFAAAQLKFEELTSNSTVSRSNSLDGANKPKVHTPRSQDNVSPKQSNDTSIPESSVGHDPKIVVAASECGTEISISSTLDSPDRSEADGGEIVLEIGSLEDRNRVGDNAEKDNSVLHSEVNTSGGAIEPEKEVQTDDTAIAANAIDPVPVEQPHLGQEKPDLHDELEKSVGSYVKTPEGTPLSRTTFAESHGTPSSEVSVNTKKSKSKRPKSHVSKRSLTSPSSDSVGQSSMDNLSKDYRLGRRESSGKVKSDHVDQEPRISNSTPLPSYMQFTESARAKASASVSPKLSPDVQDNNPRKRHSLPMTNGKQDSSPRMQRSSSQAQQNVKSNGAVPVPPNSSGK >OGLUM04G28380.1 pep chromosome:ALNU02000000:4:31024664:31029492:-1 gene:OGLUM04G28380 transcript:OGLUM04G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRSRRVSWASGGNLCKVRLFLSEDSPSQAGLRPQDNLQAKGSWLLHAAGPSSDDSLPPGFESLPPSNDLKIDMSQIPLIRWKCPPHIVLEQDWHIVAGEESREIEIQNERINGALEAIYPRPSNIPPNPFLSLDVKDAHYDDSKTLLVPLIPLEDDDASDQLEGPTLDLPSHYNITGVSNTPVSAEQQPPCGGAISSGFTIEPQAAVSATVTAIMQTIQSNQNGSMADQNGSTIDQELLFKILSDPSQLQRLMKECGPVRHEQSASSSVVAPLVSIPPPQITASSPAPFSDHVGTFHGTNPTLPPPPPMMNRPPSTIPSVAMNHPPSSSPAMNFGSALPSSSPSVNFGSVPGRGVGYYKTLIHQHGGERLEQPFEQHGMQFGMYRQPGPPQNGGIDAMNGAAAMVSRDGKVRPMKPCAYFNSPKGCRNGASCTFLHDASAPTRKDHQKQKGSKRIKLDNTMGGRN >OGLUM04G28390.1 pep chromosome:ALNU02000000:4:31030794:31031126:-1 gene:OGLUM04G28390 transcript:OGLUM04G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OGLUM04G28400.1 pep chromosome:ALNU02000000:4:31032131:31032697:1 gene:OGLUM04G28400 transcript:OGLUM04G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRSRIARDWQPNQQARTLCSVSLPARPQPLRKLLYSARPSPGMATGLDQPHAAAICAKEPTTRWRRSGRGSDEDEAAWSKPAVGGLQLLAATPMARPDSGGGGDEGFGGFGAEERQIKREDLRPDCSRIQSNGWNAQPQE >OGLUM04G28410.1 pep chromosome:ALNU02000000:4:31032730:31035767:1 gene:OGLUM04G28410 transcript:OGLUM04G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07860) TAIR;Acc:AT3G07860] MDPGKSFSAAEEEATDSVSTKPEEVAAYQSSEAKQAKLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRLTVVKLDGTSFDVAMLNTATVKDLKMAIRKKTDEIEQEKMGHRHISWKHIWDNYCLTHQNEKLIDDNSVLSSNGICNNSKVYFSPHVMSRVYRKHSRRRKHRFFHGLNRKIKL >OGLUM04G28420.1 pep chromosome:ALNU02000000:4:31036610:31037660:-1 gene:OGLUM04G28420 transcript:OGLUM04G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein WUSCHEL [Source:Projected from Arabidopsis thaliana (AT2G17950) UniProtKB/Swiss-Prot;Acc:Q9SB92] MDHMQQQQRQQVGGGGGEEVAGRGGVPVCRPSGTRWTPTTEQIKILRELYYSCGIRSPNSEQIQRIAAMLRQYGRIEGKNVFYWFQNHKARERQKKRLTTLDVTTTTAAAADADASHLAVLSLSPTAAGATAPSFPGFYVGNGGAVQTDQANVVNWDCTAMAAEKTFLQDYMGVSGGGGAAAAAPTPWAMTTTTREPETLPLFPVGGGGGDGAHRHAGHGGFPSNFQRWGSAAATTNTITVQQHLQQHNFYSSSSSQLHSQDGPAAGTSLELTLSSYYCSCSPYPAGSM >OGLUM04G28430.1 pep chromosome:ALNU02000000:4:31045152:31048396:-1 gene:OGLUM04G28430 transcript:OGLUM04G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT5G39590) TAIR;Acc:AT5G39590] MGASTSTSNPSLEAQEQETLASASLALPLLRAAFSRSNGSLAEALSPPPAAFRSDSPPVPPRFHDLVARLGPAIASLFFSDVGPAGDAAGWLGFLRGFNRCCARVPASQSLALLLRVYAAACADAGAPCGLQFHPDEDGAGGEGKVVGELAPGEIAVLLWMCWVMAWSGSAPGVSGGQEGGGKGEPVLLPDVTHLVLSALVSAGAVADDAGVWGWEVSRGGKGVKVQEFTSCVLSTAAGLGNCLSRYVQERFRSCAADPVEERSVSTGNTSSCNPDVYLLTRGRAWAISLSLRNTLSEKFLSASVIGMDTEDLLYRSSVHGKGLSRFWSCVEGYKGPVLILLSAFSRSGGDNVVTDQRWGIGILTEEGLQNKDTFYGSSASLCSTYPIFRMLPPSGMTCAGHFLFTTADSSLRPYCASDLAGKEKNFIYCHLHPQIRVYEAKPKPVGLGFGGTIGNERIFLDEDFSKLTVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGEATRRQQDMYKKREDIFSEQRRKVDLKTFGNWEDSPEKMMMDMISDPNAVRREDR >OGLUM04G28440.1 pep chromosome:ALNU02000000:4:31049136:31051690:-1 gene:OGLUM04G28440 transcript:OGLUM04G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS13] MQKAFEKAVLALKVGEISDVVDTDSGVHIILRTA >OGLUM04G28450.1 pep chromosome:ALNU02000000:4:31053175:31053666:1 gene:OGLUM04G28450 transcript:OGLUM04G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTPGDHMALCLGSGGGGPNRAVSEDTGNEDTAAAEERRQVARYKQTLWEFKLSAIVIVLVLAFWVALLLVGTLDHHMSESWRQTYVSSGMVASGPWLFMVICHLRDYGLSVPKMSDFSNQEHQLPPRGPRPLLTDGYRSIIPHKRGSLQNPDRSILLYI >OGLUM04G28460.1 pep chromosome:ALNU02000000:4:31055573:31055758:-1 gene:OGLUM04G28460 transcript:OGLUM04G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPVWGVDDGGAVAGDKLHCGMDSGGCGHRRRAPTWDMDGGTTSDDTLVPGSRYLVRIT >OGLUM04G28470.1 pep chromosome:ALNU02000000:4:31057782:31058216:1 gene:OGLUM04G28470 transcript:OGLUM04G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTQIIPVLCLGNGGSGPNRAVSEDPGSEHTAEDETAREIVDAEVRRQVARYKHKLREFKLTVIGTAVFLVFWVVLLLIGTLDHHMSVSWRRFFVTCGVFECLFWLYLVVGHLREYGLSIPKISDFSNEEHQLPAVGHDRC >OGLUM04G28480.1 pep chromosome:ALNU02000000:4:31064047:31070038:-1 gene:OGLUM04G28480 transcript:OGLUM04G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional factor B3 family protein / auxin-responsive factor AUX/IAA-related [Source:Projected from Arabidopsis thaliana (AT1G19850) TAIR;Acc:AT1G19850] MRNHGFPPRKVGIFRAKQPRSAASHTGAAAGERLGSQDQEREGKRRKGEEGSTTTLLRIIAIYCPHPTTTPTPVYSTPQPLLLPPREKAKTGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTRKIPNSRIPNYPNLPSQLLCQVHNITLHADKDTDEVYAQMTLQPVNSETDVFPIPTLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNMWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLLGVRRATRQQTMLSSSVLSTDSMHIGVLAAAAHAASSGSSFTIYYNPRTSPSPFVIPVARYNKATYMQPSVGMRFAMMFETEESSKRRYTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSIWDIETPENTLVFPSSTLNSKRQCLPGYGVSVPGMEIGSANMSSFPRAQGNPYGSLQHIPAVGSELAIMLLNQSGQTLGSPLSFHQSSYSSIIQNVKQNYIPPLTVSTSACLTKQESLPSDDAQHQFHMANMQNGDLEGSEVQPVIDLISESKLNATSRDPRNTDSYTSRSTSEQNSKGEPRGKTRRSKKGLPHKTVSEKSDLSSAPSWICDNQQVGLESKLVGCDEQVNCGNIEDSSGALTQGNFVGQPHGHQVEQKGVLSPPKVESSKSPDGGKSVNSFPNQGCFSQFIDGLDWMTQPSYYQDSNVIQPAGVSENIFSSSADIPPSMIADTMETFQASCLSDCLPNSIQEFISSPDLNSLTFLSPDMQNLEVQLQHDGSNLPSTSNSFVQMSFSEESASQSENLSGLHMESTHRSINTTSCSQPMSTGGFDAGMYSKLPRLKESQILSLPEIHTNSMGTSACSMDATEYSLDRSAKPMKPPVRTYTKVQKQGSVGRSIDVTGFRNYHELRSAIACMFGLQGKLEHPGSSEWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGMHVLNDCIQAA >OGLUM04G28490.1 pep chromosome:ALNU02000000:4:31084616:31085956:1 gene:OGLUM04G28490 transcript:OGLUM04G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITVHSSKAVKPAYGPGEFPTTTGDVVPLTVFDRANFDTYISVIYAFRPPAPANAALEEGLAKALVEYREWAGRLGVDVDGNRAILLNDGGARFVEATAEVTLDSVMPLKPTAEVLSLHPSGDDAVELMLIQVTRFACGSLVVGFTTQHIVADGRATNNFFLAWSQATRGAAFHPVPVHDRVSFFAPRDTPKVEFEHRGVEFKPYDDDEDVHASGGGDDDDEVVINKVHLSREFISKLKSQASAGAHRPYSTLQCVVAHLWRCMTKARGLDGRESTSVCIAVDGRARMSPPVPDGYTGNVVLWARPTATAGELVTRPLKHAVELINREVTRINDGYFKSFINFANSGAVEEERLVASADAAKMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFFGDGSVDAYVPLFSRDMDVFKNYCYSFDKDQTTSLA >OGLUM04G28500.1 pep chromosome:ALNU02000000:4:31088216:31088743:-1 gene:OGLUM04G28500 transcript:OGLUM04G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLEGSVATSVSIAVDGRARMSPPVPDGYTGNVVLWARPTATARELVTMPLQHAMGLINRAVARINDGYFKSFVDFANSGAVEAERLVSSADAAEMVLSPNIEVDSWLRIPFYELDFGSGQPFLFTPSYLPVEGLLILLPSFSGDGSVDAYVPLFSHDMDTFKNCCYVLPELS >OGLUM04G28510.1 pep chromosome:ALNU02000000:4:31125998:31132444:-1 gene:OGLUM04G28510 transcript:OGLUM04G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPVNGKLQLHDGRTAYHFQPAKFWQNGPLYHNGLYHFFYQYNPHGPLWDTGKLSWGHSVSGDLVNWAFLGTAIDPTDPFDVNGCWSGSATVLPGGRPAFLYTGRDAGGVQVQNVSFAKNPLDPLLREWEKPSCNPIIAFPADVVNNNFRDPTTAWLGRDGLWRMVVAAEVAGAGSALVYRSADFLRWERNAAPMHSSAAVPVLECPDFFPVAEHGTDGLDTSANGAGTGVKHVLKLSEFDTHQDFYMVGRYDDEGDTFSPEEPDRGDNCRRWRCLDYGQAYAAKSFFDVRRNRRVQWLWVNEYDSKADDVAKGWAGVQAFPRKVWLDGDGKQLLQWPVDEIETLRTKRVGLQGTEVKAGGLHEIVGVASSQADVEVVFEIPNLEDEAESFDPDWLDPHKLCKDKGAASAHGGVGPFGLIVMASGDLQEQTAVFFRVFKHHGKYKVFMCTDLTRSSTKADVYKDAYGGFVDVDIQKDKSISLRTLIDHSMIESFGGGGRACITTRVYPEHAATSSSHLYVFNNGSGTVNVSKLEAWEMATATVNSADALDAITLLLAAASLYCSAMALTGLPLSVFAIAVHFCLVFSSSSSPSVCPANGHRDRTAYHFQPAKNWQNGPVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWAALDNALDPTAPFDANGCASGSVTILPDGVPVVMYSGIDARRRQVQNVAFPKNPRDPLLREWTKPGYNPVIPVPADVSPDNFRDPTTAWLGRDGLWRFAISAVADGVGATLVYRSADLLRWERNAAPLHASRDAVMAECPDLFPVAEHGEDGLDLDASASGGAGAGVRHVLKVSMPDTLEDYYMVGRYDDADDTFTVPPEDLEAHGDDYRRWRRIDHGHLYASKTFYDAGKKRRVLWAWVNESDSEVDDVTKGWSGLQSFPRAVWLDEGGRQLVQWPVEEIETLRRKRGVLLGGNEVEAGGLREIGGIAGSQADVEVAFEIASLAGADRLDPDHLRDPDALCGENGAAVHGGIGPFGLLVMASGDLHERTAVFFRVFRLSHGYTVLMCTDLTRSTSRAGVYKPSHGGFVDIDIEKDRAISLRTLIDHSIVESFGGGGRTCMTARVYPEHVATGSSHLYVFNNASDAVKVSKLEAWELATASVNAGDDGLISYGLHLGSQRERWPATSNHFGGRGSRRSSREDGGEVHGGCRLDG >OGLUM04G28520.1 pep chromosome:ALNU02000000:4:31133156:31135990:1 gene:OGLUM04G28520 transcript:OGLUM04G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLFRPKKKEKKIPKPRNAEQREEEEEEEGIMATAKAATAPPPHRASSPAAASPPYPSAARIADSDCFPQYTASLKCLEANQDKSKCQQQFDDYKECKKREREARLERNKGRTPQHTIQYMYSAKRDCERV >OGLUM04G28530.1 pep chromosome:ALNU02000000:4:31136362:31138388:-1 gene:OGLUM04G28530 transcript:OGLUM04G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVKGENVTVSAAAAPRMKKLASMLCMKGGNGDGSYLNNSQAQALHARRMLHFLEETLDAMMERSSSDKLFTAADLGCSCGSNSLFIVDVIVRRVSEAYESRGRDAPEFQVFFSDLPSNDFNTLFQLLPPLLAPVAGSLEECLAAGEGAATATRPYHAAGVPGTFYGRLFPGESIDVFTSTFSLHWLSQVPEEVGDSASPAYNGGRVFVHRATEAVAAAYKRQFQADLARFLRSRAREMKRGGAMFLACLGRSSGDPADQGGAGLLFGTHFQDAWDDLVQEGVVEGEKRDSFNIPVYAPSLQEFRDVVRADGAFAIDRLELVRGGSPLVVDRPDDAAEVGRAMANSCKAVAGVLVDAHIGERRGAQLFERLERRAARHARELVEKMHFFHVVCSLSLAP >OGLUM04G28540.1 pep chromosome:ALNU02000000:4:31149965:31152525:-1 gene:OGLUM04G28540 transcript:OGLUM04G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASAACSSSASALVRLTGPIHLCSAPPRGCARRRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATVQPAPAATWSRRLFS >OGLUM04G28540.2 pep chromosome:ALNU02000000:4:31149965:31152525:-1 gene:OGLUM04G28540 transcript:OGLUM04G28540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of bacterial cytokinesis Z-ring protein FTSZ 1-1 [Source:Projected from Arabidopsis thaliana (AT5G55280) TAIR;Acc:AT5G55280] MASAACSSSASALVRLTGPIHLCSAPPRGCARRRHASVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALLNSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESKEAIANALKDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQASALEALEKLERSVDTLIVIPNDRLLDVVDENTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIMEAKEKAANLTHKAVAAATVQPAPAATWSRRLFS >OGLUM04G28550.1 pep chromosome:ALNU02000000:4:31154735:31159534:1 gene:OGLUM04G28550 transcript:OGLUM04G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIASCVESVLRSSGGAAGEASLAAVLSQAEAKLGVDLAHKATFIRDQMDLFFGPRLQPPLVAKAQAAAAPNPPPLVAAPAPAMPQVQVQAQLQQMQQQQQLAVLQPQLIFQAMPQLPAGVPGGAAGAVSPQPPVPAMAFYPPPPLAFRVTSGLAGVATGGTVSFQQPAPGTGGTASPTAAVQAAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETVMSRTQIVKQLWQYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIREAKKFKPSNVATQPMPLINQPSVVISDALAKFIGMEGTVPQDDALRYLWDYIKANQLEDAITGSILCDSKLQELFGCESIPSSGLSELLAHHFIKET >OGLUM04G28560.1 pep chromosome:ALNU02000000:4:31159413:31162133:-1 gene:OGLUM04G28560 transcript:OGLUM04G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVVVVGCFAILEIDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >OGLUM04G28560.2 pep chromosome:ALNU02000000:4:31159413:31161698:-1 gene:OGLUM04G28560 transcript:OGLUM04G28560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDIMNTKKIKLHDCHFGSPLCDPSPAPHLLSSAAAAGLSFHPGLVSSAAQHQQHGAGGWLHEEYYAPRSSPPSSLLAQTCVGSNATAFYAAENLPQFDFPALGTAAAAAAKAPFRSSESELYRPVDPLLLRADHSVRTYYVRPQKRDSGERTPLPPPSQQQHQDRIHGLFAGAPTTRLLSGEPKIHSFPPQVAAKPILPAMDAPSLQNQMENQLTRNCIGAATPVTPTGNLAGSGAPSKTRIRWTQDLHERFVDCVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASSEGKQLEKRATGNDMQNLDPKTGMQITEALRVQLDVQRRLHEQLEIQRNLQLRIEEQGKRLQKMFEDQLKASRSVMEPQELDDVVAFAAGDGDDDAFDDVDVQLLAVAGSGYDDAGFQSKIS >OGLUM04G28570.1 pep chromosome:ALNU02000000:4:31185701:31193940:1 gene:OGLUM04G28570 transcript:OGLUM04G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYLIFPLFSPTVSTSRVHLQLISAPRRRSSPLSLSLSLSLSLRSRMAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTLMTMLLVACLQENFHNLSVSLHRSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >OGLUM04G28570.2 pep chromosome:ALNU02000000:4:31185701:31193940:1 gene:OGLUM04G28570 transcript:OGLUM04G28570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYLIFPLFSPTVSTSRVHLQLISAPRRRSSPLSLSLSLSLSLRSRMAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTRTSIICLFHFTDLPFWKVDGTLNGLVTAVLEHLQPVFCLRLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >OGLUM04G28570.3 pep chromosome:ALNU02000000:4:31185701:31193940:1 gene:OGLUM04G28570 transcript:OGLUM04G28570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYLIFPLFSPTVSTSRVHLQLISAPRRRSSPLSLSLSLSLSLRSRMAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >OGLUM04G28570.4 pep chromosome:ALNU02000000:4:31190046:31193940:1 gene:OGLUM04G28570 transcript:OGLUM04G28570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRMAAAAAAALITAASTPFPLVSFRSRRDGHLSLSPPRRPGAGRCRASAPTFQGGPAASYAREMERLSAKESLLLAFRDAGGFESLVSGKTTGMQKIDVNERIVGLERLNPTPRPTTSPFLEGRWNFEWFGDSSPGALAARLLFERSPTTVAHFTGLDVLIKDGYSKISSNVKFLNTVQSKFLLTTQLSVEGPIRMKEEYVEGLIEIPRIREETLPDQLKGFFGQTAGALQQLPAPIRDAVSEGIKLPLNGMFQRLFMISYLDEEILIIRDASGAPDVLTRLEGPQPNSIDGTSDAVLSEYES >OGLUM04G28580.1 pep chromosome:ALNU02000000:4:31194096:31194533:-1 gene:OGLUM04G28580 transcript:OGLUM04G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSRASSSSATHKLSHAIAKAPPRKIKIVHVLAPEVIKTDARHFRDLVQRLTGKPAADGPAAASSQPDPCDTAGDEGGFVADGAGAAAAAATIKAEIKVEEAVAEAEASGGLLHALGEDDRNDMFLQWLQSGSCIDMDAVGFS >OGLUM04G28590.1 pep chromosome:ALNU02000000:4:31199412:31200519:1 gene:OGLUM04G28590 transcript:OGLUM04G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRSCSHLKTMPREEALKKIMLDRQRSEACERAKMEKLVRGARPLPTTRARDWDFMAWCFMPRGVAPSQRLASGERCKKGETEMAIGACEIRQTLGFLAVATPHHIYPTLLV >OGLUM04G28600.1 pep chromosome:ALNU02000000:4:31201316:31202508:1 gene:OGLUM04G28600 transcript:OGLUM04G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGRVMHTLCVPHVDLVEVEAEAFAGSRSRKGNKQRMSTALDRDRTDARRSKTRGRNDRWMTIRGPPRRATRRQKNQLLNSPRAPLTGRHTAMAATAKINAMKKGISNRFEDLRLSLRNCKRLSS >OGLUM04G28610.1 pep chromosome:ALNU02000000:4:31202987:31206888:1 gene:OGLUM04G28610 transcript:OGLUM04G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQQDFKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGNKPLLTAADLGCSCGQNTLLIADVIVDHMTDKSFGSKDDDGLEFCFYFSDLPSNDFNTLFHLLPQQAAAAGRDGRQSRRYFAAAVPGSFHDRLFPERSINVFTSTFSLHWLSQVPKRVAEKQSPAYNKGKVFVHGASEETGTAYQRQFRSDMMRFLHCRAAEMKPGGAIFIVSLGRLSSTRGPTEQGYIYEVYCSMFEDSLRDLIEEEMVDGEKMDNFNVPLYAATVEEFKEAVDADGSFKINQLELVMGSPPVVDDPANRGVVGRMVANYMRALFGPLVNTHIGGAMADELFIRMQRRAEIRAEELVDEMCFAHILCSLSLA >OGLUM04G28620.1 pep chromosome:ALNU02000000:4:31210020:31226616:1 gene:OGLUM04G28620 transcript:OGLUM04G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKINAMKKGISNRFEDLILSPQNYKMEEQQQDIKNVFCMEGGQGESSYINNSQSQSRNLKMMLYALEETLDKIQLPRHRPGKKPLLTAADLGCSCGHNTLLIADVIVDHMTKLCGAGSLGSKDDDGLELEFCFYFCDLASNDFNTLFHLLPQQAAAARRYFAAAIPGSFYDRLFPERSINVFTSTLSLHWLSQVPEGVADKRSPAYNKGKVFVHGASEETGTAYRRQFRSDMMRFLHCRAAELKTGGAIFIVSLGRLSSTRGPTDQGYIYEVYGGMFDDSWCDLIEEGMVDGEKMDSFNVPLYAPTVEEFKEVVDADGSFKINQLELVMASPPVVDDPADRGMAGRMVANYVRALLGPLIDTHIGGVMADELFIRMQRHAEIRAEELVDEMCFPHILCSLSLMQKVLMKDVFCMEGGQGESSYIKNSQVQSRNLQMMLPTLKEILDKVQLPHRPGKHLLTAADLGCSCGHNTLIVADAIVEHMTRKLRSSIFDDQDDGDAADPEFCFYFSDLPSNDFNTLFHLLPQHATAAAGDGSERRYFAAAVPGSFHDRLFPKRSIDVFTSTFSLHWLSQVPEGVADKRSAAYNKDKVFVHGASQATGAAYRRQFQSDMARFLRCRATELKAGGVMFLVCLGRPSLHACPTNQGRVQLLYGAMFEESWGDLVEEGTIGRETMGSFNVPVYAATLEEFGEAVGADGSFEINRLELVMGSPLAVDDPIRDRRAVGRAVANYVRSLLGPLVDAHVGRAVADEIFVRMQRRAEARAEELVDEMRFPHIDMKNVFCMKGGQGESSYLKNSKVQFRNLQMMLRALEETLDKVVLPHHGPGRLLLTAADLGCSCGRNTLVVADAIVQHMTKLCRRRGKGEHGDDAAADPEFCFYFSDLPSNDFNTLFGLLPHRGAASSGEGGRGRRHYFAAAVPGSFHDRLFPERSIDVFTSTFCLHWLSQVPEEVADKWSPAYNKEKVFVHGGSEETGAAYRRQFQSDMARFLCCRAAELKPGGAMFLVFLGRPSSAGPTDQGRSLSLFGAMFEESWRDLVGEGLIDGERMDSFNVPSYAATLEEFREVVDADGSFEVNRLELVMGSPLAVDDDDDDSHDRRAVGRTVANNQRSVFGPLVEAHIGKELADELFVRVQSRAEALDDELVDEMRVHIHIVCSLSLV >OGLUM04G28630.1 pep chromosome:ALNU02000000:4:31252870:31253124:-1 gene:OGLUM04G28630 transcript:OGLUM04G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCTRPARPCPVAWRRATPPPPALQELHHRCRRAGRAHPDGAAIAEQAAHALGAAANAAKPRTLRAQASVDTAHHAAHREKR >OGLUM04G28640.1 pep chromosome:ALNU02000000:4:31254479:31255270:-1 gene:OGLUM04G28640 transcript:OGLUM04G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS38] MAAARFPLLVLCLLPIIVCSVVDAELTHLHFYFHEVDAGTPNATVVNVASLHRNSSTFGDVNVFDNALREGPDPASRLIGRAHGLAVHASLDETGGLTAINFVFSDYGAYSGSTLATQGHFITTGPSERSIVGGTGKLRFARGYMTSKLLSSTDTAIVVVFDMYFTLDH >OGLUM04G28650.1 pep chromosome:ALNU02000000:4:31256275:31265483:-1 gene:OGLUM04G28650 transcript:OGLUM04G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGQRRGYCRHAAARLGDLQHERWRRQRRRWVRQRRLQLRATYPDDFVYVYASIPNGVIHPMAIAISHPCSIVYITIDDHVVPLVVYKSVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPMSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEVTNDLDKLKSLCDEKDSSLQAALMEKTRLETRLKSGQGQESSNRTGVSGNHFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNTTRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >OGLUM04G28650.2 pep chromosome:ALNU02000000:4:31256275:31265483:-1 gene:OGLUM04G28650 transcript:OGLUM04G28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGVGGSNGAATAATPLHGSATSSMNGGGASGGDGYDSDGYSFAPPTPTTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPHVREKFTLEDMLCFQKDPIPMSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEVTNDLDKLKSLCDEKDSSLQAALMEKTRLETRLKSGQGQESSNRTGVSGNHFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNTTRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >OGLUM04G28650.3 pep chromosome:ALNU02000000:4:31256275:31265483:-1 gene:OGLUM04G28650 transcript:OGLUM04G28650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like calmodulin-binding protein (ZWICHEL) [Source:Projected from Arabidopsis thaliana (AT5G65930) TAIR;Acc:AT5G65930] MAAGGVGGSNGAATAATPLHGSATSSMNGGGASGGDGYDSDGYSFAPPQCKNRSIQLENVKSVGPHVREKFTLEDMLCFQKDPIPMSLLKISSDLVSRSIKLFHVILKYMGIDSPAIISLDERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRAWLIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLSSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSDVGNEEYIGLDDNKYIGDLLSEFKAAKDRNKGEILHCKLVFKKRLFRESDEAITDPMFVQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFVDNPESCVEWISLLERFLPRQVAITRAKRDWELDIVSRYQLMEHLSKDDARQQFLRILRTLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSAVSQNDVSQTYKPPNIEIYEKRVQELSKAVEESERKADLLNEELQKKTKQERDMQKELEGLRDTLQSERQSIKEVTNDLDKLKSLCDEKDSSLQAALMEKTRLETRLKSGQGQESSNRTGVSGNHFERDTLPTVGTVNNSIEMLAKLEEELKSCKKELDASKELSKKLTMENNLLDQKVQRLERAKSEEKSNMERVYEDECCKLKSRIAELEQKLESRTRSLNVTESTLALRNAEVDTLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLIELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELTEKDKNIVCSPDEFTVAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSENNPGLTPRATSELFRVIKRDGHKYSFSLKAYMVELYQDNLVDLLLAKNTTRQKLEIKKDSKGVVTVENVTVVNISSFEELRAIILRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLIAYWKEQAGKRSEDDDLEEIQEERTPKEKADNRLTS >OGLUM04G28660.1 pep chromosome:ALNU02000000:4:31270849:31274319:-1 gene:OGLUM04G28660 transcript:OGLUM04G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G78690) TAIR;Acc:AT1G78690] MEMEASAAEGGAAAAARTLRWAGRAGHLGGFPRAAVIAAVGAVAKAYASLLNTTTVHNADALLRLVSSRPPGTPLLTVSNHMSTMDDPLMWGFKGFPTTDAKLQRWVLTAEDICFRNVFMSYIFRLGKCVPITRGAGIYQDHMTEALEVLSTGDWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPVTPIVLPIVHSGFEKVMPEKSFFGRRPPVPLWNKEIHIIVGEPVEFDLPSLKQAARTVPQDSSFERKGWPSIMPDGLDEAAQRWLYQKISDKIQSVMETLRKRLLDLKQH >OGLUM04G28670.1 pep chromosome:ALNU02000000:4:31276373:31278691:1 gene:OGLUM04G28670 transcript:OGLUM04G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTSKGIPQEQLPSQEIHPPPMPVINLGHLSLDDPTVRSRVVNDIAKACRDLGYFQVISHGISQSVMDGAIEAASEFFKLPNEIKKEYASDDIRQPVRYDTSSKDGISMSRAFLKHYAHPLCDWLQYWPQQPPIYRQAVSSLKKEYMAKYAVEVRVVALKLMEAILEGLGIGKEYMHEKFEEGLQLLSVNCYPKVSQSDTSIGLAAHSDYGLLTILLTSCQGLEVVDRSSNSWKVVQQLPHALHVHVGDHMEVLSNGRIKTVVHRAVLNPQEARISLASIHGFALHEKVSSAKELVDEENPQKYKESSFNDFLEHLTANMDNRQRNFLESLRM >OGLUM04G28680.1 pep chromosome:ALNU02000000:4:31281459:31286087:1 gene:OGLUM04G28680 transcript:OGLUM04G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQVLLVVVVAEEQNLTPATGATHPSFPSPSSSHASPLGQSETRATKISRCLSNVTRRAGEATRIPPARPVLASFWRRTSHSPPVSRITGALPCLSLRPCESDRFGSRVALCDTGAYKRGRGGGASLSSSGGAPGLERRREKTKPHQQLEAAEWQPEERPRFVDPEALDIPLVPVHVCWQEVQEVLEAASPVHFGVVFIDELFGAVDLFIH >OGLUM04G28680.2 pep chromosome:ALNU02000000:4:31281459:31286126:1 gene:OGLUM04G28680 transcript:OGLUM04G28680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQVLLVVVVAEEQNLTPATGATHPSFPSPSSSHASPLGQSETRATKISRCLSNVTRRAGEATRIPPARPVLASFWRRTSHSPPVSRITGALPCLSLRPCESDRFGSRVALCDTGAYKRGRGGGASLSSSGGAPGLERRREKTKPHQQLEAAEWQPEERPRFVDPEALDIPLVPVHVCWQEVQEVLEAASPVHFGVVFIDELFGAVDLFIH >OGLUM04G28680.3 pep chromosome:ALNU02000000:4:31284366:31286151:1 gene:OGLUM04G28680 transcript:OGLUM04G28680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEALPCAGSPCTSSRPRRACHPSIFSGELESKQHRGQISTLHCTALLPPENTGCLQHSTDAQVFISSDESAASERLKAAWHRANWAAGKSPARRPSCIGQINIGAFQGDSVQEQAIGSPPHLALRLRMHTQHNYYYHYLLRSSACLVSPDEQLQVQAISIQDSGHQLCRRRSVTVMSDPAACRTFCYSSLSLRVAAGCIPLCFLSLSLPG >OGLUM04G28690.1 pep chromosome:ALNU02000000:4:31281578:31281964:-1 gene:OGLUM04G28690 transcript:OGLUM04G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSLRRGLSSGCHSAASSCWCGFVFSRLLSNPGAPPELEREAPPPRPLLYAPVSHSATLLPNRSDSQGRRLRQGRAPVIRETGGEWDVRRQKDASTGRAGGIRVASPARRVTLLRQRDILVARVSD >OGLUM04G28700.1 pep chromosome:ALNU02000000:4:31284150:31285574:-1 gene:OGLUM04G28700 transcript:OGLUM04G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVAGSLYMASQHKGVPPLPPPPPRPLPVINLGRLTMDSASRALAVRDIVLACRERGCFEVVNHGISRSCMNGALEAASEFFQLSTERKEEFASDDIRQPIRYDTSSRDGISMSRSFLKHYANPLDDWIKFWPTQPPTYREKMGEYAVETQRVSMQLMEAILQGLGLGPSYLQEKLEGGVQFVALNNYPQSSAKKADKIGLAPHSDYGFLTILLQSSPGLEVMHHEDDAWTSVPAIPGALHVHVGDHLEVLSNGQLKSLVHRAVLNPNESRISIASIHGLSMDEEVHCAEELVDEHHPKMYRGSSFQDFLDFLPANMNRYKRYVESLRIDKP >OGLUM04G28710.1 pep chromosome:ALNU02000000:4:31289549:31293190:-1 gene:OGLUM04G28710 transcript:OGLUM04G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS49] MVEDQPYYWSTGPRFPGRRRRGFPGESPMAAVPSAAAPPVPVSPGERWPPLESSPDVFNQSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEITENSFLDMFMKSTSGMTSYERAVFLEKDDDMARAHLSAASAGTLYELDGMKPGPINHGPSSSKSLLQDAVNIIKATMHNIPNSVNFNVYQEENYRRESENEMGLPMPIQEGCTS >OGLUM04G28710.2 pep chromosome:ALNU02000000:4:31289080:31293190:-1 gene:OGLUM04G28710 transcript:OGLUM04G28710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS49] MVEDQPYYWSTGPRFPGRRRRGFPGESPMAAVPSAAAPPVPVSPGERWPPLESSPDVFNQSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEITENSFLDMFMKSTSGMTSYERAVFLEKDDDMARAHLSAASAGTLYELDGMKPGPINHGPSSSKSLLQDAVNIIKATMHNIPNSVNFNVYQEENYRRESENLFLKPAW >OGLUM04G28710.3 pep chromosome:ALNU02000000:4:31289762:31293190:-1 gene:OGLUM04G28710 transcript:OGLUM04G28710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS49] MVEDQPYYWSTGPRFPGRRRRGFPGESPMAAVPSAAAPPVPVSPGERWPPLESSPDVFNQSLGVPEDVAEFHDVYSLDADALEMVPQPVLAVVFCFPDPTQDASNPSQHLLITGEKETLFFIKQIESLGNACGTIALLHAVGNAYSEITENSFLDMFMKSTSGMTSYERAVFLEKDDDMARAHLSAASAGTLYELDGMKPGPINHGPSSSKSLLQDAVNIIKATMHNIPNSVNFNVYQEENYRRESENVYITTFHE >OGLUM04G28720.1 pep chromosome:ALNU02000000:4:31296014:31298017:1 gene:OGLUM04G28720 transcript:OGLUM04G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKLVLKLDLHDDKDKQKAIKAVSTLHGIDMISMDMKGQKLTVVGDVDPVDVVGKLRKGWPSASLLSVGPAKEEKKEEKKPEEKKDDKKPEQPKLVFYPPPPAWHAHAAPPSYSYPPPQYVVHSTEEDPNSCVIC >OGLUM04G28730.1 pep chromosome:ALNU02000000:4:31299318:31303595:1 gene:OGLUM04G28730 transcript:OGLUM04G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 8 [Source:Projected from Arabidopsis thaliana (AT5G56180) TAIR;Acc:AT5G56180] MAMAVLLRKVWGSVLARAAAGAAPPEAFAAAASPRRPQAAGEYGSLGALDVLPIDVLAQILRLLGPADAARSTAVCRAWRLLASDNGLWAFFLRLGPDPWELVVFAETHLAAGPALHPGLYYDSSPQLSFKHVYTRRAVVPGSIIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFLSTIYTRMQVKPSTQPIIVVLPLCHSDDTESARASRKQYKDTLYSVLFDMNVPAVCAVDQAVLALYAAKRTSGIVVNIGFNATSIVPIFQGRVMHEIGVETVGQGALKLTGFLKELMQQRNITFESLYTVRTIKEKLCYVAADYEAEKRKDTLASCEVDGEGWFTLSEERFKTAEILFQPQIGGVRAMGLHKAVSLCMDHCYNSEVFGDDNWYKTVVLSGGSSCLPGLSVRLEKELRELLPAHISEGIRVIPPPFGTDSAWFGAKMISNVSTFTEAWCIKKKQFRQKTRRNGPSFVNVWFSFDKLHNSFGATGVSLEHGKANTDTSEFQPYETRCTK >OGLUM04G28740.1 pep chromosome:ALNU02000000:4:31304344:31306211:-1 gene:OGLUM04G28740 transcript:OGLUM04G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 30 [Source:Projected from Arabidopsis thaliana (AT5G56150) TAIR;Acc:AT5G56150] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPFAGGVFLVNIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >OGLUM04G28750.1 pep chromosome:ALNU02000000:4:31307603:31308589:1 gene:OGLUM04G28750 transcript:OGLUM04G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAMAASRRLLHLRPELGLRLRSRALIPYPSWTKAMGRCRYEPSPRRLSSCRRAAKNERPWRSSSNEQLTDGDGTAKYMGRNFPDEFAQLSLEEEESDDEVHGISETMVKDVEKAAVELLAGRCDVATNNVKIFLATVKKVLEEKNHAFLLCKNASLYFRQAWFMHNGKEAKELEHNICS >OGLUM04G28760.1 pep chromosome:ALNU02000000:4:31314175:31318311:1 gene:OGLUM04G28760 transcript:OGLUM04G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDRFVKTTKQWQRAQSLPLENHHAHVASAALGDGADALLDSSAGPGASAGPAGLSAGASEANNTLEVVVTVEASANGATAIAARRITAITSARAMADGAACAHASALGDSADVLLDSSAGPGAGAGPAGLSAGPSEANNTLEAALTVEASANGATAMAARRITTRTSARAMADAAVGAHLRAFDLVDDGFASLDE >OGLUM04G28770.1 pep chromosome:ALNU02000000:4:31314738:31314947:-1 gene:OGLUM04G28770 transcript:OGLUM04G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVIAVILLAAIAVAPFAEASTVTTTSRVLLASEAPAESPAGPAEAPGPAEESSSASAPSPSAADA >OGLUM04G28780.1 pep chromosome:ALNU02000000:4:31318029:31318235:-1 gene:OGLUM04G28780 transcript:OGLUM04G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAPFAEASTVSAASRVLLASEGPAESPAGPAPAPGPAEESSSTSAESPSADA >OGLUM04G28790.1 pep chromosome:ALNU02000000:4:31319987:31320196:-1 gene:OGLUM04G28790 transcript:OGLUM04G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVLVVILLAAIAVAQFAEASTVTGGSRVLLASDAPAESPAGPAAAPGPAEESSSESAPSPSAADA >OGLUM04G28800.1 pep chromosome:ALNU02000000:4:31323628:31324890:1 gene:OGLUM04G28800 transcript:OGLUM04G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15710) TAIR;Acc:AT5G15710] MGDAAAPPAAVAAAARAARPTSPSRPPKAIRSTKPRGLDEETAAPAAATFPSIKAPSAAAASLLHADVPMEARVWAPLPDDLLLEVLARVPPFLLFRLRPVSRRWDSILHDPAFLAAHAGVPSHGPCLLTFWRGAASSPPQCSVLSLPLRARYKLPFGFLPAWDLWLVGSSGGLVCFSGFDGAGFRTVVCNPLTQTWRVLPDMHYNQQRQLVLAVDKKRRSFKVIAASDVYGDKTLPTEVYDSKENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGNWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKAWSWIAGCASQLCNSQICFYEPRFDTLIY >OGLUM04G28810.1 pep chromosome:ALNU02000000:4:31327747:31329627:-1 gene:OGLUM04G28810 transcript:OGLUM04G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNLESHIKSQVPALLLRRFFGGAGRRDEAKHLQQRPPVPPLPPAGRRRVFVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSFIRSDSPLLLTRNSINRSCSTPCLCPVSKDFEHKDRSGLVEMLGCSISCARVKRLVDDVVTAIRSGVDPVPIGSGLGGSYYFRNISGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPPTALVKITHSIFHINCPVNGGSPAHDQKQQVSSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLDGDTGRFGCQTELFPIDHGLCLPENLEDPYFEWIHWAQSSIPFSEEELEYIKNLDPMRDVAMLRRELPIIREACLRVLVLCTIFLKEAAASGLCLAEIGEMMTREFRGLEEEPSKLEVVCMEARRKLAEWEPYSPVIEQGEDMDFQFSLDMLGEYNDVIRSPRFNGLGAKGNGFRNPLSKLVESIDEDNDDDDGRSESSKRSSERVHSGGLKFPSADKSSGSNGSVHALNRSADEQLPSSVCFVRLSDMNAEEWNIFLEKFQELLKEALQERAAAAGQRMKQRLGTSCKF >OGLUM04G28820.1 pep chromosome:ALNU02000000:4:31329742:31330137:-1 gene:OGLUM04G28820 transcript:OGLUM04G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEKNQANPAEFVGQRRRRRGRWIEQSSPAPAAAAAAAAAAAAGRSPYPRSVHPHPALTPSMA >OGLUM04G28830.1 pep chromosome:ALNU02000000:4:31331976:31342219:1 gene:OGLUM04G28830 transcript:OGLUM04G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT5G50100) TAIR;Acc:AT5G50100] MASSLARMGAALPRVRPRAAARFPPPPGRWDSAAALRRAPVYGFRCQVHSDVKVGPSSGLKDGENSSGSWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPQDNQNLDYETAMGRIHAILSDGTIVTDVEAFRKLYEEVGLGWIYAVTKYEPVAKVANAIYGVWAKYRMQITGRPPLEEIMESRKLAAECKDDKVCKM >OGLUM04G28840.1 pep chromosome:ALNU02000000:4:31336206:31340326:-1 gene:OGLUM04G28840 transcript:OGLUM04G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS64] MASDDEILRLCRHRPTDSVIPPRFTPPPPLCHRAFHSSLVQYTTPTSVLPSSHFRAAQHLLVVGMAAVASASPLPAAAAPSTRCSPPPAFLPLRAHRPRVGTVATRRVFRAEAMRTQREKEQTEVAVEESFPFRETAPPDEPLVTAEESWVVKLEQSVNIFLTESVITILDGLYRDRNYARFFVLETIARVPYFAFISVLHMYETFGWWRRADYIKVHFAESWNEFHHLLIMEELGGNSLWVDRFLARFAAFFYYFMTVAMYMVSPRMAYHFSECVERHAYSTYDKFIKLHEDELKKLPAPEAALNYYLNEDLYLFDNLYDVFVNIRDDEAEHCKTMKACQTHGNLRSPHSMQKCLETDTECVIPEDDCEGIVDCVKKSLVSKE >OGLUM04G28850.1 pep chromosome:ALNU02000000:4:31341266:31343703:-1 gene:OGLUM04G28850 transcript:OGLUM04G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLHRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGCALLLQLARYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >OGLUM04G28850.2 pep chromosome:ALNU02000000:4:31341266:31343703:-1 gene:OGLUM04G28850 transcript:OGLUM04G28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRLGGAGRALLSRQALSLPNLHRRASNSWAAVRDTFFSTKEVFESHRVVFTVGTSIASVLTAWAGYSLRHMQQSRIDKRLNSIEQSLKDTHKVEHEEIKKIVTSSNISTPACVATALTTSVVGYALGWRGGAWYARRGFRREQQKLMGQINSHHRWHWRPFSKLKNRLRRNRPAAKTVEAPRLTGESTI >OGLUM04G28860.1 pep chromosome:ALNU02000000:4:31346667:31347239:-1 gene:OGLUM04G28860 transcript:OGLUM04G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGEVMCEAAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTYDSAEAAARAYDVAARNLRGPLARTNFPLVSSLPLPSPHYHLPGKAAAAAPPVVGPACSASSTVESSSGPRGPRPAATAAAVPRRRVPRPAPPAPDAGCHSDCASSASVVDDADDASTVRSRVAAFDLNLPPPLDRDHVDLCTDLRL >OGLUM04G28870.1 pep chromosome:ALNU02000000:4:31350866:31355007:1 gene:OGLUM04G28870 transcript:OGLUM04G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHQSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKNFRNPYE >OGLUM04G28870.2 pep chromosome:ALNU02000000:4:31350866:31355007:1 gene:OGLUM04G28870 transcript:OGLUM04G28870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHQSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKNFRNPYE >OGLUM04G28870.3 pep chromosome:ALNU02000000:4:31350866:31355007:1 gene:OGLUM04G28870 transcript:OGLUM04G28870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSPPKKWGLREQREAYLRWFSLADDDGDGRVTGKDALKFFAMSNLSRPELKQVWAIADSKRQGYLGFSEFMTAMQLVSLAQAGNEISQDTLAHADLETLLPPTMEGLDKKLKKNSMSKSNSDIVVRHQSELPASANWFNSKSGKKIPLRSVTSIIDGLKKSYIEKLRPLEKTYQFDDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTNYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKKKLIVSIFYIAKLLDHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLKGHDDKIRIVLNKADQVDAQQLMRVYGALLWSLGKVLNTPEVMRVYIGYDVIFPRSFTFTYGNASINLMVLQRSHNLTTDNSKLTCDSSFNDKPIRETVAGPLGKELFEKEQEDLLSDLNDIPKKACDRRINEFVKRSRSAKVHAHIISHLKKEMPALMGKAKAQQRLLDTLDEQFAKVQKELHLPAGDFPSVDEYRETLSAYNFDKFERLKPKLVQGVDDMLAYDIPDLLKNFRNPYE >OGLUM04G28880.1 pep chromosome:ALNU02000000:4:31355524:31358113:1 gene:OGLUM04G28880 transcript:OGLUM04G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYQGKGRRVHPAPAPQAQADAAAAAAVVLPAAVLALASALTAEEQEVLAYLLSGAGPPRRPPRRRRGGKRGGGDCEEDASATKEADASVEHHHHACGFDGEEDGDYEGDGDDEEEEEEGDSMDGDADDETTLSEGDCSSSSSSNAEKSTVGRLVRFIGEKEKEEDDQEGQIKYEMKDRKQVADNLWALHCTSLCREINKLQHGEAEAEAEAEAGWKKKRDVQEEMLHLMATTDVFDRQFGRRALLCEDAKAKRKREP >OGLUM04G28890.1 pep chromosome:ALNU02000000:4:31361411:31363656:-1 gene:OGLUM04G28890 transcript:OGLUM04G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRFLFSLAAAIAAASLLAAALRRRAPPSGLAARLVPAPMAAAAARNRSFVLWLHGLGDSGPANEPIRNFFSAPEFRLTKWAFPSAPNSPVSCNHGAVMPSWFDIHELPMSSGSPQDDSGVLKAVENVHAMIDKEVADGIPPENIFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGIADNVVLFEAGQAGPPFLQNAGFSCEFKAYPGLGHSISKEELYSLESWIKNHLKASQEKEN >OGLUM04G28900.1 pep chromosome:ALNU02000000:4:31364077:31365330:-1 gene:OGLUM04G28900 transcript:OGLUM04G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAAAGGYGRVLWLHGSGQTGEESRAQVAPYFAAPELASVRFSFPTAPTSSIPCYGGEVITAWFAIPEVPITARTARDEKEVLKAVERVHEMLDGEVAAGTSPSNIFVCGLSQGGALAIASVLLYPMTLGGCVVFSGSLPLSKTFAESIPSEARKTPVLWFHGMADGVVLFEAGHAGCAFLQEIGMHCEFKVAYPTLGHTLVDEELQYFRQWIKDRLSQGTGVPVPSLSDKMDLQ >OGLUM04G28910.1 pep chromosome:ALNU02000000:4:31366342:31367904:-1 gene:OGLUM04G28910 transcript:OGLUM04G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPATSRAPRSRFVVWLHGLGDTGRANEFLADSFPTTAAFADARWAFPTAPTAPVTCNRGMLMPSWFDIHDAPITSVSVRDEEDVLRAVQSVHAMIDREIAAGTNPQDVFVFGLSQGGALGIASVLLHPKTLGGCAVFSGFLPFNSSFAVRVTAQAKKTPVLWIHGQADSLIPIKEGRDGIKFLRGLGMSCEFKRWVEKILHRSGREGLIRRVSRNIFLCSNLFNSS >OGLUM04G28920.1 pep chromosome:ALNU02000000:4:31370902:31377305:-1 gene:OGLUM04G28920 transcript:OGLUM04G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGARLFRGLRAAEAPRPLPGPCPRAAVTKATHLRAAQPPTHAHSIPHSSRGSRPLRRRRSHRSTRRHGHTRTGHGRGGGAAAAAGLPPARRGVPGGLHQGHARPRRAPRRQPRRPHHQGGRRRQPQLRLHRPLRRRLRRHQAGAAVHPLRGGFVADDEGARLLRGLRAAEAPRPLPGPCPRGLPLRPGH >OGLUM04G28920.2 pep chromosome:ALNU02000000:4:31376723:31377305:-1 gene:OGLUM04G28920 transcript:OGLUM04G28920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGARLFRGLRAAEAPRPLPGPCPR >OGLUM04G28930.1 pep chromosome:ALNU02000000:4:31379259:31381251:1 gene:OGLUM04G28930 transcript:OGLUM04G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZS77] MATTSRRSLHALLGGGAVADLVLWRRRNVSAAAVAGATAVWFLFERAGYSFPSVMANALLLLVAILFFWAKSASLLNRPLPPLPKLEVSDVVVEKAADRALVWINKVLAVGHDIAIKRDRSVFIKVILILWVVSYIGMLFNFLTLIYIVPPLYEKYQDQVDEKIGMAHSVLSRHLDTIISKTGESTKQKKTE >OGLUM04G28940.1 pep chromosome:ALNU02000000:4:31384398:31385846:1 gene:OGLUM04G28940 transcript:OGLUM04G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGHNARLLALALALLLAALHLHGVVCDPSHTHFAMVSRNAPSWRPDRGGQGKVSAPSLDTCGCGPAPAPAPADPLKAASLDKCGCPPAPAPSPEPSPEDFLNENLQALYPVIQAFKATITSDPRGVTASWVGPNLCDSYFGGDMYKGFYCEHPPAPPAKDNTTLTIASIDFNGYGLGAPSLAGFVDAFPDLALFHANSNNFSGEVPDLTGLPYFYELDLSNNNFSGAFPATVVPLGRLLFLDLRFNRFVGTVPPPVFDLTVVALFLNNNGFYGNIPDNFGSTTAEYLVVANNQFTGPIPRSIYNTSANLSEVLFLNNHLSGCLPYEIGLVEGLTVFDAGGNDITGPIPLSLGCLGLVEELNLAGNQLYGHIPDVLCALAKTGKLQNLSLSDNYFHSVGRRHCLELVRSKVLDVRLNCIPNFPHQRPALECARFYADPPQHCPFVPHIPCDLPGFRPPAAALPSAVAAEEGGGGGGGGN >OGLUM04G28950.1 pep chromosome:ALNU02000000:4:31386400:31389410:-1 gene:OGLUM04G28950 transcript:OGLUM04G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAAAAAFLLLLIVGAATAAPDMSIISYNAEHGARGLEGGPTEAEARAAYDLWLAENGGGSPNALGGEHERRFRVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNEEFRATFLGAKVAERSRAAGERYRHDGVEELPESVDWREKGAVAPVKNQGQCGSCWAFSAVSTVESINQLVTGEMITLSEQELVECSTNGQNSGCNGGLMDDAFDFIIKNGGIDTEDDYPYKAVDGKCDINRENAKVVSIDGFEDVPQNDEKSLQKAVAHQPVSVAIEAGGREFQLYHSGVFSGRCGTSLDHGVVAVGYGTDNGKDYWIVRNSWGPKWGESGYVRMERNINVTTGKCGIAMMASYPTKSGVNPPKPSPTPPTPPTPPPPSAPDHVCDDNFSCPAGSTCCCAFGFRNLCLVWGCCPVEGATCCKDHASCCPPDYPVCNTRAGTCSASKNSPLSVKALKRTLAKLNTA >OGLUM04G28960.1 pep chromosome:ALNU02000000:4:31393034:31397036:-1 gene:OGLUM04G28960 transcript:OGLUM04G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MARNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQASSILTRKNICIHMLNSPRWYIRNFSDREATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKADANVASTSNNAQTKAKDLKKSSDRSKYDHISVKLVMAGTGSSNVAAVEQVLKDMDGDIDAAIEYMLAEQLILGSDDADGDPYLDYACDEYVQTIEDELSMKQDESQLDEHKKEEKDCSSKGETAQKHNSSHSKKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >OGLUM04G28960.2 pep chromosome:ALNU02000000:4:31393034:31397036:-1 gene:OGLUM04G28960 transcript:OGLUM04G28960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC-C motif-containing protein / OTU-like cysteine protease family protein [Source:Projected from Arabidopsis thaliana (AT5G67170) TAIR;Acc:AT5G67170] MARNKKKVAVAAPKARKPKRDAEEKKFAKKADMTEFRAQLDSLGLKIIEVSADGNCFFRAMGDQLEGNEEEHMKYRAMIVQYIKEHRVDFEPFIEDEEPFEKYCDSMLEDGTWAGHMELQASSILTRKNICIHMLNSPRWYIRNFSDREATSMIHLSYHQGEHYNSVRLREDPCQGPAMPVIIKGKSKTKECSCGSARKHKPSCNLATTVASREPPKTTAPSREPPKTKGGQGKGQKGKKQKKKEQDETPAIRDHDSKVAPDLGALCI >OGLUM04G28970.1 pep chromosome:ALNU02000000:4:31397586:31399371:1 gene:OGLUM04G28970 transcript:OGLUM04G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVAAALAMACFLLILAAFAPPAAAAPPDIMSIIRYNAEHGVRGLERTEAEARDLWLARHRRGGGGGSRNGFIGEHERRFRVFWDNLKFVDAHNARADERGGFRLGMNRFADLTNGEFRAAYLGTTPAGRGRRVGEAYRHDGVEALPDSVDWRDKGAVVAPVKNQGQCGSCWAFSAVAAVEGINKIVTGELVSLSEQELVECARNGQNSGCNGGIMDDAFAFIARNGGLDTEEDYPYTAMDGKCNLAKRSRKVVSIDGFEDVPENDELSLQKAVAHQPVSVAIDAGGREFQLYDSGVFTGRCGTNLDHGVVAVGYGTDAATGAAYWTVRNSWGPDWGENGYIRMERNVTARTGKCGIAMMASYPIKKGPNPKPSPPSPPSPAPSPPQQCDRYSKCPAGTTCCCNYGIRNHCIVWGCCPVEGATCCKDHSTCCPKEYPVCNAKARTCSKSKNCPYNVEALIRTPAAMARSVPEQPDSISFVVLNREDLV >OGLUM04G28980.1 pep chromosome:ALNU02000000:4:31400288:31402820:1 gene:OGLUM04G28980 transcript:OGLUM04G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vitamin E pathway gene 5 [Source:Projected from Arabidopsis thaliana (AT5G04490) TAIR;Acc:AT5G04490] MAAAARPVDVVRHFPCSSSAAASSSLLLSRSKSRLASPAAAAASSMRRRLVLGVGAAAAPAVAALAASATPAALRDGAATLLITAGAYSLVRAFDGLTARRLIEQNLSRKIVHVLSGVLFMSSWPLFSNSTEARFFAAIVPLLNCIRLLTYGLRLSTDEALVKSVTREGKPEELLRGPLYYVIVLLVSVLVFWRQSPIGIVSLSMMSGGDGFADIVGRRYGSAKLPFNENKSWIGSISMFISGFLLSALMLFYFSCLGYFTVCWDLALGKLALVALAATVVECIPVNDVVDDNISVPLATMLAAYLLFGYSSCC >OGLUM04G28990.1 pep chromosome:ALNU02000000:4:31401281:31406320:-1 gene:OGLUM04G28990 transcript:OGLUM04G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MAAPPPPIRSKQSEIWKAAMARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPYPDSPLIKQYSAEYWLLASLQPGSSSAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRNEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHLAVHLNQSDLPSN >OGLUM04G28990.2 pep chromosome:ALNU02000000:4:31402933:31406320:-1 gene:OGLUM04G28990 transcript:OGLUM04G28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MAAPPPPIRSKQSEIWKAAMARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPYPDSPLIKQYSAEYWLLASLQPGSSSAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >OGLUM04G28990.3 pep chromosome:ALNU02000000:4:31402933:31406320:-1 gene:OGLUM04G28990 transcript:OGLUM04G28990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G34270) TAIR;Acc:AT1G34270] MAAPPPPIRSKQSEIWKAAMARLALLAVPVALLLSLSFLLLRPASPPLPFSRQQAASGPDRRRLLRVYVAELPRALNHGLLDLYWSLPAADSRIPASSDPDHPPPRPPRAHSPYPDSPLIKQYSAEYWLLASLQPGSSSAPAVRVVADWRDADVVFVPFFATLSAEMELGWGAKGAFRRKEGNEDYRRQREVVDRVTAHPAWRRSGGRDHVFVLTDPVAMWHVRNEIAPAILLVVDFGGWYKLDSNSASSNVSHMIQHTQVSLLKDVIVPYTHLLPTMHLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLMVNEPDVVMEEGYPNATGREQSIKGMRTSEFCLHPAGDTPTSCRLFDAVASLCIPVIVSDEIELPFEGMIDYTEFAIFVSVNNAMRPKWLTNYLRNVPRQQKDEFRRNMAHVQPIFEYDSIYPGRMASAAQDGAVNHIWKKIHQKLPMIQEAVTREKRKPDGTSIPLRCHCT >OGLUM04G29000.1 pep chromosome:ALNU02000000:4:31407246:31413957:1 gene:OGLUM04G29000 transcript:OGLUM04G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFFPSKPYSPQHLPSPILYFPIASHRTFALVSSYSPRTFPLPLSPTSPTLLAVPPNPTNRRAREGPIPDFAAMAGAPPTEAEKESLVTSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGEAALPAHPAPSPAAAAEAAAAAVAAAAEVEDAMRFAPPPAAALGDGMLQGLGEEEDVRAPLPTKRETLYGDAPMVVVRPNSTVAFRNFEEESRQSAVWDSEQNAASSSRDNLAALYRPPFALMFNGPFDKAKLEASVLDKWLLINLQSTEEFSSHMLNRDTWANEAVAQTIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMIHPDRLLEDLMPYLDKGPKEHHAAQPQKRPRKVDQETSIGKQGKTPVPVVATEDEDEELARAVAASLEESKGSDTSEEKIEPEVENEPSLSAKLNYPPLPEEPKGSRELLCRVAIRLPDGRRIQRNFLHTDPIKLLWSFCYPQVEDGDKKAFHFVQPIPRASTNLEYESDKTFKEAGLANSMINLLWD >OGLUM04G29010.1 pep chromosome:ALNU02000000:4:31422888:31424883:-1 gene:OGLUM04G29010 transcript:OGLUM04G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSAADPSPSASTAGAAASPLALLRAHGHGHLTPPSAATGPAPPPPSPASGSAPRDYRKGNWTLHETLILITAKRLDDDRRAGVGGGAAAGGGGAGSPPTPRSAEQRWKWVENYCWKNGCLRSQNQCNDKWDNLLRDYKKVRDYESRVAAAAVTGGAATANSAPLPSYWTMERHERKDCNLPTNLAPEVYDALSEVLSRRAARRGGATIAPTPPPPPLALPPPPSPPKPLVAQQQHHHHGHHHHPPPPQPPPSSLQLPPAVVAPPPASVSAEEEMSGASESGEEEEGSGGEPEAKRRRLSRLGSSVVRSATVVARTLVACEEKRERRHRELLQLEERRLRLEEERTEVRRQGFAGLIAAVNSLSSAIHALVSDHRSGDSSGR >OGLUM04G29020.1 pep chromosome:ALNU02000000:4:31428429:31430519:-1 gene:OGLUM04G29020 transcript:OGLUM04G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISRAIRACAAAGSRRSMASSAKEVAAAGARAAAAVARRGREREREEDGRRVQWVFLGCPGVGKGTYASRLSQMLRVPHIATGDLVRDALASPGPFSEQLAEIVNNGKLVSDEIIINLLSKRLEEGAEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRMCSQCGGNFNVASIDMEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLCEPVEDFYRARGKLLEFNLPGGIPESWPKLLQALNLDPGNERSAAA >OGLUM04G29030.1 pep chromosome:ALNU02000000:4:31431793:31434441:-1 gene:OGLUM04G29030 transcript:OGLUM04G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNSLKTGGLLLPTIERQCASPPSVIVIGGGISGVAAARALSNASFEVTVLESRDRVGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLKLYRTSGDNSVLYDHDLESYALFDKAGHQVSKETVAKVEETFERILDETVKVRDEQEHDMPLLQAISLVLERHPHLKLQGIDDQVLQWCVCRLEAWFAADADEISLKNWDQEHVLTGGHGLMVNGYYPIIQALAQGLDIRLNQRVTKIARQFNGVTVTTEDGTSYSADACIITVPLGVLKANIIKFEPELPSWKSSAIADLGVGIENKIAMHFDTVFWPNVEVLGMVGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVMSHLKKMLPDATEPTKYLVSRWGSDPNSLGSYSCDLVGKPADVSARFAAPVENLYFAGEAASADHSGSVHGAYSSGIAAADECRKRILMQKGIPDLVQVKAYEEMAGVIAPLQICRT >OGLUM04G29040.1 pep chromosome:ALNU02000000:4:31436167:31439584:-1 gene:OGLUM04G29040 transcript:OGLUM04G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPSNGFAAGGLFLRHIDGQNASPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRLLGLRLYRTSGDNSVLYDHDLESYALFDKDGRQVPQEIVTKVGETFEKILKETVKVRAEHEDDMPLIQAISIVLDRNPHLKLDGLQYEVLQWCICRLEAWFATDVDNISLKNWDQEHVLTGGHGLMVHGYDPVIKALARDLDIHLNHRVTKIIQRYNKTIVCVEDGTSFVADAAIITVPLGVLKANIIKFEPELPDWKLSSISDLGIGIENKIALRFNSVFWPNVEVLGRVAPTSNACGYFLNLHKATGHPVLVCMVAGRFAYEFEKLSDEESVNFVMSQLKKMLPGATEPVQYLVSRWGTDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIVAAEDCRRHLSTQLGISDLFQVGKIIMREEMTEVMVPFQISRL >OGLUM04G29050.1 pep chromosome:ALNU02000000:4:31449628:31452311:-1 gene:OGLUM04G29050 transcript:OGLUM04G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02720) TAIR;Acc:AT3G02720] MAPKKVLLLCGDYMEDYEAMVPFQALQAYGVSVDAACPGKKAGDSCRTAVHQGIGHQTYAESRGHNFALNASFDEVNINEYDGLVIPGGRAPEYLAMDEKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRKCTAYPAVKPVLVAAGAKWEEADTMDKCTVDGNLVTAVAYDAHPEFISLFVKALGGSVTGSNKRILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFALTASFDNVDASSYDALVIPGGRAPEYLALNDKVISLVKGFMDKAKPVASICHGQQILSAAGVLQGRKCTAYPAVKLNVVLGGATWLEPNPIDRCFTDGNLVTGAAWPGHPEFISQLMALLGIKVSF >OGLUM04G29060.1 pep chromosome:ALNU02000000:4:31454591:31457957:-1 gene:OGLUM04G29060 transcript:OGLUM04G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGAAAAAAAGEASTEAGARPLAPEEEALRRNTDCVYFLASPLTCKKGNECDFRHSDNARMNPRDCWYWLNSNCLNPKCPFRHPPIDGMFGAPTTGMPAVSSHYAPFNSGKQLVPCYYFKKGNCLKGDRCAFYHGPQSVGNNPSEQVVKVSSLPLEQLQTQKNDLLGIKDSVQSTNSIQHGAPITNERGKTAIDRSTVNSARTATVAIPVASNAMPCPKSEKVKNSMPAALKESFTTFSGGDHPECYQNHFPMDSDPVRDWNQSYEMPPADDLPQNSREADELLGESSPGFDVLVDNDADGAAYLHDEDFGGDMYPVEDYEYAPADFDVRAHHERERFNGMDEQDQMGHMYDGYERKRRRSSERSMERPFHSERRFLQRDRDRVEMDGSDLRHRLRRRRINESSLAISPERNGEQRRRDERYRERAHGHRSHRDHHQSSRGSTLSSRLQGRIKLPGRSPDRVDTRSEKERDRRRLRDRLSPVRRTEFQGTRHREAGQHEEQTQRRSSELALGSRNADGQHLTKDVPDSHNFPHRKNLRDSSKANGSVEPEASLDFEGPKPLSVILQRKREAAWANGTSACSPKQDKSAEVSHRQASLAEAEKEGDNIISSDEYKSGSGDEEFRDEGHIPVEGHGQSSSHGDKLEAEDIIEVDPVENQDADNYDQREGESYYEPIEGQDYKSDDENAYEDDDEEYDDDDDFARKVGVVFS >OGLUM04G29070.1 pep chromosome:ALNU02000000:4:31460826:31467216:-1 gene:OGLUM04G29070 transcript:OGLUM04G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin response factor 8 [Source:Projected from Arabidopsis thaliana (AT5G37020) TAIR;Acc:AT5G37020] MVAISELGLRVCASLVWSEEEEMSSSSAASIGPPQPPPPPAPPEEGGWLEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGFLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >OGLUM04G29070.2 pep chromosome:ALNU02000000:4:31460826:31467216:-1 gene:OGLUM04G29070 transcript:OGLUM04G29070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin response factor 8 [Source:Projected from Arabidopsis thaliana (AT5G37020) TAIR;Acc:AT5G37020] MVAISELGLRVCASLVWSEEEEMSSSSAASIGPPQPPPPPAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVVYFPQGHSEQVAASTNKEVEGHIPNYPNLPAQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLDFTQQPPAQELIARDIHDIEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVASLHDDSNALMWLRGVAGEGGFQSLNFQSPGIGSWGQQRLHPSLLSSDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEHCNLNPLLQQQILQQASQQQIINPDAQNIQTMLSPSAIQQQLQQMQQVQNDQKQKIQPDQSYQVPTSAVLPSPTSLPSHLREKFGFSDPNANSSSFITSSSSDNMLDSSFLQGSSKAVDLSRFNQPVASEQQQQQQQQQAWKQKFMGSQSVSFGGSVLHNSPTSKDGSVENKIGRDVQNQSLFSPQVDSSSLLYNMVPNLTSNVSDGNLSTIPSGSTYLQNAMYGCLDDSSGFLQNTGENDPATRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >OGLUM04G29080.1 pep chromosome:ALNU02000000:4:31475860:31477854:-1 gene:OGLUM04G29080 transcript:OGLUM04G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLGPATRTHSASGKRKPIAATPAADPHLDHVDQPRRADANQCHCMIKSCSCSGRQGKGHQEGEETEDAPSTDQQLFSEAIAVDPQFVIVPLRGDAMLLAHCILSSQAQKLSSAIPSRIKDAYMHAR >OGLUM04G29090.1 pep chromosome:ALNU02000000:4:31480733:31483771:-1 gene:OGLUM04G29090 transcript:OGLUM04G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYYCFFHFLVVSVLLHVHGGRSESQTCDPTDLAALLAFSDGLDTKAAGMVGWGPGDAACCSWTGVSCDLGRVVALDLSNRSLSRNSLRGGEAVARLGRLPSLRRLDLSANGLAGAFPAGGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITGNAFSGGINVTALCASPVKVLRFSANAFSGDVPAGFGQCKLLNDLFLDGNGLTGSLPKDLYMMPALRKLSLQENKLSGSLDDGLGNLTEITQIDLSYNMFNGNIPDVFGKLRSLESLNLASNQLNGTLPLSLSSCPMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGSETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFGGELPATFTQMKSLISSNGSSGQASTGDLPLFVKKNSTSTGKGLQYNQLSSFPSSLILSNNKLVGPILPAFGRLVKLHVLDLGFNNFSGPIPDELSNMSSLEILDLAHNDLSGSIPSSLTKLNFLSKFDVSYNNLSGDIPAGGQFSTFTSEDFAGNHALHFPRNSSSTKNSPDTEAPHRKKNKATLVALGLGTAVGVIFVLCIASVVISRIIHSRMQEHNPKAVANADDCSESPNSSLVLLFQDNKDLGIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHDNLVLLEGYCKIGNDRLLIYAYMENGSLDYWLHERADGGALLDWQKRLRIAQGSARGLAYLHLSCEPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDVYSFGIVLLELLTGRRPVDMCRPKGSRDVVSWVLQMKKEDRETEVFDPTIYDKENESQLIRILEIALLCVTAAPKSRPTSQQLVEWLDHIAEG >OGLUM04G29100.1 pep chromosome:ALNU02000000:4:31495635:31500999:-1 gene:OGLUM04G29100 transcript:OGLUM04G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSA0] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVYGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGCASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGSQKASIYRVERTVNRLGQTMLAAAMSLGQLIPSSPYQLFERRAGRCCSPLC >OGLUM04G29100.2 pep chromosome:ALNU02000000:4:31495633:31501178:-1 gene:OGLUM04G29100 transcript:OGLUM04G29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSA0] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVYGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGCASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGSQKASIYRVERTVNRLGQTMLAAAMSLGQLIPSSPYQLFERRAGRCCSPLC >OGLUM04G29100.3 pep chromosome:ALNU02000000:4:31495655:31501178:-1 gene:OGLUM04G29100 transcript:OGLUM04G29100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSA0] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVYGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGCASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGAHSQSSGPDDASSSNVARAADTLVALSTLREKGR >OGLUM04G29100.4 pep chromosome:ALNU02000000:4:31495657:31500999:-1 gene:OGLUM04G29100 transcript:OGLUM04G29100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSA0] MASPQESNSLCLKRKLVDDCLSKECKSRRIKTEKGPSSDSSAKRCKCCCTRPNLASDCVNYLKSGVPSRVMFYKQGSWHNFPEQIMKSLIEEFRSNKSSVVAVMDDEPVLVDFLSMTLVNLKSRKQRSVAWFDDTGKCFYPSLFFDEEADEVAKVGGDFEGATQGIMLDKVANSPPEVVKQVVLESSPPVPQKPATADILRKKIASVERGSEGFLFAQDLFLSGMGPFATPNNILHIHRYSPNDITAQCRLQAFEKQMMSTKEERGDANVRYGWLGSRKNDIVRILINGFGNNGKPAEKAGLSAGVYLSPEDRAFSSVGLCDVDEKGVQYMLLCRLILGNMEAVMPGSQDSFPSSDIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFRLSSKVRNYLLGLKGLWFHPSPKEVAVDISTLVPIMSGNAEGPTSPWISFRVLFAMIQENISSVARELLFHHYEELKENKITREEMVKQMIILVGEKLLLETLKRLHYCPSLWYKSAGKIASSDPARTAAEDRSLDQTGNCSLIVSVAHGDSHAPNAVAENSTSLCTKGCDTPATGMISKGYDSLAPKGVPETSTSVGPVYGASPSVEPKVRDSPIQTVLSGNIATDCAKRQDPLVSRVAPVAHNGLLRMPSGKSASLAAQVCNSVRPSTGPSGCASTEPNNASKSCGIFAPGIRPKGGESLVPSLALGNSKYAGVEGLNSAPRATPPGIRPKGGESLVHGLALGNSKCAGAEGINSAPRVTPLGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPPGIRPKGGESIAPSLALGNSKCAGAEGLNSAPRVTPKDKEFLSLSISSQQSPVLNSGKGHDGTSGAARPVHAPGHGNPKALATEARGSLSLSIAPNVHDPPASSKEPKDDASPIAGMVSESQHSQAPNAVTKGYNAPTPIPKESKGQHLQSGAHSQSSGPDDASSSNVARAADTLVALSTLREKGR >OGLUM04G29110.1 pep chromosome:ALNU02000000:4:31502534:31506283:-1 gene:OGLUM04G29110 transcript:OGLUM04G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCPWQYVPPAEATGVSGSVDQVHASFGFNCGELACCTFHILCGVSFSYGRRFTAVRDFLQGQMTSMDKAANVVLDIEGLPQQPDKCCTGSPKMTRALSRKGSNRMERRSGEEQEQDDLVKKLIIKVVPSQLEQLKMPLVQNKALVTPQSQCAACAPILTDSGEGRNKKFNRLTSVHPRKILLFFATLSSVGTMILIYFTLAINGGKAEA >OGLUM04G29120.1 pep chromosome:ALNU02000000:4:31510516:31517001:-1 gene:OGLUM04G29120 transcript:OGLUM04G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRARAVHALLVVSSTPSSPTPTTFVANQLLSLYARLSAVTDALALLRSTPRPSVVSYNTVLSALCRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRAAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKLDELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFFSMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVDKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRADLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKHVVHVFSADDECHSQIDDCHSELLRLKGNMELLDTCENEFMSILTNGIRTQTSEQPVSIKPFIPCKEMSLLSHFCLCLQRKAGSCCRCIRFLLVFVFVLHIHGGHSQMCDPADLAALLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSLRGVAVAQLGRLPCLRRLDLSTNGLAGAFPASGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITNNAFSGSINVTALCSSPVKILRFSANAFSGDVLAGFSQCKVLNELSLDSNGLTGSLPKDLYTISELRSLEFLNLASNQLNGTLPLSLSHCLMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSSSNGSNGQASTEDLPLSFVKKNSAPTAKGLKFNQLSSFPPSLILSNNMFVGPILPSFGCLVKLHVLDLSFNKFSGPIPNELSNMLSLEILDLAHNNLSGSIPSSLTKLNFLSKFDVSFNNLSGDIPTGGQFSTFTEEEFAGNPALALSQSQSCYKRAVTTEMSSETRFTFGLFLTVEAGFAFGPLTVWNVLFFASSWRAAYFQMVDNFFDRFYVITMVNLNRLRRKWEQKDHP >OGLUM04G29120.2 pep chromosome:ALNU02000000:4:31510516:31517001:-1 gene:OGLUM04G29120 transcript:OGLUM04G29120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRARAVHALLVVSSTPSSPTPTTFVANQLLSLYARLSAVTDALALLRSTPRPSVVSYNTVLSALCRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRAAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKLDELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFFSMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVDKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRADLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKHVVHVFSADDECHSQIDDCHSELLRLKGNMELLDTCENEFMSILTNGIRTQTSEQPVSIKPFIPCKEMSLLSHFCLCLQRKAGSCCRCIRFLLVFVFVLHIHGGHSQMCDPADLAALLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSLRGVAVAQLGRLPCLRRLDLSTNGLAGAFPASGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITNNAFSGSINVTALCSSPVKILRFSANAFSGTIPDVFGKLRSLEFLNLASNQLNGTLPLSLSHCLMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSSSNGSNGQASTEDLPLSFVKKNSAPTAKGLKFNQLSSFPPSLILSNNMFVGPILPSFGCLVKLHVLDLSFNKFSGPIPNELSNMLSLEILDLAHNNLSGSIPSSLTKLNFLSKFDVSFNNLSGDIPTGGQFSTFTEEEFAGNPALALSQSQSCYKRAVTTEMSSETRFTFGLFLTVEAGFAFGPLTVWNVLFFASSWRAAYFQMVDNFFDRFYVITMVNLNRLRRKWEQKDHP >OGLUM04G29120.3 pep chromosome:ALNU02000000:4:31510516:31517001:-1 gene:OGLUM04G29120 transcript:OGLUM04G29120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDWSRLGLEEALAAAALLLRSCSPRRAVLRRARAVHALLVVSSTPSSPTPTTFVANQLLSLYARLSAVTDALALLRSTPRPSVVSYNTVLSALCRAPRHAPEGLRLFRRLHASGLRPTAPSLCALLRAAGELRDRRAGAAAHSQAATLGFLASDIVPTALLQMYSQCGAPRDANQVFDEMATRDGVAWNCVMHCNVRYGYLDRALGQFCRMVRGGLPPTESTLSSVLSGCGRAGDCRRGRALHGWVVKLDELDPDMPLQNALLDMYSSCGDLDTALRVFERIETPDLVSWNTLIAGFSGVGDGCSAMHAFVQLKAVQFDERVVPDEYTLAAVVSASATLPAMFGGKPLHAEVIKAGLENSVFVGNTLLNMYFTNDDPHSARILFDSITQKDVIMWTEMVAGHSSLGEGELALRYFFSMLQEGYKVDSFSLSSALNSTAELAGLKQGEMLHAQVVKSGYEGNICASGSLVDMYAKNGALPGAYLVFCNIQRPDLKCWNSIIGGYGNHGNSEMAFKLFGEMIRDGLQPDHVTYISILSACSHCGLVDKGKFYWFCMMTDGIVPGFKHYTSMVSLLGRADLLDEAVDLMMKSPFAKKCPELWRILLSSCITFRNLSIGVHAAEQALEQDPDDISTHILLSNLYASLGKWDFVAEIRKRMRGLMADKEPGLSWVEMKHVVHVFSADDECHSQIDDCHSELLRLKGNMELLDTCENEFMSILTNGIRTQTSEQPVSIKPFIPCKEMSLLSHFCLCLQRKAGSCCRCIRFLLVFVFVLHIHGGHSQMCDPADLAALLAFSDGLDRMGAGLVGWGPNDTSCCSWTGISCDLGRVVELDLSNRSLSRNSLRGVAVAQLGRLPCLRRLDLSTNGLAGAFPASGFPAIEVVNVSSNGFTGPHPAFPGAPNLTVLDITNNAFSGSINVTALCSSPVKILRFSANAFSGDVLAGFSQCKVLNELSLDSNGLTGSLPKDLYTISELRWLSLQENQLSGSLDKALGNLSKLTLIDLSYNMFTGTIPDVFGKLRSLEFLNLASNQLNGTLPLSLSHCLMLRVVSLRNNSLSGEITIDCRLLTRLNNFDAGTNKLRGAIPPRLASCTELRTLNLARNKLQGELPESFKNLTSLSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTNNFRGGETMPMDGIEGFKRMQVLVLANCALLGTVPPWLQSLKSLSVLDISWNNLHGEIPPWLGNLDSLFYIDLSNNSFSGELPATFTQMKSSSNGSNGQASTEDLPLSFVKKNSAPTAKGLKFNQLSSFPPSLILSNNMFVGPILPSFGCLVKLHVLDLSFNKFSGPIPNELSNMLSLEILDLAHNNLSGSIPSSLTKLNFLSKFDVSFNNLSGDIPTGGQFSTFTEEEFAGNPALALSQSQSCYKRAVTTEMSSETRFTFGLFLTVEAGFAFGPLTVWNVLFFASSWRAAYFQMVDNFFDRFYVITMVNLNRLRRKWEQKDHP >OGLUM04G29130.1 pep chromosome:ALNU02000000:4:31518765:31520088:-1 gene:OGLUM04G29130 transcript:OGLUM04G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPTPQHAILCFPLSHRPSPTPSQRRCPDPRMHHLQIWRWLGGGLQQAGRGCAAAVQQLAQSQHAQGSRSPVGTRGGSVDAQRAGAAAASNSPVHGRMVASWSATATATLLKCSHAISNQLMALINEIEDIKLVGALVSFVMEKFCSSSCLFHSFSNCWEKVEMKTWWLELVWTNDGTWSWVRTIDDTRI >OGLUM04G29140.1 pep chromosome:ALNU02000000:4:31521312:31524871:1 gene:OGLUM04G29140 transcript:OGLUM04G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAADQDAVSLVRRVSRALNRRLSDLVGLLFHHKSAGSLGAVAGFAIAVVFAWKFLRSSPARPRRPPGPKRPLVGPAAPDSAARDAAEPANPGKLTTWQIVVKRLGGCRKVTCQLLGVVFEEITPQELQTHATVRPSVVELLLEIAKYCDLYLMETVLDDKSEENALLALESAGLFKTGGLMKEKVLFCSSEVGRTSFVRQLEADFHIDTSLDIISQLSRFIRCQLFVSSIEGGQLAGNVFNSPSLEQFFS >OGLUM04G29150.1 pep chromosome:ALNU02000000:4:31525322:31528607:1 gene:OGLUM04G29150 transcript:OGLUM04G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDAYAASAAASAASPRTPAPPETPSTQKRRQRGLVSRVWKGIFGRREDVEKLLQALSKEEEAVRSRLRRRARASRQSAHNVLAIAAALEIVAVGYAIMTTRSPDLSWQMRATRVLPMFLIPALAALIYSTITSVTKMLDNRDQHTLENLRAERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESNRDATLSKSNDAQTTGPRQRKPGHLSNSTGRTYGPESLGGSYAYDGNEGVTTPNQRTVDHFRGPAGNDGGWLARAAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFITYYCPHCNALNGSRQHDEHEMVSPGKETPISHSDGSIGHAGANLANSGAGSPIVKDLPTVEELPAESSVATNLPSAEELPAESPIANNLPAVEELAAESPVASSTPAIEELPAEGTVEKASIDHPAS >OGLUM04G29160.1 pep chromosome:ALNU02000000:4:31530075:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >OGLUM04G29160.2 pep chromosome:ALNU02000000:4:31530037:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKVCMSINLSHFNSKVVAFEVNECPYTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMQEPRS >OGLUM04G29160.3 pep chromosome:ALNU02000000:4:31530075:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >OGLUM04G29160.4 pep chromosome:ALNU02000000:4:31530075:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDPFLRMA >OGLUM04G29160.5 pep chromosome:ALNU02000000:4:31530032:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQCNTASPGRKQSFTQPNRHKYRNIQDGREHGSLFSDKQQLQKYFKQNERDAWYHGPDAPIASASKRRPSEFTSSAGQNGSFVWRNANLSYEARTAKLV >OGLUM04G29160.6 pep chromosome:ALNU02000000:4:31530255:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >OGLUM04G29160.7 pep chromosome:ALNU02000000:4:31530255:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQCQVYDGGKVHTSHKVPVVDSATQHLLEENNHLLNQIATNIETFKVCMSINLSHFNSKVVAFEVNECPYTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >OGLUM04G29160.8 pep chromosome:ALNU02000000:4:31530255:31534345:-1 gene:OGLUM04G29160 transcript:OGLUM04G29160.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSSMGFHQGITASMYNHHMLSFQSNSDLGGGTGAAAGMVMAPRSMNGTSSSAGLFVSPNTGVLGNASVAGPSRSSSGDAFSSTVAPKYKFVTGSPSDWNDRELNTLKEGLVRYAREPNIMKYIKIAAMLPNRTVRDVALRCWWATSKDRRKKPDGFYTGKKIRDMKPIQDKMVASASMANFHLAPANTVTPFSISMQHTNQQFPVVDSATQHLLEENNHLLNQIATNIETFKTGENTDLFFRTNNNFKNILSRMSETPGIMGQMPQLPVQVNEDHLSSLLQLDRMVRGDP >OGLUM04G29170.1 pep chromosome:ALNU02000000:4:31534597:31535289:-1 gene:OGLUM04G29170 transcript:OGLUM04G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKPRGLWQRRRGRVSFCCGLESAPTIAWGGGGGGGGGGGGGGGRSFRGFGGRASRSDPPRESGMRS >OGLUM04G29180.1 pep chromosome:ALNU02000000:4:31558661:31560329:1 gene:OGLUM04G29180 transcript:OGLUM04G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARSPCWIMERMINSLGFFLVAFFLNSWT >OGLUM04G29190.1 pep chromosome:ALNU02000000:4:31566509:31570523:-1 gene:OGLUM04G29190 transcript:OGLUM04G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil dna glycosylase [Source:Projected from Arabidopsis thaliana (AT3G18630) TAIR;Acc:AT3G18630] MAPPLPPTAPKTIADYLIRPSKRLRPTSPAPAAAASAPLSSSSSLSPEQRRRADTNLALARARRHLRLAESKASGGTAKLEELLVEETWLEALPGELRKPYALELCRFVAHERLHSPVPVYPPPHLVFHALHATPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKIPSSLANIFKELQKDLGCTVPSHGNLERWAVQPVREHQANSHAKKGWEQFTDAVIKTISLKKSGIVFILWGNSAQAKTRLIDETKHHILKSAHPSGLSASRGFFGCSTCISGLPYMRRHNIYLMLDNPPMAGTFLKRIRSWRGWDYLPLIGNSRPFEDIMWSVCLTTTSALKVLVARTIEFGQNFVGP >OGLUM04G29200.1 pep chromosome:ALNU02000000:4:31588761:31592415:1 gene:OGLUM04G29200 transcript:OGLUM04G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMVSITKAADSAKKMYIAPELPRSPLVPSPSLNGLPASCPAGRRGGFKLADEGGPRRAQPSGGTEDSGRFFMISGEERIVEWIRGRDGG >OGLUM04G29210.1 pep chromosome:ALNU02000000:4:31588794:31589375:-1 gene:OGLUM04G29210 transcript:OGLUM04G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLALSAALVMETMRRIRSHHGHRHLLKPIAVVTLGEAAGSALPSSLAADPCERGAERRRESCERGRDRRIRTSHHHPRRIRATVGRRDDRIQARRSEAAHGIRAGGEGVAGSAPPVADDGGSAREGGRETMGSRRGGVNPSQYGNAPSKKLNAIAGGGMVDLVGNDGFEQESGCWRYMRRWRKTEENREK >OGLUM04G29220.1 pep chromosome:ALNU02000000:4:31592957:31593758:-1 gene:OGLUM04G29220 transcript:OGLUM04G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNHLVSLALLLLLALLGASVRRAGATTFEVGGEHGWAVPPAKDAGVYNDWASKNRFLVGDSVHFKYAKDSVMVVTEDDYNKCKAEHPIFFSNNGDTEVGLDRQGLFYFISGVAGHCERGQRMVIKVIGHDAPPPASPPPPPSNAPPTPPHPSGAASALGAGGLAVAAMLLPVFVYGV >OGLUM04G29230.1 pep chromosome:ALNU02000000:4:31596028:31596993:-1 gene:OGLUM04G29230 transcript:OGLUM04G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTDKYHALLPFHATGGGVKDHRRRPGKAVPGAAGGGPGSARFGAALSARLGSLLPLPASPLAALARLADLLALALADAVPALAGEGDAAAVAAHLDAGVALLDACNGITARLDRLRRRRLLSRFALHLLSSSSPPLPSSVRRARAALADRDERAPASPPPPLPSLPFDQPRDRVSGAATVLLAVDAVSSLAAAAASAVLCGDALHQIAFPLVSGEFPWTEAFNAVSIQLAALATKPSEVDAVDEAVGKLKSVLDNGDGDLDEAALRAAAQEVERRTEELTAPLDRLSDAVNGVFRAALCLRNAELGSIMVGPAEKTPCK >OGLUM04G29240.1 pep chromosome:ALNU02000000:4:31602427:31603002:1 gene:OGLUM04G29240 transcript:OGLUM04G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPHAPAAAAPSPSPSPRRRPSGPPESRPGARTAAAGLPSKWRRGWGASRRPWRRRRPDPGLGRRRRGGRGGGGDGADEAAAATGRTRRRWRRPTGRRRRWRRWRQDGGGAGSRDRAGPAARNVTGSGNLLAGSGAPQSGSVLSGMGGRIPSVKAATGQHADDSVQPGVEAGKKETGGCVGAALAAGPA >OGLUM04G29250.1 pep chromosome:ALNU02000000:4:31603082:31605107:1 gene:OGLUM04G29250 transcript:OGLUM04G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVPPQIRASRPDLEGGRLWWSATAVDLRQLVTAVGNGGDGWLRQLAAATCTPNHTDELEAYKTSNTELES >OGLUM04G29260.1 pep chromosome:ALNU02000000:4:31606345:31608798:-1 gene:OGLUM04G29260 transcript:OGLUM04G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT3G17670) TAIR;Acc:AT3G17670] MAAAAATAFSCSFACPRHRRSPTTARASGAAVEIRVCTNRTCARQGGREVLAALEGLAPPRVDVASCGCLGRCGAGPNFVASVSGGGAAVFGHVGTAARAAQLLEHLLGDSGFDAAAGLAALAAREKAEAALEKGGAAEAEALLTEAIEMNAGGGLHLAYRSRSRARLSMGNITGALADAEEATKIAPKFPQAHLSRGDALFAMEEYRAAEDAYADALDLDPSIRRTKSFRARVQKLREKVANADVSSSS >OGLUM04G29270.1 pep chromosome:ALNU02000000:4:31609017:31611288:1 gene:OGLUM04G29270 transcript:OGLUM04G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:coenzyme Q biosynthesis Coq4 family protein / ubiquinone biosynthesis Coq4 family protein [Source:Projected from Arabidopsis thaliana (AT2G03690) TAIR;Acc:AT2G03690] MQGARVNLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFQRVLQRMRNSAEGRDVLLERPRVISTQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFNEKQRTLFFQHYFPWASKAGLKCTDLMSVYYEKHFHEDLDEVRRNWGIIPCPDPKRSSV >OGLUM04G29280.1 pep chromosome:ALNU02000000:4:31611917:31615947:-1 gene:OGLUM04G29280 transcript:OGLUM04G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELCDLKVQINGYHTLLLHQSVMCAFSGRLRTMVTQEKKKRKTSRAESLSVKLADFPGGGEGFELVARFCYNNGRVPLCPSNLPLLHCAAVFLEMTEEVCTCNLLTQAEAFVDGLYYWTWADVLAAVKSCEPFAAAADASGLLERLISALFSKITANPETPVAAGAVGTPNRSSSSCSSSPDTLGFGRSSSTKTPESMKPCFTREWWFDDMTSLCPQTIEKAMRVLGCYGIENKNLILTRFLLHYLRAATRRPALCREGGGGLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDQATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADLPSQRMRKVGRLVDKYLGEISPDHGLRVSKFLAVAESLPDSARECYDGVYRALDIYLESHPTLTIEERTTLCRCLNYEKLTLEACKDLAKNRRIPPGIAVQALASQQSKLQIRPAAAAAKGTGGGRPDPSQTPRKIIVSGSRARSVDLDAMDEKELLKLNLQKMQNRVVELERACKEMRGQMSKMAKGGRPSSSFGAAACHQTGGRGLPRLC >OGLUM04G29290.1 pep chromosome:ALNU02000000:4:31618521:31618951:-1 gene:OGLUM04G29290 transcript:OGLUM04G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGRACVRAAHITPAAWRWTDRLGWGGGRRIRDLVYAGTRGDLQTPVVPIAIPLQADLVYLVRERKADKNVALRASVQKQPQIVYKVQILLLDRQL >OGLUM04G29300.1 pep chromosome:ALNU02000000:4:31619001:31621965:1 gene:OGLUM04G29300 transcript:OGLUM04G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSD0] MLCNCNSHAAAKPIPSSGLSMGRDNIEARRSGGRAAHLESPRGGIVSSRLRVAAAGENWKGDTWCGRFESMAAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >OGLUM04G29300.2 pep chromosome:ALNU02000000:4:31619175:31621971:1 gene:OGLUM04G29300 transcript:OGLUM04G29300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSD0] MAAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >OGLUM04G29300.3 pep chromosome:ALNU02000000:4:31619380:31621965:1 gene:OGLUM04G29300 transcript:OGLUM04G29300.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSD0] MAAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >OGLUM04G29300.4 pep chromosome:ALNU02000000:4:31619380:31621971:1 gene:OGLUM04G29300 transcript:OGLUM04G29300.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSD0] MAAATAAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFADASVGSVLAVIKKVENLGDQFITEISRVLKAGGMVLVQSSPSDQDPNNSIERKLLLGGFVDVQASAASSQDNEHSVTIKAKKASWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKIALPGNFLAADM >OGLUM04G29310.1 pep chromosome:ALNU02000000:4:31623322:31629137:1 gene:OGLUM04G29310 transcript:OGLUM04G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFFLFGLLYRLKLTNLKSSSLKPVLIETYSLLFSVSASIPKCREPCEFPNHRLRSLKPASAPPIRGLPVSSGGSAMEEQQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSVCFSRWRNFRDRFFVSVLILFMLFVICVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFEFKTSLEI >OGLUM04G29310.2 pep chromosome:ALNU02000000:4:31623322:31629137:1 gene:OGLUM04G29310 transcript:OGLUM04G29310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFFLFGLLYRLKLTNLKSSSLKPVLIETYSLLFSVSASIPKCREPCEFPNHRLRSLKPASAPPIRGLPVSSGGSAMEEQQQQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQTSRKPDSVCFSRWRNFRDRFFVSVLILFMLFVICRWWGLGGVLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITVLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGLVTVYIAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSLNVMHGERGLQNLIRFFGCPYSVSRVLSGYSNTGKSEEIASASTACTDAT >OGLUM04G29320.1 pep chromosome:ALNU02000000:4:31631877:31633989:1 gene:OGLUM04G29320 transcript:OGLUM04G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVIITLADWVVVAEHGIPGVPVRCRQVHGKGGDAFAWGSVAMRPSRAEQPGWLAGCCCCVQSRAGHRTIYRPVPHHPPEFIMYPKNYFCIRIPNFKMKPLQPTRLNFKIHHSQFSLDLSVICQNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPHQYFTTV >OGLUM04G29320.2 pep chromosome:ALNU02000000:4:31631877:31634955:1 gene:OGLUM04G29320 transcript:OGLUM04G29320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVIITLADWVVVAEHGIPGVPVRCRQVHGKGGDAFAWGSVAMRPSRAEQPGWLAGCCCCVQSRAGHRTIYRPNPSPDSSYLATRPEATDTWVSNSKTGTKLAYHASSLLITAAFTTKALFQSSPQPSLTSLTKKQTERRATLAFAACPHQYFTTV >OGLUM04G29330.1 pep chromosome:ALNU02000000:4:31636319:31639770:-1 gene:OGLUM04G29330 transcript:OGLUM04G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGRERRDQEEEEAAVESVERVFEGRVVPGWKEQVTLRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLTSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSDADLCTGGTGGFGSYIFAMSDRISDQSGEARDEHNIKNPSLGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKMQVKMLGKFFVMSFSWGFFQWFYTGGDGCGFMSFPTLGLEAYRNKFFFDFSATYVGVGMICPYLVNISVLLGGVMSWGIMWPLIEHKKGDWYPADLKPSSLRGIVGYRVFISISLILGDGLYNFLKVMTRTTTALVMQVRAMMSEPTLPVSGGGGGGGGQTPEETFDDKRRTELFLKDQIPNWLALSAYVVIAAVSIATVPRIFHQLRWYHVAVSYVVAPVLAFCNAYGCGLTDWSLATTYGKLAIFTVGAWADASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYKAFHDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPKHCLDLCIGFFVAAIAVNLARDLAAPKVARFLPLPMAMAIPFYLGPYFGIDMCIGSLIRFVWDRLDGARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLSRTTNIKVDAFIAKLPSS >OGLUM04G29340.1 pep chromosome:ALNU02000000:4:31640708:31642470:-1 gene:OGLUM04G29340 transcript:OGLUM04G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLGANPANSCALTPLGFLERAATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSSLGISRGDVVSVLLPNVPAMYEMHFAVPMSGAVLNSINTRLDARTVSVLLRHSGSKLIFVDPALLPVLRDALRLLPAGHTAPRVVLVEDPHEKEFPPAPAAALTYERLVEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLITVDSLVDWAVPPRPTYLWTLPMFHANGWSFPWGMAVVGGTNVCLRRVDAAEVFDTIARRGVNHLCGAPVVLNMLANAPEGVRKPLPGKVRILTAGAPPPAAVLYRTEAIGFEVSHGYGLTETAGLVLSCAWKGEWDKLPASERARLKARQGVRTPGMAEVDVVDGETGRSVPRDGSTMGEIVLRGGCITLGYLNDEAATKAAIRDNGWFYTGDVGVMHPDGYVEIRDRSKDVIISGGENISSVEVESVLYGHPAVNEAAVVARPDEFWGETPCAFVSLKQGGGAVTAADVVAWSRERMPRYMVPKTVIFRDELPKTSTGKIQKYVLRNIAKEMGPTTGTNTNRNSKM >OGLUM04G29350.1 pep chromosome:ALNU02000000:4:31646652:31650089:-1 gene:OGLUM04G29350 transcript:OGLUM04G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl hydrolase 9C1 [Source:Projected from Arabidopsis thaliana (AT1G48930) TAIR;Acc:AT1G48930] MAATMNKTPATTFLLIPAAASLVLLLAAAASVEASAFDYAGAFDKCLLFFEAQRSGKLPDDRLVRWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGLPMAYAVTMLSWGVVEFEKEMVDGNKLHRVLDAIRWGTNYFVKAHTQHNALWVQVGDGDSDHLCWERAEDMSTPRTAFKIDINNPGSEVAGETSAALAAAAKAFKPYDRMYSDLLLLHSKQLFTFADTFRGKYDDSLQSAKKFYPSASGYQDELLWAAAWLYEATGDEQYLRYVSQNAEAFGGTGWAVTEFSWDNKYAGLQVLLSKVLFEQGGSAAGYADTLKQYQAKAEFFLCACLQKNNGHNYVSSSAFLLTVYADYLAESRGTLRCPDGEVKPAEILRFARSQVDYVLGKNPKGMSYMVGYGSYYPTHVHHRGASIPSIYAMNATVGCMEGFDKYYNSKNADPNVLHGALVGGPDANDAYDDDRCNYQHAEPTLAGNAPMSGVFARLAASPADNTPEYTPAPNAPSPSNGGSPLEFVHTVTNTWKANGVDYYRHVVTAKNTCGHAITYLKLQIKELSGEIYGVSRTNAKDMYEFPSWMTRLDAGAQLTIVYIQGGPAAKIAVVEYKTA >OGLUM04G29360.1 pep chromosome:ALNU02000000:4:31653574:31657897:-1 gene:OGLUM04G29360 transcript:OGLUM04G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRVLLEDSQQSDKAPDNDFSVNTFSNESVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQYMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKANHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >OGLUM04G29360.2 pep chromosome:ALNU02000000:4:31653574:31657897:-1 gene:OGLUM04G29360 transcript:OGLUM04G29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQPASTSGDVDRIANRKHRHIVPRRSTEKKNPHNIQFERQVAALEYRQEEQRKRANGGRLFFTLSLSSHLVENGDELETSASPSLLLFHFNDPEDLARCLTSSRVLLEDSQQSDKAPDNDFSVNTFSNESVDVKRTSRKKSKKKNKRHKRVHGKKVSEASDTQYMQSKGASHCIDVAGGESLTLSSNHVAHAGSEMRCRKETFPSMADGGETLTLPPNHVADKLFGDLSSDSSVREVSAERPDSETGNDGSFITLISSTSCSDEIELSRHASYFECCEQSNSNNSRCLDSASTSTLTDSSLDGHYTDSSWNFSDDTENLLIDKNECPPCVQSKVTDLRGSKCGGSEGWLNKANHDKFSCFRNSADACSGTQEMQSCSNASSDGDFLPVISRKRARKNRKVQPLGGCNVEHICGVEHGQSGKQSKISSRPSNSCTQVASKDSTKDFIHPIKVRTWTPHEVTLNDYMIGANMNHLQDPKQNRRGKPHKYSRLSEVANGGFIEEKSACTAKMLPGITHSTETGVGQIASSSASDVTVREISEEICTPIGPVQKGGLQILLREENVVGTGSLDVLNHVSSVDSEEQKKVDNAVMSRSHGMEGHHLQSQDSGSQFPGCTTDYWKTSRPTESGLEVGYHGVSAFEGRCNTNQQRSVSSKLQLGEMIKAANDACKVQGASDVHLISGHPLADFETFIYSASPVIAKTSCMRNGNCLQDPQAGSSPYQYQISDVSLRNVWEWYEEPGSYGLEVEIHRSLNSTRSACGVSEFCAYFLPSLSAIQLFEQCKNNLDHKFDSDDDFLLSQPNGVYLPKPSLSVQDHGEPLFEYFESEHPSSRPPLFEKIKQLTSGENLSTCQIFGDPKMLENLKLRDLHPASWFCVAWYPICRIPQGNCRAAFLTYHSLGKVVPQIHSPDKADEPTHLVCPVVGFWSYNDKGEQWFQLRNPEIKPMSLDVGPKTDRAEVLKQRLKTLRHGASVMSSMVIPKANGEKSINRHPDYEFFLSRSN >OGLUM04G29370.1 pep chromosome:ALNU02000000:4:31664752:31684000:1 gene:OGLUM04G29370 transcript:OGLUM04G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 type (RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT5G23110) TAIR;Acc:AT5G23110] MDPGGMLLEDFGQRVDLTRRIREVLANYPEGTTALRELIQNADDAGASRVRLCLDRRAHGAGSLLAPALAQWQGPALLAYNDAVFTDEDFASISRIGDSRKVSQVWKTGRFGVGFNSVYHLTDLPSFVSGKYIVLFDPQGAYLPNVSAANPGKRIDFVSSSAITLYNDQLSPYRAFGCDMKAPFQGTLFRFPLRTAEQASLSRLSRQVYTEDDILSLFAQLYEEAVYNLLFLKNVLSLEMYVWESGMSEPKIVYSCSIGSQHDNLRWHRQALVRFSGTAAESSEQKIDSFSMDFVSKSFLGEKFEKKSYTYFIVQGMASALSKIGIFATTAAKDYDLHLLPWASVAACISNVGPEEVILRQGRAFCFLPLPVKTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNMLLLEDVVAPLFRELLLQLRTVLDSKISYYSLWPTGLYEEPWSILVEQICKFIYTSPVFHSEIKGGTWITPAESLLHDEGFSRSDDLSEALVMLGMPVVRLPGAIADMFPKFHSKYMLKIVTPATVRHFLKDFENLGTLEKSQKLILLEYCLADLDSGNIGKCMNSLPLIPLANKQFGIFSGISEENQYYVCDSIEYELLSAVSDRIIDRSIPPVILDKLYQIASSSQVNISLIEGRTFLQFFPWLFPPGWKCRNQVPWDPESGGSSPTAAWFKLFWQYIGDCSYDLDLFSDWPILPSTSGHLYRASTVSKLINTGSLSNLMKELLTKLGCKILDTKYLSTCQHLSNYVYDGDASGVLHSIFGVASLEGVDLQALFQRITPAEKNELYQFVLDAKWYLGPHLSDMSINLCKKLPIFRVFDGGSPSSYGFSDLSTSRKYLPPLGVAEQLLNDDFVFCISPSDEDIIMRYYGIERMPKSNFYQRYVLNRLDELQTEFRDSVLLTILQDLPHLSLENPRFKEALKVLRFVPTTNGVLKSPQSLYDPRVEELYALLQESDCFPHGLFQNPEVLDMLLCLGLRTSVSIDTIIQSARHIDSLVHKDHHKAHSRGKVLLSYLEVHAHKWYVHKPFDGRKKVNMLAKVTTVLRSRDTSWEADLEKFWSDLRMICWCPVLVTASSPALPWPSVSSMVAPPKQVRMQDDMWIVSASSRILDGECTSSALSYSLGWLSPPSGSVIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLSNLIGSDEMDIVKVVLEGCRWIWVGDGFAKTDEVVLTGHLHLAPYIRVIPIDLAVFKDLFLELGIKEQLDPVDYASILTRMATRKASTSLQAEELRTAVLVVQHLAEFRFQDHQTQIYLPDSSARLCLSSELVFNDAPWLLDFDEDITGNAPSIAFNSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTHYGTSSILSPEMAEWQGPALYCFNDSIFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHASYLPGISPSHPGLRIKFVGRRILEQFPDQFTPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKREQYTPQDVEMLFSSFSEVVSEALLFLRNVKNITLYVKESDSQEMKLVHRVSKHNSYEMAKEPHALNTMLAFINGNQPSGMDRNQFFNKLNKTKDSDLPWSSQKVSIFEQSPAACLVHSWILTESIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVTVDNTKELSGEAEVNLDDLVLKQLSLGSSKDRKFFEGRAFCFLPLPINTSMPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVAAPAYGHLLAAIAQELGPSDLFLSFWPTAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGHWVSTRQAIFPDFSFSKAIELAEVLSEAGLPVVSVSKPIVDSFLNAYPSVHLLNPHLLRNLLIRRKRGFRNREEAILVLEYCLSDMGDPSFPDKLQGLALLPLANGSFTTFTNRGEGERVFFSSQMEFELLKDSIPHLVVDNSLPDAILKKLYDIACSARSNIYLFTCNFLLELLPRILPPEWQHAKQLFWSPGHQGQPSVEWMVSLWNFLRHSCGDLSIFAKWPILPLVDGKLMQLGNASNVIIDDGWSENMYSLLQKLGCFFLRSDLQIEHPQLANFVQEATAVGVLNAVQSVASNFQDIKELFMGISLAETHELRSFIFQSKWFSGNHMNSSHMNTIRNLPIFESYKSRELVSLTSPRKWLKPEGVHEDLLNESFIRTESVKEKSILVSYFAIREPQKAEFYKDHVLPRISEFLSQPAVVSAILRDVKLLAENDTSVRAALHETPFVLAASGAWVHPSRLYDPRVPELHKLLHKETFFPSEKFMTTEVIELLASFGLKSKLGFSTLLDIARSVSLQQDDALEHGKRLLTYLNFLEFKASNMEDKKTFHGDDNQEASKTDGSFEAENDGDGYDPEETILSLFSNFNHDLPEDEFWSELKNISWCPVHVAPLLKGLPWFISEDHVAPPITTRPKSQMWLVSSKMRILSADSCSMYLQRKLGWLDPPNANILSSQLVEISKSYDELKMFSEDSTNDAVPQKEIQLIYSKLQDIIDTADTNILKRNLDGHPWVYIGDRFVPPQALAFDSPVKYHPYLYAVPSELSEYKRLLSVLGVKQTFDAADYLNVLQCLQSDAKGEPLSTEQLSFVHRVLEAFVDCYPDNQAPDMMVNSLLIPDSFGVLTPARNLVYNDAPWMNADPTSKSFVHLSIGNDLANRLGVRSLRGSSLLDDELMTDLPCMEYAKISELLALYGESDFLLFDLIELADHCNAKKVHLIYDKRDHPKQSLLQQSLGDFQGSSLTVVFEGTIMSREEVCSLQLPPPWKLKGNILNYGLGLLSSYFVCDTLSILSGGYFYIFDPLGLTGGTTSTATSSARFFSLIGNDLVERFHDQFTPMRVTQEASLSSANSTVIRMPLSSKCLKELEAGCNRVKHIFDRFTQNPSSTLLFLRSIIQVSLSTWEGGASQPTLNYSVLVDPSVATLRNPFSEKKWRKFQLSRIFASTSAAIKMQAIDVHVIDNGCNYIDKWFVALCLGSGQTRNMALDRRYLAYNLTPVAGVAAHIARNGVSTNIHASSCILSPLPLSGSISMPVTTLGHFIVRHNGGRYIFGSSHDKSLSDLEMHKNKLVEAWNKELMLCVRDSYVEMVLEFQKLRKDPLSSAIESRSAHSVSTILQAYGDRVYSFWPRSKQHPASLTGYGSTVTNVNLPRASKADWQSLVEQVIRPFYVRLADLPVWQLYGGNLVKVDEGMFLSHSGSGDDDNLPSASVCSFIKEHYPVFSVPWELVREIQAVGVNVREIRPKMVRDLLKASSSILLRSIETYIDVLEYCFSDMDPYRFSDLHIHEESRVSNQQSEIMNSSISNSMPSSSSSVSYHRNTQRQGASGGDALEIVTYFGKALYDFGRGVVEDISKTGGSASHRTQAAENNVLSSIITELKGVPFPTSTKCLTRLGSTELWIASEEQQLLMRPFLHHFIHHQCLQKPFLELLLTTQVIHRPLKLRSFSPHLLSGHLKHIFDERWVHLAVEKKSPWIPWDNNANSSTAGPSPEWIRLFWKIFSSMSGDLSLLSDWPLIPAYLDRPVLCRVKECHLIFVPPADDSNPDSGDSAARVVDTSAHPGDETGEAEQNSILDTAFQSMNSAFPWLPALLYKLNIPVFDLSFPECGTICNLFPSRDRTLGQIIASKLVAIKNGGHLPLPLSLSSEDCDKLFALFVSEFRLSSNHLYQREELDVLRELPMYKTVTGTYTSLSGSDHCILSPTAFFHPADSRCLSSTANADLFLQALGVEQLSDQEILVRFALPGFGNKSAQEQEDILAYLYSNWKDLQLNSSVVNTLKETNFLTSANEFCTELFKPRELLDPSDALLTSVFSGERHKFPAERFLSDGWLVILRKAGLRTSTEADMIVQCATKIESMGNDIVSSSEDPSDFEADFSGSKNEIPFELWSLAESVVNVILANFATLYDSSFCEKIGKIAFIPAEKGFPSIGGKRGGRRVLASYSESILSKDWPLAWSSAPILTNQAIIPPEYSWGAFRLRSPPAFTTVLKHLQSVGRGNGEDTLAHWPTSSGIMTVEDAFLRILQYLDKIWGTISSSEKNELQTLAFIPVANGTRLVTVKSLFARLTINMSPFAFELPSLYLPFVTILREIGMQETLTNTYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGVNQATDGSEDIFDSVIPDDGCRLVSAVSCVYIDPYGSHLLSNIDTSRIRFAHPDLPQNICNTLGIKKLSDVIVEELDGKEELKMVNSICSVTLDKIKEKLLSKSLQDALRIVMIGVSNHFPSFEALNLAQIESVLKDISQNLQFVQRLHTRFLLLPMLQDVTRSSQRPPFPEWSSNGKHRSVCFVNKSTGQILVAEPPNFLTIHDAIAIVVSYRLGAPVILPIASVFACPDGTEKEVLKILRLGTDIGVSKREGRYNGSLGAELLSQDARQVQFLPLRPFYSGEIVAWKTGKEGEKLRYGRVPEDVRPSAGQALYRFPVETSAGETCMLLSSQVYSFKSVSMADLSSAPLQLDSGRAAGGQQGFSPINTGTEAADDVATGLEYGKVSSTELVQAVHDMLSAAGVRMDATKETLLQTTLSLQDQLKESQVALLVEQEKAEAAVREADVAKSAWSCRVCLNAEVNMTIIPCGHVLCNRCSSSVSRCPFCRTLVSRMMKIFRP >OGLUM04G29380.1 pep chromosome:ALNU02000000:4:31685723:31688185:1 gene:OGLUM04G29380 transcript:OGLUM04G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23240) TAIR;Acc:AT5G23240] MAALLMNTGAVSMPFPTTRTAARRRTSSSRCQASSSGGSSNEGGGESYRGRSGRGGSTTWVKEYDLYGLLGVERSSPQSEIKAAYRSLQKRCHPDVAGAKGHDMAIVLNEVYSLLSDPAARLAYDQEQAKQSEFVGYTGKPLYSAWFGGEAEQRAVFVDEVRCVGCLKCALYANKTFAVESVYGRARVVAQWADAEDKILDAIQTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNTVGARAPDIFNEVSKFQKRFQEMKQKSATRESEESEAARQSRSSAVQTIRSISNWWYWQPFRAPATTVLASLHLPAPPPSPSMPADPVTDRLQEAAARRKAGGATAARTVASYARRDDYWTPQLNLPSLASPPERPHRRQSASPPRSQTRRATPTGDGGVTLGSIDLTAPLLMAIISAGFVGYNREEVAGVGGGGIQEHVGGAAALGLVNSFELKIVLASVTWFIIGAAIAGFIQFLARSEVNFRK >OGLUM04G29390.1 pep chromosome:ALNU02000000:4:31688444:31692212:-1 gene:OGLUM04G29390 transcript:OGLUM04G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like b [Source:Projected from Arabidopsis thaliana (AT1G34130) TAIR;Acc:AT1G34130] MAAATALDSLPAPLRSLRLKTKQQELLLRVSALALIYVLAFVVRLFSVLRYESMIHEFDPYFNYRTTLFLSDHGFSEFWNWFDFESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAVCPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWSLASAFGYFYMVSAWGGYVFIINLLPLYVLVLLVTGRYSQRLYVAYNSTYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDAKLFKSFLRITLTCVITVGTLALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRTKSKSPQTVSGKSSGSKAAAKGAVDQSLPFQQNVAIALLLGAFYLLSRYAVHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPSDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >OGLUM04G29400.1 pep chromosome:ALNU02000000:4:31693134:31696298:-1 gene:OGLUM04G29400 transcript:OGLUM04G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGAWPAAATSAPLSAAVRAAMRTLGHLLPRPQPSAAAAAAFSSSSHTLHDYNRLLDAFARDGDGDAALRVLRRMRHSSPACAPTAASYTSAMSALAKAGRPADAAALFDDMLANGVAPDRCAFSFLLHVYSSHLHLPSAAHSVLVWMSRLGLPPTPIDYADLVFSFCRAGRLPDALQLLDEMRALNYPLTLHSYTPILQVYCANADMQSADALISSMRSTGCHPDVVFYNIYVNGLCKVGDFDAVQRTIDESGRNGWVPDAVTYSTYIAGLCRFGYVEEALRQLEIMVTMGLQPTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFCKKRKWLRVLKLFTDLLKKPITPNVQTCNIFISCLCRAGKFQFAKFVFSSKGFMADTVTCNILIHAFYEAGKEDELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRAEAVNLIRHIDDGYPVEPVARLAYWLVRSGNVREALRLFDDMLEKGLLLDSRIFANVIKAFCRKGPGECTEMLQLCSVLDRMLGIGVGDTSSLLGWFAVRKISRPAWSYFNRHQCVTEGR >OGLUM04G29410.1 pep chromosome:ALNU02000000:4:31697439:31709035:-1 gene:OGLUM04G29410 transcript:OGLUM04G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARVAEVPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTSEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASASSMSRAPMLGFEILTVYKFSFFSEIPEAAVWDILAKILSQLPINDAIRTSVLSRKWKYFWCSHTNLTLNKGTMRKPYVKTLTPYRWRWLRDYEFITRVDAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTHSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLNLKILSLVDMSITDEDVQRMLSKCNLLEFLEISYCEMVTSIRMLHPLDQLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLTFASTSRLTNISIVFITYQSALSYIITGFPSTLPRLETLTLHCGGRERTIVPEGPFKFTYLRNLRLELALCGHGNIRKTDALDYAYILKIAPFMETLELSMWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMALEQMVITPKLEIGNDLAFSDPCADEYEKKHYVDGHRVATEFVCKTDHRNVVTVERVVPEPADGEVERKRRRAN >OGLUM04G29410.2 pep chromosome:ALNU02000000:4:31697439:31709035:-1 gene:OGLUM04G29410 transcript:OGLUM04G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPTVAAAAAVVPGVACGSSTRRVGVGNGNASAHAGGGCLAGGRRGAAAWVARARVAEVPPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKNAEIEDGYMAEVSTCRQALLVDVRDKLLFEQEYAGSIKEKLPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSRPYVHDVLLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKLPLLEQIEESLEELAPACTLELLSLPQTPENAERRQGAIAALCELLRQGLDVESSCRVHDWPCFLGQAMNKLLATEIVDLLSWDTLATTRKNKKSLESQSQRAVVDFNCFHVAMLAHFALGFSTRQADLISKAKTICDCLVASENTDLKFEESFCLYLLGEESGTTVFEKLQQLQSNGNSNSRNYGLPKKKDGNDKVTVCQSLELWLKDVALSRFADTRDCSPSLANFFGAPKRILSTSKQKLGATRMVRLSSQPSSSVSPCNRALGEQTPRLNSTSHLGEAVKQLAPNNLGVHSSMDRPANGSTTTSVPLKRNLVSHPARTLESWGLTGDIVGKLAYSALIGFALFGTLKLLRFQFGHMKPASASRGSAATQSLNEESTSEGSFITSSVRKHFEKLPKMLWLNNRLYSRSEESDLSSVANAVAATVCKQSMALQEAETLVKQWQDIKSEALGPDYQIDMLPEILDGSMLSKWQELALSAKDQSCYWRFVLLNLSVVRAEILLDESGTGEVAEIGAVLEEAAELVDESQPKKPSYYSMYEVQYILRRQSDGSWKICEASASSMSRAPMLGFEILTVYKFSFFSEIPEAAVWDILAKILSQLPINDAIRTSVLSRKWKYFWCSHTNLTLNKGTMRKPYVKTLTPYRWRWLRDYEFITRVDAVLRQHSGMGVQRMEIKFRLHSKHADHIDRWVNFAIASKTKELVVDLSGQDKGSFFTDLTHSNCIRIIKEPPYNLPPQLLGLNYGSYLRCLELTTVSLQLPADFKGFLNLKILSLVDMSITDEDVQRMLSKCNLLEFLEISYCEMVTSIRMLHPLDQLKHLVVDICPNLQEIELNCSPTTLKYSGTMVPLTFASTSRLTNISIVFITYQSALSYIITGFPSTLPRLETLTLHCGGRERTIVPEGPFKFTYLRNLRLELALCGHGNIRKTDALDYAYILKIAPFMETLELSMWMLNCRHQPYREEDGELRIVGPPHQHAHLKRVRISGFFGHKDQVELALHILRSSMALEQMVITPKLEIGNDLAFSDPCADEYEKKHYVDGHRVATEFVCKTDHRNVVTVERVVPEPADGEVERKRRRAN >OGLUM04G29420.1 pep chromosome:ALNU02000000:4:31708392:31712867:1 gene:OGLUM04G29420 transcript:OGLUM04G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thioredoxin X [Source:Projected from Arabidopsis thaliana (AT1G50320) TAIR;Acc:AT1G50320] MGQAENLLGVVKWAEFQASQTEGKKIRFVANKSRGGGRGTGGRSGTFEKIPHPPSLLFPLMASAPSTTASGLAPPPFSSARGARLLPGALLRLPPPPASVGSFRVVGPAAAPPGGRRIASARVRCGAAVRFIGQSEFEAEVLQSDLPVLVDFVADWCGPCRLIAPVVDWAAEEYEGRLKIVKIDHDANPQLIEEYKVYGLPSLILFKDGKEVPGSRREGAITKAKFKEYLEPLLSTSTVA >OGLUM04G29430.1 pep chromosome:ALNU02000000:4:31711856:31713406:-1 gene:OGLUM04G29430 transcript:OGLUM04G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPSLVPRPRLLASTTLRAFHAAAHQRHQDPIIPGIYSYASLLRRSAATSDPRLAVSLHAALLKRGFLLASSHIFLCNHLLIACFKSRLHRHGLRLLDEMPRRNAVSWTTAIAGLTQGGQPREALALFKRLRRAGLPPNEFTLVSALNASSFVGGAGVGRARQLFALAVRLGFDSNVFLTNAFLAAMVRHGQLADAVRLFDNANAWDIVSWNTLLTAFAHRSSLRLCTLWRRMAIEGVSADGFSFSTVLSGLSGSANVAATGLQVHAQLVKSGFVDDVCVGNSLLEMYMKNKQLESGIRAFTEMRHRDVVSWTELAAGLLHCGEPAESLRVVSDMILDGIRLNNYTIVAAANACANLANLNQGRKIHGYVIKLGGDSNVGVNNALIDMYAKCRSVTGAHKVFQSMQRQSVVSWTAMIMAFAQNGQAREAVKVFDDMLLEGMAPNHAAFYSVLYACSQGGFVDEGWIYFDAMADKFGVEPGEDHFACMVDLLTKAGRIEEAEELISRMPFRPGVVA >OGLUM04G29440.1 pep chromosome:ALNU02000000:4:31715405:31720099:1 gene:OGLUM04G29440 transcript:OGLUM04G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine d [Source:Projected from Arabidopsis thaliana (AT5G23300) TAIR;Acc:AT5G23300] MSSSAAALAWRRSLRDALLRGSAWRGAPAANSAAARLAGTASASEAAAGPKKVPPPPRKGRLLTGAMIGLAIAGGAYVSTADEAKFCGWLFKSTQLVNPLFALLDAEFAHRLAVTAASHGFVPREKRPDPSVLGLEIWGRKFTNPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPLPQEGNPKPRIFRLREHGAVINRCGFNSEGIVVVAKRLGAQHGKRKMEETSSSTSPTTSDVKQGGKAGPGILGVNLGKNKISEDATADYVQGVHTLSQYADYLVINVSSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTISRPSPADTHPLAQEAGGLSGKPLFDLSTNVLREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTAFAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFK >OGLUM04G29450.1 pep chromosome:ALNU02000000:4:31729310:31733264:1 gene:OGLUM04G29450 transcript:OGLUM04G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSYASRRRGGGGGGGMAATVLAAKVAFAAAAVAGAGSFARLAVPQLVSVAGAVFPRVWAAARVWLVPPYLFVTVHLIILVIWKLSDHKHFQAQQQQLKDPWPHPHPAVPVAAAEDAPSLVVKPKEEFNAAAAVCGGGGGGMPEHEASPEISPDSGGGESCVTTESDEDASTAASYTSDERRSLAPPPQEHSVLEREFSLPLPAVDSGRDAAAAAADDDDDDDLDATWNAIMQKTRPATAPAMAAPPAQKAPPAGPPPPRARDPSIGAEEMNRRFDDFIKKNRHSFGRQ >OGLUM04G29450.2 pep chromosome:ALNU02000000:4:31732324:31733264:1 gene:OGLUM04G29450 transcript:OGLUM04G29450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLASHCRKGHESEPSLLQEQLNDCDLATTNPTTPIPQDATQILIVIATSDTLIIPGSNTCAMDKSLLKRREEWKCSEPAEAADHEAFAGISRASAGNVVV >OGLUM04G29460.1 pep chromosome:ALNU02000000:4:31740865:31744772:-1 gene:OGLUM04G29460 transcript:OGLUM04G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGSTSSGGGDGRGREGHDDFAGGGQYNRYHRILEAVPSPLVRRENVAHHQYPTGLIHHPSSTMPVAPCSYVPRYTMVPTSAMVPLQHHHRQLQISQENFQDRVPSNNVAAPHLPSNFQDLRPMCNGPPFMSYGQTASNRNVLYQNLTPYSFNAWASNNMPRNPVYTSYHPTAIEDPHATPFHINNHDTDQGFFTLSTSFRVDQSFVHAPSPFPPVSSSSRSFSSAQISNGPTHAKKAKKSDIKDQPIVLRRSDTESEKNDELDQTPASEPSSMSHNSANLTIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVMLKMDDFKLETTWNFKYRFWPNNKSRMYVLESTGGFVKQHGLQTGDIFIIYKSSESEKLVVRGEKAIKPNVIMPIVDCSCKNDLNNSEECGFAISLLTKKT >OGLUM04G29470.1 pep chromosome:ALNU02000000:4:31747583:31751207:-1 gene:OGLUM04G29470 transcript:OGLUM04G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNPVYTSFHPAAIEDHHAPLFHSNNHDIDPEFLGNRHLHGVDIAGAPPAKATSPATAGGLLTNTAPATVSQIHARCRRRQIYRCCGLLPRAPPPSDPCAFARAAITAAGSARGLLSHLYGGLEVGGEMREEEKSGGWDGFQTNFRMDQAFVPASSPFPPVSSSSHSFSSAKISNGPTYTKKAKKSNVKDPPIVFRRSDMESEKNDDNPDQTPVSEPPSMNQNGENLIIRFNCREYRVILRKELTNSDVGNIGRIVMPKRDAEAHLPALHQREGVTLKMDDFKFETTWNFKYRFWPNNKSRMYVLESTGGFVKHHGLQTGDIFIIYKSSESGKFVVRGEKAIKPNAIMPVVDCSCKNELNKSEECGFTISLQTKKT >OGLUM04G29470.2 pep chromosome:ALNU02000000:4:31744929:31747583:-1 gene:OGLUM04G29470 transcript:OGLUM04G29470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWQLQVSSVLFTSTTYGESDGEDYSGDRSGPGAGEVADEAPTPTPDAGRRVGAETLTPAGHHGIATTIFRKPEFGWYCCAKINRKESEIREQVSKEKVTRRAPFWEKIPF >OGLUM04G29480.1 pep chromosome:ALNU02000000:4:31751234:31752263:-1 gene:OGLUM04G29480 transcript:OGLUM04G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADARGSSSSSGDGGGGEGKGGAGHGDFVGSGQHNWYHGILGAVPPPNVGRQNIVHHQYPAASLIQQHHQSPTMPLPMAQLPYVPQYTVLPTPAKTSKTGFPPTMLQLRMFPALFRIGGKCAMGRHSCLLAKLLPIAMFFTRI >OGLUM04G29490.1 pep chromosome:ALNU02000000:4:31754726:31761488:-1 gene:OGLUM04G29490 transcript:OGLUM04G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFENALATVGDDEEEGDGLWEKLAEAVEGKTADEVRRHYELLVEDVDGIEAGRVPLLVYAGDGGVEEGSAGGGKKGGGGGGGGGGGGHGEKGSAKSAEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRERRRSSIHDITSVNNGDTSAAQGPITGQPNGPSANPGKSSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAVPHIAYGMHAPVPGAVVPGAPVNMPPMPYPMPPPTSHG >OGLUM04G29500.1 pep chromosome:ALNU02000000:4:31764055:31765230:-1 gene:OGLUM04G29500 transcript:OGLUM04G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRFLNLIVDSRFPESKSLRCIDLTLHNFFNATPPNRNGPESKVVVADACIQKQNNNKEEAATATSGRCFIFEADRRRVVMLPCLHLPKEIPISIFVPCLEEAGDFDGGNLYIMDKRSKTGELGNNQFEAFIYCHYRGSSTLKSWTRQILPPPPCIYDRAYLGKYLEISSYALLGDGSNICISVKGVGTYCMDMRSFTWSHLGKWMLPFTGKVEYVPELKLWVGISADTQDLAAADLSSMNSQPQLLATCKEFDQPEEWKRCKDSQLVNLGSGKFCIARFFHNKTPQGDSDELIGKNITVLTGVEVVPSVYHANGNDNSRKGELQMIPHKSRLYAGSDTIWAVL >OGLUM04G29510.1 pep chromosome:ALNU02000000:4:31766330:31769147:1 gene:OGLUM04G29510 transcript:OGLUM04G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSG0] MGDEEEEGSGSRRMLIVVTTTRSDGGVRQRRNAALTHVEKHRLFGVVHFAHTGGVYDAYFFDEIRQIERCHGRSVKSKEIKLLLPPFHDGNDPAAVGSQEIAVPYRYTRYSSTCSRRKLGHGDVEEDEAEPSSDYRLV >OGLUM04G29520.1 pep chromosome:ALNU02000000:4:31771367:31774357:1 gene:OGLUM04G29520 transcript:OGLUM04G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSTRSCTVFRGEETHVERVREVNGEADVEQDLGDADGDGVDYLSGDEDYVGREIHRPLPASRPPATTGSTPPPPADGVIERGKVVREREETMTGGARIREKIGIKTPNSSLLPSSTAPLASSVSGRASRCLHGSTPSAPLAVFLHRVVSVPTGVARCFHASLRSSGNRIRDGRARGRRRGEEADEAAAAAQSVQIWVFTPIMALSRRFLNLIVDNGFPGSKSLRCIDLMLQNFFNATPPNRNGSESKVVAADACI >OGLUM04G29530.1 pep chromosome:ALNU02000000:4:31793412:31795663:1 gene:OGLUM04G29530 transcript:OGLUM04G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALARFTCLVRSALRRGVPVRAFSVAAEERVLESDENHYYLMLLHFGIGVVFRFNVHLVFVFATVFIWFLLYLSEEANIDAVGAIPLYLLKLRGYKHEQSAYEEGNLGVIPGSDTLVFRSARMIPYEGYLDNCYRPFVELLDKVLLKDFIETQTLPSGLRMMIDYLKLPKVQDRLVIYSPVFFPKHLVATMLVEAHRLLREELVVRGFKDAAEAIMKQIEAQIPDFMRTCVDHPLLKSYSGAHADKYSKLHRDDFGGLPWDILGNKDLTVKGKKTIEGGVQIIDGDELTQILLKAADSVGIESDRYYQVQEAKSRVMQHANRLMSNRIKLLNLLKQKGMMKEMYIDLEGPNDESAKLKAKDASPVVDTHRHGAMHPIKQDNFYLVKYLPAKNQECLRNRPYKLMDVIDLYESFCSEYLFVTTLQFDGQGKWESLDLRRRFSVPIAYLVLGGEV >OGLUM04G29540.1 pep chromosome:ALNU02000000:4:31837692:31841462:1 gene:OGLUM04G29540 transcript:OGLUM04G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHLNGHSPLARRRPRLSAASPPTTGDSDAAAAAAAATADAPLAEHDRIYFQSYSHIGIHEAMIKDRVRTDAYRSAIMHHQKFIEGKVYAVEASEMATQAREIVKANNLDDKVVVVHGRVEDVEVEDKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNSERYEGSVDFWSDVYGINMSALVPLAKKFTSEEPSIEIIGGENVLSWPFVVKHIDCYTFKAEELKSITTKYKVSSMMLAPIHGFGLWFEVEFNGPSNPTDNSPSDLNPLDVIHKKRRRGSEDPVVLSTAPEDEPTHWHQTILYFPDPIEVKQDQIIEGSVKVSQSEENPRFLNIQLDCS >OGLUM04G29550.1 pep chromosome:ALNU02000000:4:31843454:31844863:-1 gene:OGLUM04G29550 transcript:OGLUM04G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT3G20015) TAIR;Acc:AT3G20015] MKFVANGKVILFLLFVSTSVLIVSPASPPRFHYINPHNFTTPASSSASAVHRSRNNNPSLSLLHRDAITGATYPSRRHQVLGLVARDNARVEHLEKRLVASTSPYLPEDLGSEVVPGVDDGSGEYFVRVGVGSPPTEQYLVVDSGSDVIWVQCRPCEQCYAQTDPLFDPAASSSFSGVSCGSAICRTLSGTGCGGGGDDAGKCDYSVTYGDGSYTKGELALETLTLGGTAVQGVAIGCGHRNSGLFVGAAGLLGLGWGAMSLVGQLGGAAGGVFSYCLASRGAGAAGSLVLGRTEAVPVGAVWVPLVRNNQASSFYYVGLTGIGVGGERLPLQDGLFQLTEDGAGGVVMDTGTAVTRLPREAYAALRDAFDGAMGALPRAPAVSLLDTCYDLSGYASVRVPTVSFYFDQGAVLTLPARNLLVEVDGAVYCLAFAPSSSGISILGNIQQEGIQITVDSANGYVGFGPNTC >OGLUM04G29560.1 pep chromosome:ALNU02000000:4:31854699:31856303:1 gene:OGLUM04G29560 transcript:OGLUM04G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT5G23400) TAIR;Acc:AT5G23400] MAMQVSKKSRLAAPSALLLLLVVAALLPPPRAEAGHDGAGGDDEPPPTPCSPADRAALLGFKAGVTVDTTGILATWDGGDDCCGAWEGVSCDAATGRVVALQLEAPPLPPPRRSYMEGALSASLGGLEFLETLVIRDMARIGGAIPASLSRLSRLKQLYLEGSMLAGGVPGSVLSGMASLQYLSLAGNRFEGKLPPELGSLPGLVQINLAGNRLSGEVPPSYKNLSRLAYLDLSNNLLSGAIPAFFGQQLKSLAMLDLSNNGFSGEIPASLCGLRNLTDLSLHHNKLTGVIPSQIGSISSLNSLSIDSNLLVGSIPESLFGLQKLWNLNLSRNGLSGSLPPGIRHGLPSLVSMDLSHNHLVGGIDHFFRSISPARRLTKNASSDMSVIFLPRQLQHLDLSKNSITGALPEFGAGASLRWLDVSGNAIGGQIPSSVWRLVGLQRLDISRNKIRGTIPASMAAMASLRWLDISGNALVGRIPDSFARMARLRHASFRGNKLCGKIPQARPFNLLPAAAYAGNLCLCGKPLPPCRQI >OGLUM04G29570.1 pep chromosome:ALNU02000000:4:31856342:31863352:1 gene:OGLUM04G29570 transcript:OGLUM04G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIYGAFRSTGPLRPYLDRPIPTGRLKDSHISVNVFHFDLIKGRPRSLHSARRMTITVTMKRNKRSWCQCILV >OGLUM04G29580.1 pep chromosome:ALNU02000000:4:31857078:31857845:-1 gene:OGLUM04G29580 transcript:OGLUM04G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVGSPAPAPDSQQQVGKGRSTASYGEKEQLPITAPRPYAPAPLPPPPPRRRSRGRRCCRCVCWTLLAVLVLAVALGATAGILYAVFKPKIPDFHVDRLTVTRFDVNATAATVSDAFEVEVTSTNPNRRIGIYYDGGEVTASFNGTELCRGGFPALYQGHRSTVRPVILLAGETRLDSAVALQLARQQQAGFVPLTVWARVPIRIKFGAIKLWKMTGKATCNLVVDNLVAGRQIRIRSNSCSFKLKV >OGLUM04G29590.1 pep chromosome:ALNU02000000:4:31860937:31864338:-1 gene:OGLUM04G29590 transcript:OGLUM04G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEDLLLAPRVDGGGGGDGEAMAAPDYAIPPLSPTAASVVHRCARIAGVEVEQLLRRFEPEKGDQPLAYARSVVEYCSYIALRVETKRHDYLSDSEFHSLTYDMMIAWEAPDDETDAALQKMSFSFVDGKDDDDCGSMFCLSPTQMAIQVDGRRTVSPEAFAKIIPACPAMAHAITVRNLFDALTNSTGGRLHFLIYHKYLKCLDKVLRFAKRISGGHKAPALQLSDGEVILDIYGAATTKPILQHIGTSTWPGRLTLTTHALYFEPVSVDFTYNEAVVYDLSRDLKQSIKRESTGPWGAQLFDKAVMYKSSSTREPVFFEFPQFKGHTRRDYWFAIIKEVLHAHKFIRKYRMINLHKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEALYGQMKDYSSRFRVDEDSVQSSSDDLTLADPFPLSAYTLVNMGLLTLKEEDNPEEWDLTVRDVQTGGTSSVQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLFPLIEIGKRLLFLAEWEDPLKSYVFLFCFLFIVYRGWIWYIFPVFLLGSTIFMLWQRHTGNGQMIGAFEVTTPPRRRTVEQLLALQQAISQLEAQVQAGNIFLLKLRSLLLAAFPQHEQSSSYNARGICDIRVPALEKHTCTDCAGSIHEAYAGEEEEQREVGEETERVVAANPSCSCTAPEASGYQEMEIEVEIEMTHIGNVGTLHMYYMYL >OGLUM04G29600.1 pep chromosome:ALNU02000000:4:31865294:31868280:-1 gene:OGLUM04G29600 transcript:OGLUM04G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSG9] MANIDMGKILAGLEQDDARVPKTKLVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGVLCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYEIKGDENMITMSYKKLPVDVKPGNVILCADGTISLTVLSCDPKAGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPEVAVKIMARICVEAESSLDNEAVFKEMIRSAPLPMSPLESLASSAVRTANKAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARHSLIYRGLVPLLAEGSAKATDSESTEVILDAALKSAVQKQLCKPGDAVVALHRIGVASVIKICIVK >OGLUM04G29610.1 pep chromosome:ALNU02000000:4:31872440:31873012:1 gene:OGLUM04G29610 transcript:OGLUM04G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSCSFSFSFAVVLVLLLLMLAVVTASAATTAAEPAHEEAPHHERILLADADAHQLLHNVEELAATATIAAGQAAAAGVRAASAAEDQKKDGGGDAGAAAMPVALERQEAKTKTTGRLIATQGDDKSGGGGNEHGKEGGGKEAEKSNSCVTKEECHKKRLMCGKTCTMSAHTKCAAKCSKSCIPTCG >OGLUM04G29620.1 pep chromosome:ALNU02000000:4:31874082:31882854:-1 gene:OGLUM04G29620 transcript:OGLUM04G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPVRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATASGLVPGTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESADVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMAPDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRTRPTASNWESRDQSPGNEEPTTSNSSSMAPTGRLYSSRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTISAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >OGLUM04G29620.2 pep chromosome:ALNU02000000:4:31874082:31882854:-1 gene:OGLUM04G29620 transcript:OGLUM04G29620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNVVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSSDKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPVRCHDTVDVGWSRLSDLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATASGLVPGTPQRAGNGSSTKTVGNSTFASSGTNLKRGSLKSNNSSSLQNFSKIDVVPVIIPRTSSGPELATDSRSDAADVGPVLSKSGRRIEIANDSRKESSDVAAAVVPRTNSRTEMASDSAPVVGPRANLRMEVSADSAPIVPKSGRRLESSVESRKESADVASAAAPKTSSRMEVAPDSAPLLSKAGRRVESATDSRKESADVAPVVPRTTSRMEMAPDSRREISAGRMSPFRVQSRYSELRKLNNAKADADKVDAGSKNSEADDFTCQIYLPRRNGVVQSGISEETREDAKPGVIDRMGFPSSAEPNTHRSENYVSRMRKPRDNCYIEVSRAGRTRPTASNWESRDQSPGNEEPTTSNSSSMAPTGRLYSSRGSSQAAETPTIASDEDVLSVLMEQHELFLSSTRSRLTKLQIVHQMWQRNDIRGIIAAMEKMSDHAVSADVASVLMEKSETITLDLCTVILPVLTDLLESKTDRHLGVSLELLVKLVRTFGSVIHSTISAGPSSVGVDLQAEQRRERCNLCFIELEKVKNKLPFLSRRKGAVANTAQELSLVFQEVM >OGLUM04G29630.1 pep chromosome:ALNU02000000:4:31886685:31891468:-1 gene:OGLUM04G29630 transcript:OGLUM04G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCRGDEKRGNRSPSSSPFSPARRRGRNRVAGGRGWVRESGGGVALFGLEYPRLESDNRSDPQEPSRNPGIWQCTICEHGNDAKKLSCEQCGVLRDFSLYFNNALEVDGRAKRRDKHYAVSVLARTLFSPSSAKSKDVVLSGGFKASTNATGNTRATLDALHKTYMTRKERRINIVPFKFDTPSPDDVVATGLKSSRSFRKDAPHVAEKRVMDNDSSTPEKDTTADSNLPVKSNEFGESCEGVSVDSQNETLSLDHELQHLSLERKSQKSKANIKKPVSSSLYKPEPWMLQHEDEGIPRQLNLAIVGHVDSGKSTLCGRLLHALGRISKKQMHKYEKEAKEKGKGSFAYAWAMDESADERERGITMTVGVAYFDTKNYHVVLLDSPGHKDFVPNMISGATQSDAAILVIDASIGSFEAGMGINGIGQTKEHSQLVRSFGVDNLIVVVNKMDSVEYSKERFNFIKSQLGTFLRSCGYKDSAVAWVPISAIENENLMTTASDTRLSSWYDGNCLLKAIDTLPPPSRDVSKPLRLPICDVFSSHKLGQVAIGGKVEVGATRSGSKILVMPFGELAVVKTIERNSYSCNLARAGDNVAIGLQGIDPSHVMPGGVICHPDYPVSVASCLELKILVLDITVPILVGLQFELHIHHAKVSASMVKILSLLELKTGKASKKIPRFLTSRQTAVIEVKLEKEVCVEEFSNLKALGRVFLRSQGNTIAVGIVSRVREQA >OGLUM04G29640.1 pep chromosome:ALNU02000000:4:31891927:31897071:1 gene:OGLUM04G29640 transcript:OGLUM04G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMVATRCFCCGRALSPGRWRAFAGFCFITCCSNPSMASIILVVVVFVLDALAFVLAIGAEKRRSTATFSEDTSGRQYCVYSSDAATGYGIGALLLLLAGQAVVMVVTRCFCCGRALSPGRWRAFSGFCFIVCWFTFVIAELCLLAGSVRNAYHTKYSTLVIRGPPHCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >OGLUM04G29640.2 pep chromosome:ALNU02000000:4:31891927:31897071:1 gene:OGLUM04G29640 transcript:OGLUM04G29640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASIIILAVVFVLDVLAFVLAIGAEKRRNTAAYVNVDQNARPYCVYGSDAATGYGIGALVLLAAGQAVIMVATRCFCCGRALSPGRWRAFAGFCFITCWFTFVIAELCLLAGSVRNAYHTKYSTLVIRGPPHCAMLRKGVFAAGAAFTFLTALFAELHYLFFAKARHAAAVPPPIVGGIGMTRM >OGLUM04G29650.1 pep chromosome:ALNU02000000:4:31901763:31909047:1 gene:OGLUM04G29650 transcript:OGLUM04G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAAAAGHMDPVGDEAAERREMEEKEEEEEEDEEFYESLDRILSSSCSSTSASDDDDQQHRRRRRHHPQPQQLSSSATFSAYEVWISEPTSVEERRRVLLRRLGLAHDSEPLPHPSPRVSSSSPRSPTPSPPSSSPPRPAPVVAAAEEPRSSGHGKPPLARNPSGGAEQCRIRNLDDGTEFEVGEVHDEVVREVGTGRQLTFEEFELCIGRSPIVQELMRRATTAASSSTSDHAAPASKPRRKPGGWLRGIRHLAGSVAYGRSSTDEREKEKEKEKEREARRLSSATDDSLDGNGSRNAGRVRVRQYGKACKELTGLFMTQELAAHSGSIWCINFSLDGRYLASAGEDRVIHVWEVSEGERKGELLGEGTVARENGGGCSPFLAAVGNGSPELATLSLSCADGGFVEKKRRPRMQSSRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLLSSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVHDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHLFDTTEKKLQYKSQIELRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNTSHPSRSRSAVDVTNSYEHFHCHDVTVAITWPGAESRGSFGSRSSRNSDSDDAVMNTGRDAPVENSEHDLNGTVNRCTKRPVCEGVASTSNPPADGVSTSWPDEKQSSAKSSPGHCSSDLCIGALDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >OGLUM04G29660.1 pep chromosome:ALNU02000000:4:31907790:31908730:-1 gene:OGLUM04G29660 transcript:OGLUM04G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASSPSLVLSAAAATASNKRPADSDASPPHQGDRTGQQEKKQQQLECPRCRSTNTKFCYYNNYSTSQPRHFCRACRRYWTHGGTLRDVPVGGASRRGGGGKRRRVSADADPSSASPPPPTTSTTDAYADLPAGFPFLSDGAFLPQFGLAGVAPAAFSWASAVPDLYNCGIAPWDDGTAVTGAAWDNFADIAGLDLSWPPPGN >OGLUM04G29670.1 pep chromosome:ALNU02000000:4:31914411:31915988:1 gene:OGLUM04G29670 transcript:OGLUM04G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQAALLPSALSVPKKGNLSAVVKEPGFLSVSQKAKKPSLVVRAVATPAAPVASPGAGTSKADGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKAATAAKAAGMAAGSYTVMHLDLASLDSVRQFVDNFRRSGMPLDALVCNAAIYRPTARQPTFTADGYEMSVGVNHLGHFLLGRLMLDDLKKSDYPSRRLIILGSITGNTNTLAGNVPPKAGLGDLRGLAGGLRGQNGSAMIDGAESFDGAKAYKDSKICNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQRFVTKGFVSEAESGKRLAQVVGDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWDLSEKLVGLA >OGLUM04G29680.1 pep chromosome:ALNU02000000:4:31916284:31920284:1 gene:OGLUM04G29680 transcript:OGLUM04G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPSHLVDVEGTRSPPASGGATGEEGGELGVGENSRRPTSGQSTTAQPHRHGEEWRARPPEKAMAWIWMLMAAGAVLLWAVSLGRVLSSPSPVCLPANSTFLSLPRGDRMSRNVLLVLAHPDDESMFFAPTILFLKSKGHNIHILCLSQGNADGLGNIRKEELYLACVTLKIPAEQVKVLDHPELQDGFHKSWDHGLIAELTLAQSQLWNIDTIVTFDSRGVSGHPNHCDVHHGICKLLRDNGQGHIEAWELVSLNIFRKYSGPVDIWLSSTLSSSSKQPTYTLVNNSPSRSFEAMAAHKSQWVCVK >OGLUM04G29690.1 pep chromosome:ALNU02000000:4:31920701:31925815:1 gene:OGLUM04G29690 transcript:OGLUM04G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGAEPPAGNGGGVSGFFGGKSKYVRMDDVLPQEQEEDGVGGGGGGVRVRRSHSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAVGRKCTIGLAAIVFQAGAAVMTLAPSFEVLMVGRLLAGVGIGFGVMIAPVYIAEISPAASRGSFTSFPEIFINLGILLGYISNYAFSGLPDHVSWRVMLAVGILPSVSIAFALLVIPESPRWLVMQNRADEARAVLLKVTDSEDEAKERLAEIEAAAAAATSAGKYGGKTVWQELTRPSPVIRRMLITGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLVATVAVGFFKTAFIALAIALIDRVGRKPLLYVSTVGMTACLVVLAATLAALAHGSVSRSAGIAVAILTVCGDVAFFSVGIGPICWVMSSEIFPLRLRSQAAALGAVMNRVTSGAVAMSFLSVCRAISVAGAFAVFAVISALSVVFVYRYVPETSGKTLEEIELLFGGGGDGEAARGEVELGDGEHLATAAIGGGMRSLPDFLGRKSKYVRMDDMLPDEQGDGGGVRVRGGGSSGRYVFACSVFASLNHVLLGYDVGVMSGCIIFIQKDLHISEVQQEVLVGCLSFISLLGSLATGRTSDAVGRKWTIGLAAAVFQAGAAVMTLAPSFAVLMMGRLLAGIGIGIGIMVAPVYISEITPATLRGSYASFPEIFISLGILLGYVSNLAFSGLPDHINWRVMLAAGIVPSISVAFVLLVIPESPRWLVMQGRTAEARAVLLKVTDGEDEAQERLAEIEEAARVTATGNGKAVWRELLRPSPVIRRMLVTGIGVQLFQQITGIDALVYYSPTIFRDAGIATESQLLAATVGVGLSKTVFIVIAIVLVDRVGRKPLLYVSTAGITACLAALTASLALLAHGALPRAAAIGAAILTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVALGFAVNRLTSGAVAMSFLSICSAVSVAGAFAAFAAISALSVVFVHVFVPETSGKSLEQIESLFGGGAGEVELGDAEHLVHEKEMS >OGLUM04G29700.1 pep chromosome:ALNU02000000:4:31928337:31932368:1 gene:OGLUM04G29700 transcript:OGLUM04G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSI1] MASFFADDGADELPRTASHPFDADDAAPDASGGAAADETGYGGYASFVDGGVEDVVEEEEEEIAVESEGVPIGHVSGGFSPSPFSPDPELDGGDGPILPPPAQMGAEEGILLREWRRQNAIVLEEKERKEKELRAQILAEAEEFKKAFYEKRIQNCETNKVHNREREKIFVAGQEKFHAEADKQYWKSISELIPHEIATIEKRGKKDKDKKPSITVIQGPKPGKPTDLSRMRQILVKLKHAPPPHMMQPPPAPAAKDGAKDGAKDGAPAPANGTKKPAESKEKPANGSPAEAEKEQPAASE >OGLUM04G29710.1 pep chromosome:ALNU02000000:4:31932070:31939860:-1 gene:OGLUM04G29710 transcript:OGLUM04G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIASRAVLWRAGTSFFPPAAAVARAELNLPICNTAIIPLLNVEVYKTATTTEDMSWWCCIPKSKKGENPYSHGVSGIPPEKNIRLFSYSELRSATENFNRSNKIGRGGFGTVYKGTIRNGRDVAVKVLSAESRQGVREFLTEIDVITNVKHPNLVELIGCCVEGNNRILVYEYLENSSLDRALLGSNSEPANFTWSIRSAICIGIAKGLAYLHEEIASPIVHRDIKASNILLDKLYNPKIGDFGLAKLFPDNITHISTRVAGTTGYLAPEYAWHGQLTKRADIYSFGVLVLEIISGKSSSRCLLADDKILLEKAWELHEVGKLKELVDSEMGDYPEEEVLRYIKTALFCTQAAAARRPSMPQVVTMLSKPIRINERELTAPGYIHDYNGTVSKATNSSNSRFKHSASETSDMFSTVVPPTVSEISPSQGSGVPVELP >OGLUM04G29720.1 pep chromosome:ALNU02000000:4:31947571:31948692:-1 gene:OGLUM04G29720 transcript:OGLUM04G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFQKQVAHAPAELNSPRSSAAKPKNPDEILRDFHALHPIEAFSTSFGGGAALACVAGHARNGLSGYERMFCGLDDIYCVFMGRLDNLSSLIRQYGLCSRSTNEALLVIEAYRTLRDRGPYPADQVVKDLSGSFAFVVFDNKSGAVFAALSTDGEVPLYWGIAADGSVVICDEREIVKGGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAATWDDAAA >OGLUM04G29730.1 pep chromosome:ALNU02000000:4:31953370:31954632:-1 gene:OGLUM04G29730 transcript:OGLUM04G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSHDVSDLCIGKPPVRWLPPSSTVADAIAEIEAGGGRGPDAAVAVWDGTKGEVDGRVCMADVHLFLCGGDGEAASLASPAAALQATLSDLLAAGAPPVRRIEPHARSRPRHVVVEAVDAFLDGAHCLVVPIRERWRRAAAAAGEMCMCWLTVEDVVRFFVGSIGLFAPTASLSVSQLGIVRPATLAVAAGNRALSAVPLLRAALATHSSVAVITGAGIAPRLAGEVSPSTLCSCDVSVAAAIAALSAGDLTAFLHRSDLRCRRNLPGIVDLLYAGDPSSWPPSPSTSSSSSSSSLSSFSSSSDDEAEDGYKHYAPAPCARRRGSNGQTIACHPGSSLVAVMAQAVAHRVTQVWVVDADDGELVGVVRFLDVLWVLREHLNQPPPDLPIEFLVNYSQY >OGLUM04G29740.1 pep chromosome:ALNU02000000:4:31960505:31963374:1 gene:OGLUM04G29740 transcript:OGLUM04G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCSMRKSFKDSLKVLEADIQHANTLASDFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVACVYVDGTTTLSTHERKASIREFYAVIFPSLMQLHKGISDIDDRRQKAICTERYRRRDEDESKRHVSEIDVEREEECGICMEMNNKVVLPNCSHAMCMKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDDRDVVDMATITRENLRRLFMYIEKLPLVAPDNIFYAYDSHVK >OGLUM04G29750.1 pep chromosome:ALNU02000000:4:31961529:31966733:-1 gene:OGLUM04G29750 transcript:OGLUM04G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQLTNENFLTMNLWMELSPCFGLKIAFCLRSSMSLIPLWSCMSEGNITAENNAG >OGLUM04G29750.2 pep chromosome:ALNU02000000:4:31961529:31966733:-1 gene:OGLUM04G29750 transcript:OGLUM04G29750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin family protein [Source:Projected from Arabidopsis thaliana (AT2G20740) TAIR;Acc:AT2G20740] MAGRVVRSCVQTGLKAVNSVLGLAGMAVILYALWMLRAWYRDVADLHYRLPVPWFIYTFIGLGVFMCLLTCSGHIAAETANSHCLSCVSFKQLGAITTDVFLNSNWEEDFPDDPSGKFEEFKDFIRSNFEICEWIGLSVVAAQVLSIVLGMVLRALGPDRESDYDSDDDPSVPARLPLLRNQLTNENFLTMNLWMELSPCFGLKIAFCLRSSMSLIPLWSCMSEGNITAENNAG >OGLUM04G29760.1 pep chromosome:ALNU02000000:4:31970045:31975568:1 gene:OGLUM04G29760 transcript:OGLUM04G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor-related [Source:Projected from Arabidopsis thaliana (AT4G17020) TAIR;Acc:AT4G17020] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGRKDSWFIPTKLATNLSASLSDSSSNKEGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >OGLUM04G29760.2 pep chromosome:ALNU02000000:4:31970045:31975568:1 gene:OGLUM04G29760 transcript:OGLUM04G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor-related [Source:Projected from Arabidopsis thaliana (AT4G17020) TAIR;Acc:AT4G17020] MPQVMVVARNFMDMVAALPAAKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVSAPVAAAAMEEWVLDEYAAKHRVAIDRLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPSSVTARLPTLAELESFALEQWECFLLQLINSSQVERGTSFSSSMMRTFQRGLLSSRDGEAPRLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHTLGEAYSLNTLTDVQRNAIRDLAELGLVKLQQGFVVVETNFRMYAYSTSRLHCEILRLFSRVEYQLPNLIVGSITKESLYGAFENGITAEQIISFLQQNAHPRVADKIPAVPENVTDQIRLWETDRNRVDMTLSHLYEDFPSKDMFDQCCDYARDHGCLLWEDAKKMRLIVRVEFHSEMREFLRRLR >OGLUM04G29770.1 pep chromosome:ALNU02000000:4:31976024:31976395:1 gene:OGLUM04G29770 transcript:OGLUM04G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKKNNKKKKSSAPQARGRGSNSDRRSFTRGMLQSINNMTVMRATPRDPFVVATVTGRCDGDPIDVANPDIAAAAPVVAPAAAGGPWDEEEEVEPAPATTDLRAAATTGGEATETETAAV >OGLUM04G29780.1 pep chromosome:ALNU02000000:4:31977732:31978713:1 gene:OGLUM04G29780 transcript:OGLUM04G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRFKIPRKRFRLLVRTPGGTMSMQDGERLKTTPLGREVWLRWHLLIFDQTIYAVDGIRTWDAYARHLPDIAAATAAIAAVLRGYRERRVELGLFHLRPLRKLLVFRLMSPLLVMPLPDALRKWRSLRRRRREAKMLLVAKGY >OGLUM04G29790.1 pep chromosome:ALNU02000000:4:31979273:31981190:-1 gene:OGLUM04G29790 transcript:OGLUM04G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEWTPPCGSCCTKKYASLVQIPWRVFCKKGCDADGDTWDECISKCTEICYKDPVLEDHQWSAYIDRSPGQDSYSLECFNACVSGCGYRFDIPAEKVEQIKPNRPSKPPPPPPPAVERATNSEPAVKGEDVPCTSA >OGLUM04G29800.1 pep chromosome:ALNU02000000:4:31983000:31987653:1 gene:OGLUM04G29800 transcript:OGLUM04G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoinase [Source:Projected from Arabidopsis thaliana (AT4G04955) TAIR;Acc:AT4G04955] MAMAAAKGRVLPLLAVAAALAAALLYRAPFSKVSGRFPLPRGGEGRADQRDRRRGLPELPAPATGGGLRHAHLDEPGRAEWEGFSTGTRAAAAGGITTLVDMPLNSYPSTVSEETLKLKLDAAKDKLHVDVGFWGGLVPENALNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYKRPLLIHAERIPDVQNEDGIDGELDPKAYTTYLKSRPPAWEEAAIKDLQRAMKDTEIGGRSEGAHIHIVHLSDAKTSLGLLKDAKQNGARVSIETCPHYLAFSAEEVPDGDTRFKCAPPIRDSTNRDNLWEALLDGHIDMLSSDHSPSAPDLKLMEEGNFLRAWGGISSLQFVLPVTWSHGKKYGISLNQLASWWSERPAMLAGLKKKGAILPGYRADIVVWKPEAQFHLDDSHPVYHKHRNISAYLGKQLSGKILSTFVSGNLVFAEDKHAKAACGAPILAK >OGLUM04G29810.1 pep chromosome:ALNU02000000:4:31989554:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADMAIQHGSARHSVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEIDAAAE >OGLUM04G29810.2 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADMAIQHGSARHSVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29810.3 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKTWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLHCILIQTVCIFCLFVICFWCVRVCEHAQMTVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29810.4 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKADMAIQHGSARHSVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29810.5 pep chromosome:ALNU02000000:4:31989554:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEIDAAAE >OGLUM04G29810.6 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29810.7 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKTWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLHCILIQTVCIFCLFVICFWCVRVCEHAQMTVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29810.8 pep chromosome:ALNU02000000:4:31989554:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKTWLFSMDLRDIVMLTRDYCEDTNRGNIPTIFLHCILIQTVCIFCLFVICFWCVRVCEHAQMTVLPNLLENPEFQNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEIDAAAE >OGLUM04G29810.9 pep chromosome:ALNU02000000:4:31989649:31994517:-1 gene:OGLUM04G29810 transcript:OGLUM04G29810.9 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFDEKFALLRSIAEECICEDELRVLLKKPNPICYVWFEPTSMMGIEQGIMKIIYVNKMVRAGCTVKILIADWFLQRNPRFTCNLNKIQAIIRYNIMMWKAVGMHLDKVEIIWFSDELNHHAVDYWPLAMDVSRKYTMKRMASYCKYVEPYGPGILPAAQIIYPCMLVSAVLCHKNRRNAGRTIFMLDDEDDIEEKISCAFCPSRVVACNPCLEYIKSVVLPWFGKFEVVQKEGNGSNKTYSSMKELIADYESGDLDSFDVKLALGKAINDILELVGEFFRSNEEAQAQIVPHRLQDEIGADIQKIQLQNEEMSGHTPAADQI >OGLUM04G29820.1 pep chromosome:ALNU02000000:4:31996241:32000869:1 gene:OGLUM04G29820 transcript:OGLUM04G29820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT1G65070) TAIR;Acc:AT1G65070] MAAAAAAAKPNLLLPVSVAIPSSRVSLPTGLVCSARSPPPRLRAAAAAAAASQALTSPVAAETPEAKQMRVETEAALEWGGVCARLAGFASTAAGRAACGEGRVPVGRSREESERLLEQTAAAALLPAPLDFGGVEDVSAAIAAAAGARLLAVREICGVGRSIRAARRVFDQLKTLSEETPDGRSYTPLLDIMQDCDFLTELVQRIEFCLDYTLSVVLDRASDKLATIRKERRKNIDMLESLLRDTSTKIFQGGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAIRLNNMEVKLSGDERAEELAILGLLTSSIADSEMKIRHLMGKILELDLACARGSYALWINAVRPAFTDRDSDTQLNPNSECSVFIEGIQHPLLLEQSLSMVKESTGVGKGQLSDEHLVSPMPIPLDMQVRNDTRIIVISGPNTGGKTATMKTLGLASLMSKAGMFFPAKGTPRLPWFDQVLADIGDHQSLEHSLSTFSGHISRLRKIVQVVSKDSLVLIDEIGSGTDPSEGVALSTSILKYLASRLNLAIVTTHYADLSRLKAVDDRFENAAMEFCLETLQPTYRILWGSTGNSNALSIAKSIGFDQKVLARAQEWVEKLLPDKQKERQGLLYGSLLDERKLLESQANEAASVLSDVERLYNEIRSEADDLDSRVAALRATESEKVQQELKFVKSQMDQIIKNFESQLKNSELEQYNSLMRKAEAATASLAATHQPTDFTFGDEENESSYVPEIGEKVYVEGLGGGSMASVVETLGEDGSCMVQYGKIKVRVKGNKIKLVQRGTKDTSASSPVKAKGRTPKRSAAEANQDGNVSFGPVVQTSKNTVDLRGMRVAEASHELQMAIDGCRSYQVLFVVHGMGTGAVKECALGILRNHPRVAKFEDESPLNYGCTVAYIE >OGLUM04G29830.1 pep chromosome:ALNU02000000:4:32001523:32008241:1 gene:OGLUM04G29830 transcript:OGLUM04G29830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSK4] MAGGEGGGAAAVPEEGRSLALTPTWSVAIVLTLLVAGSLLIERSIHRLSYWLKKTHRNPLHKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSNFSPCTREEVEESIKIKHAVSSARKHLIEVILHHAARRNLKARYHHNQSCAEGYESFVSHEEIAYISATRRQPALGRSYSFRSWSENNAIKCVFCFLAQFGQSVVRADYLILRKGFIMTHNLAPTYDFHDYMVRSMEEEFEKIVGVSGLLWGFVVAFMLFNINGSNLYFWIAILPVTLVLLVGAKLQYVIATLTAEGAKMNAYGPRIKPRDDLFWFKKPEFLLWLIHFILFQWQFGYDSCFIKNHLIVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETMHGWGKDARRRRKKHRGGDDSTIRTETSTVCSLDDDDDGDDEHGQFVETTPSRPYLKIQLQPLRSGGGSARPGTPCHPGVVGLPPLHSASTQGSSHPMLQRQPSSLSAPSSPSPRGGGMTRSMSMPGFASLTRTPGGSCRGTGAGTPTRLSDARN >OGLUM04G29840.1 pep chromosome:ALNU02000000:4:32035846:32036208:-1 gene:OGLUM04G29840 transcript:OGLUM04G29840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVTVAMPTALATEQIIDADKKLRRALVGGGAGKVAAALVLALFRSPGGVFVRSKALFDAYYVMLLAVAVFGAAEVGVGCWVSASPSDRRRGVGKLVVWASVVPIVVVAGLGGFAVLK >OGLUM04G29850.1 pep chromosome:ALNU02000000:4:32039855:32044133:-1 gene:OGLUM04G29850 transcript:OGLUM04G29850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPLGAIIGRHPAAAGGGGGDELGGVGGGGGDGIIRHNRRCRDLAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGSRHGDPDLHELDIAITGKGFFKASVLATGLIMNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSELQRLTQGP >OGLUM04G29860.1 pep chromosome:ALNU02000000:4:32046481:32049419:1 gene:OGLUM04G29860 transcript:OGLUM04G29860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT4G27790) TAIR;Acc:AT4G27790] MAGAAAMALLLSTSVLLVLCLLPVDPAAPPVATIPHRRSGRHYVPFGRHAAFGPFATEVELLLHGGGAVPDIRTFRDTLDRLPDWSHFDAELGPLERYFGSDGELNVKERLLYLFPMLDRAPKDGGVSCGELEAWLRRQAADRLDAVARRELKRHDKDGDGVVTLREYLAVDHDQHIDWTDTEHGEPGWWLHKFISADRDHSGAMDFIELNDGMDHDRDGKLSLDEFISQFHMIDHNSIVEHSADDDTSCAEAEKKFRELDSNNDGYLTVEEARPVIQSLISGEFSYAKSHAKLLMKADDNKDNKLSLEEMLNHYLSFYNIVYMDDHYDYDDIGNNIHDELR >OGLUM04G29870.1 pep chromosome:ALNU02000000:4:32049942:32051698:-1 gene:OGLUM04G29870 transcript:OGLUM04G29870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLVPVVVSWALGFIVAKLFFQVVEMSIDTIILSFCQDSEEHQGNAQELGDIHVSAREGVIDDIAKHLAAGVDVNMRGRCQKDVGVLVKGDISCTAYTVYTV >OGLUM04G29880.1 pep chromosome:ALNU02000000:4:32061818:32062411:1 gene:OGLUM04G29880 transcript:OGLUM04G29880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGERAEVGAAGKKRKEVSASSDSEPAAAAGKKTKKEKILPAWRANAIPCEGGEVLRRKKEAVAAGKLWSTPDVPGAMGSHLWDPIEVPAEMLELWLERQKANAEAAAAKKKKRKVFKCRVPNSLVEVMIARPYKCVDHDRSQEELAELTVSHRQGYILRKFIDEKKMKYEQTLIDQYVKQGYAEDEEEVTDDDDD >OGLUM04G29890.1 pep chromosome:ALNU02000000:4:32064788:32065381:1 gene:OGLUM04G29890 transcript:OGLUM04G29890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGERAEVGAAGVKRKEASASSDSEPAGKKAKKKILPKWRANAIPCEGGEVLRRKKEAVAASMLWCTPDVPGASDVWDSIEVPAEMLELWLERQKAKAEAAAAKKKRKVFKCRVPNSLVEVMITQPYKCVDHNRSQEELAELAVPHRQIYILRKFIDEKKMNYEQTLIDQYATQGYAEDEEEVTDDDDDEDPATLT >OGLUM04G29900.1 pep chromosome:ALNU02000000:4:32066971:32069456:-1 gene:OGLUM04G29900 transcript:OGLUM04G29900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWQELAQAAVIGLLFAFLVAKLISTVIAFKEDNLRITRSTPTSPSAADTPAAPDPPPASLDGGHGDTSDGSGSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEQAQLQLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQKYITVVDELFPNWSMGSSTKRKDEDTTVSASSSKGPMGPVFSCLMYEEEDQGNDSELGDIHVSAREGAIDDIAKHLAAGVEVNMRDSEGRTPLHWAVDRGHLNSVEILVNANADVNAQDNEGQTALHYAVLCEREDIAELLVKYHADVQIKDEDGNTVRELCPSSWSFMNLAN >OGLUM04G29910.1 pep chromosome:ALNU02000000:4:32070300:32071921:-1 gene:OGLUM04G29910 transcript:OGLUM04G29910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT5G24318) TAIR;Acc:AT5G24318] MPPPADVARFLSRDTIFDRVRLLDADPRALRAFAGTGLAVDVTVPNADVPRLAASRASALRWVRASVAPYAEATNVSRVLVGDEVISQANRTLLLSLVPAMRNLHAALAAVLPPSPRRREIIKVSTPHSLGILAASTPPSAGRFHDGYDTAVVKPLLGFLRATGAPFMVNAYPFYGGLTNDTLDYALFRVNDGVTDNATGLLYANMLDAQLDAVHSAMRRLGFGDVDVVVSGTGWPSAGEYWEVGAGADLARDYNKNAIRHLGSGVGTPLMPNRTFELSIFSLFDENLKPGPVSERNFGLYHADMTPVYDAGILTAPQEIVGTKVTPAPAPALAPAADGRRRWCVPKPAADEVALQVNIDFVCGQGGIDCGAIRAGGSCYDPNNVQAHAAFAMNLYFQSNGQHEFDCDFGQTGVITTVDPSYKSCKFT >OGLUM04G29920.1 pep chromosome:ALNU02000000:4:32073005:32078962:-1 gene:OGLUM04G29920 transcript:OGLUM04G29920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSL3] MAATAAALAVTDELALPLRAVGDLAAAAGVSREEVVVITQCASLGGKLPFDDASVGSVLAVIKKVENLGDLFITEISRVLKAGGMVLIQSSPSDQDPNNSIQRKLLLGGFVDVQASAASSQDSEHSVTIKAKKVSWSMGSSFPLKKATKGLPKIQIDDDSELIDEDSLLTEDDLKKPELPVVGDCEVGATRKACKNCTCGRAEAEEKVEKLNLTSEQINNPQSACGNCGLGDAFRCGTCPYRGLPAFKPGEKEIDFGAPVVLGQEIDFASVMTSLPDRGVSSSSSDPLCEGNIAPCSSSSEQKEDCSLKQSKTSILSCVFNSPFNIFEAHQDSSANKSPKSSSGSYDWSRVLRRIVCSGSMWRFLGTSKVLTSSDVWFLGKCYKLSSEESSSDSDSESGHATFLEDFSSRIWITYRRGFDAISDSKYTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRRPSEKPYNPEYIGILHMFGDSEACAFSIHNLLQAGNSYGLAAGSWVGPYAMCRAWQTLVRTNREQHEVVDGNESFPMALYVVSGDEDGERGGAPVVCIDVAAQLCCDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMLHFDSTVRDLALDLIDPSLAIGFYCRDKDDFDDFCSRATELVDKANGAPLFTVVQSVQPSKQMYNQDDVLGISGDGNINVEDLDASGETGEEEWQIL >OGLUM04G29930.1 pep chromosome:ALNU02000000:4:32080437:32082481:1 gene:OGLUM04G29930 transcript:OGLUM04G29930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGKLEVLLVCAKGLEDTDFLNDMDPYVILTCRTQEQKSSVAKGAGSEPEWNETFVFTVSDDVPQLNVKIMDSDAFSADDFVGEANIPLEPVFLEGSLPPAVHRVVKEEKYCGEIKVALTFTPAAETRHHHNHENEGEGYSSWN >OGLUM04G29940.1 pep chromosome:ALNU02000000:4:32084238:32087345:1 gene:OGLUM04G29940 transcript:OGLUM04G29940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphomannomutase [Source:Projected from Arabidopsis thaliana (AT2G45790) TAIR;Acc:AT2G45790] MAARKNAGVLALFDVDGTLTAPRKVVTPEMLQFMKQLREHVTVGVVGGSDLVKISEQLGKSVTTDYDYCFSENGLVAHKNGELIGTQSLKSFLGDDQLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHKIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESDRTIGHTVTSPDDTAEQCRSLFMSK >OGLUM04G29950.1 pep chromosome:ALNU02000000:4:32088466:32093314:-1 gene:OGLUM04G29950 transcript:OGLUM04G29950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSIHPQFSRTIQTHTHTHTEGEGDAGSPPNHHHHLHHLLSSRDCYCSTHCLALLSPSSNFPLSFYCYSPPGSNPARCKKPGILNLFFVNQLQAQLRGGKATLAAQQEGFSDKMNSGAEYEDGSEEEEPYERVFYDDEDDGNDDSICGDPCDDSVQKEEVHRKLMHEAEPYDDLVAGEEESVENSALQAFKKERNEQELKQAQKMGYSIKQKEIPVDKEMGMKPFKKRLVKFADDVSCYTYSTESFAAAKLEKRKAQFDDQDKHLHKKQEHTPPSFPQDGGKLKEVDNTNLYVGNLPASVGSHKLIELFLPFGRIVRSRVVDDCFTGLSQGYGFVKYSDPRCASEAIKRMNGRLVEGRALEVRVAGFPSSEDNSQQPSKETDMAKLYVCNLSLSMNTDRLIHLFLPFGEVTNAKVAKDHTTGLSKGYGFVQYSSPHHAAEAVIHLNGRLVDGRKIEVRVSGIPSTLPNSAVESPSTTRNSAVESPSTTRTVKEIDMSNLYVCNMPSSIDTKKLVELFLPFGKITQARVVADPDTFSAKGYGFIKFTDSESATKAIAAMNGALVGGEMIIVRVAGLSPSASISAVQTTQDINKSRLYITNLPQSMTADKMVNLFTPFGQITKVLMNLEYSLVWYADAPSATKAVQHMDGYMVEGKRLVVKRSELCTTNASQAGGKPIKEIDMANLYVGRVPSSLTEDQFIDLFRPFGRVVQARMFRFQRYGMVRFDNPSCAAAAIDHLDGYQIGGSILAVRVAGLPAESNAAKGALTSQMSSNEQGQIDMTNLYVSHLPSYVNNERLIDLFLPCGQITQAKVVVERYTGVSKGFGFVKFADAYSAAVALTHMNGYPLDGHVLEVRIAGVHPDAMSSYMAHFYSHFTMHDPAKAAVGIPTSYWPHYYDESAYNTTAENLGQVTTTSATDASAAQTSQKERLPGSKSVDLVAEKDCSSASNKVANCSESQPTAWAGPPGFEPHAISKKCTAGSNASQTCSKDHFAQSGGGHKRRSIV >OGLUM04G29960.1 pep chromosome:ALNU02000000:4:32094913:32098898:1 gene:OGLUM04G29960 transcript:OGLUM04G29960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-terminal nucleophile aminohydrolases (Ntn hydrolases) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00590) TAIR;Acc:AT4G00590] MAGGDGGGGGGGEESEFVGVGGGGGGGEGEGNQSPPNRRFFVAVHVGAGFHAPANEKAYRRAMKRACLAAAAVLCEGNGTSLDAVAAAIQVLEDDPITNAGRGSNLTESGHVECDASIMDGTTTTFGAVGAVQGVKNPIQIALHLAREQMVGPSLLGRIPPMFLVGEGACQWAKSKGLNLPEATSKGNSWLVTESAKAQWGKYRSLLASAKESVNHSTGSGSESSSVQLEAPGAEAEDITGVKKMKMITRSIMEDDQDCVMDTVGAVCVDAYGNIASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFIVGCCATGAGEHLIRGFAARECCISSSLIQSGPASACTKVLRQAVQSSSEMSHDTGAGLLLIQADVLKVRGDVSALGAAELVAAYSSPSFGVGYLGSNMNSPKVAMLRSSKAAPNTINHFATRVNFDAQSDQ >OGLUM04G29970.1 pep chromosome:ALNU02000000:4:32105260:32105706:1 gene:OGLUM04G29970 transcript:OGLUM04G29970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRRPPRFPRGPQATLLRTTFNSVPTPRKVRVEVQADGSGMDVPVVKQLRKEQVIQRNRYEGFAVNDDDDEVVAAPAAEAADEVEEAVAAPAAEAAADEVEVAVAAPAAEAAADEVEEVVAAPAAEDAGEVAAAARMCSCRLVAKP >OGLUM04G29980.1 pep chromosome:ALNU02000000:4:32113055:32133430:-1 gene:OGLUM04G29980 transcript:OGLUM04G29980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQRYGPVISTIPQQLSRFQFNMWASGSPPTSPVSGVRRGACRRTPRKPLPTIAAHVPPFPAPTSTAIAMGKPKQQVLSRFFSPKPRPSAPATADDPPPPPRPPAEPPVAAVVSFSPAKRARALSVSPKTTAKRAKPSPPPSDYVRRRLLEPPRPPPPAALNPSGKGYTPLEQQVVDLKARHPDVLLMVEVGYRFRFFGEDAAVAASVLGIIAHPDHSFLTASIPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAHGGGAAGTPFARGLSAVYTRATIEAAAGELEGGGAPDEGSRYLVCVVDKEVDAMGTEGFEVKIGVVAIEVSTGELVHGEFMDGVSRNGLEAVLLGLAPVEVILGTPISFATEKLMVAYAGPTSNVRVERTSRLCLSEGGALAELLSLFEKSGVDAPTVENGRHLMEMNEENNNPRGIEVPLSSSELTVIAPYDWVSICSLGIMAMPELVIHALALSVRYLKGFGMDRIICFGSSFQPFTANTEMSLSANTLQQLELTHPLCDRNQICTRHDAVSEISESIGSQQYSTNNLQDEEDMSCSSSVRSDLSTILSSVLRMLAGTLDIQRGITRIFHCKATAKELPVDRKVPSSWMKVNSTKKTIRYHTPEVSKNLENLLLAKEKLAVICRTTWNNFLMDFGRYYAQFQATVKSLATLDCLYSLATLAKQNKYVRPNFVRENEASQIHIKDGRHPVLESLLGVNFVPNDTELHANGEYCQIVTGPNMGGKSCYIRQVALITLMAQVGSFVPASSATLHVVDGIYTRMGASDSIQHGTSTFYEELSEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCMVIFVTHYPKILNILREFEGSVGAYHVSYLATRKLLEVADRQMVINNTETKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSSCIERASVMAAKLQQELSEREKNKFCRLMDVPRESSPKELCAQPYQGLAEACHRILFNVTSAQSNDELTDTLSSLREAREIALKAIKGWGRVGMDLSRFTAPRPGLQIGAAGNGFRACSLRRLRHRGCGGNPMGASALGGCGSRSLFYLAPNHGSPLALRTRGRALRCQGNDSLAYVDGPLEGTNGSVVDNTEDEANSSGLDEEKGDDDAENLRDLLQKARKELEVARLNSTMFEEKAQRISESAIALKDRADKAQSDVSSAVTTIQEIISKEADAKEAVRTATMALSMAEARLQLASEALDAKRGSVGPMEVSIDDVEEEALASVQEEIKECQESLSKCEEELRRIQEKKMELQKEVDRLTELAERALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELTLQKAEKAISSVDAVVELPAPAEEQVSDEEDNVSEVYDYSSDAIDDIPERDEVSNVERRIVGDLAVEGIEQLESSREMSDDESTDKLLVEPQKEAEPDIDKSKQGKKQEIERKESQPSNAPKASLKRSSRFFPASFFSSKADGEFTPTSVFKGLMKSTRKHAPKLVVGIVLLGAGAFFLNRAEKSSQLFQQQEITTSIEEVTSTAKPIVREMRKIPQRVKKLIELLPHQEVNEEEASLFDILYLLLASVVFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLEDISAYHFLPAAFCGKAKLNEEVLATTAAVGMIAHRFAVLPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAIAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTMLVTFIGRVFGISTIAAVRVGLLLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMALTPWLAAGGQFLASKFEQHDVRSLLPVESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALSKYFPNVKTFVRAHDVDHGVNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATVNEFRNRHLSELTELCATSGSSLGYGYSRVMSISKSKTVTSDDESETVDGALAI >OGLUM04G29990.1 pep chromosome:ALNU02000000:4:32173424:32173789:-1 gene:OGLUM04G29990 transcript:OGLUM04G29990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQARPYSAMFAGGVSARTGPHALPLARIKKIMKRSAGDSSVVDGGGGARMISGEAPVVFSKACELFIADLTRRAWAATLEGKRRTVHKEDVAAAVQNTDLFDFLVDVVTADLGDDHTDYK >OGLUM04G30000.1 pep chromosome:ALNU02000000:4:32174587:32180480:1 gene:OGLUM04G30000 transcript:OGLUM04G30000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine deaminases;RNA binding;RNA binding;adenosine deaminases [Source:Projected from Arabidopsis thaliana (AT1G01760) TAIR;Acc:AT1G01760] MLPSSAASSPPSPWDDVSWEEATSSTVLQHYKSLPKKGKPQGRESTVLAAFLLSTPQQDPRNLTVLSLATGTKCLGAARLNHHGDLVHDAHAEVVARRALLRLIYTEIGRSGASDWLVASGGVMPVPPSPSELIREQLDSVNGCDVTDVGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYMSTITIGQSPTGASEGFSVENNIKKVLDARLSSLSSKLLLPFKLNKPLFFEAPIPPKEFQQTSGDLQPLTCGYSICWNKSGFHEVVLGTTGRKQGTSSKAACSPSTESLLCKRRLLEAFVSIEHPLIKKFHCEEMSYRQMKDMAHEYQQALELLRKAPFFSRWSAKPTSLDSFTVSHTPGYAKAKVTAEKRGWRWLLTWDH >OGLUM04G30010.1 pep chromosome:ALNU02000000:4:32183319:32194951:1 gene:OGLUM04G30010 transcript:OGLUM04G30010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEIGKLVDPELTNVRTEDLDVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >OGLUM04G30010.2 pep chromosome:ALNU02000000:4:32183319:32194951:1 gene:OGLUM04G30010 transcript:OGLUM04G30010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVIGLANMNYFTKTRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEIGKLVDPELTNVRTEDLDVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >OGLUM04G30010.3 pep chromosome:ALNU02000000:4:32183319:32194951:1 gene:OGLUM04G30010 transcript:OGLUM04G30010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEIGKLVDPELTNVRTEDLDVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >OGLUM04G30010.4 pep chromosome:ALNU02000000:4:32183319:32194951:1 gene:OGLUM04G30010 transcript:OGLUM04G30010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCWGGASSVLRLLLALQCGVVVVVLQCSANALGSDVSALIAFKRAIIEDPRSALADWSDADGNACDWHGVICSSPQGSVISLKLSNSSLKGFIAPELGQLSFLQELYLDRNMLFGTIPKQLGSLRNLRVLDLGVNRLTGPIPPELAGLSSVSVINFHSNGLTGNIPSELGKLQNLVQLRLDRNRLKGSIPGSNGSGFSPTANSGSTAHNGLCPSPRLNVGDFSYNFLVGKIPPCLKYLPRSSFQGNCLQDEYSVRQRAFQICISGSPAGQRGGVKGFKHPTSDHKHERSPQPTWLLVLEISTGILLLVFVITGAITASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSSPETVVYKGTMKDGPEVSVISLCAFEGHWTSQHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFTKQKHEKAPGRINNKSSFPGHLDSSEDKQADIQGNTFAFGVILLEIISGRLPYCKDKGYLIDWAIKYLQQPEEIGKLVDPELTNVRTEDLDVICSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >OGLUM04G30020.1 pep chromosome:ALNU02000000:4:32192332:32193970:-1 gene:OGLUM04G30020 transcript:OGLUM04G30020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT3G48990) TAIR;Acc:AT3G48990] METPTLTTLLKAAVATFPSRRALAVPGKVDLSHAALDALVDAAAARLAADAGVLPGHVVALAFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSGARFLITNPEGNVAAQAAASKLGLAHTTASLKDAAGQVHLAGFPASAAAAAKDFANDPSDVALFLHTSGTTSRPKGVPLTQRNLAASVQNIRAVYRLTEADATVIVLPLFHVHGLLCGLLASLASGASVTLPAAGRFSASTFWADMRGAGATWYTAVPTIHQIIIDRHTSKPEAEYPALRFIRSCSASLAPAIMEKLEAAFGAPVVEAYAMTEASHLMTSNPLPEDGARKAGSVGRAVGQEMAILDEEGRRVEVGKSGEVCVRGANVTSGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLRLVGRIKELINRGGEKISPIEVDSVLLGHPAIAQAVAFGVPDAKYGEEINCAVIPREGVSLGEEEVLAYCRRNLAAFKVPKKVYIADELPKTATGKIQRRIVAQHFVVPVLPTKA >OGLUM04G30030.1 pep chromosome:ALNU02000000:4:32202983:32210125:1 gene:OGLUM04G30030 transcript:OGLUM04G30030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSM7] MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASFADQPSKYAVDQMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVWLVQESTSVLLKANMGAAESSSKLAGSIHEFTVKDARGSDVELSRYKGKVVLIVNAASRCGLTNSNYTELGQLYGKYKETGATEPGSNEQIVEFACTRFKAEYPILGKVDVNGGNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNQIIME >OGLUM04G30030.2 pep chromosome:ALNU02000000:4:32202983:32212191:1 gene:OGLUM04G30030 transcript:OGLUM04G30030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSM7] MAAYKLGVEVASAHDLMPKDGQGSASACVELTFDGQRFRTAIKDKDLNPVWNERFYFNVSDPSNLPELALEAYVYNINRSIDGSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPSIKASNPLPAMDPVSNNPPPTPAEQIAADITGTNLSTTHEHRAEVKTLHTIAKEVQHQHHGHGHLPASFADQPSKYAVDQMKPEPQQPKIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRAEKHASTYDLVERMQYLFVRVVKARDLPDMDVTGSLDPYVEVRVGNYRGITRHFEKQKNPEWNAVFAFSRDRMQATILEVVVKDKDLLKDDFVGLVRFDLNDVPMRVPPDSPLAPEWYRLVHKTGDKSRGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIIEAQDIAITDKTRYPDVFVRAQVGHQHGRTKPVQARNFNPFWNEDLMFVAAEPFEDHLILSLEDRVAPNKDEVLGRVIIPLTMIDRRADDRIVHGKWFNLEKPVLIDVDQLKKEKFSTRLHLRLCLDGGYHVLDESTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIVNNPGPKFNEQYTWEVYDPATVLTVGVFDNGQLGEKGGEKTSSSKDAKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSSTSLVNMMYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVSARLSRMEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSVFSGLFAVSKWFNGVCSWRNPITTVLVHILFIMLVCFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRSPDVIRMRYDRLRSVAGRIQTVWLVQESTSVLLKANMGAAESSSKLAGSIHEFTVKDARGSDVELSRYKGKVVLIVNAASRCGLTNSNYTELGQLYGKYKETGATEPGSNEQIVEFACTRFKAEYPILGKVDVNGGNAAPLYKFLKSERGGLFGERIKWNFTKFLVDKEGHVVNQIIME >OGLUM04G30040.1 pep chromosome:ALNU02000000:4:32208722:32210977:-1 gene:OGLUM04G30040 transcript:OGLUM04G30040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKELLSPSELSYYAHQQHQHQHQQHQQQHRMLGGGGGGGGHSASPLAGMHGGPSVIRPMPNMGMSPTAILQSIGPGPLAGMQFQMDAAPPPPPLMHNSMASVSASAGAGSPTVPPSATPMEPVKRKRGRPRKYGPDGTMKVSTAAAAQHQQQMLSAPPRMGSVSGADMVGGGSGMDDSAQKKRRGRPPGTGKKQQLSSPVKLSGGNAFSGSAGTSFTPHIITASPSEDVAGKIVAFANHSSRAVCVLSATGSVSRVVLRHPADGAMSRVHASSHYKNPAIYEGLYEILSMSGCYNLMNEGQSDGLSVTLCSPERHIIGGVLGGALVAASTVQGGSKPKSKKAGKQQQQQAAAAAFSSDSLTGGGQDASPSSGHNQNLTPPPPVTTTGGWPSSGIFDTRSSNIDINSSRG >OGLUM04G30050.1 pep chromosome:ALNU02000000:4:32225619:32226014:1 gene:OGLUM04G30050 transcript:OGLUM04G30050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGDAIIGCSSPQQRAGRVDRVHSATGDRVTERHHCRPAFRRTLGAHAPSVPRQATSRRHQTSHGAVAGRGLRRNRNTSMFAPPAHGEIRGAHAASKRKRKSNAADSHFRRARGGGGEIEVGWAFPFSC >OGLUM04G30060.1 pep chromosome:ALNU02000000:4:32229207:32236173:1 gene:OGLUM04G30060 transcript:OGLUM04G30060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSVLV >OGLUM04G30060.2 pep chromosome:ALNU02000000:4:32229231:32236173:1 gene:OGLUM04G30060 transcript:OGLUM04G30060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPPSQPASTPTPPTPREAAPREREIQSNPAPVSPPPPRRGAAPVACSGWSGRAAAAAAAPRLSIRPREQIRAPNGGRRARGGSNSAPLPLLRVSFLRVCCSVRFLQGGGLLIEMGGRVSKAVACCCCRSQHHGVVVESSEKTAEEDHGESYELPAFQEFSFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEAKSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWEAQAMKWPMRLRVVLYLAEALEYCTSKGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTLLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLVQALAPLQKDLETPSYELMDIPRGGATSVQSLLLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLNSKKKGDNAFRQKDFSSAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKAEQALSDAMQALVISPTWPTAFYLQAAALLSLGMENEAQEAIKDGCAHETSVLV >OGLUM04G30070.1 pep chromosome:ALNU02000000:4:32236859:32238161:1 gene:OGLUM04G30070 transcript:OGLUM04G30070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSN3] MSSGEPAAVSIPIHDHHGKAPATSSAVPAAAAAAPAAAPAVAPRKVGIPFFRRGDHHRGSRCLAFLDFILRIAAFGPALAAAISTGTSDETLSVFTEFYQFRARFDDFPAFLFFLVANAIVAGYLVLSLPFSAVLVIRPQTIGLRLLLLVCDMIMAAMLTAAASAAAAIVDLAHNGNLRANWVAICMQFHGFCQRTSGSVVASFLTVVILMFLVILAACSIRKR >OGLUM04G30080.1 pep chromosome:ALNU02000000:4:32278736:32289787:1 gene:OGLUM04G30080 transcript:OGLUM04G30080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04810) TAIR;Acc:AT5G04810] MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPPPPSQVPSPAPPPPPHRPSPSPPPNPLASKLWLSSKLSPPPPETLEQPEPSATTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSEPVFLVLCPHFLSFGSARVDDRTSNVFDQTVPSHSDTSGLWTIQERLESYSAISVSWLASAAFLQEQQSVTVLLGLKTQAVNATDEAYSVMRVAVHINGWHRFGIFQYSSFNQMGLNTCW >OGLUM04G30080.2 pep chromosome:ALNU02000000:4:32278736:32290197:1 gene:OGLUM04G30080 transcript:OGLUM04G30080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04810) TAIR;Acc:AT5G04810] MQFLSLSAAAASSPAAAVLPPKPFKPLSSAAPFRRPSPPPPPPPPPSQVPSPAPPPPPHRPSPSPPPNPLASKLWLSSKLSPPPPETLEQPEPSATTTTTPPPPEPEAEAEARRQEEFRHKGKVFVGNLPLWARKAEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDADAAAERAVEVDGVDFRGRSLTVRLDDGRKGRARAEQRARWVNDGRRREPRSPWHEGRDEACREFRRVVESRPDNWQAVVSAFERIPKPSRREFGLMIVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCVEEMKSEGLELTIVTYSILISGFAKINDSQSADNLFKEAKTKLSSLNGIIYSNIIHAHCQSGNMERAEELVREMEEDGIDAPIDVYHSMMHGYTIIQNENKCLVVFERLKECGFKPSIISYGCLLNLYVKIGKVAKALSISKEMESCGIKHNNKTYSMLINGFIHLHDFANAFAIFEEMLRSGLQPDRAIYNLLIEAFCKMGNMDRAICILEKMQKERMQPSNRAFRPIIEGYAVAGDMKSALDTLDLMRRSGCVPTVMTYNALIHGLVRKHKVQRAVSVLDKMSIAGITPNEHTYTIIMRGYAASGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAEDLMKQMKEDGVPPNIHTYTSYINACCKAGDMQRAEKVIEEMVDVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKLAGLKPDEASYHCLVTSLLSRATVMEGSTYTGIISVCREMSENDLTVDLRTAVHWSRWLHKIERTGGALTEALQRIFPPDWNSLEFLGEPSSSISMGESDDYSDSDFSEPVFLVLCPHFLSFGSARVDDRTSNVFDQTVPSHSDTSGLWTIQERLESYSAISVSWLASAAFLQEQQSVTVLLGLKTQAVNATDEAYSVMRVAVHINGWHRR >OGLUM04G30090.1 pep chromosome:ALNU02000000:4:32290964:32291209:1 gene:OGLUM04G30090 transcript:OGLUM04G30090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAESPASSCVSSDAEEEAAVAKPMVVAGCPQCLMYVMLSEEEQQPKCPRCKSPVLLHFLHGAAAGAGAAASSKPPSKT >OGLUM04G30100.1 pep chromosome:ALNU02000000:4:32294232:32296853:1 gene:OGLUM04G30100 transcript:OGLUM04G30100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGGASSSVVVVPRNFRLLEELERGEKGIGDGTVSYGMDDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLFCDKDYPDKPPTVRFHSRINMPCVNPDTGLVESKKFHMLANWQREYTMENILTQLKKEMAAPHSRKLVQPPEGTFF >OGLUM04G30110.1 pep chromosome:ALNU02000000:4:32299751:32300740:1 gene:OGLUM04G30110 transcript:OGLUM04G30110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSEFVAARKKPPPELLFAAGRKKQQPPPPGMAFVPSEFAAAGVGRKRQPAPPVEIRRVWAHNVEEEFRIIRNAIDHFPYVSMDTEFPGVIHRPTKHPALLTAGDRYDLLRRNVDALHLIQVGITLAASPTAAPALAFEINLSDFDQRVHRHAAESVQLLAEHGVDLAAHRRHGVRASALAPLLMSSGLVCSHGAVKWVTFHSAYDFAYLVKLLMGRKLPRSMAEFLNLVRVFFGDEVYDVKHMMRHCGGELYGGLERVAAALQVKRAAGRCHQAASDSLLTWDVFRRMRELYFLKHGVEAYQGVLFGLELDMDMPSNKTPSLPLVAAR >OGLUM04G30120.1 pep chromosome:ALNU02000000:4:32308143:32308877:1 gene:OGLUM04G30120 transcript:OGLUM04G30120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLVASLFLGGGGGGGNDACSLSSSSSTASSWQWPSCTQARTLSFARHDAPASADDDDSKRRRQQEEEEDDYCVYKTSVMNPAFFLDDHSTCRSYSSAASAVNDVIDDDDEVIIRGLRSSNRRLFFEPESTSSIVVKGRAVDADAAAFDGATAMSIDSADPYGDFRRSMEEMVMSHMSGGGHDWGWLEEMLGWYLRANGKKTHGFIVGAFVDLVVALASSPSSATASSSAFQLPLQKGSQIN >OGLUM04G30130.1 pep chromosome:ALNU02000000:4:32311376:32313770:-1 gene:OGLUM04G30130 transcript:OGLUM04G30130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome biogenesis regulatory protein (RRS1) family protein [Source:Projected from Arabidopsis thaliana (AT2G37990) TAIR;Acc:AT2G37990] MAEAAAAPPAAAATNYEVDLGNLMAYDPSHHLPAASSSRSAPTAATAIVPCCFDSVTKFPGVARREELREECLRKGTELAQAVADALFALPATEDRDGPMVRLPPPTTRFPREKHLPRPKPPTKWEQFAKMKGITKHKKNKREWDEQTQTWKRTYGYDRVNDDKDIPIIEAKATDEPGVDPFAQRREDKKKHVEKQEKNRLENLKKAAKVGALPSHIQLAATALPITGTKADIPKKSRKKDLEDVAGMASSATASGGKFDEKLPGEKPPKNPGKHRKFLPVVEGKGMGNQEKQQNDKILNALLAKSSEDQMDVGRAITMYKVKKEKQRRKDKEKSSSSNKLKPQKKTLKKSSKKKA >OGLUM04G30140.1 pep chromosome:ALNU02000000:4:32316267:32317742:1 gene:OGLUM04G30140 transcript:OGLUM04G30140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPPLVVLLQLLLLPLLSTAITLPLYRNLPPVPADTDHPHHHPLSRLAAASLARAAHLARPRPRSRQGTAPPPSVRASLYPHSYGGYAFTVSLGTPPQPLPVLLDTGSHLSWVPCTSSYQCRNCSSLSAASPLHVFHPKNSSSSRLIGCRNPSCLWIHSPDHLSDCRAASSCPGANCTPRNANANNVCPPYLVVYGSGSTAGLLISDTLRTPGRAVRNFVIGCSLASVHQPPSGLAGFGRGAPSVPSQLGLTKFSYCLLSRRFDDNAAVSGELILGGVGSKDGGVGMQYAPLARSASARPPYSVYYYLALTAITVGGKSVQLPERAFVAGGAGGGAIVDSGTTFSYFDRTVFEPVAAAVVAAVGGRYSRSKVVEEGLGLSPCFAMPPGTKTMELPEMSLHFKGGSVMNLPVENYFVVAGPAPSGGAPAMAEAICLAVVSDVPTSSGGAGVSSGGPAIILGSFQQQNYYIEYDLEKERLGFRRQQCASSS >OGLUM04G30150.1 pep chromosome:ALNU02000000:4:32320541:32321158:-1 gene:OGLUM04G30150 transcript:OGLUM04G30150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASRAVSCLCCPCKCLACGLFSCLCSILISLLVTLGVLALIFYLIFRPHMIAATVDSAALTQFTLSTNSALAYSLTVDLTVRNPNKRVGLYYDNVESLALFDGQRFGYAPLDSFYQSTEASTKLSPAFKGQQPLQGDITAANFRSQQSAGKFDIEVKLNAKLRVKVWAFKVPGPKAKISCPITVPASAPNAPAFQRTDCKVWF >OGLUM04G30160.1 pep chromosome:ALNU02000000:4:32332618:32333280:-1 gene:OGLUM04G30160 transcript:OGLUM04G30160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIKDCGGHKGCECEKEKVHRRLCWALVALVLLTLFIVLVVWLALRPHKPRFYLQDLSVLCLNVTPPASAYLFTTMQATVAARNDNGRVGVYYDKVDVYAQYKDVAITVPTRLPVEYQGHYDQSVWSPFLQSLDHVVLPPNLAVALAQDETAGYVLVDIRLDGWVRWKVGTWISGHYHLRVNCPALLTVNDGKGSYGVNYGGGDGYFRFQQAAACAVDV >OGLUM04G30170.1 pep chromosome:ALNU02000000:4:32334726:32349606:-1 gene:OGLUM04G30170 transcript:OGLUM04G30170.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQEPDEEAAEEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACMVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVKYFFTSKIRCRVGNDVHEHLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKEIRSSTLERTLKHLGVEYVTKEEMQQVEAQSLEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPGKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKGLALGHLFLMNNIHYIVKSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSLTPAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTVRIAYNKSPFGLRDVPNMGSLCELSFGLYLPTTLLLCPSFPHKLKHGGRL >OGLUM04G30170.2 pep chromosome:ALNU02000000:4:32334726:32349606:-1 gene:OGLUM04G30170 transcript:OGLUM04G30170.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIALCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQEPDEEAAEEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACMVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVKYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKEIRSSTLERTLKHLGVEYVTKEEMQQVEAQSLEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPGKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKGLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSLTPAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTVRIAYNKSPFGLRDVPNMGSLCELSFGLYLPTTLLLCPSFPHKLKHGGRL >OGLUM04G30170.3 pep chromosome:ALNU02000000:4:32334726:32349606:-1 gene:OGLUM04G30170 transcript:OGLUM04G30170.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQEPDEEAAEEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACMVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVKYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKEIRSSTLERTLKHLGVEYVTKEEMQQVEAQSLEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPGKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKGLALGHLFLMNNIHYIVKYIGRSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSLTPAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTVRIAYNKSPFGLRDVPNMGSLCELSFGLYLPTTLLLCPSFPHKLKHGGRL >OGLUM04G30170.4 pep chromosome:ALNU02000000:4:32334726:32349606:-1 gene:OGLUM04G30170 transcript:OGLUM04G30170.4 gene_biotype:protein_coding transcript_biotype:protein_coding METLAQRAALLRESLQKSQSVTDAVVSILGSFDSRLSALDAAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEDEFQKQLTQRSKPIEPDRLFDCLPSTLRPSSESHPEGGKNQSHSENQQNSEAAVYSPPALIEPRFIPFLAKLAQQLVQAGCQQQCSEIYSEARASALESSLKSLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAAERQLCDQVFECSQSLRDKCFAQITRNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQADIDTIFVGESCSQMRESALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHIDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKREDGTGSELATVTMSIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANHYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERSFNVLFEEIYQKQCGWSVPDTELRESLRLAVAEILLPAYRSFLKRFGPLIENSKAPGKYVKHTPEQVELLLANLFEGKQEPDEEAAEEALRAKIELLRQAMQSSEAMQKEAAVIGTRLNNHMVAIDEAMRPAHKRTYNACMVHDNIRRSLTAAGAIVRHLDLVREAEHVILLDRPNEDLNAYLEAVDKLTSVKYFFTSKIRCRVGNDVHERVNELLSKAIHGLENEFHRLLTKCSKPVDLENIFNCLPSLNRQLSSEDLIGPSAGDYSEAPLKQYAECTLPTLVDPCYLTLLSKEIRSSTLERTLKHLGVEYVTKEEMQQVEAQSLEAKIAEWTQFSRITVKLLFGAERILCDQVFEGKYTWKDHCFAEVTAKSLSILLSFGDAVVQSQILPGKLYILLDMYKATLELQSKVDAIFEGNACSENRKSALTLTKSLAQTAKKTIGDFMEYILNHSVTSTTVDGAVHYMTSYVTDYIKFLFDYQSSIKQIFGDPCVEDEKDTDVVSQIVGAIHALETNLAMKAKQYKGLALGHLFLMNNIHYIVKSELKDLLGADWIERQRRIVQQHATRYRRVAWLKVLECLSTQGLTSSVGSSIDVTQGSFRNIKNSTTSRSVIKERLKCFNMRFEEICQKQMNWGVPDRDLRDSLILMIAEILLPAYRSFLKHFGPLVENSHSALKYMKYTPESLEQALGNLFAKKLRSLTPAKSANEVAGSKPYSSSAIAKAHGNHEGIFPNANLERSCTVRIAYNKSPFGLRDVPNMGSLCELSFGLYLPTTLLLCPSFPHKLKHGGRL >OGLUM04G30180.1 pep chromosome:ALNU02000000:4:32355794:32359502:1 gene:OGLUM04G30180 transcript:OGLUM04G30180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSVARLPPILVSPIRFRTTATRRRLLPSSALRLTRPLSSSCSASPLAVVASMETPPENYRTNVGICLADPSLTKIFTASRIDIANTWQMPQGGIDAGEDPREAAFRELREETGVTSAEMVAEVPVWLTYDFPVDVKEKLNARWGGTNWKGQAQKWFLFRFTGKEDEVNLNGDGSERPEFCEWTWMTPQQVIEKAVEFKKPVYEAALKHFAPYLQSDPATTTSS >OGLUM04G30190.1 pep chromosome:ALNU02000000:4:32360386:32364689:-1 gene:OGLUM04G30190 transcript:OGLUM04G30190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLLLLHLLLHVSHAAINPGDLSVLQDLRRSLTNADALLGWGDPNAADPCAQWPHISCDRAGRVNNIDLKNAGLAGTLPSTFAALDALQDLSLQNNNLSGDLPSFRGMASLRHAFLNNNSFRSIPADFFSGLTSLLVISLDQNPLNVSSGGWTIPADVAAAQQLQSLSLNGCNLTGAIPDFLGAMNSLQELKLAYNALSGPIPSTFNASGLQTLWLNNQHGVPKLSGTLDLIATMPNLEQAWLHGNDFSGPIPDSIADCKRLSDLCLNSNQLVGLVPPALESMAGLKSVQLDNNNLLGPVPAIKAPKYTYSQNGFCADKPGVACSPQVMALLHFLAEVDYPKRLVASWSGNNSCVDWLGISCVAGNVTMLNLPEYGLNGTISDSLGNLSELSDINLIGNNLTGHVPDSLTSLRLLQKLDLSGNDLTGPLPTFSPSVKVNVTGNLNFNGTAPGSAPSKDTPGSSSSRAPTLPGQGVLPENKKKRSAVVLATTIPVAVSVVALASVCAVLIFRKKRGSVPPNAASVVVHPHENSDPDNLVKIVMVDNDGNGSSTQGNTLSGSSSRASDVHMIDTGNFVIAVQVLRGATKNFTQDNVLGRGGFGVVYKGELHDGTMIAVKRMEAAVISNKALDEFQAEIAILTKVRHRNLVSILGYSIEGNERLLVYEYMSNGALSKHLFQWKQFELEPLSWKKRLNIALDVARGMEYLHNLAHQCYIHRDLKSANILLGDDFRAKVSDFGLVKHAPDGNFSVATRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELITGMTAIDESRLEEETRYLASWFCQIRKDEDRLRAAIDPTLDQSDETFESISVIAELAGHCTSREPTQRPDMGHAVNVLVPMVEKWKPVNNETEDYMGIDLHQPLLQMVKGWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGRTS >OGLUM04G30200.1 pep chromosome:ALNU02000000:4:32388245:32389486:-1 gene:OGLUM04G30200 transcript:OGLUM04G30200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSQ0] MEEQQQVEVEVEVPSYFVCPISLQIMRDPVTLPTGITYDRDGIERWLLTAGTCPLTKQPVPPDCDPTPNHTLRRLIQSWCALHADHGVDLVPTPKPPADRARVADLVSRLRAATSSAALLDALRELRDVAAESERNRKLLAAVPGAVDVLAAVVVASCRDAKAACDEALEIVCSLELSERCLARLVERNEELVDALVATLQRTNTTSRAHAALLLEAVTAVMPSNRLVSLPEEVFGEAVQLLRDRVSSPATRAALHVLVGTTSWGRNRVKAVDAGAVAVLVDMLLDGPVERRGCELALAALDRMCGCAEGRAALVSHGAGVAVVGRKVLRVSEVASEKAVRVLRSVARHAATAAVVQEMAQTGAVEKLCVVAQSEQCGERTRERARETLRLHARAWRNSPCLQPHLQALYPSC >OGLUM04G30210.1 pep chromosome:ALNU02000000:4:32397552:32397821:-1 gene:OGLUM04G30210 transcript:OGLUM04G30210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRRCIAIALLFLLAATFVASCVDGARTMQASYINRTPSTAPTAMMRSGRLFGYLPRAKLIPPSGPSERHNAIGPENGDGDELISKP >OGLUM04G30220.1 pep chromosome:ALNU02000000:4:32401129:32407741:-1 gene:OGLUM04G30220 transcript:OGLUM04G30220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVDIDKALIALKKGTQLLKYGRKGKPKFTPFRLSNDESTLIWVSNNKEKSLKLSSVSRVLSGQRTLVFQRFLLPEKDHLSFSLIYNDGKRSLDLICKDKVEAEVWFAGLNVLISPGQHGSQHQHIDGIRNGALSFECGRDSSLSSSSAYTTDSFENKLSSANSAKDRSSGEFTYSERTDVSDMQVKGASSDIRISVSSALSTSSHGSGDDSESFGDVYVWGEVMCDTTCRQGSDSNAYSATAATDILVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLGHGAGTSIFQPRLVESLSICNVETIACGEFHTCAITATGDLYTWGDGTHNAGLLGHGSNVSHWIPKRVSGPLEGLQVSAVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRETISYPKEVESLKGLRTISVSCGVWHTAAVVEVIMAQSNTSSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHKAVCGHTLTIGLTTSGHIFTAGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVVEVACGAYHVAVLTQSGEVYTWGKGANGRLGHGDIADRKTPTFVEALRDRSVKRIACGSGFTAAICQHKSVSGMEQSQCSSCRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYLKLSKVLDSGIGHNKNNTPRIPGDSKADKMDSKGNRVASANSSDMIKNLDVKAAKQTKKYDYPPQFPAILQLKDIPFIGAADQQPNDSTYSSPLLRLPNLNSSSSLSSESFDILRDANELLKQEVQKLKEEVNSLRQQREQQDADLQKSEAKAHEAMTLASEEASKSKAAKDVIKSLTAQLKEMAERLPPASCDMKQTRQPYLPGGAVSPDTGRENQKRYEPGSFQYPQTPTSVASARFNGFLAQAHQISEPNGNTMVPHDSRHENNGNTKEFPVAQQMTNGGMTGYRPRTEDHDRRETERFQINLHGFNMRGSSSPSNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRFSRRRFGEHQAESWWNDNREKVYDKYNVRGTDRISSVMTA >OGLUM04G30230.1 pep chromosome:ALNU02000000:4:32418156:32422683:-1 gene:OGLUM04G30230 transcript:OGLUM04G30230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15130) TAIR;Acc:AT3G15130] MERRRMIADLLRASARGSSLRGGVQLHAALMKLGFGSDTMLNNNLIDMYAKCGKLHMAGEVFDGMPERNVVSWAALMVGFLHHGEARECLRLFGEMRGSGTSPNEFTLSATLKACGGGTRAGVQIHGVCVRTGFEGHDVVANSLVVMYSKGRWTGDARRVFDVIPSRNLATWNSMISGYAHAGQGRDSLLVFREMQRRHDEQPDEFTFASLLKACSGLGAAREGAQVHAAMAVRGVSPASNAILAGALLDVYVKCHRLPVAMQVFDGLERRNAIQWTTVIVGHAQEGQVKEAMCLFRRFWSSGVRADGHVLSSVVAVFADFALVEQGKQVHCYTAKTPAGLDVSVANSLVDMYLKCGLTGEAGRRFREMPARNVVSWTAMINGVGKHGHGREAIDLFEEMQAEGVEADEVAYLALLSACSHSGLVDECRRYFSRICQDRRMRPKAEHYACMVDLLGRAGELREAKELILSMPMEPTVGVWQTLLSACRVHKDVAVGREVGDVLLAVDGDNPVNYVMLSNILAEAGEWRECQGIRGAMRRKGLRKQGGCSWTEVDKEVHFFYGGGDYAHPQAGDIRRALREVEARMRERLGYSGDARCALHDVDEESRVESLREHSERLAVGLWLLRDGTGDDGGGGGGEVVRVYKNLRVCGDCHEFLKGLSAVVRRVVVVRDANRFHRTPRVFFLDCLLPATLAVAPSLMDHPAKVMMGWALIAKYHLPQPSSSDQAQTGPYRLVFALYVSTAQPDCRQSPSDMAPGKQRGKAKVAPPPPAAPNAAAAGGFPACLRLMPPSTVAISIHAKPGSKLATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREVSIGSGSKSREKVVLVQDATLQGVFDALKKACASA >OGLUM04G30240.1 pep chromosome:ALNU02000000:4:32422717:32424880:-1 gene:OGLUM04G30240 transcript:OGLUM04G30240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPEPETTVGGYELRERLGGRPPSTVVWRAVERSSGSPVVVKQVRLTGLPSTLRDSLDCEVRFLAAVTHPNIIRLLDLIQTQSNLYLVLELCEGGDLAAYIQRNGRVEERVASNFMRQIAMIRSSAIPGPSDSGAVLMS >OGLUM04G30250.1 pep chromosome:ALNU02000000:4:32425668:32431611:-1 gene:OGLUM04G30250 transcript:OGLUM04G30250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRTSQSSSVRQGHLSAILSDIGLNIREAHVFSTSDGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >OGLUM04G30250.2 pep chromosome:ALNU02000000:4:32425668:32431611:-1 gene:OGLUM04G30250 transcript:OGLUM04G30250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIEEGVAESSGPSPRAAAAAAGLGSGGGGGGGSVHGSPDIRNVIYERLVAIRNEEAISNPSSFRVELDRHFLRLPESYLIDLDVSKAEQVLLHRRILADCADPEKRPIFHARYIGVDSGSTPTENGNGGGFLPINLRDDEFTESEPYERMMEDLSLERGKGVDDFEASSARRDSKFVRLSAILSDIGLNIREAHVFSTSDGFCLDVFVVDGWDTEETDGLLQKLIEAEASSHGSLSNPTNLSQSEKVLELQEKIGDSEFDRSLLQIGEKIASGSSGDLYRGTYLGVDVAVKFLRSEHVNDSSKVEFLQEIMILKSVDHENVVQFYGACTKHRKYLIVTEYMPGGNLYDFLHKQNNTLELPVVLRIAIGISKGMDYLHQNNIIHRDLKTANLLIGSGQVVKIADFGVSRLRSQGGEMTAETGTYRWMAPEVINHKPYDHKADVFSFAIVLWELVTTKIPYENLTPLQAALGVRQGMRMEIPPKVHPRLSKLIERCWDENPHVRPLFSEITVELEDILRHVLVSKTGSRHPKAKIQKKSAR >OGLUM04G30260.1 pep chromosome:ALNU02000000:4:32432304:32439145:-1 gene:OGLUM04G30260 transcript:OGLUM04G30260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTYQSGFVASDNKIQSGFTFTSLSQPATASSHYWGTTTTGGHCPVGQAKREGDGKHGGPTVQRAVL >OGLUM04G30270.1 pep chromosome:ALNU02000000:4:32439151:32440395:-1 gene:OGLUM04G30270 transcript:OGLUM04G30270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G76920) TAIR;Acc:AT1G76920] MAASDSDSDADAAAAASLLPAPIHLLPPDALHNVLLRLPLRDAVVCRPVSRLFHETLSHNFLALLPSLRLLLLRHPRPDGGGCLHAFDPDRRHWLRLPFSAFLPNQSFSPVASSPSLLYLWLESPSPSPPSLPSSSSSSTASTAHPPKSLAVCNPFAGTYSFLPPLGSAWARHGTVLAGPGGVVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASGAAAVFALCDVGTPWRSQWKLFSCPLSMLTGGWAPVERSAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAIDAPCSTVLILRLDLATMEWDEAGRMPPNMYRCFTGLCEAAAQGNAMPTAVAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDELGNSGGKWDWVDGVPGYSDGVYRGFVFDGGFTAMP >OGLUM04G30280.1 pep chromosome:ALNU02000000:4:32445302:32445928:1 gene:OGLUM04G30280 transcript:OGLUM04G30280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMNNERSSSNKLQVDAENPAAVGDELDLAARANWLRAAVLGANDGLVSTASLMLGVGAVKAEARAMVISGFAGLLAGACSMAIGEFVSVCSQRDVELAQLERDGKRGGEEEKALPSPAQAAAASAMAFSVGAVVPLLAAGFIVNYRLRIAVVVAAASVALAAFGCVGAVLGRAAVARSSARVVLGGWAAMGITFGLMRLFKASGI >OGLUM04G30290.1 pep chromosome:ALNU02000000:4:32448627:32449965:-1 gene:OGLUM04G30290 transcript:OGLUM04G30290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPNGNSNGNHHGLQGDAVGTGTARYQNPGRWIWPDPTAGRVWYWAVPILSLCSTMQRTEVEGQKRNFACNNRIGRDGRAARTLEALGFSIDRRRRRLLDRRRRLLEVNSAVVAAAAAGNEDIDAATAAGNNEFAAGVACFNDDIDAAAAGKDDIDAAATGNDEFATAAACFNAAAAGKDEVLDETIRRLEQLFRFTQANNPGPAVLLRIIGRINRANARRSTLFAQLHTYIRRRRRRHHQQQAPPSPPAGGNIASNGNGAAINNGNSNNAAAGAPPADA >OGLUM04G30300.1 pep chromosome:ALNU02000000:4:32454883:32458070:-1 gene:OGLUM04G30300 transcript:OGLUM04G30300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDTNAAAGQPRRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDAFLPANTARDAIQYSMDLYAQYILQSLRQ >OGLUM04G30310.1 pep chromosome:ALNU02000000:4:32460685:32467065:1 gene:OGLUM04G30310 transcript:OGLUM04G30310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAIGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >OGLUM04G30310.2 pep chromosome:ALNU02000000:4:32460712:32467065:1 gene:OGLUM04G30310 transcript:OGLUM04G30310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHQHHEQQQQRMVEMRMGMAIGEAYVGGGEVVAREAAAQALGAVVQLHFDKTLEKKRAADAQKQELWRLFLAFFLFLAILLSAVAQSPPSRLQCRHLWAPAGLLSLAHLAFYAAVAHHLRCLNGFRYQRRCHKLTLALAADRLRMLKSGGDVVAAADVEVPYQEPPESYLAKFKRSWAIHFAFLITTFAFSVAAAVAILCF >OGLUM04G30310.3 pep chromosome:ALNU02000000:4:32460682:32467065:1 gene:OGLUM04G30310 transcript:OGLUM04G30310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGSMVVVVVAWMACSVSSNSSHYYCTGSIHPSAFAANANSLSHLSIFISKKQWTAACAGEGASEHYTSSGKRIEKSKRERDGSSPAPRAAAAADGGDADGDGDRRGVRRGRRGGGAGGGGAGAGGGGAAALRQDAGEEAGGGRAEAGAVAPLPRLLPLPRHPPLRRRPVPAVPPPVPPPLGPRRPPLPRPPRLLRRRRTPPPMPQRIQVPAALPQADPGAGGGQAADAQVRRRRGGGRRRGGAVPGAARELPGQVQAQLGHPLRLPHHHLRLLRRRRRRHPLLLALRSPLNWTTPPIQSY >OGLUM04G30320.1 pep chromosome:ALNU02000000:4:32462974:32466613:-1 gene:OGLUM04G30320 transcript:OGLUM04G30320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNQGNLISSSTSRGGEEIPIEKRRRAGGRRRGRGRIEYTVAEDASNFQWRAAAAPWRAVLRTTHLLVDPTRLAASSFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRQKRAEGKKALKDYLLFGKSSPHLQGGSTGSFANSHDIPRFKTFRKGSQSHGSTKSRQGKKDRERFYNFFREEYYVHPDKIFEDMFGENHRFTWSHISWESFSFGDSSSRFRRTGESKRERVCSDSDDESEDETTNIGSHAHRAILGLPACGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCNVLKAA >OGLUM04G30320.2 pep chromosome:ALNU02000000:4:32462974:32466368:-1 gene:OGLUM04G30320 transcript:OGLUM04G30320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAAPWRAVLRTTHLLVDPTRLAASSFHSTPVSSAKWKDKFDCKHEHGARKLSKNYERYVVRQKRAEGKKALKDYLLFGKSSPHLQGGSTGSFANSHDIPRFKTFRKGSQSHGSTKSRQGKKDRERFYNFFREEYYVHPDKIFEDMFGENHRFTWSHISWESFSFGDSSSRFRRTGESKRERVCSDSDDESEDETTNIGSHAHRAILGLPACGPLTLDAVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCNVLKAA >OGLUM04G30330.1 pep chromosome:ALNU02000000:4:32474837:32478543:1 gene:OGLUM04G30330 transcript:OGLUM04G30330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSR7] MGAGEVVQGLKPVAAMVVVQVVFAGVNIFYKLAVVCDGMDMRVLVAYRYLFASAVLAPLAYFVERKNRTKMTWRVLMLSFVCGLSGGSLAQNLYISGMKLTSATFATAMTNLIPAVTFVLAVLCRYERLAIRTVAGQAKVAGTLLGVGGAMLLTLYKGAELNPWHTHLDLVAALEARHPAAAAATGNNDRVIMGSMLVVGSCVFYAVWLILQAKLSREYPFHYTSTALMCVMSGAQSAAFALLVDREPARWRLGLDIRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLVVVAVLGSLLLDEKMHVGTLLGAALIVVGLYAVLWGKGREAALEAAKVGDDNDNHHIHVVVVVPPEQAQPQPHQQAEADADATTTACEQPSDSDRANIASSLRHSHRYFHRSCGF >OGLUM04G30340.1 pep chromosome:ALNU02000000:4:32483283:32487472:1 gene:OGLUM04G30340 transcript:OGLUM04G30340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSR9] MSFRSIVRDFRDSFGTLSKRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OGLUM04G30340.2 pep chromosome:ALNU02000000:4:32483907:32487472:1 gene:OGLUM04G30340 transcript:OGLUM04G30340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSR9] MSFRSIVRDFRDSFGTLSKRSFEVKISGFSGRHRGKSIGPSSELDDTPVVAQQSKWAGLPPELLRDVMKRLEEDDSNWPSRKDVVACASVCTTWRDMCKDIVRNPEFCGKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYHLYLCLSSAVLDETGKFLLSAKRSRRTTHTDYIISMDSKNISRSSSGYIGKLRSNFLGTKFIIYDTQPPYNARTLCSQERTSRRFSSRKVSPKVPTGCYPIVQVNYELNVLGTRGPRRMQCAMHSIPASAVEPGGIVPGQPKELLPRLFEESFRSMATSFSKYSITDHSTDFSSSRFSEFGGGALQGQEQEQDGDDVNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAAPQPASGAASEPSQAGQAAQQQTQPSQPSSSSSSSSSNHDTVILQFGKVAKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OGLUM04G30350.1 pep chromosome:ALNU02000000:4:32488945:32490237:1 gene:OGLUM04G30350 transcript:OGLUM04G30350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDSSSAAAPLTSFGRSFLSHRRDQIPPPPPDHHSHSHTHTHTSSSDLEIDAFHRHAADLLHDLLSDSNSDPSAPDLLSLAWTRRLLDSFLICLEEFRAILFALADSQPLSRPPLDRLLLDFLDRAVKALDLCNAIRDGLDLIRQWRKHLAIAAAALSPAPAPAPAAQRGEAQIRRARKALTDLTILMLDDKDAGGVVGQRNRSFGRAGTTRDSLPHGHGHHRRSSSGGSSGSGSGSHLRSLSWSVSRTWSAARQLQAIGGGLTVPRANDIAATGGLASAVYAMGAVLFVVTWALVAAIPCQDRGLQAHLTAVPRTFPWAGPLITLFDRILDESKKKDRKHSCGLLKEIHQIERCSRQLMEVTDAAEFPLADDKDSEVQEATQELVQVCGSLKDGLDPLERQVREMFHRVVRTRTEILDYLSRPHNAG >OGLUM04G30410.1 pep chromosome:ALNU02000000:4:32552415:32553625:-1 gene:OGLUM04G30410 transcript:OGLUM04G30410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTWKAKPEEEIWLTGERREVYLCFHGAAGGCSGSGGGGGGSGQTASAWRWRGQSSAAPSCDAVASALIEMHRWSEYEIMPHHIVMYKPLAYC >OGLUM04G30420.1 pep chromosome:ALNU02000000:4:32557427:32558476:-1 gene:OGLUM04G30420 transcript:OGLUM04G30420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPFFLLVNYIVFPSSCSASACSAATATSRGTTTPCRSACSGGVGAWESPSSSSPTGSPSPWWSVSVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRVPARGGLAKVVTTEAIDVPFNFLNRKILHYTIAHNKSKHTQALRHHTKEPFLFFLNGFDVDQRTGDVYLTDSSSTYRRRYPKLNQSVMFILHSVHASCS >OGLUM04G30430.1 pep chromosome:ALNU02000000:4:32561329:32564153:-1 gene:OGLUM04G30430 transcript:OGLUM04G30430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASPASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLFISD >OGLUM04G30430.2 pep chromosome:ALNU02000000:4:32561329:32564153:-1 gene:OGLUM04G30430 transcript:OGLUM04G30430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTFPLALLLAIVAACCVAGGEGGALVGDTCTASPASSCGAGMRCATCSPLPGMGPPVCSRTTPLDPKAHGTDLAFNRYTWLTTHNSFAIVGSPSRTGTPIIAPPNQEDTVTAQLKNGVRGLMLDAYDFQNEVWLCHSFGGKCYNFAAYQRAMDVLKEIGAFLDANPSEVITVFVEDYAGPGSLGKVVGGSGLSKYLFPPAKMPKGGGDWPLLKDMIAQNHRLLMFTSKRGKDGSDGLAYEWDYVLETQYGNDGLVGGSCPKRAESMAMDSTKQSLILMNFFSTNPSQSWACGNNSAPLVAKLKACYDASAKRWPNFIAVDYYMVRSHNHSASRSKGGGAPLATDVANGRQQCGCDSIAYCKPGSAFGSCSLSSPPAAPPKMAAASPPAAAPAPAPEMAPSSPPAEPPETATEAAGSAVRPASIKLEKDDTTGTSPADTAKSSSSNRSPHPSSCSFLFGLLLPSLLLLHLFISD >OGLUM04G30440.1 pep chromosome:ALNU02000000:4:32564789:32570943:1 gene:OGLUM04G30440 transcript:OGLUM04G30440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLRGGLVSVVLLLLASPFFFSANPAATAVGDCPLDFSWANFTLASAACSDPTQRAACCRYINAFVAISMARYANATGRLGVPPAFSEICLSSVSETFKLRGIPTDADVFCGLGPKIRVSYQCAGRDTVLEMLQSPNFNDVVGSCRGPLSLDITCKTCLNYGIVYLHRLIGSDDNVGLSVCRNAVFVTLATQQGIFSYDDIVKCFFGVQGITIFPGPSSVTSTPASSPNVTTPVDAPAPKTKTVLQKHHQPYRITVIPGIGIAVILLAVLLQLVLVILIRRKNRELKNADLHAQNPENAFCQSQSWRCPEGQSPMFQRYSYKETMKATNNFSTVIGKGGFGTVYKAQFSDGSIAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIERKERFLVYEYMANGSLKDHLHSSGRKALSWQSRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDENFVAKVADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVITQELTEKSDIYSYGVLLLELVTGRRAIQDSRNLVEWAQGHLSSGKITPEFVDPTIRGLVDMDQLHLVVSIVQWCTQREGRERPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRNSKCGVHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLEGGQAHSPPETL >OGLUM04G30450.1 pep chromosome:ALNU02000000:4:32571414:32573355:1 gene:OGLUM04G30450 transcript:OGLUM04G30450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKTDSEVTSLAPSSPPRSPTSRGGRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMGSPRHSVGRDSSSSRFSGHPKRKGDKSSSGRKGAPAGKGWQEIGVIEEEGLLDDEDERRGIPKRCKYFLIFVLGFVVLFSFFALVLWGASRSQKPQIVIKSITFENFIIQAGTDASLVPTDMATTNSTVKLTYRNTGTFFGIHVTADPFTLSYSQLTLASGDLNKFYQARSSRRTVSVGVMGNKVPLYGGGPTLTAGKGSGSMAPVPMILRTTVHSRAYVLGALVKPKFTRAIECKVLMNPAKLNKPISLDKSCIYL >OGLUM04G30460.1 pep chromosome:ALNU02000000:4:32575458:32577560:1 gene:OGLUM04G30460 transcript:OGLUM04G30460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSDEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVIKAALAQCANVVDVEFIVNYTVPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRAICAKPEMFRERPHPGIRKEFRWVKQEDGTEYQGMKKLRILAKRQEVENMALIKNQLEEEKELAKQQQELLDGNYNKYDMLENVVQNGNMKSLAQHYGVSLADEF >OGLUM04G30470.1 pep chromosome:ALNU02000000:4:32577829:32580814:1 gene:OGLUM04G30470 transcript:OGLUM04G30470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAETKGRGGGEGRKCRREEEEEEEEAFAAKSRRIDDQDDEERMNNYTPMLEEEEDGCRDSRCAELVFGEEEELDPVQMEEKLRQVKLELQDKYTEVDEEEQIRNYPSVPAMRYTHGPIARYACCDSTLQIFSIEVVRIKKGLEWLLHVYGLVAVRDSVDHNRNLLFHRTRDDCQILTQKDSFLELTGPSRAILIVDPVEFDVELKVKGRRESDDQILSFQLFRQNGFFYVKQSVTLVRRFHPVMLGWYSKLKFTYAVLNGAVEATICRVKVVRGSWTKENRRRIVCTTSNIGHEDFVLLDSQDAETMPIGSDDDVIKLSRRVVTVELSGQLTVCVAATRAAGETSTRDDRGGIAQNEAPSTMDEVHFRPQKSGESCATCELGFCGVEITIAWSLLNCDNIE >OGLUM04G30480.1 pep chromosome:ALNU02000000:4:32582465:32587871:1 gene:OGLUM04G30480 transcript:OGLUM04G30480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGGCSSIPSGMEVETEGRGSGGKGRRVEDDDEEEGRSVQGMAPAAKSRRIDGQDDDEERMNNNMLEDEDGYRDSRCVELVFGEEEEGLDPVQMEEKLRQVKLEMKGKYSYTDELDEEEQMRRYHTSWDSSLSPHYGPFQRTMVRIKKGLEWPLHVYGLVAVRDSVDHNRNLLFHRTRDDCQILTQKTGVDACSDPTYNQARSCNLIDRSIQLVPNKVRMARGGVVWLAVALLCCCFVRRCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRKRPVCTYHNNRLICSASTRSWPSVISALLFTFLALQFSL >OGLUM04G30480.2 pep chromosome:ALNU02000000:4:32586529:32587871:1 gene:OGLUM04G30480 transcript:OGLUM04G30480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGVVWLAVALLCCCFVRRCESARVFTIINQCKTMVWPAVTPGESFGGGGFALKPGQSMVFTAPVGWSGRIWGRTECDFDQAGNGSCATGSCGSELKCGGSGATPATLAEFTLATKDFYDVSLVDGFNLPMVVRPLNGEGNCSVAGCDGDLRDSCPSELSKKVNGRTVACRSACDVFDTDQYCCRGMYGNPSTCQPTFYSKKFKAACPTAYSYAYDDPTSIFTCSNADYTITFCSTRKRPVCTYHNNRLICSASTRSWPSVISALLFTFLALQFSL >OGLUM04G30480.3 pep chromosome:ALNU02000000:4:32582465:32586522:1 gene:OGLUM04G30480 transcript:OGLUM04G30480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGGCSSIPSGMEVETEGRGSGGKGRRVEDDDEEEGRSVQGMAPAAKSRRIDGQDDDEERMNNNMLEDEDGYRDSRCVELVFGEEEEGLDPVQMEEKLRQVKLEMKGKYSYTDELDEEEQMRRYHTSWDSSLSPHYGPFQRTNRC >OGLUM04G30490.1 pep chromosome:ALNU02000000:4:32601042:32603754:1 gene:OGLUM04G30490 transcript:OGLUM04G30490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPGRARETKVTASASSSAGSDAAVMKKKKKKKKKLVVASSKVDEKDKKQVDYKCSRSSGRDECCKVESRHQQCTEPESPSYRLALRSLFSCRNSSSSSHAGHHHHRAADGKKLGCNSASICKVKQDNPMQQHMRRAEDELKVKDKPPVAEPYCKRRASVSACNISSERSVKKSVKQQQQEASSSLQSSASISASSCSSTAGGGGSFRGMQQLSLRRLSGCYECHMVVDPISGVFRDSSSMRATICSCPDCGEIFVRPDSLHLHQSIRHAVSELGAEDTSRNIISIIFQSSWLKKQSPVCAIDRILKVHNAARTLARFDDYRAAVKAKAMAHRHPRCTADGNELLRFHCATLSCDLGLHGATHLCDHLSCAACAIIRHGFRPPAPGAGIRTMATSGRAHDAVVSSGSEGDRRAMLVCRVIAGRVRREEAAAAEEEEEEEEYDSVAGTTPGLYSNLDELDVFNPTAILPCFVVVYRA >OGLUM04G30500.1 pep chromosome:ALNU02000000:4:32605598:32611397:1 gene:OGLUM04G30500 transcript:OGLUM04G30500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08320) TAIR;Acc:AT4G08320] MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECLESIFSINSSSVVERVHPGLLLELFSSMEAAQQDNSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGSARGVKMDQARPEEQDECNSLVGVRVHKLRPAEERVYSTE >OGLUM04G30500.2 pep chromosome:ALNU02000000:4:32605598:32611397:1 gene:OGLUM04G30500 transcript:OGLUM04G30500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G08320) TAIR;Acc:AT4G08320] MGNMTRSDSPISRLIVLSFLDFLNSVELAPGADPEALEVARECLESIFSINSSSVVERVHPGLLLELFSSMEAAQQDNSAPGPVSNKPSCSASTSTIQEDLTKCTTSNSEGQNEDTFDLDHSGDELFAKFYTSLDEINFFKTSSAGAEDPGQLSKATQFFDDALLGMRKSGRKRASLGDLAEFFKSKGNEFMRSKQHLKAVELYTCAIALSRNNAIYYCNRAAAYTLLNMFNEAVEDCLKSIEIDPNYSKAYSRLGSAYFALGKYHDALYKGYLKASELDPSNENVRQNIEVTKKKLAEQRGPPEEQNTYAPQSQASHGQFPGQSSSGVPFTFFPPGNSPTPEFFANIINRVSDISQQSSEHSININLNDIFNHANVNGNSQGTPQTETSSNHTPPPSFPTNTAVPPPFSFTGSTEGNRPQQTSSGHEGEHGQPGMHRDAGIQINLAGPEQAADAMRAVMEMLAPHMSQREGAPGTRLDLRNKTSVHKLRPAEERVYSTE >OGLUM04G30510.1 pep chromosome:ALNU02000000:4:32612620:32616189:1 gene:OGLUM04G30510 transcript:OGLUM04G30510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQMQSRSRIRGRDPTPASSGGRYRRRSPSPRRHHRAPPSNPNPHRDRRTPDRPRRHHHEDSVPLHVHLPPPPDALLTAAADRRSRADVLLEAGRLAARYLVAQGVIPEHRLRAREDPLPAARNHDVDDPRSRRNADFPRDRGDDDRLSRRSGWDRRSNSFDSRRKYNDAASADRSARRSHDYDDQRRPTMSRSYSQNDRRVSSDSRLDRRRRSRSRSRSRSRSRTRTRSYNYGSRRDSDWRASGADLDHSKVPEPGIVRDGDADVGYGDADDVPRDLKAPPRSVVVMETKESASQAAANEDTAEVESEIIEVDQAQDIYGDDDDDGDDAVAAFNYPSVAEINVTQHKLSNSNEDVVHPSQSDEEPLHRQSQFSDAEEGMEGPISPRDSCLVEPVAEEVRDGMEAPQSEVETDNADLSKDEQDLPAWYGIFDLNVVESQENCEMVEISNDSPLDNGRDSVPDQVGQMSQGANCVTSGTQGQDEHAFDNHQSEDEQVPLNQRNGTDDFNNEQGVGNQTGDEHGQDNHQLEDDQMHINHVMDVHTLDNGLMNGEEMLLKRCADEHTDHGHQVETEEMLLNQGQSTSVQVLENYNMNGEQVQLNHDADEHSGDDRPIKNEQMLLNHVMGVHDLDNYDQNSEQMLLNNGAGKQAADSAQLQEDQMLLDQAADGQATLHGQSIGQMIPVINLEDDYEEQSDTIEFSESKSDTLHKLTENVLPEHICSQGQQTSSIPDHPQTNVPAAAAASSVTLNHGNRWTRRGATVAQALKEFV >OGLUM04G30520.1 pep chromosome:ALNU02000000:4:32622542:32624372:1 gene:OGLUM04G30520 transcript:OGLUM04G30520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGVAGGGGERPCMCYFHPREEVVGVCSQCLRERLLLLLASKTSPAAAHLLADRPLHRKNSSISLPKVFALGSSFLQRLDSSRHHLRPAPHDSDANSDADTASIASLDDSFISIKFEDNGKATWDSQKAAAGEKKTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTVRGRGRGRSWIRTLTITRRPPAMPLS >OGLUM04G30520.2 pep chromosome:ALNU02000000:4:32622530:32624372:1 gene:OGLUM04G30520 transcript:OGLUM04G30520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGVAGGGGERPCMCYFHPREEVVGVCSQCLRERLLLLLASKTSPAAAHLLADRPLHRKNSSISLPKVFALGSSFLQRLDSSRHHLRPAPHDSDANSDADTASIASLDDSFISIKFEDNGKATWDSQKAAAGEKKTDTTTTAVVEHVKRGGVTRWRKQVVGRLLQLARWKRSGNGKAAACHQLGIDGKKTAERSSSKGTTVRGRGRGRSWIRTLTITRRPPAMPLS >OGLUM04G30530.1 pep chromosome:ALNU02000000:4:32626140:32628373:-1 gene:OGLUM04G30530 transcript:OGLUM04G30530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZST8] MARPPAATAPPPPPPPPPPPPIDRLVWLACAAPLSRIPVVGTQVSYFPEGHAEQCPAPLPDPLPSAHRFFLCTITAVDLSADTTTGEPYATISLLPLRHDAPAPAPAAAELAEAESQEFRYYAKQLTQSDANNGGGFSVPRLCADHIFPALNLDDDPPVQSLTMGDLQGDSWEFRHIYRGTPRRHLLTTGWSKFVNAKQLVAGDTVVFMWCGAPAPERKLLVGVRRAARYSGESACNARGRVQPQEVMEAVRLAAEQAAFRVTYYPRHGAGEFVVPRVEVDKGLTTPWRCGMQVRAQVMEAEDTRRLAWLNGTLTNLRHQQIWRTLEVEWDASAASSSMKNRFVNPWQVQPVDFPPLPMGLKISNNNISAPVCNGDSLLVPPILMHPQPQPPADIQGARHNNGHAYADIPSSSTPSMVRTQQLFPRDLQILVPHTDIVTPQNGSPPDNPVNTPLSASDGMKTIQLFGVTITSPVQGDTNGAFASAQVNQVPEGVDDETATEEASDTSLPDSLTNGYNQDGARL >OGLUM04G30540.1 pep chromosome:ALNU02000000:4:32632651:32633100:1 gene:OGLUM04G30540 transcript:OGLUM04G30540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMMVVSGLGTAPRSSRMVQLQRMKKHLVVVAAFKSRTKASPKVDKSNKNKSIVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAVTGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGASWTTPPGWSAP >OGLUM04G30550.1 pep chromosome:ALNU02000000:4:32634657:32636252:1 gene:OGLUM04G30550 transcript:OGLUM04G30550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVRYKVLVLVLVLQFLYSRQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLEVCVRVILSWETWLQCCTPRQPPSLRRRRAHLLPRRLGRRRRQRHVQGRLRWFPGHPASDNGATLSAAEGYDGEDRVSALPDDLLVARLPSRTRLAPPRSPPAGGASGAPRRSSSAASPLCAGVEGPRGGEVDDGRRPRRGPRGGGGGQRVEEARRRRRWSPISSSPPPRPWALQPSAAPSPVTQTPSASCTGPSSPGPQPSACLQASRSRSTGREREEEPRSS >OGLUM04G30560.1 pep chromosome:ALNU02000000:4:32639693:32643485:1 gene:OGLUM04G30560 transcript:OGLUM04G30560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVVTGRHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQPCRHLLSRIFVANPYKRISMGEIKSHPWFLKNLPRELKEEAQAVYYNRRGADHAAASASSAAAAAAFSPQSVEDIMRIVQEAQTVPKPDKPVSGYGWGTDDDDDDQQPAEEEDEEDDYDRTVREVHASVDLDMSNLQIS >OGLUM04G30570.1 pep chromosome:ALNU02000000:4:32639960:32651324:-1 gene:OGLUM04G30570 transcript:OGLUM04G30570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DDB1-CUL4 associated factor 1 [Source:Projected from Arabidopsis thaliana (AT4G31160) TAIR;Acc:AT4G31160] MAAAAAAAAPAPATEEDEEALLTRVQTIILRVVELEDKPNPRLLHTLATICEAHEARYAQECANSPSYNNTNARNSHTIGKLANLLRENDDFYELVFCKFLSDNSYSAAVRSAAARLLLSCYSAWTPQYPHAFEDAIVENIKKWVTEDGGASNECESKHLGKNNKPTDADMLRTYAIGLLAMALCGGGQLVEDVLTMGVSAKLMHFLRVRVHGDVACAQKDSNIPLDTKHPRSRDENRSKSRLVQDSSRLDGMRSGDGISIDPTSENCDNVMGMRHAHGERWIDDAASLQPERADSSLDLFDAMEAGATNDRTYSASICDTKSRVGERLSALRPGRDEEMNENTRDDLLKRKLSRTGSRLRGKSKAGESLPESERTPLSPTSGLKIGTRTSREKNMVRIEDANKAIDVNNSSPGIEPFNAISKEEYEDRFKDCIIGLKDISDIVLKAVRAAEAEARSANAPDEAVKAAGDAAAELVKSAASEVWKTGNNGDAVVLAAEKAAATVVDAAMSTSVSRSNQVGEEHVVEEPVQISEDHELEDFVITDHGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSIKDQGGHGHFTLLPDVLRLICALAAHRKFAALFVDRGGIQKILSVPRIAQTYTALSACLFTFGSLQSTMERICALSSDTLNNVVELALQLLECPQDSARKNAAIFFAAAFVFKAILDSFDAKDGMQKVLGILHGAASVRSGGNSGALGSSNVNQGNDRSPAEVLTASEKQVAYHSCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDIGNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFLTSNGHITMLELCQAPPTDRYLHDLTQYAFGVLHITTLVPYCRKLIVHATLSNNRVGMSVLLDAANSFGYVDPEVICPALNVLVNLVCPPPSISNKSSSTGNQQPAATQAVGGAFSENRDRNAEKCTTDRNLTANQGESRERCGDGNTSQQGNTVQISTPVVPSGVVGDRRISLGVGAGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTPPVAIDPIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSGQSIGGDNSRWQNELTQVAIELIAVLTNSGKETTLAATDAAAPALRRIERAGIAAATPVSYHSRELMQLIHEHLLGSGFTATAAMLQKEADLAPLPSTAAVTPVHQVAALETSSAQQQWPSGRVQGFVPDTTKVTTDQTGQRSDSVLPSSKKKSLSFSSSFSKRTQPSHLFSGNRASNSLKSPVPTGNVDNMICAASTVNTGDAETSHKTPLSLPQKRKLVDMKDLSSASAAKRPAMVDQACQSPVFQTPAPTRRGLSVAVDSPTASFHSGRPNFNNIYTENLDDSQGTPGATITTPHHGASDQQPVNLECMTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPSRSLSAPANIAARMGSREIRRQFSGIQIPRRDRQFIYSRFKLCRVCRDESSLLTCMTFLGDASRVAAGNHTGELRVFDCNTANILETQTCHQQLVTIVESASSGGNELILTSSLNEVKVWDAFSVSGGPLHTFEGCKAARFSHSGTSFAALSTDTTRREVLLYDVQTYNLDLRLPDNSGYSGGRGYVQPIIHFSPSDTMLLWNGVLWDRRSPNPVHQFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFKLLRSVPSLDQTVIKFNGRGDVIYAILRRNLDDVTSSIHTRRVRHPLFPAFRTIDAVTYSDIATVQIDRGVLDLATEPNDSLLGVVAMDDPDEMFSSARLFEVGRKRPTDDDSDPEDAGVGDEDAGEEVAAGLGDVDEEQYLEERSRAEQEDEEEMTDDDADNLQTDRRRTG >OGLUM04G30580.1 pep chromosome:ALNU02000000:4:32654278:32655144:-1 gene:OGLUM04G30580 transcript:OGLUM04G30580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWCCVAPGFRFHPTEEELVGYYLARKVVGQQDDGIIQEVDLNSIEPWDLLQAQQHDQEYYCYFFSYKDRKYPSARGTGTRTNRATAAGFWKATGRDKPVLSSSRSSSSPAVIGMRKTLVFYRGRAPNGCKTDWIIHEYRLVAHHQQPDGSCWVVCRAFHKPTTTTLQHRLHLHRPPPLLHHPGYYDDQYLYPPPAAAGGGGLLCSPALDMELEDEEDESKMMILSNDNIPLVVSPTAVHTQGTGGDIINDATTAPAAAAADHRRHPELLAAGPIDTLLHHHHFTC >OGLUM04G30590.1 pep chromosome:ALNU02000000:4:32655548:32659808:1 gene:OGLUM04G30590 transcript:OGLUM04G30590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRPLLPNEEEEEALPGVSDFRGRPVYRATSGGWRSALFVAVLELAGSFAYFGVSANLITYLTGPMGQSNASAAAAVNAWSGAACMLPLLGAFLADSFLGRYPSILLACTLYLLGYGMLTVASSVVASKSQVGILYVSLYLVALAQGFDKPCGLALGAEQFDPEHPRESASRSSLFNWWYFSMATGITVSIATVSYIQENVSWGVGFAIPFAVVSCAFLLFLLATPTYRLSSAAASPLLSLRHYKQSSEEARRMLRLLPIWATCLAYGVAYAQIMTLFNKQGRTLDRHIGHAGLELPPAALQTLGPVTIMVSVPIYDRAVVPMLRRMTGNPRGLTTLQRTGTGMALSLAAVAVAAAVEGRRLETVREQRPAMSWAWLVPQYVAMGVADVLAVVGMQEFFHGEMPEGMRSLGLALYYSVMGIGGFISSALISALDGITRRDGGDGWFADDLNRGHLDYFYWLLAGVSAAELAMFLCFARSYAYRNANKGPLLLVVPSNTPVSPCTNHHHA >OGLUM04G30600.1 pep chromosome:ALNU02000000:4:32664804:32677773:1 gene:OGLUM04G30600 transcript:OGLUM04G30600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQWGLGTVHVHPRASGRSEIRQIRRLLSESIMCVVLSTSEWVVLIHSPIKKNSQPIMLGCPTGNLIPYPSIRLSFFLSFHGWGGSQGYDSREERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >OGLUM04G30600.2 pep chromosome:ALNU02000000:4:32664804:32677773:1 gene:OGLUM04G30600 transcript:OGLUM04G30600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQWGLGTVHVHPRASGRSEIRQIRRLLSESIMCVVLSTSEWVVLIHSPIKKNSQPIMLGCPTGNLIPYPSIRLSFFLSFHGWGGSQGYDSREERALKMLLTTPFVSSPVRVQGNGGSGASPWAGAATALRIQAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPDNQFKVGDVVELRRSRPISKTKHFLAVPLPPRDTRRKSQLLPPLQSQSQSQDQDQPPTPPPSSD >OGLUM04G30600.3 pep chromosome:ALNU02000000:4:32664804:32678391:1 gene:OGLUM04G30600 transcript:OGLUM04G30600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transportin-1 [Source:Projected from Arabidopsis thaliana (AT2G16950) UniProtKB/Swiss-Prot;Acc:Q8H0U4] MAAAALWQPQEEGLREICTLLDAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSFEARQAAGLLLKNNLRATFSSMPPASQQYVKSELLPCIGATNKAIRSTVGTVISVLFQIVRVAGWIELFQALHQCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRLLQFFQSTHAILRKLALGCINQYIVVMPAALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEIFQLLISCVMLDLTLDSKLQPHLKNVTELMLQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPRLIPTLLSNMSYSDDDESLADAEVEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDDILPTLMPLIQQNLARTDDDAWKEREAAVLSIGAIAEGCITGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLEHPNGREQFDKILLGLLRRVLDTNKRVQEAACSAFATLEEEAAEELVPHLGIILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLITKWQQLANSDKDLFPLLECFTSIAQALGPGFSQFAEPVFQRCINLIQSQHLAKVDPAAAGALYDKEFIVCALDLLSGLAEGLGAGIESLVSQSSLRDILLQCCMDEAADVRQSALALLGDLSRVCPIHLHPRLQEFLNVAAKQLNPQCVKEAVSVANNACWAIGELAIKIGKEISPVVITVVSCLVPILKSPEGLNKSLLENSAITLGRLCWVCPDIVAPHMDHFMQAWCNALCMIRDDFEKEDAFHGLCAMVAANPTGAVGSLTFICQACASWNEIKSEGLHNEVCQILNGYKQMLGSGGWEQCMSTLEPAVVQRLGRYGV >OGLUM04G30610.1 pep chromosome:ALNU02000000:4:32679841:32689029:-1 gene:OGLUM04G30610 transcript:OGLUM04G30610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHKLGFREEDALRFLFGEDLLAMHHSAAASSFDRSQPEVQVFRDVFSPSPPLLHVAETSSLLPTAAAAAPAPAPPPAPAPSLHHHLHALQPQPAPVEVDAAAIDPKHSHGPVLHGNGNGNGGLELDAALQGFVAYWQGGGSSLSVTDADLFHDMMQPTPPQHSAGPPPPPPPAAAGMCTALAASSSNSGVEDPLPSYMEALADFSDFHNDALLSDPFLNQWLQDNNHFPTDMSFTYDQPQMLETTSHTLYSATTPDLSVTGPDHFSLYSNTVYDTTLLPHLSRDSTASAHFVQLDNLCQNFGTPGASIGSLDDAADVPADNTQHYGTTAVASKRNLSRELPDQLEVHAQHLFMDAGWTIKPRKRNDRAKMASYFTAPHREVVHTSLTQAWKFCGKKLYEATPCSQRGKCPKEWSDVDTFWKDLTDTMAYVDKMLVNRQDAPTLLHRWELLDPFIAVVFIGRKITALQQHKTLRAVDSSTYVLNDSRNVPSESKSMLKSSDLLPTRMIQPTPVITDSDCSTLATESGNGNHALQSCHDLEDGHNGDTNLSTLCTQSQLYCAAGDTSQRTENPISESNGQRELWSGATLINSAVKKTKKKSKRISDIDSTGLDGLHSESFMQPAVEIVLNQETELASVELSFAENNMRSEEHGICSSVGTSKRHLKAESKLAKLNANNQSNKLDVLLPSEVMQTSMLQGEETVEQPAGFNILPENGSTPTESGSSKFIPIGNEEKKLLSLKESSIGIFPKDVHNLPTVNSVPVNLSYESNAAVLKTDLSQESPTCKAVAAKRKPQAWEKYAKKRPRVLRINDDDLLITAMVKNRDLGSCHKFAADSIFLDAKKFTKFKSSKKCGRLLARMSGKGGSNLLGGKRVSLARKTVLCWLIATGFLTVKDVIQYRNLKSNEVVKDGQVTWEGILCNCCTKTFSISDFKVHGGCSLPKSSLGLFLQSGKSYTLCQVEAWSAEFLSRKCDASGRKVEAMDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSDQELPEGSWYCHNCTCRSCGNPLSEKEVSTFSAILKCLQCGDSYHDTCIDQEMLPCGDKQSNIWFCGRYCKEIFIGLHNHVGIENFLDNELSWSILKCNTDGRKLHSSKKIAHMTECNTKLAVALTILEECFVRMVDPRTGVDMIPHVLSNFARLDYQGFYTVILEKGDEILCVASIRVHGTKAAELPFIATSVDYRRQGMCRRLMDTIEMMLRSFHVETLVLSAIPELVNTWVSGFGFKPIEDNEKKQLRNVNLMLFPGTSLLTKRLDGITAAKSEEDKDAYNVSGLPNGKCLPSRKGNGHLELHDLDLLEAELNTEDATNASFRALKHECGPATWFNHAKATHPSSSSPVLCNEFSRMQFTGPQLFYCKTERHLPTAVHDSIPSEVQVNGADSHARNNKGWIDGLIYPCNMKNECLRCNAKLYFFALLSEAPESRSLGQKQNMTDPFLSDVDRDLPKLYAVTVDGSYKLHGGQKGYLQKHG >OGLUM04G30620.1 pep chromosome:ALNU02000000:4:32694203:32697268:1 gene:OGLUM04G30620 transcript:OGLUM04G30620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVEILDASELAPKDGAGACNAFVEVEFDGQKQRTPTKPADRSPQWNHTLVFDVRDPSRLPSLPVDVSVHHDRSLTDHHATRPHTFLGRVRISAASLAPSPQDALLQRYPLEKRGLFSRVSGDIALRLYLIANDSPDPPPAPAVHHHQHQPPQSVSAEQPDSRPPPAFPHGEAQAQAQPPPPESESKGKTTHDHEPPRVFRSVPAQAPAPAASQPRRATLHAVAAPPPPPGQTVVMPRPPGPAPGPPPSAFGLVETKPPLPAKMGPRAAVAAAAKIASTYDMVEPMSYLYVSVVKARDLPTMDITGALDPYVEVRLGNFKGVTRHLEKNPNPVWRQVFAFSRDHLQSSQLEVVVKDKDVLKDDFVGRVVFDMTDIPNRVPPDSPLAPQWYRLADRSGEKIRHGEIMLAVWNGTQADEAFPEAWHSDAHSVSLDSLASTRSKVYYSPKLIYLKVVAIAAQDLIPAEKGRPLAPSIVKIQLGGQTRRTRPQGSANPMWNEEFLFVAAEPFDEPLVVTVEERVAAGRDEPVGRVIIPVAAPYVPRNDLAKSIEAKWFSLSRALTADEAAAAEATKLKSSFASKIHLRLSLETAYHVLDESTHYSSDLQPAAKKLRKSPIGILELGILGARNLAGGKSPYCVAKYGAKWVRTRTLVGTAAPRWNEQYTWEVFDLCTVVTVAVFDNCHLTGGGDAKDQRIGKVRVRLSTLETERVYTHFYPLMTLTPGGLKKTGELHLAVRFTCTAWANMLAMYGKPLLPKMHYTHPISVLQMDYLRFQAMQMVAARLGRAEPPLHREVVEYMLDVDSHMFSLRRSKANFKRMTSLFSGAVAVARWMDGICKWKNPVTTILVHVLFLILVCYPELILPTVFLYLFVIGVWNYRRRPRKPAHMDTALSHAEAEQVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQALLSWRDPRATSIFVLLSLIIAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKSDVLL >OGLUM04G30630.1 pep chromosome:ALNU02000000:4:32709090:32717587:1 gene:OGLUM04G30630 transcript:OGLUM04G30630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVVEIMAISYPPEDGRYYCSSVAAGDDSPAAHHTNAHDDWDWDWVPPPPADAAAAAAADDDDDDGDPTPAGSPPGSRGEDEEEERQRAQMVSAMHGQLNMLASRFLASAGVEEEWLEVVTALSWEAALLIQTHACTAGNDMDPASHVKIKCVASGRRRQSQVVRGLVFRKNAAHKHMPTKCHRPTLLLLHGALGLDSHLGFSSFDSMEQDKLILRASISHIIHTCSPNVVMVEKTVSRDIQELLLHHGVTLLLDMKLHRLQRIARCSGAPLLSFSQLLHDCPNHLKHCDYFHIDKFFEDHNTTTTTSAAALNKPSKTLMFLEGFSNPLGCTILLRGASTQELKKIKQVLHYTIFAAYHLVVETSFFEDQRVFLNDTNVDGTPQITHQTSIVSNRSLPTDYDVTCTSRGSLLEYHDGDHKASVPFTNKPDSYTQDEGTAIHCEAPPSENLLSSVSGSLRRFIDIFHYQNIYLPVTSSQDTTGHQNEQDTETSQETASDTLTKDHSCEYMDQLSDLQEQVFAKTNQKMSQPDPFGTEKHQQNVEQYRAGENINSDTDEADDVMDSQSILILLSSQCVTKQVVCEESHLYRINYYGNFDVSLGRYLQDILQNQNLSCSSCGEPPDAHMYSYTHRNGNLTINVRRLLPQHHLPGESEGKIWMWTRCLRCEHERGISKSSRRVLISTEARNLSFGKFLELSFSSHSAARRLSVCGHLVNRDCLRFFGLGSKVAKFQYSSVEIYTACKPQRTLEFHNPDMREWFEQEGRNVLARGIKLFSEVSSLIQHMKIFSEVAINCGDSLPVKEVSQLEEMLIEEKAQFVDSLVKAVDESGMSSSSVNEILGVNCLYQDLLILLYVWDRRFHQIVECKSGRMANCVGKKEAAEFAGEPAATGESAVPFENGYIKEMQYSSETLTDENSRREEQHITKVPSFRVLEGTDTQLINPECGDNRETWIWSPLHELRESYRHELQAGYLERFELVNNYSPSHLSPLHKQSSAEFIVGPGGNVLCISEDEISSIISRALAISEERRHLLLDALMVEGEAAYSRGSESSKMEKSYSSLSEASSASSSWSSIGSSDSDASFSSDDLFSSYDSSLLSSLLHPEVSVNGKSSLKGKYSVICVHANQFYTLRKKCCPSELAYITSLSRCMKWDAQGGKSKAFFAKTLDDRFIIKQIKKTEFESFIEFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKKIRHGKEVKLDLMVMENLLFGHKLSRIYDLKGVVFSRHVSDSNDHGTVYLDQNFVDDMRVSPIYVGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKEKHEFVFGIIDYLRQYTWDKQLETWVKTSLVVPKNASPTVISPKEYKKRFRKFMAKYFLTVPDDWST >OGLUM04G30640.1 pep chromosome:ALNU02000000:4:32715101:32717427:-1 gene:OGLUM04G30640 transcript:OGLUM04G30640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >OGLUM04G30640.2 pep chromosome:ALNU02000000:4:32715099:32717131:-1 gene:OGLUM04G30640 transcript:OGLUM04G30640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGEAGTGGGLGNLRALLAILQWWGFNVTVIIINKWIFQKLDFKFPLTVSCVHFICSSIGAYIAIHVLKAKPLIQVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAVLLEGGGVVTWFYTHDSIASALVIIIGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISPMNAIGCAITLVGCTFYGYVRHLISQQQAVAPGTGSPTTSQTNSPRSRMEMLPLVGDKQEKV >OGLUM04G30650.1 pep chromosome:ALNU02000000:4:32718251:32725021:1 gene:OGLUM04G30650 transcript:OGLUM04G30650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAMVSVQLTVAARPLRSRRALSVFTCAAPPRQRPPPGPTKHRRLRHDADAQPPRKRGHPPPPPPRRTRTRGPPARPQQSYTDDDEEEDDQDEEEGSFVGGTRAAAMPKPPAGFVLDDQGRCIAAASKRIVTIIDDTNNRPLECIIRRVFRSTLDHDCMLLCPVDMPVQVLKSANFSGWIAVDDDQIKEIIPSVAYALARHGVAFAFLKTRFKNFMILVTAVIVYLLKDGAHYMIYTPVDPLLFVAVKDKDGVLRIAEDELMDDPAVVGAIDEETEFTALVEEEEALLESVLGER >OGLUM04G30660.1 pep chromosome:ALNU02000000:4:32718829:32722198:-1 gene:OGLUM04G30660 transcript:OGLUM04G30660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor B [Source:Projected from Arabidopsis thaliana (AT3G10220) TAIR;Acc:AT3G10220] MSSSSSKLHLPADDSVLLLLTHSNLSTFSSDIRVSKQTSVEALKDKLWRKTGTSVAFMRLQLRDDTGAMIADLDHDDATLASYSPYDGYRLHIIDLDPSSITSGGWLEDTSLVEKYTISDEAYNNLDNDKQQQSDKHMEELCANIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >OGLUM04G30670.1 pep chromosome:ALNU02000000:4:32725899:32727959:-1 gene:OGLUM04G30670 transcript:OGLUM04G30670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVEDDPPSSGSVADADDSTDRLCRVCHCVEPDLRGHSALAFLGILPPPSSPDEDADDVATATATNNNVLEFVSPRGEIFVCTAATTDLESGPLHHHHHLMDLGCSCKNDLALAHYACALKWFITHGSTVCEICGHVAVNVRPADFNKVLASLKEYEALRERTSTGDLSYLHYGADSGVDPDAVAAIRRQRLSEISSWFNPQNSHLAISQGQTEQTPASPSNNSIHHGAVVTTVVHTRWSLEGTGVFLAIGLGVIVLAWLVAPHVGKKAAVICLHMLLGGLCALTIIISLRFVSFPKDPIWIYAMLGDLVCVLVPGFWSLGITDPQYTLLMRMEIMMYMHDHKKKEKFVIGVTVNC >OGLUM04G30680.1 pep chromosome:ALNU02000000:4:32730277:32743177:1 gene:OGLUM04G30680 transcript:OGLUM04G30680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLETATEADPQAAQSNSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTHVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIHGSQWPVEWPLRLEKPPYWLKNSEAGVYGKLATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKSDRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >OGLUM04G30680.2 pep chromosome:ALNU02000000:4:32730277:32739545:1 gene:OGLUM04G30680 transcript:OGLUM04G30680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGSHTRLDVRRAQQHSSFCSPSSAAAVLVALALVAVWMASSTLVTPADFSPFRPTTTTARPRNRMDPVTVEEDADDPPPLTLRQTETGPGGDNGSHSHSPSLETATEADPQAAQSNSNTKDTPHNKQQQQTASPTPSSYAWKLCNTEAGPDYIPCLDNLQAIRNLRTTKHYEHRERHCPQHPPTCLVPLPKGYTNPIRWPNSRDQIWYNNVPHTKLVEYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQEAKKDIAWGKQTHVVLDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSTLTRSMCWEMVNKVKDRVNRVGIAIFRKPTDNSCYEARSAANPPICGEYDDPDAAWNISLQSCVHRLPTDPAIHGSQWPVEWPLRLEKPPYWLKNSEAGVYGKLATEDFQADYEHWKQVISNSYMNDLGIDWSAVRNVMDMKAAYGGFAAALRDLKLWVMNVIPIDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHANHLFSKIKKSDRCKLVAVMVEVDRILRPGGRLIVRDSMETMHEVESMAKSLHWEVRKSYSQDNEGLLFVEKTMWRPNEVEAKL >OGLUM04G30690.1 pep chromosome:ALNU02000000:4:32741426:32755216:-1 gene:OGLUM04G30690 transcript:OGLUM04G30690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPFDICGDLDDDPTPPAPTPLAAPTPNGLNDRLLRLTRTHQRGPSQNPNPNPNPNPNPKPPPPPPPQEPEPAKVKLAGRRRLCKLSTAGDESAGDDDSIRDILDDLTTRLDSLSVDRPTARPRPHVSPLPCALHADPDPSQSQLNDGTKPSSSFVDCDDDDDDAGGAYGGFGVKEEVTRKVFKASSSSFGGRGNDDKMKAKGAYAFDMVSRKTTTESKASKFFGDYDDEDDIDQDAENGKENHADDVGWEKTEDFKMEPTGTGVTRKPYNLPGRIFNMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSRLIKRVLVVAPKTLLTHWTNELSVVGLKDKIRDYSGPNANARNYELKYAFKEGGILLTTYDIVRNNFKMIKGNFTNDFDDEEETLWNYVILDEGHIIKNPKTQRAQSLFEIPCVHRIVISGTPIQNNLKVQSKELRERIKPYFLRRMKNEVFLDSGTGEDKKLAKKNELIIWLKLTSCQRQLYEAFLNSELVHSSMQGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDAMLNNQEMGMVEKMAMNLADMAHDDDDVELQVGQDVSCKLSFMMSLLQNLVSEGHNVLIFSQTRKMLNIIQEAIILEGYKFLRIDGTTKISERERIVKNFQEGPGAPIFLLTTQVGGLGLTLTKAARVIVVDPAWNPSTDNQSVDRAYRIGQMKDVIVYRLMTSGTIEEKIYKLQVFKGALFRTATEHKEQTRYFSKRDIQELFSLPEQGFDVSLTQKQLQEEHGQQLVMDDSLRKHIQFLEQQGIAGVSHHSLLFSKTAILPTLNDNDGLDSNRRAMPMAKHYYKGASSDYVANGAAYAMKPKEFIARTYSPNSTSTESPEEIKAKINRLSQTLANTVLVAKLPDRGDKIRRQINELDEKLTVIESSPEPLERKVPSTFTTGRGVVAAAAANGRFVPMAPTSQPQPQQEVEVEVDEDEELVNMVVEAGVGAIKMKMKIPRRVLGSLYPHQRDGLAWLWALHCTATGGILADDMGLGKTIQEGGILLTSYHIVRNNYMLLRGNGNGNNVDNNEEEPLWDYVILDEGHIVKNTKTQRAQSLFQIPSAHRIVLTGTPIQNKLKRRLYEAFLNKDPVRSQTGALKGSSLEASTILRKICDHPLLLTKRDTDDFLEEMGAMLNNRDMCMVERILEDNLYADKRLQIVQGASCKIAFILPLLRNLVEEGHYVLIFSQTRVMLNLIQDAVSIEGHKFLRIDGTTKISERKKILKDFQEGLDSPILLLTSHVGGLGNTLTKADRVIVVDPAWNPSIDNQSVDHAYRIGQTKDVIVYRLVTCGTIEEKIYKQQIFKGGLFRTATECKEQPQFYNQDLYLQNEQEYSSLPPHGFDASLTQHKIQVENGQQLVMDESLKKHIQFLEQQGIAGVNRHGVLFCKTETTATLGDDGAINRKVRDIMVRRCYAPWEHICRDVEKKSLIDQVKEMSKKMDGLGDTMGRIVALEEEYAAELIGMLHENRWERSHLEKIRMQIDDLHEEHMAKFDEMLERIKRMELADEGELIAKFGEMVERMRQRCDMDRLSLPLLSSTLVA >OGLUM04G30700.1 pep chromosome:ALNU02000000:4:32757837:32761794:1 gene:OGLUM04G30700 transcript:OGLUM04G30700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylcysteine lyase [Source:Projected from Arabidopsis thaliana (AT5G63910) TAIR;Acc:AT5G63910] MSMPMPILLLLLLLLPQGQGHGGAGDICIVGSGISGSSTAFFLTNYTTALSGAQLRVFERRAKVGGRLATVTVSGDHFEAGGSIIHPRNLHVRRFADLLGLEAKTDGDDDWLGIWDGHRFVFQTLRPLPPGTSWLRRKLHTLVNSLRLFKRYGLSLLKMDRFVQEMLQRFMLYYNGFESRPVFDTVEEMLKWSDLYGLTRRTLEAELLDAGLNSQTISELVTVITRINYGQSVSISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLEAANATLHLQEGIDSIEYAGDHYILKSNKGLEYNCVATVVATPLDEVNITFSPPISIPARKTQHTHATFVRGILNPKFFGLSSVSDIPKLIGTMEVPEIPFSSISILKKYSEQDMTYKVFSRVKLNESLLDQIFSGRKETIRINWPAYPHYEAPEVFAPIILDGKQLYYVNTFESAASAMETGAVAAENVARLLIARLRLPLPSRPAAPAPDHHDQRADL >OGLUM04G30710.1 pep chromosome:ALNU02000000:4:32762129:32764403:1 gene:OGLUM04G30710 transcript:OGLUM04G30710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPPRLLRGLIPQLLSVDAWLPCTCSSRLQLLLSHFHCRLHLRWPSCADAFKLLLALLLVSAALAEVRYIASSSMAPTLRPADRAVAERNYGVNKDVVFIKRILATPGDFIEVRQGQLIINGVARKEHYTASHASYTMEAMDPVLKPCMILQRLPEGHVFVMGDNRNNSCDSRAW >OGLUM04G30720.1 pep chromosome:ALNU02000000:4:32765439:32768709:-1 gene:OGLUM04G30720 transcript:OGLUM04G30720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZSW1] MEMEARGGGGRWYAGGMSTADNIKGLLLALSSSLFIGASFIIKKKGLKKAASSSSSSALRAVVVGEVANFAAYAFAPAILVTPLGALSIIIRHVFHLRAILAHFMLREKLHIFGILGCILCVVGSTTIVLHAPAELVLAMVAILVCRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFCGTNQLIYPQTWAFTLALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEACGFVTILSGTFLLHKTKDMADGLSTSSSFRLPTSSSFRFSKQTDEECEGIPLRSSESFRSPP >OGLUM04G30730.1 pep chromosome:ALNU02000000:4:32769180:32769810:-1 gene:OGLUM04G30730 transcript:OGLUM04G30730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARRVLEHPTPTDASSAAALPSGFYDAFVLRGIRVEAAEPGRLLCRFTVPSRLLNSGGFLHGGATASLIHLVASAVFHTTGNSSSSSSSTSPLEMNISYLDAAFPDEEIEIEAKVLRAGKAVGVALVDLKKKSGKLIAQARYSNYLAPSSKL >OGLUM04G30740.1 pep chromosome:ALNU02000000:4:32770099:32773336:1 gene:OGLUM04G30740 transcript:OGLUM04G30740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGSVFGEAKPPVAMRMRPILFHAHAYTNDVSQLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGEVNLLFTHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFKTTQDHASREQERASKLMETLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPGRECEELCCKILRNMSKNKSTVEPEKIFFLPAPALASSPQGEGMNNVRPSMVSFQDSLFLCDMMFTLVLLNVHGAAQRQMF >OGLUM04G30740.2 pep chromosome:ALNU02000000:4:32770099:32773409:1 gene:OGLUM04G30740 transcript:OGLUM04G30740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGSVFGEAKPPVAMRMRPILFHAHAYTNDVSQLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGEVNLLFTHNGLDRVHLVATKAKGLPRITISLNTLTHSALNDVIANFSLSLYAAFKTTQDHASREQERASKLMETLSSEKEKNEIMQKQLEALSFLDKRKATKPKLVANQVPSVSDVTQGSDQVIVPAQQQTPVVSPIKVPPAKATKRIAPSRQLNLKRSSFSQHQH >OGLUM04G30750.1 pep chromosome:ALNU02000000:4:32774527:32776419:-1 gene:OGLUM04G30750 transcript:OGLUM04G30750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT2G13610) TAIR;Acc:AT2G13610] MEKGGCEVEARGINHHIRIPIPIPKRAHPLMMMKIWSREEDEDEQQPPTTTTTRHVLRNVSCRARPGELLAIVGPSGAGKSTLLEILAGRLHPSPLPDQLLLNGAPATTADLRRVSAYVTQRDVLFPLLTVRETLRFSARLRLGARNDIDARVDALIHDLTLARVADTRVKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALHIVGALRSMADTRRRTVLLSIHQPGARIVKMFDSVLLLAGGCALHHGTVDALRSLLASAGLALPPHVDTVEFSIDSVDALRRRSAAEARDRCTLQQLFQLHKEDVEMEMEMEMEIEKKSRYANSWAREVGVLAQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGVYRVSSYAVANAVVFLPFQLALAVVFAAPVYWMAGLRRTAAAFGYFVVLVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPSCWVFMHYLSLFKWPFEALLVNEFAGGGRCVARVMGACVATGDEVLRREGLGDECRWRNVGVMLGFVAAYRLLGYAVLRARCTLALRPRPSRPTRGLMSTGSSPSSTSPS >OGLUM04G30760.1 pep chromosome:ALNU02000000:4:32779423:32782570:-1 gene:OGLUM04G30760 transcript:OGLUM04G30760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPASE HOMOLOG B18 [Source:Projected from Arabidopsis thaliana (AT1G43890) TAIR;Acc:AT1G43890] MDSSSSSSSTQAQSQPDFDYLFKLLLIGDSGVGKSSLLLRFTSDSFEDLSPTIGVDFKVKMVNTGGKKLKLAIWDTAGQERFRTLTSSYYRGAQVQIDFSVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >OGLUM04G30770.1 pep chromosome:ALNU02000000:4:32784419:32790785:-1 gene:OGLUM04G30770 transcript:OGLUM04G30770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPKGPWGREIWGGGGGRATATGAACLSPLRAASFQFHLAASPRQHTSVLRPIPLSSPLNLSSLPCRTASASAPPSPLRGGAAFPSLADRHHQLLRPSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >OGLUM04G30770.2 pep chromosome:ALNU02000000:4:32784419:32790785:-1 gene:OGLUM04G30770 transcript:OGLUM04G30770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPHHHLLSAAAPHFLPSPTATTSYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >OGLUM04G30770.3 pep chromosome:ALNU02000000:4:32784419:32790785:-1 gene:OGLUM04G30770 transcript:OGLUM04G30770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHQPLCTRAHQAAALLLVAATFFLTRLLDRSPLSAPPPPCAAPYSPWPHRDLRIYVYAEDEVDGLRALLRGRNDDVTAATCIKGQWGTQVKIHQLLLSSRFRTFDKDEADLFFVPTYVKCVRMTGKLNDKEINQTYVKVVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGISAFNTWKDIIIPGNVDDSMVKSDRLAVKPIPLTKRKYLANFLGRAQGKVGRLQLVKLAKQYPDKLESPELKLSGPDKLGRIDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEVELPFQNVIDYTEVSIKWPASKIGPGLLEYLESIPDGRVEEMIGRGREIRCLWVYAADTERCSAMSAIMWELQRKVRRFHQSAETFWLHNRSIVNRDLVEFHEWRTPYRQRSILLSMAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAVSELDLYKFAPWDLPEKSSLQSKDREWYFFCPRDRKYSSGSRTNRSTEAGYWKATGKDRPVIYNSQTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDKELSASGVKLDACVLCKIFQKSGPGPKIGEQYGAPFNEDDWNEANGELSSFAFSVPPCALESSNGRLNTAGQQLAVSDNIGSSLDHCSETNDKIAVGGCGTTSPSVPFDTIHTQQLAEIISCFSTDLLNSVSRDGSLPDSTADYDNDNEVSSDDGEAIFNELDELDSQSDESISNHCNSCGEDLIPPTLEVLKTEQYLELNDLSFSLADDPDPCNLLLTTNLSDQNHPELETSSNRKALRL >OGLUM04G30780.1 pep chromosome:ALNU02000000:4:32824590:32825168:-1 gene:OGLUM04G30780 transcript:OGLUM04G30780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGCCLLLVLLIAAGGRAAANSAAAVATTNKKPQQLVQSTCNSTTYYDVCVAALAADPSISTAADVRGLCAIAVSAAATNASAAGASLLASAAAYQSQPQAPLLRACAARYADARQALISAQEAIKEEAYDYAFVHVSAAAQYPTMCRALFRRASSQQRAYPSDLAKREEGLRRLCTVVLDIISLLLLPY >OGLUM04G30790.1 pep chromosome:ALNU02000000:4:32825841:32827338:1 gene:OGLUM04G30790 transcript:OGLUM04G30790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSKQFHGANLHKSARPPSSTSNHSDSLRHLATYDSTKGQEEEEPEEAADSTAATPLALPDQVLIVTDKEEAPGVDANAAPEPKPKLSLVAHVPNGVDWEHVAAGWPRWLTQIGEGTYSSVYKARDLENGKVVALKKVRFANMDPESVRFMAREIHVLRRLDHPHVVKLEGLVTSHMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVFFSRLVLVSMLFHLHSPHVIHLSCFFQGANLLLDNNGTLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGRPIMPGRTEVLLGPE >OGLUM04G30800.1 pep chromosome:ALNU02000000:4:32830190:32833409:-1 gene:OGLUM04G30800 transcript:OGLUM04G30800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGRSHEVGSLGVGAGYDLGLLFVRRREERTTEERALLGLTYELDYKGNICGSRHGDPDLHELDVRYWMNPNQVYQSGVKDNKINLADAKAICLMECPIPAADGLNFVCDYPEGDIRLSIDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGINIDENILIDKTIHKAINSKSSVLKRYVADIGKSWPVLIVCGGLLPLFLSVIWLLLIRYFVAAMPWITVVLFNALVISVTMFFYIKAGWLGNDPLTVVIGESDPYVHITGREISHLHAATVVMTVVMIIAFLTSIAIIRRILIATPVLKVAAKVIGEVQALIIFPVVPYFILAIFYMFWFSATLHLFSSGQVLRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHIGIAILFHLFGCYWATQFVIACSSTVIAGSVASYYWARGEISHDIPFVTVVSSMKRLLRYSLGSVAIGSLVVSAVEWVRFILECLRRKLKLVDSARESCFGKMTSSSSQCCLGCIDWTLKSVNRNAYI >OGLUM04G30820.1 pep chromosome:ALNU02000000:4:32856958:32857810:-1 gene:OGLUM04G30820 transcript:OGLUM04G30820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFPAGPVPWAGGIFHPRVHRGRGPAFGRGGDGGTFHPRPPSPLPSSPVSPPASSLTSPVPSTALAQGHGGAQGAAAAHRAAGRGGEARQARARRGDGRGRRGCSTQARWRPAIHVSNTPKYSRRFTGERYYSLRVPAGVGSGWDFSPASMVGAGAG >OGLUM04G30830.1 pep chromosome:ALNU02000000:4:32858300:32862447:-1 gene:OGLUM04G30830 transcript:OGLUM04G30830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKSNTDRARKALEAMKQLGFSKKEATPVLKNLLRLFGNNWEPIEDECYRALADAILDRHQETAADRGCSATRPTPDDDHHPLTLCGASRDVDTETDEPRTKKPRATNSAPQSPPSLTDDQDVPAAISPPSHGASPQFRPQTRASARLRQASPSSVTAAHKRPRQMMDEDFQDSAFLREPKPEPDIDMDAIQGTAATSDCPNAHLGLIDYPLNASSSRVALPLALLPPDQNVPQISGPKKRAIQPCSKVNTGEGSSVIDVASSTMGEVKMSLKCSVDPKFRMPSLEAVFKMVEDKYLHSYKILPPEFSIGSLMNEICQCVVQLGSDHIAEHNTESDVAGNGRCSQNEPMTGSIPFVKPIACEDGGNRKCKSAGESFIVEDSENSSVAKQQTHLALANLKPIHDVTDISKGEERVRISVANEFASEKCPPSFYYIRGNLVFQNAYVNISVARIGDEDCCADCFGNCLSAPIPCACTRETGGEYVYTPEGLVRTPFLDECVSMNRFPEKCHKFFCKSSCPLERSRNEASPEPCRGHLARKFIKECWSKCGCNMQCGNRVVQRGITCNLQVFFTGEGKGWGLRTLDELPKGAFVCEYVGEVLTSTELHERTLQNMNNGRHTYPVLLDADWGSEGVLKDEEALSLDSTFYGNVGRFINHRCYDANLVEIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFGDGKDPVKAFQCLCGSRYCRGIRHPRKRGKAAAK >OGLUM04G30840.1 pep chromosome:ALNU02000000:4:32864615:32868641:-1 gene:OGLUM04G30840 transcript:OGLUM04G30840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT2G01720) TAIR;Acc:AT2G01720] MAPSLSTAVSSLLLLLLLAAAISVSSSPPMPEDSIRVISAEKRIDLTSPIVKVFLTLKLENDATAPEASQVLLAFTPTEVEHLAIVKATRAEGKRKKKIYVPLSVKASDLAVAPNGARLYSILLSTPLKPAEVTTLEVFYALTHSLEPFPAEITQSDPQLVYYRDSAVLLSPYHVLEQVTYIKMPSNRVESFTRVDPTSRAGNEVKYGAYNNQLPNSYVPILVHYENNRPFAVVEELVRKVEISHWGNVQITEQYKLKHGGAQHKGVFSSSLLYGYSFETFLARLEYQSRPSISGVSSFKNLLARFPPRVHSVYYRDEIGNISSSHLRSDSHKSELEIEPRYPLFGGWHCTFTIGYGLPLQDFLFESDDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSTSPQAVVPFLTEQYLETSYSYLDVVGRTTVVLKKRNVVGEHNVPFQVYYEFNPIFMLAEPLMLISAVFLFFVACIAYLHMDLSIGKS >OGLUM04G30850.1 pep chromosome:ALNU02000000:4:32869339:32872774:1 gene:OGLUM04G30850 transcript:OGLUM04G30850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLEGEGPPLEEKQPRWGICDQRGGIRRPARLEAVAQRGAVDETSIELLHASLCLSLLDMAAASSVEPPSVGSNGEWRRARGRRFQPVK >OGLUM04G30860.1 pep chromosome:ALNU02000000:4:32873607:32874103:1 gene:OGLUM04G30860 transcript:OGLUM04G30860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGPGPLGGWDFSPAGAPGSGPRIWPGRGRGNISPAASHHLPSPPLQSPLQRPASHLPSPPRLQLRATAVHRARRRRIGRQGAAGRRDXFK >OGLUM04G30870.1 pep chromosome:ALNU02000000:4:32877511:32877998:-1 gene:OGLUM04G30870 transcript:OGLUM04G30870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGAAPVGNAASGEKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTPGYAYSTANKNMAVVWEEGTLYDYLLNPKKYIPGTKMVFPGLKKPQERTDLIAYLKESTA >OGLUM04G30880.1 pep chromosome:ALNU02000000:4:32879112:32879309:1 gene:OGLUM04G30880 transcript:OGLUM04G30880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPLRRSLDLPFAVVCALLFAAVRATGSGGHEPEAAGSGDVQLVAAGSIGILVEHHITHPTV >OGLUM04G30890.1 pep chromosome:ALNU02000000:4:32879644:32879907:-1 gene:OGLUM04G30890 transcript:OGLUM04G30890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETALGRVGAMGRGARWGGDECARASIGSAAVPICATARASVDWRLLGIGGDLAEVNRRRRDGRGEMRASCVGAMESVANRRH >OGLUM04G30900.1 pep chromosome:ALNU02000000:4:32880191:32880734:-1 gene:OGLUM04G30900 transcript:OGLUM04G30900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTMRMRPVLFHAHAHAYTDDVSLLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGSSCSDFLDYLKSCLSSREVNFIFPHNGKGSTSHHHFPQHPYSLRGE >OGLUM04G30910.1 pep chromosome:ALNU02000000:4:32880874:32881959:1 gene:OGLUM04G30910 transcript:OGLUM04G30910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEQTHDITAHSTTATKKKQNKKNREPRPSSATKKQNNPRSASHAPRRPRSSPSAASSTAEAPPPSRRRCDPRHPRPPTREEDVTPRHHRLLAAVAATTRLPSNRDNSQRGIYAHRLRRFLAVVLLRPLSHHHQEGDAAAFADSCCHIKRSLIAVRSGTPPRCGQPTLDWKRRRRFKLRLHRPAPTRKDLEAHSLQLSILHHPGRGTRI >OGLUM04G30910.2 pep chromosome:ALNU02000000:4:32880874:32881959:1 gene:OGLUM04G30910 transcript:OGLUM04G30910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEQTHDITAHSTTATKKKQNKKNREPRPSSATKKQNNPRSASHAPRRPRSSPSAASSTAEAPPPSRRRCDPRHPRPPTREEDVTPRHHRLLAAVAATTRLPSNRDNSQRGIYAHRLRRFLAVVLLRPLSHHHQEGDAAAFADSCCHIKRSLIAVRSGTPPRCGQPTLDWKRRRRFKLRLHRPAPTSFTVIRKDLEAHSLQLSILHHPGRGTRI >OGLUM04G30920.1 pep chromosome:ALNU02000000:4:32882224:32882601:1 gene:OGLUM04G30920 transcript:OGLUM04G30920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMDAFGSVFGEAKPPVTIRMRPVLFHAHAHAHTDDVSQLRLLATDLHSLAWDRSLSLSDIDDLRDDVGIGGSCSDFLDYLKSCLSSGE >OGLUM04G30930.1 pep chromosome:ALNU02000000:4:32883947:32884177:-1 gene:OGLUM04G30930 transcript:OGLUM04G30930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVATGDEVLRREGLGDECRWRNVGVMLGFVAAYRLLGYTVLRARCTLALRPRPSRPTRGLMSTGSSPSSTSSS >OGLUM05G00010.1 pep chromosome:ALNU02000000:5:10667:11068:-1 gene:OGLUM05G00010 transcript:OGLUM05G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHDVDRQLRYSARRSFTRAGRRTPARDDDGGAPPFPGYMASTASAKAKFWSMSTYAQGALERSSVGAADTYSEQCFPFTDRLLPPIPSMSPIPSIASDIVFARSSRPAVAQRSPRVKGPMTMTRSRSGGR >OGLUM05G00020.1 pep chromosome:ALNU02000000:5:11209:12051:-1 gene:OGLUM05G00020 transcript:OGLUM05G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSEKRRPLTPTGQAQDRDGEDGADPVVCGARRGRRRGAGRWRSATEAANDLCHYKYVNSKKYHYYSRYRPCATGI >OGLUM05G00030.1 pep chromosome:ALNU02000000:5:11492:44543:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.10 pep chromosome:ALNU02000000:5:40997:44543:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.2 pep chromosome:ALNU02000000:5:11492:44543:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.3 pep chromosome:ALNU02000000:5:11492:44420:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGADMQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.4 pep chromosome:ALNU02000000:5:11492:45070:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLTNNIVDMQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.5 pep chromosome:ALNU02000000:5:11492:44420:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGLQQVLYLHPPFPLYPEYEYHPPPQPQIPYQPAYATAKERENARSRDRRAQRAWWHANLTLLETKKKILEGKRIDLERGLRSEMRKALESQSDLGADMQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.6 pep chromosome:ALNU02000000:5:11492:44543:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.7 pep chromosome:ALNU02000000:5:11492:44543:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.8 pep chromosome:ALNU02000000:5:11492:45070:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.8 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLTNNIVDMQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00030.9 pep chromosome:ALNU02000000:5:11492:45070:1 gene:OGLUM05G00030 transcript:OGLUM05G00030.9 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLTNNIVDMQYQHDGVGSAVVGHDVDGALKVDRGHRRRLRRATHPDAAVSRERRAGRTPTPAPTPPPDLTSPPGKGEKGVEGSGRRLRCRREGKEKRGGVHATSRARAADNEGEYGAAPVGLYRSVASAYGGHPPPSRRLLRSPDPTAALDLAAGVATALDREGRAAAATSLPPPDRAAAASTLQAASALHLR >OGLUM05G00040.1 pep chromosome:ALNU02000000:5:12768:40159:-1 gene:OGLUM05G00040 transcript:OGLUM05G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMLTKIPSPALLSSPDGDRRPSPILVFCSATTRLGGARSGGDKGPVWHSSGGARRVWGGWVGGGGRRARRRRRKAHEQFRGLREVGVDTMKKSEDARSGLLLAGSSDLSDKTVSQRVGQVLISPTSGVGEPSNTFCEFADAEKSAIINALSGVLSTKRGLYCDRRNARSYTAAHTSAGGQRRAEVNPQQIDKSKAAAATYQQQQQQHREDKEQAVRGEEIVENKISKETLQSSAIDQ >OGLUM05G00050.1 pep chromosome:ALNU02000000:5:45479:53287:-1 gene:OGLUM05G00050 transcript:OGLUM05G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAPTASQHLKRPNLARSDPSPMPAPAPAPSQQGQGPSQNQKLTTNDALLYLKAVKDKFQDKRDKYDEFLEVMRDFKSGRIDTAGVIIRVKTLFNGHHELILGFNAFLPKGFAIKLQDLEKKPVDFMEAINFVNKIKARFQQEDHVYKSFLGILNMYRLHNKSIQDVYGEVAALFRDYPDLLEEFKHFLPDTSTAPEPVTVPRGVSSRHDDRGPLMPSARNAQIIKRERAYPSTVDRDFSIDRPDPEDDPHRRRVDKGRDGKADRSRKDYETDVKDVEYDSKDLDGGQHKRKLARKMDGALADTQQGGVSTSTSPYDDKDALKSAYTKEFRFCEKVKEKLEPEAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPDLMNGFNDFLEQCENIGQTSQIVKIVEKGSALNSKEGATHKATTFSSKDKYNLCKPISELDLSNCQRCTPSYRLLPKNYPMPPASCRTDLGASVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVIVAIKRVEELIEKMQDNSIKPDSPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKALLAAIKEVNEKKRKEDDMLLTIASGNRRPIVPNMSFEYVDPEIHEDLYQIIKYSCGEVCSSSDQVDKVMKIWATFLEPILGVHPRGHGVEDEKHKSRSTKAGPANVEINNASTNGTVTVKHAHSDEIVPKEQASCSRAILVGGVAADAQNSLQDAERTVCRDEERPKTMLDRRLQNTTPAVDVVPAVYAQTISTERSVESSHLSRPEQNHSRANMEVKPGINACSATPAGGEVVSEAKGGNEAIMGSGEIRIPGSFNSKDNKHCPINEYCGSHNHSKVEREEGELSPNGDVGENFGPFDGVSVDGVSKAKEDSTRRLLQGRPMDETEFAGENDVDADDGEESAQMMEDSENASEAGEDASGSESGDGEECSREDHEDEDDMDQDDPDAKAESEGEAAENTEAQDADAGISLPFSERSHNAVKPLAKHVPRALNDHEEKFSCIFYGNDSFYVLFRLHQILYERILSAKTNSSSAEKKWKASKDTNLPDQYSKFMSALYNLLDGSSDNTKFEDDCRSIIGTQSYVLFTLDKLIYKVLQAIASDEMDNKLLQLYIYEKSRSPGRFFDLVYHENARVLLHDESIYRFERRSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLYNEYLSSISNTKLYDDIFLGRNKRKRGGNDDSQASLKAIDAFMVTNGLECKISCKSSKISYVLDTEDFLFHIRKRRVSSSGTIPEKADFVKAYAVKPLYQIRDADVLRKLPCEP >OGLUM05G00060.1 pep chromosome:ALNU02000000:5:63586:68668:1 gene:OGLUM05G00060 transcript:OGLUM05G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZT00] MMATGGGDGRRRGRRRSKMRLSRLYSFACGRRPTAVDDESSSRIGGPGFTRVVNANGGGGIPEYGYRSNSVSTTKYNVVTFVPKSLLEQFRRVANIYFLISACLAYTNLAPYTSASAVAPLVLVLLATMVKEAIEDWRRKQQDTEVNNRKTKVLQDGAFHSTKWMNLQVGDIVKVEKDEFFPADLILLSSSYEDAICYVETMNLDGETNLKLKQSLEASSGLQEDDSFNSFRAVIRCEDPNPHLYSFVGNIEIEEQQYPLSPQQILLRDSKLRNTEYVYGVVIFTGHDTKVMQNAMKAPSKRSKIERKMDRIIYLLLSALVLISVIGSVFFGIATRDDLQDGRPKRWYLRPDDSTIYFKPTKAAISAILHFFTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGTAYGRGITEVERAMAKRKGSPLIADMASNTQGSQAAIKGFNFTDERVMNGNWVSQPHSGVIQMFFRLLAVCHTCIPEVDEESGTISYEAESPDEAAFVVAARELGFTFYQRTQTGVFLHELDPSSGKQVDRSYKLLHVLEFNSARKRMSVIVRNEEGKIFLFSKGADSVMFERLSSSDCAYREVTQDHINEYADAGLRTLVLAYRQLDEAEYANFDRKFTAAKNSVSADRDEMIEEAADLLERKLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQITITLEQPDIIALEKGGGDKAAVAKASKENVVKQINEGKKRIDGSVVGEAFALIIDGKSLTYALEEDAKGALMDLAVGCKSVICCRSSPKQKALVTRLVKESTGKVSLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISAMICYFFYKNITFGVTLFLYEAYTSFSGQTFYNDWALSTYNVFFTSLPVIATGVFDQDVSARFCLRYPMLYQEGPQNLLFRWSRLLGWMAYGVASGVIIFFLTSAALQHQAFRRGGEVVDLAILSGTAYTCVVWAVNAQMTVTANYFTLVQHACIWGSVALWYVFLLAYGAITPAFSTNYFMLFTDGLAAAPSYWVVTLLVPAAALLPYFTYSAAKTRFFPDYHNKIQWLQHRGSNADDPEFGHALRQFSVRSTGVGVSARRDARDLHLPPSQSHSHSQTTST >OGLUM05G00070.1 pep chromosome:ALNU02000000:5:69083:72616:-1 gene:OGLUM05G00070 transcript:OGLUM05G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAALPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAAAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQRIGWRIECSRNV >OGLUM05G00070.2 pep chromosome:ALNU02000000:5:69318:72616:-1 gene:OGLUM05G00070 transcript:OGLUM05G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKSAAASPSSSSSPSVALGLAATTPTTSPLPLAPAAAASSSNPNATPADTTPTSPPPASPPLPSATPPLAALPPPPPPPPPPRNSPSPPKPPSQAAQSPLPPTTTTTTPPTAPVPAAAPPPTAPSPYSAPSPTPTPTHTQPRPSPPLLPTPATAADPANPNKARHPSSNKSSSPAAPRRTNSSSPPNLAIAVGAVLAILVLSLLGAAIWYTTKKKKKQRRRDNGYRAGFMSPTSPLSSHHPSSGSGASANVGSSLDPSFKTNYSAGSPKLKACMSDISMGNSRFFTYQELYQITDAFSAHNLLGEGGFGSVYKGHLPDGKQVAVKQLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNNQRLLVYDFVPNNTLHYHLHGHGRPVLDWSARVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAHVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLTQAIETGNLEELVDPRLERNFNEAEMFRMIEAAAACVRYSASRRPRMSQVVRALDSLADIDLTNGVQPGQSELFNVANTAEVRMFQRMVLGNHDDSSDMSQYGWSSSRQ >OGLUM05G00080.1 pep chromosome:ALNU02000000:5:74399:76409:-1 gene:OGLUM05G00080 transcript:OGLUM05G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding, OB-fold-like protein [Source:Projected from Arabidopsis thaliana (AT1G10590) TAIR;Acc:AT1G10590] MPAGERGGPRARGRKRRGPRQTEGDGYSLRCCGLTDIDRDKIPHSTHLALSAPPAISPSPSSSSSPAASLHPGSPMADSGARRQPSFTKVDQLRPGTHGHNLLLKVVDSKMVLQRGGGPQGRHMRIAECLVGDETGIIVFTARNDQVDVMKAGASVDLRNAKIDMFKGSMRLAVDKWGIVKAAESPADFTVKEDNNMSLIEFELVTVVE >OGLUM05G00090.1 pep chromosome:ALNU02000000:5:78547:86319:-1 gene:OGLUM05G00090 transcript:OGLUM05G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADELGRRLAAVAVSDSDSANNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTRELDRFRSEATKPTSLADTFSTSPHGTSTCFGTTSSSLNSRTALADQHNGLFHHTDQMLHDAIKLKYLDTDQSNGMPRKLSGEQSAPESRVPSHLSTPSSRSLSPTRHRKEGEYDPKFNLPGQCLLPASEANSTIMWKQDLLAKVKEHEEEIAQLRRHLADYSVKEAQILNEKHVLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVSSLLPLLTEYNLQPSVLDAQSIVSNLKVLFKHLQEKLAITEEKLKESQYQLTPWRAESSNSTNIPVQSPSHPPGNAIVTTSKANLDIVPQQAYSHVQSPMSSPVRARRDWDLLGNENHQAIPSEVTAVNTEHENVGTTSPSSSNQIKKDVVAQGTEHDSRAVRFNFESKNQNPSFKDLVRNDVPENLEGAETHISQEPPAQWGPEGSPNLASGVDDANPPYPYLPTVLEEPSSSFSEAADDDPLPAIEGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPSYLVTADDVDSLLAIEVQPLDDRKRKGEIVKVYANEQKKITCDPETKELIKKILSIGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVITEKFQQATAINIPYGRPTEFSILAADGAEYNLKPAENAPSRDTIVLILRLFRMKAVEKSKGRRKGIFFK >OGLUM05G00100.1 pep chromosome:ALNU02000000:5:89486:89986:-1 gene:OGLUM05G00100 transcript:OGLUM05G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLEQVARAVADAVVRMTAEVSASSLAAVVVVLLVASGYLEIKRRCGALPAAADRAAAPPQDDDTMSMMTREEAAAQEDSNCSASALAQCNSICCSLSASTFRSGGSRNDDDNHSDVSTSESDDSSSSDSAISSPAADLRRSPSLSDWLAPSSPLATSSSSADL >OGLUM05G00110.1 pep chromosome:ALNU02000000:5:93710:98092:1 gene:OGLUM05G00110 transcript:OGLUM05G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDPNPTTTPPSQQLQGADPQPQSQQDAAANATPAAVAVREDYVQNAVKFLSHPKVRGSPVLYRRSFLEKKGLTKDEIDEAFRRVPDPQPSATATAAASPSPSQHPNNQNHSSTVVQPYAPRQPATPAGSIIVATQPKFSWYRAFVAAGLLLGFGVSAAVFVKKLLLPRLKSWIRKVVAEGDENEGRQIKSKIDEETAEAVKASASAVSAIAKTNQELLASKDEEKKILVTLTQALDSQAKELKSLCESLNHSRDSINITREDRFSQYRALEEHAPSAARNGPVNTPWRASQQTNMYGVPNSDFGSGRPSFASAHNEATPGSFSRSYVETSAAHRGDNRSSGSKPWEMQQYSQQRIGYGSNSQLSDDGCPETQDNYGGGPSYSYHQNQNQNGKAPAPDIQAEEARPSVYISGAEERSPPPPPQRRWVPPQPPGVVMPEAVAAIRQPKSLAKQPSSEASQEAAGETHANGASSSSPLPEEALVNGSDAGRSEIEEQAEAI >OGLUM05G00120.1 pep chromosome:ALNU02000000:5:98168:98895:-1 gene:OGLUM05G00120 transcript:OGLUM05G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNLEKRSRKRSRYLSPPYTYTLPFPFTTVTVQDDVSVSDSDQSEDLTNVAVADMLSALHAAALLDMDAANVHLLRRFFTLHKTTSPSSSSTRINAQAEFNPSSSRQKEEETTSKTKKKKKKEAAAAASTPTTTIRLPLTDVRNNLQKMISSLLGRSPTATATASHDHGAKLALAGEMRGLLAKVDKMLSATTPANRH >OGLUM05G00130.1 pep chromosome:ALNU02000000:5:99780:101801:-1 gene:OGLUM05G00130 transcript:OGLUM05G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT2G33450) TAIR;Acc:AT2G33450] MATMLCSFTPATRAPLLRTSSSSSSLGFATSQLAGLSLGLSAAATTAPSAAGPKLHPILARRICPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEEGKRFVKLRLSTKALKTIEKHGLDAVAKKAGIDLNKK >OGLUM05G00140.1 pep chromosome:ALNU02000000:5:101629:101925:1 gene:OGLUM05G00140 transcript:OGLUM05G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSLGPAAEGAVVAAAERPRLRPASCEVAKPREEEEEEVRRSGALVAGVKEQSMVAIATGAWRKKKKKKRRIKSCAAAAWRTTCFSYPIIIVSLCTV >OGLUM05G00150.1 pep chromosome:ALNU02000000:5:103726:106002:-1 gene:OGLUM05G00150 transcript:OGLUM05G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 722, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G19630) TAIR;Acc:AT1G19630] MEYLASGAWWVVVLLLLVLTIVASWYRSWWKTTEAGGPLLPPPAAGAGPWWVWVWQWRETAAFLASHGSGRGFYHFVQERYKLYKGEGEGEATCCFRTALMGRVHVFVSASHPAASQLLTAEPPHLPKRYARTAADLLGPHSILCSTSHAHHRHARRALATTLFATPSTAAFAAAFDRLVIRHWTTLLPPHNQNQVVVVLDAALHISYRAICEMLLGAGGGKLRPLQSDLFAVTQAMLALPLRWLPGTRFRRGLHARKRIMAALREEMAARNHHHHHHHHDLLSVLMQRRQLGHPDALTEDQILDNMLTLIIAGQVTTATAITWMVKYLSDNRLIQDKLRAEAFRLELKGDYSLTMQHLNAMDYAYKVGSERVAEDGHYSFLVSKGGAQGLPGCRSLHYDPDVFDNPTVFDPSRFDEEGEGDDAKLGRAQQQKRRLLVFGAGGRTCLGMNHAKIMMLIFLHRLLTNFRWEMADDDPSLEKWAMFPRLKNGCPILLTPIHDS >OGLUM05G00160.1 pep chromosome:ALNU02000000:5:112646:113743:-1 gene:OGLUM05G00160 transcript:OGLUM05G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:jasmonic acid carboxyl methyltransferase [Source:Projected from Arabidopsis thaliana (AT1G19640) TAIR;Acc:AT1G19640] MELLAASQEAGGVVVVGMNSGDAGELSYANNSDMQRTIAAATRKERQEMAAAVRRGRRQARAIAIADLGCATGPNALLMAGDAVEAMLGDAERQQEAAPAEFHVFLNDLPSNDFNSVFRQKQKLVVPSNNANSSRCLVSAWPGSFYGRVFPADSLDYVVSSSSLHFLSRAPADAAPNEGRMYVSASSSSSSSSRVLHAYRAQFQADFRLFLSCRAEEVRCGGVLLLTFVARREAVPSPHDCHLWDLLAEAAADDRRLVDSFDAPFYGPCPEELREAIREEGSFQVTRMELFEVSRSRSCQSQADLDQLAAQTSSTIRAVVEPMLGPHFGWDAMDVLFRRYTHLLHNYYRHNNDQLTNVFLALHKI >OGLUM05G00170.1 pep chromosome:ALNU02000000:5:125120:136399:-1 gene:OGLUM05G00170 transcript:OGLUM05G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIHPYILASLTNKLTKIDDGSSNSASKQQTQPPCLPACLKEKVTSASSRQVRQLKSPDDPAPAWRRENSECVGAERRRDLGLGVGASKIRPFIISLNGNGGFKP >OGLUM05G00180.1 pep chromosome:ALNU02000000:5:136532:137290:1 gene:OGLUM05G00180 transcript:OGLUM05G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39810) TAIR;Acc:AT4G39810] MRRPEMVFFDVETTAASADEGQRSVLEFGAIVVCPRRLVEVDSYHTVIRPGDMSAVSKRFAAMVDVDVASAPSFDQVAERIFGVLDGRVWAGHNIQRFDCHRIREAFAAIGRAAPEPVAIVDSLNVLAHDFGRRAGDLKMATLASYFGIGKQSHRSLDDARMNLEVLKRCATLLLLESTLPPGMLHSSAAGAITRKRSNPQEEPSSKSLVNVTPSKRKQRQGSGGKIRPKAATTTPKPCFHMILRHSRTILR >OGLUM05G00190.1 pep chromosome:ALNU02000000:5:138261:140256:-1 gene:OGLUM05G00190 transcript:OGLUM05G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGARQNRTEVEMRDGVLHGLRTEAAWLVGDEMRWDGGSDVWGMVPRVIQTGGPTPNKCRGGGLSSPPKSQPNKQTATEKLFSIFLFLFPVRIRIAVAVVVCRRRWKRKRKTKKQQPAAGLCYTLHSESERHGTLLDQVQQWRRETNPMPDRRSRFWQMDSQPTELICPMPRRPSPRPPFLSDSINRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >OGLUM05G00190.2 pep chromosome:ALNU02000000:5:138261:140256:-1 gene:OGLUM05G00190 transcript:OGLUM05G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGARQNRTEVEMRDGVLHGLRTEAAWLVGDEMRWDGGSDVWGMVPRVIQTGGPTPNKCRGGGLSSPPKSQPNKQTATEKLFSIFLFLFPVRIRIAVAVVVCRRRWKRKRKTKKQQPAAGLCYTLHSESERHGTLLDQVQQWRRETSRPHARPKVKILADGFPTHRAHLSHASPPVTPPSFPIRLHQQNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >OGLUM05G00190.3 pep chromosome:ALNU02000000:5:138261:138807:-1 gene:OGLUM05G00190 transcript:OGLUM05G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITRTLPVYRADSASDVLDLILSKNDPDVDTDSSTQVGFFCGSPPVRANNPIVHDPQFGKNIPSFSPLGSSFGKKSAGRAEVGSPSCGASSPKVRIEGFACGNKEPHCAVTFA >OGLUM05G00190.4 pep chromosome:ALNU02000000:5:139085:140256:-1 gene:OGLUM05G00190 transcript:OGLUM05G00190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGARQNRTEVEMRDGVLHGLRTEAAWLVGDEMRWDGGSDVWGMVPRVIQTGGPTPNKCRGGGLSSPPKSQPNKQTATEKLFSIFLFLFPVRIRIAVAVVVCRRRWKRKRKTKKQQPAAGLCYTLHSESERHGTLLDQVQQWRRETSRPHARPKVKILADGFPTHRAHLSHASPPVTPPSFPIRLHQQVHPQTLIKPY >OGLUM05G00200.1 pep chromosome:ALNU02000000:5:143283:148313:1 gene:OGLUM05G00200 transcript:OGLUM05G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLPCDGDGVCMVCRVASPPEVDLLRCSTCATPWHSPCLSKPPALADAAQWSCPDCSGDSTDAPPPAPAPLAPGSAGQLVAAIRAIECDATLSDQEKARRRQELLGGAAPAGADADDDEGDDVLEVIGKNFSCAFCMKLPERPVTTPCGHNFCLKCFQKWIHSGKRTCGKCRAQIPAKMAEQPRINSALVSVIRMAKVSKNANSAVSAAAYHYIRNDDRPDKAFTTERAKRAGKANASSGQIFVTIPPDHFGPILAENDPKRSIGVLVGDTWEDRLECRQWGAHFPHVAGIAGQSTHGAQSVALSGGYVDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPEAGVRYDGVYRIEKCWRKISVQGKFKVCRYLFVRCDNEPAPWTSDIYGDRPRPLPKVDELKGATDISERKGTPSWDFDEKEGWKWVKPPPISRKPNLSGDPATDKEIRRVARRAQMSVAERLLKEFGCSICKQVMKEPLTTPCAHNFCKLCLVGTYGSQSSMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIESLQRKAVEEGDTKTSSDVSNGAESSGDDGNNEALEKGEDDSSLKDDGSLKDDGKVVKAVVVIKEEDLQPKKSKGEDEKEQGDKKMDSADVVDIAVEKKQATKRASEKAEKKQARKRKGDAVATNDGKRMKTGGDAMETAAEEDAPLSGETPVKRNSRKSSEVDAKGGGGSPVVSSPRRVTRSSAKASGEADGSPATRTRRATRAEA >OGLUM05G00210.1 pep chromosome:ALNU02000000:5:149150:154713:-1 gene:OGLUM05G00210 transcript:OGLUM05G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGGPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKDQDGSFKSQISSGHFINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRSALITHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >OGLUM05G00210.2 pep chromosome:ALNU02000000:5:149150:154713:-1 gene:OGLUM05G00210 transcript:OGLUM05G00210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGGPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKSREIVSGVLCQSTGTHTSIYDGIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKDQDGSFKSQISSGHFINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKVYFFFLSVKDCNCEYNFRTDFCNVHFSQNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRSALITHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >OGLUM05G00210.3 pep chromosome:ALNU02000000:5:149150:154713:-1 gene:OGLUM05G00210 transcript:OGLUM05G00210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASGAIDRRHLSPFTPTSDDSSSSFFSQDLVPTERQVGFWNSESMVDHKGSKSVFASPLEKIQPNGANHAGGPETPGGQAFKGLDILSLSNLMRQENASGSPSLSWGEILTNPISRLGLSTRETAFVEPTTADQHVPGYGKGLSSSSLSEVFSGKCIADGFEFTGMSTNQDDADEDIFCTGGGMELENNDSVKGDKDQDGSFKSQISSGHFINKQPSRTLVVRNITANIEDSDLTVLFQQYGDIRMLYTSFKHHGFVTVSYYDIRAAQNAMRALHSKPLGLMKLDVQFSFPKENVPGKDIDKGMLVVSNIDSSISNDDLLQMLSVYGDVKEISSSPISCTKKFVEFYDVRAAEEALHDLNKGGISGPKFKVELSQHGEAGSCLRQQHSREWKQDSLPHQPKNSSPGTIGKLGTKCQDNSTVHNLFSPVNQQLESPTQCISTTGPQILSSPIRIKSTLQHNNQASVGDLSGPLGQGNFGRGIQTLHPRSLPEHHNRICNNSKSMTVSGRNASSRQDGVDHNIQKVGPAGFCGHSFDQNNEAFGFTEIGSCPLHGYHYTWNHTNVFPQSPSAPILWSNLQHPMHVHSYPGVPPHMLNTGSYPMDQHHLGSAPDNGGSFGNVHSFHPGSLGSIGLHGSPQLYPSELSAFASSRGNFREAMFSPVGGGFQSLQQMCNAINGRNPMIHVSTSYDATNDRMRSRRHDGNPAQSENKRQFELDIDRIAKGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKTFNGKKWEKFNSEKVASLAYARIQGRSALITHFQNSSLMNEDKWCRPMLFHKDGPNAGDQEPFPVGNNVRSRAGRNRSLISLDTKDASPSSSPDQESNSVGTANSTCRTTLEQT >OGLUM05G00220.1 pep chromosome:ALNU02000000:5:165496:169049:1 gene:OGLUM05G00220 transcript:OGLUM05G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFGAKKNQEPPPSIQDATDRVSFCLSCFDSIFNSILFNSSTKQSNESNQTNPQISKRGDSVDDKIKKLDAELARYKDQIKKTRPGPAQEAIKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESNSVPSYLQPDKESDLDSELNLPAAPTGHTPAPPNRQQEDELGLPTVPQASIRS >OGLUM05G00230.1 pep chromosome:ALNU02000000:5:170180:173271:-1 gene:OGLUM05G00230 transcript:OGLUM05G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGAQDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQTNAAI >OGLUM05G00230.2 pep chromosome:ALNU02000000:5:170134:172395:-1 gene:OGLUM05G00230 transcript:OGLUM05G00230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGQLEHEHEQQLHQSSSSSLLPYCFLSAPEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQEWPRSSIT >OGLUM05G00230.3 pep chromosome:ALNU02000000:5:170097:172395:-1 gene:OGLUM05G00230 transcript:OGLUM05G00230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGQLEHEHEQQLHQSSSSSLLPYCFLSAPEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQVEMSNHCFFHYYLVTFVQTRNGHEAASHRGGDAESTSEVK >OGLUM05G00230.4 pep chromosome:ALNU02000000:5:170316:172395:-1 gene:OGLUM05G00230 transcript:OGLUM05G00230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCGQLEHEHEQQLHQSSSSSLLPYCFLSAPEPLPVPGFPAGCSNLEEKAAAAMAAYEYESSSCSSLDPTSMPMVYSPIVLQPQECPLSFVFDNAAAAAGDNKWVPGIQGSCPCSLGSTQDMDASWGKSRKHKRSNVGLKGLEEKKARRVVLHQHDDDVKKKAKEAAGGEPPAGYIHVRARRGQATDSHSLAERVRREKISERMKMLQSLVPGCDKVTGKALMLDEIISYVQSLQNQVEFLSMKLASLSPLMYEFGPGIDMHPDVLRQLAKMPHEMVQCMGQMGSTGISLQGLGGGPTGFAQDGSSHMNMVVMQVGEQGQQQGSLHQVEMSNHCFFH >OGLUM05G00240.1 pep chromosome:ALNU02000000:5:173526:175612:-1 gene:OGLUM05G00240 transcript:OGLUM05G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocon-associated protein beta (TRAPB) family protein [Source:Projected from Arabidopsis thaliana (AT5G14030) TAIR;Acc:AT5G14030] MAQQWRSLLFLLLLVPFAAAATGQDAPFVVAQKKVALSRPGPGVERLAVTLNLYNQGSATAYDVSLNDDSWPQEAFQLISGTTSKIVEKLDPGATASHNFILETKVQGKFQGSPAIITYRVPTKAALQEAYSTPMFPLDILAERPPQQKFELRLVGKYGSLVSVVSFVGVFIYLVASPSKSTAAKGSKKRR >OGLUM05G00250.1 pep chromosome:ALNU02000000:5:176586:179590:1 gene:OGLUM05G00250 transcript:OGLUM05G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 20-2 [Source:Projected from Arabidopsis thaliana (AT5G13120) TAIR;Acc:AT5G13120] MAAATSFATLAIARPTAERALLASKTPSPLLSIRTGTGTARLPSSAVFGGFTPALSAAHSRARFVSSATADPKEVDLQSKITNKVYFDISIGNPVGKNVGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVIEGMDIVKMIESQETDRGDRPKKKVVISECGELPVV >OGLUM05G00260.1 pep chromosome:ALNU02000000:5:180838:181056:1 gene:OGLUM05G00260 transcript:OGLUM05G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDWGPVLISWVLFILLSPGLLFQIPGKCRLIEFGKFQTSVVSILVHTILFFALDAIFLVAIGVQINLGSSP >OGLUM05G00270.1 pep chromosome:ALNU02000000:5:184333:184542:1 gene:OGLUM05G00270 transcript:OGLUM05G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVVIAVVLFVLLSPGLLIQLPGRHHFVEFGNLHTSAMSIVVHSIIYFALITLFVIVIGVHITTD >OGLUM05G00280.1 pep chromosome:ALNU02000000:5:185615:188142:-1 gene:OGLUM05G00280 transcript:OGLUM05G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRKKPNNNTDRQGRGRGKAVRLPNPNRDELGRGAIAVVAAAAAAAARARSPPLAPFLFLLRRPIDLDRSASVCNFLLWISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKLSSVTFQMKGQGTKRQAKV >OGLUM05G00280.2 pep chromosome:ALNU02000000:5:187800:188142:-1 gene:OGLUM05G00280 transcript:OGLUM05G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRRKKPNNNTDRQGRGRGKAVRLPNPNRDELGRGAIAVVAAAAAAAARARSPPLAPFLFLLRRPIDLDRSGFAIGIAVLPRIR >OGLUM05G00280.3 pep chromosome:ALNU02000000:5:185615:187327:-1 gene:OGLUM05G00280 transcript:OGLUM05G00280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKLSSVTFQMKGQGTKRQAKV >OGLUM05G00280.4 pep chromosome:ALNU02000000:5:185615:187327:-1 gene:OGLUM05G00280 transcript:OGLUM05G00280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYTIQISTKLIDQLARDDEKIKKKTRKPKPKKTVKQHQQEPQDNSRELPASEPKAPPGWPLQPPMYLPVTPAPPPPPPAFSELEAIRAVLEESEKVQEKLDKQHAGMRDELIKKSKDLRDKEFKLPYQNPTPCTEERSNCRQCYVSNAQDPLKCAEAVKRFEACVRLARQRGNTKMKGQGTKRQAKV >OGLUM05G00290.1 pep chromosome:ALNU02000000:5:188735:192235:-1 gene:OGLUM05G00290 transcript:OGLUM05G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQAFLEAARAGDLDSLKKLAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVKLLLQHDAKPNTENDDGITPLLSAVAAGSLPCLEILIQAGADPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPISGVSSWSIDGIIEYTKSVEEKAQVKEATTQKADRLQIPQLVEVSSEAKERSLEAKSRGDDTFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >OGLUM05G00290.2 pep chromosome:ALNU02000000:5:188735:192235:-1 gene:OGLUM05G00290 transcript:OGLUM05G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADATAALSARSKVQAFLEAARAGDLDSLKSRCPPMPSSLSLLCFPCVSSHLLSSCAIAELAAALDEEGKGVAAVAAAVKDANKRTALHFAAREGRTHVCHFLISDLALPVDPKDDDGETPLIHATRQAHLQTVTYLLHHGADPSVASSLGATPLHHAAGIGNLDLMKLFLSKGVDVESESDAGTPLIWAAGHGQQEAVKLLLQHDAKPNTENDDGITPLLSAVAAGSLPCLEILIQAGADPNIRAGGATPLHIAADGGNMEIINCLLKAGSDPNACDDDGLKPIQVAALRNNREVVELLLPLTSPISGVSSWSIDGIIEYTKSVEEKAQVKEATTQKADRLQIPQLVEVSSEAKERSLEAKSRGDDTFRNKDYLVAVDAYTQAIELNPNDATLHSNRSLCWLRAGQAERALEDARACRALRPDWAKACYREGAALRLLQRFEEAANAFYEGVQLEPENGELVSAFREAVEAGRKFHGTDKKQKADAST >OGLUM05G00300.1 pep chromosome:ALNU02000000:5:193123:196329:-1 gene:OGLUM05G00300 transcript:OGLUM05G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNVAILVGSGILGSVLVGGDAKLPSAGEVLSGAAKFVKKHGNEGKDTSSNTDTHTAQLLSQVNHLRQEIQSLGSRPVTVVTNAARSGPGTFTITAVVVAGAVGYACIKWKGWKLSDLMFVTKRGLSDACNVVGSQLDKVSDDVTSARKHLTGRIDRVDISLDETQEIIEGTRDEVTVIHGDLSAFQEDLQSVNLVVRSLESKLVSLEYTQVPAASVPPAIGSSERVVRRVSSLPQSTALPALPTTTPAAEPSPRAEVPQEEQWGFVSKTSSSREGLGRLQQQRSVVTRTSSMREGSPESSNGASSSTGASTGRNTSTGTNTSTGRFGGLRLPGLGFLASSTS >OGLUM05G00310.1 pep chromosome:ALNU02000000:5:198573:200431:-1 gene:OGLUM05G00310 transcript:OGLUM05G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDHDHHGNTLFSLALALSARAASPMRSCGEVHLIGPACSLAQPSKAHWPTGSGRNRTGEDEDLSLSLSYAWRRLPPPHSLLLRRRILTNPQQPSLPPRQSFCNNRCKLKMAGFGSLAPKTKNFVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEDMKKKDAGNSSTAGS >OGLUM05G00320.1 pep chromosome:ALNU02000000:5:204266:208718:1 gene:OGLUM05G00320 transcript:OGLUM05G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZT39] MAMAMAMPSSSPPLFFSLLNLMLLLLLLAPYCSAVSVPNNNTHHRSSSPTQTTLQQLHSPDSPPPPPLPTPPVTTPTPPPPPPAPRPPRRHHRIPPPPPPLLPTPPPPPASISPTPAPPLPPPPAPAPPPTPTPKFPSSSANPSPPDAYPFTNYPFFPNFAAPPPPTQQQQQQPSGDGGLPTFPANISTLVHPTQRPPRRFPVLQALLLSFLSLCLLLLSALLSLHLFRRLRHRHHSHSHPNARSPSSRSGATNHHHDDDGDGDEEGRRLKPPPMPTSSSNPSTEFLYLGTLAAPPQQPPPTTSHLRPGSPELRPLPPLPRVGPPSGEFASRSSASDPSTAPPAAAEASSSSLSPSSPSASSPTLGSSPVHLRPPSIPQPRGRAPNPSPPKRRPQPPEPMAAHAWNPFVPMPPQAPPSEEEEEHSPSEKSMRKSRPLHSDKLKPGSLHMKDEMIHLYLNNSTMAAAMPREVCLLGAPRCHGIGMLVGALGISKEQVREAILEGNAHGLGVEALRMLMQMVLTNEEELKLKYFKDDLSTKLCPVEAFLKAVLDVPFAFKRMDAMLYVANFYLEVNQLRMSYATLEAACQELKNSRLFHKVLEAVLNFGNLMSIDTGSPNSHAMEPNTLLKIVDVKGADGKAALLQFVVHEIVKPEGHSPVCKTNANTTQQYDVEYRKHGLQVVSKLAAELSNTKKASSIDMMKLSRDVSELGVGLGKIHDVLRLNSMVTSADSARRFHNTMSMFLRQAEEEILKLQAQESICLSCVKEVTEYFHGELSSGDEGHMARVFGSVREFLAMLDRICKEAGEEMKSSGWMMGRDWNMAAPMGMTTP >OGLUM05G00330.1 pep chromosome:ALNU02000000:5:209784:217221:1 gene:OGLUM05G00330 transcript:OGLUM05G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 39 [Source:Projected from Arabidopsis thaliana (AT1G22860) TAIR;Acc:AT1G22860] MGSNTPPSRAALEPFATLDPAALAGLPASSPLTVRSAAISSPYLYLGTGGGKLLLFTLDSPSPDFLRLLPIGPTRPVSAILPLSAVARLLLLADGLLLLADPLLSRPVRRLGSVRNVAAVAARASESDDDRPSCSLAVSVGKKLLLVDLTLHDADELEVRTRDIALVDGVKALAWVGDSVFVGTASGYSLFSTTNAQGGDIFTLPESSRPPRVKPLSGGDEVMLLVDNVGVVVDRSGHPVGSSFVFNTTPDCIAEVYPYVVVAGDSKVDVYRRKNGAHLQAIPFARPGTGGLIVASEDAGIGSDVVVIATAYKVFCYRKVSAVEQIKALLRRKSYAEAISLLQEFEADGEISNDMISFVHAQFGFLLFFDLRFEDAVNHFLLSETMQPAEIFPFIMRDPNRWSDLVPRKRYWGLHAPPKPLEEVIDDGLVTLQQALFLKKAGVDTVVDDDFLSNPPSRADLLEVAIRNIIRYLCASREKDLSSSEMEGVDTLLMYLYRALNLVDDMEKLASSQNSCVVEELESLLDDSGHLRTLAFLYGSKGMCSKSLGIWRILARNYSTGLWKDHAILPETDSLETSVGKMSGEEIAAVEASKILQASSDQDLVLEHLGWVADIDQELATAILTSEMREKQLSPEKVVAAIDPEKVGIHQRYLQWLIEEQECDEPHYHTSYALSLSRSAMDAVRLGSNNEERNNKEFDSDMQFIYLLRERLQFFLQSSDLYDPEEVLDVISESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLDLYLDPKNGKGPMFTAAVRLLHNHGESLDPIQVLERLSADMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQGDSASPHGRNFRKGGIFKQSWLVSR >OGLUM05G00340.1 pep chromosome:ALNU02000000:5:217838:222535:1 gene:OGLUM05G00340 transcript:OGLUM05G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKLLLLLLLSVLLLSAASMAKDDDEQQQKVQCHEDDEAALLAIDDALGNPYNLASWTRNTSCCDWYDVDCDQDSGRVVSLSVFQDTNLTGAIPDAIANLTHLRTLLLHHLPSLSGPIPDSLAALTDLTHLTISWTAVSGPVPSFLANLTSLTMLDLSFNSLTGLIPPSLAALTNLSAINLSRNRLSGPIPPGLFSNLQLQDDDDEVYLRLSHNNLSGSVPADLLAAANLALVDLSRNALTGDASAVFRRARYVDLSRNGFVFNMSGVEFAEETYYVDVSHNAIRGGIPAQVANLTNLQTFNVSYNKMCGAVPAMPRFDAYCYQHNKCLCGAPLATACRRYYLINTISYKNKMRAMVVVLVLAAAGAAAATTKKKECNAGDKAALLAIKKALGDPYHFASWTPDNLCCEWYDVTCDDTTDRVVGLSVFQDANLTGTIPDAVAGLTHLRTLTWHHLPQISGPIPPAIAKLNRLSLLIISWTAVSGPVPSFLGGLKSLTLLDLSFNSLTGAIPPSLAALPFLSGIDISRNRLTGPLPPALFSKLNTTQQGGAYLRLSRNNLTGGIPAEYGGVAFQVMDLSRNALSFDMTGLRLQEGVSSLDLSHNMLYGGVPAQVAGLSSLQDFNVSYNRLCGELPAGAARFDQYSFFHNKCLCGPPLPTPCN >OGLUM05G00350.1 pep chromosome:ALNU02000000:5:234465:236994:1 gene:OGLUM05G00350 transcript:OGLUM05G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIMQAEARRPQACNMLVLLLDAQQFAVLLLMNRIVEGRSRTRHLGQEDTNCRMRRLIVLFKAVGAKLLPSEQLANLITPSPSTYIYPHTHIVQDKLRQNRSLIPTKQVEMVRAIGPLLLLVFVVSIAAAAAPVRRPRCDAGDRAALLAVKAAFNNASYFQSWTPDIACCHWYGVDCGGDDYDYDPTDGDRVLSLAIIRDDNVTGGIPGDAIARLTRLQELMFFKVPGVTGPIPAALATLTALRELTISRTALSGSIPSFIGDKFTALQSLDLSFNSLTGAIPASLAKPPKLISIDLSRNQLTGSIPRLLLSKAGQQAFLTLSHNNLSGRIPAAFGAVNFVQIDLSRNQLTGDASMLFGSGKKELGSVYLSRNALSFDMSELRLPERLSFLDVSHNAIRGGIPAQVANLSNLQLLNVSYNRMCGEVPTGGNMARFDAYCFQHNKCLCGAPLAACH >OGLUM05G00350.2 pep chromosome:ALNU02000000:5:227112:228133:1 gene:OGLUM05G00350 transcript:OGLUM05G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVVLLLLAVAALSCTSAAASGPSCHADDSAALLAVKAAFNNASFFEYWTPEFPCCDWYGVDYLPSDDRVISLAITRDDNITGTIPGDAIAGLTRLRDITFFKVPGITGPIPAALANISGLRCSPSPTPPSPVPSRPSSFTDLGMLDLSFNSLTGAIPASLAKPPKLNSIDLSRNRLTGSIPRLLLSKAGQQAFLTLSHNNLTGRIPAEFGAVNFVQIDLSRNQLTGDASMLFGSGKKELVSAYLSRNALSFNMSQLQLPEELNFLDVSHNSIYGSIPAQMANMTDMQLLNVSYNRLCGEVPTGGNMPSFDTYCFQHNKCLCGAPLSPCIYSYMI >OGLUM05G00350.3 pep chromosome:ALNU02000000:5:227970:234507:1 gene:OGLUM05G00350 transcript:OGLUM05G00350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGKRNGFIAARSSGLRRSQQCFHRHLCWPGVRRASVPNVRLLPTPGALVSRGLDSSLVHKSDNASEAGVIQLYRIPYLQDSETIELLRQVQAKVSSNIVGIKTEQCFNIQLDNALASEKLATLQWLLAETYEPDKLQAQSFLEEEVARNPYSVIVEVGPRMTFSTAFSTNAVSICKSLSLMEVTRLERSRRYLLCLDPGYGPLDESQLNDFTALVHDRMTECVYPKKLTSFHSDVVPEPVRIVPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPRTLFQLVKSPLKANPDNNSVIGFNDNSSAIKGYPANQLRPTVPGSTSPLSVMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIEGAYAPWEDPSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGESNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCERERVSMAVIGTINGCGKIVLIDSAAVEHAKLNGLPPPTPVEDLELEKVLGDMPQKTFEFKRVSVVSEPLDIARGVTIMDALKRVLSLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPTKGLLNPKAMARLAIGEALTNLVWAKVSSLSDVKASGNWMYAAKLDGEGADMYDAAVALADCMIQLGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGKDGVLLHIDLSKGKRRLGGSALAQAFDQIGNDCPDIDDVLYLKKAFEAVQELLGERLISAGHDISDGGLIVSVLEMAFAGNCGVKLNIDSEDSSLLQALFAEELGLLLEVHLKDLSVVKQKLQAGGISANVIGKVTASPDIELVVDGRLHLKEKTSDLRDIWEETSFQLEGLQRLKSCVRLEKEGLKHRTSPSWSLSFTPKFTDEKLLTASSKPKVAILREEGSNGDREMAAAFYAAGFEPWDITMSDLLAGKSSLEDYRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQNFYNRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGSGGDMSQPRFIHNESGRFECRFTSVSIGASPAIMFKGMEGSTMGIWSAHGEGRAFFPDENVLASVVKSNLAPVRYCDDANNITEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWSPKDWQLEKSGPSPWLRMFQNAREWCS >OGLUM05G00360.1 pep chromosome:ALNU02000000:5:237501:237749:1 gene:OGLUM05G00360 transcript:OGLUM05G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQRQGGNHLSGSISIPAEFAAVNLARIDLSAHRRRCSIGRGKAAKAIDVSRNALELELELPEQVVTVDVNHNMHHLRRRS >OGLUM05G00370.1 pep chromosome:ALNU02000000:5:239014:240930:-1 gene:OGLUM05G00370 transcript:OGLUM05G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 2 [Source:Projected from Arabidopsis thaliana (AT2G39990) TAIR;Acc:AT2G39990] MAAAEGPVLLFPSTSSTSARVEAVVVFNICDSYVRRPDQAERVIGTLLGSVLPDGTVHVRNSYVVPHNESPDQVALDIEYHHNMYASHHKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQSPIHLTVDTGFTRGDASIKAYISSNLSLGDRHLAAQFQEIPLDLRMLEAGKVGFDILKSTIVEKLPNDLEGMESSMEKLYVLIDEIYKYVDDVVEGRVAPDNKIGRFISDAVASMPKLSPAAFDKLFNDRIQDNLALVYLSSITRTQISIAEKLNTAAQIL >OGLUM05G00380.1 pep chromosome:ALNU02000000:5:242738:245166:-1 gene:OGLUM05G00380 transcript:OGLUM05G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVPDYLCCQITFEIFRDPVITPSGITYERSIILEHLCKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >OGLUM05G00380.2 pep chromosome:ALNU02000000:5:242738:245166:-1 gene:OGLUM05G00380 transcript:OGLUM05G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLFLVLGDDAFSDYIQLQVPINFLKKRKRRKKCSNRSKTGRKTNQIQEAASMATAADGVAAIALCPDVAVYWLNRGLCHFKRKEWAKVEEDSRRALALDDTLVKGHYLLGCAMLEKEQCALAIKEFNKALDLLKSSNLGDKMAEDIWQVLAKAKYQDWEIHSTKRVWKMQSLKEACENALQEHHFLSGTLVGDGSTNEYTDQLKLLSEVFTKATIDDTPTDVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKNHSWAYKLN >OGLUM05G00390.1 pep chromosome:ALNU02000000:5:246939:256625:-1 gene:OGLUM05G00390 transcript:OGLUM05G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVATGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLTKTLEGCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKASHQINGN >OGLUM05G00390.2 pep chromosome:ALNU02000000:5:246939:253304:-1 gene:OGLUM05G00390 transcript:OGLUM05G00390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MAAAAEVESFLATCAASGDAAYGAAKAVLERLQDPASRPDARRLLGAVRRRFAGPAAGEECFRTFHFRIHDVVLDPHLRGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPSKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIVTENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPMGIMIFNMGGRPGQGVCERLFRRRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPVCARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKYNPCEPPAGCLNFRNLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALRLFSPALAIVDEHLTRHLPKQWLTSLAIEGKAKDTVTVIEAPRQSDLLIELIRKLKPQVVATGMAQFEAITSAAFENLLSVTKDVGSRLFIDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYRALSQTIELLEGHTSQISQHYYGCLFHELLAFQIADRHPQQERLPAEVIPQKMIGFSSSAMSTLKEAEFFIPDSKESSVIHMDLDRSFLPVPSAVNASIFESFVRQNITESETDVRSSIQQLVKDSYGFPADGCSEILYGNTCLALFNKLVLCCIQDQGTLLFPLGANGHYVSAAKFVNANTLTIPTKLESGFKIEPRVLADTLETVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAKYGARVVIDTSFSGLEFQTDGWSRWNLERCLSAVNCPKPSFSVALLGELSFELTAAGHDFGFLILNDSSLVDTFHSFPSLSRPHSTLKYTFKKLLGLKNQKDEHFSNLIMEQKDTLKSRADHLTKTLEGCGWDVAGSHGGISMLAKPTAYIGKTIKVDGFDGKLDGCNIKEAILRSTGLCINSSSWTGIPDHCRFSFALESSEFERAMGCIVRFKELVLGSKASHQINGN >OGLUM05G00390.3 pep chromosome:ALNU02000000:5:255913:256625:-1 gene:OGLUM05G00390 transcript:OGLUM05G00390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine S-methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G49810) TAIR;Acc:AT5G49810] MGSAGVEDAAAVAAFLERCAPSGDAAYGELKAVLGRLHEPTTRRAARAFLTALRPFCSGGDSLARYGFRIHDLSLLHCAHDHQFSERATATSDQSQTYATC >OGLUM05G00400.1 pep chromosome:ALNU02000000:5:263092:267125:1 gene:OGLUM05G00400 transcript:OGLUM05G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFDNISRWLKELRDHADANIRIMLVGNKTDLKHLRAVATDDAHKFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDEGGAGAAGGVKEGKTINVAAGDANSEKKQCCST >OGLUM05G00410.1 pep chromosome:ALNU02000000:5:265890:268875:-1 gene:OGLUM05G00410 transcript:OGLUM05G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related protein RABD1 [Source:Projected from Arabidopsis thaliana (AT3G11730) UniProtKB/Swiss-Prot;Acc:Q9ZRE2] MSIEYDYLFKLLLIGDSSVGKSCLLLRFADDSYIDTYISTIGVDFKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNVKQWLSEIDRYASDSVCKLLVGNKCDLVDSKVVDTEEAKAFAESLGISFLETSAKESINVEEAFLTMSSDIKKRMATQPAVERKPTVHIHMRGQPIQQQNSSSSCCSS >OGLUM05G00420.1 pep chromosome:ALNU02000000:5:269316:275488:1 gene:OGLUM05G00420 transcript:OGLUM05G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin folding cofactor E / Pfifferling (PFI) [Source:Projected from Arabidopsis thaliana (AT1G71440) TAIR;Acc:AT1G71440] MAAVAFRLGQRVHVAGDPARVGTVRYLGPVDGHPGDWLGVDWDAGAGGRHDGSLAGLRYFVAAGERSASFARPTALSAGITLPDAIRNRYNVEEFTKEEQDEMYVFSTSQKRVSVELVGKNKVEEKLKNLNDLTSASVSYMGVSSIGPGDELKNLVPNLRQLDLTGNLLSQWQDIFSLCEALASLEILDLTNNTMENDFTDSSSVKNIRVLVLNYCGVTWELVEKIKVSFSCLSELHLMTNRIKAMMTPVGNIVQGFDTLRLLNLEDNHIDSWDEIVKLSYLRSLEQLHLNKNMLKHVTYPSNPPPLGSLGDAAVLPFEKLRVLLLGSNQIDEVLSVDSLNLFPSLTLWLSTPILISTFLKDVRLSDNPVADPAKGGVPRFVLIARLGKVKILNGSEISPRERREAEIRYVRLVMGKAESYDPEEIKRLHPRFAELKAFHGIEDEKPSSRTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPPATTVGKLKSLCESFFKLKDVKLRLYLEEEGCPLPQFFEEDTVSLMELGIGTGSTIIVDEES >OGLUM05G00430.1 pep chromosome:ALNU02000000:5:276227:276641:-1 gene:OGLUM05G00430 transcript:OGLUM05G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLVGARVLADEQGEAGCSGRIEVARWSIICFLTAACRSIEKPCIACKEIKNDAINLTMPLLDHALNQLVGIGSSIY >OGLUM05G00440.1 pep chromosome:ALNU02000000:5:277611:281723:-1 gene:OGLUM05G00440 transcript:OGLUM05G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAASANDGSGGVDEIERVGNTWQDLTKKVTESLPQINRRGEYLMRYGVGREGMLTGERRCGGRIEGGRRAPPLLAGWGSAQAAGEETKFEAEAEAGWDGLGCSPASECGGSRRTPAPPLLDGDRQARRRDGTDCGGGGRAALCCRGMGHMHRPMRLEFFHIPKKFI >OGLUM05G00450.1 pep chromosome:ALNU02000000:5:277625:284818:1 gene:OGLUM05G00450 transcript:OGLUM05G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >OGLUM05G00450.2 pep chromosome:ALNU02000000:5:277625:282260:1 gene:OGLUM05G00450 transcript:OGLUM05G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTRIGVWPWIQNKVVDPLMQVVRRGAEPKQLAFSAALGVTLGIFPICGTTVILCGVAIAMLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >OGLUM05G00450.3 pep chromosome:ALNU02000000:5:277625:282914:1 gene:OGLUM05G00450 transcript:OGLUM05G00450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLESCVSFH >OGLUM05G00450.4 pep chromosome:ALNU02000000:5:277625:282260:1 gene:OGLUM05G00450 transcript:OGLUM05G00450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSRCNAVTVMVLNFVVTPLELSLIVPFLRFGEVITGSGHFPLTSDAFKKVITGQASKDVMLSIVHAMLGWLIAAPFALAALYMVFIPCFKLMVDRFGGVPSSPRTPIKLV >OGLUM05G00460.1 pep chromosome:ALNU02000000:5:281859:289488:-1 gene:OGLUM05G00460 transcript:OGLUM05G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRCNVISAVAKGLLLPYLYYLVLLREREERREKRERERLQGKGREEEEEAFCTLPTSIQGRGYVQEPRSNKAIPPSPPPPHPRHQIRRTRTMQEEFDWPTLVLSTSPETENVTSSSVRSTTKNDVVVQQGDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETGANYMDEITPISRQWCFDNRGPSLPRGLDYGDEIPSLSSKKCNGNVASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISTRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVNHASRMAQQNVGRDQSQSEDMTNYN >OGLUM05G00460.2 pep chromosome:ALNU02000000:5:282585:289488:-1 gene:OGLUM05G00460 transcript:OGLUM05G00460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIRCNVISAVAKGLLLPYLYYLVLLREREERREKRERERLQGKGREEEEEAFCTLPTSIQGRGYVQEPRSNKAIPPSPPPPHPRHQIRRTRTMQEEFDWPTLVLSTSPETENVTSSSVRSTTKNDVVVQQGDVMKLENDTHFLSHSSGDGMKCTKADHFLSHANRGSLNLDYQEQPSKSNFATDLGSHAYSKEARTLGRVYHGQQPYFSPSSCSWEKSSALKVVPNSPDASGHIVGEMRTKTNILSARSNYLSYPTDVPHIRYRDEVKVDLSQDDNSKQYRRPDQFTAFSNFNGQSSEHLETGANYMDEITPISRQWCFDNRGPSLPRGLDYGDEIPSLSSKKCNGNVASSYSRIWDYSAETSSVRQCYGDKIPSLSHHWHYRDKIPLHSGQWCHDAEARPLSNYQQGASYGNGRLRDNISRGGRNEQVNIISTRRTMTKPRVANKVVSSTDHYKINKDNLWRNSEDIRDQVRGPRANKLNNSSTSSIKNNAIPPLVCRDQINRPEFTVQYEHAKFFMIKSYSEDDVHKGIKYNVWASTPNGNNKLDAAFHEAQILMKEQGKRCPIFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWQQDKWNGFFPVMWHIIKDIPNRFFKHITLENNEGKVVTFSRDTQEIGLPQGLEMLKIFKAYHQGTSILDDFYFYEEKENMRHAQKGRNLETTNQDLFSDDFRSISVNHV >OGLUM05G00470.1 pep chromosome:ALNU02000000:5:290602:292223:1 gene:OGLUM05G00470 transcript:OGLUM05G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSLITFTATGAAAAFTSPKIKRRMRMAPTMASKPGAKVKVSAGCKTCRGKGAVECPGCKGTGRNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >OGLUM05G00480.1 pep chromosome:ALNU02000000:5:295434:302858:1 gene:OGLUM05G00480 transcript:OGLUM05G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEKKKRRAKAKRKKPNPNPNPSITASHRLRLRLRRWPPSPAAAPRRSPPPRQHPTRAPPHRPPPSPPPRRAAAPLPAPRGGPARLHRRRHRLLPGKRLRPLSPPSSMLTISPGTSMRIISRRFLKIMVKSSMWSSPWIGWSIFPVGMDMLSSRIELMQRRLFFTWMVAKLMEMLLKSDSRFHHSSKELLLLQKLFTLHQKGMWLIIIKLVLVLKRPPNRSPGNPITKKRSGQSNPQALPIAS >OGLUM05G00490.1 pep chromosome:ALNU02000000:5:299712:302594:-1 gene:OGLUM05G00490 transcript:OGLUM05G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAAPAGAGAGAGAGGYYYYPPSQQHKPRRPPRPAARWVKHWIPQDLATSSGKCALYKWVREDVYKNLKDGKAVPEPEAVKPEPTTEILFLCSYENCGKTFVDVAALRKHAHVHNERQYICQEPGCGKKFVDSSKLKRHHLIHTGQKDFICPHPGCGKAFSLDFNLRSHLKTHALENYHVCPFPACGKRFTSDSKLKSHVKGHEKTGTPITAQYVPSSDKPQSSSKPATPATTKPTTPAATKTTTPASTKPTTPAPTSFAERPYVCPYDGCGKAYIHSYKLNLHLKTQHPEHGQEENGRIAAHASEHAVNDRANQYNYAEIVDLAPNPKRSKTNSGHKTPSSNKAYNVKISSVLPADISGVKNQWPGKYEDDSEETEEDQGNNIEDGWRYGNQNADDEETEYED >OGLUM05G00500.1 pep chromosome:ALNU02000000:5:304698:306351:-1 gene:OGLUM05G00500 transcript:OGLUM05G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZT66] MSSSTFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYFLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OGLUM05G00510.1 pep chromosome:ALNU02000000:5:316121:325048:1 gene:OGLUM05G00510 transcript:OGLUM05G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGMAKAAGVALCLAGVLVIALYAGPAISPLNHHRALSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIQCALSTLQSLLLAAAVVRADPAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLAEIVHLGSIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQEEDHKMEIAGGDEQEEIKQQQQGKMAASPEQQVSEVSKMEEKKPYVIAMLIQVIYAGMFVVTKAAFDEGMNTFVFIFYRQAAAIFSCFLSLFFSRGKTRDRCP >OGLUM05G00510.2 pep chromosome:ALNU02000000:5:316121:324658:1 gene:OGLUM05G00510 transcript:OGLUM05G00510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKKPYVIAIIIQVIYTGLYVVSKAAFDQGMNTFVFIFYRQAAATLLLLPLAIILERRNAPAMSLRLFTKLFMYALLGNTITMNMYNVSLKYTSATVASATSNSVPVVTFFLAVLLRLEVIRLRTLSGMAKAAGVALCLAGVLVIALYAGPAISPLNHHRALSGGVHGAESSVGTGTRARWMKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLATLIQCALSTLQSLLLAAAVVRADPAAWRLRLDAGLLAVAYTGFVVTGVSFYLQAWCIEKKGPVFLAMSNPLCFVFTIFCSSFFLAEIVHLGSIVGGVLLVAGLYSVLWGKSKEHNINMQLTLAAAASTEQVKQRQEEDHKMEIAGGDEQEEIKQQQQGKMAASPEQQVSEVSKMEEKKPYVIAMLIQVIYAGMFVVTKAAFDEGMNTFVFIFYRQAAAIFSCFLSLFFSRVHICNSGICSKQLFACHHLLPRPHNQGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRGILLVGGLYSVLWGKSAEMTMNGNGDDQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >OGLUM05G00510.3 pep chromosome:ALNU02000000:5:322634:324658:1 gene:OGLUM05G00510 transcript:OGLUM05G00510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMLLIKLFFCAFIGNTFSLNLYNVSMKFTSATVASAASNSLPVITFFLALITRMECVKVRSSSGIAKLAGVALCFAGVMVLALYKGPALNPAAVLWEFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRGILLVGGLYSVLWGKSAEMTMNGNGDDQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >OGLUM05G00510.4 pep chromosome:ALNU02000000:5:322634:325048:1 gene:OGLUM05G00510 transcript:OGLUM05G00510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLANVTWSIWIVLQAAVLWEFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRPPRQYYRGDSAGGRALQRAVG >OGLUM05G00510.5 pep chromosome:ALNU02000000:5:322634:324658:1 gene:OGLUM05G00510 transcript:OGLUM05G00510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLANVTWSIWIVLQAAVLWEFPNKMLVTAAQCVFSTVQTAVVAAAAEREMARWKLRLDISLLAVLYTGLVVTGVSYYLQAWCVELKGPVFLAMSNRDRGILLVGGLYSVLWGKSAEMTMNGNGDDQQQQQQSHHKISTEMVVVEKTMISGSQEKDQNNNEQSTPTKSPLQQV >OGLUM05G00520.1 pep chromosome:ALNU02000000:5:328842:333346:1 gene:OGLUM05G00520 transcript:OGLUM05G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAARTWTWRWRWRCFHSTAAALSKPTPHIRFAVREKRADAKAALKNILLNGGPCQEGSNKQKRQQKGSGKSKLTNSSYGKNPHRKGKSAQKWKNFDEDDCSDTPYGNFGGKRSFTWYWPGEDDESGSPSGFQWRDESQLNKSRERVWNESDVDEEEPCYDNLRSHRISLGLPPLGPLELDHIKSAFRASALKWHPDKHQGASQAEAEERFKRCVEAYKALSGAFKPSD >OGLUM05G00530.1 pep chromosome:ALNU02000000:5:331868:333548:-1 gene:OGLUM05G00530 transcript:OGLUM05G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >OGLUM05G00540.1 pep chromosome:ALNU02000000:5:338088:343302:1 gene:OGLUM05G00540 transcript:OGLUM05G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFDAFSAPCCISDVKEHSCLKPIILLAIDDIQLILAYSLISILFASTPRQYRVIYGQYYLQFLVTWRSNVTNMHTRGASSDVLRASISSAPSTSSHGSAQDDCDSLGDVYVWGEVFCENSVRVGSDTIIRSTEKTDFLLPKPLESRLVLDVYHVDCGVRHAALVTRNGDVFTWGEDSGGRLGHGTREDSVHPRLVESLAACNVDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGTDAGHWIPKRISGALDGLPVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGNLTSISCPKEVESLSGLKTIAVACGVWHTAAIVEVIVTHSSSSVSAGKLFTWGDGDKHRLGHGDKESRLKPTCVASLIDYDFYRVACGHSLTVCLTTSGKVLSMGNSVYGQLGNPNSDGRLPCLVEDRIAGEHVLQVACGSYHVAVLTGRSEVFTWGKGANGRLGHGDIEDRKVPTQVEALKDRAVRHIACGANFTAAICLHKWVSGADQSQCSSCQQPFGFTRKRHNCYNCGLVHCNACTSRKALRAALAPNPGKPYRVCDSCFLKLKNASDSDSFNKRKDIVSHLAGESNGDTKASKTILSSNMDIIRSLDSKAARQGKKTDALSFLRTPQVSSLLQLRDIALSGSADMNRSVPRAVRTSAVWSVTTSRAVSPFSRKSSPPRSTTPVPTTHGLSFSKSATDNLAKTNELLNQEIDRLHAQVDNLRHRCEHQEVELHKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERIPPEQGTYDVSEAKPVHVPNGIDSHIAIYSSINVAHQPRNELLNASNVQSLNSGRSLHPNGISSQHRLLGNATEASEGSAQSHRITSPCKLDVPHRRAHSNSDDMLTASHRGDDNVSIDAMSLQNGEDGYKPRGTVSSISSSQVQAEWIEQYEPGVYITLTTLLDGTRDLKRAEKWWNENREKVYERYNVRSSERVSSSSAASTRSAY >OGLUM05G00550.1 pep chromosome:ALNU02000000:5:344301:349000:-1 gene:OGLUM05G00550 transcript:OGLUM05G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNAQCQVVDDRLSALPDEILIDILQRLQLPTAARTTTLARRWTHLLQSMNHLEIDVADFIPRRSAPSLKRNTMTRVKVAMSRYTQAMRTLLAPRAESPQLIIIRTLHLCFYLTDPYLHSVGRMLEDAVQSAGGRASKIEVLSFSILTEVPELLCTEKHLARYGRRFMSFFQAYPNAFRRLTSLSLWALRFGDSDIPNLLASCLQLQHLTLQDCDNGKRSLPFKLSDWLSTVPTLTSLHLDFQDEMVWILPEEPKKLFPIFRNLRNVYLCSISLDCGLDWTLFVLEGAPFLERFHVKISLHICDENGFKDRADRSNVVWEASSESIKHKNLRLLDINGFETTENLIKELWGYSEFTCMIRNRAKIAMVFISIRHLCPELYSPNNEAEKDLLRQQLLQGFSSSIEITIG >OGLUM05G00560.1 pep chromosome:ALNU02000000:5:350567:352725:-1 gene:OGLUM05G00560 transcript:OGLUM05G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALSCSTSFYGLCDSGRNSVLKIDASPCSQLRTLRMIFCSYIKVELVHVPKLESVDCDTWVGVNPPVYFGCVPLLDKIRFSSTCLKMQQPFVLSSWLSTVPTLTSLHLDFQYEMVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFETEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSMIMGA >OGLUM05G00560.2 pep chromosome:ALNU02000000:5:351351:352725:-1 gene:OGLUM05G00560 transcript:OGLUM05G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFYPTDSYLLSIARMVDDAVQSASASKIEVLDFAILNEVSEVHCTEKQMSRYGRRFMSFFQACPNGFRCLTSLSLWALSCSTSFYGLCDSGRNSVLKIDASPCSQLRTLRMIFCSYIKVELVHVPKLESVDCDTWVGVNPPVYFGCVPLLDKIRFSSTCLKMQQPFVLSSWLSTVPTLTSLHLDFQYEMVWIMPEEPKKLFPIFRNLKDVYLYNISNDSGLDWTLFVLEGAPSLKSFHVKISHHICGGDGFEHNAGSSNVVWEASSDIIKHKNLRLLDIIGFETEENLIKYIRLAIQRAIALQRIHLHEKEPCEDCDDIYLNTPSLSRTRFPNNEQEKDLLREQLLQGFSSSIEIILGCY >OGLUM05G00570.1 pep chromosome:ALNU02000000:5:352765:356289:-1 gene:OGLUM05G00570 transcript:OGLUM05G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASPAVMASRPDPGELQAFLRGLRTHHAVLCAHAFLLRRGLLLGHRTTAGILLSAATSTATSASRPAHAHAHLLRLLLHHLPPPLPLFSLDNALRALAPRLPFSALLSLFAALLRSHHPAFPARFSFPTLLSKASSSSSSPRLHFPSALALHAQLLRRGLLFSPPLHAANALLHFYAAATLLPCARNLFDEMPFRDVASYNTMMTAYAGAVDGIDAARHLFDGMLLRNVVSWNIMINGYVKVKRPEQALEVVRWMAEIGVRGTAVAMVGAATACARLGRLGAGKEVHCAFLRRFEERNLLFSTALVDMYGKCRNADAARKVFDRLSFRNVVCWNAMIIGHCVYGEPGDGIRLFHDMIGQDDQHGLLPDEVTFIGVLCACTRLALLDDGKAYFEQMSTMYNIKPTFAHYWCMANLYASVGLLEEAEGLLTSMPEELKAHALGGLLGLCRFRGEWELGERIVLRLIELEPSNSVHYALLCNVYASAGRWEDVHRVKAIIKERDEKLSPGHRLVNLNEILHQFRERQPENQEIYGILDGLVSRLKLTSRRKKKEGKKKEKKLVVNRHRWSPPCTLKSSLPPPPSDPQVPLCYPAPRAVTVDASAAAAVVVVGRQLMEVATPNNNAHQVIDELVSNDDDDDRLSALPDEILIDILQRLPLRTSAQTTILARRWTHLFPSMTHLKIDINEFVPRILTRHNVARSMAMSWYTQALRT >OGLUM05G00580.1 pep chromosome:ALNU02000000:5:359797:368365:1 gene:OGLUM05G00580 transcript:OGLUM05G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRGNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNDLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDYFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSAHNDNLSDEVNFVYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGVERLKHQKQDRSTLNHGGTKWNKSDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >OGLUM05G00580.2 pep chromosome:ALNU02000000:5:359797:368365:1 gene:OGLUM05G00580 transcript:OGLUM05G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLRDPSAGSGGEAAPTPPPPPVAAPFAQYLSLEPLGWVEPKHSRHGELRRALQHQADDKPQELRRIRSSVADSSSKAREKVRSMQEAVQKVDRCRNVLNRKRQRSEPAAAAAAGAEKPSGSGALRIGAQNSNSSAVMSKRVRSSLADGRLEGRGNISTRQSPLVNNEKSSLVEKEKSCGRTSGLSEDKLQGLSTGGEGWEKKLKRKRSIGTVLNRGNDADRDVKSGGQHRPANEANPRPSDGPSHRHGASAVEYAGSRMDGSSQQNSNSSRILCKTDMDHATLPNERRERYVGIEKERGMVKGNRAQASEDMQTGSISPLPKAKACRAPRTGSHGMGSASSFQRSTGGSDEWEEIPYSNKASLLGGMTNRKRSIHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAIAAKPTSTESCGVVLTKKGTSSNTQMANTMNDIPSPVGLSESEGSAVKERKVKEKATNNGEVENEAANLVRNSAGSIVSSNKNTIPLKEELQDGGVRRQGRSGRGTMHVKEYSSSSISKEKLDAAETRKPNKGGRPGSEKNESKVGRPTMKKGSDQNDLSCFPQALNCEHTDDREELLAAVNAARGAIVGAYCGPFWKKMEPMLTFISSENLSFLKKQIDIVEELDLGMSCVPDGEYVLAPTNYSRQQTTEFSCQELVPSNSSILPEQSETNGVGLKGTIDYFSPSEENQHHVPQKIEADKWFHEMVPMEHRLLSAIVMEEDISEPNVVQRDILFEFSNSHVPCAASRFLGNELQASAISSNFGLSVDFMNSNNSSVVHQSLSNGFTSSSSFISSSSQSSAHNDNLSDEVNFVYPENGPFDNLIPQTSSLRQKPGKNFSSSPHEYQYGQMSVNDKIFIELQSIGIFPEAVPKLDDGEDNNINKMISELRKRLHDQVKQKKCKLSKLEKAIQDTKSIEERSLEQHAMNKLVERAYRKLKGGRTGSSHKAGASKSASKAAKQLALDFAKRTLLRCQKFEETKKSCFSEPSLWSVLSAPLPSSGTKSTEGGTKWNKSDREREHSRDASAKGSGTKSGRHSSGSGRSGERKNKTKPKQKIVQLLSTSGNVLDRAVESVPTPAMQEPPRPSVPLGAKITQQPRNHPENAASRLPEAPLTNLPGLFDIFAGTEGLGEQGNDISSWLTDDLDVPQDFDLSGALEIPLDDIAELGFM >OGLUM05G00590.1 pep chromosome:ALNU02000000:5:370507:381638:-1 gene:OGLUM05G00590 transcript:OGLUM05G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAGDLSRRPASAEIDIQIRSSVSEMPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNHRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLQRYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASSRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLIYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >OGLUM05G00590.2 pep chromosome:ALNU02000000:5:370507:381638:-1 gene:OGLUM05G00590 transcript:OGLUM05G00590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVAGDLSRRPASAEIDIQIRSSVSEMPSLQLLQLTERGRGLLASRRKTLAVVSGALLAGGALAYARSSQGQRRRRSEGDDATTALARNGDRMGQNGVDGRLAGTKRRKGGLRSLHFLAAILLKKIGPNGTRYLLGLTLTAVLRTAVGHRLARVQGYLFRAAFLRRVPTFTRLIIENLILCFLQSAVYQTSKYLTGSLSLRFKKILTDLVHADYFQNMVYYKISHVDHRISNPEQRIASDIPKFCSELSELVQDDLAAVAEGLIYTWRLCSYASPKYMLWIVGYILVAGGAIRNFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREAYYIMQRFQALIGHLNRVLHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGHLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISTRRLNILSGYADRIRELLDVSRELSGVRDKSLNHNSSAGNYISEANHIEFSGVKVVTPASNVLVDDLTLRVERGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTADQEIEPLSYDGMVDLLKNVDLEYLLQRYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWDVQHRRDDSSFSTEESDYTLLETDRKSDALTVQRAFMGRAKSNASSRSKEHCYTTKVIATSPKLEIEQTIQTHRVPHLRCFPRPLPARVAAMVKILVPKLLDKQGGQLLAVALLVFSRTWISDRIASLNGTTVKFVLEQDKAAFIRLIGISVLQSSANSFVAPSLRTLTGRLALGWRIRLTNHLLQYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMLLSGRRGVAILYAYMLLGLGFLRAVSPDFGHLAGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAIVEAKFMKLLDHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILDLHKKFLELSGGINRIFELEELLRVSQRDTFVPSDATSAEETISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPVCSGRVTKPSDGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKICSLYNDGNGSSASNLLDDHLKTILVNVRLIYLLEREGWDSTSNWEDVLSLGEQQRLGMARLFFHHPKFGILDECTNATSVDVEEHLYKLATSMGITVITSSQRPALIPFHSLELKLIDGEGNWELCEIHQ >OGLUM05G00600.1 pep chromosome:ALNU02000000:5:385717:392225:1 gene:OGLUM05G00600 transcript:OGLUM05G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZT84] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLSQ >OGLUM05G00600.2 pep chromosome:ALNU02000000:5:385948:392225:1 gene:OGLUM05G00600 transcript:OGLUM05G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZT84] MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTEALENQVKSKVSIKGHLHTYRFCDNVWTFILTEASFKNEETTEQVGKVKIVACDSKLLSQ >OGLUM05G00610.1 pep chromosome:ALNU02000000:5:394992:400406:1 gene:OGLUM05G00610 transcript:OGLUM05G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYHQQQGGSTFMAIPTINFQMYSEIAGDDEWWEYIPCPFCYIEVEVPFLCDHLQEEHCFDMKNAVCPICADNLDKDTDEHFRVQHSHLLKRRKSSSFSCKPSSAAADKGSYEEDSYFEAPSHCMGRPAPDSSPDPLLSQFICCSLAPPVDSPRRSEADAEGHGSSSSDDQKRREQGVMDDASKEELEERLQRIEFVKQMLMTTIAY >OGLUM05G00620.1 pep chromosome:ALNU02000000:5:397996:407242:-1 gene:OGLUM05G00620 transcript:OGLUM05G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRAAGASRSSALSCPPPPPHSRRSSGIPIPFRPVSGAAAPLLPSRGGPEARSCRRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >OGLUM05G00620.2 pep chromosome:ALNU02000000:5:397996:407242:-1 gene:OGLUM05G00620 transcript:OGLUM05G00620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRVRGGLVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHKVTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >OGLUM05G00620.3 pep chromosome:ALNU02000000:5:397996:407242:-1 gene:OGLUM05G00620 transcript:OGLUM05G00620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGVDDLGRAVHRAAGASRSSALSCPPPPPHSRRSSGIPIPFRPVSGAAAPLLPSRGGPEARSCRRSVLRLTNESMRIVMVTIIGVLLGFFIGISFPSVSITKLHFPSSFVSYIEDRNSGLTTQALLNHAWTSARNARENSSEPSSNTTLKIYVPTNPKGAERLAPAIVVPETDFHLRRLWGEPSEDLPFKPKYLVTFTVGYAQKENINRAVKKFSDNFAILLFHYDGRVSEWDEFEWSKRAIHISARKQTKWWYAKRFLHPDIVAAYEYIFIWDEDLGVEHFNAEEYIKLVKKYHLEISQPGLEPDRGLTWQMTKRRGDREVHNFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGKSENGRPAWEGVRARCRKEWGMFQTRMAEAEKAYYKMMGITPPNSTLIGRVRVRVHPDRRWISPPRRHSLPDKEPMLRTGGGARATAAAQLLSSSSSPPPLRLPRRFLSLTATPYPLYYDLLLYRPSYPNPPKSSAADDRHTRPTDDHLDRAKRRYLRKRRSRLLPDPDATTAKPSSSSSSEFVELKPEVVDFPRLHAREEALYFHDAFALPWEKDKHYRMLYRLEKKYFPDQSLDNAFVPADASPPSSDAADKGLVFFDDDDNNKEAEVKKKKDGEDRGEVLERKVEDFFRSLKKGPGHGEANVMKAKKKEPPQVRHEADEWPQPHLASRRTELPPRWNGPTGTVVLIDKPKGWTSFTVCGKLRRLVNVQKVGHAGTLDPMATGLLIVCVGKATKVVDRYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIRKVAASFRGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIHQFDIERSLEDRQNLIFRVICSKGTYIRSLCADLGKALGSCAHLTALRRDSIGDFSVNDAWSFAELEEQITKGYL >OGLUM05G00630.1 pep chromosome:ALNU02000000:5:420997:425871:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MVKWKKLAFGKKGRFRGRAEKKGRPLRGESETPVIPINHPPPPPPPPPHAAGDPLLGEIEIEMPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNMKASDGAGSSNSSMGYKYDRDASSRAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >OGLUM05G00630.2 pep chromosome:ALNU02000000:5:420997:425871:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MVKWKKLAFGKKGRFRGRAEKKGRPLRGESETPVIPINHPPPPPPPPPHAAGDPLLGEIEIEMPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNMKASDGAGSSNSSMGYKYDRDASSRAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >OGLUM05G00630.3 pep chromosome:ALNU02000000:5:420997:425558:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MVKWKKLAFGKKGRFRGRAEKKGRPLRGESETPVIPINHPPPPPPPPPHAAGDPLLGEIEIEMPPTPPPELDLLDTEPEFAEVDPTARYGRYTEVLGKGAFKTAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNMKASGND >OGLUM05G00630.4 pep chromosome:ALNU02000000:5:420997:425871:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MVKWKKLAFGKKGRFRGRAEKKGRPLRGESETPVIPINHPPPPPPPPPHAAGDPLLGEIEIEMPPTPPPELDLLDTEPEFAEVDPTARYGRVIMPSSSSSSSSSSIRFSSHPFDSFGDACRLLQYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNMKASDGAGSSNSSMGYKYDRDASSRAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >OGLUM05G00630.5 pep chromosome:ALNU02000000:5:420997:425414:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MVKWKKLAFGKKGRFRGRAEKKGRPLRGESETPVIPINHPPPPPPPPPHAAGDPLLGEIEIEMPPTPPPELDLLDTEPEFAEVDPTARYGRVIMPSSSSSSSSSSIRFSSHPFDSFGDACRLLQYTEVLGKGAFKTVYPSSHARFLGFDVRFRMAFDQLEGLEVAWNQIKVGDILRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDKKNNNINFITEVFTSGTLRQYRIKHKKVDVRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPSSLAKIEDPEVRFFIEKCIAKASQRLSAQELLMDPFLRDDGEKIFYPLQSNMKASGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >OGLUM05G00630.6 pep chromosome:ALNU02000000:5:421002:425871:1 gene:OGLUM05G00630 transcript:OGLUM05G00630.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:with no lysine (K) kinase 3 [Source:Projected from Arabidopsis thaliana (AT3G48260) TAIR;Acc:AT3G48260] MADGAGSSNSSMGYKYDRDASSRAIREHTGSFAEEHPSDRYIHSTMDPQAAAGRIITVESQMKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWALEESVENQGDERAHSETDSSEADDETSELRNEPNATHNGFVQEHLPSGHKYWSDSPRRNIEMSHSAVEPHIGGNMPNGILKKNDTDDTVSNLGTSVDLPNPSMIDRKSGVASVSTSPQSFDDEHIEADVSERLVNLLAQQQEELNVLRRKHKADIEVILKGVPEEHREETLTRCRLKADERNRSDKP >OGLUM05G00640.1 pep chromosome:ALNU02000000:5:427688:428608:1 gene:OGLUM05G00640 transcript:OGLUM05G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDHVVVQQRSGDQPAPSCDIAADEIPVNGHKPGRAVTASVYRAKIAGHSRVLTVSWSRDMLSHSFAVSVTGVDGASAECRVDLRPWQFWRRAGSRRVELAGTAPATVRVMWDLRRARFGAGLPEPRSGYYVAVEAAGEVVLVVGDMRKDALRRASPRAAPAACDAVPVARREHVFGKRRFAAKARFHDQGAVHDIAIECGGGGEGGDADMEMTIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGMRPALFIFRPIVLSSASAPAAAMLLDGSPLPPPATGFCLYLYAWKLD >OGLUM05G00650.1 pep chromosome:ALNU02000000:5:432603:433786:1 gene:OGLUM05G00650 transcript:OGLUM05G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPT >OGLUM05G00650.2 pep chromosome:ALNU02000000:5:432606:433786:1 gene:OGLUM05G00650 transcript:OGLUM05G00650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVADMIRSKISEFRDQNSYEKPT >OGLUM05G00660.1 pep chromosome:ALNU02000000:5:435035:437285:1 gene:OGLUM05G00660 transcript:OGLUM05G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVTVGVVLLLLCLCGGAACAAGRSGGEFSIVGYSEEDLASHDRLIELFEKWVAKYRKAYASFEEKVRRFEVFKDNLNHIDDINKKVTSYWLGLNEFADLTHDEFKATYLGLTPPPTRSNSKHYSSEEFRYGKMSDGEVPKEMDWRKKNAVTEVKNQGQCGSCWAFSTVAAVEGINAIVTGNLTSLSEQELIDCSTDGNNGCNGGLMDYAFSYIASTGGLRTEEAYPYAMEEGDCDEGKGAAAVTISGYEDVPANDEQALVKALAHQPVSVAIEASGRHFQFYSGGVFDGPCGEQLDHGVTAVGYGTSKGQDYTIVKNSWGPHWGEKGYIRMKRGTGKGEGLCGINKMASYPTKDN >OGLUM05G00670.1 pep chromosome:ALNU02000000:5:440981:443548:1 gene:OGLUM05G00670 transcript:OGLUM05G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDNKKVYTLEEVAKHNSKDDCWLIIGGKVYNVSKFLEDHPGGDDVLLSSTGKDATDDFEDVGHSTTARAMMDEYYVGDIDTSTIPARTKYVPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAIRIYTKSESA >OGLUM05G00680.1 pep chromosome:ALNU02000000:5:443630:455076:-1 gene:OGLUM05G00680 transcript:OGLUM05G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEQQQVEEEGFDLPRRRRRWKRREESLKPQRERQMTSTEAKERWARRKELSSKLFEYDPKTGTSFYTRAWFLDLTTFDLDKETQHGPMRFADSIIKEDHQFTSSLNVLSVKILSSDVGYPINLYGTVIVRDILDFNCITIFRRNRDNCQVIQSENEDLILTGPSRGIVFWGEIFFEINLKIREDEECIDREFSKGLVDMKIYFIESQPKIVSETLESRLSEVELVFNCVKKALEGTVEIKILSDAQVFHGKITACTTNVPNHAVLLYDSDVVGCSTAVGDDRVIQLLRRVVVVSVNEMLILNIHAQNDNVSSGCSLELSPFTRGSDEEEISCGLCKMRRFMTGPTRGLLVQDAVYFEVDLSIKDDRLRGKRKGHSKGLLMIDGIQSTVELRYAVVKEAVEATVEIKAVEGCFSGEVAACTTNIQDGVVLLDSTITCCVMDDNGDVQLSRRVMAVQCKEKLLLTVVNQDDM >OGLUM05G00690.1 pep chromosome:ALNU02000000:5:457249:458411:-1 gene:OGLUM05G00690 transcript:OGLUM05G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVAWVWMTEDAVASDELRRDRPRRAPGITDTGDELQRGARAAEDVAAATSRVAWVSMTEDAVAGDELRRGRPHEPPASRTPARTAEDVAAATSPAWGATAEDAADSDVVVGLLPAAPSPPPTIHGAAVNTHLQLHPRGRPVHPPPAQQLRREPSPVVAFVAGRRSRCESEPSSYPSAASREQRDKTGCKELVDLVMVGYGNRMIPDRYQDLIHRKHHPPCGKNRMIPDSYHPIPARYQDLIHRKHHPPRGKNRLIPDRHHLIPRKYHLIRGKNA >OGLUM05G00700.1 pep chromosome:ALNU02000000:5:458755:467470:1 gene:OGLUM05G00700 transcript:OGLUM05G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFDLPLFVEDDDEDAAAAAKQRRREESQKPRRPRPREPWFLDTPEMRERSARHSALAAKYWEHDPKTGISYYTRAVFCNLTTFDLDKETQYGPMRFTDSIIREDHRLTGSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCNFIFRRNRNNCQVIQSENENIILTGPTRGIVFHDIFFEINLKIKENEECNDKEFSKGLLEMKFHTHKSKIASETFESRLSEVELVSACVKEALEGTVEITILSGPKVFHGKISACTTDVPNYIVLYDSNVCGATSVGDDRVMQLLRRVVAVSANEILILNIHAHNIHQNDNVSSRTLRFTPLARGADEEVINCDLYKIQVKVVWSVFKG >OGLUM05G00710.1 pep chromosome:ALNU02000000:5:464402:466040:-1 gene:OGLUM05G00710 transcript:OGLUM05G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKKKMSIHYVSYRCHKKKKKEDWACHLKAHILPTMTEPPGIRESIWSRSSKTRRKQQQHIPRRLPLCLNRDLNSALQGFLNTSRYKFHLTEPTLKGFTRNLRLMSVKLHLKQPFTKFLVIALFIFFNLQIYLKKDIMENNSSSWARENYIFILQEKLHKTLAICHTNALYKNKL >OGLUM05G00720.1 pep chromosome:ALNU02000000:5:466298:471324:-1 gene:OGLUM05G00720 transcript:OGLUM05G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGEAAAAAAAAADRDMELFRSGSAPPTVEGAMASAAAAAGDVFLDDELRADPVYQSYYYSNAHLNPRLPPPLLSKEDWRSAQHRLRSSALGGIGDGRRQPAAAAQGDGLVGLPGIDLDRQGSFSSIFQESSYQLDMGKQGADRNSSDFLDSSRPQYALHRETSRAMGGLQSDSNIQSLAEVQNNDSSAHTYASLLGSSLSRSASPDPELVRRVPSPCLPPIGVKVSADDKKNNGGSSSFRRSSSAIGESDNLIAALSGMNLSSSGAASGQQTVTQSELYQDVDNVRKFLFDRQGDQSNGNQQHSYMKHPEQGHFKAPDGYSANSPNSSMIRNQINAASFTSFDNLSAGSGFASPRIGSRSPGGTLSSRQNLVGGSNFLNYNGIGSPNAATSLQTAIDPSYIQYLQAAEIAAQLAASCDDPLMASGHLGSSYMDLLGPQKAYASPLLQSQKNCGYYGNLGFGLGYSGSPLMSPVLPSSPAAPGSPLRHGERSMRMQSGIRNFGGSFGSWNPDLGGKMNINMMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSPTQIKELADQLIGRVLALSLQMYGCRVIQKVAIEVVGLDQQTKMVAELDGHVMRCVRDQNGNHVIQKCIECIPQHAIQFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPTTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQILIGEMLGSTNESEHLEVMMKDQFANYVVQKVLETCDDQQREAILTRIKAHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTSAA >OGLUM05G00730.1 pep chromosome:ALNU02000000:5:477387:477617:-1 gene:OGLUM05G00730 transcript:OGLUM05G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRSAAAEEEAAKRSWPEVVGMTMEEAKAAILKDKPDADIVVLPVGAPMTRDLRPNRVRIFGSATVAETPRVG >OGLUM05G00740.1 pep chromosome:ALNU02000000:5:479168:485688:-1 gene:OGLUM05G00740 transcript:OGLUM05G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVFGLLLLTSPPLPAVVVVKGVGCRGSAARPQQQQEEEERNGVVVGDWAEERRRRGEERRGNASRGGRGVEKGVERAFEDKSSSTKPTATRTTHRYDAVVAAIMRRWIADDQPCPSIAMSGFADVAS >OGLUM05G00750.1 pep chromosome:ALNU02000000:5:486210:486956:1 gene:OGLUM05G00750 transcript:OGLUM05G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFLMGSGFERLLDQLTQIEAGGLARARENPPASKASVESMPTVTIAASHVGADSHCAVCKEPFELGDEAREMPCSHIYHQDCILPWLALRNSCPVCRHEMPTDAARPRPSNAGTEEETVGLTIWRLPGGGFAVGRFAGGRRPEERELPVVYTEMDGGFNNGGAPRRISWGSRQSRSTERSAIRRIFRNVFSCFGRSHSSNSQASSSHSRPELNDASDRSAVFSHGSRSRSTSWRLEDGHADAMVQR >OGLUM05G00760.1 pep chromosome:ALNU02000000:5:489934:490329:1 gene:OGLUM05G00760 transcript:OGLUM05G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPASTAAAVVNVPAATGGLLLPRGRSSWSWSCCNNNNSSMGRRWRAAAVGEVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFVRKGGATLRANAARKDLPQIVCPNCNGLGKLGQIDK >OGLUM05G00770.1 pep chromosome:ALNU02000000:5:496153:496467:-1 gene:OGLUM05G00770 transcript:OGLUM05G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGGAREVHVMKKTGKNLKTKEAAAGGNVAVRKEQVMKTTKGLKKSPPPPSSSPEQVKMPCRSYSAENIKHRLTKTVKEHRARFYIIRRCIQMLICWRDEY >OGLUM05G00780.1 pep chromosome:ALNU02000000:5:499579:509563:1 gene:OGLUM05G00780 transcript:OGLUM05G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPPPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >OGLUM05G00780.2 pep chromosome:ALNU02000000:5:499579:509563:1 gene:OGLUM05G00780 transcript:OGLUM05G00780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPPPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >OGLUM05G00780.3 pep chromosome:ALNU02000000:5:499579:501965:1 gene:OGLUM05G00780 transcript:OGLUM05G00780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37660) TAIR;Acc:AT2G37660] MTTIAARMPFAGPRLRPPCNLTASRPGPRRAGLAVVSAAAGGPPPTVLVTGAGGRTGQIVYKKLKERADQFVGRGLVRTEESKAKIGGAADVFVGDIRDPASIAPAIDGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEDGSYPEQVDWIGQKNQIDAAKSIGVKQVVLVGSMGGTDVNHPLNKLGNANILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGVRELLVGKDDEILKTETKTIARADVAEVCLQALLFEEARFKAFDLASKPEGEGVPTTDFRALFSQVNSRF >OGLUM05G00790.1 pep chromosome:ALNU02000000:5:510505:516210:1 gene:OGLUM05G00790 transcript:OGLUM05G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MAKKLGKSPVAKEENKEGLFASCSFTDLGLHPTLCAHLQDKMGFQAPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVERTDGTFALVLVPTRELCLQVYGIAQQLVHRFHWLVPGYIMGGENRAKEKARLRKGISILIATPGRLLDHLQHTSSFVYPNMRWIVFDEADSILELGFGKALEDILEHLGSRNDASNQNKNKMEPMKRQNLLLSATLNEKVNRLAKISLKNPVMIGLDEQNSSAHGKNHTSLLSDDEEEILEKHNVTVEQAVDDFKLPAQLVQRYVKVSCGSRLAILLTILKSLFERQLSHKVVVFLSTCDSVDFHHTVLSQLEWSPGLQLDTDKKQKFISCKVFRLHGNMDQDDRKKSFLGFSSEKSAILVSTDVAARGLDFPKVKCIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIETDYLRDLELHGASLTEYPLQKVLDSFPVNGQRLHKRKQISLDMHPWIMSLQRALESFVTSEDTTKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLLGRSHQVQLKKRKKEQKRERPAKRRKIPAKR >OGLUM05G00790.2 pep chromosome:ALNU02000000:5:514603:515938:1 gene:OGLUM05G00790 transcript:OGLUM05G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40700) TAIR;Acc:AT2G40700] MASKVFLINLQQGTQLPTGRDGIGGVSNSQCDPDGADEGDGSPEQAAGEAEHLPDPVHGVQQLILLQRRAQPSKHPSGKENPEAAINGGKKEEEEVYLVPGGLRVEVPGELGVSGAAVLLRLRARLLRRLRLPHLARRRRRRRRWDREGAVNKSMDGAGLVGGDFADASLESLDIAFTSLLVMVG >OGLUM05G00800.1 pep chromosome:ALNU02000000:5:514414:520170:-1 gene:OGLUM05G00800 transcript:OGLUM05G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGTAAGTRGGGGGAELCRQMAAQLAAYQRGMAVERERQASTSANYRAALLSSRSIAQELSGLNLQLRNLEDGLAEALSVKAGKESKYQLTKETISSTAAINEKLEGMVTDQRNKRDHHAAVISNHLEAVEALEAKFIEDETRMKKIEEAVIWYSKFLGFQVVGGEGVKFIFNKIDLQSPDKEYSVTLKLAKDRYNLLQCDPSIKDSEELMKDLNLTNDLFKFVRIVRERFQAEAATVNGGLLMSSVVCPDASSIPVSPPMLMPLDSRTENVLDKSLSQSKNKGRNLPSKRGAAALSAASPGSAVSIVRRSPRNQGRKCNIQTFQGGICKIATNQTSSIHRLVDCSLAIPSPPPPPPPSEMRKTKPSKQSRAQAQQNGGAGHSKLARYFDPEASWDKDQLLDAVHWIRQVLGLACGLLWGAVPLVGAIWIALFVTISTGLVYWYYAYLLKIDEEDFGGHGALLQEGMFASFTLFLLSWTLIYSLVHF >OGLUM05G00810.1 pep chromosome:ALNU02000000:5:522480:528060:1 gene:OGLUM05G00810 transcript:OGLUM05G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MATAAPPAASARRCSRRSTIRDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEEDKSMFMALSSIWMLLLLFGTSAFLVPSLCILSLTFGDAFGARYLLYGAKSFDVITRVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >OGLUM05G00810.2 pep chromosome:ALNU02000000:5:522211:528060:1 gene:OGLUM05G00810 transcript:OGLUM05G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; BEST Arabidopsis thaliana protein match is: PGR5-like B (TAIR:AT4G1196 /.../Has 97 Blast hits to 97 proteins in 19 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 97; Viruses - 0; Other Eukaryotes - 0 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G59400) TAIR;Acc:AT5G59400] MATAAPPAASGARRLGRPRAGLRVGAVAAAEGPSCLYVGPIETASQEMLEALYHQARDSYYSGQPLIVDDMFDKVELKLRVYGSPSVVKYPRCSLKRQSTYADAEVTFWEGNKFSISTFDLTTLAILSTYSPMFRLAFGCRAGGQINVHGTLKHLDAAALVWHIGISRSLSLYSKPHFWGCIRSKVSLVWVNDMVLIGLGYLIGYPISSASVGALQGLLTNNLVALKGSCPNCGEQVFAFVKTDNSIKAPHRAECHVCSCPLEYRTKVEKSLSGTRRTWVYGRVYLVKQGHPRKRKWLKD >OGLUM05G00820.1 pep chromosome:ALNU02000000:5:523672:527430:-1 gene:OGLUM05G00820 transcript:OGLUM05G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDANYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLKEADLLTAIWARTF >OGLUM05G00820.2 pep chromosome:ALNU02000000:5:523672:527430:-1 gene:OGLUM05G00820 transcript:OGLUM05G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWGAKISSESPCRSASSPSGGTSKYASNSSVSAASVPPTPRSEGEILEAANVKAFAFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTKPGTGMVIAVKKLNQEGHQGHREWLAEVNYLGQLSHPYLVRLVGYCVEDEQRLLVYEFMPRGSLENHLFRRSTHFQPLSWNLRMKIALGAAKGLAFLHSDKVKVIYRDFKTSNVLLDAVRSPQNYYPLCSQDSLTGDLIDRLYFQNYDAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPTGEHNLVEWARPYLMSKRRIFRILDARLGGQYSLAKAQKAATLALQCISVEAKNRPNMEQVVAVLEQLQDSKETGANPQLQKKSSSKNAGSNGSKPSSKGKPANARLKEADLLTAIWARTF >OGLUM05G00830.1 pep chromosome:ALNU02000000:5:537258:538717:1 gene:OGLUM05G00830 transcript:OGLUM05G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAELSRGAVAAMSRMEQGLRPVLQVTDVRPAAGRYLVALFDGTKSGQGVLVASMAHLVRARAIRAGTIIRCKWTIKARVTAKSGLQHLSNDRGEAKFFDFDLLDEQGGEMLAKCFSSAAEKFYGLIEVDKVYLISRGLVKPAQEPFNSDYELALDASASVEVCSSDVI >OGLUM05G00850.1 pep chromosome:ALNU02000000:5:547184:550422:1 gene:OGLUM05G00850 transcript:OGLUM05G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer plastid envelope protein 16-1 [Source:Projected from Arabidopsis thaliana (AT2G28900) TAIR;Acc:AT2G28900] MLVRRPLPAETDGAGGWAPQGATAPGPFFFFFFFFFSLTITNKREEERRMPRGGFSGSISSPRFDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >OGLUM05G00850.2 pep chromosome:ALNU02000000:5:547354:550422:1 gene:OGLUM05G00850 transcript:OGLUM05G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer plastid envelope protein 16-1 [Source:Projected from Arabidopsis thaliana (AT2G28900) TAIR;Acc:AT2G28900] MPRGGFSGSISSPRFDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >OGLUM05G00850.3 pep chromosome:ALNU02000000:5:545321:550422:1 gene:OGLUM05G00850 transcript:OGLUM05G00850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:outer plastid envelope protein 16-1 [Source:Projected from Arabidopsis thaliana (AT2G28900) TAIR;Acc:AT2G28900] MPRGGFSGSISSPRFDVAIDMGNPFLNRTVDGFLKIGAVGACKVAAEDTFDCLHRGDVSKHKLEHMLKKMCKEGAYWGTVAGVYVGMEYGVERIRGRHDWKNAMIGGALSGALISAASNNHKDKIIKDAITGGAVATAVEFINYLT >OGLUM05G00860.1 pep chromosome:ALNU02000000:5:546388:546756:-1 gene:OGLUM05G00860 transcript:OGLUM05G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger protein [Source:Projected from Arabidopsis thaliana (AT3G46560) TAIR;Acc:AT3G46560] MDAAAAMAAGGGEEEEDKARMEAVADKLQTRDAIRLYNWVSHRCFSDCVTTFYRRTLGKKEEDCVRSCVRKFLLLSSASAARFAHLADPSSAFDD >OGLUM05G00870.1 pep chromosome:ALNU02000000:5:552696:553885:1 gene:OGLUM05G00870 transcript:OGLUM05G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCNGEIARLCGWWTLDRSPPFFLRISPQYASLLSRLYIPPPPRSSVHQQPKQEAILELNQQQHLSPSCLVKSFALPRRRRCLAAAATAAAAAAASAAAAAADARCSLMWRPQPPPGPSSSLLHPTRRALEEWRWRWRAARTAAAAATPASVAPAAAAAPAAPATESIVVVARLHEDLSYGCCYCRSEL >OGLUM05G00880.1 pep chromosome:ALNU02000000:5:565265:568231:1 gene:OGLUM05G00880 transcript:OGLUM05G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLASLATPCFADAAAGGGRGRGHHAAGDDAVAFDDDDAAGGCNSIGHILSFDGRDAPAFAIHGVLLPSNPSTMASTGGGGGGGASVLNDGALSIGSSSFDSSNSFSFRTLQPRQYSGPLEYCTTSPSTSGASSSRQLGPRTDKQILNDIYANRQRRRCQGSKGPPLLGRLRKAVASLLRAGPCGFPEQEEPAAMINGVGVVRNGEESISRNVDAAAADDGAERVQWARGKAGEDRVHVVVSEEHGWMFVGIYDGFNGPDATDYLADNLYAAVCRELNGVLSEDEPDPPEAAAAAGRCNGCGGAARHREVLDAMARALRRTEEGYFAEAEARAAECPELAMMGSCVLVVLMKGADVYAMNVGDSRAVLAHQAEPDLSHVVLPRGSHHDGDGDLAGVKEAIKRQFDEVKGCLKVTRAFGAGYLKEPRWNKALLEVFQVDYVGSSPYISCRPYIRHHRLGAQDKFLILSSDGLYDYFTKEEVVAQVEAFTASYPDEDPAKDGVP >OGLUM05G00890.1 pep chromosome:ALNU02000000:5:566851:576293:-1 gene:OGLUM05G00890 transcript:OGLUM05G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRCETGFMFITSKGYSQSISFTSLLSKNQSPTASAMVYSADSTLDHEQNIEEVNQEDWRNTDTFMDHPSAHS >OGLUM05G00900.1 pep chromosome:ALNU02000000:5:569283:578268:1 gene:OGLUM05G00900 transcript:OGLUM05G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTC9] MERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNVDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLGRARGSLRKEKEANENESGQDQENESTVMPR >OGLUM05G00900.2 pep chromosome:ALNU02000000:5:569296:578268:1 gene:OGLUM05G00900 transcript:OGLUM05G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTC9] MASPTSSASAPASHHLRLWWRRRGRGGAVGATFTVALLAAALLLALSLYASSLPRAPTTPSSSSNLVGLTLVRRAKEKGAVCLDGSAPGYHLQRGSGTGSQNWLLHLEGGGWCRNLRSCASRQKSVLGSSQYMERQIEFAGILSNDKFQNPDFYNWNKVKIRYCDGASFSGNVKNELQNGTKFFFRGQRIWEAVMSELLLKGLRHAKQAFLTGCSAGGLATFIHCDNFRTLLPKDSRVKCLADGGFFLDVEDISGQRTMRAFYNDVVRLQDLRGRFPHCGPNVDLGQCFFPSEVVKDIITPVFVLNPAYDAWQVQHVLSPVASDPQHSWLECRLDISKCDSNQLEILQGFRKKLHDTISELKHKKDWGFFIDSCFIHCQSLNSLTWHSPSSLRVNNKTIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLGRARGSLRKEKEANENESGQDQENESTVMPR >OGLUM05G00910.1 pep chromosome:ALNU02000000:5:576602:578939:-1 gene:OGLUM05G00910 transcript:OGLUM05G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGVSCARTGDEHDFFRAAQLGDLDALAALLAADPSLARRATLYDRLSVLHIAAANGRIEVLSMFLDRGAPPDAVNRHKQTPLMLAAMHGKIDCVLKLLQADANILMFDSVHARTCLHHAAYYGHVDCLQAILAAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCVQVLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYSVALKRNHGACAALLNPTSAEPMVWPSPLKFISELEPEAKALLEAALMEANREREKKILNGTKYSLPSPSPGDDSADDDACSEVSDTELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTPPSPACPFCRGSISRLVVAQTRSACDPDKPSSPQLTRKRSRRSHNLSEGSSSFKGLSSAMGSFSKLGRGSSRMADSDSSNLDKPEHDL >OGLUM05G00920.1 pep chromosome:ALNU02000000:5:584608:586350:-1 gene:OGLUM05G00920 transcript:OGLUM05G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKALGAVKDQTSIGIAKVSAAIAPELDVAIVRATSHEDAPAEDRHVREVVTLTAHSRPYTAACAASLSRRLSRTRDYVVAAKCLALAHRLVADGDPHFRHELVRPAGRRGAPMLALLAEFRDEAHSASWDHSAFVRAYALYLDHRARFLISLLPAPRTVRFADETGSPPRGSTAATVQEMDTEALLGRALQLRQLLDRFLACRPSGGATQSRVVLATLYPVVKESTQLCSDVAVVLAVLLDRFFDMDYPDCVKVFEAHVSTAKQTDDLLAFYGWCDDVRLARPADFADVKRIDDKLLETLEQFVRERGRAGHSSPPPWQQQQQQTAQSDELDMNGIKALPAPEHHAAEPSRSAPEKVAPEQMAPPPPPQTGDLVDLREPVVEDEQENKLALALFSGTENGGWVAFPSDDAAEVTSAWQTPAAEAGKAEWELALVETASKLSRQKASMGGGLDPLLLHGMYDQGAVRQQVGAHAAATGSASSVAAPRRAPVLALPAPDGTARTTGGDPFAASLGVPPPAYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQVAMDRLAAGAMPVQYGMPMASAYGGYY >OGLUM05G00930.1 pep chromosome:ALNU02000000:5:597168:598596:-1 gene:OGLUM05G00930 transcript:OGLUM05G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPPAFLDSSSWNDNNNNNHNQQQQQQHAHGHHQHHQVAAGCGGGGGGGGDGNSHELLQQQSMIPGTLADGGGGGGAVGPAKPMSMSERARLARIPLPEPGLKCPRCDSTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKPASAAGSASAATTTAGSTPAGSTTTTTSSTCATPNAPALPAMLGGNLSILPPLLRLADFDAMSLGSTFSGMAAAAGKPPPVDAAGCYSVGAATGLEQWRLQQMQSFPFFHAMDHQAAMAAPPPAMAMPGMFQLGLDGDGHGGGGGEDGGELHHAMPSSKREGYPRGMYGDHHLAGGYTSYSSATTGNHLL >OGLUM05G00940.1 pep chromosome:ALNU02000000:5:618380:619637:1 gene:OGLUM05G00940 transcript:OGLUM05G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGARGGDDEWSNLLPFFYDEAAARADGERALERQREKERKEAEHKAWREACDAARDKILEYDPKHGCRTYTRLWFCSSILFNLDEESPIGPMCRTVDRGQPPLHRAGDSRLRMSLNVLAVNIVSSDVGYPVLVYGTVIARDDETLVLTGPTRSIEVSDSVFFEVNLKLEEKEEDDGDVVVDDREFSKGLIEFRSLSMPKGVEDVVVGSCSTLDSRLSTVELSYAYIGGAVEAAVDVTLRLPAAAGAGRHRRCFHGQITACSSSIPDASIVLYDSSKVNATSNSSAGGAAGDVAVDLARRVMAVRAADELVLTLIAAAGDADCHHYRTNVEFTPRICGSESLEVSICGIKLLIKVSWSAF >OGLUM05G00950.1 pep chromosome:ALNU02000000:5:620742:623243:-1 gene:OGLUM05G00950 transcript:OGLUM05G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDRLAISGSRPKTKRQRLSEVPLGREFTSAAAAAASLRLERRSQRRRRRIAGASLISSPPIFRRRRRSSAGGTRVLFRSLLTDHTKERGTAVPMGMKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTLKDKWCILKEATRLYGASWVRDIGPDLRPNDYKKVKEEPDIKTEEGSSEPTTVEDLLGALKGGAEKAKPALQRMYMARASNYTDALKNYVESYKEGLKEHLEEEAMGKGHRQGNDATKPPQSPSS >OGLUM05G00960.1 pep chromosome:ALNU02000000:5:624353:625168:-1 gene:OGLUM05G00960 transcript:OGLUM05G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSCSPLVAVLCRGDIPAPDCALCASAAARQLAGACRGRADARVWYDYCFARYDDADFVGLPDTGYALILLNTQNATDPEAFEKAQRKVMARVAADAGDAGGGGLARETARFKDGVTIYGLGWCTRDITAADCGLCVAQAVAEMPNYCRFRRGCRVLYSSCMARYETYPFFFPLDGGQSADASASAAGDYDRVVLNP >OGLUM05G00970.1 pep chromosome:ALNU02000000:5:627836:630019:1 gene:OGLUM05G00970 transcript:OGLUM05G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSCIKRLPRFALRRGVSDAFLRPLLRTAFLLLRRRRLSTAESTHPPRRGRFRRDPDSDESKLVSLARQRGAAAARAVFDGMPRRDDAVAYAAMVGIHLRDRDLPRAEALYRAAPPDCRGIHLDTVMLDGYVKAGQVDRARRLFDGMAAKNVVAWTCMVSGYCRAGHVEEARRLFDLMPYRNVFSWTTMVQGYAHNGMLREAREMFDKMPERNVVAWTVIVKAYVDNGCIQEALELFNRMPQMNSYSWNAMATGLMSAGKVDDAVQLFDKMPHKNVVSWTIMVTGLAQNGFVSRAREFFDRMPKKDIPAWNSMITAYTNDGQVNDAQRLFDLMPSKNLVTWNIIIDGYSMNNLKDEALRLFLLMLRSAVSPDSTTLISILVVSESTMEVRQIHGLSTKLGYQSETNLGNTLVTMYSRSGNLSSAWLAFRRLNEKDAITWTSMIQALANHGCAPCALQGFAQMLRRGYKPSSTTFTAVLSACNHVGLVEKGRKIFKSIRHVYGLEPTIEHYSCLVDLLGRAGYVREAKEVVDGMQRDMCDEAILGTLLGACMTHNEVEVARAVGEDLVKIDPSGSGGYTLLANVFASGRMWNEVASVWKIMKGSKVKKTPGFSQIEVNARNHVFYSRDQMHSQRTEIYEMLNSRLVPQMKGSSSLGTDSTDQNDLLYALESSPKGYQYNPDIHPLASMERSNAKVFENRSSSMLTSGLQKLQAWRDKVAWKFKTSL >OGLUM05G00980.1 pep chromosome:ALNU02000000:5:630295:633725:1 gene:OGLUM05G00980 transcript:OGLUM05G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLIILGRTEKCRYEEAGGASEVRTSFMPPAVFIAVLMIDGWIDRYWGWDDEELRSSKRQLCWERPLSNPRYQLMTTRLAA >OGLUM05G00990.1 pep chromosome:ALNU02000000:5:634634:638690:1 gene:OGLUM05G00990 transcript:OGLUM05G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39570) TAIR;Acc:AT2G39570] MPPVGEVAAAEARSGMVLGGGAAAAAVGGGEDAVVMQVAGAEGQDTVITINCPDQAGLGCDLCRTILEFGLRITRGDVSTDGQWCFVVFWVVPRTPSIKVRWANLKNRLMSMCPSNYPMTFYPEITQPGPSQFYLLKLFSADRKGLLHDVTHILSELELIIHRVKVSTTPDGRVIDLFFITDGMELLHTKERQEETCSMLIATLGPSISCEILLAEGFQQGFSSLPPTISEELFRLELADGDNCSRSICAEMKRMQKATINFDNTLSPAHTLLQINCADQKGLLYDILRTMKDCSIQVTYGRFWSDKKGFREVDLFIKQADGKKIIDPEKQDVLSSRLRSEMLHPLRVMIVNRGPDVELLVANPVELSGKGRPRVFYDATFALKALGICIFSAEIGRQAASERQWEVYRFLLDDSSEFPLSNSLVNRNRIVDRVRKTLLGCYN >OGLUM05G01000.1 pep chromosome:ALNU02000000:5:643353:647794:1 gene:OGLUM05G01000 transcript:OGLUM05G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H+ exchanger 20 [Source:Projected from Arabidopsis thaliana (AT3G53720) TAIR;Acc:AT3G53720] MRHPRALIFFQLHTNSNPPLLRPRLLLRRRRRISPMGMSSPVTEAGMATVKTSSNGVWQGDDPLHFAFPLLILQALLILLLSRLLALLLRPLRQPKVIAEIVAGILLGPSALGRNKAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRGELPGAARAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGSGDHRSPIVSLWVLLSGAAFVTIWMVFVKPAMAWVARRSDGQGGGEVWVAATLAGVLASGLATDMIGIHAIFGAFVFGLTVPKEGEFAGRVTERVEDLVSELLLPLYFASSGLKTDVATIRGGGAWGMLALVIGTACAGKIVGTFAVAMACGMSAREALVLGVVMNTKGLVELIVLNIGRERKVLDEETFAILVLMALVTTFITTPTVMAIYKPARNAGRRRLHHRKLHGPSAPSSPSAAATAGAKELRVLACIHGGHDVPALINLIETIRGHTQPRRLVKLYILRMVELTERTSSILMARAARRNGVPFLRPRRGGGDQVDVAFDTYAQLGHVHVRPMTAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHPGHGHGDDLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVQVTVVRFVDGKEGSEEHAEVTLRPSNTKNADKSYTFSTAIVDTHKEKELDEAAVAEFRQRMGAMVRYEERVVVGNVIEEVVSIGKSREYGLVVVGKGRLPSAMVAELAVRAAEHPELGPIGDALASAGHGVTSSVLVVQQHDMSNADELPVSVVVDGHAHDDGELGGNKDMAEP >OGLUM05G01010.1 pep chromosome:ALNU02000000:5:647847:653760:1 gene:OGLUM05G01010 transcript:OGLUM05G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYWGGPMEERAAHEKMRWGPTHGRCGEQASVHSTRLPNTNTTTTSHTHTKRRAPEREKGSIDARALCLDSFVAGESVPFAPPAMANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >OGLUM05G01010.2 pep chromosome:ALNU02000000:5:649572:653760:1 gene:OGLUM05G01010 transcript:OGLUM05G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPAELAINIANAASGMAVDDECKLKFLELKAKRTYRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAASLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEVGLDVIRGRAN >OGLUM05G01020.1 pep chromosome:ALNU02000000:5:651193:653025:-1 gene:OGLUM05G01020 transcript:OGLUM05G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGGKSLPEKHSALADATSGVAAENADNLSQAGKYMDFSSTTSLGVKKGFQKCMTFPPSSGEAQQQQGSCCDADKNPKDVPTYERSVSLPPALKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGEFDRLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >OGLUM05G01020.2 pep chromosome:ALNU02000000:5:651193:653025:-1 gene:OGLUM05G01020 transcript:OGLUM05G01020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHSCGDLGRVSEVISDGTSSGSADGGKSLPEKHSALADATSGVAAENADNLSQAGKYMDFSSTTSLGVKKGFQKCMTFPPSSGEAQQQQGSCCDADKNPKDVPTYERSVSLPPALKIISAMKGSRQKNGMASPTESRHVKWAPDVYDPPVTSVCHSVNNSYQRRSKSRKKDKNKQKQKQKQKGRSKKNHQNAIQSSAVLQAPGLKDAETTSSNSAPDDLNKHETDILDYGISSQDAKCGSSFLRESAAKMHFSTAEAS >OGLUM05G01030.1 pep chromosome:ALNU02000000:5:739563:740112:1 gene:OGLUM05G01030 transcript:OGLUM05G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTE4] MDVGVGGKAAKKAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEASHCLSLPLPNPSKPSMVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTAEKADKPAKASKDKAAKSPKKQARS >OGLUM05G01040.1 pep chromosome:ALNU02000000:5:741209:744269:-1 gene:OGLUM05G01040 transcript:OGLUM05G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGSSNEDQRSGKYNTLLSLSLSVFIFLLLLLLLFFKSFQSPLLLVCRGKASSLLLPSRVSSSSHRAASPLRPQIQIYSYSYYQAVEKKTGSAPVKAPALNERILSSMSRRSIAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIVVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIKHSMDLYATYIVEGLRR >OGLUM05G01050.1 pep chromosome:ALNU02000000:5:749110:753716:-1 gene:OGLUM05G01050 transcript:OGLUM05G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37440) TAIR;Acc:AT2G37440] MRKGNARFPKSSSWPRTKTVVKKWLNLKNEEFHSDCINESFGQGRQERRKSCSDKDGSLLTGRDLSGGWLVESSENLRPPARYGSHPPSSSCQPPKELRMFVGTWNVGGRAPDQGLDISSWLLDQQPASSPAHIYVLGFQEIVPLNAGNVLGAEDKGPTYKWLDLIRRALNPSSSEISHSFPSNYPYATEASPERPKNDRVSFSDLLAMEDRLSMVSELDDDSEPSTSNPESSSEEETTDVATRYPRSAGQGYRLAASKQMVGIFLCVWVRADLMPCITSLRVSCVGRGIMGYMGNKGSISISLTVQGSTTMCFVCTHLASGEKDGDEVRRNSDVVEILKRTRFTRRRRLSAPAAAAPSPETILEHDKIIWLGDLNYRLTGSGSGDTQELLDKNDWQALLQKDQLRVEQRAGRVFGGWEEGQISFPPTYKYLADSDTYAAAAAFTSSASKKRTPAWCDRILWRGSGMEQVRYARGESRFSDHRPVNSLFSVQLAAGGNNAKPDHLHLLLLRPRAAGPEAAAGIGLRSSRF >OGLUM05G01060.1 pep chromosome:ALNU02000000:5:773274:773870:-1 gene:OGLUM05G01060 transcript:OGLUM05G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFAFEDSDMARVLMLMSSHGQQEQALALPVPVQLPLAAARGDRAPERAFVCKTCNRVFPSFQALGGHRASHKKPRLDGDGDLSLSKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPRAIVVDKKPDVVDVHVHGHDDDGGIKRGGLWLDLNHPPCDDAGDDDAECGHNAAGAGITFHQFLDTGAMAVDCVGY >OGLUM05G01070.1 pep chromosome:ALNU02000000:5:778739:781591:-1 gene:OGLUM05G01070 transcript:OGLUM05G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplastin interacting protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55340) TAIR;Acc:AT3G55340] MVLARKKLKQKLREVVPNPGGDAEGEVEAEEGVVSSSRSKRVRPKRPKKVMTPMEAVERRKLKREEQFRREVELRREERRKVKKEKRRIRRLMEAEAAGEVGEAAAAEEEEEHEAETEGEEEAAAEEQVPAKVVEKRKPKSEPKVKNQKQLQVADHAVGSDDPVLSEESEKNAKKVYVGGIPYYSSEDDIRSFFEVCGSITSVDCMTFPESGKFRGIAILTFKTDAAAQRALALDGADMGGFFLKIQPYKSVREKEDFAPKMIEGYNRVYVGNLAWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYVHVDFSEGTSVAVAMKLDQKVIKGRPVRIRCAVPKKDNQKLNGNANPDSSNNKIRICYECGTPGHISSSCPNKKASEAISDEKKANVDSVTASSKKRRTCYECGIPGHLSSACPNKKAGDAVSSDKGPDDETKSAPSITPEDNKIGEETNSAPSKKRRKCYECGIPGHLSSACPNKVAAVNSDMEKSYGGSSTIPSATSDGNKASDDTNPVPAKKKKRRTCYECGIAGHLSSECPNKTT >OGLUM05G01080.1 pep chromosome:ALNU02000000:5:781671:786348:1 gene:OGLUM05G01080 transcript:OGLUM05G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKAATATAAEAAARVYPWREKLAKYKGELTKGVWGYWELGAWKPLGLSARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEESGKS >OGLUM05G01090.1 pep chromosome:ALNU02000000:5:791397:794240:1 gene:OGLUM05G01090 transcript:OGLUM05G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKAATMAEEEMMMRMMCGSGGNEMMKTKMKKKNREGEEEEEVSGGGRMRKGPWTEQEDVQLVWFVRLFGERRWDFLAKVSGLKRTGKSCRLRWVNYLHPGLKRGRITADEERLILHLHSQWGSRWSRIARSLPGRTDNEIKNFWRTHMRKIAHHAKKKTNSPSPAPTTSSGSLSSSLTTATTTMATAAALQESSSCGGDDEAVDQLVAAATTPASQLLTMDYTMDQLWNDIAAAEADTSCYDAAAMASPPSPVWEFCTDYSLWRIDDEEYYKKMLDASQ >OGLUM05G01100.1 pep chromosome:ALNU02000000:5:845922:849415:1 gene:OGLUM05G01100 transcript:OGLUM05G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEPLPLGFGDGLDATLLSSLWAFQDDLQPQESMEELKQSLMATTLELDAAKEELKKKEQSIAKLADLVRHVAKERDDARDQLQRLLAAAAAKPPPPPLVTSSVTDSDGGSLVSSPAADNPFFDPVTSSDKRCCAIASPPPAAKQQHAAAAGADAVLDMLAMKKPLPQRGRLLQSVMEAGPLLQNLLVAGLLPRWRNPPPVALDTLPVGVRAGGAVYPGASLSPGITSAAAVIGYGGGANACGKRPMAAAAAAVAASCSPAAFSAKRHRLH >OGLUM05G01110.1 pep chromosome:ALNU02000000:5:848468:852271:-1 gene:OGLUM05G01110 transcript:OGLUM05G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRGGGGDRPAHGGEDAGPRVEEAGSCFFSVDGWATRPPPNEPTGRKLDARQPSDPVHSIGSDGQCSRCRLALNAAGEQLAATAAAAAAMGLFPQALAPPP >OGLUM05G01120.1 pep chromosome:ALNU02000000:5:852590:858022:1 gene:OGLUM05G01120 transcript:OGLUM05G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQVAHFVRLRSSVRSSRYLAAADDGTSVFLCGRRGVHNAVWAVEPVIGVIPGASAGPYVRLRGAYGRYLVATNYGAGRGPSDGVVAEQRDLGVRPTPPGYLWQAFRRRDSFVLRNGAGRYLRANGRFRRWHKDVSVAGDNASTMMQWRVEVLMHRTNPPVESDLSRVIRYVRADNAGRYGEQEWAPVRVNTNNLTHLRLTMAERLGQNCDAGQITLCVRAGRYAHLSPLLVDLPMGNNPIHIVVLNHGTPGD >OGLUM05G01130.1 pep chromosome:ALNU02000000:5:860238:861399:1 gene:OGLUM05G01130 transcript:OGLUM05G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKISLKLLVDSKSKKVLFAEAGKEFVDFVFSLLTLPVGAVVKLISAGTMQGSIGRLYQSVEHINASYLLPNKDRADLLQPKVLHPDARELLLLQPESGGAGGSPLARFKLYTCAGYCATAAMEAKAACPQCKQAMATEVALVLPSASSPAQSSAAASGGDGESSGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGKDVELAEKYVSIAMDEGLGILKAALRSDTVLRSDTVLSDVFLAKKK >OGLUM05G01140.1 pep chromosome:ALNU02000000:5:862800:874104:1 gene:OGLUM05G01140 transcript:OGLUM05G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAASASAAVAGTLPVAAAAAGKDKEDRRRLLGRCGFAVVGIMSTLLIYGLLQEKIMRVPYGAEKEFFRYSLFLVFCNRITTSTVSALVLTASKKSLDPVAPLQKYCVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVVVTVGCSLFILYPASMDASPFNRGRENTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSDCFYDVIILSSVATASQFFISYTIRTFGALTFATIMTTRQAIVFGALYTKSFLRSKPQKPAAANPSNSANNS >OGLUM05G01150.1 pep chromosome:ALNU02000000:5:869865:873951:-1 gene:OGLUM05G01150 transcript:OGLUM05G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MFFRSVPLALRLSAPCKTKTPASNRGPHRAAAGTNLGADRTASPALKTLTHFPSSPLLSSLLLFPFSHPRPRCSPRSPDLLVPKRRDSPRVDPTPPDLSVCVAGGPIRVAMATPDDGGGGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >OGLUM05G01150.2 pep chromosome:ALNU02000000:5:869865:873951:-1 gene:OGLUM05G01150 transcript:OGLUM05G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MFFRSVPLALRLSAPCKTKTPASNRGPHRAAAGTNLGADRTASPALKTLTHFPSSPLLSSLLLFPFSHPRPRCSPRSPDLLVPKRRDSPRVDPTPPDLSVCVAGGPIRVAMATPDDGGGGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPTSLGLSPASSNFSHTLVPSSRSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >OGLUM05G01150.3 pep chromosome:ALNU02000000:5:869867:873489:-1 gene:OGLUM05G01150 transcript:OGLUM05G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT3G55270) TAIR;Acc:AT3G55270] MATPDDGGGGPLNITRRSLDEWPRAGSDDVGEWPNPTTPGASKAEGAGSAKPGEGLRLDLSSLRSQGRKDQIAFFDKECSKVADHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQLVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSPYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDQVMEKDARAAAFQVVRYEKVQGHIKVVREGLEPPEFWDAFSSAPVNSDSNTKISKDQIDSASKTGPGNRRVESYDADFELVYKAITGGVVPAFSSSGAGDETHLPARESTWSSLRRKFISRSLARVYSDSALIRDLDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSISSKYSSDSPSLSPSTSSPTSLGLSPASSNFSHTLVPSSRSPLHQSSNEEPSKSGLGSIRSPSKTSSIAERRGGFSSLKLPSFQKDLVLPPRVPTSLRREEEVTDKSNNNSVKQLTGVCCPEKCTGNTSTVHTKTGITERTDSISEACGNLQLLVYRWPSKEKLTTFTRKDLDPKSVLIFVTPEDSRSEAVKTVHIWVGGEYESSKCVDTVDWQQVAGDFFHLKELGNTLPVKVFKEHETENLLEVLNAR >OGLUM05G01160.1 pep chromosome:ALNU02000000:5:876244:881753:-1 gene:OGLUM05G01160 transcript:OGLUM05G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-hexosaminidase 1 [Source:Projected from Arabidopsis thaliana (AT3G55260) TAIR;Acc:AT3G55260] MPPKLLTYLILALLAASAAAARRHPSPASSAAAGEPVYLWPLPRNFTSGSRTLLVDPDLALDGQGPGGAAAAVAEAFERYRSLVFSPWAHAARNASGGYDVGKLTVVVASADEKLELGVDESYTIYVAAAGGVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWYIEDEPRFAFRGLLLDTSRHFLPVDVIKQVIDSMSFSKLNVLHWHIIDEQSFPLEVPSYPKLWKGSYSKLERYTVEDARDIVRGKGYPKLWPSPKCREPLDVTSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVKQWLHERNMTTKDAYKYFVLKAQEIAINLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVEKGFRCIMSNQGVWYLDHLDVPWQDFYTSEPLAGINNTAQQKLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSQLEAISAQDLETTVLARLHYFRCLLNHRGIAAAPVTNSYARRPPIGPGSCFIQ >OGLUM05G01170.1 pep chromosome:ALNU02000000:5:885222:887588:-1 gene:OGLUM05G01170 transcript:OGLUM05G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLTPRQARKAYGGDGGTYYEWSPADLPMLELANIGGAKLSLNAGGLALPSFSDSGKVAYVLQGKGTCGIVLPEASKEKVIAVKEGDSLALPFGVVTWWHNLPESPVELVILFLGDTSKAHKAGQFTNMQLTGATGIFTGFSTEFVGRAWDLAESDAVKLVSSQPASGIVKIKSGQKLPEPSAADREGMALNCLEAPLDVDIKNGGRVVVLNTANLPMVKEVGLGADLVRIDGHSMCSPGFSCDSAYQVTYFIRGSGRVQVVGADGKRVLDTHVEGGNLFIVPRFCVVSKIADASGLQWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNATPEMEKLFRSKRLDSEIFFAPN >OGLUM05G01180.1 pep chromosome:ALNU02000000:5:889171:892331:-1 gene:OGLUM05G01180 transcript:OGLUM05G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVCVKAAVGHPDTLGDCPFSQRVLLTLEEKKVPYEMKLIDVQNKPDWFLKISPEGKVPVFNGGDGKWIPDSDVITQVIEEKYPTPSLVTPPEYASVGSKIFSCFTTFLKSKDPNDGSEKALLTELQALEEHLKAHGPFINGQNISAADLSLAPKLYHLQVALEHFKGWKIPENLTNVHAYTEALFSRESFIKTKAAKEHLIAGWAPKVNA >OGLUM05G01190.1 pep chromosome:ALNU02000000:5:894621:896084:1 gene:OGLUM05G01190 transcript:OGLUM05G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDDSSPPDAKRLRRSPPSSSSPDHLLVDEILTRLPIAAAVRLRAVSRAWNAALTSDHFILAHRARAAAAARRHPELLFFAPPDHRNTTTFYACSLLGGQPPAAARELLTIDYFSAKHAVMSPTPCRGLTLVSDGRAPRYHLLNLSTGDHRALPPCQPAAKAHPDPLAWLPRGTTSYLPSMTPWRPFELSTTGLGFDTATGEHKVVRLFKRRNGEHVCEVYTLGKPGGWRPCAGRVPASAASILPALPPVFVNGYLYWLLRPAAPGDEQIRRILSFSIGAEQFGSVYVPPRLSSRMCHLANLDGSLCAVFDNRGAGGVYGLFTCSDPSPSLSPSWSVRCSIYLNRLPREVSDELMEERVIVPLCTAGGKILLATGRHKVFAYDAERNTVERVFRMQEFVDVPNDCLEASLLLSVGLHDECIADVHHNGDGGGGGERMLFVNTGRRGNTVVKREVPVEYHDDSDRRFNVLFEGFKEDSCPLPPHMNM >OGLUM05G01200.1 pep chromosome:ALNU02000000:5:896386:896805:1 gene:OGLUM05G01200 transcript:OGLUM05G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPLAEVKWEVVERVGEKPTTRGDGGEGGRAERGIPGVRGSSAWWWRRASSRVERRQRPRTAMLAAFKQRLKLFRLTCDHAEELIESIRQRIGSECLVDKATSASSSSSFNSLASPTEQPPPQSPCDTPTAQIGRRR >OGLUM05G01210.1 pep chromosome:ALNU02000000:5:907975:912167:1 gene:OGLUM05G01210 transcript:OGLUM05G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGGTTGGSRPAAKHHRRPRPPSSPAPSSRRAARTATTSPESKGATALQDPVVSSMEETSFTFEFKRGSKRAKKTMLPEESHKGKDDSTKGFSNKRNLVPAKTPSAKERPEQVEFTHCSPGIVARLMGLDTVPRPKKALDRCQSDIQCNMQRVLSGGDKVYDASSEDQPCSSSADDLPELKDVFEVTEMENKETCIGLQSGNEEPCPRSDKDDLEFVRQKFLDAKRLSTDESHRNSKEFAEALEILYSKKDAFLEILQENSGALPGFSGHIFGHNGLQYSKLFEQDNCSRMGVERDEFFNVPKELENPIPSSRLNETYGVPLDSLPPKGSKSKGSSRRSQIVVLKPNLQRKSFTPVLSSETSHFGEMSTHNCSRPQHHCMHRGRVTHSAPLNNDQVLQPKRDTPGLRGAIETPKIGSRRKSSERECQLAICSGRATDTPSSFEDNLPIYPPNHSVGSSVWRKAKKHLSEQWQMAYQSDEEISMPKDTITLGEMLEMTDRDATKVITHKISSETNYNHDNVQKAPACPVGISSKDGWKTGIYCKDNSRSGTSRNFSRSKSLPTSATNNAKLPCRKQSAPTCNLPILKDLLNAPTDESGSEHVRNRSSFRKTKQRSGRAIIHAGKENMLPVKEIHVTSEKARHSICISDLSRASNTHSEHLDGVMSNEDHQTSGSTALDDDLQSSKERMGWTELKLTPPLPVTKEDTSIHNQDNIVLKDQEGRNQQVEIDIAEAESQAIDSSHIISLENHKCSNSTASLQQICGHDTAYSGIFKGVSDGIQELRMQLKMLKMGDQDDTCGDDIYMLSSDECSDTDNLTYQLMEEQLPVFKDEYDRDFSYTNDILGSASDFLVYPEDWQVSPDVFVWLEDKYSKLLIWSKSDRRLLFDLINSILADMTAPGNSLCSNIMVKCWSEMDPRKLAENVWQTVLNRMNYEPFSLDCVEALPLDHHSEVEAIGAEIVKMLHDDILEESVAEFISQ >OGLUM05G01220.1 pep chromosome:ALNU02000000:5:912590:913933:-1 gene:OGLUM05G01220 transcript:OGLUM05G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVRIESSKIVKPLYDAGGGGPPRACRSAVTYDVYMAVIYAFRPPTPPNAALELGLAKTLAVYREWAGELADGGDAGGALFVEATVSAPLAAVMPFGPSPELLRLHPSTAAACGGRAGRELVRVQLTRFACGSLVIGFTSHHRVAYGQAAGNFLVAWGLASRRLPVAPLPVCDRATRFPPRHPPLVQFPHRDTEYYAPKKKKKNHDAGAVAVAVEDDDDELATMAHDKIKHVRERGRPPLARGLAAGEATTLRVSVNGRTRMRPAVPRGYFGNLVLWAFPRCAAGELASRPVQHAAELIRRAVARADDAYFRSFVDFASSGAVEAEGLAATADESQAVLCPDVEVDSWLGIDFYELDFGGGGGPFYFTPSYLPMEGTVFLVPSFAGDGGIDAYVALLETHLDEFKKICYTY >OGLUM05G01230.1 pep chromosome:ALNU02000000:5:918780:919638:1 gene:OGLUM05G01230 transcript:OGLUM05G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIWRRNTSQALLLLLLLAVTAAAAEARRAAVGMRQLKSASSSSQHDDPATSDDEGPVLFPSLTGTLPPSHLSGGSSGRASPLPVAGLAGGDEEVSFPAKPRGEALTLMEREWQEKELLLPRRSDDDDYTSTNTGMKRGLVELERDHRERKFGSGQWL >OGLUM05G01240.1 pep chromosome:ALNU02000000:5:922133:922483:1 gene:OGLUM05G01240 transcript:OGLUM05G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERNVTAAAAMASLVLLMLSTTATARRARHGPPGELPPIRTPPPPSVISGPRVSPVLRSVPTGPNPITSDPPPPPPNHERFQADEDEGVLFPSKPRGHVPPSGPSKPPPSYHLS >OGLUM05G01250.1 pep chromosome:ALNU02000000:5:925846:926753:1 gene:OGLUM05G01250 transcript:OGLUM05G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVERNNVAAAAAALLALLPMMMLSSTATAARPVHGGPPGELPPIIITTPPTAISGERDFSVLRKVPTGPDPITSDPPPPPPPSTPTQFSVLRKVPTGPDPITSDPPPPPLSEFPVLREVPSGPDPITSDPPPPPPPLSEFPVLREVPSGPDPITSDPPSPPPPLTEFPILRKVPRGPDPITPGPPPLLGV >OGLUM05G01260.1 pep chromosome:ALNU02000000:5:928148:932426:1 gene:OGLUM05G01260 transcript:OGLUM05G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) TAIR;Acc:AT3G46200] MLSAAAAAAAACGLDTGETHRGRVEYSPTTRPAAARHLAVSLSAASTRRHMASAAAAADPGAAYKLLLSCPAGLPRSRVSVKFDQSFDRIPHPDAALEESISVIWNQRLKQNPSSYSGTKFRYGGHAVHYKDEPNKEYCVSLHLGLTDYSTFVGTNLNPLWEKFLVPSEDDSVHCQHMSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >OGLUM05G01260.2 pep chromosome:ALNU02000000:5:928204:932426:1 gene:OGLUM05G01260 transcript:OGLUM05G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 9 [Source:Projected from Arabidopsis thaliana (AT3G46200) TAIR;Acc:AT3G46200] MSNPLGNGAIVQTSDEKIIVLQRSYNVGEFPGYFVFPGGHSEPQEIGILAHQTDEKDLAVLNERVSQEMFDGIIREVVEETGVPSNSLTEPVFIGISRREMNVRPTAFFFTKCNIDSGGVHELYSRAQDGFESTKMYAVSEEELLGMTDRMPGCHRGGFALYEMMKTAAKKS >OGLUM05G01270.1 pep chromosome:ALNU02000000:5:932581:949347:1 gene:OGLUM05G01270 transcript:OGLUM05G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEVMEAVNVPLLFKSSARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHNHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLLVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKVPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >OGLUM05G01270.2 pep chromosome:ALNU02000000:5:932581:949347:1 gene:OGLUM05G01270 transcript:OGLUM05G01270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFELLHTTSGKEYITSDHLKHEIKMEIKKRGRASLVDLSDILGVDLYHVERQSQKVVADDPSLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSELVVNILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQLQLQEMHGASGVSVEGSFFQSIFNGLLKEGVVLGSVRAGVQWTPAVFAHAQKESVDAFFSQNSYIGYEVLQKLAIPQPKQYLEARYPDGIALEAVFVHPSVVDMLDAAVGDTIENGQWIDALSVLPSYITGPDATKILSLCPSLQKAIKVGVFKSSKAVVFGESCVFSNAFIKGIFDRLEKEMDSFGIKHSAGQGKPSNMSSEHRIGSDGKDLGDNDTSSIGASSDKGPKKKRGKVSGSAKGAAVEKDDDNEESIPVKGKKAHRKNKDAGSSGDAKHGGKKASEKTKEDNTNIFPDDLIEQKVLTVAPELEELGGSDDLNGPLKLLSSHLRPMLMDAWMKKRNTMLSENAERRRRLLDNLQKQLDEAVLDMQLYEKSLDVFEDDPATSAILHNHLLRTMGAPVVDKILLTLHKDNKLKNGMDVEDSEENVQLSTADRTSLAKDLPGSLLVKAQALAETLEGKRFDSFMDALRDTAEESGLLFKKLDKRLERSMLHSYRKDLTAQVSSENDPISFLPKVVALLFLQAYNKALQAPGRAVGAVIALLKDKVPAPTYKVLADYHSTTVKVLALQAAATEDGEDCATDRMLERKEDLEERLMPELKSLVLGTSKE >OGLUM05G01280.1 pep chromosome:ALNU02000000:5:956096:968516:1 gene:OGLUM05G01280 transcript:OGLUM05G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQTTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGNLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDMKHFLEVQEATPPSITDPRAPLIARN >OGLUM05G01280.2 pep chromosome:ALNU02000000:5:956196:968516:1 gene:OGLUM05G01280 transcript:OGLUM05G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRIGLTSPSPKSTEKSGRDLRSGGDANGGANTNSNSIPRGDKEKGVNVQVILRCRPMSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPASKQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKTKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAPEEPKFPIVPEDKTKKPIALMEDGKGGVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAVIKDLYSEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELSEKLGKTQKDLEDTKNVLHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLRAELENAAADVSGLFSKIERKDKIEDGNRSLVQRFRSQLTNQLDTLHKTVSTSVMQQENHLKEMEDDMQSFVSSKDEAAQGLRESIQKLKLLHGSGITALDSLAGEIDMNSQTTFERLNSQVQSHTSSLEQCFGGIASEADNLLNELQCSLSKQEERLTQFAKKQREGHLRAVEASRSISKITAGFFSSLDVHASKLTSILEETQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSHARKKKLVQTAVGNLRESAVNRTSHLQNEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKAKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQSLRSKLSSAVSTTLEEIDIANKALLSSIDSSLKLDHDACANIGSIIKPCHEEISELKGGHYHRVVEITENAGKCLEEEYLVDEPSCSTPRRRQIDLPSMESIEQLRTPDYDELLKSFRESRASLKQANGDMKHFLEVQEATPPSITDPRAPLIARN >OGLUM05G01290.1 pep chromosome:ALNU02000000:5:964264:968070:-1 gene:OGLUM05G01290 transcript:OGLUM05G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRSSGGGRVPRLDGEASPDATEEEEEEEEEEEESPATPSQESDAGDFSGEEENGGDVGMEEEEEEEDDEVEEEEEDEEDSGMGSDELEITELGEAGSEMCQVGDQSVAVPLELYDLAGLGDVLSLDAWNTLLSEEERQRLAALLPDMDQETFARTLAELLRGDNFHFGSPLAALFDRLKGGLCDPRIALYRRGTRFAERRKHYYRLQSYHNSMVRGLWDVKDCWKGCQGYNINEKLRALDAMKAQQQQQQQQQQKAHLGLGGRAGSETDSESREYGDPSLMRLKPDKTVLKKSGKPEKERSKGLLRLGAPKGLGEEYIGGAGRDAAMALSELSRQDNAYGYDSGVMRRGKPRRSQQGLHSEELGDDRDLRMIRSHRPMPKPGKKELAASYDGNLYGNNYHENQNGSSYYYGRNANANQGVTVAAAYDRPYFDTAKNAKYSDRDWMYGGQGMSSKALKGDEMDWPAGSYAGSMNDWQRGQSAGDYRSRKTQAGHGLKVKSYKSIEKQISDANFGSDHRGKIPGKIKGKSTSQYDRIGQKYSRSNAVYTQSEETESDSSEKFEGGGDMDLKRQPEHHSGSHRPAYSAKKLNKLPKASKVNYPTATEDFEPYQSKGTHRVNVTESDYLRDVHVTETEQISEMMRPPAARGERKRKVMASVDTHDHGNTELPDSNENADESLRSPENGERLASGSGCVDSNGDVEKKKMPLASCSSGSKKQKRRVEATSPAEHGEDAPSAPKLVENSSSSKKKGKKKPAAPEAVTDAVVVDEPAPVLPEVNVVVVEPEKPKKKYVPITPTIHTGFSFSIVHLLTAVRKAMATPTEDTLSAKQPDGEESRKCFNNEEHCKTPQDPSATEQAQQGHEAVDASGPEKAQQGHETADASAAEQTMPSNLPAFTVQEIVTRIRSNPGDPNILETQEPLQDLVRGVLKILSSRTAPLGAKGWKALVSYDKSNKSWLWVGPLPSGSSDGDPNEETSPDAWGIPHKMLVKLVDAFANWLKSGQETLKQIGSLPPPPAPDPANLDLKERFKDLRAQKSLNTISPSSEEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAAARLPARTGTRADVCTLLKDSQYLNHEESNKEAAVNQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKESDPADPGNDDLEDDGTPTASDAKKQKTDSTASGEDKDSEDPAIQDPSAGDLEGDPDPDH >OGLUM05G01300.1 pep chromosome:ALNU02000000:5:968075:968669:-1 gene:OGLUM05G01300 transcript:OGLUM05G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGPTGQPNGLTGPTPCNPLPPPFPLPFLLSSSPSSSPSPSISLLPLPPTHSPISSHLISHLSLPFFAPRPLASSVFPHPGLPRPHPTAPRPSTSPSSSSPSRPLFIRGFLLSSPSPSSPHRRSRVRVSCFVPPPGSRDSGAR >OGLUM05G01310.1 pep chromosome:ALNU02000000:5:971876:972736:1 gene:OGLUM05G01310 transcript:OGLUM05G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGDWTVVRRRGRRRGDAAGDAASQPDAPPPLPVTPIPWSPSDPSLDPARVSRLVDRARAAISRVAASRLYGRLLLPGSPLRRRLALLAPTRLSLLGVGSFENSPSSRLQLALAALLRRDLLLLPESSAHADLFDPVLSAAECAAAAALGFTVPGVNDGCRRRADEPTLFYMPHCEASLYDALLAANWEPPSQLRHVCVLGNSFRNYAIQAEENRSGPAARAKHVLAAERFAWEERVSEKGGVDDDEDDVFNRAFNETSWHFFEVDDAADLAAAVASTGGRR >OGLUM05G01320.1 pep chromosome:ALNU02000000:5:988925:990206:1 gene:OGLUM05G01320 transcript:OGLUM05G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVQQQQSGGGGGSTGAAAVGSTTRWCPTPEQLMMLEEMYRGGLRTPNAAQIQQITAHLSTYGRIEGKNVFYWFQNHKARDRQKLRRRLCISHHLLSCAHYYHHHLAAAAAAVPPPQLLPPLHPSSSSSCGGGLTDHANSLLSPTSATTPTSAAAAAAAAAYTTSYYYPFTAAAAPPPPRTSPAASPLFHYNQGGGGVVLPAAEAIGRSSSSSDYSLGKLVDNFGVALEEAFPAQPQQPATTMAMTAVVDTTAVAAAAGGFCRPLKTLDLFPGGLKEEQHDVV >OGLUM05G01330.1 pep chromosome:ALNU02000000:5:999321:1001223:1 gene:OGLUM05G01330 transcript:OGLUM05G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDNLNPIAKQGLAPMGFLQNTFSVCVGIGCGIYIAQNYDVPNMKKLMRDWMGKAKEVEESYKKPGGSKN >OGLUM05G01340.1 pep chromosome:ALNU02000000:5:1002206:1003060:1 gene:OGLUM05G01340 transcript:OGLUM05G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aluminum sensitive 3 [Source:Projected from Arabidopsis thaliana (AT2G37330) TAIR;Acc:AT2G37330] MASMAALLQRLLVVVNQVDPGAPGFWREFLVGMLKPVAATAVVAMAVALSFTQRLGLEGEMLYAMARAFLQLSVIGFVLQFIFTQKSAAWILLAYLFMVTVAGYTAGQRARHVPRGKHIAAVSILAGTSVTMALLVALRVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVGMQRGVVETALALGATPRQATARQVRRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTGAFQLNDAVFAAD >OGLUM05G01350.1 pep chromosome:ALNU02000000:5:1006009:1006638:-1 gene:OGLUM05G01350 transcript:OGLUM05G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRTLLSRATTLRRPPHILLLLAMLRHTRVMEVAMVEEGTWLRCLERVQPSPPRRTVHTSFLPMAAMEATVTVTAVTMAVAASLAAITMATAAITANTMEAITDTTTTMAASMAVITDTTATTAASMAVTTAVTMVATMATINTALSFSPCLLNK >OGLUM05G01360.1 pep chromosome:ALNU02000000:5:1010338:1011592:-1 gene:OGLUM05G01360 transcript:OGLUM05G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSKDEQQDRGLFSNLMHGVAGGGRGHGYPYPPQQGYYPPPPTAYPPPPPQAGYGGGYGYPPAGYPGSSAPFQHGNHGGGNMGMLAAGAAAAAAAYGAHKLSHGHGHGGYGYGGHHGGLFGGHHGHHGGLFGGHHGHHGGGLFGGHHGHHGGLFGGHHGFGGHHGHHGHHGHH >OGLUM05G01370.1 pep chromosome:ALNU02000000:5:1013306:1014457:-1 gene:OGLUM05G01370 transcript:OGLUM05G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHDESDKGLFSNMMHGVAGGHGYPPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPPGGYPQHGGYPPAGYPGSSGHQGGHGSSGGGHMGAMLAGGAAAAAAAYGAHKISSHGHGGHMGYGGHGGFGGYGHGYGGHHGGKFKHGKHGHHGKFKHGKHGHGMFGGGKFKKWK >OGLUM05G01380.1 pep chromosome:ALNU02000000:5:1016079:1020624:1 gene:OGLUM05G01380 transcript:OGLUM05G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGRRPLAIPFPFLCYCFFPPSPALDGEELIIPAGAWGMGGLFVSSPMLDTCSTECLPRKCQHESRTILVVLKKSFHGTSIPF >OGLUM05G01390.1 pep chromosome:ALNU02000000:5:1016894:1021034:-1 gene:OGLUM05G01390 transcript:OGLUM05G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTKQSKWLDNNLHDMEDRVKFILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHISGELHKANHTIATAFPDQVQYSMLEEDDDNLPKAFTAVDPRKIHKSTVEGLMKKKKGEKSGLKDGGKNSGDKINKENAQEEISRLQKEILVLQTQKEFLKSSYESGMAKYWDLEKQINDMQEEVCYFQEEFNESAMLEDDEARALMTATALKSCQETIIKLQEQLKLSFSEAMVESERVRSSRDKLKNIMKVHGKSLPDLGKFLEKTDPVKFENENVTNDGSNTVDGMYSINQEKIELHATVDKIKEYFQKDSEVSVVEMADKIDELVNKVVDLELMVSSQTAQLNRLCLENTELEKSLHELEEEKPALNNGPGEPYSKFKQAEEDLIKVQNLESSFHAEGTIVHSNFTETITRFRDISDMLLSPLLEHHQDGSALMLSDETTPSIDMETSSKHDRTNSEKGPQSDESAGKHEVDELPEHSEELEPADLCDDNHSSSGYPETKAENCYRGDGSEDLWCCALEDKSSFAAASVNEEESGNADNDSSGDHNNRGEDHAPEIASDDGSSKQQYTVQSHEKPTLERLHHISSNDPGDHNAKKEENKQDLSISDESISEGNSEQKINKAGNSCITADTAPISRKVDEVGNQEENMIKLQQLLMNGLQDKEKVLLTEYTSILRNYKNAKRKLTEVETKNQERLNEMSAMISELRSANAMKNEKIRSLHELLNAVIEKDISGNGHQMNPTTSFSSISRTFRGHRRTPSFSPGHQRKQSVSSISRIILESPKEGDALHDTVTDQESLILEDIKLIDVVKTENASPLEEKFRQDIDALLEENLEFWMKFSTSFQQIQGFQTKYEQLQPEIGKLTNKDKLKTNNGRADDPSAKGDSNAIEKRLRELKIELQVWLEQNAMLKGELQYRFASLCSIQEEIEVTMEIGADPEEGAHFTSYQAAKFQGEVMNMKQENNKVADELQSGLDHIKGLQEEIEKVIEKIVKRTSLSEAKGSSTWKNAPSRTRVPLRLFLFPAKKKKPSLLACVNPALQKQHSDMVFFTK >OGLUM05G01400.1 pep chromosome:ALNU02000000:5:1022702:1024835:1 gene:OGLUM05G01400 transcript:OGLUM05G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT2G44580) TAIR;Acc:AT2G44580] MDAAMEDAAAQSEREWDGGGGADAVLGLAGASLSLCYHEAFGPHDELILLEAADDLLPDLLQGRVTVRGRPEEEAVLCTPSATYAMKFVGNSNSVFLIPPGESAAPTSRPNATDGDDNVASATDAVASIIKVASGNIELVRTAPRLDKLRKLLNERPYVLDEDLGSDVQHKGLYTWQDLCELVQASDGELTEQLSSISAVEIDGFWRMVDDSSANTILDMILHNSVLHDWSLNSMPENDVLDVMESDGFMRKIVTHCLNRFGTKVEKEARGCWSLDERRVCLQFARRALGAGKMKLENFMDKWERSIPSGMRADLQMLEGGVLCEKLGAETWVHTFSVANLPLAPAERLSY >OGLUM05G01410.1 pep chromosome:ALNU02000000:5:1026350:1029602:-1 gene:OGLUM05G01410 transcript:OGLUM05G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSKSRSGPNESPISRGRPSTPSSNHRPSTPSSIHRPSTPGATRRSIGGTPSTPRSRNNGVGGGGGGPFKSEPNSPPSATARPRLSFDRSPRSVDSKPVVERRVPKIGTPPDKQPRKEAELQARLESAQEDLKKAKDQLAFAVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQKREEEWQREIECVRGQHAADLETLVTTTEELERFRRELAMANEAKKAALGHADDAMKIAEVNAEKVEILSSEVVRLKGLLDSTAESEESKNRETEELVKNLESEVSVLKGKLEEARIIEERLAETEKLIEELKSEVADAKKAESEARQLFEEWKHKAGSLEMELEAVTLSDKFKGESLASTTEELGKIQSALQDRESEIEVLKGKTTALEIEVARLLADVNESNEQFDASQQEVFGLQTTIDVLRNKLEAAEEAASEALNNEKAANVKIEGLTEENVKLISELNETRDREEKEKRAVEDLTAALSEESDKAKEAHERYLSKEDDHEHALMQIGDLKMALKSTKESYEVMLDEANYDITCLRKNVDKLEAEVNKYREECESKETDIVRLNKQSEEEIGALQLEVDKAVESLQDAEHQLQVANEEKEKLQERLVYTESACAEASKALHEAKTEKESLEEKLIYTEAAVAEANKSVQEATYENSQLKERLLDKENALQSLTQENDEFRLREADAMKKIDELSALLAEAMIKKHPEEEEKLVVVDEAHSSVHEEVTDSVVENGDAESENDKNPKLELDVLNRSSNGDMNHEEEKGETKVEQEEVKTECTTQESNKIIEKQPHPDRKQETVSSKDELEPKEDTNTEHPNGTVSEDTSKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >OGLUM05G01420.1 pep chromosome:ALNU02000000:5:1040446:1040808:1 gene:OGLUM05G01420 transcript:OGLUM05G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDKDFSPHQATAKESMNSEDDAKVQPSSGQTTNKGNYYMSHANAIMMMFQFIRDGCWCVESSMEDYKCKKVEEGRGEKRKVGEGYDPRWSSPIEITIKFCNDNVSDEPTENEP >OGLUM05G01430.1 pep chromosome:ALNU02000000:5:1042358:1043516:1 gene:OGLUM05G01430 transcript:OGLUM05G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQKFNHRHPQIHSSPARRTLRLRTRKLARHPWATSLGIVVAAGGLVTGLCSQRRQWLRWRRHWLWRFESGVEGCFAVSIRQDSPRIGYKAGGIGEGGIARVKGVGAVRALEQVGGLVIVRFDDGWVTGGWESAVEAKDEVGVRGPGREAVLVVMGGAEGDGSDQEGLAARDAWVEAKGLDE >OGLUM05G01440.1 pep chromosome:ALNU02000000:5:1097924:1100049:-1 gene:OGLUM05G01440 transcript:OGLUM05G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPGDCVVLDIDDAAAQSTPVPYALTFTDLSYTVGSRRAGLLPPLPTDAPPAKALLDGISGEARDGEVLAVMGASGSGKSTLLDALAGRIARGSLRGRVELNGEALHGRRVRAISAYWIWFHYLSLVKYPYQAVLQNEFRDAARCFSRGVEMFDGTPIGAMSKAVKLKVLDAIGATLGAPLTAETCVVTGADVLAQQAVTDIGRWKCLLVTVAFGFFFRFLFYIVLHFGSKNKRR >OGLUM05G01450.1 pep chromosome:ALNU02000000:5:1102055:1104172:-1 gene:OGLUM05G01450 transcript:OGLUM05G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGSTRLYVGRLSSRTRSRDLEYHFSRYGRIREVELKRDYAFIEFSDPRDAEEARYNLDGRDVDGSRILVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSRSRSYSRSRSRSLSGSPRARRELERSRSLSYSRSPRRSISPAANEKKRSPTPDGSRSPRSPQDQVSPPPKDNAERNGSDHGDSPRGRENSRSPSDGYRSPAAANGRSPSPRNNGSPSPMDNGSRSPRDGNGDGGSRGGSRSPRPSESPEA >OGLUM05G01460.1 pep chromosome:ALNU02000000:5:1106707:1108750:1 gene:OGLUM05G01460 transcript:OGLUM05G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAHTVLDVDSGGGAATAAAGPPVPYLLSFTDLSYSVRKGGGGVLSARILNILDRLLLLSRGRTVYAGTPAGLKPFFSEFGDPIPDNENPAEFALDTIRELEHQPDGAAPLADFNVKWQSMHAALPAADSKDSKRCTMPLELAITESVSRGKLVAGSGSGTASSTSVPTFANPLSVEVWVLMKRSFTNTGRMPELFVMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRLSYVFANAVVAFPPLVFLSLAFAVTTFFAVGLAGGGGSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYQAVLQNEFRDATRCFSRGVEMFDGTPIGAMSRAVKLKVLDAISKTLGTNMTANTCVTTGADVLAQQAVTDIGKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRR >OGLUM05G01470.1 pep chromosome:ALNU02000000:5:1117559:1118230:1 gene:OGLUM05G01470 transcript:OGLUM05G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCKAAIGCVDARVPVRASYVSLYKWPESDAEFVRSVAMARRHGGEAAAAAPPPESPAVPHHYYYNGSGSARRGGGEGWYYCSPRVVDSYSCRQIYLRSYTFSKKKETVPERTMACLGRVRDRGAAVFPLFIPHRAGGGGGGGSDAGSVNSASSITRETASTAGDRKRIRRRRRRRSSKGCAVARRLQEASCGAVRALFHRLLACTTSVEVADAGEPTSSR >OGLUM05G01480.1 pep chromosome:ALNU02000000:5:1136977:1142709:1 gene:OGLUM05G01480 transcript:OGLUM05G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGQDAPPPPEKGVEVEVFPAWARGVEECEARLGVSASRGLSSREAAARLRAHGPNELAEHSGPTLLQLVAQQFDDTLVRILLAAAAVSFALALSSSAGAVTLSAFVEPLVIFLILVVNAAVGVWQETNAEKALEALREIQSDHAAVLRDGDWLPSLPARDLVPGDIVQLRVGDKVPADMRVLRLVTSTLRVEQGSLTGETASVNKTAHQVPHDDADIQAKECMVFAGTTVVNGSAICLVVHTGMATEIGKIHAQIHEAAQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELDGWMPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDAEGKVRSFKVDGTTYDPRDGRIHDWPAGRMDANLQTIAKISAVCNDASVAHSSHQYTATGMPTEAALKVLVEKMGIPEGMNGLSLDPSETLGCCQWWSNVAKRIATLEFDRTRKSMGVIVKSKSGRNALLVKGAVENLLERSSHIQLQDGSVVPLDEKSRKAILENLHEMSIKALRCLGFAYKEDLAEFASYDGENHPAHKLLLDPVNYAAIETNLIFTGLAGLRDPPREEVFDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSHDEDITLKSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRKSDDSLITPWILFRYLVIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWNNFTVTPFTAGARTFTFDDNPCEYFHGGKVKATTLSLSVLVAIEMFNSLNALSEDTSLLRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRCTSSSGPKRRTRKQKGE >OGLUM05G01490.1 pep chromosome:ALNU02000000:5:1148107:1150447:1 gene:OGLUM05G01490 transcript:OGLUM05G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLHVRWAAASSSSSPPPPSSPEEEEMEFLHECAASTPVEDVAAALAGVAALQARLLSLCRSLRERGAAAAAAAGEVERAVAEAESYASKEQVRYNRFLSPRALREHIKNIEKTAVTALQESPEALCLQQKSSGDKLENVQLWWAGKELAMGKKLCDYIGVNDKTKIIIRLKHVPQSS >OGLUM05G01500.1 pep chromosome:ALNU02000000:5:1154243:1155121:-1 gene:OGLUM05G01500 transcript:OGLUM05G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPTMVIQEDYIDMDLTPTTTPLPPSSPRLCGGGGFREFEFHSSGAVVSKAFASPADELFYKGNLLPLHLPPRLQLVQKLLQEQQVQVQGIKKEVEDDDMVDMSKVCAAKKYSWSKRLKLMKRWTSREYIKSLFLATAKPTGIAVVGGGNGGGVMDQEELCGHRKSFSGIIRRVRLVATKAASASAPGTSPLCSTSSSSSSTPSCGNASRFFPAAPALKRSSSAGSSEEGAIQGAIAHCKRSQHQHLQQQRRSVSDVVFYSVTNTPRVSSVAAAAGGEVAQGERQEMCRG >OGLUM05G01510.1 pep chromosome:ALNU02000000:5:1160867:1163337:1 gene:OGLUM05G01510 transcript:OGLUM05G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G31130) TAIR;Acc:AT4G31130] MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >OGLUM05G01510.2 pep chromosome:ALNU02000000:5:1160886:1163337:1 gene:OGLUM05G01510 transcript:OGLUM05G01510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT4G31130) TAIR;Acc:AT4G31130] MALTSAQRIALTVSFFGLLSFLLGVIAENKKPPYGTPIKGKDVVICKFPSDPTIAMGSLSVVSLVLTTIVGHAAIFYPYKSKSVPRGALFRSASLSAFFVIAELVSALAFAMLLWATVTEGLHRSNNIHHDLDTQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARSDYLDEDDKGDYGQVFASDVDGTKV >OGLUM05G01520.1 pep chromosome:ALNU02000000:5:1164248:1172792:1 gene:OGLUM05G01520 transcript:OGLUM05G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGADTTPSQLPAEICQSKEEDSTMFRAQSSAGTHQSSQQNYSIMYQMAKKAELEEQGRSVARYIRKLYIQRCKKLNIEFEEDEEPEGVLHPSDAGPFQHTDKEYATPNPNDVGHLSEIPSQQEQAEMPLEYCMSDEYIDLLDKKAKAFFSRVSPVKQRSRKETIANGLQYLTEEAFLAFRNYIAEKDAFEEVDYKFGEILHHCFSVMEYRKVYCHYNFTVEMKNKDEECWTSRLYFAETKLMHGVKYYFCTPLEATDDGCCNACKNQGVNELKHPSEGGYEKGQSSTRCQYFDGDSDEEC >OGLUM05G01530.1 pep chromosome:ALNU02000000:5:1172695:1176924:-1 gene:OGLUM05G01530 transcript:OGLUM05G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:integral membrane TerC family protein [Source:Projected from Arabidopsis thaliana (AT5G12130) TAIR;Acc:AT5G12130] MASASTAVASATAGTTRSFEKLSAPWMIGRGHVALPPSPGRYPAVTVAAASRRTGEGGRRRRRARGADQEDGLSLSSEIEAPSSTPPARTTDKESTKPASETGITKKGSAIRRVTLVVLAAVFFGVSIALRDGVGKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPQEYQRFEAVNLLLALILLFTSYKLFAEEDEESDLSDNFIVKTCQRFIPVTDYYDGDRFFTTQEGLWKATPLLLTVAVIELSDIAFAIDSIPAVFGVTRDPLIVLSSNIFAISGLRSLYVLISESMSELDYLQPAIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASTEEKDK >OGLUM05G01540.1 pep chromosome:ALNU02000000:5:1182946:1184915:-1 gene:OGLUM05G01540 transcript:OGLUM05G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRLVLVEVTYLVSLLVRGQCPELKKKTEVSGDEENGGGFPRGLEPELKKKETEVGFLRSQRLELKKMEVHWACAYVIAKPWEAYQGYAFGEPASGACVVLPV >OGLUM05G01550.1 pep chromosome:ALNU02000000:5:1187025:1191019:1 gene:OGLUM05G01550 transcript:OGLUM05G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:Projected from Arabidopsis thaliana (AT1G72050) UniProtKB/Swiss-Prot;Acc:Q84MZ4] MGSVELGAEEREVAGGEGGSKGAAPPARDIRRYKCDFCSVVRSKKGLIRAHVLEHHKDEVDDLDDYLGRGGGEMRKEMDHDCKHWIPENSKMREGLEWTSTRMGRPFWEAPSRMPLSRSSSGWGDSPEKLHQECLVHTLFQRPFSCHVDGCPFSYSRKDHLNRHLLTHQGKLFACPMEGCNRKFTIKGNIQRHVQEMHKDGSPCESKKEFICPEENCGKTFKYASKLQKHEESHVKLDYSEVICCEPGCMKAFTNLECLKAHNKSCHRHVVCDVCGTKQLKKNFKRHQRMHEGSCVTERVRCHLKDCKLSFSKKSNLDKHVKAVHEQKRPFVCGFSGCGKSFSYKHVRDNHEKSSAHVYVQANFEEIDGERPRQAGGRKRKAIPVESLMRKRVAAPDDDAPACDDGTEYLRWLLSG >OGLUM05G01560.1 pep chromosome:ALNU02000000:5:1191498:1192073:-1 gene:OGLUM05G01560 transcript:OGLUM05G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVRAVGSTLPSIRAAAELARQELLRRELVECQLVAGIWCHGFTVSQLRSIRASLPPTARLVVAKNSDVAAAVAGTRWEAVRPFARGMNAWLFVRSDEIPPALRPYRDFQKEWKLQLNDFTGAVFEGRLYSPDDFAQLESMPTRVQSYQYLLGCLQMPAVSVLAALRARQEAMAQPPPADEPAPTPPADK >OGLUM05G01570.1 pep chromosome:ALNU02000000:5:1200724:1204133:-1 gene:OGLUM05G01570 transcript:OGLUM05G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAAAAPAEEGSSSTPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPRPAPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >OGLUM05G01570.2 pep chromosome:ALNU02000000:5:1200724:1204133:-1 gene:OGLUM05G01570 transcript:OGLUM05G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLNNVAEGVVEKHETAARSDSGTSESSVLNGEASGAAAAPAEEGSSSTPPPPPAAVLEFSILRSSASASGENDADDDEEEEATPSPPPHHQHQQLLVTRELFPSAAPSPRPAPQHWAELGFLRPDPPRPHPDIRILAHAPPPAPPPPPPPQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLSDYEEDMRQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYDGELPTDAAAQGADVDLNLSISQPAASQQSPKRDSGSLGLQIHHGSFEGSEFKRAKASCNSNDAAPSELASRPHRFPLLTEHPPIWTAQPHPLFPNNEDASRSSDQKRKPSEGVAVPSWAWKQVSHHHPAPPHTLPLPFFSSSSSSPSSSSAAASSGFSKAATTAAAAQHTATLRFDPTAPSSSSSSRHHHHH >OGLUM05G01580.1 pep chromosome:ALNU02000000:5:1203110:1203690:1 gene:OGLUM05G01580 transcript:OGLUM05G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQRPHLWRVTPLYFELPREKPVLCRRRTCTNSSLDKLFICLMSSSCVSSVESTCDCLGEDEGVC >OGLUM05G01590.1 pep chromosome:ALNU02000000:5:1204526:1215319:1 gene:OGLUM05G01590 transcript:OGLUM05G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTEQQFTTTPHHGEEEEEEAEVGEVRWWWWSPAPASDAYYRKGSVDYIAMEARNCRGIDEAKVSYLFCCIDSK >OGLUM05G01600.1 pep chromosome:ALNU02000000:5:1217929:1233374:-1 gene:OGLUM05G01600 transcript:OGLUM05G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLHPTSLSEFTKSLEQMKVTLLQHDVKLLVVDSMAALMSSAIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGPSVAKKSNSSERMNTYANMTIIKSFKIQVTES >OGLUM05G01600.2 pep chromosome:ALNU02000000:5:1217929:1233374:-1 gene:OGLUM05G01600 transcript:OGLUM05G01600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGALALLEAFRARGDGRLATTLRGLDEALHGGIPAGKLTEVVGPSGIGKTQMAGRILVLHPTSLSEFTKRAIAEFSQIPVVVTNQVRSQSNDDGYRYSFEVEKKYDSNNAEGFESHLVAALGIQWAHAVTIRLVFEAHSGHRYIKVAKSPMTPAVAFPFTVESSGIILLSDEGIDVPSPEITSIRCQGPSVAKKSNSSERMNTYANMTIIKSFKIQVTES >OGLUM05G01610.1 pep chromosome:ALNU02000000:5:1237954:1244024:1 gene:OGLUM05G01610 transcript:OGLUM05G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVLQIRAPISTSQGAAGGKQRIQATLKEPTTARVPISGSTEVKPSLKPPRALPRVATMRAPTNTAVASGIPDKRSSTGGVVNRQTVGKSVNNSVSAHSRPGGVTKSTSTSKSGALPSSLSSSAAATAASIGNMLGQKPKSSTLSNKSRIAQRIPVRSTSRTDVNKANPARASRNNIPTGGKINRVSPSISPSSSVDSLSSVVSGASTASTVGKMSHTSESFSTRSSSLSPSLRNSNDHAPTRADADTQGKGSKPSGLRMPTPKIGYFDASIDQQIGAHMQVQPMKIQCSSQLSSAQMGNPASSILSQPESRLAASPHEKKSSVQSKASPLLPLEVVQIELEPSQAMEHEVCTPQPCPVVSAAAAAADTAKENIPALHQNIQPNDGAGSLAVDLICQRLSTISLGDATDLAS >OGLUM05G01620.1 pep chromosome:ALNU02000000:5:1242515:1243865:-1 gene:OGLUM05G01620 transcript:OGLUM05G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQQQENLTLLPMSSSSAAAAADAAAASSPAASAGRLFTAGLVASWYASNIGVLLLNKFLLSTYGFRYPVFLTACHMSACALLSYAAAPRAARPRRSRGQLARVALLGAVFCASVVAGNVSLRYLPVSFNQAVGATTPFFTAVLAYAVAARREACATYAALIPVVAGVVIATGGEPSFHLFGFIVCVGATAARALKTVLQGILLSSEEEKLNPMELLGYMAPVAVVLLIPATFIMERNVLTMVTALAREDPSFIWILLCNSSLAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFMGMLGYGITVAGVVLYGEAKKRSK >OGLUM05G01630.1 pep chromosome:ALNU02000000:5:1247272:1247694:1 gene:OGLUM05G01630 transcript:OGLUM05G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGQSTHAPTGPTLQRLHEAGRGGDVGDEDRHPPSHVAAEPGEEYGVEEDMDDVRRLDGADQDLLLCVEDDMVATTTAGDGAWADDLEERVANLGEPGQFGLIKLHSNEIELKMVKMKKWL >OGLUM05G01640.1 pep chromosome:ALNU02000000:5:1248830:1256007:1 gene:OGLUM05G01640 transcript:OGLUM05G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIEYVVAELRDEAAGAGAHVAALAALCDVLAVAADHLFDALPIAEFVARLPRLLASGEGDVPLFAARAIAEACEGVPPWATSFARYGAIEALRDKLLAIDCIELAEECLRALGVISMECPKECLSHGVPAAVLQFFDFFSMHKQKLVLKIVANVLGDFSAKDAAKAMEAAPVLCNLLQSTDKTILDSAVSCLVLVSDGACDSAQHMEKLYELNAVQATMRLMENDGWKSLSDETLSGILGLLKDLASLSARAVKSLFELNICDLLKQMITYYTSSHSDHNKVQTLVELIYYLMPPLEMCDHRTELIIAKKNVITEQSGYIQQLASILTFIIQVAKSAALSSICYSCVVVIRNIVELSTPSSLVEVQKTVNLSSLLAGWLARKNRHIIFQTLNVSKTLLRKDQKFFFETFIREGLKHAIDAILTQEKGKSRLPESCLCFDLDLETSTDDACRINNGAILKLAEEIKKNFLVKVAKSPHKFGCAFKSIKEFFSRLNCHATAPPAKDQDLCKQLSDFSRQLLSDELPSTSTFEFVQSGSIKHLAGYLSNGTYFNSNLRNCQDLIGELKEVKIRLQKFTHLALSVDNESSVKPLEILVEKLIDALHVWYDSFPVILVDEQCTRESTMIPLRDSGTEEPMSLYIKFSRSAREEELEDYGGVLPVDLSSTPESIEEVLLPEICKRTGNETSYKENTQEANGSRKSVGLRNGDGHKSSRLKFSYKGTQLQSSTPLFESILRSMHEGETDLQIDPSFWDKEHKIVYRRRNKSKKISSHSSYNIQLCRVHEKLEMSLLKDPFFSAILTGKLPGDLDESDPSYNFLFMLKVLEGLNRFSYHLSMDDKLCKFAEGCLQELDDLKVAICPIPRDQFVSSLLTNKLEQQMQDSLFGDGLIPSWCIYLVETCPFLLSFEARWKYFCLTAHHSFMTDEASSSTETKKYSVTRSKILEDASSMLNKHGSDTKFIEVEFDGEVGTGRGPTFEFYTTVSHELQRVGLGMWRGDDTSQECEAGFVHAPFGLFPQPWSSANTSSQGISLSNVVQKFKLLGHLVARAVLDGRVLDIPLSKAFYKIMLEQDLDIYDIPSFDPKLGKTVMEFQALVKRKKFLEERASNPAADLSYKNVRLEDLCLDFTLPGNPEYELVPGGSEKMVTLDNLEEYVSSIVDATLKSGISNQIEAFKAGINEVFALKTLRLFSEDEMERILCGEQDSWASNKLEDHINFDYGYDANSASVISFLEILREFGREDQRAFLHFTTGAPQLPLGGLASLDPKLTVVRKQCDGKVDNELPSVNTCRHFFKLPPYSSKEIMRQKLKYAIKEGLGSFQLS >OGLUM05G01650.1 pep chromosome:ALNU02000000:5:1256990:1258474:-1 gene:OGLUM05G01650 transcript:OGLUM05G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPEKGAAAHGAVAKNGGEGEVSAVRRPGRPRVVHPDVAEFLLNFRRLRREKKKRATPRPAVVVVPGERARYYCAFEDEGEVGRRGGFAPGRLVWGKVRCHPWWPGQVFDPADASEQALEERRKRGATLVAFFWDKTFAWVDADELLPFRGDGGDFALLAGQSAHAMPALTASVDAALGEVARRVGAGLSCCCCCDGAAVAKKQVIENAGIREGAHGATVDAAFTRGALRGEAFVGYVSALAVAPLAGADRLDLAIATAQLKAFDRWRGAAARSLPEYTCHHGIEANAMPPRRKRGRATKNTITGNVDDDALELENFEPTPQPLSHQMSTKIGKLMSRAAQQMSRSPAVIHLDTTTTTTNGDAPPPPPPAISLTMGRCTRSADEKKKNSDIREDPFLAGLVLNFICPSAVLPLSELVNIFSKFGPIMEAKTENAYAMVMFKRRADAEAAFSGTTKINALSSSLISFRLNYSMSASPIDSPECSLNTAMDRLLF >OGLUM05G01660.1 pep chromosome:ALNU02000000:5:1266538:1271457:1 gene:OGLUM05G01660 transcript:OGLUM05G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLATIEGLKVNVGESDKAVAEANAVAAETKKQLEMAQATIDSLLAEGARLQECLRSKDAELGQSMARLATLEEDLKKAHNKDDVDGSFGSPDHGEAVEKVVVTIPNGNGSCGGSGAEIEQLRTALEVAEIRYQEEQTRTTIETKTAYEMLENAKSEYDRRVRDLELELKSKNDELTEAKATLAVRCDGKSDVMQPELEAKLMKSITDATELKASLMDKETALQSVMEENETLKSEAGKKAAEVQRRYEAAVAELELAKAAEQDVRMRLGYVTEEADKSSRRAARASEQLDAAQAASAEMEAELRRLRVQSDQWRKAAEAAAAALGGGGGGIGRNVERTGSLEPAEYTNSMIGGKLASSPFSDEPEEESPKRRNSGVLRRMSGLWKKSPK >OGLUM05G01670.1 pep chromosome:ALNU02000000:5:1273248:1273484:-1 gene:OGLUM05G01670 transcript:OGLUM05G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Low temperature and salt responsive protein family [Source:Projected from Arabidopsis thaliana (AT2G38905) TAIR;Acc:AT2G38905] MGSETFLEILLAILLPPLGVFLRYGIGMEFWIALLLTILGYLPGIIYAVYVLVA >OGLUM05G01680.1 pep chromosome:ALNU02000000:5:1281023:1287301:1 gene:OGLUM05G01680 transcript:OGLUM05G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGTSLLGIVNFVTFLISIPILGGGIWLASRANSTDCIRFLQWPIIAIGLAVMVVSLMGFAGACYRQTWLLRLYLFAMFFIVVALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYNGWLRDRVADPAYWATISACLRDGRACAAMRRFARDPNTGMLVPETPSMFYARDLSPIQSGCCKPPTSCAYNYVNETFWTANPGVPTVVNDVDCSKWSNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNARRIENDEPFGMARMTKTQPSRFQF >OGLUM05G01690.1 pep chromosome:ALNU02000000:5:1286481:1288852:-1 gene:OGLUM05G01690 transcript:OGLUM05G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGHGGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGMRHPWDGHDDHSHGHGHEHEGSSSTSSSH >OGLUM05G01700.1 pep chromosome:ALNU02000000:5:1291944:1294353:-1 gene:OGLUM05G01700 transcript:OGLUM05G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSDTACTVATAPPSPTPTSPPPQLAATTTTVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFTTAHRELPEEQRADAVSSMVYEANARIRDPVYGCAGAICQLQKQWHGAKEFNSKLNHVLN >OGLUM05G01710.1 pep chromosome:ALNU02000000:5:1306052:1312842:1 gene:OGLUM05G01710 transcript:OGLUM05G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTM0] MGTAAVAAAERPKQRRSSHLWKKALLHFSLCFVMGFFTGFAPSSSSSWRAGSGGGGGVQPRHQLATSHVAVNQQVSLVPDAAAAEAAGVGNGAVVDVGDDEGGEGARRMLIVVTTTRGERRRRRGELLRLAHTLRLVRPPVVWVVVEPAADAAATAEVLRGTGVMYRHLAFRPEENFTTADAEAHAQRNAALAHVEKHRLSGVVHFADAAGVYDAHFFDEIRQIEAFGTWPVATMSAGEKKVVVEGPLCSDSKVVGWFSRDFNDGTTRAVTYNTEADLNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRTKLKGIPSDCSQIMVWQYTMPMQVHAQTSTPKTHNRR >OGLUM05G01720.1 pep chromosome:ALNU02000000:5:1319157:1324140:1 gene:OGLUM05G01720 transcript:OGLUM05G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKWFGAVKKVFSPESKEKKEERLRRKLAASNPNPPDLTPSASLEVNVSVPPPPPPPPVQQIEEVKVPEVEQEQSKHVTVEAVPEAVPVPAQTSSLPPGVSREEQAAIKIQTAFRGYLLSENSSWLFISSAAFIYHCVGANITKARRALRALRGLVRLKSLVEGNSVKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELESLRMGEQWDDSTQSKEQIEASLISRQEAAVRRERALAYAFSHQWKSTSRSVNPMFVDPNNPQWGWSWLERWMAAKPWEGRAGTDKESNLDRASVKSASLNLGEGEITKAFNRRGSKPDKSSPTTPKLTRPASRQSPSTPSAKVSPIFAKKKSATPKNGLSQVDDDAKSVFSVQSERPRRHSIATSTVRDDESLASSPSVPSYMAPTKSARAKLRLQGSAVTDGAETPPEKVASVGSVKKKLSFQAGMAPPSPMRRHSGPPKVEVVKDIAEPPQPEALVINGGSK >OGLUM05G01730.1 pep chromosome:ALNU02000000:5:1323663:1326375:-1 gene:OGLUM05G01730 transcript:OGLUM05G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; CONTAINS InterPro DOMAIN/s: Defects-in-morphology protein 1-like, mitochondrial (InterPro:IPR019190); BEST Arabidopsis thaliana protein match /.../nknown protein (TAIR:AT3G57110.1); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G60370) TAIR;Acc:AT5G60370] MALIEAALSAAAAASRPVLSSAAARRAVQLSSCAAYSAASGGDIEDSPPLPTPRRGSLLARFRDRRALAVTDITATEWCEKQMEFVLEHGKPERTEAMKAGSERHAQLEQEVIERVEVTIRSAEELWAVKFMNFILGANQLMLEGITREIPVIGVVEGSWMIGVVDELRMPPDGISVHPILVDTKTRYKPTVPSEAQKRNGRLQVMCYKYLWDNLISEKFPAENFFSYFDLDPGYLLSDDIKQYIRLLGLNAKTLEDVLKYFKVTCHTLPRSQEQLLLRYELQGDHSLLEEYQFSYDARWFKDQIQEALSFWQGAREPKFVSEEERWKCSFCKFANNCPINASTSRCC >OGLUM05G01740.1 pep chromosome:ALNU02000000:5:1338096:1338747:-1 gene:OGLUM05G01740 transcript:OGLUM05G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLRDGHTILPASLPLFTVHQDTEKSKNMTENAWKKGVRRYCRDWKLTVKAEKYKFGSLCNLVKVLKPPTIVVYVKDEQTAKKIKEDVLQSIEGCRATSIHKMELGFIIRLSPWDKTKKPLGYLRVSYDSVVISDRTPIESTLGVASVRSEDRQEASYINSLQEVNPACLLFFHDDHRFT >OGLUM05G01750.1 pep chromosome:ALNU02000000:5:1350232:1352378:1 gene:OGLUM05G01750 transcript:OGLUM05G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGSPRGRWPCRRQLPATAADPYHRHRPGRRPPAPATGPPQGTPAMSLAPPPPKASPSAARLQKPAASCHGGQPKASPWAGSPPGAATHLQQPSRMKLSPSPAPGAQHLPPAKPSPLAGSPPGAATHLQQPAARMLASSPAKRVLSVFSPSAAGSLRRERNHLPPLASCFCLRRLMSTGLPQIPSPPATLMRPWCNEDEQVPQLTPFKISHGVLDTMMKQYEEDYKYLVEEGLDLEEMKKLYHELVRPVLVASCLILEHHIVSPETKALDQKFKDKFGKPPIYLSALDIMKTLIAMEPSRWSYLMDFLREKRILVIENWEISLGSIECIMHDDSISFMMNGRVVFKGQSDGRYNHGTGTADLCTAITGAATDIVDCAIYREVPCNSPTHAELLGMYVLERRAISLKILLFDVKTDNAFVSETVRDMFPITPNTTEKDLCQVLRSMKVYFEHFNCRCEPREKLELVDSLMKMKDNELTMESIKDKWAHYLLRLPVFRAHQPTKTIRKDYINKAPTVGTVAFHGQYKIVAKGDTAKTEAVVNLVLSLQPVVASVFVGNHISAISVKEEIEKFEAKGAYVVIMKLIETPNAGGKILDVLFNTEVGEVYKQTANSVCVKLLDKNDGTTPELSPACLLIFG >OGLUM05G01760.1 pep chromosome:ALNU02000000:5:1354726:1360717:-1 gene:OGLUM05G01760 transcript:OGLUM05G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVGWLLHACVEFGLSPTGCYTAPPEAGSIGSAIVRLATATIVVTVGLGFQRYCEAVEYLVKNLDHSMPMQTTDKTDAVKSMLSGENSEGSDGSESSDTVEWDPWDPPHPPCPTLPPTASLMSQVEMVKQHHFQVLAVVAASRATNIIAPDRTPQVSHQEVLEGVSSILDDHGFAPTGKGISIGDLECRPYDCKASNSVPKCNYEDSGTTKAVGESPNLNVKAKKSAPVVMLYGFG >OGLUM05G01780.1 pep chromosome:ALNU02000000:5:1370027:1382434:-1 gene:OGLUM05G01780 transcript:OGLUM05G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPMAPLSLFIHCPPPVGLRPSPIQTQRMQQPTRQAVSRTQPPRSISLVPACWPVRQGQPLHRASHRHRTQGTRQKISVLWLSYRRGSSSSPPLPPRRRRGPPLRVLPFFLYPPPPSPVISKGRDVEDATVGCPVCGVFMQDADPNLPGFFKNPSRLSDDEMGEDWSPLAAELDGFIGNDEGNDLVRKQRLVANH >OGLUM05G01790.1 pep chromosome:ALNU02000000:5:1392228:1393143:-1 gene:OGLUM05G01790 transcript:OGLUM05G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSPRFIFSARSKLTVAQALSNHRWVRGLRGSLSNVAMVQCFQLWDELQLSNLSQEPDTIRWKASSDGNFSVSSAYDLFFMARESCPYGELIWQAGAPSKIRFFLWLVAKGRMGWPHEDCCHLCGREHEDCHHLFVSCNFTNRVWRLMRAWINIVFPLPGQNDCNITDWWIEARRCFRTGYRKIFDSVFMLTCWLIWKERNARIFDQKMCSPDQLVEDIKEEIMVWKTTAVFKDCNSTANL >OGLUM05G01800.1 pep chromosome:ALNU02000000:5:1395434:1399917:-1 gene:OGLUM05G01800 transcript:OGLUM05G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDLPANSKPKKTKKNGGMKTDLHEDNGQVVDVTTKTVLDPWNPYFPLWPPEIPPNLGLNGAICFIEDWKMKRMAYLASYRSTCVINADYTPKVPHTEVLEHVSLILKGFDFCPTGEGIDLPLHITDAQQGGSSVASKATTDVSYLLAVKKKSSKLARQTLVFYGGGGGAPLVFCGGGGNDDAHLIFGSGGGGGAQGVVFSNNGGVPGGNDSDGRFGNRLHSGGGVPPLVFGGGDVVKECGRQRL >OGLUM05G01810.1 pep chromosome:ALNU02000000:5:1400770:1401894:1 gene:OGLUM05G01810 transcript:OGLUM05G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKRQEGRGRPMGDGVVATGAGCAATQQPGNKAAHPRGRTACRRRAQGQGNGDGESRWLGLRLKVICLDPYPALIFCTHKTAIALSHRPPLGRHGMAARRRGRRGAATRTAAGGPLVDLSSGEQGSTHDGAAVAARSRPLWPDLAGVAAGGGEGGSNGNREGDGEGRGARRWAVAAGIAMAAWMASAEGAKAAAVVPARQASNGAVLGGDGGGLPQIRASWPDLEGGSNGNREGDGPLLSTPHPIPIIPLSFPFSTAPSPCRRVADSCRRAVASECHAVRRRRRHPCQATVVAAATVVVVAAG >OGLUM05G01820.1 pep chromosome:ALNU02000000:5:1402668:1402954:1 gene:OGLUM05G01820 transcript:OGLUM05G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAGGGGGDIGVRRSCQWVWRDLWRSKAGRRETPVQGSHRACVMVGHRCVSRGFAGGERRMKTQSGHGRTDNDSSFPLLRALSCCLTPQG >OGLUM05G01830.1 pep chromosome:ALNU02000000:5:1402995:1403317:1 gene:OGLUM05G01830 transcript:OGLUM05G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGFSVASVLVDVVLASPRGRSYLCPFWRSATLSGARSDASLLLGLCVGDAELRTVSCESFKKKDMFGSMG >OGLUM05G01840.1 pep chromosome:ALNU02000000:5:1405814:1423933:1 gene:OGLUM05G01840 transcript:OGLUM05G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKITTCSREGINKICLSRVDARTFCFGVRIAKRRTVAQVLNLVPKEAEGESFEHALARVRRCLGGGDTAENADSDSDLEVVAESVTVNLRCPNSGSRMRIAGRFKPCIHMGCFDLETFVELNQRSRKWQCPICLKNYSLESLMIDPYFNRITSLLRNCNEDVNEVDVKPDGSWRVKGDAASRELSQWHMPDGTLCNPKEDVKPAMQNGNEQMMEGTSDGQKSLKIGIKRNPNGIWEVSSKADDKKPSVVGNHMQNNSGFRALNNIMHMSNSPTSSYRDGEDPSVNQESNRHVDLSLNNGNNEFDSFSLNFGQACNTDDRPQQQHNATDVIVLSDSDEENDAMVCPPAVYDNTTTANGSGFPFTTNGTGYTERYQEDAGVGTSGLGLLSNNVDDFEMNNWQMHSSYQQPEQGFQFFGNDTDVHNTFVGSHNSFGLAPNDYSLDCNVGVEEASVTPALSVCRNSNEMHGSLVDNPLALVGDDPSLQIFLPSQPSSVPLQEELSERANAPNGVQSDDWISLTLAAGGGGNEEPAPADVNSQPQIPSTETGIEPLTDAASAFLSTNIERRSGADLNPRRIENIFSHPRQPRSDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFSFRPKEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLTKIPLAVEREEEREMALLAGGSGERAGVSCDGGGQRWRWGEEGDD >OGLUM05G01840.2 pep chromosome:ALNU02000000:5:1405814:1423933:1 gene:OGLUM05G01840 transcript:OGLUM05G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVSSCKDKLAYFRIKELKDILNQLGLPKQGKKQDLIDRVLALLTDEQGQRHHGWGRKNSLTKEAVAKIVDDTYRKMQIQCAPDLATRSHSGSDFSFRPKEEAYDSFQPEAKVRCICSSTMVNDSMIQCEDQRCQVWQHLNCVLIPDKPGESAEVPPVFYCELCRLSRADPFWVTAGNPLLPVKFVSSGVTNDGTSVPQSVEKSFQLSRSDRETVQRQEYDLQVWCMLLNDKVQFRMQWPQYAELHVNGISVRVVTRPGSQLLGINGRDDGPLTKIPLAVEREEEREMALLAGGSGERAGVSCDGGGQRWRWGEEGDD >OGLUM05G01850.1 pep chromosome:ALNU02000000:5:1425931:1427088:-1 gene:OGLUM05G01850 transcript:OGLUM05G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPAASPGRAEKQLPAPPGLARLLLSKSRRGGGGGRSRRAPATSPMFVSRGRGGAGRAAAAAADGEPSSPKVTCIGQVRMRKGKKGKKAAAASKVAAPEKGRGYCRCLKKAFLCGGLFDFDRRRRPKAPSPEVVERSRRSPWVFSSRDVAVAAAPKQPDPRGEHAEEDEEMEASAGGVFRSLEGEEAERLGINGGGDDKEEDEEEEEEEAELVSSATTTPPKNALLLMRCRSAPQNRSSPLTSRFPVAGAPSPTKDAAAAAEIAAPSPRKSQKASAAAEAQHEERQEEMASVQEQEEVREEEEEDVDDDDDYDEEDMRCSSARPLVLPRCKSEPATTAAAKMAGGTAADATTAGCFWANGGSSGRRRHAPAAAAAATPVALAGH >OGLUM05G01860.1 pep chromosome:ALNU02000000:5:1445669:1453064:1 gene:OGLUM05G01860 transcript:OGLUM05G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFSCFKPDKKMLSKRMEEMPFTVVKKASSQHGSSLKNSESDKSPRGHSNNKKSSVAAKNTEPPKRIPITAKAERSFTFRELATATNNFHPDCIVGEGGFGRVYKGQLEDGQVVAVKQMERNGFQGNREFLIEVMILGHLNHPNLVNLVGYCSDGDQRLLAYEYMALGSLADHLLDITPDQEPLSWRTRMKIAHGTAKGLEHLHEKMSPPVIYRDLKSPNILLDKDYNPKLSDFGLAKLGPFEGDKHVSTRVMGTFGYCAPEYVRTGMLSTKTDVYSFGVFLLELITGRRAVDTCRPVCEQILAYWAKPMLHDRRRYHELVDPLLRGDYPDKDFNQAAAVAAICIEDEASVRPYMSDIVVALGFLAEVPAGCEERINAEPQNRKDEDPSVTGNTKKDQSTFDRQRAVAEAIEWGSVRQKQMAQIKEKKAQPQGIMSCFSCFKPEKKMKSKGMEAREVTVVKKHGASLKNSESEKLPCVSSDHKQSSEAAANTEPHNGSPVTARTGKKFTFRELATATNNFRSDRLLGEGGFGRVYKGQLENGQLVAVKRLDLSGFQGNKEFLVEVMMLSLLNHPNLVSLVGYCSDGDQRLLVYEYMAHGSLADHLLENTPDQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDNEYNPKLSDFGLAKLGPVGGKAHISTRVMGTYGYCAPEYIKTRQLTTKTDVYSFGVFLLELITGRRAVDSSRPECDQILVKWAKPMLKNPSRHHELVDPLLRGDYPRGDLNQAVAVAAMCLQEEASVRPYMSDTVVALGFLAEVPSGYKEKINTVPQNKQDKDPSFTGSTKQDQRSFDRQRAVTEAIEWGAMRQKQKVQIQEKTSHLQGIVAPTETNRL >OGLUM05G01860.2 pep chromosome:ALNU02000000:5:1439071:1445669:1 gene:OGLUM05G01860 transcript:OGLUM05G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPPPPPILLLLLLLLAAVTADVSTALRFDYATLTLGSLKLLGDAHLKNGTIRLSRDLPVPNSGAGRALYATPVALRGGFSTQFAFTVATLNADSVGGGLAFVLASDGVTLGDAGPYIGVSAASDVAAVEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLDGVGVELTSGRTVNAWIEYSPKSGMEVFVSYSPKRPAEPVLSAPLDLGEYVKGDAFVGFSASTQGSTEMHAVEWWTFSTPTSSSSSPSKPSPRMATPSSPPPEAPVSSAAPPPASLNPMLPSPPQLPGVSTTTPSPPASTVSMPPTSSVAVASAPANSTAWISNAGSPHPPAHAAVAGAATAGAFMAVSFAGFALWALARRARARRRGTTALAAVADKRDSLASAAALARSPREFTYKELSAATRGFDASRVIGNGAFGTVYKGIIPDTGAMVAVKRCTNASADGAQARSEFLSELSIIAGLRHRNLLRLQGWCHEKGEILLVYDYMRNGSLDKALFDASSPVLPWSHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDDAYRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEATDVFSFGALVLEVACGRRPIGATEGRCNNLVEWVWSLHGAGQVLDAVDARLRGEYDEAEMRRAMLVGLACSSPEPALRPGMRAVVQMLGGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLNDLSDDSSSDSLSSSSLTSTLRKGGHDIAAFSSAAAGDDARSLQ >OGLUM05G01870.1 pep chromosome:ALNU02000000:5:1445615:1451780:-1 gene:OGLUM05G01870 transcript:OGLUM05G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSYTSLKHEKQLIFVNVGQKFGRPISLRLGERIITIEAYNDKFLRDDPCCEEAFFTTVNGISSILFDSIFLSGLKHEKKLISAHCMKISLNPCVSFC >OGLUM05G01880.1 pep chromosome:ALNU02000000:5:1454313:1457927:-1 gene:OGLUM05G01880 transcript:OGLUM05G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isovaleryl-CoA-dehydrogenase [Source:Projected from Arabidopsis thaliana (AT3G45300) TAIR;Acc:AT3G45300] MAAAQRWLPGILRRGDGLARRLYSSASSLLFDDTQEQFKESVHKFAQETIAPHAAAIDASNHFPKDVNLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGSPAQKLKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAETLVVYAKTDIAAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDVAVPYVRQREQFGRPIGEFQFIQGKLADMYTSLQSSRSFVYSDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKEE >OGLUM05G01890.1 pep chromosome:ALNU02000000:5:1460101:1467331:1 gene:OGLUM05G01890 transcript:OGLUM05G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEKGVYSLRRLNLSRHPLFYSSTEVSAVQDLPALREEGYVYYPFKGYGESSKQAFEVESIRLPSRTVSLLPTPSSTDKMCKFDCFSVSESKIICTDQAARTFLYDLDEHCVTSMPSLHAPKYSPFSVSVAIQGEKGEGESSSSSSRRLYVMEEVLLPERGTGSSYQFEAFDYRKPGPEYLVKAWHCDPLPPPPFVRDPRYVPSPVSSYAVVGNFICISTKGIGTYCFDTVSYSWSHAGDWMLPFCGKGEYVPELKLWFGVSAKNNYAPCASDLSPVVRAEPPKPGCIWEDLNLPEDWRPCRMSDLVNLGSGRFCIIRFFQPSGNRDYMKDQIDQTIAVFSGVEALPDDNKAAGNGKGKGKQNAKGLRMIKHKSRKCTFIEQINNVESGLFGGAGDSEKLAGDSEKLRRGEKAPPPSLRPCGFRQPLSRQRGGRRAMGVVWRKLLGRLPCHPCPGSDAEGKTVYLGLRLIFLGCLVSCALLPRLQLGRAPGDGSGSMNEQAPGQDCISTTSRTSPSRCSPDWRCCLRLRLRRPAMAADQAIIDRKEGLRMIKHKSRRYAELDDGGTRSVKSVL >OGLUM05G01890.2 pep chromosome:ALNU02000000:5:1459202:1461750:1 gene:OGLUM05G01890 transcript:OGLUM05G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEKGVYSLRRLNLSRHPLFYSSTEVSAVQDLPALREEGYVYYPFKGYGESSKQAFEVESIRLPSRTVSLLPTPSSTDKMCKFDCFSVSESKIICTDQAARTFLYDLDEHCVTSMPSLHAPKYSPFSVSVAIQGEKGEGESSSSSSRRLYVMEEVLLPERGTGSSYQFEAFDYRKPGPEYLVKAWHCDPLPPPPFVRDPRYVPSPVSSYAVVGNFICISTKGIGTYCFDTVSYSWSHAGDWMLPFCGKGEYVPELKLWFGVSAKNNYAPCASDLSPVVRAEPPKPGCIWEDLNLPEDWRPCRMSDLVNLGSGRFCIIRFFQPSGNRDYMKDQIDQTIAVFSGVEALPDDNKAAGNGKGKGKQNAKGLRMIKHKSRKCTFIEQINNVESVL >OGLUM05G01900.1 pep chromosome:ALNU02000000:5:1468723:1470162:1 gene:OGLUM05G01900 transcript:OGLUM05G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAVVAVAAVVMNMMGGTSMGSSSPMVYLPNYFLFFNIFRTNFDSSFRVNTIYIMRRCMIEFTPEQYFCMILNHERFQFSEVLGQLHVYRKHSNV >OGLUM05G01910.1 pep chromosome:ALNU02000000:5:1473793:1475037:1 gene:OGLUM05G01910 transcript:OGLUM05G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRRFVNLLVESSGGLYSLRRIDLSRHPLFYPTPAAAAAAGPRDLRVQREEEYEFQWPKLNDDDERRRKKKMKRHEAVETLRQLPSIVSMAPSPPTPAGGFGFDCFPLAESESKVVFADHAGRAFLYDADGNRFTGMPSLHAPKGDSPVAVSIAAQGEEESKLYVMDNTLRPESSGGGGGGSLFQFEVFDHRKPEPTSPPWEKYWHCDPLPPPPFVFDSGGMVESYAVIGHVIVVSVSDVGTYCFDTASRSWSRAGEWALPFAGKAEYVPELKLWFGIAAKGECSPCAADLSPVARGEPPSPGYIWEDLDLPEEWEPSWGSHLVVLGSGRFCIARFFQLARTDDNIMNDHVEDVTFPVFTGLEVLPPAPATATGDGGGSGDHRKEGLRMIKHKSRRYAELDDDGIRSVKSVL >OGLUM05G01920.1 pep chromosome:ALNU02000000:5:1476421:1478846:-1 gene:OGLUM05G01920 transcript:OGLUM05G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGRVVGGVVLAVGAAGDLGAAAAGGDGEAEGGEAEEEGDEEHHGEESHSAHATWKQAPTKPARETRRTTKPITSSGVCSIDEHVAVDPFAIHSPAPITGIDASSVARFRSGASKPLFLSPWTSFSS >OGLUM05G01930.1 pep chromosome:ALNU02000000:5:1477351:1480664:1 gene:OGLUM05G01930 transcript:OGLUM05G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYRFSNVMIGYLNLATLLASIPVIGAGLWMAKGSTATCSSMLQTPLLVIGFVVLLVSLAGFVGACFHVAWALWLYLLAMMLLIAFLLGLTAFGFAVTAGGGGTQVPGRPYREYHTSDYSSWLQKHIQDAKYWRPALACVVGSKACPKIANWSPMDYLQHDLTPIQSGCCKPPTACAYSGGVAVGAQDEDCFRWNNAAGILCYGCESCRAGVMEKVREDWHKISVLNVMVLVVLICICACGCCAFRNARRSVSEYPYGVNRMHKIHPRWDYYWWRWWRDRREQLY >OGLUM05G01940.1 pep chromosome:ALNU02000000:5:1482058:1483475:-1 gene:OGLUM05G01940 transcript:OGLUM05G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRALRRALPPLSSAAAGALLRGATRHAAPLPLRPPPLLRLLDSIGLRPFSAAAATASQAPSMGAGLFSGLMDTRFPKRRPGFKSRRKRASLRPKGPYYWVKCKPGEPIPANQPNEGSVQGRKEKKRIKQRKAFIMAEKKKRKAQYSAAVKRKEAERTERKMAAVARERAWTERLADLKRIEEEMKPATA >OGLUM05G01950.1 pep chromosome:ALNU02000000:5:1485337:1491719:-1 gene:OGLUM05G01950 transcript:OGLUM05G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPDRRDFLYRDGRRHDGDPLPPPAPTPPRWRDSPYHPPPPPPPLRDHSRPSPRRTPSSASSGRPFAHKSSDLMLPPPPPPPWTSPPPLIRRNRSAISDGYYRQGGGSYDRSYPDESLGYTPSRSDRYWLDDDGGGGGYKGFSRYGGGGGGGSRRDGRDMRGSYRRSPFRGYGSDFSRNQQEHPPPPLRRSPLRSVAVPMSYDPPGDRADRGDRDHHHRVTPWRPLRRRESRSDAADAAGAGPVPVGQAAAAAASEKDVSARSSAVAAPQVSEEEAPRKKPRLGWGQGLAKYEKQKVQGPAESAEAVAEGSPTATEQKGITHTPAPAPCVSPVAAPSPAPCASPVAAPSPAPPCKSPVPEDKSCELTANTVTESNKNIPGPDVQACNNEVPTKLDQLEGDPIDSLAKVLSELVQHEDSCSGDSKRLSNVSKLLLLKESISKELEKTELEIDSLEGELKSVNVEARNRTLKDPPTAVTYAQNPSPSPVKEQGELTPSPKISMEQDADVKGSELMEVETAQAHNAKAVSSEESVACPGVAPGQVPAAADVIPSDPCGKTGSGIDVDIEQREENPCQENFNAMKADGSSDLATRPCSYRDVKYNLMDQIIAANRSEAKKNSQLLCKPVPADRSNLDLLASSYLSSQMKNDVIIKKKHAILKNRQRFKEQILTFKFRVLRHLWKEDVRLLSVRKQRSKSHKRTDQSNRSSQSGSQRQRSSNRSRLAVPAGNLSTFPITEMSGVARKLFSEFQLKRCRNYLKMPALIVDEKEKAGAKFVSKNGLVEDPVSVEKERALINPWIQEEKEIFMEKLATFGKDFSKISSFLQHKTTADCIEFYYKHHKSDSFREVKKLLDLRQQQQPASNYLGAVSGKKWNPEANAASLDMLGVATEVAAQGLEYVNEVKKNSAKSILRTVCGVDNSTKGSEKDCVGDVSLHERESVAADVLAGICGTLSPEGMGSCITSSADPGQKIGIISRMEHLLIPEADKNFDDDGTLSDQECEVDIVDWNDDEKSSFIEAMNRYGKDFARISSYVKSKSFEQCKVFFSKARKSLGLDLIHQGAADAGFPTGDANGGRSGTDGACIAEMDSAICSAQSCPKVEIDACPVSDGEIQGHNPLSDIASRQPEADKSNMPDVVDINVEEGESKAEKDCSILVDHKQLREDINQTSCARIDINCPESTDKLQDIEDVTPVNMHGDDLMATSVEQVAAAHVESRSSLHSEGIGIDVSRIEGCSHESTIGKGGKSTPSVCLPAENGVSKENIIHFSNMDGASSISPAFTSNYQQSKLADPIQSKPKPLTPKDLMPVQFSSSLPDPTSICFEGIAAITTPNFEDHGNRASIASGAKDVNMFPTFKDQSSNRHDALFSNVDGYRQQRRNNHFGTEVCGLSESTGISQSDQFTVSKFQNGRPSSLGLLNGNLGVLSTGRREEAREGLFRPCSVKTSAGNEEQQKRPGDVKLFGQILSHQSSLQSSGSSVHGSKSKPPSPKVDKPASSRLLSNPRERLVYSSRPPIIANLGLEERAMRSFDHMDGRTIQPEPMVMVAKCQRPSAGVPVYSTKNGALSVFAEFQQPSMPPHTSDHKLLENFADLHKRNGIELLSGFQQPGRLGGAGVLVSGVSDPVAALKAQYGSGSKMLSSSNDVDTWKDIGSR >OGLUM05G01960.1 pep chromosome:ALNU02000000:5:1499291:1504614:1 gene:OGLUM05G01960 transcript:OGLUM05G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWFSHFLLPNTTIDQTFHLAAQPRRPIHVAPPHWPSPLALVGQTLKPTQPTNSRTCSASRSTRAHHSIPSKCKKKKKKIQIGGEPAMSRGGGGGGVEGAGAGAGEAAMAPNSKAQRQSVINDIWSTMEYDKILGMLNTTLSFVTLEIS >OGLUM05G01970.1 pep chromosome:ALNU02000000:5:1505983:1506547:-1 gene:OGLUM05G01970 transcript:OGLUM05G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKKPDQPALDLPSSLSTVSYCCGACGYDLKLSSSARDTAGMVVAGGGGGYRRRRGVVRFGAIDDARFGHVDEFRCVDVRARRLFTRRTRLLCRKCGAHVGFAYDDRAARSPPSSSPPPPRYDIKIRALHPIEAPQPSDL >OGLUM05G01980.1 pep chromosome:ALNU02000000:5:1507744:1508361:1 gene:OGLUM05G01980 transcript:OGLUM05G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLHKSYPPPLFLFASVGRELAAVAGASSNSIHSLLPPFPTASLLAAVVRQPASGGDPGVALERRQRRVREGQSGGGGGGGDRGRRGRLAIGRKKTGHENGRVLATLGPATALSTAALDALSTGHDALASAVLPPCPRTRKGRLRLATATHVGILSKMAASGGSFFCTCGGGVEGGRSNVGEGGGGTTDGRGQHAEPADNGTTT >OGLUM05G01990.1 pep chromosome:ALNU02000000:5:1514259:1521334:1 gene:OGLUM05G01990 transcript:OGLUM05G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTQ0] MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADGGGGVMGEEALRRFLREVQGEAAGGGDDELEATAREVMAFAAEQRLLRKGGAAAAGGGLTVEGFHRWLCSDANAALDPQKRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVGLMKCLEAIREHAFVASPYPVILTLEDHLTPDLQSKVAKMIKETFGDMLYVSENENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDADADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHGMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCDHRGEPLRSVKLLMRFDFT >OGLUM05G01990.2 pep chromosome:ALNU02000000:5:1514332:1521334:1 gene:OGLUM05G01990 transcript:OGLUM05G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTQ0] MTTYRVCCFLRRFRAASNEPSEELGDVFQAYADGGGGVMGEEALRRFLREVQGEAAGGGDDELEATAREVMAFAAEQRLLRKGGAAAAGGGLTVEGFHRWLCSDANAALDPQKRVYQDMGLPLSHYFIYTGHNSYLTGNQLSSGCSEVPIVKALHDGVRVIELDLWPNAAKDAVEVLHGRTLTSPVGLMKCLEAIREHAFVASPYPVILTLEDHLTPDLQSKVAKMIKETFGDMLYVSENENMAEFPSPDELKGKIIVSTKPPKEYLQTKNDADADEAGVWGEEITDDKVAATAMTTEEKCAAAEEAVAAAAVDEEMQEAETDKKTQHGVDNEYRRLIAIPLTRRKHGMDQDLKVDPDMVTRLSLGEKAYEKAIVTHGAHIIRFTQRNLLRIFPRSTRITSSNYNPLMGWRYGVQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNNDPDKLFDPTSKLPVKTRLKVGIAGVEADTRMEQTKVKMDTWIPAWDHEFEFPLSVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRRGIRSVRLCDHRGEPLRSVKLLMRFDFT >OGLUM05G02000.1 pep chromosome:ALNU02000000:5:1526797:1529178:1 gene:OGLUM05G02000 transcript:OGLUM05G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLLLAPASASTVAIAAGPTACAVAEGNSTVYCASATNSSSSAAVAPFVSFSQVSGGGGAFVCGLQVGGRALFCWPAAAPGQLRRVYNGPGQLSQLAVGGGHVAAYDAAARVIRWWRGGDRFPLWLGGGFASLVSGDDFTCAVETSTSAVRCWGPRGGAVEAGFLNASVSALAAGGSRACGVRRNDGGVLCSGGGVLAPREDLYVDGLAVGDSHACGLLRPNHTAACWSLGGATTTLYYPAVGTAFELLVAGGNLTCGLVSANFSLLCWSRDGLVAAEVNLPPILPGVCVSDNSSCKCGPLPDSGRFCKVSGDVICRRFCDTSPPPPPPSPRTPSPPATPSSRRGVSKGWIAFAVVGAVGCFAGLCSIVYCLLFGFCSHKKVHNSVQPNIASNNNGGGGAAAAAAVGSGAPSPYGSPNGSLGRLRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLAAATKDFAAEAKIGEGSFGTVYRGKLPDGREVAIKRGESGPRARKFQEKETAFRSELAFLSRLHHKHLVGFVGYCEESDERLLVYEYMKNGALYDHLHPKPNGSSSPSPSPVATSWKLRIKILLDASRGIDYLHSYAVPPIIHRDIKSSNILLDGSWVARVSDFGLSLMGPETEEVKHLSMKAAGTVGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGKRAIFKEAEGGSPVSVVDYAVPSIVAGELSKVLDARAPEPNAHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAVALCEDSATGGGAAGHGNSSSSASLSITSMELSRMD >OGLUM05G02010.1 pep chromosome:ALNU02000000:5:1532797:1536792:1 gene:OGLUM05G02010 transcript:OGLUM05G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDYYKVLGVGRGATDEELKRSYRRLAMKHHPDKNRSPHADDSLFKQVSEAYDVLSDPQKRAIYDQFGEEGLKAGAAPPPTTSSSSSHGGGGGFRFSPRSAEEIFSEMFGGAFGGAGPRAPGAGFPGFGGSPRAGETSATKAPAIERQLACSLEDLYRGATKKMKISRDVLDATGKPTNLEEILTIDIKPGWKKGTKVTFPKKGNEKPNIIPSDLVFIIEERSHARFKRDKDDLIYTHRISLVEALTGCTVQLTTLDGRNLTVPVKSVINPTSEEVVKGEGMPITKEPSKKGDLKIRFQIKFPTNLTSDQKSGIQQLLPKP >OGLUM05G02020.1 pep chromosome:ALNU02000000:5:1541922:1547884:-1 gene:OGLUM05G02020 transcript:OGLUM05G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGDGGWPEPVVRVQALSESGAATIPDRYVRPETERPSSSSEANAVANINIPVVDMSSSPGTAAAAVAEACREWGFFQAVNHGVPAALLRRARGVWRGFFQQPMEVKQRYGNSPATYEGYGSRLGVDKGAILDWGDYYFLHVRPPHLLSPHKWPHLPPDLRETTTEYSEEVRWLCERLMAVMAVGLGVEEGRLQEAFGGGEGAGVCVRVNYYPRCPQPDLTLGLSSHSDPGGMTVLLVDDRVKGLQVRHAGAWVTVDPVPDAFIVNVGDQIQVVTNALYRSVEHRVVVNAAEERLSIATFYNPRSDLPVAPLPELVSPERPPLYSPMTFDDYRLYIRRNGPRGKSQVDRLAAAAATIPNSTTTTQ >OGLUM05G02030.1 pep chromosome:ALNU02000000:5:1579579:1580586:1 gene:OGLUM05G02030 transcript:OGLUM05G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHHLNSSFSNPYHPLLASPPHHFAPDPLPPPPPPPPPPLLPADPPSLERERLPQWSHAETAAFLAIRADLDHSFLSTKRNKALWEAASARLHALGFARTPDQCKSKWKNLVTRFKGTEGAAAVAAAGTDQMQGGQGGGAGARGSSSSFPFHDELRRIFDARVERARALERKKVKGKDPDDDHDGGGDGDEDDEEEADQQVDEEDDGASGDTTRAGSKKRRRKAAAAAAARRTGSTGGVEGEVEAMLREFMRRQIEMEERWMEAAEAREAERRAREEEWRTAMVALGEERLALVRRWREREDAWRARAEEREERRHQLVAALLAKLGAGDASA >OGLUM05G02040.1 pep chromosome:ALNU02000000:5:1591676:1595257:1 gene:OGLUM05G02040 transcript:OGLUM05G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAALTTTTGAQYSCCFGLAHGGAAQRRRRTAAGFGAARWSGRRLRALPPQVSEFLSPEQLVPGSPADTGDEPKKAEESEEAASSPAATVSVAGDGQPEAKIRLKVKKTKKNKKAAMKV >OGLUM05G02050.1 pep chromosome:ALNU02000000:5:1603285:1603786:1 gene:OGLUM05G02050 transcript:OGLUM05G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATTGAPSMLSDWGSPDGKLDWGVQGDELHKLRKSASFAFRGQSAMPVATHAAAAEPDVSWVNSLVKDGHAAGDIFAQWPEQEQMVA >OGLUM05G02060.1 pep chromosome:ALNU02000000:5:1606362:1608334:-1 gene:OGLUM05G02060 transcript:OGLUM05G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLALDFLRRLLCAHAAAGNAGGGDDDGAVVVQVQVQQEEGRSPCVVARLMGLDAMPPPPPETECQPLRRQRRRRRSRSVSSAEGWPPPPAPYLKEEGDEFIVLSFSPDAASRHDNGEPDGKSGHVGAKKQSGGCPRRKLHYGGDDDDDEAQHPGHGRRRAAATERGMPSSSPVSVLHAQHSSSSSSSSSTTTTTTTTTTSSCSSEEVGPSSPSPTSEEIRLANNQQSSRRKLQPDFNDDLDNPLSPETSSCHVSKCSESGMRNRSVMNKSEVFIPGVSGTLQFICRLVEEDLNSVIWLTSDSENIAADMVSEILDQLTSETADELMQTGSETVHSSPGRLISMKHPSFRVDRNKQAIRSN >OGLUM05G02070.1 pep chromosome:ALNU02000000:5:1615537:1618741:-1 gene:OGLUM05G02070 transcript:OGLUM05G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADHMLDKVIGYIKSGYNISHVTIQIERE >OGLUM05G02070.2 pep chromosome:ALNU02000000:5:1615537:1618741:-1 gene:OGLUM05G02070 transcript:OGLUM05G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal tolerance protein A2 [Source:Projected from Arabidopsis thaliana (AT3G58810) UniProtKB/Swiss-Prot;Acc:Q9LXS1] MDSHNSAPPQIAEVRMDISSSTSVAAGNKVCRGAACDFSDSSNSSKDARERMASMRKLIIAVILCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESGEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHGHGHGHSHDHDHGGSDHDHHHHEDQEHGHVHHHEDGHGNSITVNLHHHPGTGHHHHDAEEPLLKSDAGCDSTQSGAKDAKKARRNINVHSAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLFTTIKMLRNILEVLMESTPREIDATSLENGLRDMDGVVAVHELHIWAITVGKVLLACHVTITQDADADHMLDKVIGYIKSGYNISHVTIQIERE >OGLUM05G02080.1 pep chromosome:ALNU02000000:5:1625876:1627032:1 gene:OGLUM05G02080 transcript:OGLUM05G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHGTERLGTAQPAADGKEFGGGALHRVRPRRLPRSQPPLASKAKVLGRLAFPALLAEASDYIAALEMQVWCCRRRPLATRQGSDRRRPGCDGKCRGTRGGERGLAEWSSDSCPARRGLHAGRRGGPLQQRLQASSPRTPSAATTSATASRHPMSLFLYRLDHWAYPDRVCVHLRGVDIAARETHPPTRPSASPIPTPTSAVRRTPVPALLPPPRAHLWPPRSPAGLPPCFPTATVAAGRSRGATLLLSRLALAASTPAAGRLAQKREEKRRVREREEEGREMTWHPDMWDPRRSHAASAAT >OGLUM05G02090.1 pep chromosome:ALNU02000000:5:1629158:1634472:1 gene:OGLUM05G02090 transcript:OGLUM05G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVVVPTAGRGQGGAVAASPPTEEVVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM05G02090.2 pep chromosome:ALNU02000000:5:1629158:1634472:1 gene:OGLUM05G02090 transcript:OGLUM05G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVVVPTAGRGQGGAVAASPPTEEVVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM05G02090.3 pep chromosome:ALNU02000000:5:1629771:1634472:1 gene:OGLUM05G02090 transcript:OGLUM05G02090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVVVPTAGRGQGGAVAASPPTEEVVQMTEPLTKEDLVAYLVSGCKPKENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMAGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM05G02100.1 pep chromosome:ALNU02000000:5:1653722:1656408:1 gene:OGLUM05G02100 transcript:OGLUM05G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPW1-1-interacting 1 [Source:Projected from Arabidopsis thaliana (AT1G80600) TAIR;Acc:AT1G80600] MNSLQSFLALNPPAAAALGIGGARLRPSRVTACLATPTPTPTPTPPPPTSAPLAPAAAARRELSAASRAVVEDEARYIVGTYNRSRVVLVAGRGCKLYDADGREYLDMAAGIAVNALGHADPDWVAAVSAQAATLVHASNVQYTVPQASLERVTPTAHHVFDALPNPPLVALAKRLVEASFADRVFFANTGTEANEAAIKFARKYQRVARPDGDAPTEFMSFTNCFHGRTMGSLALTSKVQYREPFAPVMPGATFAEYGNLEEAKKVIQSGKIAAVFVEPVQGEGGIHSATKEFLQGLRDACDEAGALLVFDEVQCGLGRTGYLWAYEAYGVLPNIMTLAKPLAGGLPIGVVLVTEKVASAINYGDHGTTFGGGPLVCQAALTTLDKIQKPGFLAEVAKKGENFKQLLSTKLSGNAHVKEIRGIGLIVGIELDVPAGPLVDACLDRGVIVLTAGKGNVVRLVPPLIISEKELEQAAEVIRDCLPALDASTS >OGLUM05G02110.1 pep chromosome:ALNU02000000:5:1655900:1661245:-1 gene:OGLUM05G02110 transcript:OGLUM05G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTR6] MAGRVMLVALVVIATSCMVRDAHGHDYRAALAMSLLYFEGQRSGRLPPAQRVQWRADSALADGADHRVDLTGGYYDSSDNVKFGLPMAFTVAALAWSVVEYGGRLDAAGELGHALDAVRWGADYLARAHASAGGGGGRRRRGAVGDGDSDHSCWQRPEDMDTPRTAYMVTASSPGSDVAAETAAALAAAAVALTPADANFSSTLLVHAKQLFEFAKNHRGLYHNSVPSAAKFYASSGDEDELLWAAAWLYIPTGGEEEYSAYIAGATNVGGVRSMFSRDDKFVGAQALLVLQGKLPADGSHAEMKTSLEQFICNLAQHSGGNGGGGGGGARLSPGGMLWWDSWNNMQYVTLASLVLAVHARPPDGGECGGGGGSLSPAQLTAFARSQVDYILGTNPETMSYMVGYGSRYPAEVHHRAASLPSIKSSPAKVTCKGGFDYLNKGSPDPNVIAGAIVGWPDADDQYDDSRQNFRQAEPSTITVAPIVGVLARLLPS >OGLUM05G02120.1 pep chromosome:ALNU02000000:5:1661313:1671026:-1 gene:OGLUM05G02120 transcript:OGLUM05G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHSSLKARASWKPPRRRLRRLRRDLADEQTCSSVMQSPARRKLRTAHCSAPTVATDGGGVELISVMMAAAACRHRQPHGGTGRPPSSRRPEPERGHPGAAVAGRARRRLLDEPALARKEVPINQLQKEEKGENANGISGSNPGPTEVDKVTVTRPYNAHMPTAAADTVGWPQQVLN >OGLUM05G02130.1 pep chromosome:ALNU02000000:5:1668480:1668937:1 gene:OGLUM05G02130 transcript:OGLUM05G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSRRSSSPESNTSGGGGGGYAADERKRKRMLSNRESARRSRARKQQRLEELIAEAARLQAENARVEAQIGAYAGELSKVDGENAVLRARHGELAGRLQALGGVLEILQVAGAPVDIPEIPDDPLLRPWQPPFAAQPIVATAMADAFQF >OGLUM05G02140.1 pep chromosome:ALNU02000000:5:1671270:1672158:-1 gene:OGLUM05G02140 transcript:OGLUM05G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGSFVDTAGGGQEARRERGASAAGDGRRSGGDGSDDVVRGRPRRRRLRLRRDGQRQCRRRGVNDEATNDDGEGEAPRCPRLPQPSSTTTTARPTKSLGWLSCCRRPAEISYRDVCMWMLTAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGAAVDSPLLSPGDWVIPSPLRCASPIRRHHHRQSTHRAQDAPRLDAAMAFNPLSSTPPWLSRTWPAPHPDNTKPRRRRTPRRRRRRGRAGGQGGDGDNEAEP >OGLUM05G02150.1 pep chromosome:ALNU02000000:5:1692862:1694093:-1 gene:OGLUM05G02150 transcript:OGLUM05G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPHLESDESVLDSIRTNFQLQSCNILRNHSAIGLKTLTLNLNDDHATFPYVDSWLQVAFTAGIEELTLRLHEKYNFPCSLLSDGVRKSIRYLELKFCAFHPTVEVGPLRSLTTLYLNSVRITGDELECLLSNSLALERLQLIDIKDIVILKIPCLQQLNSLGVMLCQGLEVIECKAPNLSRVNVDKAKIKFSPGEALQMKDLTLRRANCACHARVELPSIMPNLERLLLRSLNVVVNTPMLPSKFLHLKHLTISMISGSAFSPSYDYFSLVSFFDASPSLETLFLDVSQGRMEHESVFGGGSSAHLRQFPELHRHDRLECGDHGVQLGQGLG >OGLUM05G02160.1 pep chromosome:ALNU02000000:5:1700179:1705598:-1 gene:OGLUM05G02160 transcript:OGLUM05G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGGGGGAASEASGVAGGGSSPPHPPPPATTAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGATAGGEIGLDPELDEFMETYCRVLERYKEELTRPFDEAASFLTGIHTQLASLCGGAPPPTDNSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >OGLUM05G02160.2 pep chromosome:ALNU02000000:5:1700179:1705598:-1 gene:OGLUM05G02160 transcript:OGLUM05G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYSIHPGISRGGGGGGGGAASEASGVAGGGSSPPHPPPPATTAAAADLTELMKAQIAGHPSYPSLLSAYIECRKVGAPPEVTTLLEEIGREGRGGGATAGGEIGLDPELDEFMETYCRVLERYKEELTRPFDEAASFLTGIHTQLASLCGGAPPPTDNSDEMVGSSEDEPCSGDADAADFGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGSSSGTTLYFDTGTIGP >OGLUM05G02170.1 pep chromosome:ALNU02000000:5:1717971:1720693:1 gene:OGLUM05G02170 transcript:OGLUM05G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMSSMKADGSVPKRRRQDVQKVVVSLKYHKVEQGPPADSWSWRKYGQKPIKGSPHPRGYYKCSSYRGCPARKQVDKCRNDASLLIITYTSDHNHDNYAATTTNSVQEQAHNPDTSDPLSNGMSLAEVVTVASSKLSGEEESCDFFDELEELPVSASPLPSLSFMVQECSFSDARTLL >OGLUM05G02180.1 pep chromosome:ALNU02000000:5:1719714:1723704:-1 gene:OGLUM05G02180 transcript:OGLUM05G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAASEKEQGNEYFKQKKFAQAIECYSRSIGLIDPNNPELRKQYSEIKALHMKEVANRSKPTKHTVFKFDKSGDKKDTSHAPSSSQKDSFMEVDPPSRVAVEIREKADGTSKGGSGVIFKDSTIQNYGRSGIKYSLLKLHQQIRLKPSGNFEPNIFRKDCRIACSLQINDADAETAR >OGLUM05G02180.2 pep chromosome:ALNU02000000:5:1719714:1722886:-1 gene:OGLUM05G02180 transcript:OGLUM05G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAASEKEQGNEYFKQKKFAQAIECYSRSIGLIDPNNPELRKQYSEIKALHMKEVANRSKPTKHTVFKFDKSGDKKDTSHAPSSSQKDSFMEVDPPSRVAVEIREKADGTSKGGSGVIFKDSTIQNYGRSGIKYSLLKLHQQIRLKPSGNFEPNIFRKDCRIACSLQINDADAETAR >OGLUM05G02190.1 pep chromosome:ALNU02000000:5:1736058:1751209:-1 gene:OGLUM05G02190 transcript:OGLUM05G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEEMVTMLLHDGCFIIKHLYNFVLGYNEEELYATRWAPAQLRIDLGMLENQIPFFVLEEIFYHLTPQTFQRKITRRVADRDDDKTMMRQSKRHKLIVMAMWYMLNGWFVLPEYESHKLYRDIAEEEVHHLLHLLHKAHVVRVDSAAHLAMPTWWKLLLCVFNWPLWFLMSLLLCLCMCYRRFVYSDVEPNPMEETASAAQLRALGVNIRRVQRERGGILDVRFHKYQNAILEIPQLFVNQATMPLLQNLVAYEQLSTRSSPSSLWWLAEVRGPEDYFTKDYFTMYAFLMSNLVRTTEDITVLQAHGVLFNNLGSHQSMIDYFQNLCHLWPIERSNRVTPIGKELRLRQLAPPVDPEIAAVRTCAAAACRHPQQQLPHLQPQREDRVGGAAERPRRQDQDGASGARRDPRRQAKGGTASLSRCPYMPPLFLFVDHATAPLAAAQPRRVREQQGTAPPRPETRNELPEDYFTTYAFLMYNLVFGTGGLALPGGGSPVAISKQDIGPVYKTNRVDFNRRWAPAQLRIDLGMLENQIPFFVLEEIFYHLTPRKLQRKITRDVDVVGMTMRQSKRQMLLVMATWYMLNGWFDLSEDEIKLYEVIAKEEVHHLLHLLHLAHVVKVDEAPKSPPCEWQLCWQWPWHALQLLLCILPLFLVSLPLHMYRCCGGGGGGEEPDHKANIASASQLRGLGVKISKAPTKRGGILDVRLRNDLLSLVLEVPALTVDQGTVQLLQNLVAYEQQGTPPPSNDDEHPRDYFTTYAFLMYNLVSSTDDIAVLQEQGVLLNNFGSHETIIEYFKNLCRGNQRSGTKVKTDIGKVLQGLRDCSQYQLYRDWAEAKKYMDSPVKILALVVSTLLAISTILQTTTAFYPK >OGLUM05G02200.1 pep chromosome:ALNU02000000:5:1737545:1738544:1 gene:OGLUM05G02200 transcript:OGLUM05G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRRAHFLFDDDDQTPPRQNGRRNVDQHNSDDGDVDSVRVSCYGGVAKPKAKPSSTAKDVVVAGQYYLSLQ >OGLUM05G02210.1 pep chromosome:ALNU02000000:5:1755042:1755488:-1 gene:OGLUM05G02210 transcript:OGLUM05G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTYNKIHIMGNGDDTTIEMIFLDEAGQQRLPEVRVNVIHDDNDGGDLSPSALSFRWKTKKYRLWRVPEQVRAINKEEAYAPKFVSVGPYHCLHAAAGDRNRLRGEKLKRRYLHELLKDVEPDDHKHGGILQRCKSSLQEIVDDVRW >OGLUM05G02220.1 pep chromosome:ALNU02000000:5:1785599:1786169:1 gene:OGLUM05G02220 transcript:OGLUM05G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEEKDVLAEQQCTYSCPLPGKKTTTACVGELASTSSSSATAQLGGWQIKLVRRTNSWPGKLPASRRAPGSLPSIINA >OGLUM05G02230.1 pep chromosome:ALNU02000000:5:1787069:1788846:1 gene:OGLUM05G02230 transcript:OGLUM05G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMEKSRRAYFLFDDDDQTPPKQNGPQNDDQHNNDDGSGCLCVSCYGGVAKPKAPNKSSSTAKDDVAAGRPAAGSNCT >OGLUM05G02240.1 pep chromosome:ALNU02000000:5:1790858:1796454:-1 gene:OGLUM05G02240 transcript:OGLUM05G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAAEQQTPAPPSPPPASSWPKEVRVDVIDGGGVSSASKQPSSSFRLWRVPKHVRDINKEAYGPKFVCIGPYHRRRRGGGDRDADEEEEERRLRVEKLKERYLDELLTDVVGPPHVDDHRAKRDEILLLCTLEIYRFYAEDQEYLRGMTDEEMVRMLLLDGCFIIKHIYNFAKGYDEEELYATRWAPAQVRIDLGLLENQIPFFVLEEIFYHLTPQKLQRKITRDIGGDDDGMMMRMRQSKRHKLLVMATWYMLEGWFFLPTRESIKLYEVIAKEEVHHLLHLLHLAHVVKVDEAPKSPPCEWQLCCQWLWHALQLVLCILPLYLVNLPLRMCRCYGGGGGGEKPDPKANIASASQLRGLGVKIRMVERDRGGILDVRLRKSLEIRQIPPELEVPALSVEEATAVLLQNLVAYEQQGTPARQGQDQQTQRQKGKDYFTTYAFLMYNLVSSTEDIAELQEKGVLLNNFGSHETIINYFKNLCRWNQRSGTEKTDIGNEETHIGKVLDRLRECSQYQLYRDWAEAKKYMDSPVKILALVVSTLLAISTILQTTTAFYPK >OGLUM05G02250.1 pep chromosome:ALNU02000000:5:1799239:1802474:-1 gene:OGLUM05G02250 transcript:OGLUM05G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGDGITVHVEQMARGLMQRQEAAASDEQHRIMASSHRVCRVPAHLRDANADAYTPRFVAVGPLHSGDTRRLGAGERLKMAYLHSLISRGHSDQARQLAVIEEYIRAVAAREREARAFYSEDVDMYAEEFIMMLVLDGCFIIEHLVNVAIGRDEPSLHATPFAPVQLSVDLILAENQIPFFVLVDLVRITDLPEFASTGHPPPVLIVKLVLYYLAGEKGRDMVGDALPPAEGVSHILHLLHAMIVAARTKWEPPPRIQDGAVLGTAQDGARLLRRLPLLLLVPLLYPILPEESKWRASYGREDVPSASDLKRMWVRFKKPRGGGAAAVTGIASVMGPVPLAVKLAHEDKLRLPQLRVELRTAPLLLNLMAFEQSAAKAEARDVSAYVSFMAKMVQSAEDAGALAAAEVVAVVHGNGGGEGKEEVVRLFRQVGAASGEVELERSYLGGMVVELRERSRHPLFMMWADVKRNYFTVPWAVVAEFVAFVTFVSTIVQFPK >OGLUM05G02260.1 pep chromosome:ALNU02000000:5:1803348:1806021:-1 gene:OGLUM05G02260 transcript:OGLUM05G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPCLLRALPLVASSSSRRLRRRPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMVARATALSCQWLMGPCSVNSVTLSNGKSLSSGVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDYMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKEIGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >OGLUM05G02260.2 pep chromosome:ALNU02000000:5:1803348:1806021:-1 gene:OGLUM05G02260 transcript:OGLUM05G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPCLLRALPLVASSSSRRLRRRPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMSNSTFMPMADGAMFGEFRNIIQWKIIVKRVRFYSSRILRDGNCQVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDYMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKEIGTGSSTDDGNEILCSTATDMWATLTKGPDVSDFYCRRILQS >OGLUM05G02260.3 pep chromosome:ALNU02000000:5:1803487:1806021:-1 gene:OGLUM05G02260 transcript:OGLUM05G02260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSPPCLLRALPLVASSSSRRLRRRPTTSLLRCSSPSADAASPSGEGGREYEPSFADDFLLAFFRAKMVEEVGWDSEKPGYNGLIEVANRLMVKGKSALETEQSAVRVLRSLFPPLLLVLFKALLAPIANGQLASMMSNSTFMPMADGAMFGEFRNIIQWKIIVKRVRFYSSRILRDGNCQVFVEKCKYLEESKCLGVCINTCKLPTQTFFKDYMGVDLYMEPNFEDYSCQFNFGVSPPPLDTDKALKEPCLDICTNARRRKEIGTGSSTDGLQCPQV >OGLUM05G02270.1 pep chromosome:ALNU02000000:5:1806535:1809206:-1 gene:OGLUM05G02270 transcript:OGLUM05G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPPTSPPALARSSGRRVAPPPVPPFPFPLPSGNSRRRLTSRRLFATSCSSSDSDHAPSTSITALSGAGDDLSAGLLPFVQLSSGIVLQTEEQSLLGDDAPAPAPASTASSFALPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVALLHPASLLPVAVLGFFTKLVVFAAGPLVGEFISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRASAAEAATESLLLRPWFAVLVASTAVDRLACVALGIIAERDFVVQLAGAGRPVALAKANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADRIFDPSENTTSHAEFTSSFSIRKTVEEAVATVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGEMMCMVIIKLILLMVCVCGVRPSVIGAFGGSSAVVGILATFATARLVKELGILKAGAAGLISQSALLGAAVVIYLTGAVSRRAGALFAFLGLIWRGWRWRAQVASRAGHMAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSAAAVAAATGMYCRWLSNPSDQLRRIFPS >OGLUM05G02270.2 pep chromosome:ALNU02000000:5:1806535:1809206:-1 gene:OGLUM05G02270 transcript:OGLUM05G02270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPPTSPPALARSSGRRVAPPPVPPFPFPLPSGNSRRRLTSRRLFATSCSSSDSDHAPSTSITALSGAGDDLSAGLLPFVQLSSGIVLQTEEQSLLGDDAPAPAPASTASSFALPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVALLHPASLLPVAVLGFFTKLVVFAAGPLVGEFISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRASAAEAATESLLLRPWFAVLVASTAVDRLACVALGIIAERDFVVQLAGAGRPVALAKANATLSRVDLLCETVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADRIFDPSENTTSHAEFTSSFSIRKTVEEAVATVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAVVGILATFATARLVKELGILKAGAAGLISQSALLGAAVVIYLTGAVSRRAGALFAFLGLIVASRAGHMAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSAAAVAAATGMYCRWLSNPSDQLRRIFPS >OGLUM05G02270.3 pep chromosome:ALNU02000000:5:1806535:1809206:-1 gene:OGLUM05G02270 transcript:OGLUM05G02270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASMSMSKLLSPPPPTSPPALARSSGRRVAPPPVPPFPFPLPSGNSRRRLTSRRLFATSCSSSDSDHAPSTSITALSGAGDDLSAGLLPFVQLSSGIVLQTEEQSLLGDDAPAPAPASTASSFALPDELNGGCREDDHLGETPAYPAAMNALYAACLAGNATEQLWNFTWPAAVALLHPASLLPVAVLGFFTKLVVFAAGPLVGEFISSLPRIPAYRSLAAIQTAAHLVSAATITYAFAVHRASAAEAATESLLLRPWFAVLVASTAVDRLACVALGIIAERDFVVQTVGASIFALLLSKNDPLTCIKLSCVISLCQLPLLIFLCGEMNRLADRIFDPSENTTSHAEFTSSFSIRKTVEEAVATVRNGWSEYMRQPVLPASLAYVLVCFNVALAPGALMTTFLIHQGVRPSVIGAFGGSSAVVGILATFATARLVKELGILKAGAAGLISQSALLGAAVVIYLTGAVSRRAGALFAFLGLIVASRAGHMAYSAIGLQVVQTGNPASKAKLIGATEIAVASLAELAMMAVAVVASDASHFGALAALSAAAVAAATGMYCRWLSNPSDQLRRIFPS >OGLUM05G02280.1 pep chromosome:ALNU02000000:5:1875818:1879705:1 gene:OGLUM05G02280 transcript:OGLUM05G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPIPTTPLPPPRSLASESEVSSRAGLSLFLPLSDWQAVFERLSPEEEEGLLVLIHCVPMDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTSGCLKDDKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >OGLUM05G02280.2 pep chromosome:ALNU02000000:5:1876354:1879705:1 gene:OGLUM05G02280 transcript:OGLUM05G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFVCWQWCACEKGKKPRLIGGGSLCCIWRSRRIRQAVFERLSPEEEEGLLVLIHCVPMDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTSGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >OGLUM05G02280.3 pep chromosome:ALNU02000000:5:1875818:1879705:1 gene:OGLUM05G02280 transcript:OGLUM05G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPIPTTPLPPPRSLASESEVSSRAGLSLFLPLSDWQAVFERLSPEEEEGLLVLIHCVPMDAKGVNDRDALIDLESGNNIVVGEHNNGADANFAVAQERTAPNGAWNGAMGTSGCLKDDVNHQHMCCCPSSPDAAAKNGDDRKSDGEEKLGLLDTSGGEKTKKKRSKKPPRPPRPPTPTPLDVSDQKLLNELSELAILKRARIERMKALKKMKNAKHGSSSGNLFPLIITIIFCLVILWQGFFSRTGSAVSFHGSPESSVRAHSSLISIRFYKNNNSNSRSREAISAAPKNAETPSRLEIHSKARKITR >OGLUM05G02290.1 pep chromosome:ALNU02000000:5:1880928:1889030:1 gene:OGLUM05G02290 transcript:OGLUM05G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINGLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPIHLFKLRTKNNRLELLVSSKEFQWQSPNPFQVSGEEVSSDAYFTTGRSTKWIVTHLRHFFQSQFRIGSSHANSSNQGHVIRAKTTTKPCFDLKQGNNNEEAGCPYSTKTPSEHPIIWNSFTHVYHGEN >OGLUM05G02290.2 pep chromosome:ALNU02000000:5:1880858:1887925:1 gene:OGLUM05G02290 transcript:OGLUM05G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINGLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKGKLSNAMVYLLKMLYEGICPNEATWNVLVRAIFTNIGTIGPIHLFKLRTKNNRLELLVSSKEFQWQSPNPFQVSGEEVSSDAYFTTGRSTKWIVTHLRHFFQSQFRIGSSHANSSNQGHVIRAKTTTKPCFDLKQGNNNEEAGCPYSTKFTCIATIH >OGLUM05G02290.3 pep chromosome:ALNU02000000:5:1880928:1887925:1 gene:OGLUM05G02290 transcript:OGLUM05G02290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48810) TAIR;Acc:AT3G48810] MCSTKCRLRLLLPLPRRHLSTAPATSHRNKIPADPSRTERPRPDPGSGDSARHHEATVRRLAAAGDVDGVQYALQEMRLRGVACTEDALVAAIGAFARAGSADRALKTFYRASDLGCRDPGVRVYNHLLDALLRENMVGAVVPVYDNMRKAGVDPNVYTYNLLIRALCQNDRVDAARKMLDEMSRKGCHPDEVSHGTIVSGMCKLGRVEEARGFLAETVPVQASYNAVVHALCGEFRMWEVFSVVNEMVQRGLQPNVVTYTTIVDAFCKARELRMACAILARMVSMGCTPNVLTFTALVKGFFEDGKVHDALSMWHWMVDEGWAPSTISYNVLIRGLCCIGDLKGALDFFNSMKRNALLPNATTYSTLVDGFSNAGDLDGAMLIWNEMKSSGCKPNVVVYTNMIDVLCKKMMFDQAESLIDKMLMDNCPPNTVTFNTLIGRLCDCGRVGRALNVFHGMRRNGCHPNDRTYNELLHGLFREGNHKDAFAMVIEMLNNGFELSLVTYNTVINGLCQMCMRKHAMLLLGRMMVQGIQPDAFTFNAIIHAYCKEGKVSIAACLLGQMNAVNCPRNVVAYTILISELCNQGKLSNAMVYLLKMLYEGICPNEATWNVLAKTTTKPCFDLKQGNNNEEAGCPYSTKFTCIATIH >OGLUM05G02300.1 pep chromosome:ALNU02000000:5:1883513:1889028:-1 gene:OGLUM05G02300 transcript:OGLUM05G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAVGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDFIIDHAEIDVIFVQDKKIKEILSANCKSAKRVKGLVAFTSGTSEEITSADQIGMKLYSWKDFLKMGKENPAQPCAPKPNDTCTIMYTSGTSGEPKGVMLSHESHAIYVKGVDLFMEQFDDKMTTDDVFLSFLPLAHILDRMIEEFFFHKGASVGYYHGDLNALRDDLMELKPTLLVGVPRVYEKIYEGILKALSELRPLRRLIFNALYNRKLANMKAGCTHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSK >OGLUM05G02300.2 pep chromosome:ALNU02000000:5:1883513:1889028:-1 gene:OGLUM05G02300 transcript:OGLUM05G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G47240) TAIR;Acc:AT2G47240] MEGGTKKVFTVQVEDGKPRKDGRPAVGPVFRSALSKDGFPPLEPDMKTSWDVFRVAVGKYPDNRMLGWRPVKDGVIGPYIWKSYREVYEEVLQVGSALQQLGVKPGSRIGIYGSNCPQWIVAMQVKARLGGRLRLLISGGAPLSNEIEEFLRVTSCAYFIQGYGLTETLGPSTVCYPDDMSLVGTVGVAATYTELRLEEVPEMGYNPLGTPSRGEICVRGNFFTGYYKNPELTNEVMADGWFHTGDIGEMNSDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYVFPPTVEDVWVYGDSFRSMLVAVVNPHEENTMKWAESNGCKGSFAEICKSEGLKEHILKELQSVAAKNKLRGFEYVKGVILDPIPFDLERDLVTATMKKKRNNMLKYYKPEIEKVYQKLEEQRVAAKSK >OGLUM05G02310.1 pep chromosome:ALNU02000000:5:1906518:1906712:1 gene:OGLUM05G02310 transcript:OGLUM05G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYNTPSHAVNLAAHARHHRLRRARRPALVALAVMADPLASLARAMEVVPDSEMEVAPVSSSE >OGLUM05G02320.1 pep chromosome:ALNU02000000:5:1909744:1913264:-1 gene:OGLUM05G02320 transcript:OGLUM05G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPHQFRPGDLVEVLPDEPGYRGAHFPASVTASHANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKSSLLVDYNCSNNDGSVLPKEIVDLKHIRPRPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQMIPGLFYMLLPTFHNNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >OGLUM05G02320.2 pep chromosome:ALNU02000000:5:1909744:1913264:-1 gene:OGLUM05G02320 transcript:OGLUM05G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRLRRNPPPATAAAAAPAPHQFRPGDLVEVLPDEPGYRGAHFPASVTASHANPRGYTVAYDGSGCPLGEVVAASQLRPRPPDAPRGVPPAEHAVVDAFKGGAWWVGVALGGGRAAAGGRVAVCFPETREVVEFDAADVRPHLEWVAGEWLSPENMGISKTMPYKKGTQVEVAKLEGNSVVAWFSAAVEKAIWKSSLLVDYNCSNNDGSVLPKEIVDLKHIRPRPQHASAIIFCINDEVEGFQGNGWWLGVITDVHPEFRYTFKAAHSGKEIQLDQKALRLRYDWTHDQWQQVTQNVTKKFAKGSKVEVTSNDDGFHGAWFQGTALKYVNNKILVEYDALKADDEITPLTEAIEVQHVRPCPPDIPVTSGFNLLDEVDACWNDGWWVGVISKVNSGDRYMVYFRSSTEETEFGHEQLRLHCDWVGGRWMRASLVCCIFPEF >OGLUM05G02330.1 pep chromosome:ALNU02000000:5:1914365:1919939:-1 gene:OGLUM05G02330 transcript:OGLUM05G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVRSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >OGLUM05G02330.2 pep chromosome:ALNU02000000:5:1914365:1919939:-1 gene:OGLUM05G02330 transcript:OGLUM05G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03810) TAIR;Acc:AT3G03810] MLLKSKFKLATAIGIVLSMLSLLVHLFLANYSAGGITRYSLHMDDVLPFGPRPRPRRLWGSLSTLDHLHPYAKPRKIYPAPDYHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISSKFKSFSYLYDEDHFISALSSDVAIVRGLPKDLREARKKIKFPTVSPKNSATPEYYVTEVLPKLSKSKVIGIIINGGKCLQSILPATLEEFQRLRCRVAFHALKFRPEIRALGNQIVSRLRVSGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEQLSVDSVSRKINGSCPLMPEEVGLLLQALGYPSTTIIYLAGSETFGGQRILIPLRAMYANLVDRTSVCSQRELSDLVGPEAPLASDMAHPPPPKTEKELVDEWKRAGPRPRPLPPPPARPYYAHEKVGWYGWIGENDTEPDPSPVEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKTISALFENVSDHRYHPPRNWTLAARQHLNNSASVEGIVKSAMLSKPVSFLAHPLPECSCRTPKSPGVQSTKDSHGRLLFGGEEECPDWMVRSLAMVSTKNNEPQNEDYDGDLPEDDSSQDTQQESDRSDMNKSSEQDEEMDPDD >OGLUM05G02340.1 pep chromosome:ALNU02000000:5:1923384:1925330:-1 gene:OGLUM05G02340 transcript:OGLUM05G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQLGDGRFILVDIKKEMHEVHNEMENDVRGPYKVKKKALQVLQNPKPFDGKQVDEHTISSEL >OGLUM05G02350.1 pep chromosome:ALNU02000000:5:1932133:1933516:-1 gene:OGLUM05G02350 transcript:OGLUM05G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMEMMGMAMSPAMSSATAAAASEDEGDLRRGPWTVEEDMLLVDYIANHGEGRWNSLARCAGLRRTGKSCRLRWLNYLRPDVRRGNITANEQLLILDLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSKEFRDVVRHVWMPRLVERIQADAAAAGEVAAPAPLSAAATRSMSSPAGAMYLHHQQIPLAAGAMVVAPAVSSEAYHHHGCGGGGDTSCSEPSQAAVTMSPDDASSTLRSSSAAAENDTIHGDVLSGSWSELLATTTTTTIAATAGLPDFDELGDFEDNLWSLEDIWLHQQC >OGLUM05G02360.1 pep chromosome:ALNU02000000:5:1961333:1964033:-1 gene:OGLUM05G02360 transcript:OGLUM05G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLNB1 homolog [Source:Projected from Arabidopsis thaliana (AT4G01900) TAIR;Acc:AT4G01900] MSSPATAAAAAASCGVLRHHHPPASPRPPPTTTTTSRLLLASRSRGLQRPLRVNHAPPRRLPPTAARAQSAAAAGYQPESEFYKVEAILRPWRVPYVSSGLLQMGIRGVTVSDVRGFGSQGGSTERHEGSEFAEDTFIDKVKMEIVVSKDQVEAVVDKIIEKARTGEIGDGKIFLIPVSDVIRIRTGERGERAERMAGGLADKLSSAMPIS >OGLUM05G02370.1 pep chromosome:ALNU02000000:5:1966007:1973098:-1 gene:OGLUM05G02370 transcript:OGLUM05G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRWGRRVAMRERGGEERCGKKEALDAYPTVGIKRKPKAAGREPVSELESPKLKTTHLPTAIPGKPPHCRSISSRRAILLPLILIANQILLILLDLGEGGKEEEALQSWLIRPLPLPQIRRPWLGPLWSPSSPSPAPRPRWRLLGADALGGAATSGAAASDGGSPPPRATWAAGLLLGDTTGLRVTSPSTGRSFASATSPPGCSGGCLVPTRPLVVSSLVDVVAAVAMAMWLEEGSEETGEPSEDEAQRKWEAEMARRLKEAEEMEAL >OGLUM05G02380.1 pep chromosome:ALNU02000000:5:1973131:1973445:-1 gene:OGLUM05G02380 transcript:OGLUM05G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERRGRRTGNRRWGRCGSHKRGLGGAEGGRGGRRRVAQEEEAKRDAAWMRCGVDSVGEGRRREMRERGGAMQERGVDARGPAEQRDVGEERRGAMWERRWGR >OGLUM05G02390.1 pep chromosome:ALNU02000000:5:1973970:1991890:1 gene:OGLUM05G02390 transcript:OGLUM05G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRMDNTAGSSQPIIRRRGRPRQQPSNIPIPRPNQTVAAESRRRRRQVIDANRNRDQRILRPRFTSMANPATSLKDISVGQQDCKVFGRLIRLWDAINMRSKSADPLISIDGILLDEHGSIAQITVPKRFAKQFRPLLNKGSVYLISNTVAIDAKRKTYIYQCQNYILQFKHDTRIQPLESRGLTIPKFLFDFCPFDEVLGKNISSKPLIDLIGVISHIGPYDFASPTSDKKLRRIKIQNLEEQTQDVLLWGQYGESFNEDATLHKSKDGIVVAIFAGLTAGKFSAITEASSSSATEIYIDLDTHQVREFRTSYQWERPTLEQQLPKVIRLTPIQAAGKMYTLSEISAMPISAFQGGATYSATAKITAILSSIKWYYIGCHRCDKGYSNNSDSPRCACEVSFRKPMYKLPVTITDESGSLDAVAFSFVAEDLVELDAAQASQNMKIDPADHPTTLNNAIGKTKIFAIGMNTDTSSKFPISYVLKKSFTIEPTMSVPMLTDGERYYSFHHLHHIQITQGASSKSTPPEISLADKTPTEKTSSTTKRAIDFTKDSIEETRSKKLQHTEGKADFPEDSIEGTKGITFCTHIPQQRAGYLLSICASSPFWNRPVPISTSNQTPRRAARLPPPPSSGRGSERAAAAAAESVRPPSPAPTQQQSSSVGRKKGSRCRERRAASGEREWGFLHCYHFRPAAVDAEAGWPPPVTGHVRLYIYTPRRPPSAANLSRAGRRVFLLLLLHCCSPSPFLLQVKVEDDVEGSGINASVGDLGDAAVNPQPALLRATVKEEEGQPSSSSSHVRSQFIGMGFSPMLVDRVLQKHGDRDSDTILEALLSQSALQKSGSESGSLGDLFDSDNEENSSHFAPRKEVIQDIKVEADSSSEKRSYLLSTMNFSQREVDLALNQLGEEASLEQLVDFIVTGQVSGCSGGNENGDASNEVKDESLFGVMDKTLHLLQMGFTEEEVSSVIDKAGPEATVLELADTIFARRIASSIEQKEVKVEPDFLDETETSYSAYHPSNSGLRYYDDDHDNIRIKRAKHMFIDDSAGSSSRAGNQPNLDPWLKDHRATTSDGSVKEEFDAMTPGIRRNVRSDVANPPYFLYGNVVEIPKATWRQLSEFLYNVEPEFVNSQFFSALSRKEGYIHNLPTEGRRNLVPRSPMTIEEAFPFTRQCWPSWDTRKQLNSVATEVAGIEQLCERLGKMVRDSGGYLSQEKKTHIMHQCKLANLIWVGPDRLSPLDPQQVERILGYPRKHTNLFGLNPQDRIEAMRYSFQTDTLGHLLSVLKDLYPDGLRVLSIYSGIGGAAIALHRLGIPLQCVVSVDQSDTNRKILRRWWSNTEQKGQLRQINTIWKLKINVLEDLVKEFGGFDIIIGGNFSSCKGGTTVNSTMGMDSNQFFEYVRVVQRVKHIMGRLQCNDNTHSSGPEVW >OGLUM05G02400.1 pep chromosome:ALNU02000000:5:1991409:1993752:-1 gene:OGLUM05G02400 transcript:OGLUM05G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGVVRSSLGFQNETSTSGDADRLPNEMSNMSIRDDNKDIDDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVSLKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNTIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPYLRSTASEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGMPMEFLVKLIPEHARKQCAFVGW >OGLUM05G02410.1 pep chromosome:ALNU02000000:5:1993774:1995011:-1 gene:OGLUM05G02410 transcript:OGLUM05G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRDEIGATGPEPFNEGSEAPPSSLPPNPPPHSAPELRRESEPAREVGQSSERQIVPPAPLRPLSGDPPPRLIPWWINYNSSLGKPLEH >OGLUM05G02420.1 pep chromosome:ALNU02000000:5:2003881:2006909:1 gene:OGLUM05G02420 transcript:OGLUM05G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEVMHQPVPVLEVPYHRCVAKGVEEVAAAAAVAPPPVVEVEVAVQVPHMVYYGVYCLSVYYTRLFSVRGCKRLTHFGLESAAGAPSISVDALQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGLDAAAYMKRHAMRFLFEDSEFPQASQVDETYVQSVENSVRRAFLQADLALADDLDISRSSGTTALTALVFGRQLLVANAGDCRAVLCRRGVAMEMSRDHRANYAEECERVAASGGYIEDGYLNGVLSVTRALGDWDMKMPDGSISPLIAEPEFRQTMLTEDDEFLIMGCDGIWDVMTSQHAVSIVRRGLRQHDDPERCARELVMEAKRLETADNLTVIVVCFVSELGSPRREQVGGQAGVARPRSCKSLSAEALCNLRSWLETDR >OGLUM05G02430.1 pep chromosome:ALNU02000000:5:2008156:2012849:-1 gene:OGLUM05G02430 transcript:OGLUM05G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTATDAGARMKPTTVASAVHRVQMALYDGAAASREPLLRAAASLLSGPDYADVVTERSIADACGYPACPNPLPSEDARGKAAPRFRISLREHRVYDLEEARKFCSERCLVASAAFGASLPPDRPFGVSPDRLDALVALFEGGGGGGGDGGLALGFGASGDGKEVEEGRKVEIMEKEAAGTGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASYGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIAKQLEDVVLEEKKDKKKNKAAKGTSRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILANEQPSSSQYAAIDSVQAYTEELDELFSNAVNIAKDETSDDSGRCTLRSSLKAVGSKNARRSVKWADENGSVLETSRAFVSHSSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEDAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPILVSNLRMQIPVSKLEITLGYLLDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNSAQVSREEYDSMIDLLLPFGRSTQSQASLPSFGTRLAKYRLLPVASTIPFALASDVSTAEFDQLTTHS >OGLUM05G02430.2 pep chromosome:ALNU02000000:5:2008603:2012849:-1 gene:OGLUM05G02430 transcript:OGLUM05G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTATDAGARMKPTTVASAVHRVQMALYDGAAASREPLLRAAASLLSGPDYADVVTERSIADACGYPACPNPLPSEDARGKAAPRFRISLREHRVYDLEEARKFCSERCLVASAAFGASLPPDRPFGVSPDRLDALVALFEGGGGGGGDGGLALGFGASGDGKEVEEGRKVEIMEKEAAGTGEVTLQEWIGPSDAIEGYVPRRDRVVGGPKKEAKQNDACSAEQSSNINVDSRNASYGESGMVLTENTKAKKKEATKTPLKMFKQDEDNDMLSSCISDSIAKQLEDVVLEEKKDKKKNKAAKGTSRVGKSKPAKRPVGRDGHEVDFTSTIIMGDHGSEMMDHGALGQYNFSSSILANEQPSSSQYAAIDSVQAYTEELDELFSNAVNIAKDETSDDSGRCTLRSSLKAVGSKNARRSVKWADENGSVLETSRAFVSHSSKSQESMDSSVRRESAEACAAALIEAAEAISSGTSEVEDAVSKAGIIILPDMVNQQQYNNDYDNDKDAGENEIFEIDRGVVKWPKKTVLLDTDMFDVDDSWHDTPPEGFSLTLSSFATMWAALFGWVSRSSLAYVYGLDESSMEDLLIAGGRECPQKRVLNDGHSSEIRRALDTCVCNALPILVSNLRMQIPVSKLEITLGYLLDTMSFVDALPSLRSRQWQLMVLVLLDALSLHRLPALAPIMSDSKLLQKLLNSAQVSREEYDSMIDLLLPFGRSTQSQASLPS >OGLUM05G02450.1 pep chromosome:ALNU02000000:5:2025626:2043302:1 gene:OGLUM05G02450 transcript:OGLUM05G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTW3] MDLRIWIEEMAMVKLNAAAAVVLSLLVVVAVHPAAADAGGDWYGKKSIEETVRKEVEKAIKHNPGVGAALVRLVFHDCWVNGCDGSVLLDKTPYSSSTEKAAANNIGLDGFDVIDAIKSKLGAAVSCADIVVLAGRDASAILSGGRITYDVGTGRKDGVVSSAAAADAVLPESTFDFAQLKDNFASKGLTQGELVILSGAHSIGVAHLSSFHDRLAAATATPIDATYASALAADVERQKGVQHTDNPAEKNNIRDMGTAFQSAAGYDAAGVDTAAVGALDNSYYHNNLQNRVLFKSDWVLRTDGDAAADLAEYRDNATKWDVDFAAAMAKLSKLPAEGTHFEIRKTCRCTNQNYYYGKKYDSIILMVKLVCFVVVVFMAAAAAMAGADRELKVGYYEKTCKDVEKIVNSIVVNSIKANRGKGAGLVRLLFHDCFVRGCDASVLLEKSEMNMHPEKESQANIGIRGMDVIDAIKAALEARCPNTVSCADIIAYAARDASRYLSRGGVDFPVPGGRLDGVVSRSRDADAFLPDSAANLTGLVRNFRRKNFTVEELVILSGAHSIGVTHCTSFAGRLTAPDAQINPGYRNLLVSKCGGVSPTATNNHVVVNNVRDEDGAAVARAMPGFAARVRKARDYLDNSYYHNNLAMAVTFHADWALLTGKEARGHVVEYAKNATLWNVDFGDALVKLSKLAMPAGSKGEIRAKCSALN >OGLUM05G02450.2 pep chromosome:ALNU02000000:5:2043242:2044905:1 gene:OGLUM05G02450 transcript:OGLUM05G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTW3] MAMATAACRRPAVMLMAFAMAAAVMMSTVPPASGTTTLQYDFYSSSCPKAEETVRNVVEPMIFNDPTMGAAFIRLFFHDCFVRGCDASILLDPTSSNTQPEKTAIPLRGYDAVNKIKAAVEAVCPGKVSCADILAFAARDSAVVNGNFAFAMPSGRRDGTASSASDVARFIPSPAFHLQDLVDSFAAKGLTADDLVILSGAHSFGLTHCAFVTGRLYPTVDPTMNATFAAALKKLCPPPANGGGGRAVSNNQVTDPNVLSNQYFKNVAAGEVMFTSDQTLTSRDDTKAMVDDNAADPVAWMARFAAAMVKMGGVEVLTGNAGEVRKVCFATNTAS >OGLUM05G02460.1 pep chromosome:ALNU02000000:5:2046658:2050524:-1 gene:OGLUM05G02460 transcript:OGLUM05G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNITLVFLLMMCLSAAAAESTSGVAGGNGLLHTIPSKNSLAHCPSTCGDISFSYPFGIGHGCFRQGFELICDNATHPPTLLLANTTTQVIGQSGRTLEVIEIPAIAFNIAMNNSSIIDYIRYWVSPANGFTIVNESTLFVIGCGIEACLFDLDTNETMGSCITMCSDNLGIMEMHDWDCTGIGCCHIIVRRELRRFWLKLDHPDDERTTPRSYRVLSRAQVFILPTINSYQFNTNDLVSSSWMNTSSIGGTLLRGAIMDQETCPGASASKATYACTTNTNCFNATNGGYYCSCHNDVTDGNPYVNLGCSGDPGMTIGIGCGLGSIILALSAIVLINKWKRGVQKRIRRAHFKKNQGLLLEQLILDEKAEDKTKIFSLEELEKATNYFDATRVLGSGGHGTVYKGILSNQCIVAIKMSKIVEQTEIDQFINEVAILSQVIHRNVVKLFGCCLEAEVPLLVYEFISNGTLYDILHSDVSVKCLLSWDDRIRIAVEAAGALAYLHSAAAIPIYHRDVKSSNILLDDNFTTKVSDFGASRTMSLDQTHVMTNIQGTFGYLDPEYYYTGELTAKSDVYSFGVILVELLVRKKSIFINDQVQNKAWLITLWKGINKELSWRSWIRKLWKRQTRKKLMILSQLRNLA >OGLUM05G02480.1 pep chromosome:ALNU02000000:5:2079905:2081095:1 gene:OGLUM05G02480 transcript:OGLUM05G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTW6] MAALDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRETCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYRNESGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYVARQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGRIPDKEILKIVKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >OGLUM05G02490.1 pep chromosome:ALNU02000000:5:2085133:2094282:1 gene:OGLUM05G02490 transcript:OGLUM05G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFACCGDEDTQGVPDNRNPYPGNHPARSDAYRTADPTPRGPQPVKVQPIAVPIIPVDEIREVTKNFGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSSKQPDQEFLAQVSMVSRLKHEHVVELLGYCVDGNLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARATNPGENAGS >OGLUM05G02500.1 pep chromosome:ALNU02000000:5:2090409:2092608:-1 gene:OGLUM05G02500 transcript:OGLUM05G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSEKVVPPSSVSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKFAETKARSMEEEISKLQKCLNDKDEQLRSSTGCTEQMHFLNNCSNYVQYLHELDDLRSKLSVTQATAEASAASAKSAQSQCLSLLKELNEKDSSLKEHERRVNKLGEQLDHLQKDLEAREYSQRQLKDEVLRIETDIMDAVAKVGSNKDNELLKILSDVSPRNIDNLNKLLNAKDAEIARLRDEIRILSAHWTNKTKELESQLEKQKRTDQELKKRILKLEFCLQESRSQIRKLQRAGEKRDKQLKELKDQVGMKQPSVPYRDDKHNFWENQSFKFIASMSMLALVMLTKR >OGLUM05G02510.1 pep chromosome:ALNU02000000:5:2100772:2101417:1 gene:OGLUM05G02510 transcript:OGLUM05G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSMLSPMEVDEKPVIEELQGSGDGSLIYDRGSLFGTLQR >OGLUM05G02520.1 pep chromosome:ALNU02000000:5:2102911:2106127:-1 gene:OGLUM05G02520 transcript:OGLUM05G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZTX0] MVKEGREALLGGYEMGRTLGEGNFGKVKYARHLATGGHFAVKILDRGRVVSLRAGDQIRREIATLKLLRHPHVVRLHEVAASKTKIYMVLEFVNGGELFERIAVKGKLSEKEGRRLFQQLIDGVSYCHDRGVYHRDLKPENVLVDQKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNKGYDGSLSDIWSCGVILYVMLIGYLPFDDRNIVVLYQKIFKGDTQIPKWLSHSAQNLLQRILEPNPMKRIDMAGIKSHEWFQKDYIPVLPYDDDDEDVQFGARLPAKEQINDEPGDKNSHQINAFQLIGMASSLDLSGFFEDEEVSQRRIRFTSTHPPKDAFDKIESSATELGFQVQRGHSKLKLMRNCKGSKNPESFMVSAEVFELGPSVNVVELRKSNGDPALYRQLCERISSDMGARNTEQIFATASLEDDLQNSNAGTPLFAL >OGLUM05G02530.1 pep chromosome:ALNU02000000:5:2109828:2110884:-1 gene:OGLUM05G02530 transcript:OGLUM05G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHMASGGGQLHAGEGRRHSGRLQMRGMASVAAATAAVYGGSGDAGGGEGWQSVTLSGGRSGVSLLLGLCDGDVAVWVVVYFFLFLGYDPPGL >OGLUM05G02540.1 pep chromosome:ALNU02000000:5:2116643:2117313:-1 gene:OGLUM05G02540 transcript:OGLUM05G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETAAGEQGRAEAGTGGGGGGCGRRAAAGEEPRPVRRSAGGAWSREEAAPSQSRLAAGEGSARGRRPVGGRWSGGSCSYGATTSRVTSSSRRGRGHGASSRRGCEGSAAPRPRGSGGPARALRLCFAWVSRCRNIHRGRFRYSHLSGVDHALAAASVCFCVLSFFFSFTFDGX >OGLUM05G02550.1 pep chromosome:ALNU02000000:5:2138560:2144602:1 gene:OGLUM05G02550 transcript:OGLUM05G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTVTRTSRSLVAPSSPTPAETLPLSVIDRVAGLRHLVRSLHVFEAGGRNGGGEPARVVIREALGKALVEYHPFAGRFVEGDGGGEVAVACTGEGAWFVEATAACSLEEVKLLDHPMVIPKEELLPESAPDVQPLDIPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLSKPRVSPVWARDAIPDPPRMPAPPPRLELLDLRYFTVDLSPDHIAKVKSAFFESTGHRCSAFDVCVAKTWQARTRALVAAGDDDQERRTVRVCFFANTRHLMLKGDGAAAAAATGFYGNCFYPVAAAASGGEVAGADIVDVVRIVRDAKARLAADVARWAVGGFEEDPYELTFTYDSLFVSDWTRLGFLDADYGWGTPSHVVPFSYHPFMAVAVIGAPPAPKLGARVMTMCVEEAHLPEFRDQMNAFAAAN >OGLUM05G02560.1 pep chromosome:ALNU02000000:5:2157503:2171787:1 gene:OGLUM05G02560 transcript:OGLUM05G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSLVLRILGLQSMSESSRAFGVNASSSSSGGGGGGEAVAGTTGKNGGGGSVAFHRLFAFADGTDAALMLLGTLGAVANGAALPFMTVLFGGLIDAFGGAAGGDVVARVSEVSLQFIYLAVASAAASFIQVACWMITGERQAARIRSLYLRTILRQEVAFFDKHTNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLLVTFLGGFGVAFAQGWLLTLVMLATIPPLVLSGAVMSNVVTRMASLGQAAYADASVVVEQTIGSIRTVASFTGEKQAVAKYSRSLKRAYSSGVREGLAAGVGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAQVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFRNVYFSYPTRPDEQIFRGFSLAIQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVNLKELQLRWIRSKIGLVSQEPVLFAASIRDNIAYGRDNATNQEIRAAAELANASKFIDKMPQGFATLVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRVMSNRTTVIVAHRLTTVRNADTIAVIHQGSIVEKGSHHELISDPDGAYSQLIRLQENSHDSEDANYQNKSGKKSDSGIRSGKQSFSYQSTPQRSSRDNSNNHSFSVSATPLEIDVQGGSPKKIAEETPQEVPLSRLAALNKPEIPVLLLGSVASAVSGVIFPIFAILLSNVIKAFYEPPQVLKKDAEFWSSMFLVFGAVYFLSLPIGSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGSIGARLSADAAKIRGLVGDALQLVVQNLATLVAGLLIAFISNWELSLIILALIPLIGVNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKMKCEGPLRTGIRTAIISGIGFGVSIFLLFGVYAASFYAGARLVEDRKTTFPNVFRVFLALTMAAIGVSHTSNLTSDSSKAKSAVSSIFAIVDRKSRIDPSDDAGVSLEPLRGDIEFQHVSFRYPTRPDVQIFEDLCLTIQSGKTVALVGESGSGKSTAISLLERFYDPDAGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKEGDATESDIVSSAQLANAHKFISSLHQGYETMVGERGAQLSGGQKQRIAIARAIVKDPKILLLDEATSALDAESERVVQDALDRVMMNRTTVIVAHRLSTIQGADMIAVVKNGMIIEKGKHDALIGIKDGAYASLVALHVSAAAIS >OGLUM05G02570.1 pep chromosome:ALNU02000000:5:2172184:2177543:-1 gene:OGLUM05G02570 transcript:OGLUM05G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVQKQRHEEGEEERGGLCAREIKELDFFSAAGAGAGRRDDDDVLRADGISSSHAGFMVSTALDLLTAVNDGDHHEEKKGQSNIHKSKQMDAAAATTVEGELRQAGEENRWLRRRLEELTSSYGALYHQLVQAQQLHTKHQQQAPIAGVQLLDALAAASPASHRRRAAAAVDGDRTADSDGGEGDENVSPSLGSKRPAAAATLTRLTPESGSGGENNGGGEQAPAAEMAPCRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMASQCPVRKQVQRCAEDKSILITTYEGTHSHPLPPAAAAMAKTTSAAAAMLLSGPAVSRDGLFAAHHHVVAPPPFFHHPYAGSTMATLSASAPFPTITLDLTQPPPTTTTTAAAAMLQLHRPHAFSSLPFSMYGAGGGSHRPPVVLPPPSSVVETMTAAITRDPNFTTAVAAALSSIMAGGGAQARTPPRGGSDAAGDINGGGGADHATAGARAAAAATQPCGTSPT >OGLUM05G02580.1 pep chromosome:ALNU02000000:5:2190295:2193704:1 gene:OGLUM05G02580 transcript:OGLUM05G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATATARLPPHRHRRRRLYLSATSCASTTSYSSSVLSHGGTSAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHNLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRQRLMINIFGNNSSVDARSQTGWPKRGKI >OGLUM05G02580.2 pep chromosome:ALNU02000000:5:2190295:2192693:1 gene:OGLUM05G02580 transcript:OGLUM05G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATATARLPPHRHRRRRLYLSATSCASTTSYSSSVLSHGGTSAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHNLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRQRLMINIFGNNSSVDARSQTGWPKRGKI >OGLUM05G02580.3 pep chromosome:ALNU02000000:5:2190295:2193756:1 gene:OGLUM05G02580 transcript:OGLUM05G02580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLASSRPPLVSAPATATATARLPPHRHRRRRLYLSATSCASTTSYSSSVLSHGGTSAPRSLVAAELQSQSRPPPLAAGVSVRGDAATGLAFLLFVLAVVMSSFLSLAIFSFPTWRALKRLEIAVHNLSKVVAEEVPGTLSSLKLSCLEINDLTSQLKNFRQRLMINIFGNNSSVDARSQTGWPKRGKI >OGLUM05G02590.1 pep chromosome:ALNU02000000:5:2191903:2193359:-1 gene:OGLUM05G02590 transcript:OGLUM05G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGEDGKRESSWPWPPEYGPPDNALHSLGMEFTTITAGEVVGRLLVTATCCQPFKVLGGGVSALMAEAAASIGGYIASGYRRVAGVQLSINHIRPAHLGETVQAKAKPIQLGRTIQVWEVQIWRIDPSTSECKHLVSTARVTLLCNLPTPEDLKHYEQGFIKKHAKL >OGLUM05G02600.1 pep chromosome:ALNU02000000:5:2193440:2201518:-1 gene:OGLUM05G02600 transcript:OGLUM05G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSWMEREREEGGRQDPEDKIGGDARFADAADRSKINQPRWRSGNVKGGECTCSAAAVAWAPLNVGLGRQYHEMSANWRRPCLTLTWAIDPHAAASRDPCAVFFHPTTACIYRSGCGGFSGRHVAMPTATARRPAAAEGAARLREPAIKWAD >OGLUM05G02610.1 pep chromosome:ALNU02000000:5:2196323:2202119:1 gene:OGLUM05G02610 transcript:OGLUM05G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFNVDGSAGRPAVHLTWTVAGASYWPSIEMGSWVRTITSPFRKARTILNQQATNTSGSIDEHHHRHRAKKPRRHSSSSSAAGTPSSSSSSTTTATRTGGDVEEEVVMRRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCAAAAAATS >OGLUM05G02610.2 pep chromosome:ALNU02000000:5:2197400:2202119:1 gene:OGLUM05G02610 transcript:OGLUM05G02610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHFNVDGSAGRPAVHLTWTVAGASYWPSIEMGSWVRTITSPFRKARTILNQQATNTSGSIDEHHHRHRAKKPRRHSSSSSAAGTPSSSSSSTTTATRTGGDVEEEVVMRRSSQLYGDVMACAYEDVQVMWSMLDNKSRLCAAAAAATS >OGLUM05G02620.1 pep chromosome:ALNU02000000:5:2206384:2207271:1 gene:OGLUM05G02620 transcript:OGLUM05G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRRRPFAAPVINYLLLALWLAASSSFAVAVAVAGHGRGRRSHVSSIVTEEMYNKSLFIHKDDAACPARNFYTYAAFLRAADQYPSFGGAGGRDTRRREVAAFLAQVSHETTGGWATAPDGPYTWGLCFKEELKPASNYCDAAVAARWPCFPGKSYHGRGPIQLSWNFNYGPAGEAVGFDGLREPEVVAGDAVVAFKTALWFWMTPRPPSKPYSCHDVMTGRYRPSRADAAANRTAAGFGLTTNIINGGLECNNRTGGDPRVEDRIGFFRRYCGALGVDVGDNLDCAHQLPYS >OGLUM05G02630.1 pep chromosome:ALNU02000000:5:2208706:2210780:-1 gene:OGLUM05G02630 transcript:OGLUM05G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKDGLQTASSIFSIAVCLLEFGNDICIQNSVLSAPILVI >OGLUM05G02630.2 pep chromosome:ALNU02000000:5:2208708:2209269:-1 gene:OGLUM05G02630 transcript:OGLUM05G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTANCIDILIAIILPPLGVFLKFGCGHEFWICLLLTFLGYIPGIIYAIYAITKDGLQTASSIFSIAVCLLEFGNDICIQNSVLSAPILVI >OGLUM05G02640.1 pep chromosome:ALNU02000000:5:2228820:2231005:1 gene:OGLUM05G02640 transcript:OGLUM05G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGSPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDVPWLHYHPVVDDDADADADTAPLPPDYCAALLSGLSDHLPPPAAAASREDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRTAAPPPPPPLAPTTRHRLDTAAATATVAQRLPPSEARAPDAPPPAATATATTSSVCSGNGDRSQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFMSY >OGLUM05G02640.2 pep chromosome:ALNU02000000:5:2228820:2231783:1 gene:OGLUM05G02640 transcript:OGLUM05G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGSPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDVPWLHYHPVVDDDADADADTAPLPPDYCAALLSGLSDHLPPPAAAASREDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRTAAPPPPPPLAPTTRHRLDTAAATATVAQRLPPSEARAPDAPPPAATATATTSSVCSGNGDRSQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFVPPMMLPAAAAAAAAMQHHHMQMQQMAGPMAAAAHFPHLGAAAAMGLAGFGMPAAAQFPCPMFPAAPPMSMFAPPPPPPPLPFPHAAATAVEQTPSPPGAADAGDAPAVKQA >OGLUM05G02640.3 pep chromosome:ALNU02000000:5:2228820:2231783:1 gene:OGLUM05G02640 transcript:OGLUM05G02640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGNDTGSDLAELLWDNGSPAPLRPPPPPPFQPFTCSAAATTSPPAHDYLFIKNLMRGGGAANHHHHDDDDDDDDVPWLHYHPVVDDDADADADTAPLPPDYCAALLSGLSDHLPPPAAAASREDPDPCSSSHGAVVPSTSAAAAKQARTSGGGGGGVMNFTFFSRPLQQRPSGGETASASASAAATSTVPVESTVVQAATNRLRSTPLFSDQRMAWLHPPKPSPRTAAPPPPPPLAPTTRHRLDTAAATATVAQRLPPSEARAPDAPPPAATATATTSSVCSGNGDRSQLNWRDSHNNQSAEWSASQDELDLDDELAGVHRRSAARSSKRSRTAEVHNLSERVSTHTMHHPQHPRRVMTSSHLAIELLSSSQRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGMFVPPMMLPAAAAAAAAMQHHHMQMQQMAGPMAAAAHFPHLGAAAAMGLAGFGMPAAAQFPCPMFPAAPPMSMFAPPPPPPPLPFPHAAATAVEQTPSPPGAADAGDAPAVKQA >OGLUM05G02650.1 pep chromosome:ALNU02000000:5:2234944:2242048:-1 gene:OGLUM05G02650 transcript:OGLUM05G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNQRPIDGPYWDPEKRPKPSSRLQKPNHSPKLIYSIKIGNFLSPRSPQRRRRRRGCSRPYSGDQTMAMELERATKKRRGGIDDLPDDLIVEILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLSGFISRYCGPLNDDELVSIPHFDSIDGGEEDEEEHRLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNTATQRWIILPEIDDYDQLATIRLCFDPALSPYFHVFAILEDADGRITGVEIFSSETGRWSHRENGWVDQDDHMVRPDAKSVFVDGMVNFISFRSAIIAVDMEGKKWKTIPFLEQMNCQCFCSGNLAFIGQSQGHLYYINSRNRDSSILSVWTLDDYCSRQWSFKYNISTSQLFGWTNMRLEREYNLIAIHPDCNMIFYVSRDEGQNTLPSYDMDRGEINSICNIRDPFWNPWDPCLPYVPVFMENRIPPQKSSSFLPKRLGRNLSLGAIKISEHGPAERVKEECKEGHGNINNAGAGEGEDAAGEEAAPPKEAAEPDLAMLSAKMDAFLAGREADAPTSFSEVTLDKFASAIDCCACTTCFLQPPSSRPLLNLSSFLSSSFLLSR >OGLUM05G02650.2 pep chromosome:ALNU02000000:5:2233731:2241741:-1 gene:OGLUM05G02650 transcript:OGLUM05G02650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELERATKKRRGGIDDLPDDLIVEILSRLPAKSVCRFKCVSWRWRRLISHRDHRKKLPHTLSGFISRYCGPLNDDELVSIPHFDSIDGGEEDEEEHRLVPDPSLSFLLGYMSISPKDCCNGLLLCLCCKNSPRDESDYVVCNTATQRWIILPEIDDYDQLATIRLCFDPALSPYFHVFAILEDADGRITGVEIFSSETGRWSHRENGWVDQDDHMVRPDAKSVFVDGMVNFISFRSAIIAVDMEGKKWKTIPFLEQMNCQCFCSGNLAFIGQSQGHLYYINSRNRDSSILSVWTLDDYCSRQWSFKYNISTSQLFGWTNMRLEREYNLIAIHPDCNMIFYVSRDEGQNTLPSYDMDRGEINSICNIRDPFWNPWDPCLPYVPVFMENRIPPQKSSSFLPKRLGRNLSLGAIKISEHGPAERVKEECKEGHGNINNAGAGEGEDAAGEEAAPPKEAAEPDLAMLSAKMDAFLAGREADAPTSFSELERATKKRCGGIDGDNPAADLTDDLIVEILSRLPAKSVYRFKCVSWRWRRLISHRDHRKKLPHTLAGFISHYSVPLHDGIVLIPHFDSIDGGEEDEEEHRLVPDPSLSFLPGYRTIFPKDCCNGLLLCLCCKNSPRDESDYVVCNPATQRWIILPEIDRADPVSTVRLGFDPALSPYFHVFAILEHVDGCVSSVEIFSLETGAWSHRENGWGDEDDHTVHPDVKSAFVDGMVNFISYNSAIIAVDTEGKKWKTIPFLEEMTCECISNGILAFIGQSQGHLYYINFRDRDSSILSVWTLDDYCSCGWSFKYNISTSQLFGWTNMKLEREYSLIAIHPECNMIFYVFRDEGQNTLLSYDMDHGKVNSICNMRDPFWKTWDPCLPYVPVFMESLPDHA >OGLUM05G02660.1 pep chromosome:ALNU02000000:5:2283583:2283894:1 gene:OGLUM05G02660 transcript:OGLUM05G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTAEDEEESVAGALGAAAWRVAERGEREEEGGGLTAVGEVRAKGGTWERDAMATQQVSRGKRRWGRVEDIAQILMSDTAIESCCGEKKKHIETTLYTTST >OGLUM05G02670.1 pep chromosome:ALNU02000000:5:2299634:2309208:-1 gene:OGLUM05G02670 transcript:OGLUM05G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFVAHLPAPLFFPASSWSGARTTVGSGGEGVARAAAASSAATAAGGRPQVIYQNQDVDRTLISCMQELAGEKGLSGDSLTFSALAIGTGLPPFRKDYI >OGLUM05G02670.2 pep chromosome:ALNU02000000:5:2299924:2309208:-1 gene:OGLUM05G02670 transcript:OGLUM05G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFVAHLPAPLFFPASSWSGARTTVGSGGEGVARAAAASSAATAAGGRPQGRSSAACRS >OGLUM05G02680.1 pep chromosome:ALNU02000000:5:2299883:2304553:1 gene:OGLUM05G02680 transcript:OGLUM05G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYGNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >OGLUM05G02680.2 pep chromosome:ALNU02000000:5:2300047:2304553:1 gene:OGLUM05G02680 transcript:OGLUM05G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLTEADRRGAAPTVSTERTSGSSDVNPSSAGALGNLSHLLSETAQSSMLLPVYDKNHPETASLPRPKVPPKELFLDQLTAGHESPSSCRSSGPTLYFPFQQPLGYGNECGTGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPENPRHGGTGIGNTPFYWDGSNPSSSGSTGSSGSNSMGFEPQSTTSILENSVFPWTDIGQEKDTRVHLVEELKWPDLLHGTFAEATTAMQNQSQSLYDDVIKAESQFNMEGICASWFQNQQPQQQLQAASDMYDKDLQRLPLSFEHI >OGLUM05G02690.1 pep chromosome:ALNU02000000:5:2313325:2315918:1 gene:OGLUM05G02690 transcript:OGLUM05G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTTESLLPIGRVEAAAAEEEEVVLGAGDDDSDVESGGESSFSREVRKRVSRLSVEGGGGGGMRDRRGSSGGGRRVLPPPHAWLAVEETAARKSYGSDPEEQWMRVLQGGAYGGGGVATAAAAQRQVQRRSSFSVVRRERAAREAWLDRAWEMKRSWHERNGGAPDADTPVVVVVGKGPPSSPTSHAAGSVGGGGVAMDMEEVRACRDLGLELPSDCTVEIQCYGLSATSSPTHTNSGSCSSGVASPSAAAACSLPSPGADDPMDVKARLKVWAQAVALASTTHLGS >OGLUM05G02700.1 pep chromosome:ALNU02000000:5:2317319:2317705:1 gene:OGLUM05G02700 transcript:OGLUM05G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYCFYAAALFILCFLLPAAVAEEMRSQMDGDSSEETVSDHGPPPLDYYYYDDASATMMTFDALHGRRYHLRHRLFFPSHTATAASKPRALAATAFDLRDAIVDGEADLFRVEQRRRRRRPGTGKCK >OGLUM05G02710.1 pep chromosome:ALNU02000000:5:2318623:2322607:1 gene:OGLUM05G02710 transcript:OGLUM05G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEAVDFDPDDDDLMDEDAADPTPAPAPRLRSTIAGGGGGGDDGQRKTKGRGFRDDAAPRDSRLAGAGRASDFDSLGSDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPVKRRNIRKRYITKTLQIPTEEKILSAPHHVMMCCVS >OGLUM05G02710.2 pep chromosome:ALNU02000000:5:2318623:2322093:1 gene:OGLUM05G02710 transcript:OGLUM05G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTNADVEAVDFDPDDDDLMDEDAADPTPAPAPRLRSTIAGGGGGGDDGQRKTKGRGFRDDAAPRDSRLAGAGRASDFDSLGSDGGPGPVRSIEGWIVLVTGVHEEAQEDDLHNIFRDFGQVKNLHLNLDRRTGFVKGYALIEYETFEEAQAAIKALDGTELLTQIISVDWAFSNGPITKTLQIPTEEKILSAPHHVMMVL >OGLUM05G02720.1 pep chromosome:ALNU02000000:5:2322644:2327264:-1 gene:OGLUM05G02720 transcript:OGLUM05G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNVLGFAINDMRVTETGSFQYLLEYLDYPDEEKTWTEVFEKNPAYGKRNSNVVRERECMLRPSYPELYWGDQVPEQFPKSNVIVSVCDTPKVGDLVEWLSEGSYWTAKVTKLLSEDMVKVQLLKPPIGEGGSYTAYCKDIRPALDWCLEKGWTVPLSQANGRCWHAARLIHHKSDTEMSGSDEESTSDDDEEEAQKSLKRASNSSQEAPGSNLEITSDTTSSSRINSQTATIATTKGISRSSPVIDMSNSTNVSELDRISNSTIAAESLLKI >OGLUM05G02730.1 pep chromosome:ALNU02000000:5:2357197:2358415:-1 gene:OGLUM05G02730 transcript:OGLUM05G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHLAAPRTPHRMRALSLARASSPPPLLLHLRRALAPASSSSPAPVLLLRPAVSCSSLFVSDRAAAAAARRSSGSSRRSMASQQFPPQKQETQPGKEHAMDPRPEAIIQSYKPANKLKDKVAIVTGGDSGIGRAVCLCFALEGATVAFTYVKGQEEKDAEETLRALRDIRARTGAKDPMAIPADLGYDDNCRKVVDEVAGAYGGAIDILVNNAAEQYERPSITDITEDDLECVFRTNIFSYFFMSKHAVKRMRDRRGGAGAGAGGCSIINTSSINAYKGNKTLLDYTATKGAIVAFTRALALQLAEEGIRVNGVAPGPIWTPLIPASFAEEKVRQFGSQVPMGRAGQPSEVAPSFVFLASDDASYMSGQILHVNGGVIVNG >OGLUM05G02740.1 pep chromosome:ALNU02000000:5:2366547:2375179:1 gene:OGLUM05G02740 transcript:OGLUM05G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPKFQMEKTRGRHGREDELSQDSAVAAAAAAEKAGWPTADEGGGRRELAASSRSPHGAPIQSDDFFLPSLIRCSDQLAQDVECHANNNFLVKLVDERKRNLDTQAKPDQVRIHVALAHPLVVGLGCAEQGRRYGIEDLRITLNQKIWTKFQHHSCGCLASDSESSGNGARGTQVR >OGLUM05G02740.2 pep chromosome:ALNU02000000:5:2366547:2375179:1 gene:OGLUM05G02740 transcript:OGLUM05G02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTSYRKTARWRRRRRRRKRGGRRRMRVAGGGSSLPRAGARMALRFNQMISVPCLRLRKQWQWCTRDTGKVMLQPCNSLLPNGEWERRAIYGDRALSERAATCCSVQGLGTSSVCHGTEEISMVRIRR >OGLUM05G02740.3 pep chromosome:ALNU02000000:5:2366547:2371292:1 gene:OGLUM05G02740 transcript:OGLUM05G02740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWIWFNNCSSPVHSRTNSLAATLVIFTYGVLESRQSLSFLMISGNLPIYSRPSLSIAKHDMTLVAWTWSKIIPVLVRNCTCFDVSFLSFSPASSGAATSLLKMLSAMPTIISWSSLLMKGRGISFSV >OGLUM05G02750.1 pep chromosome:ALNU02000000:5:2368777:2372078:-1 gene:OGLUM05G02750 transcript:OGLUM05G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTAVQFIDRFLGGYEDPAQQIFLRAPEALRKYKRTSTLKGLSWWRRRGTQQQQQEPTRRTRGGRRHKGEKIWYLCSIYGCQALLGMTILAAVWLARVAAVVTVLALSWKRLKKQDYLNPGDIMNDQNQNITWSLNIFYSLVFAQGIMFVTILLDPLSYYFLFNAGIKYKLFGPSGLKIILNYKRCNYLEFIAGNVKATLQTHLVTFAKNLAVSNMADDKLLGVGAMDRILRSMEFRSLALRKLRAFMEPNELGKLVNMLGYDKSHKNKTTEEEDIRGHTARVVLKLSPDLLVQSYPQILYAISSSLLSTSKSNNKRVCKCNMDSDLVWFGLRILDKLTDNPENCRKATNDESGGDLLSTIIDLTIPCCHGHGHGRSMRSNTSTISDSWIEQEIIPLLQTENEIPLPFINKLDQEIIVGMALNILSKLVAAPDEAGEKLRKETSKHVQFLTNTGMILDHVQATRVISCLAMDKEGREYIGKFPEIIKKLKDCLLSKTPYVNITKVAAKLLVLECTGDEQLLNQIQLFIEENRTVEDQSFSLPISAFIEELDFDQLHQPWIWNFVQSLDVEDALFAPRVNHSDAAAKALILLTTECASNVEAFLQGINKEELNKIVNALSSEDGDKEKRRALAQFEGRRNLDPETLRRVKKIILAEGEEQATGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSAENLDHVKDDMWIKQGKVLESFIGLAVQICRSPNKRSDFSTALKYVNLTADTLIKKLKKILEVYMSPSTDFPCIRVSTLELITWMVEENNSYWEILLQCGVYEELNEVARTARKLESFKLFHCGIGIPTERTTECISSLATKLQEKLKKIPDFERRYRYGEHASRISVLIA >OGLUM05G02760.1 pep chromosome:ALNU02000000:5:2377558:2377803:-1 gene:OGLUM05G02760 transcript:OGLUM05G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAADGETKEEKSKVKMLLPEEKFNTVLLCTAFLYKAMNTIGTLATIWATVVLLGGFSTLIKKKDFWYVTVIAFVQSIG >OGLUM05G02770.1 pep chromosome:ALNU02000000:5:2379678:2389059:1 gene:OGLUM05G02770 transcript:OGLUM05G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTKAMTVTYQKSCFLTRVENPPSRTTVAQIVASVPRPFITLYTKAVQSRKMLNFSSGSGLSFLDLLFSSPSKADVDSSMV >OGLUM05G02780.1 pep chromosome:ALNU02000000:5:2380649:2388729:-1 gene:OGLUM05G02780 transcript:OGLUM05G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESTSALDGDEKRRSRKESPLPEEKFNIFLLCTAFVYRVMNGLGTLATIWATVVLLGGFSTLVKKQDFWYVTVIAFVQSIGILGGYEDPAHQIFLHAPEALIKNREAEAWERRQSWWRRRGTQQQQQQQPRGRPKRRKQEEEKARKWCDDIYGFQAFLGIAIYVAMWLGKVAAVATCIALSSKRLEKQDYVESGTMRKVTTRTSSGPSISSTGIIFICMLLNPLTVYFVLKVRRKYKLFEPSGLKIIYRYKKYNYLGFIAGNVRATLNMHLVTFAKNLAVSNTIDDQLAGVRAMDRILMSVEFRSLALRRLRASMEPDDLGKLIDMLGFVSTMEEEQNIIRGHAARVVLKFSPDLMLQSYPQILYLISSSLLSTSNKRVCKCNMDSDLVWFGLHILDKLTDNPENCRKAKDDDSDLLLPTIIDLANLCGHGHGNSVRSNTTISDSWIEQEIIPLLRKEDDIPLPFINKIDQEIIVGMALNILSKLVAVPGEAGEKLRKETSKDLHFLTNTGMIMEHVEATRVISCLAVDKEARQDIGKLPEIIKKLKDCLLSKTPYVNMTKVAAKLLLMEYTSEELLNRVLLFIEENRTVEDQSFSLPISAFIEELYLDQLPQSVVQRLDLEDVLSSPRVNHSEAAAKALILLTTGCENNVEAFLKGINEKELNKIVNVLSSEDRDKENRRALAQFEGRHNLDPETLRIVKKIILAEGEEQATSMHAKLLQNLRAYSGPKEFDEYMKLIDAALPKVLKAVVDAVATLEDPSSSENLNHVKDDLWIKQGKVLESFIGLAVQICRSPNATSDFSTALKDANLTVHTFIKKLKKILEVYRSPSTDFPCIRVSTLELITWMVEENSSYREILLKCGIYEELNEVARTARKLESFKLFHCGVGIPTDGPIECISSRATELQEKLQQSPNFEKSHLLGSF >OGLUM05G02790.1 pep chromosome:ALNU02000000:5:2391960:2393294:-1 gene:OGLUM05G02790 transcript:OGLUM05G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKQQLRFAVRRREPELVGPAAPTPRETKRLSDLDDHETLRVQVKFAFFYRAGGEHDAAGVVRRALGEALVPYYPLAGRVREVEERKLVVDCTGEGVLFVEADADVRLEELEEDGDGGGELRPPFPSMDQLLLDVEGSGGGVLGSPLLLVQVTRLLCGGFVLAVRVNHTMCDAIGAAQFLLAVGELARGLPAPTVRPAWCRELLDARSPPAPSFPHREFDVVPPPPPPGDLVTRTFTFTAADVAAIREGLPPRLRGTATTFEALTAFLWRARTAALELPDGEDARLVVIANLRGVAELNLPGGYYGNACVAPTAITTGEALLRRGSLGDAAEMVREAKAAVTAEYARSAADVLVLRGRPLLALSNVFVVSDHRHAGFHRLDLGWGEPAYGGVSDVVFGLAFLVAVKNGGGGGESAVGALVSLPPPAMERFASEMEKLYKRPN >OGLUM05G02800.1 pep chromosome:ALNU02000000:5:2396048:2404224:-1 gene:OGLUM05G02800 transcript:OGLUM05G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVQSSKPSVSGKAQSEIPNLKPKENSNPVPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVPPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEHKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLKVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVIRTSSCQTISMANDGGMESYPSFRTAKRKREKKEKRVAAMAAAAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLPLARAYLKDERGCDICGVEITHDALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLGTMLSD >OGLUM05G02800.2 pep chromosome:ALNU02000000:5:2396048:2403678:-1 gene:OGLUM05G02800 transcript:OGLUM05G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLAAHPPLGPAAAAQEKAASRNKRKYRAEPPSAELGSFGLEYPLTADCVGFEFMSPEKAAIAAAAAAAAVAAEGVNLDLIPGSCDCKDIHPTAEELLECQRYVNWNDPNEALLEEILLKGLDATFDNAVGVIIAMGYSEPTARAAVLRAATQYNWRESLAGFGEAAVEVLKTEGDMLSEGASEEDMRKIEQAVLGGMIALVNQAQPFYTTGDVMFCLLMSDMNVANACAMDYNPASLPAVDTQVIAQPVVGNYEPNNPSSDLSVSITNPQTGVTFRGKLTPVPPNSYNTAKADSSATPANLNVQSSKPSVSGKAQSEIPNLKPKENSNPVPDHSEEQPFVAAATQPVKDDKPIPSKRGSSKRDSLHRQKLMSFDKSSRALGSKGSLRSSKHSSSGSAVLDRKCRSFSDSTTSSLKASSKVGKGFSASMKGPEVPPDLSFTGAALPSNPSFDAKLSSNLNPLPAASTDLSLSLPLPSSNDSPAPSSNHDANTEGMDSSSKINLSYDEDQKVWIPQDKKDEMVLILVQRQKELQAHMRDWTDWAQQKVMQVTRRLAKEKEELHSLRKEKEEADRLQEERHNLEESTRKKLLEMESAISRANTQLEKAESSARRREAENEQLRIQMEAAKRHALVSATNILELSKKDENSHKRSQHWESERALLQEDLAAQRNKLSQVHQQLHHAKEHKDQIQARWRQEEAGKIEAIARVSLEKKERDQIETSLRSEENFLHLKAENDTQRYKSQIRALEQQISQLKVSLDSLKVGAPPKWGADNRTNALRLSEGRKNGSAQILANIAAVPQDFDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCNDLHDKQGMKECPSCRTPIQRRVIRTSSCQTISMANDGGMESYPSFRTAKRKREKKEKRVAAMAAAAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLPLARAYLKDERGCDICGVEITHDALPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVLHHFGVWAGFPERGREGNKFVVADKPKGQSRGLYCTDSIEEVIEERKMRRENACDIFEENGSSEHQESNVLGTMLSD >OGLUM05G02810.1 pep chromosome:ALNU02000000:5:2420779:2428519:1 gene:OGLUM05G02810 transcript:OGLUM05G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTPTGAAADDLAAVEGAAANANAKECVFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTPLGVDQARAAGKGILDVVSSAANWKVYFYVSPYERTRATLREIGAAFPRHRVIGAREECRVREQDFGNFQVEERMRAVKETRDRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLEQDASCETNLVIVSHGLTSRVFLMKWFKWTVDQFERLNNFDNCEFRVMQLGPAGEYSLLIHHTKEELQRWGLSPEMIADQQWRASANRRSWTDECSSFLATFFDHWNEDDNDDDDDGDGKEENGKTNKLLE >OGLUM05G02820.1 pep chromosome:ALNU02000000:5:2444507:2445586:-1 gene:OGLUM05G02820 transcript:OGLUM05G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme 4 [Source:Projected from Arabidopsis thaliana (AT5G18930) UniProtKB/Swiss-Prot;Acc:Q3E9D5] MASCGFEGFEKRLELVFELPASGGEVARHGLRLLPAEALREVLDAAQCAVVSAAGNAAADAYVLSESSMFVYPGRVVLKTCGTTPLLRAVPVLLRAAAALRLRLRSCRYSRGEYLFPEAQPFPHAHFADEVAYLDAALPRELLRFRRSAVMPSSSSSSPGASHRWHVYSASSSASSSDADGAARLTAEVCMTELDRGMAARFYQRPGDGRTSHAIGDEMTAASGIGGVVDENDPRSLVCAYAFAPCGYSMNALDGARYATVHVTPEDGHCYASFECATDDAAAALAAIRRAVAAFRPATVSVSISHTSSAASSLCTPVAEALEPLGLACHCRAAEVFPGAGTVTYQTFTAPVTKHEHDN >OGLUM05G02830.1 pep chromosome:ALNU02000000:5:2452991:2453296:-1 gene:OGLUM05G02830 transcript:OGLUM05G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWQSQKSIRKGGGGTAAPATAAAAGGIGGGGEVAVQKVYHNLAPKPTFRKIDSIKEDINKKADRFIKMTRARLFNQTRSFRQPAGSPPATAAGRDGKLF >OGLUM05G02840.1 pep chromosome:ALNU02000000:5:2457277:2466568:-1 gene:OGLUM05G02840 transcript:OGLUM05G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAMNSSSGNGEVDVQKVEKIAPVYNLVTKPSVYGANRRATTVKARPATTAVAAGFAGSSNSRQPAPAPASWPHGSVVTKEFISKYIEDKKRQAGLARGLRSVTTATTTAMFRRAAMAAAAPSDSEVRVQKVDKLDLVFNILTKPPVYGAGKGNNPPKAPAPRRPAATGGDHGSGGAVAGRKQPGVVSIEDINKRSENYIRDRKRIPLLTKEREVFAPKLMTLGSGHS >OGLUM05G02850.1 pep chromosome:ALNU02000000:5:2471931:2472167:-1 gene:OGLUM05G02850 transcript:OGLUM05G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAGAKNMSSDLPVEGVVRVRKVEKIQAYNLVTKPSATTSRISQMTGQAESMAVTVVRVGAVAGKPDDIVPVARMNN >OGLUM05G02860.1 pep chromosome:ALNU02000000:5:2475049:2475270:-1 gene:OGLUM05G02860 transcript:OGLUM05G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGDGGVPDGEVHVQRVDKIVVVMNGVAPTASEKKAGDGLMNIDEIAADFIRRKKEAFQRGNNKPAGQVD >OGLUM05G02870.1 pep chromosome:ALNU02000000:5:2478212:2478454:-1 gene:OGLUM05G02870 transcript:OGLUM05G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVERTKEIKISRVERIDDEPETKTKVIINAARTPRTAGGGGAPPERAAAAGRIIDKASQAYIDRLKQKWAAEAADHSS >OGLUM05G02880.1 pep chromosome:ALNU02000000:5:2480493:2480738:-1 gene:OGLUM05G02880 transcript:OGLUM05G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEISVLRVDRIEPAKKTKTPPPPPTAVNSVQTPRMGGGAPAPAATSTAGRSSIDAEAEGFINRVRRQWAAESSSAATRR >OGLUM05G02890.1 pep chromosome:ALNU02000000:5:2490008:2490262:-1 gene:OGLUM05G02890 transcript:OGLUM05G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRAAGSVGVVAGDGQAAAVCVDKVAKFGRRRGDVDGVGGGDDAAVAVAVDRVEEIGGNGVDIWAQIFIDQTRRKMNSQLNK >OGLUM05G02900.1 pep chromosome:ALNU02000000:5:2493131:2497848:1 gene:OGLUM05G02900 transcript:OGLUM05G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MAAAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSNNSSLNRTEKIYKEISFISPTNDNKRVSLVARLSCSINMLEGDTGCSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCAPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFGSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >OGLUM05G02900.2 pep chromosome:ALNU02000000:5:2493131:2497848:1 gene:OGLUM05G02900 transcript:OGLUM05G02900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MAAAAAEQELLLHLKLAFLAREPPACVLSLARKAGGGSVTPHVQNFILESCTGNNAGGDQNCSYVTTILKRIIAEAELSSDIVIDGLYEEFGQFMSSKSMTINECSLWPSGLFLSEFILSYPKIFSRKCCFELGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCAPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFGSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >OGLUM05G02900.3 pep chromosome:ALNU02000000:5:2493140:2497848:1 gene:OGLUM05G02900 transcript:OGLUM05G02900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCAPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFGSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >OGLUM05G02900.4 pep chromosome:ALNU02000000:5:2495626:2497848:1 gene:OGLUM05G02900 transcript:OGLUM05G02900.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27400) TAIR;Acc:AT5G27400] MGLQLHLWAEPKRGRPGKGLFKLGLQLHLWAEPKRDRQRTALGLELKRKERMNVRSKKQESIWFKIKGLGSGVGLVGVCLNYVSASKVILTDGDASTLENMKGNMEMNNLCVEQEGSQLPEENKNKVQCKYLSWEETSESDLWDCRPDLVLGADIIYDPVCAPHLIRVLSMLLRRGNNRGTNNVANKEETSNEFGSAATEVPVAYIATVIRNAETFNCFDKAAADAKLSVVNITNSEAPLSLLPYMLSYDRSSVQLLKITLLS >OGLUM05G02910.1 pep chromosome:ALNU02000000:5:2498352:2501677:-1 gene:OGLUM05G02910 transcript:OGLUM05G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAVPSKQPSWQPKISKASRDHQPHHHTHPSLRRPPPLRESSSPTTRKQKKTHLHPRRRGAPQKNSPLFPHAPVAPRRPARRRDHPFHRRIRGFNLPQLPVRSQRPGGRGLWAGMVGDLSSLLAAASSASPVVLVPSKELHGVHLPMPLQGKRPPLQPQDAAVHIEAAAAAAAAFQGQVMVPGHAHAGAPAAFQAFAVPDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDYLPENQLVIPDPLVEFFQSHGSALTIDQNGRILFNGNGDDMRYLLSIVLAFNMSKRETSSCKTAYLVPYFERKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSKKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >OGLUM05G02910.2 pep chromosome:ALNU02000000:5:2498352:2501677:-1 gene:OGLUM05G02910 transcript:OGLUM05G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVAVPSKQPSWQPKISKASRDHQPHHHTHPSLRRPPPLRESSSPTTRKQKKTHLHPRRRGAPQKNSPLFPHAPVAPRRPARRRDHPFHRRIRGFNLPQLPVRSQRPGGRGLWAGMVGDLSSLLAAASSASPVVLVPSKELHGVHLPMPLQGKRPPLQPQDAAVHIEAAAAAAAAFQGQVMVPGHAHAGAPAAFQAFAVPDMAALIDVQADSHPDSVQLSLGIAEQCSRQEKILKFLRSGSDVKELDVSLLTELTGHQTLPINLGTQPYIPDDKLSAFPISMASQPYIPDDKLSIYEFELDEPQQYLPENQLVIPDPLVEFFQSHGSALTIDQNGRILFNGNGDDMRYLLSIVLAFNMSKRETSSCKTAYLVPYFERKRRSRASSHASNSKLASTAVDASKSTANGKSKSSSKKKQKSKNIKERDLYPKNYFHASEAFLSMLLDKDNSSSTIHSLKKAGPEISELLTKCSIGIAGTGLAVLLSVVCKMATGMKSPIAATRLVNTGVGLGLFWLSWAVNGLRDTITSIFRSPGDMNLKDEEVAVRIQKSMNDILFRAITILAITAFKFA >OGLUM05G02920.1 pep chromosome:ALNU02000000:5:2501683:2509899:-1 gene:OGLUM05G02920 transcript:OGLUM05G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGEERRNKTRKYQPSKFQVKSVLPVTGTLPDGGLAGQQGAATPFVSVGQVDAAVAAASAGGEEAERDGVVVGGWWAEPRRWTLKSVRVENERDGLGS >OGLUM05G02930.1 pep chromosome:ALNU02000000:5:2504407:2507965:1 gene:OGLUM05G02930 transcript:OGLUM05G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication protein-related [Source:Projected from Arabidopsis thaliana (AT5G49010) TAIR;Acc:AT5G49010] MSSWEDDDSAAAAAAAAAASTDVELLKRAWRNEKASPEILRFDSPLVSRVREQIQLLEETLDDFGESGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYMMHISKSEDLLSRLSQQEQRFAKSCTEMMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYVLRYKSIKGLVESGQIDLI >OGLUM05G02940.1 pep chromosome:ALNU02000000:5:2509741:2519473:1 gene:OGLUM05G02940 transcript:OGLUM05G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZU29] MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGDKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYIATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPETISRIRNEKARRYLNSMRRKKPIPFTQKFPNADPLAMRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPSAQPITKLEFEFERRRITKEDIRELIYREILEYHPKMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPCVVYSDNRPQSTASVTEDLSRCLIRDNNLKSQDSASVGASRIPQGAAARPGKAVGSVLRYGNCSTSAAEQQYEQRRVVRNPAIAPNSSVPLGSSYPRRNQTCKSETGDVERIDSSQTGPPKPYVANKLPATVDGRSGHWREMEGLAKIGPWGGDGGDPQDIAPGAGAGAAPPGEHRGQERRRHRRAVLHLRRHRRREARRRAVGRLRRGEAQGEARRGGARHGGLRDARAVGPPRVRRQVAGVRHQRREDARAVRRGGRRRGVPRAGEGRRPRRRLLRAVWVAARRRRRLRAPVT >OGLUM05G02950.1 pep chromosome:ALNU02000000:5:2510550:2519132:-1 gene:OGLUM05G02950 transcript:OGLUM05G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLTSMLTRRCGAGAGAGGDVLRVAAVAAPWTDLGEPLHLPPARRGRTPCNTPIYSYILLTVNANANANANGSGQSPRKVHST >OGLUM05G02960.1 pep chromosome:ALNU02000000:5:2520030:2523301:-1 gene:OGLUM05G02960 transcript:OGLUM05G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANADELALRRLDDATRDARRLQLETLRAILAENAGAAYLRRYIPSAGGAHHLLRSTDLAAAADEFRRLVPVTSYDDYAESIRRVADGDAAPDELSPRPLLCFFLSSGTSSLRPKLIPYLDSPGARAATAAVMQANSALVRRLFPPRPAVSKALWFLYAGEVRKTKGGYEAMAATAWGIRSSGIRGASPVMSACVSPAEVILGADHQQQMYCHLLCGLRRWDAVDCIRAPYAAALARALRLLQSKWRQLCDDLECGTVCADVVTDAAMRGAVQDGVLAGPCPELAGRVRRICERDDWRGVLRQLWPDARYISCVTTGTMEQYFPAIKHFAGEALPVLGTDYLASECAIGINLERTSPPEETTYVLLPRAAYFEFIPFDMDAAGRGAAAAEPVDIAGVEAGKTYELVATTFRGLYRYKVGDVVKIAGFHHSSPRLQFVTRAPPPQEHGEVLTERDVMAAMDTFQLMLKDGGEVIEFAAFIIDGDGGQRRRRRATIAVEVSNGSKLLDHERSAAFLRRCMAPLEGCLGGAYRLSRATGDVAPLEVAVVRPGTFERLAEAAIRGGAPANQYKPPKIVRHRHLVDVLQSSVMEAASSSGALPLPLPLLVHDLGTRSDDSQTQFSICNQALSTAAIELLRDFRCFETPQGWVLALNPASLQTFLWRPQDSKKIDLPTAKQNFPRSCKCLLSGNPISSSSDCAVLVLDLDTPAMLVCKIGGSEWDSFSYELSMVSKNNKTLEVHMAKLQGIDAVAGKVYYTFSGDALGVIEFSPESCGELFLVVVFFLGHNLHRIAEVAVYKMDFSGPSEWCKVDGIGDSVFLLGGDFIGASNFGASCSASDHGLSGNCIYFVNNIAAEENFVHVIDLEKGTEEVLRPFRHKGYPLPLRPPFWLLTTHD >OGLUM05G02970.1 pep chromosome:ALNU02000000:5:2525179:2525622:-1 gene:OGLUM05G02970 transcript:OGLUM05G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAPTLGVEPRGQPSADAAVLKKKQPRRIVAPRRCARTSVATSVRSAAEVIDSEERQQQPTPLWPAGATRRRHLQETIAANSVRCGTVPVCKNIDLPRRGFQLRGTLSAATAADRPACASNHPTEDAELPLNRKRTFEIHSHHHE >OGLUM05G02980.1 pep chromosome:ALNU02000000:5:2526641:2534256:-1 gene:OGLUM05G02980 transcript:OGLUM05G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein [Source:Projected from Arabidopsis thaliana (AT1G57600) TAIR;Acc:AT1G57600] MSWRRLELAALCAYALGFYLVVIRKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLVWLLLVSFILLIALINYSIVKLFSRYKYCVGLIWSFNLAVLILNRVYEGYSFSLFGQQLAFLDNHRVVLRMISFGCDYCWSLRSSQFDHKERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQPRSALGLFIHRELSAIAGAVTISCLMVANLVGYVVGPSSIKLLMSRMLGKDALPVLAFIFISFYVGVKK >OGLUM05G02980.2 pep chromosome:ALNU02000000:5:2526641:2534256:-1 gene:OGLUM05G02980 transcript:OGLUM05G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBOAT (membrane bound O-acyl transferase) family protein [Source:Projected from Arabidopsis thaliana (AT1G57600) TAIR;Acc:AT1G57600] MSWRRLELAALCAYALGFYLVVIRKSLRLSHDYSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPVLTIVMGAFLMLANMLRYCYSLKGRGASLVWLLLVSFILLIALINYSIVKLFSRYKYCVGLIWSFNLAVLILNRVYEGYSFSLFGQQLAFLDNHRVVLRMISFGCDYCWSLRSSQFDHKERALIGDKYTLLTYLCYLTYAPLYIAGPIVSYNAFAAQLDAPQKNYSVAQISWYGVRWILSFLLMEAMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRYLYIPLGGAQRKLLSIWVVFTFVAVWHDLEWKLISWAWLTCLFFVPEILVKSLSNKFQVANLVGYVVGPSSIKLLMSRMLGKDALPVLAFIFISFYVGVKK >OGLUM05G02990.1 pep chromosome:ALNU02000000:5:2534848:2539050:1 gene:OGLUM05G02990 transcript:OGLUM05G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQRTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRAYSSLSPTVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >OGLUM05G02990.2 pep chromosome:ALNU02000000:5:2534848:2539050:1 gene:OGLUM05G02990 transcript:OGLUM05G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRRRAREADPVPEPFTIDDEVSHLTRIRSEPSQRTLGAFYAGRKRGISTFGLLSGRESGRSGAGGFSRADCAYAARKHLPTKGPWCVDDMTSEAYVSQFSSDGSLLVAGFRAYSSLSPTVHIVNVQSAGKESQANVTEIHDGLEFSNDDDDEYSFGIFSVKFSKDGQEIVVGNSDRSINVYDLRANKVSVRIRAHAADVNAVTFADESGNLLYSGSDDNLCKVWDRRCLAREKPAGVLTGHLDGITFIDSRGDGRYFISNCKDQTIKLWDVRKMSASIKGRQPRFFDWDYRWMSFPLEARHCKHPNDQSLATYRGHSVLRTLIRCYFSPVYSTGQRYIYTGSSDEYVYIYDVVTGDIVEKLSWHGSIIRDCTWHPYNLTIVSSSWDGYLARWEASGDEDDLSVLTENEQRTSPYRQSYTRHLLL >OGLUM05G03000.1 pep chromosome:ALNU02000000:5:2540041:2544152:-1 gene:OGLUM05G03000 transcript:OGLUM05G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MGKASKGSRRGKKAWRANISTDDIEDFFEKQTRDAHAGAAAIPSLPSDSLFFVDKPASAASTSSAADAAAADAAPKDIPVKRKIEKKREKVLYHESVLKRNPYVQPVPSSLTTKKDKKKSKKKESKETQEAKIVPMEDDSGDKILDIWGEDVKGDHKAKKRSTASVIPAVEVEAPGCSFNPPFEAHQDSLAQAVADEMCKIYTKELGPKPVPLIVPGEAITEEDKFFLDADDGDEAVEEGDEDQAADALAVQRKTKTKRVTRVELNKRARRKERLRAEAEAKKMENLSKEIDSLPDIINEIAKEDEEKEKRHIRRTVAKQERLKSAPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCCNLARDRYKSIEKRGILAPSRKIRKQR >OGLUM05G03000.2 pep chromosome:ALNU02000000:5:2539471:2540038:-1 gene:OGLUM05G03000 transcript:OGLUM05G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: P60-like (InterPro:IPR011687), Tumour suppressor protein Gltscr2 (InterPro:IPR011211); Has 709 Blast hits to 643 proteins in 201 species: Archae - 0; Bacteria - 32; Metazoa - 224; Fungi - 154; Plants - 45; Viruses - 0; Ot /.../karyotes - 254 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G40430) TAIR;Acc:AT2G40430] MPPVHVPVQMRNMAIPDVERLEQHQGTGAVEALESAHKAMENRTLQTDLTKPKLLRKFRRIFFN >OGLUM05G03010.1 pep chromosome:ALNU02000000:5:2547219:2557391:-1 gene:OGLUM05G03010 transcript:OGLUM05G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVEAAAAAVVVAPPASVGGEEVGGGGGGGASEQAKTLIGALNLLSRNLPLPPAVLHAVSSIYHGGDAWEGEGEEGGEEEVAAAAAAVGDGCGESGEGEEDRADASPGADDGVTLIQELEDAVLKNQGPYMSYSELTALKEDRFNTSIQHRLTELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRTDISAEYWLHKKCAHPDSQLFDWGMMRIRYPFTMYGIGDSFSMDADDINRKKRFSEDSLFGIWEQQRISRLEEEEKNQAEIRKRKFFSEILNAAREYQLQVPASYKRKKQRNDGVLAWHVRARQRINRMEKSRLQVLKAGDQEAYLRMVEESKNERLKLLLGKTNELLEGIGKAVQRQKDAEHVSRPDGSELPKGSESDDCSQISGLKVESPDEESPSDVDADHHSSADHSKFNAGHRLDSTVHSIEEKVTEQPSALEGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVTGPHLIIAPKAVLPNWSNEFKTWAPSIGTILYDGRPDDRKALREKNFGQRQFNVLLTHYDLILKDLKFLKKNFEEWFNAPFACEVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSNGRVSLGSGLKSKALQNLSMQLRKCCNHPYLFVEHYNMYQRQEIVRSSGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDILEVYLQMYQFKYMRLDGSTKTEERGRLLADFNKKDSEYFLFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKPRLMEGIEVPDWVFANDTLTEKIPADEPQNVLLTTKRRRKEVVYSDSFGDQWMKADDVVEETPRMTPRAKRSAYSSDVQEVDFSERRKRHKSLVNIADDASIPMWTPEKVRAGVSSYSKDENEDDAEDESTTSGLQGGSFTWKTLRRKRSSHFSNSSDSKGRSAF >OGLUM05G03020.1 pep chromosome:ALNU02000000:5:2557716:2559467:-1 gene:OGLUM05G03020 transcript:OGLUM05G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAELCTAAVAAHGGAWKRPREDEGAARLGWAEEEDGPKGRDKGILAQLNLEINSKFCSPREYRKHIVMGWALG >OGLUM05G03030.1 pep chromosome:ALNU02000000:5:2559693:2561403:1 gene:OGLUM05G03030 transcript:OGLUM05G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAPRALSLLLCLATTGHCRSPSPECFWPSPCAIGFASTRRTHFPSFPFLYPSETNHHSHLLLLPEQALAAAVPFSSLTLSTGNHPPGVRVAREHVLPPTSQSSIHRRAGAPPLPSLCLFVEKERRSRKEEEEEERKRKSLTVYFGWQPVIFQGSDNQVAYLGFIHVGARQVIIPLL >OGLUM05G03040.1 pep chromosome:ALNU02000000:5:2563626:2573610:1 gene:OGLUM05G03040 transcript:OGLUM05G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MAFPHTSSCCCPRRRNPSWSHLSRVRCPTTSTSSNLHRLKSPANQDSSAPLICAAGCCDGAPTTLRPAAAAAPPIYSRLVLLVSLSLLRWWWWWWWCPSPTSELLLPAATIDSGKAYTPFNPPSLTPGPHRIESTSNTWAPPNSPPPSPLLSASSNFQCRVLCACVCLSLFGLLPPRIPSCGGGGGGDGELGFWRWGEEEEEGMGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTASMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNRLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >OGLUM05G03040.2 pep chromosome:ALNU02000000:5:2563626:2573610:1 gene:OGLUM05G03040 transcript:OGLUM05G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase BSL1 [Source:Projected from Arabidopsis thaliana (AT4G03080) UniProtKB/Swiss-Prot;Acc:Q8L7U5] MAFPHTSSCCCPRRRNPSWSHLSRVRCPTTSTSSNLHRLKSPANQDSSAPLICAAGCCDGAPTTLRPAAAAAPPIYSRLVLLVSLSLLRWWWWWWWCPSPTSELLLPAATIDSGKAYTPFNPPSLTPGPHRIESTSNTWAPPNSPPPSPLLSASSNFQCRVLCACVCLSLFGLLPPRIPSCGGGGGGDGELGFWRWGEEEEEGMGTAGKGAWVVPAPAYREVEGWEGAGDDSPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGMPGIRLAGVTNSVHSYDVDTRRWTRLHPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLNPDGDRPSARMYATASARTDGMLLLCGGRDASGMPLSDAYGLLMHTSGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSHDHDASSDLLRRCRHAAASVGTQIYIYGGLRGDILLDDFLVADNAPIQSEFTASMYDRVPRAENQNRNHNFNSDSPTTNNRHEIASGFSTDKKSIDMLTEASAAEAEAVSAVWRAAQEASHASSEDSLSEGIGSESPLSETSPMPEDLDDGGSLEPDVKLHSRAVVVSKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPAKKALNRQRSPQGLHKKVISFLLKPRNWRAPAERAFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGYPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTIEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGTMRAPGTANNAGAILVVGRGLVIVPKLIHPLPPPVNSPESSPERAMDATWMQELNIQRPPTPTRGRPQSASDRNSLAYI >OGLUM05G03050.1 pep chromosome:ALNU02000000:5:2580322:2585226:-1 gene:OGLUM05G03050 transcript:OGLUM05G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G03190) TAIR;Acc:AT1G03190] MKFDLEGLTVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLASPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPAAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVRDRAASDPDSTPLCDFFESFDRAAAAGDLASYMPPGVYTLADLRALGRDRGICPYFLARQMVKYANVVVYSYQYLIDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPEDILKEAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPVAFVASIHSQAGIDQKMLRFCYDRLHSLMLTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIQPVFERFQTVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWNEMGILQDIMQHKLVFIETPDVVETTLALDNYRKACDCGRGAIFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGNKTLLTEEDLQNMAQDAMEM >OGLUM05G03060.1 pep chromosome:ALNU02000000:5:2589909:2593300:1 gene:OGLUM05G03060 transcript:OGLUM05G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPASNKSLSFLSPPRLLAFAGRRPPLLLRPVQPPPPPLRLLRPPSRHLGITSSAAPRRNMDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEDAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGYLLNSQPETEDGFEITHIHKTWLEGYSAGNEHACIL >OGLUM05G03060.2 pep chromosome:ALNU02000000:5:2590609:2593300:1 gene:OGLUM05G03060 transcript:OGLUM05G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDGSARLMIVSDLDQTMIDHNDPKNLSLLRFQALWESEFSQDSLLVFSTGRSPISYRGLRTQKPLITPDITIMSVGTVIAYGEEMIHDVGWAEFLSNKWDRDIPERSQGPHKVSFFVDKEDAREVMDSLPETLNRRGLDVKIIFSSGEALDVLPQGAGKGQALLYLLKKFNSDGKPPNSTLVCGDSGNDAELFSVPSVHGVMVSNAQEELLQWYEENARGNPMMIHATERCAAGIMQAIGHFNLGPNVSPRDLEFPYPKLDAIKPADVVVKFYVLYEKWRRGEVQKAPSIIQYLKRITHPNGTTIHPSGRECSLHASIDALSSCYADKQGKKFRVWVDRIVASSIGTINWLVRFDKWEMEGYLLNSQPETEDGFEITHIHKTWLEGYSAGNEHACIL >OGLUM05G03070.1 pep chromosome:ALNU02000000:5:2594556:2600304:-1 gene:OGLUM05G03070 transcript:OGLUM05G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUGAR-INSENSITIVE 3 [Source:Projected from Arabidopsis thaliana (AT3G47990) TAIR;Acc:AT3G47990] MAMRGVDFKWYDGFFLSMLATSLIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFVDNGLAAGMGLDLGWQQRYARFCGRIIVLSVLVLLLYPFLWVWTVIGTLWFSTARGCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKVLVDMIRVPDWAFEAVGLEMRGMGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRPSSEPDRPSASEVTAATMARYVRSSQPAGQSYLLRLQGLLLRQVVVRHGGSDDMASAENGALHVAAAVTAPATTGGEESELPSIVVDGGHQLPDR >OGLUM05G03080.1 pep chromosome:ALNU02000000:5:2602105:2602647:-1 gene:OGLUM05G03080 transcript:OGLUM05G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSRPLAIISMDHADDDHRRRRGHVPPPPPPAAAEAEERTGDSEYDDAAAAVSSSSSLTTGEGSSVADDDDDDAESCSGGGGGGYGRKNGNGQYVGGGDEEEEGVESAVDEKAGVMMTPVPWWCWAAEKAPVTAAKAKATDGGARRAPPAAAAVEDAGGHTAESNRLFWEACIAHGY >OGLUM05G03090.1 pep chromosome:ALNU02000000:5:2607273:2610605:1 gene:OGLUM05G03090 transcript:OGLUM05G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27550) TAIR;Acc:AT3G27550] MWAVRSLCRNLLRAASSPLHPRCPLPPGSFAAGCGGEAAAAAAASARSASLPRMMSTTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTDDERLIYSLRRAKKKVALLLQKLKKYELPDFPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPLNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTQPTAGTTEIKEPHDQQSEDSDQEELDRFIAEIETAAEQQWEEEEAAEKEESSRLRYWDREEGSERRGFNRSYDNPGNEYRDQGRYTRDNNRRTADSRRWDDDSEIDVSGEEWDSDDDRDNVVGFDNDVDAPDEHPRRFESISEKSRSDRSRNYTSRGSRSSIEKPRNSATTSDRSVFRESEDDELDTEDDELWGPDLKEERNLRAPKVNFANYHSGTSSEEDTDDNWKQDSRTGNTRKNTDESWDSD >OGLUM05G03100.1 pep chromosome:ALNU02000000:5:2611376:2614014:-1 gene:OGLUM05G03100 transcript:OGLUM05G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATPVKASKNLQLQKQSPTNLKETNGHVCKKEVVNGECPVRDVKCISTWICKNLACKAVVTSEDSFCKRCSCCICHQFDDNKDPSLWLVCASENDDKNCCGSSCHIECALQHKRVGCFNLGNIIQLDGSYSCALCGKVSGILGYWKRQLVIAKDARRVDMLCHRIYLSYRLLGGTTRFKELHGIIEDAKAKLESEVGPLDGMSAKMARGIVSRLPAGSDLQKLCSLAIERADELLSSPDLHLRDSLPAACRFRFVEITSSSIVIILKETPLPSSDTIRGYKLWYWKSREEPSMEEPVVLSKDQRKVLVFNLAPCTLYSFRIISFTDDGILGHSESKCYTGSKELLFKRTTQNVAGADAHTHRREKTQAFKSTGFKIRDVGKILRQAWTEEGRFEGFCEDMHEASCDRSVTDVEQTENSEQGQLLSGACRKLQFSTFSVPDLNVEAPVPMDISPDKCYNSKIVPVRSNDSGGSETCAVGRSAEAEPPAVESRPEGCEQDGASTICREKQLARPRELDEDFEYCVQKIRMLECKGHIDNDFRMKFLTWFSLRSTENDRRVVTTFIKTLINEPSGLAEQLVDSFGEAINCKRQRNGFCNELWHYDKGQ >OGLUM05G03110.1 pep chromosome:ALNU02000000:5:2618107:2623668:-1 gene:OGLUM05G03110 transcript:OGLUM05G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35850) TAIR;Acc:AT4G35850] MSLLSNSLLLQGRLRHAAASAVAAAAMGRRGVATSTEEYNRRNYANNVSEYNTVFGSLTAQRRHVSRHYLLRDAYDDMMLDGVQPVRDTFHNLIVGAMKGSRLQDAFYFRDQMKEMGLQPDVNLYNFLISTCGKSKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVRDMTAAGLGLNKFCYAGLITAFKNKAPTTEETMTKIVEFVQQSKGWKNVERVSKDSSENIMMNVSEEELYNLPTAEYVHRRAFVYKQMTIYHVAIHACADLKSKETLEVLLDMLTKDGFTYDAFIAMQAMRCYLQCGDIDSAVKIFEEYTSSKSPPAELYVTLAEGAMIGHTPRGMQLAQETIEKMTSRNFFLNARMGTDLLLAASGEKTGGYTIANYVWDLMQNRRITPSLPAVEAYYKGLKEREIPSDDPRLMNVSRVLDNLSIRFGPRRNSNAQA >OGLUM05G03120.1 pep chromosome:ALNU02000000:5:2625470:2631767:-1 gene:OGLUM05G03120 transcript:OGLUM05G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFSNPLEKWIYHKSKESIFFINCIPKMDHLTEIRGIVANLKTVPEELIEERSTKGRCCTGFVVSEKPKELKILSSAHCLDHLFTKGKPKSAQELDKLYHIVVICDHCEDSFRQDKAVNKQRRYSQARITEINCGEDLMLLTVVCVAGPKGRACKHSHPALAPSKMTLQSMEKVLMVSWPPYRHRTVAVGEVSNCSREYDDISRTNLIGYDMTLVEVNIPSDKGGSGSPLLDSAADFVGLLHGGADGCSWFISWPDICNALTDWGVLAHPAQHVPTPPRRRGGGGGGGRERKPSRGTRPSYTRRRYVDGGGRSPLWQAVRSHMAPVETRSRAGKGRPAGSRSPPVRRRARGDGSRDGGVAASPGSQSAASTERRKKNRGSKRNLSDGSGEDGRPAKKIHLEEEEEEEERMPLEDEASACSSPLCEPYIPRVVIGCNAKGKEIYKPIECEELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMGLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGPVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFHYIPRPHLQLKLWGIKFLEPAHIEIISCKCNIDDGLIVEEVSTGSCAERLGVRVGDIIECFNGKCISSTVELENMLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSLEKRFIQVVQLTEQIQVLPILPLAKRGHPPEYPPAGPSFVLN >OGLUM05G03120.2 pep chromosome:ALNU02000000:5:2625472:2631767:-1 gene:OGLUM05G03120 transcript:OGLUM05G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTSFSNPLEKWIYHKSKESIFFINCIPKMDHLTEIRGIVANLKTVPEELIEERSTKGRCCTGFVVSEKPKELKILSSAHCLDHLFTKGKPKSAQELDKLYHIVVICDHCEDSFRQDKAVNKQRRYSQARITEINCGEDLMLLTVVCVAGPKGRACKHSHPALAPSKMTLQSMEKVLMVSWPPYRHRTVAVGEVSNCSREYDDISRTNLIGYDMTLVEVNIPSDKGGSGSPLLDSAADFVGLLHGGADGCSWFISWPDICNALTDWGVLAHPAQHVPTPPRRRGGGGGGGRERKPSRGTRPSYTRRRYVDGGGRSPLWQAVRSHMAPVETRSRAGKGRPAGSRSPPVRRRARGDGSRDGGVAASPGSQSAASTERRKKNRGSKRNLSDGSGEDGRPAKKIHLEEEEEEEERMPLEDEASACSSPLCEPYIPRVVIGCNAKGKEIYKPIECEELRALDLWEAKYQAKRDRQMNLCTLKPCIPPTCLVDPKLLHIRESSTETVLRAAKFVMGLSSSVDGNPLSQCSGFIVDWDDKSKTGIIMTSALLICKKSSHTDDWKYASQYATDAQVVVHFVDGTTVEGQFLYCQEHYKIAFYKIVLDKPTHLPSFNKGVKWAEEVFILGRDGSSHLRISHGRVQYLNAHVNERHHYMYIHGVDAASEYYNGGPVIDFRGDVVGMYNLSTRGSFIPSNILLKCLQLWKKFHYIPRPHLQLKLWGIKFLEPAHIEIISCKCNIDDGLIVEEVSTGSCAERLGVRVGDIIECFNGKCISSTVELENMLLQILEDHFDEGNSLDSTIDIEIGVFHTRKGVRSTLNLTTNVSDKGEVVAIYPSLEKRFIQVVQLTEQIQVLPILPLAKRGHPPEYPPAGPSFVLN >OGLUM05G03130.1 pep chromosome:ALNU02000000:5:2642000:2644761:-1 gene:OGLUM05G03130 transcript:OGLUM05G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAAGAEEASGSVKTRSSARRRRSVNRDGGGVAASLASQSAARAERSKRRSAKRSATLADQSSEGERAAKKMDLGVHEEEEGVKVAPPSASASVAEEQQGGKGGEDDGFGGSAGGGEGGALALPSASGVEEGIPVEDEASSCCSSPLRKPYIPRVVIGHNAMGREIYKPIGGEDFNALDPWEAKYQAKRDCQMKLPTLLPRVPDTCLTDRNLLHIRESSTKTVLHAAKFVVGLSSTIDGKSLSKSSGFMIDWDEKSKTGTILTSALLICKQSPSLDDWKSANQYASNAKVVVRFVDGTTVEGHFLYCQEHYNLAFYKIVVDRSIHLPSFNEGVKWAEEVFILGRDENSYLRTSYGRVQFLNPHMNERRHYVYIDGFSAPPEYYNGGPVIDLRGDVVGMSIRSTRGSFIPSNIILKCLQMWRKFQYVFFILNCHDFAKLIY >OGLUM05G03140.1 pep chromosome:ALNU02000000:5:2646850:2652127:-1 gene:OGLUM05G03140 transcript:OGLUM05G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELRALHAAVVAAAPAPAAARPPAGRVPRALQDGSGSGGHRWRGLPGMAMTTTVRVTCGGDDADDATKTATSAAGFLPARSIEEEERIKKGDGREKAKLSLFRFSRANPGFRLPPYWGQRGCFRALVVASYGPTCRCFDFRCVSQKKDLHQMAAPTIFSNPLEKWIFHKTKESVFLVDFVPKKDADGKIEEILNRLETVPEDKRQKLTFEDRCCTGFVVDDKSQELKILCSAHCLDHLFTSENPISAQEIGDLYDINIICDHYECSFRKDKTPDKIRYYSRANIVQIDCDKDLILLNVSKKNVLAYGKNGRACRHSHPALVPSKRHLEPMEKVLMVSWPPFRPRTVASGKVSHCDREYADTSKTNLVGYTMTLVEVNIQSEPGGSGAPLLDADANFTGVLHGGADGCSWFISLPDICQALTSWGILTHAPCHPCK >OGLUM05G03150.1 pep chromosome:ALNU02000000:5:2653740:2659761:-1 gene:OGLUM05G03150 transcript:OGLUM05G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTEPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRAHQLSFPTLNTDVSSSCLLHPKLLDIRESATKSILGAAKYVLGLSSCIDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGAQNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMYALQEATTGIRRTPSELTFGGKHFINLPLENIGRSMTKEVPDDLLVISCEEDYETCSPLLKRGASVFESELILNGIVIQKLEYERHRLFLDRVKQTRSTRWLKDTSHGRFVPVSKCT >OGLUM05G03150.2 pep chromosome:ALNU02000000:5:2653740:2659761:-1 gene:OGLUM05G03150 transcript:OGLUM05G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTEPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRAHQLSFPTLNTDVSSSCLLHPKLLDIRESATKSILGAAKYVLGLSSCIDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGAQNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMSMTKEVPDDLLVISCEEDYETCSPLLKRGASVFESELILNGIVIQKLEYERHRLFLDRVKQTRSTRWLKDTSHGRFVPVSKCT >OGLUM05G03150.3 pep chromosome:ALNU02000000:5:2653740:2659761:-1 gene:OGLUM05G03150 transcript:OGLUM05G03150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSTEPRFRRRKSNAPRATSRKPDSGVPVAADADAAKEGDAPGSGSTTRVTRSRAARCRPGSQEPLPPPSERSRSRRTTLATDTAVRERKRIRANLIEEEKPLTKMEEVGGEEISSAPSSPLCEPYLPDDQEIDFDTIDLYKKKSKEFHKKRDGNPLARCSGFLIDWNETTKVGVVITSADIICSASSLDRWSGDDEYSYSAKVFVHLLDDTTVEGRLIYAQTHYNLALFEIIVESPVQIPNFTFNLNYAQQIFVLGRDENLCLSISHGKVQYCNPFLCGRHHYMYVDTATPKCALGGLVIDFEGSTVGIACQTHAFIPSSILIKCLHLWRKIQCIPRPQLGVKLSAIKFLDLPHIEMILRKIHICDGLIVEEVSSGSTIEKLGVRVGDIIQHLNGEWVSDTIQLEEMLLRLSEDHFDKGNGLNSTLDIKVGLFHIRNGAQNTINLTTVVSENGEVVKRGSFAVSVPTREEISAMYALQEATTGIRRTPSELTFGGKHFINLPLENIGRSMTKEVPDDLLVISCEEDYETCSPLLKRGASVFESELILNGIVIQKLEYERHRLFLDRVKQTRSTRWLKDTSHGRFVPVSKCT >OGLUM05G03160.1 pep chromosome:ALNU02000000:5:2678229:2686186:-1 gene:OGLUM05G03160 transcript:OGLUM05G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGTTPPLGSPVSDDGDATPSEDGVLYGETQALDDADTQMVDDGLGEEEDGVAVDWGETQLVEGSEEEEEEEEECGGGIDDQEDTQLVEDSEENEGDGEDAGGACEGEDAGAAAECDNNAGDLVRTQLVEEHKEGEDCGNDGAGDWLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVKGALEDYTKNLVDSDASTDEEGDTVCEASERKCEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTIVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISISGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGADRGKSKSHFPRAKKRPTKIEPGEIGDCKKCKNTKLSGKFEALPLSDLMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALHDVGPDTQIALEAMEALAQCSPAKNLSAKDKPQLRNGKSKKAKGHSKNSPQKRTGSIQEGVTTRSKRRKLTELTPEPEKQMYKGSILQGNPGDLKAKTRDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFCRNNPGEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSTSIYVEKESTEHTCATDAQNLQLRRDASSQHASENTAQGYEPCRSKPTIEDACRENSSHFPKQRRTQTTIVQPKDPAVTQSGTNHETPQDEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSVLKTPSKSANELSPIFSPLNPSKSSSRSLSKPSVARELLTLDPDSNPSNCQHKDSRRKKKNTNFSILFSHHLHGDVIKRQKKILARLGVSEALSIPDATHFVADSFFRTKKMLEAIALGKLVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTLNVKPSREVVIGLVLASSGQPLERIGRSITKEVPDDLLVISCEDDYETCSPLLKRGASVFESELLLNGIVIQKLEYERHRLFSDCVKQTRSTRWLKDTSHGRKKKRKGKKIENSGRKPAGAPRLGLGRRGRSSAAAAATAPPQLIGRLNARSSPPISADLRRLLPCTEGRVGGKKGEVLRHPVAAAATALCNSSDGPPVCLLLSRHHQFDCCFALNELEKWVSVKKVKRTLDALEVVPALKRIERSKVVGYATCFVVAENGNSLYLLTCAHTIDHVYTATKEISVQDINRLFDTEVVCDHQENNGLGGERKFTKAIVTRVDCKKDILLVLVDKSKLLNLKGKQCRFQHPPLVASQNLPCSLEKVVMISWPPCMNRATSVGRISHPSRHYDDVSNTNEYGYNMNLIEVDMMRVAQEHRCLIAEPILLVYFKEVVRNVFHALFHFLIYVRS >OGLUM05G03160.2 pep chromosome:ALNU02000000:5:2679340:2686186:-1 gene:OGLUM05G03160 transcript:OGLUM05G03160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGTTPPLGSPVSDDGDATPSEDGVLYGETQALDDADTQMVDDGLGEEEDGVAVDWGETQLVEGSEEEEEEEEECGGGIDDQEDTQLVEDSEENEGDGEDAGGACEGEDAGAAAECDNNAGDLVRTQLVEEHKEGEDCGNDGAGDWLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVKGALEDYTKNLVDSDASTDEEGDTVCEASERKCEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTIVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISISGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGADRGKSKSHFPRAKKRPTKIEPGEIGDCKKCKNTKLSGKFEALPLSDLMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALHDVGPDTQIALEAMEALAQCSPAKNLSAKDKPQLRNGKSKKAKGHSKNSPQKRTGSIQEGVTTRSKRRKLTELTPEPEKQMYKGSILQGNPGDLKAKTRDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFCRNNPGEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSTSIYVEKESTEHTCATDAQNLQLRRDASSQHASENTAQGYEPCRSKPTIEDACRENSSHFPKQRRTQTTIVQPKDPAVTQSGTNHETPQDEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSVLKTPSKSANELSPIFSPLNPSKSSSRSLSKPSVARELLTLDPDSNPSNCQHKDSRRKKKNTNFSILFSHHLHGDVIKRQKKILARLGVSEALSIPDATHFVADSFFRTKKMLEAIALGKLVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTLNVKPSREVVIGLVLASSGQPLERIGRSITKEVPDDLLVISCEDDYETCSPLLKRGASVFESELLLNGIVIQKLEYERHRLFSDCVKQTRSTRWLKDTSHGRKKKRKGKKIENSGRKPAGAPRLGLGRRGRSSAAAAATAPPQLIGRLNARSSPPISADLRRLLPCTEGRVGGKKGEVLRHPVAAAATALCNSSDG >OGLUM05G03160.3 pep chromosome:ALNU02000000:5:2679340:2686186:-1 gene:OGLUM05G03160 transcript:OGLUM05G03160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAGDGGGDGETQALEGGTTPPLGSPVSDDGDATPSEDGVLYGETQALDDADTQMVDDGLGEEEDGVAVDWGETQLVEGSEEEEEEEEECGGGIDDQEDTQLVEDSEENEGDGEDAGGACEGEDAGAAAECDNNAGDLVRTQLVEEHKEGEDCGNDGAGDWLETQFVEECPEEEEKVNNSSDDEDAGEWGKTQLVEDSDEERDDDGDDELSVDTQVLSDDEGLSNDEREVIFDMGGSNTRVKGALEDYTKNLVDSDASTDEEGDTVCEASERKCEALTVNSLQQGKEQDSIHGAHLLRKVVDNSTSFSTSLGDHPDCGIDSDSHGYVQNHDKDGTKSRSRCSTAKKLFADTIVKESENNGRCFAGLSYIESQEPGDLSQANALELVDRLISISGMSSQEPTPQKLDSAKPHVSNKRGTLMLAEKVDCNRSSNGMAEIFAWVDSREDDGGGEFFSKNKDILLHKSADRGKSKSHFPRAKKRPTKIEPGEIGDCKKCKNTKLSGKFEALPLSDLMLSSDVKGKRASANRTKKNLLKDLDLDDLSNGKYLEVQQEKESVALHDVGPDTQIALEAMEALAQCSPAKNLSAKDKPQLRNGKSKKAKGHSKNSPQKRTGSIQEGVTTRSKRRKLTELTPEPEKQMYKGSILQGNPGDLKAKTRDKEAKSVPAKSNVLKISRDGDKCHGAPVARRTRHFCRNNPGEHTELCSNKHSKRVMNLRGGVSKVGKVQNDHIANEPGQPMISERTAKSTSIYVEKESTEHTCATDAQNLQLRRDASSQHASENTAQGYEPCRSKPTIEDACRENSSHFPKQRRTQTTIVQPKDPAVTQSGTNHETPQDEPRPSKKRRVFIRSVSDYVKYAKREPSNGRSTSLLSTIIKKSSAASHILNSSLSADSKTSGFSSSGNKHKGSHVEDASKSPRSNSDIHSSVLKTPSKSANELSPIFSPLNPSKSSSRSLSKPSVARELLTLDPDSNPSNCQHKDSRRKKKNTNFSILFSHHLHGDVIKRQKKILARLGVSEALSIPDATHFVADSFFRTKKMLEAIALGKLVVTSMWLENCGQAGCFIDEKKYILRDAKKEREIGFSMPTSLAAACKHPLLLGKRVYVTLNVKPSREVVIGLVLASSGQPLERIGRSITKEVPDDLLVISCEDDYETCSPLLKRGASVFESELLLNGIVIQKLEYERHRLFSDCVKQTRSTRWLKDTSHGRKKKRKGKKIENSGRKPAGAPRLGLGRRGRSSAAAAATAPPQLIGRLNARSSPPISADLRRLLPCTEGRVGGKKGEVLRHPVAAAATALCNSSDG >OGLUM05G03160.4 pep chromosome:ALNU02000000:5:2678229:2678969:-1 gene:OGLUM05G03160 transcript:OGLUM05G03160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRTLDALEVVPALKRIERSKVVGYATCFVVAENGNSLYLLTCAHTIDHVYTATKEISVQDINRLFDTEVVCDHQENNGLGGERKFTKAIVTRVDCKKDILLVLVDKSKLLNLKGKQCRFQHPPLVASQNLPCSLEKVVMISWPPCMNRATSVGRISHPSRHYDDVSNTNEYGYNMNLIEVDMMRVAQEHRCLIAEPILLVYFKEVVRNVFHALFHFLIYVRS >OGLUM05G03170.1 pep chromosome:ALNU02000000:5:2679011:2679280:1 gene:OGLUM05G03170 transcript:OGLUM05G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQQANWGPGAATEETRQSNCRRHARWPWWRTSSEEAGRGIRGGGGGAMRWRGRSCGFPGAAVAEHTPPSGVGEVGGGGPPPSSPRR >OGLUM05G03180.1 pep chromosome:ALNU02000000:5:2686466:2693892:1 gene:OGLUM05G03180 transcript:OGLUM05G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELYDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDATEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSSVPRSTGCQVIGDEVLASCSRGPRGNEQYAAVFASFVAGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREITRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNIFTRIAGLPELEILRDGIVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >OGLUM05G03180.2 pep chromosome:ALNU02000000:5:2686614:2693892:1 gene:OGLUM05G03180 transcript:OGLUM05G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELYDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDATEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFSVLSISSKKYRLPGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREITRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNIFTRIAGLPELEILRDGIVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >OGLUM05G03180.3 pep chromosome:ALNU02000000:5:2686614:2693892:1 gene:OGLUM05G03180 transcript:OGLUM05G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G domain-containing protein / MA3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G17930) TAIR;Acc:AT5G17930] MAGKEEKRSRKEKRKEARSEKQKLRFLSWVQHQGGKSKSKKPVEPSVESSPVEEKKPKKEPTNVKKRRRDTEAKPKSKSKFQEYLEMERGGAVSREEDLETERRLAKKLKVKKGKLGGPDDGMDSLFADLGFEGDFGSDDEAKEFDWNTVDDTEVDKKKGKKKKKKVKNDATEELYDGGVSEENDEAVQQSENEVDKKKGKKKKKKVKNDATEELYDGGVGEENDEAVQQSENEEPNVVELPMASKAKYVPPSLRATSNSESEEIAQIRRRVRGLLNRLSESNVESITQEIAALFISSKKYRLPGMASLVGIDFSAKILASLAKLFEDEYSKEDGLSLRNLTLLLCYLCIFDVISSDLVYDLLSVLSKRLTELDVSTVLTILQCCGMKLRGDDPSAMKDFVLSIQNSVNQLKLKAHPAGQDNGQAEMHSKRMEFMLETICDIKNNKKRPKEDPAHHTRIKKWLQKLKAEDVLLRGLTWNKLLDPDKKGQWWLSGDVSSTVGNIEEVAAVISKEVVEAQKLVQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLDLSGKQDREITRVIVDCCLQEKMFNKYYAVLASKLCSHDKNHKFSLQYCIWDHFKELDNMELNRSMNLAKLVAEMLANFTLSLATLKVVKNLAVEVIPKRTIHFRMLFETLLQKDDAVVWNIFTRIAGLPELEILRDGIVLFIKQHVIAKDTGKDLASKFKIAKKALDNTAGVLM >OGLUM05G03190.1 pep chromosome:ALNU02000000:5:2691256:2692683:-1 gene:OGLUM05G03190 transcript:OGLUM05G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MIASGNVPSSSLELHNNLHNNIAYHFISQLQNFIAEMGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >OGLUM05G03190.2 pep chromosome:ALNU02000000:5:2691256:2692683:-1 gene:OGLUM05G03190 transcript:OGLUM05G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MIASGNVPSSSLELHNNLHNNIAYHFISQLQNFIAEMGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYDEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >OGLUM05G03190.3 pep chromosome:ALNU02000000:5:2691256:2692683:-1 gene:OGLUM05G03190 transcript:OGLUM05G03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT3G24110) TAIR;Acc:AT3G24110] MIASGNVPSSSLELHNNLHNNIAYHFISQLQNFIAEMGCVESKLVATIKYRRVEKDLDKKVAEALKERTKSKKKTFRSVNSITMGLPRFKEGLRNIRDVFDQYGNVSGHEDSNGTIDNEELRNCLNKLQVQMSEEEIDNIHRYCDIDNRKGIQFPEFVVFLCLMYLLFGSDVTYRVSEFESARLNYVFDELIDAFLFFDKDGNGKMKRKDVTQRMNEATHQERTPSHITSQLFKEMDLNRNGHVNLKEFLLSIIRWAGVETDDDEARNETSP >OGLUM05G03200.1 pep chromosome:ALNU02000000:5:2693309:2697565:-1 gene:OGLUM05G03200 transcript:OGLUM05G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTSVVMGDEPDREILKMIARTTLRTKVGAVCFLAFKLSLLGQLYEGLADQLTDIVVNAVLCIRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREKMVAAERRQVDERVKRIIELKNKVCAGNDKNFVVINQKGIDPPSLDLLARAGIIGLRRAKRRNMERLVLACGGEAVDSVDDLTEDCLGWAGLVYEHTLGEEKYTFIENVKNPRSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLIDNVKKTVKGRAQLGVEAFADALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >OGLUM05G03210.1 pep chromosome:ALNU02000000:5:2699938:2708029:1 gene:OGLUM05G03210 transcript:OGLUM05G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHASTGRQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEETVVDQPLTTMGNASVWHFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >OGLUM05G03210.2 pep chromosome:ALNU02000000:5:2699941:2708029:1 gene:OGLUM05G03210 transcript:OGLUM05G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 2 [Source:Projected from Arabidopsis thaliana (AT2G47940) TAIR;Acc:AT2G47940] MAGVAALFASPAFPFPSTSSVSSCSCRFRPAVARAPRHQPPGRRVTRRFDEVEGVSKRRRGIGGGGGGGSQASSSSSRKDRGLAVDFKESQVSDFEDLEEDKFLNAVVKVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEPLQLGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEETVVDQPLTTMGNASVWHFRSDEAENIGYVIPTTVVSHFLNDYQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASKVLRKGDVITSFDGVAVGCEGTVPFRSTERIAFRYLTSQKYAGDVAQLGIIRAGNTMKVQTVLQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEETLGLKLLAKARYSLSTFEGEQIVIVSQVIKLNGTVVKNIHHLAHLVDNCKDKFLTFEFEDDFLVVLDREEATTASSDILKEHAIPSVRSSDLSEPYVDTEQEIQKPNDDFGDSPVTNYEMGVDCLLWA >OGLUM05G03220.1 pep chromosome:ALNU02000000:5:2708512:2710287:1 gene:OGLUM05G03220 transcript:OGLUM05G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05340) TAIR;Acc:AT3G05340] MRDASSGLLNYARFSGLLASCGREGDLRLGAALHAAVVKNPAHFRLCASRPWLRHVLVAWNALVSMYARCGRREDAARVFDEMRVRDAVSWNSLIAASRGAGDALALFRRMLRSDARACDRATFTTVLSACARAGAASLPACAMVHGLVVSCGFEAEVPVGNALVTAYFECGSPASAERVFHGMAEKNVITWTAMISGMARAELYKESFSLFGQMIRTVDANNATYSCALLACARSLAAREGQQVHGLVVKAGFEADLHVESGLMDVYSKCGLMEDALTVFRSCREPDEVFLTVILVGFAQNGLEEKAFELFAEMVGAGNEIDENTVSAVLGAFGASAPFALGKQIHALVIKRCFGVNTYVCNGLVNMYSKCGELRESVQVFDEMPSKNSVSWNSIIAAFARHGHGSEVYQLFESMKADGAKPTDVTFLSLLHACSHVGSAKKGLEILNSMSSQYGVLPRMEHYACVVDMLGRAGLLDDAKSFIEDGPFTDSALLWQALMGACSFHGNSEVGKHAAEKLLLLDPSCTAAYVLLSNIYSSEGRWDDRAKVMKRMSEMGLRKDTGKSWIELEKEVHSFVVRSTSHPNSAAAVR >OGLUM05G03230.1 pep chromosome:ALNU02000000:5:2712299:2714690:-1 gene:OGLUM05G03230 transcript:OGLUM05G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATGGVDVLGDDLLRLPSPAALAGRSFLRAARDAGFLRRFRARHHASPPRLLGFLFVVPGTTPPVLLTAASASGPHPAGDFSLSFLPGGGWASAEWELLDCRDGLLLLIHNHGADMKLAVADPSRRACYPFDLPIADIPVLYGLAAAKSASFRVVCIARSLDSTTLRALVLSSDEPYYWEEVDAIPCEEEASIVYAARPMKAKTSLYWKLDDGTRMAAFDAAPGKMKLSILDLPPFPATLAFDVIDTEDDDDGLRVLAMSDDFCLETWKLSSAATAAAVDDDEEEETPWTLEDTSVRFYRALESMLGERKMSDRHRRRRGGYEFEIVGVFGLEIWPFVPSSPAAAAAAARADGDFSLSFLPHGGWLGGGGGAPWRFLDCRNGRVLLKNRGTQELAVADPLAWSCVSLPPPPSARAVGYGLVADDGDSSVFRVFCIAQDSDGDGGVSSETRAMVLSPGELSWADVAVHPHRLNLAAASRAMQANGSLYWTLEGGASVVALNTATNEFSVLELPPPLRQLSFDVVEKGEDEDGGGGGGPLYLLTMRGFCVEVWAGAEDGGAGELTWARVEKSVRFHKAMAMLQHDSVEMYHHGLDVVGVVAGVLFLRHWNCLLSIDLETMKLRKLSDEDCSSASIYPYAMPWPPSFLNPAEHGA >OGLUM05G03240.1 pep chromosome:ALNU02000000:5:2715625:2718603:-1 gene:OGLUM05G03240 transcript:OGLUM05G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRQRRLGVKTTRMKGKEEMRDWGDLAPPSLDPATVTAISIAGSSAALPAPWSLSPQGLVVIFLAVTVASSSESSVESWRVARRMAEARDHLEWPVLLDPVTSFSSLSLHSFRGVSVEVGWVERIGGRDGGQDGGEMSPEVVSEATIKVLELGKPIFPTST >OGLUM05G03250.1 pep chromosome:ALNU02000000:5:2721990:2728734:-1 gene:OGLUM05G03250 transcript:OGLUM05G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAAEEDDVPRLQEPLRTVRGRGNEQDSKGRSHCSRSPPASPSSERAQGMKRRRKMTEADHSCEDGEEKVPEKMNEGEEEEEVSSALSSPLHMPLLPFKFSGYDSDGQEILEPPDMDIVDAYQQRREEFQEKRGGKPLARCSGFLVDWDETRKKGIVMTTSDIICSKSSLDCWSGEDEYCPNAEPMIATTKSGHTKKLIRITDSVVYYAINVQVYVHLLDDTTVEARLIYSQTHYNLALFEIALETPSELPTFSSRVDRAQHIFMLGRDENLYLRISHGRVFYSNPYLCDRHHYMYVSSAIPEFGLGGLVIDLKGKVVGMTGLIHAFIPSSVILKCLKLWHKFRCIPRPQLGVKRWAIKFLDLPHIEMILRKTHICDGLIVKEVSEGSILEKLGVRIGDIIECLNGERIYDTIQLEELLLELCEGHFDNGNGLNSTLEMAVILFHIRKGAQSIKKLTANVLENGEVVKRGVFFVAGPTCEEIPNLAPLGEGALREEGWAGDSHIPTADGASTSVPLDQVGPGDPQIPTAEETSTSRPLDQVEPGFLQERTG >OGLUM05G03260.1 pep chromosome:ALNU02000000:5:2736724:2738479:1 gene:OGLUM05G03260 transcript:OGLUM05G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ribosomal protein S27/S33, mitochondrial (InterPro:IPR013219); Has 101 Blast hits to 101 proteins in 55 species: Archae - 0; Bacteria - 0; Metazoa - 8; Fungi - 59; Plants - 26; Viruses - 0; Other Eukaryotes - 8 (source: N /.../ink). [Source:Projected from Arabidopsis thaliana (AT5G44710) TAIR;Acc:AT5G44710] MSAGVGGLRQLLAAAVTTGVAEARAAIFGHALNPTGKRAATKLLRKKMVGEQLAQWYPYDIKRDDPLVMAREEKARLSKLEMLKRRGKGPPKKGQGRRAVKRSK >OGLUM05G03270.1 pep chromosome:ALNU02000000:5:2738690:2739034:-1 gene:OGLUM05G03270 transcript:OGLUM05G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARKKSPPPWKTKGSQQPRGGGAAAAAAAGSKKAKKKKKETPLPPLLPPGTAVEVLRNGAWVGGGTVTIRNDRTYMVRLAGGMTVLATRRRVRPAPPPVTADVSPEPDKVAGD >OGLUM05G03280.1 pep chromosome:ALNU02000000:5:2739871:2740446:-1 gene:OGLUM05G03280 transcript:OGLUM05G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPPAPPASARRRQCVAAAAAATAAAAGWYVVKVDVATVQNGAESDFACVLSFPAAALARNGSGAAVFDGGRCVDAVHGEI >OGLUM05G03290.1 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.2 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.3 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.4 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKVEDAVMLALSEHRRVRPLSGPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.5 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKFNLAEERSEEGDAKSMHMAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIEILHTINTYLQSGGIPSVRFYKYDAKVKKGSKSIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQRGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.6 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSIGRYLSDQAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03290.7 pep chromosome:ALNU02000000:5:2740893:2748937:-1 gene:OGLUM05G03290 transcript:OGLUM05G03290.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSRPASPAGGYARRGSGGGYGHHYYGGGGGGSAQTSPPGGSPTASPVHRHARTGSLGGAGAASTVGRRGRAHNTAARAAAQRLARVMASTDGAGVGGGGGGVGGGGDGGSGSDDDEYELSGPPIELSSTPRRTGTRSPSPSAPVSRPPSLTNRYTPGKSVPMIPSIKQQNRPATSGPGSESPAINRREQRRSVDLGSSLRARRTSSSLHDEINSLQVETEGMYEKAAVIADAIEPEANLISRKDEALQQRKASLRSASRRSNSASCDEIAALRSEAKVANSVSSVSQRLKSTGSIVRSLHGATNRMVLSQEEMEEVVLKRCWLARYWKLCVRLGIHCDIAEEKQEYWSSFAPLSLEVVLSIGQKARDGALSDHADMETKSKMSDASHVNDMSGDGNIESMLLVERGLRELSSLKRTQSCLLYQNIDASDLSQVASPKCPSSEGQNPPESLELSEEEQDDVRFKQSWLTYFWRRAKSHDIEEDIADERLQFWIEQGNHPVSTIDIIEVERGLIELRKLGIESQLWESTRRSLDDDFSNHGSPTGSEI >OGLUM05G03300.1 pep chromosome:ALNU02000000:5:2751070:2756404:-1 gene:OGLUM05G03300 transcript:OGLUM05G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGALVLKSGGLSVSDYDSIVAINIFVALLCSCIVIGHLLEGNRWVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIEDIDIANFDSLVLLAFIGNFLYLFFTSTLLGVVAGLLSAYIIKKLCFARHSTDREVAIMILMAYLSYMLSMLLDLSGILTVFFSGIVMSHYTWHNVTESSRITTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKKPIALSVTILGLVMVGRAAFVFPLSFLSNLSKKETRPKISFKQQVIIWWAGLMRGAVSIALAYHKFTASGHTELRINAIMITSTVIVVLFSTMVFGFFTKPLLNLLIPPRPDIAADLSSQSIIDPLLGSLLGSDFDVGQPSPQNNLQLLLTIQTRSVHRVWRKFDDRFMRPMFGGRGFVPFVPGSPVERSIHGSQLGTVTEAEHS >OGLUM05G03310.1 pep chromosome:ALNU02000000:5:2759335:2765030:1 gene:OGLUM05G03310 transcript:OGLUM05G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence-associated family protein [Source:Projected from Arabidopsis thaliana (AT1G66330) TAIR;Acc:AT1G66330] MKFLAVDEPVGPATDARPPADERHVSATGMGSATRERRRQDRPASKASGVYYNDQYRMPCKLKGIHCLALNCIPQKAKVRKCMNGYQSAFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVTAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDSKKIDHSVKERAARLTHFARLLKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMISKETADDSAAADDTR >OGLUM05G03310.2 pep chromosome:ALNU02000000:5:2759329:2765030:1 gene:OGLUM05G03310 transcript:OGLUM05G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence-associated family protein [Source:Projected from Arabidopsis thaliana (AT1G66330) TAIR;Acc:AT1G66330] MATRIPGTVAASGVYYNDQYRMPCKLKGIHCLALNCIPQKAKVRKCMNGYQSAFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVTAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDSKKIDHSVKERAARLTHFARLLKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMISKETADDSAAADDTR >OGLUM05G03310.3 pep chromosome:ALNU02000000:5:2760656:2765030:1 gene:OGLUM05G03310 transcript:OGLUM05G03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:senescence-associated family protein [Source:Projected from Arabidopsis thaliana (AT1G66330) TAIR;Acc:AT1G66330] MATRIPGTVAASGVYYNDQYRMPCKLKGIHCLALNCIPQKAKVRKCMNGYQSAFRFCVNEKNGQTTGQSNGSLIQQGQNFRCHSYGSHNSSETKECSLEDGTDSYRDFEEHSRGASQFSDNQVTAKKKSVKSSQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACQDVAVLGSGFLKLDARARKDSKKIDHSVKERAARLTHFARLLKEQAQSDLKKAADQHWSDGALEADLRRADSVVRRRAMEDAFMALKFVRDIHDMMANRLQEQFAKDGSSSPANSRSFITLEKNGNTFELFPHEVSTDQITAIEQAYWSMASALSEADGIDYTDPEELELLVATLIDLDAMDGKKSVSLLAECSSSPDVNTRKALANALAAAPSMWILGNAGMGALQRLAQDSNYAVARAATRAINELTKQWELEEGDSLRFVLNQNMISKETADDSAAADDTR >OGLUM05G03320.1 pep chromosome:ALNU02000000:5:2768573:2771140:1 gene:OGLUM05G03320 transcript:OGLUM05G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRTRKNSHQAFSKKERDRQRVERETSEMVAKVYGVAASPYVATVLVCLEEAGASYELVAVDMAAGENRSRHHLARSPFGKIPAFEDREVTLFESRAIQRYVLRKYNKPDLLREGNLEESAMVDMWMEVEAHHYDPAISHIIRECVIKPMIGGGARDQAIVDENVEKLRKVLEVYERRLSESEYLAGDFVSVADLNHFPYTYYLMTTEYATLVESCTNVKAWWERLLARPAVRKVAALFPPVTSA >OGLUM05G03330.1 pep chromosome:ALNU02000000:5:2772397:2773236:-1 gene:OGLUM05G03330 transcript:OGLUM05G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVLEVTLVSAKNLKKVTMFSKMRVYAVASISGGDPRVPTHRTHADREGGRSPMWHAPLRFPIPDAGADMRAIALHVLLRAERVFGDSDVGEVFVPVKDLVAAAPEGGEHRHLSYHVRRPVSGRKCGVLHISYQITDEAAEPASAAGARGASSTRHVSGKGLQLGAITAYPSAGRHSGGRRGAAQSPTLAYHHGSPYGGGGGGAHAQHHHHHHHHYGYGYGPAPYGHGAASHGGGGGMGMGAGLGMGVVGGAVAGMVLADMLADGEMDAPLDAGMSC >OGLUM05G03340.1 pep chromosome:ALNU02000000:5:2775488:2783401:1 gene:OGLUM05G03340 transcript:OGLUM05G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIPSRSGPKLPKSPIPKSPKPKPKSRDPATKSRVSPPLRRMSDPAPAAAASTATATATAAAGSGAAASGSGAIVHPGGGGAWPRGVRFGDMVWGKVKSHPWWPGHVYSITLTSDGEVRRGYRDGLVLVAFFGDSSYGWFEPHELLPFEEHFREKFAQPGGRNFPTAIDEAADEVARRAALAFLCPCHRPNAARPHELDPRYLLVDVPGFDTDAEYHPEQVAAEREKIDPRALLDYLKGAAVEQLDAAELIGKPKRHIPAVQMSSMLEAYRLSRYALKDPTYAQAFGMDYDEAQAAKTALEKKAREGKRRVWWDRKTQEEPQDDQGESSNTTPAGRPAKGRKKAAENPGGRRRKGSAASTAARLMEKIMPSAAAMKPRAKKKDQYLLKRRDDARAPPPPSMPDAFPAAPMAPALDDVPPGFPSDPPTPPLPSSTLAADEEFLLQRRTPPPLLSAPPTPLALPPAAAGQVGDGGAPTDAATAAAAAAAAPKKATKPKKAAARKREREEPADAAAAAAAGGVAGEPKKKKKKKLAELNGVAVAAAGKPGLLPAKVDHDLKQSFKKSHENDPPEDNKASKPNAATAADGQKPPAKKKPAARPGDTAAASAKVAGVKRAPSDRQEELATKKKAKLNKIKTLATDKKAAGLELAAAAPAAARKNDAIAARKKEKEPALAPAIKTPSPTALMMKFPPKTTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKSDADVALKYARANTTMFGQVAPNYYLRGVESDGDLAADAAPPPPQQRSELRLMETAPFRPGTSGGNGAPLPLSRAVPARAVVGQQPKSILKKTVTDDGAPSAAALRDAPRVKFMLDAGDSKLEPPPPAAPASGGGDAAAPLAKSATKSVGFAPPPLQPPARPAQHPHLQPPARPAQQPPRPPVTQPLPPPPPLHQHQPYQPRHTDALPLPLPPPPPPPFSVQQQQLPPPPPYHLRHSIDGMHHQLPGPPLPPSYQHRAAGGVVFPGQHQQQPYRPNNDTQLGLPGAGAAAGDVTPAWKRGGREFDEELMRVMRGIAKMVEPLTDKNGNFPYHLFTSA >OGLUM05G03350.1 pep chromosome:ALNU02000000:5:2784788:2786340:-1 gene:OGLUM05G03350 transcript:OGLUM05G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKKVCSESYRLREAAFMESEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLRGGEWVDVQPLADAIVVNTGDQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEATISPAVEGGAGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKYA >OGLUM05G03360.1 pep chromosome:ALNU02000000:5:2790142:2792334:1 gene:OGLUM05G03360 transcript:OGLUM05G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVIDFSKLDGTAAERAETMAQIDNGCEEWGFFQLVNHGVPKELLDRVKKVCLESYRLREAAFMESEPVRTLEGLMAAERRGEAAAPVDDMDWEDIFYLHDDNQWPSNPPEFKETMREYRAALRGLAERVMEAMDENLGLDKGRMRRAFTGDGRHAPFFGTKVSHYPPCPRPDLITGLRAHTDAGGVILLFQDDRVGGLQVLRGGEWVDVQPLADAIVVNTGDQVEVLSNGRYRSAWHRVLPMRDGNRRSVASFYNPAFEATISPAVGAGGEYPEYVFGEYMDVYAKQKFDAKEPRFEAVKAPKSA >OGLUM05G03370.1 pep chromosome:ALNU02000000:5:2795432:2801275:1 gene:OGLUM05G03370 transcript:OGLUM05G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYAAEAGAAAGAGRGRAARHPPLTSLVVSTIAAFSAVVVFAILRSAYDAAVSRTTTLLGHNLEPTPWHLFKHDKGRPPARAAFRPETNIEPWSKEFRDIKEGAKAIKWQDRVATAYWKGNPDVASPLRVALLNCNDTNMWHAEIMRQNWDEEVKSGYHNSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALVIDPQYEDFFSRGLRPEVNFWPVHIDVAAGGMCESIRDAVEWGEAHPAEAEAVGRRGQRLMEELDMDAVYDYMLHLLTEYARLMRFRPAEAPPPRPPAQEVCEASVLCLAGEKQRRFLEASAASPAVSEPCVMPPDAGE >OGLUM05G03380.1 pep chromosome:ALNU02000000:5:2799608:2805652:-1 gene:OGLUM05G03380 transcript:OGLUM05G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQERRTIDLEEGWAFMQKGITKLKNILEGKPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLRDKHDEFMLRELVKRWSNHKIMVRWLSRFFFYLDRYFISRRSLIPLEQVGLTCFRDLIYQEIKGQVKGAVIALIDKEREGEQIDRALLKNVLGIFVEIGLGSMECYENDFEDFLLKDTTDYYSLKAQSWILEDSCPDYMIKAEECLKKEKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCFALLRDDKEEDLSRMYRLFSKINRGLEPIANMFKTHVTNEGTALVKQAEDSASNKKPEKKDMVGMQEQVFVWKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAAHQELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFEAKTIELIVTTYQAALLLLFNGSDRLTYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLEREKDNANVYRYLA >OGLUM05G03390.1 pep chromosome:ALNU02000000:5:2810478:2816709:1 gene:OGLUM05G03390 transcript:OGLUM05G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tonneau 2 (TON2) [Source:Projected from Arabidopsis thaliana (AT5G18580) TAIR;Acc:AT5G18580] MSTASGDGGDGGGGGDGASSAAGGGGRRIPPASSMPWVRNLRRFVGTGAGLGSEALMELETKRILLEIFKERQRKSMEAGAIPSFYKKKPEDGSISNRVQKLAKYRFLKKQSELLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPSAFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEITDTEQAENWFSLTSAQRICDMFLALDKDTNGTLSKLELKEYADGTLTDIFIERVFDEHVRRSKVGGGNSREMDFESFLDFILALENKDTPEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRIALTDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >OGLUM05G03400.1 pep chromosome:ALNU02000000:5:2817849:2828680:1 gene:OGLUM05G03400 transcript:OGLUM05G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASNDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >OGLUM05G03400.2 pep chromosome:ALNU02000000:5:2817849:2827572:1 gene:OGLUM05G03400 transcript:OGLUM05G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASNDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKSQTSGELKNLGPIFALIKIKSYHQSNTYTISGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELLEEGKDENQLELEEIQTKMDAICGLLSQYHKKVNSHLGRIDVCFVHKKNGCLLIAPVKVLRSI >OGLUM05G03400.3 pep chromosome:ALNU02000000:5:2817849:2824326:1 gene:OGLUM05G03400 transcript:OGLUM05G03400.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASNDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELLYVRYV >OGLUM05G03400.4 pep chromosome:ALNU02000000:5:2817849:2825486:1 gene:OGLUM05G03400 transcript:OGLUM05G03400.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASNDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLLLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >OGLUM05G03400.5 pep chromosome:ALNU02000000:5:2817849:2825486:1 gene:OGLUM05G03400 transcript:OGLUM05G03400.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT2G39090) TAIR;Acc:AT2G39090] MEAARESMAALVDAGLYDCAQTLGCFLVSSSPASSEAGMSMKVESLVLHGDALHGEKEFRRALSAYKQAMQYSKNIPRQATSNTRSSVTATGRSPSPNSSSLAPLNENEVKFKIALCYSALREHREALQEMEGIPSKARTLKMNLMLGKLYRISRNNRAAAVCYKECLRQCPYVFEAITALAEMGLSSKEFSLIFSQAPNRGGKAPGDSLDAQRWWNRYVEAQCCIASNDYKGGLDIYLDLMQRFPNNVHILLEIAKVEAIIGRNDEAIMNFEKARLIDPNIMTYMDEYAILLKSKSDYTKLNKLVHDMLHIDPARPETCLALAALWERKDERKALTYAEKSLRVDDRHITGYIMKSQTSGELKNLGPIFALIKIKSYHQSNTYTISGLVRAYLALSKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNKDAVLLLERYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPHNEAAKKGLERLEKQMKGVDPDAPEEDEENEADDVDGDQDDAELL >OGLUM05G03410.1 pep chromosome:ALNU02000000:5:2824435:2824779:-1 gene:OGLUM05G03410 transcript:OGLUM05G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTRLASQKAVVVFSKSSCGMSHAVTRLLRELGVDARVVELDEEPAGADMENALAGMLLAGTAANGGGRGRGVVVPTVFIGGRLVGSTDRVMSLHVAGGLVPLLRDAGALWV >OGLUM05G03420.1 pep chromosome:ALNU02000000:5:2827850:2830842:-1 gene:OGLUM05G03420 transcript:OGLUM05G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Predicted pyridoxal phosphate-dependent enzyme, YBL036C type [Source:Projected from Arabidopsis thaliana (AT1G11930) TAIR;Acc:AT1G11930] MWVHTRAHMSVKGSLHPKRRRRTIHRTPAAAAETAATAEEEGEGSGAMASVAAAEGAAAALRSVLSRAQQAAARSGRVVAVSKTKPVGVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIANRLDRVVADLGRKPLKVLVQVNTSGEESKFGVDPSGCVELAKHVKLGCPNLVFSGLMTIGMLDYSSTPENFRALANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >OGLUM05G03430.1 pep chromosome:ALNU02000000:5:2832028:2833170:1 gene:OGLUM05G03430 transcript:OGLUM05G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPFSTLWKVDENYVMASAAKVQLGVEACLNGGLHNGGDEGKREGNPSFGDTRNRQEIIEVEL >OGLUM05G03440.1 pep chromosome:ALNU02000000:5:2833731:2834837:1 gene:OGLUM05G03440 transcript:OGLUM05G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAGDGGWSTLPADLLGEVSGRLSYDADVLHIHQVCAHWRASTAPLAAARPWIVAGHETSRIVSAIGEDYSFWLPHGGGQRIIPCFGDAPPAGLPYCCGTPRGWLALADAPRSPTRLVLWEPVSKAEIAMPPLPRAGFAPQIFLSGDPLAAAASPGWMAIASRPFSVAGKWQTLFFWRPGDAAWTPQREPPMDRIDSAAFHGGFFYLTVRYWYLYAYDLRHDAGAPWPPPRVRSTFVYLDAGRACELSRQGRSLRAAHVVAAADGVDLLLAVLYWECRRRSVQMVAKVFRMEWAAAAADLELSPVTDLGEHALLLGRGDALALSAAEFPAIRRNCVYFVEHDNAPHRHWAIAMDLGANASELIPHP >OGLUM05G03450.1 pep chromosome:ALNU02000000:5:2837564:2838529:1 gene:OGLUM05G03450 transcript:OGLUM05G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGVEAQHLSAIFHGVNVNGLDIDMVGRSAKAYGAEMKGKMEGNPSFSDARNRQEIACGGIRMRCLDLTKLEKLSTARREKG >OGLUM05G03460.1 pep chromosome:ALNU02000000:5:2839107:2852021:1 gene:OGLUM05G03460 transcript:OGLUM05G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKYGDGDDDDSEEEQLSPSSSAGEEEEEEVEEEEGEEQQEEQGEEEEGFSGDEEEQEVEGEADGEQVEEEEEEESSGGEEEAEAKGEEEEEEVEEEQGAGEEEEEEVDEEEIEAVTTGAGGDDDDEEVSDDGGAEEESQSTEDDEVAAGKDGGGEDGDELEDATGNAEIGKRERAKLREMQKLKKHKIQEILDAQNKAIDADMNNKGKGRLKYLLQQTEIFAHFAKGNQSTEKKSRGRGRHASKMTEEEEDEEYLKEEEDALDGAGGTRLVSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAIKFLGNPEERNHIRENLLVPGKFDVCVTSFEMAIKEKTALKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDDWFQISGENDQHEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSEMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYKGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAQLDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKLDFKKLVTDNWIEPTSRRERKRNYSESDYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNTQRLNELYEKEVKYLVQANQKKDTVGEGDDEDQLEPLTVEEQEEKEQLLEEGFSTWTRRDFNTFIRACEKYGRNDIKNISSEMEGKTEEEVQRYAKVFQERYKELNDYDRVIKNIEKGEARIYRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMLCMAHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCETLIRLVEKENQEYDERERLARKDKKNMSPAKRSSSRSLDTPPQSSSKRRRQSYTEANAGSGRRRRG >OGLUM05G03470.1 pep chromosome:ALNU02000000:5:2849950:2853202:-1 gene:OGLUM05G03470 transcript:OGLUM05G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPAFCSTLRLAEHAAAEVALNELSKRGPSSSLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTIRSGPGHTPVFTCTVELAGMTFTGNPGKTKKQAQKNAAMAACAPSEPPSSSCPPDHDDDDQEQIIVARTLASLNQTNGGKTPQQKEKQQSSNRPSSRRPSYPKSNASFYGRLHLQKHAYPSVPPEQAMYHMWHQVQATQQKPHFPMVPTTGSTGFPPPPTVLHMYPPPRGQFTMPSSQDGLGLIPCYPEASPVLPRYFSPYPASFVPRRPLPVNVHKIHEKRLVGADMVELPDAAVFSRYTAPDFSGTSENAVQDNKKEEYTESSPASEQESKSHTASSSATRSPSQQLESNQDIEIMGGLRLESKKPAEQPPESSPSRVNPVLLCETGQRHHYSSVRHGDPVHRNSPQISVATSPSPIRRGDPAHINIPQISVATPPECRSPRAQAPPRFGTRMPVNLPSSLYQQRPPWLAASVTIRTTVPVCSARPNVVNSSAGAAQPAVQILSASPRKEEPEARTNTSDTSNAATASSELNKLHI >OGLUM05G03480.1 pep chromosome:ALNU02000000:5:2857745:2863538:-1 gene:OGLUM05G03480 transcript:OGLUM05G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGSRAACAAAAPPWHSLPDEVWEHAFSFLPAAADRGAAAGACSSWLRAERRSRRRLAVANCYAAAPRDAVERFPSVRAAEVKGKPHFADFGLVPPAWGAAAAPWIAAAADGWPLLEELSFKRMVVTDECLEMIAASFRNFQVLRLVSCDGFSTAGLAAIAAGCRVGRHLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGEVNITVLERLVTRCRNLKTLKLNNAIPLDKLASLLHKAPQLVELGTGKFSADYHSDLFAKLEAAFGGCKSLRRLSGAWDAVPDYLPAFYCVCEGLTSLNLSYATVRGPELIKFISRCRNLQQLWVMDLIEDHGLAVVASSCNKLQELRVFPSDPFGAGFLTERGLVDVSASCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCILEPHTPDYITREPLDAGFSAIVESCRGLRRLSISGLLTDLVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCLLTLGACRQLARKMPRLSVEIMNDPGRSCPLDSLPDETPVEKLYVYRTIAGPRSDTPACVQIV >OGLUM05G03490.1 pep chromosome:ALNU02000000:5:2871332:2884174:-1 gene:OGLUM05G03490 transcript:OGLUM05G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase SIM [Source:Projected from Arabidopsis thaliana (AT5G27680) TAIR;Acc:AT5G27680] MEDGAAGGDVSADRVIAELLDMGFEFDEISRAIGAVGTRRADVLEFMLGGSGNGKMKKASRETRSRSCVSSNAEARPSDDGNKLVNPRKRLKQSSITDHLASNTGCGRGSCGEKASTSYPCLAASDDPRAPVAVSADVCSALKPESQFLLANSRSESDLGHKISAVLRKLFGFSCVKGFQKEALDAWFAHKDSLVLAATGSGQPDSRVEGKAMSGMYKIIYVCPETVLRLMEPLKKLAEKPGIALFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSASKLKFLEHDIPLMALTATATIPVRADIIKSLKMSEDTVVVLTSFFRPNLRFRVKHSRTSVSAYKKDFRELVVTYNDSRNFRGKGQKALREINPDSESSSYESPDDAMSDDEDANVDSMSHEDNSFGKTKVGMPLINENAENELDVYPGVDDFDVTCGEFLECSWPENSASSSQCNKTGSSSSEIADQGPTIIYVPTRKQTVELANYLCKTGLKAAAYNAKMPKSHLRQVHQQFHCNALEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLADCTLYCNLLRAPTLLPNKRSKEQTKVAYRMLRDCFHYALNTSICRAKILVKYFGEEFGPDGCRMCDICINGPPQMHDFKEDAVMFMSVLQAQNGQATEDMGCSNIPRYISGRQRFGEVPNFRGVVSHIREKFPRFATTDKVWWEGLARILEGRGYIQEAAEIPRVLIQYPEVTEAGLNFLSMQSEEEGLYEYLDAAMLLVMNDPKPISTSSEWGRGWADPAIRRQRLAGKKMGRRKGKRHSRSHSTGFTTARQRLAEILSKRRR >OGLUM05G03500.1 pep chromosome:ALNU02000000:5:2884238:2884657:-1 gene:OGLUM05G03500 transcript:OGLUM05G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATESRGFFLLFPRAIRNQKRNPRRRGHPNPRGERRRRRRRRATDCGRSILVGSPFLLAAPAIGGGAPL >OGLUM05G03510.1 pep chromosome:ALNU02000000:5:2895951:2898831:1 gene:OGLUM05G03510 transcript:OGLUM05G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MAQIIDGKAVAADIRREVAADVAALSSAHNIVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLPEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTIKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSINDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >OGLUM05G03510.2 pep chromosome:ALNU02000000:5:2895951:2899683:1 gene:OGLUM05G03510 transcript:OGLUM05G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G12290) TAIR;Acc:AT3G12290] MAQIIDGKAVAADIRREVAADVAALSSAHNIVPGLAVVIVGSRKDSQTYVQMKRKACAEVGIRSVDVDLPEDISEAALVAEVHRLNADPAVHGILVQLPLPKHINEEKILNEISLEKDVDGFHPLNIGKLAMKGRDPLFLPCTPKGCMELLTRSGVTIKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSINDPTRKSGYRLVGDVDFAEVSKVAGHLTPVPGGVGPMTVAMLLKNTVDGAKRGIVQ >OGLUM05G03520.1 pep chromosome:ALNU02000000:5:2899026:2902600:-1 gene:OGLUM05G03520 transcript:OGLUM05G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02760) TAIR;Acc:AT3G02760] MAPPAAAAAAAVTLGGKGAALTPAAVYALSHGLADPAIDPSALQRLSTRGPSPQDTPASLRDLALSPPESRAAAAVLLNKLLVTAGDSSTLVTAATATGLAGSLDLAAALPPASRDEAAVAAASAPVAVALAAAIDCCASPLVRVADAVAALSCEAARGDVAAFDVPTSGDGLSAKDEADVAADVKMLLFGSKLVGAAGGADTASFTKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAVQTGEGKEEALVALATQLARPVQAMLKLSVARARLCVARIDDAELRKKLTNGVEIDDLKGMLGKVTIDSDAVSVLRGVYNSLFKFRDILAWEAAVAMAVIEMDSSIEKPQAGGENEAGSSTENQQASGEKPKGDKKSKKKKTLGKGTSAVLMLLRDHVTNGKEVLSVNSALLAEWGTELSLLFDPKCSRLVSLVDKVKEVVETNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGAISLDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISSLKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYETMEPDFEVIKVLTELLDQLDIGTYEIKLNHRKLLDGMLEICGVPPEKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADKIGDLVKTRGPPLEVLLELRKEGSKFMGNAGSVTALNELEILFKALDKANAIGKIVFDLSLARGLDYYTGVIYEAVFKGTTQVGSIAAGGRYDNLVGMFSGKQVPAVGVSLGIERVFAIMEQQETEKNQIRATETEVLVSIIGKDLILAAELVSELWNAGIKAEFKLTTRIQNHLNYAKKSGIPWMVLVGESEISSGKVKLKNLTANQEEEVDRTEFAQVLKQKLRNP >OGLUM05G03530.1 pep chromosome:ALNU02000000:5:2905566:2914159:-1 gene:OGLUM05G03530 transcript:OGLUM05G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUB7] MGLIIFRVIRFNRLSASLNARTQKLHVECVRNTNFGMRHMSVVHIEHAETMEKGKPKPGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDIKFKQALKIRNPKNKLKRIYDACKNRKICAGGDNLDVQEQQGTDDPVKKRGGCGAQQPNITVDGMKMVAEYKAPKKKNDDQEQLPEPVDRKQILSAERVLNVLKHISDEDCLLLGLNPKFARPDWMILQVLPIPPPPDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSIYSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSGWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMENINETISKAKNDVKKLIKQFRDNQLEAEAGRTTMESFENRVNEVLNKARDVAGSSAEKSLSESNNLKAMATAGSKGTFINISQMTACVGQQNVEGKRIPFGFTNRTLPHFTKNDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAIWIESQKLDSLKMKKADFDNVFRYELDDENWKPNYLSTQHAEDLKTISEIRNVFEAEVQKLEADRFQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVDAIDKLQERLKVVPGDDDISIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKNIKTPSLSVHLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDAEFVQSYYEMPDEDIDPDKISPWLLRVELDREVMVDKKLSMADIAEKINHEFDDDLSCIFNDDNAHKLILRVRITNDEAQKGEIQDEYGEDDVFLKKIESNMLTEMALRGIPGINKVFIKEGNVNKFEDNDGFKTEKGWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAVLCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDPLRGVSENIMLGQLAPIGTGGCDLYLNDQMLKQAIELQLPSYVEGLDFGMMTPACSPISGTPYHQGMMSPSYLLSPDIRASPTAADAQFSPYVGGMAFSPVSSPGNYTPSSGGGYSPSPPVCTPGPGSFTSSSPYNPVSPFYSPASPLSCPLTSPSYVPTSLPYSPTSPIYSATSPIYSPSSPIYSPTSLSYSPTSPVYSPTSPVYNPTSSAYSPTSPSYSPTPPSYSYSPTSPSYSPTSPSYSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPSSPSYNPSSVKYTPSHAYSPSSPNYYSSTSPTYSPTSPSYSQPSPSYSPTRILGFYLIIKDAGNFIILEKVPRVEWKKKRMRRLKRKSQKDEAI >OGLUM05G03540.1 pep chromosome:ALNU02000000:5:2916865:2917955:1 gene:OGLUM05G03540 transcript:OGLUM05G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARARRAVAVAVLHLLLLTASQLAAPALSAMAPPAAPLPGSADPRCHASPPRRGAVAVYPSDMAHLQFLLNAKFVEAEWFLHGALGRGIDFIDGALSGGGPPPTGARKATLDFRATEVAAELGYQEVGHIRAITQSMGGFPRPAIDLSDAVFAAVMDDAMATRLDPPFDPYASSVNFLLASYILPHITASAALQASMLAVEAGQEAVIRMMLYERADEVVAPYRGRTVAEFTRRISEWRNAASRCGAKDEGVKVLDRRQGAERRTVSNILGAGDDSLGFARTPAEVLRILYGSGNEQVPGGFLPRGGNGTIARGFFQLA >OGLUM05G03550.1 pep chromosome:ALNU02000000:5:2919317:2919892:1 gene:OGLUM05G03550 transcript:OGLUM05G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAAQEGITHRAVRGDGGDAAATAGGGEAASRDPRKAGRAKRGLRSLAAAVSVSVALMAASFYGSGSSSASASAARVTVARAGSVAAEAVMALAAWMPCVAWAVLLAVINYKMMN >OGLUM05G03560.1 pep chromosome:ALNU02000000:5:2919897:2922172:-1 gene:OGLUM05G03560 transcript:OGLUM05G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGSDAPISNRPEEEVTVEKTPEMEAAAEEERLRYLEFVQQAAAQVLVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHGVPLDLLKFLDRKELMVGESVQELDRRVPPVVKEAPGLARSAAAEVRQAGLVGTATGLAKSAIARAEPRARDLYTRYEPVAERKAAEAWAALNRLPLVPSVTRAVLPAAASLSARYNTAVADGAKRGSAVATYLPLVPTERLSRVFGYPLADAAASPAPEMQPIPSHKTFRKLWLPLQAVFHYIHRRRSNIANTAHTCRRKDRSF >OGLUM05G03570.1 pep chromosome:ALNU02000000:5:2923472:2927485:-1 gene:OGLUM05G03570 transcript:OGLUM05G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTDADAAPVAPVLEEKPPTPPPDGDEVPSAPAAAAAAEQPKVVEEEEVRLEGKGGGFGGQEVEVAGDGEDGGEVEVAEAKDEGGGGEFAGGDAKAASSLSAAAAAAEEEEEEASNGELGEEDAYPASSDAAVGEEKGELGEEPEEKAPALAPEANGATESDVEEKPEEDNEGEEVATGGGDDGELGMEKEVDVSAGAAEAPQPDDKVAPEAEANGDLGDKAEEEASASAAVEVVEESNAPEELLEKAVVSEANGVAAAVELAVEEKLEDNKGEEEEAEAKPEPVVDDTSSETIAPVSAESAVEESTEKEQTVDDTSSEMIAHVSAESAVEESTEKEQTVESQASESVEIVGVEKPTEDESNVDGGASSVVSRELAPEETKENNVGQEDEGVAEVIDREEDADDDEEIVLAAADDEDDGTNEADDDEDGVSSDRWPAQVAIIESSEAAKQIMKELGEGSASVSPVSGLSSSREYTNSMDGQIVLDDSEEDGDDDDNEDDDEKGFDSAALAALLKAATGASADGNVTVSSQDGSRIFSMDRPAGLGSSAPSLRPTAPRPVARSNLFSPSELAVTAEPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARKKAMLLEAEGKEELNFSCNILVLGKIGVGKSATINSIFGEEKSKTDAFSSATKSVREIVGNVDGVQIRIIDTPGLRPNVMDQGSNRKILASVKKYTKRCPPDIVLYVDRLDSLSRDLNDLPLLKTITSVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSPDQGGNEGDSDIDLDDYSDIEQDEDEEEYDQLPPFKPLTKSQLARLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDMDAYGYANIAGENDLDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLALLNKFPANMAVQVTKDKKEFSIHLDSSISAKLGEDASSLAGFDIQTVGRQLAYILRGETKFKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLALVASTGAMRAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALLGLIPVAASIYRSFRPSEPSFAY >OGLUM05G03580.1 pep chromosome:ALNU02000000:5:2933133:2933525:1 gene:OGLUM05G03580 transcript:OGLUM05G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPASGGGGGGGRRLFPCLFCEKKFVKSQALGGHQNAHRKERGAAAAAGCLNPYVFYGAGGAAAAAPATLSLLLQVDNSYTTTSYIDEHGRAAAPPPPNSDHICWTTAGAASRGGAGAGGEVDLELRLF >OGLUM05G03590.1 pep chromosome:ALNU02000000:5:2935039:2935275:-1 gene:OGLUM05G03590 transcript:OGLUM05G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSPEHRREREELHAYLDKVELEFEAFQEEVRREVQETGGYLQTFDEAAHADMEEFMAQAMEEWTGTGLNRVSTLN >OGLUM05G03600.1 pep chromosome:ALNU02000000:5:2935316:2939349:1 gene:OGLUM05G03600 transcript:OGLUM05G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRQLHATRLLRRPGDRLLLIRLLLLALRRRRRVQDRRVVGVGIGIHVLGDVDEVMRIVEGRDCGAENTLKPGDVIQCRECGYRILYKKRTRRSMGMVDRLFNMKRAEDMGASCDISLSKECNGLHFLVASSPGHCCSTSSAFKVMPAVLFCTLP >OGLUM05G03600.2 pep chromosome:ALNU02000000:5:2935316:2939349:1 gene:OGLUM05G03600 transcript:OGLUM05G03600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRQLHATRLLRRPGDRLLLIRLLLLALRRRRRVQDRRVVGVGIGIHVLGDVDEVMRIVEGRDCGAENTLKPGDVIQCRECGYRILYKKRTRRTSSPGHCCSTSSAFKVMPAVLFCTLP >OGLUM05G03610.1 pep chromosome:ALNU02000000:5:2946909:2947319:1 gene:OGLUM05G03610 transcript:OGLUM05G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFKHTGDAAEVGTTTGCAAAAVAGPRRVKLPMPQATIGFILAWRKGPSPNLEEMDDSEFLSPEHRRQREELHAYLDKLDLEFDEFQDEVRREVQETGGYLQTFDEAAHAETEKVMAQAREEWVGIDWAALHRI >OGLUM05G03620.1 pep chromosome:ALNU02000000:5:2950961:2951846:1 gene:OGLUM05G03620 transcript:OGLUM05G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSISSSIVPRCTTELLLGKCPLCGTQLEARTSRTPMNPNNKFVKCPNLEHTPYAYRFFCGKISMRNFWPMAVSGTSDRTWTFNVEAMSSMGIEGFELKGFAAV >OGLUM05G03630.1 pep chromosome:ALNU02000000:5:2952273:2956148:-1 gene:OGLUM05G03630 transcript:OGLUM05G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFFPHRFLRSRHVSFLDSNSLCLCVAAASSQSNLVNCIFIDIQEGICWTCGSNDSQGPMNGLRALWQFILVCNGIDLSF >OGLUM05G03630.2 pep chromosome:ALNU02000000:5:2952487:2956148:-1 gene:OGLUM05G03630 transcript:OGLUM05G03630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFFPHRFLRSRHVSFLDSNSLCLCVAAASSQSNLVNCIFIDIQEGICWTCGSNDSQGPMNGLRSTPTRSGASVVTQTSPITGSRDRALNLDVAAPASNQEKNTRKARCSLQMNSILAFVYVGKKAAAGCKHAPLT >OGLUM05G03630.3 pep chromosome:ALNU02000000:5:2952487:2956148:-1 gene:OGLUM05G03630 transcript:OGLUM05G03630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISQLFFPHRFLRSRHVSFLDSNSLCLCVAAASSQSNLNRIRMKICEMSHSFHVRLTSIQEGICWTCGSNDSQGPMNGLRSTPTRSGASVVTQTSPITGSRDRALNLDVAAPASNQEKNTRKARCSLQMNSILAFVYVGKKAAAGCKHAPLT >OGLUM05G03640.1 pep chromosome:ALNU02000000:5:2961051:2962060:1 gene:OGLUM05G03640 transcript:OGLUM05G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGDGEFAFDLNETPLEHGNGRKDMTEEVRKQVYQALLARSKNGKLGKKDTRVVADHCVILPFLWHFFGIILPGSELTFALLVKHLEHNASGGGGVERERARPRDASDESGAGEHDASGGGGECDESSGGERDESAAGERDASGGESDKSRAGEHDASGGGERERRG >OGLUM05G03650.1 pep chromosome:ALNU02000000:5:2962754:2965710:1 gene:OGLUM05G03650 transcript:OGLUM05G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGVRGGGGGASGSGGGGGERWRWILFFAMVSVFFLLSLLLLLFSSSPPRLRLPGPAAAAPSLADDLRCGHGAPPCLAYLLVGARGDGARLLRLLLAVYHPRNRYVLHLSADASDSERRDLAAWVAAATPAVGAFRNVAVVGAPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFITLNAADYPVVTQDGMVREGSIDDSGCRNLLGREDQLFPSFRETANA >OGLUM05G03660.1 pep chromosome:ALNU02000000:5:2967984:2969138:1 gene:OGLUM05G03660 transcript:OGLUM05G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUD3] MRIARILPMAASSSSSKRRAMGMAASDQGDTSAHAMKKPRVRVAPDSSASEDDTDEDHGHDEGDGGEEEEEEEEPDGDGEEESQSYQDPLESDGDGVDEEASAGDMAASEPAAPSTRAAVAGVTVEDADALECGVCFLPLRPPIFQCEVGHAVCAPCRDTLAPAGRCYVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCAARPAYHDVEAHRLACPHGPCHCPGERCGFVGSTAALLDHFAATHNWPCTTNVRAREVFDVRLHDGFNFLVVGGASRHHLVMMNMTREPLGRAITVLRIHPHATGRIQCELSLSHHVVLGDSWGLYRSHYQKSVFDVGCSDLADGLPDAKQCFQFVVPRCVAGDDDEGGTGIRINVLITVD >OGLUM05G03670.1 pep chromosome:ALNU02000000:5:2970537:2981206:1 gene:OGLUM05G03670 transcript:OGLUM05G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUD4] MPPPPPPPPPPRPFSRKPSEPAAPSTRAVVAVAGVTVEDADALECGVCFLPLRPPIFQCEVGHVVCSPCRDKLAPAGRCHVCRVAVAGGEYRRCYALERLVDAIRVACPHAAHGCGATPAYHALDAHRRACPHAPCHCPGERCGFVGSTAALVDHFAAAHRWPCAWASEAVSVLLRDGLNFLRVVDLRRPGDASHHRLVMLNVTREALGRAISVLCIHPLAAAAAAAKTMQCELELFVPLNGDDGVDGGQLRRRHYQKSEFPLGCGDLADHKTTFKFVVPRCVVGDDDEGGIRIRDKVSESWPCTTNVRAGETVSVHLRDGLAFLRVHHHRRGGSATYSDHLIMLNVTREPYGRVVSVLCIRPHAAAEHQVSSPPPPAMQCELLLVSRFGYDGDGGHCRSHYQKSEFLIGCSDLADGLPDREQNFQFMVPRCVVGDDDEGGIQIHRIRHDPKLTERKQWKQPTTLQRKMQRSMASSSHPSRRAMSEEEEDDNGGGEEEESQRETAVVEEEEEESTGVHVGEAEMAASEEQAPPSSSRRAFVTVADADALECGVCRLPLRPPVFQCEDGHVVCSPCRDKLAAAAAVRCHVCGGGGYRRCHAFERLVDAIRVACPHAAHGCAARVAYHGLDAHRRACPHAPCHCPGERCGFVGSTAALVDHFAAAHRWPCAWASEAVSVLLRDGLNFLRVVDLRRPGDASHHRLVMLNVTREALGRAISVLCIHPLAAAAAAAKTMQCELELFVPLNGDDGVDGGQLRRRHYQKSEFPLGCGDLADHKTTFKFVVPRCVVGDDDEGGIRIRDKVSESRRVMAALDHEGDTMARKKRRVYVAIEEIESHGHEEDDDGGEEVEEEDEQSHGEADGDGDDAAAAMEESDGHDEEGDNGGDEPDQSPDGDDMEEEEERGGGGGGGVHGGEAEVETFRHSEQASSARPVVAVAGVTVEDADALECGVCCLPLRPPIFQCEVGHVVCAPCRDKLAPAGRCHVCRAAVAGGEYRRCHALERLVDAIRVACPHAAHGCAARPAYHDVEAHRLACPHGPCHCPGERCGFVGSTAALVDHFAAAHRWPCAWASEAVSVLLRDGLNFLRVVDLRRPGDASHHRLVMLNVTREALGRAISVLCIHPLAAAAAAAKTMQCELELFVPLNGDDGVDGGQLRRRHYQKSEFPLGCGDLADHKTTFKFVVPRCVVGDDDEGGIRIRPLGRAISVLCIHPHAAPAAEMQCELRLHVSRPADDAGGGLCISHYQKSVFHIGYSDLADGVPDRRRRFQFVVPRHVVGGDNEDGVQIRVRIKY >OGLUM05G03680.1 pep chromosome:ALNU02000000:5:2984989:2991171:1 gene:OGLUM05G03680 transcript:OGLUM05G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MKGVDDAFLGVGDKPGLDIWCIMGSNLIAIEKSLHGKFYTGNTYIILSTVELKSGVRQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPRHFISCNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIIRYSYIEDGKDYHLFFAWSGLNSINEDRVAAASLMSGMIDSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSMAYKNFVSQRSDANGWYQKNGVALFRVQGLKHDCIRTIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNLLDRMMDKLCPLKQSLLVREGSEPDRFWEALGGRSEYSKEKQVKDWPADPHLYTCHFEQGLFKAKEVFSFSQDDLVTEEILVLDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIDTTMYIVTEGDEPRFFTSFFNWDYSKQTEAGNPERNFTKAGDTGEKPTQIIIVFAAEKVAGDIVVGADHSGAASGGEDVRLGLYREATQGEITGRAVTVAVDAFAVSEKPLLRLLVAGVVELDAVHGGAAALPALAARVGGGGCHRDPSPAVSFS >OGLUM05G03680.2 pep chromosome:ALNU02000000:5:2984989:2991171:1 gene:OGLUM05G03680 transcript:OGLUM05G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-like 1 [Source:Projected from Arabidopsis thaliana (AT2G29890) TAIR;Acc:AT2G29890] MKGVDDAFLGVGDKPGLDIWCIMGSNLIAIEKSLHGKFYTGNTYIILSTVELKSGVRQHNVHYWVGEEAKEEDCLTASDKAIELDVALGSNTVQYRETQGEESDKFLSYFKPCIIPIQGSLSSHMRISGDKSKDTTMFRCEGEHVARVTEVPFSRSSLDHKAVFVVDTESKIFLFSGCNSSMQTRAKALDVVKHLKENRHCGRCEIATIEDGKLVGDSDAGDFWNLFGGYAPIPRDVQDTVMTELMTTSSKKLFWINKRNLVPVETNLLEREMLNSDRNYILDCGTEVFLWMGMTTLVSERRTSVTALEDYVRCEGRQSNARSVILTEGHETVEFKMHFQHWPKNAVPKLYEAGREKVAAIFKHQGYDVTEIPEDKPRHFISCNGSLKVWLVDNGSVTLLCTEEQEQLYNGDCYIIRYSYIEDGKDYHLFFAWSGLNSINEDRVAAASLMSGMIDSVKGHAVVAQVFEGREPEMFFLVFKSLIIFKGGRSMAYKNFVSQRSDANGWYQKNGVALFRVQGLKHDCIRTIQVDLAASSLNSSHCYILQAGGSFFTWLGSLSSPSDHNLLDRMMDKLCPLKQSLLVREGSEPDRFWEALGGRSEYSKEKQAKEVFSFSQDDLVTEEILVLDCVEELHIWVGHQSGVLSKEQALDIGKMFLQAGIHQDGRRPIDTTMYIVTEGDEPRFFTSFFNWDYSKQTEAGNPERNFTKAGDTGEKPTQIIIVFAAEKVAGDIVVGADHSGAASGGEDVRLGLYREATQGEITGRAVTVAVDAFAVSEKPLLRLLVAGVVELDAVHGGAAALPALAARVGGGGCHRDPSPAVSFS >OGLUM05G03690.1 pep chromosome:ALNU02000000:5:2992018:2996051:1 gene:OGLUM05G03690 transcript:OGLUM05G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAATAAAAAQLHHLDLVRFEAAEGDEKRHGDHGLVVYTAPKTTVMCVDGAVVDKTDAAALVVVDRSSLHPGMEVTSASDPAGQIGVVTAVSTAVDLVEHRADGDYGDAEAAPAARGLSPSGLRRVTEFSLGDYVVCSGGGDQWLGRVVEVCVAVDVVFDDGAACRITGDRAQDRVIEVEVAANTYRRRGMNGAFYPGQRVTGHHVLASPSIAFKDARWLRGYWKLTRLEGTVAKVAMTGVLVYWIASAQLGTSKSLINASSPPAFQDPGDLTLFCSDDECPWAFGDRCFIATPPRHRRRRRQPRVPTDDDKQEASPAAATTSSSTTTTNQDAAAAAPPVEKKENTYRNQLRKFFYKRDLRATRWGARARAVDKVMLVSGTRTTADVLWQDGTLRRGVPSLELVPFDILNDHEFFPGQHVVVDTMAAAEATTAAARRVGVVRSVDPKDQTVRVSWLDGGDGGGEETVASAYDLRKYSRHDVFYGDVVVRLLPPPPESADAAGEGAAPPAQGTKAAAADLSWVGRVVDVRDGHVQVRWGNGETSTAVHSEVRGVDMRSFWALEHEVGPWLAEGRDRAAAALAQPPPPPPPPPPPPAAGNNNNNVANAGAAGNTAGPAAAAPAPSRTLIVRVSAAVRKVFDAASQLVALGKSYLVTVSSSSSISVAAATATGNAEAPPPAGPTAGGDVNVEPAPAVPAAVAVNGVAGEDAAAPAPDAAALPSSSDAGGGDGDGDGDGDGGDSAGDGGGKEKVEDDSLGVAHFDVVQCPPDHHFLDCKLEGAAHGNKWVKRVQKEWQILGNDNLPGTIYVRAFEDRMDLLRAAMVGAAGSPYHDGLFLFDLHLPATYPAAPPEVYYHSFGLRVNPNLYPSGTVCLSLLNTFDGEGVEVWSPARSTLLQVLVSIQGLVLTADPYYNEAGYDAYAGTPGGRRNAASYAENACLLTLRSALHLLRRPPRGFEGVVGAHFRRRGAHVLAACESYLRGTRVAGDGGDGGGGERTCSAGFRLALRNVVPVLAAAFAEIGVEGCERFGDGELGQCSLTAIDDSAASADASD >OGLUM05G03700.1 pep chromosome:ALNU02000000:5:2996898:3001826:1 gene:OGLUM05G03700 transcript:OGLUM05G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDSELEAYGSDTYALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDQPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKGKRSKDELQEARKLVIDSLQRLPTDIRSQAQIGIKRIGELDLKVFSDACRRRFSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIKLYKATKRRR >OGLUM05G03700.2 pep chromosome:ALNU02000000:5:2996898:3001713:1 gene:OGLUM05G03700 transcript:OGLUM05G03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTSDEDSELEAYGSDTYALLEAGDIKVMNDKNMYQCPFCSDEKNDYNAHGLLQHALAVGSADDQPAKEKANHRALALHLKDDTAESSRPLSQPPQHSKNDLFVWPWMGIIVNMPAEYVGKSANRLKEHFSCYHPSKVYSVYSKGRPSGNAIIEFGKDWSSFRNALQFESEFEKKGCGKKAWLDSGRGGPEPFGWIARADDYNSSGPIGEHLKRNGDLKTVSDVENEGTVKTDKLMASLACQVKEKEIHLEKLQSVYDERYLSLGKMMESRENMLKSCNTEIQKMQQLAYEQAQRTVDENQKLRLNLQSMMHELDANCKRLEELTAQADSDKRNLEVLMQKNAKKADHLRLAELEQQKADGNVLRLVEEHNREKEATLNSNMRLHEQLDRKQKLELEIAHLKGKLQVTKHTQGTEDSDLEKTIEALTNELEEKIEDMNYMDNMNQTLILKGKRSKDELQEARKLVIDSLQRLPTDIRSQAQIGIKRIGELDLKVFSDACRRRFSEDFAEVESSVLCSKWQNEIKDPEWHPFKSVWVNGKESKVIREDDEKLQQLKEEYGQEVYDAVTNALFELDMHSIGGRDPFLELWNYEEGRKAGTREVIQQVIKLYKATKRRR >OGLUM05G03710.1 pep chromosome:ALNU02000000:5:3004096:3008559:-1 gene:OGLUM05G03710 transcript:OGLUM05G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAGGGGAAAAANAKKKKKMGEEKLIIMSEKVRFIDILSLLLLRRPITSYHFVDAGDATAAAAGELGSTPGEWLVALTEIIQKALAAAYYPAKYLGAAVEFFLNFVSLNGGVIGILWNIVRFKLVIPLNREAPNFRSMIAMIDGRTELKPMKPAATAGVEDDDLESGGCAAAGVPLIRRHLVDGEHLLAEQYSISEVTVMASKIAYENAAYIENVVNNVWKFNFVGFYSCWNRSTNLVVAGNGGCGAEFIGSETTQAFVMTERATDAAAIVVAFRGTEPFNMQDWSTDVNLSWLGMAAMGHVHVGFLKALGLQEVDAKDAARAFPREPPAAAALVGRSFAYYKLRDVLRDQLRRHPNARVVVTGHSLGGALAAAFPALLAFHGEADVVSRIAAVHTYGQPRVGDATFAGFLAANAATPVAFQRVVYRYDIVPRVPFDVPPVADFRHGGTCVYYDGWYAGRTLAAGEDAPNKNYFNPKYIVSMYGNAWGDLFKAMFLWAKEGKDYREGPVSIVYRAAGLLFPGLASHSPRDYVDAIRLGHVAPKEA >OGLUM05G03720.1 pep chromosome:ALNU02000000:5:3019009:3022256:1 gene:OGLUM05G03720 transcript:OGLUM05G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHGLPWQSPAVEWWDATSLAGALKAAANRRSAKLVMPLHAVLLKLGLSASAILATSLAHLALRCGLPGYARGVFDEMPHRDVVSWTSLITGHAHQGLYQDSLALLRRMVISGVVPNGYSLSGALLACAGIGPGALAAGKEIHARVVKMSLHGSVDAVVENGVLDMYTRCGKIDYARKLFGVMLVRDIVAWNSMMAGCLRSGQAEEALGLFSSMVSSGVDADGFSFAISVDACGELALLKQGMQAHARVIRGGFDSDVVVRNSLVDMYAKCGCVDSAGLVFRDALSSDAVLWTTMISAYGKFGRVHDAICMFDRMSQLGIKRDDVAYLAVLSACSHSGLVKEGWNYFKLMFHGQNSVKMQPEHYGCMADLLCRSGYLEEALDFITNMPFESSIAAWSALLNSCRIHGNAKLGQLAASRLVQLDPENHSNWVALSNVHASESDWHETWMIRESMSIECVKKEPGCSWVELHDGVHVFLMADQSQPELVDVTWKVTFIFIKFIQLQNNRPKDLNILSIQLC >OGLUM05G03740.1 pep chromosome:ALNU02000000:5:3033569:3034491:1 gene:OGLUM05G03740 transcript:OGLUM05G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPVIMLQRFYDPNVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKQGEVIESDIVCAVQLVNAHKFISSLHQKMCHLKKQNAGIWNHGRGAWSSAINLRLCMLDGVGSKSLAHAKRCDSLAHD >OGLUM05G03750.1 pep chromosome:ALNU02000000:5:3097100:3097992:-1 gene:OGLUM05G03750 transcript:OGLUM05G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVARSTKANAGDMATMRVVAQFLGADRALGWGRASPDPCDGSWLGITCDASGYVVYIIANNSGLTGHLPRETCNLSMLAAIYLNNNSLSGDVPPLGPNLMEISLSYNRFMSISPEFFKDMEIVFSLSAVNANIIGPIPEFVGDHFKYLGTLKLQGNSLFGHIPTSFASTRIRSVQLQDQRGLDKLSGPIFMLNATNIVYLDLHGNQFTWPIPNLASNIEMEYIDLSKNALTGDVPQSLMQLHHLRVLNLSDNSLCGQLPKFIKNMTVKIEGNHKIGHPC >OGLUM05G03760.1 pep chromosome:ALNU02000000:5:3129953:3132266:1 gene:OGLUM05G03760 transcript:OGLUM05G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLDQAVAVAAAPRANKRAKVAAEEEEYDEPCRSPPAPAAKKKVARLTVWCKSLVFHGEGYAVFDDADGRMVFRVDSYGAGRRRVALMDHAGRVLLTVVRRHRRHCRVLLMSLTPETWEVYKGDVGDGDGAATCSQDEPPRLVMRATKDLGNPSCTVSMLAAGAGAGNGDEAACGHGVYRMSWSRREEWSRIKYYSNSAQNDAPNRYRGEKEERRAEQDDAAGEGRVVTDGAARDGPGRRHGHAHDLQLLPLTDRLTG >OGLUM05G03770.1 pep chromosome:ALNU02000000:5:3133018:3139063:-1 gene:OGLUM05G03770 transcript:OGLUM05G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TUBULIN COMPLEX PROTEIN 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) TAIR;Acc:AT3G53760] MLHELLLALLSFTGDFVLDAASSPSATRRRRPVPPEAAGGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDEENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTMAANHLWQCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >OGLUM05G03770.2 pep chromosome:ALNU02000000:5:3133018:3139063:-1 gene:OGLUM05G03770 transcript:OGLUM05G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAMMA-TUBULIN COMPLEX PROTEIN 4 [Source:Projected from Arabidopsis thaliana (AT3G53760) TAIR;Acc:AT3G53760] MLHELLLALLSFTGDFVLDAASSPSATRRRRPVPPEAAGGGDVGPASFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWINSPIDVSPTHGEKTVKAKVRKGSAYRRAIANGIAEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLHELVMEIEQKDIKGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYNEFFIRRQEDRDEENDSSQVDVADKFTQKSAKETSLTSWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQESMNQSQNVKGSYRMQSLIGGSGALKELPNFPNISAEELLPQAEADKIDAMLKELKCFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGDEDKYFARVSLRMSSFGIKASTSQKDLQKSSTPEISSQGKATSELALDGWDSIALEYSVDWPLQLFFTPDVVSKYRKVFQYLIRLKRTQMELEKSWAAVMHQDHADFSDYCKDRKNGDATQLRRQRSKPFWRVREHMAFLIRNLQFYIQVDVIESQWNVLQAHVQDSHDFTELVTFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIEQYENRRNMLEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNYNSFFETTARGVMNSAGGRLRPSTAGTQL >OGLUM05G03780.1 pep chromosome:ALNU02000000:5:3141159:3146657:-1 gene:OGLUM05G03780 transcript:OGLUM05G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAREEEEEKAAAAAAAVSCSICLDAVVAAGGERSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRPTQDVNMDEWAHDEDLYDVSYSEMPFRFHWCPFGLHDFMGQHMFTEHVAAVSAAPGAAHPCPYVAYLHPLPSLASSSSSHVPERTMDGPAYHDPWHPLAGPSDGRPLQSVQPADFHHNHWAHVPNSYPQPNNNNGVAEQQGVPFGTTRAARVDGDTQRRGSSISPSYFSNGSGSRSRAPNVPPMVPQFMRAHGSISEQYQQSSSSSLFAGAHRSGGMRTAPPPPLPENPAFCLFPPGSSGHNSMETDDAGGNRFYAWERDRFAPYPLMPVDCETNWWSSQQSHGTSEPAPAPRRLFGQWIGVGRSSPENRSPEGSSYRQMHTPRM >OGLUM05G03790.1 pep chromosome:ALNU02000000:5:3153738:3164649:1 gene:OGLUM05G03790 transcript:OGLUM05G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSASTAGGGVDPRGGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGSCEHTLNTLRYADRVKSLSKGGNTRKEQPAGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAENFASNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPASASASQSSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHRAPGHRSATLAFWLVRAPIGALTAVSCEALPLLGSCNAQHKRKSGERRERERESSRARAARREEMSGEAAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >OGLUM05G03790.2 pep chromosome:ALNU02000000:5:3153738:3164649:1 gene:OGLUM05G03790 transcript:OGLUM05G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGDAVMARWLQSAGLQHLAASSASTAGGGVDPRGGGGGVGVGALGGGAGGGSLLPSLLMQGYGPQSIEEKQRLYMLLRSLNFNGETAPPSISEPYTPTAQSFGGGNSLEGFYSPELRGELGAGLLDLHAMDDTELLSEDVASEPFEPSPFIPKEMDEDDDDMLPGSQPGPSDNYNAVANEKESTARENNVAKIKVVVRKRPLNRKEVSRKEEDIITVHDSSSLTVYEPKLKVDLTAYVEKHEFCFDAVLDEQVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLHQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIIVTDTRRQRDRDANESKNTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQPAGPTIPSSKDSSSAPSYPMPIETEEIANQIQEKRPVETSRKAENFASNSSMEPDRNPVSMIPSYSNRGKEENGSSGLNDRERVDLNSSRISYNSKPQSVQSSANLQEEEKVTKVSPPRRKAYRDDKPERQSNYAKKDSGPETSRPGYKVQQAKQLQQQQRPASASASQSSSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSHRAPGHRSATLAFWLVRAPIGALTAVSCEALPLLGSCNAQHKRKSGERRERERESSRARAARREEMSGEAAAAVGGGAPEENGAPPNVTIYINNLNEKIKLEELKKSLRAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEALKSMQDFPFHNKPMRIQYAKTKSDIIAKADGTFVPRERRKRNDEKPEKKQKREQHHDVSQVGLGVNAYPGVYGAPPLSQLPFAGAQKVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEGQATAAMNHLQGFKITKDNQMLISYAKK >OGLUM05G03800.1 pep chromosome:ALNU02000000:5:3165427:3167021:-1 gene:OGLUM05G03800 transcript:OGLUM05G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 28 [Source:Projected from Arabidopsis thaliana (AT3G52860) UniProtKB/Swiss-Prot;Acc:Q9LFA5] MAEPPAQTPPPPPQAAQQQQQQGPGESARDDMMACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDRPSTEEMLRKDIAIMEEELKTKSELIDKHKKLIEGWQKELKDQLGKHVTELERV >OGLUM05G03810.1 pep chromosome:ALNU02000000:5:3171536:3177794:-1 gene:OGLUM05G03810 transcript:OGLUM05G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGRTEMEVRPGGVALITISNPPVNALSIHVLYSLKDHHEEALRRNDVKAIVVTGKGGVFSGGLDINTFGAIQRNKAEQLKVDYVSIDVMTNTLEAAGKPSVAAINGPALGGGLEISMVCQARISIPTAQLGLPELQLGVIPAFGGTQRLPRLVGLTKALEMMLMSKPIKAEEAHQLALIDAIVSPNDLLNTACRWALDISESRRPWVHTLSRTDKLESPDEAREILKFARAQVQKQAANLRHPLVCIDVIEEGIVSGPQAGLRKEAIAFQDLVFSDTCKSLVHVFFSQRATSKVPGITDLGLMPRKVSKVAIVGGGLMGSGIATALMLSNYPVVLKEVNDKFLDAGIDRIKANLQSRVRKGKMTKEIYEKTLSLLTGVVDYERFKDVDLVIEESNTSNCYLAIYFIEQYWMAVVENVKVKQQVFADLERYCPSHCVLATNTSTIDLDLIGEKTNSQDRIAGAHFFSPAHVMPLLEIVRSNHTSPQVVVDLLDVGKKIKKTPVVVGNCTGFAVNRMFSPYTSIALLLVDRGMDVYKIDQVCTEFGMPMGPFRLLDLVGFGVALASGMQYLENSPGSVDKSMLIPLMFEDKRTGEASQKGFYKYEGNRKAIPDPDIFKYVEKSRRMAGTVPDLELLKLDDKEIVEMVFFPVINEACQVLSGGIANKASDLDIASIFGMGFPPYRGGIVYWADSIGAKRIHARLSEWEMKHGQLFRPCSYLSERAAEGVPLSSTAKNNAKARM >OGLUM05G03820.1 pep chromosome:ALNU02000000:5:3180163:3183897:1 gene:OGLUM05G03820 transcript:OGLUM05G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSDDVYLKLLVKLYRFLVRRTKSNFNAVILKRLFMSKTNRPPLSLRRLAKFMEGKEENNIAVIVGTVTDDKRIQEIPKMKVTALRFTETARARIVNAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >OGLUM05G03830.1 pep chromosome:ALNU02000000:5:3183635:3186288:-1 gene:OGLUM05G03830 transcript:OGLUM05G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSCDCIEPLWQADDLLVKYQYISDFFIALAYFSIPLELIYFVKKSAFFPYRWVLIQFGAFIVLCGATHLINLWTFAIYTKTIAVVLTVAKAATAVVSCITALMLVHIIPDLLNVKLRERFLKDKADELDREMVIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVELGRTLALAECALWMPTRSGSALQLSHTIYNSAAIGSVVPINLPIVSKVFNSNRVVKIPHTSPLASITADKSRYVPPEVVAIRVPLLHLTNFQINDWPELSAKSFAVMVLMLPPDSAREWRPHERELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIVSLSSLLLETNLSAEQCLMVETILKSSDLLATLTNDVLDVSKLENGSLELEIAPFNLHSTFTDVVNLIKPVAACKRLSVMVTLAPELPLHAIGDQKRLMQIILNVAGNSIKFTKEGHVSITASMARPDALRGPHEPDYHPVVSDGFFYLAVQVKDTGCGISPQDMPHTFRKFAHPENAGKWNSGSGLGLALSRRFVSLMEGNIWLESEGVGKGCTAMFFVKLGMPEKPNANLRRMAPHPLQPNQGAGGPDALSISIMDSNPRVPWVRYQSSV >OGLUM05G03840.1 pep chromosome:ALNU02000000:5:3195289:3201657:1 gene:OGLUM05G03840 transcript:OGLUM05G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRVAALASQLLRRQLLTRRPPPRFLSSAAAAASGPLDRLRSPPFARTAARHGSPALSPWSRFGGQKRGMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQSLFLDSSTAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDGDEEAELTGQLE >OGLUM05G03850.1 pep chromosome:ALNU02000000:5:3200053:3201306:-1 gene:OGLUM05G03850 transcript:OGLUM05G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDQKVIGIAAAAAAAAAAEEEEAGRRCCVECGATTTPMWRGGPTGRRSLCNACGIRRGGKS >OGLUM05G03860.1 pep chromosome:ALNU02000000:5:3203969:3209678:-1 gene:OGLUM05G03860 transcript:OGLUM05G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUF7] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNVPSDH >OGLUM05G03860.2 pep chromosome:ALNU02000000:5:3205607:3209678:-1 gene:OGLUM05G03860 transcript:OGLUM05G03860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUF7] MSLRPSERAEVRRSRYKVAVDADEGRRRREDNMVEIRKSRREESLLKKRRDGLPAAAAAAAAASPLLAHSSALQQKLEGLPAMVQAVQSDDSAVQLEATTQFRKLLSIERSPPIEEVINTGVVPRFIAFLQREDYPQLQFEAAWALTNIASGTSDNTKVVVESGAVPIFVKLLSSPSEDVREQAVWALGNVAGDSPKCRDLVLASGGLYPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFEQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDMQTQCVIDHQALPCLLNLLTNNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTHDQIKYLVAQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNAYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNAQNGFNFGNQQPNIPIWNDVPLFLSYLLGASQSWGSLVVGVLVGSWRSGLRQS >OGLUM05G03870.1 pep chromosome:ALNU02000000:5:3211052:3216764:1 gene:OGLUM05G03870 transcript:OGLUM05G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADEDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKKTPNKTVATKKAAIRAIKLFILGVILQGGYIHGRHNLTYGIDLDHIRWLGVLQRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVILEVIFWCLAACFLHMKGLYLKL >OGLUM05G03870.2 pep chromosome:ALNU02000000:5:3211052:3216764:1 gene:OGLUM05G03870 transcript:OGLUM05G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVVAIAGDGDADAGHRRPLLASADEDDEIRPYPASSPSPQHPAGAERKPRRVASLDVFRGLTVAMMILVDDAGGAWPGMNHSPWLGVTVADFVMPAFLFIIGVSAALVFKRIAIGYFLAAISEIWLVNNISVDSAISFVKKYFMEWIVAVMISALYVGLLLGLYVSNWEFKVQTSNSILTIPTPGNEIGMKMIQCGVRGSLGPPCNAVGFVDRVLLGENHLYKNPVYKRTKECSVNSPDYGPLPPNAPDWCLAPFDPEGSFTSNAIMATSFHCVNSLRISTSVISKPLYTVSYMLLTGGVSGFLLLLLYYIVDVINIKKPFILFQWMGMNALIVYVLAACEIFPTLVQGFYWRSPENNLVDLTESLLQTIFHSKRWGTLAFVILEVIFWCLAACFLHMKGLYLKL >OGLUM05G03880.1 pep chromosome:ALNU02000000:5:3221550:3222950:1 gene:OGLUM05G03880 transcript:OGLUM05G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTMSSVRRGAAELVAPARATPHEFKPLSDIDDQEGLRFYRSGLFLYRRRAAMDGVDPAAVLRAALAEALVHYYPLAGRIVEASPGRKLLVECTGEGAVFVAAESGVAMDELGEVTGPPVPRHEELLCAADGAYADGGVVGRPLLYFQVTRMRCGGFVWGLQICHCLADAAGVAQFMTAVGEFARGVPGAPTVKPVWARELLSARRPPLPRDVAARRHPEYEAVPDAGRDKVSPSDELVHRPFFFGHREIAALRALAPPSLASRSSRFDLIAAFTWRCRANALQYDAADAVRVQFVVNARGGGRGRRSNAPPLLPDGYYGNAFAFAVAESPAGELRRRPFAHALRLVVDAKARAMEEGHLQSVADLMAARGRPRFAVARTYVVSDLTRSGLDGVDVGWGAPAYGGPATATLATFHVAGRRSGDGEEGVEVPIRLPAPAMERMVVEVARELGGVDAHTEACLAARL >OGLUM05G03890.1 pep chromosome:ALNU02000000:5:3229552:3230279:1 gene:OGLUM05G03890 transcript:OGLUM05G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKMEGTQRGVGLFGAGDAALLWPAQGSGGGATQVASADVVTAATELMDGGTMRRARARELAVRARATTVMWVQGGIAGAMPVGFGVVPSPPFPIIVVRVAWGYTP >OGLUM05G03900.1 pep chromosome:ALNU02000000:5:3231029:3234279:1 gene:OGLUM05G03900 transcript:OGLUM05G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHFAFRAVNRPAQLQMILSFFWSKKKKRKQTKKRPKLLLLLRLSLFCSSFHSQTLQEEEVASQSPLAIPTWPRMVGGGGGGEAAMSPPSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLVDNIMESPARSENTSYFRDEIISQYSPMSEDSDDYRCYDTQLPNGSQTDAMVSPSTSPMSSPHRFQKPQSGLLSANPYPLPSCSLSSVVCSNPRRGSENEGRFPSSPNDMCHGGDLRKTALLRSVQMRVQGPHAYELSFCGRQEQEHAHDHEDEHQHKHLEGLEGAERSSSHRETISDGVGYQMPENSYGRPEHDIDYIEDCTPHGCLSDLKFKQEDKDCSKLTSMDKNR >OGLUM05G03910.1 pep chromosome:ALNU02000000:5:3243028:3248963:1 gene:OGLUM05G03910 transcript:OGLUM05G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT3G62600) TAIR;Acc:AT3G62600] MAAPRWIGPLLLLLLHFVAAVAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEANKRFAEINNAYEILTDQEKRKIYDRYGEEGLKQFQAQGGRGGGGGMNIQDIFSSFFGGGGGGMEEEEEQIIKGDDVIVELDASLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHRQIGPGMYQQMTEQVCDQCANVKYVREGDFLTVDIEKGMQDGQEVSFFEEGEPKIDGEPGDLKFRIRTAPHERFRREGNDLHTTVTISLLQALVGFEKTIKHLDNHMVEIGTKGITKPKEVRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKTKLKSILT >OGLUM05G03920.1 pep chromosome:ALNU02000000:5:3248256:3252320:-1 gene:OGLUM05G03920 transcript:OGLUM05G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUG4] MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHYIPRALLVDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLANPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARNKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFEDNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDAGEANVAAALDSKSYKNATLIA >OGLUM05G03930.1 pep chromosome:ALNU02000000:5:3253765:3259743:-1 gene:OGLUM05G03930 transcript:OGLUM05G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUG5] MNSAAAAAACASAPAWVVGGGAGGGARSARGPCGIRVCGLRGEAVALRSLRISQGAAVRRAAVAARAAAENGVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILSGFGAIVGKQKVRYGKVGFPDKEITARNIIIATGSVPFVPKGIEVDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRNIDYHTGVFASKITPAKDGKPVQIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFIPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEISVVKTSFKANTKALAENEGDGLAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRLQDIKFAVHAHPTLSEVLDELFKAAKPREGPERKPKHPPQPLLKVLSFVTRLLTSQKRNRQP >OGLUM05G03940.1 pep chromosome:ALNU02000000:5:3262559:3274635:1 gene:OGLUM05G03940 transcript:OGLUM05G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEETIISWRDPEKALELALSFQEAAGCSYIWESVCTIQRNLQFNVLDVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYRGAIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPYKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >OGLUM05G03940.2 pep chromosome:ALNU02000000:5:3262559:3274633:1 gene:OGLUM05G03940 transcript:OGLUM05G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYRGAIDVFHEKHLDKLIDVIALASSPMDITQSTSSPVGVGTRVENHSVKTEILSNICELLCFCVVHHPYKIKVNFLRSNSVEKILTLTHRREKVLVVAAVRFMRTVIARNDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >OGLUM05G03940.3 pep chromosome:ALNU02000000:5:3262559:3274635:1 gene:OGLUM05G03940 transcript:OGLUM05G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEETIISWRDPEKALELALSFQEAAGCSYIWESVCTIQRNLQFNVLDVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >OGLUM05G03940.4 pep chromosome:ALNU02000000:5:3262559:3274635:1 gene:OGLUM05G03940 transcript:OGLUM05G03940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQGPRRKQAGPDDAVQTSMQRVKVYRLTDDGKWDDQGTGHVTIDYIEGSREIALTVVDEEDSDTLLLHHITSDDIYRKQEVQEAAPPAAFESLEASRNPPFHDESLSSTSRELKKLPPLDLSSLSSILKTILECSMTERMRVSVAELILQYHDFFPQLVNLFRTCESSGNMDALHMIFRLVKGIILLNSSAIYDKIFSDDFILEIIGALEYDPEARTVQNHRTFLKEHVVFKEAIPIKNASVVSKIHQTYRIGYIKDVILPRVLDDSTMASIAAIIHANNAAVVCLLKDDASFVKELFAKMRSSNISAESKRELSQDKVLVSAGTDILVYFLNQDPNLLRSYIARQENSQEGNSLLGLLVQGMVTDFGEGMHCQFLEILKILMDEFATNMPTNYDELLLSHVIKFNSLKPIIEVFVENGDRYNMLHSVVLELLEYIRKLMESGETTQSISLVDMRKKPEERGLDKEEEDYFNKGSDEEDSDKQTSCAQKESLDKLPKGSDIRHIPARSKSGGLVDYDDDDEGYNPPPKRAVKADEDDEALVIKRNPVDDKQADGRSPKKPKMEPRFICSKIVAAASVAGRRSNSADKQGPHPPSSSTKTSEGNGDVGEEGPGSQNLQHDPGSLDSTHQNGDDCTKDAGNSPSEMTVNTSKATDSEPYSVR >OGLUM05G03950.1 pep chromosome:ALNU02000000:5:3275864:3280194:-1 gene:OGLUM05G03950 transcript:OGLUM05G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGTVAAEVLIPLAAVIGILFAVLQWYVVSRVAVPPHDGVGGAGKGDRENDGGGDVDDDEEDGVDYRGVEARCAEIQHAISVGATSFLMTEYKYLGAFMAAFAAVIFVSLGSVGRFSTSPEPCPYDAARRCRPALANAAFTAAAFLLGATTSVVSGYLGMRVATFANARTALEARRGIGRAFAVAFRSGAAMGFLLASSALLVLFAAVNAFGLYYGDDWGGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGADHDFAAMMYPLLVSAAGIVACAATTLVATDAGELGAADEVAPALKRQILISTVLMTAAVAAVTFLSLPRSFTLFDFGERMLVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYGPVQTVAQSCRTGAATNVIFGLAVGYKSVIVPIFAIAGAIYASFRLAAMYGIALAALGMLSTIATGLTIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIRTVNVVSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDEIPGLAEGLAAPDYATCVRISTDASLREMVAPGALVMASPLVAGTLFGVEALAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGATEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILVKLMAVEALVFAPFFAAHGGIVFNHL >OGLUM05G03960.1 pep chromosome:ALNU02000000:5:3284262:3284789:1 gene:OGLUM05G03960 transcript:OGLUM05G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKVEMSLNVLISPSQLSPQGLLLRKAVIVRLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPMKGEVLVGSVDKILKHGIFLKSGPIESIFLSEKTMSDFKYIGGENAVFMNEHSKLEKDTVVRFKVMGFRWMEADRQFQLLATLAGDYLGPL >OGLUM05G03970.1 pep chromosome:ALNU02000000:5:3285818:3287632:-1 gene:OGLUM05G03970 transcript:OGLUM05G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17020) TAIR;Acc:AT3G17020] MAGEAAAAAAERWVGAAVDFSEGSRGALRWAADNLLRAGDHLILLHVLKDPDYEQGETLLWEATGSPLIPLSDFSEPTIAKKYGAKPDAETLDMLNTVARQKEVVVVFKVLWGDPREKLCQAINEIPMSCLVIGSRGLGKLKRVLLGSVSDYVVNNATCPVTVVKTADG >OGLUM05G03980.1 pep chromosome:ALNU02000000:5:3289852:3293266:-1 gene:OGLUM05G03980 transcript:OGLUM05G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRLLLASHAAVRAAASARRGRLAGDHHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIVPSIQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLERVMIEGKHSQSDPSSGKLEGGVITERCYGCGRCLSVCPYDRIRAMSYVRDPTKTAELLKRNDVDAIEIHTTGKGTDMFNTLWSNLGDSINNVKLIAVSLPDVGDSTVNFMNAIYTTMQSHLQGYNLWQLDGRPMSGDIGRGATRETVSFAVHLSSMSNRPPGFYQLAGGTNSYTIESLKKAGLFQSTTFAATSGVTDCQQAFIGGIAYGGYARKIVGRVLRKIPAQFGHARIEDHLDYLLEALQEALSLVGPVKGYPTLPSL >OGLUM05G03990.1 pep chromosome:ALNU02000000:5:3295867:3296139:1 gene:OGLUM05G03990 transcript:OGLUM05G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPPATARSNHRAACKNSGSNKEMRRRRMRRCVEVRRKMEALRRLVPGGGGGGGGEELLFRAADYIARLQVQVKVMQLMVDVLEQTKD >OGLUM05G04000.1 pep chromosome:ALNU02000000:5:3297684:3311774:1 gene:OGLUM05G04000 transcript:OGLUM05G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIADDSALEHLGVRRGDVIFFEDECGTSLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKDMPPKSSPAMSARSKSTPDSDLRSHDGNPNPGSWSGRLTRSRAKQIGLVLPIQAVESPGISSNNNKKKRRIEEETAVESPATVIPTTTTGEPGTLARRPIHPPQPHSTAPRIGRESSWIAQRKVDRLRKATLRDAEDEPSTAAAMPTPSDKKMVLGMSRSIVRVSSPPTEGKSISPLTGTGISWDGATKHKSIVQGRLIFVNHHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTTLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGPCKETITLPLEFKVSSGKLRGNLLPWRGDRLLLGAGLRRKGPAPTIRQQLLRSRREGRQCGVTRAAAVAGGRRSRRRRRGGVRIGGHDAPESSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGQVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAQMSSSAEGHGTLASRPLPPMHPPTTPRVRKSSRITRLEVDRLQKLTLRRNKEDDPSTAAALPTPSHKNIVLGMSRSIVRVSSPPSLQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVDTGGSTIGMVYIDGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFYVDSGKISEKLYRTVLWRIMGIT >OGLUM05G04000.2 pep chromosome:ALNU02000000:5:3297684:3318224:1 gene:OGLUM05G04000 transcript:OGLUM05G04000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIADDSALEHLGVRRGDVIFFEDECGTSLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFSVDSGKLRGNLLPWRGDRLLLGAGLRRKGPAPTIRQQLLRSRREGRQCGVTRAAAVAGGRRSRRRRRGGVRIGGHDAPESSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGQVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAQMSSSAEGHGTLASRPLPPMHPPTTPRVRKSSRITRLEVDRLQKLTLRRNKEDDPSTAAALPTPSHKNIVLGMSRSIVRVSSPPSLQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVDTGGSTIGMVYIDGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFYVDSGKDCAVEDNGDNLSGGDWCGGKAAMSPKRRKSVAASSGGPKSSPAARSTRSKSKPDSDLAEHDDGPRSSSGRLTCSRVKVLKESAGVSSSKKKRRIEEESPATTTATAMATTGVMHREGHGALASRPLPPIHPPTTPCIRKSSRIVRLEVDRLQKLTLRRDKKDDPSTAAALPTPSDKNMVLGMSRSIVRVSSPPSSEGKLISPRTGFVISWDGATKCAMIVTLSTYFKKKPHEPQPELQVHLPDKSIVQGRLIFMNRHYNLSILEITSDLPLQVPAFGSAPKYGQKILALSRDENMSLVARRGAITWSDGSFMWRNHYMFVDCDVPEGGVGGPVVDSCGSSIAMVYRAGPGAVIISISIICTFFEMWKQFRYILFFHQY >OGLUM05G04000.3 pep chromosome:ALNU02000000:5:3300337:3311772:1 gene:OGLUM05G04000 transcript:OGLUM05G04000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTMPLWVHLPDKSIVQGRLIFVNHHYSLAILEITSDLPLQVPTFGSAAKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFLDCDVPEGGEGGPVVDYCGSIIAVVYRDGPCAAIISISIIHALYEMWEQFSCVARPVFDMDLKSVELASFSLREELSLKHNIEGGFIVEHIANDSALENLGVRRGDVIFFEDKCGTTLPEIEDYLLHLGRRYLGEKRSMVLKLEVHDIEGPCKETITLPLEFKVSSGKLRGNLLPWRGDRLLLGAGLRRKGPAPTIRQQLLRSRREGRQCGVTRAAAVAGGRRSRRRRRGGVRIGGHDAPESSPAMSTRSKSTPDSDLRSHDGSNPSPESWSGRLTRSRAKQIGQVLPIQAVESPGISSNNKKKRKRREIEEETAKKQAQMSSSAEGHGTLASRPLPPMHPPTTPRVRKSSRITRLEVDRLQKLTLRRNKEDDPSTAAALPTPSHKNIVLGMSRSIVRVSSPPSLQVHLPDKSIVQGRLRFMNRHYNLSILEITSELPLQVPAFGSAPKYGQEILALSRDENMSLVARRGAITWSDGSLMWRNHYMFVDCDVPEGGEGGPVVDTGGSTIGMVYIDGPGAVIISISIICTFFEMWKQFSCVARPVFDVDLRSVELAGVSLREELSVKHNINGGFMVKRIADDSALEHLGVRRGDVIFFEDECGTTLPEIEDYLLSLGWRYLQGMKSMVLKLLVHDIEGPCKETITLPLEFYVDSGKISEKLYRTVLWRIMGIT >OGLUM05G04010.1 pep chromosome:ALNU02000000:5:3299369:3307521:-1 gene:OGLUM05G04010 transcript:OGLUM05G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAPDAIVDSPSAHSPALPSSASASAPSSTAPATPDCHRRRVFLLPISLSSTSLPLLFPISLPSTSRCLASLPATAAGLLPITVQPLEFAAACVKPAPDNVTPHCLPSLLDLSSCCRIVGAGPLRRNPAPSSSRSPRHGRRSYRIRSLHLGLGLMRLTLEVSGLDREDHSTLGGSIPTDAGAVGGDETRTIERDMPNTIFLSEGVGMAAAVEGSSSASRRVAFRSRSTEEDEEEKKNIGTQKNWGRNSGGDGWIESSRKPLRWAIQELSLPMRGAVECGCGGWIGRRAKVPGSPVVVVGITVAGDSTAVSSSILLFFLLLLEEIPGDSTA >OGLUM05G04010.2 pep chromosome:ALNU02000000:5:3305632:3307521:-1 gene:OGLUM05G04010 transcript:OGLUM05G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAPDAIVDSPSAHSPALPSSASASAPSSTAPATPDCHRRRVFLLPISLSSTSLPLLFPISLPSTSRCLASLPATAAGLLPITVQPLEFAAACVKPAPDNVTPHCLPSLLDLSSCCRIVGAGPLRRNPAPSSSRSPRHGRRLPRSSDIE >OGLUM05G04020.1 pep chromosome:ALNU02000000:5:3325256:3329361:-1 gene:OGLUM05G04020 transcript:OGLUM05G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUI0] MAAAAAARLRDVSCLLLLLCFSSSMAAGGGGGGGEQEADRVARLPGQPASPAVSQFAGYVGVDERHGRALFYWFFEAQASPAPEKKPLLLWLNGGPGCSSIGYGAASELGPLRVARQGAALEFNQYGWNKEANLLFLESPVGVGFSYTNTSSDLSNLNDDFVAEDAYSFLVNWFKRFPQYKDNEFYISGESYAGHYVPQLADLVYERNKDKRASTYINLKGFIVGNPLTDDYYDSKGLAEYAWSHAVVSDQVYERIKKTCNFKNSNWTDDCYAAMNIIFSQYNQIDIYNIYAPKCLLNSTSASSPDRAFFANNQEQFRWRIKMFSGYDPCYSSYAEDYFNKHDVQEAFHANASGLLPGKWQVCSDQILNSYNFSVLSILPIYSKLIKAGLRVWLYSNMHLHQKYATIPYNLLESVSVHQSSGDADGRVPVISSRYCVEALGLPIKTDWQSWYLDKQVAGRFVEYHGMTMVTVRGAGHLVPLNKPAEGLMLINAFLHGEKLPTSR >OGLUM05G04030.1 pep chromosome:ALNU02000000:5:3346306:3352568:1 gene:OGLUM05G04030 transcript:OGLUM05G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRFSAIVNEYIEAMKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >OGLUM05G04030.2 pep chromosome:ALNU02000000:5:3350207:3352568:1 gene:OGLUM05G04030 transcript:OGLUM05G04030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLACEILDLLGEGLGLKDPRYFSKLTTNADSDCLLRINHYPPSCNIHKLDHDDQCNIKSLVSTKASNGGNLMAGGRIGFGEHSDPQILSLLRANDVEGLQVFVPDHEGKEMWVQVPSDPSAIFVNVGDVLQALTNGRLISIRHRVIATACRPRLSTIYFASPPLHARISALPETITASSPRRYRSFTWAEYKTTMYSLRLSHSRLELFKIDDDDSDNASEGKA >OGLUM05G04040.1 pep chromosome:ALNU02000000:5:3347767:3355107:-1 gene:OGLUM05G04040 transcript:OGLUM05G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWRSQESPAAPVAVAAGSQPLDKNQQYIMQPRGDKFSNGQKCVVDRIGHTFFHCSMERPISAWITSITAPATTQPLGHFILSYHKEDSLHVCLLSFVWLYMDSIDMLFRGLDHFILIRHGHRTPMHRLN >OGLUM05G04050.1 pep chromosome:ALNU02000000:5:3357485:3370409:1 gene:OGLUM05G04050 transcript:OGLUM05G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETVACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQVALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKFVTGCSRGPLLGFQYLEPKFCIQRAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >OGLUM05G04050.2 pep chromosome:ALNU02000000:5:3357524:3370409:1 gene:OGLUM05G04050 transcript:OGLUM05G04050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETVACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQVALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >OGLUM05G04050.3 pep chromosome:ALNU02000000:5:3357485:3370409:1 gene:OGLUM05G04050 transcript:OGLUM05G04050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETVACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >OGLUM05G04050.4 pep chromosome:ALNU02000000:5:3357356:3370409:1 gene:OGLUM05G04050 transcript:OGLUM05G04050.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 6 [Source:Projected from Arabidopsis thaliana (AT3G17205) TAIR;Acc:AT3G17205] MFFSGDPTARKRVDLGGRSSKERDRQVLLEQTREERRRRLGLRLQNSSATKIQKCFRGKRDLELARSEVRGNFCSTFGEHCQRVDWSSFGANSDFLRQFLFFFNAKKDSDIAILCQVCNLLLDYVKHGGDVLRLFGGTNYSSLEPLVIHRVKKLALICVQTVHQKRHDWGSQLLMPPESTPKPCGSLLETVACLINPKLAWNCKVVGYLQRKKIYCLFRGIIISIPQTARNLEHNNNTSALEQVLMLIASHIGDDPCCCPVVDPRWSFSSQLLSIPFLWHRLSHFKKVFSANGLSKYYIHQIACFLPSCADVLPNDISSNHPGYACVLANVLEAATWILSEPKFASETAADIIAVCTSLLDALPTITSPTGRADDDDDTPMDDVINGLDADLEKQITAAIDSRLLQHLVNALFRGTISTDYSYPTGPSDIEVEAVGSICAFLHVTFNTLPAERIMTGLAYRTEIVPALWNFIKRCNENQSWPQFSKFVSSPPADAVGWLLPVSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKKALWELLWVIPSHASPAKKVLPNPSGFKKLSIENVKIGARIGLSELLAQLQDWNNRLPFTSSNDFYSQQATSENFVSQVALLGNTRASEIIKLAPFLAPFTSRVKIFTSQLANSRQSTTSHSALTRHRFKIRRNRLLEDAFDQLSMLSEEDLKGPIRVVFVNEHGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLVHEQHLQYFHFLGSLLGKAIYEGILVDLPFATFFLSKLKHKYNFLNDLPSLDPELYRHLLSLKHYDGDISQLELYFVIVNNEYGEQSEEELLPGGRDMRVTSENVITYIHLVANHRLNYQIRAQSTHFLRGFQQLIPNEWINMFNEHEFQVLISGSLESLDIDDLRSNTNYSGGYHPDHELIDIFWEVLKSFSSHNQKKFLKAGVPGMEEEDEDRLPTSATCMNLLKLPPYRNKTQLESKLLYAINAEAGFDLS >OGLUM05G04060.1 pep chromosome:ALNU02000000:5:3376183:3379341:1 gene:OGLUM05G04060 transcript:OGLUM05G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi apparatus membrane protein TVP23 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUI8] MDRPQVMPLIPRSPFLLDPSSCVVFFFYDFDRSPLGGREGGSLSQIQRFLGLGFMGVLSARIQVVTENYANPVTCLFHVLFKAGALVFYILFSLFVKSFVIIFVITVFLAALDFWVVKNVSGRILVGMRWWNEIDDEGNSVWKFECLDGEALARMNKKDSWLFWWTLYLTAAAWIILGIFSLIRLEADYLLVVGVCLTLSLANIVGFTRCNKDAKKNIRGYFEGHAQNAITSRITSTLQSAFGVI >OGLUM05G04070.1 pep chromosome:ALNU02000000:5:3380052:3393112:-1 gene:OGLUM05G04070 transcript:OGLUM05G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGVMKRMGLLRVQYYCVMGAVAAAVVLATLLYMPAPATAPPTVAGVDGGGATVRSSAAAVDAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFICESLNTHHLSPFMRPLGADYNNGVNFAIAGSTATPGETTFSLDVQLDQFIFFKERCLESIERGEDAPIDNKGFENALYTMDIGHNDLMGVLHLPYDEILQKLPPIVAEIRKAIETLHKNGAKKFWIHGTGALGCLPQKLATRGEIDRDLDEHGCITRINNVAKRFNKLLSETCDDLRLQFASSTIVFVDMFAIKYDLVANHTKHGIEKPLMTCCGHGGPPYNYDPKKSCTANDKDLCKLGEKFISWDGVHFTDAANEIVASKVISGEFSIPRIKLTASVVRPKKAKNSRRELRRARAMAMASPTNGGGGGGNKVISLRLQYYCVLAAVVVAVMVLSLAFVSPSAMGAAVRQNLGSVVAATAAAGEGADASAAAAGAGVAATTAAEEEREQAAAAGVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLHLKNATIVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >OGLUM05G04070.2 pep chromosome:ALNU02000000:5:3380052:3393112:-1 gene:OGLUM05G04070 transcript:OGLUM05G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGVMKRMGLLRVQYYCVMGAVAAAVVLATLLYMPAPATAPPTVAGVDGGGATVRSSAAAVDAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVGRTYFHHPTGRLSDGRVIIDFICESLNTRELNPYLKSIGSDYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLHLKNATIVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >OGLUM05G04070.3 pep chromosome:ALNU02000000:5:3380052:3393112:-1 gene:OGLUM05G04070 transcript:OGLUM05G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMNGCGGGGGGGGVMKRMGLLRVQYYCVMGAVAAAVVLATLLYMPAPATAPPTVAGVDGGGATVRSSAAAVDAAAAAAPGGGGVERGKGKRKHVWSGEEEEVAAEKKAAAGVVVFNFGDSNSDTGGVAAVMGIHIAAPEGRAYFHHPTGRLSDGRVILDFIYYSNGVNFAMAGSTVSHGVSPYSLNVQVDQFVYFKHRSLELFERGQKGPVSKEGFENALYMMDIGHNDVAGVMHTPSDNWDKKFSKIVSEIKDAIRILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLANYNKAARQFNKKLSHLCDEMRLHLKNATIVYTDMFAIKYDFVANHTKYGIKWPLMVCCGNGGPPYNFKPGKFGCDDLCEPGSKVLSWDGVHFTDFGSGLAAKLAMSGEYSKPKVKLASLVNAGSNKSSDS >OGLUM05G04080.1 pep chromosome:ALNU02000000:5:3400082:3406215:1 gene:OGLUM05G04080 transcript:OGLUM05G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARCHLATATRPLIHHSCQPGLRMVGHTISFGVLLVLNLELTFGYASSLEMQLLDQVCARSYRNLHDAIPYDFSQESVTVVKNALVNGTSTASTATISTPNLHVLQVYGVIATILLCFIVFCGINIVNKVATVSSRLPVLFSLLYSSLGVSIAASHNSLKGITGLSIITLRTTGVQKYQCTNNAGVPDPNGFIYWDFNDHVYQGNSLLSPFAFASLVYYYVSIKGKLDTYIEYKICEDIAEIIVAPSTSEGFCSIVLTMIWRHENLTLIPSTFESTINDCKALVVAKGLDEWPNEYLRQYGS >OGLUM05G04090.1 pep chromosome:ALNU02000000:5:3411471:3412097:1 gene:OGLUM05G04090 transcript:OGLUM05G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRQYMAMALEEEALDDEDLDASPPKQQKQRTSRKAAKAAEQGKGKSGRRTANPKPKKPADGGGEETRASSAPAAAALPGNGKTDRMPDSLVQWVLSYSQQRKPPVGFEEYNTLRSVDPVVSDDDPVLTPEWIKQTRDLLDDIAALYEETEPSLQEGLAEFRNEYEERGYIEVGEDYHSYMADVQEWSKKCWDLYFNTPEVEEEEDD >OGLUM05G04100.1 pep chromosome:ALNU02000000:5:3414915:3419613:-1 gene:OGLUM05G04100 transcript:OGLUM05G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQLPRAQRKRRIERRPGPHASDTEWTGDASAGKPELTQPRCASLLHATPRARLSPPPPRLASSPPRVSTAIITGDEGRGGSCRDAFRDWFKVLKNHAMQADRNPCFFYAVPGYFDYRAENRPRHRESDQRADGTPSTYQYEDDVLCPEMPNHVEDYGSFAGAQRYLVPSAVENRQTDSLRMGCLAARVAAAPQPTATMLRGARQWQTSAATATNQPPEQCGMTHTQYVRSNASSLKMFQDGETLVGGQQDRDSLKRQTAYRSMSLGESSSAPHATSSILGEIPKPLRNTHLVGGQQDRDSLKRQTADCSMSLSESSTRSVLGEIPKPLRYTPQYNRADLAVLYKEAIFFVLQAYNEEVIHLSMRHDMLWTCSVGNHTLDNAFQLAHQICAQKNCKCPVILFLKVGKDSFFRGVAEMVSPIYHQKHWFESCEDLSGSFHVRWHIVKDVPTSVLFTKDEKEKLFEHVPYSMLWHVPYPKGTSMFHTFRDYPNHRPPHLSRSVKLEEPTKLRIPKYRMEKKAGSSCSPAMNSKRKEDSSKLEEPKASRTPEYRMEKKAGSGCSHALNSKGEEESSGRLGKEPQASRANDPVLLSTPKSRSQGSFTVGSLTVPVGLNEKKPQPGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >OGLUM05G04100.2 pep chromosome:ALNU02000000:5:3414915:3419613:-1 gene:OGLUM05G04100 transcript:OGLUM05G04100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQLPRAQRKRRIERRPGPHASDTEWTGDASAGKPELTQPRCASLLHATPRARLSPPPPRLASSPPRVSTAIITGDEGRGGSCRDAFRDWFKVLKNHAMQADRNPCFFYAVPGYFDYRAENRPRHRESDQRADGTPSTYQYEDDVLCPEMPNHVEDYGSFAGAQRYLVPSAVENRQTDSLRMGCLAARVAAAPQPTATMLRGARQWQTSAATATNQPPEQCGMTHTQYVRSNASSLKMFQDGETLVGGQQDRDSLKRQTAYRSMSLGESSSAPHATSSILGEIPKPLRNTHLVGGQQDRDSLKRQTADCSMSLSESSTRSVLGEIPKPLRYTPQYNRADLAVLYKEAIFFVLQAYNEEVIHLSMRHDMLWTCSVGNHTLDNAFQLAHQICAQKNCKCPVILFLKVGKDSFFRGVAEMVSPIYHQKHWFESCEDLSGSFHVRWHIVKDVPTSVLFTKDEKEKLFEHVPYSMLWHVPYPKGTSMFHTFRDYPNHRPPHLSRSVKLEEPTKLRIPKYRSSLTVPNVLVEMQTLPVEKKAGSSCSPAMNSKRKEDSSKLEEPKASRTPEYRMEKKAGSGCSHALNSKGEEESSGRLGKEPQASRANDPVLLSTPKSRSQGSFTVGSLTVPVGLNEKKPQPGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >OGLUM05G04100.3 pep chromosome:ALNU02000000:5:3414915:3419613:-1 gene:OGLUM05G04100 transcript:OGLUM05G04100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQLPRAQRKRRIERRPGPHASDTEWTGDASAGKPELTQPRCASLLHATPRARLSPPPPRLASSPPRVSTAIITGDEGRGGSCRDAFRDWFKVLKNHAMQADRNPCFFYAVPGYFDYRAENRPRHRESATSSILGEIPKPLRNTHLVGGQQDRDSLKRQTADCSMSLSESSTRSVLGEIPKPLRYTPQYNRADLAVLYKEAIFFVLQAYNEEVIHLSMRHDMLWTCSVGNHTLDNAFQLAHQICAQKNCKCPVILFLKVGKDSFFRGVAEMVSPIYHQKHWFESCEDLSGSFHVRWHIVKDVPTSVLFTKDEKEKLFEHVPYSMLWHVPYPKGTSMFHTFRDYPNHRPPHLSRSVKLEEPTKLRIPKYRMEKKAGSSCSPAMNSKRKEDSSKLEEPKASRTPEYRMEKKAGSGCSHALNSKGEEESSGRLGKEPQASRANDPVLLSTPKSRSQGSFTVGSLTVPVGLNEKKPQPGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >OGLUM05G04100.4 pep chromosome:ALNU02000000:5:3414915:3419613:-1 gene:OGLUM05G04100 transcript:OGLUM05G04100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMQLPRAQRKRRIERRPGPHASDTEWTGDASAGKPELTQPRCASLLHATPRARLSPPPPRLASSPPRVSTAIITGDEGRGGSCRDAFRDWFKVLKNHAMQADRNPCFFYAVPGYFDYRAENRPRHRESATSSILGEIPKPLRNTHLVGGQQDRDSLKRQTADCSMSLSESSTRSVLGEIPKPLRYTPQYNRADLAVLYKEAIFFVLQAYNEEVIHLSMRHDMLWTCSVGNHTLDNAFQLAHQICAQKNCKCPVILFLKVGKDSFFRGVAEMVSPIYHQKHWFESCEDLSGSFHVRWHIVKDVPTSVLFTKDEKEKLFEHVPYSMLWHVPYPKGTSMFHTFRDYPNHRPPHLSRSVKLEEPTKLRIPKYRSSLTVPNVLVEMQTLPVEKKAGSSCSPAMNSKRKEDSSKLEEPKASRTPEYRMEKKAGSGCSHALNSKGEEESSGRLGKEPQASRANDPVLLSTPKSRSQGSFTVGSLTVPVGLNEKKPQPGEKAASSRCSTAFNSKRGEDSSGCSSVSVPIGAMMINVNLLD >OGLUM05G04110.1 pep chromosome:ALNU02000000:5:3426957:3430312:1 gene:OGLUM05G04110 transcript:OGLUM05G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUJ9] MLPSNSVFRIRTQTLRIYTYAILSSPLSCAEGQRGKQRGKKRKKKKKTSRRGAREMAMASLARRRAAEAALLRRAPAAAWASAWRGYAAAAAGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKASFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >OGLUM05G04110.2 pep chromosome:ALNU02000000:5:3426957:3430981:1 gene:OGLUM05G04110 transcript:OGLUM05G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUJ9] MLPSNSVFRIRTQTLRIYTYAILSSPLSCAEGQRGKQRGKKRKKKKKTSRRGAREMAMASLARRRAAEAALLRRAPAAAWASAWRGYAAAAAGEESDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKASFAHHGIKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVEYVKGFGKFVSPSEVSVDLLDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFASDIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLECDIVLVSAGRVPYTSGLGLDALGVETDKGGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGVAYQVGKFPLLANSRAKAIDDAEGLVKVVAEKETDRILGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYLKAIHI >OGLUM05G04120.1 pep chromosome:ALNU02000000:5:3431404:3435236:1 gene:OGLUM05G04120 transcript:OGLUM05G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LisH/CRA/RING-U-box domains-containing protein [Source:Projected from Arabidopsis thaliana (AT3G55070) TAIR;Acc:AT3G55070] MEMAIDTPSPSPSASSAAAGRQTRAAESVRLEHQLLRVPLEALKSTVRTNHRLAEKEIAAVLSSASAAAAAPGGGGGGSGDAAAVDHLTSLVSRLHGLKRKMEEGARAEELQVQRCRARLNRLASASSGDDAEWEELRLKRILVDYMLRMSYYDTAAKLAETSGIQDLVDVDVFLDAKRVIDSLQNKEIAPALAWCAENRSRLKKSKSKLEFFLRLQEFVELVKAKNFMHAIAYARKYLSPWGATHMKELQRVTATLVFRSSTNCAPYKVLFEQNQWDSLVDQFKQEFCKLYGMTLEPLLNIYMQAGLTALKTPFCFDGNCPKEDPLSLPGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPLVFPNGYVYSTKALDEMAKKNGGKVTCPRTGDICNYTDLVKAYIS >OGLUM05G04130.1 pep chromosome:ALNU02000000:5:3440133:3441329:-1 gene:OGLUM05G04130 transcript:OGLUM05G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53980) TAIR;Acc:AT3G53980] MRAGRLVILVAAAVVVVVAGGWAAEGAGECGRASADRVALRLAPCVSAADDPQSAPSSGCCSAVHTIGQSPSCLCAVMLSNTARVAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >OGLUM05G04140.1 pep chromosome:ALNU02000000:5:3451184:3452750:1 gene:OGLUM05G04140 transcript:OGLUM05G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAGIGVGGRPRTTSAGGTREASAGGTGRPAPCQPGRPAPGLLHRQHGRPAPSLLGRLVLGGHRQPAPAARTGSSRPRWHRQAAPRRARAATPWMVRADSPACDELNNWINLIGNRTKMRKDVKCWNFEMIVDSDRTCFMDFVQSIKIPLYHGRMKLQLLLCPFHHQGQGVAQISPMKQLKEKRKGRAKARKRLSQKRLQKLSSCNLKLVQQ >OGLUM05G04140.2 pep chromosome:ALNU02000000:5:3451184:3452136:1 gene:OGLUM05G04140 transcript:OGLUM05G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPAGIGVGGRPRTTSAGGTREASAGGTGRPAPCQPGRPAPGLLHRQHGRPAPSLLGRLVLGGHRQPAPAARTGSSRPRWHRQAAPRRARAATPWMVRADSPACDELNNWINLIGNRTKMRKDVKCWNFEMIVDSDRTCFMDFVQSIKIPLYHGRMKLQLLLCPFHHQGLLSLSFLYLYCNNGVPSSFSLVVKPWRVRLVQRENIVTLILKFPKGTSTIDVT >OGLUM05G04150.1 pep chromosome:ALNU02000000:5:3452030:3460363:-1 gene:OGLUM05G04150 transcript:OGLUM05G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSKVATVLAVSSAASEQDLGLSITHPPWVAAADGSVWDEMVDQLVAPALSLSMRTRRSISMDASCSIWPSALLHRDFITSNVNDVLSSYSRVQSYSPRFDYK >OGLUM05G04160.1 pep chromosome:ALNU02000000:5:3461157:3466854:1 gene:OGLUM05G04160 transcript:OGLUM05G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAGDDVASDDPGPVPKRTKVQPTTEGAKGKAAAPPLPRLPPYPKSGDPMDFLKWDKEFRRICALPGTVIPCTVRRKPTGPRTVSVTDVASVADNSMIRKASRSVVGIYSRIPAGKEIMQCSGIVVDWNKISRLATIVTCSAAVCFDGALVHPNPKLLVHLPNRSTAEGQLLFFNAHYRIALLEALVDSPLELANFGSSPKFGQKVFALARDKKSSFFARSGTVLLQDPPFFLKYKYWLSLSSAIELCGTGGPAIDEPIRVARPFLDMDLIAFETLDISHQEEIESEHGITDGFIVDLVCDDSTAGRLGISKGVMSLFHTIDFVISFFIRYKVYGAKQYGEIFISSSRSTFSVLAGVFFRVVIRAGPI >OGLUM05G04170.1 pep chromosome:ALNU02000000:5:3471036:3478129:-1 gene:OGLUM05G04170 transcript:OGLUM05G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAARSIVGISSFKPDGEGIAQCTGIVVRWNEITRMATIVTCSAAVCVNGALAHPEPKGTSCFSIYSCINDPWSAAIVAEDNYRSAAAAVADDPWSTAVAADNSWNAAVVAADNNSWSTVVALLIRFPNRAIGEGRLLFFNAHYRIALLEVLADYPLQPANFGSSPRFGQQGFALARDDESYLIARRGTVLCQEPPRYLKYRHWLSLGCELAPCGMGGSVINEHGDVIGMTVSHSPNPYMLSISIMRTCIEMWTNFSRVARPIHGMNLRAVELLDISYQEDIELEYGINDGFIVNMVSNGSSAERLGISEGDIIVSYGGQHDFTLHKFEHFLLSLCWGFLMSIDSTWRVNFEDFLMRMNRSAYIYAVPRKKGFFKQQDHHAMPYALLFLFDVLVHTIRNCPR >OGLUM05G04170.2 pep chromosome:ALNU02000000:5:3471036:3478129:-1 gene:OGLUM05G04170 transcript:OGLUM05G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAARSIVGISSFKPDGEGIAQCTGIVVRWNEITRMATIVTCSAAVCVNGALAHPEPKLLIRFPNRAIGEGRLLFFNAHYRIALLEVLADYPLQPANFGSSPRFGQQGFALARDDESYLIARRGTVLCQEPPRYLKYRHWLSLGCELAPCGMGGSVINEHGDVIGMTVSHSPNPYMLSISIMRTCIEMWTNFSRVARPIHGMNLRAVELLDISYQEDIELEYGINDGFIVNMVSNGSSAERLGISEGDIIVSYGGQHDFTLHKFEHFLLSLCWGFLMSIDSTWRVNFEDFLMRMNRSAYIYAVPRKKGFFKQQDHHAMPYALLFLFDVLVHTIRNCPR >OGLUM05G04180.1 pep chromosome:ALNU02000000:5:3475881:3476280:1 gene:OGLUM05G04180 transcript:OGLUM05G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINWYLQRKIYGSGGEPATLQELRCAPPVFYGHNSAPGVVVSGDNGSIPGVIGSDGGAPGIICNCGGGAPIIVFGDDGSTPRVIASDNDG >OGLUM05G04190.1 pep chromosome:ALNU02000000:5:3480711:3485075:-1 gene:OGLUM05G04190 transcript:OGLUM05G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDVASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNSETMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPATEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTREVELSALES >OGLUM05G04190.2 pep chromosome:ALNU02000000:5:3480713:3485075:-1 gene:OGLUM05G04190 transcript:OGLUM05G04190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDVASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEYVDARFFLSLDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNSEDVHMCYLVEKTMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPATEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTREVELSALES >OGLUM05G04190.3 pep chromosome:ALNU02000000:5:3480711:3485075:-1 gene:OGLUM05G04190 transcript:OGLUM05G04190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAATRRKLQRKFKLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDVASPAATSARSALRVVDSFVVPRFHYDPIKKVFYEHTSRLAIHGEAGDKAALYRDRYQVLLQRLARDIYFSKPAFDTVMTEDDNCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAVNTCGFPPLEDREASLSMLMGLDFFGGGVIPTEETVRLSTLEKKAMNDMFVILSDVWLDNSEDVHMCYLVEKTMEKLGVVLDGYDSLEAVPSLFVLMGNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPDDAGPSKALPRCALPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPATEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTREVELSALES >OGLUM05G04200.1 pep chromosome:ALNU02000000:5:3485732:3512191:1 gene:OGLUM05G04200 transcript:OGLUM05G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTNSWMKIATEEGILRGRVQANHRHHFTSGIRFEHTTTATSPPIHPSQWAPPLPPMATAATTSAAAIPTGGGGGRRQHPHPRRPGLRQRRLHRLPAQAAAAAAASSPSTSSSSSSPPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQQIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRNQETENKLLIDLYKKQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDRTACKLKLKLSTGDETNPPSCYIGYSYYLSAYCIQVYRKQLGDKLLMAACSSCPNQKNRQQQQQMNLPKTAAAGASPSTGEGGRLTRLRSRLLGLETPAAEDVGRVGGPSRKKRKRVPPAAVEEAAAAGGGGVRRPPRVFPTYPTSGEASDVNHWIMEMERLSKIPHIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIAHPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERTLVLGGKDANELMHLLDANSNGSLSSDEFEAFQRQIWMCLSLATVDEFKHHRAPASPTPPSSRRRDAASASASTPARTPSFDRVRRSGGRSGRAWRSLEAPFSRFGLGAGGGCPFDALLREKFLVREVVTDGDLGYWLAAGEW >OGLUM05G04200.2 pep chromosome:ALNU02000000:5:3485732:3512191:1 gene:OGLUM05G04200 transcript:OGLUM05G04200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETTNSWMKIATEEGILRGRVQANHRHHFTSGIRFEHTTTATSPPIHPSQWAPPLPPMATAATTSAAAIPTGGGGGRRQHPHPRRPGLRQRRLHRLPAQAAAAAAASSPSTSSSSSSPPAEGGGRLVAELVGAFNELTGRMGEGLATSSSSRLLFRALKLALPALRDGDGGRALARALAIAASLADLQMDAEVISAGILREALDAGAISMRDVKSEIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLSYYDIRAVILELALKLDMMRHLDCLPRYLQQIKSLEVLKIYAPLAHAVGAGNLSLELEDLSFRYLFPHSYDHIDQWLRNQETENKLLIDLYKKQLLQALKDDDELSQIVQDISIQGRYKSRFSTMKKLVKDGRKPEEVNDILALRVILEPRCDGSSLDWGPRACHRTHEIIQAMWKEVPGRTKDYVTRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHKFAVGGEASHSLYKGGLTDPGEAKRLKAIMLAAAELAAMRLRDLPASDQGDSNCTNRAFCQLDKNGDGRISIEELTEVMEDLGAGGKDAKELMHLLDANSDGSLSSDEFEAFQRQIELMRSLDDKDDRYRKILKEKLQTIDSAGLIQVYRKQLGDRTACYGYYLSAYCIQMAACSSCPNQKNRQQQQQMNLPKTAAAGASPSTGEGGRLTRLRSRLLGLETPAAEDVGRVGGPSRKKRKRVPPAAVEEAAAAGGGGVRRPPRVFPTYPTSGEASDVNHWIMEMERLSKIPHIYTARDVASTPDKLMIRKAARSVVAIETTYSDGKIIAVFSGIVVSWNETTRSATIVTCSEAVCDDGALIDPKPKVLVHLPNKTILDGQLLFFNDHYRIMLLEVVSDTPLQPANFGSTPKFGQDVFALSRDYESSMHARRGTVLWQEPPNHGDVVGMAIGAPPNPDILPISIVQTCIEMWTKFSRIAHPVLNMELRAFELIEVSHQEEIELDHNINDGFIVAVLVVYDAVRHATRSITYPLEFSDASERTLVLGGKDANELMHLLDANSNGSLSSDEFEAFQRQIWMCLSLATVDEFKHHRAPASPTPPSSRRRDAASASASTPARTPSFDRVRRSGGRSGRAWRSLEAPFSRFGLGAGGGCPFDALLREKFLVREVVTDGDLGYWLAAGEW >OGLUM05G04200.3 pep chromosome:ALNU02000000:5:3516295:3531688:1 gene:OGLUM05G04200 transcript:OGLUM05G04200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGGEVVVVDPAAAARMGDDVATSSSWTLLFRALKLALPALRDAAGGRSLSRALIVAASLADLQMDAEVISAGIVRQAMDAGAVAMADAEAQLGPGAAALLRESLDVKNAPSSQVDVADEEAASAVRNRILSGYDVRAVILELAIRLDAMKHLDGVPKHQQRTTSLEVLKVFAPLAHAVGAGALSKELEDLSFWRLYPQAYAQVDQWLSGQEDDCKRVLATCKDDLLQALAADDELRHAVAGFDVKARYKSRFSAMKKLVKDGRRPEDVHDILGMRVILDHRAGCGDGDGHRACVRTHEVIKGMWKDVPARTKDYIARPKGDGYRSLHIAVDMSEPGPEGKKRPLMEVQIRTKEMNDAAVFGHALYKGCLADPEEAKRLKDIMLAAAEVAAQHLRDEPATGDQTGVPAAAAAAASAGNIERAFRLLDKNGDGRISMEELTEIMEDLGAGGKDAEELMRLLDDNNDGSLSSDEFALFQKRVELKAKLEDKDDEYKEILKQKLQKVDDTGLIHVYRKNLSDKLVSG >OGLUM05G04200.4 pep chromosome:ALNU02000000:5:3511835:3531688:1 gene:OGLUM05G04200 transcript:OGLUM05G04200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANAGVNETVAVAVAIDAPGVGHDHGAAGEVRRPSTRRLAPAGSGGRLMAELLGVFNGLTERMGEDVATSSSSRLLFRALKLALPALRDGGGQSVSRALVVAASLADLQMDAEVISAGMVRGALDAGALAMADVEAQLGASAAGLVEESLKVKRAPSEVDVADEEAASALRKRCLSSYDIRAVILELAVKLDAMKHLDVLPKHQQRTTSLEVLKVFAPLAHAIGAGELSLELEDLSFQRLYPQAYAHIDQWLSSQEDDCKRVIAASKEELLRALTTDDELRRTVTGVDVMGRYKSRFSTMKKLVKDGRRPEDVNDILGMRVILDPRPGGGGDRACLRTHEVIKGMWKDVPARTKDYITRPKGNGYRSLHVAVDMSEPGPEGKKRPLMEIQVRTREMDMAAVGGQASHALYKGGLTDPEEAKRLKAIMLAAAEVAAQHLRDEPAGDGGQTTATAGNVEGAFQLLDKNGDGRISMEELTEIMEDLGAGGYDAEELMRLLDANSDGSLSSDEFALFQKRVELKAKLEDRDDEYKEILKQKLQKKVDDTGLIHVYRKNLSDKLVSG >OGLUM05G04210.1 pep chromosome:ALNU02000000:5:3549070:3549864:1 gene:OGLUM05G04210 transcript:OGLUM05G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSAACSPAASYERDLQTGGAGVASHAREVLDEMAVYDCSFLEIRAVAEFRPWGAAITYWFSEDSVTNSANDRSSSMWKWEWMDDQTMWTRSCLIKTLCYDVGNQHLITGSSDIWHTAIIQLLR >OGLUM05G04230.1 pep chromosome:ALNU02000000:5:3558917:3569240:-1 gene:OGLUM05G04230 transcript:OGLUM05G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWLRSAVSRAGRSGVARAVRGYADAVAHHAGQAVADILQDRTGSEDRKKVCNVLHVGDNGFLALEESDIMLGLAIWKRKIDGEPRQRQTSLSRAPQCAVLRNACGVSLFGTAIATVGHAASHSNGLLDTKRDTACALAVSKSETTLLRGPPPRSVFVSDDWWYRIAGDYKSFKKTVARLEEAAVSCRGGERVELLRRWLGALQDIEAELSGSDLKDPEDRDPSSETDISKAPLALFYDADIEGGPMNFRDVFLYSQALEGITLSMVLEAPSEEEVSLLLEIFGLCLTGGKEVNKKIMDTVQDLAKALSSYKDEVLVKREELLEYTQSVISGLKRNADIMRIDAETLELWKKLDEKEKSRAQITEDQDKSSGNISVENIEIDKLKILADSLANSSSKAEQRILENRRQKEDALNFRVKKENEVSTVEKEVLDEIAELEKQRNELEAQLKKVSLNMEIAIQDLAYPIGDRDTKSLSMIKTVNISLNAAAGRLKKTREERDQFDEANNQIIFKLKTKEDDLTKSIASCNVEANVVKTWINFLEDTWQLQSTYNEQKEKKTCDELERCVSSFLKLTKHHLSVFKEVLSPSIDSIRTYVDNLVVLNSREETKQDEDDEASEKTNPRISLEEEYLETEKKIIIALSIADHIKKLFYSEQGANSRRDDPEVKNLIAEIEKLRGEFESIERPMLSIEANKSKPLPEERSELSPSPIQAPATPKAAHVDSPKSPMRPEQHLNPDNELANLGAELGSEDKDFSGEEINGWEFDELEEDLKN >OGLUM05G04240.1 pep chromosome:ALNU02000000:5:3577640:3580165:1 gene:OGLUM05G04240 transcript:OGLUM05G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTFRKLKLVLALLGIIIWIIGTCNAKFTPADNYLVNCGSTVDATVGQRVFAADNSQSIVLTTPQSQSIAARTTLNLVSGFDNAELYQTARIFTTPSSYSFKMKSSGRHFVRLYFFSFLYQSYDLASSKFKVSTEDVVLLDNFQPSNSIPVVMEYSLNITRDMLILTFVPEGNSTSFVNAIEVVSVPDDLITDSAQLLGVGQYLGLAAQPLQTFHRINVGGPKVTAENDTLARTWFTDQSFFRNPTVAQAVTYQERLNYKDGSATQDDAPDSVYNTARRLVGQRNASSTPNMTWEFNVDGRSSYLIRFHFCDIVSKAAFQLYFDVYVYNFSAAKDLDLSAREFGTLAAPFYMDIVLPSSDPSGNLTVSIGPSSLPNATPDGILNGLEIMKMNFSSGSVYVVKPPSAAKQQLPIILGSVLGGIGAVIIVVVLCVVFRRKKKMKKPQTPLTSRPSSSWTPLSLNALSFLSTGTRTTSRTTYTSGTNSDTSYRIPFVVLQEATNHFDEQMVIGVGGFGKVYKAVLQDSTKVAVKRGNQKSHQGIREFRTEIELLSGLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKGHLYGGDQPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLMAKVSDFGLSKTGPEFDQTHVSTAVKGSFGYLDPEYYRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDKRIAGTIRPESLRKYGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNAQRISSLEISTADESRTAMDYSQMSTSNAFSQLINTEGR >OGLUM05G04250.1 pep chromosome:ALNU02000000:5:3581051:3583902:-1 gene:OGLUM05G04250 transcript:OGLUM05G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVDPNDRYEPRGRNSRLYVGHISLRTRAEDLENLFSRYGRVRFVDLKNEYGFVEFSDPRDANDARLDLDGRKYDGSDIIVQFARGVERGLGGSRGYKARPAHGSDHCFNCGMEGHWHRNCTAGDWTNRCYGCGERGHILRECKNSPKDLKQERGYSRSRSPRRRRSPSYGKSGPPSHWGSHGADREERLHSRRDGRGYSRSPRRHDSPSNQRNHSPRRYALPSNERYDGTRHYASPSYGRDRSPGGNALPANGRSHNLTSDGMNPSPRERDDQNGRHRRGDNDYLPSKRDDQNGSYRRGDSDYLSRERDDYLSRERDDQNGSHRRGDSDYLSRDH >OGLUM05G04260.1 pep chromosome:ALNU02000000:5:3588895:3589803:-1 gene:OGLUM05G04260 transcript:OGLUM05G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPLWLPSASGGSIGCSAPPSGVQQSMAGWSPACYSTDEASFRPLIHSNDNDCSFIETPSCIGSGGESWIGDDAFFMQDETIRLPISPDDLGFAQVYKFVGDMFGSGERRPVEAHLRRLQGMDPAISETILLMLKNLEANLSA >OGLUM05G04270.1 pep chromosome:ALNU02000000:5:3623077:3624284:-1 gene:OGLUM05G04270 transcript:OGLUM05G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAVAGTKKKTRKTYTITRPRERFGRDWKRIEAFVATKTAIQEPRGKPDKVAHQK >OGLUM05G04280.1 pep chromosome:ALNU02000000:5:3628110:3629400:-1 gene:OGLUM05G04280 transcript:OGLUM05G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAARAAAVGTMKKARKPYTITRPRERWSAEEHERFLDALLLFGRDWKRIEGFVATKTAIQIRSHAQKHFLKARKFGLAGGLPPPLHPRRAALLRANAAAAAADMMPPPWLPSAGTPSCSGSGESWLADAAFFSQDETILLPISPGTGSTSLLAFACFKSAAVNSRKFFRSNILSCVIVHFFLISDPHLLAVSCSADDLGFAQVYKFIGDVFGSGEPRPVEAHLRRLQGMDPAISETILLVLKNLEANLSA >OGLUM05G04290.1 pep chromosome:ALNU02000000:5:3642587:3666360:1 gene:OGLUM05G04290 transcript:OGLUM05G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALAAAAATATATAAAAAAPSPARFPLRLVVTPRASLGHCRASSSARSPRRACYATTMGDETSTSVATQSQEPAAVGAGSVKQQLSKLVIASLRTTVPEVEVDPMVEVCTAKFGDYQCNNAMGLWSRIKGSGTSFKNPNAIGQAIAKNLPPSDIIESTSVAGPGFVNIVLSNSWVAKRIQDMLVNGIKTWAPILPVKRAVLDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVEVLRRNHVGDWGTQFGMLIQYLFEKFPNWEEIGSQAIGDLQTFYKASKNRFDGDAEFKDRAQQAVVRLQGGEERYRAAWNKICEISRNEFDMVYKLLNVKLEEKGESFYNPFIPQVLEELNNKGLIKESEGAKVIFIEGHQIPLIVVKRDGGFNYASTDLAALWYRLNVEKAEWIIYVTDVGQQQHFDMFFNAARMAGWLPDPKEKKFPKTNHVGFGLVLGSDGKRFRTRSTEVVRLIELLDEAKSRSKSELLQRLTENGKIVDWTEEELEKTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAISLDHPDERVLGLYLIRFAEVVEEACTNLLPNVLCEYLYNLSEMFTRFYTNCQVVGSSEETSRLLLCQATAVVMRQCFELLGITPVYKL >OGLUM05G04300.1 pep chromosome:ALNU02000000:5:3645090:3664506:-1 gene:OGLUM05G04300 transcript:OGLUM05G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMPNVRANACMSILKLICAEKFEDVVCSDNDFAKVLAVLAGLDKCEELGYGDVELWSDSQRACGVLSGSETINMDDRNRTTDSTS >OGLUM05G04310.1 pep chromosome:ALNU02000000:5:3664618:3668913:-1 gene:OGLUM05G04310 transcript:OGLUM05G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASYRRDGRGGGGGGGGGGSAPRSVEDIFKDFRARRTAILRALTHDVEDFYAQCDPEKENLCLYGYANEAWQVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNDRKRLFGMMNDLPTVYEVVSGSRQSKERDRSGMDNSSRNKISSKVTRISPQFFTISPPIMSPDEQIPSSQHTSDVARVENNIKEADEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDVCERWYHGKCVKITPAKADSIKQYKCPSCSSKRPRQ >OGLUM05G04320.1 pep chromosome:ALNU02000000:5:3671440:3681366:-1 gene:OGLUM05G04320 transcript:OGLUM05G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGPLPPPPPPMGAAPPPPGTGAPPPPPPAAAAAGPPGGGKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLTDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSASKVDRGGEQLKRMTMRTSVSRMPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKIETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDSGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >OGLUM05G04320.2 pep chromosome:ALNU02000000:5:3671440:3681366:-1 gene:OGLUM05G04320 transcript:OGLUM05G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGGPLPPPPPPMGAAPPPPGTGAPPPPPPAAAAAGPPGGGKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLTDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSASKVDRGGEQLKRMTMRTSVSRMPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYPPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDSGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFT >OGLUM05G04330.1 pep chromosome:ALNU02000000:5:3684000:3684782:-1 gene:OGLUM05G04330 transcript:OGLUM05G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTTILVASMLVVMAVESPVANGQAPAPAPAAPKTITAILTKAGQFTKFLQLLQSTQAGEQINNQIKGKASSSGGLTVFAPPDNAFTALPTGTLNKLSDQQKTSLVQFHVVSALLPMAQFDTVSNPLRTQAGETAAGKYPLNVTAEGSRVNISTGVVNATVDNTLYSGDRLVVYQVDKVLLPWALYGPPVPAPAPSPADKAKKKTGPVAVADAPAADTAAGTTTTAATASEAAARGTVRRGLVGVAVAVAVAWCGM >OGLUM05G04340.1 pep chromosome:ALNU02000000:5:3686505:3688782:-1 gene:OGLUM05G04340 transcript:OGLUM05G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMDHMDRLNNEPPPFGTWTKLNYFLSVHNPLIISSMVNLLHSCLIMPGQKLFMHPRSDPANGAGSSGYVGNTMRSNDLPSSSYAGQAYGQQNRAPIHASHSGHAPAGSSSGSYAPYNTQHMPASNYPHGSEDNFIPSSHVDGRRVALKRRNPIIHPTDGFGVGNYYAGSSSNTQFSRPMPPNPIPPPESCVRMPSHLGSNHWNDHRYVNHEGSQRNVRGRHDHSTIHLEQSPAAACPSSSINVPPYHPNANGPFGSTPVQRDRAPLSVHPRILPPGPDGSSIAFRERPYYPAPQSTNISAPVPTLPISCDSAPFAHGGYAPRSAHRNNLRTYPPPAFASSSNPGAVSHEPAIPSYPPAAPSYPPATSAASSSVQPFHAEAAAHLRHPRHVSVGGSGSARSRRMRDSYHGFHHLMIEDNNLGRSAAERFMMLDQLVIHESREAFDPHWDMRLDIDDMSYEELLALEERIGHVNTGLADEKISGCVMEVACCSSSHLQDDQDNERCVICLEEYKHEDTLGRLKCDHGFHCNCIKKWLQVKNTCPVCKAAAADEGS >OGLUM05G04360.1 pep chromosome:ALNU02000000:5:3698745:3702989:-1 gene:OGLUM05G04360 transcript:OGLUM05G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAGGGGGGKVGLPALDVALAFPQATTASQFPPAVSDYYQFDDLLTDEEKTLRKKVRGIMEREIAPIMTEYWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISVAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLTQFRTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNGTFADVLIILARNSDTNQLNGFIVKKGAPGLKCTKIENKIGLRMVQNADIVLNKVFVPDEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLAAFQLNQEKLVRMLGNIQAMLLVGWRLCKLYESGKMTPGHASLGKAWTSKKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAALTKSRL >OGLUM05G04370.1 pep chromosome:ALNU02000000:5:3716715:3719576:1 gene:OGLUM05G04370 transcript:OGLUM05G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACQQQIWQEGKQQQHLHHGGYDDLSSVYRGTVVLPRRQGGLAPEPPPPPPSSSSGRSAAAQATAMRIHSEAERRRRERINAHLATLRRILPDAKQMDKATLLASVVNQVKHLKTRATEATTPSTAATIPPEANEVTVQCYAGGEHTAAARTYVRATVSCDDRPGLLADIAATFRRLRLRPLSADMSCLGGRTRHAFVLCREEEEEEDAAAEARPLKEAVRQALAKVALPETVYGGGGRSKRQRLMMESRYSTEVVHTHVDPLQYC >OGLUM05G04380.1 pep chromosome:ALNU02000000:5:3722685:3733161:1 gene:OGLUM05G04380 transcript:OGLUM05G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fructose-2,6-bisphosphatase [Source:Projected from Arabidopsis thaliana (AT1G07110) TAIR;Acc:AT1G07110] MGTSGSKGIDGVGGVGGGAAGLGGGEAGDGGGGVGGAASRSWHGGAQLYVSLKMENARITGDLVPHVYGSEPIIGSWDPARALAMERELASMWALSFVVPPDHETLDFKFLLKPKDADTPCIIEEGPTRHLTGGMLEGDVRIAWFKMNGDHETLEFRVFNKADIVSPLDLAASWRVFKENFQPSKVRGIPDVSINVAPAHGTEDTSTESLELDLEHYVIPTPSAATSEYAANLAANPASLIQTGALWTNDMLLSDGIQSPSSATADFEDHNNCNKDNVALPSDSFKKLQVSGIVESKSVDTLTTLQKQDGQKGLFVDRGVGSTKFGKSSSACSLASGLNFGTGKAMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAAKLTRYLRWLGHETRHFNVGKYRRLKHGANQSADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRYMLMKMAEGNCKIIFLETICNDPNIIERNVRLKIQQSPDYADQPDYETGVRDFKERLANYEKVYEPVQEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDTVLSEDGELYSKKLANFIEKRLKSEKTASIWTSTLQRTILTASPIVGFPKIQWRALDEINSGVCDGMTYEEIKKVMPEEFESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLREVPDIEMPLHTIIEIQMGVTGVEEKRYKLMD >OGLUM05G04390.1 pep chromosome:ALNU02000000:5:3736766:3738321:1 gene:OGLUM05G04390 transcript:OGLUM05G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIRPNMFSNIPQRYVGTKRADSLFFIKVQVASTDYKVQIKEHLVNNCGIRSKAVDKWFQAVTVATGFTAVEVENFYYILTCAHLFEYFYSAEIKVDCDKLNSWFNILIICQHYESDMIANHPNLYADPSNDPRFYSPARIIKLDQSKDLMLLKVSKRYLYGNHTMQLCQMPHPVLSLATVKPRPADDIMLVSWPPCRKDSVITGQLVARDRVYGQLTQYLSKGYSMHLVELNVVGGAGCSGAPVLSHQAAVIGLYHGRIESLGYAVSAADIYEFCLGAHQL >OGLUM05G04400.1 pep chromosome:ALNU02000000:5:3744098:3750188:-1 gene:OGLUM05G04400 transcript:OGLUM05G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFECAVCRIPNPLSLPITRRRSRRLAAAGGGGSGDERLEQEAATRTPLGASGGPGQLPHPPPRQRLGMEYYHSSDSEQGLRLGISATTRPTMDSSALPRSTVAHAADVATQDRKGMRKTKTKKKRRKKRKESVLASLPRDQLASKTTPLSPLAMGEGSTTAAAEKDAADSSSEYSSPLRRPMVYYNSDVAAVDLYHRQFFKYQEKKARLEQLPTLKQSDYYQNIADWHNLNQKRAVLGVAKSVLSLSSTHDEKEIYRCTGIIIEWDEVSKSATLVTSSQILCNEESQDNSIYYPNTKMIAHLLDGTTSEMELLYFSKHYEIVFFKVNGALDLQVALLDTELEFGSEACVLARDKNLDLICRHTTIVAMDPCEHQKNHYLFIDASDCEDCNGGALTNFNRNIVGMVLYALPNIAFIPSSLILKCFALWKKFRKLGRPHLGLKLRTVNFLDISHLENLSRVYGISSGLIVAKVSNGSPAERNGIRMGDVIFHCQQESISTTAQFEDVLLDVCEKHFEKGINLNSKVDVELGVYNLRKRSRRTVSLSVELSDGMEGYGTTRTANCCEEIVIL >OGLUM05G04410.1 pep chromosome:ALNU02000000:5:3768613:3771126:-1 gene:OGLUM05G04410 transcript:OGLUM05G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT2G30080) TAIR;Acc:AT2G30080] MSGTGCFPAGEMAAVARVCRDGAAAARLKTGSLLAILVASAVGICLPVALTGAFRGKAGYARGLLLVKCYAAGVILSTSLVHVLPDAHAALADCAVATRRPWRDFPFAGLFSLVGALLALLVDLSASSHLEAHGHHQHAEEGESPPPPPPTHQPYAPIPTTKKSPVFELSGEMSPKKRAHSDDTDRDDVALFGAKNAVRSDEVVVAPRVGCHGHDVVEVGEEGGEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGIATVGYMCVMFSVTTPLGILLGMAIFHMTGYDDSSPNALIIEGLLGSLSAGILVYMALVDLISLDFFHNKMMSSSNKLKKVSYVALVLGSASMSILALWA >OGLUM05G04420.1 pep chromosome:ALNU02000000:5:3773846:3780026:-1 gene:OGLUM05G04420 transcript:OGLUM05G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEREANGLTMQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRISTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNEPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDQYAPEETQANVSLSCPEQCAPEEPQANTTPSCPEQHVLEETQANANASLPCPDQLVPDNASLPCPEQHVPEETQINAGLSCPDQHLPEETQFNVSLSYPDQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFTSAAVV >OGLUM05G04420.2 pep chromosome:ALNU02000000:5:3773846:3780026:-1 gene:OGLUM05G04420 transcript:OGLUM05G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEREANGLTMQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRISTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNEPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDQYAPEETQANVSLSCPEQCAPEEPQANTTPSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHHLPEETQFNVSLSYPDQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFTSAAVV >OGLUM05G04420.3 pep chromosome:ALNU02000000:5:3773846:3780026:-1 gene:OGLUM05G04420 transcript:OGLUM05G04420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEEREANGLTMQGQHLNSQTVDLARNLRENQLGGVIFGCKHNTIEECFEKQLFGLPSVHYSYVRNVKPGLPLFLFNYSDRKLHGIFEAASPGQMCIDPYAWSHDGSLRTSFPAQVRISTKTRYPPLMESQFRTVLGDNYYNHHHFYFELDHAQTKALIAVFKSLAPANFTQVPAVSSKRTIAPLPSTKRQAPVIPDQKKGSASPKDINPFSVLSQSGGAVKDNWADSDVENGSISRSSDEKESRELVSDWEDLDDNVLHGQLGLCSNPDEISQNSSYNSVAKGAEFVECSHLVVNPVNGGIQSSDGDMLVISDDVHSGAVGVDGIESGGQNEPDDVSIQPERLSILQKLKELFVLRQQAVLSDQNLAYSNSDQYAPEETQANVSLSCPEQCAPEEPQANTTPSCPEQHVLEETQANVSLLCPEQYVPEEPQANASLSCPEQHANASLPCPDQLVPDNASLPCPEQHVPEETQINAGLSCPDQHLPEETQFNVSLSYPDQHVPEETQVTAGISCPDQHVPEETQVNASLPSPNQHVPEETKATAAISCPDQHVTQANASLSQHEFGAKVEDNTSLEQNQGNAELIKIVLDLIKKTDSLDMRQNKSHEEILSLKEVVKDSGTKVKQLEYRIDELQFKLDSSLSLVGDACDTLDKPSIFLIGGYNGVSWLSSLDAFSPEKDILVPLAPLSSARSYASVATLEGCIFVCGGGVGDSFGNTVECYNTMCNEWMACPCLNNKKGSLAAVSLDGKIYAIGGGDGIVTYSDVEMFDPFLGKWICSPSMMNSRFALGAAEMNSVIYATGGFDGFSYLQSAERYDPREGFWARLPSMNVRRGCHTVAALGGVLYAIGGYNGDRMVSSVEIFDPRRNSWRVGDPMNFPRGYASTVTLGDNVFVIGGLQSSEKFMDSVEVYNVKCGWSVPGFSSIGVRCFTSAAVV >OGLUM05G04430.1 pep chromosome:ALNU02000000:5:3793687:3794199:1 gene:OGLUM05G04430 transcript:OGLUM05G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCCSQGGAVAVRRTILIVSPDSELERGEIYFLIPASSVPDKKKKSGGGAAAATPAASGRHGKSKQAAPSSDHGGNGRRHVRDVSSEKRSSSLHRRRMSAGSRTAAWRPHLECIVEDT >OGLUM05G04440.1 pep chromosome:ALNU02000000:5:3800230:3804819:1 gene:OGLUM05G04440 transcript:OGLUM05G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERKGEVSIGMYTYNHNSDSGFDVHEIYVKRSRFRVLLSYIGMVFLLASVCQLYLSKEGLSTGSVWSIAFGILVAKCLQYKPVKKESVVIMPTFGVQLEIHFWSGRVHRRFVPIGKILKPLLNECVTPVTCYWSLALLLRDEEELRLVFQSVELDAAAAAAYTAVVDDDDADAGSVAVVTTPMVPATGSWRAAAAAARRCEAWEEGSTAAAEGRRYLCLAMSARTAASSASRAWTCCCKVRMAPMQPYTGSRSRRLASYTRLFAASPRWLSCTSCNDDDDDG >OGLUM05G04450.1 pep chromosome:ALNU02000000:5:3804374:3806196:-1 gene:OGLUM05G04450 transcript:OGLUM05G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARRSCSMPAAGAGPGSAAAAAAAVNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFASVHKVFGASNVSKMLLEVHESQRGDAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELAAVRADIARHKYRLPSAAAVLPSSHASHLLAAAAAARQLPVAGTMGVVTTATLPASASSSSTTAVYAAAAAASSSTDYSSITNENVPYFS >OGLUM05G04460.1 pep chromosome:ALNU02000000:5:3818937:3819623:-1 gene:OGLUM05G04460 transcript:OGLUM05G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNGKEEVYVKEGSKLYSRMMSKEAAAAAAPLAVPSFRVYYGVASAGSVPFLWESQPGTPKSSPSTAVLPPLTPPPSYYASAGKKGGGGGGASSGSRRRGWSAGGARGVLGAMFRRPWRRTSPCSTSSSSTWSYSSPSSVSMSPVFTVHQASPMAVARSHHTRACSAGAAYDDAAAARCFGMERECERGLVKGCGVAVAVRNALSSVVGHKSGGHGGAPPAAAAAY >OGLUM05G04470.1 pep chromosome:ALNU02000000:5:3842979:3854179:-1 gene:OGLUM05G04470 transcript:OGLUM05G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSVILANNGNLMIIGSSPTSNVSWQSFDHPADVMLPGAKFGWNKVTGATIKYVSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQMMSINPQTRGRINMTYVDNNEEEYYAYILSDESLYVYGVLDITGQLIINVWSQDTRSWQQVYTQPVSPCTAYATCGPFTICKGLANPVCSCMESFSQKSPQDWEVGNRTAGCFRNTPLDCGNTTSSTDVFQAIARVQLPSNTPQSVDNATTQSKCAQSCLSYCSCNAYSYENNRCSIWHGDLLSVNSNDGIDNSSEDVLYLRLSTKDVPSSRKNNRKTIVGVIVAACIVCFLVMLMLILLILKKKLLHASQLGGGIVAFRYSDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIGVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDKRLLVYEHMENGSLDAHLFQSKATTTRYNLATGVARGLSYLHHSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTKFRGTIGYLAPEWISGVAITPKVDVYSFGMVLLEILSGKRNSHKVCTDDNNSNQVAFFPVRAISKLLEGDVQSLVDPELNGDFSLEEAERLCKVACWCIQDNEVNRPTMSEVVRVLEGLHNFDMPPMPRLLAALALYIFLGLLLFSLHGAPPCSAAAVNDTLLAGESIAVSDKLMSRNGKFTLGFFQPSVVSKSGNITSPNWYVGIWFSNISEFTTVWVANRDNPVTDLQLNQTRLKLSNDGNLVISSNASTIWSSATFANTTTATTMNTTSVVLANNGNLMIIGSSSTSNVSWQSFEHPADVMLPGAKFGWNKATGATIKYFSKKNLIDPGLGLYYFQLDNTGIVLARSNPAKTYWSWSSQQSSKAISLLNQLMSINPQTRGRINMTYVDNNEEEYYAYILLDESLNVYGVLDISGQLIINVWSQETRSWQQVYTQPISPCTAYATCGPFTICNSLAHPVCNCMESFSQTSPEYWEVGNRTAGCSRNTPLDCGNMTSSTDVFQAIARVQLPSNTPQRVDNATTQSKCAQACLSYCSCNAYSYENNICSIWHGDLLSVNSNDGIDNSSEEVLYLRLSAKDVPSSRKNNRKTIVGVIIATCIASFLVMLMLILLILRKKCLHTSQLVGGIVAFRYSDLCHATKNFSEKLGGGGFGSVSKGVLSDSTIIAVKKLDGAHQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFQSKATILNWTTRYNLAIGVARGLSYLHQSCKECIIHCDIKPENILLDASFTPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEMLSGKRNSPKVCIDDNSNQVALFPVTAISKLLEGDVRSLVDPKLNGDFSLEEAERLCKVACWCIQDNEVDRPTMSEVVLVLEGLHNLDMPPMPRLLAALAL >OGLUM05G04470.2 pep chromosome:ALNU02000000:5:3832987:3842974:-1 gene:OGLUM05G04470 transcript:OGLUM05G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIVILSRTQHICILPLCFLHPIFFAMTTLLYISLGFLLFSLHVTPPCSAATNDTLAAGEVLAVGDKLVSRNGRFTLGFFQPSVVVKSGNITSPNWYVGIWFSNISVFTTVWVANRDSPVTELQLNQTQLKLSKDGNLVISSNASIIWSSTVNRTSATTMNSSTSVVLSNDGNLVIGSSPNVLWQSFDYPSDALLPGAKFGWNKVTGFTRRFTSKKNLIDPGLGLYYVELDNTGIDLSRSNPPNMYWSWSSEKSSSALISLLNQLININPQTKGRINMTYVNNNEEEYYEYILLDESYYAYVLLDISGQIEINVWSQDTQSWKQVYAQPADPCTAYATCGPFTICNGIAHPFCDCMESFSQKSPRDWELDNRTAGCSRNTPLDCSNTTSSTDVFHTIARVRLPYNPQIVDNATTQSKCAQACLSYCSCNAYSYENSKCSIWHGDLLSVNRNDGIDNSSEDVLYLRLAAKDVSSLRKNKIKPIVAVVIAASIVSLLVMLMLILLVLRKKLRFCGAQLHDSQCSGGIVAFRYNDLCHATKNFSEKLGGGGFGSVFKGVLSDSTIIAVKKLDGARQGEKQFRAEVSSIGLIQHINLVKLIGFCCEGDERLLVYEHMVNGSLDAHLFQSKATVLNWTTRYNLAIGVARGLSYLHQSCNECIIHCDIKPENILLDASFAPKIADFGMAAFVGRNFSRVLTTFRGTVGYLAPEWISGVAITPKVDVYSFGMVLLEILSGRRNSYKVHTDDNSDQVAFFPVQAISKLHEGDVRSLVDPQLNGDFSLVEVERVCKVACWCIQENEIDRPTMNEVVRVLEGLQELDMPPMPRLLAALAECSVVAHCDDDS >OGLUM05G04480.1 pep chromosome:ALNU02000000:5:3865913:3868054:-1 gene:OGLUM05G04480 transcript:OGLUM05G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUP5] MTPLINISLGLILFFLHITTTSCAANDVLMVGQALTVGDKLVSRNGKFTLGFFQLSIVSKSGNIISPNWYVGIWFSNILDFPTVWVANRDKPVTELQLNLTQLKLSRDGNLVISNNATGSILWSTDIVDRTSSATTMNNTSSVVLSNDGNLVIGSSSNVLWQSFDNPSDVLLPGAKFGWNKLTGFTRPIISKKNLIDPGLGLYQVELGSKGVSLRRRNPSVVYWSWSSEKSTDKFMLISLLKQLININPHTRGRIDIKHVDNNEEEYYTYTLLDESYSIYVVLDISGQVEINIWSQLTQSLQKVYAQPADPCTAFGTCGPFTICNGISRPFCDCMESFSRKSPQDWELDDRTAGCMRNTQLNCGNMTGSTDVFYAIARVTLPYNPQSVDNATTQSKCAEACLSHCSCNAYSYERSRCSIWHGDLLSVNMNDGIDNNSEDILYLRLAAKDLPGSAKNRTKPNVGVVTAATITSFGLVMLILLLLIWRNKFKCCATPLYGGQCSGGVVAFRYSELCHATNNFSKKLGGGGFGSVFKGVLNDSTTVAVKKLDGASQGEKQFRAEVSSIGLIQHINLVKLIGFCCKGDERLLVYKHMLNGSLDVHLFQSSSETVLNWTTRYNIVIGVARGLYYLHQSCHNCIIHCDIKPENILLNATFVPKIADFGMETFVGRDFSQVLTTFRGTIGYLAPEWISGVAITPKVDAYSFGMVLLEILS >OGLUM05G04490.1 pep chromosome:ALNU02000000:5:3895558:3895752:-1 gene:OGLUM05G04490 transcript:OGLUM05G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVALFAAATFALCAADDYRLMAFVGIVVAVYAAVTVVFVRCNPALPFPGGDAGHGCSWVSRL >OGLUM05G04500.1 pep chromosome:ALNU02000000:5:3895831:3897892:-1 gene:OGLUM05G04500 transcript:OGLUM05G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRIRRDVEIESVADDSANSVQNIRLVIDIGDRTIQVPLNGHTVAQNIGRQAAAAVAGDSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAVATLFAAMAFQAALQPPGWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVNTCTFATSLAVLLMLLAVGGGGGGCASRRVTARLIANMMTAVALFAAATFALCAADDYRLMAFVGTVVAVYAAVTVVFVRCNLALPFRRGGGGHASGVKMTIRIAEQIDGSIDSVADDSANSVQANQLSSSAFNLLLMSMLVIDIGETGRSRSLSMATPSFRTSAVRLPLPSPAVSVRKPVVLGGSGNSGAASACCAYADGWMVVATLFAAMALEAALQPPAWMPRPRDWFAALLAVPDRQHVHFRHVSGRDPHG >OGLUM05G04510.1 pep chromosome:ALNU02000000:5:3900278:3903997:-1 gene:OGLUM05G04510 transcript:OGLUM05G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCSFRTAASPSPFPSLPSSSRQAPLCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNILSGKDIPNAGHLQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRYELVQADLAIAKYSELSVINLRRLFAHKGLNFMDLQKQIIERSPPKRKLTVDTIF >OGLUM05G04510.2 pep chromosome:ALNU02000000:5:3900278:3903997:-1 gene:OGLUM05G04510 transcript:OGLUM05G04510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10970) TAIR;Acc:AT3G10970] MESCSFRTAASPSPFPSLPSSSRQAPLCSSLRFPRPRNGRMVGVRRRASGFEAFPPLPGKVFVEEAIGAEYGEGFETFRMDGPLKVDVDYLNEKLQECFLQRIRHAMKPDEACGLIFSWDNDIPNAGHLQKSILHGAADHVLRKVLYWAKDESQMERLKARLIELYYENLFKLDTPVNGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMELSKYFKAIVTDEDDMESIAHRYELVQADLAIAKYSELSVINLRRLFAHKGLNFMDLQKQIIERSPPKRKLTVDTIF >OGLUM05G04520.1 pep chromosome:ALNU02000000:5:3906239:3909821:1 gene:OGLUM05G04520 transcript:OGLUM05G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSPAAAHHHRLLLLLLYSSALLIPLASAYRPGDIVPMLRSGQYHGSRSVWFDVVGRHCPSFAVNHEVMMPIPKPTGFTGADPYKITFQIGHEKFHLPWLYVINRKSSEVPMIDFHLKYSGNDLLGVTAKVVDMPHIYVEHHPDIRKNFWDQQNWPKYVLVRYTWEEQSEIDVPGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVNDSSLPEGGFAKVE >OGLUM05G04530.1 pep chromosome:ALNU02000000:5:3911191:3913740:-1 gene:OGLUM05G04530 transcript:OGLUM05G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUQ1] MLAKMQSRRWILAYLLVVLVSLHGGANGFYLPGTFMHTYTPNEVISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYQFRVNVNESVYLCTTDPLTKEQAELLKKRARDLYQVNMVLDNLPVMRFTEQNGVTIQWTGFPVGYNPMGSNEDYIINHLRFKVLVHQYQAQGDVVITSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDPEAMSKLKMYDKVDSVKCPLELEKSQAIRENERITFTYDVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTSEGWKSVAWLTSCFFPGIVFVILTVLNSILWGKKSTGALPISLFFTLLALWFCISVPLTLIGGLLGTRAASIEYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >OGLUM05G04540.1 pep chromosome:ALNU02000000:5:3922632:3925583:-1 gene:OGLUM05G04540 transcript:OGLUM05G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQLSLSVLLFGVGVATVTDLQLNAVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFDYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTLEGQQKNAEVSPQQAKEGDSAPLISDSLSKVENGGGVVDDEPLKVPMWSSKYSRA >OGLUM05G04550.1 pep chromosome:ALNU02000000:5:3934406:3936457:-1 gene:OGLUM05G04550 transcript:OGLUM05G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMQKTQSRKSSSWWWDSHISQKSSKWLSDNLEVMETQIKETLELIEEGETSAEKAGVLITHVQNFQQMYRVLAERYGNVTGELRKNIPSSLQSSVSFGISESDSEAQSPSSPERDLQEKMSQKQKPRSDCFDVSIGSGMSSDVSKKGSDGSSSSSESDLELDEAKEENGNSIFYALSQKIIELEDELHEVRGKFDASEEKNMRCHCNFGANSELSEHEEKQQVSDVETSRLQKDLDEVKSEKEALEAVVLVNKDEIDRLKESMVSAAKQFEVELAHRDTEIDKCKQELEVLSEKYLHDISALEAEIGKLQGVIKNFEDDIAKISQEKLLLESRVEELEQSVNSSNYSVSEMVKLQELMKDTQAELEQVSQEKEVLRERVLEFEQLFRDFENSGMEVAKLPETIKNLGAQIEGTLQEKSVLQDRIKELEQVVHDSLQNHSLEKSSLSAELSKLSEANASLEAKLASVEAELKQVYDEKANESLNSEKEISRLNQELANVKTDLELLLSEKSLVDNKLTTLLTDITTRDETMKQMDDQLNQLQLEHSKLMAQADLARKSLSELHARVCELEKEVEMQKLVISESAEGKREAIRQLCFSLEHYRSGYQELRQLLHDQKRPLVMAT >OGLUM05G04560.1 pep chromosome:ALNU02000000:5:3940432:3942262:-1 gene:OGLUM05G04560 transcript:OGLUM05G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVGKGRRHIEEDKLDFKGGNVHVITSKEDWDRKIEEANKDGKIVVANFSASWCGPCRVIAPIYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFIKNEKQVDKLVGANKPELEKKVQALADGS >OGLUM05G04570.1 pep chromosome:ALNU02000000:5:3944767:3952266:1 gene:OGLUM05G04570 transcript:OGLUM05G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPTRNPHHRSRVTQTPQPPSPLYKPLRRAPPNPSRTSSPLPAAVRRAHAAAAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFSHCVHLVSWEKENVTSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKPNNAVHASEALRRAKFKFPGRQKIIESRKWGFTKFSRDEYVRLKSEGRIMPDGVNAKSFSPMGHVEEASGSDDDGVELLSVSWNQDNSCFIAATTNGFRVFSCKPFHETMRRMFGPNGGIGIAEMLFRTSIFGLAGAESNTEFPPTMLQLWDDYNERRIHKYNFTSEIRAVRLSKDYFVVVLEKTINVYRFKDLRLFYQARTVSNPNGLCCLSHHANASVFACPGTSKGQVLIEHFGLKETRFIAAHGSPLSCMTMALDGTLLATASVRGTLIRIFNTRDGTCVQEVRRGLDRAEIYSIALSPNVQWLAVSSDKGTVHVFSLRVKDAEEDAKKGESATAGAQVNDNCNYGSTVPVTQTKIGSNTSSSLSFMKGILPKYFSSEWSFAQFRLPEITRYIMAFGDQDTVMMIGLDGSFYRYSFDPVNGGEMMLKEYHLFLKASKSL >OGLUM05G04580.1 pep chromosome:ALNU02000000:5:3955794:3958815:1 gene:OGLUM05G04580 transcript:OGLUM05G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGKDGGGGMAAQAGRLGVVASVAFNLAALAFYLRRRYFGGDDAAAARKKAEAEVPPSSGKPPVTKDSIINLDHGDPMMYEAFWRGGAGERATIVIPGWQTMSYFSDVGSLCWFLEPGLEREVRRLHRLVGNAVADGYHVLVGTGSTQLFQAALYALSPPGPSAPMNVVSPAPYYSSYPAVTDFLKSGLYRWAGDAKMFDGDTYVELVCSPSNPDGGIREAVLKSGDGVAVHDLAYYWPQYTPITSAAAHDIMLFTVSKCTGHAGTRLGWALVKDRAVAQKMSKFIELNTIGVSKDSQLRAAKILKAITDGYDRAAGAAAAGDDDDDSSGRLFHFARRKMVSRWAKLRAAVAASGIFTLPDELPGHCTFANETVSAYPPFAWLRCGKEGVDDLEGYLRERKIISRGGGKFGADGRVVRISMLDTDEAFAIFVDRLAAMN >OGLUM05G04590.1 pep chromosome:ALNU02000000:5:3964513:3967865:-1 gene:OGLUM05G04590 transcript:OGLUM05G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASQFMDKQILGLAASGAGAAAAAAAASSSPPAAGGGGGGGLFDLMSPDPQEDGGGHARRGQQQHGGADEVVPSYDFQPIRAAPAAAAPASAASPWGSLDSKAASSNFKSAGMLESHVLKKVSHEEERGNFSAVSIADIDRTMKKYADNLLHALESVSSRLSQLEGRTHHLENSVGELKLTIGNYNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQVQLSKLQLSKAEDAQSEKAGVGQADSRQQPTLPQPQHQAPPPSHPPALPALPAPNAPPPPAPQSQPPSQFPGHLPHSQVQSVPPAPPTPLAPTIPQESYYPPSAVQPTDTTHQQYQAPPAPQSQAPPAPPQHYQTPQYAQYSQPPPASANPSTAVPPSVHQQPEEVAAPYGPPPQSYPPNVRPPSPYMPPPSGPAPPFYGPNPGMYEPPAVRPNSGPPPSYNTGYKPQGGGGFPEPYGYSGSPSHRGNAGMKSPSPFHPTGSAGSGNYSRLPTAQMLPQAASASSTPSASSGNRVPIDDVVDKVATMGFSREQVRAAVRQLTESGQNVDLNMVLDKVMNGADAQPQRGWHGR >OGLUM05G04600.1 pep chromosome:ALNU02000000:5:3968180:3979932:1 gene:OGLUM05G04600 transcript:OGLUM05G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRWRAAPMASRSPAAAAAAMVMACVVVVLRVSCVLAVDEQGASLLAWKATLRGGGGALADWKAGDASPCRWTGVTCNADGGVTELSLEFVDLFGGVPGNLAAAVGRTLTRLVLTGANLTGPIPPELGELPALAHLDLSNNALTGTIPAALCRPGSKLETLYLNSNRLEGAIPDTIGNLTSLRELIVYDNQLAGKIPASIGKMSSLEVLRGGGNKNLQGAVPAEIGDCSSLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGRCGCLENIYLYENALSGSIPAQLGGLGKLRNLLLWQNQLVGVIPPELGSCAALAVVDLSLNGLTGHIPPSFGNLSSLQELQLSVNKLSGAVPPELARCSNLTDLELDNNQLTGGIPAELGRLPALRMLYLWANQLTGSIPPELGRCGSLEALDLSSNALTGAIPRSLFRLPRLSKLLLINNNLSGELPPEIGSCAALVRFRASGNHIAGAIPPEIGMLGNLSFLDLASNRLAGALPPEMSGCRNLTFVDLHDNAISGELPPRLFRDWLSLQYLDLSDNVIAGGIPPEIGMLTSLTKLVLGGNRLSGPMPPEIGSCTRLQLLDVGGNSLSGHVPGSIGKIPGLEIALNLSCNGFSGAIPAEFAGLVRLGVLDVSRNQLSGDLQPLSALQNLVALNVSFNGFTGRLPETAFFARLPTSDVEGNPALCLSRCSGDASEREVEARRAARVAMAVLLSALVVLLAAAALVLFGWHRRGGGARGGEDKDGEMSPPWDVTLYQKLEIGVSDVARSLTPANVIGHGWSGEVYRASMPSSGVTIAVKKFRSCDEASIEAFAGEVSVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGATGGGATTTAAVVEWEVRLAIAVGVAEGLTYLHHDCVPGIIHRDVKADNILLGDRYEACLADFGLARVADDGASSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPAFGEGQSVVQWVRDHLCRKRDPAEIIDVRLQGRPDTQVQEMLQALGIALLCASPRPEDRPTMKDVAALLRGIRHDDGVEARKAGNGVGTDAETQRQPISPTKLMALARPAQAQAQAQLQARANSGSLGLLNDQE >OGLUM05G04610.1 pep chromosome:ALNU02000000:5:3980659:3981728:-1 gene:OGLUM05G04610 transcript:OGLUM05G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARYWGAFSNGIQFSLCFGALAATTVNFAVEKIRGGWGWRLSLALAGVPVDDELDEIIAANATAAQGENALWLFYRRGAMAAGSGARAAGDSGGGGVGRPSCSHVVASLPPPPHRRTPASPLASSSPAAGYAASERIEEERGRDVERETFMPTFFKDV >OGLUM05G04620.1 pep chromosome:ALNU02000000:5:3981780:3982602:-1 gene:OGLUM05G04620 transcript:OGLUM05G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRREGHGVRGTVLRHGGDGRRHLRLRHQHRGRRVVHGVVPKGLITGSAPADGGRRRWRAPRQQLLQVRQLAADALHLVALHLRPAHLRAPPSWVTASRGRRASMILGGFAYIAGVAASGTAVNVSIAILGRAFLGVGLGFTTEVTTLKKSNYSQILDVPFGQIFCKVF >OGLUM05G04630.1 pep chromosome:ALNU02000000:5:3983213:3987927:-1 gene:OGLUM05G04630 transcript:OGLUM05G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLMASCCFIISYKRPRPIIATFVPFLLLLFFFTVVVAASSSSNGTAAALHPGEELLRLERVRAQLARSPDGDVIDCVPSHLQPAFEHPRLRGQKPEEAPSARPTETTTRRRRRRRSHAHGGGGGEHREEEDDDEHGLRQAWWAAGKACPEGTIPVRRTTEADLLRASSAAAAGGRFGMKPRGVGVVGGAARRDSTSSGHEHAVGYMSGGQFYGAKASLNVWPAKVASPAEFSLSQIWLISGSFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTNDAYQETGCYNLHCSGFVQTNSRIAMGAAISPISSFAGRQFDITLLIWKDPKQGHWWLQLGSGALVGYWPSFLFSHLGARADMAQFGGEVVNTRPSGSHTPTQMGSGRFPGEGYGRAAYFRNVQVVDWDNNLIPAAALRLLADHPACYDIAGGQGAAWGRYFYYGGPGRNARCP >OGLUM05G04640.1 pep chromosome:ALNU02000000:5:3994161:3998542:-1 gene:OGLUM05G04640 transcript:OGLUM05G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14550) TAIR;Acc:AT5G14550] MKPPRRWMYGRGGGKGKPAGLLLLGVFLCLSVVLLLLLHGSSPSLEGEGRKPEAVEAAGGGGGGEEEEVAVARAEVEEAPLPPGNARLAFLFIARNRLPLDLVWDAFFRGDKEGRFSIFVHSRPGFVLTRATTRSGFFYNRQVNNSVQVDWGEASMIEAERVLLAHALKDPLNERFVFVSDSCVPLYNFNYTYDYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLTRKHAEVVVEDEEVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQHGLEEELTRRSVTHSAWDLSSSKDRERRGWHPVTYKISDATPALVKSIKDIDNIYYETENRKEWCTSNGKPAPCFLFARKFTRAAGLKLLDLSLIAANGASTM >OGLUM05G04650.1 pep chromosome:ALNU02000000:5:3998573:4014696:-1 gene:OGLUM05G04650 transcript:OGLUM05G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKYELSFCMATGDKDEKGTGNQIPSTDNGTEPQTDRRHARPARPPPREEKGSAFAVLEASATHAGAAKFWTLGQEASGSPPVEEEEEPPLLRQHRLKTSGTRRETPSVLQGGALTVAAGRRAEAGSPGNRLPSPPRRRRVEQKNDDAVLAAVATGCGGCGGGGRFSLTTVTGQVAWLATNKHHDRERDRDVWMFLPLDGAEASVPDDEPVQGNPLSRLRQLLRRLAPTPPVISPDPEPLPRGGCGGCVAPPVPVAGASTLHTLQDQQLNFHFTCLASAALARHGNPREIGDSFDSRDHHESTTLLLSLDSMGAR >OGLUM05G04660.1 pep chromosome:ALNU02000000:5:4006577:4009524:1 gene:OGLUM05G04660 transcript:OGLUM05G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICMRDRSRRGVARERMAAVSVGGGGRNIGVAMDFSACSKAALRWAAASLARPGDRLVLVHVKPSFQYEQGVAHLWEQQGSPMIPLVELADPRVSRIYGVAPDAETIGILTSAANQKGVEVVAKVYWGEPAKKLTEAAQGIPLHWLVVGNRGLGAGSDGEREHVRRQPRHLPRHRRQGEPAASAAATAARRNGGELLLMTHRLRFPTYICLGQL >OGLUM05G04670.1 pep chromosome:ALNU02000000:5:4018193:4020384:-1 gene:OGLUM05G04670 transcript:OGLUM05G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAELEGWWSIGGERRVKTQPGLGRADNDGSFPLLRALSCCLTPQGQLPGESPVSAPLSPDGRRRRFVYWADPKTNTLGC >OGLUM05G04680.1 pep chromosome:ALNU02000000:5:4036278:4036592:-1 gene:OGLUM05G04680 transcript:OGLUM05G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTLIIVPLALRGASLLGNAVAAAVVPSSSPEQQQQRRPRPPPGSKNGASPSSSAHGQHWKDQSRHAAFTRRRFGTGAGDGGGGFFSDDKRFSPTGSNPLHNL >OGLUM05G04690.1 pep chromosome:ALNU02000000:5:4040574:4050378:-1 gene:OGLUM05G04690 transcript:OGLUM05G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERRAAASLLAVLILSLVVSASSAAAGVAPAAPPDVLSSPGAAAGEGEAEALLAVKAALHDTANVLADWNANSGGVVAGGGGGGPCNWSMVTCSKTGHVSVLDLAHRNLSGTLSPAIGKLRRLRLLFLQHNAISGPIPDTIGRLKVLQTLDLAYNHFTGTIPSILGHSKGIFLMDLSFNNLSGPAPVFNAKSVFTLIPECDHIYPGPEIYLGHLKQFMIKEIKEATNNFDRRNILGQGGFGIVYKGHLRDGTIVAVKRMKDCFSVCGDDQFHTEVEVISLIVHCNLLRLTGFCITDTERLLVYPFMPNGTVSSKLQEYVGGKPTLDWTRRRKIALGAARGLVYLHEQCDPKIIHRDIKASNVLLDEYFEAVVADFGLVKLLDHGESHAVTAVRGTMGRIPPEYLMTGQTSEKTDVYGFGFLLIELITGRKTMELHEDEYQEGGILDWAKELLEGNKLSSFVDSRLRDNYVIAELEEMVKIALLCTMYNPDQRPSMAEIARMLQESDGSVVEKWETLKDAERSKPSTPEFMLSSPVNFAPDECNSIQLEAVELSGPSSLAAAVAKCELSRESSGFGRHTLSCSNALVTC >OGLUM05G04700.1 pep chromosome:ALNU02000000:5:4054214:4056818:-1 gene:OGLUM05G04700 transcript:OGLUM05G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWAALSSPPAPAAPAAVWMGRRLRTARWPRGSSPSPAPRRVVLAAAASSSPSPDANSNSPGSGGGGGGEEEEEEREDAARMEKAAAFLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLKSFEEEEPVLRLRRSLKKAVEEERFEDAAKYRDELKILAPHALLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDANGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLNTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDDVLL >OGLUM05G04710.1 pep chromosome:ALNU02000000:5:4062845:4063363:-1 gene:OGLUM05G04710 transcript:OGLUM05G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCHLRWKALVWPCVGGRRTAQATVTCGRLVARPSCAACQADDACGDGSRGRRREAAQVVDGAKEAHGLHVVAASSSSFASRDGHDNLCRRVLSCSHVLSVARHSVEVAAKDPDEFARLCRGVLDLRPAHGTSPSPSSGAHKEGKILGLLNHPFLPTSSS >OGLUM05G04720.1 pep chromosome:ALNU02000000:5:4066594:4070143:1 gene:OGLUM05G04720 transcript:OGLUM05G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAAATSRAWPASPRRRRHVASCSSPPPPPPTATTTTSSLNRCPVAGAGAPVLPLGIHGGRMLLASPLLWNSGAAARKTAVATAAAASPPAEGGGKADGGAVAGGISRTVQLGAMILVWYLLNIYFNIFNKLVLKSVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLGQYAKILPLALVHTMGNVFTNMSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSFLVLGSLVPIVGGVVLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEETLDDINLFSIMTVMSFLLSAPLMLSVEGIKFSPSYLQSNGVNLQELCMKAALAGTCFHFYQQVSYSLLARVSPVTHSVANCVKRVVVIVSSVLFFRTPISPINALGTGVALAGVFLYSRFKKAKPKAKTA >OGLUM05G04730.1 pep chromosome:ALNU02000000:5:4070599:4074226:-1 gene:OGLUM05G04730 transcript:OGLUM05G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUS1] MAKILLHGTMHVTIFEAESLSNPSRPSSQAPQFLRKDTVGVGKGTSKVYATIGLDKARVGRTRTLADDTAAPRWYESFHVYCAHLATHVTFTLKAKNPIGASLLGVGYLPVRDVLAGDEVERWLPLCDDTDARTPIGDGDGKVHVKLQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCKVTLYQDAHVPDGFIPRIPLDGGRSYEPHRCWEDIFDAINGARHFVYVTGWSVYTEIALIRDADRPKPGGGVTLGELLKKKAGEGVRVLMLVWDDRTSVGMLKKDGLMATHDEETMNYFQGTEVNCVLCPRNPDDSGSIVQDLQISTMFTHHQKIVVVDHDMPSSRHGGGGGRRRVVSFVGGLDLCDGRYDTPFHSLFRTLGTAHHDDFHQPNFATATVAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQGGKDLLVQLRDLAETVIPPSPAMFPEDAESWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDQIIDRSIQDAYIAAIRRARSFIYIENQYFLGSSYCWKPNDGVKPEDVGALHLIPKELSMKVVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTMEMMYTDIAHAIQAKGIDADPKDYLTFFCLGNREAKSAGEYEPPEQAEPDTGYFHAQQNRRFMIYVHTKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPHHLAAAGRPARGQVHGFRMALWYEHLGTVDDAFQRPESLDCVRKVNAMADRCWDLYAGDGPERDLPGHLLTYPVGVAGDGTITQLPGVEFFPDTQARILGAKSDYLPPILTT >OGLUM05G04740.1 pep chromosome:ALNU02000000:5:4076739:4077056:1 gene:OGLUM05G04740 transcript:OGLUM05G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLILIGSRASGATALRWPGGGNVGGELCSQAWRIQGGRWSAGGMREEAGTADGRARFWPAKGGGWLGCFGRGKEREVGKWIRLVEAELVVRGFGQRSSGEGD >OGLUM05G04750.1 pep chromosome:ALNU02000000:5:4078120:4079078:1 gene:OGLUM05G04750 transcript:OGLUM05G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSPRPPHPIRPQLCHASSTVSGNSVVNNGVHGAFLLRRRRTPPSPSSSSSPPRRRRPPSPPMPRRHGGRGGEAGVHVHDSGEDELRVAGADVGRRQRRVRGRVPERGVRRAVAGRRGVGGARAVRRRHVPRRRAVRVRRLLPLPPSRRPRRVGAGVGAGVRAWRRRRGEAVHLLLRLAAARRRLVRPQPLPQGVAGHGGAPDEHLRFTSRLG >OGLUM05G04760.1 pep chromosome:ALNU02000000:5:4082186:4086178:1 gene:OGLUM05G04760 transcript:OGLUM05G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGARLQCAAADWGGFFLALPPAAAAAPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPPDAPGDPYAKYVSPEIEHHALPRQSVEVELMEKGNKKNKKKDKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFHSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >OGLUM05G04760.2 pep chromosome:ALNU02000000:5:4082186:4086178:1 gene:OGLUM05G04760 transcript:OGLUM05G04760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRSCGGGARLQCAAADWGGFFLALPPAAAAAPSGGDTDGGFNLAWTLHQSFHPASGLFASVGVGFPATSSSSPSPPPDAPGDPYAKYVSPEIEHHALPRQSVEVELMEKGNKKNKKKDKAFKLKIKVGNPHLKRLISGGIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFHSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKSGEQKKVPLPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLHALVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKAYKKMFKTNEIGNVPTLLIGSAAGAISSTATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKVLTEEEDD >OGLUM05G04770.1 pep chromosome:ALNU02000000:5:4096922:4097996:-1 gene:OGLUM05G04770 transcript:OGLUM05G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEAGAFQIRSAGDRQWQRRCGRAPLCLDDSRDNDSGSGRGCGGAVGGCEGSRTYSGVVHGLRLLPREGASCMVSAGDWHLCGSHALKGFWMVAAVGSDGDVAWEGQRFFGRKSRLFRVGSGSAFWRRNLLGDVGVESSSFLGDYSGENCPAPGIGDGDTLGVVTSLEVSFEGPFPLISNLDDLSSYAGAFGMAMLAGLRGGEWMHPSLSPSPSPT >OGLUM05G04780.1 pep chromosome:ALNU02000000:5:4102569:4103183:1 gene:OGLUM05G04780 transcript:OGLUM05G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRRMGKPALPLIQCPQCELKTIVHEGPLIAERKAPRRKAKTSENYGRIFYTCPSHQRGYLQACSGSRGKRQVIDLHGEGEGEGEGEGVGGRQVAEQIEDKQLVKKMNVLIEIGSEIVLLLKCFVACCVCILAINIYALFRNG >OGLUM05G04790.1 pep chromosome:ALNU02000000:5:4107759:4112808:1 gene:OGLUM05G04790 transcript:OGLUM05G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAVAAAKGSRGSGLPLASLNHISIVCRSLQESLTFYTDVLGFFPVRRPGSFDFDGAWLFNYGIGIHLLQAEDPDSLPGKTEINPKDNHISFQCESMVAVERRLKELGIPYIQRCVEEGGIYVDQIFFHDPDGFMIEICNCDNLPVVPLGADQPLVMAACKRAAVIKQQQASSSPATAAAAQCAVPSSTKAIHVSEEAHISCA >OGLUM05G04800.1 pep chromosome:ALNU02000000:5:4115478:4116180:-1 gene:OGLUM05G04800 transcript:OGLUM05G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVALGRLSLKQSNNNAFSEGTEEHLAAVRHLLRKEKVVNLSSVRMNISLLLDMVISHMASR >OGLUM05G04810.1 pep chromosome:ALNU02000000:5:4117603:4128191:-1 gene:OGLUM05G04810 transcript:OGLUM05G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAVDFVGQESPVSTFVPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEADSLREETANRSDGMHPELHDDNAAPKQEAQAKKSEMSKPSGSVEVTTEAATSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNIAKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMEGTEDDLAAVKPLLRRGGLPPWQRAARGRLQNDRPGLAFLQVDPLQPWQWAAGLALPVDMAREKSKKKKNGSSATRNPAAELTDDLIVDILSRLPAKSICRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYRSENESRCPQASAPFRRPLICPTISFLPRFDLEGMRMVDCCGGLLLFRCFMSSDEFCYLVCNPSTEEWVAFPDSGYNPEWQFFTHLGFDPAVSSHFHVFEFVMDDCGFVEGVEIYSSETGLWNYMESEWDPETTVYDRTRTFFNGMLHLVEEGIAILSVDVEGEIWRSIGAPRPELENVEDMFALVSCFIGQSQGKLCYLSAYDTVPWNLSIWVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPGNSSGYLPYSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKTGRSNAHLCQLHLGPKAQDSLSLVVLLATPPRNPHRRRRRRPLLRR >OGLUM05G04810.2 pep chromosome:ALNU02000000:5:4116872:4128191:-1 gene:OGLUM05G04810 transcript:OGLUM05G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAVDFVGQESPVSTFVPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEADSLREETANRSDGMHPELHDDNAAPKQEAQAKKSEMSKPSGSVEVTTEAATSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNIAKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMEGTEDDLAAVKPLLRRGGLPPWQRAARGRLQNDRPGLAFLQVDPLQPWQWAAGLALPVDMAREKSKKKKNGSSATRNPAAELTDDLIVDILSRLPAKSICRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYRSENESRCPQASAPFRRPLICPTISFLPRFDLEGMRMVDCCGGLLLFRCFMSSDEFCYLVCNPSTEEWVAFPDSGYNPEWQFFTHLGFDPAVSSHFHVFEFVMDDCGFVEGVEIYSSETGLWNYMESEWDPETTVYDRTRTFFNGMLHLVEEGIAILSVDVEGEIWRSIGAPRPELENVEDMFALVSCFIGQSQGKLCYLSAYDTVPWNLSIWVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPGNSSGYLPYSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKTVAFGPKGPRLSLPRRTTRHAAEKPSPPPPPPPSAPPFALLGYMAREKSKKEEEGSSATRNPAAELTDDLIVDILSRLPAKSVCRCKCISRRWRGLISDPDHRKKLPQTLAGFFYSSKNESSFPNEARHFVNVSGRGRPLVHPSFPFLPRFERIRMVDSCGGLLLCRCYESPNAFRYVVCNPAMEEWAALPESGYVDDKEEVELCTRLGFDPAISSHFHVFEFVSMDDCSYVAGVKIYSSETGEWDYSESE >OGLUM05G04810.3 pep chromosome:ALNU02000000:5:4116872:4128191:-1 gene:OGLUM05G04810 transcript:OGLUM05G04810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIKRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISTESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRGSQLTPPSTKIHYMKAAGTKPLTITIDPSAVDFVGQESPVSTFVPPPPLPPELCTSWDFFDSNYASGSATSNNENGVTLNFSRLKGLRDSRESEADSLREETANRSDGMHPELHDDNAAPKQEAQAKKSEMSKPSGSVEVTTEAATSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFMRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQNIAKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAIVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKTMLECHHKQFITISLAYHVKSATTVQQGEHHHRAATHLWNELDCFSSSFKIWVTAHKSYVESLNAWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLTMMESQSLPTDELCKSIKEVVQLLRGSFDHQADHQNKMTTESHLRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEMARDDYKMEGTEDDLAAVKPLLRRGGLPPWQRAARGRLQNDRPGLAFLQVDPLQPWQWAAGLALPVDMAREKSKKKKNGSSATRNPAAELTDDLIVDILSRLPAKSICRCKCVSRRWRGLISDPDHRKKLPQTLAGFFYRSENESRCPQASAPFRRPLICPTISFLPRFDLEGMRMVDCCGGLLLFRCFMSSDEFCYLVCNPSTEEWVAFPDSGYNPEWQFFTHLGFDPAVSSHFHVFEFVMDDCGFVEGVEIYSSETGLWNYMESEWDPETTVYDRTRTFFNGMLHLVEEGIAILSVDVEGEIWRSIGAPRPELENVEDMFALVSCFIGQSQGKLCYLSAYDTVPWNLSIWVLEDYSKDEWTLKHKLTTEQLSEKINCKCKTDFDKAWYYHVVAVHPECNLIYYIAGGDTLMSYDMGHKQSCVIENLGPVAFGPKGPRLSLPRRTTRHAAEKPSPPPPPPPSAPPFALLGYMAREKSKKEEEGSSATRNPAAELTDDLIVDILSRLPAKSVCRCKCISRRWRGLISDPDHRKKLPQTLAGFFYSSKNESSFPNEARHFVNVSGRGRPLVHPSFPFLPRFERIRMVDSCGGLLLCRCYESPNAFRYVVCNPAMEEWAALPESGYVDDKEEVELCTRLGFDPAISSHFHVFEFVSMDDCSYVAGVKIYSSETGEWDYSESE >OGLUM05G04820.1 pep chromosome:ALNU02000000:5:4129757:4150807:1 gene:OGLUM05G04820 transcript:OGLUM05G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVACTSSSTLAAAAARGMNGKKPARVWGSFLRWSGSEMRPRHRLDTHLHRQIDLAGRRERMSMMRSSVSSAAGFLVALDPFFFLDPFAMSPRSATCKWGARAHRTIGKQMKKGAGEGSPARTGDRETSPEIPFPSRRRRRRRPLWVLGSMSTTE >OGLUM05G04820.2 pep chromosome:ALNU02000000:5:4129887:4135772:1 gene:OGLUM05G04820 transcript:OGLUM05G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTCCRGSRAWRKGGGAGNGGRGAGKKPARVWGSFLRWSGSEMRPRHRLDTHLHRQIDLAGRRERMSMMSHS >OGLUM05G04830.1 pep chromosome:ALNU02000000:5:4132244:4137276:-1 gene:OGLUM05G04830 transcript:OGLUM05G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKKGSSATRNPAAELTDDLIVEILSRLPAKSVRRCRRVCRRWRRLISDPHHRKKLPQTLAGFFHLSVNESRFPVEARHFVNVSGIGGRLPHVCPSFSFLPRFERITMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDWGFCARLGFDPDVSSQCHVFEFVPCDDVTGVKIYSSETREWNYRVSEWCPDTGISDICRSAFCNGMLHLVSYQRSILSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWILEDYSKDEWTLKHSVTNELLSEKINSKYKSSEFCYVVIVYLDCNLIYYITRDYTLMAYDIDHKESRVIQALGSDCILECLPYVPLYAETLLHFEPQAEEWQLRAFIRNRRAPWLQSVMDLLRSMEILSLFVYSDGDMAGDVDDWKSTWKSKKEKGSIATRNPAADLIDDLIIDILSRLPAKSICRCRCVSRRWRGLISDPDHRKKLPQTLAGFFYHSEKPITNHASPWKRDISGRPFIYPSFSFLPHFERIRMVDSCGASFVSSDAFRYVEYATHWDLPESTYGNEEEMCARLGFDPDVSSQFHLRSTLRKSENGITVNGIIGEANGATHAAYSHPSASCGSGSGCEGGDIEVDDAEETYGCVVISSIGQSKGKLYHLSKHNNNVALNVSIWVLEDYSKDEWTLKHKVTIELLSGKISCKYKTMFCRGFAVHPDCNLIY >OGLUM05G04830.2 pep chromosome:ALNU02000000:5:4132246:4137104:-1 gene:OGLUM05G04830 transcript:OGLUM05G04830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSKKKKGSSATRNPAAELTDDLIVEILSRLPAKSVRRCRRVCRRWRRLISDPHHRKKLPQTLAGFFHLSVNESRFPVEARHFVNVSGIGGRLPHVCPSFSFLPRFERITMVDSCGGLLLCQCFESSDAFRYVVFNPCTEEWIVLPESGFHPKDWGFCARLGFDPDVSSQCHVFEFVPCDDVTGVKIYSSETREWNYRVSEWCPDTGISDICRSAFCNGMLHLVSYQRSILSVDVEGRTWRTTKVPKMEGVEEVRDWLPGSICQSEGKLYYLSQYNTVPISLSIWILEDYSKDEWTLKHSVTNELLSEKINSKYKSSEFCYVVIVYLDCNLIYYITRDYTLMAYDIDHKESRVIQALGSDCILECLPYVPLYAETLLHFEPQAEEWQLRAFIRNRRAPWLQSVMDLLRSMEILSLFVYSDGDMAGDVDDWKSTWKSKKEKGSIATRNPAADLIDDLIIDILSRLPAKSICRCRCVSRRWRGLISDPDHRKKLPQTLAGFFYHSEKPITNHASPWKRDISGRPFIYPSFSFLPHFERIRMVDSCGASFVSSDAFRYVEYATHWDLPESTYGNEEEMCARLGFDPDVSSQFHLRSTLRKSENGITVNGIIGEANGATHAAYSHPSASCGSGSGCEGGDIEVDDAEETYGCVVISSIGQSKGKLYHLSKHNNNVALNVSIWVLEDYSKDEWTLKHKVTIELLSGKISCKYKTMFCRGFAVHPDCNLIY >OGLUM05G04840.1 pep chromosome:ALNU02000000:5:4157449:4158699:-1 gene:OGLUM05G04840 transcript:OGLUM05G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSHNSSSKRPRRTAPPPPPPPPRDNPAESLTDDLLVEILSRVPYRSLCLSQRVCRRWRRVISHPDHRRLLPRYHLRNAIAGIFSMEPYWRPLVDPHRFQTVPAAEPPPPIDHSFSFLHKCERIRLLDGCNGLILCCCRKLSNPDVFNYVVCNPTTKKSFELPDLDWCCSKIARLGFDPAVSSHFHVFEFAEEYLDIDGYDHYLRLNIYSSKTGEWSGEMDSGWSTEVGTLNRPKTVFFNGMLHLLAVEPLSITDSKLVAVDVEGKTWRTIRLPHDEEGHPLYGAHHSFTPYKEELIDLSQGLLHFVSTASNDATKLSVWVLDDYDSERWSLQHIVSSMHLLGRAISPYLGYGYVVVSVQERKMFFVVFGQDRMLMSYEMDKREVCFIHKFGCGYEKRYLPYLPLFMESLADGP >OGLUM05G04850.1 pep chromosome:ALNU02000000:5:4171322:4174345:1 gene:OGLUM05G04850 transcript:OGLUM05G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSKVVVVPHKHDGVFIAKAKEDALCTKNMVPGESVYGEKRISVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGGASGTTVSHVSDIVGPTGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFASEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKGTS >OGLUM05G04860.1 pep chromosome:ALNU02000000:5:4175131:4175470:1 gene:OGLUM05G04860 transcript:OGLUM05G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGGGHVLSMPAWWFLSWWEINYKEGCRAPSESLVQWITRPAEATPSSVVTPWGAAKDGGILDAVTYVVALFSESRFCGVVGALATIGHA >OGLUM05G04870.1 pep chromosome:ALNU02000000:5:4176757:4182203:-1 gene:OGLUM05G04870 transcript:OGLUM05G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUU0] MAANAGMVAGSRNRNEFVMIRPDGDAPPPAKPGKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVQGDEEEEDVDDLDNEFNYKHGNGKGPEWQIQRQGEDVDLSSSSRHEQHRIPRLTSGQQVSGEIPDASPDRHSIRSGTSSYVDPSVPVPVRIVDPSKDLNSYGINSVDWQERVASWRNKQDKNMMQVANKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPIPSNQLNLYRIVIILRLIILMFFFQYRVTHPVRDAYGLWLVSVICEIWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGGRKKKSKSYMDSKNRMMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQKRLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >OGLUM05G04880.1 pep chromosome:ALNU02000000:5:4190097:4190619:-1 gene:OGLUM05G04880 transcript:OGLUM05G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGLQRSSQTFRRSGSSGLVWDGRLMSEDQNQSDQRATGDAEAGSLESKELRHSRSVGSSIKVQRRCSDSVERSRSGNQAFRTRHVPPAMDPPSPKVSRCLFCGIFSKEEPSQPPKPRSLYWQP >OGLUM05G04890.1 pep chromosome:ALNU02000000:5:4192533:4194933:-1 gene:OGLUM05G04890 transcript:OGLUM05G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEEDPRWRRCNTDCVYFLASPFTCTKGSKCEYRHADGARFNRRNCWYWFKGNCVNPSCTFRHPPLENLNKTKSLADPLSLCSTSVKAANPCYFYYNSHCSKGDNCPYLHEPLTSNDAVGTSCKATTSNPAVSKSYVGDEMVEEPKDTITNPCQDTSCHIKEVPVSINPEFGEAEAISGALETSTDIDEYMKCSAVSDLNSGDSTMDHTEQDERDSSPGFDVLVDDCLSNKSDLEHQLTTESDNKVLHAEYGIRDPVLYDMYYHDPEYYNYEPEFCGLDDRQGYLYLCQPNGAHEHESEITLGHLLPQNTEVTSDEYDRRFFNPRNFTSSVADTDFVHQHTQIRHISKRRPENRKGAKGKKDCIKRSRCLEPKNSTQQIESMPTRQRKDYLMGECPQPANHATFRGRRKKNRGKQQHVLSAKSSEHPTADFTGPKTLAQIKEEKCKSNSSFSHSTACTPNVRSFSDDFKGPKSLTELLMTKSRSSVGK >OGLUM05G04900.1 pep chromosome:ALNU02000000:5:4196402:4199949:-1 gene:OGLUM05G04900 transcript:OGLUM05G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: mitochondrial proton-transporting ATP synthase complex assembly; LOCATED IN: mitochondrial inner membrane; EXPRESSED IN: 18 plant structures; EXPRESSED DURING: 7 growth stages; CONTAINS InterPro /.../N/s: ATPase assembly factor ATP10, mitochondria (InterPro:IPR007849); Has 168 Blast hits to 168 proteins in 86 species: Archae - 6; Bacteria - 0; Metazoa - 2; Fungi - 107; Plants - 30; Viruses - 0; Other Eukaryotes - 23 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G08220) TAIR;Acc:AT1G08220] MMRARWAAGMLRRASSGEASLAARAVFARGFLGLNMKASKETIEKKEKDKARLMDEMSRGYFADIAEIRKNGGKLATANKIIIPEIAAVKFPDLSVKSPDGRTVNLPLVAPPRNDDPQTGNTVDTQNDDCKAGDMVVPDASLVCLSFRASSQKMAETWSLPFLDAFSSAGNIQVYEVSFIDSWLLSSSPVRQVFLKVMTKSNNPQRHAVYAFGDHYYFRKKLHILNLLTGYIYLVDRLGRVRWQGFGSATQEELSSLTACTSILLDGK >OGLUM05G04910.1 pep chromosome:ALNU02000000:5:4200059:4202830:1 gene:OGLUM05G04910 transcript:OGLUM05G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASSSSSSTQFDDAAARRQQQLQQQRKPPLLMLLPLIYAPVLPLIRIGLRHNPVWRDRLFYGVLAGAFAHGTYLISELYDVESK >OGLUM05G04920.1 pep chromosome:ALNU02000000:5:4205087:4205248:1 gene:OGLUM05G04920 transcript:OGLUM05G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWYMASWKLSVSTILARSSRAFSSVNPPTNGRLRASLSSAAATTAGAGASM >OGLUM05G04930.1 pep chromosome:ALNU02000000:5:4212005:4219719:-1 gene:OGLUM05G04930 transcript:OGLUM05G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILAPTLYIFFASALPVVAFGEQLSNDTDGALTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYIYNFAKNHPNLGERLFLPWAGWVCIWTAFMLFLMAMFNAAVVINRFTRFAGELFGMLITILFMQEAVKGMLGEFSVPEGKDHSLPIYQFQWAYVNGLLGIIFSMGLLYTAIRSRSARSSLYGTVWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKVVMQFILIPGSNDLFSVPPPYIFLAIVPAVMVAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILVLSFMDTNAMQVLICGLIGIPPSNGVLPQSPMHTRSLAVLKGQLLRKKMVQTAKEGMMNNASSSEVYGKMQEVFIKMDDKSNAKSVRKELKELKDAVIPEGNGAGRVSEVFDPEKHIEAYLPVRVNEQRVSNLLQSLLIAGCVGVMPIIQKIPTSVLWGYFAYMSIDSVPGNQFWERTQLLFISPQRRYKLLEGAHASFMESVPIKKISAFTIFQLVYLLIVWGMTWIPVAGILFPLLFFFLIVIRQYILPKFFDPRHLWELDAAEYEELEGVRRDPSTDEDASVSRCSDASPEYASEILDEFTTNRGELKHRTKSFRDERLIQLNSVKMTRELSRIPTFTPPRS >OGLUM05G04940.1 pep chromosome:ALNU02000000:5:4221769:4223839:1 gene:OGLUM05G04940 transcript:OGLUM05G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELTDDLLVEILSRVPYKSLCRLKCVSMRWRRVISHPDHRRQLPRHHLQPLAGFLYADYPVLNRFQIVPALDPPPLIDPSFSFLPKCDDLQLVDSCNGLLLCRCWNQLNEHRTFNYVVCSPTAKKFVVFPDSTCSKKVRPFLGFDPAVSSHFHVFEVVEDWDYYDCDDDDLECDGVEDVVGLRIYSSETGVWSDEIDNGWSNRIRIRRDQKGGSKSVFFNGMLHLVAIQPVVAVVDVEGKNWRTIPLAHKDGSPLCGAHPPCASGPEGFIALSRGLLHFASTDSYGDWEISVWVLDDYYGRTMDLATHGQHYASI >OGLUM05G04950.1 pep chromosome:ALNU02000000:5:4224922:4226094:1 gene:OGLUM05G04950 transcript:OGLUM05G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADSRDGRRGWIPAPAEKLTDDLLVEILSRVPYKSLCRSKCVSTRWRRVISHPDQRRRLPRYHLGNDIVGYFYKSNTFTNVTGEGRPFVDPSLPFLPKCEFLNVVDSCNGLLLCRCWRLADPRRFDYLVVNPATEHWVILPDSGWSDKVQTARLGFDPTVSSSHFHVFEFVEDGAADVDGNVDRDDYDGHVKEVEIYSSVTGEWSHKDNGWNWEIRLRDESNSVFFDGVLHLITIEDVVAAVDVEGNTWRTIPMPQSLVEPFNGIGEGFIGLSQGSLYFVNSDHDKPYKVSVWVLEDYSSEQWIWKHTVSHLHLFQTKRLLFGHDYKVVSIHPERNNIFLVWPHSKMLMSYELDSREVHFICGIGGCEWVMYYLPYVPLYSESLADGH >OGLUM05G04960.1 pep chromosome:ALNU02000000:5:4233562:4234734:-1 gene:OGLUM05G04960 transcript:OGLUM05G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGDSRDGRRGWIPPPAEKLTDDLLVEILSRVPYKSLCRSKCVSRRWRRVISHPDHRHLLPRYHLGDAIVGFFYSDTFTNVTGEGRPFVDPSLPFLPKCEFLNVLDSCNGLLLCRCWRLADPRRFDYLVVNPATEQWVILPDSGWSDKVQTARLGFDPVVSSSHFHVFEFVEDGAGDADGNVDDDDDFDGHVKGVEIYSSVTGEWSHKDNGWDWEIRIRDEWNSVFFDGVLHLITLEYVVAAVDVEGNAWRTIPMPQSLVEPFDGIGEGFIGLSQGSLYFVNTDHDEPYKVSVWVLEDYSSEQWIWKHTVSHLHLFRTKRLLFGHDYKVVSIHPERNIIFLVLPHSKILMSYEMESREVCFICGIGGSSDWLLYLPYVPLYSESLADGH >OGLUM05G04970.1 pep chromosome:ALNU02000000:5:4235178:4237345:-1 gene:OGLUM05G04970 transcript:OGLUM05G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVDLQLDLAGRDGDGHNWWLWPAIAKREDGRNEDGYRVRIQIPVGDPIASPCMRGCGAVAYAWSQDEQRS >OGLUM05G04980.1 pep chromosome:ALNU02000000:5:4238604:4240166:-1 gene:OGLUM05G04980 transcript:OGLUM05G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUV1] MAAAPLHFVLVPLPAQGHVIPMMDMARLIAGHGGGGARVTVVLTPVMAARHRAAVAHAARSGLAVDVSVLKFPGPALGLAAGCESYDMVADMSLFKTFTDAVWRLAAPLEAFLRALPRRPDCVVADSCSPWTAGVARRLGVPRLVFHGPSALYILAVHNLARHGVYDRVAGDLEPFDVPDLPAPRAVTTNRASSLGLFHWPGLESHRQDTLDAEATADGLVFNTCAAFEGAFVRRYAEVLGGGARNVWAVGPLCLLDADAEATAARGNRAAVDAARVVSWLDARPPASVLYVSFGSIARLNPPQAAELAAGLEASHRPFIWVTKDTDADAAAAAGLDSRVVADRGLVIRGWAPQVTILSHPAVGGFLTHCGWNSTVESLSHGVPLLTWPHFGDQFLNECLAVDVLGAGVRAGVKVPVTHVDAVNSPVQVRSGEVASAVEELMGDGAAAAARRARARELAAEARAAMADGGSSARDLADMVWHVARRRDMVVVDPPPPPSPGGIAGGHGKMVSPSVASEVA >OGLUM05G04990.1 pep chromosome:ALNU02000000:5:4243930:4245200:-1 gene:OGLUM05G04990 transcript:OGLUM05G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWGMKFLNPAHLEVISCKCNIDEGLIVKEVSEGSIAEKLGVRVGDVIKFFNGKHISSTIELELLLLQISEDHFYNGNGLDSKIDIVIRVFHTRNGVWRSRKLTVHVSDKGEVVIRVVLDLIVAQFKFINPIIVCDVL >OGLUM05G05000.1 pep chromosome:ALNU02000000:5:4247074:4249292:1 gene:OGLUM05G05000 transcript:OGLUM05G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEGPEPLKYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDVQHQKVVVIGNVSVDTLVKKLVKTGKHAEPWPEPAPPPPAAAAAANSPGGGGGGKKKKKNKNKNKNPANGQPGEPAPPAATAEVAAGGGGGGGSVPPENDDHGGSCDEASDDEGNKQGGGGGSPKTMVAGDGQGGGAGAVAPPFAMTPHGKQPVAPPGNGNGGGGGGGGGGKKKGKKGGGGGGGGNGNGNGNAAAAAAANATGDGAGAVVEASPQDAPTKPSPGNAGPLAVVDGGVFYPPATPMSYPASYYGGGGGGHMPPYVMSYSTAHPLRSSAYYYPMAGGFGGGGGGGYLYSTAAAAPPPASSYYMFSEENANACSVM >OGLUM05G05010.1 pep chromosome:ALNU02000000:5:4269182:4272089:-1 gene:OGLUM05G05010 transcript:OGLUM05G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISRKALASLLLLVAAAAAVSTASADDVLALTESTFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEYVNSEAATNVKIAAVPSSVVVLTPEIFDSVVLDETKDVLVEFYAPWCGHCKHLAPIYEKLASVYKQDEGVVIANLDADKHTALAEKYGVSGFPTLKFFPKGNKAGEDYDGGRELDDFVKFINEKCGTSRDSKGQLTSEAGIVESLAPLVKEFLGAANDKRKEALSKMEEDVAKLTGPAAKYGKIYVNSAKKIMEKGSEYTKKESERLQRMLEKSISPSKADEFVIKKNILSTFSS >OGLUM05G05020.1 pep chromosome:ALNU02000000:5:4304664:4305356:-1 gene:OGLUM05G05020 transcript:OGLUM05G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAAARGSPIPRDSITWWSILPLKKWIVLPESCCTHKKHIALLGFDPAVSSSHFHMFEFELVEDNSMGVDGDNDGNIFAVEIYSSETGVWSHKDNGWGCIIRTLDIWRSVFFKGMLRLITMDDVVAVVDVEGNTWRTIPMPETFVDPYYGVDDGFIDVSQDCLCFVNTDRDDLYKLSVWVLEDYSSDQWTLKHTVSHLHLFGTNKQHFGYDYKVVSIHPEGISFFSFH >OGLUM05G05030.1 pep chromosome:ALNU02000000:5:4311597:4313466:1 gene:OGLUM05G05030 transcript:OGLUM05G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUV6] MNSLDDAPKPHFVLIPFMAQGHTIPMIDMAHLLAKHGAMVSFITTPVNAARIQSTIDRARELNIPIRFVPLRLPCAEVGLLDGCENVDEILEKDQVMKMTDAYGMLHKPLVLYLQEQSVPPSCIVSDLCQPWTGDVARELGIPRLMFNGFCAFASLCRYLIHQDKVFENVPDGDELVILPGFPHHLEVSKARSPGNFNSPGFEKFRAKILDEERRADSVVTNSFYELEPLYVDSYQKMIGKRVWTIGPMFLCNTDRSTIADRGAKRHQLIKSILEEIALGLEASKRPFIWVIKSDNMPSETDKLFLPEGFEERTRGRGLIIQGWAPQALILSHPSVGGFVTHCGWNSKIEGVSAGLPMITWPHCAEQFLNEELIMNALKVGLAVGVQSITNRTMKAHEISVVKRDQIERAVVELMGDETGAEERRARAKELKEKARKAIDEGSSYNNVRQLIEYISSRGTRTDVQ >OGLUM05G05040.1 pep chromosome:ALNU02000000:5:4315383:4316087:-1 gene:OGLUM05G05040 transcript:OGLUM05G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIACFTVQGCTCTTTIAAAGAAAAAAVGDPELTAGSRLVSTWVVGQADNFTVVDTHRPIANLASLTEGHVQPDFIGRKVVSAMAWVISLDPGLIH >OGLUM05G05050.1 pep chromosome:ALNU02000000:5:4321878:4326668:1 gene:OGLUM05G05050 transcript:OGLUM05G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSPPHGAAAVKQPASSPDARLTPSSVVAAAAVGIGVTPPRRSCDDAASCVVNDVDAFARTIASIRSKPASAAAAAASSSSDGGGDHLATVLAHYAARWLPDVASSPSGRFLLPPQSPTATWIRKRLLLESLVAALPPDGGDGDDGGGGAALEAGLRPAEFEELARAVPAHARAADDGLYRAVDTYLKAHPHAGKEERRSLCRLIDARKLTAEAAAHAVQNERLPVRCVVQVLFSEHGSKLTRLAEWTTGSFRSLQSRSPADLIVTGGGANGGARCPSKREVAAQHHELRRLREDVSRLQVQCHALQAQVDRLSSERRRRPGGLFKLLFGGGGGAGATGAVVVDDSDSGLDRTPLSGKKGVVVRATAAAAAASTPASGTPAVARWRRSHS >OGLUM05G05060.1 pep chromosome:ALNU02000000:5:4329523:4331168:-1 gene:OGLUM05G05060 transcript:OGLUM05G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMTSSSTSPTSPTSPLAAAADNGVAAAYFNFRGAERVPESHVWKGMHEKDTAPVAAADADGGDAVPVVDMSGGDDAAVAAVARAAEEWGGFLLVGHGVTAEALARVEAQAARLFALPADDKARGARRPGGGNTGYGVPPYLLRYPKQMWAEGYTFPPPAIRDEFRRVWPDAGDDYHRFCSAMEEYDSSMRALGERLLAMFFKALGLAGNDAPGGETERKIRETLTSTIHLNMFPRCPDPDRVVGLAAHTDSGFFTFILQSPVPGLQLLRHRPDRWVTVPGTPGALIVVVGDLFHVLTNGRFHSVFHRAVVNRERDRISMPYFLGPPADMKVTPLVAAGSPESKAVYQAVTWPEYMAVRDKLFGTNISALSMIRVAKEEDKES >OGLUM05G05070.1 pep chromosome:ALNU02000000:5:4332355:4332940:-1 gene:OGLUM05G05070 transcript:OGLUM05G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEQAGGVAVGGAAAAPDGGMREEEPAQVDARKWRRAQPSSVPVRKTDSRCTVASSARSAAGVPRRWGGKLHQKRSSVSNGWGPRERQNSLSNDGLLAEATAVTWSSLIRRATGWETTSYFLCHSVSIWLFRSTCKVSRCGKRHLREVDKCVVEEEEVNG >OGLUM05G05080.1 pep chromosome:ALNU02000000:5:4352577:4354756:-1 gene:OGLUM05G05080 transcript:OGLUM05G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMTYKDHVQRRHEEKGCLYAWRIAFHFIEKREPVYTVLLLLLLRDLRVLPRDTLLLLLTSS >OGLUM05G05080.2 pep chromosome:ALNU02000000:5:4352588:4354756:-1 gene:OGLUM05G05080 transcript:OGLUM05G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPSAQEMTYKDHVQRRHEEKGCLYACLFTLCCCFCCYETCECCLETLCCCC >OGLUM05G05090.1 pep chromosome:ALNU02000000:5:4367044:4367846:-1 gene:OGLUM05G05090 transcript:OGLUM05G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRQRLRLRHCFGPRCRTLSSTLTSKEVFAWANSNNQRLLHVGDIDRTSKSYICTSCSMRLAAEDRVESTGDGVNILLHGGYEIMAISRGM >OGLUM05G05100.1 pep chromosome:ALNU02000000:5:4371014:4374467:-1 gene:OGLUM05G05100 transcript:OGLUM05G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUW4] MQQRSVSRRGDTCQRIIGQHPGIKSPATGRPPTIISSAAAPRRERDAAAVAAAGDRRERERRATPTASPPLPATMSVETERSSTESSAASGLDFEDTALTLRLPGSSSSSSSSSSSSSSPSEPDRKRASATDDDPDNRLGSTATESPPSPKARVVGWPPVRAFRKNALAASAAASSSKAKFVKVAVDGAPYLRKVDLEAYRGYDQLLAALQDKFFSHFTIRKLGNEEMKLVDAVSGNEYVPTYEDKDGDWMLVGDVPWKMFVEACQRLRLMKSSEAVNLVSAKAG >OGLUM05G05110.1 pep chromosome:ALNU02000000:5:4386430:4386833:1 gene:OGLUM05G05110 transcript:OGLUM05G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGPAAIPIYKVEPLLLLFNLFTLLGAIGSRDSFVVDQPATGLDKALGIAFSVISKIVTGKVALTQLNIETSIPITEIELLVLFNVSSTIATPVTGRHSPISHWPPLPRSPAGHVGRKEKKE >OGLUM05G05120.1 pep chromosome:ALNU02000000:5:4388121:4392289:1 gene:OGLUM05G05120 transcript:OGLUM05G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQTSGKPIDLLMEKVLCMNIMSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKILWTWYEPYLKDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRVPLPVIRQVTSNLEKMKLPTKLSGITGESNRHGSEDTARRPPSVKASLSVSFGQRAPHRASTRESSPVRRTVTHDGHRKSSSPSRHSGSREVPDRDRSSRDRSSRDYDRSSHDRDRDHSSHDYDRSSHDCDRDRDRSSRDYDRSSRDRDHDRDIRDYHRRDRDSRDRDYRSSHSSERQDDRRDREGSRHRRSSSRHRSRSRSCSRSRSRSRSRNEERSCPFGNAGKEKTAAISSNLAKLKDLYGDVTEKKDDGEAPRRDSCAEEVIRLGGPRWR >OGLUM05G05130.1 pep chromosome:ALNU02000000:5:4394171:4398569:1 gene:OGLUM05G05130 transcript:OGLUM05G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTSKERVISAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >OGLUM05G05130.2 pep chromosome:ALNU02000000:5:4393886:4398569:1 gene:OGLUM05G05130 transcript:OGLUM05G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPVESETRSEETDNFPLLADHMENTGHHAHAVDIPWDSSPSTSRRDNHNGFDQLPRILEGSPGTSTPSNSQNGPLARRDDNRGRRQPSPLNSGCWISVELVVNVSQIIAAICVLSVSRNEHPHSPLFEWVIGYTVGCTATLPHLYWRYLHRNLPTTGQEPTVQNIPPNNTPEANSYGVTGTNGVSRNNEATVNPRFQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCALICCCLPCIISILGFREDLNQNRGASAETINALGTCKFKSKKTRDGDGNEVGVGVVAAGTSKERVISAEDAVCCICLARYVDNDDLRELPCAHFFHKDCVDKWLKINALCPLCKAEIDGVSTSAPAIGFGRRHSDNRVGNDIESQL >OGLUM05G05140.1 pep chromosome:ALNU02000000:5:4406160:4406444:1 gene:OGLUM05G05140 transcript:OGLUM05G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAIASASASICSAYRHLSATPADDDGDDNGARPLSSSEELPKSSSSSRRMTKPAELRRRCYAVLKQQRTRLYILRRCVSMLLCWHEHDLSD >OGLUM05G05150.1 pep chromosome:ALNU02000000:5:4418795:4420184:-1 gene:OGLUM05G05150 transcript:OGLUM05G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPDKAVERLSQKLVHPSSPTPSAPLRLSWLDRYPTQMALIESLHVFKPDPARDAAGQGLAPARAIETALARALVEYYPLAGRLAVSRDSGELQVDCCGGAGGHGGVWFIEAAVSCRLEDVDYLEYPLAISKDELLPHPRPRPTRDEEDKLILLVQVTTFACGGFVVGFRFSHAVADGPGAAQFMGAFAAATGGARCSAFEVLIAKAWQSRTRAAAFDPSTPINLSFAMNARPLLLPRGGAGFYGNCYYIMRVASTAGRVATASVTDVVRMIREGKKRLPSEFARWAAGEMAGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWAHKPGARLITQCVTPDRVTAFHDAMVDIN >OGLUM05G05160.1 pep chromosome:ALNU02000000:5:4443292:4444098:-1 gene:OGLUM05G05160 transcript:OGLUM05G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGCADSPAITVVEVTARRGRAVRKRRAVEIDADSQQASGGSRGGCRRRGGRVLGAGGVHYLTEEQHACGYMSDAERHPSGGFVVDSDDEASGGVPNSDLPLGSGFVLDSKDEVCGGDPESELPPEGTFVPDSEGEEYGVVPNSELPPGGGFVPDFEDETCGGDPNSPLPPDGCVVPDSEEEACGGDPDSELPPDGCVVPDSEEXSISQLHTVAAPRRRRRRSSAPPPPLMVVRYRRMKRVGKERERGGENMGLAHFMFCADVG >OGLUM05G05170.1 pep chromosome:ALNU02000000:5:4483561:4484677:1 gene:OGLUM05G05170 transcript:OGLUM05G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYKRRVKYFPDCKPRPVHILFAFSVEIALADAFAFLLWQLVELCDPGYKLKEYTLAPASWEYIRSTYSPTVRASSSTSKIIRKDGWKGGNSDEHTLVVVMVLEMIHGCVGGMAWLSKP >OGLUM05G05180.1 pep chromosome:ALNU02000000:5:4494948:4497972:1 gene:OGLUM05G05180 transcript:OGLUM05G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSRRGAAAAEEEARIGTSNVFAALDTLKRRKKPSSSSKKHAEKEKEVLWAPAPLTTRSWADVEDDDDDDYFATTAPPRPVWGTHHHAADAHDDDHDEQAALEQELESEDEEVDDDAEDEHEHETEDATPAEPAMNKAAAPPAPPKDTERQLSKKELKKKELEELDAILAELELSSKSNNDAQNETNESKSSKKKKAKKDKSAKEAKETQELNGGVEEAAGAEPDEEVASMDVKDRIKKVASMKKKKSSKEMDTAAKIAASEAAARSAKLAAAKKKEKSHYNQQPVR >OGLUM05G05190.1 pep chromosome:ALNU02000000:5:4499612:4500557:-1 gene:OGLUM05G05190 transcript:OGLUM05G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVLGGGGGGDGETTVVLAHGYGGSSHIWDDVAPALAKTFRVVVFDWSFSGDVVVDDDDDAAAVSEDISCSYFGFADELVAMMDELALTAVVFVGHSMAGMIGCIASVARPELFRRLVLVGASPRYINDDGDGYVGGFERGEVDAMLAAIEADFAAWAPLFAEAVVGPAPSPGAGAVAKFANQLGRMRPAAALRVMRAVLTCDVRAVLRDVAAPCTIVHCARDAVAPLAVARYMQRAMARGVDGAPAPAVVVMDSSGHFPQLTAPMEFVRVMEAILLDH >OGLUM05G05200.1 pep chromosome:ALNU02000000:5:4507843:4509315:-1 gene:OGLUM05G05200 transcript:OGLUM05G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUX5] MARRQQAHFLIVTYPSQGHVTPARHLARRLVHGAGARATVCVPVSAFRKMFPADDGEVVVEEEGGAVAYAAYSDGYDGGFDRAVDDHTRYMAQLSTVGARTVAGVLRRLRGEGRPVTCAVYTLLLPWVAGVARDHGVGAVAVFWIQPTTALAAYYHYFRGGRDAVVAAAASGDASAEVNLLPGLPPLRVRDIPSFLAITSDDDPFAFVLSEFAELIDTLERGGGGGGELPTYVLANTFDAMERDALASLRPHIDVVAVGPVLSFLHDADETKTASSPNDLFDHDGGGYLDWLGTKPARSVVYISFGSSSVMSKNQVAEIAAAMAESKKPFLWVIRKDNCKDDDDDNEAIKKLVAAAAAADTGGGGMAVEWCDQARVLSHASVGCFVTHCGWNSTVEAVACGVPVVAAPQYSDQGTSAWVVERIGVGVRAAARAGDGVVEAAELGRCVGAAMSEAVAGRAAAWREEARAAVARGGASERNLSEFVRRFVPK >OGLUM05G05210.1 pep chromosome:ALNU02000000:5:4509338:4518994:-1 gene:OGLUM05G05210 transcript:OGLUM05G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTDELAVHNWGRGCPPMTMTSLETQVAPGAPKENLLHLVAYFNGARTVSSRLAVAATPQLFVRATEQASSS >OGLUM05G05220.1 pep chromosome:ALNU02000000:5:4519042:4520289:-1 gene:OGLUM05G05220 transcript:OGLUM05G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEVVLVAMVVVVVVSCVVAAGGEAAAAAAAAVVVDPAWRFPSARLRDAYVALQTWKQTAIFSDPKNLTADWVGPAVCAYTGVFCAPLPGAGGAPGEVAVAGVDLNHGDIAGYLPAELGLLTDLALLHLNSNRFCGLVPDALRRLRRLHELDLSNNRLVGAFPAAVLDLPALRFLDLRYNDFEGAVPRQLFDLPLDAIFLNHNRLRFALPDNFGNSPASVIVLAGNHFGGCLPASLGNMSATLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNSLAGPLPPEVAGMRKVEQLDVAHNRLAGAVPEAVCDLPRLKNFTFSYNYFTGEPPSCARVVPAADGDRRNCLPNRPYQRTPRQCAAFYAAPPVDCAAFQCKPFVPSPPLPPPPPPAYPGPLPPVYPVPYASPPPPPLYR >OGLUM05G05230.1 pep chromosome:ALNU02000000:5:4532509:4533318:-1 gene:OGLUM05G05230 transcript:OGLUM05G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMLLLPLRARPRSINKFKQVLPQRQEWREHAALNSLRREIESKEEKSRRKEYVVEKPFNASYFIKLSEKFEAGSGVFMGSVDAWGTHVESAPERRGGDWGDRVTAEERRVHLMCVGRSEVGLIGPVIFWWPVQLGNEWNIPF >OGLUM05G05240.1 pep chromosome:ALNU02000000:5:4547924:4551440:-1 gene:OGLUM05G05240 transcript:OGLUM05G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHHLLLSPPPPHPRLSLVTSRRRPRAGHVAAACSPSPSALAAGRRAVLLVGVSVLPLLRLRDAAFAAAAARPPWPTTVDLVTDRIDTAKTEETQPEEPSAEESLAEVKVPPAVNPLAGLLNAIAVIASGVFAGLFGASQREKEALQSTVSTMEIKLAENEAAMSMLRENYEKQIWNEHAEQKKQARMFQEKEASLLDQLTLTKRTVTSLNEEVRREKELVEQLKQEIHRLKSSIAQAEDDKHVFEGKLREKLEALDSLQDKVNLLSQEVNSKEEAIRELSSSLSSKEEDYQKLQLIYNETEASLEYANSKIEQLEEDYSATKDDLNSKMSSIDSLNKEVQTLYTAKTGAEEKISELKKQYADLAASSEMRASCDSELLIEKDNLLNQLEEKLSAALSDTSKNKIIIAELNNELDTNRTMLDNEVEAHKKLSEILQSTEGALTDYRDKVFNLSEELNRVKISNQQLITQITKLTDESNITKQVLSNKIAEAEAVSQVLSDELASVRDVLQKTQEKLDVTSNQLVSTMEAREDLNKELLDAYKKLESATDELVRERKINATLNRELEALVEQSIVESEARQALQADLDEVTNSQKEVDESTQFLSERLDSANSRISSIEEEKEMLSEALEQQKRSTMEAQKDMEDAQNLIKMLGTERENFEIRSKKLEEESATAKGEILRLRRQISASGYLRTELAETSVTSNTSQPEQDVNDPDQNSNNTDAGDTRSPTRIYRRRKTKRAT >OGLUM05G05250.1 pep chromosome:ALNU02000000:5:4553234:4554653:-1 gene:OGLUM05G05250 transcript:OGLUM05G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVAVAPPDAGDAADGKPRGGAAALFKVPRLLVGMAAAAAAAARPCECDSPARSPTSPLDLRAFAAPPLLRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSSSFHDDLFMAGMAVLDMSTSSPHA >OGLUM05G05250.2 pep chromosome:ALNU02000000:5:4553234:4554635:-1 gene:OGLUM05G05250 transcript:OGLUM05G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRRVAVAPPDAGDAADGKPRGGAAALFKVPRLLVGMAAAAAAAARPCECDSPARSPTSPLDLRAFAAPPLLRSPRSWDARRAGLGGLIDDGLAEPPGAAAMSRLLMPQMRPTKPRPCGPAQPELGNAVGAAAGMSVPCSSRFYGDVKSGPEVTVAGAAQLRVNGGAHAAAADLGKFPATGSLPASIGRPPPPRYIGSVSATVVEQSEDYTRIIARGPNPKTTHIFGDCILEPCTESYWLVKLSGSGDELRRLCSSCKKNLDGSDLCFYRGEKAFCSGDCREQEILIEDEEESNTAVSSPISIDSSSSFHDDLFMAGMAVLDMSTSSPHA >OGLUM05G05260.1 pep chromosome:ALNU02000000:5:4568922:4580145:-1 gene:OGLUM05G05260 transcript:OGLUM05G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGEFRFFLSCDISLPLAFRVDRLLHYPTPTQPSPPQDRTTLATNKKVPELVVECKLYIDGIQFGLPVSTRLESSGPPYFWNEVMTLTAKYRDLTSLSQLAFTVWDMSSGEDGNIVGGATIFLFNNKKQLKTGRQKLRLWPQKEADGRVPTTTPGKVVPKNERGEIERLERLVNKHERGQIQHVEWLDRLFFRAMDKAKEKCERTENLYPSLVVEFCSFEHRVVFQESGANFYAPAPVLLSNQLVTVWDPELGRTNPSEHKQLKLARSLTRGIIDKDLKPSSNERKCLQRIIKFPPTRSLQVDEKQLVWKFRFSLMSEKKALTKFVRAVDWSDIQEAKQAVELIRKWETIDVADALELLSPDFESEEVRAYAVNILERADDEELQCYLLQLVQALRFERSDESCLAHFLVKRAVSNIEIASFLRWYVVVELRDTAYARRYYSTYDLLENGMMKFARDDGDEDGFRLWQSLTRQTELMAQLGSIMKDVKQVRGSAQKKIDGLRQLLSGVFSELTNFDEPIRSPLAPTVLLTGVIPQESSIFKSALHPLRLAFKTANGGISKIIYKKGDDLRQDQLVIQMVSLMDRLLKLENMDLHLTPYRVLATQPDEGMLEFIPSSSLAQILSEHRTITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYIMGVGDRHLDNLLLTDDGRLFHVDFAFILGKDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFNLMRRSNIPDITNEENAGLKLQEKFRLDLEDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >OGLUM05G05270.1 pep chromosome:ALNU02000000:5:4581043:4582768:1 gene:OGLUM05G05270 transcript:OGLUM05G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPSGSVAAAAAAAGEEEGAMVEAVAEDGGAESRITALLFDVSQQVQDALQGMLKMTGEIEQCGAEIEAEIERAKEAVADKGRALDDDRERFQKAAVAALNILSGGAAGDI >OGLUM05G05280.1 pep chromosome:ALNU02000000:5:4583154:4583438:1 gene:OGLUM05G05280 transcript:OGLUM05G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSPSPQVTPNHTSNNNLLRLLLPRALPALNSPISIAHTSKSSQGSMRTSAAVAEAAPRRLRRRIAVDRGVVDQAVAYTLMAAALAVTYLVH >OGLUM05G05290.1 pep chromosome:ALNU02000000:5:4590557:4590754:1 gene:OGLUM05G05290 transcript:OGLUM05G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASLLPGRRLSGRRSVKGDHDHPHGGGAPATAATARWIDGKAVDQALAYALMAAALVATYLLH >OGLUM05G05300.1 pep chromosome:ALNU02000000:5:4592262:4598363:1 gene:OGLUM05G05300 transcript:OGLUM05G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNESGIVAVARQRGIDVVLNEESKRETPAVVCFGDKQRFIGTAGAASSTMNPRNSVSQIKRLLGRAFADPELQRDLASFPFRVSEGPDGFPLVHARYLGEDRAFTPTQLLAMVLSNLKGIAEGNLNAAVFDCCIGIPAYFTDLQRRAVADAAAIAGLRPLRLFHETTATALAYGIYKTDLPEKEWLNVAFIDVGHASMQVSIVGYKKGQLNMLSHAYDRSLGGRDFDEVLFKHFADKFKDEYKIDVYQNARACVRLRVACEKLKKMLSANPEAPLNIECLMDEKDVRGFIKREEFEQISSPVLQRVKAPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIRIITEFFGKEPRRTMNASECVARGCALQCAVLSPTFKVREFEVNDGFPFSIALSCKPDSENTESEQTIVFSKGSPVPSAKTVTFYRSNTFAVDVVSVDADDLQMAKKISSYTIGPFQSSKPEKAKVNVKACLNIHGIVSIESAMMLEEEVDVPVATTNETLKDDTKMDTDDALGDPAPGTDENMQESKCSADATHGAAENGKPDSEEISAPMDTDAKVEPLIKNVKKIDVPVSGLVYGALGSEELVKASENEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYTDFVMSEYKEGFIAKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEIRYKEWAERSSSINQLVHCINGFKEVALSNSQAFDHIDMSEKQKVLDECSEAEIWLIEKQQQQDALPKHADPVLLISDMKKKAEALDRSCRPIMSKPKPAPKPQTPPPPTPPTESPTTPEPQTPEQQQQSNGAGEAEEPTSKGGAQDQEPTAEQMDTDKPDGWAEPSA >OGLUM05G05310.1 pep chromosome:ALNU02000000:5:4599787:4601329:1 gene:OGLUM05G05310 transcript:OGLUM05G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGALLSFLLSYPEFLLAAACFLAFAAIRRARDARRRAAPVPVSWPVVGMLPFVVAHLGRLLDAAAAALPELGCTFMFRGPWRRLPRDVRPRRVPPLPRRQLRRGRDFAEMFDVVGDGLLVADAASWAAKRHLAASVFTSAAFRGFVLSTVERQTRRLLVPFLDHAGGVVELEDVFMRYSLDVSYTVAFAADLDSLSVASAAEPFPPFGEATRVTGEAVLLRHIAPAGWWKLMRWLNVGVERRLADAKAVLDEFVYREIANRRSRPAPAVAGGDDLLSMYMASPIDPAMSDQTLRDAAVGFMFAAKDLIAAALTWLFYMICTHPHVEAKILDELRSLHTTTTAGAVVFDADELRAATYLHAAVLETLRLYPSAPFEEKEAVGDDVLPGGTAVRKGTRVVFCLYAMGRVEGIWGSDCREFRPERWLSTGDGDGGAGKVRQEPSYKFAAFNAGPRSCLGKDLGLSNIKIAAAAIVYNFTVELVAGHVVEPKDSVVLHTKNGLMVRVKRRETA >OGLUM05G05320.1 pep chromosome:ALNU02000000:5:4601406:4604430:-1 gene:OGLUM05G05320 transcript:OGLUM05G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFSPHSRFDSAMSYLEMLRSSKVLGNSDEFCQCQACLGRYTLLADEENPRLAMFERRLPFFGCGIGWCCFLLGFLCPLIWYIAALLYYCKYYNRDPRERPGLAASAFLAVIFTAATIVTLSVLLIIIYGVRFA >OGLUM05G05330.1 pep chromosome:ALNU02000000:5:4604741:4609924:-1 gene:OGLUM05G05330 transcript:OGLUM05G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLHLCSEADRIVSGAHENFPENDTGNAALTLTSEANSSSRPAGGGGEECGAELRVGGLMGDESRATREPGELLAALIAFENTQCRTQQGGKRYCRAPNFPITSCDTV >OGLUM05G05340.1 pep chromosome:ALNU02000000:5:4612089:4612634:1 gene:OGLUM05G05340 transcript:OGLUM05G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHSRSLKEEQQCRITGGSGSGSWVVQATAMLVATAVTAQAAYRARHVPWDLAFVLFAYADLGLLFLCLSMYERLPPPPLEEGQERDSGDGGAAVRRRRRSLKMAVWALSTALSVAFAWRVAAVMPAPAMKAAVWGMTSTVAVAGFYLLFVHRPAAISSYSELDTCKKEAASPKLHQIV >OGLUM05G05350.1 pep chromosome:ALNU02000000:5:4618013:4618600:1 gene:OGLUM05G05350 transcript:OGLUM05G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKSKSTVAAAGSNGADHAPRRSAAPVSSSSSEQCGGDGELGESVRRGCRVCLHLASLAFLACAFVQTARRARDDLWSLAFVFSAYAALVALFLVLRRAEQLTPESPAHERRRLQRAAWALSTLLSCLFAYRVARIMPAAMAVAVWAMTASVVAGGLYFLVLNDGGRRGSEEDSHVAAVDGKSSFHKIPADEIV >OGLUM05G05360.1 pep chromosome:ALNU02000000:5:4620636:4621049:-1 gene:OGLUM05G05360 transcript:OGLUM05G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRNPRLLLRAAASLLRPSAAAPTPAALPPLPRRPALFPEGTCPHRRAFSTAGYGKDVDEVNRKFAEAREEIEAAMDSKETVYFDEEAACARDAAGEALAAFESLLARLPPPDADSLRRSMGLKMEQLKAELKQLDE >OGLUM05G05370.1 pep chromosome:ALNU02000000:5:4622084:4624764:-1 gene:OGLUM05G05370 transcript:OGLUM05G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39470) TAIR;Acc:AT4G39470] MAMSFLSTAAGVTSSQPLLPAGRLPRRNPSLPFPLRPPHRLSPFSAPPPEPHRVTYRTHSTSSSSRTPTAAGLLSPVISTSRTLLFLLVASLLSLSGVRPLPSLASPPPPTQQPQETEEQEQQQESEEKQQQQQEEEEGVEAEVEEAWLRQDDEEEEEVEEKEEEVEEEADDEVQMYMEILSGDPGDVDALKCALFAKMRHAEWGGALGFARRLREAEPGEVEWRLMEALLHELNGDLAEAERLFNEVLAEKPLLVRALHGLALCMHKRSEGPTVFEMLEKALQLAISEERVPEERNIKLLIAQMHVVKGQLDVASEKLQNLINEDPRDFRPHLCQGIVYALLDKKEEADELFDTYRSLVPDEFPDKSFISDVIQAARVESKDRLQKDFGSELLSKK >OGLUM05G05380.1 pep chromosome:ALNU02000000:5:4626595:4630391:-1 gene:OGLUM05G05380 transcript:OGLUM05G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hypoxanthine-guanine phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT1G71750) TAIR;Acc:AT1G71750] MQNLGIPPFLPAGPATAPFHSRKTSSPPPPPSPPANNKSPNPARPRRLRRRGTLTLALPAAAMVGGGAGADAGIDRVLWTEAEIAARVSEVAAELAADLRALREPAVVVGVATGAFLFLADLVRRVDAPLAVDLVRAESYGDGTESSGRPRITSDLKVDVAGKHVVVVEDIVDTGNTISCLIAYLEKKGASSISVCTFLDKPARRTANFQLVGDGKFYRGFECPDSFVVGYGMDYAELYRNLPYVGVLKAEMYKKDTSN >OGLUM05G05390.1 pep chromosome:ALNU02000000:5:4634965:4640529:1 gene:OGLUM05G05390 transcript:OGLUM05G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLMQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGVYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >OGLUM05G05400.1 pep chromosome:ALNU02000000:5:4640837:4646531:1 gene:OGLUM05G05400 transcript:OGLUM05G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUZ6] MSPPVSVRSLSRPNAYINAPRAPLSLPKSQKPHPKRRRLSLSLSLLFFSPPRRGSSRARRRKRDARADRGGGVGAGIHRVHRLRRRRRKRGAMTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSNILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >OGLUM05G05400.2 pep chromosome:ALNU02000000:5:4640837:4646531:1 gene:OGLUM05G05400 transcript:OGLUM05G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZUZ6] MSPPVSVRSLSRPNAYINAPRAPLSLPKSQKPHPKRRRLSLSLSLLFFSPPRRGSSRARRRKRDARADRGGGVGAGIHRVHRLRRRRRKRGAMTDGHHFNNISLGGRGGNNPGQFKLYSGGLAWKRQGGGKTIEVEKSDITSVTWMAIPRSYQLGVSTKEGLFYRFFGFREQDISSLTNFMEKNMRITPEEKQLSVGGHNWGGIEINGNMLSFNVGSKEAFEVSLADVAQTQMQGKTDVVLEFHVDDTTGGNEKDSLMDLSFHVPTSNTQFPGDENRPSAQVLWQAILNKADVGSSEEAVVTFDGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSNILRLFVLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEAVVQRDLTLSDEVLAEKYKDRLENSYQGLIHEVFSKVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGEAQGRAGGVTAVLQSTDDDAVDPHLERIRNQTGDDESDEEDEDFVADKDDSGSPTDDSGEEGSDASLSGGEKEKSSKKEASSSKAPLKKRKPKGGDAAEGSEKRKPKKKKDPNAPKRAIAPFMYFSKAERANLKNSNPELATTEIAKKLGERWQKMTAEEKQPYVEQSQVDKKRYAEESAAYRGAAAMDVDSGPASD >OGLUM05G05410.1 pep chromosome:ALNU02000000:5:4646883:4652125:1 gene:OGLUM05G05410 transcript:OGLUM05G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQAGMGLTRVVVLIGAGMAGSVVLRNGRLSEILGELQEILDKGEKGKDGEGGGGADMTDALTRQVRNLAMEVKQLASSRGSITVLNGGSGQTGVSGLIVPAATVGALGYGYMWWKGISFADLMYVTKRNMANAVSSMTKHLEQVQTSLAAAKRHLTQRIERLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKQLVWGLDEKMDSMEAKQNFSCAGVMYLCQFIEQNGGKLPERLEGSKMAGKRFGSQNLIQGLQLAIETGNFDKETFNALKNNSDSR >OGLUM05G05420.1 pep chromosome:ALNU02000000:5:4652084:4657237:-1 gene:OGLUM05G05420 transcript:OGLUM05G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSMGNLLYTVATKYPANALIKSPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWYPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLQRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIVEIRTEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPNIIAGLLYCTSVVKCAAA >OGLUM05G05420.2 pep chromosome:ALNU02000000:5:4652536:4657237:-1 gene:OGLUM05G05420 transcript:OGLUM05G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSMGNLLYTVATKYPANALIKSPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWYPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLQRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIVEIRTEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >OGLUM05G05420.3 pep chromosome:ALNU02000000:5:4652536:4657237:-1 gene:OGLUM05G05420 transcript:OGLUM05G05420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSMGNLLYTVATKYPANALVHRPVVIQYIVSSKASSIKSPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWYPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLQRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIVEIRTEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >OGLUM05G05420.4 pep chromosome:ALNU02000000:5:4652536:4657237:-1 gene:OGLUM05G05420 transcript:OGLUM05G05420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEAAAAAASPPELASFLAIGLDQRTAENALANRKVTANLTAVIAEAGVSGCDKSMGNLLYTVATKYPANALIKSPAQLDAALSFLSTLGPDPLDTAKFEETCGVGVVVSTEEIQSTVTDILKENMEAIVEQRYHINVGSLCGQVRKWYPWGDAKFIKEEIDKRLTEILGPKTEADNVKPVKKKKEKPAKVEEKKTAVAAPAPPSEEELNPYSIFPQPEENLKVHTEIFFSDGNIWRAHNRKDILEKHLKATGGKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVQWMGWEPYKVTYTSDYFQELYELAVCLIKKGLAYVDHQTPEEIKEYREKQMNSPWRDRPIEESLKLFEDMRHGLVAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALDQYQPYVWEYSRLNISNNVMSKRKLNKLVTEKWVDGWDDPRLLTLAGLQRRGVSSTAINSFIRGMGITRSDNSLIRVERLEYHIREELNKVAPRAMVVITNLDYGTIIDLDAKKWPDAPGDDASAYYKVPFSRTVYIEQSDFRVKDSKDYYGLAPGKTVLLRYAFPIKCTEVIYGDNSDNIVEIRTEYDPSKATKPKGVLHWVAEPSPGVNPLKVEIRLFEKLFLSENPVELEDWLGDLNPRSKEVIKGAYAVPSLATAALGDKFQFERLGYFAVDSDSTAEELVFNRTVTLRDSYGKAGPK >OGLUM05G05430.1 pep chromosome:ALNU02000000:5:4659379:4662286:-1 gene:OGLUM05G05430 transcript:OGLUM05G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGQDEGSGDLGSPKLAQDHHIMDLGDNSKIKRARATNWPTVMSKFVLDWYLQKKKEMPPKTKFKKMHHHYCTSVLNARFETTFTVDQVHRHFRRFKEVWNIVARYMNVSGSRFDKKHKMLILPPPTLASLPIAERAILVKPIPFFDHIQALFGEWSVDAAPVTDPIEAADINDDDMEILDPLNMMANCADARDPDGADLDKFVLEDEDDCHEVAASSDAVPCEVMSDTSAPSAQPSGSFAESTMAALKPGLKKCKVVSRTKTTPNPKPQAPVPHDGRKADMVKSTLAGIRDTPAKPIRAAPTSSDPNAPLWNMLKEIPLTPADRLSVGICLCKPEFEVHRSFFMNMGREYLEAWAHKFLSGGEPGSL >OGLUM05G05440.1 pep chromosome:ALNU02000000:5:4667314:4668413:-1 gene:OGLUM05G05440 transcript:OGLUM05G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVGLNPEAFFFSNSYSYSSSPFMASYTPELSAAAIDADLFSGELDFDCSLPAPAFAGARQEYPENENTMMRYESEEKMRARVNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSTEGCSVKKRVERDREDHRYVITTYDGVHNHASPAAAAGDYYSPPLSSAGSPPAAYSAGGSLLF >OGLUM05G05450.1 pep chromosome:ALNU02000000:5:4694263:4696374:-1 gene:OGLUM05G05450 transcript:OGLUM05G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEVSRCGYWAIRAPDSSPANSKVHKKKTLREQKESPCLCLIEEGFLGFGVFVAPMQIKATKIWLQIPLYDRLWF >OGLUM05G05450.2 pep chromosome:ALNU02000000:5:4694263:4696374:-1 gene:OGLUM05G05450 transcript:OGLUM05G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTVEANSKVHKKKTLREQKESPCLCLIEEGFLGFGVFVAPMQIKATKIWLQIPLYDRLWF >OGLUM05G05460.1 pep chromosome:ALNU02000000:5:4697944:4700866:-1 gene:OGLUM05G05460 transcript:OGLUM05G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLQIRGWKEWEKEAAGQDFEFANDPTKFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRRADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLLLNVSGLHTMLWISIMPVVTILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFSRPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKEFVFARLCLGVVMGSTMKQSIFDDQTSKALKNWRAGVKKKPAAASSSKHGDAAGDHAAASPHSGSPKGEAGGVALTQRKAGGGEAGDAQQAGGGSGSKKGEEGDYEFVKLEP >OGLUM05G05470.1 pep chromosome:ALNU02000000:5:4710887:4711855:-1 gene:OGLUM05G05470 transcript:OGLUM05G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGGKALLEEDLVGRSSRSVAQACSGDIREGGDSSKIMAWYIEAWGGSSSKLWGDEAQICPMGNGWQGGSTDHWSSVSGSHCSNGCRTTQKPSSRHVLPPPNPSSSSQYLLGVPIAARRCMATNEEARRRWWRGCQPASANRSRIRRALGASLLARRVYFIGLFVTNPSTSRLLEGRGVSASVINAETWDMMKGHWQQGKKSFLE >OGLUM05G05480.1 pep chromosome:ALNU02000000:5:4714144:4714596:-1 gene:OGLUM05G05480 transcript:OGLUM05G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGGNTRELDQTPTWAVASVCGVIVLISILLEKGLHKIGEFFSHRKKKAMVEALEKVKTELMVLGFISLLLVFGQNYIIKICITEKAADTMLPCRLKASTIHAETGKSHHGDAGGHGATTMEAGGEHGATPAGGEHGATWHRDKL >OGLUM05G05490.1 pep chromosome:ALNU02000000:5:4734436:4754637:1 gene:OGLUM05G05490 transcript:OGLUM05G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAVQATCTGQARNTASSSICSQATRQSVVGRPISVAVCLWRLATGDPLRKVSRRFGLGISTCHNIIVQVCAAITAVLLTRVVRWPDSHAAAASMFEALSGIPGVVGAVHTEHFRIVAPREHAGEYYDHRLTDRNNKATYSVAMQAVVDAEGAFTDSALYARCEAGLLLGHDKLRWQQPLWLVGGASYPLTSWMLVPCTQPNQTWAQDRLNAQVADARAAAVGTFRRLRARWQCLRRAEVKLPELANMLAACCVLHNLCERSGEEHDADLLHDDLVVVDDGVVAGGGNTVRSATTEEKIS >OGLUM05G05500.1 pep chromosome:ALNU02000000:5:4761779:4763796:-1 gene:OGLUM05G05500 transcript:OGLUM05G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFFEPFTDFDYSSVLAGGGFPLMDDGDFFAGHHPDQPPSPAEVVVAVAGGDASGKRSFQADDDDGAMGVVSRSSKRTRKAPSSSSSPSHSGGGGEGNEPAAERGGGRRVWVRERSTEWWDRMRDPAACPEADFRRAFRMPRAVFDKLCDDLAAAVAKEDTTLRAAIPVPQRVAVCLWRLATGDPLREVSRRFGLGISTCHSIILQVCAALTAVLLTRVVRWPDSHAAAASRFQALSGIPGVVGAVHTEHIPIVAPRENAGEYYDRRLTDRNNKATYSVAMQAVVDADGAFTDVCIGHPGSLSDAAVLAKSALYARCEAGLLLGDDQPPQWLVGGASYPLTSWMLVPYAQPNLTWAQERLNARVADARAAAVGADFDPCTN >OGLUM05G05510.1 pep chromosome:ALNU02000000:5:4767033:4773935:-1 gene:OGLUM05G05510 transcript:OGLUM05G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGACCVLHNLCERSGEQLDADLLHDELVDDGVVAGGGNTVRSAAAEQIERGVRSEDGGGPRRPVHGGRRLAGLSHEDGSGRCGGRPWWSAMT >OGLUM05G05520.1 pep chromosome:ALNU02000000:5:4802500:4815037:1 gene:OGLUM05G05520 transcript:OGLUM05G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLRLLHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLLGSIFPLLDVSRKPGLIKIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >OGLUM05G05520.2 pep chromosome:ALNU02000000:5:4802500:4815037:1 gene:OGLUM05G05520 transcript:OGLUM05G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLRLLHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVVGPGAVLQGPLVNGSSDDVAPKLNCEDESCPMEIFHPPEDCNLNTSLSFTLQICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLAHRGLCVWTCALQVFSQKASKHATLEFGRDLDTIEL >OGLUM05G05520.3 pep chromosome:ALNU02000000:5:4802500:4814837:1 gene:OGLUM05G05520 transcript:OGLUM05G05520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPLARLRLRLRLRLLHLALLALLAVASGNPSLGGFDRGDAEAEAYSILTFHDYTPPPPPSLPPPPPAPSATCAGDLRGVGDLDTRCVVPASVRLRGPGVYISGNGTLVLVDGVALTCERPGCVVSANLSGGIFFGREARVVAGWVSLSATNITLSSDAVIDTTALAGDPPDKTSGVPTGSYGDGGGHGGRGASCYVKEGQAQEDSWGGDMYAWAELKTPNSYGSKGGSTSVEKDYGGGGGGVVWLFAKDIMMNGTILANGGDGGTKGGGGSGGSIYLKAKTMQGGGTISACGGDGLAGGGGGRVSVDVFSRHDDSQFFVHGGRSSGCLDNAGAAGTLYEEVPKSITVSNNNLSTQTDTVFLEPPYDPLWTNVFIKNHAKVSLPLRWSRIQAQGQISLLSRATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGRESGVATSLLEGSNLIVLKESSVIHSIGNLGIHGQGILNLSGDGDTIQAQRLILSLFYNIVICRVEDIVVSGLVQGTVINFNRARNVTVRSSGTISATGLGCRGGIGRGRMLSSGLSGGGGHGGKGGDAFYSGSHAGGGTAYGSADLPCELGSGSGNVSTSSSTAGGGIIVMGSLEQSLPLLSLAGSIEANGGSFAGAVTHAANEGPGGGSGGTILLFVRALSLEEGSVLSSAGGVGSNGSGGGGGGRIHFHWSDIPTGDDYIPFATVNGSILARGGTVDGQGFPGENGTVTGKDCPKGLYGTFCKACPLGTYKNITGSLKSLCSPCPTNELPHRAVYISIRGGVTETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLFLSGLLFLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSIYSILCILSYPLAWSWQQWRRRRKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRPDLPPRLHQRLPMSLIFGGDGSYMAPFSLHSDSVVTSLISQGVPSSIWHRLVAGLNAQLRLARRGNLKATFLPVLKWLETHANPALNTYRVRVDLAWFQATALGYYQFGLVIHSVGPFSSGLQGGSRMKFDYHAQFQNTNVDSQLDHSRNNDAVMLKRITGRVLDIDNLRTLKDKRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMIDVLLVLFVLPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLIVAFACGLVHYKSSAKRHPSMQPWNLGGDDTSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >OGLUM05G05530.1 pep chromosome:ALNU02000000:5:4819354:4826575:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAVSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQAQHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05530.2 pep chromosome:ALNU02000000:5:4819356:4826501:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAVSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQAQHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05530.3 pep chromosome:ALNU02000000:5:4819354:4826977:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.3 gene_biotype:protein_coding transcript_biotype:protein_coding METGFVFFFFRREYVGTTVPEESGSSQERRKKINKRRRKRKSSCGVRSSSTREFGRRHRRRRRRRSPSIPRASKGAGILPGAVYARQSLKFAAPVYVGDKVLVQVQAQHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05530.4 pep chromosome:ALNU02000000:5:4819354:4826977:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.4 gene_biotype:protein_coding transcript_biotype:protein_coding METGFVFFFFRREYVGTTVPEESGSSQERRKKINKRRRKRKSSCGVRSSSTREFGRRHRRRRRRRSPSIPRASKGAGILILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMVKYVSGGVARNIAECICKLETRPFMISVVGNDMAGDFLLKYWRSAGLCTDVSNVFDGSGELIAGVASVGAVENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05530.5 pep chromosome:ALNU02000000:5:4819356:4826501:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFARNLAAIIPLARCNATATTAAAPSSLVLKVGDTLRERRRFTDGEVEAYAAVSGDRNPVHLDDAFARQVGGFGRGRVVHGMLVASLFPALIAAHFPGAVYARQSLKFAAPVYVGDKVLVQVQAQHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05530.6 pep chromosome:ALNU02000000:5:4819354:4826977:-1 gene:OGLUM05G05530 transcript:OGLUM05G05530.6 gene_biotype:protein_coding transcript_biotype:protein_coding METGFVFFFFRREYVGTTVPEESGSSQERRKKINKRRRKRKSSCGVRSSSTREFGRRHRRRRRRRSPSIPRASKGAGILPGAVYARQSLKFAAPVYVGDKVLVQVQAQHIRASTKHIVKFGTKCFTSDSDDLLAVDGEAMAVLPSLYLRQQLNQILIGGFAIFGPLNLSFSMAGATTTSSPLRRMESVCRHLLPASPPILYQNPLGAIRLESSPVIIGGMVLDIHAKPSMQPHPGTTVPGMENFLSPSWICQFRLHISTAPLLMLDANLSPDSLEAACKIAHESGVPVFFEPVSLAKGSRIAPIAKYITYTSPNEIELVAMANSLSPPEKYTFVKMEQSKNKAKAVEYLFEMLSPAMFFLLEKGIKFLLVTLGSNGVFVCCKESTSLMDQRKSEMMSFSTPLLQKLERCFPSNMLVDLPREGSSRTCVFHFPAVSASVVSLTGAGDCFVGGVISALCGGLGMMQSVAVGIAIAKSSVESEANIPDKFSAATIADDARRTLLSAKMMWCK >OGLUM05G05540.1 pep chromosome:ALNU02000000:5:4832792:4836766:1 gene:OGLUM05G05540 transcript:OGLUM05G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVAGDGGGGGRRSEDLRVVFLRVGAAVALSVAGLLLSRRRPRQQLRLPPPPPRSDSDGMKGGGGLKDELRILKNEDTKAKIINGNSVHTTTTTTTMTTTALVPLPPKCRTLDDDEEFLLPEFNEIVLKEFGRDMGNIATSPAPRVSEEDATKNREIFELREMVRSLQEREKTLELQLLESYGLQEQDVAVRELENQLKINTVESKLYTLKIESLQSENERLQAQLTESSKLASELEAARMKCKLLKKKLRQDAEQAKERIASLQEMADSWQCKEIITEGKFSAEVEEKLSKLEELENEARELRVVNSRLQQENAHLARRLELTRLPPVPKPINNMEVKALQEADHLRQENDKLAKEVEQLKTDRFSDVEELVYLKWINACLRYELRNQDAPSGKNVARDLSKTLSPQSEEKAKQLIMEYANAGPDEKNFDHIEFCSEYSSSRASSLGEPDDASIDVSLMNKHKNPKKKKFFSKLRKLVLGKEKENKTIPTLERRISISSCSFDEFNGRESIDSYSSFMTEPGNSGNQQHDNHGSRWHSMDSQSARHLSKEIADARNLHLGVKSVSFGEGRVSNFGHSSHLGNGEATVPEDAKKIHKFAEALKTSRPGSRSSRKDH >OGLUM05G05550.1 pep chromosome:ALNU02000000:5:4841920:4842637:-1 gene:OGLUM05G05550 transcript:OGLUM05G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific ribosomal protein 4 [Source:Projected from Arabidopsis thaliana (AT2G38140) TAIR;Acc:AT2G38140] MALLAVHAMAASPATFPSSHHHAAVSSYCALPAAAAFSRSRSRVAAAAAATLSAPLTPVLEVYCGRGDKKTKRGKRFNHSYGNTCHLLTAVCSSQARPRNKKKGTGPARLFAPPAPPRKDQFDDGEVTPIDIDDDILE >OGLUM05G05560.1 pep chromosome:ALNU02000000:5:4843492:4844455:-1 gene:OGLUM05G05560 transcript:OGLUM05G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNECSVFKANCNDRNLEGCRRSLQKMKREHATLKQKLESYFQLLRQVGPRDYAVSSRK >OGLUM05G05570.1 pep chromosome:ALNU02000000:5:4853568:4853831:-1 gene:OGLUM05G05570 transcript:OGLUM05G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPKGNLLRHAYYHLGPGPRTVVEHAVVPFLPNGPRPKGHDRVESTTMLPRNFESAGAVPLVEGNIAREEAMWEPEAREDGPPQL >OGLUM05G05580.1 pep chromosome:ALNU02000000:5:4856939:4857726:-1 gene:OGLUM05G05580 transcript:OGLUM05G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGNGFDELTSSRHGPAHYAHHYNRRPSTGNGPELRPAGQGLKLAASFLFKIAFPIE >OGLUM05G05590.1 pep chromosome:ALNU02000000:5:4857928:4858317:-1 gene:OGLUM05G05590 transcript:OGLUM05G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPLTCGVHMSSSHLPFLIPLPLSLLSFSPRTLFTTGAAVGTAVVGRGGGVGTPPPFRRLSSCSPPAARPLAVLLATEDETHALMPP >OGLUM05G05600.1 pep chromosome:ALNU02000000:5:4858906:4862226:-1 gene:OGLUM05G05600 transcript:OGLUM05G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZV28] MAGGGVEDTYGEDRATEDQLITPWSFSVASGYTLLRDPRHNKGLAFSEAERDAHYLRGLLPPSIVSQELQEKKLMHNLRNYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNESLLNDEFYIGLKQRRATGEEYHELLEEFMTAVKQNYGEKVLVQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLIAALKVVGGTLADHTYLFLGAGEAGTGIAELIALEMSKQTEIPINDCRKKVWLVDSRGLIVESRKESLQHFKQPFAHEHEPVKTLLEAVQSIKPTVLIGTSGVGKTFTQEVVEAMAAFNEKPVIFALSNPTSHSECTAEEAYTWTKGSAVFASGSPFDAVEYEGKTYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVSEDNFARGLIFPPFTNIRKISAHIAAKVAAKAYELGLASRLPRPDDLVKYAESCMYTPAYRCYR >OGLUM05G05610.1 pep chromosome:ALNU02000000:5:4870592:4870927:1 gene:OGLUM05G05610 transcript:OGLUM05G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFTMPCPKKVMHDKKVPLTNCSIIMGELGFLIVTGINQICVFYSLLMAVYTSKFRL >OGLUM05G05620.1 pep chromosome:ALNU02000000:5:4877369:4878806:1 gene:OGLUM05G05620 transcript:OGLUM05G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAECEDESPESAMVASGDVGSGPTVGHSGVEHAQERLILVQQQPAGILSPLSSGTALLEATESIDGDESHAQFQCAVSRCKI >OGLUM05G05630.1 pep chromosome:ALNU02000000:5:4906609:4908413:1 gene:OGLUM05G05630 transcript:OGLUM05G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZV31] MAWNGRFGEDGEEERSLELSLALPGYFSSSGLQGNTSTAADGAKGNDGFKASKKMLKFAPKFSAIRSRPAAPVVGWPPVRSFRRNLASSSSSSKPPRGGRDAAAAAAGGKVARFVKVNMDGVPIGRKVDLAAHGGYGELSAAVDRLFRGLLAAQRDPTMATAAAGESCTGEEEAIAGLLDGGSGEYTLVYEDDEGDQMLVGDVPWNMFIAAARRLRVLRSSDLNASTIRAGSRKRAAAE >OGLUM05G05640.1 pep chromosome:ALNU02000000:5:4910596:4913968:1 gene:OGLUM05G05640 transcript:OGLUM05G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZV32] MAGATDLPPKGGFSYDNCARNAMLVEKGLKMPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVAEAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYNFTKGQTVVLSTKITQLKPKVEVTEGDAMEE >OGLUM05G05650.1 pep chromosome:ALNU02000000:5:4914412:4917943:-1 gene:OGLUM05G05650 transcript:OGLUM05G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZV33] MVAAAVAAAEQVVAALREECATPAARLDGVAAAMAGEMAAGLAEEGGSKIKMIVSYVDNLPNGTEEGLFYALDLGGTNFRVLRVQLAGKEKRVVKRESREVSIPPHLMSGNSSELFGFIASALAKFVADEGHNAVFNDRQRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELQMAMEKQGLDMRVSALINDTVGTLAAGSYYDEDVVVGVILGTGSNAAYLEKANAIPKLEGELPKSGNMVINTEWGNFSSSCLPITEYDEALDKESLNPGEQASFSRRLHTPHMSKIFEKLISGMYLGEIVRRVLLKISLQSSIFGNLDQTKLKTRFILRTPDISAMHHDGTPDLRIVAEKLADNLKITDTSLETRKMVVEICDIVTRRSARLAAAGIVGILRKIGRGVPGDKRKSVIAIDGGLYEHYTEFRQCLETTLTELLGEEASKSVAVKLANDGSGLGAALIAAAHSQYLN >OGLUM05G05660.1 pep chromosome:ALNU02000000:5:4942972:4944872:1 gene:OGLUM05G05660 transcript:OGLUM05G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAARWFRNMWGGGRKEQKGEAPASGGKRWSFGKSSRDSAEAAAAAAAAAAEASGGNAAIARAAEAAWLRSVYADTEREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRSAPVLAATVAGDTRSLAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLQSMKALVRAQATVRAHRSGAGAAANLPHLHHAPFWPRRSLQERCAGDDTRSEHGVAAYSRRLSASIESSSYGYDRSPKIVEVDTGRPKSRSSSSRRASSPLLLDAAGCASGGEDWCANSMSSPLPCYLPGGAPPPRIAVPTSRHFPDYDWCALEKARPATAQSTPRYAHAPPTPTKSVCGGGGGGIHSSPLNCPNYMSNTQSFEAKVRSQSAPKQRPETGGGGGGGGRKRVPLSEVVVVESRASMSGVGMQRSCNRVQEAFNFKKAVVGRLDRSSESGENDRHAFLQRRW >OGLUM05G05670.1 pep chromosome:ALNU02000000:5:4964353:4968656:1 gene:OGLUM05G05670 transcript:OGLUM05G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGASRLAVVVGREEGASSPVDGVASDEAGFREGGERSAARRRSERFPRVRGARRRELLRFFPVERARLERASEAASDPLAHAVLSFYDLENSQTGQLLPCSDVFCKHLSSSTSIPCQKDHPCIHFEKYGTENLLHGKIVQDFLVLEIVINHYKTSKVRTKVQFGSISQHLKSLSSSFTVDGVMGLGPSNTSLVYQLAKSQKWKKMFAHCLDGKRSGGIFVLGHIVGPKVRKTPLDQTRTTLLEITVGETSLSLSAGNVEIKSQNMTILETGSLISYLPEKIFSDLEDISVINIGGYSCFHYERRFPEVVFHFKELLTLRVYPHEYMFHNMEEHYYCLGFLSSEQRNHREKDLFILGGKSSVHVRDEPTGKIYEVGSHRMNSDDDEDVWSHDRVKLETEHTTPANNTSEKTEVHSGLLSHWCSGVLRETVNNQAVCDDWCDHLLRDSLGHVVPLHHLPSIYQLEKYEYAEMVVGSSCGGDFGYHCRVHSFVDKAHRHCFPQI >OGLUM05G05680.1 pep chromosome:ALNU02000000:5:4969353:4970363:-1 gene:OGLUM05G05680 transcript:OGLUM05G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAATRVVHVHYNNATVTIGTVGVAREDDGDDAEAMAKQIVVRLGIHPPAGSGADAHAVVDLRFALQEPTPAASVPWRWLAFSLCRFLDLPADGSRLEDELCSFATDVAGAGAGGALHLLLVDVRYLGVYDERPSTQEWLPVQLYLTPATDDDGAVVVLPLCPRHTGAEAERWCHACLGEFKVGDTLATPACCRRRDVHQECLRRHLAKGPDESCPLCGGATALTPAAAEADAARMQGMWWRYFLAGNLLYWLSTTAAVVTLRLADRRGVAGVHHYLTLGAASAAWLFHSVGTLLLADDAFGFGFTIDELARFLRPLCSPVTFILSSPANKRS >OGLUM05G05690.1 pep chromosome:ALNU02000000:5:4972851:4976177:-1 gene:OGLUM05G05690 transcript:OGLUM05G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) TAIR;Acc:AT4G29330] MSSPAEYYNSLPPISKAYGTLCFFTTVLCQLQILNPPFLALYYPFVFKKFQIWRLFTSFFFLGKFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMRTLRSDPRPPTPDQAPSEEGATDSVNRSNLEDTLCCKPATPIWEMQCRMKQGTSMGQPGRY >OGLUM05G05690.2 pep chromosome:ALNU02000000:5:4972849:4974498:-1 gene:OGLUM05G05690 transcript:OGLUM05G05690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DERLIN-1 [Source:Projected from Arabidopsis thaliana (AT4G29330) TAIR;Acc:AT4G29330] MIARYGVQLEKGAFEKRTADFLWMMIFGAISLLALSAIPFLDIYFLGVPMVSMLLYVWSREYPNSQISMYGLVQLRSFYLPWAMLGLDVIFGSEILPGLLGILVGHTYYFLSVLHPLATGKNYLKTPMRTLRSDPRPPTPDQAPSEEGATDSVNRSNLEDTLCCKPATPIWEMQCRMKQGTSMGQPGRY >OGLUM05G05700.1 pep chromosome:ALNU02000000:5:4980353:4982639:1 gene:OGLUM05G05700 transcript:OGLUM05G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKAQRIHGKPPDWQDFVGIITFLLINSTINFIEENNASNAAAALMACLARKAKVLPLTVNKLTVNKNLIDVRKKIYSLFY >OGLUM05G05710.1 pep chromosome:ALNU02000000:5:4990158:4990427:1 gene:OGLUM05G05710 transcript:OGLUM05G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAKKSRNPHKAPADYRSDRKSASGMSGEPKKGGRGGKFTWEGADGYADEDLDLISPKNSTSHSKSSSAASAAADSSSNKKAGDDE >OGLUM05G05720.1 pep chromosome:ALNU02000000:5:4994308:4994703:1 gene:OGLUM05G05720 transcript:OGLUM05G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGDAASMVAVGLVWGATNALMRRGALVWDRRLRSLPASSSSVTGVLRRWAELLLTWQYSVPFAVNLSASAAFFSLLGAAPISVAVPVTNATTFAATAVAAALLGEGTRAAPAALGTALIVLGVWVCIS >OGLUM05G05730.1 pep chromosome:ALNU02000000:5:4999318:5008072:1 gene:OGLUM05G05730 transcript:OGLUM05G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLFSHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPVVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGTELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGSNS >OGLUM05G05730.2 pep chromosome:ALNU02000000:5:4999318:5007833:1 gene:OGLUM05G05730 transcript:OGLUM05G05730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister-chromatid cohesion protein 3 [Source:Projected from Arabidopsis thaliana (AT2G47980) TAIR;Acc:AT2G47980] MDETLASLRRPKRGRPPRPREDHLAAEDFEEEGEDEEAEAEALARPQTKRKRAASAAAAAALEDQTLIDIIKHNGRLFSHAVKKLVEDYESDPKSVMFQILAMLFEACGARHNFYADYLYEADVDGVVFSLVELAKKGMVEDNYNTKQKDLKNFKENLVSFWDTLVHDTPPRVYRQVASLVGLQLVTSLISVAKTLSGQRETTQRQLNAEKKKQTDGPVVESLNKKLAHTHKSITYLEELMRKIFSGLFMHRYRDVDPEIRMSCIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRTSILALQSLYEVDENIPSLGLFTERFYSRMIQLADDVDISVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPLIRRAIGELVYDHLIAQNIKTSQSGARDGNNDSSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMKDWKCIISMLLDENPLTELTDMDGTNLVRMLRASAKKAVGERIVPATDNRKMYYNKGQKEILENSKHEITTALLKKYPQLLRKYISDKAKISPLIDMMMLMKLELYSLKRQDQHFKAAIDLIADAFFKHGDKETLRSCIKAITFCCTNCQADLQNYAENKLKDLEDELVLKVKTAIKEVEAGDDEYSLMVNLKRFYELQLSKPVKNDGLFEDMYRILSHLKDMDNEVKSFLLLNMYLQLAWCLNAIDGENPSEASIDELLSRQSSLFEKLYYYLVVLPTYQKEGRSTTILSCRVCVITAEMWCLFKKPKYSSTRLESLGYLPQLDVVQNFWKLCEQQLNIPDEIEDEDANEEYIEDTNKDVVMIAAAKLVLADTVSKDYLGTELVSHYASHGTSTTEIIKHLITSLRKNADNNMGALFFEALKRAYERYMAHVSDGENQTLIGKSYSECQDLAGRLAGSYVGASRNKNKSEILKIIQDGVSFAFVDLPKQLSFLEAALLPFVSKLPSSDIPDILIDVQKRTQDTNTNEDPSAWRPYFTFVEHLRDKHAKNEVLQEEKEEKPVKRRGRPRKVRDVPARNLFDGHKSSDEESVSDSDQQGHGEDNDDDDADQPLINTFRSSASKLRSLKVSQQGTSGQKGPSRASGHALTSLRMTELGSNECSRFVAL >OGLUM05G05740.1 pep chromosome:ALNU02000000:5:5011955:5020187:1 gene:OGLUM05G05740 transcript:OGLUM05G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHARLEQVLLDDVKLSEQVTDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >OGLUM05G05740.2 pep chromosome:ALNU02000000:5:5011955:5020551:1 gene:OGLUM05G05740 transcript:OGLUM05G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHARLEQVLLDDVKLSEQVTDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDQCLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVIIALVHDAATMHALSLFAPDAVAAC >OGLUM05G05740.3 pep chromosome:ALNU02000000:5:5011955:5020556:1 gene:OGLUM05G05740 transcript:OGLUM05G05740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding;sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT4G03090) TAIR;Acc:AT4G03090] MIDMVSVIEELSGLTSRELGEMLKESENFVLQEKTEDGGTKQVDMEKLVSSLPLHLLAVCLELERGSDLAYVLRGMRFLHSLSELAARHARLEQVLLDDVKLSEQVTDLIFFVLSILSHWKKVDIFMDVAFDSLHDDVRSLSHRLSTLSTNTFPVGPFDSRLTHFICQQCEASLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSRSILKLGVPECLKGSIDIAASMSRLKAKILSILLQLCEAETVSYLDEVATSPKSMQLGQTLALEVLDLLKTAFGRKQKLTLDSHDKSYPMGSVLISALRLVDVFSDDSNFRSSFITNTIPFLTQILAIPHDEFVSNWCSVNLPVIEEDANLDYDPFGAAELALAAAGNKLTEAKANYSCPFRPISMPSIAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQKFQKYLVSGNPRSSVDHPASADLKATTVCRNLGSLSEYARSLIPNNLLNEEDVQLLSEFAYKLQTWCKSHVGQSTSQAVKIDPSSESKEDFKPLQHPLIPSTVVPDSSINNLPKNMEEPTPTNMEEPAPTPSTKQEGNARDETPRSTVALNGGFLQNSVGQDLVHLGVARTSSGFLGGGTSTSTGSLRCKMDLDPASSSMDHFKTPDRKESGLQDDEKGDTHMYDERQPKRRKRTIMNDRQINEIEKALIDEPEMHKNAALLQAWSEKLSGQGSEITLNNRKAKLARIAKERGVLSEGENADKPSTPATPHHCDSSESAGEESYLPPARVMSALGISKGSRFVSPDGNETTSQAEFNQNIMLSRPFTRSFSFEPGRLVSLIDNDGKEVGRGKIFQVEGRLQGKALTDTRVCIVDVIELKIEKWRELPHPSEASGRTFQEAESRNGGVMRVAWDVIRLSPVVQ >OGLUM05G05750.1 pep chromosome:ALNU02000000:5:5021449:5027431:1 gene:OGLUM05G05750 transcript:OGLUM05G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVQKPYSRERPDMEPQFQLSLMRAQEINQSKHAKQTVTLSSLHQSMLTQLTSPHSPAPPLHSLRLRLVAIPPENSRHDHRPPPPPPPPAASVVIPPRPRRRVRRLDQWRRLLVAAEPGVRGAAGAEGGGGGGPARRAGVVAGAQRVRVQGGILLGAARRRRRVGRGGRRHRPQPRQPEGDAPGGGVPPRPPHVPPPQQQPPRRPAPRLAPRPPVPHRARPQQQPLLRPVPGGGAAHPVARLPRPPLQRLLRRDPRRGLRQEQPRRALPQQQPVRRRDPGDALVVSGDGDHAREQPPHRPRPVGVRLRRPRPGGAVPQQQAHRLHPGGARVLAHHRGARPELQLALRPPPAHAVLPRRHRGAQHRAQPVHRRAPGPRLRPQADHQPVRLLQLLLRHQPALRPPRRPQRVRLRRQLRPRPRPAAPAAGVRRRPGRRRPQLPAQHPRHPPRPLRPGLRLRRRRRRRHRRRRDAVVRRRRRGHGHRAMNERSTD >OGLUM05G05750.2 pep chromosome:ALNU02000000:5:5021449:5027431:1 gene:OGLUM05G05750 transcript:OGLUM05G05750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVQKPYSRERPDMEPQFQLSLMRAQEINQSKHAKQTVTLSSLHQSMLTQLTSPHSPAPPLHSLRLRLVAIPPENSRHDHRPPPPPPPPAASVVIPPRPRRRVRRLDQWRRLLVAAEPGVRGAAGAEGGGGGGPARRAGVVAGAQRVRVQGGILLGAARRRRRVGRGGRRHRPQPRQPEGDAPGGGVPPRPPHVPPPQQQPPRRPAPRLAPRPPVPHRARPQQQPLLRPVPGGGAAHPVARLPRPPLQRLLRRDPRRGLRQEQPRRALPQQQPVRRRDPGDALVVSGDGDHAREQPPHRPRPVGVRLRRPRPGGAVPQQQAHRLHPGGARVLAHHRGARPELQLALRPPPAHAVLPRRHRGAQHRAQPVHRRAPGPRLRPQADHQPVRLLQLLLRHQPALRPPRRPQRVRLRRQLRPRPRPAAPAAGVRRRPGRRRPQLPAQHPRHPPRPLRPGLRLRRRRRRRHRRRRDAVVRRRRRGHGHRAMNERSTD >OGLUM05G05750.3 pep chromosome:ALNU02000000:5:5021449:5027431:1 gene:OGLUM05G05750 transcript:OGLUM05G05750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKVQKPYSRERPDMEPQFQLSLMRAQEINQSKHAKQTVTLSSLHQSMLTQLTSPHSPAPPLHSLRLRLVAIPPENSRHDHRPPPPPPPPAASVVIPPRPRRRVRRLDQWRRLLVAAEPGVRGAAGAEGGGGGGPARRAGVVAGAQRVRVQGGILLGAARRRRRVGRGGRRHRPQPRQPEGDAPGGGVPPRPPHVPPPQQQPPRRPAPRLAPRPPVPHRARPQQQPLLRPVPGGGAAHPVARLPRPPLQRLLRRDPRRGLRQEQPRRALPQQQPVRRRDPGDALVVSGDGDHAREQPPHRPRPVGVRLRRPRPGGAVPQQQAHRLHPGGARVLAHHRGARPELQLALRPPPAHAVLPRRHRGAQHRAQPVHRRAPGPRLRPQADHQPVRLLQLLLRHQPALRPPRRPQRVRLRRQLRPRPRPAAPAAGVRRRPGRRRPQLPAQHPRHPPRPLRPGLRLRRRRRRRHRRRRDAVVRRRRRGHGHRAMNERSTD >OGLUM05G05760.1 pep chromosome:ALNU02000000:5:5029006:5034370:-1 gene:OGLUM05G05760 transcript:OGLUM05G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIAACRSYYGPDYSCRSAPALAGTLRLYRRLFELGIKPVFLTVRTENQRAVTIRNLSQQGYSGWEKLVLQPTEGLSIEAFKSGERQKLVSDGYAIVGNIGDQWSDLLGPAAGARTFKLSNPIRGGLRWSMTEEKRRDAKEPGVASRRQLTYAYIQQHGCPPVEIAPNEATYMLLRCLIRAPYKIIYEPHHHHQQTGVN >OGLUM05G05770.1 pep chromosome:ALNU02000000:5:5036192:5036419:1 gene:OGLUM05G05770 transcript:OGLUM05G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSCAAMGRARAVAGESGKWWAPLLGWSGKADYIEAPTPAKEKARELRARMAETESFHDALYHSAIASRLAHSA >OGLUM05G05780.1 pep chromosome:ALNU02000000:5:5045570:5048878:-1 gene:OGLUM05G05780 transcript:OGLUM05G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLIGNLVKKRVRWLKYMRKRYLRGYENCPPPPAVQARRLRLRRLAPPARARDSRATHTANFATVLSISFPFGRRGSDSPPQIHRGLFLKPEAAPSAPPVEMHLYSRDYKEFRIGREKAFSSEETLRLYQQPLQLGIKPLFLSDRTDDDQRELTTNNLLQQGYCNLGKLVLQPEGLETSTLAFKTCERQKLVNDGYIIVGNIDDQWNYILSLIAEGCRLAAPLSSLTHVLHRLAS >OGLUM05G05790.1 pep chromosome:ALNU02000000:5:5058060:5068311:-1 gene:OGLUM05G05790 transcript:OGLUM05G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLLLTLTHCMRRPIMATTTARLLLLLTVAGFCLCHTTGQEAAPPPPPYCGSLRTAVEARNIIGWKTVPPPCAKYVADYITGERYGRDADVVINEAIAYAESLKLSGTGKEIWVFDVDDTALSTVPYQANHGYGVQPFDNQSFLKYVVQGSAPALQSTLRLYRRLLQLGIKPVFLTDRTEDQRTVTTNNLIQQGYCNWEKLVLQPVGLQTSTLAFKTCERQKLVNDGYIIVGNIGDQWNDIRRSPDGCRTFKFPNPMYYVD >OGLUM05G05800.1 pep chromosome:ALNU02000000:5:5066185:5066670:1 gene:OGLUM05G05800 transcript:OGLUM05G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRWLAEVAAVGTPPMAGGWLAEVAAAAAAAPSPPPDPAGGETASSSPLDPAPGELVGRRRAPACQRRRRRNLAVAAAAPSPCGDDGDRGGQRWHELFFEETPVACFVVEFISDPRGGDEGE >OGLUM05G05810.1 pep chromosome:ALNU02000000:5:5072907:5102496:-1 gene:OGLUM05G05810 transcript:OGLUM05G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHACTQLSSPAARKLKKGDGNNGDVEAAPSGHTVAQRWAPASAAASAADEGAAAAAAYCGSVRTALIGGAEYHRSAGRRCRRTARATSPAGYMTGDRYGRDSDVVINEGIAYAESLKLSGNGKESGCSKSTRPRSPPCPAKLGCGRRCWSSRRQSDDHFADGHVAGDRVGAPAEGAPAGHVDGALGSNLATTSPAAASSSPRAGLLPQPVPESPTATSSSQLRRSGSFLFGWERWTKGIPSEAATAAPASAAARNRSRCHTLRQREDGGGGAQHHRLEDVSDGSRLIRRRLHDRRPLLEHSSSAIRPRELPLVCVWGKLTSTAGNLGTKPMFLTDRTEDRRAVTTHKSPPAGLLLRAILLLGEATAPTGCPPDLNSIVQGIVRTAVEAHNIIGWKTFPADCAKYVADYITGDRYGRDSDVVINEAVAYAESLKLSGSGKEVWVFDVDETALSTVPYQAKHGYGVQPYVHANFLQYVAGGSAPALQGTLRLYQRLLQLGIKPVFLTDRTEDQIAITTHNLLSQGYSSWEKLLLQPIGLQTSTQAFKTSERKKLVDAGYVIVGNIGDQWSDILGSPEGCRTFKYPNPMYYGLLQLGEAIVPVGWTPELNCLFKTSEQKKLVIAGYVIVGNIGDQWSNILGGPEGCRIFKYPNPMYYAIAYAESLKLSGHGKEIWVFDIDESTLFTLPYQAKHGYGHTTNASFLQYVARVSALSLQGTLRLYHRLLQLGIKPVFLSGRTEDQIGVTTHNLLSQDYSSWEKLLLQPVGLQTSTQAFKIRKKLVDASYIIIGNIGDQWSDLGSPEGCRTFKYPNPMYYVA >OGLUM05G05820.1 pep chromosome:ALNU02000000:5:5081981:5082808:1 gene:OGLUM05G05820 transcript:OGLUM05G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHARARRRPRPPRQRSSAPAASAPLALASSVLLPRWPALSRLREVDRERSRWDSTPTGFWYIAAPKSSDVRQRASMVGFWQRQRRQLRAVEAEERWAFDDGDGKINGRSHIHKSWFQRHAWASNNDGSSVGELGDGGCCVGSLVMMGYSVMAILALGSLAVADPAAPASRKANPPIAASGATGPRGDNDGVFNAWELDGGRSATAGLSP >OGLUM05G05830.1 pep chromosome:ALNU02000000:5:5115126:5119367:-1 gene:OGLUM05G05830 transcript:OGLUM05G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDELPTEDVVVDDELRCGPWTGEEAAAGDEVWVQCGAWTGEDAAAGDDASTPRRPAPEDDSTTLHSQP >OGLUM05G05850.1 pep chromosome:ALNU02000000:5:5168442:5169641:-1 gene:OGLUM05G05850 transcript:OGLUM05G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARLLLVLTVVAAAGSCFCSAQEAKPPPYCGSVRTAIEAHNIIGWKTFTADCAKYLADYLTGDRYPRDADVVINEAIAYAESLKLSGSGKEIWVFDVDETALSTLPYQANHGYGAPALQGTLRLYQRLLQLGVKPVFLTDRTEDQRTVTTNNLLSQGYCSWEKLLFQPVGLQTTTQAFKTDERQKLVDAGYVIVGNIGDQWTDILGSPEGCRTFKYPNPMYYVA >OGLUM05G05860.1 pep chromosome:ALNU02000000:5:5190233:5197964:-1 gene:OGLUM05G05860 transcript:OGLUM05G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRTAVEAHNIIGWKTVPADCAEYVSDYLTGERYGRDSDVVINEAIAYAESLKLSGHGKEIWVFDVDETALSTLPYQAKHGYGTKPYDHASFVQYVAGGSAPALQGTLRLYRRLLQLGIKPVFLTDRTEDQRAVTTHNLLSQGYYSWEKLLLQPVGLQTTTQAFKTGERQKLVSAGYVIVGNIGDQWSDILGSPEGYRTFKYPNPIYYVA >OGLUM05G05870.1 pep chromosome:ALNU02000000:5:5246291:5280820:-1 gene:OGLUM05G05870 transcript:OGLUM05G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAHSMVSSVQHAGLACPRRAWRGDRRRCRMVLPVDHAPLLSLPPSNGGRKRKKEERKCDGKCDGVRSGGPQAWAR >OGLUM05G05880.1 pep chromosome:ALNU02000000:5:5301965:5302258:1 gene:OGLUM05G05880 transcript:OGLUM05G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWRETPAASAAFLVAYTAVLALYVLLGVFPRPRGAPPCEALLWELADWAAVAICLAADAYFVYCIASSRRTPAPAPTSHRGCRPLPRRWISARV >OGLUM05G05890.1 pep chromosome:ALNU02000000:5:5305664:5307667:-1 gene:OGLUM05G05890 transcript:OGLUM05G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLAVFLLPLAVAAASPAPARGPTACPYDLATATRMIPRECYANATAGQAATGCCWYVFAAYIFAAADHANRTGAAFLPAEPAAACSGAFAARLLSSGLVSPSLLANNGSCDLTGDPGKLAAGSRPCQLATIDGVRAMAPRALPNATRLCAAPGAARAPGVGPGDPGCAACRGAVIATTYEMLASARTKEFVPCGMAATVAVWSRAPPPLERFRAYALCMLQVLENVNSLGTSDLVPSPPPPPPASPTSPATTSRSLPSSSSRRNTVAIAVGSASAVVVAVVAVASAALAIATIRRRRRSTTTAGDVSDDESVASLPPLPREGLYIFTKSELKQATNGYDEKLLLGSGGAGKVYLGRLPSGQRVAIKKIYRSKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGRGGDHHALVYEYLGGGNLWRALFQGELAWRRRLEVAVDVAEGLAYLHGFREGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEVRGTRGYVDPESFSAGHVSEAGDVYSFGVVLLELATGMRAVVPTPSGGAESIVHAAHWAVAQAGGEAGAAAESMVDSRLGADWDRPTVRAVFALACRCVRPYKHERPAMGEVLAELKAMLADYTARGGGTDRSEASTSSSTATPDPASLPSTSSSVANTEAMATPPRRDS >OGLUM05G05900.1 pep chromosome:ALNU02000000:5:5317232:5323215:1 gene:OGLUM05G05900 transcript:OGLUM05G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKREIREVLCIVFSMS >OGLUM05G05900.2 pep chromosome:ALNU02000000:5:5317232:5323155:1 gene:OGLUM05G05900 transcript:OGLUM05G05900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGLYNHQGFSPARTLSPQIRSNPEADSQYLSELLAEHHKLGPFMQVLPICSRLLNQEIMRVSSMVNDHGFNDFDRRRYRSPSPMSSPIMRPNLHGNGFGPWNGIHQERLGFPPPPPPGTSMDWQGAPPSHGSYIVKKIVRMEVPVDAYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDADKEEKLKGKPGYEHLNDPLHILIEAELPANIIDTRLRQAQEIMDELLKPVDESQDYYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKPSH >OGLUM05G05910.1 pep chromosome:ALNU02000000:5:5327870:5328679:-1 gene:OGLUM05G05910 transcript:OGLUM05G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGEVEGGGRRRVVRIIFRDEDATDSSSSEEEGEGEVVAARRVVVKRTRLRCQEVERRFTGVRRRPWGRWAAEIRDPKENGRRVWLGTFDTAEAAAAAYDDACLRLRGPGAALNLPSRRCVAPAPPPPPPPPPPTAEEKKPLLFPLPLPPRKKPLLYPPPLPPKKKPLPPPSPPPQPPLPEKENTPLPPLLLPPKKKPLPPPSPTAAAKEEPIELEHAAPPPPFVPRSVWPLLASGGGGKRKKQSGCGGRIPALNTAAAAVEETGRA >OGLUM05G05920.1 pep chromosome:ALNU02000000:5:5333560:5337815:1 gene:OGLUM05G05920 transcript:OGLUM05G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT4G13970) TAIR;Acc:AT4G13970] MARWDEILTLPVQNPPTPEFSASDIMWSRVEGWKDSMDRLALIPFSRVNDFVRGESNNKECPTRFHVEARRRRPPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSVRPSRNSSTKRKTPAGRPHTKRGCICHFIVKRLIAEPSVALVIYNHDKHVDKIGKPCHGPMDNMAIGTKAMFAPYISDELRLQIMSLLCVGIPVETIMQRHTEMIEKQGGPSNRDGLLTHRYVRRLERKIRRSVYELDDDDAISINIWVENHQNHIFLYEDFSDKDTFIVGVQTDWQLQQMIQYGNRSLLASDSKFGTNKLKYPVHSLLVFDEQKNAIPVAWIITPNFSHGEAYRWMGALYDRVRTKDPTWQLGGFIIDDPFADVRTIRGNGGMELFEAFLEDFVDCAGFLDYFRALWFPRLGSWITMLRTTPLATTEVASAIESYHHLLKLRLLNEANERVYQRADWLVHKLGTKVHSYYWLDEYSGKDNFSRYWRSEWKSGPNPWQQGLQIPDSDVVVEGNCARVVCQKNKERSHVIVNPGSDLALCDCSWSRKGNICKHAIKSTKVFRQRGLAPPSLALYRYYQALANVVHCPPSDTLISDHAVAVAIFVRTQLDSLLDATNGNSSNRSTSKDPQSVIEPRESDVREGSIENDVCASQSQAECGDEVSDEQNDDLDRFVFKKRKSREASDEEGSPTATQITQPSETESSQATDLQEPLDRQENRAPKKSKSKEASDGGKANARQAKQPSETESRPAIDQQEPLHHRQENPAHKKTKSGEASDGEETMAMQVMEPSETE >OGLUM05G05930.1 pep chromosome:ALNU02000000:5:5341787:5342545:-1 gene:OGLUM05G05930 transcript:OGLUM05G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLAAVRAALAAHAGPALRRLEVSTEADDPAASTAALRLAAPRVAGELSFCIWPRWDDAPEEDDGPAPVRRAGVVKLPCFEKATELWLILGLLGVALPKSGVFAQLTALAFRDVRFTGRCDLGAVVSSKRCPVLQKLQVHDSQDVCNLTIFSESLLHIELSDLHSGMGRLMIVAPLLRVLDVRHCFYWRTYRSHSLVRDQPYAAVFAPALEDLIWVDAYDPTMVQFGGVERLRKLVTQLQCMDSLAALVT >OGLUM05G05940.1 pep chromosome:ALNU02000000:5:5343567:5345456:-1 gene:OGLUM05G05940 transcript:OGLUM05G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRSLTSPPLGSPSSSSPAWRCPPLVPFSCVAADVDALLLLPSPGLSDPELARAQRPPFSHVIVVVAAALLLSCLXLNELMNQGIQDINYVIQIPQKSCIPGSAVTEAVAVMKWESLLPNDTFLIVASSDGASEKMTMQDVCDLMLYVKLGVKQELGSFAVTQQNLADYVVDLFL >OGLUM05G05950.1 pep chromosome:ALNU02000000:5:5347724:5350674:-1 gene:OGLUM05G05950 transcript:OGLUM05G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSPSSTSPTPSVTWTTCSGSGKSHCYTVATATPHPSSSASSCSVAMRHPTTGAHGGEKGVRGHPRDPGTLIRYVAIYISGSHALCTSPTATSPSTPSACFLSMRTAEGWRGSSHSCATGASRWPVSVRRGDGDAHRGVGIGCGMAGGVDGSVFVHVFGKVAGVGGKVAGVDAMGCSQYLMGAITMLPAIEVMSLELSKRGHPFGQCVFHLLRMSTGIRKLKLALRGGLKDSEERISVLSTWFQGHQELLTNDLHVDEDAILKTFTMHLHLDLTVSDDLCKELLSLATPETDVKIYFYRDDDVHARPAWVLYTPEE >OGLUM05G05960.1 pep chromosome:ALNU02000000:5:5371942:5376933:-1 gene:OGLUM05G05960 transcript:OGLUM05G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05200) TAIR;Acc:AT5G05200] MAAAAARGAAAARSPLVLHRHPHPAHHRRLRLLPLVAGGGGGSPPRVGRRIRASREKGRRVGVRVFARYSQAQDFSTRLQDRAGELPKLVEDLLQTSISTGPRGAFRFAQGIQAVLGVGGEWLNDFSKTANTSAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFVASAPTLFPAEYVEEFQNCFDRAPPVPYSEIESILREELQQPLDSVYEYIDPVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEATNIEAFQRYIDAMGFDRQAKAPFVYRHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRVGFLDFGIVGRISPRTWAAMEVFLASFATDDYNAMASALSEMGATGNDINVNEFAKDLEKIFSSIQDLDTEVIVATARTPDATAVSANVVVDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINIGMNRSAAAKENLDEYNWTFS >OGLUM05G05970.1 pep chromosome:ALNU02000000:5:5378406:5379440:-1 gene:OGLUM05G05970 transcript:OGLUM05G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGGGGDLLSALPDDVLHLILLRLRSAAAAARTSVLARRWRSLWTTLPELRFPAVTDLARVTAALLSHDAPLLHRLELCSHDPAPHEVAAVLHLAARSLAGKLLLDIVMRKKRNPAAAAAAGIGAAFHIPCFRKATEISIRFAYLTIRLPPFGVFAKLSVLRLTRFRLDDSQCDHLGDIVSSERCPSLQALTLRNSQGLSNLAIRSKTLLTVGLFELEELQQLTISAPMLRTLHLVHCLDKRAVRAADISAPALEKLGWIGLCDWNSVHFNVIQHLKRLDTLNLSVYGPPNLVDSRYPGSMSLLQHFKAVSNVDLYLIYPSVSTPSLLLLNYIIETLNEMS >OGLUM05G05980.1 pep chromosome:ALNU02000000:5:5379497:5380764:-1 gene:OGLUM05G05980 transcript:OGLUM05G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRFLPRRALTTSPRWSPFQACGGDAIAMATATALDMATGGDLMRMQLVLAKFVHLVPLLVWGWLELKKKTDREDGENDN >OGLUM05G05990.1 pep chromosome:ALNU02000000:5:5388878:5396335:-1 gene:OGLUM05G05990 transcript:OGLUM05G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCRNVSFQRRLATLQPQNHIRWKKKKSPVPSSNQTRNPISKTLLDVASASADADAIAAAAPRATAPTPAKMDAKVDEMSKAYQELVAAAQAILEARRQPGGENTAAMDAAREAFEQRRKLFAVACDDADALVQSAGQSIVSDPGRFVDVATTPYEDSVRSPTRSSASSSSSSGFGALPDEVLRHILLRLPSTAAAARTSLISRRWRNLWSTLLPKLRFPGVTDLARVGAALRLRVAPVRLLRIESSDRAPDKIVAVLHLAAPLLEGKLCFDVITPAAAAAGAAGTGGAIQIPCFEKATEITIRLRGRLGIQLPPSGVFAKLTALSLSHCRFDDQGQRDLGDAVSSEGCPSLRELRIRDADVVSNLAIRSESLRLVQLSRLEGIRQLTISAPVLTELDLYACLLWRTQFAHISAPALETLHWVDFFSSSSVRFDEMPNVHRLSAYGMVYGRRNTPDSLQLLQHFTEARDVHLSLVYPSIMDMSCELLVQAVKKLPAVEILSLRLLTIGHTFGLCVYHLLKMSTGIRELKLKLEDHIADGEVPCSSGCVCYEPQAWKKNNISLNFLQKVEINNLSGAERQIYCQTTVEMDDARAQDDYSNEKVSRKLLSFSTPGICMEIYLHRNGTRFEDRLDCGRVIAVFIATDGSPPVFCLLLSFSTMLTHQSTNML >OGLUM05G06000.1 pep chromosome:ALNU02000000:5:5397133:5399754:-1 gene:OGLUM05G06000 transcript:OGLUM05G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGGGYDLLTALPDDVLRLILARLPSTAEAARTSVLSRRWRSLWTNLPQLRFADVTDLARVAAVLRHHSAPIAAVLDLVAPRLQGKLLVDIITPGDQNSAAGIGSAIQIPTFEKATEITIRLLRGIGIRLPPPSGSQRDLGGAVSSEGCPSLRELRIRNADVVSNLAIRSESLRLVQLSRLQGIRQLTISAPALTELDLYACLLWGMPMVDIFVPALGTLRWVDFFRWTSVRFRAMPNVHTLAVFVMVYGYVSHSLPLLQYFTEARDISSNDKLLVEAVKDELPAIEILFLRLLTEGHTFGPCVFHLLRMSPSIRELKLQLEDHTEGRKVPCSSGCICYKPRDWETMNLRLVFLQKVEINNLSGAECQIYFVKRLLRWMPVLKTITLSFDPSVTVSEELSKELLSFSTPEICMEIYLHRDGARVKYSAAN >OGLUM05G06010.1 pep chromosome:ALNU02000000:5:5403137:5404050:1 gene:OGLUM05G06010 transcript:OGLUM05G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSHSCCLTVVVEPFDKVVEIKKKVESCYGIPVTSQRLLFWNRELADDHDIEYYPIFDGSHVLLLLHWQWSGGDRTHDMVHVTAYLPPASWGRKVTVFARREKSVAALKLRIHGAQKEAMPLPECMWLGVDDFVCGGLMVMMDHWPLCAYVEFDSSVVEVTIVDCKKMVEAGSSSGNNRNTNVDANDNKIVIGLLMEGSRSQHMDFLLEVSPADMVATLREQLNDNFKGDPETPLLAEGDYHFELNGVAMNEELSLEVHGVVESSETIMIISGRLPAPGRE >OGLUM05G06020.1 pep chromosome:ALNU02000000:5:5408473:5411719:-1 gene:OGLUM05G06020 transcript:OGLUM05G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELLEAFEAARKAADAVGEAAAAAGAGEGESPEAARCVDALRRLRGARVTTAALVSTQIGRRIRYLTKHPHSSIKATASDLLGHWKKVVIEEDKKNGALQNGKSSSTVVKVEKVEPMKVEKASPRATVNNNNMDTRVVNHKGGKVEKFSNADLRTQSIKVEKVQKVVHKVSSVEKLSPVQGGPPRLTSVVKCGDASRDRIRAILGDAFSRVSEETRKDDREEVRNIIEEVKACDPFRIAVMVECALFQKLGNFNGPNKQRYRSLMFNLKDDHNTDFRRRVLLGQVQPERIADLTPTEMASDTRKLENKKIEEKALFECERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >OGLUM05G06030.1 pep chromosome:ALNU02000000:5:5413166:5415942:-1 gene:OGLUM05G06030 transcript:OGLUM05G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G40690) TAIR;Acc:AT2G40690] MAAAAAATFLPHTPTPRRRLAVAVHSPTRRRLSLVFSGPPDGALSVAAAEEKADAGEEAAAAVSAPRGGGGGGKERRRVVRKAWEKLVRWSRSWRRRNRSDVVETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPYGGGIQGQKVGSCCSAAVGLTEFEDKHIKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >OGLUM05G06030.2 pep chromosome:ALNU02000000:5:5413166:5415942:-1 gene:OGLUM05G06030 transcript:OGLUM05G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent glycerol-3-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G40690) TAIR;Acc:AT2G40690] MAAAAAATFLPHTPTPRRRLAVAVHSPTRRRLSLVFSGPPDGALSVAAAEEKADAGEEAAAAVSAPRGGGGGGKERRRVVRKAWEKLVRWSRSWRRRNRSDVVETTRKVVVLGGGSFGTAMAAQVAAKKADLEVSMLLRDDLVCRSINHSHINWLPENITATTSASDALAGADFCFHAVPVQFSSSFLEGISTHVDPKLPFISLSKGLELNTLRTMSQIIPQALGNPRQPFIVLSGPSFAIELMNKLPTAMVVASKDKKLAAAVQQLLASPNLRISTSNDVTGVEIAGALKNVLAIAAGIVEGMHLGNNCMAALVAQGCSEIRWLATKMGAKPTTLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDEIMNSMNQVAEGVSTAGAVIALAQKYHVKMPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >OGLUM05G06040.1 pep chromosome:ALNU02000000:5:5417030:5418642:-1 gene:OGLUM05G06040 transcript:OGLUM05G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZV79] MAEETPVEAPPAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEESEGLNIVQDYVKSH >OGLUM05G06050.1 pep chromosome:ALNU02000000:5:5419355:5419555:1 gene:OGLUM05G06050 transcript:OGLUM05G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAREAKSRWHPRLCAWKVGWRTERRLTTQRGGVVSGATAARSGPPVGGSGTSARGSDRCKPLKQR >OGLUM05G06060.1 pep chromosome:ALNU02000000:5:5422853:5428759:-1 gene:OGLUM05G06060 transcript:OGLUM05G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVTGAINTLLLKFAKLLVGEYKLQKGVKKEIESLQEEYVDVRELSYDIEDSIDTFMVSAGKDGHPVAKLFSFKGMIDRTNNLFKKVVAHHQIAREIKDIKKLLEDASKRRKRYKIDDAVIARRLESIDPRLGAMYKKETELVGLDGPKNVLVKTLMEEDGKLRKHQDIISIVGFGGLGKTTIANALLHELKVKFDCHFFALVSNKSDIRNILKSILHQLDNKADIFEGLADFQLINKIREILQNKRVSWDVIKLALPDGDHGSKIITTTRKMAVAERVGGAVYELKPLSYDDSYKLLSKRVFDTEDRFPPELSEVTRSILNKCGGLPLAMITIASLLASKPMQIQEWEKTCLLYLSKYPEDAIIAKDILIWNWIAEGFITNEGEHGRSLQEIGENYFNELLNKSLIQPVDIGRICENDGQVHACQVHDMVLEFTNQLSAEEGFVAAMPLDSEKEGILLVRLIAHKVSLADGLTLGNMTSLQELTCAGLTENLLQEVCDLTGLRILNLMLSSEEDCEIFRDAFADSLSRHKSLQNLTLRSPGLYGLDFMQQVPCCLQRFMSSGPFYRGFPRWVNSSLSCLTILSINLNNYMEQEYLVTLAELPSLQFLRIFASNVVEVIDVEGKKRRLIVHRGTCAFRCLQEFHFYCHRMCLSFEPRAMQELQRICLQFSVRETIDVYGEIDFGLVNLPSLRNIFVDLDRFETSGEEIQEAEAAIRKAANDHHNHPSLDFVSVYADLSRHMCIQ >OGLUM05G06070.1 pep chromosome:ALNU02000000:5:5443881:5445483:-1 gene:OGLUM05G06070 transcript:OGLUM05G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Excinuclease ABC, C subunit, N-terminal [Source:Projected from Arabidopsis thaliana (AT2G30350) TAIR;Acc:AT2G30350] MAAAAAAKGKRRPRKAAAAEEGGRGGGGGGRFFCCYLLRSLCPRRKGSTYIGFTVNPRRRIRQHNGEIRCGAWQTKRGRPWEMVLCIYGFPTNVAALQFEWAWQHPTESLAVRKAAASFKSLGGVGSKVKLAYTMLNLPSWENLNLTVNFFSTKNTKFAAGCPPLPGHMKTAVCSLEDLQYCTDGVSSEEDNNVDEPPPKKNHQEPDDAHAPARDELSVSEHGLVQLPEEEIRNAGNESDYDDFAPIDWSVFGAAEARGLDESSEHDEWMGQEDNPLFEAQPLEHETRTAASAVSDAECSTDELGYMSWSGIHETTRESDGSATSPRCSSGLSSDDEGGRILDGVSGQISSPFPYVGRSSSSDESGPAPLFLEKDVINLVTPIARRLGRKGGGEMARIVDLTSSPIVIEL >OGLUM05G06080.1 pep chromosome:ALNU02000000:5:5453632:5454144:1 gene:OGLUM05G06080 transcript:OGLUM05G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAADRGAAGGAASFRVYYSLGAAGTVPFVWESKPGTPKSTVVPASAADGGYAMPPISPPPSYSYQWSTCNSDQPPPPSKAKKCRRRLSTSSSSSCSWASTSGGWMSWLTSFRQRQRWLPPSPGKTTYRRRWLGQDGGVAVDDVVVVRRSPPRRAVPCFGAGKVHW >OGLUM05G06090.1 pep chromosome:ALNU02000000:5:5456729:5468398:-1 gene:OGLUM05G06090 transcript:OGLUM05G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPMAPMLPAPAPMAAGPTEPVVVVGPQYCAPYVVPLTVTKKSISLTDGDFTVTDANDNVVLTVKGTLFSIRHRRVLHDGAGQPLLSMQEKILSMHNRWEVYRGDSAHSCDKLFTVKKSSMLQLMKTEMDIFLAGNTVEQVCDFKIKGSYFDRSSAFYLGKSNTIIAQMNRKHTAASVVLGRDVFSITVFPQVDYVFIAALVAILDDVALTVTRRSAYAADGGFAVTDAAGAVVLRSEVELMSRFTRRAIVDAAGVPIVSMKRKLFSTRYTWKVFRGDSEHESDLLFTVRRSTLSFHVDVFLASNTSQATCDFRVRCSYFRSSCTLYIGGSNTVIAQRFSAHHVLYFSVDKALGCISWWAGPWAHMHLSSPRDRRSTKTGSSRRGRRRRRQSSATANNLAIKSMAAPPPMLPVVGQQFCEPHAVDLTVTRSVTTGFFKDDGGGFAATDAAGAVVLATEPRFIYREKGRRVLVDADGMPLLSIRRKAYSLQYTWEVFRGDSTNANRLLFTVRRSSLLPQLRLEINVFLAGNTMQNACDFRIKCSFFSRSCILYIGNSNTPIAQINRKFSGLSDMIFVGSKFSVTVFPHVDYVFVMALVVILDEIARDIRRGAVIQISTSQRPGRSTR >OGLUM05G06100.1 pep chromosome:ALNU02000000:5:5473116:5473739:-1 gene:OGLUM05G06100 transcript:OGLUM05G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRRRAAARHSRRAHAARAPTSVEQRRRDEEDGGATAAAASPPAEGGGKADGGAVAGGVSRTMQLGAMMSMHTPLSSAMAKKSTTASSKNPCLAWLVTMAVQETSAHAEASSPCASSTSPLRTAVEAELGHVCLHGWRRSCRRHGGDEAVAFSACCCSPEEAAAAAAAATTVAVMDKAPGGRARSGEVDDGRRPRRGPHGGGGG >OGLUM05G06110.1 pep chromosome:ALNU02000000:5:5476087:5479174:1 gene:OGLUM05G06110 transcript:OGLUM05G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLLAARGEEEVVEGRRRGGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSLGVAAAGCATLYCMLLLVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCFQKLSHKVRGAEWVGLHSSRIVMVTILSVVASFIPAFGSFISFVGSTVCALLSFVLPTIFHLSIVGSSMSPWRRWVDYGFLLFGLGFAGYGLIRALFSH >OGLUM05G06110.2 pep chromosome:ALNU02000000:5:5476087:5479174:1 gene:OGLUM05G06110 transcript:OGLUM05G06110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPLLAARGEEEVVEGRRRGGGGGATSAQTLGNVVVSIVGTGVLGLPYAFRTAGWVAGSLGVAAAGCATLYCMLLLVDCRDKLEEKESEETYHGHYTYGDLGEKCFGTIGRCLTEILILVSQAGGSVAYLIFIGQNLHSVFSQLMSPAAFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAIPFTFGVAVFCFEGFSMTLALESSMAERRKFRWVLSQAVVGIIIVYACFGVCGYLAYGEATKDIITLNLPNSWSSAAVKVGLCIALVFTFPVMMHPIHEIVEERFQSSGCFQKLSHKVRGAEWVGLHSSRIVMVTILSVVASFIPAFGSFISFVGSTVCALLSFVLPTIFHLSIVGSSMSPWRRWVDYGFLLFGLGFAGYGLIRALFSH >OGLUM05G06120.1 pep chromosome:ALNU02000000:5:5496257:5501005:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHDIRS >OGLUM05G06120.2 pep chromosome:ALNU02000000:5:5496257:5501005:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDGEFRRISIHEYDDQLQLSMHIRHLRSLYQFDVSVDMPIISLLKSAKYLRVLDLQGCSVTDLPEFLAKFFNLHYLGLRGTDVQKLPRSIGRLKNLQTLDITSTKIRKLPVAIISLRKLRHLIMGKRVGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHDIRS >OGLUM05G06120.3 pep chromosome:ALNU02000000:5:5496257:5501903:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDGEFRRISIHEYDDQLQLSMHIRHLRSLYQFDVSVDMPIISLLKSAKYLRVLDLQGCSVTDLPEFLAKFFNLHYLGLRGTDVQKLPRSIGRLKNLQTLDITSTKIRKLPVAIISLRKLRHLIMGKRVGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEVPNGIAFLTSLQKLSLVDMHQEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHGWVCA >OGLUM05G06120.4 pep chromosome:ALNU02000000:5:5496257:5500817:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDGEFRRISIHEYDDQLQLSMHIRHLRSLYQFDVSVDMPIISLLKSAKYLRVLDLQGCSVTDLPEFLAKFFNLHYLGLRGTDVQKLPRSIGRLKNLQTLDITSTKIRKLPVAIISLRKLRHLIMGKRVGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHGNYTYGDLGVKRFGTKGRFFEILILVSQAGGPVAYLILKTS >OGLUM05G06120.5 pep chromosome:ALNU02000000:5:5496257:5500817:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDGEFRRISIHEYDDQLQLSMHIRHLRSLYQFDVSVDMPIISLLKSAKYLRVLDLQGCSVTDLPEFLAKFFNLHYLGLRGTDVQKLPRSIGRLKNLQTLDITSTKIRKLPVAIISLRKLRHLIMGKRVGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEVPNGIAFLTSLQKLSLVDMHQEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHGNYTYGDLGVKRFGTKGRFFEILILVSQAGGPVAYLILKTS >OGLUM05G06120.6 pep chromosome:ALNU02000000:5:5496257:5500819:1 gene:OGLUM05G06120 transcript:OGLUM05G06120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGIVFSVICKIGSILGNNVAQTLSAQLGKEVTIIVEIERHARMIECELKMMRSFLHDAQGKTRYNKQTVTYLQEVQRLVFEIEDILDEFANLFGKYQAESDKLFKCFRKPSVSCWHNIANNLKDVQIRLDNFRDMKLQYDISFVENTLPREDEEQFRLLFPYQMDTNNIVGMSMLKQQLEECLLTGEKSMKVIGISGLGGSGKSSLAKAIYEAKRIKEYFDLRVWIKVPIRCQLENILRMIIQGAVKETGPMDLCNKGIEDLVCIIQRTFCQRRFLIVSDDVWDMKYFEYLINVLGETRSGSRVLSTSRKHGSHHVSFHCFIRGLNEEDSWNLFRTWAFKNMVDESFVGEVEGLARQILSRCHGLPLAIMAVSSLLSYKGSVREWEIFCDRLNWELDDDVCMFTGLDWAGRMISLIYHHLPKDCFMTRKRLIRLWVAEGLIEPSGSRTLEDTAEDYLNGLISWCLLNVVETNVFGRVKQCEMHGFMRDIALSESHKDKFCKVYENSTGRTSDGEFRRISIHEYDDQLQLSMHIRHLRSLYQFDVSVDMPIISLLKSAKYLRVLDLQGCSVTDLPEFLAKFFNLHYLGLRGTDVQKLPRSIGRLKNLQTLDITSTKIRKLPVAIISLRKLRHLIMGKRVGLYPRVVDRWDAVEIPDGPWELLELQTLKIISASIVLVQQLGKMAQLRTLRIGNVKRMHCEPLFSSIDSMHFLRKLEVLSDPGDFIDLGALTCPSHHLEKLLLNGRLQDIMLESPLLKQTANSLKLLSLENSMLNADPLPQFSCSCNLVALTLSNAFAGKQLHFRDGWFPMLQQLDLSDLCNVELITIEEHSIKKLSELSLSKMTGLKEVPNGIAFLTSLQKLSLVDMHQEFMKNIEGAAGAELQGVALVRYFDQAEQSMKVDCRDKLKEEESEETCHGNYTYGDLGVKRFGTKGRFFEILILVSQAGGPVAYLILKTS >OGLUM05G06130.1 pep chromosome:ALNU02000000:5:5502789:5503535:1 gene:OGLUM05G06130 transcript:OGLUM05G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATTVEVSDDGDHPVLHDDERGVPRSLSLLAAIVEADAARHAAAATRPAESDLVRAFRGGATPTVAIGEFLERIHAFVRLESVRHDIQLQATCYVLAGIYLTRFLGSAAAVEAGIRVDPSTAHRLVAAAVFVGAKFGNTSDMLPTRWTSVFETSSDAAIRAGEMAGLERRFLRAVDYRLFVRSDRFGWFCGAMEQALHRSVSRSRKRTAAEAVGGEEGEDERRRRRRRHSIVGAFLPPLPAVAAN >OGLUM05G06140.1 pep chromosome:ALNU02000000:5:5506362:5506721:1 gene:OGLUM05G06140 transcript:OGLUM05G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKTTRAEDAGADVDAQLPARRVAAAAAAEPKRAPGADAYAAARYRLFRNNFVAAAVAVALCLGALVHTILCRDDPTVIPRYVLPLLVMCCATAEVVRNYVQMYGWSVPMGVARFRM >OGLUM05G06150.1 pep chromosome:ALNU02000000:5:5509950:5513013:1 gene:OGLUM05G06150 transcript:OGLUM05G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVAPDIWHWTRSLPNPKHWRGESYSLQICNSPSTNQSLNLIISWHSETQSFNLSYSICAEHHDPVSLWSSHYSRLKSVNGSDVAVHFLHDIICGVLGYGPYSNKMSPFRLPNLQVSEDSGKIFNLAALTLALMVCIYEAPSTLRRDLIGTISAQLICGDMWGAAKKLMLAMGSDMEEQWMRSLNLAITNWIMETRRSGGTPVSPFTVFSYAVSASRLWKVELYCPVVAMIMEHPAHQTKDEKLQFSLNYQHLEAVIQFIYRVTFRENWIDVTVNVDNIRCDLIQLVSETLMAKQGYGSDEKHFPSRISLQLTPLVQTDILSLTVSRSTDNPAQEVDTEMGLDASLSAAPATIGITVSAHETVTRTLRPWKFEHSVHGNTAALNWFLHGGAEGREVFSSEPHKRELLQPRSWFRNRYTNPGRPFTRGGGVIFAGDEYGESVCWRMPAAAAGKTVEWEMKGRIWVTYWPNKKRTLHVETRRVEFRELLRLTIRERRLLKLTWPGAVARRAAGNGRTEQRRWHSGGELDGDRVEREYFVFGMENPFLPSIWDYWRCVPRISKLSEWRRIRVPGIFPPVTVIELAKDQGDIVTAFIRQPSRAWDWLKHGST >OGLUM05G06160.1 pep chromosome:ALNU02000000:5:5513374:5518879:-1 gene:OGLUM05G06160 transcript:OGLUM05G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELEHLLFLLALRVGFYHAKFPVAEDVVLGEMRMILEEDPTLAPSLHRMHYHDCFVQRPRRRKRKRGKGGPRRPTIALLTEEAKQRLLRVVTPTSPGTIVSPFAPAPRRPQYPPFLEDGNVEDVHKWNDECHEVSKIIKKIEKERDKDIPIKTEPKDPYTTEAIQSSREKVVVLHAARAIVSISHIMDDGQRRPQCTGIIIKQWSDDTGHHHATIVTYSRIVCEAGRKRDPLPKKTVLDAELIYFNDHYDIALLHINLEVTMELPSFGRGPEYGQEVFVLARDGEASLRARRGDIQWLEESDILGRDHYMFLSCDIPEGRNGGMVIDNDGLVRGMAIYCSPYPAVTSISTIVKCIDMFMQFKTIVLLDVQLQEDISDFGIKGGFLVDRVYNPVAEDLGIKRGNVITSINGKGALTLPELEDYLLSLGWNYLEDKLNCIKDIKLRVCDLKSGVEIDVTLPVRFYDKSERSSLDVLFVFCHAADTSCKVGGGDCCRFVLWSIHQAI >OGLUM05G06170.1 pep chromosome:ALNU02000000:5:5536680:5537343:-1 gene:OGLUM05G06170 transcript:OGLUM05G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKTASQDYLPIPVAVTKASRLKHGRTLKLMIAHGLKIRVKVAEARDKLYMTIGWKEFIQETGLKMGESKSVVFRTLSKSRLNVIIFNKEGYSICPIPDKAAKALINNQSSSAPSFSTKSTAPRHPSSTNVEGRVHMTKLNRTKPTHPTQVQAPYWINFYRSKYFTY >OGLUM05G06180.1 pep chromosome:ALNU02000000:5:5541020:5547466:1 gene:OGLUM05G06180 transcript:OGLUM05G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALPSSHLTPRTVPPHLIPVIIAMTKQDHEINNGRDRAQANKLHAMTSPAPDAPQTATGTTELNMIMQNPSERSSASNVPSGGTNPPGRSGLLDKAKNFIEENNINHVAFVWMGLLSACRFH >OGLUM05G06180.2 pep chromosome:ALNU02000000:5:5541020:5547466:1 gene:OGLUM05G06180 transcript:OGLUM05G06180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPAPDAPQTATGTTELNMIMQNPSERSSASNVPSGGTNPPGRSGLLDKAKNFIEENNINHVAFVWMGLLSACRFH >OGLUM05G06190.1 pep chromosome:ALNU02000000:5:5550509:5554565:1 gene:OGLUM05G06190 transcript:OGLUM05G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >OGLUM05G06190.2 pep chromosome:ALNU02000000:5:5550517:5554565:1 gene:OGLUM05G06190 transcript:OGLUM05G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:reduced lateral root formation [Source:Projected from Arabidopsis thaliana (AT5G09680) TAIR;Acc:AT5G09680] MADEESSDFTFCKIDFEGDGGLEFPKAIPVASTPGDAGADNLKTKKIEGNMQTNNSIKDQTSNSISSSINRVSLEDSNGKESVLSRENTQSNLSSQPKSSKKPAARAKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISLEEVKQHKTGDSIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDSTALFNKYHAWVNFEFLLEKCLVGFLDPNE >OGLUM05G06200.1 pep chromosome:ALNU02000000:5:5581162:5584906:1 gene:OGLUM05G06200 transcript:OGLUM05G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLVALLLISSPKLLAAQQQLPFCSSSSSNANTLAYMAEGTYKTNLLNLAKDLIANVTKTGSHSATGATAGTTGPDIVYGAALCRGDSTNCSSRLQRVLHLTASISNNGSTSSESDSQSQLQKSVTLYDHEFQALLSFSDMDFISNFSNAPECIVSAYLNLQTDADHAIPARFSELFSELMEAITSSMIISEQESYSTGQGWFDLSGRTVTVYGLAQCTDGMQPERCRTCLDSITAQGKGMVGNGLTVGVVLGVRCSLWYQTDIKFFAGEPRVVLPPYTPTEARFKLRLISMAIQNVINLWRIEEGNSGFSLYNFSQIKEATQDFSRENKIGQGGFGSVYKGLLPGGLEVAVKRLSACSVQDSVKGAQLTWSKRLHIIDGIAQGILYLHNYSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFYSNTIESNTTRIVGTLGYISPEYIFDGVCSIKSDVFSFGVLVLEIISGKRTSGFYPYDGKLYNLISYAWLLWRSGQGHELICCCIENNHESIQRCIQVALLCVQERADDRPCIDQVVTMLNSEGMTLPEPNQPAYFYVRSSGSSDVLSCDSNISITLER >OGLUM05G06220.1 pep chromosome:ALNU02000000:5:5610319:5614085:1 gene:OGLUM05G06220 transcript:OGLUM05G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNTTTVLLAAAVALLLATAARGDGGDGGCGKEDAAAGRDRARARGLKIAAFFSILVCGALGCGLPSLGRHVPALRPDGDVFFLVKAFAAGVILATGFIHILPDAFDNLTDDCLPAGGPWKEFPFAGFGAMVGAIGTLVVDTLATGYFTRAQSKKDAAAAVADEEKQSAAATTQQHNHHYVVGDGGGGEEHEGQVHVHTHATHGHAHGSSALVAAVGEDDKETTLRHRVISQVLELGIVVHSVIIGISLGASQNPETIKPLVVALSFHQMFEGMGLGGCIVQAKFKVRSIVTMVLFFCLTTPVGIAVGVGISSVYNESSPTALVVEGILNSVAAGILIYMALVDLLAEDFMNPRVQSRGKLQLGINLAMLAGAGLMSMLAKWA >OGLUM05G06230.1 pep chromosome:ALNU02000000:5:5624859:5628502:-1 gene:OGLUM05G06230 transcript:OGLUM05G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDEAEGLEARLLLLPPEAAAEEPTRCGGGGRKRKKTYLDVLGVCCSAEVALVERLLAPLDGVRVVSVVVASRTVVVEHDPAAASESAIVKALNKAGLEASVRAYGSSGVVSRWPSPYTVASGVLLAASFFEWLFPPLQCLAVAAVVAGAPPMVRRGFAAASRLSLDINVLMLIAVAGAFCLGDYTEAAAIVFLFTTAEWLETLACTKASAGMSSLMGMLPAKAVIATTGEVVSVRDVRVGDVVAVRAGEIVPVDGVVVDGQSEVDERSLTGESFPVPKQPHSEVWAGTMNMDGYIAVRTTALAENSTVAKIERLVEAAQNSRSKTQRLIDSCAKYYTPAVVVVAAGVALIPALLGADGLEQWWKLALVMLVSACPCALVLSTPVASFCAMLRAARMGIFIKVGDVLESLGEIRAVAFDKTGTITRGEFSIDSFHLVGDHKVEMDHLLYWIASIESKSSHPMAAALVEYAQSKSIQPNPENVADFRIYPGEGIYGEIHGKHIYIGNRRTLARASSPQTIQEMGEMIKGVSIGYVICDGELAGVFSLSDDCRTGAAEAIRELGSLGIKTVMLTGDSSAAATHAQGQLGAVMEELHSELLPEDKVRLVDGLKARFGPTMMVGDGMNDAAALAVADVGVSMGISGSAAAMETSHATLMSSDVLRVPEAVRLGRRARRTIAVNVAGSVAVKAAVLALAAAWRPVLWAAVLADVGTCLLVVLNSMTLLREKWKGGAKEDGACRATARSLAMRSQLAPDSQAPNAAAAAAAGREQTNGCRCCPKPSMSPDHSVVIDIPAGGEHQEERPAAAAVVAKCCGGGGGEGIGCGASKKPTATAVVAKCCGGGGEGTRCGASKKPATAAVVAKCCGGGGGEGTGCGASKRSPPAEGSCSGGEGGINGVGLCCTSVKRPTCCDMGAADVSDSSPETAKDCRNARCCAKTMNSGEVKG >OGLUM05G06240.1 pep chromosome:ALNU02000000:5:5634669:5637991:-1 gene:OGLUM05G06240 transcript:OGLUM05G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAPVSPAPRTVEDIFKDFSGRRAGLVRALTVDVDEFYGFCDPEKENLCLYGHPNGRWEVALPAEEVPPELPEPALGINFARDGMHRRDWLSLVAVHSDSWLLSVAFFFGARLNGNERKRLFSLINDHPTVLEALSDRKHGRDNKSGADNGSKSRHSGKRANDVQTKTSRPAVVDDGYDEEEHSETLCGTCGGRYNANEFWIGCDICERWFHGKCVRITPAKADHIKHYKCPDCSSSKKSRQ >OGLUM05G06250.1 pep chromosome:ALNU02000000:5:5642252:5643067:1 gene:OGLUM05G06250 transcript:OGLUM05G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQCHRIPIPARQRVQRLSPPPFRLHVQGNVPQLKEICSSLATSKELVKALVGIWGPDDGLNPSTASLLSALCAELDLARAHVWHLATEDRWRSDETARMRAQLIEKAREWRSRQREKVAAMVRVAAAELDGEQRSRRRAERVNAKLGKTLADVERELAVSRRELERERRSRERLEKVCDKLVRGGLAYGVDDVRGGEEEVRREAQRGAGGAGEREGDAAPRQRAPQAFPCAATKAFPPAAPLTATPSSRSRPRCCHLRRHGGTADQLR >OGLUM05G06260.1 pep chromosome:ALNU02000000:5:5649527:5649766:1 gene:OGLUM05G06260 transcript:OGLUM05G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASATSSGVGHGQRRTWPPATSLAWSMVEEDAAAGDELWRGMWMAEDVAAPVMISVMGCRAATTELQHVE >OGLUM05G06270.1 pep chromosome:ALNU02000000:5:5650975:5710553:-1 gene:OGLUM05G06270 transcript:OGLUM05G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRRWEWRVGYWKRCAGAAGEYLAPNQNWSEVTREKPGRNGDGETTERKAGQEGSRGFKRRRGDKDCVWYQNSCQKHLGTETESNEDYGMEETLVEMVTSVDKDTVSTVRMAANTAPLTADAADIGSTTGTAAADVGSTTETTAAAGSRAAISPDAAPPTVILSRGGTRGNDLGSYDLLKMPQLKRARLRRHTRGAFSCAVRGRSRCTAHLPTLASPSTSCLGRISGTFRSQDSASLLEKTQTLSTREAEALSRGALPSAAAASTEQPEVTEQATSTSYRLGNTGGAMTSAASAAGSFLPHSLGADRVSDSIKSLFPSSSTASGAASAGHDEYRGSPPDLLSRRQATSRRSHAGAMPQSGAEAPRTR >OGLUM05G06290.1 pep chromosome:ALNU02000000:5:5712126:5712526:-1 gene:OGLUM05G06290 transcript:OGLUM05G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVRAAGIAMSVVLFTDPAMAPRPAVEETCSAGGLHRQMLPLWHRLATVVQGGWPDRDPRSRSSASSVEVGGVESIRRAGGVNDAVCRWYLGASAVDALVYRVSEVKTLFRSSASNGDALGRRSPS >OGLUM05G06300.1 pep chromosome:ALNU02000000:5:5729345:5730358:-1 gene:OGLUM05G06300 transcript:OGLUM05G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPHKFRVSGAATSQGACWLTGSMKVYLDYSETVDDWRDHGETVQIGRGDTFLMY >OGLUM05G06310.1 pep chromosome:ALNU02000000:5:5730696:5733162:-1 gene:OGLUM05G06310 transcript:OGLUM05G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVDEVAVPLCVAFFLALKRGTWRMVGWGSHRRGAEAYEFLDAYHATSSTDLAIFDHCWLSRFFVTLPDHRGQAHPGSNAARIPPKRMKII >OGLUM05G06320.1 pep chromosome:ALNU02000000:5:5737991:5741820:-1 gene:OGLUM05G06320 transcript:OGLUM05G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAARLLPLILFLTAVAVGCSAWEMNIRLPTERLAYGGGEAVVAPIIHALRPLLGSGRQLAARAGVACDSWRLGVEAHNVIDWRTVPAECEGYIGHYMLGEHYRRDFAVVVDEAVAYAETLKLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATPYNATSFREYVAEGSAPALPETRRLYHRLLELGVKPVFLTGRTEDQRTITVTNLHRQGFSGWEKLLLKPAVHATGELQGSAVEYKSGERQKLQDAGFIIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >OGLUM05G06330.1 pep chromosome:ALNU02000000:5:5747498:5749600:-1 gene:OGLUM05G06330 transcript:OGLUM05G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVRLLLLLFLTAAASAWEMNIRLPTERVACGGGEAVVAPLIHALRPLLGSGGQLAARAGVACDSWRLAVEAHNVIGWKTVPASCEGYVGHYMLGGHYRRDSPSSLTRPSPTWTASSSPATARRSGCSTSTRPPSPTSPTSPSTDSDQVAEGSALALPETRRLYRRLLQLGVKPVFLTSHTEDERNITVTNLRRQGYSGWMKLLLKPAVHTAGELLGSAVAFKSGERQKLEDAGFTIVGNIGDQWSNILGVPEGTRTFKLPDPLYYIG >OGLUM05G06340.1 pep chromosome:ALNU02000000:5:5757133:5757402:-1 gene:OGLUM05G06340 transcript:OGLUM05G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVWSLSVTTSKAIGGEQEQWMHHAWALAPPLVLPPAPSSPLLLFHFLAEATTLDGVASDDNNTSDLSGAAHKDELEGSILFSTAILL >OGLUM05G06350.1 pep chromosome:ALNU02000000:5:5757774:5761171:-1 gene:OGLUM05G06350 transcript:OGLUM05G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRLRVTVAAAVVGSGGGCGGGERRQRQSGAEPLHGGRSLVGLLVVVLLVLVLVMMVAMVLVGAAAMVEPRHLILVFLLGLAMDRLLSGRDSGIVTRVRIWLRDREHHGCHVSATLDKDSVKTATAYRTHPYTQHLPAFLPYTIRLCLP >OGLUM05G06360.1 pep chromosome:ALNU02000000:5:5758810:5759037:1 gene:OGLUM05G06360 transcript:OGLUM05G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIESATANTVVLPVAEPDPDSSDDAAVAAAEEPVHGEAEEEDEDEMARFHHGRRSHQHHRHHHHQDEHEEDDHE >OGLUM05G06370.1 pep chromosome:ALNU02000000:5:5761192:5763019:-1 gene:OGLUM05G06370 transcript:OGLUM05G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARLLLLLFLTAAASAWEMNIRLPTEMLNGGEAVVAPIIHALRPLLGSGGQLAARAGVACDSWRLGVEAHNVIGWKTVPARCEGYVGHYMLGGHYRRDSAVVVDEAIAYAESLQLAGNGKEIWVFDIDETSLSNLPYYAKHGFGATLYNDTSFREYVAEGSAPALPETRRLYRRLLQLGVKPVFLTGRTEDQRNITVTNLRRQGYSGWMELLLKPAVHAAGELQGSAVAYKSGERQKLEDAGFTIVGNIGDQWSDILGTPEGARTFKLPDPMYYIG >OGLUM05G06380.1 pep chromosome:ALNU02000000:5:5763669:5770878:-1 gene:OGLUM05G06380 transcript:OGLUM05G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGERIRWKRRYDRGLSRYYNYQKGQPAAIRARRHGRCSGEARCGRRGEGADRAAVTVKECIARALRLYKEAAGGGGGGWMVQVWAPVRTGARRVLATRGQPFVLASQCHRLFQYRTISLTRVFPVGGAAAADEQGLPTRAFDTGTPEWTPNMQCYGSGEYARISYVLIYDIQGSLFLPILDPDDVSSPLAVHELVSTALRLLGPGED >OGLUM05G06390.1 pep chromosome:ALNU02000000:5:5765784:5766173:1 gene:OGLUM05G06390 transcript:OGLUM05G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASASLPAGTQPSVAELLDARAATPASPSLVTAVSAEPSGQRHRPPLQLAPNHLLCPAAVAARWNGAPAVVSAAFSVSSPPLLHLTHVCVPMAACELHTDTHHGQELRYLGHGRPGLIWIHYLVHGE >OGLUM05G06400.1 pep chromosome:ALNU02000000:5:5788095:5788601:1 gene:OGLUM05G06400 transcript:OGLUM05G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGVEVSKKRKGESSALDGGEEDALAPPAKKKKMWLLPQEEVDRILAESNETVCTVYRELKRAKPSLVPSPEEEKDESTMLLYTCARNAYEDDAKFAKFQAWVRDEYARKGFVEVDYDYFGERAEVFRLYDEAREEVMGHWDHPSDSDDDDEDWKLFIRAIRRTFV >OGLUM05G06410.1 pep chromosome:ALNU02000000:5:5829994:5834011:-1 gene:OGLUM05G06410 transcript:OGLUM05G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQVHRGREGAAVESHGEDLLSVLPDEILLHIRSMLPAEAVARTRLLSRHWAKLPLHSTPPASSLLQQAWRGGGGARGGGVLDLEEGWRDVLAGVAKLKSIHTDSDFGGFSPDEYMHIYTLVYYMCTQKGHTDYPKELYHLCKQALDDHLDSIVLPSLNEKHGKFLLAEMLQSWEKHKLMVRWLRRFFDYLDRVYITWKSLHSLEHMGWIGFRDMVFDKLKSTLTTTVIGMINDERNGLLIDRALLKNVIHMCNEFGDSQLNSYPEYILKAEECLQKEKEQVYSHSTTEPKDTSDKGMALLKNGTDTAKSRMITSIIAFCKVQDEKMNMMPIDLLGGSNIINQILERAVEQTDVCHTPPKSCSTSLDHQKAEEFIGTSCRPHVVSESQEYYLVFLSLDLAEELEKMDEIYQDIRKRKRHSSRANVEHPWKLIEDHARKCRDIKKKIAAAGGYYQDIPSYMIR >OGLUM05G06420.1 pep chromosome:ALNU02000000:5:5864333:5868211:-1 gene:OGLUM05G06420 transcript:OGLUM05G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDGASGSIGMKHDDGDHRPITGASSRWCPSCGHDPDCNKPFDMVGMPAGVRFDPTDQELIEHLEAKVKDGGSTSHPLIDEFIHTIQGKDGICYTHPENLPGVTRDGLSKHFFHRSAKAYPTGTRKRRKVLADQQPDDHPQASKGRNVAAAETRWHKTGKTREITVRGQPKGCKKILVLYTSFGKKRKAEKTSWVMHQYHLGELDDEKEGELILSKVFYQTQTRSAAAAEAPDVVAQVKVDRGHHYMPAQRQVNFNLKATPVPTTSSFPVVVDKQLYSPVALLRSEHLHVGY >OGLUM05G06430.1 pep chromosome:ALNU02000000:5:5872940:5877487:-1 gene:OGLUM05G06430 transcript:OGLUM05G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22720) TAIR;Acc:AT4G22720] MGYWADNILGFFLGREYFRLKGPIRSSFRPAFSPQLTLASHLSCPLTSLQNPTVAAAPAAMTSSPAARRRPPGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAHHHLAHLLPLLRAALGEAGVTPADLACVCYTKGPGMGAPLQVAAAAARALSLLWGKPLVGVNHCVAHVEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDLKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGMTTPLEESTFTQRFRTDEVHAIWREKEMPVLTNIRAHAMAEVSKDEASVPTPIAVDS >OGLUM05G06440.1 pep chromosome:ALNU02000000:5:5892702:5894986:1 gene:OGLUM05G06440 transcript:OGLUM05G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVC5] MEAATVVAAPIPAADAAAKALEKKLLDLELPPFPAPAKKAAAKVVAAAPKKKLAGGAGGYVLEDVPHLTDYLPNLPSFPNPLQNHPAYSVVKQYFVNADDTVAKKIVVHKGSARGTHFRRAGPRQRVFFQPDEVSAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVVGIEGGYRGFYARNTVELTPRSVNGIHKRGGTVLGTSRGGQDTGKIVDSIQDRGINQVYIIGGDGTQKGAATIHAEVQRRGLKCAVVGVPKTIDNDIAVIDRSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDLCLIPESPFYLEGKGGLLEFAEKRLRENGHMVIVVAEGAGQDVIARSMRLADAHDASGNKVLLDVGLWLCAKIKDHFKKKANFPITLKYIDPTYMIRAVPSNASDNVYCSLLAHSAIHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSTEDVEKAGQDDEEPIVPLVEGENSLVKAPPLLANAGDGAALCNGAA >OGLUM05G06450.1 pep chromosome:ALNU02000000:5:5920425:5921825:-1 gene:OGLUM05G06450 transcript:OGLUM05G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRDTARVNPTAVSGGGLSGLYSRASSSPPLHHGGSRRRLRTNTLPRRSWRRGEELESKMMMMGEGAHAPPWQQHVASPVSGVEGGGGRESEVVAAPYHLLDALRHYLPSNEAAAAEDEEEAAAVAAAVDAYACDEFRMYEFKVRRCARGRSHDWTECPFAHPGEKARRRDPRRYCYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPSQQQGSNSPRGCGGGGAGAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLVSPPRSPPSESPPLSPDAAGALRRGAWAGVGSPVNDVLVSLRQLRLGSPRSAPSCASFLPAGYQYGSPKSPAAAAAAALYSLPSTPTRPSPVTVTTASGATVIVEPLDLGLIEEEQPMERVESGRALREKVFERLSKEATVSTDAATAAAAAGVAPDVGWVSDLIN >OGLUM05G06460.1 pep chromosome:ALNU02000000:5:5942645:5943960:-1 gene:OGLUM05G06460 transcript:OGLUM05G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKCSSCGNNGHNSRTCSGQRVLDHSISSSNSGSTTAAAATACGGLRLFGVQLQVGGGSSPLKKCLSMECLASPAYYGASASPSVSSSSSSLVSIEENTERVSNGYLSDGLMGRVQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSMTQKKRRSSLFDVVEGSKRAAAMPISGSASELQIPGMSIGVGVVKEEVVLPPCLNLMSNSSSASQHSPSLTLLANPQVQLQMPDLELKMSTSRLSDQSGPSPSTPFFGTIRVT >OGLUM05G06470.1 pep chromosome:ALNU02000000:5:5977114:5977476:1 gene:OGLUM05G06470 transcript:OGLUM05G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPVAAPPVPAMLAPASPVARVSRRIQVQRVQFYTHHGWTALTDEPCSLPLEIDGVATGSARRHPATTDCSSTTFTIGNPAADKERPLPHSCTTGFRPDWHPRCVLWGAAPLHLRQY >OGLUM05G06480.1 pep chromosome:ALNU02000000:5:5978919:5986815:1 gene:OGLUM05G06480 transcript:OGLUM05G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTQGQIKSSMASHLGIMQGLGWSIASACEQQQQEEDSLCFQANLIDLANILLLVVYLATLVIAGCEKRFLVAARWGGLRLRPPWLCLVASPCCAVLGVACVCLGALRSSSSSASAAAAVAVVRGVVWVFVAVSVVVRPTRLSSAVAMAWWAAMAAMRTAYGVEVVVRGGSLPVLDVAAWGVSLVLLLCVFVVGRAGRRDDVAGGGDGETSTEPLLSARGGGERSSAFGEAGFLSRLLFTWMNPLLRLGYSKPLGLGDVPPLDADDEAAQACDTFLREWHRRRSATPGGGGEEKAASRLVFAVLAACYKKDLLLTALYTLLRTAAFGAMPVMLYSLVSYSYRRRERGLAAGMALIAALVVMKLVESLSQRHWFFGSRRLGMRMRSAAMAAVFEKQLRLSGEARRRNSAGEIVNYIAVDAYRLGEFPYWLHLAWSMPVQLALAVALLFWTVGAGALPGLVPVAACGVLNVPFAKLLQRYQSRFMAAQDERQRATAEALGAMKVVKLQSWEEFFRGNVQRLRDAEVRWLADAQVSKAYGSSLYWMSPTIISAVIFAGTAALRSAPLDSAVVFTILATLRVISEPMRMLPEVLSIMIQIKVSLDRIGKFLMEEEFRDDAVLPLPMPSSDMITMAINNGVFSWEPSKAIATLKSISIAAMQGEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVAMSSSIAYVPQTPWIQSGTVRDNILFGKPMNNEEYDRAIRCCALDKDMENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNGADVYLLDDPFSAVDAHTAATLFNDCVMAALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYSELLQSGTAFEQLVNAHKDSKTILDTDDRREGAKELGAFQYQVPLIQQNSEAEISTGNLKSVQLTEEERRELGEIGLKPYKDYVSVSKGWFLLSMILVTQCAFFGLQCLATYWLAVAIQNQQFSAGVVIGVYAVMATVSCLFAYVRSLIAAHFGLKASREFFSGFMDSVFKAPMVFFDSTPTGRIMTRASSDLSILDFDIPFAMTFVISGSIEIATTIAIMILVTWQLVLVAIPVIVALLYIQRYYIASARELVRINGTTKAPVMNYAAESMLGVITIRAFAETKRFIQTNLQLIDTDATLFFYTNAALEWVLLRVEALQILVIVASSILLVLLPEGAVAPGFLGLCLSYALMLSSAQVFVTRFYSNLENYIISVERIKQFMHLPAEPPAVITDRRPPPSWPSAGRIELENLRVKYRRNAPTVLRGITCTFAAGHKIGVVGRTGSGKTTLLSTLFRLIDPYSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLRRNKILVLDEATASIDSATDAVLQRVIKQEFSGCTVITIAHRVPTVTDSDMVMVLSYGKLIEYDRPSRLMENEDSAFCKLVAEYWSNYS >OGLUM05G06490.1 pep chromosome:ALNU02000000:5:5986095:5988569:-1 gene:OGLUM05G06490 transcript:OGLUM05G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRFLHSINERHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >OGLUM05G06490.2 pep chromosome:ALNU02000000:5:5986095:5988569:-1 gene:OGLUM05G06490 transcript:OGLUM05G06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSGSGSGSVSVDVERISFGGKEHQVRTRCGSLSVAIYGDEDKPALITYPDIALNHMSCFQGLLFCPEVASLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVEDLADQVADVLDFFGLGSVMCLGVTAGAYVLTLFATKYRERVIGLMLVSPLCRAPSWSEWLYNKVLLNLIYYYGTRGLVKECLLQRYFSKKVCGSGHYLESDIVQACRNLLDERQGENIWRFLHSINEYALPPLILFPSQREYFISWSSYKLHSIRRHDLTDALRKLQCRTLIFVGENSQFHEDAIHMTTKLDKRYCALVEVQGCGSLVTEEQPHAMLMPMEYFLMGYGLYRPYQMNSSPRSPLSPCCISPELLSPESMGVKLKPIKTRIAVDY >OGLUM05G06500.1 pep chromosome:ALNU02000000:5:6008319:6017554:1 gene:OGLUM05G06500 transcript:OGLUM05G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYNAVMMGVTTTLNEDTEPSIPPGFGPFATLPLWGIHNDAKPAVTHSTPVQALQSIRKDSEECQPSAAVSRSDTPCSTSGTQTCRKSLRNRPPIDYSRFEHISDEDSDVEIVEKDVIAKWNPAGARRPALDEAPVFYPTEEEFEDTLKYIESIRPMAEPYGICRIVPPSSWKPPCLLKDKSIWEGSKFSTRVQKVDKLQNRKSSKKGRRGGMMKRRKLAESEENSATAHTQTGMQQSPERFGFEPGPEFTLQTFQKYADDFSKQYFRKDTSMDSVPSVEDIEGEYWRIVEVPTEEIEVIYGADLETGTFGSGFPKLSPETKSDAEDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLESAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVHVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGHNAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNMRWKSICGADSTIFKALKARIETELAQRKTLGVPAQSRKMDAEFDSIDRECALCYYDLHLSASGCPCCPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASAVSLVSSSTSNEQKDEGNKIMKIASPQTNNVCPSVEQRKSENISPLKEPCVRNELSCTTNSDRNGLQYNGGLGGHKGSAPGLPVSSSPSFSSNVATRPISTSSVSMKIVQGLVASKSCIQASSRTGDSRSLLGEHHNRSPAMIHDGTNMKSSLESSNNSCRLIASDYNATPCHSSKDQVLVTPGTNASVATLKDSSQVHSASSQQFVRTGPWTQSASHEASSPSTPALKPSLDPPAMKNLYGGFTQGSAHPGPPSFSNQQPNDGRLQRTSESLPGVEARARGHPTVTAQPALEIHSRNGGAQKGPRIANVVHRFKCSVEPLEIGVVLSGRLWSSSQAIFPKGFRSRVKYFSIVDPIQMAYYISEILDAGMQGPLFMVKLENCPGEVFINLSPTKCWNMVRERLNMEIRRQLNMGKSNLPTLQPPGSVDGLEMFGLLSPPIVQAIWARDRDHICTEYWRSRPHVLIEDPNNRHMLSQGPPLLALRGLIQRANRDELQVLRSLMTNSNNLDDSSRQQAAHIIEEEIAKQLC >OGLUM05G06510.1 pep chromosome:ALNU02000000:5:6024759:6026495:-1 gene:OGLUM05G06510 transcript:OGLUM05G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRMLSSPEPTLSTMAMSAAHGEDSPYFAGWRAYDEDPYDPITNPQGVIQMGLAENQVSFDLLEEYMREHPEASDCGAGFRENALFQDYHGLKSFRKAMASFMETIRGGKARFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVNIVPVSCDSAARFQVTAGALRAAYDEAVAAGTRVRGVLITNPSNPLGTTAARGVLEGILDFVARHDMHLISDEIYSGSVFAAPDLVSVAELVDERRRARGGAADAEDIARRVHVVYSLSKDLGLPGFRVGVVYSYNDAVVAAARRMSSFTLVSSQTQRTLAAMLSDAAFAAAYVRSNRDRLRERHARAVAGLRRAGVACLRGANAGLFVWVDMRRLLGDGEATVAGELRLWRRVVAEAKLNISPGSSCHCREPGWFRVCFANMSLETLDVALHRLGCFIKKWKQEQHEN >OGLUM05G06520.1 pep chromosome:ALNU02000000:5:6031219:6033056:-1 gene:OGLUM05G06520 transcript:OGLUM05G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEDEEGILASVEEGEMGIGYSRGCQRKRKRKEERYMGNIISFYLPKMKGNDTFASEGDKLSTLRVKRELFHDYKLAYMHIVLIGVSRNGTKRLHAVHEFQVKLS >OGLUM05G06530.1 pep chromosome:ALNU02000000:5:6035390:6036385:1 gene:OGLUM05G06530 transcript:OGLUM05G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMDHGGGGAGLLAVAAAPAPAAVLTTMHGRELLHSSCSFSSAAASLSSPSSSTSSRSYHATMSGKSLSCESIPEIMDKQSSFSSSASSYESFIQLEAADLDRITAAAAATRAPAVQTMMASHEQQQLAVAGGSGGYDPKRLPSSIFRTGSTSSGGGDWSVASNDSLFSINLRHSGDLSARYNSSNHSSSGDLFYDASGGGFHRIPSSTSAAAAAAGGGGGGGGGGLCVSGSCARCTTIAAGKNRKSVRFAPDAEIVSGEIANPSAVFPTEAAAPATEGKEAAKSPDAAAQGGWCLFRCCWPSPPSVWWPRCGCGGGCGVFCCGGENCRC >OGLUM05G06540.1 pep chromosome:ALNU02000000:5:6041621:6049377:1 gene:OGLUM05G06540 transcript:OGLUM05G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSDGDGDGGGGEAHAPAAPAHHHRRPPRPRGGSGAIVEGFAAALRRRIRSGAAAAARASFGGDSGDEAASGEPSSSSSSSPSRRRGGDSNGAEASSAAGGGGGRGGGGDFSAFTFRAAAPVHRKAKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFNDKSLRDWPLLILSLPAFPLGAFAVEKLAFNNVITDAVATCLHIFLSTTEIVYPVLVILKCDSAVLSGFLLIFIACIVWLKLVSFAHTNHDIRQLTMGGKKVDNELSTVDMDNLQPPTLGNLIYFMMAPTLCYQPSYPRTSCVRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYAFFHLWLSILAEILRFGDREFYKDWWNAKTIDEEVAVLISFLVSAVLHEICVAVPCRILKFWAFLGIMLQIPLIVLTAYLKSKFRDTMVGNMIFWFFFCIYGQPMCLLLYYHDVMNRIEKAR >OGLUM05G06550.1 pep chromosome:ALNU02000000:5:6054319:6054843:-1 gene:OGLUM05G06550 transcript:OGLUM05G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGDYERRHRRPWAASRGGMADGCIWPARERWEECSEASSVHRGTVDGSEAGVTRGGVAGSGGSRLGARKRGQRWEADLVRGGVANGGRGWPGAARVAVTEACTVRGGGAAGGCGAVYDAQRPAGGGVPMQWSLMSVEVGWWWSIGVPAVDSQVVSGG >OGLUM05G06560.1 pep chromosome:ALNU02000000:5:6054936:6055229:-1 gene:OGLUM05G06560 transcript:OGLUM05G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGLHVAQPGGHGCSVPDGREDGDGDGSEDDDACGDGDDATAAARLDPAVPCLDLAPPRWIQPEDVPALGEAGGRWLQLEEVAGMARVADGGSGG >OGLUM05G06570.1 pep chromosome:ALNU02000000:5:6056312:6057081:1 gene:OGLUM05G06570 transcript:OGLUM05G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGRSLLLLLLLVTLAAGHGGVVVVAFDPNPLQDFCVADPTSKVRVNGLPCKDPAAVTADDFFFSGVGEPAAGGGRGATASRRYGFTARSVDIPGLNTLGASAARVDVAPGGVFPPHYHPRASETAVVLAGAVYFGFVTSYPDSRVVAKVLRRGDVFAVPQGLVHFLHNNGSEPAALYASLSSQNPGLVLVADALLAAPLPVDLVAKTLLTDEATVDKIRANFIVHRS >OGLUM05G06590.1 pep chromosome:ALNU02000000:5:6097514:6099359:1 gene:OGLUM05G06590 transcript:OGLUM05G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMEDGISDVEIEELGNSMKDKLKKYMSLDTVHADGSEFCLIPRIHEHIRMIDRDSYEPLILSIGPYHNGSSALSFMERKKWNCLDYILKLNCQKGLKDYLTIINRLEKRARYIPSPSNDKTFEGALSFGDHQKVTGRNESQQVNKGRQSAMKSTQLDHDRHSMEEYSISDIELSSEISGQYQDPSQQIGQCPNQDEHHGHTGHHIRHFLQLGWDYLHLTYKQEAANLGSSQNGHFPYRWRRASQYHEAGIEFRRRAYSESNRHSLLDIKLRDAVLEIPFLLVDESTSFLFRNFVALEQTCPKVGNDVTAYVIFMAKLMNMPDDVALLARKGIIAHHLRTDRDVSQLFTKLTKGVVFDFYGNYYLMPLSLALEAHYQNRLHRWIAWLKHNHLSNPWLAVAGLAGVIVLFCTVAQTVLTVLSYVDPRTWNKRSALTQ >OGLUM05G06600.1 pep chromosome:ALNU02000000:5:6100482:6101892:1 gene:OGLUM05G06600 transcript:OGLUM05G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDNWCYAEEQLPALIATMKDTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTTRPIYLPPCASTASLHPSRGTKREKLC >OGLUM05G06600.2 pep chromosome:ALNU02000000:5:6100990:6101892:1 gene:OGLUM05G06600 transcript:OGLUM05G06600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTVALVLAVLFLLIADLMIASALGRDVLDLPEDSNISKRHIKRSHSGSSMNGQKPGFSEDKGFVLLKPTTRPIYLPPCASTASLHPSRGTKREKLC >OGLUM05G06610.1 pep chromosome:ALNU02000000:5:6116930:6117346:1 gene:OGLUM05G06610 transcript:OGLUM05G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGGGVSCAVAGDAPSSTRGGGGGGMLGLTLFDPPGGEQPAERIGRLVRESPVVIFARRGCCMCHVMRRLLAAVGAHATVIELDEAAEEAAASAAAAAAVPALFVGGAPVGGLDGLMGLHLSGRLVPRLREVGALCG >OGLUM05G06620.1 pep chromosome:ALNU02000000:5:6121454:6123488:-1 gene:OGLUM05G06620 transcript:OGLUM05G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDMLTEQYDNTDDVDIDELAGSMRADLNRRIALNEYRGNGNKCCLICKINDRNAYEPSVLSIGPYHHSELPLLAMETEKWICVDYILKLNHHVSLREYPSLLSGLEKQVRGCYSEDKDMDSKEFLQMLLLDSCFILVYLGGMQGICRAKDTHEASVDGHGIQEDSDTVEWYNSSAVYDLLLLENQIPFFVIRAIYQLFSRDTMATTPLLTSDISEFMEGILYHFPKAITEANRPVDFYHLLHLCRMYLKPGHKVEDKQHHGHAGKSHYFDYISDFGQKVFCVGQEQNMLYELSKLNSPEHVYRWRRAVDYHEAGIEFKKRDFHEEDPHSLLDIRFRKGVMEIPCLPIDDKSSLLFRNLVALEQTCPQVGDDITAYIVLMSEFVSTAADVALLAQKGIIVHQMESDEEVSTLFTKLFEYVAFDFRGEHYLKSLYCAMEAHYQSRLNRWNAWLWHNHFSNPWLGFAAITSAFIVLCSIMQTVLAFLSYTA >OGLUM05G06630.1 pep chromosome:ALNU02000000:5:6124065:6124419:1 gene:OGLUM05G06630 transcript:OGLUM05G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLAAPEESVADVLRQAGDVKQHYHDEHVHAVVRPQRAILAVHLVDLLAVVAGAGAPPEEQHEVVEDLDVLDCWYRHAMVKSVLRNRLLITSVHFFRCSSSGWRSRACRS >OGLUM05G06640.1 pep chromosome:ALNU02000000:5:6132744:6136164:1 gene:OGLUM05G06640 transcript:OGLUM05G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFVQFLRRSNGLMAASLAAGSCAEEVAKAEGAGCRDDAAALRLKGVAMATILVAGVVGVGLPLAGRKRRALRTDSAAFVAAKAFAAGVILATGFVHMLHDAEHALSSPCLPAHPWRSFPFPGFVAMSAALATLVLDFLATRFYEGKHRAETERVKAAAAAALAASSASDDDITVVTVTEDDNENKAPLLQPHSHSHSHPHGHGHGHEVAQPEGSGGEGEVPAQVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKTLSAAIMACFFAITTPAGIAAGAGVASFYNANSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGGKMTGSTRQQVMAYIALFLGALSMSSLAIWA >OGLUM05G06650.1 pep chromosome:ALNU02000000:5:6172860:6175517:1 gene:OGLUM05G06650 transcript:OGLUM05G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVQNAGNRRSTLLGLDDKLREKSKRISRSDSHRFNQLLEGIDGDRSPAGDLRRRPDAAVARRPCSSRIAPPPVRPSTASPGTAPSPTAARAASPRRPARPASTTSPGSRHSLLYRKLNILLQVLTLVSILLRSLAPPGRVVAGDLHRASRAGERIMVAFCSPKSRNMVNAIKGLFISCDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRGKISEFRDQNSYEKPT >OGLUM05G06660.1 pep chromosome:ALNU02000000:5:6186635:6187261:-1 gene:OGLUM05G06660 transcript:OGLUM05G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAGAARKRKRRGEGKPRPKATKGGSKAKPKGKAAAAAAAAAAAAAAEEAAAAVEKVAAEPGVVVEEEEEEDYAEGITEESIAEVMSWLELEIKLASSAAAAGAAAMPAPFAPPPPPPPAAGGGGYMPAAKGVNTSNMEGSCGASFSVSASTVMASVDLRAGAPPPPPLPWPLPGHGGGATAAAAEEAVDDDEWVDQLLTDGPAME >OGLUM05G06670.1 pep chromosome:ALNU02000000:5:6203100:6203981:-1 gene:OGLUM05G06670 transcript:OGLUM05G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPNPPPAMANAGGGGATASFPAPKSQMYQRPIYRPQAAAAKRRRGRSCRCSFCCCFCWALLVVILLALVAAVAGGAFYLLYRPHRPSFTVSSVKLTALNLSSSPTSPSLTDSIQLTVTAKNPNKKVVYLYDDFSFSASTAANAVPLGAATSPGFTHDAGNTTVFTATIAANAVAVDPAAAASDIKKSGAFSVAVDAETRAGVRVGSLKTKKIGIQVHCEGIKVTPPPPAAPPRPKAVKGKNGTVLAPAPAPADSDTAATTAATVSTAAHSCKVRVRVKIWKWTF >OGLUM05G06680.1 pep chromosome:ALNU02000000:5:6216486:6221225:-1 gene:OGLUM05G06680 transcript:OGLUM05G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVF0] MPLHHHRHHHHAAAVAVVVADDDDEAKPRRPYSTFASPRAPTSAFSAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQPAPLAAVAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAEGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNATLCSKSPTLPPENQNPAYVRSE >OGLUM05G06680.2 pep chromosome:ALNU02000000:5:6216970:6221225:-1 gene:OGLUM05G06680 transcript:OGLUM05G06680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVF0] MPLHHHRHHHHAAAVAVVVADDDDEAKPRRPYSTFASPRAPTSAFSAAFSTHRLLVLFSVACLLVAAASLAFAFSARAATLQPAPLAAVAEATAKVAFRCGRAEDTLRAFLASSSGNYSSAAEGREREKVLAVVGVHTEIGSAARRAALRATWFPPKPEGIVSLEHGTGLSFRFVVGRTKDKEKMADLQKEVDMYHDFLFVDAEEDTKPPQKMLAFFKAAYDMFDADFYVKADDAIYLRPGNEYFSHASGLLYALSSEVVGSLAATNNDSLRMFDYEDVTIGSWMLAMNVKHEDNRAMCDSACTPTSIAVWDSKKCSNSCNTTEIVKALHNATLCSKSPTLPPEVEDE >OGLUM05G06690.1 pep chromosome:ALNU02000000:5:6231476:6237903:1 gene:OGLUM05G06690 transcript:OGLUM05G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSWMLKAAAAGDMHGGAGDTMIRCSWSDMATTDQLLRHHEQEPAMTMMMNSQSQAMQQQLSQAIFMINSSCLLLIYMLMDMEEHDHQYATPPSPSSSSFRSFSAGTTTTTTSRDDNSSLMLAAAAASCHHRTTEVSSQILLPRPGQAARRSSGGHGAAAAATAFRPYSRYLGPKKHLLRRPGAATTATGGGGGQRAFKKAISVLSKIHAARLAQYYQIMEMAARASPAATAGGGGGENQQLQLQHVLSERKRREKLNDSFKALRDVLPPATKKDKASVLMRAKDYVNVLKARIAELEEKNRKLSESQQLHAGDGDGERDDGPDDDKIEVNTSRSAADQGSSPNKCQELHLKIVLGSSSGCSAMDAVAGILQGLNEKRDVSLLATGHNSSSISSSGRRRLLPRANSSQQPASSSCDEEILKESVVKDDSPAAVKDVMQSETLHG >OGLUM05G06700.1 pep chromosome:ALNU02000000:5:6250978:6254581:-1 gene:OGLUM05G06700 transcript:OGLUM05G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:atypical CYS HIS rich thioredoxin 2 [Source:Projected from Arabidopsis thaliana (AT4G29670) TAIR;Acc:AT4G29670] MAEALLPLPRRLVVTASTPACSSASSSTSPSPHCLLSRAHPRPPRLAAPSPPRHRRLKAHAAISDKSEQPKWWEKNAGPNMIDIHSTQEFLDALRDAGDRLVIVEFYGTWCGSCRALFPRLCRTAVENPDILFLKVNFDENKPMCKRLNVKVLPYFHFYRGADGQLEAFSCSLAKFQKLKDAIAVHNTARCSIGPPVGVGDVLDSPEEKPAEASPR >OGLUM05G06710.1 pep chromosome:ALNU02000000:5:6288004:6290447:-1 gene:OGLUM05G06710 transcript:OGLUM05G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVF4] MSKYGTIPTSSSSDGPPPGSSSSSPLDFISRAKARGATALAERRPWRELADPRAASVPRGLGGAYRRARANLGHFSMNYAIVVLAVVFLSLLWHPVSLIVFLACMVAWLFLYFLRDEPLALCGRAVGEGAVLAVLSVLTLVLLLLTGATVNILTSLLVGVVIVLLHAVFHRPADSIDEEAGRYYTPVPPQPSY >OGLUM05G06720.1 pep chromosome:ALNU02000000:5:6300752:6314918:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVEIDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQGSVELSPWKLDGQGDQAGNEYVELLPEQRVSCHVRTDLASSWTRTAAPAPVAAVARHASMAAARRPRMSAVTRALSSGDLKKAPARPCTRRWRAPPSTRMDDSPISSISDLGYHGTNADASLCSTARLASASALTTAGDPHSTTDAATTYARCRCHGGGGGAHRRQRFSTKDSGREE >OGLUM05G06720.2 pep chromosome:ALNU02000000:5:6301446:6314918:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVEIDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQGSVELSPWKLDGQGDQAGNEYVELLPEVNHKHDN >OGLUM05G06720.3 pep chromosome:ALNU02000000:5:6301446:6314918:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVEIDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQLSPWKLDGQGDQAGNEYVELLPEVNHKHDN >OGLUM05G06720.4 pep chromosome:ALNU02000000:5:6301446:6314918:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLGTSVSFHKNLIILFLYSIFILITATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVEIDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQGSVELSPWKLDGQGDQAGNEYVELLPEVNHKHDN >OGLUM05G06720.5 pep chromosome:ALNU02000000:5:6301974:6314918:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDSVVGSGDKAGAAPGDDGSSTPLPETVQVANSPTYKLDRKLGKGGFGQVYVGRRISSPGVTDRTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGRQGDYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTLEEKKLFLVDLGLATRWKDTGSGEHVEYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKVGQKRGRLTMDEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADGRLAQHITKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSQHFLHKEWIMDQWERNFYITSLAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFTDQVVEIDFLYPSEGIHRRWDNGFRITATAATWDQAAFILSIPRRKPADETQETLRTSAFPSQHVKEKWSKNLYLASICYGRTSLYHQWMNGGKDIRGNFTNHIKLTTCSKYSKKALIQQGSVELSPWKLDGQGDQAGNEYVELLPEVIHFLTLL >OGLUM05G06720.6 pep chromosome:ALNU02000000:5:6299209:6300742:-1 gene:OGLUM05G06720 transcript:OGLUM05G06720.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSVSPTSGQPRDPAGGRALQAAAVDRAASRRTMAVTSSAAATVAAYNGQETPPPAASGCMAAGVASCVTVRIELGGQIPMKKR >OGLUM05G06730.1 pep chromosome:ALNU02000000:5:6300362:6309690:1 gene:OGLUM05G06730 transcript:OGLUM05G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVHYWLTTSIYIEVIKYIYRFCIYRYTFIYMHSIYIDAHLLFQKLEYSILTVTQLATPAAMQPLAAGGGVSWPLYAATVAAALLVTAIVLRLAARSTAAACKARPPAGSLGWPLVGETLQFISAAYSSRPESFVEKRCRRYGKVFRSHLWGSPAVVSADAEASRAVLQSDASAFVPWYPRSLMELMGESSILVLGGALQRRVHGLAGAFFKSPELKARVTADMRGRLAAAMDAWRATAATGAGAAVRVQDEAKSIVFEILVRALIGLEQGQEMNYLRQQFHIFIAGLISLPIKLPGTQLYRSLKAKKRMTSLIQNIIQEKRRRIFEGKDLCAVSRDLIDVLMSNGSDELSLTDELISDNMIDFMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMELKRQKSDVGETLEWTDYMSLTFTQNVITETLRIGNIISGIMRKAVRDVEVKGQGDVVIPKGWCVLIYFRSVHLDANIYDDPYAFNPWRWKERDMAAATANSGSGFTPFGGGQRLCPGLDLARLQTSIFLHHLVTNFTWVAQGDVVVNFPTVRLKRGMPIKVTPKT >OGLUM05G06740.1 pep chromosome:ALNU02000000:5:6318227:6325237:-1 gene:OGLUM05G06740 transcript:OGLUM05G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMQRRILHTWQRIRAFTVQHSSQPSLTTFNTKDFTDTKSNQRIMSHLPLGKKLRNPLAGLQPKICKTPRPNKATANASHYNAINGRIDV >OGLUM05G06750.1 pep chromosome:ALNU02000000:5:6325399:6326048:-1 gene:OGLUM05G06750 transcript:OGLUM05G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATTPARRPMEAGMARRLWHVVLAVCHMLRRGLCRKRLMVDLHVLLGRGKLAGRALRGLLAHHAAAGHGHHLAASSSSPAGVRPLRVTDSPFPAVPENGGGGGGEQRVDDAEFEDFINKFYEQLRMQPSAATPDCQLRRRGR >OGLUM05G06760.1 pep chromosome:ALNU02000000:5:6375996:6376595:1 gene:OGLUM05G06760 transcript:OGLUM05G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRKQELEWTGRVTAAAPAATADEAWALLSDFLAFHRWHPGVAKCRRVSGSPRSPGCVRYCEGVPGRAGGVAGAADWAHETLLEHDAAGRALRYEMNDNNMGFGTFFATLSVAAAGAAAGTGGCELRWEFECEPVAGTAKEALAARLQDGIDGMARRVEEALAGRRGDAAAAAVAMEAANSGDVNKLGTSIAV >OGLUM05G06770.1 pep chromosome:ALNU02000000:5:6388656:6396115:1 gene:OGLUM05G06770 transcript:OGLUM05G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEDADVEMPELVELVDDEGGLQEIIDIVRVHRWKATYERIIATAADVNSLILSNHVQLLTSSTRTSTVLAISHAVTLRLGGR >OGLUM05G06780.1 pep chromosome:ALNU02000000:5:6396196:6424008:-1 gene:OGLUM05G06780 transcript:OGLUM05G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRWAMSGGAAGADGLCAMVADWRQIGRVKRRDRDSREAYRLHYSYNVITISCGAIKPPVAQPCSTRCRLCAHAYAGRLCTRSAVRKSNATCPLLRCAPIRSPDASDGPDSSAMGTVLLSPKSHGVSTTLYYLPERIKATGQRRSCRTHRWRNKAVRCVGSTDGSRQATGHLIWVYACEGGVPQGLSARCHLTPPATAAAVVGSTLIGDGHQIRAGEAAVASFNAWGSLPSAAPPTTRRRRCLKPPIARPAEADPLVARRLPSAAPSIACRLRIWSSRARPRWIQAPKDQAARPYLSSREDEREESERRGGEILRGK >OGLUM05G06790.1 pep chromosome:ALNU02000000:5:6398796:6399476:1 gene:OGLUM05G06790 transcript:OGLUM05G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPQQQQPEPEPEPEMGAQPQQQWEGAVEARLPSTPAAAAWPHLAAFCSLHRYNPGIDVCERVAGDDGVPGCVRYVASRPPPPPPRAKDGGDDDDDDQQPAAAAAGVETWAREELLERDDARRRLVYAVVGSNLGFGRYVATMTLVDDDGEDVDVNAPAPAAAAAAGCKLVWAFKCEPVKGWTRDGLLAYLDAAGKGMAERIEAAAAAAVTDIAVEDDAAAARS >OGLUM05G06800.1 pep chromosome:ALNU02000000:5:6431556:6432901:1 gene:OGLUM05G06800 transcript:OGLUM05G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMAVNTSLEPTNCFLLLSKNKDQAELRRSYSEYSNTTRAADHLVAGAGVVAVASSGGASGGGGGGGGSDVETTVRCACCSVTEECTAAYICRIRAAHYGDWVCGLCAEAVRERMRGGGGGGGGVEAALRWHMEVCRDFNSTTRLNPKLSLAGSMRDIARRSFNRRTTASTSAAATCHDQLRAAKTMARTLSCQPRYLQVI >OGLUM05G06810.1 pep chromosome:ALNU02000000:5:6435194:6447421:1 gene:OGLUM05G06810 transcript:OGLUM05G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYGVSEGDVLRVMNEEMLTSDEAIHWLLEDFELMESQRRFDDKLDRLLQMFGVKEERSEAFVKREEESSASIRATTTFDLAYFSAHQVFDTRTKLRYHQGKRVFPGTTSLSAPSIISAARATTLPPIETEEAEGDMTQVEEETEDTLHDLCAKVELKQRADSLISVELKAPRPPPTKFISTKTPSIVAQQKLLLETNQRTLITRISPIEEKWVAGLRDKIRLEDVDFNWKILGLHDKEVGRVAVKLSIKAMVYDERTMILESTKKKIETNKLTPWDPGGTGNNLHRLEDKSNIKERGLLGTQLGCRWAKLQALGGIKHLESAKAASATTAAATLVAGLGTLVDLLLYPSSFSTCLETTITFYSCGFLTGEAGADSAPLGLVVGGGSHAEQMGEGCWGRGGRRAEVVSTRLPLSAA >OGLUM05G06820.1 pep chromosome:ALNU02000000:5:6451727:6466993:-1 gene:OGLUM05G06820 transcript:OGLUM05G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMTCHSKLVSRPARVVVELIKRYWGWEGEEKPGSKVERAKNGAGERGDVAEITTRRTFEE >OGLUM05G06830.1 pep chromosome:ALNU02000000:5:6456446:6458136:1 gene:OGLUM05G06830 transcript:OGLUM05G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKCGNCDCADKSQCVKKGTSYGVVIVDAEKSHFEMAEEVGYEENDGKCKCTTGCSCAGCNCGK >OGLUM05G06840.1 pep chromosome:ALNU02000000:5:6458994:6461287:1 gene:OGLUM05G06840 transcript:OGLUM05G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLPSELHKAYDKPRPTVAAAWQARFVLEPGGDGEEPRSSSVCSARYSPPSYPPCPTSLPSLGSRRSGAPPGIVVASWLSVSSIVAGSGGHTLPGPGASIPGARSSLPTPSCVRSRPVNPVTIIEGSRLARSTRRLEPEGRRDARGEEGAKTYVVCLLHGSRGGQVGRRAYSSYVPACCVVDSTMAGIWLQFGATSMYQTERLTEVLESKNY >OGLUM05G06850.1 pep chromosome:ALNU02000000:5:6466996:6467409:-1 gene:OGLUM05G06850 transcript:OGLUM05G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGIGVAAAAAAVVAAALAVSCLRASPAEAYSGGGLGYSQLLTTAHLGAISSSSCGGRLGRQCSAAVGANGGLLRRALAARKPTNRYVSYSALDANKVPCNKRGQTYYQNCASQQAANPYRRGCSAITRCSRNMN >OGLUM05G06860.1 pep chromosome:ALNU02000000:5:6469824:6471087:-1 gene:OGLUM05G06860 transcript:OGLUM05G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPAPIASTPGSTPPSSPPSTSPPPPQAANATEDQPSSRQLVYDLAVLHPAFRGAVAVDGGTAAELEREAAEGTVHVKKVQFDSHNIDSIRYGSRSCIPETTYIHYSTIKTGVE >OGLUM05G06870.1 pep chromosome:ALNU02000000:5:6482186:6483517:-1 gene:OGLUM05G06870 transcript:OGLUM05G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAASVSAAADAAAASYTTPRNILITGAAGFIASHVANRLVREHPSYRVVALDKLDDYCATLNNLAPAMASPNFAFVRGDVASADLVLHLLLAERINTVMHFAAHTHVDDNSFEFTKNNVLGTHVLLEACRRKAPPAPSAASSTSAPTRSTATLPRRATTRRRGRGCSPPTNLLKSGLRHLALLRPPGDHHARQQRVRPAPVPGEARPQAHPPRHARRQASSPSTATYGSRHARSYLYCDDVAEAFDVPRVIDVARHVCGVFGLDPGEAVATVSDRPAVQRPQKLKALGWSERTPWEEEIKKTAEWYVEHGAEWWGDVDVSAALLPHPRMLTPQQSFDTTAMTNFDFK >OGLUM05G06880.1 pep chromosome:ALNU02000000:5:6482481:6482729:1 gene:OGLUM05G06880 transcript:OGLUM05G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDVDHPRLRRPPPVEHDVERLGYVVAVEVAPGVAGAVRRRGRGARLPPRMARRMSLGTSFSGNWRGPYTLLPRVVIAGRP >OGLUM05G06890.1 pep chromosome:ALNU02000000:5:6492789:6500992:-1 gene:OGLUM05G06890 transcript:OGLUM05G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGSSDGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKNEYLCAEIEYMQRRETELQNDNMYSKSEVAESERGLQTVNMMGSASTSEYVQNMIHYDPGNFLQFNIMQQLASLSIILNRRTERPHSS >OGLUM05G06890.2 pep chromosome:ALNU02000000:5:6492789:6500992:-1 gene:OGLUM05G06890 transcript:OGLUM05G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGSSDGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRTNICETELQNDNMYSKSEVAESERGLQTVNMMGSASTSEYVQNMIHYDPGNFLQFNIMQQLASLSIILNRRTERPHSS >OGLUM05G06890.3 pep chromosome:ALNU02000000:5:6492789:6500992:-1 gene:OGLUM05G06890 transcript:OGLUM05G06890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGSSDGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKNEYLCAEIEYMQRRETELQNDNMYSKSEVAESERGLQTVNMMGSASTSEYVQNMIHYDPGNFLQFNIMQQLASLSIILNRRTERPHSS >OGLUM05G06890.4 pep chromosome:ALNU02000000:5:6493482:6500992:-1 gene:OGLUM05G06890 transcript:OGLUM05G06890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPAASPGSGSSDGSGIEDTADRQVTFCKRCNGLLKKAYELSMLCDAEVALIVFSSRGRLYEYSNNSVEETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQHITYLQNSNRFIISLSCLDFFFQLDKVVHLVIGLEKIRARKVY >OGLUM05G06900.1 pep chromosome:ALNU02000000:5:6513983:6526367:-1 gene:OGLUM05G06900 transcript:OGLUM05G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQQITNLQNSNRTLVGDNITTMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSGKKYSQCNIVRVHSSTNEI >OGLUM05G06900.2 pep chromosome:ALNU02000000:5:6513983:6526367:-1 gene:OGLUM05G06900 transcript:OGLUM05G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYKEQEAEPSTGLMMPEPAPVASPGSGGSGGSGSVGAEKIGSRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSSRGRLYEYSNNSVKETIERYKKANSDTSNASTVAEINAQHYQQEAAKLKQQITNLQNSNRTLVGDNITTMNHRELKQLEGRLDKGLGKIRARKNELLCAEIEYMQRRETELQNDNMYLKSKVAESERGLQTVNMMGSASTSEYVQNMIHYDPRNFLQFNIMHQPQYYPEQEDRKAFMSGKKYSQCNIVRVHSSTNEI >OGLUM05G06910.1 pep chromosome:ALNU02000000:5:6535237:6544348:-1 gene:OGLUM05G06910 transcript:OGLUM05G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRSSPAAAAAVARKNSKRSVSDLFAATGEAPAMDSRRRKKPRSQEDDDGVEKMKKKGIFISSSTPNAPKNVGTEGMRRIGVNEKPAAVHERQKHINIENMKMCDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPGIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSSRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMMGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFLEADVFSGNGIECEDRLRDFSYLHCGQNALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPMGGNILSGQTKSHRKFAVLEPCEKRTQLIWEVLTFASTHQALHPSSLLQQNPGGKLPFPYNKYLEV >OGLUM05G06910.2 pep chromosome:ALNU02000000:5:6535362:6544348:-1 gene:OGLUM05G06910 transcript:OGLUM05G06910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRSSPAAAAAVARKNSKRSVSDLFAATGEAPAMDSRRRKKPRSQEDDDGVEKMKKKGIFISSSTPNAPKNVGTEGMRRIGVNEKPAAVHERQKHINIENMKMCDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPGIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSSRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMMGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFLEADVFSGNGIECEDRLRDFSYLHCGQNALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPIFISININVENDRMTYTVKRRKYYDNNK >OGLUM05G06910.3 pep chromosome:ALNU02000000:5:6535362:6544348:-1 gene:OGLUM05G06910 transcript:OGLUM05G06910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFSIREYAASMRGTAASRHPALSAAGDFPPMPIRRFRWWVDELHAAVSRRSSPAAAAAVARKNSKRSVSDLFAATGEAPAMDSRRRKKPRSQEDDDGVEKMKKKGIFISSSTPNAPKVHERQKHINIENMKMCDFEIWKPENSAKVPKNSILRKHTKRSSFTVSINKEKCSNLKGSEAIELSHKLGKHVTFSGVDDIHIRNKLSSTLPQLQNHCNVYSDKSNEADRLVSAKISSHENKEASGRDIYDRWTSESSGAKDPINLIDLNRTLPGIPDFNGAFISGSEVPDLEHTENATSDLQIPGDVREEAVLKHNQDLHSKSSRSQCELNSCDLGRIINLRSIASLLPDEAINISDRGMMGHPLNSTEVNKFYADYERSSVRDDTMEGKAPYILPQHTVQYTSQFTENWYTNMNLGNFHHAGREFSSCPCENQLNSEKPMLHSEINVQHEHAVMSQRTMRLMGKDLTVSTTGGKCIGETAKVHVNSSVSCHHTTNIFLELPRQGHPFLSLQSRSFSNIQVDAPSTSHDYVGYKMHNLKRRFLEADVFSGNGIECEDRLRDFSYLHCGQNALAGFSPQGGKYNTRSDQNSLSATTFLPTFIPHAKQSAVYRANSTWKHNPYPANLLVHPPDGTNFRKDQNQIIRGVAEIPSSVNTMSRDTVWKTRKIDVDNSNISSGVRSGPVKLRPGAKHVLEPRQDTDDGNYPPIFISININVENDRMTYTVKRRKYYDNNK >OGLUM05G06920.1 pep chromosome:ALNU02000000:5:6539455:6540555:1 gene:OGLUM05G06920 transcript:OGLUM05G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQFGGPFSYCLSPTSGGAGFLALGAPNSSNTAAGFSFTPMRRIPSVPTFYVVTLTGISVGGAPLAIPPSAFSSGMVIDSGTVITGLLATAYAAVTAASDAAATSDLLRNLTPESYVNDTALRQKAEDDHGIGLLGGDDDLLAFDRLWKYCCGYAGVSLAAARALVDGASEITINWSGGMHHASACKASGFCYINDTLIAINELLPYPCRPGRHCAAAELPESSVPPCIAAPCAGMPCILVVGAIELEA >OGLUM05G06930.1 pep chromosome:ALNU02000000:5:6552025:6554199:-1 gene:OGLUM05G06930 transcript:OGLUM05G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLNNSSKFRSKQATIKPKREAFRSTREVEFEAKKKMGTMRKRMVVPTLTDKEAVGAAGKDGRAGGSWEAGDGDVGVARRRQRGGTRGWFQSILGASKQCKQLQKLRKFRDEAKPTSVERRSGAIIKEINKKFDVLVTKVSFEVFEKFNLMRKISKWSFESKE >OGLUM05G06940.1 pep chromosome:ALNU02000000:5:6571550:6572135:1 gene:OGLUM05G06940 transcript:OGLUM05G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVI8] MPTWIAEEFEPNVTVAPHPDLEKFTDKVAGGTGLHNRTVAPHPDLEKVNLTYMARPWKEYSRTIFVQNELGALVVGWLEWKEEERQEGQNDMWAPNGQWVLQ >OGLUM05G06950.1 pep chromosome:ALNU02000000:5:6588547:6589031:1 gene:OGLUM05G06950 transcript:OGLUM05G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDGAAAAVEIRRWQQRGFEMLRLVAAVTQNQRWLQGLFGSGRGSSGEWRHGHVAGSWLTVCSLVQCLGGIGNNLNKGSLVIATFPFALHNVGMTLKHPFVFNSNPIPRVKERGWSRHRACGGGDRRERWAHAACSGGAN >OGLUM05G06960.1 pep chromosome:ALNU02000000:5:6598346:6599119:-1 gene:OGLUM05G06960 transcript:OGLUM05G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEPTVCSHVLVLASSTPQTSLSARAKQADNAGISAVGDNDMAGSHDGHHGCEAHHLLACVGLLGAIDLAIHPGEPRRHSLLPLGLVLVLDINAIAVARQQLPKSYLLREHHVHPLPAHLCTWDPPCPSYTVKNLVILLLAILFHVAAPFHRRLLHGNQEWRHKEDGVFHLPLVPDHRHRPMEHARRPSPCFVALPSQNSAFPLPLSPIVLASTTNRLPEIRRLHSIPRQGPIVVGVVFPNSGAAVPTSASHGSGP >OGLUM05G06970.1 pep chromosome:ALNU02000000:5:6598607:6598948:1 gene:OGLUM05G06970 transcript:OGLUM05G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAIFFVAPFLVAVKEAAMEGSGDVEEDSKEEDDEVLHGVRRAWRVPRAEVCWKRMHVVLTEEVRLRKLLPGDSDGVDVENKDQAQWKEAMATWFTGVDGEVDGTEEADTGE >OGLUM05G06980.1 pep chromosome:ALNU02000000:5:6600562:6603866:1 gene:OGLUM05G06980 transcript:OGLUM05G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPPYYHHLLLRSSPTTTGGGARVLAAAELARMKLLCSACEAAEASVLCCADEAALCARCDRDIHAANRLAGKHLRLPLLSPASSSSSSAAALAPPPPSPPKCDICQESHAYFFCLEDRALLCRSCDVAVHTANAFVSAHRRFLLTGVQVGQEQDEHSPDPPEPSPPPPPPPPASKSDHPAPLYGEGGGGFSWDAADSPAAGGLPDWSAVDDQFGSPPPPRHTDTATVTTPPPPPPTKRSPRAPAFGGQGGMMDWPLGEFFGGFTDFTGGFGFGFGDSGTSKADSGKLGGSTDGSPYYRSSSEDDRNADELFGQVPEIQWSVPELSSPPTASGLHWQRHPAAAVSGGGGGPDTTAFVPDICSPDSCFPATTSKRRRQ >OGLUM05G06990.1 pep chromosome:ALNU02000000:5:6614764:6622573:1 gene:OGLUM05G06990 transcript:OGLUM05G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein phosphatase 5.2 [Source:Projected from Arabidopsis thaliana (AT2G42810) TAIR;Acc:AT2G42810] MDANSSLNEQKSEELKLKANDAFKANKFSLAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDASKAIEIDARYSKGYYRRGAAYLAMGKFKEALKDFQQVKRISPNDPDATRKLKECEKAVQKIRFEEAISVGDEEKRSVADSIDYRIIEVEPQYTGPRVDGDTITLDFVKAMLDEFEKQKCIHKRYAYQIVLQTVQLLRSVPSLVDVNVPDGSHFTVCGDVHGQYFDLLNIFKLNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPTGMYLARGNHESKSMNKIYGFEGEVRSKLGEAFIELFAEAFCCLPLAHVINNKVFVVHGGLFSVDGVKLSDIRAIDRFREPPEEGLMCEVLWSDPQPQLGRGPSKRGVGLSFGADVTKKFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAYIRFTAPELKPNISSFSAVPHPDVKPMAYANNFLRMFQ >OGLUM05G07000.1 pep chromosome:ALNU02000000:5:6614773:6615530:-1 gene:OGLUM05G07000 transcript:OGLUM05G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVDEMEAMELGAGMRKIRKRETWSKYLHIGIFQKMGTWCENQQIQELKTDSRNRWSNMQQKGGQGNRNITCSLEGEGNRGSVVARPEPTKRGVARRRWRAGWPTARRRKRRGRGWRRGGDGGGVGVGEGIVGSSEGFAKGDTTGTPPI >OGLUM05G07010.1 pep chromosome:ALNU02000000:5:6625341:6629821:-1 gene:OGLUM05G07010 transcript:OGLUM05G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEHGVNGQHEETRAMEEGSRDHQARCENSEQDGGGSKSSSNNHPMFSVQFAQKVIAEILGTFFLIFAGCAAVAVNKRTGGTVTFPGICITWGLAVMVMVYSVGHISGAHLNPAVTLAFATCGRFPWRRVPAYAAAQVAGSAAASAALRALFGGAPEHFFGTAPAGSDVQSLAMEFIITFYLMFVVSGVATDNRAIGELAGLAVGATVLVNVLFAGPISGASMNPARTIGPAIILGRYTGIWVYIAGPVFGAVAGAWAYNLIRFTDKPLREITMTASFIRSTRRN >OGLUM05G07020.1 pep chromosome:ALNU02000000:5:6632223:6633146:-1 gene:OGLUM05G07020 transcript:OGLUM05G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45700) TAIR;Acc:AT5G45700] MVSRTPTKQPLSPLPRAASGSPTKPTPSPSPSPASARRRRVLRRGSPGRIKSLAATFDTSLRGCRRRLLKLFARLAVLGSPTKRRAAAAGFRRLRSPPRSPSPPTPKPNQVAAVSPQLPLPLPPVSPGRRTLFLDLDETLIHSQTDPAARARHDFAVRPVIAGQAVTFYVAKRPGVDAFLAAAAAAFELVVFTAGLPEYASLVLDRLDPRGALFAHRLYRGACRDAGDGRLVKDLAATGRDLRRAVIVDDNPNAYSLQPDNAVPVAPFIDDADDHELERVMGILSIAAEFDDVRDAIKRYKEIVEAS >OGLUM05G07030.1 pep chromosome:ALNU02000000:5:6651566:6651847:1 gene:OGLUM05G07030 transcript:OGLUM05G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMVIFFTALLLLASSSSCLARARMMMMPGDHAVNGKETSASSKEATSPHDLLQGVAPPLPPSPPVTEIIRPDSSGWMPQGSVPSPGIGHRA >OGLUM05G07040.1 pep chromosome:ALNU02000000:5:6653470:6653733:-1 gene:OGLUM05G07040 transcript:OGLUM05G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTTVAAALDWPDLSPAPMRAADPSSPGMAGAAVAAYGLRGSGMLGKSGSATCGLWSDDEDDLVEGCNDGANKLPAASCDNDGLRR >OGLUM05G07050.1 pep chromosome:ALNU02000000:5:6667419:6667736:1 gene:OGLUM05G07050 transcript:OGLUM05G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLHCHSTTILFVLIFLASSSSLQARMLPSDVHDDDNNVHVKELSAVSSNPTASTTVDSLALMAPPPPPPAAGKPEMMPAVDGKRWGTAQLQGSVPSPGIGN >OGLUM05G07060.1 pep chromosome:ALNU02000000:5:6673369:6673686:1 gene:OGLUM05G07060 transcript:OGLUM05G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHAIVAAILLCLLLLQSSESLVQAARMMPAGDRPQAPVARTSSATDTAASSSSTSQDLLQEFMAPPRPIASKPEIIAVVDIAKRRRAIQVQGSVPSPGIGHH >OGLUM05G07070.1 pep chromosome:ALNU02000000:5:6683602:6686995:1 gene:OGLUM05G07070 transcript:OGLUM05G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFFLKKFVWFTIIGREEGEEEGGRRGRSIGGEGGRTDRPLEGPAALAPLATSHAAPLARASPPPSPPPRLTLSTDRRRDGVASPRRRVIFPYPESTPTLLCSPPATATPRISSLLVGPVPRYRGQWTKHKYQNLFDLVKAYQNYDAGNRQTEVQ >OGLUM05G07080.1 pep chromosome:ALNU02000000:5:6696763:6697161:1 gene:OGLUM05G07080 transcript:OGLUM05G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRRPPRCLRRSTMSEHLLLQSSVRSRSFNAVAILPPLPPATTLRPAQLRLNPPESHTPPLPSLMDAPGFWSRAHAHCRVHLSVRGTPPPPFAAARPDGDDDGAWDVTSPVRNGGGTGPPARAVAITAGD >OGLUM05G07090.1 pep chromosome:ALNU02000000:5:6699392:6700872:-1 gene:OGLUM05G07090 transcript:OGLUM05G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMAAELPQQKAGGGLARRLVRLLRRKRSTAGSVAGGGEYDESSMDSSINSLSKLKLSAAKLDVLFRSAAQPAASPAVDAAAAHALVASLFAGVSAVKAAYAQLQQAQHPYDAEAIQSADAAMERAHPGRTLAALDGLHLSGLNATHFLTALRHAARSVCSFAKSMLGEMRRAGWDPVAAAAAAHPGVPLRHPGDAKFALESFVALKMFDGFHRRDFGLSALHDRSSYDRRRLFDEFAELKATPAAEFLDARSSRWGALGEFLRDRYLSVVHERMEEAFFGSTAQRGAAASAGAALPGTPWFAEFAEMARRVWLLHCLFLAFDDGGASTIFQVAAGARFSEVYMESVGDGDGDDGGAGTAVAAAAAGDRVVGFTVVPGFKVGRTVMQCRVYLSRPARQP >OGLUM05G07100.1 pep chromosome:ALNU02000000:5:6700903:6709012:-1 gene:OGLUM05G07100 transcript:OGLUM05G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVLVAQAPAAQSMAQASRTSQALSSQAHARFISAKKVGRIMEEMNRMLNASKGPTPDLLQTQQKYIRVQRSFSAVWDYKQSMPLLRAHASSMSGDTGNNRARVNIYYEKATMPSHFFLSISRNQSEQQEAEPVEACSE >OGLUM05G07110.1 pep chromosome:ALNU02000000:5:6713394:6716226:-1 gene:OGLUM05G07110 transcript:OGLUM05G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPEVGRARPFLSRGIVESAINGIDFVRPDQTLAPSPVSPAAWCTHGAASPFYAATPSPLAAASPFFLCARRRRCILALLQPSSP >OGLUM05G07120.1 pep chromosome:ALNU02000000:5:6719505:6719861:1 gene:OGLUM05G07120 transcript:OGLUM05G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGIEKVSPRELEMAAVSSLSSRELHLRRHCSCLHASSGSAALPKRRRALSLVAASNTLTKVETVVGSSLQPPPRKPSLATISIIEKKHGIRKEDAINNILEVTPTYHRGLHRAPSP >OGLUM05G07130.1 pep chromosome:ALNU02000000:5:6723071:6723373:1 gene:OGLUM05G07130 transcript:OGLUM05G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIRPARDMDPNTMGHIAATVDASYSSGRWGAAPAEERPSSWTDEPSEEADEDRDWDGNAEAAGAGRLLFSPPPPAMAAATLLLLFLSASSDAVAEVGT >OGLUM05G07140.1 pep chromosome:ALNU02000000:5:6727447:6728850:1 gene:OGLUM05G07140 transcript:OGLUM05G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53330) TAIR;Acc:AT1G53330] MSGATAKFSSSYHLAAALRREPDPAAALRLFLSPTPTAAAGPSSSPAPPFRYSLRCYDIIVCKLAAARLFPAMESVLARLPSSLRPREPLLCRVISAYGRARLPAAARRAFAHPAFPAPRTARALNTLLHALLACRAPLPELLSECRGSGIHPDACTYNILMRAAVADSGSVDNACLLFDEMLQRGIAPTVVTFGTLVTAFCEAGRLEEAFKVKEVMSLQYNIRPNAHVYASLMKALCEKGKVDDAHRLKEEMVSNSEPLVDSGAYATLARALFRLGKKGDVVSLLEEMKEKGIKVGREVHNSMIAGFCEDEGDLDAAFVALGDMQKGGCKPDSVSYNTLVGGLCKMGRWRDASELVEDMPRRGCRPDVVTYRRLFDGICDAGGFSEARRVFNEMVFKGFAPSKDGVRKFVGWIEREGDAASLESVLCQLASVNALESSEWEKAMSGVLHDPAEQKIVKLLDNLRLA >OGLUM05G07150.1 pep chromosome:ALNU02000000:5:6731070:6736295:-1 gene:OGLUM05G07150 transcript:OGLUM05G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAAAGGAGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGETAENITGWGGGGKEFMKRWHATAGVDVEGSSKACSGFCKSHSLCNLLIACLIIVIVLPWLLHNHEHLPSLLSTKADRNGIR >OGLUM05G07150.2 pep chromosome:ALNU02000000:5:6729271:6736295:-1 gene:OGLUM05G07150 transcript:OGLUM05G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAAAGGAGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRHLSSV >OGLUM05G07160.1 pep chromosome:ALNU02000000:5:6730017:6751632:1 gene:OGLUM05G07160 transcript:OGLUM05G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTSCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM05G07160.2 pep chromosome:ALNU02000000:5:6730017:6751632:1 gene:OGLUM05G07160 transcript:OGLUM05G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM05G07170.1 pep chromosome:ALNU02000000:5:6738163:6741518:-1 gene:OGLUM05G07170 transcript:OGLUM05G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPEPMLLDAQPPAAVACDKKQQEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANSSQELISRLIPEHVRRQAAHNFFNTGS >OGLUM05G07180.1 pep chromosome:ALNU02000000:5:6743807:6744299:-1 gene:OGLUM05G07180 transcript:OGLUM05G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRSAPEPSAPTPSHTSSQGSTAMLGKRRLDGMDFSTMVLDLGAKRGSREDSNLKRPPPISSSGSVHSEGRFGQSIRHVGPT >OGLUM05G07190.1 pep chromosome:ALNU02000000:5:6752626:6755877:-1 gene:OGLUM05G07190 transcript:OGLUM05G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAAAGGAGDAGVVAHVVVDVDESCAAAADDDDRSRRPSTGCRICHLGGGGDGEMAAESGSGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGETAENITGWGGGGKEFMKRWHATAGVDVEGSSKACSGFCKSHSLCNLLIACLIIVIVLPWLLHNHVL >OGLUM05G07200.1 pep chromosome:ALNU02000000:5:6757745:6761100:-1 gene:OGLUM05G07200 transcript:OGLUM05G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPAPAPEPMLLDAQPPAAVACDKKQQEGEAPYAEGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRAMDHPNVISLKHCFFSTTSRDELFLNLVMEYVPETLYRVLKHYSNANHRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKALVPGEPNISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALDACAHPFFDELREPNARLPNGRPFPPLFNFKHELANSSQELISRLIPEHVRRQAAHNFFNTGS >OGLUM05G07210.1 pep chromosome:ALNU02000000:5:6763389:6763881:-1 gene:OGLUM05G07210 transcript:OGLUM05G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRSAPEPSAPTPSHTSSQGSTAMLGKRRLDGMDFSTMVLDLGAKRGSREDSNLKRPPPISSSGSVHSEGRFGQSIRHVGPT >OGLUM05G07220.1 pep chromosome:ALNU02000000:5:6767267:6771705:-1 gene:OGLUM05G07220 transcript:OGLUM05G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G58690) TAIR;Acc:AT3G58690] MSSGGGGGDDYKREESVALMVIVSLAALSLLSLVAAFAYYCYITRKVSRRLHSLDLPKHHRRSSSSSPPPMPPPLPPPPPSANAPTLGKESPSSNSASDGAAAAVVVGGERGAVQVFSYRQLHAATGGFGRAHVVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNGGSCGGISKLDWPTRMRIALEAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFRARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVQILDPALEGQYSLKDAVQVAAIAAMCVQQEADYRPLMADVVQSLVPLVKNRSTPKTCNPSVQA >OGLUM05G07230.1 pep chromosome:ALNU02000000:5:6778267:6793520:1 gene:OGLUM05G07230 transcript:OGLUM05G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEVNGLLCVGLHQTDGGDELHATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCTQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSVLITLHVGSGTETGNSSHDRIQFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHNLHKLEVSSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSYKEPLLDSLDNNQDISIQAGAEMHDCMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNEHNVSSELKEGNNGNGITTSISCEMEDRNIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >OGLUM05G07230.2 pep chromosome:ALNU02000000:5:6779659:6793520:1 gene:OGLUM05G07230 transcript:OGLUM05G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVIFSTIAPRTNTDARVLLFYSSVSLLSPLHLFPKDGRALKSQKLQMNQPEVNGLLCVGLHQTDGGDELHATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCTQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSVLITLHVGSGTETGNSSHDRIQFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHNLHKLEVSSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSYKEPLLDSLDNNQDISIQAGAEMHDCMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNEHNVSSELKEGNNGNGITTSISCEMEDRNIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >OGLUM05G07230.3 pep chromosome:ALNU02000000:5:6778436:6793520:1 gene:OGLUM05G07230 transcript:OGLUM05G07230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPEVNGLLCVGLHQTDGGDELHATECLKMNQGSSHETNKLDAVMSASTMHSDHNNANACVDDYQHTKMMAGIQPMEEVGFGCTQPFELQSQGIVPDSEEESLPSSPETSSTSNYDMPDLAEQNLEHIYNVLGEMVDKEGPVVLSPEYVMCGTTSHVEPRLTFSADGFKIEYWDSCENDEMAAQYWKISDITCIDCKWAQSVGSVLITLHVGSGTETGNSSHDRIQFCLIDSQWPRKQQNIWHLASRYQEIWNNIPSTEEFEDVIYPKGDHDAVSISKRDVELLLPETFVNDTIIDFYVKHLSTRIEPAEKHRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTHGDTNISAKIPCILHMDSLKGSHSGLKDIIQSYLWEEWKERHPESASDCSDKFLNLRFISLELSDDWFPPAEASLKRSLIRKLIHKLLKEPSQDFPKLVCCSEQLDKTHGSENAELEQMSENAEREQAKELPAQMCTDGEPDSVGTILETQQPSISTCFNDSDENGPPVSVHNLHKLEVSSANKDAIVCLSNHDEKNESPPADSYNHLDLRSCDSEEAETAKGSAGVVKDPNSYKEPLLDSLDNNQDISIQAGAEMHDCMDSKLCSISNNADLMASEERSLDKNTNENEEHNRTSEDIVESVMMLGGSKSDTELDAEPERTAGEAEVRNCDHSKDIDYIALGDINKDAAKQSLNRNIVEAEDIKCEGTLVDHTVVEDATPYNVNETSASADKINDNEHNVSSELKEGNNGNGITTSISCEMEDRNIDNLMVGDSRNGTDETRADGQEAHDNSATAETVPCEDNATTSITDAEMPHEDSTCSVKGEAISDNTASDAKRPLPDSTYIEDIPDDKCLQKDDGGGDEAKTERHYKRRKFLVSEATS >OGLUM05G07240.1 pep chromosome:ALNU02000000:5:6796184:6800797:1 gene:OGLUM05G07240 transcript:OGLUM05G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKQQQQQQTAAAATGVWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAAQVTKNMLANEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAIEKNDGKPLPLVQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPIAQRRNYKNAFHALYRIIADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKLGAGEVSTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKQIGI >OGLUM05G07250.1 pep chromosome:ALNU02000000:5:6801513:6802913:-1 gene:OGLUM05G07250 transcript:OGLUM05G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVM3] MPEKGTVVMSRYELGRSLGHGTFSKVYQARSLVSGETIAVKVIDKEKALRAGAGMVDQIEREVAVMRLVGRHPNVVRLHEVMASRSKIYFVMELVRGGELLARLVAGGGCLGEDAARRYFHQLVAAVDFCHSRGVYHRDLKPENLLVDDDGSGGGGNLKVTDFGLSALSASRRHDGLLHTTCGTPSYVAPEIIGDKGYDGATADVWSCGVILFLLLAGYLPFFDSNLMEMYKKITNGEFKVPDWFTPDARSLISRLLDPNPTTRITIDELVKHPWFKKGHTKRPASSNTMKLNEEEKPANAAMNMKPASLNAFDIISLSQGFDLSGMFCCHGHSSRTQDQLFVTGKPATAIVSRLEEIAETEHFTVKKKQKKRQEEDGMAVKLQGWKEGRKGQLAIDAEIFEVSPSCYVVEVKKTAGDTLEYQAFCNRDLRPSLNDICWTSPATAASEKNQLPAVSEVSPLSSPRN >OGLUM05G07260.1 pep chromosome:ALNU02000000:5:6813971:6814225:-1 gene:OGLUM05G07260 transcript:OGLUM05G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGIVETGIRARLRIAAVVARTRLFVNWLFIAGLYHGREFYPTAYIKDIDSSNDEDKSDDVAAREDMKLAIITTVGGINYINI >OGLUM05G07270.1 pep chromosome:ALNU02000000:5:6819156:6825126:-1 gene:OGLUM05G07270 transcript:OGLUM05G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKGELEQIALPAAVQKAAPPLADVPEVDLGGGACRADAARAVVAACEGHGFFKVTGHGVPAGLLARVEAAAAAFFAMAQPEKEAAAAAAAPPGSPFGYGSKRIGCNGDLGWVEYLLLGVAAAAAAPLPAHGEASPSPSYGSFRDILNEYVVAVRAMMWEVLKLMAEGLGLKEKDALVRLVSHEESDSVLRVNHYPPHPELKQQGHGRLTGFGEHTDPQIISVLRSNYSSGLEISLRDGSWASVPPDRKSFFVNVGDVLQVLTNGRFRSVRHRVMVSSPRPRVSVIFFAGPPPRERLAPLPWLVAEDGGRRRYREFTWREYKASAYRTKLAENRLCHFETEAD >OGLUM05G07280.1 pep chromosome:ALNU02000000:5:6847305:6852316:-1 gene:OGLUM05G07280 transcript:OGLUM05G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-mannosidase 3 [Source:Projected from Arabidopsis thaliana (AT1G30000) TAIR;Acc:AT1G30000] MSGSGGGGGSGPLPYSMRDVDGSGAYNNAKFRHRSRLKMASQALFTNSSKYQCGKFTVGKFLSLLMVSGVIYLLVHKSSDGFVSGELHEKVGNTHTKKDFPKIRTFWRKPPRLPPRLPPNEIYRNNSLLLQSPQSEWTLRQKKVKEAFEHAWSGYRNYAMGYDELMPLTRRGIDGLGGLGATVVDSLDTAIIMGADDVVSEASKWIEDNLMKKLSEKGQVNLFETTIRVLGGLLSAYHLSGGDKARGDSGIPMTSKRANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVVLRDRTAHAAPDGLSSTSEATTLQLEYSYLSTISGDPKYDLEAMKVLEHMRTLPTVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWVQQERYRDTSLKYLFEMYTEAMKGVKHLLVRKTIPNGLVFVGELPYGRNGGFSPKMDHLVCFLPGTLALGATKGITKKKALENHLWTAEDIDNLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNSEGGPDGGNKSSQYVNDIIIKPLDRHNLLRPETVESLFVLYRITEDPKYREWGWQIFQAFEKYTRVDSGGYTSLDDVTSLPPPRRDKMETFFLGETLKYLYLLFGESNILPLDKYVFNTEAHPLPIIQSAQQSSHSLLFIDSILHGYAVARRVSRGHSSAPQISSSTLNSDDDNPATETTRRRPRDDGDHGRQRRISLRRVLLAPRAVDAATAVVFAVVALAVRCGGRDDLEHSRSGLELGVLDAATAGAATFSSSMRHGRRDATVRHSGAQPSSASVWETATARSSYSPAVATLTTRNLRLTPAEETGRGEEETAA >OGLUM05G07290.1 pep chromosome:ALNU02000000:5:6856815:6857597:-1 gene:OGLUM05G07290 transcript:OGLUM05G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSSSVAAAPSGSNGTRRDGGSGSVTGCLPADQACFALSSSASSPGYLHASATTTRRDASATVARACCTTASYVVVLGISFGSLLAILLILCIIRWYLVWRSARPRRDDGAADEAVGSAKKRSAGLDDDAIAALPVFAYKQREEGGGGAVGAAEEEEEERECAVCLAVMADGEAARRLPRCMHVFHRGCVDVWLREHSTCPVCRAEVVVRPAGAARVEKLPESSASRALTSPVPAPAPRPTGTVVDDGRERDLEAQQ >OGLUM05G07300.1 pep chromosome:ALNU02000000:5:6861991:6864849:1 gene:OGLUM05G07300 transcript:OGLUM05G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nudix hydrolase 11 [Source:Projected from Arabidopsis thaliana (AT5G45940) UniProtKB/Swiss-Prot;Acc:Q8LET2] MRPLLARLFAPTYFAMGFSSSASPPSRRLAHLTRHLLLSSGELSSSVGAPAAAARPAYLAAPKGYAAVLVCLFEDPHGGDPRVILTKRAASLSSHSGEVSLPGGKVEEGDADATATALREAKEEIGLDPALVSIVTVLEPFLSKNGLHVTPVIGILSDKALFKPVLNESEVADIFDAPLEMFLKDDNRKTQEANWMGMNIPVQSFEYQSEDKTFVIWGLTAHILTRAAAVVLQREPSFVEFRPRYVNSPSGDTNETKR >OGLUM05G07310.1 pep chromosome:ALNU02000000:5:6865666:6867710:1 gene:OGLUM05G07310 transcript:OGLUM05G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCGGGGDGDGDGDGCKCRPLGWLLGLPFALLAVLVSIVGAIIWIIGLPISCICPCCLCVTLVLEAAVELIKAPLHVMTWFTSKIPC >OGLUM05G07320.1 pep chromosome:ALNU02000000:5:6867151:6880335:-1 gene:OGLUM05G07320 transcript:OGLUM05G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVENDFKTLSRENAESNTAATTHSIAASHEENASDSVSCSEQITREKTTGRILGPKQIKKL >OGLUM05G07330.1 pep chromosome:ALNU02000000:5:6880001:6884087:1 gene:OGLUM05G07330 transcript:OGLUM05G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMRAPAALLLLAAAMVVVVFAHAAAAQRYNAIYSFGDSISDTGNLCVGGCPSWLTTGQPPYGKTFFGRPTGRCSDGRVVVDFLAEHFGLPLPPASKGGGDFKKGANMAIIGATSMDAAFFKSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGNDCRSYLSKSLFVVGEFGGNDYNAPLFAGRAMTEVRDYVPQVVSKIIRGLETLIRMGAVDVVVPGVLPIGCFPIYLTLYGTSNGADYDRNGCLKSYNSLSSYHNTLLKRSLSNLQRTYPHARVMYADFYSQVTAMVRSPQNFGLKYGLKVCCGAGGQGTYNYNNKARCGMSGSSACADPANYLIWDGIHLTEAAYRSIADGWLKGPYCNPPILH >OGLUM05G07340.1 pep chromosome:ALNU02000000:5:6921732:6926236:1 gene:OGLUM05G07340 transcript:OGLUM05G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVAVLGLVWLAAAATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGKSILTSGYVSGHIYVSTLGRGDGPELIIVVGELEAEQSRYAGVSGQSNGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >OGLUM05G07340.2 pep chromosome:ALNU02000000:5:6921732:6926236:1 gene:OGLUM05G07340 transcript:OGLUM05G07340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRVAVLGLVWLAAAATVAMADPLPSYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSSPQECREFFSKALFVFGEFGGNDYSFAWKAEWSLEKVKTMVPSVVASMVGGIERLLDEGARHVVVPGNLPAGCIPITLTMYATEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDQLQRRRPDSRIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGATTCEDPDAHVSWDGIHLTEAPYRFIANTWIRGPYAHPPLASVVRDDMVY >OGLUM05G07350.1 pep chromosome:ALNU02000000:5:6931143:6931703:-1 gene:OGLUM05G07350 transcript:OGLUM05G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQSAGMKRLLRDIESRRTPPDHLAPITGRVAKKFSRPSSPFLAGAAGADEPIIKKGTPVSVRTRVGKIGAGLNRHLVLRLGAVVVSDADEDDGFLDVVYNVGFPPHDPFRPVRVSRDQVKVITPTAAVTNAAAPPPPPHPTKSKSNDGGPRPTVAGKSLRLLTKLERERAGAIYYSLVLRP >OGLUM05G07360.1 pep chromosome:ALNU02000000:5:6940043:6944017:1 gene:OGLUM05G07360 transcript:OGLUM05G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLTAAAAVVLCFLLHGAAASGDRPFPPRFTSIFSFGSSYSDTGNFVLQSAGLPSIPFNHSPYGDTFFRRPTGRPSDGRLPIDFIAEALGLPLVPPFLAKEANDFGGGGGANFAIVGGTALDVGFFIRHNNASVPPFQSSLRVQIGWFRSLLRRGGNATAAAAAERLATALFVVGEFGGSDYRYLLSGGKSLEQAKSFVPEVVRAICRGVERLVEEGARYVVVTGTLPAGCMPMELTKYAAAAAGAANASSTAAAAYDRRTGCLRRLNGLAQYHNWVLREAVERMRGKYPTTKLVYADFYKPVASLVRRPAKFGFTQQPLKACCGGGGPYNYNPGAACGSPGASTCGDPSAYVNWDGIHLTEAAYKYVAGGWLNGVYAYPSILSILAQ >OGLUM05G07370.1 pep chromosome:ALNU02000000:5:6944654:6954172:-1 gene:OGLUM05G07370 transcript:OGLUM05G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAALSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASENSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNGETTISHFHVKLGITQCLPVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWRETYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNADSTDGTKSALESLPDLKNVDSVAEPPLTEQEKVVSNGMDAPDELTGTSVNLDSTSHCEGEPSCADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQLSLLTTFYDILADQKSSTSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLRDITKDGTNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEREDVSKRSMGATNKSNRILSFSDSEADDNDRNTVSRGSQNSEAPKRRGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKITSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQTDQMLGEHNCNPKPETTRRKRLNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGLSPSTSQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIMDSMHRSGKKKRLLKSGFTANTQEHEEPLINIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRIIDDINITESGDMATSFADQKPGLKRRHKLVIDDDDD >OGLUM05G07370.2 pep chromosome:ALNU02000000:5:6944654:6954172:-1 gene:OGLUM05G07370 transcript:OGLUM05G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:timeless family protein [Source:Projected from Arabidopsis thaliana (AT5G52910) TAIR;Acc:AT5G52910] MDSAALSLTCAGLGGAEEDDDGAVVGYAKGEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQADRNLVITAVKVLVFLTMPVEPSSENVAQQIEYLWDLKAALTRNVAMAVIVSLLEDPLDHLERASFTEDDWKLVQLVLTLFRNILAIQEITLGQKASGEATQLLCLADSFLELMFQENVMDLILVLTQHMDEPSGYLQQENLLLLEIFHYLFLGRDPELIARASTAGSKDGSKSLCKGNPNSASENSLRKIRNVQRGPQKRIAWDNELLYTPREGTMEMIRNFLEQFLSGGYNGETTISHFHVKLGITQCLPVLMQSVCDDIVKEHHSIQKSDITTFFKVARFVLAFQHEKASNAQKSNAAVQLPEASPSDHLDDNLPFLGDICGPVAATLNEGMFNLVISRWRETYDSLKETHDYKTLSAAGSLMKNMIDMVYLVLKVLPDDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDTHKQPKSDLADLLGTIHIMLQLMEKLQARGALRVAKRTRKGRKKKASKNKIENTNLNAEKMEQSNADSTDGTKSALESLPDLKNVDSVAEPPLTEQEKVVSNGMDAPDELTGTSVNLDSTSHCEGEPSCADNGELKGNLIDEEDGTSDSSLDDQPPATSEVDFNVSRLISTLANNSVVQNICWLLKHYKSNSFRTNHYIICMLRRFCEDLELAPMLYQLSLLTTFYDILADQKSSTSKEYTNIVNFLSKIVRKMLRVMKKQPLLFVDVLFWKTRKECHCIDADALLRDITKDGTNKGGEIGSSKGWRGSINIADSLGDDEVDLVIPHEPYNADKDDDSSSGEREDVSKRSMGATNKSNRILSFSDSEADDNDSSIQIDKIRNTVSRGSQNSEAPKRRGRSIFSEEQEKLMRDLYEKYKDDRKCTHLIAEALDPSGKITSVQVSRKLTQLGLRNAMKRRTKVPEAPLSAQELATQTDQMLGEHNCNPKPETTRRKRLNVSHASYEDNTTDGKSSDEETLQAIKSRTKNKKVPLVGLSPSTSQHQDGLADPDSDDETIGSMLRSGKKKRLLTPDNAMNIEKHQESPDSTNTSNYSPEISQKQEALQDTYSGDEIMDSMHRSGKKKRLLKSGFTANTQEHEEPLINIGQDDETISSKDNLHHGLNSSNNSGGAGETELLDDFIEPELDNVENTEQRIIDDINITESGDMATSFADQKPGLKRRHKLVIDDDDD >OGLUM05G07380.1 pep chromosome:ALNU02000000:5:6960398:6964803:1 gene:OGLUM05G07380 transcript:OGLUM05G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRAPMPGGSSPLSDAFAAAALRDKPDSLPPGRLSPVSPLVHSRLPTPTSSSGSSGSAPPRPVRRGGDYHGVGEGFGPLIYTSCSSSAGGGGGGGASTATSALAAGAFPAGNICPSGRLPTTPPVPPPRAARRDVLGSGTGNYGHGSVVRARCAAPVSSSEDHASAVRMSAAASAEAEEVRRAGNEQYKNGCFEEALRLYDLALALCSDSAACRANRAAALIGLSRLGEAVAECEEAIRLDPSYGRAHQRLASLQIRLGRTADARKQIGMGGLQPDVVELHKLEAVEKHLGRFADARKIGNWKSALRECNAAIAAGADSCAMLFASRAEALLQINQLDEADLAISRASKLDCSSSCSQDMMLCGFQSNSYLYYVHAQVDIAFGRFDSAMSSMEKARKIDSGNVEVMAMHKNVRTVAQARTLGNELFHSGKFAEAFLAYGEGLKHHPANSVLYCNRAACMFKLGQWEKSIEDCNEALKIQPNYWKALLRRAASYGKIEQWADSVKDYEVLRRELPGDTEVAEGHFHALVALRSSRGEDVSNMKFGGEVEALVGAEQFQMATTLPGVSVVHFMAPLNQQCSDIAPFVDALCTRYPSVNFLKVDITENPTVTQLENVKTVPTFKIYKDGTRVMEMICPSHQLLESSLRQYEV >OGLUM05G07390.1 pep chromosome:ALNU02000000:5:6965405:6985688:-1 gene:OGLUM05G07390 transcript:OGLUM05G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTAALLKEADDKFEEFQKQVRKEVEEKGYYEVGMDFFVQRAASRALFDEQWAKIDFTGIDVEEDDDDETRPLFLVRQKTVSWGNWTVPCEIH >OGLUM05G07400.1 pep chromosome:ALNU02000000:5:6985775:6985963:-1 gene:OGLUM05G07400 transcript:OGLUM05G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAGKGAEQACAAAAAAAAAATDGDDGGERPPRMIRMPQEYVDWVLAQKREAYYESPED >OGLUM05G07410.1 pep chromosome:ALNU02000000:5:7010963:7012288:1 gene:OGLUM05G07410 transcript:OGLUM05G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKGRPRVKTVVDKAKDKRNLQMLTLWGDRSYMHPVSQLPSPNKHLTYDKTAKEKAPSAMHPYQAKFDSNQSKTSKWLRPCLREAIPIMPLPIGGTQLPRGVAVRSLQCRAIDRGADFMVELR >OGLUM05G07420.1 pep chromosome:ALNU02000000:5:7012996:7015000:-1 gene:OGLUM05G07420 transcript:OGLUM05G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTIGTIWLFLAQLFIIATILSKIATRERTRTTSTKFSRPPPPPMARGAPLVGVLPSLLAKGPVAFIRHHYEKMGSVFTVSLLQQKVTFLVGSEAASHFYKGLDSEISQDEVSQFTIPTFGPGVAFDVDYATRHEQFRFFGDIMKPAKLRTYVDLMVAEVESGTVNMKQEFEQLVTLIASRCLLGEEVRDKMFDEVSTLLRELNDGMRLVTILFPRLPIPAHRRRDMARARLGEIFSDIVRSRRGSSVGGGGGAPHDDMLQCLIDARYKDGRATTETEVAGMLVAALFAGQHTSSSTSTWTGARLLTNPDHLRAAVEEQARLLRRHGGDRVDHRCVKETLRLHPPALMLLRHARRSFVVRSEDSGGGEREYEVPEGHTVASPLLLHNALPRVYRDPGEFDPGRFGAGREEGAGGLAYTAFGGGRHACVGEAFAYMQIKVIWSHLLRNFELQLVSPFPETDWTVIMPGPKGKVMVTYNRRKLT >OGLUM05G07430.1 pep chromosome:ALNU02000000:5:7031977:7032261:-1 gene:OGLUM05G07430 transcript:OGLUM05G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKPMTVKYIVTRFVEADAAEFKSVVQSLTGKDSTAATASPEEEGSRRRRTGHHRHVVPPPAPRRWLRRNVDDGFLVDVMPSIEEMDEFLRD >OGLUM05G07440.1 pep chromosome:ALNU02000000:5:7039908:7040533:1 gene:OGLUM05G07440 transcript:OGLUM05G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRALLQTKIFYGSNKKQLPAAAGVVRVKVVLTREQAARLVSLAGERRRRRTVAQLVRELRRDGGRRRPCRRLAGDGVEAGARDHLRGVVGFRGEIRETYYMVNWSWTGGYNVHHAGRRKKKETRGYVVNLSRRMKTLSHHAVVLATEY >OGLUM05G07450.1 pep chromosome:ALNU02000000:5:7045365:7047833:1 gene:OGLUM05G07450 transcript:OGLUM05G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAALPGKLPRQPPPPPPPPSPPNSTFPRYPRSLAAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRKLLRGDLLDRDAVVANSLLTLYSRCGAVASARNVFDGMRGLRDIVSWTAMASCLARNGAERGSLLLIGEMLESGLLPNAYTLCAAAHACFPHKLYCLVGGVVLGLVHKMGLWGTDVAVGSALIDMLARNGDLASAHKVFDGLIEKTVVVWTLLISRYVQGECAEEAVELFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGLASDACVSCGLVDMYAKSNIGQAMDYANKVFERMPKNDVISWTALISGYVQSGVQENKVMALFGEMLNESIKPNHITYSSILKSCASISDHDSGRQVHAHVIKSNQASAHTVGNALVSMYAESGCMEEARRNTTHEFRAGDTSHPRAQDIYGKLDTLVRQIKGMGYVPDTSIVLHDMSDELKEQYLLQHSEKIAVAFGLITTSAPKPIRIFKNLRVCADCHSAIKYMSKATRREIILRDSNRFHRMKDGECSCGEYW >OGLUM05G07450.2 pep chromosome:ALNU02000000:5:7045365:7046565:1 gene:OGLUM05G07450 transcript:OGLUM05G07450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAALPGKLPRQPPPPPPPPSPPNSTFPRYPRSLAAHPALSSSHPSDVSALLAAAARAGDLRLGRALHRKLLRGDLLDRDAVVANSLLTLYSRCGAVASARNVFDGMRGLRDIVSWTAMASCLARNGAERGSLLLIGEMLESGLLPNAYTLCAAAHACFPHKLYCLVGGVVLGLVHKMGLWGTDVAVGSALIDMLARNGDLASAHKVFDGLIEKTVVVWTLLISRYVQGECAEEAVELFLDFLEDGFEPDRYTMSSMISACTELGSVRLGLQLHSLALRMGLASDACVSCGLVDMYAKSNIGQAMDYANKVFERMPKNDVISWTALISGYVQSGVQENKVMALFGEMLNEIFLTTIQADRFMLMLSNPTKLLHILLGML >OGLUM05G07460.1 pep chromosome:ALNU02000000:5:7048954:7051503:-1 gene:OGLUM05G07460 transcript:OGLUM05G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT4G23840) TAIR;Acc:AT4G23840] MKAKRRVDTRHVRASASTILQPLAAGDESIRIQSPLSLHPLLPLSLRRGDAVLPMASASASAGGETPLVDRCIDAAAGGAATVEAWRRQRRSLERLPAQLADALLRRLAARRLLFPSLLEVFQHSVEEIDLSGHIAVDAEWLAYLGAFRYLRVLKLADCKNVNSSAVWALSGMSTLKELDLSRCSKISDAGIKHIASIESLEKLHVSQTGLTDNGVMAISSLINLRLLDLGGVRFTDKALRSLQVLTQLEHLDIWGSEITNEGASVLIAFTSLSFLNISWTRVTCLPILPTLRCLNMSNCTIHSICNGEFQVLIHLEKLVISAASFGNIDEVFSSILPSSLTYLDMSSCSSSNLYFLGNMRNLEHLDLSYSRIISDAIEYIANIGMNLMFLSLSNSEVTSQALCVLAGTVPSLTTLSLAHTKIDDSALLYISMMPSLRILNLSRTCIKGFMMENSVKVLSLSALEELKYLESLNLNNTQLMDDVIPPLASFRALKYLFLKSDFLSDPALHALSSASNLIHLGFCGNILSTTGLRKFVPPATLRMLDLSGCWILTGDAISAFCTCHPVIEVRHELIQELQANYGGTSHLHKSSRQPQQVKAKVAKSLAGPSRLADICFVDERIKYSKEEMMELQHQAKSNSSMNVAQLPPELRRSV >OGLUM05G07470.1 pep chromosome:ALNU02000000:5:7078573:7080673:1 gene:OGLUM05G07470 transcript:OGLUM05G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVP8] MAKISGAHGAATILLGLLALASIANLCFWPGTDHDYGMALRKSILYFEAQRSGVLPPNQRVSWRANSGLFDGNASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKQMAAAGELRNAMDAVKRGTDYFIKAHPEPDVLYGEVGDGDSDLSCWERPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAPASIVFRGTYPDYANLLLVHSKQLFEFADKYRGKYDASITVARKYYSSGYMDELLWAAAWLFDATEDRFYLEYLAGNGEALDGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVAKGAANVARTPGGMMYHRRWNNLQFVTSASFLLTVYADFATMSGHGAVRCPAGAAQPFEILNFVKSQVNYILGNNPRGTSYMVHHRGASIKRDPSLVNCKEGYSRWYVRKAGNPNLVDGAVVGGPDEHDGFADERSNYEQTEAATYNNAPLVGVLARLAASSGGLLMEEDDQSLTRS >OGLUM05G07470.2 pep chromosome:ALNU02000000:5:7080674:7081472:1 gene:OGLUM05G07470 transcript:OGLUM05G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVP8] MKRRRVCFTVPARGFKQRVKETDGDTEHSCWQQPEDMTKSRQAFRVDPQHLDLATETAPTFCVDPQHPDRATAHTGASPISSSYTPRHARKDNILTGKSRMWEGSWREQEETF >OGLUM05G07480.1 pep chromosome:ALNU02000000:5:7096967:7099420:-1 gene:OGLUM05G07480 transcript:OGLUM05G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVQ0] MAKNGGAHGSATLFGLLALASMVKLGFVAGGGHDYAMALRKSILYFEAQRSGVLPPNQRVSWRASSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMTTSRQAFRVDPQHPGSDLAAETAAAMAAASIVFRGTYPGYANLLLVHSKQLFEFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLFEATEDRSYLEYLAGNGEALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGDHAAALQRYRQNAEFFVCSCVGKGAVNVARTPGGMMYHQRWNNLQFVTSASFLLTVYADFAAISGRGAVRCPAGAAQPFDILKFVKSQVNYILGDNPRGTSYMVGYGASYPRQVHHRGASIVSIKRDPSFVSCQEGYSSWYGRQAGNPNLLDGAVVGGPDEYDDFADERDNYEQTEAATYNNAPLLGVLARLAASCGGLKEEEYEQETATPVVNRTSSSSSASSLPATTAIAIEQNVTRTWARRRTTYYRYAVTVTNRSRRKTVRELHLGVSGLRGRLWGLEEARYGYVPPRWLPALRPGRSLRFVYVQPASTPANVWVTGYKLV >OGLUM05G07490.1 pep chromosome:ALNU02000000:5:7114370:7120300:-1 gene:OGLUM05G07490 transcript:OGLUM05G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSQDVESKAKVHPLVQGDDEIKGEQPNTDAPLGDSDSLSAASNDNKRVSREDIELVQNLIERCLQLYMNRGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKKQINLFNHLLEHQYHLMKYPVPQQVPLTPTQNGIRPMPVNNLPMGYPVLQQPGIPAPVQPHVNSMSCGPPGCHVVNGIPAPGGYNPIRMSSGNGMTENEVPGTAHAGAMSSEMAVSPSSAMSSNHVSFTPDISGMDVDASTVNATFGDDLGNGGPLQIGPNGGDSSSLGQQIWDFSLSDLSADLTNLGDLAALENYSGNPFLPSDSDIFESPDDDIVEYFADAINGPSQSDEEK >OGLUM05G07500.1 pep chromosome:ALNU02000000:5:7141860:7144066:-1 gene:OGLUM05G07500 transcript:OGLUM05G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTATAAVVDSRPCTQHAEGPAAVLAIGTANPANIVYQDGFTDYYFGLTESEHLTELKDKMKRICHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLIACAELTMICFSNPDESKIVGHGLFGDGAGAIIVGADPLVDGERPLFEMVLASQTMIPGTEHALGMQTTSNGIDFHLSIQVPTLIKDNIRQCLLNTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDELRRRREKEGDEHQQPEWGVMLAFGPGITIEAMVLRNPLS >OGLUM05G07510.1 pep chromosome:ALNU02000000:5:7148207:7159685:-1 gene:OGLUM05G07510 transcript:OGLUM05G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDDKLIREHPEIIDKHMPSLETRVDIVSTEVPKLAESAARKAIAEWGRPTTDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVDEERPLFEMVLASQTTIPSTEHALGMQTTASGIDFHLSIQVPTLIKDNIRQCLLDTFRSVGNMDPNWNDLFWAVHPGGRAILDNIEGELQLQPAKLAASRHVLNEYGNMSGTTIAFVLDDLRRRREKEGDQHQQLEWGVMLAFGPAMPGTATAAVVDSRLCTQHAEGPAAVLAIGTANPANIVYQDRFADYYFGLTKSEHLTELKDKMKRIYIVTTEIPKLAESAARKAIAKWGRPATDITHLIFITYSGCNAPSADLKLASLLGLNPSVSCTILSLHGCSGGGRALQLAKELAENNREARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVNAERPLFKMIVASQTTIPGTEQALGMQTTGSGIDFNLSIQVPTLIKNNIRQCLLDTFQSAVHPGGRAILDNIEGELQLQPAKLAASRHVLSEYGNMSGTTIAFVLDDLRRRREKEGDEHQ >OGLUM05G07520.1 pep chromosome:ALNU02000000:5:7170124:7171514:-1 gene:OGLUM05G07520 transcript:OGLUM05G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSRLCKQHAEGPAAVLAIGTANPTNIVYQDGFADYYFGLTKSEHLTELKDKMKRICHRSGIEKRYIHLDEKLIREHPEIIDKHMPSLETRVDIVTTEIPKLAESAARKAIAEWGRPATDITHLIFSTYSGCSAPSADLKLASLLGLNPSVSRTILSLHGCSGGGRALQLAKELAENNRDARVLVACAELTLICFSNPDESKIVGHGLFGDGAGAIIVGAGPLVDGERPLFEMVLASQTTIPGTEHALGMQTTCSGIDFHLSIQVPMLIKDNIRQCLLDTFRSVGNMDPNWNDLFWVVHPGGRAILDNIEGELQLQPTKLAASRHVLSEYGNMSGTTIAFVLDDLRRRQEKEGDEHEQPEWGVMLAFGPGITIEAMVLRNPLS >OGLUM05G07530.1 pep chromosome:ALNU02000000:5:7178783:7179466:-1 gene:OGLUM05G07530 transcript:OGLUM05G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGRRDPNSRRTSGGSRQGGQWRLGPEAVVLHALAVGGPRAIAVMSDYRKCRRAAASRLAGVVTKSAVKAVAEEDRREKKRSSPWTSAAAVSSYERAHRPLPLPWKRR >OGLUM05G07540.1 pep chromosome:ALNU02000000:5:7200425:7202167:1 gene:OGLUM05G07540 transcript:OGLUM05G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWSLVRSFDQPQRYKPFVSRCVVRGGDLEIGSVREVNVKTGLPATTSTERLELLDDDEHILSVKFVGGDHRLRNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTLPLEQ >OGLUM05G07550.1 pep chromosome:ALNU02000000:5:7205373:7205867:1 gene:OGLUM05G07550 transcript:OGLUM05G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPAGESAGIEAAVVGAARVAEDDGSGARMEAAPVGAVRAVEASGSERATANNNEAVVSAADNGRRWQRRQRTTTPPPSYPYVLSLSVHDTRLRAGGHDEALPRWPLYHLVSHLTGAAAPFTPLPLIPSSLSSALKRARRQGDRNQRWMLAATSGRLRRRSP >OGLUM05G07560.1 pep chromosome:ALNU02000000:5:7215365:7215949:-1 gene:OGLUM05G07560 transcript:OGLUM05G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAICLAVFFLLAVISSAASDDPLTTVQQPISQVSHGATMEEKRSSPVKQDEGEEKAASKAVQAGDRRDGELDVGRGSGQDAEHHRGMEIKKLDNDDDDGSDWDSVDDSDSDHDSDSDHDHDSDSDHDSDFDRGSDSDHDSDSNHDIDGDDNNDDDDDDNNDDEHKTNKTMSKKKKRSASGGRRVGPGGNKN >OGLUM05G07570.1 pep chromosome:ALNU02000000:5:7226318:7235308:-1 gene:OGLUM05G07570 transcript:OGLUM05G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPAAWIEAGRPHESVNVGDEAAQGRDVEESVNRCYRSMGPLLHCRGGAGWLSSSRSCSRAAAAAFELLLPQSSRCRSLRSAAAAFKPPPPPPPPKARSGDLKAGSGEEGHGAAVVKPPPVPSSCRWGGRAAELGRNRAMKAELREPAASEGGQRGGRPATHCRRRPPLGPPRRPAPSPPFDPPLAPPPFGLPRHPASSPPLKPPSAPLSLEPGGPTVCTTPSPDAVASARPASSAAASAAAQNSPDPLRISSLQQPCLGEFAVRPSSPQLATAPWSNRRGAAAAQKGIGRGQRKEESMEKKPHGRAELAAERKE >OGLUM05G07580.1 pep chromosome:ALNU02000000:5:7241641:7247486:1 gene:OGLUM05G07580 transcript:OGLUM05G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41850) TAIR;Acc:AT5G41850] MRCRGRGVEEFRDRNRKESARDSGALLGEGRRWEVTTVFILPYPRGKPGNRGYRRWKDMVKDALDAIEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAVAKHPGHPLVLMGKSMGSRVSCMVADSDDIIVSAVICLGYPLKGVNGAVRDETLLKLKIPTMFVQGNKDGLCPLDKLEATRKKMNCKNELHVIDGGDHSFKIGKKYQESTGVNQQAAEMEAVKAIAKFVQNSIAGT >OGLUM05G07590.1 pep chromosome:ALNU02000000:5:7246224:7248224:-1 gene:OGLUM05G07590 transcript:OGLUM05G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVR2] MLLYSAPILTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENLTVCSISSLGVLFEGTFISIYVWFAPRGKKKQVMLMASLILAVFCMTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGRDPFIATPNCIGSIMGILQLVVYCIYSKCKEAPKVLHDIEQANVVKIPTSHVDTKGHNP >OGLUM05G07590.2 pep chromosome:ALNU02000000:5:7246224:7248224:-1 gene:OGLUM05G07590 transcript:OGLUM05G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVR2] MLLYSAPMYPTTCLYIISYTMFAQYIMHCLTLVFTMFPRLTFKRVIKKASVEEFSCIPYILALFSCLTYSWYGFPVVSYGWENLTVCSISSLGVLFEGTFISIYVWFAPRGKKKQVMLMASLILAVFCMTVFFSSFSIHNHHIRKVFVGSVGLVSSISMYGSPLVAMKQVIRTKSVEFMPFYLSLFTLFTSLTWMAYGVIGRDPFIATPNCIGSIMGILQLVVYCIYSKCKEAPKVLHDIEQANVVKIPTSHVDTKGHNP >OGLUM05G07600.1 pep chromosome:ALNU02000000:5:7254187:7255081:1 gene:OGLUM05G07600 transcript:OGLUM05G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRSPDAVTCNAAHTDGKFTDEIYHGGSFVSYGSSKAYIDEKMIFKQCLGMTEGKFEGILVTQRKDSDDTIAGVYWEDVVAHPVSQLPKVEFLKMFLQT >OGLUM05G07610.1 pep chromosome:ALNU02000000:5:7270061:7274205:1 gene:OGLUM05G07610 transcript:OGLUM05G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRRWWWWPWATPPPFSSSASRHHKGEGWGLGGPALVKAVGWLLLAGLLFRVLCSFPSSTSSPEISEGKCNLFDGEWIPNPSGPAYTNKTCRFIDGHQNCMLNGRPDMSYLHWRWKPYECELPQFDEVRFLSAMRNKSWGLIGDSILRNQVQSLLCLLSKAEEPIEVYHDKEYRNRRWHFQSYNFTVSLVWSPFLTKSEVFENENGQSTSEIQLHLDILDPIWTSQYETFDYVVIAGGQWFLKVAVYWENDRVIGCHYCQDKKLRELGFEHLYRRTLQQVFRFIASSNHKPAVLFRTWAPDHFENAEWFNGGTCSRVLPYKKGEYSGKDMDRIMRPIELEEFRNAMAALGGSRNSANLKLLDTYSISSMRPDGHVGPYRYPFLKGDKDAKSVQHDCLHWCVPGPIDAWNDLVMKMVLG >OGLUM05G07620.1 pep chromosome:ALNU02000000:5:7296403:7297460:-1 gene:OGLUM05G07620 transcript:OGLUM05G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAALLLLLVAAAGASHAASPAEMYWKIALPTSPMPGAIRDLVNPASSAASVFFLEKDLFPGTKMTIHFTHATAGAALLSRGRADSVPFASEKLPEILSQLFIPAGSPTADAMRSTLAECEAARIAGETTKHEHYCATSLESMVEFVASSLGTRDVHAVSTEVISTLTPTPRQAYRVEAVRPVPVPGGDMVACHRMPYAYAVFGLHGLKGAAYTVTLAGTDGTMAEAVAACHGDLDGHGVAVAEAYERLGVAPGSVAVCHFLPQDDMLWVRN >OGLUM05G07630.1 pep chromosome:ALNU02000000:5:7325701:7326054:-1 gene:OGLUM05G07630 transcript:OGLUM05G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSCFSSVLASLRGGAAAAAEDREAAANLEEEVGALERALAVAVAERAAVEARRLDAEARADDAEARVLAAAEERDARVEELVLAAAEAAAKRRQIRVLELQIQAVNNMTSKFRWY >OGLUM05G07640.1 pep chromosome:ALNU02000000:5:7333642:7334100:-1 gene:OGLUM05G07640 transcript:OGLUM05G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTLAECEVAPQAGEAKRCATSLESMVEFAASSLGTRDVHAVSTEVDRAGPTPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTVTLAGANGTKAEALAACHTDAAPRVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >OGLUM05G07650.1 pep chromosome:ALNU02000000:5:7361579:7363051:1 gene:OGLUM05G07650 transcript:OGLUM05G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVR8] MGGGRGGAPATASARAPRPHQPRVLLLCSPCLGHLIPFAELARRLVADHGLAATLLFASATSPPSEQYLAVAASVLAEGVDLVALPAPAPADALPGDASVRERAAHAVARSVPRVRDVARSLAATAPLAALVVDMIGAPARAVAEELGVPFYMFFTSPWMLLSLFLHLPSLDADAARAGGEHRDATEPIRLPGCVPIHAHDLPSSMLADRSSATYAGLLAMARDAARADGVLVNTFRELEPAIGDGADGVKLPPVHAVGPLIWTRPVAMDRDHECLSWLNQQPRGSVVYVSFGSGGTLTWQQTAELALGLELSQHRFIWAIKRPDQDTSSGAFFGTANSRGEEEGMDFLPEGFIERTRGVGLLVPSWAPQTSILGHASIGCFLTHCGWNSTLESVFNGVPMIAWPLYAEQKMNAAMMEVQAKVAIRINVGNERFITNEEIANTIKRVMKGEEAEMLKMRIGELKDKAVYALSKGCSILAQVTHVWKSTVG >OGLUM05G07660.1 pep chromosome:ALNU02000000:5:7363139:7378660:-1 gene:OGLUM05G07660 transcript:OGLUM05G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKSSKPERSSSQPTHDAVVPASASGGAAASCEAGRTPSSGGLPLSAAASSEKRPGSGGGGELPGWKLDCLCRESGMSAACK >OGLUM05G07670.1 pep chromosome:ALNU02000000:5:7370681:7378863:1 gene:OGLUM05G07670 transcript:OGLUM05G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVHLPNLAGARRPSPCGGHRRARGGARFSVSAAAPGGGVKEEEEEEEEEKGTRGKERIVIRVSDPVRERRLPPPLFSSPDAPSPAAGRRRRKGEDEDDGEDRRRRYHVNVGDAIRALREELPAAFYREPSFHIYRDDIVFKDPINNFTGIDNYKRIFWALRFTGQIFFKALWIDIISIWQPVEDVIMIRWIVHGIPRVLSDGPGRFEGTSEYKFDKNGKIYEHKVDNVAKNTPTKFKVLPVVELIRSLGCPSTPKPTYFETSSLQLISLLPFWFKLTWMRCYLSLYLILANLSKG >OGLUM05G07680.1 pep chromosome:ALNU02000000:5:7379813:7385652:-1 gene:OGLUM05G07680 transcript:OGLUM05G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNIVTSVGIIMVNKALMATHATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKAIAERGICFSSHTTAMGGQVQFASTHELSLGANGLSQTIVEDHVYSIHRVSYLLHSKIYITIW >OGLUM05G07680.2 pep chromosome:ALNU02000000:5:7380734:7385652:-1 gene:OGLUM05G07680 transcript:OGLUM05G07680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSKAERKAALDAGAWMFNIVTSVGIIMVNKALMATHATTLTGLHFATTTLMTLVMKWLGYIQPSYLPVPELIKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILFDKVRYSRDTKLSIMVVLVGVAVCTVTDVSVNSQGLIAAIIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPAQAASLLVLGPFVDFWLTNKRVDTFNYTAIVTFFIILSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLTLGFLFFGKEGLNFHVAIGMILAVIGMIWYGNASSKPGGKERQVYSAPSEKTQKHNILSSQSELDQKV >OGLUM05G07690.1 pep chromosome:ALNU02000000:5:7395992:7401161:1 gene:OGLUM05G07690 transcript:OGLUM05G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPYANQTFFGLEWITMSLKKLKAPMMAMAGAITVHDLMACPAQWELYPFPPRISTMMTANVMSGRCLRFFEEQGSNTSCNETKEEEETNNKKEHQDLGDNDEHQQKTKLVTITVSSPSPLLW >OGLUM05G07700.1 pep chromosome:ALNU02000000:5:7412383:7413793:-1 gene:OGLUM05G07700 transcript:OGLUM05G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKKLETITMELFSKSGWNGRGRLQPYLALRETLVRVRFCPFPHKSHRPQHSAATTSTRGRAPTQAAIALASAPPLPLQPANERACCCRRQQPTHSCAAAFSPICAAAPEDEEDDSDKDDRKEEAVELGDAAHRLRVEVREANEHPRRVRPPREALRQHAVRDGVRVRRAAYVERSFLVESPPGSPPSTGDRHGLMQVELLRPVAAAEEEGFKVRVLDKCGETWEEADDIGDVVVVVDASGAGAASTRKCPRLRLSTMYFAVDPTGETRMCTYSLAAAGSYVSPTSPAVASGHAGRLSHPFPPTSSRPLLAAHCHARVAPPLPSSRPSASPRRAASARKPSHPYGPQIQGHTCLYLVFLSPNPQEIIK >OGLUM05G07710.1 pep chromosome:ALNU02000000:5:7418604:7423835:1 gene:OGLUM05G07710 transcript:OGLUM05G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMETVEKIISTGINIHGATNLEDDLSCLRASLPNARLVINRGEWGRFKNKDLAVLLTQLKDTTYDTEDLLRKFDDQVLRQKMEDTDRSRAGQFFSSSLYRAKNLICGSKTRIKDAQDKLDKAVDDLERALKPLGLKMEKVQHMPETSSVIGVPQVFGRDKERDLVIEKLGVCSMIGCDNEQDQMIESLHVPLTRSGGAARAKGKRAAVGTVATSASRAKQLKRESIRARPRLAQAKFVSNVSVLPIVSIGGVGKTTLAQFIYNDPRVEAHFGKRIWVCISDLFNKKRITKEIIESITRKEYKSSNSLDALQVELRKQLRRRKFLLVLDDMWPNAKDEWETFFAPLRYGFEGSMILVTTRSPDVANLVASNNCNPFRIEGLDRDIFWEFFKKCAFGKQCPESYPQLHDIGRSIASRLCGSPLAAKTIGRLLNTELTVQHWKTVQNKELWELPNRDNDILPALQLSYLHLPQELKSCFAFCSMFPKGYSFERDEIVGMWVAQGFVAPEGSMRLEDIGIRYLDDLRGRFLLQTDTNCLDQSRYVMHDLIHDMAQSISVDKCFLMQDLSYQNQRRMPHAVRHMSVEVDSESLSQTRDIQYLNKLHSLKFGTILMFEITWFNQLSNILFLSLKGCMLVRLPDSIGELHSLRYLDISRSHVQELPEKLWCLYCLQVLDASSSSLEVISPDVTKLINLRRLALPMGCSPKLSEISGLGNMSLLRNLIHFTVGIGNGRKISELKGMNQLSGTLTISSIYNVKSKEEAAEARLIDKQYLQALVLLWRDQPVPRVMNDENGVAEGSPPCSSPSTRRRLSGSSAKPDGRKDGGGGTLPSRGCPAAGDTGGFSAAADRRRWRPDGRRSGSAHAGCGSAFPGARSSLPAVRSGLGDPNDGGPRGDGGKRRRTVVERWQAAGGWRNGRERPAGSWATAATAMLLGPTRYGGVAQETHGDDGNSRQLAGGAAPAGWPAGDTAQGGRQRLLAKVAAGQPAGGVVASWLAGGGGGSAHRGAAEAVAVRGGAAEVAVRHDKALLAWRRWPAQQEERPVEVQPVAVRGDWPVGGTGAVMPTRWRKFRWRWSNGALVVDRQAVDGGRKPSPALARSATMAFKRHSPPEGVVGPSQPPSVAAR >OGLUM05G07720.1 pep chromosome:ALNU02000000:5:7424176:7425679:-1 gene:OGLUM05G07720 transcript:OGLUM05G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWSSSTGDGRRAKERRRQASAAGGAATAGELRRSGGGGRRVYTEQTQKLIIRAHSYTYEYLRKIGPGYLKIDEVTTSVSLLTGQN >OGLUM05G07730.1 pep chromosome:ALNU02000000:5:7425776:7426243:-1 gene:OGLUM05G07730 transcript:OGLUM05G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPRAAAVTTAIAAFSSAAVAAPFALPLPRTGPRAPSAPSSPLPFSAHRNHRRSSHFPEEATAAAAASFLRVQQLCCHHSSLPPQVAAAPPCDRARRGVGAGGRRRLFGAFRRLRVRWLVALYRRSLRRLRAYYTKAVQDFLEGATAMSTLHS >OGLUM05G07740.1 pep chromosome:ALNU02000000:5:7432249:7432537:-1 gene:OGLUM05G07740 transcript:OGLUM05G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIPFAAIVVAVLSFAIAAAAQAPAPSPTSDGTSVDQGIAYLLMIVALVLTYLIHPLDASSAYKLF >OGLUM05G07750.1 pep chromosome:ALNU02000000:5:7448118:7448527:-1 gene:OGLUM05G07750 transcript:OGLUM05G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAEGYRKNMNLLRDTQKMWENFHNMGDLPLGPISTKSFVFFTNQVPGALMEWSPCVIVSRRERAVAEAEPCRLALLHGDADSERQLLGRCGQIEKDVQASCEPDGGRLLAGGGQN >OGLUM05G07760.1 pep chromosome:ALNU02000000:5:7449286:7450361:1 gene:OGLUM05G07760 transcript:OGLUM05G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKINYDGSGSQPREPANAASPAEMYWKIALPTSPMPGAIRDLISPAPGKVAVCHFLPQDDMLWVRN >OGLUM05G07770.1 pep chromosome:ALNU02000000:5:7454672:7458953:1 gene:OGLUM05G07770 transcript:OGLUM05G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLAALLLLLVAAAGDSHAASPAEMYWKIALPTSPMPGAIRDLINPASSAGSASKEDTVGNVDRAGPAPRQAYRVEAVRPVPVSGGDMVACHGMAYAYAVFGCHTTTAAAYTVALSGADGTRAEALAACHADAAPGVAEAYKRLGVAPGSVPVCHFLPQDDMLWVRN >OGLUM05G07780.1 pep chromosome:ALNU02000000:5:7474597:7474848:1 gene:OGLUM05G07780 transcript:OGLUM05G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVIAMRAADLAVGWVWASPCPQNLKEAGLLPPSSSSSRCCGGGRPSASFLFSPDVAESDSLLPPRQATVVESFIYVELPL >OGLUM05G07790.1 pep chromosome:ALNU02000000:5:7477159:7477857:-1 gene:OGLUM05G07790 transcript:OGLUM05G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEENFVDDDADVKSMSSSSSLSSLFDLDLTAFGDRWVVSGGKEEVDFAGADDGGGGAVNVASDEDEDGRGGGVAAALGPAARLRELLLRKLRKPKAAGGGGAVSPEGQSGRFLAKVRADSMPRLEARAIAGGEEERRAATTTNPKEAARKYLNKIATSLARRRGGPDPAAMVVAAPTTTGKTKTSRSSMAAAPPPRRGTDGSAQHLQDGIESAIAHCKLSLRTATAEPS >OGLUM05G07800.1 pep chromosome:ALNU02000000:5:7484858:7489968:-1 gene:OGLUM05G07800 transcript:OGLUM05G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHNAVATPHLVGPHHVPAVTAGASPSTPSTHPSPKSPASRSSGGGGSGVSTATVVGVAVGGLVLLLLASFICLCCLRKKRRRPPPPQQHYVYPPPPPQYKEDAYGGSYQQSWQQNAPPPPPEHVVKMHPSPPPAYANRPPQPPSTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFESKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTSQTYMDDSLVDWARPLLMQALENGNYEELVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEVESRTTKRGGYSGYSSGYSGAS >OGLUM05G07800.2 pep chromosome:ALNU02000000:5:7484858:7488861:-1 gene:OGLUM05G07800 transcript:OGLUM05G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCCVVDNLTEDAYGGSYQQSWQQNAPPPPPEHVVKMHPSPPPAYANRPPQPPSTPPAAMINSSGGSGSYSGGEILPPPSPGAALGFSKSTFTYEELLRATDGFSDANLLGQGGFGYVHRGVLPTGKEIAVKQLKVGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKGRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKASNILLDFKFESKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITGRRPVDTSQTYMDDSLVDWARPLLMQALENGNYEELVDPRLGKDFNPNEMARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRYFGSYSSSDYDSGQYNEDMKKFRKMAFTNNNDTSSQYSAPTSEYGQIPSASSSEGQQTQEVESRTTKRGGYSGYSSGYSGAS >OGLUM05G07810.1 pep chromosome:ALNU02000000:5:7499410:7504555:-1 gene:OGLUM05G07810 transcript:OGLUM05G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEGSEARRGARALDGGRCSGSEAGGCKEITTGIGDLAAVLVLARRRWKAGEERRPDRMSPQGRRWTTRCGTATSGEAEEAE >OGLUM05G07820.1 pep chromosome:ALNU02000000:5:7504605:7507994:-1 gene:OGLUM05G07820 transcript:OGLUM05G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQGLSRVMAWSVPDDGAAADHAAAAAEAPGRRIVVAVDESEESTHALTWCLAKRRLLLQR >OGLUM05G07840.1 pep chromosome:ALNU02000000:5:7512064:7514760:-1 gene:OGLUM05G07840 transcript:OGLUM05G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEMPSWLGDGSGVVLRVQVSQVLYPVTGEVLYQVYNTYGAVAVQHWQPFNGNSVDMTPTKCSTPLPSGAITKSDAESIPTTLEHVFPTTMNPSTPSAASAAAVPPVSITTTKEDVADMGKVEDKSENTLHDLCVEIKEMINQMLETCRNSKVEPIVGDDSAGVAVVPCTVTDSVSIALETSQEIDADVGNNDDLGQYVGSERVVVFMPLQPWPPPFRANCKSSFVEQQLEPWPFFLCNHSLIKLKLPSKEDKLNMLPEQQGGYNPWEESLENLKLQGANTLSILHPKKVVFPCQELEIHIMLIVSSVPKAAIEGLQLLSEQMLQEEQLKCEVNGIILFHGFFQLLSQALLFIESLLQNLVLGWYSCYSQQFSSAFWSFFWHLQQAINWLSLDDNEKPQFLILTIWPIHEKGGGCLSNCANEGHEVQIILVSGVSLQEVLKTVMLKVPWQPPTLAIHGGGNWTEIELCNGHSSITNHISSGVFSEMVLKSWPPEGEKPNNQLGEQQWLCNSREDVDRSAEFVQCWITFASVLVDNMELQGTDYSLFILGPLQVVAVDQELVIQIERIGKSASEIERKGLQLFGELLLQGEQLKCGVVKLSWSYFSNHSVGNTLIVALLTQTCVQLVPSYNQSLSGSKKSLIQQVSRLNCVTIVFRSMLLLRIMSKKSYEGNEVRAQVNGLTGPWDPGMIWFDKFLMALSSRICGIDLGTSRILRRGECHISIVGYKWDGLDLDHWPRGSSISSINTSLPATKEGDEHMN >OGLUM05G07850.1 pep chromosome:ALNU02000000:5:7528347:7535955:1 gene:OGLUM05G07850 transcript:OGLUM05G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKLVADQVLELLRDDPSLGPKKLQEELKKKYSVDITYLRVFRGKERALDMINGRWDDSYNLLPTYQDELLRSVPGSVVKLDTEENNGDVCFRRFFVALKPCIDGFLQGCRPYIAMDSTHLTGSASASGTTRGRGRGGAGAALAPDGGGGGGAAGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRVGAGAGAAPGGGGGGGGGAASGGGGGRGRGGRGGRLAQWFGPHM >OGLUM05G07860.1 pep chromosome:ALNU02000000:5:7556397:7561030:-1 gene:OGLUM05G07860 transcript:OGLUM05G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVSFALKLGGKIMGMATGKVEKLLGVPGEITKLETTLGDLRCYLVDADSRRSLEEAVKRWVRELKDVMYDADDILDLCQLVEDEGYDDARTNPSCWNASKFWFCNPVASHKIGRKIQALNRRLDDLSRRRSRLKFLPSVCSAAGAGSSLDDRCRTGPSVEQTFIVGEKIEQDARSLVNLLVNRVDDDHDPARSSNGNVIVVAITGVGGIGKTTLATMVFNDSELENHFKEKIWLSVNQDVNEIDLLKHVIEQFGGNHEHCRGDTVLLENALERAVRKKRFLLVMDDVWSDNVWNNFLRVPLSSGASGSRVLLTTRNEGVARGMRAQHLHPVEKLDRFDGWSLLKNQCANLLANQKYGKFYVDITVKLQSTPLRKRYSALWPKLHTVMSYIAAFWVTTDESEICALEDIGMKIVDRCDGLPLAIKVIGGLLRQRNNTRNSWLRIYNHSAWSVNTTDYLNRAIILSYEELPPHLKQCFLYCSLFPKDEVIRRGDIVQMWMAEGFVQDEVSNSFLLEDLGFEYFNELASRNLLEQKREFYDHSACTMHDIVRYFAQSVGKEEGILLTEGQNTSIPTIRTLRLRQLSVSKKDVNWGALKQQVSLRALMLNKNSMVDSNDFLNSLSSLRVLNLQNIVNLVELPQSIRHLKHLRYLAVAGTSISTIPSNIGDLKFLQVIDLVDCTNITQLPQSILKLQKLRFLNLRRTRITSIPHGFGRLKDLVFMAGFPTHSSDDRTDGWCSLEELGTLSKLKILEITGLEKAPSGSSAAKANLSSKPNLTELYLMCASMLGTDNGDVQCNISAEEQDRIEKVLSNLCPPQSTELLTIGGYFGVELPKWMQMMSAFTNLTRLELKDYACCNRLPNGMGQLPFLDHLWIERAPAIKHIGRELLFPSSYGSSVAFPKLKTMGFKWMPRWEMWDWEEQVRAMPVLEGLSISYCELKYIPPGLPCQARALKSLYLESVRQLVSIENFPSLVNLQLIENPKLERVTNNPSLKNIYIWECPALKVLEELPSLNSIYWWDLKAEKLPECFGVPMLKKLFVHCNRRLFGLISLQDTISEWGKIQHVSQLKAYGCTLRIDLSGYTLPTGLSGYNFLREVIDLFGYVSYTKEPYSFETRTYETSEQAQRYMASIALIFQISVHAIQLRLRMCPAVLNQSSGTETNS >OGLUM05G07870.1 pep chromosome:ALNU02000000:5:7583587:7584477:-1 gene:OGLUM05G07870 transcript:OGLUM05G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGAKSGGGGGGRTKRLKDRLARLLLLRSPCSSSSSTSTTFTAATNVSTTTTTTTASSTATTAAFLPRVEPFSAALDLLRHPPHPPPPPEEEKKDINRRNSSRRRGHFKPKLSSNPYGFTTSDDDGEGADGDDDDDDNDGTEAFFSSRSLVSSDSSGFYACSSKQQLLPHKSKANRHRHHRRHRQQKPTTTRRRRRRASGCVDDACSVRDAAAFRPLVSTTAEEEVRKGLAVVKRSSDPYGDFRESMAEMIVERQVFAAAELERLLRSYLSLNPPRLHPVILQAFSDIWVVLRGG >OGLUM05G07880.1 pep chromosome:ALNU02000000:5:7606861:7611694:-1 gene:OGLUM05G07880 transcript:OGLUM05G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAD3-like DNA-binding helicase protein [Source:Projected from Arabidopsis thaliana (AT1G79890) TAIR;Acc:AT1G79890] MPAPPPPPPPPRRDFPAFPFAPYPIQSEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLVDHRDAAARGSTTAAAAGGGGEGDGDEPDWMRDFTPLPPEKPTAKKGRPPAAAARSKAPRRAAAGSEKPEGSEEAEDEEFLVEEYESDGEVGVRREAGKRPHRGGGSSSESEADGEEEEEEEVTPKVFFTSRTHSQLSQFVGELKKTEFGRRLRTVCLGSRKNLCINKDVLKLGSANWINERCLELQKSKKSIKTKVEGDNRKVCQSKTSCGCPMIRKRGLQKEFKSEVSEQGALDIEDLAQLGKKIGTCPYYGARDMVRTADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTSMYNSKITSSQLNAILSHLEAYLNRFQSVLGAGNRRYIQTLTVLTRSFLRVLTSNQDDACTMTSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKLNINQDGVNHLSHQQQYDEGSSIASFQTLADFLRSLLNCNDNGRIIVARKKFSGQPEDAYLKFVMLCAEKIFLEITCDAYAVILAGGTLQPIEETRLRLFPNLPPSDIKFFTCNHIVPPESILPIAVTRGPSGKAFDFSYSSRSSHTMIEELGRFLCNVVTTVPEGVVMFFASYEYERCVYDAWAASGMISKISKKKHVFREPKNSVDVEMTLNKYKEAIQSCSKSSQDTGVTGALLLAVVGGKISEGINFSDGMGRCVVMVGLPYPSPSDVELTETIKYIENISKPVLVGGDNSSSSKYDDECKLQPGFDILRKCNKGGREYYENLCMKAVNQSIGRAIRHANDYAAMLLVDSRYAHTSSNRSSSSPTDKLPQWIKTRLACAQNYGEVHRLLHQFFKLNRQTH >OGLUM05G07890.1 pep chromosome:ALNU02000000:5:7611606:7633164:1 gene:OGLUM05G07890 transcript:OGLUM05G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPHSLSTGASGPTPARWVSCRSSLPDHVLNESYRLSRHMARPPPPRVAVRDGCPPGIGCDRNIIRSGWTNRTPLPPPFACDAGECSGSLAAFAAACLLRSTSESPPPPPPTGVGAPKPLGDAGRLTDTGCTDRNPGDSSGGTTASPPRRGTRTSEGACIANEQTVSDLHVHVSIDHHGKLEQIKPIHGDGDSPVGASACWDGAGGAG >OGLUM05G07900.1 pep chromosome:ALNU02000000:5:7630826:7632893:-1 gene:OGLUM05G07900 transcript:OGLUM05G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANGGSKPGGEVPSLLPDVEVTNVASFDVTAPSPRSQPSPRPLPHPNTPTRPRAPSLVRVPRRGGEAVVPPLESPGFRSVQPVSVSLPASPSGFGAPTPVGGGGGGDSDVDLRRQAAANAAREPLHSPASQAKGGGNGVRFVQPERMMFLSQPIPGGQPSRTATRGGGGRAMCRDKRYDSFKTWSGKLERQLTHLAGVGPEAPVDKECGDAIGSHHTSSLPKVDRFFAALEGPELDQLKSEEELVLPSDKTWPFLLRFPVSAFGMCLGVSSQAILWKTIATSGPTAFLHVTTKVNLVLWCVSVALMCAVSATYGAKVVFFFEAVRREYYHPIRVNFFFAPWIACLFLTIGVPDSVAPTPLPHWLWYALMAPVLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLREGPVFFFAVGMAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWARITGEFGLGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNALTRALCVALSAVATLVVTALFATTMIHAFVLHKLFPNDIAIAITDGQSMIPIKELLEMHADAADADADDDDIESAAAKPTAARS >OGLUM05G07910.1 pep chromosome:ALNU02000000:5:7632905:7646767:-1 gene:OGLUM05G07910 transcript:OGLUM05G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVGGRALVQRCPHIDGEWPVVEKWSDNMLRMEEEYITSAAQDSAFNRDENGETQHSWYDRNAWNVKLSETEEVVVSE >OGLUM05G07920.1 pep chromosome:ALNU02000000:5:7650560:7654530:-1 gene:OGLUM05G07920 transcript:OGLUM05G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCGGGWVARLAALLVVGFVLGSVDASLGDVDPQYRTCVEECHTTGIIGENIISHCQSPGNDDASVGSSWYTQEPLYMQWKQLNCMNDCRYYCMMQREGERQSRGLNPVKYHGKWPFIRVSVFQEPLSAALSAVNLLMHFTGWLSFFLLVNYKLPVRPQTKRTYYEYTGLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRTFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLAWAIWAGITQHPSRFKLWVVVFGGALAMLLEVYDFPPYKGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >OGLUM05G07930.1 pep chromosome:ALNU02000000:5:7658270:7659456:-1 gene:OGLUM05G07930 transcript:OGLUM05G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSNSADGVCRHFETQQLHHKAEATVNEWGWYKMEVSEDHREEICEVVLLRSPEPECAEIERFRDRSRVALTGNNGIKQGGLWYANPIAFFRKDPLPNYGDILRSYNLYDDDSENGD >OGLUM05G07940.1 pep chromosome:ALNU02000000:5:7666759:7667991:1 gene:OGLUM05G07940 transcript:OGLUM05G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSVVVHQRGGAGAGDEEEEIGVFTAERYFSGADEVDALWCGGSSSSLSSAFKTGGGQQEYWSAAPTTLTAATTSSEASWNSRSALLRDAAAAVAVETEEPSGAGGDLCTPGTKASSPSHNLLLRWLLGVAACACAGSGAEEAVIADDCRRDEARAAGVAVGGEKRISTEAAEAVATTRVSRGMCDGDVFDAGTATPPPLPQLAEPRRIRTADSDEVSARVFNTRATAAAALAADERRRRSLDMFASATRQQQSSQNPAFTIVAGTSTAARDAGGAGASATIRRDDPGAAAGDDAASSDGELVECAYPPSEASVVWSVVTADGVASAGNFSSAASGYYHHYYYHNGGGGDGRRTDAGKSSRRSSAGGLLTMGCMSDRALDAISPARVVHRRPLPPGGGRRWCHVARRR >OGLUM05G07950.1 pep chromosome:ALNU02000000:5:7669754:7673331:-1 gene:OGLUM05G07950 transcript:OGLUM05G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhomboid-like protein 11 [Source:Projected from Arabidopsis thaliana (AT5G25752) TAIR;Acc:AT5G25752] MAAQQLLLLLPLPLPSRTFSKPLPSLPIPLPALRLSFSAYAARRRDLLRSLRCGMRRSDLVADLELAKDKQWQGRRPNGIFWILLLNVGIYVADHLFQIEHIKAMYLYHAFPSWFQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEEEGSFALWMSYILTGAGSNLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTVTGHSLQVNNIAHLSGALIGAALVFLINRIPFPSDGDSSKSSKDTREKRS >OGLUM05G07960.1 pep chromosome:ALNU02000000:5:7675158:7679919:-1 gene:OGLUM05G07960 transcript:OGLUM05G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKVRPEAGDYTIFMGLDKFENEDLIKYGFPEDIWFHVDKMSSAHVYLRLNKGQTMDDISEETYCREEMERLEKEKQAEIRSYKNLMNLMVQEKMTSNKQVASGSKTLEELEDDFM >OGLUM05G07970.1 pep chromosome:ALNU02000000:5:7682027:7688155:1 gene:OGLUM05G07970 transcript:OGLUM05G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSISMYRGNLHRAGADTDHRWPAPRPTITPSRFRSLLRSRTLSLARLDGAARADSAASSSTSRLADGDAGVDEEEQDEEGMEMEEEEEEEQEQEQEEEDGQDEQQPQEAGEEQDEGAVEDADMDDAGEVLVGGEDAHGNGDAQEGQGESEGFDPNPEGSCLDVIEERKKELSDKLDTLNKKKHDLVQMLKQILNAEEEIRMRTMQASLRTTVPQPSENAADGSSISRLVPRMTVDVNFSDVAGESEAGSNQGTPGRPLHHVHSISPSTASFARSPFGSLQHNSGHTPRSPATFSTASPSRFAATGNQGHPIGHPSISLPGINFVASSPSPAASGGSSSVFRDYRPPNST >OGLUM05G07980.1 pep chromosome:ALNU02000000:5:7699174:7709998:-1 gene:OGLUM05G07980 transcript:OGLUM05G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVTTIPPLLLICSKPNQWKARVSPTREWRALLVARLVAGGMAGRPSASSLRQTVWFRRLCLPRPSLSSTLSVELSGNKTNNIDVVYTPGYNLKKTPPWMWVKLVCCFPPPKASPMPPPLLLLFFLLAGAGATTVVEEPPAALSPPHKNATLSEILPCYGLPPGVFPTSVTAFTLAANGSLAVDLQGPCYAHYEYLTYFEARVVGLLRYGSLTDLSGVKVRRFLVWFDVIRVKVDLPPPPHYVYLDIGWITRKLPADEFESLHKCDDSKKCRLSSALATAAAWFQV >OGLUM05G07990.1 pep chromosome:ALNU02000000:5:7710407:7710886:1 gene:OGLUM05G07990 transcript:OGLUM05G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPVAVAPAMATCAAVRARPDQFTPSSFPTHVDTSNASNDENTYTNTVVCMSAHPRRRHHRLRLRQEAAQQDHHLVPPPLEAHRHGAASCASRPQPASHSAVGPQAAPSVTSRTTTALHLRAAAFLSPPPPALVAAVGTASTLVGPPPPSSSRLVSE >OGLUM05G08000.1 pep chromosome:ALNU02000000:5:7710903:7712477:1 gene:OGLUM05G08000 transcript:OGLUM05G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTDFPPPVAAAAICSKIASCCTRRWTLSARVGGGGEKNREGRGKKGALRAAADGRWRRPRALGSLENLILINKLIYKSCVDEFITMVDEETGEPVQRGGRDRVSSPSNASGYLGHPLTSREVFCARLPGKDVGSSCYVCAGDRGVVVRGAERYLYVIGRSADVLALDVDGDQRVRARLRLPAQHHASPSTPLATACRPHHLLCHSRRRHLLLLSRLTPVVSAPAAGWLAKTEEKMLNV >OGLUM05G08010.1 pep chromosome:ALNU02000000:5:7715560:7719424:-1 gene:OGLUM05G08010 transcript:OGLUM05G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHHSRSTTAAAREALDPDKVGPDLAKGIADPIALALYPIEQRLRSPQPCLVTPPCVASVPQALPPTTTLPVSLVHRSPHLYGSYEVAKELRKRESNKTNNIDVVYTPWYNLKKTPSMDVGQVGFHNSKLVRIVKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKVQLRDKKRREEMERLEKEKQAEIRSYKNLMVQEKMTSNKQVASGSKTLEELEDDFM >OGLUM05G08020.1 pep chromosome:ALNU02000000:5:7720641:7721213:1 gene:OGLUM05G08020 transcript:OGLUM05G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETVVGRMAGTARRMEASTAAGGLGRVLRLRLCRYSRGEYLFPEAQPFPHADFADEVAYLDSALPGELLLFRRSAVMSSSSPDASPRWHVYSASSSSSSSKSTFSARPLTTCGWPDKGRPCLCGRLTSGGTPLPATILHSSRGSSYCFSLHSPYSATMYIRPPATAQEPVHPSRIPRAISDHEYDATP >OGLUM05G08030.1 pep chromosome:ALNU02000000:5:7722195:7723526:-1 gene:OGLUM05G08030 transcript:OGLUM05G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYRSLYRLASTETEGVAAARASHAASPAELYWKIALPTSPMPGAIRDLINPARSASQEDTDMDEVSTDAVFFLEKDLFPGSKITLHSTRGGACAMVLLRGRADAIPFASEKLPEILTQLSVPAGSRAAEDMRTTLAECEAALLGARDQAKHCVTSLESMVEFAAASLGTRDIRAVSTEVIGTGAAETPRQEYTVEAVKPVVSVSGGNMVTCHGMPYAYAVFGCHTTTATVYAVTLAGADGTRAEALATCHGDAFPGVAEAYERVGVAAGSVPVCHIMPLGDMLWVRN >OGLUM05G08040.1 pep chromosome:ALNU02000000:5:7726113:7728146:1 gene:OGLUM05G08040 transcript:OGLUM05G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTWTTNELWQFTAKAQYVFTKLAKRSIKGCQAQLGAEAAWEFGTAQIQSLLEQYRRGDRWTREASRGGGGVVGGRARGTEATHGGVTRQGGGRGRVAEEVARGTEAIHGGSDKAGWGATKAACDGVAVGGGAWSG >OGLUM05G08050.1 pep chromosome:ALNU02000000:5:7732279:7737202:-1 gene:OGLUM05G08050 transcript:OGLUM05G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGREGGGGMNGNGTAYAGALSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDALSGRLARNVLLTGKVLLNGKKRRLDYGVLAYVTQENVLLGTLTVRETVTYSALLRLPSTMSKAEVRRVVDDTLDEMGLRECADRNIGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFSVIETLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLAPQFFAETGFPCPSRRNPSDHFLRCVNADFDDVAAAMKGSMKLRAEADFDPLLKYSTSEIRERLVDKYRISEYAMMVRNTIHEISKIEGVIEEVVMGSQASWCKQLTTLTRRSFTNMSRDFGYYWLRIVIYVLMAVCLGTIYYDVGTSYAAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKMFTLERQNGHYGVAAYIISNFLSSMPFLLAVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALGIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGAYKNDLIGLEFEPMMPGQPKLTGEYIITKMMGLSLNHSKWLDLSMIFVLLFAYRLIFFLVLKAKEAAAPYIRVAYTRFTIKRLERRASFRKTLAMSSMSKRHNQPPHPMAVQEGLNSPMPY >OGLUM05G08060.1 pep chromosome:ALNU02000000:5:7761177:7761617:-1 gene:OGLUM05G08060 transcript:OGLUM05G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSVEVGIQCSADGHALPHLDPAPGVVSANAVAAVAAVDILVFAVRCEILEFSLPPSLDRSLTLLLAEFLVALLPAESSLAGRPAAPPPLARCASSRRPPPACSRPTAAVSPLLPRRRATAPLGGMRRKLRKRRETERRGERDDD >OGLUM05G08070.1 pep chromosome:ALNU02000000:5:7763621:7764759:-1 gene:OGLUM05G08070 transcript:OGLUM05G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAASPSLQGSPAASPSLSRLHLPRGRASSSFFLLIPAAWWGDVGQGGQRRAVRWRGDAAAAGREQAGGGRRGKSCAWATGDGGGAAEGGAYPGLCCCLSLPLDRISLWLTVSLLLQVAEQLWVVTAHRRKTERAEGEVLAILES >OGLUM05G08080.1 pep chromosome:ALNU02000000:5:7803946:7804418:-1 gene:OGLUM05G08080 transcript:OGLUM05G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDSDRDGFVDLSEFAAFHCGPTPAHGGKGGDAKDQEAASEAELREAFRMYDADSNGKISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGCVNFDEFKKMMGGGGGRR >OGLUM05G08090.1 pep chromosome:ALNU02000000:5:7807916:7809449:1 gene:OGLUM05G08090 transcript:OGLUM05G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPWWDNDYNGRTGSDSKGQESRVYVGNLPYRADERSLKDSFANYGAVSSEIAVDRETGRSRGFGFGFVSFQDSKSASDAIKGMNGQDIGGRNVTVQEAQPRSRR >OGLUM05G08100.1 pep chromosome:ALNU02000000:5:7813094:7814222:1 gene:OGLUM05G08100 transcript:OGLUM05G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSEYKDRSSLEYRVYVGNLPYSVDEQTLMDYFADYGAISAEIAWDSEMGRPRGFGFVNFEDNESVNAAIHGMNGQDIGGRKVTVARAQMRPRRWRA >OGLUM05G08110.1 pep chromosome:ALNU02000000:5:7816868:7817646:1 gene:OGLUM05G08110 transcript:OGLUM05G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWDSDYKDRSPLEYRVYVGNLPFSANDRSLKDSFANYGAISAEIAWDSVMGRSRGFGFVNFEDSESVKAAIQGMNGQDIGGRNVTVAKANTRPRRWRA >OGLUM05G08120.1 pep chromosome:ALNU02000000:5:7873761:7896844:-1 gene:OGLUM05G08120 transcript:OGLUM05G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDPVSPLPPAAAADEGSPGGGGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSSPAGAAAAAAASLGDVPEVSALDSGADCSPDPPPSSSPPVDFDARGFEPVADSAPAMESPLPALVASSEANLDGRMLDCTLNSVPSPPLASPYEVGLGAEDPVKDSSPSVTWGTAMDAEDPEVDATCANGTALRRSRRIVKIKSAASSMPLNQNGDSSRASKRRFADSRKRRSSEGNKHPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAANNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKMPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFANAGKAVLDVKCHYLQAKVSRYTFCIGECAFGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVNGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSAIPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPGDVDVVCGGPPCQGISGYNRNREFEAPFKFAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDIRGSWNPPSEVKNPAVWELIEIKLLTSIPTWLSSMSFKSGFMKVTRPSQLRSHSQARSYVRHEVAGRKRETGREEGMDTFGSDSNGERGQPFLPAERARRSGRRLEETGRRRLSTASSLALIAGGAARGRRGGRRLFLRPEMQVEFGRHCGVPTWKATWTPPVSPSPLLRRISSNSARLAAHSC >OGLUM05G08120.2 pep chromosome:ALNU02000000:5:7873761:7896844:-1 gene:OGLUM05G08120 transcript:OGLUM05G08120.2 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDPVSPLPPAAAADEGSPGGGGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSSPAGAAAAAAASLGDVPEVSALDSGADCSPDPPPSSSPPVDFDARGFEPVADSAPAMESPLPALVASSEANLDGRMLDCTLNSVPSPPLASPYEVGLGAEDPVKDSSPSVTWGTAMDAEDPEVDATCANGTALRRSRRIVKIKSAASSMPLNQNGDSSRASKRRFADSRKRRSSEGNKHPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAANNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKMPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFANAGKAVLDVKCHYLQAKVSRYTFCIGECAFGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVNGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSAIPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDIRGSWNPPSEVKNPAVWELIEIKLLTSIPTWLSSMSFKSGFMKVTRPSQLRSHSQARSYVRHEVAGRKRETGREEGMDTFGSDSNGERGQPFLPAERARRSGRRLEETGRRRLSTASSLALIAGGAARGRRGGRRLFLRPEMQVEFGRHCGVPTWKATWTPPVSPSPLLRRISSNSARLAAHSC >OGLUM05G08120.3 pep chromosome:ALNU02000000:5:7883323:7896844:-1 gene:OGLUM05G08120 transcript:OGLUM05G08120.3 gene_biotype:protein_coding transcript_biotype:protein_coding METPPPDPVSPLPPAAAADEGSPGGGGGDDGAEDAGGFSAGLDSLWTALFGSPEELEPMWSPPRGFGVGAEFAAAEVEPEIMDVAGGPWDGAPWRSSGVVAGEGAATALVPPTAAAGFAEFEPAAPIDSSPAGAAAAAAASLGDVPEVSALDSGADCSPDPPPSSSPPVDFDARGFEPVADSAPAMESPLPALVASSEANLDGRMLDCTLNSVPSPPLASPYEVGLGAEDPVKDSSPSVTWGTAMDAEDPEVDATCANGTALRRSRRIVKIKSAASSMPLNQNGDSSRASKRRFADSRKRRSSEGNKHPAFTGPISVNTVDLINGVKVQGLQEIVAVENVSSSYDNNQKAGGLYNQVVVALPAANNSLLKDKGASVLPRRKTRLASKVLVNSDRVSAISPVVNGGPPVQKSDVCIPTKKHKLAVEECLTSLDGVDGGGIVLCNSKLKSAKSRVVSKMPQGRGRRSPQPPKTQRARTLSVKYLEKLKRAENNNNNGSMSKSPRVPMIPENNGSMSKSPRVPIIPELSTKHELVLDKHMVDSVMLETDDGSCFFVGDAVPDDEARKQWPHRYEINDQIMKKDKRTSSQTFANAGKAVLDVKCHYLQAKVSRYTFCIGECAFGPEGKPNYIGRLLEFFETKTGECYFRVQWFFTAEDTVIGEQAQSHDPRRLFYSDLTDDNLLDCIVSKVTIVQVPPSVNGKSKSVPSSDYYYDMKYSIDYSTFSTIEMEDTDDLMQSCYTSRINDKMKKIDVNKKHKSPVLEKMELSLLDLYCGCGGMSTGLCLGARGGGVNLSARWAIDDDEIACESFRNNHPETRVRNETTDDFLELLKEWEKLCKTYVKHSRTKACVDSTTESNNETPDCSAIPPEEFEVWKLVDICFGDPNKVSKHGLYFKVRWKGYGPHHDTWEPVEGLRNCKEAIRDFVIEGHRQRILPRPGDVDVVCGGPPCQGISGYNRNREFEAPFKCEKNKQIIVFMDVVQFLKPKYVYMENVLDILKFADATLARYALSRLVAMHYQARLGIMAAGCYGLPQFRMRVFLLGCHSKEKLPPFPLPTHEAIVKNGCPLAFERNLVGWPNDTPMQLARPIVLEDILSDLPEVANGESRDEMLYVKGPQTEFQRYIRSFNVEVHGPRAHVTKDSKSSKLYDHRPLVLDNDNYQRGANFRDLSGVIVGPDNVARLDPTKERVLLPSGRPLVLDCILAYENGKSLRPFGRVWWDEVVGTVLTVPNARMQALIHPAQDRLLTIRESARLQGFPDNYRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAYLKKSGDDPLMLLPPNFAFSHDIRGFA >OGLUM05G08130.1 pep chromosome:ALNU02000000:5:7873869:7880173:1 gene:OGLUM05G08130 transcript:OGLUM05G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHLCQTLRTVQTPLAFLGGGTAAGRRVVLSRRRRRSGLGSSPWTASSSPSPPICGLTSSRARPARKADLVLRSNRSRRQSAMVFLKVDMSWNLLISPSELSPKGLLLRKAVIVSLLEDIANRKASKDHGYYIAVSELKAISEGKVRELTGDVLFPVTFTCITQKPTKGEILVGSVDKILKHGVFLKSGPIESIFLSEKTMSDYKYIGGENPMFMSDHSKLEDTVVRFKVMGFRWMEADRQFQILATLAEYFGFY >OGLUM05G08140.1 pep chromosome:ALNU02000000:5:7880709:7882091:1 gene:OGLUM05G08140 transcript:OGLUM05G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLLQHSCSLLLLGEISLNPQEAGSDGIAFVLSSTNKLINHSLGGQYLGLFNASNTSQNILAIELDTFMNPDLNDMDDNHVGIDVNSLISINSHTAGFFTSDGGFQLLRLANGRSPILQLWVDYDGKAHQLNVTLDLPYSPKPEYQLLLSIVNLSSLLPSSSYIGFSASVNSLKTRHFILGWSFKKNGRVPPLNYSAPSVLVTDPETYGWGGNFFAPPPPQLKSHQVRIPSLHILLPIVIISVVLLLLVAFLGSYYCKKRWKKAGPQEDWGTKCMPPSFIYKDLYNATSRFTDKMLLGKGGFGKVYRGFLPASKQNVAIKRISLESKQGIFYIIKGVVHGLSYLYEEWEHVIIHRDIKSSNVLLDEEMNGRLGDFGLARLHDHRVDAHTTRGRHIWLHCSGAS >OGLUM05G08150.1 pep chromosome:ALNU02000000:5:7940535:7940867:-1 gene:OGLUM05G08150 transcript:OGLUM05G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAYRPPRASWAPAAHITHRRLTRLYHRLPYHNSRRRLPPPLPLDPAEGTPDPTVGAPAASPPTMAAATRHPPCAPQPPPLSRRAAANSEPPSAVAGRGGGRGLERES >OGLUM05G08160.1 pep chromosome:ALNU02000000:5:7951579:7952347:1 gene:OGLUM05G08160 transcript:OGLUM05G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGSGNPMGGGDGNHRGNGGINPRAAGTEALGATVATSLGGWVVVAANTTTLDWDVVAAAATMAGKGWVLVAMTYAKGWVVTMSMAAGCKVPPRCVISNVVEAYGNHVDTATYWKKARILFWIHKMGLTMTSSSSPPIPMMKSTTTGSPWTRMEEKGDRIQDYGKVAEKGGGIQECGEMEENVSGIQDCREVEEKGSVICGGSNMVHSHLGRIWPATQRCGQQLRVKWFDCKSDHLV >OGLUM05G08170.1 pep chromosome:ALNU02000000:5:7952310:7979649:-1 gene:OGLUM05G08170 transcript:OGLUM05G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGGGNPMGVGDENPRGGGGENTMGTSGGNPRGYGGRGPRGCRGESPRGYSNSKPTGTVEGWVAAAVMTATEGWVVTAAIRTKAMAGWEFVPKTEFQERGGVEEKGDRIQDCGEVEEKGGGIHDCEGRMSVGFKIVGKWRRVIYNQTT >OGLUM05G08180.1 pep chromosome:ALNU02000000:5:7952534:7954629:1 gene:OGLUM05G08180 transcript:OGLUM05G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLVWDEPTPPLPRRPLHWMLLTHIEVTCGEGQWPITEVSIQFMPNEEEIHGEEMRRDKENGGDQFGGVDLWETLVDVKD >OGLUM05G08190.1 pep chromosome:ALNU02000000:5:7981437:7982198:-1 gene:OGLUM05G08190 transcript:OGLUM05G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPCLAVAVLLLGVVVAAIGAFADEGAASGWGLGHGARFLSRRGRAAYEKSSEPEAKPASQQEPKSASYSQAKPGPKAKPKPEPEAISPSQPESKPATYSESKPEPKAEPESKPEPKAESTPQPETKSEPKSEPKPKSEPYAEPKSEPKSEPQSEPNPETKAEPKSEPKSEPKSEPTPQTEPKAESQPEPKTEPKSESKAEPKPERKSYSKSKPEPESKPEAKAEPQPESKSEPKPESAPKKETPSSAYP >OGLUM05G08200.1 pep chromosome:ALNU02000000:5:7982478:8006495:-1 gene:OGLUM05G08200 transcript:OGLUM05G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRRRLSCCRWCHIRGSKSSRRMLRKEKNRGQRRQPWKRRAFKEEEICGFEINIRERKHACGTLDERLAEAAC >OGLUM05G08210.1 pep chromosome:ALNU02000000:5:8044903:8045985:-1 gene:OGLUM05G08210 transcript:OGLUM05G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLTAAVLLLGALAVASALVDEAAAAGQGLGHGARFMSKQGRAMYEKPPELEPKPKPKPKPHPKPESKSEPKPEPKPEPKPYPEPKPETKPEPKPEPKPKPEPKPEPKPEPKPEPKPYPEPKPKPKPEPKPEPKPEHKPEPKPEPEPKPYPKPKPEPKPGPKPEPKPEPKPHPEPKPEPKPKPVPHPEPKPEPKPEPKPHPEPKPEPKPEPKLHPKPEPKPHPELEPKLKPEPKPEPKPEPEPKPEPKPEPKPEPKSYPKPKPEPKPVPKPEPIPHPGPKPKPKPDPKLEPKPHPEPKPHPTPKPEPKPKPEPKPEPKPYPEPKPKLKPEPKPGPKPIAPPKKHKPPHMPPATNQ >OGLUM05G08220.1 pep chromosome:ALNU02000000:5:8044925:8046076:1 gene:OGLUM05G08220 transcript:OGLUM05G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGLCFFGGAIGFGPGLGSGFGSGFGSGSGFGSGFGSGLSLGSSSGCGFGSGFGCSLGSGFGSGFGSGCGLGSGFGSGFGSGCGTGFGLGSGFGSGCGLGSGFGSGLGPGFGSGFGFGYGFGSGSGFGSGLCSGFGSGFGSGLGFGFGSGYGFGSGFGSGLGSGLGSGLGFGSGFGSGFVSGFGSGLRMRLWLWLRLRLQLWRLLVHRTALLAHEAGAMAESLASCRRFIN >OGLUM05G08220.2 pep chromosome:ALNU02000000:5:8044925:8046076:1 gene:OGLUM05G08220 transcript:OGLUM05G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGLCFFGGAIGFGPGLGSGFSLGFGSGYGLGSGFGSGLGFGSGLGVGCGFGSGCGLGSSFGSGLGFGFGPGCGMGSGFGTGLGSGFGFGYDLGSGFGSGFGSGFGSGSGFGSGFGSGLSLGSSSGCGFGSGFGCSLGSGFGSGFGSGCGLGSGFGSGFGSGCGTGFGLGSGFGSGCGLGSGFGSGLGPGFGSGFGFGYGFGSGSGFGSGLCSGFGSGFGSGLGFGFGSGYGFGSGFGSGLGSGLGSGLGFGSGFGSGFVSGFGSGLRMRLWLWLRLRLQLWRLLVHRTALLAHEAGAMAESLASCRRFIN >OGLUM05G08230.1 pep chromosome:ALNU02000000:5:8057367:8057564:-1 gene:OGLUM05G08230 transcript:OGLUM05G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWFDTTKGFGFITLEDGSEDLFVRQSSLKFDGYQSINDGDVIDLSVGSGDDGCTKATHRWIPS >OGLUM05G08240.1 pep chromosome:ALNU02000000:5:8058599:8059360:-1 gene:OGLUM05G08240 transcript:OGLUM05G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPCLAVAMLLLGALAVASAFVDEAAAAGRGLGHGARFMSKQGRATYEKPPEPEPEPKPKPKPHPKPTPKPEPKPEPEPKPVPEPEPKPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPEPEPKPEPKPEPKPEPKPYPEPKPEPKPEPKPEPKPEPKPKPEPKPHPEPKPDPKPEPKPHPEPEPKPEPKPEPKPHPEPEPKPEPKPEPKPEPKPEPKPEPXRACAKKWPGPLTADLAHEKP >OGLUM05G08250.1 pep chromosome:ALNU02000000:5:8059522:8069998:-1 gene:OGLUM05G08250 transcript:OGLUM05G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLCEVPGWSAYGWTLVHHACMHTPAAVRARAAAGNGHRKRSPYWTTGGVEPAARHAGSPPPPNPHGPTPADPCMDEYAGYWVRAGKQLETTKAGGHGDT >OGLUM05G08260.1 pep chromosome:ALNU02000000:5:8073716:8079024:-1 gene:OGLUM05G08260 transcript:OGLUM05G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit L [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVY3] MASSAAAAFYRDREDAAPPSSGPGGGGAMAAYDPSYVPDSVKTFVSHLYRHIRDRNVYETHQMYEGGFTRLSDRHFRDTPWPPAEAVAAHCDGDHVFLLLYRELWFRHAHARVQGLTPAQRAESWDNYCSLFSVVLQGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTHEEIALLKQYDQAWSVYGVLNYLKALVEKSMIGEILEREKVGLEQFTATDGYDYEGGSNVLKMLGYYSMIGLLRVHCLLGDYHTGLKCLAPIDISQQGVYTTVIGSHISTIYHYGFASLMMRRYINGIREFNKILLYILKCKQYHQNSPQYDQLLKKNEQMYALLAICLSLCPQDKLIDENVGTQLKEKYGDKMTKMHRYDDEAYAIYDELFSYACPKFITASPPVLREPYTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAKYMDVDEVTLRTILMTYKHKMHSIDSDGKVISSADFDFYIDEDIIHVVESKLTKNHGDYFLRQILKFEEMITQLDKVQFD >OGLUM05G08270.1 pep chromosome:ALNU02000000:5:8085175:8090347:-1 gene:OGLUM05G08270 transcript:OGLUM05G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSSPAKTTTTLALLLVVAAAAAAVAAEAAAVAADAARGVRQVNRRGPYLGVVVPNGFEMEPLLRSPAFSPAKKLPYLDVAGRRFRFGTIGEKKVIIVMTGLGMLNSGVTTQLLLTLFDVEGIVHFGIAGNADPDLHIGDVTVPRYWAHTGLWNWQRYGDGPEKELALESNGDYTRKYGALNFSDYGVAGGGGGNLLNGVWYQPEEVFPADGTPESRRHEFWVPVDGRYYQLAQKLEAMPLERCVNRTGTATSPATCLARAPAVARVERGCSASVFVDNAAYRQFLRSRLGVTPIDMESAAVALVAAQQGAPFIAIRSLSDLAGGGSAESNEAGVFAALAAQNAVAVAVKPRCLTCPVDQARQAPDNTQAVTRPIYQKTAAACDPGFQTLVSMGRSGPNS >OGLUM05G08280.1 pep chromosome:ALNU02000000:5:8100203:8108013:-1 gene:OGLUM05G08280 transcript:OGLUM05G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEFQSSLVQQMIRSGSSTGTATGVGGGGAGSLMGSLKPCHEDQEASPNMPSLSSPSLIFSQQFQHSSPGLVPMNGAASLHDGGGGGHESSMPESWSQLLLGGLAGDQERYSATAALLSKGLENWGDHAAAAAASACMVGGMKEEGSMAQAAATAAAAAAAYSFYGSHLAGDHQHEIQAAAAGGGASNKSQLSQMLMASSPRSCITTSLGSNMLDFSNTAAPPELRSHHHNSDNSSECNSTATGSALKKARVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGDANGTGPMQNGPVGERNPGLFPEYPGQLLNHNGNTGAQQPAAQPEQQGANDDGKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILRDTHKP >OGLUM05G08290.1 pep chromosome:ALNU02000000:5:8108557:8128726:-1 gene:OGLUM05G08290 transcript:OGLUM05G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFWPEFRRWEQINFKELDRVDPTFWPVTSGSVTVQEDKSMHPRVIGCPLEPIFPNRRIESILAHNARFESNPDMRPSLIKPASGTTTYPLIVDGSFVPDPCIPAPFSCPSSTTTATTYTTPPLSTTVSARSSSRLTDRCHLQIHGQLPPSRHHERSPHPVIVFHLHRGRQLKVDHLSPPPDPQLIVSFSSPQYKFGEREDRHDAGLGSITSPSSHRMHQK >OGLUM05G08300.1 pep chromosome:ALNU02000000:5:8134132:8134590:1 gene:OGLUM05G08300 transcript:OGLUM05G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYYYARDEKLLVEHLLLRHSIAGNHGPGRTPLDWAVWMRIASAAAHGLAYIHHSSRRGSGTPRLVHGNIKSTNILLDKAGVARLADCGLALLGSSPAAAAVRSAGYRGPEAPPPAAAPTHAPRAWPKGREEKEKEREKGGRGEEEERERG >OGLUM05G08310.1 pep chromosome:ALNU02000000:5:8134924:8142724:-1 gene:OGLUM05G08310 transcript:OGLUM05G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTTGGREQGRDQAGGRGTRAWASRRQEHASRGEPAAATAVSNQPQSAIDLQQNRDEPNPSHFVPKPNTCKFGWTRCRCCRRKRLLPFANKGDVNRAPSPAISSELTPAISSDARREKGLNATTVFQRIDVVSKDFDNIVDVELGGPWPLPPVELTATLAHKFGIIGEVGKDTCHKSDTDTWRLKMRTRDAATFGHVALACNATVEFIDNLESTLIGGFTLPRAAG >OGLUM05G08320.1 pep chromosome:ALNU02000000:5:8159150:8160108:-1 gene:OGLUM05G08320 transcript:OGLUM05G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRFAARKVSRGALQQATAFVSPSPAVKEEQRRLLPEHQTIARPQFESWYRRHYAHTRFVNAESSAPNSCKEPASLRAQIEEKKHELFHLLFELKYGRKSIAGGEKLSIQDERILGELTPYRELKAMADKYGLKQALKYIGITYVLGFAVLGMAVNHM >OGLUM05G08330.1 pep chromosome:ALNU02000000:5:8176417:8177430:1 gene:OGLUM05G08330 transcript:OGLUM05G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAVGRSTGTQLDKNMECSNVQTFNESKPLLHNNQIYNYLPQDYDMTDDDICAQITIETSSSTDVLVKINDIAPKQDQLLPILDENEYLDDNIYIPVNIENNHWYLAVINAKKREIQVLDSKCWKQMY >OGLUM05G08340.1 pep chromosome:ALNU02000000:5:8200488:8201512:1 gene:OGLUM05G08340 transcript:OGLUM05G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZVZ1] MASSFPLSSATEAADEAKAHKKIYDQLRQVAETFPTAPSGIDVPYSRHPDGWYMTTAGVVSAMVIKSHLTARATDIFLVTFPKSGTTWIKALLYSALHRRADELVAHNPHQLVPFLESQVFVKDRIPDLSSLPEPRLLMTHIPSQSLPDSVAASGCKVVYLCRDPWIASSRSGTSGTSSGHGILMRHTGNSVMHVLSYWNWHVERPSEVLFLTYEELAADTLGHLRCLAEFVGRPFTMEEQDAGVDRKIVEICAMESLSGLEVNRSGMTNFTEKDVPNNIFFRRGVVGDWRNHLTPEMARRIDEITEIKFKGSGLRLHPQFLQAKRELNKL >OGLUM05G08350.1 pep chromosome:ALNU02000000:5:8202694:8203272:-1 gene:OGLUM05G08350 transcript:OGLUM05G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVCLDHYKLGRNGGAASKKLCRNGFTTYMQAGLEPSLRQNDYTRRLRMRSLTNSWDKLVGLLRHQLALEFHGEEPSAEAP >OGLUM05G08360.1 pep chromosome:ALNU02000000:5:8213502:8214134:1 gene:OGLUM05G08360 transcript:OGLUM05G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDDGSSKGSRADESVSSLGTDDHAAAAEPMSSSPPPATATARPYYECVFCKRGFTTAQALGGHMNIHRRDRAAKPAGPGAAAPPRDASTTAVSRSVDCYSQFRHLAAAYPVPAATSGAAGTAGSSSSFTMYYGGTGAGAEAAVVAGPRELSLFDAATDHGLHLGVGRRGGGGDGGESRTPEGSEQQVAGELPERELDLELRLGRHTKQ >OGLUM05G08370.1 pep chromosome:ALNU02000000:5:8216423:8217276:1 gene:OGLUM05G08370 transcript:OGLUM05G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRTSDTAASPYRRHAPMTSLPHHTPVMSPPHHTNVAPSWRACARAVLCQRPRPSGDPAHVPDSELRAGRRACAGTTRQLDEPAKGKKEKERKKEEDGDFEKRTLFFPKITRKPLLCVMAKVMNTESAPHGETSLTLISNVEAAFEAMKLQPIAEASTSTNEGGHKVRRRDKGKKGRNPTKPYG >OGLUM05G08380.1 pep chromosome:ALNU02000000:5:8227594:8228379:1 gene:OGLUM05G08380 transcript:OGLUM05G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSANSSSRWPAAAPIELRRPSQLSAPKTRAPQLFAQHQKLNLTAAKKSRSNLLFALMICNRVDLAHDDDSHHADDDHDDDEGGAARKQQPAEAVDQLVAATTPASCCSGDEEDVDQLVAATTPASQLQMDYTRTSSGTTSGGSRHKIRRNGGGDGLATIAGLGIPRRHSWPSPPLSAGCCCRRHHRSPATVVRRREMGKGEERGWRKRMELTCGSHIGVTVMDGKCDGGGMDPILQSSSGTQQIS >OGLUM05G08390.1 pep chromosome:ALNU02000000:5:8229006:8229499:-1 gene:OGLUM05G08390 transcript:OGLUM05G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQTLHFEDCQGISVMGNTLKNSHESHLKFTRCSHDKANYMRITSPEDSPDTTGVHVVSSRNVHIMDDSISTCHDCVSIVGNSMDVRLRAEKENDSRG >OGLUM05G08400.1 pep chromosome:ALNU02000000:5:8252001:8262484:1 gene:OGLUM05G08400 transcript:OGLUM05G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT5G11560) TAIR;Acc:AT5G11560] MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWGKMSVLQWQKAGRFGLKHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQVSKSSKPLLHVLSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIQSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQGLSGTVKLLPLMSNGVIALQASSTVSLLKLKGINGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWSYAMAPGRVLGCISLSARCPPLLLALCQVPPQVLGALAKF >OGLUM05G08400.2 pep chromosome:ALNU02000000:5:8252001:8262484:1 gene:OGLUM05G08400 transcript:OGLUM05G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics [Source:Projected from Arabidopsis thaliana (AT5G11560) TAIR;Acc:AT5G11560] MAPPRHLLLLLLLGFLAAASLAFTLTAAVYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVIASLDLRSGDIFWRHVIEKNDPVDELSLSLGKYVVTLSSGGSILRAWNLPDGQMIWETNLQVSKSSKPLLHVLSNNKVAKDNLVFVLAGRWIYAVSSIDGVISWGKEFSLDGLDLKQIIQSPENDIVYAVGLAGSSKLNLYQLNAKTGETVKHIQESFPIALCGETILGSHNVLVALDETRSDLFLIELKGESISYNKVHISDLVQGLSGTVKLLPLMSNGVIALQASSTVSLLKLKGINGLEVIQSFEQPAAVSDALTITEKDEAFAVVQHTGSEIEFVVKFTSDVTGEVIREKINIDQHRGNIQKVFLNNYIRTDKSHGFRALVVMEDHSLLLVQQGEVVWSREDGLASIVDVTTSELPIEKDGVSVANVEHNLFEWLKGHMLKLKGTLMLANADELAAIQAMRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLLQSLRSSKSGEMPSALRICQWQVPHHRVMHENPSILIIGRSGADSAAPGVLSVLDSYSGEELNSLKLDHSVVQIIPLTVKDSSEHRLQLIVDSHSRAHLYPRSPDAMNVFLHEMPNTYLYTVDIETNVIRGHSLQKSCDNSDDEYCFSTKELWSIVFPSDTERIAISETRKMNEVVHAQAKIIADQDVMYKYLSKNLVFVATVSPKASGEIGSAAPEEAWLVAYLIDAVTGRILHRVTHHGAQGPVVSENWVVYHYFNLRAHRFEMAVIEIYDQSRADNKDVMKLILGKHNLSAPITSYTRPEVMVKSQSYFFTFSVKAIAATQTAKGITSKQLLIGTIGDQVLALDKRFVDPRRSVNPTQQEKEEGIIPLTDALPIIPTSYLTHSLQVEALRGIVSIPSKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAALVAAIVVTWIWSEKKELRDKWSYAMAPGRVLGCISLSARCPPLLLALCQVPPQVLGALAKF >OGLUM05G08410.1 pep chromosome:ALNU02000000:5:8275256:8279010:1 gene:OGLUM05G08410 transcript:OGLUM05G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW00] MSPPLELDYIGLSPPGKKAAAGEEDEDEEEEDKKVAAAPQAPAAKAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGKDGLSESRKDGEYVLTYEDKDGDWMLVGDVPWEMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >OGLUM05G08410.2 pep chromosome:ALNU02000000:5:8275207:8279010:1 gene:OGLUM05G08410 transcript:OGLUM05G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW00] MSPPLELDYIGLSPPVPAAADAAADNDLKGTELRLGLPGSHSPDRSPPAATLDLLPAAKGAKRGFSDEARPLPASAAAAAAAGKGKKAAAGEEDEDEEEEDKKVAAAPQAPAAKAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGEMSRVTLLAFLFVIAFPFDVDALTITSSRMPCGCIAISGKDGLSESRKDGEYVLTYEDKDGDWMLVGDVPWEMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >OGLUM05G08410.3 pep chromosome:ALNU02000000:5:8275207:8279010:1 gene:OGLUM05G08410 transcript:OGLUM05G08410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW00] MSPPLELDYIGLSPPVPAAADAAADNDLKGTELRLGLPGSHSPDRSPPAATLDLLPAAKGAKRGFSDEARPLPASAAAAAAAGKGKKAAAGEEDEDEEEEDKKVAAAPQAPAAKAQVVGWPPIRSYRKNTMATNQLKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKVDLKTYKNYKDLSTALEKMFIGFTTGKDGLSESRKDGEYVLTYEDKDGDWMLVGDVPWEMFANSCRRLRIMKGSDAIGLAPRAVDKSKNRN >OGLUM05G08420.1 pep chromosome:ALNU02000000:5:8285237:8291200:-1 gene:OGLUM05G08420 transcript:OGLUM05G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW02] MARLLLPLPIAAAAASRLRLPVLSSSVARREALLFGGMVAAARAPVRLARRGVSAGAEAGGSSSAAAAAQVIGQDEAVEWVKKDRRRMLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTAFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPQYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRQNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNVDFLKELEE >OGLUM05G08430.1 pep chromosome:ALNU02000000:5:8297621:8300314:-1 gene:OGLUM05G08430 transcript:OGLUM05G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLLYVAVLLSVLTLFLATSDAVLNCSTTAGNNSSTFTVDPRRKSQNNVYQTPSPSSSPPRSNNHAPFVPAGNQQGSGFNTKSSSTTVVAGSITAAIAVVAGVVVLLVCFMRKRARFNHHRLNRKTETLIEMTMEEEVHNTRRFSYAHLLAATENFSDSRKIGQGAFGAVYKAQLMNWTTPVAVKRTMRVADHERAARDYDNEIKVISKLSHPNLVPFVGSCDENGELLLVYDLIHNGTLDYHLHYANTILSWSRRYKIALGMASALNYMHGNHPRVLHRDIKPGNVMLDEEFNAKVGDFGLVRQVPIDKTSCPMTIFGSSRYIDPQYCSTGCISPESDIYSFGVVLLEIASGEIPQCLKGNGLVEKFRRLYYSNSLLDAVDRRLNDDFDEEQMKRVILIGLLCVQFDHHMRPSSKEVLGYLEGRLPVPQLHIKTCKQAK >OGLUM05G08440.1 pep chromosome:ALNU02000000:5:8305218:8305472:1 gene:OGLUM05G08440 transcript:OGLUM05G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDDEARPTVKKVQRNDAPAGWEGQPEEKDEGGVVVVGVHDAPDGLEQNGGEAEEEEAAARPTEVAPCRGPALLGSMGNGD >OGLUM05G08450.1 pep chromosome:ALNU02000000:5:8322643:8329586:1 gene:OGLUM05G08450 transcript:OGLUM05G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRENGGNNINGANTNASTSSAPFSGRELWDRFRAMAKEVDPCDHGEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGVPEVAGAAMPMAALAGVAIATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSALYVAAQLLASSLACILLRYLTGGMATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >OGLUM05G08450.2 pep chromosome:ALNU02000000:5:8326172:8329586:1 gene:OGLUM05G08450 transcript:OGLUM05G08450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEVDPCDHGEVVDAGCVRAVLAELVLTFVFVFTGVAATMAAGVPEVAGAAMPMAALAGVAIATALAAGVLVTAGFHVSGGHLNPAVTVALLARGHITAFRSALYVAAQLLASSLACILLRYLTGGMATPVHTLGSGIGPMQGLVMEIILTFSLLFVVYATILDPRSSVPGFGPLLTGLIVGANTIAGGNFSGASMNPARSFGPALATGVWTHHWIYWLGPLIGGPLAGLVYESLFLVKRTHEPLLDNSF >OGLUM05G08470.1 pep chromosome:ALNU02000000:5:8337206:8342266:1 gene:OGLUM05G08470 transcript:OGLUM05G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCAPTADRARSTAQRQQLGVEAELGHAGTAVANTDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVRSLAGGRGRPARVAPGVSYFSAAQSPAATAPLFLQVVLGKREYNGSIGQGEFSFPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >OGLUM05G08470.2 pep chromosome:ALNU02000000:5:8337206:8342266:1 gene:OGLUM05G08470 transcript:OGLUM05G08470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESCAPTADRARSTAQRQQLGVEAELGHAGTAVANTDLVRGMATSVVLATGSDGDNDEVAACGHGEDDAWNGVEEGHGCQGEPRWWSAAMEKVVRSLAGGRGRPARVAPGGWCGQRQRGRPGRCCSITSCHSSTVLASPVTSLRESMVMLLYNADRSLISQAELKMKAVVESGTMDVDFSLDNGGSIILRLQFLLSDEDRRRVQEMRNSAVKRKQQELLSDGYVLSQDSLLSKQVENISNISREGDESSVRKSMSLDDLQEKAVFSAIIVDPQMKDTKESPEQSGSNSAVQKMISAFQSSSPQSSSDKSSSSLATQDVSGHTEAGLVAGTSGKMQLPPGDKSFSNKRSNATEQQAVLSTTSEGRIRRLFREKDLDNSEMVITVQNRSKKRSMPKRRRAAIGPYSLEHMHPHVCITTASRQLRELVELEPPLDSFVFVGQVDIKRPNVRGGASMQDQGVRVVIVIIACGAIFLNNR >OGLUM05G08480.1 pep chromosome:ALNU02000000:5:8355344:8355772:-1 gene:OGLUM05G08480 transcript:OGLUM05G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCDCLHWNVLTGEVFRTVLVFDTAAEEFRTMRGSCDYSQDADWTGQLIGVQGTMAASSYDADGDGVLALWLLRDYDEEAWEYVYRVAARFLGDVARTMGFFVAHMSDDSGGGDALLRSSQGHRYGVYNLKTQEGRGSGRR >OGLUM05G08490.1 pep chromosome:ALNU02000000:5:8355798:8362304:-1 gene:OGLUM05G08490 transcript:OGLUM05G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGEKRGGGGGGGSGGRREDEANERRISSRVRVAIFICGGAHTHSPKCGRCHVGPTDDVVRWPTNRPISFYIITDETALGGKPMARLLGPPNGDMYLTSNTSWHHLPVTLHG >OGLUM05G08500.1 pep chromosome:ALNU02000000:5:8362224:8367808:1 gene:OGLUM05G08500 transcript:OGLUM05G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGYPKMQGGGASSSSSSSASCRAADAAVWDAVQQQKRQRCQGSSSNDQVGRSTENNSFQAPEPELQNSDCVEDEEEDYYLYDEDDVCYDDDGDYEFDETDFNQQLADKFDGLDLPPGVEATVPWLQKKDIADGPSTFKSMAELDADITKKYEFFKQFDVVENFSDHHYADKPVGKTGKDWTKRIQYDWKLLEKDLPASIYVRVSENRMDLLRAVIIGPQGTPYHDGLFFFDAQFTSTYPSTPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWSGSGCEKWNSAHSTMLQVLVSIQALVLNENPYFNEPGYETYANSATGQKSAMDYNDTTFQYSCRTMLYSLRRSPQHFDALVAGHFRHRGHAILAACKYYMEGHKVGSVVPNEDEEDAKQQDDTDAGGSGSSSGAKPQPEKPDLCKGRAASFKTNMAVLFEELLMEFNVKGADTKKFCDEKLKKNQQAAAAAP >OGLUM05G08510.1 pep chromosome:ALNU02000000:5:8368818:8373084:1 gene:OGLUM05G08510 transcript:OGLUM05G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQGVGVSSTMRCHGFEAPDPKPLRSFQSPAIKVSWPTKNAAPIDIIDRRSLCPRHGVGSRCRRKLPGLIHCRCGLMDLRCGPAARSNTLLRRHRPEHQPCPGRTIAYPKGLKPQV >OGLUM05G08510.2 pep chromosome:ALNU02000000:5:8368818:8373084:1 gene:OGLUM05G08510 transcript:OGLUM05G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLEQGVGVSSTMRCHGFEAPDPKPLRSFQSPAIKVSWPTKNAAPILCPRHGVGSRCRRKLPGLIHCRCGLMDLRCGPAARSNTLLRRHRPEHQPCPGRTIAYPKGLKPQV >OGLUM05G08520.1 pep chromosome:ALNU02000000:5:8374632:8374856:1 gene:OGLUM05G08520 transcript:OGLUM05G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSPPTRPEGYSTSDSEADGWSVSEDDEEEVEEVQLEPMSVDDVAAGKEWEGFTLEYDHEHDLDADDEDAAE >OGLUM05G08530.1 pep chromosome:ALNU02000000:5:8391151:8392581:1 gene:OGLUM05G08530 transcript:OGLUM05G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVASSDEASRSVLRPAPPLKWEDEEARGSSPGGGGAAGWAVDSGDQENGADLGPVIAVEGLGGLVPQPEVAVVAVELLPQLVQEPGKVSPRIHEKANSGEGENGDGKYRVVYTAGRRKRCLISPGGSDGDRITPRDLAVAFHGQEAGQVAPEAATAAIADGAGVAIDSVLTKMQELVNHGSCGNGKNNVRKSRRIHMKQATAVPVSDELKNLHSQEAEAAHGKITDQSDCEIKKEPLESCMSSIVGSDDGHISGLSTRLQSLGINITSVTPILSKNVSSTDCHPNQARLLLSRHAVDGSPLLGMLTPLEDALVHSSGLPIEVLDRYGCSYDMFLRYLDSTTSYRLIVQWRNFLEMSHMIPGDLVKLGAFRFEGQLALTLLHYGNASKAKKVLDRKLKEKKVESNSTVTEKSKELTSRETEESKEELTSRKTDANDEESASSVAEAIKKKWPSEMLEAAETLLMLSCSGDKPKPSE >OGLUM05G08540.1 pep chromosome:ALNU02000000:5:8397105:8398784:1 gene:OGLUM05G08540 transcript:OGLUM05G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSSCRYLPHSSAPCATDGARCFRCDHRPAIDEIVREQSLVTQLRAVVLPALETKADDGRAEIVAQLFGSILDCSRKVISALNSRYVGESPPDGDEIVDKRRAKRKNSEGKKGDEVKTKPHEHKRSRRYTNSTSQITAVPHYDGHQWRKYGQKNINNSNHQRSYYRCTYKHEQNCKATKTVQQLDSAGETIMYTVVYYGQHTCKTNMSNAPLHVVETSTPQSISTTCCSDDLGDYSQKMENMHTPELAEVCSDELGSYHAIIGAEHSALGLEDEHMHKLLDTFACGALDLDSLEIDAIVRSGFC >OGLUM05G08550.1 pep chromosome:ALNU02000000:5:8452787:8453425:1 gene:OGLUM05G08550 transcript:OGLUM05G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPLTMASDADRVLRVTCKQPSSSTQQAAATPLPWIAKKLMADVLELKAMLKASNAAKKVVDGCTEQEVAEQQKMAVSTSTTSPLPQFSPPEAGVLEEQDASSLAKAIGICHRAYICIGN >OGLUM05G08560.1 pep chromosome:ALNU02000000:5:8453848:8464153:-1 gene:OGLUM05G08560 transcript:OGLUM05G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQAIEEPLPSRGQHQNSLEETAARHRRQASLHPEIIKEAVRRPCERVKKSQLQGPSAFGLMKLTHGAMDKTEGRFDRADVPKRIEKIGKIRKTSSGNDEKTSPNCPSSAPPRNGIVYLVLEGRRNVADLPLCPIAPLECQPPVEAASPGDGVTALIAFLLPEIALLPKETELGLPENASPPTNQIRALYLPPYLELQLHRKPRRLLRRNHRRSSDHARAIGPTFAAQAQREAMRRISPAPCARRPMLVGVLLLFSKWRIRKK >OGLUM05G08570.1 pep chromosome:ALNU02000000:5:8464891:8466454:1 gene:OGLUM05G08570 transcript:OGLUM05G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSAVAMLLYWLEGRAPRWAWTEAWPAGSKATTANWGSRISSGEGDGDSYEEVDPTLDLSRWMNRSKSQKISGFDELDRIMLSWIVTPSTSIDPTQWEGKSKILIFPSYRXLRAGAAAARRMTGEGARRRLGWSAGSDSRDLGMGTEEIGLE >OGLUM05G08580.1 pep chromosome:ALNU02000000:5:8498856:8500945:-1 gene:OGLUM05G08580 transcript:OGLUM05G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNNNVVAAMSGTKGGSGTPCGSDVAASPLVEEAVASAEMTAEAAAGGRSRTSKGVLGRSSSGSEGLTTSALGWGGCDFWREKEDAKGRVARPLRRAREGARWLVGDRRRGKAATVVDHRGLLQQRGGNAAAGIEQTDQRAVTGGEIRTNPNTLIRDAILKQPVSGQFFEIALGKPSLHPVSQSRDAVRHRNLKSWHLWMTSLPIVVETNCLTVLHLLDSKEKDCSMFASIIQEAKALVVSGDREIVIRKVSRSQNSVSHFLANKARVESCSIVCGFIDPK >OGLUM05G08590.1 pep chromosome:ALNU02000000:5:8576132:8602572:1 gene:OGLUM05G08590 transcript:OGLUM05G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:target of rapamycin [Source:Projected from Arabidopsis thaliana (AT1G50030) TAIR;Acc:AT1G50030] MKPSPHFPEIGKKPKDLIAKEHGFNIAAYISSGADVIAAALRKHVEEEARDLSGEAFLRFMEQLYEQICSLLQSNDVAENLLALRAIDALIDMPFGEGASKVSKFANFLRTVFEVKRDPEVLVPASAVLGHLAKAGGAMTADEVERQIKTALGWLGGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPKQAVRERAVEALRACLHVIEKRETRWRVQWYYRMCEAAQVGLGKNASVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLNYLRHRDQLVRRSITSLLPRIAHFLRDRFVTNYLKICMDHILFVLRTPDERASGFVALGEMAGALGAELVPYLPLITSHLHDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRGGLLDAMFSAGLSDKLVEALESISTSIPSLLPTIQERLLDCISQALPKSSVRPGAAVGRGSRSSSLQQFVDSGGPVLVQLALGTLANFNFKGHELLEFARESVILYLEDEDCSTRKAAATCCCKLVAHSLSASSSSQFSSNRPNRMGGAKRRRLVEEIVEKLLMAAVADADVGVRSSVFKALYRNPSFDDFLAQADIMTSIFVALNDEEYHVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPIHKALVARLREGTGPNANNALAAGVLATVGELAKVGGFAMRQYLPELMPLVVDALLDGGAVSKREVAVATLGQVIQSTGYVISPYNEYPPLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHKLPGQHREVLRPTMETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILHDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLVSIVRQHIRKYLQEILSLVSELWTSSFSLPAPNRTVQGPQASPVLHLVEQLCLALNDEFRMYILHILPSCIQVLGDAERCNDYYYVPDILHTLEVFGGNLDEHMHLVAPVLVRNNDDLRKDAAEALCCLAHALGEDFTIFVSSIHKLLVKHHMRYRKWDEIENRLLRREPLISENLSVQKYTQCPPEVISDPLDDFGGVPSEEANETQRQPRSHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMNETSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCSKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQHLEVQLKESWYEKLHRWDEALKAYKAKSSQASGPLQNLDATLALARWEDLSALCREQWTGSEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRILGNTTASGDGSSNGAFFRAVLSVRCKKYEEARVYVERARRCLATELAALVLESYERAYNNMVRVQQLSELEEFDPESSPELTLYHGHPQVVLAYLKYQYAVGDELKRRDAFCRLQDLSVQLATATNSYSGTLASQVATSNAGVPLIARVYLTLASWKRALSPGLDDDSIQEILVSYKNATLNAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQIIARIHSNNKIVRELIQSLLVRIGKDHPQALMYPLLVACKSISILRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGPETIKENTFIQAYGHELLEAHECCLKYRATGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLECRKLELAVPGTYSADAPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGNDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRCMLSFAPDYDHLPLIAKVEVFQHALENSEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMQVLRTNKDSVMAMMEAFVHDPLINWRLFNFNEVPQVTNYGNTHSHTVVNSEEAANRELMQPPRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSSLSGAGSSTQHGNEHLASGDTREVEPGLSVKVQVQRLILQATSHENLCQNYVGWCPFW >OGLUM05G08600.1 pep chromosome:ALNU02000000:5:8607301:8609824:1 gene:OGLUM05G08600 transcript:OGLUM05G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESLAPAVRKRVNVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLFSKRSKIVSGVLEVEGETAEREEKGVPDFWLNAMKKNEILAEEYLKDIKWCGVDDPKGFKFEFFFDTNPFLKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHLGYCLTQEVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKNDEYTNQMERDNDIASTLRDKIIPHVVSWFTREAVQDEDYGASWVDDEEEDDDDDEYSDEEA >OGLUM05G08610.1 pep chromosome:ALNU02000000:5:8624759:8631104:-1 gene:OGLUM05G08610 transcript:OGLUM05G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSTTRDRAARVLATGSRWSIATMRIVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGREKSRGIVDDILDVTKSSEKLGKTAGKDLASDKTTYPKLLGLEKSRGFAEKLLSDAREQLFSGFDQEKAAPLLHLANYIAYRQK >OGLUM05G08620.1 pep chromosome:ALNU02000000:5:8637586:8644140:1 gene:OGLUM05G08620 transcript:OGLUM05G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G44635) TAIR;Acc:AT5G44635] MEAFGGFFVDEKAARVENIFLEFLRRFKEADAAEAFYETELEAMRSRESTTMYVDFAHVMRFNDVLQKAISEEYLRFEPYLRNACKRFVMEQRTGENRAPIISDDSPNKDINIAFYNIPMLKRLRELGTAEIGKLTAVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVIFTGTVVAVPDVMALTSPGERAECRREAPQRKNGSGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDIDGDDSERQKFTEEEEDEVVRMRNVPDFFNKIVDSICPTVFGHQEIKRAILLMLLGGVHKITHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERVIMHVESSEVDLSDFQDADDGTNVPADNDAGQPTEMDAAPQQDGPENEQAADTGKKKLVITEEHFQRVTQALVMRLRQHEESVTKDGDGLAGMKQGDLIIWYVEQQNAQGAYSSTAEVKEEVKCIKAIIERLIQRDGHLIVIDEGAAPAADDGAARRTSESRILAVNPNYVID >OGLUM05G08630.1 pep chromosome:ALNU02000000:5:8655774:8657780:-1 gene:OGLUM05G08630 transcript:OGLUM05G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTWANLVQLWSGWEIQLMILLSLFLQLFLFFTGGLRRRRTNKLILIFIWLAYVGADLVAVYALGLLSRYEYKSKIGSDSLTVIWVLFLLVHLGGQDTITAFSIEDNNLWLRHLLNLVVQVSLPLYAFCNSFGQISLQLVVPAIFIFVVGIIKYGERTWALKCGSLDGLQSSAGGYKDKEQEEQKDNKYGSYLSKVFYAHQMVLYARGLFAGVTVSQLGQKVRKELTHVTPKHGHRMADVAITYTLFAGALLMEACAIGVVAASPLTWARWRRHNCVNLLAIGKIIGAKEERSIVPISLGQFSLATCALHDGSTPRIMSKALYAFGLEKIYRDVRHVKHVEATEIVGCFVDCFNNRPSNNDQDEVIMGVSKEGNVDVRLNLLSISQRFEVGIIQLHLFTDICTTSVLLFGLLEAGIWEEKTGIVWECVMLSNYMMYLLLAHPSMLPVSSDYAVMEHLNYMAKLVDMYKYAGGKLDPHARMDLLLKALEQMTSNTNSDLIVVARELIDITRVWAMDSNIEEINELGRGPLRVNGTLLKLVKETWILLLIYAASKSRGELHARRLDDGSELLTFIWLLLVHHGLGNVAEFGLTLGCYVTSEAPPSLSEMIDVL >OGLUM05G08640.1 pep chromosome:ALNU02000000:5:8661980:8664666:-1 gene:OGLUM05G08640 transcript:OGLUM05G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGGTVRRPPSLTIDRLLSDKESHRRWRGSCINVDLPSMADFSDSDTTSQATGTVPSSALTTPLPQPFLFMVVIDGHRN >OGLUM05G08650.1 pep chromosome:ALNU02000000:5:8664954:8665577:1 gene:OGLUM05G08650 transcript:OGLUM05G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWPLGALPPSLSAVCGRWRSARTTRRQWAAPRRALEDKGGGTAAGADTAWGGGMAAEVARGVEDNGGWQWDNGKRGSVTALFFRMEATDRPAPNPTVLRHPALWPSAARTSDGDRRRMDLRRQALSATPLLCHSGESFAAAKGNSHLFTATRCMAGVVGPSPPRRSDGAPNSTAGQLLRSCCLSPTQIPHRRWAPAAGYRDLSSV >OGLUM05G08660.1 pep chromosome:ALNU02000000:5:8670106:8670983:1 gene:OGLUM05G08660 transcript:OGLUM05G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAGHRRSPVSAVAGSAGAGGTTRSERRVPLRNLINVVGGRARAAKAEVCAVWLVAGFWGGYVARPISSAKSSLTGTVANVKKGFLAVADVGFYRWGYDKIIYL >OGLUM05G08670.1 pep chromosome:ALNU02000000:5:8673541:8676857:1 gene:OGLUM05G08670 transcript:OGLUM05G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGERAVMASSRVPLVVSSAPCLLAPPPRRVAAEQGRAGRRSPSQRRGGDPEGGSVPKPTSGQFDQAIPHRGSTLQKELACSPYLPTIVPSVGHHDCSSGLSDNSMSKEDAMSTGDSTESLDIDCLDDGDSEVVSSLQHLADDKLHISDNRDVAGVASKWTKHGCNSVEIDYIVDIDNNHEDPQLCATLAFDIYKHLRVAETKKRPSTDFVETIQKNIDTSMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSSKVINRRKMQLLGVACLLIASKYEEICPPQVEELCYISDNTYTKDEVLKMEASVLKYLKFEMTAPTTKCFLRRFLRAAQVCHEAPVLHLEFLANYIAELSLLEYSLICYVPSLIAASSIFLAKFILKPTENPWNSTLSFYTQYKPSDLCDCAKGLHRLFLVGPGGNLRAVREKYSQHKYKFVAKKYSPPSIPAEFFEDPSSYKPD >OGLUM05G08680.1 pep chromosome:ALNU02000000:5:8678604:8682231:-1 gene:OGLUM05G08680 transcript:OGLUM05G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRVHVVLFVYITVILTAVAVHAQRDTCGAELNTFLPAPFNSSALLCKSVWNSFILRYSKLKSEDNITSIVLSAPYSSGWVGIGFSNDGKMVGSSAMVGWIDNQGRAYIKQYYLSNQTSSGVKVDEGKLLTTDVPSAAVLYGDNIYLVFQVKFHLHIARQSVILAFSKISPNKFHLAEHDDKTTLSFDFSSGDSVSTYYPYQLKRNHGAFAIFGWGVLVPLGAIAARYLRHKDPLWYYLHVLVQFLGYIIGFAGVVSGIALYNRTHSNFTTHRSLGISVLALGSLQVIAFFLHPNKDSQVRKCWNQYHHWLGRICIFLAAINIVLGIELSDTNISWKVIYGAIISVMIISTTFLEVMMCTKLPKEGTCNGGLQMPTHHPNS >OGLUM05G08690.1 pep chromosome:ALNU02000000:5:8683825:8687526:1 gene:OGLUM05G08690 transcript:OGLUM05G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDFSPHKNSTEQNRTSFEEDDQFSIRSAPSKPSPDKKSKPKNKPNHHSDKTANPPPQRPETTAAPVTTTAAAATAGTNRGEAVSNGSLDSISSGSTRSNSLESSSTGAAAAPTVLTTSASTASVSASGQNVKRHTGGDSRWEAVQAATARDAPAPLSLAHFRLLKRLGYGDIGSVYLVELRGTSAFFAMKVMDKASIASRNKMARAETEREILGLLDHPFLPTLYTHFETDKFYCLVMEYCSGGNLHSLRQKQPSKHFSEPAARFYVAEVLLALEYLHMLGIVYRDLKPENVLVRDDGHIMLSDFDLSLRCTVCPTLVKSSSVHATGSGGSGSGGGIGSRGDAIDGGESMPANQGCIQPSFFPRILPRRSRKASKSDMGLLLNGAAAVEFNAEPTEARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGATPFKGAGNRATLCNVIEQPLRFPSDGGASAVARDLIRGLLVKEPHKRIAFTRGATEIKQHPFFDGVNWALVRSLTPPSVPEPVDFRQYAAAASATTPKDKKPPENAAAAAAPAAADGGGAAKSSTGESYTDFEYF >OGLUM05G08700.1 pep chromosome:ALNU02000000:5:8688171:8688521:-1 gene:OGLUM05G08700 transcript:OGLUM05G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRRVLTPFGLDTADPVLTEVELAPSRPDREGAHRPRRIQDRRRRSWCRWRRSWHRQRRPWRARAEEARDGQVRLLREGRGGEEAVEVRAAWEKQAAQLVATVGRHGARCVAAAT >OGLUM05G08710.1 pep chromosome:ALNU02000000:5:8692203:8693752:1 gene:OGLUM05G08710 transcript:OGLUM05G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAAQVPRRQRSRREESMERTEGQVVVSTPSGSTGNGDGDGALVVSTTSSDRNRTPRVRPRETTTVAAAADRSVLGGNGGGSVDVADGDSNNAEKEQRRKEEFDRERRRKGKMVAEEESPSTASGGGMPIGLPAADKLMGDAIRAIGTCKNTKRRGHGKFWASLGRDGASTSSAGSDMVVPLDDIAVVHDRLRSLLNGLGAVSPPVRVYGKMMWRSDRLKSQNRLQISRKKDGELSPFDSILTLAEKSAATSKRKKKSSKPKNKKNGESKEKKDEHKEYNDNGTNKPNNEPNNGDDGLFVQAYDRTGEEYILTLKYIKANNSYRLMGRPWKTFLKNCSLTFQEDAKKKGKKAIKKVKKVAIANEAMIDLWVFRSQKLSHGKDDHNDGRLGLVMVHYFKGDAPHADAAFKANEELLLLAPKKRKKKHEGASSSHDAVTEALPQEEPNVVTAVELEIAAVMAGETGVQGGAPEPHHGVEVVMEGVQLEIRGIDLEVLLAAQTLFEMKNSGRR >OGLUM05G08720.1 pep chromosome:ALNU02000000:5:8707811:8711458:-1 gene:OGLUM05G08720 transcript:OGLUM05G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSECYPVYRKEEIGKCIKNASKFIENKQRKDGSWFGTWGICFTYGTFFAIKGLVAAGRTYENSSSIRKACNFILSKQLSTGGWGETYLSSETEVYVGASRSHVVNTAWAMLALIYAGQVERDPSPLYHAAKELINMQLETGEFPQQEHVGCFNSSMYFNYSNYRNLFPIWALGEFRRRLVLRKN >OGLUM05G08730.1 pep chromosome:ALNU02000000:5:8711586:8731222:-1 gene:OGLUM05G08730 transcript:OGLUM05G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAKQNRVQVDAPTDELVYSTQVTEEIILASLRRALTQHSALQAHDGHWPGDFSGIMFIMPILNEDGGWGKEVLGLSTMFGSCLNYVALRLLGEERSNDALTKGRAWILSHGSAAAIPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPGRFWVFCRLIYMPMAYLYGKKFVGTITPTILHIREELYSVPYNEINWIIARDTCAKEDLRYPRSFVQNVIWTCLNRIVQPILNLWPLNNLRHVALNNLMKHIRYEDECTKYIGLCPINKALDMICCWIDNPNSDAYRLHLPRIYDYLWVAEDGMKAQVLENTPDNEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKALLMLSKISPDLAGDAINGERLYDAVDGMLSFMNKDGTFSTYECERTTHWLEVLNPSETFLNIIVDYP >OGLUM05G08740.1 pep chromosome:ALNU02000000:5:8838293:8840286:-1 gene:OGLUM05G08740 transcript:OGLUM05G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G71680) TAIR;Acc:AT1G71680] MSATEVMEECTETARERREEERLRNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWPTGVAAIASSFAITLYTLWQLVELHEPAPGGGKRFDRYHELGQAAFGRRLGVCLIVPLQLIVQVGTDIVYMVTGGQTLKKFVELACDGRCADIRLTFYIMMFASAQFVLSQCPNFNSISAVSAAAAAMSLCYSMIAFFASVLKAHPAAAAAVDYGFKATTAAGRVFGAFNALGAVSFAFAGHNVVLEIQATIPSTPERPSKRPMWRGVVVAYAVVALCYFTVAFGGYHAFGNAVAPNVLISLEKPRWLVAAANLMVVVHVIGAYQVYAMPVFDMIETIPCIIWLIMRKPAKYSLSWLMNWCFIIIGMLLMLVSPIGGLRQIILDASKYKFYS >OGLUM05G08750.1 pep chromosome:ALNU02000000:5:8845325:8846549:1 gene:OGLUM05G08750 transcript:OGLUM05G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAMLETRVGRFRGPSMAALLAEMWEPLAMTPTLTAPTVRRRRRDPRRAPPLYIQLLPRTVIRGRETEQMKRKIERRKTATLGLSLI >OGLUM05G08760.1 pep chromosome:ALNU02000000:5:8851515:8857108:1 gene:OGLUM05G08760 transcript:OGLUM05G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW38] MDMDSVECLSLPDSSMDVDDVDGGGAVHHHHHHHHALPPHLPAGVAVGVGPGGRAFPKVNVAGVGGGGAAGAAAAGGAVAGGGGPGGGPPATSVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >OGLUM05G08770.1 pep chromosome:ALNU02000000:5:8861554:8862883:-1 gene:OGLUM05G08770 transcript:OGLUM05G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSPEPPRYYAAAGYHRPSPSSSVAASCVVAALFILLAAGGAAAALFLLYRPQAPAIAVTAVQLPSFASRNGTVAFTFQQLASVRNPNRSPLAHYDSSLRVAYAGGEVGSMYIPAGQIDGGRTQYMATSFTVPAFAVTSSAAAAASSSPAQTITVPASGPSPAAVGAVALQQEQPPPQQQVAAPPVMEVDSLLVVKGKVTILRVFTHHVVAAKFQLDAYDFGCKLKYSQKCKLKMLFSHIRQIELIFSGHDWTFNRVSRQFAVIYFSSLNCLVSIIVGS >OGLUM05G08780.1 pep chromosome:ALNU02000000:5:8872258:8872509:1 gene:OGLUM05G08780 transcript:OGLUM05G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRSELGGSATQSVPRTWSQRSDLTINDVAITQAVIGKLVTGVGYLSLTWSTVVLLGGFVSTVPINEFWFLTAISLVLAST >OGLUM05G08790.1 pep chromosome:ALNU02000000:5:8888332:8890723:1 gene:OGLUM05G08790 transcript:OGLUM05G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETFTAFQKSAKKHSTAAFVFGSMCGIVLMVLAQIVVVFLALLIEAVLIINMLGPMASMVISMIRLIRHDYGDDNGNLRAALFIFYSLALAHSVCFYCWFLLQYFLEKLSKSASKEFGLNKDFGGQILLLQYLRETKAKCADDLSLPGGWNMVTYAVGLLKSVSRDDHLDGLRMLDAFVVNKRPSIRLELLSSSESIQNLIKMLQWTGPALEDQEMRERAARIVADVATGALHIVQIPGALQCISSLLQVSPLRQYCQEVEKEGPQKQDQDKEGGEEEKDKNMNTAIDEQITDRLLRMDRRAKKFLFGTMDEQSPFKPQGTRELIHQGLQILERLACDDQNCREICCNQRLLTKIIAPITSPALLHTDYDNAWVDILSILLRLVRLLISAPGEASTRVCHDISVCEDAIRNLLGILGQNATYHMQLQENAMEILTEIAIGSPAIMAEDFIRKLWCIFLSNRGTSRLRRKAGEQLAKLLSAQGANGQVFVKDVFCENDTVVAQLIDILVQDKECQISAAAILEHLCCHFVRYNELSELCVVKLLRMILDLISKMEIKEETVPGAGESNCFDVHNDEESKPPKQSGPKKPWVPKNDELSEETKFLAALMSLLVVICNKMVDAHVFCYVTSVDAALVKKLKKIIEANNKNTADCLRIVKLACQVVIAIIHLKPSCIKDFNGNHFDAVLSTALKNMSDIDNCMLFAVQDCQITKPARTLSSLVKEAQGLLQNAQDVTVLSD >OGLUM05G08800.1 pep chromosome:ALNU02000000:5:8895014:8896711:1 gene:OGLUM05G08800 transcript:OGLUM05G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPPKNPKEGMYGISTAMLLSTHEKRVRRGSRCTWCRGSGLEEDCFDSVQHCTAGMKGGGACTEDGREAAPALGRKGMRCLRGSWRMRWEALAPPPVRDERRRRRATRQQGEAAREPLEKRRREAARSGGFPPHQPMHMKAVSLSFIPVRVDKKHDGKGVATVDAMVVAKERQVGRRRGCDPIFIWTRSPYS >OGLUM05G08810.1 pep chromosome:ALNU02000000:5:8900827:8901102:1 gene:OGLUM05G08810 transcript:OGLUM05G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNNNNNSVIQGQHEGGSSAAALQAGRPEPDALINSIAIIRAFTAKVVAGVGFLALTWSTVVLLGGFVSALPIKEFWFLTFISMILAST >OGLUM05G08820.1 pep chromosome:ALNU02000000:5:8904516:8923689:1 gene:OGLUM05G08820 transcript:OGLUM05G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLYSRTTRRRSNWTPSTLAANPQPLLFPGLVFGLTQVNHPVSWCWIWPAGAEGFRPRGGGESGSSLAPVTKLLCAAQEPPTELATMELVTGAMESLLPKLCELLKEEYGLQRSVREKVRSLSRELEVVRAVLRKIGDVPSDQLDEQVRLWVRDVREASYQMEDIVDTFLVRDGDDGPENTDSRILQRLRKKISKLFKKMKARRMISSLIQDINEKLQEVAARHGWYTVDNIDLRFVPATTIDPRLHNLYKRATELVGIDGPRDDVINKLSLGGDVDVADKKMKIISIVGFGGLGKTTLAKAVYDHFKPHFKRRVSVPADQDPDMNKIIDDQVKPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYMNSNIMVLDEKQLMDEINEFVKEKRCFIVIDDIWNRQPWNLIKCALQESDCGSRLIITTRIYEVATHAGEVYKIQPLSRDNSEKLLLARIVDGEGKYFNNPSAEACEIILKKCGGVPLAIITIASLFASKPGEDWSEVYNSIGFGHEDNDDVACLLYLCVFPEDHVIEKNSLIWKWIAEGFIHEEQAAEVGLFELGERNFQGALLADVTNCRESEITELVQRRSLLHLRYLGVVHTYITELPREVRYLKFLQTLDLWGTHIEELPEEVGLLTQLLCLRANEGTRVPSLGNLHNIRDLHIGGSPLHEGRTTDARSISYQHLQFLWLKCFMFSGLPAWIESSLAPNLSYLYVDVLVVKEQDMETLARLPELRRLILHSYDTKLISIKIRTEGVCYFRKLRILSIDGIFIWFDLHGSGCNIRGNNSLASLIMPSLESLDFIVHVRFLKDATQLSFGKLLGFENYGRSSLQKVTMGIKCGGARTSEIEEVVAAFMNSAAVHPKHPTVQTKWLEEEHVLSPYQEACMDVSRTCELVNKAWKANIVSSGHIRALHMPDPAASPSKVVSLLYRRNGKGLLVLSSNAIHMMWKWESSDENLCGMSNASVPPQLWQPESGIVMTNESTNGNPEEAVAFSALSKNGSYLISASGGKVSLFNLITFETMTTFMAPPPSATFLAFHPQDNSIMAIGMEDSSTLIYNVRVDDVSVKTVLKGHQKKITGLAFSLLMNLCVWSTDGWAKKKSRYIQPPSNRSGALAGDTMVQFHYDQKRLLVVHESQLAIYDLELECLCLWSPSDPLPAPVSSAVYSSDGILIYAGFCDGAIGIFEEKSLMLQCRIAPSAYIPSSVSSGGGIVYPMAVAAHPWKPNQFAVGMSDGEVHVLEPLDTHGRRDSGERCRPMTASTQ >OGLUM05G08820.2 pep chromosome:ALNU02000000:5:8904516:8923689:1 gene:OGLUM05G08820 transcript:OGLUM05G08820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLYSRTTRRRSNWTPSTLAANPQPLLFPGLVFGLTQVNHPVSWCWIWPAGAEGFRPRGGGESGSSLAPVTKLLCAAQEPPTELATMELVTGAMESLLPKLCELLKEEYGLQRSVREKVRSLSRELEVVRAVLRKIGDVPSDQLDEQVRLWVRDVREASYQMEDIVDTFLVRDGDDGPENTDSRILQRLRKKISKLFKKMKARRMISSLIQDINEKLQEVAARHGWYTVDNIDLRFVPATTIDPRLHNLYKRATELVGIDGPRDDVINKLSLGGDVDVADKKMKIISIVGFGGLGKTTLAKAVYDHFKPHFKRRVSVPADQDPDMNKIIDDQVKPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYMNSNIMVLDEKQLMDEINEFVKEKRCFIVIDDIWNRQPWNLIKCALQESDCGSRLIITTRIYEVATHAGEVYKIQPLSRDNSEKLLLARIVDGEGKYFNNPSAEACEIILKKCGGVPLAIITIASLFASKPGEDWSEVYNSIGFGHEDNDDVDHVIEKNSLIWKWIAEGFIHEEQAAEVGLFELGERNFQGALLADVTNCRESEITELVQRRSLLHLRYLGVVHTYITELPREVRYLKFLQTLDLWGTHIEELPEEVGLLTQLLCLRANEGTRVPSLGNLHNIRDLHIGGSPLHEGRTTDARSISYQHLQFLWLKCFMFSGLPAWIESSLAPNLSYLYVDVLVVKEQDMETLARLPELRRLILHSYDTKLISIKIRTEGVCYFRKLRILSIDGIFIWFDLHGSGCNIRGNNSLASLIMPSLESLDFIVHVRFLKDATQLSFGKLLGFENYGRSSLQKVTMGIKCGGARTSEIEEVVAAFMNSAAVHPKHPTVQTKWLEEEHVLSPYQEACMDVSRTCELVNKAWKANIVSSGHIRALHMPDPAASPSKVVSLLYRRNGKGLLVLSSNAIHMMWKWESSDENLCGMSNASVPPQLWQPESGIVMTNESTNGNPEEAVAFSALSKNGSYLISASGGKVSLFNLITFETMTTFMAPPPSATFLAFHPQDNSIMAIGMEDSSTLIYNVRVDDVSVKTVLKGHQKKITGLAFSLLMNLCVWSTDGWAKKKSRYIQPPSNRSGALAGDTMVQFHYDQKRLLVVHESQLAIYDLELECLCLWSPSDPLPAPVSSAVYSSDGILIYAGFCDGAIGIFEEKSLMLQCRIAPSAYIPSSVSSGGGIVYPMAVAAHPWKPNQFAVGMSDGEVHVLEPLDTHGRRDSGERCRPMTASTQ >OGLUM05G08820.3 pep chromosome:ALNU02000000:5:8907832:8923689:1 gene:OGLUM05G08820 transcript:OGLUM05G08820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMESLLPKLCELLKEEYGLQRSVREKVRSLSRELEVVRAVLRKIGDVPSDQLDEQVRLWVRDVREASYQMEDIVDTFLVRDGDDGPENTDSRILQRLRKKISKLFKKMKARRMISSLIQDINEKLQEVAARHGWYTVDNIDLRFVPATTIDPRLHNLYKRATELVGIDGPRDDVINKLSLGGDVDVADKKMKIISIVGFGGLGKTTLAKAVYDHFKPHFKRRVSVPADQDPDMNKIIDDQVKPHFECGAFVPVGRNPDMKKVFRDILIDLDKEKYMNSNIMVLDEKQLMDEINEFVKEKRCFIVIDDIWNRQPWNLIKCALQESDCGSRLIITTRIYEVATHAGEVYKIQPLSRDNSEKLLLARIVDGEGKYFNNPSAEACEIILKKCGGVPLAIITIASLFASKPGEDWSEVYNSIGFGHEDNDDVDHVIEKNSLIWKWIAEGFIHEEQAAEVGLFELGERNFQGALLADVTNCRESEITELVQRRSLLHLRYLGVVHTYITELPREVRYLKFLQTLDLWGTHIEELPEEVGLLTQLLCLRANEGTRVPSLGNLHNIRDLHIGGSPLHEGRTTDARSISYQHLQFLWLKCFMFSGLPAWIESSLAPNLSYLYVDVLVVKEQDMETLARLPELRRLILHSYDTKLISIKIRTEGVCYFRKLRILSIDGIFIWFDLHGSGCNIRGNNSLASLIMPSLESLDFIVHVRFLKDATQLSFGKLLGFENYGRSSLQKVTMGIKCGGARTSEIEEVVAAFMNSAAVHPKHPTVQTKWLEEEHVLSPYQEACMDVSRTCELVNKAWKANIVSSGHIRALHMPDPAASPSKVVSLLYRRNGKGLLVLSSNAIHMMWKWESSDENLCGMSNASVPPQLWQPESGIVMTNESTNGNPEEAVAFSALSKNGSYLISASGGKVSLFNLITFETMTTFMAPPPSATFLAFHPQDNSIMAIGMEDSSTLIYNVRVDDVSVKTVLKGHQKKITGLAFSLLMNLCVWSTDGWAKKKSRYIQPPSNRSGALAGDTMVQFHYDQKRLLVVHESQLAIYDLELECLCLWSPSDPLPAPVSSAVYSSDGILIYAGFCDGAIGIFEEKSLMLQCRIAPSAYIPSSVSSGGGIVYPMAVAAHPWKPNQFAVGMSDGEVHVLEPLDTHGRRDSGERCRPMTASTQ >OGLUM05G08820.4 pep chromosome:ALNU02000000:5:8904516:8911830:1 gene:OGLUM05G08820 transcript:OGLUM05G08820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MILIAVTQCNRVLSVYDYVNETYAARVNPTFGMITGGYFTFLSKFEANLSAGCAVRCWRLCVMLLVLLIAMALLIGLLLIDAVFIVSLVGPMATMAISLTRLIQHDYDGSDASSKGKLRAALFIFYSLALLHSLCFYFWLLLHFSLEMLPIPASIEEYGHGDGGYYQMLLRQYLQETKTKCANDPKLPGDWNLVTYAVGLLDSASPDDHLDGLRMLDVLAINKQRSVRLELLSSRHSVQNLIEMLEWDGPDQEMRERAARIVADVAGALRVAQMPAGALHCISSLLEASPRSDTLKKPKGGKELIHRGLQILERLARDEHNCREMCNAQWLLPKITAPITSPALLETEYDNEWVDILSILLRLVMRLISAPGEAGTVLCHEISASNDAVHNLLGILDGQIEFSLQLQENAMDVLSEISIGLPAAMTENLVKKLYHIFLANSGMMSGLRPKAGEALVKLISAQGARGQVPVMEIFCKSMSMEQICESESTDALDVKRYGTVVDQLTDILVKDKECQISAAAILEHVCSRFTRSYELLEQDVVKLLMSILDLILYCKTEGDEETVSEARESNNSEMHNDEESKPPKPAGLKKSLVEKNDELSEERKHLAALLSLLVVICDNLVDADLFSYVTSVNDELVKKLKKIIEANNENTADCLRIVKLTCQVVIAIIHLKPSCLTDFNESNFNDVVSTAFKNMSDIENCMLFAVKDRQITKPARTLSSLVKEAQGLLHNAQQAGNSST >OGLUM05G08830.1 pep chromosome:ALNU02000000:5:8932395:8934848:-1 gene:OGLUM05G08830 transcript:OGLUM05G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDAVSRRETSPPAALEEEMATVTQAPAPSTPSMVGNPDGGAPAAAADQSATGGEALVGEGRLRKGKMVAEDQSPPSVSGGAPADLHPGGDKLAENGGGTDTLLLGARRRQEDAIAMMTPSMKENVRKCKMAGLRAAAPAARWRPRLAAILGAGRPPHVAADEATPPPGAKKPKPDHTAFFWTALGHNMASTTSAGSDAGATLDNIAVVDDNLRANLRYLVASPWRPPARVYGKKMTFTDRSVAQHRLLMSCKGWHSTHRGGDEPFPFEELLTPAEKAAAADGDEGLRVQAYDRAGRDYDLKCKFLSCNDAYRLILEWSEFLKENHLDVKDKNAAMANEAMIDLWAFRSRWLSHGVDGHEDGRLGLVMVHYFRGDAPHADAAMDVHDALMQARKAKLKKNKEEAGSSSSSSSSKVKKNKEENGSSSSSSGEALAVVGALPEEKNGDAGGEADVQGGAPAAVEMSEQERLAQAANGMRLPLDH >OGLUM05G08840.1 pep chromosome:ALNU02000000:5:8939918:8944550:-1 gene:OGLUM05G08840 transcript:OGLUM05G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVENSMDDDHFQQNMGNGDGMNVEGGSMEIITRVELELAFASEKLLNLEMLVMEIARKATDFEPPTLEDESVSSETAESAFELDILYGFLDAEVGELDDMISTLETDIQNVEHMVCQDESGGKIKARLDAAMVSLKQMKELVSDIRKESAKFEKAIEFPHDKEGITGDAGYENGIVSSHTSMRTEDQRRNVLQMLEQSIASELDLEKKLSESRCIIEELKLKLHHHDQEKYFLEESIESLCGKTFAAENASEVLLGTSKELVDKVSTIECHLSASRCREGDLESKLGESLMGLSSLQVNAENIQEGSQHSGGTETHPSPELPSLQDKVKELEKQLRESDSQLQLAKASAETFQEEQNVLHAEISTLENIIKSLKEDVSRAESRAQNAELRCMQLTEANIELNGELNSLKSHGSEKTSLLERKLKESHTQLEHAKASLDATVEQQSMLRSTMSDMEHMIDDLKGKVLKAETRAENAESKCTLLTDTNLELSEELSFLRGRAESLENSLHEANHVKMSTVKDIGIRTKIITDLVTKLALERERLHQQISLLTKKNKILAQKCKGSVKDDTQLSKNVTGKDVELHSTKLAEEIVPDFSSSQTKAEKPVDPSNEEEKTRSSEDDDSAGEGTAEAVRTIQPSVLNWKYITVAFLILLVAVFIYLPLPEESSSV >OGLUM05G08850.1 pep chromosome:ALNU02000000:5:8946846:8950723:1 gene:OGLUM05G08850 transcript:OGLUM05G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYDEGKSFARRDFLLKIQSEVQKCWEENKVFEAKAGDTPPSPGEKFFGNFTYPYMNGMLHLGHAFTLSKLEFAAAYHRLHGSNVLLPFAFHCTGMPIKASADKLAKEIQQYGNPPVFPSANKNSKVELTNDRIYGQTTASAQDKYRSKRSKSVAKSGSYKSQWEIMRSFGIADGEIVEFQNPHHWLSYFPPLAMEDLEDFGLSCDWRRSFITTDMNPFYDAFVQWQMRKLKKMHRIVKGKQYMIYSPLDRQPCLGHDRASGEEVEPQEYVLIKMKVIPPFPPKLMVLEGRNVYLAAATLRPETIYGQTNCWVLLDGKYGPFEINETDDFILTARVARNLAYQMLSRVPEKPTCLVELLGTDLIGLPLKSPLAFNEVIYVLPMQNILADKGTGIVTSVPSDSPDDFIALQELVKNQDFRVACGVKDEWVFPFKVIPVIDVPGFGKKSAEKVCFDLKIDSPYEKEKLAKAKEITYLKGFDDGIMIVGEFSNRKVQEVKPLIKEKLLKADMAVLYYEPQEKVISRSGDDCVVALTDQWLITYGVAEWKQKAIECLDKMNTFSVEARNIFEHTLNWLTSQACSRSFGLGTRIPWDEKFLVDSLSDSTLYMAYYTIAHILQNGNIYGSDNSYIRPEQMIDEVWDYVFCNGPAPKTSIPSIVLTKMKQEFEYWYPFDLRISDVESTGNFRTLLQAIEEFSSDATRFALADAGDGMDDANFVFETANSAVLRLTKEISWMKEVFDDKSSFRLGPPSTYADHVFANEINFAIKDTEKNYDASMFRDALKTGFYDLQAVRDEYRFSCGAGGMNRELLWRFMDVQTRLITPICPHYAEHVWQKILKNERFVVRAGWPIADDPDSTLRITNKYLQSCIVLMRKSLHKQESCHKVAKKGAAASTTFAENKLSVGLIYVNEHYDGWKEQCLRVLQSRTNLTLKQDPSLQMKTLLMLWFTASGAFSVSSAYEFFFYTSVKCPHGELIWKTKAPARLRTWLNVAFPLPDQLGLELAEWWQQGFP >OGLUM05G08860.1 pep chromosome:ALNU02000000:5:8953462:8986957:1 gene:OGLUM05G08860 transcript:OGLUM05G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARTLLPVGGAAAVAVPRRLRSGEASSTSLRGPVSDGARLVRRMQLRPAPLLGSSTCSLPSLRGRRPIRTQAASSSSSDSTGEAKPVGFAERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLALWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >OGLUM05G08860.2 pep chromosome:ALNU02000000:5:8953462:8975464:1 gene:OGLUM05G08860 transcript:OGLUM05G08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSARTLLPVGGAAAVAVPRRLRSGEASSTSLRGPVSDGARLVRRMQLRPAPLLGSSTCSLPSLRGRRPIRTQAASSSSSDSTGEAKPVGFAERYPALVTGFFFFMWYFLNVIFNILNKKIFDYFPYPYFVSVSHLLVGVLYCLVGWSFGLPKRAPINSTVLKLLFPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFILGQQVPLALWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTLRSVYSKKAMTDMDSTNLYAYISIIALLVCIPPAIIIGQVECELSHASMHSTWNRCLHAGSRRTTSPTCTSPRHMTHCAHPDPAAAPPSSPPPPPPPFALLYSNAGIADTMAAAATSPPPPLLPVLRAAA >OGLUM05G08860.3 pep chromosome:ALNU02000000:5:8975464:8986957:1 gene:OGLUM05G08860 transcript:OGLUM05G08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHDEDHVVGRRRRRRSAHGGAPPPDPEIEGPQLVQHGFKDAIAKVGLAKLVSNLLVVGLFYHLYNQVATNTLERVTPLTHAVGNVLKRVFVIGFSIIAFGNKITTQTGIGTCIAIAGVALYSYIKAKIEEEKTQMKSA >OGLUM05G08870.1 pep chromosome:ALNU02000000:5:8975101:8975757:-1 gene:OGLUM05G08870 transcript:OGLUM05G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGISPAVNHDLQPGGRSPYDGDDGDRTLVVLLTFGIFFSFVILYLVAGLIWAVVITAAAVVLSFLYLRVRRRRAAVGGSAAAAAPNDVVFIVGAHQAAARSTGSSGGGGDVAAAAIVSAIPAFEYKRANGGGGGGGEDGGAAAGSGWAQCVICLGLVQVGEVVRRLPACKHLFHVECIDAWLSSHSTCPICRADVVDELATAAAAAADGRLELPV >OGLUM05G08880.1 pep chromosome:ALNU02000000:5:8999564:9001250:-1 gene:OGLUM05G08880 transcript:OGLUM05G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin family protein [Source:Projected from Arabidopsis thaliana (AT4G19400) TAIR;Acc:AT4G19400] MAADWMWARRSWEKWAGKHVGASGKPVKAALLLNYDPTGPSRLLPVVAEQEGTELKAVDLLPFLDFVRRNNLQMEFFSIGSNQYLVTSIHEHWLCARCVNAVQPEGEGVIIMEIGAYLYDGSLGSASQAMVAVDQFAWHFNRRTH >OGLUM05G08890.1 pep chromosome:ALNU02000000:5:9050002:9050699:1 gene:OGLUM05G08890 transcript:OGLUM05G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREKKSFVPESEDEACGGDPNSEPSPDGCVVPDSEDEACGSDPDSELPPDGCIVPDSEDEACGGDPDSELPPNGGFVPKSEEKACGGDPTPNHLPTAASSLNSRMRRVAATPTPSCLLTAAPSLVGM >OGLUM05G08900.1 pep chromosome:ALNU02000000:5:9051883:9058480:1 gene:OGLUM05G08900 transcript:OGLUM05G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQKSLRDPMWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSSNNLSGTVPTSLQEKSDSGQMILKTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDATDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDLPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIKAITDPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRYVACE >OGLUM05G08900.2 pep chromosome:ALNU02000000:5:9051883:9058480:1 gene:OGLUM05G08900 transcript:OGLUM05G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQKSLRDPMWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLTGNNPNLCGNHTCDPISNKNKRNKFIGFVIAAAIVATVFALSLSALFIWYRRRKTNPDVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDATDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDLPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIKAITDPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRYVACE >OGLUM05G08900.3 pep chromosome:ALNU02000000:5:9051883:9058480:1 gene:OGLUM05G08900 transcript:OGLUM05G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLQKSLRDPMWEAAFVVTLSLLFCIHGQLDNLGFISIDCGYITRPSYPDFKTNLTYVADVGFTNTGFIHTVDVGNLQRDLAQRYTTVRYFPNGTRNCYTLKQLTRGGKYLVRATFGYGNYDAFNSPPAFDLYLGANYWVKVNITNSSRAYVHETIAVCLVNTGSGTPFISGLDLRSLPANFYPEANVAQSLVLLSFFRETVSFGFNRFHFGTDEHHIRYPVDRYDRFWQRYEDIPGWEDVPDKINGTVKSPQNDTYGAPSDLMRSASTAVNASRMDLPWSSDASMDVGIGPEYIVVLYFAEVQAISDNLLRQFLVSVDNTPLAAAFSPRHMLADVFSGTVLGSDQHSISLITTIISDLPPLISAMEIFLGRTLNESSTGSSDAIAMMTIQTKYSVKRNWEGDPCAPEAFVWDGLSCIHTSIGDIQYNPRGLHRITALNLSFSELIGDIDASFGQLLLLRHLDLSSNNLSGTVPTSLQEKSDNVLPEADPYKSRRFKYKELQVITNDWRNVIGEGGFGHVYAGQLEDATDVAVKVESQTSLRGNHKQFLAEVQHLTRVHHKNLVSLIGYCNDKKHRCLVYEYMDGGTLEGRLRGREDLPEPPLTWLQRVNIALGSANGLNYLHTLCSPRLIHRDVKAGNILLTANLEAKISDFGLTRPSIHGTVETRTITQLAGTPGYMDPESLQASHPSESNDVYSFGVVLMVVITGRTAIVTINGTEKNLAQCVRDWLSSGRGIKAITDPMIRDDCNLSSVEMVAQLALDCTEPAGQDRPTMADVVTTLTESLQLEMSWSSPHSMRSSTTISSSAGFTGSGRADHDAAADSIAVLQVEQAVVETSTRYVACE >OGLUM05G08910.1 pep chromosome:ALNU02000000:5:9061400:9061923:-1 gene:OGLUM05G08910 transcript:OGLUM05G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDIAEMAGEARSEVEKTRMAALAAGSRFIYAKRILQALEQAGEVALSLIESLKHKATSVVAATTKSTFMRCGSVPAVRGQQDDDTGEDQKLDSGPLHTHATGPTHAVGPTDQQ >OGLUM05G08920.1 pep chromosome:ALNU02000000:5:9062308:9062834:1 gene:OGLUM05G08920 transcript:OGLUM05G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEAEVKLSIFEDAKSAFDTTEMAGEAGSEVERTRMAALAAGSQFIDAKRILKALEQAGEVALSLIESLKHKATSVVVATTKSMFMGCGSVPAPGGQQDDNNAGEDQKLDSGHLHTRASGPTHAVGPTDQQ >OGLUM05G08930.1 pep chromosome:ALNU02000000:5:9075204:9076097:-1 gene:OGLUM05G08930 transcript:OGLUM05G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLAPLLVLLLSSSLAAGQSTGDTVVFWGRNTDQLEGSLREACDTGLYTTVIISFLSAFGYIPGTYKLDISGHTVSAVGPDIKHCQSRGILVLLAIGGQGGEYSLPTSQAAVDLEDYLWNAFLGGGRPGVARPFGDAVVDGIDFFIDQGATEHYDELARRLYAHNKDYKGRLGVMLTATARCVFPDQRLQAALSTGLFSRIHVKVFGDGRCASRREELEKWMAAYPQSRVLVGVVASPEADRDGYVSHKDLYYDVLQFINKLPNYGGIMVWNRYWDKKTGWTAGNEPGLLDQVL >OGLUM05G08940.1 pep chromosome:ALNU02000000:5:9076143:9078695:-1 gene:OGLUM05G08940 transcript:OGLUM05G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRFDEEEGGGGQAGRHVHSLSQSDTAWHCVAWCNAGMGHNGSVLCDVLHARDSASCPHSPPILLPGEAGFLPCSSSDRHASCHVAGGAREARKRRPNIDDPAENTRDIPIYTHTHPSPFSASQSIGS >OGLUM05G08950.1 pep chromosome:ALNU02000000:5:9078860:9079088:-1 gene:OGLUM05G08950 transcript:OGLUM05G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEVGSGDGKGERRKTTRSCDGFGVKEGGMMAQGSMDNDSTSSPLHLERTAAVAGAREGKTVD >OGLUM05G08960.1 pep chromosome:ALNU02000000:5:9101839:9102630:-1 gene:OGLUM05G08960 transcript:OGLUM05G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKGGRWQTAKAFIAAASRCRSCNGFGTKGGGMVAKGNRDSSSVPAGVGGNSETGGSRRSAVVRSSGGFKPAVVPVLPVAAPLPHREEDRGVWTGRERDRGEGVGLGKRNGSPLE >OGLUM05G08970.1 pep chromosome:ALNU02000000:5:9153894:9154775:1 gene:OGLUM05G08970 transcript:OGLUM05G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRLAALAPLAVLLLLSSCLAAAPATAQQSSSIGDTVVFWGRNKAEGSLREACDTGLYNTVIISFLSAFGRGSYKLDLSGHPVVPVGGDIKYCQSKGKTVLLAIGGQGGEYYLPSSQAAADLDDYLWNAFLGGGRSGVARPFGDAVVNGIDFFIDQGATEHYDELARLLHGHSNGGVMLTATARCVFPDQRLQAALATGLFSRIHVKLFNDGRCTWGRRESLEKWAAAYPDSRIFVGIVASPEADRDTYMSHKDLYFDVLQFINKLPNYGGIMVWNRYWDKKTGYINGDVF >OGLUM05G08980.1 pep chromosome:ALNU02000000:5:9163833:9165205:-1 gene:OGLUM05G08980 transcript:OGLUM05G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVLSFSSARLVKTGTWRLLGSYMQAVEAIGKVTADGRSCPVVELRASGTKTKHGNELSRRLAKGFSRGPEVGGLSLVHIRVKDEAFLGLGSKKSWAAKDRSAAAACRACNAIGRRGGAL >OGLUM05G08990.1 pep chromosome:ALNU02000000:5:9168038:9168919:1 gene:OGLUM05G08990 transcript:OGLUM05G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRLAALLSLAVLLSAGLAAVSATSQNTGDTVIIWGRNKDEGSLREACDAGRYTTVIISFLSAFGYIPGTYKLDISGHQVSAVGPDIKYCQSKGKLILLAIGGQGGEYSLPSSQAAVDLHDHLWYSYLGGRRNGVYRPFGDANVNGIDFFIDQGAREHYNELAKMLYDHNKDYRATVGVMVTATTRCGYPDHRLDEALATGLFHRIHVKMFSDGRCPAWSRRQSFEKWAKTYPQSRVLIGVVASPDVDKDAYMPPEALNNLLQFINKQPNFGGVMVWDRFYDKKTGFTAHL >OGLUM05G09000.1 pep chromosome:ALNU02000000:5:9174989:9181817:-1 gene:OGLUM05G09000 transcript:OGLUM05G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:switch 2 [Source:Projected from Arabidopsis thaliana (AT1G03750) TAIR;Acc:AT1G03750] MSLHSLKETLQSSSFSQPQSESQPRSPPPLLARRPPKTSLSQQLLRLDASSSSSSFSVSPPPPPRTSPTSDAAADDAPPLPEEEDEVPCIRPRASLPPAAALDSRGPYEPLVLSPPGERPVVQVPSSINCRLLVHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSAVIGKDNDHGDQLVEGRKIAPILILCPTSVIRNWENEFAEWARCSVAVYHGPNRDLVLQKVETQRLEIVITSFDTFRIHGKILCGISWDLVVVDEAHRLKNEKSKLYTACLEITTRKRFGLTGTIMQNKIMELFNLFDWIVPGCLGDREHFRVYYDEPLKHGQRFSAPERFVQVADKRKKHLVSVLSKFLLRRTKEETIGHLMLGKEDNIVFCRMSDVQKRVYRRMLQQPDVQILINKDLPCSCGSPLTQVECCKRTEPHGIIWSYLHRDNPEGCSLCPFCLVLPCLGKLQQISNHLELIKPNVKDEIEKQKKDAELAAAVFDTDIELVGGGAKSENFMGLSDAEHCGKMRALERLLSLWTLQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQLLIDEFNRCPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRFGQRRHVTVFRLLGAGSLEELIYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHGKGNTAETIGIREIVDTNIFGTQDQMKSSMTAIHNENKNLYHCGIVYAHRNEDVVNTRTNEASNCAEDKTVPRHLEELQSKKNETMHTIKAKSYSLVQKKKEFSRIASFMCMNDLEFSKWLLSVSPLQRHEVLDRYRNANCKVRSDP >OGLUM05G09010.1 pep chromosome:ALNU02000000:5:9182503:9182897:-1 gene:OGLUM05G09010 transcript:OGLUM05G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTHSILLWLALFILLPAAHSSLAASELRAGPTRASPVEIERCDSGSKRQQTQHRVRVDGYELGADLAASAPPPRQRGKGAQGKAGGVDSDGAGDELRADLAAQH >OGLUM05G09020.1 pep chromosome:ALNU02000000:5:9190374:9191267:1 gene:OGLUM05G09020 transcript:OGLUM05G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHAALLSLAVVLLFAGLAAASPTSQNTGDTVIFWGRNKDEGSLREACDTGLYTTVIISFLSAFGYKPGYYKLDISGHPVSAVGPDIKYCQSKGILILLAIGGQGGEYSLPTPQAAVELNDHLWYSYLGGHRNGVYRPFGDAIVNGIDFFIDQGGRENYNKLAKLLYAHNKDYRGTVGVMLTATTRCEYPDHRLDEALATGLFHRIHVKKFSDGRCPASSWIQSFQKWAKMYPQSRVLVGVVASREVDREAYISPEDLKKLLQNVFSKLPNFGGVMVWDRFYDKKTGFTGRLRA >OGLUM05G09030.1 pep chromosome:ALNU02000000:5:9193103:9200265:1 gene:OGLUM05G09030 transcript:OGLUM05G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEKEKKRENKETITKKMEIKRIKQTRENIPGTKFAEPVQVGCMDKKGLKNETQKDKDINKQGKIQAQIQVLKEIAGLKPDGECSQKKFFQKQVDTEITNFKQHTGTGKLESEEGGINAGETMRRKFQKTGLEEIKRDIQISGYIIEKENFNRKKYDLQSKLAKLTTEIDKKNIQSGQNARGGTETPNATSYGAQILYNQYSLLNEDGRLMEAGKMSYTNLMQQIIQSPRVTMQTNEEGIEAYTNLLQTPVAFDVNGTTMAMQSNEHPNEEDIQQNSRNENVQGLLRSKGSLEQERNREKIKLENRQAKERGQLVKTMKEKQLRRGQEDEAKMNR >OGLUM05G09040.1 pep chromosome:ALNU02000000:5:9200499:9206633:-1 gene:OGLUM05G09040 transcript:OGLUM05G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKEMKENIMTNSSFDFQKPPVKKKKAHANTESSFTRFSVKYFSDVVSSLSDYQKSIIKKFEFDFLLVFDSSYVSIKFATWIANKVDVRISESIVKEKIIPVTVEYLLAKIMNVAGNASFLCLVLYLDNVDFGSRNVDQSCHRISVWKHDMISTFSELDKIDENTFGLRPLKDFQDTCYFKRDSTQSRSVIIQDKLDSAIGSMLPDFLKHEISEMLSSHCFSHYLVDSESCEDLVVSILSLIAKASGSDAVQDQNESDILHSQVNTEAGPSNFQPASPKDLQFDVPPINCSSLRSAPNIRSLRNDEPFDKSALKSIEKDCPSLDKIAALYGSSMNCDDGNNNESIHINDIIVVINGSGNDIAPSFAASQPVATPDVGYLRNINNDMNQSNAGSSVAAFSLVKNVANKFRSRLTQFNSRAAIFGEDRPSFRLLDSDDDVSDCDKDNELGVNATPSCSQGFISFRSVEDTPIEIICGYENVGTKERTNCQNPNKSSTGGKIPIHGPRRVLIPARHATYPFVTETRRFPITDEEIRYYIVFCRLADSSKWQSYDAVDIDGVKAKVSSFGHSLKKGGFVSTFVMSVFCRVLFHNDHPSRSKKNYFFPSIAEKLLSDMSSTSVMEKLEKYFVGARKARKLHLCDMLHFPINFNHHWFLFVVDIKDMLVILDSLHNEGDEYFRPILSQLIANLQIAWDKFVCVPMDFQRFQIVFPLVPCQDFICDCGIYVMKFTELWSPRIILSTVLSNENIKNIRVQYANQKFFHPKNRMLKTEIEDVVLNWFDQEKFPRTRQPTFTSAAQKKFCQ >OGLUM05G09050.1 pep chromosome:ALNU02000000:5:9216763:9221819:-1 gene:OGLUM05G09050 transcript:OGLUM05G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLRRALSPAAVRRAFSTAAASRPQWAMIRQAPPVRSPSPHASLLLAEPPRDSYLLVPDHLIDRRPGPDPSSDIRGILGGTVHATSGDGGLLLVVYMDSHAPAPIISKIVTGAFPARPRVSDLAGLDLSDPDFIRFVCNPITGELFRLPDIDGTKKTMFRGCDNAGLLTRSAAGAGHGSPDSYAVAVLGEDRNGGTFNMRRFLSRTGKWEKLVGLPSPLPLPRRMDMYTEAVAFAGRLWWADLTWGVVSADPFSDWPELHFVELPRNSVWPVPSTDLVQEQAMHRRLGISEGRLGYVEVSQEDPFVVSSFALDDDGSGWTLEHEVALGRICQVKGGGPRDTARIAVIDPLNASVMYLIVGKHVLGVDMDMGKVMGCSLADETEGPPYAITSVLKPCVLPPWLSSSKIPAARTFSRDNGDAKSKTLSDILVRADSDKRGKGDRKGKGKMEGEGC >OGLUM05G09060.1 pep chromosome:ALNU02000000:5:9236482:9240289:-1 gene:OGLUM05G09060 transcript:OGLUM05G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVRSSHHSCPANSTASMYMFICHGSGSGDGLQEEPPHVEGPTVAVLAEHCDDIAVRRPILAGERVADGLHVFWFCVTEVASASGAGGGDMLGEAAFPSSTGYEVGDDRGRDTGTHVDEVEAVAACA >OGLUM05G09070.1 pep chromosome:ALNU02000000:5:9236520:9239982:1 gene:OGLUM05G09070 transcript:OGLUM05G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVPASVVSDLIARATWESGLSKHVAATGATRGSHLGDTEPEYMQSVCNPLTGELFRLPDIEGTKRSTMLSQGF >OGLUM05G09080.1 pep chromosome:ALNU02000000:5:9240038:9240487:1 gene:OGLUM05G09080 transcript:OGLUM05G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLTAMSSQCSARTATVGPSTCGGSSCKPSPLPLPWQINMYIEAVEFAGQLWWLDLTWDIVSADPFNDRLELDFVELPRNSVCLEPSTNIIQEQGMHRRLVVSEGRLRYIEFSFALDDDGSSWTLEHQVVLGRICEVKG >OGLUM05G09090.1 pep chromosome:ALNU02000000:5:9241874:9243177:1 gene:OGLUM05G09090 transcript:OGLUM05G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVWMEFSGNSTLCKKKWNTQEEVKEVHVKQNVPAPSSYLLKSRGYNHYLSRHAIAIKTQRHLCTVDYDKSLSEYPLHRNSTIEIRYRERVGQ >OGLUM05G09100.1 pep chromosome:ALNU02000000:5:9249436:9250417:-1 gene:OGLUM05G09100 transcript:OGLUM05G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTKVQRIRQCTELIVEPEKFTPQSCLKLQTINKEEKLAKQDLINYFDPKCNDSKWFQYSQNLLINFSKVALDAKIAIKDITKFQNHSTTVPTTVQCV >OGLUM05G09110.1 pep chromosome:ALNU02000000:5:9272123:9282109:-1 gene:OGLUM05G09110 transcript:OGLUM05G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDITRFVCNPITGELFRLPDIDGTKKTLSYGPNAGLLTRSASPAGHGPPDRYAVALLNEDRSRNGDERTFVMRRFLSQTGKWEKLVGLPLPSPLPLPRRMELYLEAVAFAGQLWWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCRDHVNGGGLPSKDYTSTPRIGVIDPLNSSCICVLIGKHVLAVDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAEHRALGGTGPRSRGSPLPLSESELTSVNLVHREPAPPPRLLLDATRLPFSLAFAVRRPPPRLHRRRMQLPLRGALSAAASASAPVRRALSTAAADADASRHPGWVMIHSIHHATEARTPSPRASLLLAEPPCSSYLLLPDHLVDRRPGPKPGTGIDVVGLLSAVIYATSGDGLLLFAYVDSHAPLSVTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLVRRLDIDTEAVAFAGRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLLVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEDTPRIAVIDPLNSSVICVIVGKHVLSVDVEMGKVLGSSPIEEGEGSPWFITSILKSCVLPPWLASSKIPAAGTSASNKGDAKSKTLSDILVRFAGKHIEDIAVKSDPVQSSVLLSSRLYVDNPLIFSHEKEEA >OGLUM05G09110.2 pep chromosome:ALNU02000000:5:9274688:9282109:-1 gene:OGLUM05G09110 transcript:OGLUM05G09110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDITRFVCNPITGELFRLPDIDGTKKTLSYGPNAGLLTRSASPAGHGPPDRYAVALLNEDRSRNGDERTFVMRRFLSQTGKWEKLVGLPLPSPLPLPRRMELYLEAVAFAGQLWWVDPTWGAISADPFSHRPELRFVELPRGSVWPMPSTHPVQALGMFRRLGVSEGRLRYVELSNQDPFVLSSFALDDHGGSWTMEHQVELAPLCRDHVNGGGLPSKDYTSTPRIGVIDPLNSSCICVLIGKHVLAVDMDMGKVLGCSLTDESEGSPWAITTCLKPCVLPPWLGSSQIPNAEHRALGGTGPRSRGSPLPLSESELTSVNLVHREPAPPPRLLLDATRLPFSLAFAVRRPPPRLHRRRMQLPLRGALSAAASASAPVRRALSTAAADADASRHPGWVMIHSIHHATEARTPSPRASLLLAEPPCSSYLLLPDHLVDRRPGPKPGTGIDVVGLLSAVIYATSGDGLLLFAYVDSHAPLSVTFFWRHTGLLTRSAAGHGPPDSYAVAMLREHSNSGTFHMWRFLSRTGKWDKIDGLPSPLPLVRRLDIDTEAVAFAGRLWWVDLTWGVISADPFSDRPELHFVELPRGSVWPMPSEDLLVEVQSIHRRVGVSEGRLRYVEVSDKDPFVLSSFALDDDGGSWTLEHRVALGRICEVKGGGPEDTPRIAVIDPLNSSVICVIVGKHVLSVDVEMGKVLGSSPIEEGEGSPWFITSILKSCVLPPWLASSKIPAAGTSASNKGDAKSKTLSDILVRVDRDKKN >OGLUM05G09120.1 pep chromosome:ALNU02000000:5:9282121:9290709:-1 gene:OGLUM05G09120 transcript:OGLUM05G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLPLRRALSAAASAPVRRALSTASRRPPWAMVEKYVAVGSPERRVSLRLAEPPCGSRLIAPAHLVGYAPRVPDPDTDELNAFFAGFVKAASGDGLLLLTFMEVTGTAPLVPGGGGWRALTGISIDPDMTRFVCNPISGELFGLPDIDGTKRPRGSPTSASSPNPNARTGRRTGMPEDSVGYRGGDERFAMRRFLSQTGKWDKLVGLPSSLPLHRRRMNTSTQEVVAFAGRLWWVDLSWGALSADPFSDRPELRFVELPRGSVTQPMEKERRELCRFRRVGVSEGRLRYAEVSQEEPFVLSSFALDDDGGGWTLEHRVALRRLWPHDQNLCKNTPQIAAIDPLNAGCMHLVVGRQVVSIDMDNGDLLGCTLGGGSDPSVDTLTPCLLPPWLASCRIPRAETLSRNKADAKSKSLSDMLEEDDLRPNSKWVSSIMHVIFMCSNWLHSWCMMLSQEQQDIMYNDATQDANPTATGRRTHSRCPLRAPGLVHQLTQLQNPTCRGLSELHPPRLFGFSFTGKPTTPKMQRLPLRRALSAAASVAARRALSTAASSPSRTPPWAMIYHIPAVRSTAPRAFFILVDPPGASNLYVPDHLFDRRPGPGPGPDNGDVMALLGGMVCTTSGDGLLLLCYSDAHAPVVSTHSGLS >OGLUM05G09130.1 pep chromosome:ALNU02000000:5:9297221:9298231:-1 gene:OGLUM05G09130 transcript:OGLUM05G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRACSSHARASSGDGLLVLDFTDGLTAAPAAGARGPIPLDGKQLKPDVTRFVCNPLSGELFRVPDIDGTKKTLKWQLVGILTQSDRPNGPPDRYALASFSSNAAADDDDEWSFTVRRFLSQKGEWDEPVVFPSPLPLERPLFVDHDPVTFAGRIWWVDVSWGAISVDPLSDQPELRFVELPGGSVMEPVKDEKRSGLVRYRRLGVSEGRLRYAEASQKEPFVLSSFALDDNGSSWTLEHRVALSRLRVDGGLPLQQEDTPQIGVIDPLNASIMYLKIGVQCISVDMERGKVLGRSLLGDESYTRSCLTALLTPCVLPPWLPSYRIPSAGSFSCFL >OGLUM05G09140.1 pep chromosome:ALNU02000000:5:9300859:9303770:1 gene:OGLUM05G09140 transcript:OGLUM05G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVVINPEKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIAK >OGLUM05G09140.2 pep chromosome:ALNU02000000:5:9300859:9303770:1 gene:OGLUM05G09140 transcript:OGLUM05G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTPAPWLALGTWQERKEHEPRERPRKEHEHSAGELGCRRQFKIRAVKVKEDLESFVPGVSVPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIAK >OGLUM05G09150.1 pep chromosome:ALNU02000000:5:9304633:9304955:-1 gene:OGLUM05G09150 transcript:OGLUM05G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALEGVPELQGLELEDYDMEQLPRYLQASRVLELLTSIALGESGPEWGKLSHVQHVKAYADQRDDERKWHMLYTREPYSFETNIGDNSSSSTGVN >OGLUM05G09160.1 pep chromosome:ALNU02000000:5:9305063:9305613:-1 gene:OGLUM05G09160 transcript:OGLUM05G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSPRKNLDKSRRCWMSLPHHGALRVLRSMAILDNGYQGASAFERLMIVMMQDLACCTQLPNSLCHLPCLKFFQVMHAPAIKRVGPKFMTIRPSSSQHHHGAHEWEWEWDQQPNNVQTMPALEELTLENCKLRSLPPGLSSEAIALTSMDLYKSSNSAL >OGLUM05G09170.1 pep chromosome:ALNU02000000:5:9305659:9311494:-1 gene:OGLUM05G09170 transcript:OGLUM05G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMLISVSSMHDVVRSFSQHLSRDEAFVVSTLDERSKGALQTQKFLRLSIETNDLQPNDEFDWRLIQGQGSLRTLIVIGELKINHGDSLINFSSLRIMHIENANCTASLAESLHHLKHLRYIFLKCSDLARLLRNISKLKLLQYLEIESENLVRLPNSLIKLGRLRHLRFPISKIGGLQHPSLVKLGRLRHLDLLGVSINGIPRQFCGLTNLRYLCGFPAQADGEWCSLQELGPLAQLRRLSLRKLDNVPAPSLGTEARLGEKSHLMYLA >OGLUM05G09180.1 pep chromosome:ALNU02000000:5:9311588:9320027:-1 gene:OGLUM05G09180 transcript:OGLUM05G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAQDELTLLLGVSSEIASLHERLNSLKDYLADAERRRITDQSVQGWVRKLKDVMYDVTDILDLCHLKAMQRGGVGSSAPPVNISCLDSLLFCLRNPLFAHDIGSHIKALNARLDAICKSAAAFSFLKLEAYEDMAAPRRPSAADRKTDPVLERSAVVGEKIEEDTRTLVKMLTNGKNKKQNAVVVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTQDVNEVELLRTAIRSVSVGGASDGRESNKSLLVPTLVDAIRDKRFFLVLDDVWSDRAWSGLLKVPFSHGAAGSRVLVTTRHDAVARGMQAMNPFHHVDKLCPPDAWSLLKKQVVSSEMEEVEIDETLKDIGMEIIDKCGGLPLAVKVMGGLLCKKERRRADWEKILHDSIWSVPQMPDELNYTIYLSYQDLHPCLKQCFLHYSLLPKNVDFFIDTVTSMWISEGFLHGETDDLEQLGEECYKELIYRNLIEPNAEYAGEWVSTMHDVVRSFAQHLARDEALVISSRDEIGRGALKSHKFLRLSIETDDLQPDDEFGWKIIQGQKSLRTLILVGELKINPGDSLITLSSLRTLHIENANCTSTLVESLHQLKHLRYISLKCGDITRLPENIGKMRFLQYLGLVCENLDRLPNSIVKLGQLRYLDLSGTSITSIPRGFCGLTSLRTLYGFPTQVDGDWCSLQELGPLSQLWVLGLSNLENVPAISFAAKARLGEKAHLSYLMLECSSRLGEDGFVEDENGVPAEEQRQIEEVFNALTPPLCIESIEISGHFGEQLPRWMMSRVAGAYERLSMVIMDDLACCNQLPDGLCRLPSLYYFQLTHAPAIKRVGPEFLTILPSSSQLRQAHAFPRLKRMNLIGMVEWEEWEWDQQLNSVHAMPALEELVIENCKLRRLPPGLSSQATALTSLYLRNIQQLNSVESFASLVKLEVYDNPNLESITSLNRLQKLVINGCPKMRILEGVPELLRLELKDLDMEELPRYLLQSVSPRHLVLDCSLEMLIAISTGESGPEWSKLSRVQHVKAYADQGDNERRWHVAKDELNLLFGVSLKIATLHDKLRILKDYLADADRRRITDQSVQGWVTKLKHTIRIKALNARLDAICKSAATFSFLKLESYEDMVAPRRSSVADRRMDTRALVRRLTDGKHKKQDAVMVVAMAIKEASDKKIWLSVTQDVNEVDLLRTAIKSAGGASDGRESNKSLLVLALVDAIRDKRFFLVLDDVWSERSWDNLLKAPFSHGAAGSRLLITTRHDEVTQRMEAMQPFHHVDKLYPQDAWLLLKKQVASSDDMEVEIDDTLKDIGMEIIEKCGGLPLAVKVMGGLLSRREKRRSDWDQVLQDFMWSVPPALLDDAVYLNYQDLHPCLKQCFLHCSLLPKNVVFYNVTVLACG >OGLUM05G09190.1 pep chromosome:ALNU02000000:5:9336090:9336857:1 gene:OGLUM05G09190 transcript:OGLUM05G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPLPQRDSFPSTSISCKSIRHEGRAGSGGRAAAVAGAREPGGGGGSEEGAAAVAGAREEGALGRQWSAASMSGVYRVIPGRYRVIPSWYRMIPDRYRVIPDRYHPIPRKYHLICGKNRMIPDRYHLIRREYHLKTW >OGLUM05G09200.1 pep chromosome:ALNU02000000:5:9343137:9350341:-1 gene:OGLUM05G09200 transcript:OGLUM05G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLRLRRSILSAAAAAAAGRTLSTAAPPSRPRWAMIFHVEAARSSLAALRASFQLAEPPRASHVHVPAHLLDLRPLTDPRSQMRLLGGCVRGASGDGLLLLDFTDGLATGPVVGVHPNGMARQMTGFDRRFDVTRFVCNPVTGQLFRMPDIDGTKDTSWCQFTGILTQSDRPDGPPDRYAVAWLSVDGEDNRRIAMRRFLSQKGEWDKLVGLPSPLPPARQMDVSHEVVAFAGRLWWVDVSWGVVSVDPFSDRPELQFVQLPRGSVTEPVEGIRKLGRFRRVGVSEGRLRYAEVSQKEPFVLSSFALDDNGSSWTLEHRVALSRLGVDGCHPDPEEDDTPRIGVIDPLNASTMYLTIGDSCVAVDMERGEVLGRSQIGCSTGPFSPFTGFLTPCVLPPWLEEFQIPSAGTLSSTKASIRSKTMADTLVRVDRDMKRDERNYQINPHFFTEMLLPRHLSAAAAAASGRLRRGLSSAASQPPWAMIQYTRIRASTAERASIELAQPPAVSHLVVPEHLVGLDPEPPVDPNSDILRTVVGDAGATSDGLLLLDFTDIRATARVVANRRAGAQAQAQQQGKKLTGLSFNLHNSRGDTQERELAGVNTNPDITRLVCNPISGELFRLPDINGTKKTMFCHLPGLLTRSAQGHGHGPPDEYAVASLSEGNGRDGKDRGFAMWRFLSQTGEWDKLESLPSPLPLARQLNVHSHHEVVAFAGRIWWVDLGWGVVSADPFSDRPELRFIELPRSSVLPEPTTGEEFMASVLAQGMYRRIGVSEGRLRYVEVSQKKPFVLSSFALDDDYGCWTLEHQVALGRPLENAAAGRPWQDRIPWICAIDPVNASVVCVVVGDHVLAVDMDRREVVGCSDLGECEFHERTFLIGTFLPCVLPPWLGSSRIPSAGKEEGAENKTLADVLVRSSSD >OGLUM05G09210.1 pep chromosome:ALNU02000000:5:9353138:9357218:1 gene:OGLUM05G09210 transcript:OGLUM05G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATTSGGGGGGSQPPRGVGLPLVEVQAAAASLRRSEVFYVVKELLGFVLYMHHQIPAVLQNLENEFASLKEEMTEMALPPGEMKPSDQRKYNTRKREVRRRIKKQEKLMNGLSSVFSALQKALDEVPSIEGVLLILGGSLVRPLFVYDITISHGRFDAGSANERGASKLAQSVSRKVPV >OGLUM05G09220.1 pep chromosome:ALNU02000000:5:9359720:9362965:1 gene:OGLUM05G09220 transcript:OGLUM05G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW93] MSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGCGVLVKICYHGERLSPWVALGWDVEIRVWQVPVVTLRVVPLSGKE >OGLUM05G09220.2 pep chromosome:ALNU02000000:5:9359720:9363370:1 gene:OGLUM05G09220 transcript:OGLUM05G09220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZW93] MSALFNFNSFLTVVLLVICTCTYIKIQFPAILNDRTGFRGFFWKAARIGERLSPWVALGCFAMGISTIFF >OGLUM05G09230.1 pep chromosome:ALNU02000000:5:9397117:9402113:-1 gene:OGLUM05G09230 transcript:OGLUM05G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASIGDPRLMSVEAAFEKNPLPGFSWLVTPRAMAVAVLLGIVFCFVGMRIQMMTGFVPALNMPVTVLSFFLLKVLARQLQKWRLTVVPFTRQENMFLITCVITCLNLAITGGFATAITGMGTIVAKTLADDLDPRDIIDYIPTGKLIIYFFLIGMAGVLSNIPLNQIMIIDYQLLFPTGSVIGHLINSFHTPEGSGCGFSSFPTFGLELYKRRFYIDFSATYIGVGMMCPHIVNFGLLFGAIISWGFLYPYLETKHGEWYQTDSPSNLDGLNGYKVFISVTLIVTDGLINFLILVTSAAINFYHIRQQQQQTSGLASYISKNPSMNYDERKRIEMFLSSKIPMFVPVAAYVAWTAISMVAMPAMFDQIKYYHVGVLYLAIPVVGFCNTYATGLTDWSVSNTYAKFSPFIFAAWIARPGAIVASLLVSGITMASLHVSSQAMQDLKSAHMTLTSPRAMIAGQVFGVALSSVVSPCIFRAFEKAAKPGAPLGSKDSVYPCPYAGLYRAICIIGMGGVKGLPKYCVELCVIAVLVTIAIDALVLVSQLKGWRLHLYIPSMTVIALPFFAGSYFTLDMCLGGLLLLLWKKIDTMSAEILSAAVAAGLICGEGLFTLPSALLNMFKVLPPMCMKFLPSGQEVEVVDSFLNSSGGTVPKT >OGLUM05G09230.2 pep chromosome:ALNU02000000:5:9389563:9397109:-1 gene:OGLUM05G09230 transcript:OGLUM05G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTLIAMDAMIGDPMSATSVEAVFEKQPSPEFRELVTPRAMAVAVVLSVVICFVGMRIQMTAGIVPALNMPASILSFFLLKWLIRLLQSCGFPMLPFTRQENMFLLTCIITCLNLALTSGFATNIIGMTSTVARSLADDPDPRDTMDHVPIGKWIVYLFLVGMTGVLINVPFNQVMIIDYKLLFPTGTVIAQLINSFHTPEGAYVAKFYFDFSATYIGLGMICPHIVNFGLFFGAIISWGFLYPFLETKRGQWYQTDSPTSLNGQNGYKKHPSLNYDDRKRIEVFLANRIPIPVPVAAYITCAAISTIAIPAMFNQIKFYHLAVLYMVIPVVTFCNTYATGLTDWSVAPTYAKFTTFVFAAWIAKPGAVVASLLASGVIVAALHISSQAMQDLKSGHMTLTSPRAMVTGQIFGVAVGSILCPCVFLAFQSTTKPNAPVGSKQSDYPCPFAGLYRAIGVIGTGGVKELPKHCMTFCVVAFCVTVIIDAVVLVSQKRGWSIHRYIPSMTVIALPFFAGSYFTIDMCVGSLLLLAWTRMNAKSAEMLSSAVAAGLICGEGLFTLPSALLNMFKVQPPMCMKFLSGGEEVEAADSFLNNLGTSRT >OGLUM05G09240.1 pep chromosome:ALNU02000000:5:9402529:9411261:-1 gene:OGLUM05G09240 transcript:OGLUM05G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLAMRKVCPNLDREDGLDTVLEVPVPELHREAPRRRGRRGGGGGAVKSWVRARMEHGRRRDGAAPSRAEVQLMLGVVGAPLVPQAVEARKAMVAGRGVGGEGEEPLDLEASKARYIVEQYVAAAGGEAALGAASSMYAMGKVRMRTTTTSKGNKGKVMGVAAGGEVAGGFVVWQKKPELWCVEMVVAGGVKMSAGSDGKVTWRQTPWQEAHASRGPPRPLRRCIQGLDPKSTADLFSSAAWVGERCVDGDDCFVLRVDADHAALRARSSGDVEVVRHAVLGYFSQRTGLLVRLEDSHLLRIGLAHAAAESAYWETTMESSIGDYRAVDGINIAHAGRTAVSLSRFENADAAAARGNNKRSCTTTMEETWSIEEVDFNVVGLSMDCFLPPRDLVLNDCSKQQQKEDAAAAVVVKDAAGAASNAKGGSDDGKTNGGDVGRGVVVKKALVPAVTGLGWFGPAKVVAVDDTVDDGVAAAADDDKTRELSISVLAASINCT >OGLUM05G09250.1 pep chromosome:ALNU02000000:5:9406366:9407440:1 gene:OGLUM05G09250 transcript:OGLUM05G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLASTACGTSGAPTTPSISCTSARDGAAPSRLRPCSILARTHDFTAPPPPPRRPRRRGASRWSSGTGTSSTVSRPSSRSRVKWQQQCRGDMRFDR >OGLUM05G09260.1 pep chromosome:ALNU02000000:5:9426788:9427825:-1 gene:OGLUM05G09260 transcript:OGLUM05G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALGKGISSSNNGGEGGGDEPKAAWEIDLSRLRIRQGAPVKHGFRGSLFRADYDGRDVAVKLLDWGKEGFSTPEQIAHLRASLRGVAEAWHKIDHPNITKFVGASMATADLNIPQGQSTAPPPPPPPDTSCCVVVEYLTGGTLKSYLIKHMERKLAYKDAVRFALSMARGLSYLHSRKIVHRDVKTDNMLLDGELNLKIADFGVARIEASDPREMTGVLVGEPYNRKCDVYSFGICLWEIYCCEMPFMDLSLADVSSAVVHKDLRPEIPRCCPRDMALIMRRCWHANPAARPEMEEVVGMLEALDMKKGRGMVPLGETAGCFCISLRRRGS >OGLUM05G09270.1 pep chromosome:ALNU02000000:5:9427905:9441365:-1 gene:OGLUM05G09270 transcript:OGLUM05G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTPDMWGPCGSHVDSATTINPTQRLRRIRINVQSDAHMVQGETERRRKRRTTDEEKLENWDIAIAGFFFLCETIETNKEGPPEATDFRFERDQASRGAMMAGMSSEAEEKMAT >OGLUM05G09280.1 pep chromosome:ALNU02000000:5:9453122:9460185:1 gene:OGLUM05G09280 transcript:OGLUM05G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCCSSNRASGLLVWLVLVCSWQIAAAQPQQAAKTDPTEVAALNTILRRWSKKASSEWNISGEPCSGVASDPSNWDNFPNINPLIKCACTYNNNTVCHITKLGLALNPLSGPLPKELGNLTNLLSLGISLDITGELPEELGNLTKLQQLYIDSSGFSGPFPPTFSKLKNLNTLRASDNEFTGKIPDYLGSLTNLIDLILRNCKVSGNLGPVDFSMFTALILLDLSFNNITGKVPQSILNMGKLEFLFLGNNSLTGKLPDVISSSLKTIDFSYNQLTGNFPSWATQNNLQLNLVANKFDAGSTNRSKTLLVFVDLQNVFIFLFFKDCSSQHDFRNFKNYGYVFHILTDYSFAVDCGSNSSTRGSDNTIYEAEPTNLGDASYYVTGQTRWGVSNVGKFSLASNGMNIISSSEHFQNAVNSRLFETARMSPSSLRYYGLGLENGNYTVLLQFAEGSLREKDFDIRKMAGGKSFIVVNRSYTATVSNNFLEIHLFWAGKGTCCIPTNGYYGPMISALSVSPNFTPTVRNGVPKRRSKVHTIAGILIGASILGLAALFGIFMMVKKRRTMAQQKEELYNLIGRPDVFSNTELRLATDNFSSQNILGEGGYGMVYKGKLSDGRVIAVKQLSQSSHQGKSQFVAEVMTISAVQHRNLVKLHGFCIDSNTPLLVYEYLQNGSLHTALFGHSRLNLDWGTRFDIILGIASGLTYLHEESSVRIVHRDIKASNILLETDLTPKISDFGLAKLYDEKQTHVSTRIAGTLGYLAPEYAMRGRLTEKVDVFAFGVVVLEIVAGRSNTNNSLEESKIYLFEWLWDLYEKEQVLGIVDPSLKDFNNNEAFRVIRVALLCTQGSPQQRPPMSKALAMLTGEVELSEVVVKPSYITEWQLRDVNRSYATSSYSGSTNPEFSTQKEIEPLTQS >OGLUM05G09290.1 pep chromosome:ALNU02000000:5:9509345:9510426:-1 gene:OGLUM05G09290 transcript:OGLUM05G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAASAGERGGEEEAAAVAKRRRRRWLRRRRGGRRRPRSRNRRRRRGRARSEAALATEQVSGLLALASTEGMSAAAVTSSAAAGGRGSRCVRSGKLRSNKLRAMGFYGVAQCRHFVASTYFCAGVTTVTAYWAVVRNELRAGGSGAALMAAWELGACRHRERLQGKPTRTNNPPPRPPPPMAEHKGAALMAAAAVGGHR >OGLUM05G09300.1 pep chromosome:ALNU02000000:5:9553432:9555116:1 gene:OGLUM05G09300 transcript:OGLUM05G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWSKEENKLFEQAIAYYGEGAPDLWHKVSRAMGGTKTADEVRRHFEILVDDIKLIEARRVPFPKGGSI >OGLUM05G09310.1 pep chromosome:ALNU02000000:5:9585312:9592821:1 gene:OGLUM05G09310 transcript:OGLUM05G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCHLISLPPSSFLYSLLLFSWTELRALRTAEVGVGVGEATESGATAGGAADGGGGVWMHQPAKKMSWTHQSSPATAVTRSETTSMHHI >OGLUM05G09320.1 pep chromosome:ALNU02000000:5:9596293:9597877:-1 gene:OGLUM05G09320 transcript:OGLUM05G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAVGRRPPEGGERRSGGRRRADGGNAAVRILVLPPIIIILVVVGGRRDNTRQGEAASAGKRGGGEEAAAVAAMREGRPAPTQKQKPKATAWASVEAEGRGTRRGEAALVTWQVSGLLAFASTEGKSAAAETSSTTACTSLGATVGGPGSRCARSGKLREMGFYGVEQWRQFVASPYICVGVTTVAKYRAVIGNELRVGGSGAALMAVRELCLLCVCMMIANLPCVNACKLEPGPECNETIAPNRNIEEKNMNTVKNELYPENGPPLVFEAVLPLLVLSLGAPPLVSSVDMITDHLEQELKKLIPDEQEKNRE >OGLUM05G09330.1 pep chromosome:ALNU02000000:5:9615908:9622983:1 gene:OGLUM05G09330 transcript:OGLUM05G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAVGALVASASASPAPLHALNGLVASIRSPWTSTAPLGVRPPPPLPSAPLPRVPDPLRRHATAHEHLEVLKGVSVLKDVSWEVQRGEKVRLVSVNSAGKTTHLRITAGLEDPDTGNVVKAKKNVRIASLSQEF >OGLUM05G09340.1 pep chromosome:ALNU02000000:5:9623038:9624003:1 gene:OGLUM05G09340 transcript:OGLUM05G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSRLEKVQAALEGATEDMDLGRLLDELDLLQRRSQDVEDPDLVLLDELINHVDLDTIVWLESHLKTQEVPMIVETEFGMSKTHKANYSEYVLAKAIWVETQYATWEKQQEEIEQTKELINRLGAGVNAGRVWSEQKVVFF >OGLUM05G09350.1 pep chromosome:ALNU02000000:5:9626694:9630710:1 gene:OGLUM05G09350 transcript:OGLUM05G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPIYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAADRLIGFQDLSSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETYMSMNRRVRSSTAQDSDSD >OGLUM05G09360.1 pep chromosome:ALNU02000000:5:9633219:9638065:1 gene:OGLUM05G09360 transcript:OGLUM05G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRASQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNTWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQNKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >OGLUM05G09360.2 pep chromosome:ALNU02000000:5:9634650:9638065:1 gene:OGLUM05G09360 transcript:OGLUM05G09360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPSKATGEDALVLCKERMRHIRRAIDSRDALSASHLSYTQSLRSVGTALRRYAESEISPESSLSISEADKSPSHSSMASPSPSRAVESTGSPVHRASQLTPPSTKIHYMKAAGTKPLTFTIDPSAADFVGQESPVSTFVPPPPPLPPELCTSWDFFDSNYTSGSATSNNENGVTLNFSRLKGLRDSRESEAVSLREETTNRSDRMHPELPGDNAAPKQEAQAKKGGMSKPSGSVEVTTEATTSGQVGAKVEEDDMEKELCTEAEDPSEFITHRAKDFVSSMKDIETRFVRAAEAGNEVSRMLETKKIRLDICAKIPGSPGKPPTARFVSALRVCCNRENILNQETAQHVSKVVTWKRSVSSLSSSSKSPLTAAMITDDVGDSNSDFVEQFAMVSGSHSSTLDRLHAWERKLHDEIKASEHVRKTYDEKCNLLRRQFARGLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELQPQLVELIQGLIRMWKAMLECHHKQFITISLAYHVKSSTMVQQGEHHRRAAAHLWNELDCFSSSFRIWVTAHKSYVESLNTWLQKCVLQPAQDRRRRKRKVSFPPRHALSPPIFVLCRDWLAMMESQSLPTDELCKSIKEVMQLLRGSFDHPADHQNKTTTESQSRNESQECGMLENNEQEVSGSVEAVEGLQSKLTTVLDRLTKFSEASLKHYEELKQNYEIARDDYQTGRSNAHLV >OGLUM05G09370.1 pep chromosome:ALNU02000000:5:9641874:9648009:1 gene:OGLUM05G09370 transcript:OGLUM05G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWB2] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGTCLMQSDNEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKV >OGLUM05G09370.2 pep chromosome:ALNU02000000:5:9641874:9647570:1 gene:OGLUM05G09370 transcript:OGLUM05G09370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWB2] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGTCLMQSDNEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKGPLNLELCCLMLLPLA >OGLUM05G09370.3 pep chromosome:ALNU02000000:5:9641874:9647807:1 gene:OGLUM05G09370 transcript:OGLUM05G09370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWB2] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYSGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGAELFTFRFDAPARSVEFAIGDGLAVITTDNFMGNVPTAQVKRIADDPDDQSEESLLVISGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGTCLMQSDNEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHVVIGGGQDAMNVTMTDRRAGKFEAKFFHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKQSRAPYTAILA >OGLUM05G09380.1 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLYIDGSGFSGPFPSTFSKLKNLNILRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQLNLVANNFVLDRTTNSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.2 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLELPDELGNMTSLKQLYIDGSGFSGPFPSTFSKLKNLNILRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQLNLVANNFVLDRTTNSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.3 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLELPDELGNMTSLKQLYIDGSGFSGPFPSTFSKLKNLNILRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQLNLVANNFVLDRTTNSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPSVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.4 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSIPSGLNCLQQDTPCFRGSPKYYSFAVDCGSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDNYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.5 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLYIDGSGFSGPFPSTFSKLKNLNILRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDNYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.6 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDSQNNLQLNLVANNFVLDRTTNSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.7 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETYTFRTKLPPAGHSLFPWISQIDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDNYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09380.8 pep chromosome:ALNU02000000:5:9672373:9710566:1 gene:OGLUM05G09380 transcript:OGLUM05G09380.8 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRNSSSGGGGFGGHLLWLVLVLWSWRIAAAQAQQAPKTDPIEVSALNTIMGRWGLTASSEWNISGEPCSGVASDKSDWDNYPKDPAIKCDCSSNNNTICHIIKLRVRKLNVVGRIPAELQNLTFLQDLGLGFNPLSGQLPKELGNLTNLLSLGISLDNFTGELPEELGNLTKLEQLYIDSSGFSGPFPSTISKLKNLKKASDNEFTGKLPDYLGSLTELEDLVLRNCRISENLETVDFSKNLVANNIVLGSTKNSDLSTRGSDNTIYEADAANLGDASYYVTDQIRWGVSNVGYFYQATDRMDIIYSSQHFQNAVDSKLFETARMSPSSLRYYGLGLENGNYTVMLQFAEFAFPDTQTWLSLGRRIFDIYVQGALKEKNFDIRKTAGGKSFTAINRTYTATVLKNFLEIHLFWAGKGTSGIPTQGYYGPMISALSVTPNFTPTVRNGVPKMGSKAGEIAGILTGASVLGLAGLFGIFMWIKKRRTMAKQKEELYNLVGRPDVFSNSELKLATDNFNSQNIIGEGGYGPVYKGKLPDGRVIAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRAIFGHSSLNLDWAMRFEIILGIARGLSYLHEESSVCIVHRDIKASNILLDTDLIPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGHLTQKADVFAFGVVMLETVAGRSNTNNSLEESKINLLEWAWDQYEKEQALRILDPSLKGFNKDEAFRVIRVALHCTQGSPHQRPPMSKVVAMLTGEVEVPEVVTKPSYITEWQMMDGNRSYVTSSYSGSTTHEFSRQNEIEPLQQSPPIIKAGSSPVSSPAKRARPEKRQTRGDRSIAMRHSMAGVFTHLVWPLLVLVLCSWRIIAPAQAQPRTDPVEAAALNTILGRWGKTASPEWNISGELCSGVASDLSNWDDYPNLNPFIKCDCKYNNGTLCHINKLRVTKLDVVGPIPSELQNLTYLEDLNLGYNYLTGAMPSFMGKFTSMKYLALPFNPLSGPLPKELGNLTNLLSLGISYCNFSRELPDELGNMTSLKQLRASDNEFTGKIPDYFGRMTNLVDVAFQGNSFEGPIPAGFSNLTKLTNLRIGDIVNGSSSLGFISNMTSLSNLILRNCKLSGNLEAIDFSKFATLTLLDLSFNSITGQVPQSILNLGMLEFLFLGNNSLTGNLPDVISPSLKTIDFSYNQLTGSFPSWDNYSFAVDCGSNSSTRGSDNTIYEADPMNLGAGSYFVTGEKRWGMSNVGKFDQATNGMDIIYSSDHFQNTVDSKLFETARMSASSLRYYGLGLENGNYTVLLQFAEFAFPDSQGWQSLGKRVFDIYVQGALKEKDFNIKKTAGGKSFTVVNRNYTATVSKNFLEIHLFWAGKGTCCVPTQGYYGPMVSALSVTPNFTPTVRNGVPKRGNRAGVIAGVLIGSLVLGLATFFGIFIVVKKRRAMAQQKEELYNLVGRPDVFSNVELKLATDNFSSKNILGEGGYGPVYKGKLPDGRVIAVKQLSQSSHQGKSQFITEVTTISSVQHKNLVKLHGFCIDNNAPLLVYEYLENGSLDRALFTDNNLNLDWAMRFEIILGIARGITYLHEESNVRIVHRDIKASNVLLDMDLTPKISDFGLAKLYDEKQTHVSTRIAGTFGYLAPEYAMRGRLTEKVDIFAFGVVMLETVAGRSNTNNSLKESEIYLFEWAWDLYEKEQPLGIVDSSLMEYDKDEALRVIRVALLCTQGSPHQRPPMSKVVAMLTGEVEVAEVVTKPSYITEWQLRDGNRSYVTTSYSGSSTHEFNSKDEIKPFT >OGLUM05G09390.1 pep chromosome:ALNU02000000:5:9703147:9781726:-1 gene:OGLUM05G09390 transcript:OGLUM05G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAASILEFGATKDIRPSNQRYGLNTLAPMLADQCFEEWWNRPVRQLAAWPWGSRAHGNSSFEDLPSPRPCRRCDELAATAGSSGLITSEFMLVTARYGKEGDNS >OGLUM05G09400.1 pep chromosome:ALNU02000000:5:9771588:9781537:1 gene:OGLUM05G09400 transcript:OGLUM05G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSSWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLYIDSSGFSGPFPLTFSKLQRLKILRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLFSWEQQPYRKSARSNKLFVKNIRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTSFEADPMSLGTAAYYVTGQTRWGVSSVGNFFQATNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSYTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVFGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKTSNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >OGLUM05G09400.2 pep chromosome:ALNU02000000:5:9771588:9781536:1 gene:OGLUM05G09400 transcript:OGLUM05G09400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSSWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLFSWEQQPYRKSARSNKLFVKNIRFFLQPAQWKISFLGQPEQFAIVNYSFAVDCGSNSSTSASDNTSFEADPMSLGTAAYYVTGQTRWGVSSVGNFFQATNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSYTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVFGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKTSNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >OGLUM05G09400.3 pep chromosome:ALNU02000000:5:9771588:9781537:1 gene:OGLUM05G09400 transcript:OGLUM05G09400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCSSWQLLWLVLVCSWRIAAAQAQAAPRTDPTEAAALNTILGRWGKKASSEWNISGELCSGLASDKTNWDDYPNINPFIKCDCSYNNNSVCHIIKLRVYKLNVVGQLPSELQNFTYMEDLNLAYNYLSGVVPSFIGKNLAFNPLSGQLPKEIGNLTNLLMLGVSFNNFTGELPEELGNLVKLEQLRAQDNDFTGKIPDNFGSMSSLEDMILRNCRISGNLGLFSWEQQPYRKSARSNKLFVKNIRFFLQPAQWKISFLDYSFAVDCGSNSSTSASDNTSFEADPMSLGTAAYYVTGQTRWGVSSVGNFFQATNGMDRIYSSSKHFQNTVDSKLFETARMSPSSLRYYGLGLENGNYTVLLQFAEFSYTETPTWQSLGRRVFDIYVQGALKEKNFDIRKTAGGKSFTAVNRSYTATVSKNFIEIHLFWAGKGTCCVPTQGHYGPTISALSITPNFTPTVRNGVPKKRSKAGAIVGIVIGASVFGLAALFGIFFLVKKRRTMAQQRKELYDLVGRPDVFSSAELKLATNNFSSQNILGEGGYGPVYKGKLPDGKVVAVKQLSESSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKSPLLVYEYLENGSLDQALFRDTGLKLDWTKRFEIILGIARGLTYLHEESSVRIVHRDIKTSNVLLDTDLTPKISDFGLAKLYDEKKTHISTAIAGTFGYLAPEYAMRGRLTEKADVFAFGVVALETVAGRSNIDNSLEESKVNLFGWAWSLYEKEQALEIVDPRIKEFSRDEALRVIHVALMCTQGSPHQRPPMSKVVAMLTGDVEVAEVIMKPSYITEWLHREGDSSYFTNYAGSATPEFSGHKESELSFIS >OGLUM05G09410.1 pep chromosome:ALNU02000000:5:9782106:9784101:1 gene:OGLUM05G09410 transcript:OGLUM05G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWC6] MYRKSPRTSHLAAPETGIGAVDPECRRPAAGRDQPRRRGTRRPTGSNAPSSLLLSYVDKLPTGSERGRIVVGGTNFRVLKVHLGGSKKHVANSEVSIPPHLMSRTSSWGACRRRRAAATTERWGRWGVECHGGGVGGARQRGWSRPAAGRRRSPAALGVDGADAGLGRCLERDRSISIQREIYLFGSDRLIS >OGLUM05G09420.1 pep chromosome:ALNU02000000:5:9785417:9786118:1 gene:OGLUM05G09420 transcript:OGLUM05G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYQQPSLLAHSLLSSGAGSVPTSRACPKAYGERRRHGGGYRHPMDGGKRGSRRSATRGGLRRMMTELMIDHLALRVVHAKAVMPDLHRVQEDAGTLRAPHQYGDTWRHVPPKVLHHVCLLFSSDTDREPTSLPRGELERLRHPAGDGDKSGRSLAFGGRRPMPRVTQGFHSPPPSCLTFLGSGLLSLSSLFLTARHLLAANSYASHLVCVDDPVLVAHRMRMAWHTWLLG >OGLUM05G09430.1 pep chromosome:ALNU02000000:5:9788191:9788785:-1 gene:OGLUM05G09430 transcript:OGLUM05G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFHKEMRRRRRAAGVVPQGGHWVSPESRWKKMTATMHWYPRCPDLRRALGLSAHSDSGFCSRASCQSCSYSGGDQTGGWQCRRSFLAPSSSTSATSSICMLTNGRFHSVYHRAVVNRNRDRISLGYFLGPPAGRQGRMPPGRSAAYRAVTWPEYKAVRKKAFTTGGSTLKMVSTPTATDEHNDVTDVVRDVI >OGLUM05G09440.1 pep chromosome:ALNU02000000:5:9812715:9821329:-1 gene:OGLUM05G09440 transcript:OGLUM05G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLAFGLATATPTDPAFPLGHCYDYRLASGELDHDSIALAERVGALDGGSWFWQARGGGPWVSRQRIQGKEERDCSTNHCVAR >OGLUM05G09450.1 pep chromosome:ALNU02000000:5:9820023:9831132:1 gene:OGLUM05G09450 transcript:OGLUM05G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWCSRMSGLVWVVLVCSWTWRIAAAQAPQPPKTDPLEAAALNTILGRWGKKASSEWNISGEPCSGLASDKSDWDNYPNINPFIKCDCTFSNNTLCHITRLCAVHYEFNEVPFVKSSFKYLIYIFDGSNICGQVSDLSFFRITVLSHLNLSHLPPPASTSLLPEQATGGQAIARMAANGYQWPRWHMGIGSRAVVPRVTNLSVVGEIPLELQNFTYMLDLNLGYNYLTGAVPSFFGKAFPFNALSGPLPKELGNLTNLLSLGISFNNFSGQLPEELGNMTNLQQMITLQSFNNRYIDSCGFSGPFPSTFSKLQNLKILYSQYHSLRHYICSSCFHAKCLTFGCGCRRSSDNDFTGKIPDYLGIMPKLEDIRIGDIVNGSSSLAFISNLTSLSNLILRNCKISGNLAPVDFSKFGVLTLLFLGNNSFTGSLPDAISPSLKAIDFSYNQLTGGLPSWATQNNFQLNLVANNFELGTIGHSTLPSGLNCLQQDTPCFRGSPEYYSFAVDCGNNRTTRGLDGTIYEPDAANLGAASYYVTSDTRWGVSNVGNYFLATDGVNIINSPQKIQNVLDSRLFETARMSASSVRYYGLGLENGNYTVLLQFAEFAYPDSQTWLSLGRRVFDIYVQGDLKEKNFDIRKMAGGKSFTAVNRSYTARVSKNFLEIHLFWADFTPTVRNGVPKRRSKAGAIAGITIGALVLGVVSLFGIFLLVKKRRTIAQQQEELYNLAGQPDVFSNTELKLATDNFSYQNIIGEGGYGPGKLPDGRVIAVKQLSETSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSKTPLLVYEYLENGSMDRAIFGHSSLKLDWATRFDIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTRIAGTMGYLAPEYAMRGHLSEKADVFAFGVLMLETVAGRSNTNNSLEESKIYLLEWAWGLYEMGQALRVVDPCLKEFDEKEAFRVICIALLCTQGSPHQRPPMSRVVAMLTGDVDVAEVVTKPSYITEWQLRDGGSSSYTTSSYAGSSNPEFSRQRETNPLAQSSPTITKASLVGR >OGLUM05G09460.1 pep chromosome:ALNU02000000:5:9864326:9864966:1 gene:OGLUM05G09460 transcript:OGLUM05G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEKLKRDVRILFGTCNDIVEKMNLIDTVQHLGIYHLFQEEIGSAISDIKGSEFTSSSLHEVALWFRLLREHGIWVSPGDSELHLFALILWNNFSVSVRTNHTTTSVSNCSNCLSI >OGLUM05G09470.1 pep chromosome:ALNU02000000:5:9867627:9868193:-1 gene:OGLUM05G09470 transcript:OGLUM05G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAGTVAVDGGGQRRLRRRRCFGLRCRTPSSTLTSKEMTNNCYCATSSSSLYHAATFFHHSIIYYRTH >OGLUM05G09480.1 pep chromosome:ALNU02000000:5:9868713:9873814:1 gene:OGLUM05G09480 transcript:OGLUM05G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLIHDEPELEEAISLARHHLELMRGGGGLKPPLAGQINRALDLPLPRAYKRIETLHYMLEYGQEEGHNVDLLDLAKLEFNLLQHVHLKELRNFSQWWKNIYGYVQLSYARDRAVESYLWSYVVFYEKDLVLSRMIFAKIFALLVTMDDTYDDYATIEESRKLNEAIQSGRYNLIPPYQTNVLMLAKVISCKLPIFLLDRWDESAISLLPEYTTKFYNTLLNNFKEFEAQVDFSGQYRVLRIKKEFQKLSAYYLQEAEWSHQNYKPSFKEHVALSTLSSSMPLLCAITTVGQDDVVTREAFELATQHNSAVLACGKILRFMNDIAAFKSGRKNKGDATSTVECYMNEHKVTGEEAIARIDSIIEDEWKTLNEVRCEHPQLLPAVQRVMNLAISVPFFYNKRSDSYTSSKYLHKIVECLFVTPIPI >OGLUM05G09490.1 pep chromosome:ALNU02000000:5:9881339:9887779:1 gene:OGLUM05G09490 transcript:OGLUM05G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCRSSTISGVVRHLAWLLLVFSWQVAAAQAQQAPKTDPAEVAALNTILGRWGKKASSEWNISGEPCSGYAVDKTDWDYYPNINPFIKCDCIDSNNIVCHITKLALAMNPLSGPLPKELGNLTNLVSLHFTGGLPEELGNLTKLRQLRASDNGFIGRIPDYLGSTTNLQDIAFQGNSFEGPIPQSLSNQINKLNHLIRRQAYSIMLNCAQFRFLGNNSLTGMLRDDYSFAVDCGSKTALRGSDNTIYEADPTNLGDASYYVNDQTRWGVSSVGNYFQATDGNNIISSPQHFQNVVDLELFETARMSPSSLRYYGLGLENGNYTVVLQFAEFPFPDSQTWLSLGRRVFDIYVQGALKEKDFDIRKTVGGKSFGAVNRSYVATVSKNFLEIHLFWAGKGTRCIPTQGYYGPMISALSVTPNFTPTVRNGVPKRKSKADAIAGISIGAIVLALATIFGLKKNAELYNLVGRPDVFSYAELKLATENFSSQNILGEGGFGPVYKGKLLDGRVIAVKQLSQSSHQGTNQFVTEAATISAVQHRNLVRLHGCCIDSKTPLLVYEYLENGSLDRAIFGQSRFNLDWAMRFEIILGIARGLTYLHEESSVRIVHRDIKASNILLDIDLTPNISDFGLAKSYDENQTHVSTGIAGTIGYLAPEYAMRGRLTEKADVFAFGVVMLETIVGRPNTDNSLEESKIYLFEWGSTHQRPPMSKVVAMLTGDVDVVKVVTKPSYITEWQLRGGGNCIYKGSTNPEFDRQKEITKDCLHGR >OGLUM05G09500.1 pep chromosome:ALNU02000000:5:9910163:9917300:-1 gene:OGLUM05G09500 transcript:OGLUM05G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTLAASPCSPSAARRPPGRRRLHRRLLRRRRRPGCGRRERSPPAPTTTR >OGLUM05G09510.1 pep chromosome:ALNU02000000:5:9922531:9922749:1 gene:OGLUM05G09510 transcript:OGLUM05G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYAVSRCRGYVHARNLGEGIDPLSRVWLLLCLLGMETMPDRLQRTTVPLPPQKKKQDNQQLQGEEIIQTE >OGLUM05G09520.1 pep chromosome:ALNU02000000:5:9924176:9926327:1 gene:OGLUM05G09520 transcript:OGLUM05G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREGELAGIVEDMRLDPFQPTSLGPRRRYGVCWHGALYVIKMPANFEKNDTQGKPYIGKSKKGFHEARLRVWILSESCEHTEWILTCDLDLNPYHKHLFLQNLGIQNGPWILERDSSDSYGLDPEILRKKESSGWDSENEDFFTVDDEDGEEYGGYFYILGFHPYKKVVFVAESSNVLAYHLDSSKVQYLGTHILGVTFAVHTRDSRTHRP >OGLUM05G09530.1 pep chromosome:ALNU02000000:5:9931203:9931641:1 gene:OGLUM05G09530 transcript:OGLUM05G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEILKLCKIFIKMPPYPIYVVSQNSPCCDAVRKVRDRNMQVVLILLSREKDKHELYSKEKILRLRDLCVPPPPPPHHAPPPSHCQVMV >OGLUM05G09540.1 pep chromosome:ALNU02000000:5:9937057:9937730:1 gene:OGLUM05G09540 transcript:OGLUM05G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKGVILLLLVCTVISPRSAFGKNPICTHGNMLEIVRKCEKFIRLQHPVPMFLCSPNSPCCEAVRKVRNRDMRCVYFLIELDKKVKLYSEHHILRLGDVCAPVSPPPPHHQVMV >OGLUM05G09550.1 pep chromosome:ALNU02000000:5:9944406:9945030:1 gene:OGLUM05G09550 transcript:OGLUM05G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKGVILLLLVCAVISPHPVIGKNPICTHANMIEIVRKCEKFIRVQRPVPTFLCTPNSPCCEAVRKARDRDMHCVYFLIGLDKQRVKLYMISARQCHLVRRLLLVARFWCDWSKPILANGV >OGLUM05G09560.1 pep chromosome:ALNU02000000:5:9948802:9951377:-1 gene:OGLUM05G09560 transcript:OGLUM05G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRMVANLQKVCFFLPAISHLSRHLSLTSPLHMLISFPFTAQMACFLVAAAVVALAAAAAAPARAIPFTENDLSSEESLRALYERWRSRYTVSRPAASGGVGNDDGEARRRFNVFVENARYVHEANSRRGGRPFRLALNKFADMTTDEFRRTYAGSRARHHRSLSGGRGGEGGSFRYGGEDEDNLPPAVDWRERGAVTGIKDQGQCGSCWAFSAVAAVEGVNKIKTGRLVALSEQELVDCDTGDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDELALQKAVANQPVAVAIEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSGSNGLCGIAMEASYPVKSGARDAAASNRVVKDEL >OGLUM05G09560.2 pep chromosome:ALNU02000000:5:9948802:9950923:-1 gene:OGLUM05G09560 transcript:OGLUM05G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCFLVAAAVVALAAAAAAPARAIPFTENDLSSEESLRALYERWRSRYTVSRPAASGGVGNDDGEARRRFNVFVENARYVHEANSRRGGRPFRLALNKFADMTTDEFRRTYAGSRARHHRSLSGGRGGEGGSFRYGGEDEDNLPPAVDWRERGAVTGIKDQGQCGSCWAFSAVAAVEGVNKIKTGRLVALSEQELVDCDTGDNQGCDGGLMDYAFQFIKRNGGITTESNYPYRAEQGRCNKAKASSHDVTIDGYEDVPANDELALQKAVANQPVAVAIEASGQDFQFYSEGVFTGECGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGERGYIRMQRGVSSGSNGLCGIAMEASYPVKSGARDAAASNRVVKDEL >OGLUM05G09570.1 pep chromosome:ALNU02000000:5:9980045:9981834:-1 gene:OGLUM05G09570 transcript:OGLUM05G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVDGDGMEMVRNRKVVLRRYVTAGYPTVDDMEVVSSTVMPRVPLGTMAPAVMVKNIYLSCDPWMRGRMTKHDDATAEIAEDFVLGEAMVNFGVSKVIDSTHPVFAAGDLVWGLCGWEDYSLITQPETLHKINHPDLPLSYYTGVLGVTGLTAYAAFFEVGKPKKGETVFVSAASGAVGQIVGQLAKIAGCYVVGSAGSDEKVTLLKTKFGFHDAFNYKKEGNDLTGALRRCFPDGIDIYFDNVGGATLDAALVNMRRGGRVVVCGMISQYNLQEPEVVHNVIQILSKTIRVEGFAVFNHFGLYPRFEDEMARYLKEGKVTVLEDVVKGIEKASEALIGMFSGRNVGKLLVAVADE >OGLUM05G09580.1 pep chromosome:ALNU02000000:5:9983009:9983341:1 gene:OGLUM05G09580 transcript:OGLUM05G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAEMMTVMRMRWSLRKMTPKSRCCRTMEDETSHRVCQTRKQLKTNTHNDVVNTAQAR >OGLUM05G09590.1 pep chromosome:ALNU02000000:5:9983349:9983964:1 gene:OGLUM05G09590 transcript:OGLUM05G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRQCQRRLAEKASETITKKNPAAEDHQCRRRGCRCADGDGDDESGDAVVQRDDIVVSPCRCSGEKSTGDEVMLRRVPLSTTTTNRVGPRAAALKPEGVTQRQPGASWRRHVLDDDQLRKSL >OGLUM05G09600.1 pep chromosome:ALNU02000000:5:9984174:9988721:1 gene:OGLUM05G09600 transcript:OGLUM05G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEQARIEVSWWSRGCHPRGPGGRRPRPVESGASSGATRRRESSDTGLGPRRDRPPGGGVDGSLGRRRSPAVEVTPSSLKRKQLGGGGSQATGGDEVSGDRVTGGAMVSEERQGSAWPAEESRF >OGLUM05G09610.1 pep chromosome:ALNU02000000:5:9991772:9993074:1 gene:OGLUM05G09610 transcript:OGLUM05G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVLIRAKFKDNDSMPRKIVLHDPVGMGGGGDFINMPPEEDLPPAGPQPNPTADDDEDPDGGHIWQMGHPQAGPADWDDLVQQQNAADEQVEDAWSQDHPMGQIMEANPDGLFHLAAANPRHENRFLERLEKIAKNEYPRVPYFYPMKGINEKIDLLCEERNSMNQFLASHPIPAALYEPSPFKTLVLPKKTMFDFSPQVNKLDATWALDFHKSNCPPQSQAHEDMEILEVLPLAAHPPSSPVCQTAAPLMLPKAPVKKRDGKTLLYNPYRRQSARLQHIKGNSELKVDPRMGIGKPRGKSARKLKELAGIAKIFDDTSIKETDFNANVYDDIHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESSLGGQRRKKMPRPDIEEK >OGLUM05G09620.1 pep chromosome:ALNU02000000:5:9998502:9998910:-1 gene:OGLUM05G09620 transcript:OGLUM05G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVATSTVAVMEREHLTAEMAFPLTVARAFGQPARGVGGGARGGHRWRRRQFLRHAPRGSGRPAAQVVTAAAAIRDRDTRGGGGERAWDFAQSLSDTYELVAVARKLESGLVLADHHPAPPPRGKEEEG >OGLUM05G09630.1 pep chromosome:ALNU02000000:5:10006695:10007784:-1 gene:OGLUM05G09630 transcript:OGLUM05G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPGGGGGGGGGAHACGLAAATEPPVRRGFLRRKNRYAGLVVTGPVRVPVPSSCHVVFIIIRPSRSSGPSSVDIVPADAASSTTATSQWMANSSSVMNHCSARTSWLMNLKQRYSAFPVPLNDVA >OGLUM05G09640.1 pep chromosome:ALNU02000000:5:10038331:10042587:-1 gene:OGLUM05G09640 transcript:OGLUM05G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFQTFNDRSASDNVFKGKIPVYLGTMTNLEDIWIGDIINGVSPLAFISNMASLSTLILRNCKISSDLGAVDFSMFKQLKLLFLGNNSLTGKLPDGISSSLKVIDFSYNQLTGSIPSWASQNNLQLNLVANNFLLDTTSESTLPWGINCLQQDTPCFRGSPEYYSFAVDCGSNASIRGLDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNAIDAKNIIYSSQPFQNVVDSELFETARMSSSSLRYYGLGLENGNYTVLLQFAELAFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGGKSFSVVNRSFMVIVSENFLEIHLFWAGKGTVDIPTKDNYYGPMISALSVTPNFTPTVRNGIPKRKSKAGAISGILIGAIVLVLAALFGVFTLVKKRRALAQQKEELYNLVGRPDIFSYAELKLATDNFSSQNILGEGGFGPVYKQHLSMLKIHMSKPWQGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTNLIPKISDFGLAKLYNENQTHVSTRIAGTLGYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEMDQALGIVDPSLKEFDKDEAFRVIYVALVCTQGSPHQRPPMSKVVTMLTGDVDVAKVVTKPSYITEWQLRGGGYSSNTTSTYAWSSNPELSRQKEITEVSLQVR >OGLUM05G09650.1 pep chromosome:ALNU02000000:5:10046683:10050712:-1 gene:OGLUM05G09650 transcript:OGLUM05G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGTAALNTILGRWGKKASPEWNISGELCSGFAADKTDWDYYPNINPFIKCDCTFSNNTLCHITRLGQWRMEKWIFCGGGAPREWVAAPPVRGGREQQRRQRMGKRRLPHG >OGLUM05G09660.1 pep chromosome:ALNU02000000:5:10050724:10051328:-1 gene:OGLUM05G09660 transcript:OGLUM05G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSSPSTPSPKHMATLSANSAAYRQHDEQSGAKKAAWVVSPNGQVKREMAVEAARGEGAAAGAGEEEEKRIDLAVLHCPLCLLPSFRGGALGVQQLPWRRPGKQCNACGGAAKILCPNDLFGCWSYVAGHQRACPHAPCSCSEPRCDFLGSPPMLLAHLVADMWLVSI >OGLUM05G09680.1 pep chromosome:ALNU02000000:5:10075962:10077452:1 gene:OGLUM05G09680 transcript:OGLUM05G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCGIRGVIRHHLAWLVLILCSWQVAAARAQQAPKTDPVEAAALNTILGRWGKKASPEWNISGQLCSGFATDKTDWDYYPQHQPVHQVRLHGQQQHPLPHYQTEGN >OGLUM05G09690.1 pep chromosome:ALNU02000000:5:10079150:10080654:-1 gene:OGLUM05G09690 transcript:OGLUM05G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDIAEYIKKEFDKNHGPTWHCIVGHNFGPWISGLQDLVCFLAKDMQEVNEKKRQQPCPPTSR >OGLUM05G09700.1 pep chromosome:ALNU02000000:5:10092366:10097866:1 gene:OGLUM05G09700 transcript:OGLUM05G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDYQQTSVGKNNQSLIDYMNFQNNYLTGPIPPFIGKFTSMQYLSLSLNPLSGLLPKELGNLTNLVSLGIGSNNFTGGLPEELGNLTKLQRLKASDNGFNGKIPEYLGTLTNLVEIRIGDIVNGISPLAFISNMTSLSTIILRNCKISSDLGAIDFSKFEHLELLFLGNNSLTGRLPDEIFHTTNSLGAFLLGLAKTIYSYYSFAVDCGSNGSTRGSDDTIYEADPTNLGAATYYVTGQTRWGVSSVGNYFQREDAKNIIYSSQNFQNVVDSELFQTARMSPTSLRYYGLGLENGNYTVLLQFAEFSFPDSQTWLSLGRRVFDIYIQGALKEKDFDIRKTAGEKSFRVVNRSFMVTVSKNFLEIHLFWAGKGTDAIPIKGYYGPMISALRVTPNFTPTVRNGIPKRESKAGAISGILIGAIVLVLAALFGVFTLIKKRRALAQQKEELYNLVGRPDVFSYAELKLATDNFSSQNILGEGGFGLVYKGKLPDERVIAVKQLSQSSHQGTSQFVTEVATISAVQHRNLVILHGCCIDSKTPLLVYEYLENGSLDRAIFGDSNLNLDWVMRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDTNLVPKISDFGLAKLYDENQTHVSTRIAAHCYLAPEYAMRGHLSEKADIFAFGVVMLETVAGRPNTDNSLEESKICLLEWAWGLYEKDQTLGIVDPSLKEFDKDEAFRAICVALVCTQGSPHQ >OGLUM05G09710.1 pep chromosome:ALNU02000000:5:10110015:10110669:-1 gene:OGLUM05G09710 transcript:OGLUM05G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVESLLVALTLVLVVPPPSLGSRCRRTPSRHVTDGHRASPPPSAGIR >OGLUM05G09720.1 pep chromosome:ALNU02000000:5:10116793:10122415:-1 gene:OGLUM05G09720 transcript:OGLUM05G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQVRELLGSLTAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRHQYRPESICWDDIADKEYEGRRSYIADYLDAKGRSILITKPTIKGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMNEKVKFVYTNNPESHKIVSEIFNMDQLETAFGGRNSITIDIENYAERMRRSDLTRGVLTLSIQTDTNLISGHH >OGLUM05G09720.2 pep chromosome:ALNU02000000:5:10116793:10123530:-1 gene:OGLUM05G09720 transcript:OGLUM05G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSPEELQQKIVQVRELLGSLTAEMPAFLSDTTIRRFLRARNWSTEQATKSLKETVKWRHQYRPESICWDDIADKEYEGRRSYIADYLDAKGRSILITKPTIKGRVSGKEQIKHFVYLLESLAMNSADEQEEHVTWLIDLRGWSISSTPLSTSRESMHIVQNYYPGIIAVAILSNTPRIFESFWKIIKHFLEAKMNEKVKFVYTNNPESHKIVSEIFNMDQLETAFGGRNSITIDIENYAERMRRSDLTRGVLTLSIQTDTNLISGHH >OGLUM05G09720.3 pep chromosome:ALNU02000000:5:10122431:10123530:-1 gene:OGLUM05G09720 transcript:OGLUM05G09720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLKTRSESDKPKSPSPEELQQKRATSGEPLISTPTSSSMRNEEEPLLPSYIGDQRSPHAIAFTAFDAGHHAVVERHPLPLHEHLLWMLRWATCSGRTGREHEMRYG >OGLUM05G09730.1 pep chromosome:ALNU02000000:5:10142647:10146828:-1 gene:OGLUM05G09730 transcript:OGLUM05G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQDLEEGGADGFLFCGLTTTKWWKATRRRVAWWWCGRRRRHLLHHLVGATKDGGDVSDVEQHLDDGAPPDSELQVGGGGHRRGAVLGAEDIYFVPELELQGGSNVPDFEEQVGAGVPNGEQQLNNDLFADLDDQQMDDVEEPIDVEEMAVLGDDDDTVAGDEGIDEFAEIREDSKHGTTKMIIYYCYLLGFGHKVEIELEGSITLFFVLHIILLETMFFTALFIFL >OGLUM05G09730.2 pep chromosome:ALNU02000000:5:10142647:10147068:-1 gene:OGLUM05G09730 transcript:OGLUM05G09730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRSDMATTAALATARLARRRALKRRVVEAAAKAAVEVESTEGHCGLTTTKWWKATRRRVAWWWCGRRRRHLLHHLVGATKDGGDVSDVEQHLDDGAPPDSELQVGGGGHRRGAVLGAEDIYFVPELELQGGSNVPDFEEQVGAGVPNGEQQLNNDLFADLDDQQMDDVEEPIDVEEMAVLGDDDDTVAGDEGIDEFAEIREDSKHGTTKMIIYYCYLLGFGHKVEIELEGSITLFFVLHIILLETMFFTALFIFL >OGLUM05G09730.3 pep chromosome:ALNU02000000:5:10142450:10146828:-1 gene:OGLUM05G09730 transcript:OGLUM05G09730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQDLEEGGADGFLFCGLTTTKWWKATRRRVAWWWCGRRRRHLLHHLVGATKDGGDVSDVEQHLDDGAPPDSELQVGGGGHRRGAVLGAEDIYFVPELELQGGSNVPDFEEQVGAGVPNGEQQLNNDLFADLDDQQMDDVEEPIDVEEMAVLGDDDDTVAGDEGIDEFAEIREAGKPCDLARL >OGLUM05G09740.1 pep chromosome:ALNU02000000:5:10148760:10160605:-1 gene:OGLUM05G09740 transcript:OGLUM05G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPAPPTGWDFSPGDSISDEVWSRFGSPDIDVMADANFTCRRRAANELTAQDAVAGLMALSNGQIQCAGVDKDSNSGDVY >OGLUM05G09750.1 pep chromosome:ALNU02000000:5:10164412:10167303:-1 gene:OGLUM05G09750 transcript:OGLUM05G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLKAKSWVPEEKAAATASDEQNDKIKKVRELLGSQMTAEMPSFLSDATIRRFLRARNWSTEQATKALKETVKWRRQYRPDTIRWEDIPGREHEARRTYIADYFDKNGRIVFISNPTIKSKSSTKDQIKQLVYNLEIFAMHSENMEDECTVWLTDFQGWVLTNTPLPLLRECTHIIQNHYPGLISVAIVTNPPRIFESFWKIVCYFIEPKLKEKVKFVYTNNPESHKIVADMFDLDKLESAFGGRNTLPFDMDKYAERMKRSDQMRGAPMHANGYSCSTQT >OGLUM05G09760.1 pep chromosome:ALNU02000000:5:10185031:10185663:1 gene:OGLUM05G09760 transcript:OGLUM05G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLAAHHRIADGQATTSSLARELGTGGTTTTSTTTRIRITVNGRVCIRLPVPRDYFGNLVLWAFPRCDAGELVTYSVHHAAELIHRAVAGIDDTYFRLFIDFASFNAIKAEGLGPTADAGEVMVCPG >OGLUM05G09770.1 pep chromosome:ALNU02000000:5:10186925:10192740:1 gene:OGLUM05G09770 transcript:OGLUM05G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLFRNKRALTPPPPPIPNPSRCPLPPTCSRPLPLSPPPRAVAILVPVASHRRLRLPLAPRASASCRLFRVHLPLAPRPPPPLVSHLASCIFAQMGRLDGGEAEARGEWRRKGGLPLAPPRPPPPPSHRRLRALSPSARREAISALATAVAERRRFRFRLTPPLPSTAVTPSSVVVAIAERRRYVLRRHHRRPLLRPPSPLPSRRRRW >OGLUM05G09770.2 pep chromosome:ALNU02000000:5:10186925:10192740:1 gene:OGLUM05G09770 transcript:OGLUM05G09770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLFRNKRALTPPPPPIPNPSRCPLPPTCSRPLPLSPPPRAVAILVPVASHRRLRLPLAPRASASCRLFRVHLPLAPRPPPPLVSHLASCIFAQMGRLDGGEAEARGEWRRKGGLPLAPPRPPPPPSHRRLRALSPSARREAISALATAVAERRRFRFRLTPPLPSTAVTPSSVVVAIAERRRYVLRRHHRRPLLRPPSPLPSRRRRW >OGLUM05G09770.3 pep chromosome:ALNU02000000:5:10186925:10192740:1 gene:OGLUM05G09770 transcript:OGLUM05G09770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERLFRNKRALTPPPPPIPNPSRCPLPPTCSRPLPLSPPPRAVAILVPVASHRRLRLPLAPRASASCRLFRVHLPLAPRPPPPLVSHLASCIFAQMGRLDGGEAEARGEWRRKGGLPLAPPRPPPPPSHRRLRALSPSARREAISALATAVAERRRFRFRLTPPLPSTAVTPSSVVVAIAERRRYVLRRHHRRPLLRPPSPLPSRRRRW >OGLUM05G09770.4 pep chromosome:ALNU02000000:5:10187610:10192740:1 gene:OGLUM05G09770 transcript:OGLUM05G09770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSTSHGGSGGSAGQLPEAINITPNQKHELMTTRSWDFLGLKNEPPSELLQRTNYGEDIIIGKIGTDLLPFWRRANGRAPGSSGMR >OGLUM05G09770.5 pep chromosome:ALNU02000000:5:10188357:10192740:1 gene:OGLUM05G09770 transcript:OGLUM05G09770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRITTTTTKSNSNFFFELPEAINITPNQKHELMTTRSWDFLGLKNEPPSELLQRTNYGEDIIIGKIGTDLLPFWRRANGRAPGSSGMR >OGLUM05G09780.1 pep chromosome:ALNU02000000:5:10204804:10207710:-1 gene:OGLUM05G09780 transcript:OGLUM05G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQVDKFIPKQNSTFPITATLHHDGPALRSLQDTVLNSTFPITIALHHDRPALRSLQGKPQLVSLAQAGNEISHESLKCEGYFSCRFLV >OGLUM05G09790.1 pep chromosome:ALNU02000000:5:10219117:10224475:1 gene:OGLUM05G09790 transcript:OGLUM05G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEEIKDYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSTLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSMIVFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQETLHFHNDGKVGGAGEDAQISEEVESQVDLSNIEAGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSVISDLVRASPHSVSLYMHNLHGLELKATITTACNILQNTAVTQKQRGAANGISVTLLSLFQGLAPAGAGILFSWSQKHVTGLFLPGGQILFLVISMVAVIGLILTFKPFFSMSDALSRS >OGLUM05G09790.2 pep chromosome:ALNU02000000:5:10219117:10224477:1 gene:OGLUM05G09790 transcript:OGLUM05G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDRGEIQELPRPTPPPPPQNTGDKPLTTEEIKDYHKGCPGCQLEKANESRTGIPYLNFFYICIIGITSTLPIQSLFPYQYFMIRDMNIAKREEDIGFYAGFVAASYFLARTFSSVPWGIFADKYGRKPCIVISILSMIVFNTLFGLSTRYWMAIVTRGMLGMLCGILGPIKAYATEICRKEHQALGMSLVTSSRAVAFVLGPAIGGFLAQETLHFHNDGKVGGAGEDAQISEEVESQVDLSNIEAGKAKVSSDTSLKNLLKNWKFVSSVIIYCTFSLHDVAYLETFSFWAVSKRKFRGLNLTSQDLATVSVISDLVRASPHSVSLYMHNLHGLELKATITTACNILQNTAVVFMVSKARDRVVLTRWPDPISSDKHGCSNRANSDIQAIFLHVRCVEPFIKIHRIFRVHLWVKSGNNSIAGLKFKTPEFHVQYRPITM >OGLUM05G09800.1 pep chromosome:ALNU02000000:5:10256377:10256752:-1 gene:OGLUM05G09800 transcript:OGLUM05G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKDDGDWWLYFGHDNNNLSPVGFWSSTWGGYTESTIGNPSPAMGNGQWPGENSASFRDLKFVDANGQGYDPAPWPAGLLLLSTNKNCYQVSPYLDSVFHFGGPGGCTRL >OGLUM05G09810.1 pep chromosome:ALNU02000000:5:10268817:10276425:-1 gene:OGLUM05G09810 transcript:OGLUM05G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWH5] MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYNSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGISLLCFFYSAINNNLNAAGHYVPQLSQLVYRNNKGVKKPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAAFNVSTVEQGDIDMYSLYTPTCNETSTSSAAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >OGLUM05G09810.2 pep chromosome:ALNU02000000:5:10268817:10276425:-1 gene:OGLUM05G09810 transcript:OGLUM05G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWH5] MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYNSGDKRTAHDSYKFLVKWFEKFPQYKYRDFYIAGESYAGISLLCFFYSAINNNLNAAGHYVPQLSQLVYRNNKGVKKPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAAFNVSTVEQGDIDMYSLYTPTCNETSTSSAAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >OGLUM05G09810.3 pep chromosome:ALNU02000000:5:10268817:10276425:-1 gene:OGLUM05G09810 transcript:OGLUM05G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWH5] MELPRMASRRLPLPLVAQLVVLLGLACGLRSSSSAAAAAASGLAGERVTYLPGQPPVDFDMYSGYVTVDKRAGRSLFYWLQEAPAAAQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPDGATLFLNDYRWNKVANILFLDSPAGVGFSYTNTTSDLYNSGDKRTGHYVPQLSQLVYRNNKGVKKPLINFKGFMVGNAVTDDYHDYIGTFEYWWNHGIISDGTYRLLNASCVHDSGEHPAPACLAAFNVSTVEQGDIDMYSLYTPTCNETSTSSAAARQRRLKQGHYPWMTGSYDPCTERYSTEYYNRPEVQRALHANVTGINYTWATCSDILNDNWRDSPRSVLPIYHELIAAGLRIWVFSGDTDAVVPLTATRYSIDALGLPTTVSWYPWYDAMKVGGWSQVYKGLSLVTVRGAGHEVPLHRPRQALILFKHFLQGKPMPDAPTKVQNEA >OGLUM05G09820.1 pep chromosome:ALNU02000000:5:10290956:10291379:-1 gene:OGLUM05G09820 transcript:OGLUM05G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGDKIKGESPALRPAKATPADTVFTLGRCLRELSCSLRRSSSGENHVMASQDERRWDSVLHPSWRRRLEGCRVVSFSCSINTKHSAVLCVLYLDNLAVLV >OGLUM05G09830.1 pep chromosome:ALNU02000000:5:10291396:10291741:-1 gene:OGLUM05G09830 transcript:OGLUM05G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGFGISNGDADGRPEVVVMRAIRRGGNDGGAVRPATSSNNLDNSPLVAALVWGRGDPFFSLALSLGNPTAWKDWEHGQVSSSCLMAGRRRSAGAVATHRRCAVGGGH >OGLUM05G09840.1 pep chromosome:ALNU02000000:5:10294732:10296125:-1 gene:OGLUM05G09840 transcript:OGLUM05G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPVSAGDASRVLFTGIRDHTDILIEVLLRLPPWSLCQLRCVCKLWLEQTTSSTFLSAYAERHTTNPSNWFLLDRTIFIDTAPTPRGPIRALLRNSEPPKVSSIITSSRMCSICRKESFYDQPPMIVSYSSGLILLTGNENNYYVCNPFTGDTFLLPVPKPQLRNAESLGIVARDGEYVVAELMLSCLRSFSSVNGRWEEKPLVCPQFSRGDMVFSSGGMLHWVDLNCGILSCDPFASEPTVLFINLPEASGRPTRGLDEWIHMRYVGVSAGRLCFFDIDEDDGESGSMSLWALGGNSGEWVLEYKVDFKDLWEDESYDDYSLDEDEVPLVGLVDPLNEHSVYVISQDCLFNIDLKTKQILNCTAQTNAGREVGSSPPIACVVPPLPHLVSPYPSCLRKEASNSDPQEAGSSDKPQKSGKGRRRKSKT >OGLUM05G09850.1 pep chromosome:ALNU02000000:5:10305173:10306129:-1 gene:OGLUM05G09850 transcript:OGLUM05G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLIRTRAIRAGTIIRVIDYLFIDSDINTRHQHKVLPHDEATFGHVNEAKETKSSSSLCHKVAD >OGLUM05G09860.1 pep chromosome:ALNU02000000:5:10310876:10313175:-1 gene:OGLUM05G09860 transcript:OGLUM05G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSHKPLELFHALPPPAPAPADAPPSTAFEGFSSILTRFDAGYFRISLSLCGQALLWRTLCGGGDEHVQPRALGALARHLSPAASVLLWSLALLSLVALTALYAARCLLRFAAVRAEFRHRVAVNYLFAPWASWLLLLQSAPSSLLRPGAAPRRVLWCAFAAPVLALDVTVYGQWFTEGRTALSMAANPTGHITVVANLVTARAAAELGWREGAVAVFAVAVAHYAVLFVTLYQRLLGANALPAMLRPVFFLFFAAPSMASLAWGAISSSFDTACKMLFFLSLFLFASLVSRPTLFRRAMRRFSVAWWAFPFPLTVLAVASVEYAREVEDHAAVVLVLVLSALSVVVTVAVVVCTVIRTSDLLPHGDDDPLACASSVMVPLDALTGSIVSSCV >OGLUM05G09870.1 pep chromosome:ALNU02000000:5:10315772:10316148:-1 gene:OGLUM05G09870 transcript:OGLUM05G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTDPVSVLTAGGGAADPLPAWTTGGGGSRFGDGDDDLTPATVCGGDMTLRQRARVQYKRFSHAVAPAACEKRDFRRPSRPCGWRNRMPRSFSPACKKGFH >OGLUM05G09880.1 pep chromosome:ALNU02000000:5:10326376:10333135:-1 gene:OGLUM05G09880 transcript:OGLUM05G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGTPLPAILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPSATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVEVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPNAGAHTFSVGVTEDLNTNLLIELMADDIEYVYQRSPAKIIDIRVPTFEALSKVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAMHQCEAILKASDFSELDREGYRFSTAATVYNNGAQIGPMNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHRHGHSHGDHHHHHHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHHRGYGEVVAAGAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGHYSPSV >OGLUM05G09880.2 pep chromosome:ALNU02000000:5:10326376:10333135:-1 gene:OGLUM05G09880 transcript:OGLUM05G09880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGTPLPAILLLLAFVGGACGTEILSKSRLESCSHDSDAGGRLKCDRKLVVDLAVPSGASGGEASLVARVAGVEEENDTPSATKSIRDPPVITVSKSATYALYALTYLDRDVAYRPDEKYVKTHKCEPYAGAKVVGECERFHVFGIGAWSLRFSIRVEVKKGSSVWDVVVGPENKTVVSGDNFLRVKVVGDYTGYTSIPSFEDNYLVTPRKGTGSSQPQDLGNEHSKWMILDRVRFTLDGLECDKIGVGYEAYRNQPNFCSAPYGSCLGNQLWNFWEYDKRRIDNSQLPLYIVEGRFQRINQHPLMADDIEYVYQRSPAKIIDIRVPTFEALSKVGIANVTTKNIGKLESSYSLTFKCSSGISPVEEQLYTMKPDEVIARSFELRSTTDQAAMHQCEAILKASDFSELDREGYRFSTAATVYNNGAQIGPMNDHKKGGFWDSIKALWRNLIDFLTGRLCWTKCPRLFDFGCHIQYVCIGWILLLLLIPAAVVFLWLLHQEGLFDPLYDWWGLEPDDDYRARRRHQKGRHHRHHHDHRHRHGHSHGDHHHHHHGGHHQRRRHHHPPAWDVEGHHHDRQQHSHEAGRNHHRGYGEVVAAGAAPLRLDRASRPGQTEVDAVVEYRERRSRHERHGGHGHRDGHYSPSV >OGLUM05G09900.1 pep chromosome:ALNU02000000:5:10339386:10343630:1 gene:OGLUM05G09900 transcript:OGLUM05G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSGPNTCSHQPITPKPSQPSSPRPTPPPKTAKPYTREAASEKKKKKPYTRDGRRRRQTAAMAMLLRRPLRALTLPLLRPHLSTAAAAEVSPADHHPDLLSPPFGYLPGHPRPDAKHDELILAVPRASPGRHVAAKERKAGRVPAIVFEQENGQEGGNKRLVSVQSKQIRKLVDHLGRSFFLSRLFRLQVWSEHAGQGELIESVRVLPRKVHLHAGTDEPLNVTFMRAPSSALLKIDVPLMFIGDDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPEQPICSIIGPRAPEQKKSK >OGLUM05G09910.1 pep chromosome:ALNU02000000:5:10346679:10349344:-1 gene:OGLUM05G09910 transcript:OGLUM05G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTAAVPTANTNGNHALSMDSHSSQDVRRRTVVVARKKASPELLADGGFNGTSSVDKITDKKDLSHTIRGESVLGKSKYPLEARKDAIASAAAADRRKKSGAKQEKAKWEITLSVLMKLCLLISAVAWMGQLFWRWQNGDLSFTTLDMENRLSKVEGFKKMTKMLQVQLDILDKKLGNEIDKTRRDITKQFEDKGNKLEIKMKALEGKTDKLDKSLAELRDMGFVSKKEFDEIVEQLKKKKGLDGTVGDISLDDIRLFAKEIVEMEIERHAADGLGMVDYALASGGGKVVKHSEAFRKAKSFMPSRNSLLEPAKKMLEPSFGQPGECFALQGSSGYVEIKLRTGIIPEAVSLEHVDKSVAYDRSSAPKDFQVSGWYEGPEDDSDKESRVVTNLGEFSYDLEKNNAQTFQLERTADSRVINMVRLDFSSNHGNSELTCIYRFRFIGVYSFGDSWALR >OGLUM05G09920.1 pep chromosome:ALNU02000000:5:10363350:10366398:-1 gene:OGLUM05G09920 transcript:OGLUM05G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIHALRNMDDDEVFAYAKRIAAPYNLVMQTKQLGRLPVVQFAAGGNRYMVMEAFIDTAGKGQSDPLILTQLNITKAIRDSIQINFGECGLAACLGSLQVKYVNPITKLCVIRVSREDHQKVWAAITMVRSIGKIPVSFNLRDVSGSIRACKKAALECEEAKFEYYKLAAGDRITPKFVETMESCFNKIKGLES >OGLUM05G09930.1 pep chromosome:ALNU02000000:5:10373869:10386752:1 gene:OGLUM05G09930 transcript:OGLUM05G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPTRRWGRGRRGGEARGVGVERKRLVVFVSGGGSNFRAIHDAALGGDVNDVVVALAVEERSMRGVMAYRSLCSLSRSLLQRGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDE >OGLUM05G09930.2 pep chromosome:ALNU02000000:5:10373869:10386752:1 gene:OGLUM05G09930 transcript:OGLUM05G09930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVMAYRSLCSLSRSLLQRGVSTDELLNGLRELRVDFILLAGYLKLIPVELVQAYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGRTLAQRVVPVQANDTPEQLATRVLHEEHQVYVEAVTALCEDRIVWREDGIPLIRSQTNPDE >OGLUM05G09940.1 pep chromosome:ALNU02000000:5:10387930:10388616:-1 gene:OGLUM05G09940 transcript:OGLUM05G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLGCCLETEVPLLFNSKGIGFWGIDRSEITTVVQGTIGCSDPIFGVLLVELLTRKKPVADTFDGDSLVSHFVSLLLEGNLIDIINPQVKEEEGGEVHEVAALAALCTKLKGEEWPSTREVEMALENILSKKGPFHKGNRESSRPSKN >OGLUM05G09950.1 pep chromosome:ALNU02000000:5:10388651:10392019:-1 gene:OGLUM05G09950 transcript:OGLUM05G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVPATKREAKLCVVLAALLLLLGAPGVATADAVATSGSGRGSCTRSCGNISIEYPFGMEPGCYHVVGFNLTCDTRGPFFLSESESSLVLMGCDSQVLVRELGGDNTLVASCSAICLLSWPSQPGGRFLGISGKGACSSIGCCQINIVLGYSSYLIQIHGMDQLGMDLLADIYMVDQGFNYTTDTFYSNSTEYPPRALPALLKWVIITPTTTSRSGRGGCMRSCGNISIEYPFGVEPGCYHAVGFNLTCNHSYQLPRLFLDDGTVQVLNISIPDGTVRINSGRVNLEDNGLGSTNGTWGRWPPNWWAVLSVGVGEQTGVDGLQLLGRCLGAKRKLIGCLLQCHLPIIALTRTLLRNFQDKIKHSSNNFWWINCSYSGTCYSPSSTVKQRRVKKLKEKFFKQNHGLLLQQLISKNTNFGERMIITLEELQKATNNFDRSRQVGDGGHGVMFKGILDLNVVAIKKVKDYSSKRNRRIHK >OGLUM05G09960.1 pep chromosome:ALNU02000000:5:10424886:10435473:1 gene:OGLUM05G09960 transcript:OGLUM05G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAMKSASRKRWPPAPDPVELPPPGFVADRAEAAARVERLLRYQFRDGRLLEEALTHQSFADDAVSYQRLEFVGDSALGLAFSNFLYLTNPTLGPGPLSTLRAANISTEKLARVAVRHDLYPLLRRNCPRLDLLVGQFIETVKQEPEDDLGTVPYGGSVVKAPKVLADIVEAIAAAVYVDCKFDLEKLWKVTRWLFEPIITAETIDEQPVTMLHELCQKHGKMAQFKTWQKGGMTVVNVFVAGELVGIGSSEQKVIAKLNAARDATRKLAGAKKQVLTTGVGNGLGDEIGELRECKQKLNEQCSRQNWPKPIFKLEKEDGPAHERKFVCSVQVETAKGNFVTIGDPMSRVKDSENSAAQKMLEVILKF >OGLUM05G09970.1 pep chromosome:ALNU02000000:5:10437028:10437402:1 gene:OGLUM05G09970 transcript:OGLUM05G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITVFLFLLVALVVVSAAEASPEPLPARRSRFLLTSSSFYSCTKKSSAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYA >OGLUM05G09980.1 pep chromosome:ALNU02000000:5:10440029:10440367:-1 gene:OGLUM05G09980 transcript:OGLUM05G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAACAAAGQRAEAAAATECDARFRCLALIATVLKWLQDFSNKVEERAKGVAAEVNGLLNEAVALELDMKTRSFFFLVHVFCLCFYDVMMV >OGLUM05G09990.1 pep chromosome:ALNU02000000:5:10457350:10480681:1 gene:OGLUM05G09990 transcript:OGLUM05G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILDCVGSSKGLVDIVWSVDEGVRLYLDPHSILLSSSIGSATGFPLISPRLMAAAAAVASLLGFVLALPFCLAAPSITTHGSDGGGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLVRAGKFAENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQLLYFYKDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >OGLUM05G09990.2 pep chromosome:ALNU02000000:5:10457350:10480681:1 gene:OGLUM05G09990 transcript:OGLUM05G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQDPGTPPAKRRWSSLDVGTEIYVSNHAEAEWTLSGFDILDCVGSSKGLVDIVWSVDEGVRLYLDPHSILLSSSIGSATGFPLISPRLMAAAAAVASLLGFVLALPFCLAAPSITTHGSDGGGGSYVSAVGDPGMRRDGLHVAWEAWNFCNEVGQEAPGMGSPRGADCFDLENGVDENGQPTYKVVHRVTDADNNLRAGDPLPGSPANITDVDLYAAAKELYLGDRCQVPDSPSPWQFWMVMLKNGNLDTTAAICPENGRPARPFSQTSRFPCPGGAGCMNQPLVFHNRTALDATARRLRGGLFGTYDLDAADLGSREVSYYSVTWEKDIGSGGDGGWVFHHKLRTAPKYPWLMLYLRSDATKGFSGGYHYDTRGMTKMVPESPNFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTRRRIEECPPWHTFRNGTRVHRTDAARFPYAAYHVYCSPGNARAAEQPTTYCDAYSNPQPQEILQLVPHPVWGEFGYPTAKGQGWIGDPRTWELDVGALSQLLYFYKDPGTPPAKRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >OGLUM05G09990.3 pep chromosome:ALNU02000000:5:10457350:10480501:1 gene:OGLUM05G09990 transcript:OGLUM05G09990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVADAASLLGLLLLVSCCSLAQSVTTNGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGREAPGMGSPRGADCFDLEDGVDEHGQPTRKVVHRVTDADNSLRAGDPFPGTPANITDVDRYAAAKELYLGDRCQVADGPSPWQFWMVMLKNGNLDTSAAICPDNGRPARPFPQGKFPCPGGAGCMNPPLVFHNRTALDATGRRLRGGLFGTYDLGAADLGNKDVSYYSVTWEKEVGGAGGWVFHHKLRTSPKYPWLMLYLRSDATSGFSGGYHYETRGMTKIVPESPNFKVRVTLEVKQGGGPSSQFYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPSWCTPGKVGLCPPWHTFRNGTRVHRTDAARFPYAAYHMYCSPGNARAAEQPTTPCDPYSNPQPQEIMQLVPHPVWGEFGYPTAKGQGWIGDPRAWELDVGAMSQALYFYQRRWSSLDVGTEIYVSKYAEAEWTLSGFDIVVPEECIGSSQGGPVSRCL >OGLUM05G10000.1 pep chromosome:ALNU02000000:5:10484642:10487396:-1 gene:OGLUM05G10000 transcript:OGLUM05G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFTLLAAEAALVLVLLFRTPFRRLALLAIDRAKRGRGPVMVKTVAATMLVVLGSSGYSITKIRRRVGDLGQLTPTDQVLASRHLLEASLMGYSLFLGLIIDRLHHYIRELRTMKKNMEAVTKQSRTLEEAKHGSVEEIQQYQKEISRLNEQVQEFKRLSETKTEELKTAEANTLALQKQSEGLLTEYERLIAENEEFRNQLQSMDLRLSHSDNKKNT >OGLUM05G10010.1 pep chromosome:ALNU02000000:5:10520231:10522746:1 gene:OGLUM05G10010 transcript:OGLUM05G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGGGDCGGRHFHVHYHLPRWDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSASHYNIGIEDDKCEDHTDNNIDEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPAPWWNLCGVIDIA >OGLUM05G10010.2 pep chromosome:ALNU02000000:5:10519944:10522746:1 gene:OGLUM05G10010 transcript:OGLUM05G10010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGGGDCGGRHFHVHYHLPRWVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFILSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEASAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIDEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPAPWWNLCGVIDIA >OGLUM05G10010.3 pep chromosome:ALNU02000000:5:10519944:10522746:1 gene:OGLUM05G10010 transcript:OGLUM05G10010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGGGDCGGRHFHVHYHLPRWVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFILSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCDIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIDEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEIA >OGLUM05G10010.4 pep chromosome:ALNU02000000:5:10520029:10522746:1 gene:OGLUM05G10010 transcript:OGLUM05G10010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALALSCGRRQRGKKGGGGGGGGDCGGRHFHVHYHLPRWVCASSFVPNLLPRFFSLLSACVLVPPVLFLAVLAFLVLFLWFTLLYFILSLWNKDINGETFERSSNDHGSDADKRLGEGVAEEGKAEKKTLKISSEDCARRFEIEEVLHVNSDDSQNLPSMVSSDGCLNCKMHTDDEKLIKEVTVFEIKRRESGAAIVCSDGLSQKRQPRDMSIDWFEEETKSGDIIKSGDSSPTVLSTFSSEFHDFSDNNEVGLATDFLDVNNQNKAVLLDSSSHRGIMDNHCKCGEEFSSDKEASAYHLFENYDFVDKHETKEVVLGDTVVLTFVDDSANDDSEYREDISEQKDPNNLSGLLDNVADIFYQQQEIHKVVVSDDNKPPEVLFLSGKQTVSSSGEFSSPNENGTSGFPFDSVCEDKNGTVAYPSVASHYNIGIEDDKCEDHTDNNIDEASSIASTNCGSADKHQTIQVLPVCLANGDNIDDVASLGSSICEDVEDKDNKSNANCISEGAPHGNGMPLVRSPAPWWNLCGVIDIA >OGLUM05G10020.1 pep chromosome:ALNU02000000:5:10541260:10542799:-1 gene:OGLUM05G10020 transcript:OGLUM05G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADNELAVITHRTVDANGLRMHVAEAGPPGAPPVLLLHGFPQVWYAWRHQMRALADAGYRAVAPDLRGYGDSDAPAAELQYTAMHVVGDLVALLDAVVGAGKPVFVVAHDWGALTAWNLCLFRPDRVRALVSLSVAFSPRSPARRPVDGLRALYGDDYYICRIQEPGAIEAEFARLGTELVLRKFLAYRTPGPLMMPKSGWGSPDDEVPLPSWITEEDIKYYASKFDKTGFTGGLNYYRALNKTWELTAPWTGAEIKVPVKFIVGDLDLTYHTPGIQDFIHKGGFKKYVPLLDDVVVMKGVGHFINEEKPKEVSEHVISFIRKLSAEM >OGLUM05G10030.1 pep chromosome:ALNU02000000:5:10543335:10557168:-1 gene:OGLUM05G10030 transcript:OGLUM05G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGSSAELHDVGVQRPCAGVDREARECAGVAGGWKARTTRASTGRGGRGGGRRRDGGRRWAVGGGHGGHLVTTHHKEWPGKWGWGRVKAAMMPEN >OGLUM05G10040.1 pep chromosome:ALNU02000000:5:10587079:10604171:1 gene:OGLUM05G10040 transcript:OGLUM05G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKKTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >OGLUM05G10040.2 pep chromosome:ALNU02000000:5:10587079:10604171:1 gene:OGLUM05G10040 transcript:OGLUM05G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKKTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >OGLUM05G10040.3 pep chromosome:ALNU02000000:5:10587079:10596030:1 gene:OGLUM05G10040 transcript:OGLUM05G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 2 [Source:Projected from Arabidopsis thaliana (AT3G18524) TAIR;Acc:AT3G18524] MEGDDFLPEGGKLPELKLDARQAQGFISFFKKLPQDSRAIRLFDRRDYYTAHGENATFIAKIYYHTMSALRQLGSNSDGLSSVSVSKTMFETIARNLLLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVTVALFPVFQEGQLYVGLSFVDLTNRKLGLSEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLKPLQDAITNCNVLLTERKKVEFKSRDLVQDLGRIIRGPVEPVRDLVSQFDYALGALGALVSYAELLADDTNYGNYTIEKYSLDRYMRLDSAAVRALNIAEAKTDVNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDVNEINNRLDMVQAFVEDPELRQGLRYQLKRMSDIDRLTHALRKKTANLQPVVKLYQSCIRVSYIKNVLQQYDGSFSALIRTKFLNSLDEWLTEDRFGRFASLVETAIDLDQLENGEYRISPRYSSDLAVLKDELSEVENHINNLHKHTAADLDLTIDKQLKLEKGQLGHVFRISKKDEQKVRKKLTSNYIIIETRKDGVKFTSSKLKKLGDQYQALLGEYTSCQKKVVDDVVRVSASFSEVFENFAAILSELDVLQSFADLATSSPIPYVRPEITASEEGDIILEGSRHPCLEAQDGVNFIPNDCTLVREKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCDRASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGLAWAICEHLVEVTRAPTLFATHFHELTALGHKSGDEHQHVPNLGIANYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVVALAKSKAEELEDFSTAPNFSDDSKDEVGSKRKRVFSPDDVTRGAARARLLLEELASLPLDEMDGTKAAETVTKLKSDFEKDAADNPWLQQFL >OGLUM05G10050.1 pep chromosome:ALNU02000000:5:10649705:10657745:1 gene:OGLUM05G10050 transcript:OGLUM05G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWK9] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQVASLLLTRLSPSLALTTVPTDLYQSTMDFALVQPIIVNAENGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >OGLUM05G10050.2 pep chromosome:ALNU02000000:5:10649705:10657745:1 gene:OGLUM05G10050 transcript:OGLUM05G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWK9] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >OGLUM05G10050.3 pep chromosome:ALNU02000000:5:10649698:10657745:1 gene:OGLUM05G10050 transcript:OGLUM05G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWK9] MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKLGGLRVLNSYWVNEDSTYKYFEIILVDVAHSAIRNDPRINWLCKPVHKHRELRGLTSAGKKYRGLRGKGHTHHKARPSRRATWKRNQTVSLRRYR >OGLUM05G10060.1 pep chromosome:ALNU02000000:5:10655432:10659177:-1 gene:OGLUM05G10060 transcript:OGLUM05G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATGRGVFPNKPALPAGPKKRPPPHTVLLPATPPPPPSRSSLPLDSLLLHLTAAPPPPQPPATRRPHPTPTPPHSFLSPAAQSLALELSGHWEWALALLRWASDEGAADAAALEMVVRALGREGQHDVVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERALQLFAELRCQGVVPTIVTYNVVLDVYGRMGRSWPRIVALLEEMRAAGVEPDDFTASTVIAACGRDGLLDQAVAFFEDLKARGHVPCVVTYNALLQVFGKAGNYTEALRVLKEMEDSGCQPDAVTYNELAGTYARAGFFEEAAKCLDTMTSKGLLPNTFTYNTVMTAYANVGRVDEALALFDRMKKNGYVPNVNTYNLIFGMLGKKSRFTAMLEMLEEMSRSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLNGMKSCGVELSRDTYNTLISAYGRCGSRTYAFKMYDEMISSGFTPCLTTYNALLNVLSRQGDWSTAQSIVSKMLKNGFKPNDQSYSLLLQCYAKGGNAAGIESIEKEVYIGTIFPSWVILRTLVIANFKCRRLEGIEKAFQEVKAQGYKPDLVIFNSMLAMYAKNGLYSKATEMFDSIKQSGLSPDLITYNSLMDMYAKSNESWEAEKILKQLKSSQVKPDIVSYNTVINGFCKQGLIKEAQRILSEMIADGMAPCVVTYHTLVGGYASLEMFNEAREVVNYMIHHNLKPMELTYRRVVDSYCKAKRYDEAREFLSEISDTDQNFDQKLQHMLEARIKDAQFGR >OGLUM05G10070.1 pep chromosome:ALNU02000000:5:10660103:10667308:-1 gene:OGLUM05G10070 transcript:OGLUM05G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G19290) TAIR;Acc:AT1G19290] MPHGCHFLRPLSFTCRRRRLHSSLPRADGDGTEAGAATDTTLLGRLTRLLLLHRFPAAERLLASSSPLTPALLHAALRRVRLDPDAALHLFRLAPSRPSLVSHAQLLHILARARRFHDARALLSSLPPHAEPLFPYLAEVYRDFTFSAVSFDLLLRAHADAGQLSSALNVFDGMGKVGCRPSLRSCNRLLNKLVQSGDPGMAAMVYEQMRIAGVLPDEFTVAIMAKAYCRDGRVAQAVEFVEEMEGMGLEVNLVAYHAVMDCYCGMGRTEDARRILKSLQRKGLSPNVVTYTLLVKGYCKDGRMEEAERVVKEMKETGDIVVDEVAYGMMINGYCQRGRMDDATRVRNEMRDAGVHVNLFVYNTMINGLCKLGRMEEVQKVLQEMEDVGMRPDKYSYNTLIDGYCREGSMRKAFEMCRMMVRNGLAATTLTYNTLLKGFCSLHAIDDALRLWFLMLKRGVAPNEISCSTLLDGLFKAGKTEQALNLWKETLARGLAKNVITFNTVINGLCKIGRMAEAEELLDRMKELRCPPDSLTYRTLFDGYCKLGQLGTATHLMNKMEHLGFAPSVEMFNSFITGHFIAKQWHKVNDIHSEMSARGLSPNLVTYGALIAGWCKEGNLHEACNLYFEMVNNGMNPNVFICSALMSCFYKEGKVDEANLVLQKLVNIDMIPGCSISTIEIDKISHVVDTIADGNPHSANVMWNVIIFGLCKSGRIADAKSLFESLRNKRFLPDNFTYSSLIHGCAASGSIDEAFSLRDVMLSAGLTPNIITYNSLIYGLCKSGKLSRAVNLFNKLQSKGISPNGITYNTLIDEYCKEGKTTEAFKLKQKMVEEGIQPTVITYSILIHGLCTQGYMEEAIKLLDQMIENNVDPNYITYCTLIHGYIKSGNMEEISKLYDEMHIRGLLPTNWMGNWKRSDPVVVNNWNRKGGHMKTYKIALCAL >OGLUM05G10080.1 pep chromosome:ALNU02000000:5:10667503:10688351:-1 gene:OGLUM05G10080 transcript:OGLUM05G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKGATTVRLGGRANSDKPPSGIDLVGGRGDAKDSGGDSARTGNPTRLRGGEGAAVPLPAIWEIGIIFSNSDRRAPSSISPSPRGNDKTFQRP >OGLUM05G10100.1 pep chromosome:ALNU02000000:5:10696245:10702377:-1 gene:OGLUM05G10100 transcript:OGLUM05G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pex2/Pex12 N-terminal domain-containing protein / zinc finger (C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT1G79810) TAIR;Acc:AT1G79810] MADPETLPSPSASTDSSSPPPDAWAAEYRRLLPQWESMRDSSKIAIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLVKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAAPITGKEGLEGPGLSVSQKVLYCISTVGGQYIWSRLQSFSAFRRWGDSEQRPLARRAWGLVQHAEGLYRASSFFNLLLFLYGARYKTIVERILKARLVYENPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSASSSGDEADCPICRSSPSIPFVALPCQHRYCYYCLQTRCAATSSYRCARCNEIVVAIQRQGSS >OGLUM05G10110.1 pep chromosome:ALNU02000000:5:10712031:10714894:1 gene:OGLUM05G10110 transcript:OGLUM05G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVFLLATTLPVFLGDRWQVAREGSREGGRGTDRARATRTPHTSTHGTVRPAMAPEAAAAALKPMKATSDGVFQGEDPLEAALPLAIVQICIVVVLTRVLAFFLRPLRQPRVIAEIIGGIMLGPSAIGRNSAFINTVFPKQSLTVLDTLANIGLLFFLFLVGLELDLRAIRRTGAGALAIAVAGISLPFVLGIGTSVVLQNTVNRGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPFVSLWVLLSGVGFVLSSFFFIRPLLSWMARRSPEGEPVKELYICTTLTIVLAAGFITDTIGIHALFGAFIVGIIVPKEGPFAGVLLEKVEDLISGLFLPLYFVSSGLKTNVLTIKGGDSWGLLVLVVATACIGKIGGTVLASLIVRVPLREAVTLGVLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALINTFITTPLVMAIYKPARRAPPYKNRAVQRPNPDDELRMMVCFHSTRNIPTMINLMESSRGTRRRGITVYAMHLVELSERSSAINMVHKARRNGMPFWNRRRNGDGDQLVVAFETYQQLSHVSIRAMTAISDLHTIHEDVVTSAHQKRAALIVLPFHKLHQMDGHMESLGDEYQHINQRVLHHAPCSVGILVDRGLGGAAQVAASDVSYNIVVLFFGGRDDREALSYATRMVEHPGIALHVIRFVPESGGGGANDKAAADDAFLAEFRGKVAGGNDSIWYEERTSRGKADVVEAIKAMGPTNLFLVGQGSPCAPLMEPSAECPELGPVGSYLAMPDFSTVASVLVMKQYDPTAEHYELVEEVADTAVDIDTPGPRRG >OGLUM05G10120.1 pep chromosome:ALNU02000000:5:10748576:10750011:-1 gene:OGLUM05G10120 transcript:OGLUM05G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQPAMLLVLVTLCAFACKRSVAQSAFATFYGGKDGSGTMGGACGYGNLYNAGYGLYNAALSSALFNDGAMCGACYTITCDTSQTKWCKPGGNSITITATNLCPPNWALPSNSGGWCNPPRQHFDMSQPAWENIAVYQAGIVPVNYKRVPCQRSGGIRFAISGHDYFELVTVTNVGGSGVVAQMSIKGSNTGWMAMSRNWGANWQSNAYLAGQSLSFIVQLDDGRKVTAWNVAPSNWFFGATYSTSWVQF >OGLUM05G10130.1 pep chromosome:ALNU02000000:5:10774320:10775324:-1 gene:OGLUM05G10130 transcript:OGLUM05G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVVQVLLLCALAYQAVDAQWTPATATFYGGSDGAGTMGGACGYGNLYNAGYGLNNAALSSALFNDGAMCGACYTIACDTSQSTWCKPGTSITITATNLCPPNYAKKSDAGGWCNPPRKHFDMSQPAWTSIAIYQAGIVPVNFKRVPCQKSGGIRFTISGRDYFELVTVFNVGGSGVVAQVSIKGSKTDWMAMSRNWGQNWQSNAYLNTQSLSFKVKLDDAREVTVWNIAPSNWNFGTTYTSNINF >OGLUM05G10140.1 pep chromosome:ALNU02000000:5:10784645:10790885:1 gene:OGLUM05G10140 transcript:OGLUM05G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVDSASFVCPSCATPAGGGRRALDERAARVLLVAARLAHDSVARAAAAAREDAERCVREAAVARKRSREMLDAAFRALEAEAREAKKPAAAPPPKKKIPKSSEANRDKLLKFNAMQQPALAFAAAAAAAASSMPLSIPSSREDKKPVKQEVQGEPTLNSIKMGS >OGLUM05G10150.1 pep chromosome:ALNU02000000:5:10792513:10795344:-1 gene:OGLUM05G10150 transcript:OGLUM05G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT2G47020) TAIR;Acc:AT2G47020] MMKHHLRRCGAAAFTMVRCFRHFPHAVSTSPPIGWKCPSPRLYSTNEMNQQLPANLVGVMEQRMKLIEQRSAYLQEQINQPDASPEEYSRANKELHKLESTMDMIEELRSKQEEIEGLKSLMTNSVEEKDFREMAAQELLQALEEEKQLQHKLFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFKMYEKYSQKNGWKFDAIDIMESALKGYKEASGTISGPGAYGKLKFESGIHRVQRVPVTEKSGRVHTSAVSVAILPQADEVVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRITHVPTGTVVAIQDERSQHQNKAKALKVLRARLYEIERHRLHMDRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIEDVMEGESLDIFIDALLLRYEMDAIASFAS >OGLUM05G10160.1 pep chromosome:ALNU02000000:5:10796134:10800105:-1 gene:OGLUM05G10160 transcript:OGLUM05G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQILLIKQAEEPVEFQRIRSEAVKPARRATNGGVARDGGLAALDFGERWRRHEEREVEGAGRGLEARWSERWGSDGPRCGAGGGERRNFAIGTGRKVRVIRGRCWVREGDGYTGDRCRRCRRCCFRRILARCHWSNCTPGDHVRSTVLKCEINEFKDLQLAGKGGGLGRASSPIPNLAIPSSRPSGSGGAACGLWSWWWAWRRSVPCARRGAAGRNPWRRAANARVGGAAARRRDAGAAARRSNRHWLSSAGSKAETATNRD >OGLUM05G10160.2 pep chromosome:ALNU02000000:5:10799120:10800105:-1 gene:OGLUM05G10160 transcript:OGLUM05G10160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEQILLIKQAEEPVEFQRIRSEAVKPARRATNGGVARDGGLAALDFGERWRRHEEREVEGAGRGLEARWSERWGSDGPRCGAGGGERRNFAIGTGRKVRVIRGRCWVREGDGYTGDVRLR >OGLUM05G10170.1 pep chromosome:ALNU02000000:5:10809394:10809699:1 gene:OGLUM05G10170 transcript:OGLUM05G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISSPDQRLSSPCQSPRCRQQRTLRRVLVAASMEWPQRWAWIKDSVAIELPVERIEAGLIGERKSRLGTASEQADVGFPNPSVGFPSPSHDNLEASHGA >OGLUM05G10180.1 pep chromosome:ALNU02000000:5:10810550:10811586:1 gene:OGLUM05G10180 transcript:OGLUM05G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSLPCAVVAVLLLALLPTPSTAGDPDLLQDICVADLTSAVKVNGFACKAAVTEDDFYFKGLAAAGNTNNTYGSVVTGANVEKLPGLNTLGVSMSRIDYAPGGVNPPHTHPRATEMVFVLQGTLDVGFITTANKLYTKTISAGDVFVFPRGLLHFQKNNGDTPAAVISAFNSQLPGTQSLAMTLFAASPEVPDGVLTKAFQVGTKEVEKIKSRLAPKKR >OGLUM05G10190.1 pep chromosome:ALNU02000000:5:10856042:10861268:-1 gene:OGLUM05G10190 transcript:OGLUM05G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTVMRKSRNFVGPSPPAPPAEITTTLELSSIDRVPGLRHNVRSLHVFHRHKNSGPVVDGDSRRPAAVIRAALARALADYPAFAGRFVGSLLAGDACVACTGEGAWFVEAAADCSLDDVNGLEYPLMISEEELLPAPEDGVDPTSIPVMMQVTEFTCGGFILGLVAVHTLADGLGAAQFITTVAELARGMDKLRVAPVWDRSLIPNPPKLPPGPPPSFQSFGFQHFSTDVTSDRIAHVKAEYFQTFGQYCSTFDVATAKVWQARTRAVGYKPEIQVHVCFFANTRHLLTQVLPKDGGYYGNCFYPVTVTAIAEDVATKELLDLIKIIRDGKARLPMEFAKWASGDVKVDPYALTFEHNVLFVSDWTRLGFFEVDYGWGTPNHIIPFTYADYMAVAVLGAPPMPKKGTRIMTQCVEDKCIKEFQDEMKAFI >OGLUM05G10200.1 pep chromosome:ALNU02000000:5:10866961:10867179:1 gene:OGLUM05G10200 transcript:OGLUM05G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVCSGAWGGSASNTGGSSSDDGVGLGRGRSTDENLDNGGSGGGDLGNSGSSMREKMMAELVLGRPWR >OGLUM05G10210.1 pep chromosome:ALNU02000000:5:10893792:10913091:-1 gene:OGLUM05G10210 transcript:OGLUM05G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G06950) TAIR;Acc:AT3G06950] MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISVKCPSPSTLPSLHPDLTGSQLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >OGLUM05G10210.2 pep chromosome:ALNU02000000:5:10893790:10913091:-1 gene:OGLUM05G10210 transcript:OGLUM05G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G06950) TAIR;Acc:AT3G06950] MLGLAPLRFVSPPPRGAAAVSAAAIGGHSISLGDGCHCAVPPPGEKDADKARAETTEGASYKWRMVIAYDGTKFKGWQYQPSPPTIQCSIENALTRITKLDRKELCLVGAGRTDTGVHAWGQVAHFTTPFSYHCLDSMHSAINGLLPHEIRVREISAAKPEFHARTSTRSKIYHYKIYNGPVMDPFHNHYAYHSAYKLNSQAMREAAKHFVGTHDFTSFANAVHNDRVRSPIKKIMRFDVIEMGAILQLEIEGTGFLYRQVRNMVALLLQVGREALPPDIVAKIIAARDRKELAKVALSAPPHGLYLMSVNYDKEMLQPPEGAPHISFGRTHQISKCKLLFY >OGLUM05G10220.1 pep chromosome:ALNU02000000:5:10917066:10922995:1 gene:OGLUM05G10220 transcript:OGLUM05G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEYQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLELHFRKDHFLCEDEACLAKKFRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDTPSMQNGSAIIGNGFPSRVDNVTVSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDAKMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDESLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIGRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNIRFKSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAKLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPIMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >OGLUM05G10220.2 pep chromosome:ALNU02000000:5:10917066:10922995:1 gene:OGLUM05G10220 transcript:OGLUM05G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCADALEWVAYGACGHREVCSTCVVRLRFVMGDKHCCICKTECSSVFVTKAMGDYTKVINDFNIFPPVATEGKVGEYWYHEDSQAFFDDAEHYKMIRAMCRLSCSVCDKAEYQAGQAAQVRRKSKFRSIEQLKGHLFHQHRLYMCSLCLEGRKVFICEQKLYTRTQLNQHVKTGDSEVDGSEVERSGFAGHPMCEFCRSSFYGDNELYTHMSREHYSCHICQRQHPGQYDYFRNYDDLERHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDERRGRGRGRNSHRDGSDRDTPSMQNGSAIIGNGFPSRVDNVTVSVSVSSSSGRGESGQSSGNGRVFEHLSFPPLQDQDIPDAKMDSAPDETSFPSLSEQQTRYAHALSQSSLAAKLGDESLFPPLPGSSSNRGSTSTQQGLQSLAKNTLASRLQQRSKGSVKVLHSARPRPSENPESVPPVSSSPQMWPTPDQGLLHSSSSQLRIGRENGIMSSADSAWNPGGGASNRMKHSVSTPNLMSGGSSVQALSTSNGGNKKQQPPQSSQTLPAADDVRAANKTLVERMRSALGMDEDRYSAFKEIAGEYRQGIIGTSEYLSYVEQFGLSHLVPEMARLLPDPQKQKELADAYHTNIRFKSLQENSDGLTITSKEGGRKKKGKGKSHDVTETSAAPAKDMKDSLADSFLDTVRKLQLNKTQEGEAEVLSRDGYRSSKGKAKLITGGSSSSTPCLDGDHGAISMASCAKDDVGKGGGSSNSNINKQSKKTSKFLRARLGDNSLATLDLSRPIMSPERPERESQGPQVGLPMRGVWKNGGGQKLFTGNGRK >OGLUM05G10230.1 pep chromosome:ALNU02000000:5:10925632:10926216:-1 gene:OGLUM05G10230 transcript:OGLUM05G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQPEVTGVAAHMWKIGCYSVRKVHGEFCIDEKAFNMNGLYIRKDYQVYFPSNQTTCHSELSTNDNCKLKTSCAGRPGVAQVTTMVMSVGFARHGWMELYYPMAHLGLGRSPSIISPRIEAFQNHEFQDKKEKIQFGLGLGQPGHTWT >OGLUM05G10240.1 pep chromosome:ALNU02000000:5:10930632:10940760:1 gene:OGLUM05G10240 transcript:OGLUM05G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGGTFWLSESPSVPGSVSWGATAPCIATWAISFEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >OGLUM05G10240.2 pep chromosome:ALNU02000000:5:10930632:10940760:1 gene:OGLUM05G10240 transcript:OGLUM05G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30900) TAIR;Acc:AT4G30900] MTSLSITVMTLNLHEGEQPSESPNSWERRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSEDNTDEYCTIFYEKEKVELTEGEPPGFSFQIVNTNLDEDSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLVETP >OGLUM05G10250.1 pep chromosome:ALNU02000000:5:10942563:10943025:1 gene:OGLUM05G10250 transcript:OGLUM05G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLTSKEVFTWVNSNNQRLLHIGDIDRTSKSYVCTSCSMWLAVEDRVESAGDGGCLLFFFCSSSLLTRTRMLFAFFSCLLDDGWLLLCNVEFISIPHHYIIP >OGLUM05G10260.1 pep chromosome:ALNU02000000:5:10948632:10952310:1 gene:OGLUM05G10260 transcript:OGLUM05G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPSRLGLPRLLMVVALVLLALCGFGVHGRNHIHKKPHGGGGGGGRQHRGGGTVVSSPAVPPADEQTQPPGIVPSDPAIPAQPEQCVFDVRAFGAVGDGTTDDTEAFRAAWRAACAVESAVISVPSDGTFTITTTTFTGPCKPGLVFQVDGVLMPPDGPDCWPPSDNRRQWLVFSNLDGLTLRGAGTIEGNGEGWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLSIRSPANSPNTDGIHVENTQRVAIYNSMISNGDDCISIGTGSYDVDIQNVSCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGSVSGISFDTVSMENVRNCIIIDQYYCLDKRCMNQSTAVHVTDVSYANVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPFSGELVDDPFCWSAYGLQQTPTIPPIYCLQDGLPDSLLDNPDLRCR >OGLUM05G10270.1 pep chromosome:ALNU02000000:5:10960040:10960831:1 gene:OGLUM05G10270 transcript:OGLUM05G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF617 [Source:Projected from Arabidopsis thaliana (AT2G37880) TAIR;Acc:AT2G37880] MKKKTAASKYLCFASFTICIPSAKQPSGGDAKNRLSFSFPESINGGKDRRCQQHTEEEHKSESIIDPAASVVTRTDGKHCTIIVGTIFGRRSGHVTFCVQRDAAMPPPFLFELSVPMLSLAAEMGSGLLRLALECHHSSGKVVVGAADGDTINNAGTGGGGSRSVWKASCNGRDVGYAVRRRPTDQDCRVLESMRMTTTGVGVLPSTGFSEDGGGGDVLYMRATYERVVGSKDAVSYHLITPGTASGSPQQELSVFLLRTRGD >OGLUM05G10280.1 pep chromosome:ALNU02000000:5:10970161:10971857:-1 gene:OGLUM05G10280 transcript:OGLUM05G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLIHLRAVSEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIITEVYGIVNRKALAAKEAAAASAPLPSQGKTISIDSAAGNTKRACCSA >OGLUM05G10290.1 pep chromosome:ALNU02000000:5:11000062:11002890:-1 gene:OGLUM05G10290 transcript:OGLUM05G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCEFPTAVLSFRRFLQRRFLGGRHHHRPTSTTATGAAIPPADKLHNQTVMIDLESWLLRSPMSTFPYFMIVAIEAGSFLRGLILLLIYPLLWLLLSHDMRLKAMVMVSFFGLPEKEVVRIGKAVLPKFFLEGMAMEGLEVVRNAKKVVVFSPLFPRVMVEGFLKEYIGVNAVIGREVIAVAGRYVGLLVDHIDMDDGGFVDEVMEETKRGKGDGAVGLAGVGSKMHHLFSRYCKCICSTRNSAILMQETYVVCDADKKEWQPVPREKYPKPLIFHDGRLAFKLTPCAAVAMYTYLPWGIFLAVFRSLAFGLLPYRVSVPLAAFTGMRSRLIAGPSPDATRRNSGTAGGRLYVCNHRTLLDPITVAAVLNKPITAVTYSVSPVSELIAPIRTARLTRDRDEDRRRMEALLARGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRIDMFYGTSTKPAAKWLDPFYFMLNSRPEYHVEFLQPVSTAPVDGEAGGHGHSINAANRVQRVLGEALAFELTEQTRKDKYEMLAGNKGNVKGEAKM >OGLUM05G10300.1 pep chromosome:ALNU02000000:5:11056087:11057305:-1 gene:OGLUM05G10300 transcript:OGLUM05G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAARDGLAGGEWRWGCLERRQGWLEEVNVHLLVAVARPGVSKGRERHGRRWRQFWTSAAGVLRSTAIRFLARRGSRDYENPYPVRGWSGDGPKRRGDELPRRSAAVVGGEHGLQQASALRRDCRGGAGVFRGSQGGRSRLVASGVGFGRGRRRWIGHXQGYSEGARAVDLALSLRASALAGGGGVGLATAAAVVGAWARQGIGRDGVGFVQPWHSGIRGLRVAQCRVASLLGQRERGRARERQLRVGRAGEGRGEGSGRASGRGAPALPQLCQRESRAEVAWSAWKR >OGLUM05G10310.1 pep chromosome:ALNU02000000:5:11078486:11080076:-1 gene:OGLUM05G10310 transcript:OGLUM05G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVPVSSVVPLDRNLRWPLLAGCLRRLGCLPVLVVKSALRRLRSTTFLHTKTFTLDFGILWFKAFRLLAEWHSREHSEGINAFLHVFIAAFVITKPVSTKVHMFL >OGLUM05G10310.2 pep chromosome:ALNU02000000:5:11078486:11080076:-1 gene:OGLUM05G10310 transcript:OGLUM05G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVPVSSVVPLDRNLRWPLLAGCLRRLGCLPVLVVKSALRRLRSTTFLHTKTFTLDFGILWFKAFRLLAEWHSREHSEGEHWTLAHLGSQDSQILTWNFNDSHGINAFLHVFIAAFVITKPVSTKVHMFL >OGLUM05G10310.3 pep chromosome:ALNU02000000:5:11078765:11080076:-1 gene:OGLUM05G10310 transcript:OGLUM05G10310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPGVPVSSVVPLDRNLRWPLLAGCLRRLGCLPVLVVKSALRRLRSTTFLHTKTFTLDFGILWFKAFRLLAEWSSLVTLHLPLLYILAFSSSIPGSIVKVSTGPWLTLAHRIAKY >OGLUM05G10320.1 pep chromosome:ALNU02000000:5:11080379:11080688:-1 gene:OGLUM05G10320 transcript:OGLUM05G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLADLVNRSSGQALAVSMPDGVPQRSRVVSCVELTGGGSSSSAAMGGNTQEAKELMFASVPHLHRS >OGLUM05G10330.1 pep chromosome:ALNU02000000:5:11122580:11123125:1 gene:OGLUM05G10330 transcript:OGLUM05G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAHGHLVLLVAAVALLSAGFLPHAVAKKGGAVPPAVADICSRTPFPDTCKSTAGRHASKYPVIDVVAVLNMQVDAFSKRTAHARERVTKVSRGAQPQQTQALSFCDTMYMNTQDTIGAAERAITFRDKATAKIMLQLAVQDFESCDRPFKQSGINNPLEKIDVELNQMAQNCMTLASMI >OGLUM05G10340.1 pep chromosome:ALNU02000000:5:11199668:11201230:-1 gene:OGLUM05G10340 transcript:OGLUM05G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRLKQKYFDGVPANQVRTTSPCSSMTDEQWRKLVDMWSNPKHKEKCAKLKQNRENVKFHQSTGSRSYIAAAYIAKQEKYKDTELTAIDLFKLTHCSKTKGFSDDAKKAADDMEAILRRPVHEGEQEMTCTDIVAQVLTKSNTFLRNVGLQQPIAAPKSISPQMQELQAQLEAETEESVGLRQKVQRLEAQAEESEAKAQKQDEEIENLKKAITDTQNLIRQMIAFGQTQVTHQTTPP >OGLUM05G10350.1 pep chromosome:ALNU02000000:5:11346111:11347166:-1 gene:OGLUM05G10350 transcript:OGLUM05G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRHGRRERAWLMCVEGEEELAGYL >OGLUM05G10360.1 pep chromosome:ALNU02000000:5:11403906:11404862:1 gene:OGLUM05G10360 transcript:OGLUM05G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPARYWILARRKLGDQKAPLFPTPHITIGAGGSSASYYESWEERAFAEDSAGHLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKLSGVVEDGTGGESHGMPPNQNYMIQPCPPQIGTLQHAYSPNPRSGSILAADTNPNSICDVVAYPARSLLQVAAARTALGKQVLNAPLVSSKSPSAGREHGKRETLFLGAVRLAQDHDTVSSNLDLRVGKNELKITTVLGCRSRRDFMYDNDRADDEETVETSYKKRRIDLEVNPLILTSSTSNHQQQDGGDDQHHEKILKLYRSSSVEELDLELRLGEAPKEQ >OGLUM05G10370.1 pep chromosome:ALNU02000000:5:11427875:11428804:1 gene:OGLUM05G10370 transcript:OGLUM05G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDIVHVKKSPRFSNTSDQSRGQEKGLATGARVGVQKASVQKNVIEPRGHARVQQLENLHM >OGLUM05G10380.1 pep chromosome:ALNU02000000:5:11595506:11595751:-1 gene:OGLUM05G10380 transcript:OGLUM05G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRATAPHPYLFHRDVQQDDQAATSEERCCRPSVISNGEWRRILDIAAGEAREDNPAAAWSCRGGRCCSSGFTEEDFQWQF >OGLUM05G10390.1 pep chromosome:ALNU02000000:5:11626002:11627413:1 gene:OGLUM05G10390 transcript:OGLUM05G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEPSCSLLPLLHHFSLLASLCSARWRDDSRGFLWYPAPRPPRRGPLAIVVYAHDETAMSLEQEDWEINGIDRSGLDAQVAEVFTPVTADKVRAYVVCCRDHGPTVRARSGGHDYEGLSYRSLRPSSDSEGSSTFRRGAGGRDEGSGAHQGGATRAVCRVVAAGLPPGPPVSSPPGGCAAMSQ >OGLUM05G10400.1 pep chromosome:ALNU02000000:5:11630956:11635568:1 gene:OGLUM05G10400 transcript:OGLUM05G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLYLARGASKVVRRITSETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINFPRGVIYGCAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >OGLUM05G10400.2 pep chromosome:ALNU02000000:5:11631202:11635568:1 gene:OGLUM05G10400 transcript:OGLUM05G10400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPLLFLRTIRRLPLSRCCFFSSCLSEEGKEGKSTPWRRRNGSNPWREYHSALPLLPRIGPFVRRAPPLARLGMSVLYLARGASKVVRRITSETSVELKILTEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIVTFYSTQLPGPNYHCREGSALARLPHPQNVAEVLLINFPRGVIYGCAGSTSVLPVSIKEKDSKLKEDKTRMLNGNSVDSADWRPWTQMNGKHIENGNHLDTETTKT >OGLUM05G10410.1 pep chromosome:ALNU02000000:5:11633334:11633576:-1 gene:OGLUM05G10410 transcript:OGLUM05G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYWLRPSKRACFLARIRVIASSTVTASAYSASGVTVSPLRAAAANSGAALRHINRTTTISVFTAASSARVATNTAHRD >OGLUM05G10420.1 pep chromosome:ALNU02000000:5:11710586:11713639:1 gene:OGLUM05G10420 transcript:OGLUM05G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMRVAHHLPSLLTVLHVLLQAQATTTLADHRTSSSVPPPIPCLPDQASALLRLKGSFTATAGDDYSTAFRSWVAGADCCSWEGVRCGGADGRVTSLDLGGQNLQAVSVDPALFRLTSLQHLNLSGIDFNTSQLPFAGFDQLTELTHLDLSDTNTAGMVPVSIGRLTKLVYLDLSTSFYIVTYDDENNYMRYASQSFWQLSAPDIKTLLGNLTNLEELRMGMVKMSGNGERWCDDIAKSTPKLQVLSLPWCSLTGPICTSFSAMQSLTTVELHYNSLSGSVPEFLATFSNLTVLQLSRNAFNGWFPPTIFQHKKLTTINIINNPGLSGHLPSFSQASNLENVFVSLTNFTGTIPSSISNLKSLRKLDLGASGFSGMLPSSLATLKYLDLLEVSGLQLVGSIPSWISNLTSLTVLRFSNCGLSGQVPSSIGNLRELRKLALYKCKFSGKMPPQILNLTRLQTLLLHSNNFTGTVEITSFSKLENLSVLNLSNNKLLVVDGENSSSVLSFPKINFLSLASCSISTFPNILKHLNEITSLDLSCNQIQGAIPQWAWETWKGLYFFLLNISHNNFTSLGHDTLLPLHIEYFDLSFNSIEGAIPIPREGSSTLDYSSNQFSSMPLHYSTYLGETLVFRASKNKLSGNIPSSICTTVRTLQLIDLSYNNLSGPIPSCLMEDLTALQVLSLKENKLVGKLPDSIKEGCVLEALDLSGNLIEGKIPRSLVACKNLEFLDIGSNQISDTFPCWVSELPKLQVLVLKSNKFTGQVMDPSYMVGGDTCEFTELRIADMASNNFNGTLPEAWFKMLKSMMARSDNETLVMENLYYHGQTYQFTATVTYKGNSMTISKILRTLVLIDFSNNAFHGTIPETIGELILLHGLNMSHNALTGPIPPQLGRLNQLESLDLSSNKLSGEIPNELASLNFLSTLNLSYNVLVGRIPDSYQFSTFSNSSFLGNTGLCGPPLSRQCDNPKGPTEMPYTSEKSIDVVLLLFTALGFGISFAMTILIVWGSHMRKQH >OGLUM05G10430.1 pep chromosome:ALNU02000000:5:11716445:11719289:-1 gene:OGLUM05G10430 transcript:OGLUM05G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKAAKNRRPTTRRPRAAASSADIEDLASPSGCRAAVGPTTAAAAVRAELLRWYDANRRDLPWRRATEPPAGCGRGHGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGKFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGELVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSHCQALALSNQNASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDECIADATGNDNLFLLIKRPEEGLLAGLWEFPSVLVNEGKTDALNRRKEMDKYLKQLLGINVKRRSSVILREDVGQHVHIFSHIRLTMFVELMILNLKDDGDELCMEGQDSSKLRFIDENAVDSMGLTSGIRKVYNMVKAFKEKRLKEQSQVPTRKSRRLK >OGLUM05G10440.1 pep chromosome:ALNU02000000:5:11733155:11737961:1 gene:OGLUM05G10440 transcript:OGLUM05G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLVCMCSLLLMFLISYVLLLFGDARRRLPPGPTPLPLIGNLLDIASDLPHRSLSRLAGRHGPLMAVRLGTVVAVVASSPSTAREVLQTHNGSLTGRIPPDAWHGVGHAANSVFVLPPRRKWRALRRIGAEHLLSARQLDGRRLLPLLRDAVLGLLHRVSEMSAASGGGAPVQVGHAAFAAMMDMQWRAMFSAGLDDDDARVLQDAAREAVALSLKPNLSDFYPALAAVDLQGLRRRFAGRVGTVYHLVDEQIERRMRRRREAAGDGEARSDDDLLEVLLDMSEHGKDDGKVAIDKDLIRTFLTDIFLATVDTIASTLEWAMAELLQDRETMRKLQEELKNVLGSRTHAEYADMDRLPYLRAVIKETLRLHPVVPIVPNVAEEMVEIHGHVVPRGSTILVNLWAVHRDAEAWPEPNRFLPERFMLRQHGQEAAGRALGTATTEFELIPFSAGRRVCLGLPLATRMLHAMLGSLLHRFEWTLPLEVEENGVDMSENLGLTMTMATPLQAIAKSI >OGLUM05G10450.1 pep chromosome:ALNU02000000:5:11739764:11740911:-1 gene:OGLUM05G10450 transcript:OGLUM05G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTAWAACPTCTGAPPDAADISDPQQRHAGPVELPRREQVLGANPPQRAPLAARREDEHGVGGVPDPDAVPRGRFKVSIETRRGNKPQFRRLRLRLLHQGRKMSSFKEERSEGKVPATAIDPTNEKKKRTKMVRYTQDQIQYCFANSVELSDDDEDDFKLTEVLSKECLGRMSQEYLAKLYAMEIAEEKEKANLKKIQDVLRNERENIFSIRDKPEDVLK >OGLUM05G10460.1 pep chromosome:ALNU02000000:5:11744544:11747026:1 gene:OGLUM05G10460 transcript:OGLUM05G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPTPAASPAARAAVVFPTMADIMSASRAQGLRVRLTTLGPFFRVTAARRGGGSGGEGETEEVELGRAQGVVRPWPGGAVLHLDSMRMSRATLQVPDRPLFGLGVFLGAVAVRHGFDAGCKRAELLAINDTDLYHSKLVRFYSRMGFKTVHEVDGSSMIDLAHMLVWGGAQEWMLTLNSFLSNGARGSDLKTRKKIGVAASDIILCVQLNGMITDRSIYLFIS >OGLUM05G10470.1 pep chromosome:ALNU02000000:5:11778675:11782716:1 gene:OGLUM05G10470 transcript:OGLUM05G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDA1/CD39 nucleoside phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G19180) TAIR;Acc:AT4G19180] MRLSSSLQDLPTFSRIDALERGSSTGSDLVSGRAKPIRTLQRDGAVASFSKEKTPPSSPTNRKKCMRAAGCAIALFLLVFFIYASLRYFHVFLSEGSPEYYVILDCGSTGTRVYVYEWSVNHDDGNTFPIALKPLGNAPKKKSGKLTGRAYQRMETEPGLNKLVHNETGLKMTIEPLLRMAEKLIPRRAHKHTPAFLYATAGVRKLPSADSEWLLDKAWDILKNSSFLCSRDRVKIISGMDEAYYGWIALNHHLNMLGTSSSKMTYGSLDLGGSSLQVTFETDNSIQDETSMSLRIGSISHQLSAYSLSGYGLNDAFDKSVAHLVKKLGGAAGNGKVQVKHPCLQTGYKEDYICSYCHPLKLDGSPSVGGKTTGKEKQGMAVELIGMPQWNECSALAKLTVNLSEWSNASSVDCNTKPCALPSTFPQPHGQFYAMSGFYVVFKFFNLTADATLIDVLNRGQEFCEKTWKVAKSSVPPQPFIEQYCFRAPYITSLLREGLQIKDNQVIIDSGSITWTLGVALLEAGQVLSTRIDIQGYRILHREINPNILIVLFLISIVLVICAILCVSNSIPRSFRKSYLPLFRQNSAGSPVLSMGSPFRFHLWSHITSGDARTKTPLSPTVAGSEPHPFSMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGMWKPGQTTLQSRRSQSREDLTSSLADLHLPKV >OGLUM05G10480.1 pep chromosome:ALNU02000000:5:11789533:11791861:1 gene:OGLUM05G10480 transcript:OGLUM05G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRAWAKRRRDLSTRRGIDEGGRTNCWKTATSAPSLARAAAVRVLATDPDPSGMACVRCDVNGERVRAWSCGAKTCGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAICKCARVQLVKNGKKIAAFVPNDGCLNFIKENLSARAD >OGLUM05G10480.2 pep chromosome:ALNU02000000:5:11791871:11793907:1 gene:OGLUM05G10480 transcript:OGLUM05G10480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVIMATPPLPPPPRARCIVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVVPRQVAPPPRPRDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAALDMADDMVETSEVEEPADQSLPSLELQRSTLADTLASSFGSCSLATDHVMDPLAGSLALGKYQFFTIIKIEDVFAGTLVRHPWLPRLVKENGFRRWSRVARSMPRRSARSCRDRWCHHLARDIYHRPFTARDDDELLCLHYRLGDRWKKIGHAVYGRTSRVMNHRWRELRRSGFLAAAARTEQTLDMADDMVESKVEESDQSLPTTRKSIIASGRKGSLQAGRPSACKPTAVKIADLRRRGGRPHAKIIFAGGRWLVRSACENNNRPPTKKKLPPAKIGRKY >OGLUM05G10490.1 pep chromosome:ALNU02000000:5:11837357:11837624:1 gene:OGLUM05G10490 transcript:OGLUM05G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGEKGLYDGIFRKLLLSMDEVLIVGFGWKGHAVGDIPGVRLKVVKVSAVSLLALFKEKKKQRS >OGLUM05G10500.1 pep chromosome:ALNU02000000:5:11839258:11840286:-1 gene:OGLUM05G10500 transcript:OGLUM05G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACRGQSPATSARRETLAAGNSYLHTAPPMATHIANDGGTGERCHRHKGVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDL >OGLUM05G10510.1 pep chromosome:ALNU02000000:5:11840880:11841260:1 gene:OGLUM05G10510 transcript:OGLUM05G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKIAPLLALTLLILFFGCAVTNCTGKPVAPTPPSHDDHGRCPIDALKLRVCANLLNGLIGVKIGRGPDDCCPLLAGIADLDAAVCLCTALKANVLGLINLNLPVDLSIILNKCGKNYPSGFTC >OGLUM05G10520.1 pep chromosome:ALNU02000000:5:11888566:11888844:-1 gene:OGLUM05G10520 transcript:OGLUM05G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVNEYGLQEENAYLQKAQMLIDGETKPNDPQEIDRDNCKDVKMETPKLLISHPSNHSS >OGLUM05G10530.1 pep chromosome:ALNU02000000:5:11892864:11893206:1 gene:OGLUM05G10530 transcript:OGLUM05G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASPFDPEVSLVLNIPQEQKQKQRVRGGTTSPASSRVEQKQLAFCGTVSSASIDGAKPVGGRRRNIVGSFCCGRWFGEGQQKVLDAAARGSNLNIVG >OGLUM05G10540.1 pep chromosome:ALNU02000000:5:11909300:11909764:1 gene:OGLUM05G10540 transcript:OGLUM05G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRPSSFLLPNSCTAPTFSSYQTRDLYKPNLTLGGAERRRGAVEVQRRRVVEGGSDSLLPRFQGSEQPDPPAGDQTIDGPPTDLALHQEGRRRRWRRLTSLSSGRSDPSVGRLASLIGSCSDQTERGEGGLPAARGGQGSGAREERRARRRG >OGLUM05G10550.1 pep chromosome:ALNU02000000:5:11910499:11914929:-1 gene:OGLUM05G10550 transcript:OGLUM05G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALITPASGGGGGGGDKAPPSASDPSLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREARERQEPEIRPPKQKITDSIELSEYRLRRRKEFEDVIRRVRWNVNAWVKYAKWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARQVYERAADLLADDEDAQVLFVAFAEFEERCREVERARAIYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNNDRIREVYERAIANIPPADEKRYWQRYIYLWINYALYEELDAKDVERTREVYSECLKLVPHKKFTFAKMWLMAAQFEIRQRNLKAARQILGNAIGMSPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDENEFDRTRELYERLLDRTKHLKVWISYTEFEASAGLAGEDGESEEIKNEVSYHEQQIERVRRCRAIFERAFEYFKTSAPELKEERAMLLEEWLNKEVSFGDLGDVTLVQKKAPRKVKRKRPIPTEDGSTVAYEEYIDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDV >OGLUM05G10560.1 pep chromosome:ALNU02000000:5:11915982:11916709:-1 gene:OGLUM05G10560 transcript:OGLUM05G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVGHGPHSHPDYAIDRASAAFITRRLNAWDWGPMSNGGNRRLATRIKSSAQQAASSVFAALDKDDDGKVSAFELRGCMVVELGEDVFEEAAAILGKAKG >OGLUM05G10570.1 pep chromosome:ALNU02000000:5:11962905:11964405:-1 gene:OGLUM05G10570 transcript:OGLUM05G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTLAFSVRRRERELVAPAKPTPYEFKMLSDIDDQDILRFNRSGILFYRHSPSKDGLDPVKVIKAAISETLVHFYPVAGRFRELRPTRKLVVECTGEGVVFVEADANFRMDELGTSLAPPVPCYDMLLCEPESPTADVVDRPLLFIQVTRLACGGFVFGMHICHCMADGSGIVQFLTALTEFARGVHGAPTVRPVWEREVLTARWPPTVTRDHVEYTPLPNPGKDVLSPTDAYAHHVFFFGASEIAALRSQAPPDLRAVSSRFDLVGAFMWRCRTAALRYDPGDVVRLHMFVNARVRNRSKRPVPRGYYGNAIVFAAASVPAGELWRRPFGYALRLLMQAKARASEEGYVQSVANFNAAHRRPPFPKARTYLISDMTQAGLMAIDFGWGKPVYGGPATTMLATFHLEGRNEVGEAGVIVPIRLPNPVIERLIQEVNKGLTAGAVADAKANVVPDDCVLAKL >OGLUM05G10580.1 pep chromosome:ALNU02000000:5:12015668:12016395:-1 gene:OGLUM05G10580 transcript:OGLUM05G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLGATKREFVEGPATKLLLVGAMARVVGMGGGGGASGEDPRGGDACYAFKIMYE >OGLUM05G10590.1 pep chromosome:ALNU02000000:5:12021628:12045661:-1 gene:OGLUM05G10590 transcript:OGLUM05G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRVWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCVHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSVESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRIEFDLDPIVLGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAIICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSTSVGAEQRVADSTPMAHGGGTHWDDERLPLLG >OGLUM05G10590.2 pep chromosome:ALNU02000000:5:12035326:12045661:-1 gene:OGLUM05G10590 transcript:OGLUM05G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRVWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQTVILSSNTRMPARLQECAAFTILGDCVHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSVESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRIEFDLDPIVLGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAIICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >OGLUM05G10590.3 pep chromosome:ALNU02000000:5:12035326:12045661:-1 gene:OGLUM05G10590 transcript:OGLUM05G10590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component family protein / Gpi1 family protein [Source:Projected from Arabidopsis thaliana (AT3G57170) TAIR;Acc:AT3G57170] MAPEWCRVWWPQRRLQPEPLPAPQRFVLFGWLFARTDSVDVVVAAALPQEEILRSFPTPEALQVSDANFPYLFPSNLVGMCGNTVILSSNTRMPARLQECAAFTILGDCVHLPREFEVCCSKQHHQPLGTQSVQKGHFYMTQNSPVVSSGSVESGAQDQSGYSSKWEYDCSILDGLLDACKKSVVKEHNRVHLCFKSSKSLKCNLNQVPVLHYLCLDDQKFETSHCHVVLYDVPTACGNHFSLGEDAPCRSKSSFRKPNWINNLECKRIEFDLDPIVLGLNCSNAARLSVAQEAATIQVTWHSVGILLASISTIVYIFIQVFQKYLSNIYQYFMLQKVFGHSWKNMHLRCCHILYWPIILQDRSLSSTVNVEYAHKAAIQKHTLWSNIVMDLLTGLFLGVVLLLKTEIICSWTFALVHYMTDSVLRSGCVWLMGVPAGFKLNTELAELLGMISLNAIQIYSTLWSIVGGFLRHIIWGLAFSGILLGLTVPVSFFIDVIQLATLHVTLLQWLISLIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLVLLPTTSIFYIFFSMLSTAIICLCILLEITVSIIHSTPYAELIMWVARRQRFPTGLFFHPVMWSSVSAVDGDGLLSTKGYRKTEHLVLGKSEPLVSELCCNYATFGHIIRPHYRKIFNGVALSFCKQLAYGILSGERVPTTLHLQFSLFPWMHLGIRHYWLLCRDSVLSCAPKR >OGLUM05G10600.1 pep chromosome:ALNU02000000:5:12046292:12046897:-1 gene:OGLUM05G10600 transcript:OGLUM05G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPEQEREMNWTAGGSLQFRLATAAVDVLTAGEQRVVLPTTPSSPSTSAPPPTSACLLSPAIPPPRSKQGEEPPKPLPPPVSTAVRGAWSWNQHPHRRPPWPLHSQEHTPLAHAPRRRRRVPCRHSLFLSRSAPARRLPALPVGWPLLAPSPGPSAGQCSGHSCRRRSARRPAAPPDGRSSRRRSLLQVAALPRIMPSPAI >OGLUM05G10610.1 pep chromosome:ALNU02000000:5:12175574:12181763:1 gene:OGLUM05G10610 transcript:OGLUM05G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTYRRRCSGGIEEPHLLEAYFKPHLTLCVNVGGGRGVSMHRTFRIASRNGLFLLIIGTWQQQISRLQKLIDSGDAHVTRFLWGHPAARVHAGGAKHMPKLQCATSIDRTRCQADGRLSFREVLNLAGESYCHRRNQARGCSVENYCIEILRKASYWLYGIGVGVTIRAQQEVSCCFINDGLIHKHGYTGRSCVPLEGLVKSQELIALHRNLILCMFFPKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTVVRDKSTKSFILFIRGATSVKDCLTTATAAEVHGELAAVDPAAPI >OGLUM05G10620.1 pep chromosome:ALNU02000000:5:12183680:12189488:-1 gene:OGLUM05G10620 transcript:OGLUM05G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 16 [Source:Projected from Arabidopsis thaliana (AT3G46780) TAIR;Acc:AT3G46780] MASPYRLPSHSPQSKHANAIPHPSARPTHLHTFLIPRRPCPPPVRPPDTPAMPPALTSNPPSFRPLSTPLTRRRAATTFLCRVGPGKPSKDTGADDEPKKRSFFADFGKLSDGRSLIPAFPPAAAGSLFAGGRGRKDPQTVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLASAYRLISPTEARRLNAVESDFEDPEAIAKSIGPATKVVVTVGAAEKGPDGGVVTTDEALRVVQAADLAGVTHVVVVYDLGAGDPSGESTYNVLDGFTSFFSNLFSRVQSLPLNEFLAKVVETDVRYTLIKTSLTDDYSPESTYGLVLAKEGASSTTSSTETGKVSKLQIAGLVADVFSNVAVAENKVVQVSTSSSVTSKTIEEAFSAIPEDSRRKEYQDAVAKAQAEEETRASQRTRQAEEDTTTVKAGGRKTPSDEAAASEAQASLENLLSRAKGFGTDFSWEKLSTQLAGVATQDSDEVEPKAQIATVRGQAKAKKLAPQRAVVKPAAQKTRPTPKQPESKPDVRPVFGGLFKQETIFVDED >OGLUM05G10630.1 pep chromosome:ALNU02000000:5:12193893:12194554:1 gene:OGLUM05G10630 transcript:OGLUM05G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAGARVPVAAGASSAASAGVVGNHRWELRHSGGAVQWRRAPRVPRRRGGALEHRRRAHWPGRCSRILQCAVSFHHLFNQASANSASCVSRSDTTSSFSRFEEEVTAALNFIYRTQKELAACNDDLCLTMDGSVTSYQLLGNFAAGQKREKEQGKAAVAERGRGGGAERRSSSR >OGLUM05G10640.1 pep chromosome:ALNU02000000:5:12214538:12220867:1 gene:OGLUM05G10640 transcript:OGLUM05G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQAVSIADSSALGDEDAALRRNLRDHRPLQGGSRATPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >OGLUM05G10640.2 pep chromosome:ALNU02000000:5:12214277:12220867:1 gene:OGLUM05G10640 transcript:OGLUM05G10640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >OGLUM05G10640.3 pep chromosome:ALNU02000000:5:12214262:12220867:1 gene:OGLUM05G10640 transcript:OGLUM05G10640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNVSTVYISVIDDVISKVRDDFISYGVGDAVLNELQATPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMAMNGADPKTGRPSPYMPPPSPWMTQRPLGVDVNVAYVENREDPDRTGQPPQLTKDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFVVSKDNAQQLWSSIVNKQGTATKESSTKETIIAPTIPQRDGMDDYNDPFYFQGVPTEDYNTPGESSEYRAPTPAVGTPKPRNDVGDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >OGLUM05G10650.1 pep chromosome:ALNU02000000:5:12241433:12243601:1 gene:OGLUM05G10650 transcript:OGLUM05G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATLAAPSFLAHQSILSHKPLRKLGLSLELPRTRSVKIRAAKLPAGVEVPRKQPKLSEPFLGFTRTAEIWNSRACMIGLIGTFIVELVLNKGILQMIGVEVGKGLDLPL >OGLUM05G10660.1 pep chromosome:ALNU02000000:5:12269384:12273896:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPPPTDRCGIKRPYGRGYYGRFKKCPTERCMSFTVQGSNESSRNGQLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.2 pep chromosome:ALNU02000000:5:12269384:12274240:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPPPTDRCGIKRPYGRGYYGRFKKCPTERCMSFTVQVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.3 pep chromosome:ALNU02000000:5:12269384:12274240:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPAKKGRNLGTMAGSRSVPQKVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.4 pep chromosome:ALNU02000000:5:12269384:12273894:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPPPTDRCGIKRPYGRGYYGRFKKCPTERCMSFTVQGSNESSRNLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.5 pep chromosome:ALNU02000000:5:12269384:12273896:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPADRCGIKRPYGRGYYGRFKKCPTERCMSFTVQGSNESSRNGQLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.6 pep chromosome:ALNU02000000:5:12269384:12274240:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGGFHGASLGRRRHHLLSSSLSRRRSRHRRVSSLSESDVGQSLSLLASIPNPLALLLLRQAPLLRRDQRMPHRRRLAKRHDLRSATPPQPQAPSLRRPPSIPRRRSTSTADPLPGTPSPFPHTTPSHRRTLMHVSPPFARSPPERMDGCLHAASHRSALNTSVIASCPSPSRSAVPSVVDAWRGSPIGPGYYGRFKKCPTERCMSFTVQVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10660.7 pep chromosome:ALNU02000000:5:12269384:12273896:1 gene:OGLUM05G10660 transcript:OGLUM05G10660.7 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTVPAGVLWPVQEVSHRKLTTFPTVINNWLREGQRRMRRSTARCNKLTRNRRQGLCVV >OGLUM05G10670.1 pep chromosome:ALNU02000000:5:12269681:12269869:-1 gene:OGLUM05G10670 transcript:OGLUM05G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRTRGRLCGTTAKSPPPTAWAQGAARVRNQRDGSRRQVEEEAALLAGRREEGSIAVTPM >OGLUM05G10680.1 pep chromosome:ALNU02000000:5:12297809:12300382:-1 gene:OGLUM05G10680 transcript:OGLUM05G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50280) TAIR;Acc:AT5G50280] MALRAHPLLSSSSSLPPPTLPILCLRCPGSSLSSKRFLRSHSRSAIRPWRNPCAARPGGPRFLGQDDADSDADEEDEEEWRWAPSASPSGAHLVGAVDEDDSVGEGGGGVGWGAPDGDAAGGSGIRESGVDDGGQVGEWDLPMSSFRGRVQVQHHQEEEEEEEEEDEDGGGCEWSDPGFFLRGQEEEASSSVSTTTAMEEILTLARSPAVDGQAFAEFLAGYGRGALSVEECVELMRRMGEEGLALGCLHLLRWMQAPEEEPLLLPPQAWLLAVVALGRAQMADEVLEIVESLPPERRFSEAVLYNAAMSGLAYRGRYDDTWKVFKLMEKKNIQPDHMTSLIMLDVMNKSKTSAKDAWEFFQRMERKGVKWSLDICISLIKIFCDNGLKTEALIIQSAMEKKGIASNTSMYNTLINAYCKANQIEEAEGVFVEMKEKGLSATAMTYNILMGAYCRRLQPEVVESLLLEMQDLGLRPNARSYNFLIRVYGQQKKMSEKAEDAFLRMKTDGIMPTSSTYTSLLCAYAVNGLHEKAYLTYVDMKREGLKPSLETYTALIDMFRRAGDTEKLMETWRSMINEKVPGTRVIFHMVLDGLAKHGLYVQATDVIYEFRRAGLQPTVMTYNILMNAFARGGQHYKLPQLLKEMAAMELKPDSVTYSTMIYAYARVRDFSRAFYYHKLMVRSGQLPDVSSYKKLLNTLDVKAARKNIKDKNAIVGILKGKSSLKHRKEKKDEFWKNRKKRSMMNHVYGYPRKRFL >OGLUM05G10690.1 pep chromosome:ALNU02000000:5:12302008:12307132:-1 gene:OGLUM05G10690 transcript:OGLUM05G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWU2] MAAIFIFIWLFASVLSISSASSPLLINGSTADAAPDCGTGNPIDDCWRCDPGWADNRQRLADCAVGFGRRAVGGKGGRVYVVNDTGDDAARPAPGTLRYGLVQDEPLWIVFAGDMTISPAHELVVSSRKTVDGRGARVVVGDGGACFAVRGASDVVIHGLTIRRCRPAPKLEAGMSDGDGVGVHNSSDVWVDHCTVEACADGLIDVVEGSTRVTLSNNLLRNHDKAILLGHNDDYTDDKAMQVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYIAWQKYAIGGSASPTIISHGNRFYADMAKEVTKRDDDVPESVWHHWNWVSDGDLMLNGAFFRASGEARTDNLKAPSFARSAPSVPSMTSSAGALSCKEGSHC >OGLUM05G10700.1 pep chromosome:ALNU02000000:5:12319675:12323628:1 gene:OGLUM05G10700 transcript:OGLUM05G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHGGVINRFLSTLTQINRLLFLLYLRTSRRPYPVSRRPAHTPITPLPSRFPPSPLPRRRQHLHLRHCRIDGSRRSSSSAKHQPRRTEPRPHPISRQATCVFPFPVARASPSMTEKDEVIKLAYCH >OGLUM05G10700.2 pep chromosome:ALNU02000000:5:12319675:12323628:1 gene:OGLUM05G10700 transcript:OGLUM05G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHGGVINRFLSTLTQINRLLFLLYLRTSRRPYPVSRRPAHTPITPLPSRFPPSPLPRRRQHLHLRHCRIDGSRRSSSSAKHQPRRTEPRPHPISRQATCVFPFPVARASPSMTEKDEVASSFAAEGGMKSELKQCNEFVR >OGLUM05G10700.3 pep chromosome:ALNU02000000:5:12319675:12323641:1 gene:OGLUM05G10700 transcript:OGLUM05G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARTHGGVINRFLSTLTQINRLLFLLYLRTSRRPYPVSRRPAHTPITPLPSRFPPSPLPRRRQHLHLRHCRIDGSRRSSSSAKHQPRRTEPRPHPISRQATCVFPFPVARASPSMTEKDEVIKLAYCH >OGLUM05G10720.1 pep chromosome:ALNU02000000:5:12328275:12335381:-1 gene:OGLUM05G10720 transcript:OGLUM05G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLTLVPGAKIWLSAGVSDVLEVSDEEGDQEGRRQSSSPGPIQVGMKGKVARSFSAPSKGFREEFSGAAKPKTFGSRFLALGDVSDATDSESEGEKDAATSVEEAFPEARFVRRALAEGFTVDEGPLPRARISQPLTIGDKLDEAFTAKLSNSVKFRKAWVPVATRQENEATLSRERDESCAGLREEDDKEVFLATEEERRELIFGSTTGQLETRQPRGVEEFGRFPVSRFKLKKDSGPSKQFYLGTGHKVQIKFHFGAGQLLSWAGQHERVKKRLGQPPTLGILSNTAGPSSPVRTYTHILQRSSSETEQTTESEQQIWEVSTMAHRKDWDRQGNRGWGRRDDSHWEEEGNFFQERGGGEDFHFRFHPGLGFNREGGGRGWMSQGFRPRGARSFGTIRGGFAGRPGRSGAHHAGRSNLPSYGQRAMDSGTGRAEKTTTGSGSNKGGTLVSRWDKLAEGSRKVLGGKKEAWQNKAGDLGASVAGKEGRGKNVVGEVVSEGDAKDQEFDQPLFEDRNNKGRVLCTRVRIFGCVHPTKV >OGLUM05G10730.1 pep chromosome:ALNU02000000:5:12343048:12361114:1 gene:OGLUM05G10730 transcript:OGLUM05G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMEASCRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSAVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDEDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELVNMLSESENRKCTVFFSRLDLLKLERIVGTFAAQRLVSSDKSIFVFR >OGLUM05G10730.2 pep chromosome:ALNU02000000:5:12343048:12361114:1 gene:OGLUM05G10730 transcript:OGLUM05G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: nucleus; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Digestive organ expansion factor, predicted (I /.../o:IPR010678); Has 25006 Blast hits to 13379 proteins in 904 species: Archae - 102; Bacteria - 5571; Metazoa - 7448; Fungi - 3168; Plants - 1056; Viruses - 466; Other Eukaryotes - 7195 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G17690) TAIR;Acc:AT1G17690] MGKPKPASATAAPRGHKRRPKRTRPSGKGGGPEPREEKRKRRELDGVGLAEEAPPPGSSLGKILSTESGAIGLEQSDEDDMEDEHTVPGDDETNDMINNKDDAFDEMEASCRIITNEEVNALLKQKCKFKWEVPAEDIPKSKWVGTGEKMEGAYVDLIGGVKGKLRDHWQNTLSDQLNSRLNFFSLCSSYRDIMHCNKKPFYLKGGSAVDSSTMDSYLMHALNHINRTREIVVKNDAKLRSDPSKDILDDNSFLDQGFTRPKVLFLLPLKSIARRVVKRLIQLSPLSQKDIIAKFEGKFGESDDEVEEQSNKPADFDLLFAGDTDDEFLFGIKYTKKSMNLFSNFYSSDIIVASPLALIKKINGVDDNGKEPAKERDFDFLSSIESWSHLYAVFEQLNQLPSKEHGTNVMRIRPWYLDQHARYYRQTILLSSYLTPEMNALFNGLCLNYEGKVKLVTEFKGVLSKIQFEAPQVYERFDASSITEVDDARFDHFCKKVYPKIQESDEDNIATRYITCKTLIRGAHHLIIYSLPGRKEFYPELERIVGTFAAQRLVSSDKSIFVFR >OGLUM05G10740.1 pep chromosome:ALNU02000000:5:12371123:12374457:-1 gene:OGLUM05G10740 transcript:OGLUM05G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPNTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >OGLUM05G10740.2 pep chromosome:ALNU02000000:5:12371123:12374457:-1 gene:OGLUM05G10740 transcript:OGLUM05G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGWKCGVAVVATWKRLHSGRRNAVRIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPNTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >OGLUM05G10740.3 pep chromosome:ALNU02000000:5:12371598:12374457:-1 gene:OGLUM05G10740 transcript:OGLUM05G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPNTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIVPMASHSINNIIGGLIWRFTNNTERE >OGLUM05G10740.4 pep chromosome:ALNU02000000:5:12371598:12374457:-1 gene:OGLUM05G10740 transcript:OGLUM05G10740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGWKCGVAVVATWKRLHSGRRNAVRIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPNTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLREASHFASLNGWPVADPMNLSFNFETWHLELIAGLTWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIVPMASHSINNIIGGLIWRFTNNTERE >OGLUM05G10740.5 pep chromosome:ALNU02000000:5:12371123:12374457:-1 gene:OGLUM05G10740 transcript:OGLUM05G10740.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT3G26085) TAIR;Acc:AT3G26085] MAAMLAPAALPPRGLQSKAVGNAESQLLLHGKGCIVADAIIFAAKSNERRRKSQSISQGPTFISEEASSSGSGENPNTSLEVNANDVTTDEKFTVAPRNAVLQACTLTSSLLLAGGLVLRETWPDFRYSSETANRQILTSLETFDYIVVACLPGISEEVLFRGALMPIFGLNWISAFVTGAIFGILHLGNGRKYSFAIWATFVGVAYGLATIASSSIIHFSQTGGNL >OGLUM05G10750.1 pep chromosome:ALNU02000000:5:12378479:12392292:-1 gene:OGLUM05G10750 transcript:OGLUM05G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTAPISPPPLGISAFSRALRLRLAAVVDAARIQTPPRVAFSAFFFFFFFFSEGLSREDFTHLGIYLLFVWHYTFEKPTTMTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGLLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSDLCARIARSLSELEMFTEESKVLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGYFDARNGGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGNPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKA >OGLUM05G10750.2 pep chromosome:ALNU02000000:5:12378479:12392292:-1 gene:OGLUM05G10750 transcript:OGLUM05G10750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTAPISPPPLGISAFSRALRLRLAAVVDAARIQTPPRVAFSAFFFFFFFFSEGLSREGTAARLPALIYRVPFIPCLLQLQIRAPHADPRPAGESAADFTHLGIYLLFVWHYTFEKPTTMTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGLLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSDLCARIARSLSELEMFTEESKVLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGYFDARNGGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGNPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKA >OGLUM05G10750.3 pep chromosome:ALNU02000000:5:12378479:12392292:-1 gene:OGLUM05G10750 transcript:OGLUM05G10750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPWEFIILGRTAPISPPPLGISAFSRALRLRLAAVVDAARIQTPPRVAFSAFFFFFFFFSEGLSREGTAARLPALIYRVPFIPCLLQLQIRAPHADPRPADFTHLGIYLLFVWHYTFEKPTTMTSTHVATLGVGAQAPPRHQKKSAGTAFVSSGSSRPSYRKNGQRTRSLREESNGGVSDSKKLNHSIRQGLAGIIDLPNDAASEVDISHGSEDPRGPTVPGSYQMNGIINETHNGRHASVSKVVEFCTALGGKTPIHSVLVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDLRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTGVSAVWPGWGHASENPELPDALTAKGIVFLGPPASSMHALGDKVGSALIAQAAGVPTLAWSGSHVEVPLECCLDSIPDEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRTLFKQVQGEVPGSPIFIMRLAAQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKELEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMNHGGGYDLWRKTAALATPFNFDEVDSKWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGTTRSAAITTMALALKEVQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANTATVSDYVGYLTKGQIPPKIDTVRSGHGSYRLRMNGSTVDANVQTLCDGGLLMQLDGNSHVIYAEEEASGTRLLIDGKTCMLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVVMSEGQAMQAGDLIARLDLDDPSAVKRAEPFEDTFPQMGLPIAASGQVHKLCAASLNACRMILAGYEHDIDKVVPELVYCLDTPELPFLQWEELMSVLATRLPRNLKSELEGKYEEYKVKFDSGIINDFPANMLRVIIEENLACGSEKEKATNERLVEPLMSLLKSYEGGRESHAHFVVKSLFEEYLYVEELFSDGIQSDVIERLRLQHSKDLQKVVDIVLSHQSVRNKTKLILKLMESLVYPNPAAYRDQLIRFSSLNHKAYYKLALKASELLEQTKLSDLCARIARSLSELEMFTEESKVLSMHKREIAIKESMEDLVTAPLPVEDALISLFDCSDTTVQQRVIETYIARLYQPHLVKDSIKMKWIESGVIALWEFPEGYFDARNGGAVLGDKRWGAMVIVKSLESLSMAIRFALKETSHYTSSEGNMMHIALLGADNKMNIIQESGDDADRIAKLPLILKDNVTDLHASGVKTISFIVQRDEARMTMRRTFLWSDEKLSYEEEPILRHVEPPLSALLELDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTLVRQPSVSNKFSSGQIGDMEVGSAEEPLSFTSTSILRSLMTAIEELELHAIRTGHSHMYLHVLKEQKLLDLVPVSGNTVLDVGQDEATAYSLLKEMAMKIHELVGARMHHLSVCQWEVKLKLDCDGPASGTWRIVTTNVTSHTCTVDIYREMEDKESRKLVYHPATPAAGPLHGVALNNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETAVRKSWSSSTSGASKGVENAQCYVKATELVFADKHGSWGTPLVQMDRPAGLNDIGMVAWTLKMSTPEFPSGREIIVVANDITFRAGSFGPREDAFFEAVTNLACEKKLPLIYLAANSGARIGIADEVKSCFRVGWSDDGNPERGFQYIYLSEEDYARIGTSVIAHKMQLDSGEIRWVIDSVVGKEDGLGVENIHGSAAIASAYSRAYKETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPAYIGGPLPVTTPLDPPDRPVAYIPENSCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQTIPADPGQLDSREQSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAAELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMSRLDPTLIDLKAKLEVANKNGSADTKSLQENIEARTKQLMPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYKRLRRRISEDVLAKEIRAVAGEQFSHQPAIELIKKWYSASHAAEWDDDDAFVAWMDNPENYKDYIQDLKAQRVSQSLSSLSDSSSDLQALPQGLSMLLDKMDPSRRAQLVEEIRKA >OGLUM05G10760.1 pep chromosome:ALNU02000000:5:12403510:12405207:-1 gene:OGLUM05G10760 transcript:OGLUM05G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGPGAVRTTLDGWLASAPTLIGVGVYFNPFVEWRDKVGAGLPVIASVTHIIASGDPVCLLWFSHIVGSLSGTLGYVMSELEDGKRFSEVMKTARSLGYTEPGQKKNFIHLWHYKINVYSVFIRYLSLQLS >OGLUM05G10760.2 pep chromosome:ALNU02000000:5:12403510:12405207:-1 gene:OGLUM05G10760 transcript:OGLUM05G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPRPRRCTHYSGWMARLGPDAITRLADSIGVGVYFNPFVEWRDKVGAGLPVIASVTHIIASGDPVCLLWFSHIVGSLSGTLGYVMSELEDGKRFSEVMKTARSLGYTEPGQKKNFIHLWHYKINVYSVFIRYLSLQLS >OGLUM05G10770.1 pep chromosome:ALNU02000000:5:12407103:12411337:-1 gene:OGLUM05G10770 transcript:OGLUM05G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTSGRRVSWAKRDGWGSSGSLWAAVGQGGEDVAVLPRLWETRAAGQCQVWVGSSEWLGSGGWGGRFDMNNGITDGGGEAERGERGVVEEEGLWWREGTADRRFRCQAPSAETEAGATLGGWWGRSGGVGGMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKLDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >OGLUM05G10770.2 pep chromosome:ALNU02000000:5:12407103:12411337:-1 gene:OGLUM05G10770 transcript:OGLUM05G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAAASLLPSSAFALRRLSSAANVSRFAQLKRFDRARRFAPAAAMSTSSGPKEAPANNPGLQAPSEKDPATKGYFMQQTMFRVKDPKVSLDFYSRVMGMSLLKRLDFPEMKFSLYFLGYEDVESAPTDPVKRTVWTFGQRATLELTHNWGTENDPEFKGYHNGNSDPRGFGHIGVTVHDVYKACERFERLGVEFVKKLDDGKMKGIAFIKDPDGYWIEIFDLNRIGAVTAEAS >OGLUM05G10780.1 pep chromosome:ALNU02000000:5:12412824:12413144:-1 gene:OGLUM05G10780 transcript:OGLUM05G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYRERRRRRGTCRRYWQGLVAVAATEGSLKSSNEADKQVPSWVHPGSDEPPPRAHKGGNGGQQKPVAIQLPFYAYLLTSAITFIVVVRLSTPSTPLYLSDPQIL >OGLUM05G10790.1 pep chromosome:ALNU02000000:5:12416435:12425185:-1 gene:OGLUM05G10790 transcript:OGLUM05G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGGGFLADANDPWLKPRLLRAVVGERLPRPGTDLPPAELASILDAVRTHGLLTERQPGPHDPKLAEAWRAAVDAWVERIGELMQSDLPYSCWLGTCFLGLTFQECCNERFAESYSSWFEKILSNLQEPSTVQLVIIVSCTSMSDLFVRLARFLNLKKEASSFAGRLVEPVLLLLNGNGQVADEAVDLLRTVIKLYPSSLNRHYNKVESAIATNVMSSEVNIKLKEKFARALASLPSVRVSEESWSLMIQKILIVVNNLLNDAFIGLEEEKKGHEILMLLVPPGNDPPPMLGDQTKWSGGNVHVTKKFRVYTVPTISVLIHCCCVMLTSYYPVQVNVPVRALIALTRRVLSVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLSATIKGMRSQLLPHAANIVRFITKYFEIAKLSTMRTKVYGIVQQLLTSMGVGISMHLLEAIVSNSVADLDDSCGQDMTSLSTKPTIATNESSSKSYSKKRKQETDAQNLHVSGSDKTVISPKKRKSSSMSTTSETTADVRMLTPLSVKLAALETLEILLNVGGSLRTDHWRAEVDLLLINIARSACDTGGRYEYKLSSAVGETSIADFQLASLKALLASFLSSHARPPYLAQGIELFRRGKLEIGTKLAEFCSHALLALDVLTHPRALSLESAAPSGPGLTYGAPEKAIFGTEKYKFSLSGNQSQALEDDDPYDDWLTSTKVSEPTGSPAKDSPVGKEKAIEKSQGEKQITPVEEHQQTDLAVVPAAIQDVPTSGKTDIEMADAATTETVNLKATDNPSSSNDGLTQVRTLLPDLNQQVAAPVSDEGHTDIVDHLRNKTLAFDASLSKTVTGGEAPQSGSGSSHFMQSSKTDDSDVESEDSMPGIVDGDPDSD >OGLUM05G10800.1 pep chromosome:ALNU02000000:5:12445378:12446070:1 gene:OGLUM05G10800 transcript:OGLUM05G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREGWQHGAVRVNRSKLLRIEAAAEKAGEAAVVAAPSKPTNASRITGKCRRPRCRGCHDHPADKARDKAKGAHKLRACDVALNHRLVSWRVVDSAGAWAAGTGIPDYKGASASAVLAFLAGGSSYHEDDDDGGAPALEAAPPASGSGLSDLYDLIVGHHAAARQEPDTARATDIEVANKDGIEEEPDQDAAAASGEEEEEDDMGFFMVGITIALEFSDGEEDWIVVEEI >OGLUM05G10810.1 pep chromosome:ALNU02000000:5:12450762:12451811:-1 gene:OGLUM05G10810 transcript:OGLUM05G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPFTTSPVAHAPRAGRLSWTGASCRPSRCWVRVRSMRNGSTESLDHLQRASKARPRQQQGTPSARRRVIQTTPFGLWDSFPEARTLDQMMRTMERIMDGDADSDRMLVVPASAVTAAPAAARAVDNGAATAAYRRGRTPWEIKERAGAYLVRYDMPGMMREDVTVSVQDRKLVVVAEKAAKDGEAVEAADGEDEGEAWPAASFGRYRTRVELPENVEVERIAAEVRDGVLYLTIPKVASGGKVVNIQVH >OGLUM05G10820.1 pep chromosome:ALNU02000000:5:12465016:12465603:-1 gene:OGLUM05G10820 transcript:OGLUM05G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLDTNKIGGGGAAADSRCNPSSIQPTTRSSPSGVIGKQAVGFAVMASVHIHRKAHGCQPASEHVDSNDSNAYLNPATS >OGLUM05G10830.1 pep chromosome:ALNU02000000:5:12494180:12495526:1 gene:OGLUM05G10830 transcript:OGLUM05G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVQPPWAAAAGRHRERVVGVGVKCTERQLLYTHPWPVEKRAAASMARGMTLHARLAEPPGVSSLHVRLNADELRRCRSCSSRPPHLKSGESEGGNGEIIDDSGGGESKGFSPNAIVETADKKLIVLSSSVPHCPDICFYVVYEAAETSLSMIPHLPSNCRPTFTQRPLPVRRRGGDGDGGGYTLALMASASVFDEQGGRSRKDVLCMWPPPDSSKPLPLLTRRGIEPWRAKRPRFPSDKPDDFAADTVFSCDDVLSGGYDVEFRYLALPPECRLDANWATRRQPQRYRTMSRVGDTIEFVSIGDGLHRQEFTASTTLAVWALVPATGEWKWKKLHELSMATLWRLDGFKNAGLPEVMPIHPILSTKQDGVIYMVSSADDLASAGREDSSASESEGWDSDVGDESDDDDEEDGPR >OGLUM05G10840.1 pep chromosome:ALNU02000000:5:12497005:12497832:-1 gene:OGLUM05G10840 transcript:OGLUM05G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIGQSAIGYDPYAPAERSKHQAPSSSSVSAAAAAASAPSENTYTSYQSLLTIIRATGSTSDETRGGCRKCGRVGHLTFQCRNFLCVKDLDMDDDVEAGIRRAASSQAKLDEFRKKTSGGSSDAEEGSAEDDEEDSYDSSDSDIDPELERIIAKRELHKDGSKQSRDEEKKEKKTSRQRRSSRGRSKHRRSSSKEDKKKSRRKRRERSCEEDSETDSDKKIKRRHHRKSSKEERERGRSRRRRRKDAFDRQ >OGLUM05G10850.1 pep chromosome:ALNU02000000:5:12516547:12527548:-1 gene:OGLUM05G10850 transcript:OGLUM05G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEVLTSIVLREVARKLGSAARDQVTAQWNFTRDLDRMRTTLESVNALLRDADQRSAPEDVRIASPLPTSVRGALVSPSGRPSSTSSSASVLLRRPHRRGPAVVVASTGRRSRPDGQTDGAARLHLWHRSPPATPDSSVSSTTVDRGDELPALLLRRRSSPTTSPKKGVFHKLATAPSRFPMASKMKRMRKELAKITEEHKNFSFVPNTASFEQHRADPRPQLPELTDESTFDLDKIVNTIISHVAYPEKESFISDIQLMRKRLAGLLEGRRILIVLDNIWESDQFKLDNLKIMLNVGKKGSEVDVIVTTRTEEIAKRICTVKPYKLEPLNDDICRGDKQQVEVIGQEIATKCGDSHGHRTLEALARPKSPDYKQIGPQTQTNTSPQPPKRSTAGSGDGQKKGGAPRSEGVVAGMKRNRELKTGATKTTVSSMNPARNSGHHGTNPTTAATTTNFTASAESTLGRADEQLRRSDGEGKEGRDVRGGLRRCRRREGGRRPDSPTTERRPPISQKDDRLVTLTMHDPVHDMARSVIDDELIVLDDTKENKCGQSTYRYVFITNYDKPSKEFSMILHGKIRALHLVGCSKTKLNDGVFSSAKCLRVLDLNHCSIQKLPDSIYQLKQLQYLHAPQVRDGVIPESISMLSKLNYLNLRESPEISKLPESIGKLEALTYLNLSGCSHLVELPESFGELRNLEHLDLSGCSRLVELPETVGKLDALMYLNLSGSRIVELPESFRELKNLVHLDLSNCTHLTDVSEHLGSLNRLYRPRLYSSCLPSQKKISRCLSEVLGSLTRRQYLNLSSELSSVQKENEASHIHMQNVMDAISRLVYSDSGYSARGILSEALGSLTELKYLNLSGCLLMVVLPGSFGNLENLVHLDLSGCSCLEWTPDNLVGLTKLQHLNLSHYCTGTPDHLCHLRGLQDILIGLTELRFGSLKKLHTLNLSNCCHLKSLPESLCEIDCLKLLYATGTGVSQDKSPSQLHQNSFLDDGNGLLLRPVLLGTQPKLYQNLVLLPNFVVHDANPAELQISCLENVQSTGEVKRIKLSQKTSISKLALEWRRDAKRFADDMNVLEQLVPPNTLSQFELRGYNNVCLPRWLTCISSYLPDLVRIVLDDIPSCSSLPPLGQLTNLQELTLRSMPSISKIDGDICGGSEPFLQLIKFTLDSMEILEEWRTSYNDHGDKVFMFPSLQELEILDCPKLKLKLYEPRAFQWKISNSDNIVTSCGGGQYTGPSSSSSSTTLDVQHCKVPLDQWTLFCHLPALHELRIYESDDLTCSSPEIIESLSSIKQITVECQDMVELPASLCQFKSLPKLILWKCLKLKSLQESTKHLTSLKSLWMVSCSSMTSLPEGLGHLASLMELNISDCPHLKSLPESIQLLPMLEVVKVSYCPELKRWYEIEENKMKLAHIGKKVSINPANLLFLQLFMLTLFAVLYCP >OGLUM05G10860.1 pep chromosome:ALNU02000000:5:12529301:12533243:1 gene:OGLUM05G10860 transcript:OGLUM05G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZWW9] MGFIGDTVESIRSIQIRQVLAQIISLGMIVTSALIIWKGLMVATGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHEREESAEVDILTKGDNNFGDDRLLYAHGQLWLHQHHIMGRAVGFLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >OGLUM05G10870.1 pep chromosome:ALNU02000000:5:12532914:12534459:-1 gene:OGLUM05G10870 transcript:OGLUM05G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFCLLCQHNVKRFGAYDGVADDNAPVVVPGLARRIEVTRAQAPGFFRVPGWDKFADDVERRYLFEMDRCQASTTKYRQSFLKSQKVHGEQAAKLQVCYRRQGCTVMPVTCQHLPQVKKPEAPYWSQPLTTS >OGLUM05G10880.1 pep chromosome:ALNU02000000:5:12580303:12585210:1 gene:OGLUM05G10880 transcript:OGLUM05G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESQSQSHFPLRWESTGDQWWYATPIDWAAASGHYDVVRELLRLDANLLIKLTSLRRIRRLESVWDDDMRFADAARNRAAVARRLLHDCEPPKGAAAGGGSRPNRLIRAGYGGWLLYTAAAAGDAAFVGELLGRQPLLVFGEGEYGVTDVLYAAARSDSSEVFRLLLSAVLSPPECSAGGEGDGGTAAIAGGFMFRCEMMNRAMHAAARGGNLEVLRELLQGCSDASAFRDAQGSTILHAAAARGQLEVVKDLIASFDIVNSTDEQGNTALHLAAFRGHLPVVKALITASPSLISATNEVGDTFLHMALTGFRTPGFRRLDRQMELMKQLIGGVIMDLSSIINMQNDDGRTVLHLAVIGNLHSSLVELLMTAPSIDLNVRDNDGMTPLDLLRKQPPTASSEILIKQLILAGGIANSMDHETRSAIASQLKMHCIVGSPGTSFKIPDAEIFLHAGIDASDISERTNSFSSVGQSEPEFPESSRKKLNSMQNAAKHLKILLRWPLRKEKKSSSGARNELDDDASSVDSVKSWSHGETPTPLRQKYSRMSSLFNNKRTMAARIGSPSPSMKKSFADGLAHGVMQPESPSGSGSWSSSSLVDRIEAVHLDKNGQASPDTSVVIRRTPKKHGSLNSRLMNQYFCIGAQGIAVEDSTTGQRSSRMFRSSLLSAA >OGLUM05G10890.1 pep chromosome:ALNU02000000:5:12586346:12595716:-1 gene:OGLUM05G10890 transcript:OGLUM05G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPPTKSCQSGKKGHTITSSDSIRSRSVTGSNQPEPLSVLMPLPAPAPDKCKAKAKPKTKTKAKMA >OGLUM05G10900.1 pep chromosome:ALNU02000000:5:12595725:12605111:-1 gene:OGLUM05G10900 transcript:OGLUM05G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHEVAFEVNLIEDDGGLAGWAPVGTRTALSAHAERDTAMLISGAVSAAEPNERIRRSSGRYIVASRRADEEDGLRRAVPAGALVPRVTYRVVGWVSVQGQGDGRHHAVRVGLRVDGDGGDDERGSWLDCGAARVEVGGGWAEINGAFRLRASPRVAAVHVHGAPAGVDVKVMDLQVYATDRKARLTQLKEQTDKVRKRDVILKLGGGATMAGASIRVAQLENRFPFGSCINKTAIRNPKFVDFFCDNFDWAVFENELKWYSTEPQRGQINYRDADELLDFCHRYGKSARGHCIFWAVDGDVQQWVKDLGRDDLAAAVQGRLHGLLSRYAGRFRHYDVNNEMLHGRFYRDRLGDGVAPLMMDPGTAYLLKIRLQGHHSRENFTYKTEEVVDSDRTNFRDFIDDIREKYPWGVNEFITVNYYDSVNKNYPQVCSDQCMLEMFVKNATTKEISMLIQVHSNNEPVVALPLADWPTPEKIASGTVYNAADIHEVPSTPSLAVPSQATISQPSSSTQLVDKYLANPFKQNEHVGVDDEGIYSDDEIVVVTADGEHERTDVPEVVSEEGEEEEEEEEEGEEEGEGEEEGDTEGEGEEEGEGEEEGEGEEEEEGDEEDWVVQDFIPVQKKGKRRLLMNIVKLALFLFLVNLQPKILA >OGLUM05G10910.1 pep chromosome:ALNU02000000:5:12627117:12627578:-1 gene:OGLUM05G10910 transcript:OGLUM05G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVAGQVIRAALDKLAAAGGAPIWITELDVSEPDVGLRADDLEVVLREAYAHPAVEGVVLWGFMEGQMWRRDAYLVGADGTVNEAGQRFLQLQREWRSDARGIVDGDGRFKFRGFHGTYVAQVTTAMGKMLKTFTVEKGDNSLELDLDIEI >OGLUM05G10920.1 pep chromosome:ALNU02000000:5:12651215:12651507:1 gene:OGLUM05G10920 transcript:OGLUM05G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPAAPTPRSEAALAGELRGRRTTRHHAGRQALGQCSGLRLGGAFFRWYIELEEFFLCSRLF >OGLUM05G10930.1 pep chromosome:ALNU02000000:5:12657258:12662268:-1 gene:OGLUM05G10930 transcript:OGLUM05G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAATAPATSVTAATFSPSLPLLSRYQLPRAHRAASTVAFAARRFRGVNPSSDRPRGRRASVPAAPTTSAARDDGGGGGGIDALEAELWRLQRRAELRLHRLAAEADEAYRDLRYSARVVGGDRVVLTFRRSSLRFAAAALLWSLALSAAAWALLGWAVRAWQRRGLGWRGGEGAAVVRRRDRSLGGKEVVVAVSSSPVAAPVSRVPEPAREVKRREPKARLPEWWPELREEVVVDQGPGMEKWARLANRLVRAIIDNRITGKDYKYDDAIQLRQLCKISGVKVSFDTENARDSFYRAAINFVLDDCSRAAQDIGAAQISGENPRNFLAGLASNIGLDKFRAATLVCASVAARTRSLFLQCWALEMQRKRSEALDELSKICRIHNMFPPEENSAEMEMVAGGLKKNLQVAERVHLLSLYRSICTAGNIRTAAEALGLGKLKLPDTTNCYGWGLGLQRDDEV >OGLUM05G10940.1 pep chromosome:ALNU02000000:5:12658029:12658298:1 gene:OGLUM05G10940 transcript:OGLUM05G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKVSCEVRSNTGWLHGRKLGAGQLHQRHGICRLPKLKPLPSILMLDYYLVNLPRELYLHSKKALLPAPPMTSLLLSSSSLSLCIKE >OGLUM05G10950.1 pep chromosome:ALNU02000000:5:12664614:12670028:-1 gene:OGLUM05G10950 transcript:OGLUM05G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIVAITHPSDSTPGVGPPPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRMENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKFSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTVRIWTYDSSTPSSKNATIYCGSEVSALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPIEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >OGLUM05G10950.2 pep chromosome:ALNU02000000:5:12664614:12670028:-1 gene:OGLUM05G10950 transcript:OGLUM05G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTIVAITHPSDSTPGVGPPPPPPPPRLRRSPSRDRSSTCAGVCCFHRILASLPRRMENMQYAEELVKEFLVFRGFTSTLQSYESELSTEIGRNFQVDKILDLVFSVYIPKYQLDRLQGLFTFFKQCFTSPADAELFSALIKLELSVLRYYVVNALKSGRQDKVVEFFGANGNYLLQKREDWQAWFALLKISTEKNTIKCLKNDIKQLNNKLSELQALLEMKETEISQLRRNSTGVDLGNMNVPNTSAADSSLEGQDMPGVFEESSASRSAAQGFDSQSSSSVKFSTRDEKLHKSFQISNAENEQILVTEDDFPEVKVDFQETFLGHNSSISCCRFSASGSNVASSSVDGTANGGIKAWNADAKRVVCDLSTSKDFPSVLDLKCSPIEPVFVSAAASRRPGSTIFDRTGFASLTVWHMKTWKPLTVLPLGEDPPAITSVCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSSPESFSKRMHEISLDSDGKRLLVTSGLVRAPIYQVQGHESGLRTLAHSASITSVDWHPTLPMYITGSADNSVRVTSIV >OGLUM05G10960.1 pep chromosome:ALNU02000000:5:12683870:12689604:1 gene:OGLUM05G10960 transcript:OGLUM05G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVGGGWWRSRKTLVGLGLGQLVSLLVTATGFASSELSRRGINVPTSQSLLNYVLLGVVYGSILLYRRKSLQMKWYYYLVLALVDVEANYLVVKAYQYTSLTSVMLLDCWAIPAVIFLTWMFLKTNYRFRKYSGVAICVSGLVLVVFSDVHAGDRAGGTSPVKGDILVIAGATLYAISNEFLVKVGDRVELMGMLGLFGAIISACQISIFERNEIKSIQWSVGAVVPFIGFAVAMFMFYSLVPILLKISGSTMLNLSLLTSDMWAILIRLFAYHEKVDWMYYVAFGAVAIGLVIYSGDSNSDDGRRGQVAEATDVEGKLPDEEEAAVHPKCQGAASSGIRKFDDGNGPYHALSNEELS >OGLUM05G10960.2 pep chromosome:ALNU02000000:5:12689395:12695984:1 gene:OGLUM05G10960 transcript:OGLUM05G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRSIFEHNEKKSIQWSVGAISGSTMLNLSLLASDMWAILIRVFAYHEKVDWMYYVAFGVVAIGLVIYSGDSNSDDGRSGQVAEATDVEGKLPDEEEAAVHPKCQGAASSGIRKFDDGKTSPTIRPNEPHAQT >OGLUM05G10970.1 pep chromosome:ALNU02000000:5:12690677:12692000:-1 gene:OGLUM05G10970 transcript:OGLUM05G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITSSFRYIKPKTLLLSCPRAILLLAAPALALSSPFSDLAPPSDILSMSPSTIDFSRRFLNLIVGNRTPGVKSLWCFDLMRQQLFYPATPPPPHKVEEFWQKFRPPGTMTDSMGLPFSCFTFRASALNVNGQSRMDCFPLAGGEVICMDQSGRAFLVDADACQVGTMPSLHKPKSMPLAVFVPNAKADNDYDHDGYGSSLFFEAFIYRKPTISNYTKAWHCHQLPPPPFVREPKHWHSYSSPEISSYAVLGGGSHICLSVNGIGTYYLETASHTWSQVGKWTLPFHGRIDYVPEFNLWFGLSAEARRLAAADLSAMDS >OGLUM05G10980.1 pep chromosome:ALNU02000000:5:12696753:12698142:-1 gene:OGLUM05G10980 transcript:OGLUM05G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESCDLNKDEAEILKPSSSSSPSPSPTTASPSPPTAQMTEPPPPQSTPPTPPAAAAAASAAAAPQFSAKNCEGILIEVSKKRKLAEATATDANAVVVAAVAEPLSPVLFVNRCNVCRKRVGLTGFRCRCGELFCPRHRHSETHECSFDYKTAGREEIARANPVIRAAKIIKI >OGLUM05G10990.1 pep chromosome:ALNU02000000:5:12704741:12705912:-1 gene:OGLUM05G10990 transcript:OGLUM05G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLRLDLEFPHLDLALQHVSRPCYPSHGDDGGWGGEEMAMDSTRQWPQASDHLVKGGFWSSPQVGRGSTDRLTMRASKHEVMLRVSCSSREESEGSRVGFLSRMREVAMQAVPIAQPCINVLGAKAELLGRCGKLDNDNSQLFSFWMCGKGFLGGVVLMASVERLSCRGGGATAPGGKLSNDNPQYLCTGNARGVRQM >OGLUM05G11000.1 pep chromosome:ALNU02000000:5:12729156:12729990:1 gene:OGLUM05G11000 transcript:OGLUM05G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDAEGVEEEARGVCNTVLFVYAHNKNEIKGSSSGATGKLAAHTHFIEHILSVLDFLNVLYCDQTNKVFNWHTVFSFGLK >OGLUM05G11010.1 pep chromosome:ALNU02000000:5:12757643:12758132:1 gene:OGLUM05G11010 transcript:OGLUM05G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLPQQEEAASVESKGVHVIGSRIYDPENLQVVATRAYRRAGTRLAQAQQHEHRSMHTNSQSTTNATLPNDITDWEGEGEMRKRLTCGPILVLDPDQVHEGSMWHKPTLSHGADQGLVFYQ >OGLUM05G11020.1 pep chromosome:ALNU02000000:5:12762053:12769804:1 gene:OGLUM05G11020 transcript:OGLUM05G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGVEVNGGGGGDVVVVVPQQHEVAAKQAAAAAKGKSVESKGVRVVGGRIYDPENGKTCHQCRQKTMDFAASCHKIKKNNKQCTIQYCRKCLFNRYGQEAEKVANDGTWTCPKCKDICNCSFCMKKKGLPPTGILAHAAKASGCASVHHLLKKGKEAVAAAQRSAQKVRSTPVKKSPKRAIQPDAAADEPLAEGDENVCIDFNAAPVKKQKRIRKVGNGVALTKDESPDAPKEQVVLPKGTPVTSVAGAEWEPEDVGLALQFFEFCRTFAEIFQVRKGQPERILRDIAGGRGLRVVSSVIADFHITLLSIIQEGRGIKPITYSRDNDAWIVDTGKCISESIFVPEELPLDSLSQGVSGYKNLSPSCKLSVLNFLCDESLSTEKLRSCILSETKNPSREKAHSAKEKEEPKEETIKNTDEAVLLKTEGAAVAIEEDKNGISQQKDVKEVKNADTNEKKHGGFLRTNPFMVDKAVIYWKLDDYCNNTTMMLQEVDADDLMGNKDKWFMLNEDEKKIVENYLSTRIRTISSDVFTVDLKVLYKCFKNQDYIGLNAGGEKLHNSLLIILSRFLTWLKVGGLC >OGLUM05G11030.1 pep chromosome:ALNU02000000:5:12783608:12783971:-1 gene:OGLUM05G11030 transcript:OGLUM05G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVPSQFSKDVNSSIVSFNSSNLLAEQLLDLEEKRTLEAVEMIIGMNIQDRVKNQTMAKELTNMNMLLQGQVFDVMDVGADED >OGLUM05G11040.1 pep chromosome:ALNU02000000:5:12784085:12792835:-1 gene:OGLUM05G11040 transcript:OGLUM05G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAMLVVCANDVGLVYDINTCEKIMCIHDCVAPPCGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPSKIKEVQKSYVAEAIGPIACSKDGVYLVGGASSGHTYIWERHSERRAGMRRTGTGATTLRVGI >OGLUM05G11040.2 pep chromosome:ALNU02000000:5:12784085:12792835:-1 gene:OGLUM05G11040 transcript:OGLUM05G11040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEAMLVVCANDVGLVYDINTCEKIMCIHDCVAPPCGLAFVDGFLLAASRTDKDQPIFGSAIYFWAPSKIKEVQKSYVAEAIGPIACSKDGVYLVGGASSGHTYIWEVGI >OGLUM05G11050.1 pep chromosome:ALNU02000000:5:12796511:12799918:1 gene:OGLUM05G11050 transcript:OGLUM05G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I [Source:Projected from Arabidopsis thaliana (AT1G76400) TAIR;Acc:AT1G76400] MATPPPLRRVAALLLLLVAAASTPTARADLVVTRADRKVDLTSHIVRVLTSLKVENSGPEAVSQFLLAFPNVQAKNLAAIRAFGTEGKVKGPSMVLPIEVVQPSGVPPELTFFSASLSKPLEKGKTLHLDVLTVFTHSIQPFPEEITQAESQLVVYQDSAQYLSPYPVKVQTLSIRLPGGRVESYTKYPNTKLAESELKYGPYEDLPPFSYSPMVVHYENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYIRGVSSFRHLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTQLEVEPRFPLFGGWQTTFTIGYGLPLQDFVFNSDGQRFLNITFGSPVEEILIEKLIVKVVLPEGSKDIDISVPFPTKQEQEVKYSHLDISGRPVVVLEKLDVIPEHNLYFQVYYRFNNISLLREPMMLITGFFLLFMACIVYMRTDMSISKNSPSYLAKVQWDEVWSF >OGLUM05G11060.1 pep chromosome:ALNU02000000:5:12803796:12808696:1 gene:OGLUM05G11060 transcript:OGLUM05G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoprotein phosphatase inhibitors [Source:Projected from Arabidopsis thaliana (AT5G52200) TAIR;Acc:AT5G52200] MSSRRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMVDDDGSLSPTRPFDKCLDETVNAEAIFTALNGVASSSKTDPKDDGWASSDDDADAMEQDDDPEAEKARLSFKEHRRAHYDEFLKVKELMRSGSLIDDEADEDDRGAKGSQAKAIGKKPAGCDSTPPPQT >OGLUM05G11070.1 pep chromosome:ALNU02000000:5:12810769:12815498:-1 gene:OGLUM05G11070 transcript:OGLUM05G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGNNGYHPKEGQGWNQPRPARVGPRSLRPLRRFSSSLLFSSLGNLHLCSPPPPPPPPPGKADDRAEAELGLGHPPPPLPFSIFDAVSWMAAGRGINQLLWRTLHNQSSGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >OGLUM05G11070.2 pep chromosome:ALNU02000000:5:12810769:12815498:-1 gene:OGLUM05G11070 transcript:OGLUM05G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFMGNNGYHPKEGQGWNQPRPARVGPRSLRPLRRFSSSLLFSSLGNLHLCSPPPPPPPPPGKADDRAEAELGLGHPPPPLPGSSLLSSFRIKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAAEYPWPHAGILSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMRWSVLKSRKLVLDVVN >OGLUM05G11080.1 pep chromosome:ALNU02000000:5:12837633:12838082:-1 gene:OGLUM05G11080 transcript:OGLUM05G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKDMKGNFLIIRWRSENDFENVQKGQNFAKWRETVFWKWLNVHFLGMDRCSGAQGSMHPEGRARGAPSAAAALPSSTSSPLLLVVVGAVSMGSLSSTKSRRKREGRRRDGGRARGIVVAAIFFLLFS >OGLUM05G11090.1 pep chromosome:ALNU02000000:5:12840813:12841775:1 gene:OGLUM05G11090 transcript:OGLUM05G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSMGLPFSSINFRASALNVNNQSKMDCFPLASREVICTDQSGHAFLVNADTCEVGTMPSLHKPKSMPLSVFVVRSHDGYGSSLFVMERIPKPELGFNSDQLSYTASLHYLPTERPGTAINFHLRLLSVNPSTVTADAAAQTQRSAPTLCLAVAHTFAYLSMASAPTAWRQQATHGAKLAKWTLPFHGRIDYVPEFNLWFGLSAEAQRLAAADLSAMDSQPQLVGPWKELNLPEEWRECKDPQLVNLGSGRFCIARFFRSNSDFGNKPIAVFTGVEVVPHVGDANGNANKGSNGKVQLQMIPHRSKCHASNATTIDVVL >OGLUM05G11100.1 pep chromosome:ALNU02000000:5:12846085:12851410:-1 gene:OGLUM05G11100 transcript:OGLUM05G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSRDPGEEVSAPPPPPPRRGEKRRMRGRTPSPEPASAPQTNGKWHPHESYRPEIDDAPVFTPTEEEFKDPIRYITSIRPQAEKYGICRIVPPSSWRPPCSLKEKNFWECTEFNTRVQQVDKLQNREPTKKKSQPRVQKKRKRRKRLRFGMTHRRPSANTSEDCADADEKFGFQSGSDFTLDEFQKYADEFKQQYFGIKGSDEIPLSEIKKKKKNWQPSVDEIEGEYWRIVVCPTDEVEVDYGADLDTSMFSSGFSKLSSDSNRRDPYGLSCWNLNNLPRIPGSVLSFETEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSMNYMHFGEPKVWYGVPGADAVKLEEAMRKNLPRLFEEQPDLLHELVTQLSPSVLKSEGVPVYRVVQNPGEFVLTLPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQCAVELYRKQRRKTSISHDKLLLKTANEAVRQLWMNLSDCKSEQGVYRWQDTCGKDGMLTSAIKTRVKMEKAARGGNMALRYKKMDGDYDSADRECFSCFYDLHLSAVSCQCSPNRFACLNHANLLCSCEMDRKTALLRYTIEELHTLVAALEGDPTAVYQWGQNDLGLVCPSGSTQYKKMDLGENTEFPDSATNVNHGCSLGSQDQYHYDPAKPAGYQQEKGIQIASEKHDKNKMVVNLESPATASNPSRSKSDCSGSLSLNLSSELPSSRIQTGNSTLASITTEKLFGVDIKSNLAQSSDGQVSQLAKPSSSQTDEVSKPAIAKYTVELLDSGTMMIGKKWCNQQAIFPKGFKSRVTFHSVLDPTRTCCYISEVLDAGLLGPLFRVTVEGLPEVSFTHTSPMQCWDSVRDRVNEEIAKQISFGKSGLPDFLSCNSLNGLEMFGFLSSPIIKEIEALDPCHQCLDYWLSRVSSVGTELPSESVMAAMVNDSTNPPIKLLGIEITRKESEQSSSFNNSCVRRSHLAGC >OGLUM05G11110.1 pep chromosome:ALNU02000000:5:12878115:12878885:1 gene:OGLUM05G11110 transcript:OGLUM05G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGVLDSLAAEERWLYPGFLAMYAAIYCVGQLALLRRWAWPLRLDGASCLISLAHGTPAALAAAGAILALPPEARGFAAPNTRLQDHVLDYSVAYFTMDLLHYLAFLPGDTLFIAHHVATLFVFVTCRYLVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRAEKPAAARVYRALSPPFYFIYTVVRGVAGPLFFLKMSLFYLSGQAVDVIPWWVRISWIVVVGTAITVSNLWIWNLWKELFRERKQSMAKKST >OGLUM05G11120.1 pep chromosome:ALNU02000000:5:12882807:12886319:-1 gene:OGLUM05G11120 transcript:OGLUM05G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGAHVGPTLTQQPRCFLKMADDLGPPTVLQKIHGQSMMFSKISPYSSMKNPALYNANTSYSVPLKSYNGMDGNNGFSSVTSVSPVFASAPKEKGLSGFMIDFMMGGVSAAVSKTAAAPIERIKLLIQNQDEMIKSGRLSHPYKGIADCFGRTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKAAKKGGGRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDNFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVVVFGKKYGSGGG >OGLUM05G11130.1 pep chromosome:ALNU02000000:5:12885924:12887303:1 gene:OGLUM05G11130 transcript:OGLUM05G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAAGAPPPGAGRVGWRLGLACLAYLALWRMGGRWGKERRPPARAAEATGEEAAEAIGEGGVGRGEAAHGVGGLLVEELEEGDEAKALGETVGHRLPVPHGRPPTPPPSPGEELSATVGGGAGVVCGRRHGAWRGRHGCQERSWAAAGEGGRGRPSPRFSPSPLPHRLRVREAQEVGERRGRRRRRGQRRWRRGGCRHAGELEGVDGDVVAVVELDGTVDGAAGVGLAEAVEAVEDGLVLADIEALERPNLVLLGLRHTRRFAPLTPVPPRPTGLLTYTRLPATVTVPGSAPAMANWAETARPSTTTTSSRPTSRSTWRAVARDRAPPASSP >OGLUM05G11140.1 pep chromosome:ALNU02000000:5:12893685:12899332:1 gene:OGLUM05G11140 transcript:OGLUM05G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTFPTSTPFFAHHGRRRPSPSVSVRTAAAVYGRGGGRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVIRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLSFKDIDEVILVGGSTRIPAVQDLVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVSAVDKGTGKKQDITITGASTLPKDEVEKMVEEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLTELKDAVAGGSTQTMKDALAALNQEVMQLGQALYSQQGAPGAGPTPGADAAAGSAGPSEKPGGEEGDVIDADFTDSQ >OGLUM05G11150.1 pep chromosome:ALNU02000000:5:12917422:12918078:-1 gene:OGLUM05G11150 transcript:OGLUM05G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKGRAGRKKVEIKRIEKKDARDVCFSKRRQTLFNKAGELSLLCNANIAAVVISPAGRGFSFAHPSVDDVADRLASMAMGIPNNHSLGGGYHDSGEVTNIAQQQKIEYVELQKSLEKLEKKKRVQEAMEKERAGHLMQSLTSEVNLLGQDELEELHNKLSALPYTSIAKFYQVLQDAKGTRMPLPQPHIEIACQSQFLFEEQAVTPANADFPGSST >OGLUM05G11160.1 pep chromosome:ALNU02000000:5:12929517:12935379:1 gene:OGLUM05G11160 transcript:OGLUM05G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRIRGKLKRISQFNSAKTGRKKKISKKRPAQQEPERRLGMIRFRIMAVAKSPEQDICYICGDDDHMEHFCHYNYMFGRYFIDTCRGECPPQEHRITSRDQREKPKLSAEAGHPGKRKKIAEEG >OGLUM05G11170.1 pep chromosome:ALNU02000000:5:12949487:12956711:1 gene:OGLUM05G11170 transcript:OGLUM05G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPVADTEEAGSSGEESPLQATVVEKHEAESTKVDSPAEVTVAEILEVEGKEEESLKLIRNLLWIVVHKIWYNRGLFNEKYFTDVFVPDLGMKIKKLMPIDVESSRMVDWMKGVCDSSRNKYLKTVLFCICEKEEGMVIEEYAFSFSYTNAISDDEVAIKMSCSTASNISEVTLDQIRSSACKMIDTLVSLMRNLDPVPEARTILMKVLYNAGATPEDNDGPFIKSRANKETTSTWNKNPLKMEVGNIYSKQLELSLKFKSVLYRYDETNINTEDVDISVDIESNQDDGFSDTEVQPFEACHHVVAPNDGVDEQELTAQVKEWMCSREIEAFNVSDVLTTFPDISMKEMVKANAGKGLCKAAFYDKATHKNLLDMENILEEPVGSILCTHLAEEKYWNIDSGASNHVGGNSKWFTNLRPMPKDKDSYFLNAAKKRVRLSGMGDIMNEYIRLYNVYLSSDLEDNELYVSIGQLTVEGYIVLIGNGEVTIRLATNVNHIVGTGSLDRDKMAYVLTFFNGSVLERTGDQLEENEGDTYDTALGEWILDSGCYNHITHQESFLNEKWKMKRGKKISAAGSGLLACKYKGNITNGDIRLNDVYLCQESEENLISVPQLDVIGYKFSFSGDHCHITYKKEKDLVGVAHRDGGNLNYYVEFLHSKE >OGLUM05G11180.1 pep chromosome:ALNU02000000:5:12959814:12995015:1 gene:OGLUM05G11180 transcript:OGLUM05G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPRIRGKLKRISQFNSAKTRKKKKISKKRPAQQSEPERRLGMIRFRIMAVAKSPEQDICYICGDDDHMEHFCPYNYMFGRYFSDTCRGECPPQEHRITSRDQREFLRRFVRVTNLPPGFGVWDLEDLFSPFGALLMWDVPKFRNYLCGCTTGIHMSFGFVVFKRREDGERAVDELNGYQAGDRRLRVDWAASVAAWPLPPEKWGGEGRGQIERRLRSGEERGVEGADPEEVEEEMGGGRGRDGVSEKRRR >OGLUM05G11190.1 pep chromosome:ALNU02000000:5:12970393:12973817:-1 gene:OGLUM05G11190 transcript:OGLUM05G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYIRDRAVESYVWSNMVFYEEDLAVTRMVFAKLFVLAVIMDDTYDCHANIEECRKLHEAIQRWDESAISFLPDYMKTLYNEIMNNFKEFEDQVGVKGHYRVAQTKKEFQKLSAYYLQESEWSHQNHKPSFKEQMELSTMTTGGPLLCVCTTVGRDDTLTKEAFEWAASDTGAIRAHAKILRFMNDVAAFKVQIN >OGLUM05G11200.1 pep chromosome:ALNU02000000:5:12979896:12980787:-1 gene:OGLUM05G11200 transcript:OGLUM05G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKMHLVDAVQRLGIDHLFQEEISSTLSDINGSQFASNSLHEVALRFRLLRENGFWVSPDVFKIFKGEDGSFTDAISNEPRGLLSLYNGAHLLVHDETELVEAISFAKDHLQSMCDSSELKPPLADQVKRALDLPLPRAYKRMEAFHYMFEYGQEEGHIVVLLDLAKLEFNLLQHVHLKELKSFSQYASFLDIYTYTK >OGLUM05G11210.1 pep chromosome:ALNU02000000:5:13023097:13029627:-1 gene:OGLUM05G11210 transcript:OGLUM05G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFTLQEMLFLRRRKSKWEQQQEEVELFSEEEECVDEEAVTSSSTCFWRDPDTEFCNICGDDKGNHLELMCPYNYLSPAAYFPCRARLALWGNYTTTLRYKCSRHREEEQSEPPMHDEANARRLRFLRCLVRVNNLPELCPPEQLVELFGRFGPLWMWYVATRGSGGTCKGFGWVVFQRHRHAEEAVEALNCWEFGGRKLRVDWAYPCLN >OGLUM05G11220.1 pep chromosome:ALNU02000000:5:13024044:13025477:1 gene:OGLUM05G11220 transcript:OGLUM05G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQVKGLNHGGIESAKQALNESGVFLELKNALNLWRPLTFCRLTSTIYFILFVQVQSIIQQIQAIFNQCLAAHDKLETSLHELSRSGDVKSCKVARKTADAQFKELAKELKPLLTSLQSSSQSYQIWPKVEELVAKERELQDKLMTRHSTVVDSFEKKLRGQDVENRIAAQQQKVAALRQEVESLLEYISEI >OGLUM05G11230.1 pep chromosome:ALNU02000000:5:13036260:13037228:-1 gene:OGLUM05G11230 transcript:OGLUM05G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFKESESWERSKLSRVIGYSYPLRNPYPSRFYKLIPGSFSSKPNLPFALPSPKTLAAPKRIRIAPPARCRTPGERGLRRRRRASRPVWVAGVWKGVARVAFAAVGASREPLNMEDRASNTCKRRRQLATPVSSAPCHAPSNSAVSPSRGKPSRLEQCLVTQKLAAPSIWGVRRCSHADSSRHHAMAKLAVGRPRSNMVKSRSPALESTTIASSPFLRSRYRRAPSRRASDGRRAARRMAAVPRRRRRRVSDDAPPPFPTARRAVSARAVGPLCAVPLFGREREVEDDREKEKNERERNRVGPT >OGLUM05G11240.1 pep chromosome:ALNU02000000:5:13091462:13092054:1 gene:OGLUM05G11240 transcript:OGLUM05G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVLPKNLAFTISVPSPESFHASSCYRKAEDATYAVVSRMLGFSTVPRRLRATDVAMAPHRRRQQGGSAHPGVSVWAEDLRLEETGGLSPLTRRRGWPLLPLLPPDATSTKLGIQLLSDPNCTVLYSLEVMKIFWYWT >OGLUM05G11250.1 pep chromosome:ALNU02000000:5:13094190:13095765:1 gene:OGLUM05G11250 transcript:OGLUM05G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKFQLILSTFVVIAAFTLLPRPCASIEFHRKLSSWSNGGATWYGAANGAGSDGGACGYQAAVDQAPFSSMIAAGSPSIYKSGLGCGSCYQVKCTGNSACSGNPVTVVLTDECPGGPCLSEPVHFDLSGTAFGAMASWPGRPAARRRRPPNPVQPVNILHTVFHMHAASSDGGQAAQQLQLVTCNWGGVMLTFVVDAGSNPSYFAVLVKYENGDGDLSGMDLMQTGAGAAWTPMQQSWGAVWKLNAGAALQAPLSIRLTSSSGKTLVASNVIPSGWKPGASYTSTVNY >OGLUM05G11260.1 pep chromosome:ALNU02000000:5:13096931:13098078:1 gene:OGLUM05G11260 transcript:OGLUM05G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIQFQKYPLIYSEITRKCHPTTPILPLPRSPPVPLHGLVTDFSRPRAPRGRNKRRGGGSPAAAAQASAAVARGGIVGGGATRWHRRRRTAVEAQGWQRQAPTPLSTPHD >OGLUM05G11270.1 pep chromosome:ALNU02000000:5:13100655:13102413:-1 gene:OGLUM05G11270 transcript:OGLUM05G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFAAAFSNRAFITVTIYSNIADELTGRCFAYILQMGCYRLSGISIHHSGTSCKWVVTGSLESAFTVQEPITYYKTSPLDIAVKRAMALELLP >OGLUM05G11280.1 pep chromosome:ALNU02000000:5:13109992:13110201:-1 gene:OGLUM05G11280 transcript:OGLUM05G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKELDDSFEEFQDQVRREVEEKGYYEVGMDYFVQRAEYEAWLDKKWAERDFFRLEFEDEDEDMYGHG >OGLUM05G11290.1 pep chromosome:ALNU02000000:5:13123178:13128609:1 gene:OGLUM05G11290 transcript:OGLUM05G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX16] MAKVHRLMNAVLRLAAAAAAATAAVVMVTSRETTSFFGIQMEAKYSYTPSFIFFVVAYAVAAAYSLLVLAVPAGSALSRLALTTDVVLGMVLAGAVASAGAISDIAKNGNSHAGWLPVCGQIHAYCNHVMAALIAGFVALAVHFVVVMYSLHIVTDVICPCH >OGLUM05G11300.1 pep chromosome:ALNU02000000:5:13126917:13127222:-1 gene:OGLUM05G11300 transcript:OGLUM05G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAARRRDPASAAYSMAFMLVLVLFFRCMGAVARAPAGPRRQWLRAAVVALCVALTGLVQLQIYSPAVPLSVALAIWAVSVTCFSAVFLVASARPQVAR >OGLUM05G11310.1 pep chromosome:ALNU02000000:5:13128211:13132442:-1 gene:OGLUM05G11310 transcript:OGLUM05G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEAAWLLALALPCGDSFCGVDGVRLRRRPAATSGVARRQAGKAKRERAARSGVAAWAQARCAGRRTAGVEQPPAAAAPKSRLAVFENTKLTSWHGFS >OGLUM05G11320.1 pep chromosome:ALNU02000000:5:13134283:13135400:-1 gene:OGLUM05G11320 transcript:OGLUM05G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPLRRWKPFLAAFASVDDAIEIEAADLGISRGELRRARGRIVGMLRGAEDDREAEELCSVLDEVMAESLLTLRLVPVTPKTLATTDLAGVVGALRKHDSERIRGLATDIVRRWRAAVKRDLVRIGVAMEKLSQTPERIEAADRPVSSDLDAKVKRALPVATAKASEPSLPKRSAPADMGSATKPKEPTHPPKKKPPAVTGSAAGRRDGVKPNHSDGGEKLMTAATKRKLDVDAHRQEAEEAQMRRKTADMAAAAKPEVSNSLPLLKLLKMVAPAVVASHGRRESIELRNDEEKIAAAKRKLREGYQEAEEAKKRRKIHVIEDPKLLKHKQEKMHNPILSVRSRASHASSMAEKKKSFLISSLGRL >OGLUM05G11330.1 pep chromosome:ALNU02000000:5:13138662:13140927:1 gene:OGLUM05G11330 transcript:OGLUM05G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGEEGHHDHVPVYESGSEVLQKLQEKWNSTKQQRYPAMYSSVVGGIILDPSMMVIPIDDHMVHRGHGVFDTAMLSNGYLYELDSHLDRLLLSASKAKISSPFSRETLRAILVQMTAASKRRNGSIKYWLSAGPGDFLLSPKGCTAPAFYAVVIASGATAAAAGGGGHPRLREGVRAITSTVPMKDPFFAAMKSVNYLANALAMAEAEERGAYASVWLDGDGGVAEGPMMNVAFVTGGGDLVVPAFDRVLSGCTARRVLALAPRLVDAGVLRSVGAARISAADARRCAEMMFVGSGLPLLPIVEWDGQPVGDGQVGKIALALSDMLCEDIKAGPDRVLVPYDQAS >OGLUM05G11340.1 pep chromosome:ALNU02000000:5:13144681:13149544:1 gene:OGLUM05G11340 transcript:OGLUM05G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAKVGEKPALRKPVFVKVDQLKPGTCGHTLTVNVVSANPVPARGRAGGGGPAVGSRPARIAECLVGDETGVIVFTARNEQVDLLMPGNTAILRNARIDMFKGSMRLAVDKWGRVEVTEPANFAVKEDNNLSLVEYELVNVPE >OGLUM05G11350.1 pep chromosome:ALNU02000000:5:13153083:13159325:1 gene:OGLUM05G11350 transcript:OGLUM05G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLCSLSDAKRKTPPSPPPPPPPPSSFGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAAGQDGAAAVVANRTQAAPSASETFKLWRINETTFNFRASSGRFVGAGSDGGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQVALGSNSVTTDYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >OGLUM05G11350.2 pep chromosome:ALNU02000000:5:13153083:13157994:1 gene:OGLUM05G11350 transcript:OGLUM05G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRLLKRACLLLLVSSWLCSLSDAKRKTPPSPPPPPPPPSSFGLPVRAVCLGGWLVTEGWILPSLFDAIPNKDLLDGAQLQLKAVAAGAYLTAAGQDGAAAVVANRTQAAPSASETFKLWRINETTFNFRASSGRFVGAGSDGGAAVVAVAAAPGPSETFQVVRDDGDKSRVRIRAPNGHFLQVALGSNSVTTDYYGESTSWGDDDPSVFVVTKVLELQGEYQICNGYGTAKATPILRNHWSTYIVEDDFKFISASGLTAVRIPVGWWIASDPNPPAPYVGGSLQTLDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTSDANIAETVQVIDFLASRYAKSPSLLAVELMNEPFAPRATLESLMKYYHDGYNAVRKYSSTAYVIMSNRLGPHDPTEFLQFANGFPRAVIDVHYYTVFNDLFNNLTVQQNIDFIKSNFSSELKNVTTQNGPLTFVGEWVAEWRVPNATKEEYQRYAKVQMDVYGQATFGWSYWTLKNVNNHWNLEWMINNGYISLKT >OGLUM05G11360.1 pep chromosome:ALNU02000000:5:13171723:13172900:-1 gene:OGLUM05G11360 transcript:OGLUM05G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSAFGQIRLIEPLDGSNYANWKADVLLNLGILDYDYAIREDRPEEPFSVEHDYEEKLKLYREKTNEWEKSNRISLMYIKSVISNVIIGGIEDSDDVKTYLENIDRNFRRESINSENKKKKPNTPSIQASNGAHKGKAQIPVGQNSAGKFLCKFCKAEGHAQRDCEGFRAWLAKKGTNVDTVSNVDELLYIEFSHKSWWIDSGATVHVANSLQGFRSMRTLRKGERILRVADGAEIKVMAVGELHLRLPSGNNLLFMMFFMLPMLRGTLFM >OGLUM05G11370.1 pep chromosome:ALNU02000000:5:13194773:13195066:1 gene:OGLUM05G11370 transcript:OGLUM05G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGARHTSLRPPVPPCPARVFDRDSTSARAQAQHGVAVAVGRLGHSVLVCIKRGVADAVGRSAATGGARAALVRAAAGNGTGTARQATKEGESSV >OGLUM05G11380.1 pep chromosome:ALNU02000000:5:13238802:13248286:1 gene:OGLUM05G11380 transcript:OGLUM05G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRYKPYPFFSPTSLLSSLLHRRRRRHRSGATTAGERHGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHMENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >OGLUM05G11380.2 pep chromosome:ALNU02000000:5:13240869:13248286:1 gene:OGLUM05G11380 transcript:OGLUM05G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMMLGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHMENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPLEPFMMPRRQVREMRPRARRALLRAQKKEQDRAAAASTKDEENAKNAKSEITA >OGLUM05G11390.1 pep chromosome:ALNU02000000:5:13250484:13250759:1 gene:OGLUM05G11390 transcript:OGLUM05G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLPVSVLLRLPIHCASFADHYAPPQLVVGDETLEWTGAAPTRVYPVQEDKGEGRKKKGKAARGVAGTSRSSAPSTSAPAESSNSFIEM >OGLUM05G11400.1 pep chromosome:ALNU02000000:5:13251148:13253966:1 gene:OGLUM05G11400 transcript:OGLUM05G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMPSLAMLFAVLLLCVANTLAFSFMAVRIFRADGGASKLTASSVRRSTKCILVLACVVEVAVLFASLRLAADRHALSGEVDHMRDQIETLQDDLKQYEQPFSALSDYLGLSVLDLGSAVGRLRDKEEHLVKEYRDLKLEIEQIKSDIHSLRHEKEGRGYHKETLGGTSNQQKQGKNEKTKQPAIDGIMKSLRAKATKLQQVKISFPWEKLKKAKNIFSMDFKLRP >OGLUM05G11410.1 pep chromosome:ALNU02000000:5:13394042:13394227:-1 gene:OGLUM05G11410 transcript:OGLUM05G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDAPQPCPVPSSTSFSLFDLLSIGGCIESEQLRVRRTGAAPELVEEKRGSGGGLLPYAP >OGLUM05G11420.1 pep chromosome:ALNU02000000:5:13423461:13424041:1 gene:OGLUM05G11420 transcript:OGLUM05G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEREYDKQGGINWSTKNEMHWELMYNVHWLTDTPIVVGSGMLFTAPEGERSLEESRVNNDSNSN >OGLUM05G11430.1 pep chromosome:ALNU02000000:5:13455455:13457366:-1 gene:OGLUM05G11430 transcript:OGLUM05G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAAAPPSPYSWWTLQDAASLPTTPPPRESERREWEEIEKRGASAATIPPRPYHRALPTYLRPDPEARIRPLPPRHPAVGDRSGGEGNRMGSGVRRGERKERNRWRRIAQDLAYFINAPHFIGRRADVLGPRLIADYDKSRRNNEYKSHLVHRGFMKNYTYWSKHGKRETLNIAVVEEADGQNKEQDLDNMFVSSPLVDTKL >OGLUM05G11440.1 pep chromosome:ALNU02000000:5:13465317:13466126:-1 gene:OGLUM05G11440 transcript:OGLUM05G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVFSGRKNITLAWVKERGWGQYITFRRNLADGRLADGSNIKRYCDGVILTEQRDKLIWILDKNGDWTKKCDQESGHLLLVGIAAVLSGNHETMSVLTKFSLLIQLKFYILLATGCPTERFCRFQRKRKERCCGEFSS >OGLUM05G11450.1 pep chromosome:ALNU02000000:5:13507531:13510256:1 gene:OGLUM05G11450 transcript:OGLUM05G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPDQPIIVPLRAYSYRPCTNTMMTQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAVSVKPEGFSLSTPEVA >OGLUM05G11460.1 pep chromosome:ALNU02000000:5:13511673:13514228:1 gene:OGLUM05G11460 transcript:OGLUM05G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTVTHVEFQLWVAAASSSASPPERLCFPKTSSIADWEGRWRRKGPHRYMKTGCVALVLCFNISVDPPDVIKISSFARCHDSAPARDAVARSRARREG >OGLUM05G11470.1 pep chromosome:ALNU02000000:5:13528448:13530443:1 gene:OGLUM05G11470 transcript:OGLUM05G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKSIPANANIPAVQETGLCNIGSQQLNQREMHREADHHHCLLATLARHCRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPNATSFHILTSTLCQAHRPTAAADLLCCMPSLLLDPDPASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAIFDALLQEGKVAEAYEVMKNKMGSNGVAPALAYFKLIMQAFSETAEFDSVEEGFDEMLLRGLVPDVDVYNVYIGALCRKGDLAGARRMMTSMEHAGCPPDIRTFGVVVSGCMSAGDMGTVRELVQEAIRHGLRWDPPALSELIGLLQAGGGATLAHELLLEPLFVHDALVLGQLIGALCKQGLLGPAAVIDNY >OGLUM05G11480.1 pep chromosome:ALNU02000000:5:13546495:13554091:1 gene:OGLUM05G11480 transcript:OGLUM05G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRISSSAAATASYLASNLLPKCRSLAAVKQLHAHLLHYAHRASFPYNHFLSKLLSLFTSSSASSAATTHSAAVADYVLLLLASHPAPTAFSYNVAIRFFASSRPHTSLRLFLHMLRSAIRPDSYTLPFLLLAAARYPAPSLARAAHALLGKIGLNGHDHTVHSLITMYSYLDDPGAARKVFDGIPTRDVVSWNAMMKAYGRVGMNGEVGRMFRGMVKEGAVAPNAVTVAVVLAACRDQGDLVLGRWVEEWSWSARMETDSLVGSALLGMYEKCGEIAEARRVFDTIIDKDIVAWNAMITGYAQNGMSNEAISLFHSMRIAGIRPDKITLAGVLSACSAVGALELGSELDGYASRRGLYSNVYVGTALVDMYAKCGDLDKAIEVFRKMPCKNAASWNALICGLAFNGRGDEAIQHFQLMRNEEGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTPEFQIIPKIEHYSCMVDLLARSGHLEEAWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINMIIQLEPTNSWNYVVSSKIYASSDRLDDSAKMRGLMRERGVNKTPGCSWVEVSGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVEVLPWASVCSHPLFGVLQVGCVLDAKFVSLWLGWHEPEMVYSGNMDSKWLLMQKWVKLYYFSEASALIKFARIRCSKKGHRKFLGLDMQMKKISHEPQ >OGLUM05G11490.1 pep chromosome:ALNU02000000:5:13550360:13559484:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVAAAAAVYMRAFVKETDGGASLLRAAAGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSRDALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >OGLUM05G11490.2 pep chromosome:ALNU02000000:5:13550360:13555509:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASGDSACDIWGGGLKSIETPYIASCCACACASLHATYSFAIFGITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVAAAAAVYMRAFVKETDGGASLLRAAAGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSRDALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >OGLUM05G11490.3 pep chromosome:ALNU02000000:5:13550463:13559484:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADELGHLLVFGFLFNLGVYMVAPAMTDVTMDALCPGQDECSLAIYLTGLQQAITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVAAVVAAAAAVYMRAFVKETDGGASLLRAAAGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSRDALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMVCYIVEHVIDMVCFVWITCI >OGLUM05G11490.4 pep chromosome:ALNU02000000:5:13550360:13552996:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLFDPGVVFAYLQVAAVVAAAAAVYMRAFVKETDGGASLLRAAAGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSRDALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMLIALTVSINMRPAELQPDSK >OGLUM05G11490.5 pep chromosome:ALNU02000000:5:13550463:13552996:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKLFDPGVVFAYLQVAAVVAAAAAVYMRAFVKETDGGASLLRAAAGDEENSSSHPLCVPSCSSSSSQDVAPPTLPPLRKALSLSDMADLLTTSSTFSRDALVIFFYSLGETGLQTAILYFLKVQFQYSKNQYANLLLVIGIAGSLSQLVMMPILAPKLGEQKLLIIALLGGCVHVPYLGASFVIVSILVNPSIRSIVSKRAGPFEQGMVQGCLTGISSTANVISPIVFSPLTAWFLSETAPFNFRGFSLACAGFAMVCYIVEHVIDMVCFVWITCI >OGLUM05G11490.6 pep chromosome:ALNU02000000:5:13553019:13555509:-1 gene:OGLUM05G11490 transcript:OGLUM05G11490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASGDSACDIWGGGLKSIETPYIASCCACACASLHATYSFAIFGITGLGALVATPIVGNLSDKYGRKALLLLPATASILPLACNRTKAFFYAYYITRMVTAMVAEGSMHCLSLAYVADKVPPSRRAAAFGVFSGVCLAGFVAGTVAARFLAVQSTFQACPFDPRTG >OGLUM05G11500.1 pep chromosome:ALNU02000000:5:13628301:13628967:-1 gene:OGLUM05G11500 transcript:OGLUM05G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLDKVGGGVARQAARGALCWIEHIGTQVYNHLCKWHSRWVRIARLKDLSGALWDDQSNMIVLEEEHYMGHTKDKFKGVEFLNVPLENYTLISIIFGETQATGRFAMGSNEALDAPTNMASSGLGVMDGDMEGGIGVGAGCASVAEGSGVGPWW >OGLUM05G11510.1 pep chromosome:ALNU02000000:5:13635213:13646708:1 gene:OGLUM05G11510 transcript:OGLUM05G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: GOLD (InterPro:IPR009038); Has 172 Blast hits to 172 proteins in 43 species: Archae - 0; Bacteria - 0; Metazoa - 95; Fungi - 0; Plants - 63; Viruses - 0; Other Eukaryotes - 14 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G01010) TAIR;Acc:AT5G01010] MATEGLVPITRAYLARYYDKYPLPPLPDAATALADRLRAISAALALAAAAPITPDEELLEKEANGIPAHKIDENLWKNREQMEEILLLLNKSRRPLQQRSTAEDTETFIILDDVETKLKDMLKKLEQFQIKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNAGGSIRDRYALLWKQQMDRRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIEKDDIAVLQQAVVIYTEEFEKFTEFIGEVFVNAPFFISAEDAGAESRNNDDYKETIIPAGKTHEVILSVEAVNSYIAWDFSLQQGALNMVLDIGFHVEYISPSGQKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVAPAEPAAEP >OGLUM05G11520.1 pep chromosome:ALNU02000000:5:13645137:13650714:-1 gene:OGLUM05G11520 transcript:OGLUM05G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCLFLLLFLCCLAGSRIASADTNPQDAAALRSLMKKWKKTVPASWRKSNDPCVRWDGVICDRNSRVTSLNLFGMNLKGTLSDDIGSLTELRILDLSSNKDLGGTLPATIGKLVQLEIFALNSNQFTGIIPPSLGKLSKVTWLDLADNQLIGPIPNSRDHGAGFDQLLKAQHFHLNQNKLQGSVPDYLFNSSMELKHILFDRNNFSGSIPASIGVLSKLEVLRLNDNAFTGQVPAMNNLTMLHVLTMQSVSLSGQLPQKLFSFPDLQHVILSDNQLNETLDMGNNISKQLNLVDIQNNKIASVTLYNNLKGNILKLTGNPLCNDSVLSSTTPCTGQLSEYPTQPPLLPDVQCANPFVETIVFRAPFFGDAANYLHILHYNLSSKLNSCTPNNLGLVYSNPDAYLNVDIKACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKASRTVLIGVVTGCFLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKINSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIYKQKYIVREVKTALDMGDQMHCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASASSTAFDMDSRAFEYSGKFPSEGSLKNRGT >OGLUM05G11520.2 pep chromosome:ALNU02000000:5:13645137:13650714:-1 gene:OGLUM05G11520 transcript:OGLUM05G11520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRCLFLLLFLCCLAGSRIASADTNPQDAAALRSLMKKWKKTVPASWRKSNDPCVRWDGVICDRNSRVTSLNLFGMNLKGTLSDDIGSLTELRILDLSSNKDLGGTLPATIGKLVQLEIFALNSNQFTGIIPPSLGKLSKVTWLDLADNQLIGPIPNSRDHGAGFDQLLKAQHLNNFSGSIPASIGVLSKLEVLRLNDNAFTGQVPAMNNLTMLHVLTMQSVSLSGQLPQKLFSFPDLQHVILSDNQLNETLDMGNNISKQLNLVDIQNNKIASVTLYNNLKGNILKLTGNPLCNDSVLSSTTPCTGQLSEYPTQPPLLPDVQCANPFVETIVFRAPFFGDAANYLHILHYNLSSKLNSCTPNNLGLVYSNPDAYLNVDIKACPVNQKRFNYSQVLNCFNLTLQTYKPPEIFGPYYVKAHPYPFHDKASRTVLIGVVTGCFLLVIGLTLVGVYAVRQKKRAQKLVSINDPFASWGSMGQDIGEAPKINSARCFTLEDLKLSTNDFREINAIGAGGYGTVYRGKLPDGQLIAIKRSKQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGERMLVYEFIPNGTLSEALYGIKGVQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDERMTAKVADFGLSLLVSDSEEGQFCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAQPPIYKQKYIVREVKTALDMGDQMHCGLKDVMDPVLQKTGDLRGFARFLKLALQCVEDLGTDRPSMNTIVREIEVIMQDNGIRTGMSSTSSSFSIDSRTMMAAPKYPYSNASASSTAFDMDSRAFEYSGKFPSEGSLKNRGT >OGLUM05G11530.1 pep chromosome:ALNU02000000:5:13663797:13669034:1 gene:OGLUM05G11530 transcript:OGLUM05G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANADPTGVLLGGPHHRNPSPAPALAPPPGATTAAALRHDPGLSVRWTPEEQAVLEGGLASYAADAAVVRYAKIAMNLPDKTVRDVALRCRWMAKKESNKKRKEESSKKNKEKKERANDSSSKGPAHLVARPNAAPYSLPVLPMDDDDVSYKTIGGQTGQILEHNAQILNQIYTNISNMQVQENIPLLCQTRDNILAVLKDRIGDVPEIMRQMPPLPVKLNEELANSMLPRPPHT >OGLUM05G11540.1 pep chromosome:ALNU02000000:5:13670587:13679547:-1 gene:OGLUM05G11540 transcript:OGLUM05G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEAAVASGLLKIAGNKLVSLIGSEFAAIARVAEDLSELQGIHGEITSWLSIVRDQSRECDLQFRWVINKLMDIAYDIEDLLHEVQLESEKHKIHTDGDKHAIFDRNSISEEWSVLSNNVDESQIPIRDKVKDEIVYELVMRKSDYQAEKQMIREISKEFSGNKFLLVLDDAWHTDVDEWKQFMLHLKDRSPGSMVLLTTRDQKVAEAVESDHTYKLVFLSESESWSLFLKYSGWVEDDLGSEFIQVGKEILKRYGGVPLAIRTIKGYVINKDRLVAQWIARGFIMPMKEEQPEDIASEYFNSLVKAGFFLQDTLKGFDNSKLLYKMHDLIHDLAQYCEKNEAVTPGPKNMTTDQTYKCRYLSLTSGTEKVKMGLLDKVRALYMSDGNLSFDKPDKKSCYVRSVVFDSENFTPFPLVLLKFEYLGYLEIHQVDCERLPEAISGCWNLQSLHLIMCNGLMLPESIGKLKKLRALELNAVLSLKSLPQSIGDCQNLRSLQLHKCFELRDIPTSIGKIENLKVLHIESCSSLQQLPSEPCREFNNLQIINLAYCCCFHDLPSTFACCALRTLNLNNTKITMLPQWVTLNDTLECLDLGYCNELMELPKGFRQLTRLTKLGLFVVGCGGDDARILELETLDMLSGDIKITNLKYMQDPTDADRASLKRKKNINRLVLNWYRGETEKELVSNMYLDALERLHIFDNDDLTQLPESMRSLTSLQNLYIHKCPTFGMLPEWFGELCSLRYLNITGTPMMDSHHQSIGHLTSLTNLTIECDNLKQLPETFQHLTSLRTLGLVGCGALTALPECIGKLSALHQLIIQQCSSIQCLPESIKHLTNLQGLYIYGCPYLAKRYKQGVGEDWQLVSPIPNLRI >OGLUM05G11550.1 pep chromosome:ALNU02000000:5:13680315:13689106:1 gene:OGLUM05G11550 transcript:OGLUM05G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLTPFGPHRPRPNKLIVVDGKGYPVTFPSSASPEEQQQIGLKLPGKKVPEAGQKVAGFALNAEQVSAFGKVVAEGAAATEQFSASGQVVDKVADPNKHAGEAGLGATGEVAAELPLLEANGLAVDREHAQAAGWATVGLTKPTLVDKKINEVVVVGLAPHMTPIRRSDHSNSETALGIASADDDSLLKAMKRKAAINLDDQFAPYGARPALLASPQALQAFVLPVVLIPV >OGLUM05G11560.1 pep chromosome:ALNU02000000:5:13711168:13712459:1 gene:OGLUM05G11560 transcript:OGLUM05G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLVAPVSRASAEQRACRSGIQVDRDSDTIGAYVCNPATRRWASLTQPATPWPRRHDGAFIAFDPAVSQTRRLACGVVGRTPTLDLLIPESSSGEDELAPSPEERTLLLRVFSVSHAAAASAPQVVGCSCQVRLRRRAPTTRRRELPCSPREGERE >OGLUM05G11570.1 pep chromosome:ALNU02000000:5:13722989:13725643:1 gene:OGLUM05G11570 transcript:OGLUM05G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16860) TAIR;Acc:AT5G16860] MLLNLPKAVKPIGVWFLSGARAACVGVDVYPSHFASLLKECKSANTVHQIHQQIIASGLLSLPTPLLSVSLPALPSEPFISPRSLGTGVVASYLACGATNYALLVLERVTPSPAVWWNLLIREHIKQGRLDSAINVSCRMLRAGTRPDHFTLPHVLKACGELPSYRCGSAFHGLICCNGFESNVFICNALVAMYSRCGSLEEASMIFDEITQRGIDDVISWNSIVSAHVKSSNAWTALDLFSKMTLIVHEKPTNERSDIISIVNILPACGSLKAVPQTKEVHGNAIRNGTFPDVFVGNALIDAYAKCGLMENAVKVFNMMEFKDVVSWNAMVAGYSQSGNFEAAFELFKNMRKENIPLDVVTWTAVIAGYSQRGCSHEALNVFRQMIFSGSLPNCVTIISVLSACASLGAFSQGMEIHAYSLKNCLLTLDNDFGGEDEDLMVYNALIDMYSKCRSFKAARSIFDDIPLEERNVVTWTVMIGGHAQYGDSNDALKLFVEMISEPYGVAPNAYTISCILMACAHLAAIRIGKQIHAYVLRHHRYESSAYFVANCLIDMYSKCGDVDTARHVFDGMSQKSAISWTSMMTGYGMHGRGSEALDIFDKMRKAGFVPDDITFLVVLYACSHCGMVDQGLSYFDSMSADYGLTPRAEHYACAIDLLARSGRLDKAWKTVKDMPMEPTTVVWVALLSACRVHSNVELAEHALNKLVEMNAENDGSYTLISNIYATAGRWKDVARIRHLMKKSGIKKRPGCSWVQGQKGTASFFVGDRSHPLSPQIYALLESLIDRIKAMGYVPETNFALHDVDEEEKNNLLVEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHSAFTYISKIVDHEIVVRDPSRFHHFKNGSCSCGGYW >OGLUM05G11580.1 pep chromosome:ALNU02000000:5:13735788:13736962:-1 gene:OGLUM05G11580 transcript:OGLUM05G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVADPGPVRAEGLLLQCPYCDSEAMHKLAQFLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDQENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREIIAEVLLKNVDLKTKYHCPEKYENEERLADHKAQCSFRPVTCPNDGCRAKVSVRCMQDHDSACLFKILTCEQNCEKRLLRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLHKLLKAIHKKGFTDEGLKDHALLLEKHDNDGKLAKSRDVRSLTNVVKNLEAKIKDDSS >OGLUM05G11590.1 pep chromosome:ALNU02000000:5:13739278:13748536:-1 gene:OGLUM05G11590 transcript:OGLUM05G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSHCQDNCPVVKDPDKNYTCCGLCGKVLDDQVYDGEPTFQKGADGQARLAGSILSSIESGNSVSHERTINKGREEIRQIVSSLHVAGGDTIISMAHRYYTLAVDKNFTRGRRTTHVAAACLYIACRYVLGAVFLQLCQVLLLAEHPVIQKLIDPSLFIHRFTERLLGKRDNAVSDMALRITGRKPSGLCGAALYIAALSHGYDYTKADIVAVVHVCEATLTKRLIEFENTDSGSLTIEEFLAKADEQVLVTKISPKSGEVLCKHKDKAEHFAHGLCEKCYNKFMKLSGGLEGGSDPPAFQRAEKQRLEAAKNAKGTAASKEAALESVCEARESDVENNITTPPKNIIGDKHSTIPSVEVAGDSVATEDPEGEGKNDKADEGPESLSDIDDAEVDGYLHNEEETQYKKIIWEEMNKEYLEEQAAKAALAAELAARGVVVEEGKRKRRRHNEDGKNATPAQTPAEATQNMLKRKRLGSKINDEAVNKLYNTKDEDGKADKEMDFNDEYGQDTGDGETFEGGYDYPDYNYDGYGDGAYGDYDGVDF >OGLUM05G11600.1 pep chromosome:ALNU02000000:5:13757394:13765382:1 gene:OGLUM05G11600 transcript:OGLUM05G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGKKHKEAAALSSSSSPLLPADRKALLLLLLLLIVLLARPAASSDGVRYDYRAYTECKSHPEPALYNGGILRWANKVTDFRTEDDGNYSPAFVLYNMSAATVYSFSCWVKIDGPTTAHVKAKILTLANAASQCLGTALVRNDCWSFLKGGFTLNSASETSVLYFQFAIYSLQTASPNASTISIRSASLQPFSPEQWNQHREDRIQLNRKRFVNVHVADSNGSRVVGAKVAVHQITRDFPFGSAISRTILGNKLYQEWFNKRFNVAVFENELKWYATEPYPGKEDYTVADQLLQFVQANDAVARGHNIFWEDPKYTPAWVKNLTGSQLRAAVSGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATVDFFDTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYIRAVLDKLGTLRLPIWLTEIDISSSFDPKTQAAYLEEVLREGFAHPSVDGIMLWTAMDTNASCYQMCLTNQNFTNLPAGDVVDKLLGEWQTKETLGTTNDRGSFNFSAFLGEYKLSVTYLNLTAEGTFSLAHSDDTKHINIRLSPSH >OGLUM05G11610.1 pep chromosome:ALNU02000000:5:13769783:13770766:-1 gene:OGLUM05G11610 transcript:OGLUM05G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTKEEVEHNEEDNTFSRLQLIAQQRHAMEEFWRRSQEQIEASAGNHEHILPIDCVKNVIRPKDDAMMLSADTPTFVTKLCELFVQELTLRAWVCANSHNRDIILGTDIAEAITTTESYHFLGNVLHSHKALGSTAPDIDISARKHIKLDQMTSLYHPTQEMQASRLAGYPPHVPIYPPIGQMGTQHTLSPFAFMMQGESLLNMKREKSLVNEVMVCTNKMSINNFDGATSIGGGSSSDAAIVAQQEETTHPFSSQNACPSLEDNYVVPMPTGHVQSFSPPTNINVKKLHQEEKNIYSQDVAEEDMSNESLEGSQKDEDLFLHEK >OGLUM05G11620.1 pep chromosome:ALNU02000000:5:13779029:13780285:1 gene:OGLUM05G11620 transcript:OGLUM05G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGTWFSPFVSRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPAIKKVPVLFHNGKPLCESMIIVEYIDETFAGVGPSVVPTDAYERAVARFWVSYIDNKLVAPWFQVFRSKSMEEKAEGLKQIFVAVMVLEEAFKECSKGRPFFGGDNAGIVDIALGSQLGWVRASQALSGIKLFDPAKTPLLAAWAERFLALDAAKASMPEFGRLIEYAKMRQAESDAANAAAI >OGLUM05G11630.1 pep chromosome:ALNU02000000:5:13783523:13789270:-1 gene:OGLUM05G11630 transcript:OGLUM05G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX58] MKAAAAAAAASFPNIPNGDALMTSWLASTHTPRILSSDVLWSSAAPAPAQPGSVVINGTVVVANHFGLSAPGKSTTLRLFSGTEVDHETRKGRLSAEAALRGGKKTRHGKASTTTYQVTFFVDGEFGTPAAVTVKNGNRSDQFFLRHVRLDLAEDRSIHFDCNSWSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDFDYYNDLGNPDNPDNDDHVRPVLGGTKTHPYPRRCRTGRALSKTDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMDELKSSVPSHKTYKQVSKMVKDNPAKFPTPQVIHHDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVSGGEISRVFLPASQGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKTMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVRSYCDHFYHADAAVAGDAELQAWWDDVRRVGHGDRLGDAACWLDLDTVAGLVETLSTLIWTASALHAAVNFGQYGYAGYPPNRPTRCRRFVPLPGSPEMAQLEADPGRFFLETVPDRFTATLGIALIEVLSNHTSDEVYLGQRATSTWTDDGEVLLLLDRFRDELRRVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDAGDVAGKEKGITGRGIPNSVSI >OGLUM05G11630.2 pep chromosome:ALNU02000000:5:13783523:13788815:-1 gene:OGLUM05G11630 transcript:OGLUM05G11630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX58] MPFCPKLWSSAAPAPAQPGSVVINGTVVVANHFGLSAPGKSTTLRLFSGTEVDHETRKGRLSAEAALRGGKKTRHGKASTTTYQVTFFVDGEFGTPAAVTVKNGNRSDQFFLRHVRLDLAEDRSIHFDCNSWSYLPDKTPEALRLLREEELRSLRGNGRGERKDWERIYDFDYYNDLGNPDNPDNDDHVRPVLGGTKTHPYPRRCRTGRALSKTDGVTETRKHKLINLDYYIPPDERFSPGKLAEVLAMGVQAVTHFVIPEARSIFHGDVVNFKSTEQLRADLYGKPPQPAADARVMDELKSSVPSHKTYKQVSKMVKDNPAKFPTPQVIHHDTEAWRSDEEFAREMLAGLNPVVIKRLEVFPPNKSKITTDDIMTQIGGLTIQQAMEQKRMYILDHHDYLMPYLRRINTEGVCVYASRTLLFLRDDGALRPVAIELSLPDGGVSGGEISRVFLPASQGTDAHLWHLAKTHVAVNDSGYHQLISHWLFTHATVEPFIIATRRQLSAMHPIHKLLDPHFKDNMQINTLARSILLNAGGLLEKTMYPGKYSMEMSSDIYAHWRFTEQSLPNDLIKRGMASRDPKARGGVSLHIEDYPYAVDGIDVWLAIEGWVRSYCDHFYHADAAVAGDAELQAWWDDVRRVGHGDRLGDAACWLDLDTVAGLVETLSTLIWTASALHAAVNFGQYGYAGYPPNRPTRCRRFVPLPGSPEMAQLEADPGRFFLETVPDRFTATLGIALIEVLSNHTSDEVYLGQRATSTWTDDGEVLLLLDRFRDELRRVEKRVEERNKDPRLVNRRGPVRVPYTLLYPDAGDVAGKEKGITGRGIPNSVSI >OGLUM05G11650.1 pep chromosome:ALNU02000000:5:13800498:13804909:-1 gene:OGLUM05G11650 transcript:OGLUM05G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX60] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGSSKDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDHYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIASTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSTEAETDNPQSELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKAVDLSVDLSAASAAEEY >OGLUM05G11660.1 pep chromosome:ALNU02000000:5:13809068:13812086:1 gene:OGLUM05G11660 transcript:OGLUM05G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLIHSHFFPSAFPHTRGGGGGGGISLPRPVALIGVGTSLGVGGRLPHPESSRDDTGAEQEEAYGCGGGEPPSRRLRRWRGRTTRRRRAAGSPRSRSAVGPESVAAWRLTATATATAPYTGVSDGRVLKWIPLECRWGRALIRQPQQGHYGNFQVKALGDFHLHVARLLPITLGQVFKRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGICVQC >OGLUM05G11660.2 pep chromosome:ALNU02000000:5:13809068:13812086:1 gene:OGLUM05G11660 transcript:OGLUM05G11660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLIHSHFFPSAFPHTRGGGGGGGISLPRPVALIGVGTSLGVGGRLPHPESSRDGGVRVRRRRAAEPATAALARPHDSSAQGCRVPQEQVRGWAGKRGCVAFDGDGDGDGPVHGRVGREGAQVDSPGVPMGSSTHPPATTVARLLPITLGQVFKRIDVVSKDFGNIVDVELGGPWLLLPVEVMATLAHKFGIIGEVGICVQC >OGLUM05G11670.1 pep chromosome:ALNU02000000:5:13815978:13817950:-1 gene:OGLUM05G11670 transcript:OGLUM05G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGPPSPPSHPNPIDPFAAAAAAEAEGEAAPPPRNPIIPRDPPSPEMEATAEALTREEVLRRRRRRAKRLVDVYRRLYWTLGEELRARHRQYVWELGRSPLEAEQPPPPPPTSAAPGPGGDLVVVRPVSATVPRRKKCGFAGCKVRTMAMARFCHSHILSDPNQVLYKGCAYISKRQVADNPSSSPRFSDKAFWILGNSLSGSNNNLGGPQVQITCGRPILKASVPSLCNSHFQKCQKLITQGYKKFGVNPSPTGKVSPNFSLLVAECVRQIQAKRRESPS >OGLUM05G11680.1 pep chromosome:ALNU02000000:5:13822679:13824980:1 gene:OGLUM05G11680 transcript:OGLUM05G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHVVEQDVAMLPAAVDGEREDRPSDRYVVAARRDGEEDGLRLAVPAGALVPRVTYRVSGWVAVQVQGGGDCDGDGERSHVVRVSLCLDDCGVEGECRRIDCGAVCTGVAGGWVEINGTFRLNETPRGTTAVHVHGAPAGVDVKVMDLRVFAEDRKARFRQLKDKTDKVRKRDVVLKFGVGAGVAASIVAGAAVRVVQMDNAQRGQLNYRDADALLDFCDRHGKPARGHCIFWAVDGDVQQWIKDLGRDDLAAAVQGRLNGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPGAQLFVNDYNVECANDPNATPEKYVELVDALRRRGAAVGGIGIQGHVSNPSGEVICDALDKLATTGLPVWITELDVGEPDVSLRADDLEVVLREAYAHPAVAGVVLWGFMQGRMWRQDASLVDADGTINEAGQRLVDLRREWTSDERGTVDGDGNFRFRGYHGTYVVQVTTAAGKTLKTFTVDKGDTSLVVDMAN >OGLUM05G11690.1 pep chromosome:ALNU02000000:5:13847819:13849183:1 gene:OGLUM05G11690 transcript:OGLUM05G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVETTSSGLFSLPIDLVEEILMWLPSRSLARLRCTCRSWNELISSGGFVDRYLQNAAARHSAPAKLVLTPLSKRRARSFHAPMCCRDCPRIIGARPCRGLVLFCRPCALTYSVCNPSTGGVLHLPPCHSEWYMSSAGIGFDSATGKYKVVQLVDPSSPKVVGTQCRVLTVGDDPLGWREPLGEACTILQEDHAKEGGCIADVDPVFANGRLHWTLTPKFLVGDTPQGILAFSIGDESFVTVPLPPFASADLDVCSSSVCVATNVYLEHVRPSKLLPKNKEIFAPAGTVLAELDGCLCMVRDLRHRRNMDLNETTMFEIWKLGTYETGEWSLDYRIDLPRGYRAAERLVTPWLVMPLAYVGGDPASTGGQRRKAVLLATTAHEAHVYDLEAAVLHRVASMSDDESRSCDAAFYLDNSLRLTLYQESPVQMDGMEHDGGDAKLIGYSILNSEQN >OGLUM05G11700.1 pep chromosome:ALNU02000000:5:13870379:13874985:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.2 pep chromosome:ALNU02000000:5:13870379:13874985:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.3 pep chromosome:ALNU02000000:5:13870379:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.4 pep chromosome:ALNU02000000:5:13870379:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.5 pep chromosome:ALNU02000000:5:13870379:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTVNNNTLLLLFSFLLFFLRGHLPVVVSIAYIKTFISCLFGGLNNPPVQTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.6 pep chromosome:ALNU02000000:5:13870379:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTVNNNTLLLLFSFLLFFLRGHLPVVVSIAYIKTFISCLFGGLNNPPVQTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.7 pep chromosome:ALNU02000000:5:13870747:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARSAWSQCNTIGTILGQGHCGSCWAFGAVECLQDRFCIHFNMNISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11700.8 pep chromosome:ALNU02000000:5:13870747:13876735:1 gene:OGLUM05G11700 transcript:OGLUM05G11700.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPLLLLLLILVAAGPQAGRAAKPIPNLQLMTKEGGSSRIIQDDIIKAINKHPNAGWTAARNPYFANYTTAQFKHILGVKPTPHSVLNDVPVKTYPRSLMLPKEFDARVTVARVGHLVLWSVSRINISLSVNDLVACCGFMCGDGCDGGYPIMAWRYFVRNGVVTDECDPYFDQVGCKHPGCEPAYPTPVCEKKCKVQNQVWLEKKHFSVNAYRVNSDPHDIMAEVYQNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTTDAGEDYWLLANQWNRGWGDDGYFKIIRGTNECGIEEDVVAGMPSTKNMVRNYDSAFGVGTAIV >OGLUM05G11710.1 pep chromosome:ALNU02000000:5:13875501:13877392:-1 gene:OGLUM05G11710 transcript:OGLUM05G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLSNRVDRLDLAAGDHIYSWRTAYLYAHHGIYIGDAMVIHFTRAAGHEIGTGTFLDMFLFSSSPATEGPPCEKCGHLIKQQGVIMSCLDCFLDGGNPYLFDYAVSPAFFLAKARGGTCTLAASDPADIVIHRAQHLLNSGFGTYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAGGLAVVTSGMYCVGRYVSDIGVRRDVVKVPVERLVEHWPPRDVAVAPPPQESHQECQGNDVAPQECHGDGDGGCVSESLS >OGLUM05G11720.1 pep chromosome:ALNU02000000:5:13897075:13904527:1 gene:OGLUM05G11720 transcript:OGLUM05G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIRFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM05G11730.1 pep chromosome:ALNU02000000:5:13906971:13923476:1 gene:OGLUM05G11730 transcript:OGLUM05G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX76] MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKEXEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMRMERGKLDKGGYSSISGPRVIEKTFNDMSITGSGFGSGSGLGGLGMDMDSFASKPKGVKRDGGVNNFDVQGTLALQVLNDADGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGSKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVTANYQVV >OGLUM05G11740.1 pep chromosome:ALNU02000000:5:13931795:13934676:1 gene:OGLUM05G11740 transcript:OGLUM05G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZX77] MSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVTFFLNL >OGLUM05G11750.1 pep chromosome:ALNU02000000:5:13977890:13979896:1 gene:OGLUM05G11750 transcript:OGLUM05G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVHPNVAVVAPAVVEEEKGEAVSLTVWRRSLLFNGKGFTVFDGKGNLVFRVESYAGGSPREVVLMDADGRALLTIRRKKLSFADEWLIYDGDAASPAAPAPKRFTARRHVSLRPTKSLAHLSPARASSSAAAGGGSATAPSGACRYDVEGSYAARCLDVFASACAGEQRRRVAAVCSKEAAVGPDVFRLVVEPGFEPALAMAVVILLDQMHAS >OGLUM05G11760.1 pep chromosome:ALNU02000000:5:13990014:13991039:-1 gene:OGLUM05G11760 transcript:OGLUM05G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRGDKGKVVVVMGATATGKSKLAIDLALRFGGEVINSDKIQVHDGLDVVTNKVTDEERAGVPHHLIGGVPPDADYGVDDFCRDAARAVASVLARGRVPVIAGGSNRYLEALLDGEGGSFRERHELCFLWVDSRAPALHRYVRHRVDRMVEQGLVGEVRGLFRLDDADYSRGIRRSIGVPEMDAYLRQEATGALLTHGDKYKVALLASAVGEIKANTWSLARRQLRKIHRLRGLPGWSLRRLDVTRVLELKVEARSEAECAAAWEADVIAPAAREVGMFLHGGGNVVESGREEQPVVVEKMEVAAVGGAGAAAAAEKWCGRRLLETTAAYHGMEAAAAV >OGLUM05G11770.1 pep chromosome:ALNU02000000:5:14011556:14024773:-1 gene:OGLUM05G11770 transcript:OGLUM05G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGRAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRMDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSILKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKPVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVLTTRQRNEVKWY >OGLUM05G11770.2 pep chromosome:ALNU02000000:5:14012360:14024773:-1 gene:OGLUM05G11770 transcript:OGLUM05G11770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAAAAELERRVMAAVKASAARGDPPLLQAAEAARCAREASSSISVDGRAGAALAAALVSNLCFAHNTGAMWKVLDQAMASRLVSPLLALALLTPRVVPNRQAQPEAYRLYLELLGQYTVAPVCTESVETKAMLVKSIDDALHLSDSYGFQRMDFGHTVILFVLSVIKILTDCILEDCGLPTIDGDGHDISYAIGAEKSMNIDGKGSSFDRKDEHRECLRRKNTIMTLEVVEKITANKNTQVFLRLVYRNTPENFNILLQRLQLIGALKSKNFGTAHNLLGSLMMNIRKVVTTGHQLHKGNLLGSIVSTKPCSSAARSVFGAGKSSCWIPFDMFMENTMDGRHLDTISSIEALTELAKTLQVLNRATWQETFQALWISALRLIQRMRKLECSFNDRGIPEGPFPHLHSRLCMLLAIVPLSIASILKEESDKIEGGMVSVRRGELLSSLQVLGQFFGLLSPPPAVVQSANIAARKALVALSILKDRNERGHNYSKDISSIKAAGNMLHLIVEACIARNLVDTSVYFWPSYVVPVKDASAVEESPWSALMEGSPLMGLKDALMVTPASSLAELEKLQPFAVSGSDEEKLAASKILCGASLLRGWNIQEHVIQMVLKLLSTLLPLDSGSDGFYIHHMPMLHALISGISSIDVVHILSMYGLVPELAAILMPLCEIFGSLPSSDHRNCSFEEASVYSVFSCAFLCLLRLWKFHRPPVEYALSKHGVFVCSEISLDFLLLLRNSHFALNSPYDVSRKSIFQLDPSFQKPVYIDSFPKLRAWYFQNQACIASTLSSSYKRKSILQVANKILKIVCHKMSKSGIPPVSSQSTSSSSMSGSSLGTQDDVSQGPPATAWEVLEAVPFVLETVLAACAHGRLSSRDLITGLRNLADFLPASVAVIVSYFSAEITRGLWKPVMLNGTDWPSPAATLLAVESDIEEALASAGVHINISPRTRPPIPMLPLPIATLISLSITVKMEEFNHLQGIIDKGVEICATSSSWPSMAIIGAFWAQKVRRWHDYIIQSCSETPFTRDKNAVAQLIRSCFSSFLGPLVDGRSCFVADRGVNRLLGQAHQERSPRFSLSPGLLYTRCCRMFPDNYFVCEEILKVVIERARALANECDSSRPHLLKSGRMTLSSATCSVEQIASLAASMLCHAGGMKLVRLLYEQILPTMLLSAGEARLGSAGPVCSSFEGFALAYVLLVSGASTWGVGETSPVYTSVYTSKRQRVVDRHLEFVANVMQGNIELGCGQVTWRTYVICFVGLLVDFAPTWIPEVKLETLKKLASGLWKWHECDLALSLLERGGPKAISTVVEYIL >OGLUM05G11780.1 pep chromosome:ALNU02000000:5:14048628:14049054:1 gene:OGLUM05G11780 transcript:OGLUM05G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPSSPLALFLVAALYQHLEAVSLASSPAAIGGSFRGATKRQNEEHVIVIPFLYFSRGHRSSAGGESERKTFSGGGACAPTTILTVAWRGPTRTWRWMDIGGGGKNLRDDGDSSNGSKI >OGLUM05G11790.1 pep chromosome:ALNU02000000:5:14049088:14049423:1 gene:OGLUM05G11790 transcript:OGLUM05G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYARGIGQWLTRGGGINDGIRQSELEKMMLISVHDASFSTVLEGGVVARLDLVQNDGNGDEITTTSRRARPKAGDDVVAFPLICCTIEDQIKRRNLRNGE >OGLUM05G11800.1 pep chromosome:ALNU02000000:5:14049427:14050453:1 gene:OGLUM05G11800 transcript:OGLUM05G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERDNGERGLTTIGNASFPAADDGVEDEEDMMELSLSVSLAQGWRAAREEAPATCAGCCTSRSSPSSGHRHPPLHHARLADTSVSPPCMSSCAPTVGPSPHAATRLHVRLRCRLHECATGARASPPPPPAARATSSSALGASERKGKVGNAAREGSRARRVEERGERKKSGL >OGLUM05G11810.1 pep chromosome:ALNU02000000:5:14073819:14074440:1 gene:OGLUM05G11810 transcript:OGLUM05G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASSSSSSATLCKLPPPPPPISVTALLLAAVVAAAVLAVAAARPRPADATVTALRLASLSVSPGGSVNATLDAVLAIRNPSPVAAFAHDAGRAEVYYRGALAADADVPPWRVAPRGSEALAVRLTVLADRLAGRAPELYGDVVGDAGDVPLTVRTTVPGTVTVLGVFRRHAVVITACDVALSVRRPGAHSSSCRVLTKL >OGLUM05G11820.1 pep chromosome:ALNU02000000:5:14075072:14077725:-1 gene:OGLUM05G11820 transcript:OGLUM05G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon family protein [Source:Projected from Arabidopsis thaliana (AT3G10260) TAIR;Acc:AT3G10260] MSEGEEHGSLLEKINDKIHEFKKGSSSSSSSDSDDDKKHHHKSKSKKKRLFGRTNPLHHVLGGGKAADLVLWRDKQTSGSILAGVTVIWLLFEGIGYHLLTFFCHSLIVFLTVCFVWANAASFINRGPPKFPDAILSEVQCLKIAHILRKEINEAFLTLRHVASGKDLKTYLMGKCLHAVFLMAYTLPMLYEKYEDEVDVVGEKALIELKKQYAVLDHKLLSKIPMLAEKKQH >OGLUM05G11830.1 pep chromosome:ALNU02000000:5:14079524:14080120:-1 gene:OGLUM05G11830 transcript:OGLUM05G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPPPSSVLTAGAAAARPPASVVQPQRQAAHRRRAETLRLRRVFEMFDRDGDGVITPAELSGALCRLGARGEAPPAAAALDAVVAAYIAPGMAGLRFAEFEALHAELAGLGGRQAAAAAAEAEEEKEADMREAFGVFDEDGDGYISAAELQAVLSRMGLPEAACMARVRDMIAAADRDSDGRVDYEEFKAMMAAGN >OGLUM05G11840.1 pep chromosome:ALNU02000000:5:14095430:14097707:1 gene:OGLUM05G11840 transcript:OGLUM05G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGNDNTLQFLRGVGVSCVQEVVLWCISQQFNKDDITAYEISCFLHPVSDIQLFILSIFRQELSIHLSALHCQMADMGARQQVMMRTGTQAKLHVSDLRVSAYYCNGQYRWNVMKRLSGKKRTSNGQSPLIPHTLGEAGRLAACKNCVPSEAAPVRADATADVQTGCRTMLGLIVTGIAGGALAQAALAEAAKPIKLGPPPPPSGEGEPLPPVGGGGECEGGEVAAGASGWRRTMSRGRRRVPVRRRARAAAEEPRPAASGRGWRAGAEAAAGGGRAGGGGRGGGRGAEDSSRDGYERRAGEERTPTGGRWWARQAALLRSRRCEAPTNPGCQ >OGLUM05G11850.1 pep chromosome:ALNU02000000:5:14130303:14136548:1 gene:OGLUM05G11850 transcript:OGLUM05G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G56460) TAIR;Acc:AT3G56460] MDALVVRRLGDPTLAPGGEASPFAPVTGDHSAPALASPTSVRVRVAATSLNFATYLQVQGKYQERPALPFVPGSDYAGFVDAVGPAVRRFRPGDRVCGLAAVGSFADLIVADEKQLFSVPDGCDLVAAGALPVAFGTSHLALVHRAQLKAGQVLLVLGAAGGVGASAVQIGKVCGAVVIAVARGTEKLQYLKTIGADHVIDSSKESIMESAKSFLKAKGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILIIGFASGDVPIIRANIALVKNWTIHGLYWGSYFTHRPPVLIDSLNELFSWLSKGLIKIQISHTYRLPEAHLAFAALRDRKAVGKVMIVMGSPAKSRL >OGLUM05G11860.1 pep chromosome:ALNU02000000:5:14136888:14138847:1 gene:OGLUM05G11860 transcript:OGLUM05G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRISSSPATASASSSYGPTSPPSSCRNAAPSPPSSNSTPTSSTTPTVPPSLTTTSSPSSSPSSPPPLPPLQPTPPPLPPTTLSCSSHPTPPPPPSPTTSPSASSLPPVPTPRSASSSKCSAPTSAQTPTRFLSSSSPPPDAPRHLSPVAHALLEKIDLNSHDHTVHSLITIYSYLDDPGAARKVFNGIPSRDVVSWNAMMKAYGRVGMNGEVGRMLRDMVKDGAVVPNAVTLAVVLAACRDEGDLVLGRWVEEWSKSAGMETDSLVGSALVGMYEKCGEIAEARRVFDSIIDKDVVAWNAMITGYAQNGMSNEAISLFHNMKKAGVCPDKITLAGVLSACSAVGALELGSELDGYASCRGLYNNVYVGTALVDMYAKCGDLDKAIEVFRKMRCKNVASWNALICGLAFNGQGDEAIQHFELMRNEDGLKPDDITFIGVLSACVHAGLVKDGKRWFNSLTSEFQIIPKIEHYSCMVDLLARSGHLEEVWDFIEKIPDKVDAVMLGALLAACRKCKNVEIGERVINRIIQLEPTNSWNYVVSSKIYASSGRLDDSAKMRGLMRERGVNKTPGCSWVEISGKVLEFYAGDEPQHGADDMYQVLDLLVDEMRLEGYVPNLDVV >OGLUM05G11870.1 pep chromosome:ALNU02000000:5:14140448:14142172:-1 gene:OGLUM05G11870 transcript:OGLUM05G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHAKGAHGGAAAAGEQHPDHPSRGKKSGRFLRRQETPPEAATAIDGDVSPLEKRRKTTAASPIVEVEVEVNEPTMPKAQRDRLYGLLASLSADTPLPPHIVGLMRSQCCCVVDPNGEEMDVDLSSAKDAALFQLLNLLVEFAQQQTTKIIEEQEPPKIEASDATSSSSICDLLEDGEIADEGAAMGMDICGGVSPLIVDSAQLLPLPKQQEDDELIDIYGGVSPVSVNNFPDSPRSSSSRSDSSSSSSSSNGSGSSSSSSSGASSGSSSCAGSSSSSSGSDTDADADSASNRPDTTTDHPTEAEVKPMVEHEVMEQDKKLITERAAASPASQLCITDMGIDICGGVSPLVVDKAQFSPLPKQHDELIDICGGIDSPVSVSKFPETPRSSSSDSSSSSSCSGSSSSSERNDSASSRPDTTADHPTEAEVKPMEEQKLIIEKAASPHTEMQELITERVASPHTEMQELITERAASPRTEMQELIARAQERQKLQRELERKAARELERKAAREQLQEMKRTAQPVFDIIDPRDMKQLGISGEAQYIVSPVKSRDSLRRRGGGLLQRLGFFLKAEF >OGLUM05G11880.1 pep chromosome:ALNU02000000:5:14151994:14153760:-1 gene:OGLUM05G11880 transcript:OGLUM05G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MSFCFVQLLSCSLYWCQLCGASEGNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKMAITRCGNVYSANLSDYEDAEFSSFGGRKNTRFMDGSKKKSGMEVGHKGIECHLVTAMKSQCLCQILWWIGSIYFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >OGLUM05G11880.2 pep chromosome:ALNU02000000:5:14151994:14156373:-1 gene:OGLUM05G11880 transcript:OGLUM05G11880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MSLRRLARSPSSRSLCAPRSFSFSASASPPPRAPVPASPSYLAHHLLDEFSRPRATRDAARLRRLAAELTAPAAESVLLRLPSWRHALDFFRWAADQPGFRHSCYSLNAMASLLPRHQRAHLDRLAADAISARCLMTPGALGFLLRCLGAAGLPDTAVRAFDAARASFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFQRMSELGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIVRAVKLFEEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLYNVVLEELVHRGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHICEDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDQLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKDPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISYKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVYEEKQPTVITYTSLIDGFCSAGRPDEAIKLWCEMREKGCAPNNIAYTAFINGLRKCGRIETALTYFEEMVTKGFELDTFSLLYLINFLISNGHPMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKCNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKMAITRCGCRVQFLWRKKEYKIHGWKQEKEWYGSGPQGNRMPFGDSHEEPMPLPNPMVDWWDSACPPEFVGSIYFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >OGLUM05G11880.3 pep chromosome:ALNU02000000:5:14151994:14153760:-1 gene:OGLUM05G11880 transcript:OGLUM05G11880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MSFCFVQLLSCSLYWCQLCGASEGNLLQILEGLEARGNWRWALSVTEWDFLQELSENDEKIKSLVSMGFPEDEAKMAITRCGMPLEFAAFTGRDLILPTLGCRVQFLWRKKEYKIHGWKQEKEWYGSGPQGNRMPFGDSHEEPMPLPNPMVDWWDSACPPEFVGSIYFCAAARKMDYIHNLPIENRSPVLPLPPNTISEAFLPTNMWWPSRSKKTVQLLAILRGKREAYRTLCSC >OGLUM05G11880.4 pep chromosome:ALNU02000000:5:14153863:14157504:-1 gene:OGLUM05G11880 transcript:OGLUM05G11880.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MERESGTADGVKKSDGEVEGEMCQQWGWGRGEGERRWRCGGGGAVSTVGRFTKIYSHFKALHKYILPPYHRAGVDKSSYSRTIYATWYPVGGVRGNFAGGFFPRINRPRGGRFIVGKEPLHNCYGDPLPAINHPREERFIAGRRLSGKLPLHAPLLRLVGPGPVGPACLEFSLPFRIPATSLPSTPPCAASAPSSMSLRRLARSPSSRSLCAPRSFSFSASASPPPRAPVPASPSYLAHHLLDEFSRPRATRDAARLRRLAAELTAPAAESVLLRLPSWRHALDFFRWAADQPGFRHSCYSLNAMASLLPRHQRAHLDRLAADAISARCLMTPGALGFLLRCLGAAGLPDTAVRAFDAARASFGCTPNSYTYNCLLDALAKAGRADDAQARLREMVARCGDGSVDKYTLTSLLRCYCNAGRPDDANDVFQRMSELGWVDEHVLTTLMVAFSKWGKVDGAVELLGSMEALGMRLSEKTLSVLVHGFTKQGRVDKAMDMFAKMVSYGFVVDLAMYSVLIEGLCQQKDIVRAVKLFEEMKSSGVAPDVRLLKKVIEAFCREGDFAVIGPFINENAEYLKSGSVVPLYNVVLEELVHRGEVEAAYQLLRSMVCGGQAVNNDVAGGAHMLHICEDAKPNSDSFNIVVCGLCKVKKLDMALALTKDMISLGCKGKILMFNDLIHELCNMDQLEEGYGIFNQMKDLGLTPSEFTYNSLFYGICRRKDPKAALDLLREMQTNGHPPWIKNCTEMVQQLCFSGRVTEAVQFLDGMLQIGFLPDIVTYSAAMNGMCNTGEVDDALHLFRDISYKYYLPDVVAHNILINGFRKSSKLDEAQKIMEEMLEKGLFPSVVTYNLMIDVCCKTGRIEKAISYLDKMVYEEKQPTVITYTSLIDGFCSAGRPDEAIKLWCEMREKGCAPNNIAYTAFINGLRKCGRIETALTYFEEMVTKGFELDTFSLLYLINFLISNGHPMKGCELLKEVLQKDTYGNNLKMVGLINEAVVELSKDGITSSDILKFVDKCLVSSSQTLDNKDGDK >OGLUM05G11880.5 pep chromosome:ALNU02000000:5:14156747:14157504:-1 gene:OGLUM05G11880 transcript:OGLUM05G11880.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08310) TAIR;Acc:AT5G08310] MERESGTADGVKKSDGEVEGEMCQQWGWGRGEGERRWRCGGGGAVSTVGRFTKIYSHFKALHKYILPPYHRAGVDKSSYSRTIYATWYPVGGVRGNFAGGFFPRINRPRGGRFIVGKEPLHNCYGASHTWLNVAPW >OGLUM05G11890.1 pep chromosome:ALNU02000000:5:14166256:14168381:-1 gene:OGLUM05G11890 transcript:OGLUM05G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEATQQGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >OGLUM05G11900.1 pep chromosome:ALNU02000000:5:14175245:14180190:-1 gene:OGLUM05G11900 transcript:OGLUM05G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGSGCAGRESELSVKRRQWWRCTGRPGGVSDREWGRLLMQPRAEVVLARETGSSADGVVDGEVAATELVESMSRALPMVKADAVRMGRIFCPCSVAKGDRDPAGRLDCGGAEGAAQKELTVGVTRMLEEEPERSLAVVDPDAGVVDAEAGMLYLPADRTTQKITKEGSCRVWAWVGVEFFSLLRTTIFGCWGRMEAVEVGWWCRRGGPAMQVTVVAALTHGGELKWLDQLDAHKISTSGQRGKIISPSSAFSKMERKMAKREKMAK >OGLUM05G11910.1 pep chromosome:ALNU02000000:5:14190338:14193488:1 gene:OGLUM05G11910 transcript:OGLUM05G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNIRANKPGTQVCSQVAYWKFIQTLDKEQLQARDDLGFGGLTKMNGVQIRRLFCKQIARQYDEQTRAFNINGTMLEITIEDVDHILGVPSEGAELVEVPQAIQADVDAPKDKDENKALQATKAAFLTLNHLKKSIVSFQHDKVNLKGNQILLLLWYWEKFRVSHIDPFKDYIGRIVNDIKIPIEATKEYTTEDHSGTYANQPSNMQAKKKKDTSNSPIAPRVRTTGRVLTSTVQLNTNFVYPENPKNKQKATPAPPPPPPPPQYIPKLCLAQMLKNFPNTTFYICDYVINWVVIAEFTCTEEDEFLIDYINTSPHDRVMVRMEGLLLTRKQLQPLTNRFLPDGEARYVIDEIIDTYIMHLEHKYLEESQALRRVYMMKTFITGKISIDCVHEISKRQLEKGYISRITNQIAQNEQVKLEISKRQLEKGYISRITNQIAQNEQVFMWSLHAEVHGTLEWMKVNHKIEADILRGKLAAILVGSALNDNIKIPTYKK >OGLUM05G11920.1 pep chromosome:ALNU02000000:5:14199975:14200208:1 gene:OGLUM05G11920 transcript:OGLUM05G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQLDSFDGLATGILATLVARRLGLRSTGFDGNSGAIHGGAPGLLRTAMARSAFPNIHCMKSTELKGDGTSTERR >OGLUM05G11930.1 pep chromosome:ALNU02000000:5:14201620:14203089:-1 gene:OGLUM05G11930 transcript:OGLUM05G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRREKMRKGGCGVRVIVPGSGGVQVIVPGGGGVHPGGDWRRTTKSRRNRSRFSARPEIKVAALEEEHGSEEEEEHGGRRGGEKHGGSTEEDVKCEKIGSVRLFLPSIAREGVYSIIAHGFQIGLAHLIVRPQRRAAAHLLLLPLVAARQCRSPPPSSSSRRHSRRLLPTPNYRSRIRATEVANAPEGIHKEGVEKTTGVGQGGTRLYATVDLGKARLGRSRPHFLPSS >OGLUM05G11940.1 pep chromosome:ALNU02000000:5:14206402:14218517:-1 gene:OGLUM05G11940 transcript:OGLUM05G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67570) TAIR;Acc:AT5G67570] MAIPSCPLPRLHLSLQLHNPSAIPSPRRRPRPAPTSETLRRRLLRKGVSPTPKILHALRKKEALKSLRRARKDTAAAAAAAEAASNALPREEDGAAVVGEDDEARFCAAVAEYRALMGRPWHGGASAPPRGALQGEGEGLDGLREMLAARRAGKFEWLLEDDDVEEGEEEAAVGRRGRAGVGWNSDFRDEERRIESLVRRLNEDDLSMRDWRLTRLMKKADLIYNEDNLLQILEGLEARGNWRQALSVTEWVYNENIYKHRKSRFVYTKLLSILGKAWRPTEALRVFTIMRSDAQIYPDMAAYHSIAVTLGRAGLLNELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLIYNSVLNACVLSQQWKGVFWVFQQMRRNSLIPTGATFGLAMEVMLKAKKYDFVQKFFQKMQKSGVPPRAITYKVLVRAYWEQGKVNEAVEAVKDMEQRGIVGAASVYYELACCLCNKGRWRDALSQVEKLKQLPLTKPLEFTFTGMILASFDGGYISECISIFESMEGHCAPNIGTINVMIKVYGRCDMFVKARDLFETIKVNLPSSNHSSHKADAYTYSSMLEAAASAQQWEYFENVYREMTLSQYHLDQSKYSWMLIKASKAGKSYLLEHALDSILERGETPNVQLFSEMICQTIAQRNYAKTLHLINIMAEASTDVSELQWSKLLEQNMHRFSVNALKDLLKYLSTSDIIKSDPELCFVSALQSQCGTTFVNDTSFVADGTYTGQSQLSLPENITKSSNSNLDQLSCMNSLNTNVFPDEKVSSEFSDYIMNTPQSDANAGLSEDIVIGSHFESEQKEQHDLGWLGTGVSAVDEVLDSMNLYGDGSCGEMPSASEILELWEQDRINGTFSAKTAEPPCEDR >OGLUM05G11950.1 pep chromosome:ALNU02000000:5:14221816:14226057:1 gene:OGLUM05G11950 transcript:OGLUM05G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKPQPPQTPPSLDHHHHHHTPSVGPSSPPQDSLAAQAMRASAAHRDASSLSSAYSSSSASAAAAAAAARRGHHEPSVSTPSPGSSGYEYTSMKNLNEAKYGFWGALARKAKSFLDEDGSPGQYDSPARQQPSRDAPPVGVQYTRSQQPPSETWKSETPPSHKRSEAIASSLNYIGGTIKSALEEGRTIVENKTADIIHETRKLNIRRKGAGSTTQGEAPQRFTQRNLPQNPLDYETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEDENKILRESHDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPPAPSRAGSGLGRSVTPATPKTASSSPSSTSIVVPETCPVVPASPKSLSRTSSKQ >OGLUM05G11960.1 pep chromosome:ALNU02000000:5:14238810:14239871:-1 gene:OGLUM05G11960 transcript:OGLUM05G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPASSTATTACRAQVHRVARHRLLGLEVVSEEGVSRRCHTGRGLLIMSLPCSVIAARWISWSPHNPGRRYFTCYNAHICFQGIDSFTSLFFVKSGGCGFWEWCDDEAEPYMKQLLLDLRNAQVNGLEAALRDRTVAQHNLQSTPKKESNEVQGLRAVVEKMEAANCVLVDRINKQQTSVLLESVIANWNECKIVVLFEMTVKNQLEIMETPKVMINNDIYCHIWNPLYLITSASKQEWWQ >OGLUM05G11970.1 pep chromosome:ALNU02000000:5:14262052:14263077:1 gene:OGLUM05G11970 transcript:OGLUM05G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCEAESPTADVVDRPLLFVQVTRLACGGFVFGMHICHCMADGSGMVQFLTAVTEFARGVPGAPTVPPVWEREALTTRSWPPTVTRDHVEYAPLPVDDDEDDVLLSLSPSTNAYAHHVFFFGDREIAALRSQVVAACSRFDLVGAFMWRCRTAALRHGRGDVVRLNMFVNARVRNRPVPRGYYGNAIVFASASAPAGELCGRPLGHALRLLVEAKARAWEDGYVQSVASFNAARRRPAFPKGARTYLISDMTRAGMTDIDFGWGKPVYGGPATTMLATFHLQGRNEAGEAGIVVPISLPSPVMERLIQEVDKGLNAGAAAVLDDAKARVVPDEGYVLAKL >OGLUM05G11980.1 pep chromosome:ALNU02000000:5:14265603:14266619:1 gene:OGLUM05G11980 transcript:OGLUM05G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHNYAYSPEKAKPVPKERRERRRSQWRSRLLFVTFVEWIDKEMNEFHTSLIRHWREWKEEPKKRQCECAAIQKAERERELAIENWEKEEGDVDWVRKVAREQRAKEAGTEVARKGEYPRAPNSAMEVLTQNSIP >OGLUM05G11990.1 pep chromosome:ALNU02000000:5:14273400:14276021:1 gene:OGLUM05G11990 transcript:OGLUM05G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPTSLGFSVRRRERELVAPARPTPYEFKMLSDIDDQDILRFNRSGISFYRHNPNQDGVDPVTVIRAALSEALVHFYPLAGRLRELRPTRKLVVECTGEGVVFVEADASFRMDDLGDGTSTRTVAPPAKTLFGRLR >OGLUM05G12000.1 pep chromosome:ALNU02000000:5:14278179:14283315:-1 gene:OGLUM05G12000 transcript:OGLUM05G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01960) TAIR;Acc:AT5G01960] MEPPAGGSSDHRRGAGAGAGAGGAAAPSGLRRYGFRFSASSLLQAPLAALLEYSGVVPSGPGAPQAGPPSALSSSPSSSSSSSEADGLLSAAAAGDGEVSIRIQGGPGEADAAGVAGAASSGEDSIEATAAGDVDPASAAGGRGGGADAEASGGGADGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKIAVLVGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKEIPPFWHAIFIIMVNDTMVRQAAMAIKCMLLMYYKNSRGRNYRKQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVIAAGDMCAICQEKMHVPVLLRCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >OGLUM05G12010.1 pep chromosome:ALNU02000000:5:14287911:14294579:-1 gene:OGLUM05G12010 transcript:OGLUM05G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDTRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQVATGGVNCRRRERAVAVASESRCAVQPSPLQRPLSLRRCVERIFFLATREAEYFPAYDEGKTPGWAGIKSRLGLTERLYLRGPPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >OGLUM05G12010.2 pep chromosome:ALNU02000000:5:14287911:14294579:-1 gene:OGLUM05G12010 transcript:OGLUM05G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT3G08650) TAIR;Acc:AT3G08650] MDTRVGVVLFFLLFVLVRDVSAVAETEVGVVRVVQEAPDRKLEGAGGQDGFKSGKVPVSTVAWSTLAMAAATGLGALPFFFLELEAQWAGLCNGLAAGVMLAASFDLVQEGQMYGSGSWVVFGILSGGFFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMLLSSRGVSPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEAAPSQVASAGTLAVAFMETLGTVLQGFTDGHNSEDTAGFLVSLVFGLGPLFGGIVLVAFSLTFSMPHPLLTGVASGIAFRLAAWRPLQLLMSSKMGLFTTLFLLIGGSLIYHVATSNILQLVNRKKSSVNVITSSSGLSLSVLTLQSLLACGSVFLHAYAEGLQLGVAARKAYGLGRYMVLPVSLHGLPRGAAVASCIYGATDSWRAALAAAALTGFAGPSAAISAILAKIDYSGLDYWMVIACGALIPSFGRVFKRSLRLDMRKSICGLLIGFAFASVCLMSTRFICLHTPYCNSAPEAVT >OGLUM05G12020.1 pep chromosome:ALNU02000000:5:14302317:14306732:1 gene:OGLUM05G12020 transcript:OGLUM05G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ-related [Source:Projected from Arabidopsis thaliana (AT2G38000) TAIR;Acc:AT2G38000] MAQDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSIEDCNVYVGTLETFIEERDTVTNKEPYDGGKIDGSDKGPVLAVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCLDCESRGEIPCPICNAGQEHGFYKANQMTRCSACHGRGLLAHQDGSDTVCGMCSGKGMLPCIACGSRGLVTCKTCSGYGSLLAKSTAHVRWKTLSARKVSATRGAASVPDEVFHRAQGIQLCNIQAYQCTPAFFADSYQLNQFSSEVVASRLPVPPSARVISERHIISVVPVIRVTMAHRKQCFSFYVVGYNRDVFIRDYPSKFCWGLCCCFEWLRN >OGLUM05G12030.1 pep chromosome:ALNU02000000:5:14307190:14309224:1 gene:OGLUM05G12030 transcript:OGLUM05G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKKPPSSSPSTSAVAKLLLRWRGRSKAAKDESIEFFSELRSGQPDRRGAASDHAGGGGAPDGRGKAKSAAPAAAGGDAGGGGKLLSTGTEKHDYDWLLTPPASPLWSPATSAAAGHHVSAAPPPSRLERASSAPYAKGNSRLPLTRRENGPPASRLSRSSSATSQLSTVAHAPGTVFSGRRTLSSASVSSINTASSTSVGSTPRGSSASTSPRTPATARGAPAGAARPRHRDRTQALHVFGAAAAAGQPSASSLVSRSRPSLTAPSSGALQRATPGAAGTSSPRSTAPACQQPAATRRGANSVARSGSTPRAASPSPRARDVSIAAGASRVAPPAMSSSKPRQAPASGKQSNGNGMAAASTAAQRWRSAGRNARREEAVTHESSRNSDSRRKIDVANTSAAARRTADLSSPRGASGGSPTSGGGGRNKSTDTDAKRSLWQGAAARHLMAAARRDATPITRRSGGLSSVASRSRLGITPAASSGDISATPTGRRSTQAKGRPAADAAAAAAAASPRVAAGDAFPSSRYDAMLLREDPRNLTWLHGCDDDEEIDGGDLVEASLESFDVPAGLSSTGLHGGRTLNFGANL >OGLUM05G12040.1 pep chromosome:ALNU02000000:5:14350547:14351284:1 gene:OGLUM05G12040 transcript:OGLUM05G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHDELDGGELKQSFDSAHRLLFNLYLTYWPLLLHCHLLLFTRPVTKTRAASSMDVVAKLDLDTEGWESGEEVDLELTGTLRRRRLVKARGHQCMEEALGEAEFELANTDFMAKRCSLLEVGPGDRCGRWWRSLPLKASKKRGRGGPVDERKDRERDVP >OGLUM05G12050.1 pep chromosome:ALNU02000000:5:14368529:14368927:-1 gene:OGLUM05G12050 transcript:OGLUM05G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGATVIAAAAAAATLAPRRRHDEEDRQRQRRLQRGDEGWQTIGSGCVSSGGSWARRQRRDEEDHRQHDGYRGARRRGQEDHRRRRPRRHAVGAAAEAINHGGSATAVRSGNRGGLGDGGERERRRERRRG >OGLUM05G12060.1 pep chromosome:ALNU02000000:5:14372116:14373068:1 gene:OGLUM05G12060 transcript:OGLUM05G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPADSLAAGRSRRVGASMPRPKRVRVYFVDADATDTDSSGDERSRPRVREIRDPSLQKRLWLGTFDTAEEAAAVYDDAALRIKGSHAVTNFPSDSDTPSAKAKQMKLHPRRHTTPDKTTPSSSTAAAAKASVPDPKPAPPEEDRANDSSFNPFASPTSVLRYAAADEVTAPSFEHLLVELGDLSAPPPSTKAAEFDWLPWWEGEDFVTAGLTASNAVSVK >OGLUM05G12070.1 pep chromosome:ALNU02000000:5:14376643:14377885:-1 gene:OGLUM05G12070 transcript:OGLUM05G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGITTSPLSSGDSFPLSFINNTTKETRSETVRVDIKTAGCGLRGQRSWIRVVTMDLPNVVTSVADLHEVVEGAVEYGLRRKKVFLGEKEVVQAPDRKPSEKVQERVHLVLLLRGLPPSPSATAKLADCAGVGIGEVGASPSTRCAANTWDPITVTKEGTLIRANNSDRRRRGGREGARSSWRRRGSSIVTEAGRELVVLNKQRPDPTTLRLDPVVKGSLPSCSHHVPSPPVADDAVVDGER >OGLUM05G12080.1 pep chromosome:ALNU02000000:5:14393475:14410508:1 gene:OGLUM05G12080 transcript:OGLUM05G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFASGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNESVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >OGLUM05G12080.2 pep chromosome:ALNU02000000:5:14393410:14410508:1 gene:OGLUM05G12080 transcript:OGLUM05G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT2G04350) TAIR;Acc:AT2G04350] MGENTGDNPNMSILQRIATSDVPLVKEYGLPGIIGAILLAVVIPIMLSSIFNKKGKKRAVQADVGGEAGLAMRNSRFSMLVEVPWEGATTMAALFEMASKKYPRHRCLGTRKLINREFVESPDGRKFEKLHLGEYEWDTYAEAFSRACNFASGLIKLGHQRDSRAAIFADTRTEWIIAAQGCFRQNLTVVTIYASLGEDALVHSLNESVKHVIYIEDEPVEAEVLNQMKHWTTFSFGEVEELGKTSHTDARLPSSTDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIIPKLGTGDVYLAYLPLAHVFELAAETVMLASGAAIGYGSALTMTDTSNKIKKGTKGDVSALKPTLMISVPAILDRIRDAVFKKVGEKGGLTKKLFDIAYKRNLGAIEGSWFGSWAPERMIWDNLIFKPIRSMLGGRIRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYKISDSPMPRGEVVVGGYSITKGYFNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVESALTTSNYVDSIMVYADPFHNYCVALVVPAHQALEQWAQNSGINYKNFDELCHNDQAIKEVQQSLSKAAKAARLEKFEIPAKIVLLPEPWTPESGLVTAALKLKREQIKTKFKDDLGKLYH >OGLUM05G12090.1 pep chromosome:ALNU02000000:5:14407477:14409994:-1 gene:OGLUM05G12090 transcript:OGLUM05G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDDRVSAEITTDQENHLKDALIQVFAATSEHQEGEGEGAEVVQDEEIGEGVEGVRDEEIGDINSKPDKPYVGMEFRDKDEAKNYYDDYARKWGFITKISSCRRSQITKQYNRYEFACHSERSSRESGASAGSRSRRSSRVLKTGCKARMVVVKRDEKWVVTIVDLDHNHPPLNPSALMSLKPHRLIKDEDHDLLEFLRTNKIPTQRIMSVLCDLYGSMQNIPLARKDSFNVEEFERRWAAVLDRYNLASNKYMQDLYEIREKWVPCYFMDCFFPFMSITQQSEQMPCWS >OGLUM05G12100.1 pep chromosome:ALNU02000000:5:14419886:14422256:1 gene:OGLUM05G12100 transcript:OGLUM05G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALLHPFLSLSLIFSPSQWMHREGVVEGEENRCSAGARGGEAIYAAAEAYCPTRRSCRPVPTGSLSWTRKALIPSPRHPFAIAAGRLATRRRCGTSVGHYGASTTISNAIEGGARRQHRRQVSFQGQLRRWRTARSSSSKTAFWNREAHVDGEVGGAEEDNMDDFDYWLKPATCWKIDWLVAIEGERKYGLVEFSYGSDMVSCDHNQSNPVYAVFSPSRWSFHASGVILSGFL >OGLUM05G12110.1 pep chromosome:ALNU02000000:5:14424424:14426820:1 gene:OGLUM05G12110 transcript:OGLUM05G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDINNRSWEGDTRSKFASAMNGIAASATYQDPSLPSLVPYMTSRIFISNYTYSFPISPGRIFVRLYFYPVAYGYYASEDAYFGVKTNNLILLDNFNASQTAQAANYAYILREFSLNVTLGSLDLTFFPSTRNGSYAFVNGIEIVPTPDIFTTRTPTHNTEGNLDPSDIDSMTSFQTMYRLNVGGQAIIPQGDSRFYRSWEDDSPYIYGAAFGVTFGKDSNVTITYPSTMPNYTAPADVYATARSMGPNWQINLNYNLTWILSVDAGFYYLLRFHFCEIQYPITKMNQRSFFIYINNQTVQDQMDVIRWSGGIGMATYADYLIVTVGSGQMDLWVALHPDLSSRPQYYDAILNGLEVFKLWDIGKKNLAGLNPPLPPQPKTDVNPKGVSGGGKLKAAVPAAICAVVVLITACFCVCIICRRKKVAKHSGKTDKKCLTYQTELYKSPSNLCRNFTFHEMQIATSSFDETLLLGRGGFGDVYSGEIDNGTTVAIKRSNPLSLQGVHEFQTEIETLSKVRHGHLVSLIGYCQEKNEMILVYEYMARGTLREHLYSTKRPPLPWKERLKICIGAARGLYYLHTGPKETIIHRDVKTANILLDDKWVAKVSDFGLSKVNPDIDATHVSTVVKGTFGYFDPEYFRLKQLTQRSDVFSFGVVLFEILCARPPVNTELPEEQVSLREWALSCKKIGTLGEIIDPYLQGEIAPDCLKKFADCAEQCVADRSIDRPEMGDVLQNLEVALKMQECAENNSKFSEETTSSKTTPDMMTIMDTDKQSTYSTMSITGQRTIFSDMMDPQAR >OGLUM05G12120.1 pep chromosome:ALNU02000000:5:14428179:14428915:1 gene:OGLUM05G12120 transcript:OGLUM05G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRYSSIICIFRLLPSPSILTGAGGQSTVAASRQRRMAAAMVSAMGKKTKSSPSGRPGAREAGQSAECGGGVEAAEEDDRRDRRRGGSRPWRRIRRAGGWIHVAAEEEEETGDLGISPPLPHEISPTWVSPPNPEEKGEESAELPCYAARRRLPWFGRRQALSSHELASLREMAHLTPLSLLLLPPLHVSYSADDGVEHADDCAVLVLHEARGRRDNREMREKTEERRKNMEKEGERWEK >OGLUM05G12130.1 pep chromosome:ALNU02000000:5:14433350:14438729:1 gene:OGLUM05G12130 transcript:OGLUM05G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRRLGRREEEEDGWPKMNSDSEFYSYLDMLSRLKKQYNPPNSLIFTPKPYPEKQIDVKESSKLLIFTTIITNDAPKPTSYYPMGHTVNPLINRHSG >OGLUM05G12140.1 pep chromosome:ALNU02000000:5:14438782:14441193:1 gene:OGLUM05G12140 transcript:OGLUM05G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDSNNRSRDGDTSSTFAPSVKGLAARASYQDPSLPSLVPYMTSRIFISNYTYSFPVIPGRMFVRLHFYPVAYGNYASRDAYFGVTTNNLTLLDNFNASQTALAAKYAYILREFSLNVTSGSLDLTFFPSTQNGSYAFVNGIEIVPTPDIFTTLSPIPPTNGNPDPSDIDSMISFQTMYRLNVGGMTISPQGDSMFYRSWENDSPYIYGSAFGVTFSKDSNVTITYPSTMRNYIAPADVYGTARSMGPIAQINLHYNLTWILPVDAGFYYLLRFHFCEIQYPITKVNQRSFFIYINNQTVQEQMDVIVWSGGIGITTYTDYVIVTVGSGQMDLWVALHPDLSSGPEYYDAILNGLEVFKLQDIGKKSLAGLNPPLPPQPKSDVNPKGVSGGGKSKGVVPASIRGAMGCTATMLIACFSVCIICRLKKVAKHSFMTDKKCMTYRTEFYHSPSNLCRNFTFDEIQVATRNFDESLLLGRGGFGDVYRGEIDNNGENVAIKRSNPLSVQGVHEFQTEIELLSKLRYCHLVSLIGYCKEKNEMILVYEYMAQGTLREHLYNSNKPSLPWKQRLKICIGAARGLHYLHMGANQTIIHRDVKTANILLDDKWVAKVSDFGLSKANPDIDSTHVSTVVKGTFGYLDPQYYRRKQLTQKSDVYSFGVVLFEILCARPAVNIELPEEQASLRDWALSCQKKGMLGKIIDPHLHGEISPPCLRMFADCAKQCVADRSIDRPLMSDVLWSLEAALKLQENAENNKKFSEATTSSKRTPDLITIMGTDKPSTYSTMSITGQKIIFSDMMHPQGR >OGLUM05G12150.1 pep chromosome:ALNU02000000:5:14453947:14458546:1 gene:OGLUM05G12150 transcript:OGLUM05G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSRRALLLFFLEVAVAMALLLPGGHARVCPPCGSTEVPYPLSTADGCGDPEYKVRCAAAAAGGTAPTLLFDALNGTSYPITSISPASQRLVVSPAPFVSPGACVSVGAAASRGVQLDPSRPFNVSSSNTVMLLNCTELLLRSPLNCSSNSLCHAYAGAAGSTASACAPLPLCCTFVAGGSSTSYRIRLGPQSCSAYRSFVGLDPSQPPATWGSRLGLELQWATPREPLCRTQADCEDGAAATCADDPSATGGAGTVRRCLCVSGLVWNPIAGACQQQNLTDSGSNHAPLIAGIVCGLGGALLVATAGLFAYRRQQRIRLAKEKLAKEREEILNANNSSGRTAKNFSGRELRRATANFSRDNLLGAGGYGEVYRGVLADGTVVAVKCAKLGNTKSTEQVLNEVRVLSQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGPLSHPPLPWRRRLAIAHHTAQGIAYLHFSAVPPIYHRDIKSSNILLDERMDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTCKRAIDFGRGADDVNLAVHVQRAAEEERLMDVVDPVLKDNATQLQCDTIKALGFLALGCLEERRQNRPSMKEVAEEIEYIMNIEAGNAHLKELHSL >OGLUM05G12160.1 pep chromosome:ALNU02000000:5:14475310:14475688:-1 gene:OGLUM05G12160 transcript:OGLUM05G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLTEQAKDRVEFAGDGSCLLFFFPRRLYDMNENVFAFFSCLLDDGWMLLRNVELIFIPRHYVLP >OGLUM05G12170.1 pep chromosome:ALNU02000000:5:14486494:14487159:-1 gene:OGLUM05G12170 transcript:OGLUM05G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHACGVRRGTREGWGFRLVTLAKLLPPKLIVAPVELLKSRCVQVSPSFASQANARLRRIVFEVDSIYSTLRSHRPRCMRPSPQRSPPPSFLLAANLIPTNRQAPLSGFYLPPPPNDRLPSPCWSPATTHLSRDSASSVLFACMHGHSWSQWCSRVYSGVATYSISQLLEILSPIPTVWLTCDHNADTAQMRGELPRNMWWCNPRG >OGLUM05G12180.1 pep chromosome:ALNU02000000:5:14487192:14487831:-1 gene:OGLUM05G12180 transcript:OGLUM05G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGERRCGGRRRTPGSTSARRMGIGASGGRGDEIRGRGRMGWIRMRMRREQEDAGATSASRMEIGRRGDGSRGRQARRRDGLGRRRSCSARLPWCRLPMGLMHRPMRLEFFRLPKNVGPTWTPQANQQSSSTSLPSTPISTQTSRAFANRWGEGCRLAGKSLTAEAVG >OGLUM05G12190.1 pep chromosome:ALNU02000000:5:14487476:14488207:1 gene:OGLUM05G12190 transcript:OGLUM05G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGRRKNSSLMGLCIRPIGRRHHGSRALHDLRLPNPSRLLACRPRLPSPRLPISILLAEVAPASSCSRRIRILIHPIRPRPRISSPRPPLAPIPILRAEVEPGVLLLPPHRRSPVSIPLYPHLIRL >OGLUM05G12200.1 pep chromosome:ALNU02000000:5:14488308:14490888:1 gene:OGLUM05G12200 transcript:OGLUM05G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAATTMVAAAHHPRPCFRVRAAWDMNPSASPKPKAKPPPPPPPPLPTAPAPTHADLFARHSNSEGQVPKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELVSSFKKKNSTSVQSQQQAAQ >OGLUM05G12210.1 pep chromosome:ALNU02000000:5:14495072:14496075:-1 gene:OGLUM05G12210 transcript:OGLUM05G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPAPKQEELLPHAVKDQLSAISYCLTSPPPWPEAILPGFQHYLVMLGTTVIIPTTLEEAEQAKYVGDEEEQRWPKSDGQDFGSLGSIWDMAGRCGSIIMIHLRGHTSAEHPIPCSSSSSSCLRCNGAAAVHHGKKQHG >OGLUM05G12220.1 pep chromosome:ALNU02000000:5:14504435:14506891:-1 gene:OGLUM05G12220 transcript:OGLUM05G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTELATLLCIPLFSLLLLDVVAGNFTGPHQIRLRCGGTSTAIDSDGRTWEGDANSKLALDGLAANASYLDPLLPSPVPYMTARIFSSNFTYWFGLNPGRVFLRLYFYPTEYADRAAADALFSVTAGILLLLNDFNPSQVAHAMGRTYLILEYSVNVPSGDLDVTFSLSPHHTGSYAFVNGIEVVSTPDIFTKPAPTFLNVGISDPFPISVNIGLQTMYRLNVGGETISPKDDSEFCRTWGKDSPYISGDSGLNFFKDDTVTISYPRTMPSYIAPVGLYETARSMGLKGYINLRYNLTWILPIDAGFHYLLRLHFCEIQHPITKVNQRTFFVYINNQTAQKMDVIVLSGGIGVTIYTNYIVGPIGYGQTDLRVALHPDVETNPEFVDAILNGLEVFKLQDVNKSNLAGMNPIPWSHRDGDPRLATIGGAIFVLVVLLIASLSMYIINIRKKRVDHGNTNKELLLATLLSKKSNLCHQFTFLQIQEATSNFDEAFLLGKGGFGNVYKGELDHGMKVAIKHGDPLSQQGINEFQTEIEMLSKLRHRHLVSLIGYCEDENEMILVYDYMENGTLQEHLYGSQKPPLPWKQRLEICIGAALGLHYLHTGAKQTIIHRDVKSTNILLDGKWVAKVSDFGLSKVSTDKDKTYVSTVVKGSFGYLDPEYFRRQKLTKKSDVFSFGVLLFEVLCARPVINPELPEEQVSLRDWALSCRKKGILSEIIDPHLQGEITPQCFRKFTETAEQCVADYSMNRPSMGDVLWNLEVALQLQESAEENCEETALNVLSSPLTTRLQPSSRSTMSISGQKAVFSEMMHPDGR >OGLUM05G12230.1 pep chromosome:ALNU02000000:5:14515100:14517679:-1 gene:OGLUM05G12230 transcript:OGLUM05G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNNSTASAPIFLNCGASGVQPDSYNRSWDGDASSKFAPSVKGNVAGASYQDPSLPSPVPYMTARFFTSNYTYSFPVSPGRMFVRLHFYPTNYNGNLDSANAYFGVTTNNLILLDNFNASQTALATSSAYFFREFSVNVTSSSLKLTFAPSTRNGSYAFVNGIEIVPTPDIFTTPTPTSANGRDNVQYGIDPVTGFQTMYRLNVGGQPISPQGDSGFYRSWDNDSPYIYGAAYGVAFSKDGNVTIKYPNTEPNYTAPVAVYATARSMGPTAQINLNYNLTWILPVDAGFTYLLRFHFCEIQYPITKVNQRSFLIYINNQTAQNQMDVIVWSGGIGRTTYTNYVVTTVGSGQTDLWVALHPDLSSKPEYFDAILNGLEVFKLQDLGRNNLAGLNPPLPPKPGVNPNGGSSRGKSKSVAPAAIGGAVGGLAVLLIACVGLCIICRRKKKVAKDTGKSDEGRWTPLTDFTKSQSATSGKTTNTGSHSMLPANLCRHFSFAEIQAATNNFDKSFLLGKGGFGNVYLGEIDSGTRVAIKRGNPLSEQGVHEFQNEIEMLSKLRHRHLVSLIGYCEDRNEMILVYDYMAHGTLREHLYNTKNPPLSWKQRLEICIGAARGLYYLHTGAKQTIIHRDVKTTNILLDDKWVAKVSDFGLSKAGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARNALSPSLPKEQVSLADWALRCQKKGVLGEIIDPLLKGKIAPQCFLKFAETAEKCVADRSVDRPSMGDVLWNLEFALQLQESTEDSSSLTEGTSASTSPLVVARLHSDEPSTDVTTTTTTTTSLSITDRSIASVESDGLTPSNIFSQLMTPDGR >OGLUM05G12240.1 pep chromosome:ALNU02000000:5:14517895:14518959:-1 gene:OGLUM05G12240 transcript:OGLUM05G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIYKSSTSTSTSTSPSSTPQLHYGPELDFPPSFWPPKHAQQLETAAGRAESISPSPTPRGRRGLQLSGRAFFS >OGLUM05G12250.1 pep chromosome:ALNU02000000:5:14520045:14520778:1 gene:OGLUM05G12250 transcript:OGLUM05G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGALLSARSSRGGGGGGGDYGGGRRPPLRRILPGGESATAGCAATARQRPPHPVVVAARQQRRLRWPLKKVYGPYFGGGGRGDIARLRGMTVRDDGSTVDSEHEQAVRRRPNGGQ >OGLUM05G12260.1 pep chromosome:ALNU02000000:5:14527994:14530870:1 gene:OGLUM05G12260 transcript:OGLUM05G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLSSHASLRYKLQPCGASPPAAAAAMETSYREEEARRKASLLHCIFFFLLGALAMAAAIAVLHESSYWEWRCNRLTDIVVDGDDGDGPSSSEVVDGGGEWGMVRTRGAQFVVGGGRPFYVNGFNTYWLMVLAVDPSTRGKVTEVFRQAAAVGLTVCRTWAFNDGGWRALQKSPGVYDEEVFKALDFVVSEARKHKIRLILPLINNWDDYGGKAQYVRWAQAAAAGAGADAFFSDETVRGYFKSHVTAVLTRVNAYTGVAYRDDPTIMAWELMNEPRCASDPTGDTLQAWIAEMAFHVKSVDPAHLLGVGAEGFYGPSSPPARLRVNPNADVALAGADFVRNHRVLGVDFASVHVYPDTWLPAGATKEAQLRFATSWVEAHIADAEGALGGMPVLFAEFGVSTRGARAAFNATSRDAFIEAVYGAMLRSTRRGGGGAGALLWQVFPEGTDYMDDGYAVVLPRAAATAGIVAAHSRRLQSFNSRCAWSCRWGCNKRDNDTAETTTAEADVDVSFHHEL >OGLUM05G12270.1 pep chromosome:ALNU02000000:5:14534454:14537023:-1 gene:OGLUM05G12270 transcript:OGLUM05G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKLLADGCAGASSSPALSRVATSAAHGEGSPYFAGWKAYDEDPYDAAANPDGVIQMGLAENQVSIDLLEGYLREHPEAAAWGVAGDGGGDSFRDNALFQDYHGLANFRKAMARFMEKIMGGKATFDPDRIVLTAGATAANELLTFILADPRDALLIPTPYYPGFDRDLRWRTGVNVVPVHCDSANGFQVTAAALQAAHDEAAAAGMRVRGVLITNPSNPLGTTARREALEGILGFVARNDIHLVSDEIYSGSVFAAPDLVSVAELVESSSSRARRRGEDDDGDVGVADRVHVVYSLSKDLGLPGFRVGVVYSRNDAVVAAARRMSSFTLVSSQTQRTLAAVLSDEAFVDAYVAANRARLRERHDHVVAGLARAGVPCLRGNAGLFVWMDMRRLLLGDGGDAATFAGELRLWDRLLREVKLNVSPGSSCHCSEPGWFRVCFANMSLATLDVALERISRFMDAWCKATIGKFNHLQPNRCDVNYFALERYQGHVQQ >OGLUM05G12280.1 pep chromosome:ALNU02000000:5:14537997:14538350:1 gene:OGLUM05G12280 transcript:OGLUM05G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPGAVVTRCPSASFPPHARTDQPLPSQAADSSFPSLPAADSSFPSPPAAYPSFLSLPTVDPPHAATVIVVTAHGDVVTTAARSQHYCSCGCPRPLLLQARIPPLPLGEARRPAG >OGLUM05G12290.1 pep chromosome:ALNU02000000:5:14538658:14540168:1 gene:OGLUM05G12290 transcript:OGLUM05G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERGGERPVEVAVLVAKVGRGEKPQQWQSSISVVGKDRLEVPAGTYGDALGGQESELECLSAW >OGLUM05G12300.1 pep chromosome:ALNU02000000:5:14574450:14574930:1 gene:OGLUM05G12300 transcript:OGLUM05G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDPATSSLDLAAADLASSDTTATVAGSWNHGSHYHGCSAPTWRLRPHDRTYKFHTQAKAPFSAKQAETYRQKHLSPHRSTRPERRQLKP >OGLUM05G12310.1 pep chromosome:ALNU02000000:5:14577339:14580926:1 gene:OGLUM05G12310 transcript:OGLUM05G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPEHWRQDAAKGIKLVPILSRFNSVKMSRKRLVGMFEYPSLEAATEKFSESNMLGVGGFGRVYKAEFDAGVTAAVKRLDGGWPDCEKEFENELDLLGRIRHPNIVSLLGFCIHEGNHYIVYELMEKGSLETQLHGSSHGSTLSWHIRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKIADFGLAVSSGSVNKGSVKLSGTLGYVAPEYLLDAAMSISGKLTEKSDVYAFGVVLLELLMGRKPVEKMSPSQCQSIVTWAMPQLTDRSKLPSIVDPVIKDTMDPKHLYQVAAVAVLCVQAEPSYRPLITDVLHSLVPLVPTELGGTLRAGEPPSPNLRNSPC >OGLUM05G12320.1 pep chromosome:ALNU02000000:5:14585775:14589760:-1 gene:OGLUM05G12320 transcript:OGLUM05G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZXE2] MSVSLEDLKKENVDLVSVLHHSANIAFIRESIPIQEVFAVLKSSPQGLTSADGNGRLEIFGRNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKARRACALLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVSYMHPSATSRRRGANCLLALLTINGGQVLTAIGNFCICSIAAGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEARTAHTINLSVSPLIFFPIDRPFVKDLDKDAIVLYAARASRTENQDAIDASIVGMLADPSEARAGIQEVHFMPFNPVDKRTAITYIDTKDGSWHRISKGAPEQIIELCRLRDDVSRRVHAIIDKFADRGLRSLAVARQKVPEGSKDAPGTPWQFLAVLPLFDPPRHDSSETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDAWRLQEIFATGIVLGTYLALATVLFFWAVRDTDFFTVTTHHPTSHASPPRALTPCVCGQRTFGVHPIGGSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVGAFLIAQLMATLIAVYANWPFAKMKGIGWSWGMVIWLFSIVTFFPLDIFKFAIRYFLSGKAWNNAFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQAETSTALFDDNKDYLELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQNHYTV >OGLUM05G12340.1 pep chromosome:ALNU02000000:5:14591498:14593348:-1 gene:OGLUM05G12340 transcript:OGLUM05G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTREVAFGVNLIGNDGAAPADEASSLAGWAPVGSRTTLSAHVEKDDPPAAMLPAVDDGGREHRPSGSRYVLAARRDGEEDGLRHPVPAGALVPRVTYRVAGWVAVQSGGGEHAGGESHVVRVSLHVDDGGECRVLGCGAVCAGVAGGWVEINGAFRLKATPRGATAVHVHGAPAGVDVKLMDLRVFAADRKARFRHLKEKTDKVRKRDVVLKFSGGAGVEATASIPGAAVRVVQMDNVFPLGTCINGSVIQDPNFVDFFTNNFDWAVFENELKWYWTEAQRGLLNYRDADALLDFCDRHGKPARGHCIFWAVDGSVQQWIKDLGRDDLASAVRGRLTGLLSRYAGRFPHYDVNNEMLHGRFYRDRLGDDAAALMFREAARLDPAARLFVNDYNVECANDPNATPEKYIELIDALRRGGAAVGGVGIQGHVSNPSGEVICGALDKLAASTGLPIWITELDVSEPDVSLRADDLEVVLREAYAHPAIAGVVLWGFMQGRMWRQDASLVDADGTVNEAGQRLVNLRREWTSDARGTIDGDGHFTFRGYHGTYVVQVTTATGKILKTFTVDKGDTSLVLDMEI >OGLUM05G12350.1 pep chromosome:ALNU02000000:5:14597744:14600639:-1 gene:OGLUM05G12350 transcript:OGLUM05G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRRRRGTSVAPPVTLPDDDDILSEILLRLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWRFYGCRHGRVLLFNRAKNEILVWVPDTGDHRRVAVPPEIDGKEKIIWNGAVLSAATADDGFSSCPFKVVLVGVAGNNTQMFACVYCSESGKWSDLISVAAPFLVFFFRDPGILVGHALYWMASGDHGSTILQFDLNDQTPAVIEWPSDSDPNCYTQTWLTEGDCLRVATFSRGSLQMWERKVCSEGVAKWVLQKTYELKNVLNPEIRLNVEYVTKLGYAQDIKVMFVWAAHSVFMLQLDSLQAKKVWESCVISPIHPYASTYVADYVSLRMHYSSPFIYTRNYGLRKTGKVSRL >OGLUM05G12360.1 pep chromosome:ALNU02000000:5:14619008:14624088:-1 gene:OGLUM05G12360 transcript:OGLUM05G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGARARLGARTVCTELKRGGSKAGGLNRKPALFEEAVVDAAMEAKRKKRKREVEVSHPALERAVVPTNSALPIRRSALESGEDSSESVASDAGTAKPPAKDVVHVTNALCTVCTKSPKAVIEFVRRMVVGGDGGAVDRYGVKEGNGSAMEGWPAVLLYLAIRGQPPGARAPCADPACLRGCGCERPPRYRWCPTLAESSLQGLT >OGLUM05G12370.1 pep chromosome:ALNU02000000:5:14625637:14626653:1 gene:OGLUM05G12370 transcript:OGLUM05G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCGGLAVVAVRRPLQPRDTNVVASPAAALAGKAAKGKGKGKGTARAASSPPSVRSYAKAGGCRVGLREVSLAEELEKARERRGRMRAAREVTERALEERAAALRREAREWERRADEQRRLVAELMRLIGMPEVYTPVESLRSKEERKRKEGIAHSGSLDTASSLLDDVRESCCDRESEGATTETSS >OGLUM05G12380.1 pep chromosome:ALNU02000000:5:14632226:14636449:-1 gene:OGLUM05G12380 transcript:OGLUM05G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALLVEKVLLGLFVAAVLALVVAKLTGKRLRLPPGPAGAPIVGNWLQVGDDLNHRNLMALARRFGDILLLRMGVRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVRRDPAAATSGVVIRRRLQLMMYNDMFRIMFDRRFDSVDDPLFNKLKAFNAERSRLSQSFEYNYGDFIPVLRPFLRRYLARCHQLKSQRMKLFEDHFVQERK >OGLUM05G12390.1 pep chromosome:ALNU02000000:5:14664300:14664515:-1 gene:OGLUM05G12390 transcript:OGLUM05G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKSNPHKGRGDEEEYPMVAYACCPCYVLSSVIRGIGRCLFVACYPAIQCCGLDECRHHHTTTQLSHFR >OGLUM05G12400.1 pep chromosome:ALNU02000000:5:14668507:14669028:-1 gene:OGLUM05G12400 transcript:OGLUM05G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSEDGSGDREEHGRSSWRPTARGAATKLVVRRQGELRRLGPRAVDLVARKSWAVGDGEGTDPAVGGEGEGGHGGRGGFGSGERRGGQSRGREGRVRRMRGWEGRDRQIYDREEHERRAAKERGSRGSHDDDARAPKFPVASSNPLPSPRSNVWWRYSAGLSTTAMTIQSS >OGLUM05G12410.1 pep chromosome:ALNU02000000:5:14670481:14671059:1 gene:OGLUM05G12410 transcript:OGLUM05G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPTGALGLVAAAFDETVAASAGPSAALRGRWQRKADSPPAPARGDAAEQMIHSRMTGEIEQCGGEIEAEIERAKEVVANKGRALDDDRERFQKAAVAALNILSGGAAGSI >OGLUM05G12420.1 pep chromosome:ALNU02000000:5:14682862:14685947:1 gene:OGLUM05G12420 transcript:OGLUM05G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQWTGNTEQPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFTYEVTYCGNHTCLTSTTPLLTLPAAAATSVTNSPTAAAVLGQDLVMAPAADQQPPQHQQAQPPLSTSIHLGIGWPMTPASLAGAVGEGSTSTTATAPQVTTMGATAAGGGGAAARDADHYPVADLADVMFNSGGSGSSSIMDGIFSSHDRRDN >OGLUM05G12430.1 pep chromosome:ALNU02000000:5:14736473:14738746:1 gene:OGLUM05G12430 transcript:OGLUM05G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMSPAPAPPYAQVMEDMEKGRELAAQLQGLLRDSPEAGRIVDQILHTFSRAMRALDKAAVSAAGGEGSEVQSEVTCGGGASAGGKRKAPAADRKANCRRRTQQSSGNTVVVKNLDDGQAWRKYGQKEIQNSKHPKAYFRCTHKYDQLCTAQRQVQRCDDDPASYRVTYIGEHTCRDPATAPIIAAHVIHQVAAGDDDDGCGGLHAGSRLISFVAAPAAPVDAAAAPTTSTITTVTAPGPLLQPLKVEGGVGSSDQEEVLSSLTPGSSAVRGGGGGAGPFGPDQGDVTSSLHCSYDADAFAVAGMEFFKDDEVVFDLDDIMGLSF >OGLUM05G12440.1 pep chromosome:ALNU02000000:5:14742468:14745471:1 gene:OGLUM05G12440 transcript:OGLUM05G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40760) TAIR;Acc:AT2G40760] MLPSPPLTALSKAAAAAAARRAATVAARSRRRLLVLPAVASLVPGPPPALCRRVRLMPQLEGPRAPPPPHGRGFAAAAAGGDGATLDAGDSELVVVSFYRFADFPDHAELRRPLKELCEELRVSGGIILAPEGINGSICGTPEAVEKVLNFIQSDDCLKGLRMIQSPVTPEDEAIHHGHTSQSPVGAGEDAPFRWDHVRVKLKKEIVALGDPGVMPTKTVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPSWVDDQFQLAESDSQLCSENNDNTIGRQSEDVNSSKPKELPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWEGECFVFDKRVSVEHGLAQGTHKLCYGCKKPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRSPKRLEANHTAEEPKQLSSSV >OGLUM05G12450.1 pep chromosome:ALNU02000000:5:14745740:14747229:1 gene:OGLUM05G12450 transcript:OGLUM05G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAPSNGRLFRFLPPHRRPQGTDVAAAAGWTVAGVATAVWLVQPFDWIKKTFLEKQEAEEGRTEA >OGLUM05G12460.1 pep chromosome:ALNU02000000:5:14757678:14758094:1 gene:OGLUM05G12460 transcript:OGLUM05G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVILHLSPPQKEAIEDSGLGNLLKINKIHIYRDLCNEIARSYDKEKKSFNINGTFVTMTLDDVDCLFGLPSKGDEIFEAPKINKPELFNLYKKEGQTKITLEALREAIINSSSYDDHFIRRFILFSIGSFICPTTQ >OGLUM05G12470.1 pep chromosome:ALNU02000000:5:14758140:14763969:1 gene:OGLUM05G12470 transcript:OGLUM05G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELNWSSLTLNQLLKGILKFREKETNIEGNVCLLQLTKKEDPFITYINNTEDNKVMVHIEEVEVKRIRMKVLTQPEFLNDDVMDAYIQCLRYKEKGIRGDGKAFLEMAIKTGLLNVEGIFLPINIKDTHWYLAVLNTKRHEVQILDSLAKPIRKDRPDLRRVDLAGILINSELNKIKDRPLLPTTT >OGLUM05G12480.1 pep chromosome:ALNU02000000:5:14769099:14770392:-1 gene:OGLUM05G12480 transcript:OGLUM05G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEPSEATRRMGSPRGGGEGEVGEDDNKGGPVGGGWGDKRQQRGGAEVLYRLLIGVPYWRVALMIDEKIQFLGVGTWNVYWRLLTTFSVLYIIPD >OGLUM05G12490.1 pep chromosome:ALNU02000000:5:14769374:14770013:1 gene:OGLUM05G12490 transcript:OGLUM05G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFLMAGKEAGEDDSDDADADADELKQGTAVDHLGRPRAGRHHGPRRSRLPFPSSDAG >OGLUM05G12500.1 pep chromosome:ALNU02000000:5:14770418:14770663:-1 gene:OGLUM05G12500 transcript:OGLUM05G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETRQASVRLDAAASERRVGGDRNQPSGEAGEDDDGELKSRRLAQGVVSLTLGAALPAHGVLSDLPTAPSHLHLNEGKAC >OGLUM05G12510.1 pep chromosome:ALNU02000000:5:14783110:14788074:1 gene:OGLUM05G12510 transcript:OGLUM05G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79600) TAIR;Acc:AT1G79600] MAATAAAAGPASPAVSFSLPSPPLPRRADCRRGRGHGHRPRRGPPLLRAASTAAPPSSSPSSQSPGSLSIQLSPRASPPAAPTHVASLARDRAEDLQAESRAMTRAAAATVFSPELLSSRYGSRPVKANPPILTAPLSAEVVSKIGAFGLKVFLDEQRGQSSSAVRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGQLVAVKVQRPGIEDIIGLDFYLLRGLGYLINKYVDFISSDVVALMDEFARRVYQELNYVQEGQNARKFKKLYADKQDVLVPDIFWDYTSAKVLTMEWIEGVKLNQQAAIEKQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHMVNRDYEAMARDYYALDFLEPDVDVSPIVPALKSFFDDALNSTVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRQVYVLMKWNRLENLLVQGSQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITFGTLIDSYNAAPEFLKPLISSGNPAGPFKISDTEREQMIELRDRVFRIWGLLRSSDGFDPTILQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSP >OGLUM05G12520.1 pep chromosome:ALNU02000000:5:14788998:14794152:1 gene:OGLUM05G12520 transcript:OGLUM05G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASRKTLAAAARPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVHLQSAIKFNGGGEAKSRPPYPFLAYGFLSFFFFILFIAGDAGGGGSEELGGGICVLLWLIWIGLDGRGSVLPQMENRIGLGGHVNHSIFWNNLKPISEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >OGLUM05G12520.2 pep chromosome:ALNU02000000:5:14788872:14794152:1 gene:OGLUM05G12520 transcript:OGLUM05G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTLASRKTLAAAARPLAAAAAARGVTTVALPDLPYDYGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVAKGDASAVVHLQSAIKFNGGGHVNHSIFWNNLKPISEGGGEPPHAKLGWAIDEDFGSFEALVKKMSAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGANLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYENATA >OGLUM05G12530.1 pep chromosome:ALNU02000000:5:14794816:14796570:1 gene:OGLUM05G12530 transcript:OGLUM05G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMAPPPPTAAALPSRRRLRERIQSERQVVGGLLKKAEALVARAKEDVHGGRAAAAHSEACALPRRGRFLRRPEARPEAEATAAMDGAASPRKKRRKAATSASSIVEVEVIEPTMPKAQRDRLYGLLSSLSAEMPLPPHIVALMQSQCCCVVDPNGEEMDVDLGSAKDAALFQLLNLLEEFAQQQTTKIQPRLAEEQEPPKIEAPDATSRSSSICQLMEDGEVADEGADMDMDICGGVSPLVVDKAQFSPLPKQEEDDELINTSGGGGGGGFSLQSPPAKQQEEEFVRDASPVAVDKFPQTESPSSSTGSSSGSSSSSSSSGGSSGSSCSGCSSSGSDSNDDGDSASSRPDNSELPTEAAAKPLEQQQVTVCGGVSPLIDEFSPLPKQQEDDELIDVTGGVSPVSVNKFPDSPRSSSSGSSSSSSSGSSSSSSESDSDDDGDSASSKPDTADHPTEAEAPKLEPLEQHEVAEQDKKLIAERAASPNTEMQELIARAQERQKLRLELERKTARELERKMAREQLQEMERTARPVYDSIDPSVMKQLGISGDAQYIVSPVKSRHSLHRRGGGGLLQKLGLFLKE >OGLUM05G12540.1 pep chromosome:ALNU02000000:5:14797344:14800394:-1 gene:OGLUM05G12540 transcript:OGLUM05G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MAISAAAAAAAALSFPRALPSPLQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSSLSSYGAYIRPVPYPNEVGLRMLIGGAAREAAILGFHITPLFSYYAYHGPIFRVMVQLHNGKQDGISNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >OGLUM05G12540.2 pep chromosome:ALNU02000000:5:14797344:14800394:-1 gene:OGLUM05G12540 transcript:OGLUM05G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:N2,N2-dimethylguanosine tRNA methyltransferase [Source:Projected from Arabidopsis thaliana (AT3G56330) TAIR;Acc:AT3G56330] MAISAAAAAAAALSFPRALPSPLQPRRHRHRRRSAEPPACAHSERGVTFDPGSAFYRSDSAAGRDLAVLAATLHRRAAGPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVGNLSRFERAPDRRWAVSHLDATRLLSERYLRREYFDVIDVDSFGGDAAYIRAALLALRIGGLLYLTSTDWRSARGYGSRSNYGFICHCKSCGQSRTFGFDELGQITCGCADKIDPDSITVVGPLWTGPLHDTTFLTEMLSLATEWGWANTIENGVSLEKLLDTMIEESDSRLPPGYIRLDEIASRAKVNSPPLGTLINSLRKEGYAACRSHIGANAIKTNCPIECCLDVAQEIRNLR >OGLUM05G12550.1 pep chromosome:ALNU02000000:5:14805627:14807588:1 gene:OGLUM05G12550 transcript:OGLUM05G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIQLWNDWELQVLVLLSFMLQVFVFFSGGLRQRSTNSALRILVWLAYLVADFIAVYALGQLSRQKTDASEAGQPHKFAFFWTPFLLIHLGGQDTITAFSVEDNELWLRHLLNLLVQVCLALYVFWKSAAGNQFVVSAIFAFISGIIKYGERTWALKSASQKSLRSSTDGGVVGQFPELEDYQELGYKTMVMFALSSSPVVRNLLVGRKIDQMEERVRHAFSGRLYSQVSENTQLVFKILEIELGMMYDNLYTKARVIRTWTGAILRFITCISLMVAFVLFLTGNKKWHHSRVDVAITYALFIGALCLEVCAIFFMVMMSPWTWASLQYWKYHRLADAAWYVFKSLQTESMSWWSNSLGQYNFLSSCFSDNVFGKVMSLVGAKEFWRNFRYSQRVGVKAEMKKLVFEAKCLAEIFGASQTSSVDADPNSGVGSALDIILREQFEVAILSLHVYTDIFLHRCMNPTSADSCDATRERRHLMDACRTISEYMCYLLVVHPEMLPVSGSVRDVLDKASETVAKVSSGAAASKGRVRVVLEKLATDRDLNDLSDPITLAGFVFRGHKEEAVHCHESLQVLARAWVGVFLYAAGKSRGENHARQLSMGGEFLSFVWLHMAHCSLGDMGTFEVELVRPSAVNEGGRKMFVWGYQRPR >OGLUM05G12560.1 pep chromosome:ALNU02000000:5:14810939:14811721:-1 gene:OGLUM05G12560 transcript:OGLUM05G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHERFRLSHLMPNSWFYKLRDMKRPRPTSSRRITAADHAARSSRRSSSSSSSIHHYYLHGHGTTTPKPLPLSPPRRSYYPYLQRAKQMPLMEKESQLISHSPLHQRIPATAIPGDHHDGEFQDLQLRPIRTRPPSAAAASAEPRRTASGSGTCPSSPRMRSRRLHVLGGCECRAGSGRRRSGGGGFAVVKASAEPARDFRESMVEMVVGNGMRSPEDLLELLECYLSLNAREHHGVIMEAFRGVWVEIVADADCCVGL >OGLUM05G12570.1 pep chromosome:ALNU02000000:5:14819421:14819690:-1 gene:OGLUM05G12570 transcript:OGLUM05G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSSSRSRAVAMWLVALMVVFLVAGPQPASAARPLRPAGWNAPSIDGEGHYASGVVDKYAPLLLSMLPRGPVTPSGPSGGTNGDGN >OGLUM05G12580.1 pep chromosome:ALNU02000000:5:14824564:14831242:1 gene:OGLUM05G12580 transcript:OGLUM05G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSGAAREAGILVDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRADEFDWFCRVLERGPRPSGRCGGGGGGRKRTAAEAVEGEAEDDHRRVRASLPPPSVVAN >OGLUM05G12590.1 pep chromosome:ALNU02000000:5:14835366:14837069:1 gene:OGLUM05G12590 transcript:OGLUM05G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGLLPGLKFDPSDHDLVGRYLLRRLQGQPLPLDGVILEADPLSAPPWKLLADHGRGDEAFFFAEAHAKNGKGKRQKRTVEGGGFWQGQKTCVDGERLCVPDDGDGSGGGGGGLEIAWRKYVLSFFANGERGSSGWVMHEYAVTAPDGLASSQLRLYRVRFSGYGKKRKREPQCPGAHGDDDGELQRAPPPRSMAETALLEERGPLPHPVLGPASVVDQCTDQGSSGVIDDSSLVFRDLPDLIDLPVAEEADASHGAETALLNEHLPLPPPQLFVPPTAVPLDLADDSDGADQNSYGMMGDDQLLLPDLPGTINDDMPDLFVSQAEEASAVPAISYHSSGFMGNEVAALSDFELPESYSSSDAMDGEALALSNYEFPESFEEDLSCIDFATANASSLGFPMDGYPMDELFDDMPDQGSSGAMDDSSVVFRDLPGLINLPPAEEADAIGDAETALLRDLADDSNGTDRNSYGVMGDDQDRLLLPEIPRRIDMPDLFVSQAEEAGLGGGAALDSSSGAMDGEALALSDFEFPESVEEVLSCMDFSTVDMSCLDVPIDELLDDLPAD >OGLUM05G12600.1 pep chromosome:ALNU02000000:5:14839650:14857392:-1 gene:OGLUM05G12600 transcript:OGLUM05G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSNAPNPGSLRPQAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCSQPSTMTPVLHIYDPGTSTESKQASNCTLLSKVSGWLSGNSPNPETLSLLLPFHFSLKWENLALLDCAHNLSLSVLCLDYSPPASGRRAVVRRTTPSPISLSRWEGSSMVRRGATTRGTSTYRRREWCALSPSWGGTAWKRISIAAVVSKVSGDKRFDLFVTEKLELEQPWRNPWANEQCPPNPGVTARFLVLHFAKDEKIKLGRRASTDWKQVSSGTGLYKVSDGLLSNPTPISWSSLAVSFPSISVYHGKTLPS >OGLUM05G12600.2 pep chromosome:ALNU02000000:5:14839650:14857392:-1 gene:OGLUM05G12600 transcript:OGLUM05G12600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSNAPNPGSLRPQAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCSQPSTMTPVLHIYDPGTSTESKQASNCTLLSKNLSLSVLCLDYSPPASGRRAVVRRTTPSPISLSRWEGSSMVRRGATTRGTSTYRRREWCALSPSWGGTAWKRISIAAVVSKVSGDKRFDLFVTEKLELEQPWRNPWANEQCPPNPGVTARFLVLHFAKDEKIKLGRRASTDWKQVSSGTGLYKVSDGLLSNPTPISWSSLAVSFPSISVYHGKTLPS >OGLUM05G12600.3 pep chromosome:ALNU02000000:5:14838844:14857392:-1 gene:OGLUM05G12600 transcript:OGLUM05G12600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSNAPNPGSLRPQAIMSSDVQFAKDEENKLDASHLAQVLLSKGYEEHCSQPSTMTPVLHIYDPGTSTESKQASNCTLLSKVSGWLSGNSPNPETLSLLLPFHFSLKWENLALLDCAHIVLQFAEDVHIKLGVSHSAQVLLAR >OGLUM05G12610.1 pep chromosome:ALNU02000000:5:14847437:14847673:1 gene:OGLUM05G12610 transcript:OGLUM05G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLERRFLIVVDYRLFVSADEFDWFCRVLERGPRPSGRCGGGGGGRKRTAAEAVEGEAEDDRRRVRASLPPPSVVAN >OGLUM05G12620.1 pep chromosome:ALNU02000000:5:14848517:14854626:1 gene:OGLUM05G12620 transcript:OGLUM05G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIGGVIRGCDGGVVLAFAEITEHWTVGVVEARAMIRGLRLALACFVDRIVVEGDDLVLVQLIRGEETQTRIPAAMHEEILDLLRCFADVEVRHIYREGNSVAHTLCRQAYVHPGLWTDCATLPAAVWEKIDDDLRGVVHERLCKKKKSSA >OGLUM05G12630.1 pep chromosome:ALNU02000000:5:14862195:14874711:1 gene:OGLUM05G12630 transcript:OGLUM05G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHKVGGLPELGRMDMMTTLTTLGFVGSDVDVDEDSGKAIWDDLASEIAAAAPPPWIDTPATFLYSWTLKEQSSKVVYGSCIYDQMFGLRGSQYTLAKPSSSERFYTDKFSDATGSNHLKLHRQISDNGNVESRSELNKDAIGVLLSEKSLLAKSGKQVEQCMVNDLSAHPYNPVCPLSQCVNSYSSSSQQIGSSSGEVKYIRNSDQEMQIACEIVEEQSHIALYGGKNPYELTGLGDPHDKFIAPSLFEGVNISSISSTSSGMNLSTNSAKENNEYNQLKLQKQIDGYQRGSIKSASWEKHDNFPSLRGIDNVADNNPRMSLLHNTIVSTRIAGNQSPTMSGMVHADVLEIYSSLDQQTSANNIQIQYALQAARQPSQQSLHADVAETNSPFGHHFAANIIQRQLAPQVTTQPSNISLHGSYNHNLRIGSGGDFQIELFRPFSSHITNSDVISGALPKINMQAETMVDSILITPCPLRIEAHARESYDIDTVSKATRQSCQVYFDSAEQSSQCVQEMRLDEATNSNMLTAQQQPQRQLYRHLERHHKKIVASEAQALHGNVETPIRMNKAKEFPKIVRDHEACLLSFYHPAPIPSHVMNMLHWCFGIDMERKMPLPKRYPTQCYGTPRFLLFDCRRDPAKHSHAWKTFPDALISVGGCFRQQKLQTATPEEKFMVFEEIMPHAIELVTDIYGSYVLQKVATGKSLTGTWSSFDVGEVVQGNAGVVDEWRWLGYCPQLINFLASLAIELGDLDQKIQIAKELNNDIMKCIYDQNANHVDQKCIEHVPPQFIKFFLEDMYGCVVELSVHPYGCHAVQRVPKYFDDPIQEIFLEEIIEDVYSMTKEQYANNVVQHILQHGKALVRSLIIKRFIEKVVTLSKQKLSSSSRPEAQAQPCTMSSAASTARSSQSTSRKDPAWEFALPLTGNETKNQVGCLYCKNYFKGGITRLKRHLAGVRGQSVYCTQVPDDVKEKVKAMLDAHGEKKSAKLDSQLRLRQQVNINGNDDEEMEEVGEVEVQEAPSAAGSTLVMVNDQYANYVVQKVIETCDECLRMRHRQLRNCTYAKHVVARIERLIEAGEHGMVMQPRNSRQHGKEAKLP >OGLUM05G12640.1 pep chromosome:ALNU02000000:5:14875156:14875484:1 gene:OGLUM05G12640 transcript:OGLUM05G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVAVLLPPPPPSPPAAFFSWPSLNYVLVNDQYANYFMQKVIETCDEWQHKIILECLRMRHRQLRNCTYAKHEVARIERHIEAGGRLSICFPP >OGLUM05G12650.1 pep chromosome:ALNU02000000:5:14880571:14882998:1 gene:OGLUM05G12650 transcript:OGLUM05G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFHLSPQSRPEPRPAAHGRPSRRRGMVPFCRRRWGRPRTWKREWRSRLRGLEFDLFFTEKIGARAAMVELAPGGLSANARRQPRCSPQGGEALPPVTWSKEKTWWKSLGTSGAGKGHEPDWRVSTLLELDVGRMIIGLGSAELLRIYDPGTSTECKQASSGAGLRLSKFAKTEQIKLGGSHLAQVLLARETRNTRDLSTRPVQFIATAGIRCWKVLSKVSGVLSGNAYDPEVLCLLVSLSISAYHGRTWPLDCALIMLNSVIS >OGLUM05G12650.2 pep chromosome:ALNU02000000:5:14882132:14882998:1 gene:OGLUM05G12650 transcript:OGLUM05G12650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAKTEQIKLGGSHLAQVLLARETRNTRDLSTRPVQFIATAGIRCWKVLSKVSGVLSGNAYDPEVLCLLVSLSISAYHGRTWPLDCALIMLNSVIS >OGLUM05G12650.3 pep chromosome:ALNU02000000:5:14880571:14884025:1 gene:OGLUM05G12650 transcript:OGLUM05G12650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIFHLSPQSRPEPRPAAHGRPSRRRGMVPFCRRRWGRPRTWKREWRSRLRGLEFDLFFTEKIGARAAMVELAPGGLSANARRQPRCSPQGGEALPPVTWSKEKTWWKSLGTSGAGKGHEPDWRVSTLLELDVGRMIIGLGSAELLRIYDPGTSTECKQASSGAGLRLSKVSSGLSSNGSPNPGTL >OGLUM05G12670.1 pep chromosome:ALNU02000000:5:14906807:14907069:1 gene:OGLUM05G12670 transcript:OGLUM05G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTQSFDRRQSISSVTALIQSSGQVIGPGKDALLEGGQSCSHMWGEPNYRSPTPVRGLQGSLTNEALGGG >OGLUM05G12680.1 pep chromosome:ALNU02000000:5:14910646:14910882:-1 gene:OGLUM05G12680 transcript:OGLUM05G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLERRFLIAVDYRLFVRADQFDWFCRVLERGPRPSGRCGGSGAGRKRTAAEAVEGEAEDGRRCVRASLPPPSVVAN >OGLUM05G12690.1 pep chromosome:ALNU02000000:5:14915316:14924131:1 gene:OGLUM05G12690 transcript:OGLUM05G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHEVGGLYPIDLGRMDMMTALGFVGSDVDDDEDSGKAIWDDLASEIGGGAATVDTPATDVSDERTSTLDEKVPIEVADMHFSQDIQSKAEIQPACGTLKEQPSKAVYGSCISGQMFGLRDSQYTLAKPSSSERFYNDKFSDAIGSNHLKLHRQISQEMQIACDIVEEPSHIALYGSKNPYELTGLGNPHDKIIAPSFFEGVNISSISSTSSGMNLSTNNAKENNEYNQLKLQKQIDGYQRFEIGSGLNMDDADGDLTATGVPTKVQMELFSPSSSRITSTDVISGALPKINLQGGTMMGKYDLKHKNLHGQIGFHENVASHYFGMNPELPRISSLLHQKCSEFLQLNSDAMRCLIRVEIQVEQSTIIGPPYPSVTVPSPLSGNHLSSMSRGYCLGNKDPNNQGIGFSPMYEPSGFNTNYTLPLRMEAHAMESYDIDALSKATRQSCQQQPQRQQYRHLERHHKKIVASEAHALHGNVETPIRMNKAKEFNEIVRDHEACFLSFYHPTPIPSHVLNMLHLCFGIDMERKMPLPKRYPTQCYGTPRSSSNLRWIDGRHSGRVVVEHILQHGKALVRSLIINRFIGKIVTMSKQKYASNVIEKSLVFGSYDETQKIINEVLTMADLIKSGETEVLMVLVNDQYANYVVQKVIVTCDEWQRKIPEDAPQTAPQLHLCKACRCTDREAH >OGLUM05G12700.1 pep chromosome:ALNU02000000:5:14926118:14926378:-1 gene:OGLUM05G12700 transcript:OGLUM05G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLERAKSAHNLRVRLPLHILPAPMVVANASVPSNRGTPNPSDLDVVAITQPHYSNRLNRAWNPYIQPCPHRIINSILASAVAPP >OGLUM05G12710.1 pep chromosome:ALNU02000000:5:14931426:14936671:-1 gene:OGLUM05G12710 transcript:OGLUM05G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNPDGMLALVPAFPEVSVPIPTAIAPVRKEKVQVSVQDSVQDPKVQEFLAKLDKIARSESPTHPFFYPMSGLNDKIELLCQEKGSMHQFIASTSVPAAIHATNPFTALVLPKKTMFDFAPLVGQEVKDWALVPTKSDEDTMSSQAQFALEVLEVMPLSVQPPSSPVCQAPTLPVLPKAPAV >OGLUM05G12730.1 pep chromosome:ALNU02000000:5:14938914:14939629:-1 gene:OGLUM05G12730 transcript:OGLUM05G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWTMIASTCLYVSSYMKTSRRLLLLDLVTCRWKLYHGHTGLRKQDTLYYFSKAMLVACPGSSCTEDINLWRKIIVSNPVVDT >OGLUM05G12740.1 pep chromosome:ALNU02000000:5:14967305:14968018:1 gene:OGLUM05G12740 transcript:OGLUM05G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSSTRPLAPSCVACGLLAPCRRALARLFRIPASAALSVRAFRFRTLRRAASKMSPRRHRHRRHPRRRTFRSVRAVFWPLVPPPATTTASSTDQGESAAGATARSVVAPEEEAAAEEEEAATVLAPVPSPETPAYVKMVARLRSRRSGGKEEEGPCRSFEERLMEMLLEEGKVRDLQDVEELLRCWERLKSPVFVELVCRFYGELCKDLFSPGEEDGGDEGASSRTTITPAGDSS >OGLUM05G12750.1 pep chromosome:ALNU02000000:5:14974312:14974766:1 gene:OGLUM05G12750 transcript:OGLUM05G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVFALLSIAACNASAQYQLQSPLLLEQQVLSPYNDFVRQQYGIAASPFLQSAAFKLRNNQVWQQLGLQFGDLYFDRNLAQAQALLAFNVPSRYGIYPRYYGAPSTITTLGGVL >OGLUM05G12760.1 pep chromosome:ALNU02000000:5:14977547:14983928:-1 gene:OGLUM05G12760 transcript:OGLUM05G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADAVAPDDGDHAVLRDDERGIPRSLSLLAALVEAEALRHAAAADADADSDLIRAFRGGATPTVRIGEFLERIHTFIQQESVRHVIEIQGACYVLAGIYLIRFIRSGAAREAGILIDPSTAHRLVAVAIFVGAKFGGPIDRLPTRWTVVFETSSDAAIRAREMAGLERRFLIAVDYRLFVRADQFDWFCRVLERGPRPSGRCGGSGAGRKRTAAEAVEGEAEDGRRCVYGLLG >OGLUM05G12770.1 pep chromosome:ALNU02000000:5:15005927:15006178:-1 gene:OGLUM05G12770 transcript:OGLUM05G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERVAARGRGRRGAGKEVVATAPGRDWRWLTTVEVRERVRRGGDPLMPGLGSGAGKGQQGLSGLAARGRGRQGTDPVPTSLG >OGLUM05G12780.1 pep chromosome:ALNU02000000:5:15009292:15014671:-1 gene:OGLUM05G12780 transcript:OGLUM05G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASGGLSLVRRAVEAARRKPRWQKRLLVLTAGVGSLTYACQDNQVLQINDGTGKKRVVIVGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPIRNIVRKRGGAFRFWEAECYKIDPTNKKIHCRSDSDGNSEFVVDYDYLVVSVGARPNTFNTPGVVENCHFLKEVEDAQKIRKSVLKCFERASLPNLTEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVKKYANISVIEAGDHILTMFDKRITQFAEDKFKRTGIDLKTNFKVVKVSDKAITMTNSATGEIAVPYGMAVWSTGIGTRPLIMDFMKQVGQANRRVLATDEWLRVHGCDDVYALGDCATITQRKVMEDIASIFRVADKDNSGSLTVKKIKNVLGDIYERYPQVELYLKTNQMKDFHDLLKDSDGNAIKESKELNIEEFKKALARVDSQVKMLPATAQVASQEGAYLANCFNKMKYCEENPEGPLRIRGTGRHRFKPFRYRHLGQFAPLGGEQTAAQLPGDWIHVGHSTQWLWYSVYASKQFSWRTRMLVVSDWGRRFIYGRDSSSL >OGLUM05G12790.1 pep chromosome:ALNU02000000:5:15016627:15017166:-1 gene:OGLUM05G12790 transcript:OGLUM05G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDDKNFSPHQATTKESMNSKDDAKVQPSSRQIANKGNYYMGHVNAIMMMFQFICDGCQRVESSMEEYKSKKVEKGQGEKRKVGEGIACD >OGLUM05G12800.1 pep chromosome:ALNU02000000:5:15040374:15045662:-1 gene:OGLUM05G12800 transcript:OGLUM05G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZXJ3] MPPAGDDESPAATGDGSSKKILQGRYELGRVLGRGASSKVYRARDARTGAHVAVKAIRKQQQPCRSPEAAAAARRCVEREVAALRRVRGHPHVVALLDVLATRSTVYLVLELARGGSVLSALDGRSGGHYDEPAARRLFAQLASAVAHAHSLGVFHRDVKPENLLLDERGDLRLTDFGLSAFADADQHLGATDGLAATHCGSPAYVAPEILLKRRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCPKWCSPELRSLIGRMLDPEPDTRIKIGEIFDHPWFQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVPGGDSRSVLDRVEKLGREEGLVVRRKEEEWCGGMPPAGDDESPAANGADDGYSKVLQGRYELGRVLGRGASSKVYRARDARTGAHVAVKAIRKQPQPQPHHHPCCSPEAVAAARRCVEREVAALRRMRGHPHVVGLLDVLTTRSTVYLILELARGGSVLSVLDGRGGGHYDEPAARRLFAQLAPAVAHAHSLGVFHRDVKPENLLLDERGDLQLTDFGLSAFADADQHLGATDGLAATHCGSPAYVAPEILLKQRYDAGKADVWSCGVVLFVLTAGYLPFNDGNLMAMYRKICAAKFRCLKWCSPELRSLIGRMLDPKPDTRIKIGEIFDHPWFQQDGSSSSFGMIQAASSHSKPEVEKWEAELEQAMELNAFDIIGFASGCDLSGLIGPLPDRVRFVVPGADSRSVLDRVEKLGREEGLVVRRKEEECIEAKSAAATTRVEIRKKDL >OGLUM05G12810.1 pep chromosome:ALNU02000000:5:15049162:15051480:1 gene:OGLUM05G12810 transcript:OGLUM05G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAERMRPHSRSSCKLHVADAISSASVCCVSGPPQPAHATLAHGTLAATTINLRRSVVMTDEGSDCQDVYSVNCLEGIVKSPWGFAMLKGELFKEAYDYNVLLLRGSTTYDAIGRPQLAPSNGHWVQIFLGYFSMSKQSINNAGNKRKLDIVTVLI >OGLUM05G12810.2 pep chromosome:ALNU02000000:5:15049298:15051480:1 gene:OGLUM05G12810 transcript:OGLUM05G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIVPRSLFLSVACLPPFKASTDVAVLSSASRCLNGQRLLRSTQRPALAPTNAQLEQSSDEDQIHSTGGEQCTTAMADAAERMRPHSRSSCKLHVADAISSASVCCVSGPPQPAHATLAHGTLAATTINLRRSVVMTDEGSDCQDVYSVNCLEGIVKSPWGFAMLKGELFKEAYDYNVLLLRGSTTYDAIGRPQLAPSNGHWVQIFLGYFSMSKQSINNAGNKRKLDIVTVLI >OGLUM05G12810.3 pep chromosome:ALNU02000000:5:15049298:15051480:1 gene:OGLUM05G12810 transcript:OGLUM05G12810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSIVPRSLFLSVACLPPFKASTDVAVLSSASRCLNGQRLLRSTQRPALAPTNAQLEQSSDEDQIHSTGGEQCTTAMADAAERMRPHSRSSCKLHVADAISSASVCCVSGPPQPAHATLAHGTLAATTINLRRSVVMTDEGSDCQGELFKEAYDYNVLLLRGSTTYDAIGRPQLAPSNGHWVQIFLGYFSMSKQSINNAGNKRKLDIVTVLI >OGLUM05G12830.1 pep chromosome:ALNU02000000:5:15092198:15093387:-1 gene:OGLUM05G12830 transcript:OGLUM05G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGRRHQDNIPSVVLLELWGHVADDDHRADATTGTSTTSTGLPISVTLCAATPPSLSHLSVDCPGLVDLDPNPSDKFVAPTVISTDADLVLLRVPVDRFARFDNCFSDYFVYKDDDDSYAVAALQPLHHVHLRLHLCRCTPDGKPGSWTSHQLTVEEPLRGTVCPVPDSALRRIFHATTKVITLGGAKGTVGWVDLWRGILLCDVLDEIESPKLRDLPLPLPSKGNWPLFLNRCPYYCRDIVVNQSRDTIKYVEMEFTILNSSQDPRSSYHEWVASQEFRSSHLDILVDDGSWKINTWNMPIPVTSWDDWKPGCSVTSNHLDTALHSYMRKIDYKRKKRRHIRGESSHGLPYPEHR >OGLUM05G12840.1 pep chromosome:ALNU02000000:5:15094985:15099743:1 gene:OGLUM05G12840 transcript:OGLUM05G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZXJ8] MMELENNGNILLRRYEIGKLLGQGTFAKVYHGRNIVTSQSVAIKVIDKDKIFKVGLMDQIKREISVMKLVRHPNIVQLYEVMATKSKIYFVLEYVKGGELFNKVAKGRLKEDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDENGNLKITDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGVKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKAEFKCPAWFSSDVRKLVSRILDPNPRSRMPITKIMETYWFKKGLDSKLILKNVETNEPVTALADVNVVFSSMGSSSSKKTEEKQDAGKLTNLNAFDIISLSEGFDLSGLFEETDKKKEARFTSSQSASAIISKLEDVASCSKLTVKKKEGGVLKMEGASEGRKGVLAIDAEIFEVTPSFHLVEIKKNNGDTLEYQHLWKEDMKPALKDIVWAWQGERQDQQPEDHGQP >OGLUM05G12850.1 pep chromosome:ALNU02000000:5:15113367:15115058:-1 gene:OGLUM05G12850 transcript:OGLUM05G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPIIPSSSGSDGEEARTKLGRLNAAVERSWVGRRFKLAPRGSTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTADDCDAPSPACRFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGTFANLPIALAPGMGTNAYFAYTVVGFHGSGTLPYRTALAAVFLEGLIFLFISLVGLRSKLAKFIPKPVRISSSAGIGLFLAFIGLQSSEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVKGAMIYGILFVTFISWPRNTAVTVFPDTPAGDESFGYFKKVFDVHRIQSTAGALDFRGARHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDATAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAAYFAAALFVTPLLASVPSWAVGPPLVLVGVMMMRAVAEVDWADMRQAVPAFLTLALMPLTYSIAYGLIGGIASYMLLNSWDWACHAVAVLRSRRRRGARAETRSATAGDNGEQRKNLEMA >OGLUM05G12860.1 pep chromosome:ALNU02000000:5:15183712:15188119:1 gene:OGLUM05G12860 transcript:OGLUM05G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDVENPFISSISEAQLPSYCRMPYRNHPRVTINVYEPKVKHHWVPSGSWIQIENGTGCGDVNDEAQNKSCTDHSRPGFVQVSSSVVLGGRLNHASVYNGTQYRIQVLIFKVMGRYTKWGAYVQGPTV >OGLUM05G12870.1 pep chromosome:ALNU02000000:5:15188786:15195007:-1 gene:OGLUM05G12870 transcript:OGLUM05G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRQPSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPTSASNFVSSPAACADPHPASRGGARRPPSSTASSLAGEHPSLPTPHQVFCVIPQKVWGFNRNRVRSASAQLHLLSISSALCAISPLERRGR >OGLUM05G12870.2 pep chromosome:ALNU02000000:5:15188788:15194977:-1 gene:OGLUM05G12870 transcript:OGLUM05G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRQPSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPTSASNFVSSPAACADPHPASRGGARRPPSSTASSLADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVFQTIKILYEGAKELSQVESDSSKYVISPDNQEIGEKLSDIDGRLDYPLLNKELVLDVKRLWQDPAIQEDVLYARVRTNGVVQIQFSPVGENKRGGEEARGMRGESGFIFLKVLMRYDQMLFEDETKNRMMETKELFDWVLKQRCFEVPLSVCEWFKDYQPIAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLIKKTFKLIDESMRRSREGT >OGLUM05G12870.3 pep chromosome:ALNU02000000:5:15188786:15195007:-1 gene:OGLUM05G12870 transcript:OGLUM05G12870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPHGPVHMTHRQATPRQPSAARPPPPQSVPSPRPPPSTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSHPTSASNFVSSPAACADPHPASRGGARRPPSSTASSLAGEHPSLPTPHQVFCVIPQKVWGFNRNRVRSASAQLHLLSISSALCAISPLERRGR >OGLUM05G12880.1 pep chromosome:ALNU02000000:5:15194618:15195274:1 gene:OGLUM05G12880 transcript:OGLUM05G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGERRCGGRRRTPGATSARRMGIGASGGRGDEIRGRGRMGWIRMLTGERMRREQEDAGATSASRMEIGASGRRGDGSRGRRARRRDGLGRRRSCSARLPRAYVDPTSQLAIFFHLTPLYSHLNLNLQGIRQWVGRRVSVGGEKLNGGGRQLT >OGLUM05G12890.1 pep chromosome:ALNU02000000:5:15198419:15202587:-1 gene:OGLUM05G12890 transcript:OGLUM05G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGAKAQGAGHACLCLCANLISGRLHFPPRVARSLEPSNPPPSLPPHSPPPPARRGAAADDLRSSSTRATDEASLARSRLTTGPDSAAASCPTAAVLQEVVVLICCSPVASISMALLQFGGTLAPKLGEKPQPLPRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >OGLUM05G12890.2 pep chromosome:ALNU02000000:5:15198419:15202587:-1 gene:OGLUM05G12890 transcript:OGLUM05G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGAKAQGAGHACLCLCANLISGRLHFPPRVARSLEPSNPPPSLPPHSPPPPARRGAAADDLRSSSTRATDEFGGTLAPKLGEKPQPLPRSPALTRVIYADPRFLVSKSGSGGRLKHLVSPTASLQSRTSSRLFNHAPSPRFRHRRSSRFIVRADADFYSTLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGRAARNRPMQGDDEAYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGTGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRKTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELANLNKAQTANSRR >OGLUM05G12900.1 pep chromosome:ALNU02000000:5:15264815:15268393:-1 gene:OGLUM05G12900 transcript:OGLUM05G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRVVFMFIIAVMLLGDTSLAFDNAVGEKISNMETSLTMKVDPQLCQICEEFATEALFYLNENETQVEIIATLHQACSKFPSFKLECTKLVDYYVSLFFTKVTSLSPEEFCESVSLCHKVTFIRLPRHEDSCDLCHEVVDEILTDLENPDVELKIIEVLLKGCNNAENFVQKCKKLIIQNAPIILEHIKKFLKKRDFCNSIHVCGGKIIPARAGDLGALSAA >OGLUM05G12910.1 pep chromosome:ALNU02000000:5:15270615:15270965:-1 gene:OGLUM05G12910 transcript:OGLUM05G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDSSDHTAEDQLAEEEGHYIDDAHHLVPSSGQEEGSSGRDVVVPGDHNGEDNYPNDLIPDLDLDILVDSIVSPVPSGHLNADAAIIVPTTGGVRTSTPLALPLTATTSPRPRSQ >OGLUM05G12920.1 pep chromosome:ALNU02000000:5:15274011:15279577:-1 gene:OGLUM05G12920 transcript:OGLUM05G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVAHIVIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYSSTTAAVSATRSESDCRGQTYGGSSLSWCRIEWKAVARTEGPGVLHVRQPLAVRGHGNRHAAAVQGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTLFLIPNFSNGGTITYQAQSQVEEALVTAASKLNMVLRFLSTVFNSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >OGLUM05G12920.2 pep chromosome:ALNU02000000:5:15274011:15279577:-1 gene:OGLUM05G12920 transcript:OGLUM05G12920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVAHIVIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYSSTTAAVSATRSESDCRGQTYGGSSLSWCRIEWKAVARTEGPGVLHVRQPLAVRGHGNRHAAAVQGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSNGGTITYQAQSQVEEALVTAASKLNMVLVSKDSNTAEISFNSCKFYYDLLDILEFNSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >OGLUM05G12920.3 pep chromosome:ALNU02000000:5:15274011:15279577:-1 gene:OGLUM05G12920 transcript:OGLUM05G12920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVAHIVIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYSSTTAAVSATRSESDCRGQTYGGSSLSWCRIEWKAVARTEGPGVLHVRQPLAVRGHGNRHAAAVQGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKGYPLINGGTITYQAQSQVEEALVTAASKLNMVLVSKDSNTAEISFNSCKFYYDLLDILEFNSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >OGLUM05G12920.4 pep chromosome:ALNU02000000:5:15274011:15279577:-1 gene:OGLUM05G12920 transcript:OGLUM05G12920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVAHIVIRYNEIWGAVGLYTMIDANGLALAVRSTVTTRSSICVWKPAEVAISGGGSEDHRSAWLLPCSLSYMVAYSSTTAAVSATRSESDCRGQTYGGSSLSWCRIEWKAVARTEGPGVLHVRQPLAVRGHGNRHAAAVQGGSSAGDEKGSGNINVSTNVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKGYPLINGGTITYQAQSQVEEALVTAASKLNMVLVSKDSNTAEISFNSCKFYYDLLDILEFNSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >OGLUM05G12920.5 pep chromosome:ALNU02000000:5:15274011:15279577:-1 gene:OGLUM05G12920 transcript:OGLUM05G12920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYILSDRTGTLTENRMIFRRCCMSDTLYGENNGDALKDARLLDAVSCNDPDIVKFLTLFLIPNFSNGGTITYQAQSQVEEALVTAASKLNMVLVSKDSNTAEISFNSCKFYYDLLDILEFNSDRKRMSAVVKDVQSGKILLLSKGADEAILPRCHQGTWYNRENCIVFM >OGLUM05G12930.1 pep chromosome:ALNU02000000:5:15289854:15291322:1 gene:OGLUM05G12930 transcript:OGLUM05G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEERAASATSTTTMASRLLHSPDAAVSNPSFRVYYGVASAGSVPFLWESAPGTPKNDAISAAALPPLTPPPSYYTTSKKGSAAAAKAKLAKSTSSKRLLCSSSRQAASFVHSIIPKLRRSHTMPSPMRSSASATAAAAGDGEQVQCGAPRKRLVASPRSSFSSTSRGDDDDGEVASPTSTLCFRTRHSGGGGGGRRLHGLLASVVGDIH >OGLUM05G12940.1 pep chromosome:ALNU02000000:5:15299581:15299959:-1 gene:OGLUM05G12940 transcript:OGLUM05G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGSATPIPASSTHCPATTSSSSAAPAAVPTPAPSTHCPATASSSPAVPALAQGGGAQRGRCADEESAKVPPSPPSSARSTISTYLASSSRWRRMRPPVTSSDVAWTVEDVAIGDELRRGT >OGLUM05G12950.1 pep chromosome:ALNU02000000:5:15300229:15311500:-1 gene:OGLUM05G12950 transcript:OGLUM05G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAAGPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLARRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAAHDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >OGLUM05G12950.2 pep chromosome:ALNU02000000:5:15300229:15309912:-1 gene:OGLUM05G12950 transcript:OGLUM05G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MPRRGLGCPDPTLDGLYMLAWDFMTSRLQRCTPDGACAPATRSQVAFFYAALYTMAIGAGGTKPNISTFGADQFDDFDARESRTKASFFNWWMFSSFTGGLVAVLVLVYVQENVGWGVGYAIPTAGLALSLLLFYVGTPFYRHKPVRRGAAAGPARLVGRVFRAAFANRRRQLHGDQLHEHDAAWYAAAGTKRRLHHTRGYRFLDKAALPAAAAEAEACTVTEVEEVKLITGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTVGGVRIPAASLGSFITISMLLSIPVYDRVLVPLARRRTGEPRGITLLQRLGVGSALQVAAVACACLVELRRMRAIRAASATAAHDTVPMSIFWMLPQYILIGVGDVFSSVGILEFFYEQSPQGMQSLGTTFFTSGLGVGNFLNSLLVTAVDRATRGGGAGKSWIGDNLNDSHLDYYYAFLLLLAVINLAVFVWVATRYEYKKEYLSDGGDVVAGMASRETEMAGGGKGKVVERSKVIDAPLVVVEEVRAV >OGLUM05G12950.3 pep chromosome:ALNU02000000:5:15309987:15311500:-1 gene:OGLUM05G12950 transcript:OGLUM05G12950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40460) TAIR;Acc:AT2G40460] MEEEAKQEFTKDGSVDLRGRPAVAARTGRWKACSFLVGYEAFERMAFYGVAANLVVYLTTELREETVSSVRNVNNWTGSVWMTPIAGAYIADAFLGRFWTFTVSSLIYLTFCYRFSLKSSVSPETSALLP >OGLUM05G12960.1 pep chromosome:ALNU02000000:5:15314015:15315791:1 gene:OGLUM05G12960 transcript:OGLUM05G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLERQPRRVLPQLGASMIPLINGNEVSLPQVCSPISIYLLVGQYYPWAICQSHLITQAADIAVEEAWGQDHPMGQVMEVNVDERLALVPIVDNNTQIQQPAHEPQVENFLKALEVLARNESPRHPYFYPMTGLNDRIEKLCKAKDFMALSCKLAGFQLLLRWQIPHYSVVQKNDVWVLWPIEYVSSPQEGSQAHAEMEIVDVVPLDIQPPLSLVCVAPPVLKLPKAHVKKRDGKTSFFNPYRRQSSRLSNEQRISEGGS >OGLUM05G12960.2 pep chromosome:ALNU02000000:5:15315793:15323977:1 gene:OGLUM05G12960 transcript:OGLUM05G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGKPRGKSAKNLKEFAGIVKLFVDGSIKESDGSGQLDMCGLSPEDVAESSLGLASQKKYGVDMELWTPHTSKLKGKYLL >OGLUM05G12970.1 pep chromosome:ALNU02000000:5:15324901:15326443:-1 gene:OGLUM05G12970 transcript:OGLUM05G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSREQKRASLHEKLQILRTLTHSHAVNKMSIISDASTYIKDLKQKIAALNKELGCAKNMNICEEPSPVVRVQVLDKGFLINVFMDKSSPGLLSSILQAFDELGLTVIEARASCSNSFRLEAVGGEHEEADGGIDANAVELAVMQAIKSTPGK >OGLUM05G12980.1 pep chromosome:ALNU02000000:5:15371382:15371645:-1 gene:OGLUM05G12980 transcript:OGLUM05G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACFDVQHAQLFPRNGADVTPTKSSASGTSGTITKPVAESTAVAVEHVFPATPASSAPLISSTAMTTPISLTMTKEADADMGKVI >OGLUM05G12990.1 pep chromosome:ALNU02000000:5:15371727:15371969:-1 gene:OGLUM05G12990 transcript:OGLUM05G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDSTDGQRSGQQLDGGASERDAHHLFGEMPSQLEHDSSAVLHVAVSHGLFPVTHEVLSQVYDAYGAVAVQVLAVSLGH >OGLUM05G13000.1 pep chromosome:ALNU02000000:5:15388558:15391253:-1 gene:OGLUM05G13000 transcript:OGLUM05G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14910) TAIR;Acc:AT5G14910] MSSPAATARLPASPSLGPRSLRLLRGAPARRGSRRLGVVRAASAEVAAPDAAAAAPGYTSDSLILYFKAEGTMEERAIPKITQALEGVEGVSDLEVLIEEGIGSVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFDDFNEDAATVAGEDDDQATE >OGLUM05G13010.1 pep chromosome:ALNU02000000:5:15393623:15396338:-1 gene:OGLUM05G13010 transcript:OGLUM05G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSVSCIFGSVTYLDSVVGWRNDLQVNWLICILFEVLSDCLRYHSSTLF >OGLUM05G13010.2 pep chromosome:ALNU02000000:5:15394788:15396338:-1 gene:OGLUM05G13010 transcript:OGLUM05G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSVSCIFGSVTYLDSVVGWRNVWIECLRGHMHVTNSSL >OGLUM05G13010.3 pep chromosome:ALNU02000000:5:15395025:15396338:-1 gene:OGLUM05G13010 transcript:OGLUM05G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGQLDPAPPAPYSPEYSTYEPCRHVWRSCSPLRCCRRTCGSPPSSCCSSSNPPSATSSPLPYSPEQWGHQTAGNESGAARSSATSPMAVAPARRWPGAALPSSGEEGPGQDLQASYLSMKIGGMFQEVIAMSVPVLNQSSSAPFQAIIGTTSDILLTAKPGSHFSLSGWHVSFLLVKS >OGLUM05G13020.1 pep chromosome:ALNU02000000:5:15468336:15468797:1 gene:OGLUM05G13020 transcript:OGLUM05G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIPIESSNPSTNRSMPQPSTFIPLRTPPFPALPCRIASLPSAATRTSTRHYLCALTGKASRPLPPSAASPTFPSAAVANGYPGSNRRTAFYLASAQPASQAAARPPSLAPVQPMGDDTPAKEPPSQPTGDDAACHQRRHHNKGDTAPLLES >OGLUM05G13030.1 pep chromosome:ALNU02000000:5:15481547:15488570:1 gene:OGLUM05G13030 transcript:OGLUM05G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERSRAGGAAVAVDGESLRRPEEEGGRRKKGGWITFPFMAVSLLAFGLSSAGAMGNLVVYLVKEYHVPSVDAAQISTIVSGCISVAPVAGAIVADAFFGCFPVVAVAMVFSVLALVVFTLTASVRGLRPAACVPGATACEAATAGQMAVLYAGVLLLCVSSAGARFNQATMGADQFDAAADRDVFFNWYFIFFYGSAVLGSTVLVYVQDAVSWELGFGLAAAIAAAGLAALLLGARYYRRPAARGSPFTGIARVVVAAARKRKIDVAAAAASGDLKFYYGPRSGDGDDDGGKPSDDNNFAPSDSFSFLNRAAVITDGDVDAADAAAPLRPWRVCTVRQVEDLKAVLRILPLWSSSIFLSISIGVQLNLTVLQALAMDRAIGRFHVPAASMVVSSFVAVVVSLGLIDRALLPLWRALTGGRRAPTPLQRIGVGHVLTVLSMAASAAVERRRLATVRAHGEAARDDPAWVSPLPAAWLVLPFALSGAGEAFHFPAQVTLYYQEFPPSLKNTASGMVAMIVALGFYLSTALVDAVRRATAWLPDNMNASRLENLYWLLAVLIAINFGYYLACAKLYKYQNFGK >OGLUM05G13040.1 pep chromosome:ALNU02000000:5:15488827:15500930:-1 gene:OGLUM05G13040 transcript:OGLUM05G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVRVDKATSELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRLQHKNSKVQFFALTLLETLMKNCGDHVHSQVVERDILQEMIKIVKKKTDMQLRDKILVLLESWQEAFGGNGGKHPQYYWAYAEMKKLGLEFPRRSPDAAPILTPPITRPTSLESYHQPSYGMPVNSSSRFDEAMPSNGPSLSSSEMERMLGAVELLSEMLKAVNPHDRGAVNDEIITELVKQCRSDQKKIISLVTSLRDEELLGQALDLNDRMQILLGKHDAIASGSPLPDEETDIMNESSAETTSTPVATGAPRAAVAAIVPTNVFDEEEEDEDDEFSQLARRSTNAESTHSGVATSLSTAHDDEITSSGSSGTSTVSPPVQSYALALPDPPAPVRTAEEQVMSDLLALTISNPSPPQTPPTPEATTTMNQGGSQANDHPQPSYSNQGLAAASYNSYVAPWAQPQSQTPGIQLQQHQQPSQSQLPYNSSPYPPPPWASEDTAESNPFIAASLKNQPTSASPVNVPLNLRPLQQSNSFGVPLRSAGPQSPINGSTKQPMSAGARRPSYVSSNKYFDDLFEKNADGSLMKVGGSVGGGASSPYKT >OGLUM05G13050.1 pep chromosome:ALNU02000000:5:15490757:15493319:1 gene:OGLUM05G13050 transcript:OGLUM05G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHVAAALVVLVYIPLSIPVKLFLWAFVKPLRKENLRGKVVLITGASSSIGEIHMHPVYAYAFSLILI >OGLUM05G13060.1 pep chromosome:ALNU02000000:5:15515414:15517452:1 gene:OGLUM05G13060 transcript:OGLUM05G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRPDGAAPPVPVAAAPVVPVDFTVVKRRLGGGGGMEVRDASGGLAFRFVAAAGGGGRALLDAAGGVLVTVRSGEGEWQAFRGNSLDYKDIIYTAKSISVCSNRKEVHVFMPPRSNSQDTKASYRLIGNTSRRACTIISGDSIVSQTNLLYKLKKVVYSTRKFRVTIYPGNDTLLVMAMVMNFFMEK >OGLUM05G13070.1 pep chromosome:ALNU02000000:5:15558157:15559251:-1 gene:OGLUM05G13070 transcript:OGLUM05G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSNQASPERTELGPRWGEEEHTPVGPSVTATGLPPPAFAPTAATLPDWQSPSSRRHRRRAPFLQQELLKSAIKARES >OGLUM05G13080.1 pep chromosome:ALNU02000000:5:15576561:15579401:1 gene:OGLUM05G13080 transcript:OGLUM05G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESQVIIVTLLLFCIVLIIRGEEIQHINPRRSTNQDLTNQEVNKIIQAEDGDVYDCIDINRQPAFNHPLLKDHKIQLKPNSFPVGIDVENPFMYPISEAQLPTAECPTGTIPILCNNRQENISTKNTDAIGTSQQQEVAGIKYFDDIYGTQAAINIYEPMVKHHWDLSGSWIQIENGPDVIGAGSWVSPSFSGDSFARFHISWRDEVQNKSCNNHKCPGFVQVSSSVVLGGRIQPVSVYNGPQYAIKVLIFKDPKTENWWLVYGEEKTAIGYWPSSQFSYMKEMASKALWGGYVQGPTASEDSPQMGSGHFASEGYGKAAFVRDIQVVNEDNMRVIPNPVKADPGSTNRRKYTYEYYGHNPNGMNVYYGGPGSYS >OGLUM05G13090.1 pep chromosome:ALNU02000000:5:15604652:15612933:-1 gene:OGLUM05G13090 transcript:OGLUM05G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKTLTGEFCKRQKQSNTSTSSYFLIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEEAREMRGESGFIFLKVLMRYDQMLFEDETTNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQETFYKFVKKKFEELYFQSSKPDRVDRVFKIYRTMALDQKLVKKTFNVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >OGLUM05G13090.2 pep chromosome:ALNU02000000:5:15604652:15612933:-1 gene:OGLUM05G13090 transcript:OGLUM05G13090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEEAREMRGESGFIFLKVLMRYDQMLFEDETTNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQETFYKFVKKKFEELYFQSSKPDRVDRVFKIYRTMALDQKLVKKTFNVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >OGLUM05G13090.3 pep chromosome:ALNU02000000:5:15604652:15612933:-1 gene:OGLUM05G13090 transcript:OGLUM05G13090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWIIHHHKEWLEQGRGAGAELHLASRVGEGKGSCRSHSLSEAETAENAKIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYEGAKELSQVESDSSKYVISPDNQETYLRGSILQLPDCAQYFMENLDRLAEADYVPTKEDVLYARVRTNGVVQIQFSPVGENKRGGEEAREMRGESGFIFLKVLMRYDQMLFEDETTNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFEKKIQKVPLSVCEWFKDYQPIAPGKQEFVKKKFEELYFQSSKPDRVDRVFKIYRTMALDQKLVKKTFNVHQLVKIVPQDHDSDELWSAIRGDDCVEYGYVHPECRRQ >OGLUM05G13100.1 pep chromosome:ALNU02000000:5:15631207:15632182:1 gene:OGLUM05G13100 transcript:OGLUM05G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSCQADGPDGGDPFHLALKETLAPHRRECAGDEYENGHLASKSYVGQDAGFTIPISDQNPLMSGTIGFRGIRC >OGLUM05G13110.1 pep chromosome:ALNU02000000:5:15635210:15639175:-1 gene:OGLUM05G13110 transcript:OGLUM05G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPPPPPQPSVSFKLVLLGDGRVGKTSLVLWYVNDVFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDNDTFLRVTKWVKELKQMANKDIVMAIAANKSDLVRSKHIDTNEAASYAESIGATLFVTSAKAGTGIDDIFSDIAKRLLEKRKNSSDGLSLAHPKKGILIIDDEPEKEPPPKCCS >OGLUM05G13120.1 pep chromosome:ALNU02000000:5:15653343:15654485:1 gene:OGLUM05G13120 transcript:OGLUM05G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPELNSLMDDVVEEILLRLPPDDPSCAVRASLVCKRWRRLLADDPGFQRRYRAFHRHRRARAPPPLLGFIHHVSDDQHPGAPTVSRFVLTTAFRPAEPERRRGWWWPIDCRHGRALFHSAGEGLAVWDPMAGDVRWQQEPRIPASDCMYSTAAVACAAPGCDHDHDHGDCGGGPFVLVFVAVDERHETASAFSCSSETGEWSSAPSTVHLDREYLYSKPSVLAGGAVHFLTDYGRTVLRYDLAKLELSAIEPPEVHSDVLLTTTEGGDLGLAILDDQRYLRLWAWAADHGVTRRWVRRRVVDLFAELPFLQHVLPLNLTGFDEGTGMIFFQASDGDYAIDELMSSPRAKKLWGRDNFSNVFPYRSFYVHSNSLRRRLT >OGLUM05G13130.1 pep chromosome:ALNU02000000:5:15658775:15660337:1 gene:OGLUM05G13130 transcript:OGLUM05G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADSAGAGAPEAIDGELVELELGERNNGVPPVAEEEGGEPRPGGRPPASGRRLLRRLSPASVARACGRWLRHPAHLALLAWALCVAASGAMLALLLLGALDGAFPRKSARNRWIEVNNQVLNALFTLMSIYQHPALFHHAAMLLRWRPDDVKALRKAYRRRRKAAAAGDGAGGWERLHMSVVVALLHVACFAQYAMCGLYWGYSRKARPDAAETSLAVIGAATPALAGLYAYFGPLGRRKPGTATSARHQEEPDDLELAAAAAADVVVAEWAGGLLDVGDDPAAWWLSCLCTFCVFGWNMERMGLGNKHLHAVTFALLCFAPLWVLNVAAMNIRDEAVGDAVGAAGVALCALGLLYGGYWRARMRRRFGLPPGRHGGGGACCGSPSSLADYLRWMFCWSCALAQEVRTANVLLLDADEAGGAGGGSSSSGGGGRGDATLLQPLPRENGVKLAFHHAAAVPVDTDAAYGPPVNGSPRRGGGGDESPLLQRQQGRESPAEEMRPPLPPLMTEAECRRVQQ >OGLUM05G13140.1 pep chromosome:ALNU02000000:5:15660942:15664589:-1 gene:OGLUM05G13140 transcript:OGLUM05G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVHFNMWHGMMVNGFMDISNLSAKIICQGKMLISVSRSGTGDRLRDYKLIYALDVGKTFGDYDSPAAAAELASDKVHANGDAADAGGGGEEEVVVSSSKAVVEELYRALERGDGDAVRRLLNPDVDWWFHGPRAHQHLVLMRLLTGGGGGAAGLPFKVRGVDAFGETVLAEGTDATGKLYWVHAWTVGPGGRVTGVREYCNTALVVTRLGGGGGKGAEAAAAPCSRSQSEQVWQSRLPDRARKNLPALVLAI >OGLUM05G13150.1 pep chromosome:ALNU02000000:5:15671220:15671750:-1 gene:OGLUM05G13150 transcript:OGLUM05G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT5G01740) TAIR;Acc:AT5G01740] MELEAEEEAMMTARRENERLVESLYAAVAAGDGAAASAVLAGDVDWWFHGPRRCEHMRRRLTGEAEAASASSFVFAPRRVAAVGRGGGWVVAEGWEGPRAYWVHAWAVEGGRITRLREYFNTSVTVRDVGGGGHCRPQLDGGGVRRRAAVCWQSQRGRGGGDDDDDRSLPGLVLAI >OGLUM05G13160.1 pep chromosome:ALNU02000000:5:15695907:15700644:1 gene:OGLUM05G13160 transcript:OGLUM05G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGAVEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDEMNDDEKQ >OGLUM05G13160.2 pep chromosome:ALNU02000000:5:15695907:15700643:1 gene:OGLUM05G13160 transcript:OGLUM05G13160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNGGAVEEERKPLSEVVGDCVQRWFQDALKEARRGDSAMQVLVAQMYHSGYGIPKNEHKGRAWAEKASRYRPSVWKVGTKRPGYNASDSDSDEMNDDEKQ >OGLUM05G13170.1 pep chromosome:ALNU02000000:5:15720083:15720658:1 gene:OGLUM05G13170 transcript:OGLUM05G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSSVTVSRTTPTTAATPPPPVPPLCLLHRCEEPVRRRYTSSASAATARSPSATAMPFPLPAPPLYLLGRCEELVRRRYASSSASAAATPPPSTPPLHLHCLHEELVRRRYASSSAGAAALHPPLPRGASSAIASPYSSPLLHLLHHHDGATLDRRETRREERLTSGSHTGFYPPLPCWHATWVKVANS >OGLUM05G13180.1 pep chromosome:ALNU02000000:5:15723437:15724192:-1 gene:OGLUM05G13180 transcript:OGLUM05G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDDDEDVEEMQGYRIGRIGSASCKEDRLDQMGSAVGTRLCTAANNAAVSLPPGWGRSRSTALGGRQRRTAGPARRASQVAVDGDGGLRHSCSIPFVHVRPAGTVPVLGEAHGVMVSQWPIAVDLETNIWPSLNFAPSSIPPARLPHGSDKQ >OGLUM05G13190.1 pep chromosome:ALNU02000000:5:15773141:15775994:1 gene:OGLUM05G13190 transcript:OGLUM05G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGGLDHGFTFTPPPFITSFTELLSGGGGDLLGAGGEERSPRGFSRGGARVGGGVPKFKSAQPPSLPLSPPPVSPSSYFAIPPGLSPTELLDSPVLLSSSHILASPTTGAIPAQRYNWKASADLIASQQDDSRGDFSFHTNSDAMAAQPASFPSFKEQEQEQQVVESSKNGGAAASSNKSGGGGNNKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNGCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNASSSCATAAACADDLAAPGAGADQYSAATPENSSVTFGDDEADNASHRSEGDEPEAKRWKEDADNEGSSGGMGGGAGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERASHDTRAVITTYEGKHNHDVPVGRGGGGGRAPAPAPPSSGAIRPPAVAAAQQGPYTLEMLPNPAGLYGGYGAGAGGAAFPRTKDEPRDDLFVESLLC >OGLUM05G13200.1 pep chromosome:ALNU02000000:5:15779474:15780040:-1 gene:OGLUM05G13200 transcript:OGLUM05G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVVGGGDGWWRWRCEIRRSVDESTYGDGSLWWRRLQHRLRPGGHRSAPSDGCNGFSWPLSAGSVVTRSSQLMVRDDSPSAMWWLFLWCLDESFQCQGFVVRLELTLLRFNDELRGHLLLSPGMLTPKSTAQ >OGLUM05G13210.1 pep chromosome:ALNU02000000:5:15810166:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSQRRARSTTRGICELSRWIAAGRIILLWSSLRTSLQISSKAINEKDKWTPINKHNIKKMILEGSLTTTTFPFPAKKKKKNYNIPIGKGGFGEVYKCYLDGGSPVAVKKYICQNSKEGFAKEITVHGQINHKNVVRLLGYCAEENASMIVIEFISGGNLRDLQDNDNPIPLDARLSIGVECAEALAYTHSSMYQPVIHGDIKPDNILLDNNLGARLSDFRISRLVSNMDKTQYTVYVKGSRIFRVVLLEMITRAKASENGISTGLVKNFTKALGEGNQKTAMMFDVGVANKSDMKARAMIRGLRFALACFIERLVVEGDDLVLVQLIRGEETQTRIPAAMQEEILNLLRCFAEVDLEMNKVMHQWTHGDEQGEGGIANSSGSIEEDKYWTEEMERWITIGRPT >OGLUM05G13210.2 pep chromosome:ALNU02000000:5:15810166:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSQRRARSTTRGICELSRWIAAGRIILLWSSLRTSLQISSKAINEKDKWTPINKHNIKKMILEGSLTTTTFPFPAKKKKKNYNIPIGKGGFGEVYKCYLDGGSPVAVKKYICQNSKEGFAKEITVHGQINHKNVVRLLGYCAEENASMIVIEFISGGNLRDLQDNDNPIPLDARLSIGVECAEALAYTHSSMYQPVIHGDIKPDNILLDNNLGARLSDFRISRLVSNMDKTQYTVYVKGSRIFRVVLLEMITRAKASENGISTGLVKNFTKALGEGNQKTAMMFDVGVANKSDMKEEDKYWTEEMERWITIGRPT >OGLUM05G13210.3 pep chromosome:ALNU02000000:5:15809438:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISGHQSTNTILRCSQKMILEGSLTTTTFPFPAKKKKKNYNIPIGKGGFGEVYKCYLDGGSPVAVKKYICQNSKEGFAKEITVHGQINHKNVVRLLGYCAEENASMIVIEFISGGNLRDLQDNDNPIPLDARLSIGVECAEALAYTHSSMYQPVIHGDIKPDNILLDNNLGARLSDFRISRLVSNMDKTQYTVYVKGSRSYVDPEHLETGLVDPKNDVYSF >OGLUM05G13210.4 pep chromosome:ALNU02000000:5:15809438:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISGHQSTNTILRCSQKMILEGSLTTTTFPFPAKKKKKNYNIPIGKGGFGEVYKCYLDGGSPVAVKKYICQNSKEGFAKEITVHGQINHKNVVRLLGYCAEENASMIVIEFISGGNLRDLQDNDNPIPLDARLSIGVECAEALAYTHSSMYQPVIHGDIKPDNILLDNNLGARLSDFRISRLVSNMDKTQYTVYVKGSRSYVDPEHLETGLVDPKNDVYSF >OGLUM05G13210.5 pep chromosome:ALNU02000000:5:15827356:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASWRLLPPAASSPPPGRQAALLRRHPAAITTSSSSGKRTTRLLCLLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >OGLUM05G13210.6 pep chromosome:ALNU02000000:5:15827415:15829585:1 gene:OGLUM05G13210 transcript:OGLUM05G13210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASWRLLPPAASSPPPGRQAALLRRHPAAITTSSSSGKRTTRLLCLLHDTPPPAPPPAADEISSPPLRKLAAALQCGAIWAAVEAPAALAVTGEEDLDILGILPTVAAIAFVYLFVFPPIIMNWMRLRWFKRKFVETYLQFMFTYLFFPGMMLWAPFVNFRKFPRDPTMKYPWSKPKEGTPLFKDRYPPIETYK >OGLUM05G13220.1 pep chromosome:ALNU02000000:5:15831715:15838785:1 gene:OGLUM05G13220 transcript:OGLUM05G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTMAGVDLPPRRPYPSPPAYKRQPPLPPLSPHTAALSLHSSRSPRRSLLVPPPSQLRPPLAGGRDLVGARSRHHRLRRFLLFPVHPSVKHEDRGYDDADDPKLLAVSPSPPATPSTSRHRRWKGSGQAHVATKWAQVYINALLDNVDHT >OGLUM05G13230.1 pep chromosome:ALNU02000000:5:15878947:15879792:1 gene:OGLUM05G13230 transcript:OGLUM05G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPDAPRAGAAEEQPGPSSSSSAPAPAASSNEEEGRHQSQAQQQVQEAQPQPLAQQAPAAAGLSRYESQKRRDWNTFLQYLRNHKPPLTLPRCSGAHVIEFLKYLDQFGKTKVHADGCAYFGEPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKRKRGAAAAPPVVVAPPPVVTAPDATTGTSGGAGDDDDDDEATHSGEQQDTTPAASPTTPPATSVGTTTAAATAAAAKGSAAKGSATSS >OGLUM05G13240.1 pep chromosome:ALNU02000000:5:15924383:15925012:-1 gene:OGLUM05G13240 transcript:OGLUM05G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDKGAGEEVVAIEEEVGAHEHLWSLVLASSSTSALMLVWRMPSTTRLGKMSARGVKVVDVEEQLLVTKVEAVEEYDTEDIDPAWLEWKPPPKLIGGAASDKEVAVAAHGLPLRSPVVGEGHRGEGEKEIVDSAREEEDKVGEDKINGRGILVHI >OGLUM05G13250.1 pep chromosome:ALNU02000000:5:15938662:15939372:1 gene:OGLUM05G13250 transcript:OGLUM05G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral organ boundaries (LOB) domain family protein [Source:Projected from Arabidopsis thaliana (AT2G30130) TAIR;Acc:AT2G30130] MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPAQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQHRDDGAAHQLDAAADHHPLLDQQQQQQMVVDAADAAASFLVQNGGGGGGPAAQLISGYGSPAAGGGGGHGVVHYAAAQEHLKRESLWT >OGLUM05G13260.1 pep chromosome:ALNU02000000:5:15950349:15959611:1 gene:OGLUM05G13260 transcript:OGLUM05G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQFILRVPPSVAERIERLMNESAAASSSSNPEDASLDLSFSEDGRNGTFMIGNESFPASLLDLPTVVESYKTYDDSVLIKTADIGQMIMVREEEDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVHRVEKDLISIMHGNASAILRAGEGGDRKKAGPAPATKPNVKQPAANGEEAEAERSDSDESVDPQVYVLDRCLPGFGYPSQIDIYIYIYIYIXGHDPGAYALWHWHLIDVFVYFSHYLVTLPPPCWVNAAHLHGVKVLGTFITEWEKGAEICEEMLATEASAQMYAERLTELAAYLGFDGWLINIEVKLDIQFIDNLKEFINHLTKTMHAAVPGSLVIWYDAITIKGALDWQNKLNEYNKPFFDLCDGLFSNYTWKAKYPQESAVVAGERKYDVYMGIDVYGRNTFGGGQWNTNVALDLLKKDDVSAAIFAPGWVYETKQPPNFRTAQNRWWGLVQESWGVLQSYPKQLPFYSDFDQGHGYQVSIEGVKVYGAPWDNISCQSFQPMPKYAGDRGLQTVINFEDEPYSGGNCVTVKGSLQQNEIFSEQLFNGGLSMEGESVKADERSGLGLSLDLSSGNNESSSILIADDTAAFTRKKQHRKYGSYVKADKAEPHTPVHQNWVVYKATIQPSAGFTLTGINIVCTMKTTSGTDPETDGDGISEAGANRSLHYHASLGHVSIRNTEETEFPPARSWVTEGEYISWSNGSDESKLASLKISWELENKQQAPFMKYNVYVEKLTADSNAKAPRIFLGVASVQVFYVSDLEVPSEVTALKFFIQPCGRDGSCQGLHECPKFHLVPVDSAM >OGLUM05G13270.1 pep chromosome:ALNU02000000:5:15962189:15965797:-1 gene:OGLUM05G13270 transcript:OGLUM05G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVLNKVGSYWFSKRASKEIDSIGDDISSISTSIGTGAKWMVNKIKGKMQKALPDLLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKLSDIEGLKTKVLVWTKVTAIKTEGSKVHFTAGVKKTRSRDAYEVVRDGIPIDKF >OGLUM05G13280.1 pep chromosome:ALNU02000000:5:15966249:15970048:1 gene:OGLUM05G13280 transcript:OGLUM05G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARRKIQKDKGLEPTEFEDTVAQHLKLWILTICGGQAFFDLENGNQELKSDLKDLYINGAVQMDLPGNRKAVIIHVPYRLRKAYKKIHVRLVRELEKKFSGKDVVLVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGRKIMKIFLDPKERNNTEYKLDTFSSVYRRLCGKDVVFDYPMTETA >OGLUM05G13290.1 pep chromosome:ALNU02000000:5:15972152:15978044:1 gene:OGLUM05G13290 transcript:OGLUM05G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDQRTTAKAIMPPVEMPPVQPGRKCGGEESTGNLDSVQPIAGALPCNEHALLAQQTPKGDAPSVGSKIWKKRPRRSRDGPTSVAETIKRWAELNNQQELDPQGPKKARKAPAKGSKKGCMKGKGGPENTRCDFRGVRQRTWGKWVAEIREPNRQSRLWLGTFPTAEAAACAYDEAARAMYGPMARTNFGQHHAPAASVQVAQAAVKCALPGGGLTASKSRTSTQGASADVQDVLTGGLSACESTTTTINNQSDVVSTLHKPEEVSEISSPLRAPPAVLEDGSNEDKAESVTYDENIVSQQRAPPEAEASNGRGEEVFEPLEPIASLPEDQGDYCFDIDEMLRMMEADPTNEGLWKGDKDGSDAILELGQDEPFYYEGVDPGMLDNLLRSDEPAWLSADPAMFISGGFEDDSQFFEGL >OGLUM05G13300.1 pep chromosome:ALNU02000000:5:15976207:15982978:-1 gene:OGLUM05G13300 transcript:OGLUM05G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTAPPDMPAGDGDGDMETLPLASDYASVASTFDPLLSSAAAAASPPSPTIAAAAAFPLSPYSSSSFVDPPSYADVAASSSSSPRSASASASASPRSAASDYALIAVSDPTPEAEPAATSLVPGSAPTYISYLVTSARRGDHRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQRDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQAEGKMPLPSTTDVASRMLDGAARLPRQLLAGEEAVAAPQEVVQPAKGGRDLLRIFKELKQSVVSDWGGVRPPLVEEDKEFLDKKQKLQDWEQQLTSASQQAEALVKAQQDMGETMGALGLAFIKLTKFETEEAMYDSQRIRAADSKRIATAAVKASRACRDLNTQTVKYLDTLHEHLGIMLSVHTAFSDRASALLTVQTLMSDLASLQLRIEKLEAAASKIFGGDKSRLRKVEELRETIRATEDAKCCALREYERIKENNRSELNRLDREKEEDMLEMIKGYVTSQAAYAEKIVEGWETVAEETSGYARRSDNNIAW >OGLUM05G13310.1 pep chromosome:ALNU02000000:5:15986801:15987352:1 gene:OGLUM05G13310 transcript:OGLUM05G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFYLQQYGWQQYGLAAPSCRGNVAILQGEDTSLRKCITRSVQRKLMKSITQNSQAVKFITNSTS >OGLUM05G13320.1 pep chromosome:ALNU02000000:5:15987417:15987851:1 gene:OGLUM05G13320 transcript:OGLUM05G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDTDFIGEKNGSPSDVDPSLHYDSDYLPEENNNRSSSSSKHPKGSKIDKGKRVKADDNPILHITGAMNNMSDTMRCTHVTHPNESLFKIIDEMVEYPTIVRLQLQTYLATHESIAAMLKGRPLDAIKDYVAQWIVENYPAPM >OGLUM05G13330.1 pep chromosome:ALNU02000000:5:15988394:15989143:-1 gene:OGLUM05G13330 transcript:OGLUM05G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVDLVLERRIDAHPCVWMMWCLGSLRIWTSVAAHGIFGVNMMAGSEQGWFGGAFAFR >OGLUM05G13340.1 pep chromosome:ALNU02000000:5:15993628:15995908:1 gene:OGLUM05G13340 transcript:OGLUM05G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVGNGGVPGRALRAAAVLRRPPQQVPRRRGRRPRRLPVRPARRPQHGVGRRAHHGGRPRRRPGPLRPPPRRLRPLPRRHRPPGEGGPRARRHRRAARRRPPPDAAALGVAGVPPQELLPPPQRHGALPPRQREVPPVAHRRHRRRRQRQHDDAVGGGGRPAKAWPRHPRRSPGAADTAAARTGDGGGDEPGDPVREGGRGRGVRGERVEGVAGEHQQPDAPAPHAGQPAGPQPGRAPHHRLRPRRRLRPALPSPRRPPHRQRPHRRRRPLARHTSGRCVEVPLCGCLIEQKKNSNKVS >OGLUM05G13350.1 pep chromosome:ALNU02000000:5:15998478:16005222:1 gene:OGLUM05G13350 transcript:OGLUM05G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISIMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHRKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >OGLUM05G13350.2 pep chromosome:ALNU02000000:5:15998612:16005220:1 gene:OGLUM05G13350 transcript:OGLUM05G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISIMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHRKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >OGLUM05G13350.3 pep chromosome:ALNU02000000:5:15998478:16005222:1 gene:OGLUM05G13350 transcript:OGLUM05G13350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISIMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHRKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >OGLUM05G13350.4 pep chromosome:ALNU02000000:5:15998697:16005222:1 gene:OGLUM05G13350 transcript:OGLUM05G13350.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISIMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHRKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >OGLUM05G13350.5 pep chromosome:ALNU02000000:5:15998697:16005222:1 gene:OGLUM05G13350 transcript:OGLUM05G13350.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30600) TAIR;Acc:AT2G30600] MKVEEKKRSITVAPFECAWDEEFRFREAGRGCITFEASAHNDVTLVFREQPGSQHYHYKMDNSRHYIVILGSHRNKRLKIEVDGKTVVDVAGIGLCCSSSFQSYWISIYDGLISIGQGRHPNNNILFQWLDPDPNRNVQYVGLSSWDKHVGYRNISIMPSAPQNSILWSQIECAYVEPDGAGGHTRKQESKDGLDQRALANFLENWDFSDSIFVVGSERKVVPAHKVVLGSCGDFPFNLMMSRPAIELPSVSYPVLHSLLEYIYTGSTQISEWQLVSLLELSSQFKVKPLVMYCEEIIGCLKMSDAVSESSKKIQLSSGGSQAHQFYYFPFKAPLNTQKIEQFLVNGEHSDVNIYVNGHGLVTHAHKLILSLWSMTFDKMFTNGMKESSASNVFFEDVPVEAFFLLIQFMYSGELKVDIEEITPVLVELLLLSDQFGITALQFECCKRIMEFLSKARLVHIVKMICITHMSYLISENQIMILPTLVILVLILQHGHMTVTSEERVLDAILTWCMEACDCFNWTSVHELLSTSRPEKLFGGRLTAINTLLPFVRFPLVQPSVLHLMEKSNLAKNIEAFRQLLVSILHRKSTIDLLSGSQVAEAIEFSNAGLRMATNTCERFHHRRSSYKELQYISDGDNNGVIYYAGTSFGKHQWINPVLAKNITVTASSPNSRYTDPKALVSKNYQLMCNYYTVRQDGSATFMRSWVLQGSMDGRSWTSLHVHEDDQTICQPGQFASWPITGQTALLPFRFFRVMLTAPATGVSNTWNLCICFLELYGYFR >OGLUM05G13360.1 pep chromosome:ALNU02000000:5:16007375:16020028:1 gene:OGLUM05G13360 transcript:OGLUM05G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MLPRRFLGPVSRWPGGSDARLAPSPRHDKPSSFRFRRCGVSLPLSPSLPFPSPPRNAPPPETLTLDREGWWVAGPAGGGGMASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLAQACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSTLVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESTSHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLVKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPLERPNVPRLQAIQRSMQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVTSSSAGMCSRSKQLKWKEEWCSRSALGGTSGQDN >OGLUM05G13360.2 pep chromosome:ALNU02000000:5:16007375:16019828:1 gene:OGLUM05G13360 transcript:OGLUM05G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G58450) TAIR;Acc:AT5G58450] MLPRRFLGPVSRWPGGSDARLAPSPRHDKPSSFRFRRCGVSLPLSPSLPFPSPPRNAPPPETLTLDREGWWVAGPAGGGGMASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYVLALKGLILERMGKPDEALSVCLNAKEQLYSDNIFHFDDLTLSTLQIVFQRLERLDLATSCYEYACTKYPNNLELMMGLFNCYVREYSYVKQQQTAIKMYKTVGEERFLLWAICSIQLQVHFSIGGEKLLPLAEALLKKHITSHSLHEPEALALYISILEQQSKYDAALEVLSGDLGSLMGREEDKLRLQGRLLAQACNYTAASEIYQKILESCPDDWESFLHYLGCLLEHDVNLPKSRTSEHPSSLPVDSALALKTSLSDELVESRLASALSFVQKLQVNDTSDCVRGPHLASIEIERQRCRSGNPTDRKFIEALINYFHRFGHLSCAASDVEIYLHMLSSDETTELLDTISRSFDASSLSVKGLGLAITTFKVQELLGTFFSKSTTELQHIAKGMVEAFYKNLPLSRDLDPQESMHGEELLCMASSTLVQLFWRTRNLGYLIEAILVLEFGLTVRKYVTLEVKNILLESTSHHILPQMLNSPLLQQTADLVIEFVQFKERLQHSMQYLSVRSDSIILSLKQKAESLDEVESILENVNHGARLVELSNEDNVKRFTFNEDLQARPWWTPTTSVNFLSEPFDEGSTPACFRAKACEHKSTEKDDSKIKYAERKALLPRLVYLSMHGCASSLRETQLNGSGLDTDATEMKPLLVKYARSIGYSIDDALSVILGMSSGKKSVKDFTPDIVSWMSFAVFINAWNLWSNESVVPRADESSPSSWQIVDSLVKICVEEQLIDANRILTSPGNNIPVLVQMITEPISWHLVVIQSCVRSMAPQGKKKKKGGPLERPNVPRLQAIQRSMQCMIDTLRSVQSWLSDQMRPEEQALDILLSYLQGGNEDGPGQISCILEENSARHNPELGERIAQSLETWSSAGVVRRIVGAEKELLVELKKICDSKLKLLASVSASLSSALH >OGLUM05G13370.1 pep chromosome:ALNU02000000:5:16056221:16059476:-1 gene:OGLUM05G13370 transcript:OGLUM05G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC14 cytosolic factor family protein / phosphoglyceride transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G51670) TAIR;Acc:AT3G51670] MSPTATPSPAPAAVAAAPKPPPSGTGAKRSLMSSLMEATALLRSSSFKEDSYVASALPASDLRALADLRALLSTHPDPISIWGVPLNPAPPQGGEGAPAPAAAADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFRADAVLDEDLGFKDLEGVVAYMHGWDREGHPVCYNAYGVFKDRDMYDRVFGDGERLARFLRWRVQVMERGVRALHLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLFKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWELEYGAEYVPAAEDSYTLCVERTRKVPAAADEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >OGLUM05G13380.1 pep chromosome:ALNU02000000:5:16065514:16066000:-1 gene:OGLUM05G13380 transcript:OGLUM05G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDIQPQPSTLKIPSDVDLFRAQREHSGWGGERGNEVRGRAYPGAIVTIVFELPPLCRIWRASWREKQPPPRAVELCSSGVRELRGSSGKGEQLRGEKAVVADREGELPRAGSGLHATTPPGVVAASAASPPGAIA >OGLUM05G13390.1 pep chromosome:ALNU02000000:5:16073687:16073866:1 gene:OGLUM05G13390 transcript:OGLUM05G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVPVMVLLPSWLHLRFVYDVLTAAVVTADAGTLRAGTMSTGASSPESWLSVKTRQL >OGLUM05G13400.1 pep chromosome:ALNU02000000:5:16089340:16092272:1 gene:OGLUM05G13400 transcript:OGLUM05G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRSLGPRLASYNSRELPGKTPPPPQNRFLTPRIRPRERARHERRRSSLLGRPRRRRREGRRGRRRQRRRRRRPGGGGGVGRGRGERRGAALAAAGPPGEVRVGAPRRRVGLLPPRLRRHGRQRPRRLEAVRALRGVQVRCRDRGARLHLHDAAAGAARRTAHRRPGPAGQGRRTRRLRRRSGDGVPADVGGVGGDPDNEQDEGRRGQRVHRLVGGVHQHGLLRLPLPRPLRPRLRLQARQADLHLI >OGLUM05G13410.1 pep chromosome:ALNU02000000:5:16101962:16108551:-1 gene:OGLUM05G13410 transcript:OGLUM05G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGADGLLPGPKLDPSDDELVGGYLLRRLQGQPLPLEADPLSARPRNLAADHGRGDEAFFLAEAQAKNAKGKRQRSTVEGQSMCVDGGRLRVPDDGRGGGGLAFSHFLPLSPSIVRHIYREGNSVAHTLCRQAYQGRGLWTERVPMPGAVREKIDEDCRGVLHERLCKKNK >OGLUM05G13420.1 pep chromosome:ALNU02000000:5:16112032:16112235:1 gene:OGLUM05G13420 transcript:OGLUM05G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGELQMMTSVTWQRCVVYGFGWLGDVPSNSDEMACDVARADDALQAPSSSAPAGVLLEQQADEF >OGLUM05G13430.1 pep chromosome:ALNU02000000:5:16118245:16119515:-1 gene:OGLUM05G13430 transcript:OGLUM05G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATYDAFNREKLSPHAGLSRFAIRRFFEWAQLRGHAKAYRVTRFLYATSCVAVPVLSEVARLVSMYQDEELSITATGHSLGAALATLNAFDIVANGYNRHPGHRSRFDGARGLGLRLLRVHNARDVVPRYPTAPPNHGVGTELAIDTGESPYLRRLANELVWHKLDSYLHGVAGARGGEAGRFKLAANAGEQGLRRAGRRARSKSTGGGRRRASARKPTEQSGGGRARGRGRSEAVARSGGQARGGRRSGTAAVCRRGRSWARAAATEPPPPMGPAFELLADDRGHPTSSLSTRWCSPATVDLDLGGARWPPRASANSASAVSCSLACSLAGLCRRPRSRHASPRPPSRRRASSPAAKKIEGERKEGREEG >OGLUM05G13440.1 pep chromosome:ALNU02000000:5:16127600:16128716:-1 gene:OGLUM05G13440 transcript:OGLUM05G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLSSVSWFITMIASLIVLRLGRPLAAGEKLKGAQRDQLSKHLRGISGCSGSVLGAVQTQRGVAKRFAQTDTVAHSKTGTGSALEQILVVSCWFYHQWCHYSSIEMKKVQLIKMRLEVLSDCYSGYRFCAEVKLKL >OGLUM05G13450.1 pep chromosome:ALNU02000000:5:16129720:16130241:1 gene:OGLUM05G13450 transcript:OGLUM05G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARGGGKLNPWAEPFVPAGWSATYWRCGGVAAVEPAVAEVEDFSPEWWRLVGSSPAFRDRWLRDYSALGLLDDNDNGDGDDLEGFLLPDDLFSSTPHLVGEPADEKEGKGFGGAGGKKVKGGSAEVVAWGIDKWWRAHSSPPEVPRYADKAPRRVAAAAARVNPRPIQQPR >OGLUM05G13470.1 pep chromosome:ALNU02000000:5:16149529:16160062:-1 gene:OGLUM05G13470 transcript:OGLUM05G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYMPEPKSEHVFQMVDGVVHVYADKDYTERLYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKSTTRYTQ >OGLUM05G13470.2 pep chromosome:ALNU02000000:5:16149529:16160062:-1 gene:OGLUM05G13470 transcript:OGLUM05G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKELADAAEACLPVPLGLPRLHVGPDGNKQLVRSSSNRRVGIIKPNSPKSPVASASAFESVEGSDEDDAKQPNGKLENGYLKMNGNVEGEQKGNKVLENGAAAPLAAASLIRSHSISNDLHGVQPDPVAADILRKEPEQESFIKLLTAPHEIPSPDEIEVYKLLQKCLELRDCYLFREEVAPWEKEVINDPCTPKPDPNPFTYMPEPKSEIKTVMSTAHTERLYPVADATTFFTDLHYMLRVISAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLTASKYQMAEYRISIYGRKQSEWDNLASWIVNNELSSENVVWLVQIPRLYNVYKEMGIVTSFQTLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASAHWIGKNYYKRGPTGNDIHKTNVPHIRVQFRDLLLEVSMRSVVIHIVSGFQADERETSNQVVPPKSTTKSTTRYTQ >OGLUM05G13480.1 pep chromosome:ALNU02000000:5:16173527:16173891:1 gene:OGLUM05G13480 transcript:OGLUM05G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSGGWPPSSLSRRGACASLLHRLPPRPATAAAMMLERHPPVHLGLRPAAATVQLRRLPSGKYFSEEDEEEEAAEFVEDIVAQEEWEGFTLEYDHGSNADEDAAE >OGLUM05G13490.1 pep chromosome:ALNU02000000:5:16175030:16176439:-1 gene:OGLUM05G13490 transcript:OGLUM05G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAPTSRKRVAGTQINKDNPEPDDDSTEQEMGTFKRASEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPSDTSAQVSEPQPSDVITANAKDSSSEKADEGSNGSGKDALPVTDKSAGSSEVAETEKDGSALKGSDEKAKSSDSTEPPSQPVETTDEAKDLGGGSVVAGEAKEDNSKASDIEDKTAKEGDAEEEDGANEAGAEDKISKGDDEKKDGDESETKDGLSEEQKDADNKGQSSSPTPLFSFKNLSSGQNAFTGLAGTGFSGSSFSFGSGSKESSSAPLFGLKTDGSSFPSFSIGASNNGSSSPALATSAEAPKKFAMPEGPVETGEENEKAIFTADSALYEYLDGGWKERGKGELKLNIPVSGGERSRLVMRTKGNYRLVLNASLYEDMPLKDMDKKGVTFACMNSIGDSQSGLATFALKFRDTSIREEFKAAVEMHKAKKASGTLKTPENSPKASDD >OGLUM05G13500.1 pep chromosome:ALNU02000000:5:16178519:16178905:-1 gene:OGLUM05G13500 transcript:OGLUM05G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTTPRPWVLRASPPHHHQQQPAQTAAVDMQDAAGALAAQFLPQGWAVDELLQFSDYETVDKASLPQPPARLPKKEKRGVKEREMRKGKREEADVATLTCGAHVGPMLTQQPRRTKPGSIPSRDLL >OGLUM05G13510.1 pep chromosome:ALNU02000000:5:16183434:16189749:1 gene:OGLUM05G13510 transcript:OGLUM05G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G51820) TAIR;Acc:AT3G51820] MATSHLLAAASSTAASSATFRPPLLSLRSPPPSSLRLNRRRHFQVVRAAETDKETKANAPEKAPAGGSSFNQLLGIKGAKQENDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWALLLAGLGLGALLDVWAGHDFPIIFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYIGLPWWAGQALFGTLTPDIVVLTSLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLFSSGKPYYALALLGLTIPQVVFQASAQPFFVLGLLVTALATSH >OGLUM05G13520.1 pep chromosome:ALNU02000000:5:16190550:16190944:-1 gene:OGLUM05G13520 transcript:OGLUM05G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQQQQGRSELDRMAREGQTVVPGGTGGKSLEAQENLAEGRSRGGQTRKEQMGEEGYREMGRKGGLSTGDESGGERAAREGIDIDESKYKTKS >OGLUM05G13530.1 pep chromosome:ALNU02000000:5:16190954:16191307:-1 gene:OGLUM05G13530 transcript:OGLUM05G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRHARARACSEPERAARGRRHSLRTYVSRSGGLATTRVAEARARTEPSASPRDTYVAGALIPPRSTAPSVAAYKKDSAAASSIPISSSRRRHTHTNTSHPSDLFIDQFAAYVRLAN >OGLUM05G13540.1 pep chromosome:ALNU02000000:5:16254508:16255067:-1 gene:OGLUM05G13540 transcript:OGLUM05G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDYFEAYQHAKDELFKVFRLYQTKLSVARQVPEETPQKKAKQIKCNEYVEEDQGQGTSLLIRSNWNPDAELNHYLNTNHTKHDRTLDGENFDLLEWWKEKERTLHVLAHFAQGVLLVPVSSISSEHAFSEVGRIIEEQRSCLAPDTVEAIFSLKTGLKHIMQGHNTG >OGLUM05G13550.1 pep chromosome:ALNU02000000:5:16258475:16262727:1 gene:OGLUM05G13550 transcript:OGLUM05G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G51800) TAIR;Acc:AT3G51800] MSSDDEVREEKELDLSSSDVVTKYKDAADIINNALKLVVSLCKPKAKIVDICEKGDSYIREQTGNIYKNVKRKIERGVAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVIHDGAVTGKAADVLAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLLPSGSQRVTSHSLQELQPTKSIEDNAEIKAWLALGTKTKKKSGGKKKKGKKGDAAEAVPMEEGSNDANKE >OGLUM05G13560.1 pep chromosome:ALNU02000000:5:16266172:16269101:1 gene:OGLUM05G13560 transcript:OGLUM05G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETEEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKLAATSE >OGLUM05G13560.2 pep chromosome:ALNU02000000:5:16265949:16269101:1 gene:OGLUM05G13560 transcript:OGLUM05G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKEPVVDRPEDEEEASAAAAGGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKEGNQWKERGTGTVKLLKHKENGKVRLVMRQAKTLKICANHLVASTTKMQEHAGSDKSCVWHAADFADGELKEEMFAIRFGSVENCKKFKDLVEEISESLAKTEGKETEEDSSAAGLLEKLSVTEKKSEEVATKEESTEAVKETDTKLAATSE >OGLUM05G13570.1 pep chromosome:ALNU02000000:5:16273028:16284528:1 gene:OGLUM05G13570 transcript:OGLUM05G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENPPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPGSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPTNTSNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >OGLUM05G13570.2 pep chromosome:ALNU02000000:5:16272950:16284528:1 gene:OGLUM05G13570 transcript:OGLUM05G13570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKSDLMSGSPDGHGYFSGQRGLYSAASLERSASFRESGDSYAAFPVSGSSRSPAVDSATLLQSLAMDLRTTTLEPKTSRLDVKKSISLILGISPEESTSTPCTGRNSSLPFEEIRRMKNNLSDISNKARERSRAYGAAVTKIERCCPNILRKRSRGDGSSNERSTALLSGGLISKMPPQGHLNADDTELVSPRGEERIKNAGQNRRLRTSMSEMDARTTVLSRGLGSTDRSADPGKVTGGGPAVPEEKIRGLATGIDGWEKPKMKKKRSAIKADVSMTGPSRNVDVDREQKPGMQHKFNNEARARMTNSPSFRSGTVSSVSSISKSDLLSGQNGVGRSLSRSDQDSGFHPTNKRDRQAVLDKEISAPKSHNKPSEDDGGANVTAVPKANGSTRGPRSNSGSLLKSSPNIHRLQANSDDWEHPSGMTKLNSTSGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITNTDGQSVSGSLESPSINEESAGLPRRASINCSQQTRRGDHGLSTGSEGDESGVAEKKLRDKSKRAGELDDGHSGFQKIAMLGHPSKRNKLSADDDVGDAARRQGRIGRGFTPTRPSTPASIDKLENPPTTKQRSVRTVTERNESKSGRPLIKKMSERKGNARPRHISSNAQLDSPGSANSSPFWRQVEPFFSYLTTEDIAYLSQQIHLSDDSTASRSIEGDESRKYKGSLEYISQPSTPAGSNKDDHSALQNGYTLNEIDNDVGIAWETSCIEPILDQLVQGIGARGGASVGQRLMQALIDEDKVDNITNNIYRSETYPFDTHEIHFEEGGWKSHSQGYKLESLMNFEAAGKGSNGLMLDSDWKYHDELSHKGNNAMEKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDINSEICKLEGQLHKEVVDKKNLLRKLDGVLRTKKESQHREFSRRAMERLLLIAYEKYMAFCGSSSSKNVNRAGKHAALSFVKRTIARCQNYEESGACCFDETPFKDMFVSATSHRSDPDSASQDNITVPKSVQRASTSDASRASSHLTDLSFSKEDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSEREGKGHNRDGSRSGRPSSSNAKGERKNKTKPKQKTANISAPVSSALTRDPQSQAKITPSGNGRDNTSAASARHEEPTNTSNDAEMPDLSNLELPGMDVDFGGWLNIEDDDGLQDLDLMGLEIPMDDINEINLMI >OGLUM05G13580.1 pep chromosome:ALNU02000000:5:16286436:16287177:1 gene:OGLUM05G13580 transcript:OGLUM05G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGSRRQPATWVGSGNVNVPRRFPRAANLTKVLAATEIGGNGDNVAAARTNERRRRCRGLRLLTPTREAAGGRAGGSDGGGGGSGNWHLRQKSAMTTTLLLLLLTPSRCSGDANANGGSSAPSPSAAAAPNRCLCCARMRRRRHKVVWGPPFGQ >OGLUM05G13590.1 pep chromosome:ALNU02000000:5:16288758:16289672:-1 gene:OGLUM05G13590 transcript:OGLUM05G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHGEGSSSSAAAAGGKIKGSWSPEEDEQLRGAVARHGPRNWTAISEEVPGRSGKSCRLRWCNQLSPGVHRRPFTPDEDALIVAAHAKYGNKWATIARLLDGRTDNSVKNHWNSSLRRNRRAAAAAAAAAASVSYQSMDLTEEADNDDEGTSDDSVAIPAQSSPAAVVAGVPVLPPPPPPPAKRLCVAPPTGVEHRAPPPDPPTSLSLSPPGAAAAAISASTVVGGSSAARAEEEAVAREKARMEQDPWLMAMMRQMITEEVQRQVSVVYSLVASSAAVAAQTGNAGGVGRKGPDGRPSNGQD >OGLUM05G13600.1 pep chromosome:ALNU02000000:5:16304318:16305124:-1 gene:OGLUM05G13600 transcript:OGLUM05G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40220) TAIR;Acc:AT2G40220] MEPSDDACTVAAPAAETAASSSGAGGGGGGGRTKKKAAGKGGPENGKFRYRGVRQRSWGKWVAEIREPRKRSRKWLGTFATAEDAARAYDRAALLLYGPRAHLNLTAPPPLPPPPPSSAAAAAASSSSAASSTSAPPPPLRPLLPRPPHLHPAFHHQPFHHHLLQPQPPPPLYYAATASTSTVTTTTTAPPPQLAAAAPAAVLVAAAVSSTAETQAVVATAPEDAASAAAAAAEEEEAAWGFHGGDEEDYAAALLWSEPDPWFDLFLK >OGLUM05G13610.1 pep chromosome:ALNU02000000:5:16320306:16320746:1 gene:OGLUM05G13610 transcript:OGLUM05G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEPMLSRKHPRPQQRESRSSHTELRIPPRRRHSRHRHRLVAIHDSAFIPNPLPATSASPSYLYPRPPHAVVYLAQLPLPSSVVGSCPPFSAAFAPTHRPPLPLPLIDWTSTRCHPRHLATSAIIRLSRLSLPPPAAPSRLHPR >OGLUM05G13620.1 pep chromosome:ALNU02000000:5:16325818:16328804:-1 gene:OGLUM05G13620 transcript:OGLUM05G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Sgf11, transcriptional regulation (InterPro:IPR013246); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 29 /.../urce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G58575) TAIR;Acc:AT5G58575] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKNGTVGDAKLAQKQQEVVPLDELGTTMVARLLHTPRIPAQLSLTEQAFLTVSLMAVPVSQERITAITYCRSPEHLTSRQTLHSGDWQQ >OGLUM05G13620.2 pep chromosome:ALNU02000000:5:16326046:16328804:-1 gene:OGLUM05G13620 transcript:OGLUM05G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Sgf11, transcriptional regulation (InterPro:IPR013246); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 29 /.../urce: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G58575) TAIR;Acc:AT5G58575] MSSSTDAPVSPRSQFALACFEELLETAVVDVASECHRIARLGLDRGVDAEEEELRAWAARDHPGAEEGGGAVGGGGGNKGVVDVFGQVLPAVAADLVDCMNCGRPVAAGRFAPHLEKCMGKGRKARTKTTRSSTAGRTRNNNGSAASSYSPYSSPAIANRASLPNGVTDGSASVTGEDHSNHILPEP >OGLUM05G13630.1 pep chromosome:ALNU02000000:5:16330222:16333636:1 gene:OGLUM05G13630 transcript:OGLUM05G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRSAARESFLKIQPSPLLRLSARQGLLSPVSLWKTEEEVELTDGDDDGWHQPDAVDSIPFVTASPQGPHPGPSRPHPRHRRWLLLPPSPSSRPGRRSWTGGGVLRCSGGRGLQIHQNYIVCCHTPCRRRWPDHPAAMT >OGLUM05G13640.1 pep chromosome:ALNU02000000:5:16352671:16354736:-1 gene:OGLUM05G13640 transcript:OGLUM05G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLMLKILQISHESSHSIGFNEGVTDYLANIRVHFLRSHHSTVGKVSKMEGKSSAMSTNIAPVAPTRITRYDKDDKHKPVIVCALAALPIPSQPPKASQERKRQRRTFWTKCATCKEKNKFPITNLACQVVCPACTETFTAIEVARPRNTSLYCKEKLESSSSVAANSSLQSTAVTPIADVAYHPPNIQGKRKDGEVKISEAFPKPAVEKLLQARMKEILEKKLNDRQAKDEGQ >OGLUM05G13650.1 pep chromosome:ALNU02000000:5:16375669:16384328:1 gene:OGLUM05G13650 transcript:OGLUM05G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGGSPGHYGGGGIHLVCEYCGHGSEYAEDDADDGFFTCRQCSAIHTSTQNTATNPFDFPMTPAHLSAHRRPTQPTPTPKPFPAPRGAATGAAAPAFDDLGEPSEPRDFATGANAWGNPEDVAARVRWRYVRGLQVILQRQLEALVERHRVGSLAASLAGTIWLRWVAASKVFDEMWVHKMLAIAASVEEGHSASKDKQSELEGDAQKSQSSYEFLFLRSLRMMLPVYSTLAVCFLACHVARETILPTDICRWAMEGKLPYVAAFTQVDKLLGSSLNDCPLSSRQLFRPTRVIGAWQLEAAAGSIAQKIGLLLPSVNFYLIAQRFLKELSLPIEKILPHACRIYEWAMPAELWLSSNPGRVPSRVCVMAILIVALRVLYGINGQGIWESIAQTENAVGSDPEASAPHSIEPDSNNSEEFDARELLCTLAASYDKIDVGHDYSKEVHSYLKYCKDVVFTGMTFSLEEEHLIDIFWDMYKGKEDENAKLCQEKLRTTNGVNKRCRDGRFEDNGINNKHNSIRINKGILIFHIIPDYHPENPWNVLHPSNKRFEEVRGGKRVQRLAAPRPTSCAAPGVLVPSPPPMHQRKSCTDAGMLPGPLLPLPPPPPPELVVYPSVPSLPPSATPPSSSSSIGSSIAIVVLVVITTAIVTVAIVVIRRSYRRGRRLSCSSFSPRRSLSPRALSSSPSAMSQMWRAAVAAVGSSPRASAASARSWPEMAAPSSAPGDPGRGPPVALSSSAQGAVQGTAGLMASSATSAAAMAPPPSAPSLPEVERVILELLSLPPSPLQPAMSGSTATCFICNKLLLPTDLHLVLPVCSHMFHQRCLVAWLRSRVTPLLCCPECHAPITTRCRTDKRSLVPTFCSGEYDIESQILAVPAPPGEEVAEAVGGSRGWLRSSLDRLSGSWRACSGSRAVAAVAAPGCSSSRRTTGSWSPGTGSTSGRHLHLGADSRGVQTQVQLQLQLPVLPLADEEVAAADDDAGGSRGWLRSSLATLSGSWAVFPTTSRSTAMELPVSSSRRTAAGSTDSWSGSWDPEALRVSEPQP >OGLUM05G13660.1 pep chromosome:ALNU02000000:5:16385923:16391597:-1 gene:OGLUM05G13660 transcript:OGLUM05G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGKVAPASFCSRRIRSPVSILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPTEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >OGLUM05G13660.2 pep chromosome:ALNU02000000:5:16385923:16391620:-1 gene:OGLUM05G13660 transcript:OGLUM05G13660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRRHRVHDLRLPNPSRLLARRPRLPSPRLPLALISILLAEVAPASFCSRRIRSPVSILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPTEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >OGLUM05G13660.3 pep chromosome:ALNU02000000:5:16385923:16391597:-1 gene:OGLUM05G13660 transcript:OGLUM05G13660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >OGLUM05G13660.4 pep chromosome:ALNU02000000:5:16385923:16388250:-1 gene:OGLUM05G13660 transcript:OGLUM05G13660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHNTSMTCEGDYETEQKKQAAADVLFHYSQFVMVCIGEGVRPTDLRLHLMKEVSGMATSLTKEPQQAAASPDSSEPSSSGTTK >OGLUM05G13660.5 pep chromosome:ALNU02000000:5:16388349:16391620:-1 gene:OGLUM05G13660 transcript:OGLUM05G13660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRRHRVHDLRLPNPSRLLARRPRLPSPRLPLALISILLAEVAPASFCSRRIRSPVSILIHPIRPRPRISSPRPPLAPIPILRAEVAPGVLLLPPHRRSPIGI >OGLUM05G13670.1 pep chromosome:ALNU02000000:5:16391916:16392410:1 gene:OGLUM05G13670 transcript:OGLUM05G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELRFSSAEANARLCRIVFEVNSIYSTLQSHRPRCMRPFPQRLPPPSFLFAASLLPTHRQVSSSGFYLPPPPNNHHPSPCWSPATTHLSRDSVSGVLFACMHGHSCSQWCSRVCSGVATYSISQLLEILSPIPTVWLTCDHDVDVAQMRGELPCNDVVV >OGLUM05G13680.1 pep chromosome:ALNU02000000:5:16406170:16407045:1 gene:OGLUM05G13680 transcript:OGLUM05G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQRDAPSGTVGVDGFLSGGGFGLMLRKHGLAYDLVVDATMVNAEGEAPRQGRHWGGPLLGHPRRRRRELLHVVVQNQNVQFESLYLGSRHTPWPRRRHGRHLPGAQRDGEQLHRDDVDPVHALLRVLWHGEAIGDAPGQGHQQAGQVLEDQVRLRTSKNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVAPAVTSFPHRQALYNIQYYGFWSKSGAAAAEKDMGWMRGLYSEMESYVSKNPKGGAAAIAADTGSLAVCRSWRGGIWLQGQSCHFEITSFDPEIIK >OGLUM05G13690.1 pep chromosome:ALNU02000000:5:16412461:16417818:1 gene:OGLUM05G13690 transcript:OGLUM05G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT2G30100) TAIR;Acc:AT2G30100] MALSSRAAAFPHISLPSPRPRVSLRPPPPAPRSLRLDRVVADTTAELGLDGGGGGGLLAAAIEHLEREPAFAGEGEDAAAAASAQLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGGGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGDRGSAVDFVRDVLRRGGVGVGVGDGGEYGGASDGERGGPVGYLAWRMMMDGDYRDAVKLIIEFKESGLQPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKEGSISKLDSETMHGIENYQSELLSDGVLLSKWAIEEGSSDVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEVAAVRRLLTGVESTSAGRRKKSLSWLLRGYVKGGFYLEASETLMKMLDMGLYPEYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCVIYLYVRKFKLWMMHML >OGLUM05G13700.1 pep chromosome:ALNU02000000:5:16422085:16426910:1 gene:OGLUM05G13700 transcript:OGLUM05G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITQILLSAQSADGNLRAVAEGNLKQFQEQNLPNFLLSLSVELSDNEKPPESRRLAGIILKNSLDAKDSAKKELLIQQWVSLDPSIKQKIKESLLITLGSSVHDARHTSSQVIAKVASIEIPRREWQELIAKLLGNMTQQGAPAPLKQATLEALGYVCEEISPEHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYVHLDPYMQTIFNLTANAVKGDEEAVALQAIEFWSTICDEEIELQEEYEGSDDANSTVNYRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEGNITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTTKDPNSQVRDTTAWTLGRVFELLHSPASANPIITSANLTRIMTVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESISSVLTPFLPNLIAALLSAADRADTTHFRLRASAYEALNEIVRVSNISETSGIIGQLLQEIMRRLNLTFDLHILSSGDKEKQSDLQALLCGVLQVIIQKLSSSDAKSIIAQTADQLMFLFLRVFACHSSTVHEEAMLAIGALAYATGTDFVKYMPEFFKYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDGIMTVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGDNFEKYLPYAMPMLQGAAELLAVLDHTDEDMVDYGNQLRRGIFEAYSGILQGIKGAKAQLMIPYASHLLQFTEAVYKDRSRDESVTKAAVAVLGDLADTLGSSSKDLFKSNLFHVEFLRECHDLDDEVRDTASWAQGMINQALVS >OGLUM05G13710.1 pep chromosome:ALNU02000000:5:16431085:16434034:-1 gene:OGLUM05G13710 transcript:OGLUM05G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMSRVAVAVLLLLCLQLQLGISGGGGGHGARRGPHLCSIIRRLIHAKKSSSSSQQQQQRSRHAGSKAGWPSTSSTPSNPFGLPMLLPPPPPLKDWPPWLDMPPVQGPSSSPSPSPSPAPSPASSAAVAEHAAPPRRGEEHARPRSIALPPASSSGDAGETSRPEVTDGSATRRGGGGGKTNYVLVAAAGASVLLAASAAAFAACYRSSKVVRSVRPWATGLSGQLQRAFVTGVPALRRAELEAACEDFSNVIGSLPEYTMYKGTLSSGVEIAVVSTTKTSPKDWSKKCEAHFRKKITSLSRVNHKNFVNLLGYCEEEQPFTRMMVFEYAPNGTLFEHLHARDEGHLDWPTRLRVAVGVAYCLEHMHQLAPPEIVRTLDASTVYLTDDFAAKISDVGFCEEEMAVAAAAPAMADRESVVHGYGMLLLEMMAGRLAASEGGLVQGWAAALLRGERRLRDVMDPALRGAFHAETVDRLDAVVRSCADRDPRRRPSMADVAARLREITAMPPDAATPKVSPLWWAELEIISTEAA >OGLUM05G13720.1 pep chromosome:ALNU02000000:5:16445176:16445916:-1 gene:OGLUM05G13720 transcript:OGLUM05G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSPWVRRGRATGRLTQSPSFSPSCVASPPSSGGGGGGGKADHQGIVSVLDDDDAAPAPPAPGSRNVLHRVRSSTKLRACKSFAVAAEAAAAAVAGERRVVLYFTSLRAVRGTFEDCRDVRAILRGLRVAVDERDVSMDAAYLAELRALMRRDRPALPQLFVGGRLVGDADEVRLLHESGELHRVVAGAARAAATPCASCGGTRFVPCGTCDGSHRRYSEKTGGFRVCTACNENGLVRCAACCSGG >OGLUM05G13730.1 pep chromosome:ALNU02000000:5:16447569:16448010:1 gene:OGLUM05G13730 transcript:OGLUM05G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSTPHLRHSCFCFRCCHLRSFSHRSSLLLHAALPSSPPSFRLAWLIVRWALPTPGWCKLNFDGSVFHDGSRRVSIGGIIRGFDCGGASPSPRPRSTGRLGRAMIRGLRLALACFVERNVVEGDDLVLRRRRILLISFLP >OGLUM05G13740.1 pep chromosome:ALNU02000000:5:16472091:16477781:-1 gene:OGLUM05G13740 transcript:OGLUM05G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRSGLDNYARNASFIKPHKILHRRQMKTESNGGDCSAVAAPASNGGRWRHELVPKLRLSPGHHFVVSDEELVDFYLRGKIEQRRPPMDFINEGYGENIWYFFTVRKPSKTKKQDKPNK >OGLUM05G13750.1 pep chromosome:ALNU02000000:5:16479714:16502085:1 gene:OGLUM05G13750 transcript:OGLUM05G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMARLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPTKSSESPCTAWDFLINSKHHAKYGKQSRTTLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLIEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKGSAVSWGRKVVSFYSLLLGAERIGKNLSSGVYCEVASGSARNTEELTVLTMVAEKFGRQQLDLLPIGVSLVLRHALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >OGLUM05G13750.2 pep chromosome:ALNU02000000:5:16479714:16502085:1 gene:OGLUM05G13750 transcript:OGLUM05G13750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIGSRRLTVLREFRPHGLAAEEADGEGGPGERPPQDYDYFLFDPALAASPAPEPGEEAASSSSGADGDHELFIRGNRIIWSAGSRVHKRYLSPNTVIMARLPVFHYHMLFHQYGLFLLAFYFRNLLMEAIWLDMMKDFDEKTIWTSDIVPLMASYHKGKFQHSVWQIDGTTYQEEINDNAVPPIPCDISMHKFAFRKIWQGKCSQSAASKVFLATDIDGTPIICFLLHEQKILLAVRFQVDENNGESFGDIKPHMSWNIPALAAAPVVVTRPRAWAGVLPFTDILILTPDNDLLLYSGKQCLCRYTLPTELGKGIFSNYELNSGVTEFYSDMEITSITDAVEGRINVTCSNGLMLRCSLRKSPSSSLVSDCITAMAEGLQSCFYSHFVSLLWGDSDAAYLCSSSHVDSEWESFSYEVEKICAKYGQISPTKSSESPCTAWDFLINSKHHAKYGKQSRTTLPMSYNTSSMSFHSFPQDGNSADVSFYIRFISETLDTLHALYENLKLNILRKQDLASLASLLCRVASSLGENSYVDYYCRDFPDNLIEFHSLSSATALRAPPCLFRWLENCLRHGCDLKTSDDIPALMCKEKGSAVSWGRKVVSFYSLLLGAERIGKNLSSGVYCEVASGSARNTEELTVLTMVAEKFGRQQLDLLPIGVSLVLRHALDKCRESPPDDWPAPAYVLVGRDDLAMARMGSGRRENGFWNNDNLTSISVPYMLHLQPVTVLTTALDVPPSEILNSEDTDSVYRSVEDGMEHIFTSTTQLRYGRDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEALVFPKLVLAGRLPAQQNATVNLDLSTRSVSEFKSWAEFHNGVAAGLRLAPFQEHDITRLGLLLGLAASNRGTMHPAISKMLYFHVPSRHPSSTPELELPTLLQSAAVMGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGLVALGRGSNAFGFMDTFLDRLFEYIGSKEVYHEKHLNAAIAADEQSGNTGQMMEGAQINVDVTAPGAIIALALIFLKAESEEIAARLSVPNSHFDLQYVRPDFVMLRIVARNLILWNRIQPTKDWVESQVPSFVNFGVSNTSQEAMDSDELDSEALFQAYVNIVTGACIALGLKYAGSRNSDAQELLYAYAVHFLNEIKHISIQTASILPKGLLQHVDRGTLELCLHLIVLSLSLVMAGSGHLQTFRLLRYLRGRSSAEGQVSLAIGFLFLGGGTHTFSTSNSAVAALLITLYPQPRWIQTVDVDTGLPVYCPLEVTVAETEYYDETNYCEVTPCLLPERSVLKNIRVCGPRYWSQVITLTPEDKPWWKSGDRTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLLSRAMHEVCDTPSTSCSNQANSATRSSLRVDQRNGSFEEFCSQILYECMSKDRPALLQVYISFYTIIETMWEHLKIGHFPFSDSLFLSSLKVASAYNEALIDGRITTGGIIQSTFLESLMKRIEYIFAELPNLHDSFINYLNKGKWPDAQNEAVLLSWYLQWYSIPPPHIVSSAIEKVKPRTRTSLSMLPLLRLLLPTTHLVGLMEIEKLHMTHGHEGLTLH >OGLUM05G13760.1 pep chromosome:ALNU02000000:5:16509429:16513550:-1 gene:OGLUM05G13760 transcript:OGLUM05G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPRRKGGGGPLVGAPSRRAQVAAVFALALLLGVSVLYDSAHIAASLRRHGVGGGGSSGGGGGGGGDGARAYTNTKLSATTEEAEAAEVRSPPAQGVESAVEATDRGEAPPKQPVAADSGASSAETPPSLLEQVTETPPPSPSSSSAAAAAAEAQVGGDHGGESCDVYKGRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSEAPWEKKSLVKNDSLNVFRLEEYNATIEFYWSPFLVESNSDDPNMHSIVDRIIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPEMKILSEGWGKPDAIKCFSETQPAINYTKKLEVGTDWDLFSTAHHVTKAMKRVPVHFINITALSEIRKDAHTSVNTLRQGKLLTKEQKANPRKFADCIHWCLPGLPDTWNEFIYGHIVSSPQRRPVEPIENQPQR >OGLUM05G13770.1 pep chromosome:ALNU02000000:5:16556431:16566338:1 gene:OGLUM05G13770 transcript:OGLUM05G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAATVEEQMMVKAIREELPWESLPKRIQAALVSKDDWHRRIVDYCIRKRLPWTSCFARKICKEGEYYEELMRYLRRNLALYPYHLADHICRVMRISPFKYYCDVLFEAMKNEQPYDSIPNFSAADSLRITGVGRNEFIDIMNKCRSKKIMWKLSKSIAKELLPALPVDLAIEPWWGVRFVNFTLEEFKRLSEAEASAIDKISKDEDNSYVLFDPKVINGLYKRGMVYFDVAVYPEDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELAKTLQADLYQLQAAASFACRLGWAVKLMDADSILRDSNGSTIPSNILTDDDEGSLTSINSERSGHALLTSDSDGPRRISGASYVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIADLCKDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGTSPDEAIEPNSQEFCLQENFSKTLSKESIDEGISNVVKSNGGSLETVDTADTDRHNELSQADHPMVDSDVADASTSSPSSIVSESKESTDKNDSDTSKTPLPDGSTDSSSLSKTKRSYRINILRCESLASLSATTLERLLVRDYDIMVSMIPLPYSSVLLPSTAGLVHFGPPSYSSMTPWMKLALYTSGSCGPVSAVFMKGHRLRMLPEPLASCEKALIWSWDQSVVGGLGGKFEGNLVKGSLLLHYLNSMTKHSAVIVQPLSLNDLDETGNLVTVDVPLPLKNADGSIASTVASMDLPEEKILNLSSLLYNLSSRVELGTVGYLSLVRLHRISKSNEIFSKDENYEWIPLSLEFGIPLFNPKLCEKICERVVESHMLQKDDLTEHYEAMQNVRKRLRELCAEYQATGPTARLFNQRGSSKNSPRQLINIVSGRWSPFHDTVAPSTGGSPRENDRLKVARRQKCFTEVLSFDGSILRSYALAPVYEAATRSVTEDQPTTPVAKPEPDDADTKDVVLPGVNLIFDGAEIHPFDIGACLQARQPLSLIAEASAASLAMK >OGLUM05G13780.1 pep chromosome:ALNU02000000:5:16572154:16574058:1 gene:OGLUM05G13780 transcript:OGLUM05G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKPKPTTYLVLLPSSSSSAAAAVHGDLQVAAAAAAALLPAAATRAGDGAPVPVRDAPPPRPHRLLHRPHRPLPPLLPLPHRPPPRPSPLPPPHLTSAAPAASLPLLSPLACGATCVSTCSASDGDEVEGEGEGGSPAHADSSHPEHVGRVCAAIADVVAAGAGAGADASLEAALTALSPPLSEALVLAVLDRFKHAHRPSHRFFRWAAAAAAASGGFAHTTITYCKMVHILGKARQFQSMVALIQEMGKEGALCMDAFKIAIKSFAAASEIKNAVGVFEMMRTHGFDDGVESFNCLLVALAQEGLGREANQVFDRMRDRYAPDLRSYTALMLAWCNARNLVEAGRVWNEMLENGLKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPAPNVWTYTMLIRDHCKRGKMDMAMRCFEEMQDVGCQPDVATYTCLLVGYGNAKRMDRVTALLEEMTQKGCPPDGRTYNALIKLLTNRNMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNYMMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMIQKGMKAPQIDYNKFAADFSKAGKPDILYELAQKVKFTGKFDASNVFHQWADRMKKRVKRNVPNQTESRTF >OGLUM05G13790.1 pep chromosome:ALNU02000000:5:16576728:16579659:-1 gene:OGLUM05G13790 transcript:OGLUM05G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELSSSSSSSPSFSSSSSSSSSSSSSLLRQCRICHEEEDEWCAAIESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFESDYTIPPKKVQVVETAVTVRDEEMLPEELSQEDQEQYAGSEAQTGNGDCSSWCRSLTITFTIMLLVWHLIAVVTIEAADHCAFSLVTMFLLRAAGILLPFYAIMRMVRMIQQGQRQFRLQLLQDQRRRNASNLHSMSGQEQHQQLLEKPKI >OGLUM05G13800.1 pep chromosome:ALNU02000000:5:16586033:16587258:1 gene:OGLUM05G13800 transcript:OGLUM05G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVTGGGGGGSPRRVVVAVDESEESMHALSWCLSNVVSAAAKSPAAAPPPAVVLVHARPARPLYYPVIDGGGYVLTQEVMDSMDRYMATAADSVVKVETRVEKGDPRDVICGAVEKAGADMVVMGSHGYGFLQRTLLGSVSNHCVQHCKCPVVVVKRPGTNAKAF >OGLUM05G13810.1 pep chromosome:ALNU02000000:5:16587090:16588833:-1 gene:OGLUM05G13810 transcript:OGLUM05G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZXX8] MAKSKNHTAHNQSYKAHKNGIKKPKRHRQTSTKGMDPKFLRNQRYSRKHNKKSGEAESEDN >OGLUM05G13820.1 pep chromosome:ALNU02000000:5:16594624:16596549:1 gene:OGLUM05G13820 transcript:OGLUM05G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLRHVCLTVVLFSIGISSCRGQGGGGAGGGGAVVPGTQDAIQIVAQAALCFDNRQVINGCLQSMGINVTTTTGGSGATTSAPAAANGSAAATMCSAPCFGQMTMMMGCVNGIFGNFAGYSPGLMQGVQAVFQMACGNVNGQGGAGAGAGGGGGGSAGASGGSGGGGAGAGGATGGGAGSGNASPNSGKNVGLADYQLISYS >OGLUM05G13830.1 pep chromosome:ALNU02000000:5:16601071:16603007:1 gene:OGLUM05G13830 transcript:OGLUM05G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLHPSPRSVCLAFAIAVCLLLPCCFGSKAAVEMFEKACHCFDDHNVYSECKEELRLGVEGAFHVGKESVDEYCGGPCLMETKMALQCVEEVAHDGFRFYSGASLPAVKAALDTGCSYTPDRGTFEIRERKQCGDEYYHYSHHEQTTEQQYGGYYGSEEGEYPTTTSTLPASDYCYGAGASSLGLRYSLLQMLMLFSASMVLLLLVI >OGLUM05G13840.1 pep chromosome:ALNU02000000:5:16611256:16611764:-1 gene:OGLUM05G13840 transcript:OGLUM05G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRRGGGGLGAGGRRDAQSDAGRGRAARSTAASSSTAAPGDGNRTYAAIKFRGVEGWRPTSISAWRIMGDDLKQVRASLTRSLWMSNLTKEEFVHVLRR >OGLUM05G13850.1 pep chromosome:ALNU02000000:5:16623849:16625365:-1 gene:OGLUM05G13850 transcript:OGLUM05G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 33 [Source:Projected from Arabidopsis thaliana (AT2G40320) TAIR;Acc:AT2G40320] MKAPPPPSPVAKRARVSPFVFLLVLFLLLFSFLYGEDLKELLGSQAQARPSLHFNAAAAGDGIELPAATAATTEGRTTTRRWRGRLPFAANGDGEEEEEECDVFSGRWVRDEAARPLYREADCPYIPAQLACEAHGRPETAYQRWRWQPRGCALPAFDAAAMLDRLRGKRVMFVGDSLGRGQFTSLVCLLLAAVPDPAARSFATSPDQQRSVFTAAAYNATVEFYWAPFLLQSNADNAAVHRISDRMVRRGSIGHHGRHWEGADVIVFNTYLWWCTGLQFRILEDGPFDAGGNSSTTTWVSTEEAYAMAFREMLQWAREHMDFATTRVFFTSMSPTHGKSQDWGGGEPGGNCYGETEMIGDAAYWGSDSRRGVMRAIGEVLDGDGADVPVTFLNVTQLSLYRKDAHTSVYKKQWTPPTPEQLADPKTYADCVHWCLPGLQDTWNELLYTKLFYP >OGLUM05G13860.1 pep chromosome:ALNU02000000:5:16630931:16631275:1 gene:OGLUM05G13860 transcript:OGLUM05G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAAANHGRCSLLLFAAIAMVLLVFVATTTAAAARDVRRPAAVEKKMAAVMRHDVPSSGPSPVHNGAPTPPAAADEPTVAVTERLVPTGSNPLHNMPSPLQGRTPTKKTVN >OGLUM05G13870.1 pep chromosome:ALNU02000000:5:16633790:16647057:-1 gene:OGLUM05G13870 transcript:OGLUM05G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETFLFTSESVNEGHPDKLCDQVSDAVLDACLVQDPEGKVACETCTKTNMVMVFGEITTKATVDYEKIVCDTCRNIGFVSDNIGLDADRCKVLVNIEQQSPGIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHIAKSNLFHE >OGLUM05G13880.1 pep chromosome:ALNU02000000:5:16652791:16653273:-1 gene:OGLUM05G13880 transcript:OGLUM05G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYHRPYRSDLDLRPPPPPPSSAFPHSNGYYLPSSSSSSPTANGYFSSSRGTGGFAANGDRRIEIYTTAPPPPLPPPPRLALPPPPGRRDGYLGGGGAGGGGGGGGGGGGMWCFSDPEMKRRRRVASYKAYSVEGKMKASLRRGLRWFKGKCSEIFHGW >OGLUM05G13890.1 pep chromosome:ALNU02000000:5:16660421:16660982:-1 gene:OGLUM05G13890 transcript:OGLUM05G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARASSGGRRGRSCGGGREGDEIERRRRGSCAQRESERRRKRTPTLDTAQPGAALGGGKTTFKRILKAKSTERFDGLPYLFSLLNCLICLWLLVVTVNCTGAVFQLAYICLFIFYADSRKTTVILPILYLILSQKNVLVDCSTRLLL >OGLUM05G13900.1 pep chromosome:ALNU02000000:5:16663394:16669757:1 gene:OGLUM05G13900 transcript:OGLUM05G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G56310) TAIR;Acc:AT1G56310] MATTHAQRRRCLLFMALVFAVAVAAVAAARPPPSFSGTADGDDGNVLVAAAGSRGNRVGIMHATFMYLLKDCYLYGTDKATPKFKILQLQVKRALHNDPQPGPFTYVVQCMYIVPLLGKTHAEGFSHMLISSLRHLKSVKSVQKDFLDAKHLAAQLILDIVASIVPHEERILIKLLEAYDIELRDMADALYGSELGDEDLVKAKEHLKQYVQCLMESESYVTAVNLITRFSIQCYDESFLTKLIENNHLEAAEKWAVFMGNEMICLIIQKYLDIKMLKRANELVKQHDLTEKFPDVNYLYKESVLKKLAEKGCWDIAEVRAKKETKLMEYLVYLAMEAGYMEKVEELCQRYSLEGYVNSLGKHKHQRYLSYINSCSPAVPEEICCGSDYLDLKELILEDIIWVDEIDGLLNAISYIEACKIIGVDCEWKPNFEKGSKPNKVSIIQIASDKKAFIFDLIKLYEDDPKVLDSCFRRIMCSSNILKLELKCFQSYEMLLDIQKLFKGATGGLSGLSKKILGAGLNKTRRNSNWEQRPLTQNQKEYAALDAVVLVHIFHHVKGQPQFGVTEGCKVEWKSHIVSRVKNSRSTLRF >OGLUM05G13910.1 pep chromosome:ALNU02000000:5:16672586:16677005:1 gene:OGLUM05G13910 transcript:OGLUM05G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD+ transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G47490) TAIR;Acc:AT2G47490] MPGDVATSPAPAPPSASSQQSHHATSARGLLCHAAAGASAGVVAATFVCPLDVIKTRFQVHGWPKLATGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTILALLPNWAVYFTVYEQLKSLLSSNDRSHHLSLGANVIAASCAGGATTIATNPLWVVKTRFQTQGIRAGVIPYKGTLAALKRIAHEEGIRGLYSGLVPALAGISHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTLTYPHEVVRSRLQEQGAHSKARYTGVMDCIRKVYHIEGLTGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDVFPPLPEQQPQPLKH >OGLUM05G13920.1 pep chromosome:ALNU02000000:5:16678097:16678345:1 gene:OGLUM05G13920 transcript:OGLUM05G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKSSHIEVELARSHEEERLRLEREAKSAKKRTTCIVEEYERVILLIGSLTCLLFSSLAVDHWSPLRCCHALWMGSLVRS >OGLUM05G13930.1 pep chromosome:ALNU02000000:5:16688523:16695076:1 gene:OGLUM05G13930 transcript:OGLUM05G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZXZ0] MASPARTGEAAVVVVGVAEQEAAVVEQQREEEEQAAAAGAAAVLLPVGMVMVQVFTAVTLLLSELALGAGAARPLVLLVYRNLVGAAAVAPLAVLFERVLLATGMYYYGLRDTNAAYSANFLNLIPIVTFIIAVIFRAEKLAIASCAGKMKVLGTVLSVSGTMVVSLFRGQLLHLWPTHLLRLGSHAAAAAPPSSSSSSPAGTTISGTLLLCGSCLSYALWFIVQAKLAKVFPSKYWATVLTCLSGSLQALVAGVLTTGDWSEWKLSWDLRLLAVAYSGVFNTGITFVLISWAITRRGPIYPSIVIGALLIIVGLYAFLWGKGQELQLKASGVKQERHKAAGDDDPEI >OGLUM05G13940.1 pep chromosome:ALNU02000000:5:16692982:16693491:-1 gene:OGLUM05G13940 transcript:OGLUM05G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPTMLLLRLRLPGDGSWWPWTRARRARTRSPGASPNVVSSSSGDTLVLLHARRPRPVYATMDSSGYMMTSDVMASMDKYAAVSFQVRQTWGGAGDCNGIGHGSVGGGDREMEDMTCGPKDILELSRAFSLLFSQKLLF >OGLUM05G13950.1 pep chromosome:ALNU02000000:5:16699068:16705381:1 gene:OGLUM05G13950 transcript:OGLUM05G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSTGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIQYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSMIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDFIDVIEGNRKYIKCVYVYNKIDVVGIDDVDKLARQPNSLLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEKEDGGRGRFKSHTNAPARISDREKKAPLKT >OGLUM05G13960.1 pep chromosome:ALNU02000000:5:16705926:16709052:1 gene:OGLUM05G13960 transcript:OGLUM05G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:farnesylated protein-converting enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G36305) TAIR;Acc:AT2G36305] MATPASFHHPASPQPAIPGAAAAAVAACAAMAVSYVAVLYAPTALLRLPPPTSLRVFLHRRFLCAAFSSSASLLATASLLRVWSIGDSSVVLAVFGIRRDHLLQAVAIPLLLTSLVYAGSFVARLWLLANSCGGGYEEPEIGWAQRLAHRIRASVGDVMVWRNCVVAPITEELVFRACMVPLLLCGGFKIYNIIFLSPVFFSLGVQLGYTVIFGWSGISSISGWFIVLLLAPFPCDEPKTI >OGLUM05G13970.1 pep chromosome:ALNU02000000:5:16711078:16715143:-1 gene:OGLUM05G13970 transcript:OGLUM05G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLHDATTSPSDPDDLGGGGEEEEERLASKPLLSSPSTYPSAGTEEGVEELELDRRYAPYARRDAYGAMGRGPLGAAGAARLAVGAAVLFPLRLAAGVLVLVAYYLVCRVCTLRVEEEEREGGGGGAAGEVEGDGYARLEGWRREGVVRCGRALARAMLFVFGFYWIREYDCRFPDAEDEHQEQSKELGRPGAVVSNHVSYVDILYHMSSSFPSFVAKRSVARLPMVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNSPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVKPVILRYPYKRFSPAWDSMSGARHVFLLLCQFVNNLEVIHLPVYYPSEQEKEDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNNSLPRALHQKDD >OGLUM05G13980.1 pep chromosome:ALNU02000000:5:16720148:16721956:1 gene:OGLUM05G13980 transcript:OGLUM05G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARIGEEEVEAEAVRPCVRRGGGATQVRRGGGGRLGFWCGVATSAPFIPEPTIARLRKEGIEEEMACARFRRGSSEAGGKEIV >OGLUM05G13990.1 pep chromosome:ALNU02000000:5:16722495:16726997:-1 gene:OGLUM05G13990 transcript:OGLUM05G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSAAAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGVYATSSHLTPIVTVRCLRRYQQISTVTNQDQRKLAGFRSWNFGLFGWSYRIGCFGVWLLRSQLYSLQIVKHISTCMSHLCYKGWSGLDRSLDNAAWKRRVRKISSGSHSLLSLLRKDLRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSDSSDNNFLLNKFPDDKTVERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >OGLUM05G13990.2 pep chromosome:ALNU02000000:5:16722495:16726997:-1 gene:OGLUM05G13990 transcript:OGLUM05G13990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSAAAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGAKSPKNIFRVPFFVVFVEKGLKGWQSAVLSWWIILYDKTVERYVTSSFALSAASLRSLFATIPLAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >OGLUM05G13990.3 pep chromosome:ALNU02000000:5:16722495:16726997:-1 gene:OGLUM05G13990 transcript:OGLUM05G13990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDAWGRSSSSSSAAAAAAARRLQARYDLYMGFDDADAAGVEEVEARGGGEAYNCPFCGEDFDFVAFCCHVDDEHAVEAKSGAKSPKNIFRVPFFVVFVEKGLKGWQSAVLSWWIILYDKTVERAEPSLSEKDQKERAQRSKFVRGLVLSTIFEDDNL >OGLUM05G14000.1 pep chromosome:ALNU02000000:5:16727847:16728444:-1 gene:OGLUM05G14000 transcript:OGLUM05G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGFVRDEAKGGKSGGVAPLRRPAAHVPCSFTARSASSKKGGGGVLATRSLRKGTDTGERWQEDGVVSHPAIPALTDRLAAKMDAARARNAMGTGVASTQLPRHMVTAGEAAAAEQLSF >OGLUM05G14010.1 pep chromosome:ALNU02000000:5:16731660:16731896:-1 gene:OGLUM05G14010 transcript:OGLUM05G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASSAAVAGGGSALYGGADPFGRPRPLREYDEKALVEIYRSILARGGGGGGGVVPVAGDAAAVVAVARLPTVAGA >OGLUM05G14020.1 pep chromosome:ALNU02000000:5:16744385:16747980:-1 gene:OGLUM05G14020 transcript:OGLUM05G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases;DNA primases [Source:Projected from Arabidopsis thaliana (AT5G41880) TAIR;Acc:AT5G41880] MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGFVIVEQESMHDFGDPKMLWYFPVRLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLARSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNSDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADFTNLVPHEKLLLHETNH >OGLUM05G14020.2 pep chromosome:ALNU02000000:5:16744385:16747980:-1 gene:OGLUM05G14020 transcript:OGLUM05G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases;DNA primases [Source:Projected from Arabidopsis thaliana (AT5G41880) TAIR;Acc:AT5G41880] MAREDEKADAMEIDGQHQQVANTAVPDGFNADYLRIYYGKLFPHVDFFKWLSYGNDGKHPGCDQSYVGRREFSFTLENDIYVRFQSFDSVAEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIMILVSITYCGYIVVVVVSIAGLNNEQRAAIADYFRVYKVGGENTMKKVSLTGAVLHPFLARSYTDVLKGFFEDKLLLSQQLFASEERYQKILDLIPDENVASELHDKWQGNRRSSISKEDVNATRWEQLKSTLQSGKHKGLRRCIEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNSDDFDPTTVPTLSQGIVSATIAESLQGGIRKLIQCQAPAVQEYLDLVKFTQLPADFTNLVPHEKLLLHETNH >OGLUM05G14030.1 pep chromosome:ALNU02000000:5:16747991:16749435:-1 gene:OGLUM05G14030 transcript:OGLUM05G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGATYKGGIKAYWKRRGYGRLDPASSAHRRPRLPTAELGDGRGAAGGAGRWRRGCTTTHTLNATGSAAAAAADGAHHHHHRPPPASTDATRPRRPLHHRRPPRHSKGEIVKEFLI >OGLUM05G14040.1 pep chromosome:ALNU02000000:5:16757936:16758222:-1 gene:OGLUM05G14040 transcript:OGLUM05G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLCKPWDKNLIRKENSWELPELGWAKINVDGAFCAGSGNAGIGIIIRIVPIQSCYRLESTFATGRRRKN >OGLUM05G14050.1 pep chromosome:ALNU02000000:5:16759415:16767427:1 gene:OGLUM05G14050 transcript:OGLUM05G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIQHFQHSHQNRIMRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPRLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKVRDSVATVREFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >OGLUM05G14050.2 pep chromosome:ALNU02000000:5:16759415:16767427:1 gene:OGLUM05G14050 transcript:OGLUM05G14050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIQHFQHSHQNRIMRGVAASACTLRPGPPGAVRSKSNGPDRLSHLPTRPLSAPRLHLRRSPEPTHRRLPLMASSPSAAATGSGGSFPEMNSVDDFAAVTAPRGGGRVSVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAIEATKEFSSLSVEPCEGELIVVTVFEIKEDEVPAFIEREHEFRFLAVVPEGLDGVPYANPAVVCARYSDEEYFQVRCKGSKEIYYQRYGRYNIDRIWRDDILPCRAYLRHCVLAAKNLGEPAYSNFLDHTYLGDRTTTIREYLATTGAGIMEEEPPESLKSRYGG >OGLUM05G14060.1 pep chromosome:ALNU02000000:5:16763028:16766594:-1 gene:OGLUM05G14060 transcript:OGLUM05G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALRPQKKELARMRHISSLLQGLARSLSVGKERKGGDGDDGKAAAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSVLCGIFDGHGQWGHYVAKAVRESLPPALLRRWREAVTLAALIDGGEKRLCECRPDLWRQSYLAACAAVDAELRASRRLDAVHSGCTALSLVKHGDLLVVANVGDSRAVLATASPDDGGGARLAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGVWDVVSNEEAVQIVASAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >OGLUM05G14060.2 pep chromosome:ALNU02000000:5:16763028:16766440:-1 gene:OGLUM05G14060 transcript:OGLUM05G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHISSLLQGLARSLSVGKERKGGDGDDGKAAAATATAVLRTSGTLWGEGSETFAAVCSRRGEKGINQDCSIVCEGFGCEEGSVLCGIFDGHGQWGHYVAKAVRESLPPALLRRWREAVTLAALIDGGEKRLCECRPDLWRQSYLAACAAVDAELRASRRLDAVHSGCTALSLVKHGDLLVVANVGDSRAVLATASPDDGGGARLAAVQLTVDFKPNLPQERERIMECNGRVQCLADEPGVHRVWRPDREGPGLAMSRAFGDYCVKDYGVISAPEVTHRRITAQDHFVILATDGVWDVVSNEEAVQIVASAPEREKAAKRLVEFAVRAWRRKRRGIAVDDCSAICLFFHSPPS >OGLUM05G14070.1 pep chromosome:ALNU02000000:5:16772927:16773522:1 gene:OGLUM05G14070 transcript:OGLUM05G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHNFLRCPPTASVMMFSLAGHVGNATSGGGRLGSTRDSRLRITPVTVTTVGSTTSFAACARDGRIRATAAADDNGEEEVAGSGAAFPTRGDDGSGGGEAWRPSPTWIQRRPPSPHMAAAADLKVVRHGSRRPRGSDGDCPPLTRRRRI >OGLUM05G14080.1 pep chromosome:ALNU02000000:5:16796988:16807700:-1 gene:OGLUM05G14080 transcript:OGLUM05G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVERLVPGGAGGGGGGRFRYERMPARGPADDGEGEEEEEEAAVPERRPEVLAASASFRLSEAARVFEELPRASIVAVSRPDAGDITPMLLSYTIEVHYKQRYLIRHNQFKIKKLTNLEDISNIDWSAMFRWRLYKKASQVLYLHFALKRREFLEEFHEKQEQVKEWLQNLGIGEHMPVGHDEDEADDVNVPAQAEENSIRHRNVPSSAVLPVIRPALGRQHSVSDRAKVAMQEYLNHFLGNLDIVNSPEVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDHKENCCSCGLFSCCKSSWQKVWVVLKPGFLALLKDPFDPKLLDVLIFDALPHMDISGEGQISLAKEIKERNPLHFGLQIFITDWWLCPELFLRRPFQHHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQKLLNIHENVKVLRYPDHFSSGVYLWSHHEKIVIVDNQVCYLGGLDLCFGRYDNSAHKLSDVPPVIWPGKDYYNPRESEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKAPNEQGIPLLMPHHHMVIPHYKGISQEINSEADGKQNHDKDCDVKKPVSVDSRESCQDIPLLLPQELEPPALPNGDLRVNDLDANHSDHLHKTSFNQPLLNRKAKLDSSRQDLPMRGFVDNISSLESSSIRHFDSSKEEKYHMDKNWWEMQERGDQVASVLDIGQGGPRATCHCQVIRSVGQWSAGTTQIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDETIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLYDVIGPKAHDYISFYGLRAHGRLCEGGPLVTNQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVSSKMNGKPWEAGKFSLSLRLSLWAEHLGLHRGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIHSRAQFRQSFAHCRDKIGHSTIDLGVAQEKLETYQDGDLKGTDPIERLQMIKGHLVSFPLDFMSQEDLRPYFSESEYYTSPQVFH >OGLUM05G14090.1 pep chromosome:ALNU02000000:5:16813136:16813479:-1 gene:OGLUM05G14090 transcript:OGLUM05G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNRDTLMQESPGMVTRRRLAMLLGDSSGTTGEARGNLGASISAVADLTGASTSGGNSSTIPKKKN >OGLUM05G14100.1 pep chromosome:ALNU02000000:5:16819324:16819752:-1 gene:OGLUM05G14100 transcript:OGLUM05G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARFKLQKPISKKHHHKKQQQRRRWWSSALLFFRRGGSSSSSSFDDDDATASVEYPSSYSTAALSPAGPLYLAAVEDDDDDGAAAACACWAPAMRSGGRHLAASELGASASVLPYVSLRDSAGVAGGARAPPAMPIYLVT >OGLUM05G14120.1 pep chromosome:ALNU02000000:5:16884859:16885527:1 gene:OGLUM05G14120 transcript:OGLUM05G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGAGGDVSGGERWAPSGRQLTASLVGLNVFVVLLIYYYLWRFFSGKSDGGVPGSGGGGDDEDAESSAAPSRAVSPKARDREAMELAITALPVFVVHVPTPSDNSGDGGGGAGDAAAADANGGGGGKVLECAICIAEFADGEEGRLLPRCGHRFHARCVDMWFQLHSTCPLCRAGVLPPAPAPPCPTTAPHDDDGQQQVVAPPPPDHTDDTNRTDNCPV >OGLUM05G14130.1 pep chromosome:ALNU02000000:5:16900459:16901067:1 gene:OGLUM05G14130 transcript:OGLUM05G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVAAPAAPAVEDASKHWAPHGAVLTAFVVGINLLMVLLVFFYFWRFFSGKRVPPPSSSSMAGGADDEEAASSDSDTSPAASPRASWRRLREWPAGRRQQEEDIASLLPVSVYSSADVGNGKAAAECAVCIVEFRDGDLARLLPRCGHRFHADCVGAWLRLHSTCPLCRAAALPLAASTATASVPNNNDDPKDDAAAHCPV >OGLUM05G14140.1 pep chromosome:ALNU02000000:5:16901148:16903383:1 gene:OGLUM05G14140 transcript:OGLUM05G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALSPARRATRAGESGSIELGRSSTQRRRGEKATDKHRRRRRCPDGMHGGKRPIGVPVLALLAPGADPAAAVGGRREEVGRQEENEQEPHRSDLIGERMKRYALKAQLANSAWPIRHQLFGYSALGIGLDTRRWGWLTVIEGLRRRRRGASGHGATTAAQDLSAHGYDGRRGVGHLGAWL >OGLUM05G14150.1 pep chromosome:ALNU02000000:5:16905391:16905816:-1 gene:OGLUM05G14150 transcript:OGLUM05G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKAEAVAAEVEAEATGSVAVAAAAAAAATSDDGREQGHSGKKVRLPDPYVAAILSLKREPPPSAQYLEVLSPEKELEYAGHRKELEDELEAFEKDGYFVVDESYLEETAACLAMANEQLAKLDFSGIVFGDWDYDDLD >OGLUM05G14160.1 pep chromosome:ALNU02000000:5:16916061:16916654:1 gene:OGLUM05G14160 transcript:OGLUM05G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTVQMVAPAGAAVVEDDPSKHWTRHGPVLTACLVGINLLMVLLIFFYFWRFFSGKRGPPTSSTSTMAGDGDDEEEEGASSSSSSADTSPGRHHQDREDIASSLPVFVYSSSAAPDAGGNGKAAAAAECAVCIVEFRDGDRARLLPRCGHRFHADCVGAWLQLHSTCPLCRAAVLLPAAAEPAKNDQPKDDDCPV >OGLUM05G14170.1 pep chromosome:ALNU02000000:5:16928843:16933103:1 gene:OGLUM05G14170 transcript:OGLUM05G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLFPSTPSELFELCLSLSLPQDRLPPPSADLSHRSTTNQILSPQTLPYSGESIFPLFPFSFVPYQAPPELSLSCRFTVLSSSCDVPGNTTGRRRRRLPITGAPTPLSSSSLFDRREEEGRDGKKKKRKKKKKKSLRESILFWMATRGISRIG >OGLUM05G14170.2 pep chromosome:ALNU02000000:5:16928843:16931540:1 gene:OGLUM05G14170 transcript:OGLUM05G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLFPSTPSELFELCLSLSLPQDRLPPPSADLSHRSTTNQILSPQTLPYSGESIFPLFPFSFVPYQAPPELSLSCRFTVLSSSCDVPGNTTGRRRRRLPITGAPTPLSSSSLFDRREEEGRDGKKKKRKKKKKKSLRAGSSII >OGLUM05G14180.1 pep chromosome:ALNU02000000:5:16933733:16934356:1 gene:OGLUM05G14180 transcript:OGLUM05G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPSSPVLVVVAACLAAALACLAANVAPASCARATAALPHASIAETCSFVDDHKLCVESLSLLSLTARAAADARVLARAAVLLARQNATATAAYLSHLHAAAAAAAADGTPYDDGDDADHRCVGDCTVRYDRAVAYLGDAAAALDAGEFDEAELLVGAGRTEAELCQKGCEHARLPALLAARNGAVERLCNVAMDITRLLHQQH >OGLUM05G14190.1 pep chromosome:ALNU02000000:5:16936331:16939008:1 gene:OGLUM05G14190 transcript:OGLUM05G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLASLVLVLLAFVLPLLYLLLLQLPGKKSGGGGGDGPRLPPSPAGCLPLLGHLHQLGPLPHVALRSMAAAHGPVLRLRLGRVPTVVVSSAAAAEEVLRARDAAFSSRPRSAMAERILYGRDIAFAPYGEYWRQARRVCVVHLLSAQRVSSFRRVREEEAAALADAVRAAGRGGGRAFDLSGLIVAYASAVVSRAAFGDESARGMYGGADGGRAVRKAFSDFSHLFGTKPVSDYLPWLGWVDTLRGRERKARRTFEALDGVLDKVIDDHRRRRDSGRRQTGDADAGHRDFVDVLLDVNEMDNEAGIHLDAIEIKAIIMDMFVAGSDATSKPMEWAMAELVSHPRHMRRLQDEIRAVVGGGRVTEDHVDKLPYLRAALKEALRLHAPLPLLVARETVADTEIMGYHVAARTRVVINGWAIGRDTAVWGETAEEFMPERFLAGGNGGGAAAADYKVQGFEMLPFGGGRRGCPGVTFGMATVEMAVASLLYHFDWEAAAADGEGGREGTPLLDMSETSGITMGLKHGLPLVAKPRFP >OGLUM05G14200.1 pep chromosome:ALNU02000000:5:16942686:16949705:1 gene:OGLUM05G14200 transcript:OGLUM05G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGTDPFPAPVSTGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPVIATLNCNCSALRFTNYGAKTINGEKFDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >OGLUM05G14200.2 pep chromosome:ALNU02000000:5:16942686:16949705:1 gene:OGLUM05G14200 transcript:OGLUM05G14200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] METPKNAGTNRCGSSSPAARGCCLLPLHSTTRAPRLRRLAGIEAASSPLPLSPDGTDPFPAPVSTGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >OGLUM05G14200.3 pep chromosome:ALNU02000000:5:16943063:16949705:1 gene:OGLUM05G14200 transcript:OGLUM05G14200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] MVAEMLGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIKHWGRVPALGCEPTFITDLADAVIESLPYVGAMAVSNLEARQPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >OGLUM05G14200.4 pep chromosome:ALNU02000000:5:16943063:16949705:1 gene:OGLUM05G14200 transcript:OGLUM05G14200.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 2 [Source:Projected from Arabidopsis thaliana (AT2G30390) TAIR;Acc:AT2G30390] MVAEMLGGGRMWSSSQASTRGVIEVGRVEAGPSHFPKRPAPRNSSRVNLSRTYAIKSCSVSSRTGLCLGQCYHKKSSACKCKLGWSSQPLSSLRHHLRVHSSASEAVLTSQSDFTKLLVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALRKALCDKDIPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLEGIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIEKELRTFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRGITNSCTLAYQSRVGPVEWLRPYTDETIIELGQKGVKSLLAPLVPLGSVEELLAAYDSKRDELPPPVTVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPLFH >OGLUM05G14210.1 pep chromosome:ALNU02000000:5:16983601:16986695:1 gene:OGLUM05G14210 transcript:OGLUM05G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZY26] MAVRYEKKAMCALLLSLIMVALSVAAAGDGDAPPSTPVSPTTACNDTTDPSFCRTVLPPGGSSDLYTYGRFSVARSLDSARRFAGLVGRYLARHRGLSPAAVGALRDCQLMSELNVDFLSAAGATLRSAADALPDPQADDVHTLLSAILTNQQTCLDGLQAASSSWSERGGGGLAAPIANGTKLYSLSLSLFTRAWVPTAKGSKHHGGGKKPHHGHGKKQPAAAAASMRRGLFDAADGEMARRVAMEGPEATVAVNGVVTVDQGGGGNYTTVGDAVAAAPSNLDGSTGHYVIYVAGGVYEENVVVPKHKRYIMMVGDGVGQTVITGNRSVVDGWTTFNSATFAVVGQGFVAMNMTFRNTAGPSKHQAVALRSGADLSAFYGCSFEAYQDTLYAHSLRQFYRRCDVYGTVDYVFGNAAVVFQSCAFLSRLPLPGQCNTVTAQGRSDPNQNTGTSIQGCSLLAAPDLAAAGDGGRTLTYLGRPWKNFSRTVVMESYVGGLVDPAGWMPWSGDFALDTLFYAEYNNSGPGADTSRRVAWPGYHVLGAGADAGNFTVTSMVLGDNWLPQTGVPFTSGFLTSDPPIS >OGLUM05G14220.1 pep chromosome:ALNU02000000:5:16988559:16989940:-1 gene:OGLUM05G14220 transcript:OGLUM05G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTWGVIQGRKERLVSRVLALDFLQSVGVSDPAGELEAVELPSSLEVLQERLDFDIRLGLSTDNLSSYPLLPACSLRKNAIPVLSYLEAGDAEHRRAAMAACPLLLPLSHSVAHVVIDEEVGEGAIAHVLVDEEAVGALVAAAEQAHQVAVALPHDGAHLSLELPLAVLHQLLQPLHGNRPLAAVPQCPLEHRAKRGAEARVAAACAGLSCTDLRQVLGVAAIVADEVEHAAGIEAQGDGEAYLERAGVLTCGAHMGPTPTQPPHRTKPGSKPPKDLFVTSFD >OGLUM05G14230.1 pep chromosome:ALNU02000000:5:17001068:17002574:1 gene:OGLUM05G14230 transcript:OGLUM05G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIIADFVPPAGARRAAASDVSENAVLSAAGAGDESFAAAKAPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYATAEEAARAYDVAARDIRGAKAKLNFPPTIGAAAAPPPPKKRRKAAAAANHHHQQQQQESSGSSSASSLPPTPPPAAEHQLRECMSGLEAFLGLEEEDDDDGGAGEPWDAVDMMLE >OGLUM05G14240.1 pep chromosome:ALNU02000000:5:17013286:17017447:-1 gene:OGLUM05G14240 transcript:OGLUM05G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEGRKRRGRSGSETSTPTRSSDADGRRLPVEPPMAGSGTATASYSLLGPLLPRRGEARRLIPSPQPQQGGMAAGGEEEKPFNFLQILCEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGSQIQWKGLYSGLAGNIAGVLPASAVFVGIYEPTKRKLLETFPENLSAVAHFTAGAIGGIAASLIRVPTEVVKQRMQTGQFRSAPDAVRLIVGKEGFRGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKVVAKRELNDPENALIGAFAGAITGAITTPLDVMKTRLMVQGSANQYSGIVSCAQTILREEGPGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRSREPPAGKDE >OGLUM05G14250.1 pep chromosome:ALNU02000000:5:17017859:17019868:-1 gene:OGLUM05G14250 transcript:OGLUM05G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKPLHKSIATKNVDTKTNPKPAAPPLATCLQPSRTDPAPPCRHDLVRSRPPSPVVNDGCCSFPAATPSCSSPWLGRVGEDARLLLLAAMLPSCSRFGRVAAV >OGLUM05G14260.1 pep chromosome:ALNU02000000:5:17017902:17019016:1 gene:OGLUM05G14260 transcript:OGLUM05G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSSRASSPTRPSHGDEQEGVAAGNEQQPSLTTGLGGRDLTRSWRQGGAGSVRLGCKQVAKGGAAGFGKS >OGLUM05G14270.1 pep chromosome:ALNU02000000:5:17024557:17031881:1 gene:OGLUM05G14270 transcript:OGLUM05G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPKNEKPGYLSIDFLTDIVEDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPSFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYEKKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPCEYLSTRARQGLSLAAMADGAMSRL >OGLUM05G14270.2 pep chromosome:ALNU02000000:5:17024557:17031881:1 gene:OGLUM05G14270 transcript:OGLUM05G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPSKDCPVLSFVNKLNIYVVKFMLLPDAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPSFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYEKKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPCEYLSTRARQGLSLAAMADGAMSRL >OGLUM05G14270.3 pep chromosome:ALNU02000000:5:17024557:17031881:1 gene:OGLUM05G14270 transcript:OGLUM05G14270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTSMEVRRDGVAVITVSNPPVNALSLDVIASLQRDYGEALRRSDVKAIVLTGAKGRFSGGFDINAFDKKPNAPKPSVAAIDGVALGGGLELAMVCHARVSTSSAQLGLPELQLGIIPGLGGTQRLPRLVGLPKALEMLLMSKPLKGVEAHKFGLVDAVVSADELISTACSWALEIVEDKRPSFRSLHRTDRLPALEETKKILNFARVQAQKQSASLQHPLVCIDVIEEGIISGPRAGLMKETLCGKMLEMSQISKSLRHVFFAQRATSKIPNISNLGLTPRRIHKVAIVGGGLMGSGIATALISNNLLVILKEVNEQFLDAGISRVKANLQSLVKRGQMTKEDYEKKLSLLSGVLDYEQFREADVVIEAVIEDLSLKQKIFADLEKYCHSNCILATNTSTIDLHLIGQKTSCQDRIAGAHFFSPAHAMPLLEIIRTHRTSSQVVIDLLNVAKQIRKTPIVVGNCTGFAVNRMFFPFTQVAYFLVDYGLDVYHIDHVITKFGMPMGPFRLADLVGFGVAIASRKQYLQSYPERCYKSMLIQIMLEENRTGESSRKGFYLYDDKRKASPDPEMNKYIEKSRSMASIVQDPKLPKLTDDEIVEMMLFPVVNEACRLLDEGVAMKASDLDVASIMGRGFPSYRGGVMFWADSFGAKYIYDRLKDWSKYHGGIFEPCEYLSTRARQGLSLAAMADGAMSRL >OGLUM05G14280.1 pep chromosome:ALNU02000000:5:17041041:17041562:1 gene:OGLUM05G14280 transcript:OGLUM05G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPLPLLLLVALLVAGGGGAAAETKPTAYEALATFDFPPGILPKGVVSYTLDDATGDFTATLNTTSTCAFSIQGSYSLRYQRRLSGRIAADRLTNLQGVSVKILFLWVNIVEVTRHGDELGFSVGIASADFGIDNFLESPQCGCGFDCHDHRDLSLPLPLPEPSLRLRGAF >OGLUM05G14290.1 pep chromosome:ALNU02000000:5:17050966:17051514:1 gene:OGLUM05G14290 transcript:OGLUM05G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLHLLLLAVLAVAAAAAEAAAEKKPTAYEVLESYDFPVGILPKGVTSYTLEATTGDFTATLDTGDDDDSSSSTCEFAIEGSYSLRYQRAITGRIATGHLTDLRGVAVKVLFFWLNIVEVTRRGDRLEFSVGIASADFTVDNFLESPQCGCGFDCDDDGISSSSSLPPPLEPSLLRLRGAF >OGLUM05G14300.1 pep chromosome:ALNU02000000:5:17053830:17054607:-1 gene:OGLUM05G14300 transcript:OGLUM05G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAASCVAQLAQRRGLSAAITAAEGSAKTIDDKAVKLGTAAKDVATATATTTEEKTAFWEPDPDTGYYRPVTGTKEVDAADLRAEMLKQRMLHD >OGLUM05G14310.1 pep chromosome:ALNU02000000:5:17066708:17067169:-1 gene:OGLUM05G14310 transcript:OGLUM05G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACHVNFPLSFFLFFFLLLFAAGSSNRRQRAGLSGGRWRRRVSARRPGGGAWRRRGDAADERVADDGVGARHEAELGDDGLGGGGLGGGGCGGREAEHGAEEERLANCEVGWSTSSYATNPVCCCKVRHGARPLHRRHHRGRPLHLDAMSMN >OGLUM05G14320.1 pep chromosome:ALNU02000000:5:17071567:17082608:1 gene:OGLUM05G14320 transcript:OGLUM05G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELEEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKGLALGSNLMAYMISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASMTPPAACTDSAAATAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >OGLUM05G14320.2 pep chromosome:ALNU02000000:5:17071567:17082608:1 gene:OGLUM05G14320 transcript:OGLUM05G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEMADDVEYHEEFVTNPRGLRLFTCGWLPASSSPKALIFLCHGYGMEVSGFMKACGVELATAGYGVFGIDYEGHGKSMGARCYIQKFEHLVDDCDRFFKSICELEEYRDKSRFLYGESMGGAVALLLHRKDPTFWDGAVLVAPMCKISEKVKPHPLVVTLLTQVEEIIPKWKIVPTKDVIDSAFKDPIKREKIRKNKLIYQDKPRLKTALELLRTSISVEQSLSQVSMPFFILHGEADTVTDPEVSRALYERAASADKTIKLYPGMWHGLTAGEPDHNVHLVFSDIVAWLDRRSHRQDRASMTPPAACTDSAAATAADSPVSPEPPRQGAAGGFLCGLTGRANPQQCRM >OGLUM05G14330.1 pep chromosome:ALNU02000000:5:17078868:17082453:-1 gene:OGLUM05G14330 transcript:OGLUM05G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTYRGGGGATLAGEAEAAVAAGGYSPKPSKPLAWLPRAARYAAGEHRPLFALAGMLVAAAIFSLATPSSSSTPAAAAAGSTAANPLARFSVEPAVSRRQQQLPARQFVGGKVPLGLKRKGLRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNLFTGRKENVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKWHKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNAKIEFRPNTQDDPHKRKPDIGRAKELLGWEPKIPLHKGLPLMVTDFRKRIFGDQDSTATTTGGQQG >OGLUM05G14340.1 pep chromosome:ALNU02000000:5:17086499:17087015:-1 gene:OGLUM05G14340 transcript:OGLUM05G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVRRTGGDGGKKAVLRRGGGVAVAERKRRYGQGPQGGGMAARRGGDKVGSKREDKTGPGASFKRSNICEDVTDVVFCASEGVRS >OGLUM05G14350.1 pep chromosome:ALNU02000000:5:17096786:17103859:-1 gene:OGLUM05G14350 transcript:OGLUM05G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06880) TAIR;Acc:AT3G06880] MPTATTAMAVPPHSSICSLIAFLHHHIRALLADRDALLAARARCLALLDPPGAGGAAHDDGDGDVLAALRHAADALTAGADAGGLDGAEAALQGPALLPEEGETGGLDNRRVAACAYFYLALVRAAQGDAWQMAMHFLQAVVVSPAAVASAGAGGGLAPRALWDGLFDGAVLARAGGASEDDAARRAARRYKDWLIYYKVVAGAPASGGGGGRCIQFGRSVSSVIPKWPEFSEDGTIHSIDQEGKCRAFDSNCGDHDSFAELKDFLNCEDPDLQEDTKGSSDSRCLHEMLEEYQSDSPVSFYSHLDSSEESDNENKNLTWCTSPENAMIYTPESPLYQVDDCDMKQNDLQSSRSQCSANSLSNSVLNINKADSYSTSNYFNKDGMFPQCTPKHDLRCFSNFSTKFMKRSALSDIVSRGSMSRKFKAFSQSDEWSDVSSRWGKESQVDFLERFEKAVSKLLISDGLESYLDAGSEVTTIWHLLNSSSEVRYKSSARQDILDQLLDSISTSKKDKVIRASVYVLLLMLSEDRNAMRGIKRKEFHLSNLASALKRDVHEAAILIYLLDPSPLQIKNLELLPSLLHVACNSDTKKWPAVLPLTPTSASIALIEILVTAFDYVTNNVHLGAISSPHILSKLVDVAKNNNLEEGVALAAILVRCVRLNGNCKKFLSQATPVEPFLHLVRRKEHRAKCAALEYFHEILQIPRSAANSLLQEIKKLGGIAIMHTLMACLHQTEPEHRVLAANLLLQLDMLDKPDGKSVFRDEAMEVLLDSLSSQENCTVQALAASFLCNLGGTYSWSGESYTAAWLAKKAGLTSTSHRNMIRNIDWVDPCLQDTEIGPSSSKSARTIIRTGVPVLRALAKGIQSKAKGTSHDCLVCAAWLGSELAALGENNMRYSACEILLHDIARHLHPGFELDERLLACMSLYTYTSGKGKQKLMGLSEGSRESLRRLSSFTWMAEELLQVTDYYLPSKPRVSCVHTQILEIGQPGNGAATAIIFFGGQLFVGYSSGTIRAWDIKGQRAVVIREVKEHKRAVTCFALSDTGENLLSGSADKSIRVWKMAQRKLECVEVIQIREAVEQFEIYNDKIIVLTPNNVLKFSYSSRSTQTFYKSKHVKSLAVAHGKAYLGCTDLSIQELDVAVGSKIEIRAPTRSWRIRKQPISSIVVYKDWMYCAGTQVEGSTIKDWKKRCKPTMTMAISKGTNVEAMAVVEDFIYLNCDKSPSIIQIWLRENQQKVGRLSAGSKITSMFTANDIIFCGTETGLIKAWIPF >OGLUM05G14360.1 pep chromosome:ALNU02000000:5:17105963:17118697:-1 gene:OGLUM05G14360 transcript:OGLUM05G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:complex 1 family protein / LVR family protein [Source:Projected from Arabidopsis thaliana (AT3G62810) TAIR;Acc:AT3G62810] MAAAAAAEGLAAYRAVLRAARRTFAGDRLMLAESAVEIRRRFEEHRGLAPGSGEAARALSDAREAAHFITHMIVQAQRAPSGSFVVKPEKEHAGATLEDVQ >OGLUM05G14370.1 pep chromosome:ALNU02000000:5:17136896:17137696:-1 gene:OGLUM05G14370 transcript:OGLUM05G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZY45] MKSGGPSGLGTGLIHDWSLTTGLDPNVNIVGRAQGWHIVASQSSPANWYLSQNIVFQDNKYAGSTLQVMGIIEGSEEKVVEWSIVGGTGEFTNARGNIKYRDIKKEDVEWIRELDIQVLYTPNTQSDISCLVRTVGYVMVYKIIVICYSWETAASTAVVVAALPEARRCRPYGGRRRICSAATTLADAAAAVIDAAAAVIDAAATLTDAAATSTLAVATVAGSG >OGLUM05G14380.1 pep chromosome:ALNU02000000:5:17155524:17155730:-1 gene:OGLUM05G14380 transcript:OGLUM05G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALECHSSSEGVAVPSHPSRVVAGRNPSLGSFETLTDGGGGFQSLFSLEMSFRHPLAETTRAIDATTL >OGLUM05G14390.1 pep chromosome:ALNU02000000:5:17155764:17156042:-1 gene:OGLUM05G14390 transcript:OGLUM05G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAQPVARDQIGARGVSGGEGGRRGTMGRGRRWRRQPLCEEELLVGVARSTAHKGWPAGAPVQWSHMSVEVERWWSIGASAMDSQVVSGE >OGLUM05G14400.1 pep chromosome:ALNU02000000:5:17234485:17235588:-1 gene:OGLUM05G14400 transcript:OGLUM05G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein DnaJ, N-terminal with domain of unknown function (DUF1977) [Source:Projected from Arabidopsis thaliana (AT5G49060) TAIR;Acc:AT5G49060] MDGNKDEALRSVKLAETALASGDRQRAEKFLRIAQRLDPSLPIDDMLGTPKKYDTLNGAVRQYRARSGEVGESQNLRKESVGPSNVDKGYTEENVRVVRNITKNKDYYAILGVERSCSVEEIRKAYRKLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRRTYDQTGAIEDHEFNYQYSNVMRQRTTRRQRQARSSFYGYEEDLDPDEIFRSFFYGTHDNMFQSRNAYRARGTVRQQQQQRREHPVQGGSGINLTMLVHLAGVLFFILFAFIPARHPEYSLKRTSYFSISKVTEKHGVEYFVSKQEFDQQFPRGSSSRDNLEQYVFKDYKSMLGRFCHVELQRRQWAKDYPTPHCDKLRSLSVA >OGLUM05G14410.1 pep chromosome:ALNU02000000:5:17237758:17244755:1 gene:OGLUM05G14410 transcript:OGLUM05G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >OGLUM05G14410.2 pep chromosome:ALNU02000000:5:17237758:17244755:1 gene:OGLUM05G14410 transcript:OGLUM05G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGYAFVEFEDPRDAQDAIYGRDGYDFDGHRLRVELAHGGRGPSFDRSSSYSSAGRRGAAKRTDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREGGATIGIVDYTNYEDMKQAIRKLDDSEFRNAFSRAYIRVCFSVSLTCERKI >OGLUM05G14420.1 pep chromosome:ALNU02000000:5:17246145:17248131:1 gene:OGLUM05G14420 transcript:OGLUM05G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVITANSGDLIF >OGLUM05G14430.1 pep chromosome:ALNU02000000:5:17257144:17259786:-1 gene:OGLUM05G14430 transcript:OGLUM05G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLLLPTDMEQQLFPTDGVSFCITGASFRRSCLNFSNGGWLSLALFAMVGAICFYTGNLIDRCMCADRCVRSYLDIGYLAFGAYGWTTIGLVMYVELYLVAISFLILEGDNLDKLLPSTVVEILGYQVHGKQLFVLATAAVILPMTWLKNLSMLTYVSVVGLISGADGVWAGVPDKGFHMAGNNLLNLSGLPTALTLYFVCFAGHGVFPTVYSSMKSKKDFPKVLLISSVLCSLNYAVTVVLRYLIYGEDNLPTGKLYTRIAILTTLITPLANYKLMIQPVTTEIEEKLSATTDVENNWLTRVLTSIAVVISTVVLACTVPFFGYLMLFVGSSLNVTVAVLVPCLSYLKIYMSRGGVGCFERTMIVGILIIGVCVNAPFTRL >OGLUM05G14440.1 pep chromosome:ALNU02000000:5:17268559:17269401:-1 gene:OGLUM05G14440 transcript:OGLUM05G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTPAAAADLRCCLLEEGRPPPAAAARNPTPPWLLAIRWFCIIAAVAMVAVFAAEIFPRCESKGDVALCAIALAGALLMGPILGLAMTACAADDDEAAARIPSRYTRSEENMGRAAIMAVALLGLYVIYLAAVRGGDSGRFLDAACYGMMGLGLIVGHSVTWIEGCFLRRD >OGLUM05G14450.1 pep chromosome:ALNU02000000:5:17271644:17274315:1 gene:OGLUM05G14450 transcript:OGLUM05G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGIAGAVSFSLTFATMAEARKGRPWICCRRIVGQIQRGLRGRGGRGVRAPLDLSGEKEIVEGETGRGRRVGGWRRKKKMSPSKTRSAA >OGLUM05G14460.1 pep chromosome:ALNU02000000:5:17280010:17281174:1 gene:OGLUM05G14460 transcript:OGLUM05G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADEDAASRGGGGGGKRKAVAEGGSPSPLSVLADDAAQISNTAATPAPAAAAEEGEDAAARRGVASGSRRRARRWLGTVAAHLASGSWTLSREQGNKLLASRFRGDRLYICDWPGCVHAEERRKYMVFRGVFHDFPRSQVRRALRDTRRPTVAVDCAFCGCTEAWDLYAAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTERPLYS >OGLUM05G14470.1 pep chromosome:ALNU02000000:5:17281242:17318257:1 gene:OGLUM05G14470 transcript:OGLUM05G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASHCQECQANLYLCGPSATAARRCRHHRLLCRAPSAATVEPAQGMAPPLLSSPLASSVELWTHPSRARREPLPLAAGALEPTNTAPTRHHYSRVYHRG >OGLUM05G14480.1 pep chromosome:ALNU02000000:5:17321514:17327032:1 gene:OGLUM05G14480 transcript:OGLUM05G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNDADDKARDVTDQSKALGGNSCEDRALPSAVRVTVSGDPVGTFGSLGNMADDNVHLQPDEGDDDHGDSTECSSSFGPSCSAASDDDDTKSEMDGVQVDSPFLGPTRSGAVRASSAPRMVRRRQVTAEWRKIAGPIMWRCQWLELHMKNLLSQVAKYDRELAIINHEKDLQLEMVRADGPKSEPGKLYSQSHERIIMKRRKRKRDEDTVDTSLYLKRHPALSYYENKNSGVQTDGPLVNGGFDSSVVEDIEITDDALVENDRVFEQYSLREILLTVDDVQSRVLSLQGRLSNARSKYKKLSQCLDRKQVKVPQKIQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLECMKKNDAQEDAVQSDPNGITIEMFCGKDNFLTNAHVGELYKESADDVLIDNQAAKEEGYQLFEKVKPEEHSELVMPPSKVQKASADIVDYEQVQETTPLAKQIISGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKTVLVAVDPRRSTRGRNHEGQPLNHPFEQQSKSEDVGEAGSSMKVRKQGNAAESRDSRG >OGLUM05G14480.2 pep chromosome:ALNU02000000:5:17321514:17327032:1 gene:OGLUM05G14480 transcript:OGLUM05G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGMGGNGNDADDKARDVTDQSKALGGNSCEDRALPSAVRVTVSGDPVGTFGSLGNMADDNVHLQPDEGDDDHGDSTECSSSFGPSCSAASDDDDTKSEMDGVQVDSPFLGPTRSGAVRASSAPRMVRRRQVTAEWRKIAGPIMWRCQWLELHMKNLLSQVAKYDRELAIINHEKDLQLEMVRADGPKSEPGKLYSQSHERIIMKRRKRKRDEDTVDTSLYLKRHPALSYYENKNSGVQTDGPLVNGGFDSSVVEDIEITDDALVENDRVFEQYSLREILLTVDDVQSRVLSLQGRLSNARSKYKKLSQCLDRKQVKVPQKIQNQMTCCKKDGRRSHQKTKCMHTLLQKDDLDRSLAVVPPVFGRSTDCVLECMKKNDAQEDAVQSDPNGITIEMFCGKDNFLTNAHVGELYKESADDVLIDNQAAKEEGYQLFEKVKPEEHSELVMPPSKVQKASADIVDYEQVQETTPLAKQIISGDKRGQKPNKKHGLPVLAKKIKTEKDPGNMKNEKTVLVAVDPRRSTRGRNHEGQPLNHPFEQQSKSEDVGEAGSSMKVRKQGNAAESRDSRG >OGLUM05G14490.1 pep chromosome:ALNU02000000:5:17329011:17333873:-1 gene:OGLUM05G14490 transcript:OGLUM05G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCPGDHRGGGASPTTTAAAAAAAHSEQPPAAIVKPDRQTGYSSNAGGFALYKHLSFIRISEEKRNTKNPTRQSYLPIFPYKNKVALASLRLAASPILNKLLTDASTHLGVDMASELRELETSIMPQLELLIEAAEKGNHRAKLDKWIQELKEALYNAEDLLDEHEYNILKHKAKNRGEDPDPEHASSIGSILKKPMRAASSSLSNLRPKNIKLVRQLKELKAILAKAREFREMIGLPAGTSAEGGCTGHTETVVVTPATSTPPPKVFGRDADRDRIVDLLTQHKTCAEASRFVVAIVGPGGMGKSTLAQYVYNDKRIQEHFDVTMWVCISRKLDVDRHTREIIESAAKGECPRVDNLDVLQYKLTEILQKKERVLLVLDDIWLNKSQDAQEWDLLLAPILSSHKGATKVLALFKHHAFSGATTQDLKLRGRLEELAEKIAERLGRSPLAVKVVGSHLKRTMNIEDWKERFIDGCDMNKTMEDTGMDYFKEMLSVCKLQHLRTLICIDPLVDIGSNLFEQVVLNLKKLRVLYLSFYNTRKLPESIGQLKHLRYLNIMKTLLSELPKSLCDLYHLELLYLCPNSRLPDKLCNLCKLRHLGMIFAGLELSRIPDIGQLTLLQHIENFHVLKQKGHELRQLRNMNEIGGYLLLRNLENVIGKNEALESKLYQKSRLKGLTLEWNDANNMNPENSLHLEILEGLVPPPQLEQFSISGYKSTTYPSWLLEGSQLENLESFALYNCRALERLPSNTKLFRHCRELSLKNLPNMKELSFLPAGLTTLSIRSCPLLLFVTNDELEYHDHSEHITRTQKLVAQFALVGVMGPFSDALSSDHSSMKQLAALMDSDISKNLQTIESALERKDEVVMTEDVIKAWMCCHEQRMRLISARRIGLPLVPPSGLGELSLKSCTITDAALSVCLGGLASLRILSLSKIMTLTALPSEEVLKNLTKLYCLNIKACLFLGSLGGLRAATSLTNLSLNSCPALELAHGAEFMPASLKRLAIRCCVLAPDLFCGHWLHLKDIFIHDCRSSVSLFVGDLSSLKEFTLNHLPDLCVLEGLSSLQLHSVQLIDIPKLTAECVSQFRVQDSLRVSSSAVLNNMISAEGFTVPASLTLQSCKEPSVSFEETRNSSSVNHLHYGNLKCLSRLEELDIFYCPNISSLPDLPSSLQRISIVECPNISSLPDLPSSLQRIYIWGCPLLKESCRAPDGESCPKIAHIR >OGLUM05G14490.2 pep chromosome:ALNU02000000:5:17329011:17333873:-1 gene:OGLUM05G14490 transcript:OGLUM05G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCPGDHRGGGASPTTTAAAAAAAHSEQPPAAIVKPDRQTGYSSNAGGFALYKHLSFIRISEEKRNTKNPTRQSYLPIFPYKNKVALASLRLAASPILNKLLTDASTHLGVDMASELRELETSIMPQLELLIEAAEKGNHRAKLDKWIQELKEALYNAEDLLDEHEYNILKHKAKNRGEDPDPEHASSIGSILKKPMRAASSSLSNLRPKNIKLVRQLKELKAILAKAREFREMIGLPAGTSAEGGCTGHTETVVVTPATSTPPPKVFGRDADRDRIVDLLTQHKTCAEASRFVVAIVGPGGMGKSTLAQYVYNDKRIQEHFDVTMWVCISRKLDVDRHTREIIESAAKGECPRVDNLDVLQYKLTEILQKKERVLLVLDDIWLNKSQDAQEWDLLLAPILSSHKGATKVLVTSRSKTLPPALFSEDVIDLENMKGTEFQALFKHHAFSGATTQDLKLRGRLEELAEKIAERLGRSPLAVKVVGSHLKRTMNIEDWKERFIDGCDMNKTMEDTGMDYFKEMLSVCKLQHLRTLICIDPLVDIGSNLFEQVVLNLKKLRVLYLSFYNTRKLPESIGQLKHLRYLNIMKTLLSELPKSLCDLYHLELLYLCPNSRLPDKLCNLCKLRHLGMIFAGLELSRIPDIGQLTLLQHIENFHVLKQKGHELRQLRNMNEIGGYLLLRNLENVIGKNEALESKLYQKSRLKGLTLEWNDANNMNPENSLHLEILEGLVPPPQLEQFSISGYKSTTYPSWLLEGSQLENLESFALYNCRALERLPSNTKLFRHCRELSLKNLPNMKELSFLPAGLTTLSIRSCPLLLFVTNDELEYHDHSEHITRTQKLVAQFALVGVMGPFSDALSSDHSSMKQLAALMDSDISKNLQTIESALERKDEVVMTEDVIKAWMCCHEQRMRLISARRIGLPLVPPSGLGELSLKSCTITDAALSVCLGGLASLRILSLSKIMTLTALPSEEVLKNLTKLYCLNIKACLFLGSLGGLRAATSLTNLSLNSCPALELAHGAEFMPASLKRLAIRCCVLAPDLFCGHWLHLKDIFIHDCRSSVSLFVGDLSSLKEFTLNHLPDLCVLEGLSSLQLHSVQLIDIPKLTAECVSQFRVQDSLRVSSSAVLNNMISAEGFTVPASLTLQSCKEPSVSFEETRNSSSVNHLHYGNLKCLSRLEELDIFYCPNISSLPDLPSSLQRISIVECPNISSLPDLPSSLQRIYIWGCPLLKESCRAPDGESCPKIAHIR >OGLUM05G14490.3 pep chromosome:ALNU02000000:5:17331286:17333873:-1 gene:OGLUM05G14490 transcript:OGLUM05G14490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARCPGDHRGGGASPTTTAAAAAAAHSEQPPAAIVKPDRQTGYSSNAGGFALYKHLSFIRISEEKRNTKNPTRQSYLPIFPYKNKVALASLRLAASPILNKLLTDASTHLGVDMASELRELETSIMPQLELLIEAAEKGNHRAKLDKWIQELKEALYNAEDLLDEHEYNILKHKAKNRGEDPDPEHASSIGSILKKPMRAASSSLSNLRPKNIKLVRQLKELKAILAKAREFREMIGLPAGTSAEGGCTGHTETVVVTPATSTPPPKVFGRDADRDRIVDLLTQHKTCAEASRFVVAIVGPGGMGKSTLAQYVYNDKRIQEHFDVTMWVCISRKLDVDRHTREIIESAAKGECPRVDNLDVLQYKLTEILQKKERVLLVLDDIWLNKSQDAQEWDLLLAPILSSHKGATKVLVTSRSKTLPPALFSEDVIDLENMKGTEFQALFKHHAFSGATTQDLKLRGRLEELAEKIAERLGRSPLAVKVVGSHLKRTMNIEDWKGALTIKIGHLGEPRRALLWSYQKLDPRLQRCFLYCSLFPKGYKYIIDELVHLWVAERFIDGCDMNKTMEDTGMDYFKEMVSWSFFQPFSETYASTWYVMHDHLHDLAESLSREDCFRLEDD >OGLUM05G14500.1 pep chromosome:ALNU02000000:5:17335011:17335818:1 gene:OGLUM05G14500 transcript:OGLUM05G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVGHHQPRFTLEWSSMPFDQRGMSVWLVHGGWKVGGGRWGEAGKEGGVAPVAAAVGGGSGGGDGGEGRMSASLLRHQVALPRLQLAVASTPAMKLPGRGGAHSPRCCTREADARISTEDGDEEDV >OGLUM05G14510.1 pep chromosome:ALNU02000000:5:17339205:17347766:1 gene:OGLUM05G14510 transcript:OGLUM05G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSTLHPHPSLSSSSSTASPAASATHHLLPPPALLTPHARGFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCNAVAASMVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVSYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMARGGITPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPRNSPQGLPGFASNQTRGSYMPHQGQPAYGSQPLHPGVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTGFNAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGAPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYRASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARPSSFAPARKTDSRCTVASAGVESTTPCRSLTCTTYNRSRSVDYHFGEVTRRDPGVTVPSGGLDAVWLLQYNAGHAH >OGLUM05G14510.2 pep chromosome:ALNU02000000:5:17339205:17347766:1 gene:OGLUM05G14510 transcript:OGLUM05G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLLLLRRLSTLHPHPSLSSSSSTASPAASATHHLLPPPALLTPHARGFAFSSAEEAAAERRRRKRRLRIEPPLHALRRDPSAPPPPRDPNAPRLPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVTSRVRPTVFTCNAVAASMVRAGRHDDAVSLFDFFFRRSNIVPNVVSYNTLILAHCEAARVDSALQVYREMLDKAPFSPSAVSYRHLTKGLVAAGRIQDALDLLREMLNRGQGADSLVYNNLIAGYIEEDNWDKAFELFEELQGRCLVYDGVVHTSFMEGYWKKGMDKEAMENYQSLLDKKFRMTPATCNVLLETLFKHDKDKEANDLWETMIDNHTPPSFIGINSESYNVMVNQCFKKCKFHEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLEEAEKLFEEMETKSVLPDVYTYTYLVDSCFKVGRVDDTIQYFYKMADGREHGPKFNIGFFNRMFEGLTEAGRMDDALKVYGRMPDKEIKPNTTTFETLVNALCKEGDLDKARELVSDMARGGITPPPEFRDSVIDIFKNAGRQEEIEKAFEEKPVPLPPQPRPEYRPRNSPQGLPGFASNQTRGSYMPHQGQPAYGSQPLHPGVGGSQAIQPQGMPLRPQQPVFGGPQVDKSEFGGRPLQHGPSAPDPRQPGVVSMTQPPNVSTPNTWQHSGVHATQGTHHGMGSHPWQQSVGIGQVQQTDYSSGPPMQPGFGRPQPPQPMHSAPQTQQPGYGTSHPWHTGFNAPQVQQPSYGGPQSSQHAVGSTQPPQGQFGAPQAPPPSPGFRYQNRPEYGQAVDQHNRFGSPQGEPRFHTQPQQQGFDAQAPGNHALQGQNSFNARRGQVGFGNQGAPPEYRASPSQPSHGAAWNQRGYGLSEDQEDVNQQVVPHAYARDYHFGEVTRRDPGVTVPSGGLDAVWLLQYNAGHAH >OGLUM05G14520.1 pep chromosome:ALNU02000000:5:17346244:17346972:-1 gene:OGLUM05G14520 transcript:OGLUM05G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEFHLSLRGNTQPSETLRVLDVTGCSSFSLSAGSRGAADDTAAAVVKDLQGVVDSTPALATVHLESVFLAGAKEDGCARGQCTTSACVGRERGKEKKRKRGTRVLECRRASSPSE >OGLUM05G14530.1 pep chromosome:ALNU02000000:5:17348799:17353324:1 gene:OGLUM05G14530 transcript:OGLUM05G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRPLHPLLLFFSPWLLLLLVVQGVRSLQFTRDDFPDGFTFGAGTAAFQYEGAAAEDGRTPSIWDTYAHSWRNPGGETGDVACDGYHKYKEDVMLMSETGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNSMINELVKAGIQIHAVLYHIDLPQSLQDEYGGWVSPKVVDDFAAYADVCFREFGDRVAHWTTSIEPNVMAQSGYDDGYLPPNRCSYPFGRSNCTLGNSTVEPYLFIHHTLLAHASAVRLYREKYQAAQKGVVGMNIYSMWFYPLTESAEDIAATERVKDFMYGWILHPLVFGDYPETMKKAAGSRLPLFSDYESELVTNAFDFIGLNHYTSNYTVTWFCLLLLRQFLPGTSLDPRGLELALEYLQEKYGNLLFYIQENGSGSNATLDDVGRIDCLTQYIAATLRSIRNGANVKGYCVWSFMDQYEMFGDYKAHFGIVAVDFGSEELTRQPRRSARWYSDFLKNNAVIKVEDDPVSTAFHAQL >OGLUM05G14540.1 pep chromosome:ALNU02000000:5:17362742:17385549:1 gene:OGLUM05G14540 transcript:OGLUM05G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSEMYMINYDKLYYAAHKNAENSAASGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLNGVNVDQYEMFGGYKAHFGLVAVDFGSKELRRQPSRSARWCSDFLKNNAIIKPNERYSIICASGENAMETRRPLHLLLVFLSSPWLLLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPKASRLRCGGTRKVILDQQGSEAINRRTCCLTTKMNYVTKKDNRKREEDCSRIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFNSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSNGEGNRDARLAGTQTS >OGLUM05G14540.2 pep chromosome:ALNU02000000:5:17362742:17385549:1 gene:OGLUM05G14540 transcript:OGLUM05G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSEMYMINYDKLYYAAHKNAENSAASGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEFLPGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLNGVNVDQYEMFGGYKAHFGLVAVDFGSKELRRQPSRSARWCSDFLKNNAIIKPNERYSIICASGENAMETRRPLHLLLVFLSSPWLLLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPKASRLRCGGTRKVILDQQGSEAINRRTCCLTTKMNYVTKKDNRKREEDCSRIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFNSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSNGEGNRDARLAGTQTS >OGLUM05G14540.3 pep chromosome:ALNU02000000:5:17362742:17385549:1 gene:OGLUM05G14540 transcript:OGLUM05G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSEMYMINYDKLYYAAHKNAENSAASGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLNGVNVDQYEMFGGYKAHFGLVAVDFGSKELRRQPSRSARWCSDFLKNNAIIKPNERYSIICASGENAMETRRPLHLLLVFLSSPWLLLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPSGRGAVNLKALQFYNSMINELIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFNSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSNGEGNRDARLAGTQTS >OGLUM05G14540.4 pep chromosome:ALNU02000000:5:17362742:17385549:1 gene:OGLUM05G14540 transcript:OGLUM05G14540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEVITDSIVSAENYKTYGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLNGVNVDQYEMFGGYKAHFGLVAVDFGSKELRRQPSRSARWCSDFLKNNAIIKPNERYSIICASGENAMETRRPLHLLLVFLSSPWLLLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPKASRLRCGGTRKVILDQQGSEAINRRTCCLTTKMNYVTKKDNRKREEDCSRIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFNSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSNGEGNRDARLAGTQTS >OGLUM05G14540.5 pep chromosome:ALNU02000000:5:17362742:17385549:1 gene:OGLUM05G14540 transcript:OGLUM05G14540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPLHLHLLLFFSAWLMLLLLLQGVSSLQFRRDDFPDGFAFGAGTAAYQYEGAAAEDGRTPSIWDTYTHSGRHPEDGTGDVASDGYHKYKEDVKLMTEIGLEAYRFTISWSRLIPSGRGAVNPKGLQFYNNMINELVKAGIQIQVVLYHSDLPQSLQDEYGGWINPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNVMAQGCYDTGILPPNHCSYPFGSNCTGGNSTVEPYLFIHHNLLAHASAVRLYREKYQVAQKGIVGINMYSLWFYPLTDSAEDIGATERAKQFMYGWILHPLVFGDYPETIKKVVGSRLPFFSNHESELVTNAFDFVGLNHYSSVYTSNNNNVVKAPLQDLTADIATLFRATKNDTPTPEFLPGNTVDPQGLENALEYIRENYGNLTIYIQENGSGAPDGTLDDVERINYLQKYIAATLKAIRNGANVKGYSMWSFIDIYEIFGGYNSWHYGLVAVDFGSTERRRQPRRSASWYSDFLKNNAPIRVEMAPLNGVNVDQYEMFGGYKAHFGLVAVDFGSKELRRQPSRSARWCSDFLKNNAIIKPNERYSIICASGENAMETRRPLHLLLVFLSSPWLLLLLLLLLLLVVQGMSSLQFTRDDFPHDFAFGAGTSAYQYEGGAAEDGRTPSIWDTYTHSGRHPEDETDVKLMSEIGLEAYRFTISWSRLIPKASRLRCGGTRKVILDQQGSEAINRRTCCLTTKMNYVTKKDNRKREEDCSRIHVVMYHMDLPQSLQDEYGGWISPKIVDDFTAYADVCFREFGDRVAHWTTVLEPNAMAQAGYDMGILPPNRCSYPFGSNCTAGNSSVEPYLFIHHSLLAHASAVRLYREKYKVAQKGIIGINIYSMWFYPFTDSAEDIGATERAKKFIYGWILHPLVFGDYPDTMKKAAGSRLPIFSNHESEMVTNSFDFIGLNHYSSVYTSNNNNVVKAPLQDLTADVATLFRVTKNDTPTPVFVPGTIVDPRGLEHALKYIREKYGNLPIYIQENGSGSSSETLDDVERINYLAKYIAATLKAIRSGANVKGYSMWSFVDLYELFGGYSTWHFGLVAVDFNSEKRRRQPRRSASWYSEFLKNNSVIRVEEDGSGTLDGTLDDVERIDCLAKYIAATLKAIRNGANVKGYSVWSFMDLYELFGGYNTWHYGLIAVDFSSAERRRQPRRSASWYSDFLKNNAVIRVEDGSSNGEGNRDARLAGTQTS >OGLUM05G14550.1 pep chromosome:ALNU02000000:5:17386500:17393458:1 gene:OGLUM05G14550 transcript:OGLUM05G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGELHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >OGLUM05G14550.2 pep chromosome:ALNU02000000:5:17388156:17393458:1 gene:OGLUM05G14550 transcript:OGLUM05G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGELHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >OGLUM05G14550.3 pep chromosome:ALNU02000000:5:17386506:17393458:1 gene:OGLUM05G14550 transcript:OGLUM05G14550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLKKKRVTDHWRKYISPLMWRCQWLELRMKDLQSQVSRYDRQLAVLKHEKELQTKMIELDCSSSRSVPFSSHCCRKTMKRRRRKRNEEKMNASSYISNHTVFSYYEKTEADAFSIDDDEDTADENTTVNNETDWLLGIKRGEATVEQILLSIQSAQDKVLSLRSSLKKAMAKKSKGAILKVNTHMNGAQSSSCSPGKGKVLERSPRDMSDCDMDDAAMPESALSSYGEANDMDIFESTMSLLSAEVPHQMGELHQSSEDVLIDNQAAEEGYQNFEVISHPCKRLRVSVKRESGAHSEDESVAPTVSVKKEAQEEATTSFGLQAAFFKPGYTGKRRRRMPKIQRRGGSSASPFSSWISSRIRKKRQF >OGLUM05G14550.4 pep chromosome:ALNU02000000:5:17386436:17390059:1 gene:OGLUM05G14550 transcript:OGLUM05G14550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGASSIMEDANGVVDLDVDIVGLESGAACSKFVKTEDPDATECSSSFGDTLSGSEDDARPSEISDIEVDSPFCRYPANGDAAALMDAAVSDNLDRLLK >OGLUM05G14560.1 pep chromosome:ALNU02000000:5:17400244:17405855:1 gene:OGLUM05G14560 transcript:OGLUM05G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSTSTCSSFSLLLLLLLAVAPWRSGEVVAARALNFTRQDFPGEFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGKMPDKSTGDMGAGGYHKYKEDVKLMSDTSLEAYRFSISWSRLIPKHVSLISRSNLDPISMINTGGRGPVNPKGLEYYNSLIDELVERGIEIHVTLYHLDFPQILEDEYHGWLSPRVIDDFTAYADVCFREFGDRVRHWTTMDEPNVLSIAAYDSGAFPPCRCSPPFGANCTAGNSTVEPYVVAHNSILAHASVTRLYRDKYQATQKGFVGMNIYSFWNYPFSSSSADIAATQRALDFMVGWILDPLVYGDYPEIMKKKAGSRIPSFTEEQSELIRGSADFIGINHYTSVYISDASNGETVGPRDYSADMAATFRISRNDTPSGQFVPTRLPRDPKGLQCMLEYLRDTYQGIPVYIQENGKNGANVKGYFVWSFLDVFELLAGYHSPFGLHYVDFEDPNLPRQPKLSAHWYSKFLRGEIGINIESTISPDEHEHEHADQ >OGLUM05G14570.1 pep chromosome:ALNU02000000:5:17424747:17431152:1 gene:OGLUM05G14570 transcript:OGLUM05G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACTSSLVSLLLLLLLLLLVAGEPTAEAALNFTRQDFPGDFVFGAGTSAYQYEGATGEDGRTPSIWDTFTHSGRMADNSTGDRAAAGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNDLIDELVKRGIEIHVTLYHLDFPQALQDEYNGWLSPRIIEDFTAYADVCFREFGDRVRHWTTVGEPNVLSIAGYDSGVIPPCRCSPPFGTSCAAGDSTVEPYVAAHNSILAHASAVRLYRDKYQAKQKGVVGTNIYSFWPYPLSRSCADIDAVQRVLDFTIGWILDPLVYGDYPEIMKKQAGSRIPSFTKEQSELIRGSADFIGINHYKSLYVSDGSNREKAGLRDYNADMAAHFRVSRNDTPSDKYAPSKTLSDPKGLQCMLEYLKDTYEGIPCLSIYQILGFGQFDKEDSLNDTERVEYLSSYMGGTLAALRNGANVKGYFVWSFLDVFELFAGYHSPFGLHHVDFEDPSLPRQPKLSAQWYSKFLRSEIGINIEKMISPDEHEYAYYQ >OGLUM05G14580.1 pep chromosome:ALNU02000000:5:17431559:17433251:-1 gene:OGLUM05G14580 transcript:OGLUM05G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAARRGARRSRARGLLLLAAAAAVAVVVVVAAAAAAGEEERHHQQQQQPGGTFEFNLPGHGNVKASWSVADDEESRWLDRLAADAESSSSSSSASAAGGGGGGGHRIPFGDDSVKFGSDAYEFIADLLRQGTDDGEGEKPTGYWEKVDEEGSRMLDRIAANARHRAEANGDDDSIGGFVHNVFSGKSKQHGEEPSHVESDKEFSNMLIRGVNCQLLSRKLEGSLSTKARRLTCISLKV >OGLUM05G14590.1 pep chromosome:ALNU02000000:5:17436913:17437245:-1 gene:OGLUM05G14590 transcript:OGLUM05G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OGLUM05G14600.1 pep chromosome:ALNU02000000:5:17437249:17438911:-1 gene:OGLUM05G14600 transcript:OGLUM05G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDASRGRAAAKPHFFFAAGRLASPLPPRSIILSPPVPRRRRRAASQLRQVPTPSIPRLAFD >OGLUM05G14610.1 pep chromosome:ALNU02000000:5:17441149:17443136:-1 gene:OGLUM05G14610 transcript:OGLUM05G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Ribosome biogenesis protein Nop16 (InterPro:IPR019002); Has 104 Bla /.../s to 104 proteins in 57 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 63; Plants - 35; Viruses - 0; Other Eukaryotes - 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G02870) TAIR;Acc:AT1G02870] MGGSRRKLKRSRAKVKVGLPRKKPREFKPAFDLPEALAAAAAAEGGGHAPSWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIDAARAPVDEFEPVDSGSDLESDDLKSALGKQRRDGKSAPLEPLTKVQRIYIGRLIEKHGDDYKAMFMDIKLNTMQHSVGTLKKLCERYHVVGKSIIHPLK >OGLUM05G14620.1 pep chromosome:ALNU02000000:5:17443653:17452105:1 gene:OGLUM05G14620 transcript:OGLUM05G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGSHLPSVGAGKRTAEKRANGDAEEMGPAGVDDGRGGPYHQSQGRCQGHSRMNHGGQDYQTRKEEFPAAHLTFSHLSSEVGAGASGSTGRSLPGATGPRSLEAEDFLAAGLTTSRLGLAVVVAAASGANGRSVLLGFFGGGASTLPCEPSCLRTCRPIRALGFCGGGKGRGGLEEEDTTPFLFWGDFGDEDEPACRGNRGKPPGPPEEGDGGGEEPEAAARERGADKRDRRRHQSCEKRGGVKREREREERGDGDDDRIRQRSDRTAPPPPSPLLEAPVLATEEHADNVVVVVAAVGHRAETGGGERPSRPSPPPSWTPPHEERGEQRREREEKVAAAAAGKGEEGGGTGAREIEREREGEDDDSAERRE >OGLUM05G14630.1 pep chromosome:ALNU02000000:5:17447961:17451174:-1 gene:OGLUM05G14630 transcript:OGLUM05G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEGYRPLTPSPPFASISSGNAFDTVVFLLGHKHECSHNKLDVGLLPKTPKDSDRPVLPLAPAPTSELRCENGTPLFVSGNPGLHGSCGCGPGSDLDSDDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLSKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRESGATKEPGKPADSNKGTMPEPH >OGLUM05G14630.2 pep chromosome:ALNU02000000:5:17447961:17451174:-1 gene:OGLUM05G14630 transcript:OGLUM05G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQVRKHEGSHGKVDAPPPKKPRSTERPLAPLAAATTTAKPRRDVVRPAARKSSASSDRGPVAPGSDLETEDSDGLGCLTPDSTKATLQQDDYGKGEYSPGVVLSKTDSVVKMAVADVQDLPVEDLRDNEVITVDSEGPGKPLQSIPLVQDKDAIQLARTFVNEKVKELMEGVSEPGVLQSRLSKITSFLVQATSIAAGLHDEVPLQIRGQTAALVTQISGLEQQVEELSKKLCSTEDELEVTNAMLKETQAAMLEAQSDRATAITAMNSLAMRMGASFARLGTILDPPPNAADSLEKSIKQMTALVSLLGPVSHSHSLSLARSSLTVGIAALLCRERGIEGLREPSGMDTRQFVRSQGPEFHSLISQVVDSMEQRLAKTVEGSGWRESGATKEPGKPADSNKGTMPEPH >OGLUM05G14640.1 pep chromosome:ALNU02000000:5:17455050:17458980:-1 gene:OGLUM05G14640 transcript:OGLUM05G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPAMSHSSAFLLPSPSAAAAGADADGAAYALLVLNQRLPRFAPRLWDRAQVRVCADGGANRVFDGMPELFPGQDPDEVRRRYKPDVIKGDLDSVRPEVKEYYSNMGTQIVDESHDQDTTDLHKCVAFITENSAIPNKSNLCILALGALGGRFDHEMGNINVLHLFPNNRIILLSDDCLIFLLPRTHTHNIHIERSIEGPHCGLIPIGAPSATTTTTGLQWNLDNTSMSFGGLISTSNIVREESTVVTITSDSDLIWTISLRHHS >OGLUM05G14650.1 pep chromosome:ALNU02000000:5:17463957:17468370:1 gene:OGLUM05G14650 transcript:OGLUM05G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDLAEARPGRPPPRRPGRRPLPPPLSRSRLHAARSGRGEVWPAASMSSAAAGPPLSSELAEGRFGPPPPHRPPPPPPHLPSRHHRRERERGEEKPIEGEKWREREERRSREKRGGEKPSSALSPAGVGVGPTGRKNISPGWLGSILSVAPIIYGPSDPLGPPSDEIYHHGGTVPGPSDPLGPPSDEIYRHGGGHHTIPGPSDPIGHPPSDEIYGHGGGHHTAPGPSDPIGDRAVATCEGSGGGGMSEEGYMCRIAC >OGLUM05G14660.1 pep chromosome:ALNU02000000:5:17468890:17470908:-1 gene:OGLUM05G14660 transcript:OGLUM05G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGATWTRRLHLHGLFLAVLLLLTLPAGSTAAAGGGGGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTGGGERLIGEAAKNQAAANPGRTVYDAKRLIGRRFADAEVQRDMRLLPFAVVDKGGKPHVRVEVRGGDVRLLSPEEVSAMVLARMKETAEAYLGEEVTRAVVTVPAYFNDAQRQATKDAATIAGLAVERILNEPTASALAYGVGKEGAGGKNVLVFDLGGGTFDVSVLAIDGGVYEVLATNGDTHLGGEDFDQRVMEHFVELVRRKHGRDIAGDARALGKLRRECERAKRALSSQHQVRVEVESLFDGVDLSEPLSRARFEELNNDLFRKTMAPVRKAMADARLSNAEIDEIVLVGGSTRIPKVRQLLRDYFGGKQPNQGVNPDEAVAYGAAIQANIVGGDTDNKTRDMVVLDVTPLILGLETAGGVMATLIPRNTPVPTKRAQLFSTYKDKQTTVTVKVFEGERSMTRDNQLLGRFDLAGIAPAPRGAPQIEVAFDVDADGILSVSAADRATGRSERITISGDDRKTSREEIDRMVGEAEEFADEDRRHRERAGARNSLEAYVYGVKNAVVGGEMAGAMDGGEKEKVEAAVMEAYEWLDGNQDVGKEEYEEKLRELEDVCNPVMSAVYQRSGGPRRDGDGGGDDDHDEL >OGLUM05G14670.1 pep chromosome:ALNU02000000:5:17473737:17474537:1 gene:OGLUM05G14670 transcript:OGLUM05G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHHLPPEPADERHHHNHGGKAAVHADDLKPGGRRPRRYGYYYGGGDGYCAGDPARTLCFVVLVVILLAGITALVLYLVYRPSRPAFAVTSVAVYSLSLNGTGNGAGAGGPATLAASFQLTLVIRNPNGRSAARYDRLAAYVAYRGEPITAPAPMPPLVQDADSAVAVAPVLGAAAPPVPVSPDTAAALATDVSYGVVALRVVVLGRVRFVSGPFRSGWHSMYARCDLLVGVRKSLQAAGGGGGGGAGAGPEAPLLGNPTCAIDM >OGLUM05G14680.1 pep chromosome:ALNU02000000:5:17474877:17476859:1 gene:OGLUM05G14680 transcript:OGLUM05G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKTDAEMVMGTAQVLGNPVSGLKPSRSSGNRGWAEQRSKRGKSRQKEGKVRRLATGDGEAGELYKSGVGVGLVVATRRGEAGVGVALSEASRCGNVEQSVGAAAQYLFQRRSNVPNEAARYLSRILDAEWVKQWCGSSPARRSGSFPTEAARWRNSPQSPQQCDGLRRRRSRIDVQVRMLVSDQGAALLNQQVYSSGAPPPVGLNNEWMCSPAHCIGNGCGPICRGPRILASFPFIILLMLLLHCGIEPCSRPAAAISPLLRCLLPVSRTGVVVLLLVAVVVAAATAYEDCSPAISHLASFSHRLPPPILPATARPPPAK >OGLUM05G14690.1 pep chromosome:ALNU02000000:5:17482819:17483370:1 gene:OGLUM05G14690 transcript:OGLUM05G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDVKAPRPGCGGDDGGAAAASLSARREEEEEGAVVGGEDEQVERFYALLANIRALRGMYSRYNGEEGAAGGDGDGASGRKRARRAEPPWRPAFRMEDFEFEEAAAGAGDDDAACSGRTTKKQRSGGGGHGAAVEKRRTEKEAAAAAAEDDDDEQEGGEVVEGKEEHRPGRRVEAHGPTDE >OGLUM05G14700.1 pep chromosome:ALNU02000000:5:17492188:17492484:1 gene:OGLUM05G14700 transcript:OGLUM05G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNNDGGLLGMEASGCGLKGGGGQRWAASPEAGAEVDSVPSLVEVEVDRCGPPGRRGGRRWWLLCPSRAGRRGKPAMVHLCLQMVVENVEEQPNPRG >OGLUM05G14710.1 pep chromosome:ALNU02000000:5:17497176:17499868:1 gene:OGLUM05G14710 transcript:OGLUM05G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZY92] MARGLKKHLKRLNAPSHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTGENFRLLYDTKGRFRLHSIKDEDAKFKLCKVRSVQFGQKGIPFLNTNDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDALGHQFATRMGNVFTIGKERKPWVSLPKGKGIKLSIIEEARKRNAEAAAEA >OGLUM05G14720.1 pep chromosome:ALNU02000000:5:17500144:17501334:1 gene:OGLUM05G14720 transcript:OGLUM05G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSRACPAMPPETPAAAARLVAVRGPQRPLRETQRPLHETEELPRLGGGAPPSPPTSEATTVAGHSGGRWQHQSRELFLCLLDCLPDTAYK >OGLUM05G14730.1 pep chromosome:ALNU02000000:5:17515963:17517124:1 gene:OGLUM05G14730 transcript:OGLUM05G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAEAAGGGGEKKAASVAPRDAGDAPSSPRAAVGGEQQSSAAAASSGDGVAAAANVDRSGGVAAAGEAEEDEDDEQRAVERFYALVANVRAMRGMYRSSGDGASADSATGGNAGGGGERKRARRADQPWRPVFRMEDFADDVAGGAATTTTRSDDGARAPPIEIEDLQLVFDLEDSLFLDVEAGGVEPLMELGSL >OGLUM05G14740.1 pep chromosome:ALNU02000000:5:17524891:17526271:-1 gene:OGLUM05G14740 transcript:OGLUM05G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESLQCKVLALRVSIHCEGCKKKVKKVLQRVEGVYRCDVDGRSNKATVTVTGKVSADTLVRKLRRAGKHAEQWPEEQQQQQPNGGQCQEETKNQAAEPGMSGEPAEPEKPASGDAAEPSDPKVTHEEPKKVAGEGAAAVAPAEDGGTEITDANVSESAGDGGGGGGGVETVKAQQPSEPKRRRKQQPPQQQQEEKAGEATMATAAAAAASTQGNHTSHHFPAAPLQQQPVHVMSYNVARPSSSAAYYAAARPPPPLPPAPPQEHSYAYSPYYSQSQPSPYRYGGYYSYYYYGGGGGGGGGGQRTPQRSAASPARNSYGDLFSDDNANSCSVM >OGLUM05G14750.1 pep chromosome:ALNU02000000:5:17531410:17533764:1 gene:OGLUM05G14750 transcript:OGLUM05G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMMTPSPTACVVLALAFVLLAVTPTLCYVTDGATRRRGASTSRRHGEARTYIVLVEPPDADGDDDEAAHRRWHESFLPGGGGGGGGEEWASPTRIRHSYTGVVSGFAATLTRGELAAVSRRRGFVRAFPERRLPLLTTRSPGFLGLTPERGVWKAAGYGEGVVVGLLDTGIDAAHPSFRGEGMPPPPARWKGACTPPARCNNKLVGAASFVYGNETGDEVGHGTHTAATAAGRFVDGVSAFGLAAGTASGMAPGAHLAMYKVCNGQGCFESDVLAGMDAAVKDGVDVLSISLGGPSLPFDKDPIAIGAFGAMSKGIAVVCAGGNSGPTHFTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEAFDGESLSQDKRFSSKEYPLYYSQGTNYCDFFDVNVTGAVVVCDTETPLPPTSSINAVKEAGGAGVVFINEADFGYTIVVEKYYGLPMSQVTAGDGAKIMGYAAVGSPAASHNATIVFNSTVVGVKPAPVVAAFSSRGPSAASPGVPKPDIMAPGLNILSAWPSQVPVGEGGGESYDFNVVSGTSMATPHVTGVVALIKKLHPDWSPAMIKSAIMTTSSAVDNDGHAIMDEEHRKARLYSVGAGHVDPAKAIDPGLVYDLAAGDYAAYICALLGEASLRAITGDAAATCAAAGSVAEAQLNYPAILVPLRGPGVEVTVNRTVTNVGPARARYAAHVDAPGSGTTTTTTVKVEPAELVFEEAMERKTFAVTVTASGGGGAGGGGHVVAEGSLRWVSRRHVVRSPIVADSSVGGPSRRSAQDA >OGLUM05G14760.1 pep chromosome:ALNU02000000:5:17535994:17536837:1 gene:OGLUM05G14760 transcript:OGLUM05G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLPTVISMEWDSATEPAESSPPSRRYSYLERIRNLSVVSAEAGRRWWPCSSSSTARSSYYSSSMSSSVSGTGFQNQRDFDREPDHALERWFSELDVGWVLRSAAEKELAAKLGLDDLVQRWTRGYAVMVEALVATQQNMKSQDHTNMELEDDLRPLQVTRFVEATVSKMLAFADALAADNTWRPIDKLSRSQLMCLCTSINECGIRILSEIIVFEFDCIFSKMNGAFSAIYRMAKDAEAIT >OGLUM05G14770.1 pep chromosome:ALNU02000000:5:17545918:17546412:1 gene:OGLUM05G14770 transcript:OGLUM05G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQMLINFEDQLEKNSESFSDPSLRYLFLLNNSYFVREEFLEPSNYVYILPSGTTLKFMQYQEKYMLASWEPVLYCLQDKMPLWFPKHSSQLSRFKSEFQKTCTPHQKLWKVPNPRLRQKLREAIIDKVITGYKRYLEDHPELEKCSSDLQDMEDMVNVLFEG >OGLUM05G14780.1 pep chromosome:ALNU02000000:5:17549489:17551156:-1 gene:OGLUM05G14780 transcript:OGLUM05G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSELDATEASLSLPSWRWSYSYLEKIRSMSVVGSSSSSSSSRSARSSNYSSTVSSTESGAGSHRRRCKTGAASGGSFLRVVGFQVPGPRDIASQMVRDGFVLALIGAFGRAPGPVLERWFSELDVGWVLRPTDQQLEEEEEEEAERLVWRWTRALTVMAHALSATRRHLHDERSAASAAAVAVVGGVEFAISRPPHHTAPAVASSSDHELLLHGVGGGDDLCLVRFTDTTISKMIAFAAALADAGKAGTCPTPTADSFFGLMEVHICISDVSEILMPALKQEALDLRLPDSEQMQGLVNKIGEAMANTQDSLGEAIRKTAKDAEAVTPVLSAMDSWEKFTQNEGIHRATRLIVDYASLFWGYRRVLESILCCYSSGSSNNCWEIVQSLIEQMIITFLDQLEKKSESFSDPSLRYIFLINNSYFIQDQFLATNTDYSLPSNKGIRYWHYQNCYLDASWEPVLSFLYLYNKMPKFFPKHSPQLLARFQSEFQKACKHQKLWKVPNTEHRNSLRKAISDKVITAYRKYLEGHLEPEKSSSDLLAMEDMVNELFEG >OGLUM05G14790.1 pep chromosome:ALNU02000000:5:17560175:17561029:1 gene:OGLUM05G14790 transcript:OGLUM05G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRICINDVSDILVPLYNEVFLLADYSKEIIESIVKEIDDVFSTTMDNLGRAILMMTTDALSPPSGPFPENAEIHKATLLAVNYAILFWGYHSVLEAILLDNGDLFGNCSCYSEKLVVERLIVQIITNLQDELEKKSKLFSDHSLRYLFLLNNSYVVQYQFLVPSDYSPPSEIKFHYEQYQKEYMRASWEPVLSCLHDKMPPCFPKLSSHSELSRFGLEFEKTCSHQKLWKVPLPNLRQSLRETIIDKIITGYKKYMEDHPEQEKCGRDPLDMEGMVNDLFEG >OGLUM05G14800.1 pep chromosome:ALNU02000000:5:17572663:17573504:-1 gene:OGLUM05G14800 transcript:OGLUM05G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSCRQGSRRHRDSSPSMRDVTRFHLFVRRRRLRRPPQESRTAAVDRDEEGQAIIEIVARVGTPAEKGTGHSKLVRHYDSEASWNNSPSGGKVQDAAAFAKIEQLGFPSTAALHISGGGKFGDMLIEEELVVHDVVVGVGLSGSSGGGEAAEARLGLVVVVNNGDGGVVV >OGLUM05G14820.1 pep chromosome:ALNU02000000:5:17578641:17579108:-1 gene:OGLUM05G14820 transcript:OGLUM05G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGVNRLRDMMKTVGLKKEKGCSWIEIKNKVHMLLAGDSSHPMMAAITEKLKHLTMEMRRLGFAPSTDYVLHDVEEQEKDDILSVHSEKLAVALGLISTSHGTPLQVIKNLRICGDCHEAMKFISSFERREIYVRDTNRFHHFKDGKCSCADYW >OGLUM05G14830.1 pep chromosome:ALNU02000000:5:17581138:17581341:-1 gene:OGLUM05G14830 transcript:OGLUM05G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSCCRESRGKVALLPTTAGAPPHPTAVPPPHHPSPNPTVVPQQRVEFGDGSLLNRRCVVVQFGG >OGLUM05G14840.1 pep chromosome:ALNU02000000:5:17588845:17590278:-1 gene:OGLUM05G14840 transcript:OGLUM05G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEASMLSSPAATYSGLWLSSPSSVAEKARKERNSFGKEDVVDVAVAPNTDADLREPDVVKLRGSTLPKTTKLRGEQQATDSNPVGLGFSTSKLTTIEIVPRVENGISLTTYTDHIYG >OGLUM05G14850.1 pep chromosome:ALNU02000000:5:17614067:17616617:-1 gene:OGLUM05G14850 transcript:OGLUM05G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARRTLELTLLSASDLRGVNLVSKMEVYAVVYLAGDPRARQRVATDRAGGRNPSWKGKDATVRLAVPASGAGSGAVRVLLRAERAGLGGDRDVGEVFVPLPDKMSPF >OGLUM05G14860.1 pep chromosome:ALNU02000000:5:17625082:17628600:1 gene:OGLUM05G14860 transcript:OGLUM05G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGNGKRGGGCVTRQRRRRLLDLRRDTGRNGLDVDGDDVRALMAVILPHQLLLELVGSRISFKMMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >OGLUM05G14860.2 pep chromosome:ALNU02000000:5:17625584:17628600:1 gene:OGLUM05G14860 transcript:OGLUM05G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMSTGTTFGLILPHQLLLELVGSRISFKMMQRPFRPEEYSLKETSPHLGGGAAGDKLTTTYDLVEQMQYLYVRVVKAKDLPSKDITGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQSSVVEIIVKDKDFVKDDFIGRVLFDLNEVPKRVPPDSPLAPQWYRLEERNGHKVKGELMLAVWMGTQADEAFPEAWHSDAASIPGDGLASIRSKVYLTPKLWYLRVNVIEAQDLIPNDRTRFPDVYVKAMLGNQALRTRVSPSRTLNPMWNEDLMFVAAEPFEEHLILSVEDRIAPGKDDVLGRTIISLQHVPRRLDHKLLNSQWYNLEKHVIVDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGILELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGEKANGARDTRIGKVRIRLSTLETDRVYTHAYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLSRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLSPLIAVAKWFDQICHWRNPLTTILIHILFVILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVTFCFVAAIVLYVTPFRVVVFLAGLYTLRHPRFRHKMPSVPLNFFRRLPARTDSML >OGLUM05G14870.1 pep chromosome:ALNU02000000:5:17632746:17640173:-1 gene:OGLUM05G14870 transcript:OGLUM05G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPMSTGGGAMELGGGGHESVERRRRHQHHFVLVHGLCHGAWCWYKAAAALRRAGHRATALDMAASGAHPARVDEVRTFEDYSRPLLDALAALPPAGGDGDDEERVVLVGHSQGGFSVALAAERFPERVAAVVLLTAAMPPVGRPMSATTEEHVNYVGAEFFLDSMELEQQNADIPGNPVIFGPNFMAQILYHLSPQEDLTLGLSLIRPTNKFTGDALMRDPGLLTKERYGSTRRVFVVVEDDRGIPAEFQRRMVAESPGVEVVDFAGADHMAMISSPAKLAELLVRIADKAQ >OGLUM05G14880.1 pep chromosome:ALNU02000000:5:17663062:17663350:-1 gene:OGLUM05G14880 transcript:OGLUM05G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQVILAKYQVIPVKYQAIPTTYQEPGTNAPSLVVQPSTSHVRARRRRPHPPLSTTHHSSSPTAVSFVIHAPH >OGLUM05G14890.1 pep chromosome:ALNU02000000:5:17663643:17672334:-1 gene:OGLUM05G14890 transcript:OGLUM05G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISCMMMMSQAASSTAAMAPRDGEGGGRRHHFVLVHGLCHGAWCWYRVATALRRAGHRVTALDMAAAGASPARVDEVRTFEDYSRPLLAALAALPPSGDGERVVLVGHSHGGFSVALAAERFPERLAAVVFLTASMPPVGRAMANTTDEYVSFVGADFFLDSRVLEQTNPDIPGNPEIFGPNFMAQKLYQLSPPEDLTLALSLIRPANRFTGDALMRDAGLLTKERYGSTRRVFVVVEDDHAIPVEFQRRMVAENPGVEVVDIAGADHMAMISKPAKLADLLVRIAAN >OGLUM05G14900.1 pep chromosome:ALNU02000000:5:17682663:17692590:-1 gene:OGLUM05G14900 transcript:OGLUM05G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSYNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSSPSTKGSLKELPHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRMNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >OGLUM05G14900.2 pep chromosome:ALNU02000000:5:17682663:17692590:-1 gene:OGLUM05G14900 transcript:OGLUM05G14900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRRGGRREAEEGVAVAVAGRSRGVAAAAARHEVAGELELASAGGLGGGGGGGGDELVDPDQLTYIDEKLQNILGHFQKEFEGGVSAENLGSQYGGYGSFLPTYQRSPPALSQSGSPAVLPNHGSASRSPYIPLESVQKNHFVKQAIDGRRKNNYCQRTSSENDSNHSQQLLNSGPEQKTAKIRIKVNNKCLERNNAAIYSGLGLDISPSSSIDDSPQRSIEAPESKLFPDESADTIFQIMTCHSVPGGLLLSPLAENVLELRQKSTAVTKKHEAPVYDNDKEELQRNCCHTSSAAPDNNYQLVKKIKLDEQRDHLPEFENSKYRHKNATIMKKGAKPELKDISDDIDSIRAPRCAKTEKHAVGESADFIADTSGRLKEAKNGQFKGKGSTQSSLSIIDVKAANSANDDKHPKGKAKLKVTLVRNAKMESSLDDGFSHKTKSDKCNDQPVTTSSQLQIDPAKKTSLKRDRGKVVCAKDEPSQYKSKELRSLVDAESMGTTTENVAGNSSELLKGKKVSALQASLFGKKLKIKTHKKPNYDTTRKPNGENEGYVLDHRNGSTYLHTEDKSLKTEKESATSGLTDKDFSGGGNDGDHKISPIVVDKSASMPSRCKNETTEASMAVPASEPVDQWVCCDKCETWRLLPYGMNSDTLPKKWRCSMQSWLPGMNNCKLSEGETTNAIRALYVVPIPENNISLDSRCDTATLVRSNDAAIMSYNLGMPEISKSSKKLHAPRNRDGLDCFPKLKEKQKRIESSDKGEKSTVTISSGQTMAKDRMHRKRKTSGADYDNLIASKKLKKVYNEPPKHQPPQFELSKSSPSTKGSLKELPHTNISPGMGKHALPSSGKQFCDGDNSDRGARASDAGKSDPRDLFIKKNKSKQMQLRQHGPDPRPSDAFAKHVVKEVLSESNAAKEKLGSDLKFLKVDDHEKSAHARGPVTGTNSNAIFSEKEDLIEQHLENIHFQHPLLSESSVRRNICNVQASTAATSSSSKVSSSHKNKPEFQETRTSPVESVSSSPLRTSDKKHLDRHRMNSYAVAEIVHSQESVKTGASCSKEKYGFECGSDHTKPHVSGCSNRVMHQDALEDGDLDKQNILTNGVFNNRSSGLGIRNDQGQPNSLVEQKVNSHVLPIHGSGDFRRPTPDQNGKTLPQYNSNQSDQAKLSSGKHPTQVRPDKGNVEYIDLKTNPSTVAGSKLLPGLNNKVNGNASNKSKQSVVENMKHAALHVDASTPINASALLKEARDLKHLSDRLKGKGDDLESANICFEACLKFLHVASLKEAAGVDSSKQGDPINTMTLYSDTGNLCGFCAREFERLKKMANAALAYKCVEVAYMKAAFYKHPGAIKDSHALQAASVIAPPAESPSSSASDVDNLNNPSTIAKIVSTRGLCTSQIAKNPISRSNHHLMGLLAYVEDTNYAFEGTRKSQSAFFSYLSGIEKDQADGIALLTEVLNFSFHNVKGLLQLIRHSLECINHESCGGA >OGLUM05G14910.1 pep chromosome:ALNU02000000:5:17697312:17701014:1 gene:OGLUM05G14910 transcript:OGLUM05G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >OGLUM05G14920.1 pep chromosome:ALNU02000000:5:17707841:17708023:-1 gene:OGLUM05G14920 transcript:OGLUM05G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDVETVINVLQPGPIGIVEHKFTDAEIRNAQAVVRRAVENWQKSLTLERNLGSGSFAK >OGLUM05G14930.1 pep chromosome:ALNU02000000:5:17712680:17715460:-1 gene:OGLUM05G14930 transcript:OGLUM05G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVAEVAGIAAACVALLAAVAALWCAARRMARRRGRNSDETGSSDPSTLVEWGKGGRSSSAPEHQGARQFSLDELAQATKSFSEANLVGLGSFGLVYKGLLLDGSVVAIKKRIGAPRQEFAEEVRKLSEISHRNIVTLIGYCQEGGLQMLVYEYLPNGSVSRHLYDTGKSSMTRLEFKQRLSIAIGAAKGLNHLHTLVPPLIHKDFKTSNVLVDENFIAKVADAGLVRLLRGYEDIGPSHGFSSSVYQDPEVQSVLQFSESSDVYSFGVFLLELITGREAACLISPDSRESLAQWIEGHFSSNELIDPRLGANFTSEGMKEFVGLTFQCLTPSSRRRPKMRLVATELDRILETEMSLTTIMGDGTAIITLGSTLFK >OGLUM05G14940.1 pep chromosome:ALNU02000000:5:17729685:17734039:-1 gene:OGLUM05G14940 transcript:OGLUM05G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLAASILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIDQSSLVNTLEFPNNLQYPTTFRKPNSLEIHDTSHVHVRAEKTAFQLSSARLNCRLDPAAAMFTRDGRPDGGDPIGCHAHMPGDRRPPVLTISYYHHHMGGFARVDLRPRGPEPSEDE >OGLUM05G14940.2 pep chromosome:ALNU02000000:5:17729685:17734039:-1 gene:OGLUM05G14940 transcript:OGLUM05G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVARSRRGLALALSRAGAGAPSRPSPPGLGKTLGYEPTSHLHGAQFLPCWFSTIASNGSHMQKAQETCKPVAGMEHSDALKVMEGTSPKVVAFSPLEAAITKPRSSPLTIESSKVKRSEIATLVTFYMIPSLLVSSKNGLAASILVGAVFHQIYMFHKEIFLDYVHHDITRKWALIYFKLLLLIMAKETIDQSSLVNTLEFPNNLQYPTTFRKPNSLEIHDTRPRGPEPSEDE >OGLUM05G14950.1 pep chromosome:ALNU02000000:5:17740597:17749421:1 gene:OGLUM05G14950 transcript:OGLUM05G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRGLHPWLPKSKLEGGKAFLAVGTSSVAAEFVINGTSLGLGEGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFNFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >OGLUM05G14950.2 pep chromosome:ALNU02000000:5:17738401:17749421:1 gene:OGLUM05G14950 transcript:OGLUM05G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >OGLUM05G14950.3 pep chromosome:ALNU02000000:5:17740597:17749421:1 gene:OGLUM05G14950 transcript:OGLUM05G14950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRGLHPWLPKSKLEGGKAFLAVGTSSVAAEFVINGTSLGLGEGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >OGLUM05G14950.4 pep chromosome:ALNU02000000:5:17738401:17749421:1 gene:OGLUM05G14950 transcript:OGLUM05G14950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCFGSGGKGEAKKGGGGRKDGGSADRRVARVGSDKSKSQGGLDSRKDAFIPRDANGQPIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGHLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEFLHDKANPPVIYRDFKSSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNTKPLGEQNLVAWARPLFKDRRKFPKMADPLLAGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHSRSNASTPRARNRVGANFDQRRLHSPNHQQSPDLRKEGTTTSKYEAEVSRTNSGSGSGRRAGLDSMDVTGSQMGSPAHAGRKRESSRSTDRQRAVAEAKTWGENSRERKWPNARGSFDSTNE >OGLUM05G14960.1 pep chromosome:ALNU02000000:5:17748166:17749329:-1 gene:OGLUM05G14960 transcript:OGLUM05G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPSSAAAVDHGALSASAKAADDLLAASAGCGGAHGHSLFFDALVQLIPPRFYLSAADEDRPWYQGLSKSAKAAMKAQSRANVKAARRARLDPSAPPSSTLDLLKKSLADQDAHDSSSSSGEDASDDDDDDDDDEVEEREEDEGDEEGMQLAPAAVVSEDRSVTYEELRERLHRRIAELRGNRCTRPEFLNKPKREKGKKGKKGKDVGKKRKREDGGGGGGAQDGEGKDGKKSKKEEDSKAPDIVYGNVWVDPKEARRRKKRRIKNKKKALEEAKRMQQAKEDPEKATKLAWDLARRRAAGEKVHDDPKLIKESMKKDKKRQQKHAEQWKERQKMVDKQKKERQSKRTENIRERANQKKMRKIEKREKKLMRPGFEGRKEGYVNE >OGLUM05G14970.1 pep chromosome:ALNU02000000:5:17750302:17758616:1 gene:OGLUM05G14970 transcript:OGLUM05G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLGLGLVPAGAAAALAVALVCLAAAAWWTVERAPRRLERALRAQGVGGGRYQLLLGGDVAENGRLNREAWSRPLPLGCHRIAPRVLPLLWNAVRDHDRAAQLQKCDEDIKLIKTACRIHETMVMQFFANYGKLSFIWFGPVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWYLPTKNNRRMREIDREVRKILLEIIGNKERAITNGENSNDDMLGLLVESNTKQPELGMSTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADVNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSLELSPSYIHAPYIVLTLRPQHGAQIKLKRI >OGLUM05G14970.2 pep chromosome:ALNU02000000:5:17750302:17758616:1 gene:OGLUM05G14970 transcript:OGLUM05G14970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLGLGLVPAGAAAALAVALVCLAAAAWWTVERAPRRLERALRAQGVGGGRYQLLLGGDVAENGRLNREAWSRPLPLGCHRIAPRVLPLLWNAVRDHGKLSFIWFGPVPRVMIPDPELVREVFNKFDQFGKPKMIRVGKLLATGVVSYEGEKWAKHRRILNHAFHHEKIKRMLPVFANCCTEMVTRWENSISLEAASEIDVWPEFRNLTGDVISRTAFGSSYQEGRRIFQLQEELAQYLTEALQKLFIPGYWYLPTKNNRRMREIDREVRKILLEIIGNKERAITNGENSNDDMLGLLVESNTKQPELGMSTDDIIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLHHFGRTTTPDYDSLSRLKIVTMILYEVLRLYPPVVLLNRRTFKETNLGGIKFPADVNLILPILFIHHDPEIWGKDASEFNPGRFADGISNASKYHDASFFPFGWGPRICIGQSFALLEAKMALSMILQRFSLELSPSYIHAPYIVLTLRPQHGAQIKLKRI >OGLUM05G14980.1 pep chromosome:ALNU02000000:5:17757987:17760838:-1 gene:OGLUM05G14980 transcript:OGLUM05G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRWLQAAVLCCLLVLCSGRELKTKHTPIYNSTLARTLAEYTSAVYTADLTQLFSWTCERCCDLTEGFEVIELIVDVKNCLQAYVGYASDMNAVVVVFRGTQETSIQNWIEDLFWKQLDLDYPGMPQAKVHSGFYSAYHNTTLRDGVVNGIKKTREAYGNIPIMVTGHSMGGAMASFCALDLVVNYRLKDVTLITFGQPRIGNAVFASHFKCHLPNAIRVTNAHDIVPHLPPYYHYFPQKTYHHFPREVWVHNVGLGSLVYSIEQICDDSGEDPTCSRSVSGNSVQDHINYLGISMHAEASGSCRIVTGDNKLQYKMDSDGNIVFSKQPGLSVDQLHSSQ >OGLUM05G14990.1 pep chromosome:ALNU02000000:5:17766809:17769990:1 gene:OGLUM05G14990 transcript:OGLUM05G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPSPAPAPEGRWADLPGDIAISVASRLQPWAQEADVCALGGCSRSWRRACDADCVWEALFRRRWPLAAAAGGGGGGEGEWASGVQGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNSSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQVSLVDINK >OGLUM05G14990.2 pep chromosome:ALNU02000000:5:17766809:17769990:1 gene:OGLUM05G14990 transcript:OGLUM05G14990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPPSPAPAPEGRWADLPGDIAISVASRLQEADVCALGGCSRSWRRACDADCVWEALFRRRWPLAAAAGGGGGGEGEWASGVQGWKALYINHHRRTAVAISGVAEFVENNLRNGSLEAEYYLKAIANLASMRDIGFIDAQFFLLSRNSSAIMNLIGLHYSISSLNIPPNEVYKALQARKVEERKVCVSLYKLGRWFYGFRLPDESESHEISLSELTMSEGATILAILKRGAVHEVFRLQVSLVDINK >OGLUM05G15000.1 pep chromosome:ALNU02000000:5:17777918:17779914:-1 gene:OGLUM05G15000 transcript:OGLUM05G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGGSKEDVATGNTTAPAAAGSSKLFRRKSSVSASHRSSSSSSSNGCTSVSVKDVATKKEEETEADAVEVTSAEEAAAAAVVVKKDVTIAAATLAVTEAMATAAEPKEEEEEELPESTMADEAPAAVVEAAPAKADETKEVAVVKEDQEKAEEETKAEEEETPSSPAPATDLGESKEQNTMEAKPAVNDNGEHKVEEVATTVVSESSSPSPSPSEAEKKETAAEKTNDVAVPESSSPSEAEEKKDAAADEKTAAAVAAAAASESSSPAN >OGLUM05G15010.1 pep chromosome:ALNU02000000:5:17781191:17783214:-1 gene:OGLUM05G15010 transcript:OGLUM05G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPPDLQIRDKFLVQTTVVPFGTADEDIAPAFFSKEVGRYIEENKLRVVLVSATQLEEQQLIAGVPSAKTGVEVRVAKETLNIESEASNVMNEVHHSLKTNFPPLRENPATLNEMPFPVKQTTILAPSKEVPAISAESAHHWKETPAESLFSSNAVHHSLKTSFPPLRENPATLNEMPFPVKQTTILPPSEEVPAISAESGHHWKETPAESLFATNALPHSLKTSCLLRENPAILNEIPFPVRQTTILPPLKEVPVISAESAHHWKETLNVSLESHFSSTETNVVSSECPETLENTSPSKEFAILRDTLVNAENLHYVTDDVQNLMTKLSNLEAKLEEAESVIVKLREDTRTTIRERDKLKHEMVVLTRKGASRSQAGFPLLFVVYMAILGASLGYLLHL >OGLUM05G15020.1 pep chromosome:ALNU02000000:5:17806978:17807445:1 gene:OGLUM05G15020 transcript:OGLUM05G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNSHIDKEQYKLHVSQCRPLVVGPTHRFSPGAVQAQVGGRRTWSGAAGVRRGAGGDARRGVRRHRQTWSEAAGARHGAGRVRARSNDGEATRSTVRLRHSLPAPRDLDITEELVTFFGREDLMLVGPSMNVAWILPVLPTWIAHAECQLIAL >OGLUM05G15030.1 pep chromosome:ALNU02000000:5:17845614:17852368:1 gene:OGLUM05G15030 transcript:OGLUM05G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent phospholipid-binding Copine family protein [Source:Projected from Arabidopsis thaliana (AT1G08860) TAIR;Acc:AT1G08860] MGGCLSGDVRGGMEAVGGSGGRGAAGTGGGGGGGAGQGGGANEAVDHFFNAAGLRGLYSPLELSFSASKLRNMDAFSKSDPMLVIYIRKDARLEEIGRTEVILNSLEPSWITKATISYQFEIIQPLVFKIYDIDTRYHNTPVKTLNLAQQDFLGEACCNLSEIVTNNHSLTLNLRDSCGHTLLGTVTVHAEESNSSRMAVEMTLHALNLENKDDPFLRISRLVETAGPIPICKTEVISNNLNPDNPLLVECFDFDSSGDHELIGAFQTTITQLENVYNSKSGANFFSHKGQKKLKGQLFVDKFQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRSPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGAKTPQGYISHCFNLNATTNDCEVVGVEGIMSAYTSTLYSVTLAGPTLFGPIINKAAEIASHSLQYGNNKYFVLLIITDGVLTDIQETKDSIVRASDLPLSILIVGVGNADFKQMEILDGDNGKRLESSTGRIATRDIVQFVPMRDVQGGQISVVQSLLEELPGQFLAYMRSRDIKPRAPLQHDNASSAPPLYPPTK >OGLUM05G15040.1 pep chromosome:ALNU02000000:5:17858956:17863164:-1 gene:OGLUM05G15040 transcript:OGLUM05G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAGQRREQPEAAGGGGGGGGDGGGGGGCRGGAVRPQCVAALLLGAAMALSALFLLKPFAAHRARAGAPPPPGPPGDAFAADIVASFMLQKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLVVEQSTLHLTESLFGDTSLFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYENLYIKLTNSEGNLGLNHTVFGKVKQISLSSYLRHSLHMTLMHLVQHPCLNKAITIIINITITTTTTITTTIVMKKKGICVRLLHLYILLLNNPNIDLHRLVFHIHTPISQRIELL >OGLUM05G15040.2 pep chromosome:ALNU02000000:5:17858131:17863164:-1 gene:OGLUM05G15040 transcript:OGLUM05G15040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAVAGQRREQPEAAGGGGGGGGDGGGGGGCRGGAVRPQCVAALLLGAAMALSALFLLKPFAAHRARAGAPPPPGPPGDAFAADIVASFMLQKTVSELSGSTSKLEFDIYGEIGIPNSTVAVNFLQPIGAPNWTNVIFSIVPYPKYSSISSMYLSILRASFMSLVVEQSTLHLTESLFGDTSLFEVLKFPGGITIIPPQAAFLLQKPYASFNFTLNFPIYKVQGRMNELKDQMKAGLQLDPYENLYIKLTNSEGSTVRPPTIVQASIVLEVGNHQPSLPRMKQLAKTIANSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGSDSDAPSPAPLPQQGHHNHHQHHHHHHHHHHHHHSHEEKRHLCPSPAPVHSPVEQPKYRSPPSSFSHPYTNKPKNRTPVAPAAEPVPSKHNNHFDSPANMPRAVSPSPMSPSTSVHHSPNNPNRHHSPSDPSPVLAHVHSTAQTPAVAPAPHSSYATRQHSCQWALAFLLCMLMGLP >OGLUM05G15040.3 pep chromosome:ALNU02000000:5:17858131:17858896:-1 gene:OGLUM05G15040 transcript:OGLUM05G15040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSPSPMSPSTSVHHSPNNPNRHHSPSDPSPVLAHVHSTAQTPAVAPAPHSSYATRQHSCQWALAFLLCMLMGLP >OGLUM05G15050.1 pep chromosome:ALNU02000000:5:17865238:17871104:1 gene:OGLUM05G15050 transcript:OGLUM05G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGGDRDQQNQQQQQEEAAAPAAAAAGVGGGEEQGNGRGEESVKLFVGQVPKQMTEDELAAMFAAVAVVDEVTLIRDKATKASRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFHPSNMSNPNAMQQSSLFGAMQMGYVPQYNGYGYQPQGTYGLMQYPLSPMQNQAAFPNMVQSVNQGSSIRGVNSELSPNSAPRSFNSMQLGSPYSPVPSMQYPGSYPGNAINSRPFVNSHNSMKVPNANASSPTSSSTSSNPGPQIEGPPGANLFIYHIPQEFGDQDLAGAFQGFGRVLSAKVFVDKATGLSKCFGFISYDSPASAQTAISMMNGYQLGGKKLKVQLKRDNSKHSKTY >OGLUM05G15060.1 pep chromosome:ALNU02000000:5:17882899:17884948:1 gene:OGLUM05G15060 transcript:OGLUM05G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >OGLUM05G15060.2 pep chromosome:ALNU02000000:5:17882755:17884948:1 gene:OGLUM05G15060 transcript:OGLUM05G15060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAEIEKQEEELRAQIEEQKLNEGDEPVVVEDDDDDEDDDDEDDKDDDDAEGGDASGRSKQSRSEKKSRKAMVKLGMKSITGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSSMLSKPEASTAAQEDDEAVDETGVEPKDIELVMTQATVSRSKAVKALKAANGDIVTAIMELTT >OGLUM05G15070.1 pep chromosome:ALNU02000000:5:17888983:17891657:1 gene:OGLUM05G15070 transcript:OGLUM05G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPVNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADEPALALTASGRDKTLKHPKDRCKKIKMNWRGSQKFDDDAMGMIIDKLEHHKNGTPLEFEVVLLLLVLSFPFTSPVLSFPFTSSPVFSVDVFTSSPVFSVDVVPVYLLVSSVVVVPVHLLVFSVLR >OGLUM05G15070.2 pep chromosome:ALNU02000000:5:17887043:17891052:1 gene:OGLUM05G15070 transcript:OGLUM05G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGHETDKNIEVWKVKKLIKALDAARGNGTSMISLIMPPRDQVSRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYSRVPVNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIIMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKAAELATQFFINSATNQPNIAGLILAGSADFKTELGKSEMFDPRLQAKVIKTLDVSYGGESGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTITALEMGAVDTLIVWENLDVRRYELKNTATGETVIKYLNSDQEADQSNFVDEATSGEFDVIDKPLLLEWFAENYQQYGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVAAFNDDDDDMLDEADYEDFE >OGLUM05G15080.1 pep chromosome:ALNU02000000:5:17892362:17892550:1 gene:OGLUM05G15080 transcript:OGLUM05G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVNGGGRWRLRRRRCFGPCRRTSDITLTSKEVFIWVKRNNRRLLHVGDIDRTSK >OGLUM05G15090.1 pep chromosome:ALNU02000000:5:17903839:17906377:1 gene:OGLUM05G15090 transcript:OGLUM05G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLQYLKLLLLLALGGVTTMHVPKQDVPSSLEALTLDGHFSFHDVSAAAQDFGNLSSFPPVAVLHPGSVADIATTIRHVFLMGEHSTLTVAARGHGHSLYGQSQAAEGIVISMESLQSNTMRVNPGVSPYVDASGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQTFRHGPQISNVNELEIVTGRGDVITCSPEQNSDLFHAALGGLGQFGVITRARIPLEPAPKMVRWLRVLYLDFTSFTEDQEMLISAEKTFDYIEGFVIINRTGILNNWRSSFNPQDPVRSSQFESDGKVLFCLEMTKNFNPDEADVMEQEVNTLLSQLRYMPSSLFHTDVTYIEFLDRVHSSEMKLRAKGMWEVPHPWLNIIIPRSMIHKFAKEVFGKILKDSNNGPILLYPVNKSRWDNRTSVVIPDEEVFYLVAFLSSALGPHNIKHTLDLNYRIIEFSDKAGIGVKQYLPNYTTEQEWQSHFGARWDTFQQRKKAYDPLAILAPGQRIFQKASASLPLPS >OGLUM05G15100.1 pep chromosome:ALNU02000000:5:17910304:17910718:-1 gene:OGLUM05G15100 transcript:OGLUM05G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGKASVRVSTSKRARHRLQEVSTRRLARDGSSLAATALSFRLIGSSGRGAPETRRRLADCLSGKLLLERWLSRIPADGWGMHLVSL >OGLUM05G15110.1 pep chromosome:ALNU02000000:5:17914701:17933517:1 gene:OGLUM05G15110 transcript:OGLUM05G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNGVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAEAFVFGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQIAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNDQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGSSTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSLDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSALHNYTSVTLQSLPVAVRTELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLMLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNNDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDREQKEERSGVPVLQWLGGGDAATECVPELGSLVESVGRYRELGRWPDKWMEGHNSGGKEGDGGGGMGWLKERGN >OGLUM05G15110.2 pep chromosome:ALNU02000000:5:17914701:17927178:1 gene:OGLUM05G15110 transcript:OGLUM05G15110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNGVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAEAFVFGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQIAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNDQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGSSTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSLDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQSALHNYTSVTLQSLPVAVRTELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLMLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNNDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >OGLUM05G15110.3 pep chromosome:ALNU02000000:5:17914701:17927178:1 gene:OGLUM05G15110 transcript:OGLUM05G15110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAVAAPAPYPTTGQNPSGTPLPAAAMGNPNLGLGLASSSDHAAGPPPPSRRAPRLAKRRHAAASSRSRQPPPASPAAAPWNPFGGGGGGGTDASGQDGIGGIAPGGVGVGAGEGQDGGFVFGGAASATSQQPPVASSNGEAPFVFGSVRDSLPRFDEGWAASAKLPEKMGRMNLQTRGESSVNANKKDRSSIFGVDIPGLVLNSEVNVLPEKLTQLNLGTRVPLQGDNGVPKTFVFGGNGSGPSSDGTSSDVHRAGSYASANANGVAEKLTQYGIGNQAPLGRMGTESTNDAPPVFVFGGNVSSDHADRTNDVSSGANTNPSDTFNVTDGTGLCPEKISDVPFQQKGSGGSSRQAEAFVFGSTSNEGSVFSSAANSDAFVPPSSVNNLPPELPSCLNIGGGVTQCKQSDNSGYPSEAFVFGSDASLSSHSSKKVMDDRGNFVTGANSKAFTSVHGNMESTLPARMTKLNLGHGAPSNNNKDETAHQPPEVSGFGTNAATTFSSAQAASMPFTSIQTNVSFELKGNGGNLANEDIGNITHSRSDNDQGYGPSSFVFSSGSNAVPPSEGYAEHALQEDIKKLNINREGASVGSTKVNGSSQFVFRSKAEAIPVFGAIPQPNVPESRAFSHSNHSSSFSTSGNDMPSFSFKTTNTASDTIPGESCAVRQEPAWCSRESLFGIDYIKSAYRDKKEAHKNARKNKRPTKLKQHAQPHHFASQETCTTGPDMDLAGDYSPMDCSPYSATVEQIAKEASVMSDQSVHIHDYGVSNQSSSCAEDLVSATEHLVIDADLPTCEDEGRIPNVDTSANSFASSFSSFDEEVTIPIAPQPSSSNMHDTANGKPKSAPAEVWDDAYRLNDQGQAYEENGYRTAHEIGEHATFQESSEDFSGLNFTFGSSTSPQSSVSTQRRNTRRKVRTKSGQLPKPSVTQAYVQPKSSLDKKTMQFSPEKNKAGDSADEQSTRGASTSAALETCETWRTSGNQAYTNGHFATAEEYYTRGINSVSGHDSSGYCSRALMLCYSNRAATRMSLGRMREALQDCLIATSIDPTFLKAKVRAANCQLALGDLEDALRSYTACLTSSKTSGSDRKMLAEASDGLERVQELLKKRTVSEATTALQFISNALHISSHSDKLMEMKAEALLMLRKYEEVIQLCQETVVLAEKNSSASETTEWSGRLWRTYLICKTYFLSGKLEDALELLNKHQQVTNVKESEGRTSQECFSSLSTTIRELLSHKAAGNEAFQARRYSEAVEQYSAALARNNDSRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDATYLKAISRRATLYEMIRDYGQAANDLRKLISLIEKQANNSGLSPKVLNKHSDLKQARTRLLSVEDEAKRDTPLNLYLILGIEPSSSPADIKKAYRKAALRHHPDKAAQLLVRNEKPDDGFWRDVAKEVHADADHLFKAIGEAYNVLSDSDKRQEYDIEENLRNATKRVSKGGRMHRSPEQRYTKQYDRGFNPRPWQSNRSSGSRSRWSGYDDDYW >OGLUM05G15110.4 pep chromosome:ALNU02000000:5:17927613:17933517:1 gene:OGLUM05G15110 transcript:OGLUM05G15110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMGGREQKEERSGVPVLQWLGGGDAATECVPELGSLVESVGRYRELGRWPDKWMEGHNSGGKEGDGGGGMGWLKERGN >OGLUM05G15120.1 pep chromosome:ALNU02000000:5:17933655:17935079:-1 gene:OGLUM05G15120 transcript:OGLUM05G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVLRCGVKVERATTTTAAAVKGAPPGRISHAGAGGGGGGAQEDRTILKGITGEVRPREVLAEDEEEDDELLMEMASDDELLFLNGIHVAGRASSSAHRRHRSLPEADAPHT >OGLUM05G15130.1 pep chromosome:ALNU02000000:5:17939015:17939464:1 gene:OGLUM05G15130 transcript:OGLUM05G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGGSLLLVAASLLLVCGFNSLALDLAACLWIWICSLLADEDALVADGRADGMTRPTDGGSPATDACCLIPAIPTAEVRVLLLLEPIAIVVVVFSGVGIGIGIVVVFSSGVGMRRLRRRRAPRSGCVCGECGVRVFATSAAEVEVEG >OGLUM05G15140.1 pep chromosome:ALNU02000000:5:17943475:17943756:-1 gene:OGLUM05G15140 transcript:OGLUM05G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGRERVGHGGGGESGATGGEGGERATHGGGGESGATGGEGGERATHGGGGESGATGGTTDSEDGDRGGNDGTVEDEGVAAKTAMCGAGRRP >OGLUM05G15150.1 pep chromosome:ALNU02000000:5:17945431:17951071:-1 gene:OGLUM05G15150 transcript:OGLUM05G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZYF0] MFAGLASDGGSKVRMLLTCVDALPDGSEEGISYAIDLGGTSFRVLKVELGAGSTIINRKVEHQPIPENLTKGTSDDLFNFIASALKNFIEREGGEVEGRALGFTFSFPVRQTSISSGTLIRWTKEFSIEEAVGKDVAQCLNEALARNGLNMKVNVLVNNTVGTLALGHYYDDDTVASVIIGAGTNACYIERNDAIIKSLGRVTNSERTVVNVEWGSFRPPQIELTPYDICFNNETWNYYDQGFEKMISGVYLGEIARLVFQKMAEESDIFGTAVDGLSTPFVLSTPNLAAIREDDSPDLREVGKILEEHLKLPDVPLKTRKLVARVSDIITRRAARLAAAAIVAILQKIGCDGTLCGSTQVRTMRGVRRRTVVAIEGGLFEGYSVFREYLNEALVEILGEEIAATVSLRVMEEGSGTGAALLAAAYSSARQKNSE >OGLUM05G15160.1 pep chromosome:ALNU02000000:5:17966760:17970941:-1 gene:OGLUM05G15160 transcript:OGLUM05G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGVASMFALALLLGAFASIPQKAEAIGVCYGMSANNLPPASSVVGMYRSNGITSMRLYAPDQAALQSVGGTGISVVVGAPNDVLSNLAASPAAAASWVRNNIQAYPSVSFRYVAVGNEVAGGATSSLVPAMENVRGALVSAGLGHIKVTTSVSQALLAVYSPPSAAEFTGESQAFMAPVLSFLARTGAPLLANIYPYFSYTYSQGSVDVSYALFTAAGTVVQDGAYGYQNLFDTTVDAFYAAMAKHGGSGVSLVVSETGWPSAGGMSASPANARIYNQNLINHVGRGTPRHPGAIETYVFSMFNENQKDAGVEQNWGLFYPNMQHVYPISF >OGLUM05G15170.1 pep chromosome:ALNU02000000:5:17985984:17989671:1 gene:OGLUM05G15170 transcript:OGLUM05G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor PrfB3, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G57190) UniProtKB/Swiss-Prot;Acc:F4J264] MAPTAPSPASVRAAASPRCCSRSRPRSSAGRVAALPADGRGDGASTAASYKELGLYSLKKRIEDAVVRVETTASSALEMEEARRIRQEEVLRGRNLWDNPAKSHETLSALADAIRVVDHLKDLRYKAEEAKLISQLSEMDVINVELFKQAYKTSVDATEFLDRYQMYKLLKGPYDKEGACIIITAGSEERIFCMYSSWARKQGCKDGLVEKITSTSGRVWTAAIEIESEYMFGTLTGEKGTHRMIYPSVDNAGTYEATSARVDIIPLFLDRPVNLHLDENDLEISPSPSDHKRRDHRNSAVRVQHIRTGVTAESSGERSYFANKMKAISRLKAKLLVISRELRSSNLKTIKRQTVEELYSRETRRYKFGPQKLVHDLNTGLQLSELNSVLDGDIDPFIRGRIVSRLG >OGLUM05G15180.1 pep chromosome:ALNU02000000:5:18003359:18004795:-1 gene:OGLUM05G15180 transcript:OGLUM05G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLLLLELLCFSMALAGGAALRLELAHVDANEHCTMEERVRRATERTHHRRLLHASTAAAAGGVAAPLRWSGKTQYIASYGIGDPPQPAEAVVDTGSDLVWTQCSTCRLPAAAAAGGGGCFPQNLPYYNFSLSRTARAVPCDDDDGALCGVAPETAGCARGGGSGDDACVVAASYGAGVALGVLGTDAFTFPSSSSVTLAFGCVSQTRISPGALNGASGIIGLGRGALSLVSQLNATEFSYCLTPYFRDTVSPSHLFVGDGELAGLSAGGGGGGAPVTTVPFAKNPKDSPFSTFYYLPLVGLAAGNATVALPAGAFDLREAAPKVWAGGALIDSGSPFTRLVDPAHRALTKELARQLRGSGSLVPPPAKLGGALELCVEAGDDGDSLAAAAVPPLVLRFDDGVGGGRELVIPAEKYWARVEASTWCMAVVSSASGNATLPTNETTIIGNFMQQDMRVLYDLANGLLSFQPANCSAV >OGLUM05G15190.1 pep chromosome:ALNU02000000:5:18014385:18023424:-1 gene:OGLUM05G15190 transcript:OGLUM05G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSRASGITKLDNTATAMDLELEVTMMQTPSNLGELTGEQGTTTVGTREDSQGGGNRRASLLLLRLLDPRGRGDG >OGLUM05G15200.1 pep chromosome:ALNU02000000:5:18027409:18030772:-1 gene:OGLUM05G15200 transcript:OGLUM05G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWLSPLITFINSSQKVVKDEHILGNRTLEVKIATPKEEMRAPGIKKATRIFVARIPQSVDESKFRRHFEAFGEIIDLYMPKELRSKSHRGIGFITFRSAECVDNIMQETHELDGTTVAVDRATPKDEDVRHPPRRVVQGGYGAYNAYITAATRYAALGTPTSYDHPGPAYGRGHLGGSLGTGKKIFVGRLPQEANTDDLWDYFGRFGRIVDAYIPKHYRILKDDGVADRVAQRSHEILGQEVAVDTAEPLEGGSGGGYLEPAEAYGPYGAYGSLLPYGRFSGSLGYDVSSHQHQG >OGLUM05G15210.1 pep chromosome:ALNU02000000:5:18053165:18064982:-1 gene:OGLUM05G15210 transcript:OGLUM05G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetyltransferases;N-acetyltransferases [Source:Projected from Arabidopsis thaliana (AT2G41450) TAIR;Acc:AT2G41450] MGRPRKPAKPAAAASSSASAAAAFSIGNCKVEIHGSSLRCQSREEEEELTISGPRGAKIVVSVDGAKSSSVGDGFDFILLSPSDVDERNKALLQEVLLLYKQELPTMDYAANTGRKSGFLEKCVTNGKYKTLVLSSTAGHEEVTAALSYQLVPADTQYAEIPLAVVRPPYQRVGIGQLLYKELSQRLRNVGVTTIFCWADNGFESVGEVDTKGKICRIPVRADIKRALCFPGGSMLMVSHLKDLPAPQKSLLSSQQTYQFHTFAPDSISPSDTGTSTPSCEKLLPQTVACHKVSKTAAVEKNEDFHGTGGCSFSDQQENKRTHETSSSSLKSKRVRCSQHSDHHQDMNQNEICGKPLSISNTPIHENSVHLMPNELSNPSMVGHVKSKISGDAKANISSNGSPSVMLMNIADETKKTQLIEVVEMLGGVVTCEGNSCTHVITGKVRMTMNFCIALCSGAWIVSPKWLKESFKKGKFVGEAEYVFQDDEFKVKYKSELRDAVVRAKERPCSLFSGYTFCLTKNIQPSVNVLSRIIKSSGGKLINKLDDITQPLKTIFLACEEDMELALDAAKRGIKTFSGEWFLSCVMTQELDLEAPQFTESL >OGLUM05G15220.1 pep chromosome:ALNU02000000:5:18066097:18067173:-1 gene:OGLUM05G15220 transcript:OGLUM05G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGVGVRIRALLCCIAMAAMLLSSYQQGQAEASYMPWPPATPPPPAAAAANSTSTAAANNSSSSSSTTAPPQQPTAFPMY >OGLUM05G15230.1 pep chromosome:ALNU02000000:5:18095072:18097007:-1 gene:OGLUM05G15230 transcript:OGLUM05G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZYF8] MAMAAARRALLSHLRVPVLARPAAAAGSVPAARLLSSATEEGSKGSFLDKGEVADRIVSVVKNFQKVEPSKVSTVADAHRTADSDLGVTPNAHFQKDLGLDSLDTVEIVMAFEEEFGFEIPDNEAEKIDSIKTAVDFVASHPQAK >OGLUM05G15240.1 pep chromosome:ALNU02000000:5:18103889:18104428:-1 gene:OGLUM05G15240 transcript:OGLUM05G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEAQDSISRVVPDSIVAMESVEALDSVVNTLESDVVLDSTDMVLNYVDMVPDFVEVLPNSVEVLPNAIDMVPDSVKVVQCARCGTFHASGIFGEACYQARHKARRCACCGLIHSDYDLTSWLLHDIEKFDCELYIPDMEMLEMNGDTIILPDHVQMKLDEIYNMKKLEDAKLNQDA >OGLUM05G15250.1 pep chromosome:ALNU02000000:5:18107468:18108282:-1 gene:OGLUM05G15250 transcript:OGLUM05G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGASPPSLFRRSAPTPPRARGLRLPRCLGVPRQPAINSGASPPSLSGRSAPAPPQARGLRLPRCPGALHRLHHGLGGFACLVVQVLIADLAKDSRASPHSSSGCSSPTSPQAQGLDTPCCLGTTRRHRVTRSPRRTATSSPTEGLLRADRIHRRRDGYCIAGQRFAPPSRPHTPPLSDPLPTLDGYYVADRRLAPSSQPHTPPPSDPLPAQDGYCVADRRLAPSSQPATPPLGDPLPAQDSRTSASLPGGFLPVHDGSFTTD >OGLUM05G15260.1 pep chromosome:ALNU02000000:5:18108376:18108702:-1 gene:OGLUM05G15260 transcript:OGLUM05G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARGTAPHVRGLCPLRGERGPTTPVARVSRARRRTPGGSGFRVANAARLRLGHAYALYADPPVTRGLRLPRRLGAPHRPRHGLGGFASLVVRVIFADLPTDSGA >OGLUM05G15270.1 pep chromosome:ALNU02000000:5:18113694:18119380:1 gene:OGLUM05G15270 transcript:OGLUM05G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEILRVALGGGGGAGERLPAAGEDSAAATNTGKRPLVRSSLAAMVVVVAGIQPDAFALGWPAEYPAGDRERDLLMVRFLRSIAAFLADGTCQMQVNDGLSCVVDLAGGVGGGRSEQRAASVCLRRGARAVVHPAMRRSGPLGGSLRRRHRCGCRRRLVLVVLVHRAHATAATVCRRRARRRQCGGSDEGSGKVTMAAEIPRLALGGGGGGGEQLPGPPMAAVEEDLPAATSTRKRLVGTSGNLTMAAEIPRLALGGGGGGAGGERLPAAGEDSAAAATNAGKRPVVGLGFGSSLAAMAAAAAAGIQPDAFALGGPAEYPAGDAERDVLMVSFLRSIAAFLADGTCQMQVNDGLSCVVDLAGGDADGDGVGGGRSAQRLASAFAEALALRFILPCDGVCRSLHLTQAPPPPAVSAARQGFRAMCPFVRLAAAAANLSIAEVMEAERGVVHVVDLGGGVDANQWVELVRLVAARPGGRPGLLRLTVVNESEDFLSAAAAYVAAEAQRLDLSLQFHPVLSSIEELSATATGSIGSRLVVIPGQPLAVVANLQIHRLLAFPDDVDGVASRRPAAEQSGSSKHMMMTTTKTKADALLRAIRDLNPKLVVLTENEADHNVAELGARVWNALNYYAALFDAVEASSTPPAAVPPHERACVERWVLGEEIKDIVVREGTGRRERHETLGRWAERMVAAGFSPVTAARALASTETLAQQMAAAGGGGAGAGVLRAAHGGGCFPVICWCDVPVFSVSTWTARRVLVPAPPLWPPAAAGGAGPSGSGYGGDGPSTASSLAAMWWVG >OGLUM05G15280.1 pep chromosome:ALNU02000000:5:18136832:18147035:1 gene:OGLUM05G15280 transcript:OGLUM05G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPVASMATATAPAAVAAADNGGHGSSSASQNASGSGQGQGGNVVGRMRSVAVMEKGSSSTPSAANTSEPMLGQAATAAIAATTVVPMTNFQLFGSMVPVPVASMATATAPAA >OGLUM05G15290.1 pep chromosome:ALNU02000000:5:18174453:18176018:1 gene:OGLUM05G15290 transcript:OGLUM05G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAPAAVAAADNGGHGSSSASQNASGSGEGQGGSMSLSLQLRPLGSTPTAAVAVSVPPMAAAPMMAGPAAAAPAPAPPLATMAVAQNASLAAVASALAAHRRNQATHRSSALHGHLRRCAEALAASRPADADAELASIARMASADGDAVQRVAVAFAEAMARVVIRPWRGVSAALFPSDAGAAGDALTAWEAEFARQSFLNLCPLLHLAAVAVNEIILETTRNDKFIHIVDLGGIHHAHWVELLQGLATRRAAVRPCLRLTIVHEHKHFLAQAAQVLAAESDRHGVPLDLHIVESSVEALKLDALGVRSDHAVVIVSMLQLHRLVGAGILSTTAPPSPAAAAAASMITSPLPPANMSSKVDRLLRGFHLLSPRAIILTENEANHFVPSFTDRFASALPYYEQLFAAMEEAGAAAVERKAAERYLLREEIKDVIACDHDGPRWARHETLGRWVVRMGAAGFALAPAITVVTAAGRVRAVAARLPGGGDERRYGVTEGGGWLILNREEKPMFCVSAWRRQ >OGLUM05G15300.1 pep chromosome:ALNU02000000:5:18187966:18188638:-1 gene:OGLUM05G15300 transcript:OGLUM05G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKNSQLQPPPGYPRLDPEQQAGKKKRRCCGSSCRRSTKRGETSFIEGCIAALCCCWLCELCCD >OGLUM05G15310.1 pep chromosome:ALNU02000000:5:18193563:18197954:-1 gene:OGLUM05G15310 transcript:OGLUM05G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWWPPTSAAAATAEPRSVQLLLLGVALIAASFYAGTVFRSPSAPALLLPPSASRSPDPSRTPGAPKFTNRVSLSYRTKPISVPDYGVDVCPLKYNEYIPCHDASYISQLKKLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSRLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASEYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIHTMSFAPKDGHENQIQFALERGIGAMISVLATKQLPYPENAFEMVHCSRCRVDWHENDGILLKEVDRLLRSNGYFVYSAPPAYRKDKDFPVIWEKLMNITTSMCWKLIAKHVQTAIWIKPEDQSCRQKNADMKLLNICDSYDNSSPSWKIPLMNCVRLNKDQSNMQKLPSRPDRLSFYSRSLEMIGVTPEKFAKNNKFWRDQVSMYWSFLGVEKTSIRNVMDMNANIGGFAVALSNDPVWIMNVVPHTMSNTLPVIYDRGLIGSYHDWCEPFSTYPRTYDLLHAFHIFSHYQSQKEDCSMEDIMLEMDRIIRPEGFIIIRDENAILSGINDLAPKFLWDVTTHMLENEESKPEKVLICRKKFWSIV >OGLUM05G15320.1 pep chromosome:ALNU02000000:5:18199388:18203665:-1 gene:OGLUM05G15320 transcript:OGLUM05G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein-related [Source:Projected from Arabidopsis thaliana (AT1G67930) TAIR;Acc:AT1G67930] MAAPATPRLLLSPTSKDLIAAASSSFPSPPSPTTSSSAPDPASPLDAFASDPVLSAFLSPSFSPSDFSSAALSSGLAASRAEQLQEAIRLLRRHLRAEVLRRHPLLLSHLLSLRSASASLSSLPSHLHLLSSHLSLLSSHLSAPRAHLAHSSSSLSTLLSTADLLLHSHRLVRLSSRLLTSTPPPDLARQAELHREIRLLYEEKNLAGINAVDEEMRKVDAAASKLRSEASAVIDRGIAESNQNDVWCGLQVYYNLGELKPAVEGLVAKYKAAGAKSVAVALDMKAISMAAGGGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRSVTAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQLKSAFTASSFVKEIFTLGYPRLFSMIENLLERILRDTDVKGTLPALTPEGKNHMTAAIEIFQTAFLALCHSRLSDYINSIFPMSGRGIPSKDQISRLISRIQEEVEVVRTHGHLLARVLQEIGKVLLLLAQRAEYQISTGTEARQVTGTVTPAQLKNFALCLHLQDVHTRISSIVSTLPNVTSEKLSKSLEAIYTVACDSVSPLFKEMFDRLGSCILKMHEQDFGTHGMDATMDNNASAYMEELQKCAVHFRNEFLSKLLPSSSSRSETICTIMVRSMASRVLILFIRHASLVRPLSEAGKLRMTRDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPPSVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDYVMKVRSRGDKEFSPVYPLMLQIGSALSQAKG >OGLUM05G15330.1 pep chromosome:ALNU02000000:5:18207132:18210354:-1 gene:OGLUM05G15330 transcript:OGLUM05G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLWVLGCSLLSSWILSSMSSHAVDQRRAALAGMCDERARMLQEQVKASMTNHFQAQAILVSKNASAIDQMAFARYVAESTAFDSTHAERELFERQRVWSIAMKRPSPSKMMMNSSSSSKRSPPDEYVRPRRHALGGSLDSENVLRAIESGKVVLTAPLRLLSNRIGVISAYAVYKSELPLNATVQDRIQSSIGYLTGVFDIEEHVDKLLQKLAGKEQPIMVNVYDTTTSEIPISMHRPKDKGSGMCHVSTINFGDPSRKHEMHCRFVKSPPWPWMAIALSYGTLVVSLLVGYMFHSTDKRIAKATDMQMPVKDGSAATERIAEVERDLNERVGRGENPPECASARQWPAPILSMTADVSQPFEGEQLYRQDARFLHKQELNLAENLDQEEEQELNLRSEALEGLGTSSKFITKVKKLTSASIHCLRSQFHYLNKKVASIGLLGLLLYILVIGAFDQLYNQRLGMRKGGDNNMMLNNFGWSHGRLLISTLHGTWAKRGVQRSDMIRVDLRKITGNNDSSWQQKHWSPHNSNEKALANWISNGTTYQSSKYQEETTGIQNGTVKPHLPVHKSHRSTVGPWIASVPVIIMLVILGTLLRVQQKELELLGRVLGPSRFELHELAAATSNFAQENKLGQGGFGPVYKGYLRDHDLHVAIKVFSRRQSSQEQSEQGLREFKAEVKVMTQLRHRNVVKLVGWCDSKKRLLLVYELMAQGSLDKHLYDPEKTLTWQRRYKIALDLGSALLYLHRDCEKCIVHGDIKPANVMLDVSDNAKLGDFGLARLVEHGGEPQTTQVVAGTPGYIDPEFINNRWPRTESDVYSFGVVLLEIACCKRPASRQLLPNGASSLLSWVHGLYDLGKILDAADQRLNGEFDQQQMERVIVTGLWCAHQDPMQRPSIVQAMDVLRSADAELPARPAAVHDARHIRSIEEQAYADLPVEGGSVHAVTPSAYFTSKDSAYLLAEEGRILFRLNSKIMFTHPSIWIVLLTS >OGLUM05G15340.1 pep chromosome:ALNU02000000:5:18221095:18224766:1 gene:OGLUM05G15340 transcript:OGLUM05G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKAGESLSTEFAFIGGIERRRSELYILLLAINQVISDAEEQASKKPAVKSWIAKLKLAACEADDALDELHYEALRSEALRRGHKINSGVRAFFSSHYNPLLVKYRIGKRLQQIVEQINELVLQINQFGFTLDRPMPVDERMQTCSYVHEQEIIGRDKERDEIVHMLLSAKSDELLILPIVGIGGLGKTTLAQLVFNDVKVKAHFQKHMWVCVSENFSVPVIVKGIIDTAIGNDCGLKFVNLELLQQRLREELSQKRYLLVLDDVWNEDKQKWGALRTLLGSCGMGSAVVVTTRNLKVASIMETISPLCLENLNPEDSWIVFGRRAFGTGVVETPELVEVGKRIVEKCCGLPLAIKSMGALMSTKPETRDWLSILESNTWDEESQILPALSLSYKNLPSHMKQCFAFCAVFPKDYEIDKDDLICLWISNGFIPSKKMSDIEENGNHVFWELVWRSFFQNVKQIGSIFQCKVYRYGQSDVTTFKIHDLMHDLAVHISGDECLALENPSEIKKIPKNVHHMAFEGQQKIGFLMQHCRVIRSLFALDKNDMHIAQDIKFNESPLRVVGLHIFGIEKFPVEPAFMKHLRYLDLSGSYINTLPEAASALYNLQVLILNRCRRLTHQPDGMKFMISLRHVYLDDCARLKSMPAGLGQLINLRTLTKFVPGNESGYRIHELNDLKLGGKLQIFNLIKVTNPIEAKEANLECKTNLQQLALCWGTSKSAELQAEDLHLYRPEEVLDALKPPNGLTVLKLRQYMGTTFPIWMENGITLRNIVKLKVTDSINCMKLPSVWKLPFLEVLRLKDMKKLKYLCNGFCSDKECDRQLVAFPKLKLLSLERMESLENWQEYDVEQVTPANFPVLDAMEIIDCPKLTTMPNAPVLKSLSVIGNKILIGLSSSVSNLSYLYLGASQGSLERKNTLMYHYKENLEGTRDSKEHVLAHHFSSWGSLTKLHLQGFSALAPEDIQNISGHVMSVLNLDLISCDCFIQYDRLQSPLWFWKSFVCLQHLTIEYCNSLTFWPGEEFQNLTSLKRLDIRYCNNFTGMPPAQVSVKSFEDEGMHNLERLEIEFCYNLVAFPTSLSYLRICSCNVLEDLPKGLGCLGALRSLSVDYNPRLKSLPPSIQRLSNLTRLYLGANDSLTTLPEGMHNLTALNDLAIWNCPSLKALPEGLQQRLHSLEKLFIRQCPTLVRRCKRGGDYWSKVKDIPDLRVTGD >OGLUM05G15350.1 pep chromosome:ALNU02000000:5:18238667:18241293:1 gene:OGLUM05G15350 transcript:OGLUM05G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVLVQSLAFGLLAMVLILATRKQFDVIFIDDRHLHKAVDDARLLIYTNKCSLSLVRNKKHAARMQLSRSKSKKKARTATHLLQAASLTEKMGTAVTPPPLPADRRPCPCIQVLYVGRRPDPPPPAAARARRIQLVSVGCRPSSPAARSRAVAGAARRPARRWLENPAARSGAVVDDDEDGDKFEEEEDARSSSPGRSMGVRSCIPCWLLAINEAIYDAEEQAWKNPVVKSWIAKLKLVACDADDAIDELHYEALRREALRRGHNINTGVRAFSPHSNPLLFKYKIGKRLRHILDRISELVLQMNQFQFIQGRPMQMDESRQTYSYINEQYIIGRDKKRDEIVHMLLSAKSNELLVLPIVGIGGLGKTTLAQLVFNDI >OGLUM05G15360.1 pep chromosome:ALNU02000000:5:18250187:18251320:1 gene:OGLUM05G15360 transcript:OGLUM05G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGPHADSPPVVPVEVTTRRRVARKRRTKDADSIQGGVELRASRKRRAVKSDSIQDSRRVERGKRGFWVALKGKKRGSIVVEGRDFVQYSEEGGADEYLFVAEEESSVDSHQASGGRRGRCGRCGGGLFNSNGDCFLTEEQHPGSNFFDTENFGGGGLFPDFDEQTGDGGQNRGVMLDAEDVYFVLESEQQVGGYVSKMDQHPDDGLFVDSEELAGGRGHCDRVVVGAEDIYFVPETEQQADGDFVPDSKLHPNGCFVPNSDESEQANGGVVDSELHPDSGFVPDSEEQGSGGVLDSELLADGGFIADSKKQAVGGVPNLEEQHLDGIEELVDGEVALVQDDADAAADDGEVDEFAETREGMLRLLLPMYFMIHRR >OGLUM05G15370.1 pep chromosome:ALNU02000000:5:18272622:18278405:1 gene:OGLUM05G15370 transcript:OGLUM05G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKAGESLSTEFSFIGVIADAEEQASKKLVVKSWITKLKLAACDADDALDELQYEALRSEALRSGHKINSRVRTFFSPTYNPLLFKYKIGKRLQQIVDRINDLVLQIKQFGFDLNQPLKVDERTPTHSCVVDDDIIGRDTERDEIIHTLLSANSNEMLIFPIVGIGGLGKTTLAQFVFNNAKVKAHFQKHIWVCVSEEFDVSDIVKKIIDTSIGKDCELKNNNLELLQQRLREELSRKRYLLVLDDVWNEDEQKWEALRTLLVSSGMGSVVIVTTRSLKVASIMKTDNSLCLKHLTPEDSWTVFRRRAFRAGSGVVETPELVEVGKKIVHKCHGVPLSIKSMGALMSTKHDTRDWLAILESSTWDEKNEIMPALWLSYKHLPSYMKQCFVFCAAFPKDCRIVKDDLIHLWISNGFILSNETSDVELMGNHVFFELVFRSFFQDIEETRSSFSRKEYKYGYSDLTTCKIHDLMHDLAVLISGDECFALQNVTEMEKIPDNVHHLVFPWPYKIDFVVQHRRNTRSLFSLQKNNQYSFKDLKFKESNFRVIGLHFTGIGRFSIEPTFMKHLSCWRLTMLPEGMKFMVKLRHLYLEHCYDLKCMPSGLGQLSSLRTLTTYVVGTDSGRGIQELKDLKLGGKLHIYGLEVTNPLDAKEANLESKQNLHELAVRWRSPSFTRSPVNCADEDSRLCCAEEVLNDLKPPNGLKVLNLKGYMGSRFPTWMVDGVTLHNIVKLSLAGCEMCVKLPPVWQLPFLEVLRLKQMKRLKYLCSKFSDKECDHQILAFPKLKLLSLYRMESLEQWQDMEHDVEQVRPVTFPALDAMEVIDCPNLTVLPNVPMLKLLSVTGNKVLLNLALSITNLSYLYLGGASPGSSWRERTLCYNYDGGCEGSTDTKEEHILADHLLSWGSLTKLHLQGFNTPAPENVKSRSGHMMSVQDLALASCDCFVHHEGLQSPLWFWKSFGCLQQLEIRYCDSLTFWPEEEFQSLTSLEKLFIVNCRNFTGVPPAQLSVRSSTDEGPCNLEYLRINGCPNLVVFPTNFSCLQILDITDSNVLEGLPEGFGCLGTLIYLVISCHPRLTSLPDSIQCLSNLEYLELADNNSLTVLPEGMQNLTALNALYIVNCQGIKVLPEGLQQRLHILREFTVKGCPALARRCKHGGDYWSKVKDIPRLCVRGEQHSAWGDAARAIIPTCSNSWCTPTVPPPLLKRLAIRYCKNFTGMPPAQVSVKSSEDEDMHNLESLEITCCSNLVAFPTSFTCLRYLMVCVCNVFEGLPEGLGFLGTLRSMSIYFNPRLKSLLASIQRLSNLTGFFLGFNDSLTTLPEGMENLTALNDLTIQKCPGRKALPEGLQQRLHSLERLFIEDCPSLARRCKRGGDYWSKILFDQRKHISLSELSHHASHVEKFRSACGFMLLLRTGELEL >OGLUM05G15380.1 pep chromosome:ALNU02000000:5:18280391:18282989:-1 gene:OGLUM05G15380 transcript:OGLUM05G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDELPIPGPMPSQLQNTGTCGTFAFLDDTSAPSSSSPNHAESTDPSDGLGRNQLEAMPKKPRGIKLKEKDIRGSARPIDGLEKASSQRKRKKKDDDVPDHVLESQPEMAIWRFPATKLFYMYHSTMLLWKQSQGLHQGRPIQQFDTDFHNMFP >OGLUM05G15390.1 pep chromosome:ALNU02000000:5:18284564:18289389:1 gene:OGLUM05G15390 transcript:OGLUM05G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKDGESLATEFSFIGGIERRRSELYTLLLAINQVIYDAEEQASKKPAVKSWIAKLKKAACDADDALDELHYEVLRSKALRRGHKINSGVRAFLSPHYNPLLVKYKIGKRLQQIVEQINKLVLQMDQFGLLDCSMPVDERMQTYSYIDEQNVIGRDKESNEIIHILLSAKSNELLILPIVGIGGLGKTTLAQFVFNRVKVKAHFQKHIWVCVSEEFNVPDIVKRIIDTAIGNDCGLKNDNLELLQQRLREELSQKRYLLVLDDVWNEDEQKWEALKTLLGSCGMGSAMVVTTRNLNVALIMGTVHPFDLKSLSPEHSWKLFHREMFDRDVVESTELVEIGQKIVKKCSGVPLAINNIGGLMRRKHDARDWLAILDSNTWDEENNILPALWLSYKHLPSYMKQCFVFCAVFPKDYEICKDRLIHLWVSNGFIPSKETSDIEETGNHVFLELVSRSFLQKVKTGFLFSMEKYRYRSSDVTMCKMHDLAMSISRYECFALLDLAEIKKIPENFLKYLEFKKSPCRVIGFNIDGDLRLSVEPAFMKHLRYLDLSGSGIEELPEATSALYNLQTLMLNNCVVLNKLPESMKYMASLRHVYLDRCSRLQSMPVGLGHLTGCGIEELKYLKLGGKLNIFNLIQELAFCWSTSEIADLQVHPAEDGQLYRAEDFDVLHALKPPNGLKVLKLRYYMGIEYPTWIEDGVTLQNIVKLSLTNSINCIKLPPVWHLPLLEVLRLKGMESFKYLCSSYSRDKECGHNLVAFPKLKLLSLVNMESLENWQEHGVEQVMPITFPALDAMEIFDCPKLTTLPNVPMLRSLSVVGNKILLGIATNITNLSYLYLRASRGRSWRLRTLCYGYIDEFLGSIDAKEHLLADQFLSWGSLTKLHLEGFYTLAPEDTQNRSSPMMSVQNLDLTSCDCFIQYDKMQSSVWFWKSFVCLEHLTLEYCDTLSFWPEEELQCLTSLKKLIIVNCKNFTGMPPAKLSLKPSAGCPGVKTLPEGLQQRLHSLETFMVEDCPALARRCKRGGEYWDKVKDIPDLDVTCEQRSAWRDAARAIIPKCAEAWFRRASSSRQPDN >OGLUM05G15390.2 pep chromosome:ALNU02000000:5:18284564:18289789:1 gene:OGLUM05G15390 transcript:OGLUM05G15390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLSALLPALLKKDGESLATEFSFIGGIERRRSELYTLLLAINQVIYDAEEQASKKPAVKSWIAKLKKAACDADDALDELHYEVLRSKALRRGHKINSGVRAFLSPHYNPLLVKYKIGKRLQQIVEQINKLVLQMDQFGLLDCSMPVDERMQTYSYIDEQNVIGRDKESNEIIHILLSAKSNELLILPIVGIGGLGKTTLAQFVFNRVKVKAHFQKHIWVCVSEEFNVPDIVKRIIDTAIGNDCGLKNDNLELLQQRLREELSQKRYLLVLDDVWNEDEQKWEALKTLLGSCGMGSAMVVTTRNLNVALIMGTVHPFDLKSLSPEHSWKLFHREMFDRDVVESTELVEIGQKIVKKCSGVPLAINNIGGLMRRKHDARDWLAILDSNTWDEENNILPALWLSYKHLPSYMKQCFVFCAVFPKDYEICKDRLIHLWVSNGFIPSKETSDIEETGNHVFLELVSRSFLQKVKTGFLFSMEKYRYRSSDVTMCKMHDLAMSISRYECFALLDLAEIKKIPENFLKYLEFKKSPCRVIGFNIDGDLRLSVEPAFMKHLRYLDLSGSGIEELPEATSALYNLQTLMLNNCVVLNKLPESMKYMASLRHVYLDRCSRLQSMPVGLGHLTGCGIEELKYLKLGGKLNIFNLIQELAFCWSTSEIADLQVHPAEDGQLYRAEDFDVLHALKPPNGLKVLKLRYYMGIEYPTWIEDGVTLQNIVKLSLTNSINCIKLPPVWHLPLLEVLRLKGMESFKYLCSSYSRDKECGHNLVAFPKLKLLSLVNMESLENWQEHGVEQVMPITFPALDAMEIFDCPKLTTLPNVPMLRSLSVVGNKILLGIATNITNLSYLYLRASRGRSWRLRTLCYGYIDEFLGSIDAKEHLLADQFLSWGSLTKLHLEGFYTLAPEDTQNRSSPMMSVQNLDLTSCDCFIQYDKMQSSVWFWKSFVCLEHLTLEYCDTLSFWPEEELQCLTSLKKLIIVNCKNFTGMPPAKLSLKPSAGCPGVKTLPEGLQQRLHSLETFMVEDCPALARRCKRGGEYWDKVKDIPDLDVTCEQRSAWRDAARAIIPKCAEAWFRRASSSRQPDN >OGLUM05G15400.1 pep chromosome:ALNU02000000:5:18295436:18299704:1 gene:OGLUM05G15400 transcript:OGLUM05G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELASGAVSSLLGLLQKEAQLLGHVGSDVEFIREEMESMNSFLEHLSRKGHLAGGHDKQVRTWMKQVRDLAHDCSNCIDDYLRSGDLAVHLARGGVRRYIWWTYWLVRKMHDQQNAALRLRELRDRVSDVGKRRLRYGVEIPSKAAVAAQIPSSSTLSQGGAAVPEAAEDEDDDDDDILNQGAAAMAGSPDLRRRALEPHTLEDFCAEKLFNWVNASREQKSRQQGPPSIPSISIVALDADASTAAAQGALELAAAHFDKSVSINLQALHHPWDLPLLPQEILFYILFQCKQQGTDQGKDDDGKRREALDNRRNTYIEIWTEISKINIHDKIEEVKSKIGEVSGTTEEVENKNTEETKRLKATADIPLDKSLGMLHQALCLMLNKKSPNMIGRPLEDIMQKTAMMLKQHMEAVVPEPSIQLDVIQYQDILQEVFLDSKPPQVQETSTSTATTLGEDHIKEILNNHKITLNNHRIALDIIRELLHCPQLPEGNSVKEQAKGVLLGGCDQNSAIDTATTETEETKAKGTTTAIEESKEKVGNMSPAIKFSLFIKGIVDKIKAFLESERTLFILIDDSKYISQWEGIKNALNLLQACSNGSAVVVITKNSYNAKEFCTLGEPITCSLVGLYHDIVLKITSQRESEGGNNNSQIFHDILEKCDPNEYCMRMFAHALYANPNRSDEELHRLHGSLQVSENSMDTYATIAKMIFKFSYRDLPREHKTCLLYLAIFPEGHKIKRSTLIERWAIEELITKEDWPTVVRHGKRCFEALIDRWLVTPVELSAAGKVKRCKVDGLVHDFITQIAKKEHILDMRLSQLRARHFSIFSGLRLRASDTIDTVVEKLPRYLHKLRLLKLLDLEECQHLDKNHLKAICSMILRLKYLSLRKTNAADLPREINNLHELEVLDIRQTKVSECATRGIVLLKLRRLLAGQVDPSTSHEVVKPLCSAVHIPRKISKMENMEVLSNVKASSRNGAELKEIRKLGQLRKVGVVINNNKDHLTNLLWAISDLTECLQSLSVTIDGTRNEGTPTNQELLPDEQYKHLINPPKVLESLSIDGFTDIVQLLTLFAKGSSELAKVTLRRTLLKKNDLVHITTLSKLCCVRLRQNAYTDKKLTFEKEEFPHLKNFLVEQLDETDMINFKKGAAPELEKIVLFRTRIKHLFGVGALPNLKELELKENEYLVLLPEAGTVSAIPVILEDWTDSTEIIVHKDGATSAEQSTLTFKKEEFKHLKYFLVEGRHKQTGIKFEGGASEIEKIVLSNTNIKSLVGVNGLSKLREIDLKGNGTLLSLFATANHITKVTLSDTFLKQDDLQFLAKKPKLCWLLLLHNSYVESHLTFNKDEFPKLKFLIIKSSNISDINFAKESACKLEKITWTFTELKSLCGIDNLVELKELEFNGESVPVQVRRDISAHNKKLIHNKT >OGLUM05G15410.1 pep chromosome:ALNU02000000:5:18304840:18305445:-1 gene:OGLUM05G15410 transcript:OGLUM05G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRAFFSRKGRGNSSGRSRSMREAAMNVDWSPRPSDLAAAAAAKPRPPAAEDETERVFRKFDANGDGRISRAELAALFRSVGHAVTDDEVARMMQEADSDGDGYISLGEFAAISAPPPGDAAAAEEDLRHAFGVFDADGNGVITPAELARVLRGIGEAATVAQCRRMIDGVDRNGDGLINFEEFKLMMAAGAGFGRIAS >OGLUM05G15420.1 pep chromosome:ALNU02000000:5:18318287:18322062:1 gene:OGLUM05G15420 transcript:OGLUM05G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECRAEVVTGLARPPTTSSSTWIWWWPRRGCRRPPWGDLGGESEPGAAAGGGFIGEYSTCNPISESGSKKRKFVSLTTTDTTSQSRTKHYKSKAPMESQEMVRVAASAKVCTEHGGSAQVDLQAIVPHSKSSTTASVRLTSGKAIVSVSAEEPTKNLPKKKAGGALILLPWETKKL >OGLUM05G15430.1 pep chromosome:ALNU02000000:5:18322342:18323326:-1 gene:OGLUM05G15430 transcript:OGLUM05G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSTRESKASPVPYRVGPLEYQPAVMCRCRPPAKAARWISWSTDNPGRRYYKCQNAWQGGCDFWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKEVEQSRDKVEVQSKAIDDARGVVAVKNAEIMCLKARNHKLEKERIMCGVMYVCAICGVVWEEETSSQGANGPEIIGFAPLRE >OGLUM05G15440.1 pep chromosome:ALNU02000000:5:18324649:18325062:-1 gene:OGLUM05G15440 transcript:OGLUM05G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALEGGAAGGAGGNRSPPPPTPGQQEEASSSSKNSKVAGWSKEEDDPIIGGGGGEKEEPTPSPVCLPLFPLTPGFHHQKQAQAPAQAPPPLAITPGFPTPEFLVLFPLTPGLRGCDLKDNAAAMDVDSEAVRRSLS >OGLUM05G15450.1 pep chromosome:ALNU02000000:5:18326756:18327474:-1 gene:OGLUM05G15450 transcript:OGLUM05G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLLCAYVMLGRYTKISAKRHVINPLFLDIHCWSLGSSPTAEAKCDSPAVLVVAYAMLFLVMQQRCRSCFLQLQKSTFRPFRPAYLAGDGCCRRLLLRAEQPEHGGDHVSGGERQLLLQHQHLREQQRAGGDQLGAGQEQGGHERPRSSHPPPASSPPMPDQAEEEDGGGDGDDDGNGGHEELPVICRNDPHRHSGSAAVSSALYVDVLIDLILWGRGF >OGLUM05G15460.1 pep chromosome:ALNU02000000:5:18328325:18328846:-1 gene:OGLUM05G15460 transcript:OGLUM05G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRTMIAPLLVLNLIMYLIVIGFASWNLNHYINGETNHPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRSWGAHSLAAGAASALIAWAITALAFGLACKEIHIGGYRGWRLRVLEAFVIILAFTQLLYVAMLHGGLFSGNHAAGAGGYGGDYPADHHHKPAAAARV >OGLUM05G15470.1 pep chromosome:ALNU02000000:5:18331379:18335935:1 gene:OGLUM05G15470 transcript:OGLUM05G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLLPYTTTSPRRRLPFPPTRKPHSAAAAAAKPPTTLTTKPLRLARPLASSSSSPPPPPPPEEAEPKDPIALAFARAAAYKKERDSPPPPPPPPTPAPPPQPPAATEEDSRSSKESFARAVEYRNGNGGGLGGGGGSPLLGASPRFGQSTFSTEDGAFGKFTNKKEEYEYDETDFLGLDFFEKKSYKGPPPGLAPAADPFPDKDFPEVEIIIGDPSKFGKTRRSTEVQPADDSEPEETSRSTTEEKKEENKPDETPPSTVTEPEEDEDEYKPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNSQNMIVDAKTKAECTEALKEGDELMNTGRLKQALPYYVKVMQAVDFKTELHGMAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFMFSFQAMDFMKVNSSPLPRNTGYEKYFDKFGGQKNYYAALDEPEMGIDQIIPYMLFLVSPIFLVAFVALRKSFQL >OGLUM05G15480.1 pep chromosome:ALNU02000000:5:18345845:18349141:1 gene:OGLUM05G15480 transcript:OGLUM05G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSKEVHKKPKDPLMTPPSSAKKRGFRDDGGGGGGSRPRNRGGAATSLTPASVPNYMRGTSSSDAKVGRGAASSASPRRRPVRVVTRGKVLFPKVSAAGGGGAGLGRATCSSTMKEAKFPDALDLAPGATDAEGPAATRVCPYTYCSLNGHAHSPAVPLRSFLASRRRLIKTQQSMKLRGVSAFRRGAAHQRPEDTNGGGAGGGARVAPPPPLIDEEALGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRKMEFVVFDRCGADESDEKGKNDLDVCGNGGDDDGEARPEERFGAFRDSTSECSDASTSGEFVEQLPWIRYQGYEDDSLDGEFSDEHGIRDEEITGPVVSEFQEGQDEEGTSGRLGDGCEDEAAQQQEENDEQNISDFARESEIASEHEGIDFRVEACEEQERVSEDNILDAAHQTEVCREQEMQEEKNFAAVCKLGIPEQEVAETAEDIPDECCKEETSMEHDEGGDGINMESESISEVAEHPNVEDEENMQDDGGSEMEISEEIISGFGCEEDFSEEVTSKYVSEGEISDSGAIVSLHVEMQKQPVENHAFEQDDSSTADNAFHQDDSTAAFEQDDSSTADNAFHQDDSTADRAFDQDDVSADGYDGSQKELDIEMGEFRVASEEAGIQEANRDDPLDCTEDVNMELGVFLCDSQDASEGSGIAQESSQEGNSACFNDGAQMVPDITTQTMEDASEESDTAQETTLDDNSTPLAVCAQMELGIGTSELIEGSSDVTEESGIAEETCQDDNAGYFSDDDSQKATVITTCQLQVDFEENVIAQEADDNSTGVRDDAQNEPEQTCELATSEEFHFTQETIQNHGALEESVVIASASEDAHEESDPTQDGHEEDYSVGINAGAQKEGELDTSESGGASEGTTVHQEDDGHVNTTDLNGSAQKEITVSILDDCEELCSSEENNQSSNMLIPEFCNNFSAEEPQNQDSVAKESSLDDICNAFSGMHLKGDAYHDPTESMTCPGNRLIIARRRRTPEEEEYLRGFNPRAPNFLPLELDPESEKVDLKHQMMDERKNAEEWMIDYALRRAVNNLGPARKKKVELLVQAFETVLPHDEEEKKDITPTRPVQACN >OGLUM05G15490.1 pep chromosome:ALNU02000000:5:18370540:18372472:-1 gene:OGLUM05G15490 transcript:OGLUM05G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDFTQPSHLLQSTVETTVLASPELDSLPMANLNPNPLCFLRQGHIVHLGGNLCVPRVDLTIPQRPVRRHEEFCLALVEPPVPEQDWDHHLSAPIPTTVAPVWKEKVQVSVQDPVQDPKVQEFLAKLDKIARSENLAHPFFYPMSGLNDKIDLLCQEKGSMHQFIASTSVPTAIHATNPFTALVLPKTTIFEFAPLVGQEVKDWALVPTKSDEDTMSSQAQVALEVLEVMPLSVQSPSSPVCQAPALPVLPKAPVKKRDGKTLLYNPYRRQSARLQQSKEEVQLQVDPRMGIGKPRGKSAKKLKELASIAKIFDGGNIKESDFAEYAPDDNHSNSSPSECSISLLQKMGMDMCGLAPEEVAESSQGVQEERRCPGPTWRKDDFNLQAVFVRPMFHIS >OGLUM05G15500.1 pep chromosome:ALNU02000000:5:18373863:18374081:1 gene:OGLUM05G15500 transcript:OGLUM05G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNSANNGNATNHLFAVEFDTILNSEFKDISGALSARGGGQAELQKGAGKKACSCGSSQRQGQPGGGRAA >OGLUM05G15510.1 pep chromosome:ALNU02000000:5:18376032:18381401:1 gene:OGLUM05G15510 transcript:OGLUM05G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVPVASMATATAPAAVAAADNGGHGSSSASQNASGSAAMEKGSSSTPSAANTSESMVGQAAAATTTAAVPMTNFQLFGSMVPVPVASMATATAPAAVAAADNAVMEKGSSSTPSAANTSEPMVVQAATAAATTTAAATTAAVPMTNFQLFGSMVPVPVASMATATAPAAVAAADNGGHGS >OGLUM05G15520.1 pep chromosome:ALNU02000000:5:18399104:18401182:1 gene:OGLUM05G15520 transcript:OGLUM05G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVDTKTIVQQFKDWEIQILVLLSFALQLLLFFFGGLRRRTSRVWLRIFLWLTYLSADFIAVYALGYLSRHLPTTTNDHICCQTCPGKNLLPSQSHHDLTLLWAPFFLVHLGGQDTVTAFAIEDNELWSRHLLNMIVQVFGMYFLEGNSGNFSYEKSLDAQLNFKTAEIELSMMYDEIYTKAKVIQTGKGTILRCVSLTSTVVAFVLFILMSGSKQRYYNSIGVDVAITYTLFVGAFCLEACAIIIAMVSPRAWASMEARGGGCNSSLLTRATCRSSCSSMLPSIHPEKRLWWSNSLGQYNLLSSYLADGKSRITEMMAIVGGKEVWSKFRHTRHEPVTDEMKELIHQEIDNNKLKKPLNLSPQLFHVQAAAASFEITLFKMHLYTDMYLYKVSRQLDTIRSSGRDDDIQEKEVHSLMHTCEVISDYMFFLLVTQPAMLPVQRNVYDLLALVLNDAGYARTSSKEQFLETVASGEYSWDQPFMDFRAAPPDDMLQQGWQGLHAALQVMVQVWVRLLIYAAGKSRPEEHARRLSMGGELLTFVWLLMAHRELGDIYNIQFQLVEKEKDGSVRTGPNSTITTDIYTLFRLGHPIDMIK >OGLUM05G15530.1 pep chromosome:ALNU02000000:5:18404505:18408705:1 gene:OGLUM05G15530 transcript:OGLUM05G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQDVRTKEAEEERMEENNLLHFLDSPNAHYRRKCEEYVSAHDGEAHCDASDLANARERLEHLLKQPANKFCADCGTPDPKWAALPFGALICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAGSGGNATFVTDPQFSCPLRLNTKHAPDKNQQQQNCSARHGFGHAFRNSWKRKDTDNKGLKKMTDVGMVEFVGLIKVDIRRGTNLAVRDVMSSDPYVMLNLGHQTMKTKVIKNTLNPVWNERLMLSIPHPVPPLKLQVFDKDTFSSDDRMGDVEVDIQPLIAAAREHESSAAIASSVEVTKLLASDDGTLARDSVISVVDGKVKQDIALRLQNVEHGELEIELECVPLSQ >OGLUM05G15540.1 pep chromosome:ALNU02000000:5:18412925:18416755:-1 gene:OGLUM05G15540 transcript:OGLUM05G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGSAVTVKMASDGMWQGENPLDFALPLLALQVAVILVITQGLALALKPLRQPRVVAEILGGILLGPSALGRWGAFRRTLFPAWSAAALDTVSGLGLLLFLFLVGLELDFRSVRRVGPRSVAIAAAGIVPPFLAAAGLVPLLDVAVPAPRRASFLPLCVFVGAALSVTALPVLACILKELGLLGVPFGDTAMAAAAVNDVFAWVLLALALAVSGGGGGGPKGPPLAPVYILASGASFVAFMLGALRPLMARLARRLGPDRAGDMACTGAVACALLAGAATDSIGVHPVFGSFVFGLAMPREGGLAERAGEKVAPLVSGLMLPLYFATSGLHTDVGNVRGAAAWGMVALVVAVAIGGKFAGTFAAAAGTGMSRREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMTALYRRTPTATTPESDDVELKGGDACPA >OGLUM05G15550.1 pep chromosome:ALNU02000000:5:18424263:18424700:1 gene:OGLUM05G15550 transcript:OGLUM05G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYPPVPFNGRVAVGDDVLPGGAAVRAGWFANYSAYAMGRMERLWGEDCLEFSPERWLRDGDGDGGEFVAVDAARYPVFHAGPRACLGKEMAYVQMKTVAAAVLRRFSVEVAAPAPAMESPPAYEMTATMKMKGGLWVRLRKRE >OGLUM05G15560.1 pep chromosome:ALNU02000000:5:18436517:18439971:1 gene:OGLUM05G15560 transcript:OGLUM05G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNDIEDLFGDSISSESESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKRKKTETVDVDFKNKLDSALLEIYRDNVLNGMKRKLYSHKSKKNPMKSAATLDTKLTRFSVKYFSHVLASLSEHQREIISKTCFKTLLLFEKCPVPYKFALWVAQRVDVTSCDIIVRDKVILLSKESVHIVLGLPVGGLPISSDFEVGKQILLDSFGLSSLPSVKYFGEKLIKNETMSDEQILMCFMIVSFKLLPVPKFFSST >OGLUM05G15560.2 pep chromosome:ALNU02000000:5:18438013:18439971:1 gene:OGLUM05G15560 transcript:OGLUM05G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPNDIEDLFGDSISSESESSPNDDEFCDNEDSESSYVGISKDELLNSLKRKLSKKKNTDEGTSKRKKTETVDVDFKNKLDSALLEIYRDNVLNGMKRKLYSHKSKKNPMKSAATLDTKLTRFSVKYFSHVLASLSEHQREIISKTCFKTLLLFEKCPVPYKFALWVAQRVDVTSCDIIVRDKVILLSKESVHIVLGLPVGGLPISSDFEVGKQILLDSFGLSSLPSVKYFGEKLIKNETMSDEQILMCFMIVSFKLLPVPKFFSST >OGLUM05G15570.1 pep chromosome:ALNU02000000:5:18446078:18447487:-1 gene:OGLUM05G15570 transcript:OGLUM05G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRIPAVAAAIAIASLILVVTPEMVSSGFIPRFKLSPKANKQIRDFFKNHATDLPGLAADAIPSKGEGSSSDPSQAPATTAGTYLITVGVGTPPQYVYGAFDISSQFVWVPCEECMSPYSCPSDKTGVYKALRQNLYACGDYVCQTTVPQTCSQPQGPCPYICRYGGAAGTETKGHLGLQPFTLGDNTMPVNMIFGCGLEPETNFGVIGLNRGNLSLISQLKLGRFSYYFTPEYDDTAAGNASFILFGEYAVPQTSNPRYTQFWSYENGAYSYLYLVGLSGMRVGSNNLNMLGAGSGGRDPLVAYLSTSVPVTFLEKNAYELLRRELVSTVGSDTVDGSALGLDLCYTSQYLAKAKFPAMALVFWDGAVMELQPRNYLYQDTATELECLTMLPMAAPGGLSLLGSLIQTGTHMVYDIQGSRLWFESFDQPSKSSNRASSASTAALPRIISTAAAIACSVWCVVACMFV >OGLUM05G15580.1 pep chromosome:ALNU02000000:5:18477408:18480023:-1 gene:OGLUM05G15580 transcript:OGLUM05G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G19410) TAIR;Acc:AT5G19410] MEDLSLSSELDPALLSTSTSSSSPPDSASPSFSFYHPSPPNYTLAVSNLSCRDPRRGSGGAGLLSSLLGSFSTSSPPATGGAGLLNNVSFTASSSQILAVVGPSGAGKSTLLRILSGRGTGGEIAARPHAVVSVNGRAVTSRARLRRMCGFVTQDDNLLPLLTVRETILFAARFRLRSAVTARERGERVEALLQELRLSEVADSYVGGCGGAGGAPRGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLSLLRDVARARRQVVVLSIHQPSYRMLAYISSLLLLSRGAVAHFGTLKSLEHSLSRLGHEIPMQLNPLELAMEVTEQLEADHARFGAALATTIHQHQHNKVIDDEDESSGAGEHEHEHGYYCSRAVEVGALAVRCWRTMHRTRELFAARAAQAVIAGLGLGSVYFRIRPDPEGVALRLGLFAFSLSFLLSSTVEALPILLHERRVLMREASRRAYRLSSYVVANALVFAPCLLAVSLLFSAPVYFLAGLRATTQAFACFALAVWLIVLMASSLVLFLSAVSPDFVLGNSLICMSLGVFFLFSGYFIPRESIPRYWAFMYYVSMYRYPLDLLLINEYGGSSSGRCVAWAGGVCLRTGGDVLRGRGIDEGMRHRRRKWNGSGHVDGGCCGGKSEMARWCERRWSLACRSAAMRMTSSRRAYGSEAGVVRGQVIIVVEVPCGHVDLVVQDLGVVVGPAAGSVADNRGGDGAEEPLRLDPS >OGLUM05G15590.1 pep chromosome:ALNU02000000:5:18480190:18499393:-1 gene:OGLUM05G15590 transcript:OGLUM05G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDASSDLGGARPGAVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESILIWFSGKEEKQLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLITRSHQRKWRTESRSDMLSSGTTSPRTYTRRSSPLSSPFSSNDSVHKDGGENYRLRSPFGSPPKVGLEKAFSDIVSYAAPPKPFFPSDSNAGSVHSVSSGQSDNTNLHSRGIPMDAFRVSLSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGILGGGNSRVGNSSGVKMDCLIPKPLEFAVKLDVQNISCGGRHATLVTKQGEIYSWGEESGGRLGHGVDCDVPQPKLIDALANMNIELVACGEYHTCAVTLSGDLYTWGNGTSNSGLLGHGNEVSHWVPKRVNGPLEGIHVSAISCGPWHTAIVTSAGQLFTFGDGSFGVLGHGDRQSLSVPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKIFTWGDGDKGRLGHGDKDTRLVPTCVAALVEPNFCQIACGHCMTVALTTSGHVYTMGSPVYGQLGNPQADGMLPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPTLVEALKDKQVRTVVCGINFTAAICIHKWVSGVDQSMCSGCRLPFNLRRKRHNCYNCALVFCHACSSKKSLKASLAPNPNKPYRVCDSCYSKLNKGPETDRYSSAKRGSVIQGFNDSVDEELETKSNAQLSRLSSLESFKHMDSRTSKKNKKFEFNSSRVSPIPNGSSHWSGLNISKSFGSSKKFFSASVPGSRIVSRATSPVSRRASPPRSTTPTPTLGGLTSPRVVDGVKPNDSISQEVLSLRSQVENLTRKSQLLEADLERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAEKLPEGAGLVKNSRLPPLPGISIPTDISVASESLGSPRSSGEPCSNGSNGLLVSNGPTSVRNKLSHPEIPKNGTRLPDSDSKHESEWVEQDEPGVYITLTALPGGTRDLKRVRFSRKRFSETQAEQWWQENRARVYEQYNVRVVDKSVGSIDNDEERMETVRASQTKLCMVCRDEHA >OGLUM05G15600.1 pep chromosome:ALNU02000000:5:18489750:18496046:1 gene:OGLUM05G15600 transcript:OGLUM05G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWPAWWRRGRWRGGRHCSGWWRESQSSGGPTGKTQVAKARFPIRSHRLDSTWRPAGSVAEAAWVSALGSGGGVVFLVVGQANPARELLPFFVGSFLADWRRWSVNKENSDCRGNVVPCAGSHRSDSTGKPAGGVVKVAWVSALAKDWKPASGGASVGCGGGHSINKLWLGHITIYTMTKTSA >OGLUM05G15610.1 pep chromosome:ALNU02000000:5:18506438:18508066:1 gene:OGLUM05G15610 transcript:OGLUM05G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLRLAASGSGRNGTGQKWRGDGDGGGRKEAAADTRPKLDWVFTRRGESPQGNTSKEETATTGVDVTDPQRPGRAFVQDSLKKCRTSKKLEQEC >OGLUM05G15620.1 pep chromosome:ALNU02000000:5:18520900:18521277:1 gene:OGLUM05G15620 transcript:OGLUM05G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGELRVEARAREREKSRGEAEEEVDSHTCCKSTQVAGVHPGGAKWQAAAGTTVTPRLRARTRGAPASALPGVTSPRRTPDRRGRRARAAQQGEASSRATPLRVGRPRRRSAVVVLLGRQR >OGLUM05G15630.1 pep chromosome:ALNU02000000:5:18522447:18523112:1 gene:OGLUM05G15630 transcript:OGLUM05G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGMSPCLCSPPVHGEEAAAAAARLVFWGGAASQLVASAATTAGDVMAELPGHLVCAGDSFFIGLPIPALPAGEELAAGRTYFVLPAARFSCQQALTAASLASLSPSPAAKVSLAGGASSPFEYVTGDDGMALIRVLPEFIERAITCVARVAGGGKAGGEAAADDQLCSTPELRKHYMQLVGARQQRPWSPGLETISEAEKRRRRRSPVRLVALAKAASR >OGLUM05G15640.1 pep chromosome:ALNU02000000:5:18537277:18537708:1 gene:OGLUM05G15640 transcript:OGLUM05G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAIPDCDDYDQKSRTHLSWLKLWLCPMVYKDAAYVDSKYSCAPVKTSSKEQTPTTHVLLHAERVLAVSVVSRSAT >OGLUM05G15650.1 pep chromosome:ALNU02000000:5:18537772:18538697:1 gene:OGLUM05G15650 transcript:OGLUM05G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLVYHHGNDRAAFMAARVLFTDGMSGSIPSGIVSVAPFFNFLGPSTSPVGSSHLPYYHQHRTNTFIASGSGDDDSAPHANIGNTTNGGSNDDNNNNGNILCNPSHQTKRPNTTLSLSRLNVASSPSKAWLDEGIGFCKLDHLNFFYGHTNRYRTDAKDRVLNSTYLEHCSQLVHGTIDHVVAKFQEVANHFIQNH >OGLUM05G15660.1 pep chromosome:ALNU02000000:5:18539428:18540390:1 gene:OGLUM05G15660 transcript:OGLUM05G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSENVAEGANASDSNVVACRGGTHASTSFELQCHQQTRGTSNIIINSATMHLQQALAPTPVMMAPHHLPTLQTPQMAVAITTTNTTNDNFLLNLPYPCDTNQAGEHQSITMALPPSKSRLSSCDATHARESSITSQPTFKRWPTSSSNKATKICVTVHQRHYLAYSWDNVQPSSCLKLSLGLVVYGDEANIDSNSSCALVKTTPEERMPMVLILQHAMGVLVASVAS >OGLUM05G15670.1 pep chromosome:ALNU02000000:5:18555486:18555962:1 gene:OGLUM05G15670 transcript:OGLUM05G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATGPPFLSEQVVKINDIAIAADGIELEGGSAAGDTAATPRPTSSPASSRSPPPPPAASMSPSSSSAFPDDEPDSGFSPDDPDLLRNHPVPDRLLVVSAGVAANLLFAQVLTVSVGFPRRRTGLRLLA >OGLUM05G15680.1 pep chromosome:ALNU02000000:5:18574598:18575336:1 gene:OGLUM05G15680 transcript:OGLUM05G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKAGGPVRRCEDCLQYGHRTRDCKNNKEGTSSTKEPRQQRVRRNRRGSRDMEEEWPYPLLSKEIDARHRAKKISDGNSCSSLAVLIPRTAGWWGIDPRWKPRCING >OGLUM05G15690.1 pep chromosome:ALNU02000000:5:18578768:18583677:1 gene:OGLUM05G15690 transcript:OGLUM05G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKNAGKASPCLLLLISVGCFFATYNFLTMVGHGRSRDAGPRKILGGVGGGGSDPSKRFHVALTATDALYSQWQSRVMYYWYREMRDRPGSDMGGFTRILHSGKPDGLMDEIPTLVVDPLPEGADRGYIVLNRPWAFVQWLKKSNIKEDYVLMAEPDHIFVRPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEENGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNISLKMKEDVETDKAFGWVLEMYAYAVASALHGVHYSLRKDFMIQPPWDAKSDNTFIIHYTYGCDYTLKGELTYGKIGEWRFDKRSYLRSPPPRNLTLPPPGVPESVATLVKMVNEATANIPGWDEER >OGLUM05G15700.1 pep chromosome:ALNU02000000:5:18578799:18578993:-1 gene:OGLUM05G15700 transcript:OGLUM05G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRRRRWVTSGTPGMEGGERRGSRLGVRWGFAGDRPRLGWGEAVEATAEENLLRYGRRDRAR >OGLUM05G15710.1 pep chromosome:ALNU02000000:5:18586544:18591664:-1 gene:OGLUM05G15710 transcript:OGLUM05G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAEKRQLSALDLVALLCFPLASTSNRPPIYAIADPSHAPAPSHRGIGGSVLQLGTLDLVGLLLLSSGLYVEK >OGLUM05G15720.1 pep chromosome:ALNU02000000:5:18592108:18593191:-1 gene:OGLUM05G15720 transcript:OGLUM05G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAPAASYHHHRAAAAAAAAAEPVFPLLGTGQCALDADTAKSSGAAAAAGVPPGSASAIHFWQSQPTTAAGAGGGSADKKPLPMLDYGGIGGPGGSGAATCHDCGNQAKKDCVHHRCRTCCKSRGFDCPTHVRSTWVPAARRRERQQLAGAASSPPTSSAFPAATTASAKKPRLLGSQTTTTTSRTSTSNATTPRSFDTSSSHQVASFRDALPRHVRAPAVFRCVRVTSVDDGDDEFAYQAAVTINGHMFRGFLYDQGADDGRGGMASTSNDESSHGAGAAVPSISDLHLGSASAAVPPHLYSGGSGGPLILGGLGYGNTMN >OGLUM05G15730.1 pep chromosome:ALNU02000000:5:18610525:18611235:1 gene:OGLUM05G15730 transcript:OGLUM05G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPRKKRKAPAPAPPPPRRPPSPSRLEFRSPADGAWYEARVAVQGGALRVMYELFTEEQDEWYDPRAAAAAPLDAAALRARFRAPSTPLDDARCRDLRPGDPLCVACALAGGVELKFFDAVLESVRTAPALLLLPPAGCSSRETPAKTQVSPAAHETVDGEERCACRFSVRWAEGPLAGAMAEVGVEQVCCVRSTTPVRDPVLAEFLDSVLSKSPGDDGEGNATAASRSSGAVAP >OGLUM05G15740.1 pep chromosome:ALNU02000000:5:18619069:18620739:1 gene:OGLUM05G15740 transcript:OGLUM05G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTRSAAAAAAACRRSPPAPRHLHVVVVVPVPSPPSAMPPLPSPFSDGGGASAVRDRRLPPPAAVDAASSPWTMASLFPTPNLANLKSPTLARSDGVSSTLEEACRPPLSPGGHGRRGGARRWRRRRGPALGEGSNSCCCCRHVNSATSAALVGHVHRLRWGVLRVQARPEAGGDVEGNRPRVLARRRLHPDHAVRSVLPAQHHQRRGRNPPAQTQADGA >OGLUM05G15750.1 pep chromosome:ALNU02000000:5:18619321:18620271:-1 gene:OGLUM05G15750 transcript:OGLUM05G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPLASAPEDFAPAADGAGLVARSGLHDLGAVGAAPARVVDCLLHHHRPLVGLAHAERPICPRSPNGNTEDAGLGWPLGPHLSLWTCPTRAAEVAELTWRQQQQEFEPSPNAGPRRLLHRRAPPRRPWPPGERGGRQASSNVLLTPSLRAKVGDFRFARLGVGKSDAIVHGDEAASTAAGGGSLRSRTAEAPPPSEKGEGRGGIAEGGEGTGTTTTTWR >OGLUM05G15760.1 pep chromosome:ALNU02000000:5:18621737:18626792:1 gene:OGLUM05G15760 transcript:OGLUM05G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLRAWVALRPSPVKNLVGLTIIARPHVYPGPGALRVKFLGNLTVLLVVPARPTLIWKEEAAFDASWLNVFITPALQQLAICMLYGVPGSRSTTVGSFLQQWGVPPLNFEQSPCSVVCAPTIDQMTSFFAHVHPSCHPGAWMCRNC >OGLUM05G15770.1 pep chromosome:ALNU02000000:5:18621744:18626058:-1 gene:OGLUM05G15770 transcript:OGLUM05G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGAGSSRSVAPCCCAVLLAAALLFSAPATTEAYDALDPNGNITIKWDVMSWTPDGYVAVVTMFNYQQFRHIQAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGGTPHCCKKDPTVVDLLPGTPYNMQIANCCKAGVINTFNQDASNAASSFQISVGLAGTTNKTVKLPKNFTLKAPGPGYTCGRAMIVRPTKFFTGDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNNGTSPGSCVNENSPYLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVAQHPNFNNITQLFSFNYKPLTPYGSKINDTAMFWGVKFYNDLLMQAGPLGNAQSELLLRKDSKDFTFDKGWAFPHRVYFNGDNCVMPPPDAYPWLPNASPLTKQPLTLSVLRSTREQMPPMVWYKYPREELKDAVKWKDGWFSHSFWFVGQLHFQASLSLNLTILTIFFHMLVVVCSASKQCHPK >OGLUM05G15780.1 pep chromosome:ALNU02000000:5:18629543:18636507:1 gene:OGLUM05G15780 transcript:OGLUM05G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZYL6] MSLHQRPHQKPPATDSLPASAAAAAAPSRPLPLLTLPYLFSLLALLLFLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >OGLUM05G15780.2 pep chromosome:ALNU02000000:5:18629543:18636507:1 gene:OGLUM05G15780 transcript:OGLUM05G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZYL6] MSLHQRPHQKPPATDSLPASAAAAAAPSRPLPLLTLPYLFSLLALLLFLALLFPWGPPRHSSAPASPWRGYTLQEAAAFAARAGNGTIVLAAVSGPYLPFLSNWLITVRRAGRADQVLVVAEDYDTLERINAAWPGHAVLVPPAPDAQVAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYIVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKKQKANDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >OGLUM05G15790.1 pep chromosome:ALNU02000000:5:18639981:18643304:1 gene:OGLUM05G15790 transcript:OGLUM05G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfoquinovosyldiacylglycerol 1 [Source:Projected from Arabidopsis thaliana (AT4G33030) TAIR;Acc:AT4G33030] MKMAHMVTNCSFSPSPAVKTYSKSPGYCCNVTQFQSSKCSNLVLKSCTATRPNRPFVARASAAVQGQTQTPLTGSQQASGHSSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPIASIQNRIRRWKALTGKTIQLYVGDICDFDFLSEAFKSFEPDSAVHFGEQRSAPYSMIDRSRAVFTQHNNVIGTLNVLFAIKEFSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLEVQTKSVPNPRVEAEEHYYNAKHTKLMELGLEPHLLSDSLLDSLLNFAVQYKDRVDTAQIMPSVSWKKMGAKPKTVSV >OGLUM05G15800.1 pep chromosome:ALNU02000000:5:18650555:18650816:1 gene:OGLUM05G15800 transcript:OGLUM05G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQPKLLWAFVTSSFPWALALVTFLDIWIGLVWTDADADADADADADAGAARQRDSHDCTGDEKTATAAAAAAAPASRCGS >OGLUM05G15810.1 pep chromosome:ALNU02000000:5:18658083:18660080:1 gene:OGLUM05G15810 transcript:OGLUM05G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCRADSSVATCRSITAISPLPLSRRSGVGGRRRALPAAAREGDGGEASSAAATIERFAYDELEAATSHFADAALLGRGSHGAVYKAVLASGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSDSGAGAGADQQQQQHRPRLLVVEYMPNGTLYELLHSNPRPPGWPRRVRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPKRLPGDAAANAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGKVASLFDPRVAPPRDPVTRRDLAALAASCVRSCRERRPSMADIVDRLVVLSKAVSGKMWNGLAVVGNPCAVVDVQKTIAKRAAAAAAAGDRAASQRELTSALAFDDDEKKEEEDAPNAGALEEDEVPLVGAKKAPRPLKNGKMFSEPGARERRNLLELMARIDGVAGQRFGITRARTVRAASEPIEKDAAVLLLRRNQTVKVLGSEALSKADIFSSLDAKIKHELGKEQQEEAGKIKHELVKEQQEKAGNIKQESGEEQEKAGKTKHDAGKGHVEKAVGINLEAGKEQEKVEKNQEKEMKIQEKLGEIFDKAMKSEEKTGQNPGIEKKIQDTAEKKQEHDARVVQDKVEKIQDEAKKIQ >OGLUM05G15820.1 pep chromosome:ALNU02000000:5:18664362:18665562:-1 gene:OGLUM05G15820 transcript:OGLUM05G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQYGPEWPSEEKVKRREMVAPEARYIFVRQSSNAITTQNIMKQDSRLEFTHEACNEDRLIGFVHYRFVLEEDVPVVYVYELQMESSAQGKGLGKFLMELVELIACKFPQIGLEKNYEILCKAFESEAKSILEEGN >OGLUM05G15830.1 pep chromosome:ALNU02000000:5:18669673:18672566:-1 gene:OGLUM05G15830 transcript:OGLUM05G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRVAGGATPPPPPPPPPLDASAFTHTPYYCEENVHLLCKELIRSGISDPAGTDLYAVFISNEEKKVPLWYQKASHSGDGFVLWDYHVICIQSRRKNGEVLDLVWDLDSSLPFPCSFIQYVSDAIRQLSFGNSTYRRLFRVIHAPVFLRSFASDRSHMKDHAGNWIQLPPKYESIVAEDGTTNNLNEYITMSMDDVKDLESMADDVYSSKHGVVINETILPEFFSRLPG >OGLUM05G15840.1 pep chromosome:ALNU02000000:5:18674118:18678333:-1 gene:OGLUM05G15840 transcript:OGLUM05G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGGRDPRRGHAGPALSWLVERSTVRPATRSPPPEEGPSPTAGCGTRGAVRARALRWGGAGRTAVRRRRGARIRDGVDRRRCAGTALGLPPTVARAAGGWCGRRVGSGRRELAGLPAPLVRAGRQGEARNRQRGGVATRRRRAGHRQAPRRLARRWKQARAAACGGAAGHGRQWEEPRAGVSGAALIAAR >OGLUM05G15850.1 pep chromosome:ALNU02000000:5:18677683:18685898:1 gene:OGLUM05G15850 transcript:OGLUM05G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRLDCDGRVNLSVATSDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFCILNRWCWNLASELYRECLDHVLKRPFGITLRSRLQCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >OGLUM05G15850.2 pep chromosome:ALNU02000000:5:18677683:18685898:1 gene:OGLUM05G15850 transcript:OGLUM05G15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRLDCDGRVNLSVATSDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFCILNPSELYRECLDHVLKRPFGITLRSRLQCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >OGLUM05G15850.3 pep chromosome:ALNU02000000:5:18677683:18685898:1 gene:OGLUM05G15850 transcript:OGLUM05G15850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRLDCDGRVNLSVATSDQTLDSSMESERAAYAFLPQTPIKSTDAHLVEFSEAMREGKAAAQAEAAEWKRKYELEKAVKAHRHNTVTKGCSNCDKEKLEQLASQLTLETTSVDPTSCCGNHEICSRQILQDECPGTNKISHDKIAARKAPFKLSWGCNGDNNGQHKHDFVSFEKGDITTAERSNKQILLKWESPPQTVLFVTKPNSNSVHALCAEMVRWLKEHNNINIFVEPRVSKELVTEDSYFNFIQTWDNDEEMKTLHTKVDLIVTLGGDGTVLWCHVIYDSAKNEVDTEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTRVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPINSRGQAWASFDGKGRKQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPVA >OGLUM05G15860.1 pep chromosome:ALNU02000000:5:18688604:18693023:1 gene:OGLUM05G15860 transcript:OGLUM05G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT3G63490) TAIR;Acc:AT3G63490] MATAASLSATASSLLAPAPATTGAAAAQCCSSSSTALFPTPVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEQGEQQDEGQEQYDDDDYDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQTGIVHIPFGKVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >OGLUM05G15860.2 pep chromosome:ALNU02000000:5:18688604:18693023:1 gene:OGLUM05G15860 transcript:OGLUM05G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT3G63490) TAIR;Acc:AT3G63490] MATAASLSATASSLLAPAPATTGAAAAQCCSSSSTALFPTPVPSLRAYYPRLLLAFRRPAVAAVADPQGAVLEEEQGEQQDEGQEQYDDDDYDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEHDVPTAISLVKQMASARFVESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQSVKIAVLTQGEKIDQARAAGADIVGGDDLIDQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQVDFPEEDLIANFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGSESSD >OGLUM05G15870.1 pep chromosome:ALNU02000000:5:18694725:18702013:1 gene:OGLUM05G15870 transcript:OGLUM05G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSPNSLSAASHPTSSSSSFFHLHLQLQQPVPHLPFPRSLPLNLPVLRLARPLLPPAPLASSGSGGIGIGGGGDDDEGRDNAGGGDGGDDDASVNRREALFVLAQLGRKLESLPADLAAAIEGGRVPGEIVQRFADLEKSGLFRWLLQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFVKELDFVIADVVMAIVADFMLVWLPAPTVSLQPPLAVNAGSIAKFFHNCPDNAFQVALAGTSYSLLQRVGAIMRNGAKLFAVGTSASLIGTGVTNALIKARKAVSKDFEGESEDIPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRMLEPLLHHHKLVLSALCFAVRTGNTFLGSLLWVDYAKWIGIQ >OGLUM05G15880.1 pep chromosome:ALNU02000000:5:18703808:18704668:-1 gene:OGLUM05G15880 transcript:OGLUM05G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGTDPAPTWPDLASQPVDLGGGGTMVVVRTEDASGAWAEVDGAIGRPPAPGEAVTGDGVQGGGRPRVGEGRWHNGKAGSAWGGGCRYCGGDQASVPPPTSPLHLAEARPPLSGTVAWEEARRPTRSVEEAVDVATCEVDGSDVCRRRPLRVADAGSRKGGRRCARPRQAAHEEIGNVQVARGHGERGSDGA >OGLUM05G15880.2 pep chromosome:ALNU02000000:5:18703301:18703798:-1 gene:OGLUM05G15880 transcript:OGLUM05G15880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHTATCGTALPRDDGSGAGRACLSCEVEVASLGAMKLGNDNTLQFSRGAGVSFLRCLWQ >OGLUM05G15890.1 pep chromosome:ALNU02000000:5:18710935:18716596:1 gene:OGLUM05G15890 transcript:OGLUM05G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MASPGPAAGMQQKQEAAAAAAGGGDGAEWGRGMQKMEAVGAGGEGVGVGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >OGLUM05G15890.2 pep chromosome:ALNU02000000:5:18710935:18716050:1 gene:OGLUM05G15890 transcript:OGLUM05G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41710) TAIR;Acc:AT2G41710] MASPGPAAGMQQKQEAAAAAAGGGDGAEWGRGMQKMEAVGAGGEGVGVGAEQVAPPPRRPVAARKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRQLHNSRWDASLGHLLGNDYMSLGKDITLDGKFAGTFGLERKIDLTNYIRWWLPKKTRQSDTSKMEEVTDEIRAIESSMQRTEPYKFPSLGLHSNSKPSSVVLSACDILSQSDAFKSFSEKSTKLSEECTFSKEMDEGKTVTPVPATGHDTTAVNMNVNGLLVQRAPYTLPSVTAQMKNTWNPADPSADPLFWTNFILPASQPVTMATIATTTFAKNEVSSSDPFHGQE >OGLUM05G15900.1 pep chromosome:ALNU02000000:5:18718371:18719206:-1 gene:OGLUM05G15900 transcript:OGLUM05G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLRRIRGGGAMLVLVLLAVVASAQQEYEVTSSSSSSPSRRGAGEGHRPPPWAQQEYDVTSAATAGPCDAYLVFRSSPPLYASAVSISNLLNVTATAVTESNAVDPITPVAADPLVLAPIIYLGI >OGLUM05G15910.1 pep chromosome:ALNU02000000:5:18720683:18721483:1 gene:OGLUM05G15910 transcript:OGLUM05G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSAQGERLGISYSYCAGQTPANSAQVETLAGISYPAGQTPANAAQVEALGVSYPAGQTPEENLAKALARWREKLRAPGRAGLPPADYSYIKIGDVCDESAAVLSRLRELGASEPACVYYGDVTNAQADLSLGRFSLSGGAAARHIAESFTDDELDGVLDDGYDGDDGLEVPVFDEEGRRYDFRCGYNDDGFTGQYQLVGAGGDYQRLMANNNVVRDVAELGKGVSFLVFTFRSAALLTKHKWEEDHEASGALYMVILFFVSL >OGLUM05G15930.1 pep chromosome:ALNU02000000:5:18749952:18751920:-1 gene:OGLUM05G15930 transcript:OGLUM05G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G48870) TAIR;Acc:AT5G48870] MSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDVA >OGLUM05G15940.1 pep chromosome:ALNU02000000:5:18752627:18752909:-1 gene:OGLUM05G15940 transcript:OGLUM05G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAALGFVTLLAFCFLAPATLMLGYYHDSPELVVVGSGCSRLVETNSFIVQDIKVIILP >OGLUM05G15950.1 pep chromosome:ALNU02000000:5:18753854:18759306:1 gene:OGLUM05G15950 transcript:OGLUM05G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64890) TAIR;Acc:AT1G64890] MEKERKMEGERRVEATRRWVIAVGFWVQGFRLFPWLGVNFFLKDGMGVAASSLQILQASANLPMVAKPLLGLLSDAVPIRGHRRLPYVAIGALLQAISWLTIALWPAISLPVLTIFLLLSNFGASICEVANDAIKLGSKRPRPQGQFCTTVAIPESSLQLPKADTNLSAVSSVRKQIKELSYALCMPEIFWSVIWFSLSYAAIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVTLLAWSVAYNKYFKTTPAQKVLSVLQFLTALVMLSDVLFVQGIYRNFGIPDSMYTIVFSGLLEGLMLFKVLPFSVLVAKLCPSGCEGSLMAFVMSALALATIISGYLGVALAEFMGVSGGDFSALPTCLLIEAACTMLPLFFSSLIKERREKEKKEE >OGLUM05G15950.2 pep chromosome:ALNU02000000:5:18753918:18754335:1 gene:OGLUM05G15950 transcript:OGLUM05G15950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64890) TAIR;Acc:AT1G64890] MADHRCGHVASTSTTPHLTKKPSHIRPWLRPARADELLFSSHLHHAPTRTPSSRRVCPRLLTLHTPAPSRSPSYVSLPDQERITGSAPHSLLGSGSGRGGGRGGGSEDLGGGGGGGGGGVGG >OGLUM05G15960.1 pep chromosome:ALNU02000000:5:18757456:18761069:-1 gene:OGLUM05G15960 transcript:OGLUM05G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPGSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKTRSKAVLSELKSFEADLMCIQELDEYDNFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPFMIAGDFNSTPGDKVYNYLVSANCDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSADVQGGLPNFHHPSDHLPIGADFQVLGSEG >OGLUM05G15960.2 pep chromosome:ALNU02000000:5:18757456:18761069:-1 gene:OGLUM05G15960 transcript:OGLUM05G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLQTTWAASLPLFRLRPRPGSPPPCGPRLPFVPICKRRMSTQAQPSFAPLPTAQSESDAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLNPCSSIIHLWTVCFPSCLRNRWKTRSKAVLSELKSFEADLMCIQELDEYDNFYKKNMENSGYSSIYIQRSGDKRDGCGIFYKPKSMELVQKEVLHYNDLVEKYVHTDHVNSDTSNNSSPTEEASKKVDNNKHGDPNDPRFRLKRDCVGLLAAFKLNDPFMIAGDFNSTPGDKVYNYLVSANCDSTDEAPIKLRSLYAANGGEPEFTNCTPGFTGTLDYIFLSDGSSVKPTSLLRIPRGDSADVQGGLPNFHHPSDHLPIGADFQVLGSEG >OGLUM05G15970.1 pep chromosome:ALNU02000000:5:18768214:18772878:1 gene:OGLUM05G15970 transcript:OGLUM05G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45290) TAIR;Acc:AT5G45290] MGSGSSKAGASPASSSSSASASASASGGDEASKGNGKGQRRGRGRGTGLLQRLPSSSSSCFRGHGTPSRDDASSASSPPPPPRPPRRPFESSKGEENGSLPSIAQMDKSEEDAPTIPKSHPGEGATLPSSHINRDQDVDVLQNATAVNNRVEVNQSPNHSDSSRPRFGVNFGLSRAVSLGSSVACSILSSDLSTSANPDGGHGNVDNSSDANISQQGGASTAGIDSTLDMLRDSVTAQARAAHQARRNLLESDNANLRYSNRRMGPQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTPSLLYDRAIWPSGNASARQNSAIMQRTNSERNSELQLDSSTDSATLREANNRDLLERRSAFLERRRIRSQVRALQRLGSRYENLSGLSGHERSCILSGQHRTGNCNCRTSSRPGNSDEETNTRASISRIVMLAEALFEVLDEIHQQSAALSSRPSFSSIGSVPAPKEVVERLPVKVYRRSLKHQTEEAAQCYICLVEYAEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSNASGIGKTT >OGLUM05G15980.1 pep chromosome:ALNU02000000:5:18774288:18778333:1 gene:OGLUM05G15980 transcript:OGLUM05G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVFMARETETQEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIQLKEIVTSPGPERDEQGKPIHGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNGNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLSKIFDVCGTPDESNWPGVTKMPWYNNFKPPRQLKRRVKEYFKHFDRLALDLLEKMLTLDPAQRISAQDALDAEYFWSDPLPCDPKSLPKYESSHEFQTKKKRQQMRQADEAAKRQKIQHPQPHGRLPPIQQTGQPHPQIRPGQPMNNPHAPMAAGPGHHYAKPRGPGGSSRYPQGGNQGGGYPNRGGQGGGGSYGNAPYPQQGRGPPPPYPGSGMAGTGGPRGGVGGGYGGGSNYPQQGGPYGPSGPGRGSNYPQQGGSRNQQQYGNWQ >OGLUM05G15990.1 pep chromosome:ALNU02000000:5:18778449:18781673:-1 gene:OGLUM05G15990 transcript:OGLUM05G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDDGQLRTWVSDRLMALLGYSQGLVARLVVRLARECASAGDLAARLVDLAGFPLSPDTAAFAEDVYGRVPRSCGGGGDDAGVSEYQRQMQEAAAMAKKQSTIKLLDDDGEIGVSASPSSGGRKRFRRKAVGEDDDDAGRNARRRRSPDDEEEDGDAGEEEEMERDQIERAQLERNIRERDAASTRRLTDRKTTKREQGELARRSDAMDKNDTSGLRRLSRRAYLQRRKEKKVEEMRDEIVDHEFLFPGVQLTAAEERDMRHKKQVYGLVHDRDDGAGDDYYRMPDAYDAAANVDQEKRFSVARRRYEETAEAARDGKTANALSEQEAWEEQQIRKSRLQFGSMDRGRRGDEYELLFDDGVEFVRSTVMAGTAPADDDDTAAAAAADEYHAEAMDAKATLQDERKTLPVYKLKDDLLKAIDEHQVLIVVGETGSGKTTQIPQYLHEVGYTAGGRKKVACTQPRRVAAMSVAARVAEEMGVKLGHEVGYSIRFEDCTSEKTVIKYMTDGMLLREFLGEPDLGSYSVVVVDEAHERTLATDILFGLVKDIARLRPDMKLLISSATLNADKFSDFFDAAPVFRIPGRRFEVGIHYTVAPEADYIDAAVVTVLQLHVTEPPGGGDILLFLTGQEEIETVEEILRHRLRVLGGKVAELVICPIYANLPAELQAKIFEPAPAGARKVVLATNIAETSLTIDGIKYVVDPGFCKVKSYNPRTGMESLVVAPVSRASAEQRAGRSGRTGPGECFRLYTEYNFVSDLDDDAVPEIQRSNLASVVLALKALGINDLVGFDFMDPPPAESLLRALEELFALGALNSRGELTKTGRRMAEFPLDPMLSKAIVASERYGCSEEVITIAAMLSAGNAVFYRPRDKRVHADAARRAFHAGNAGDHVALLNVYNAWAESGYSPQWCRESFVQHRTMRRARDVRDQLGALLERVEIAPCSSAGGGDLDAVRKAVTAGYFRHAARLQRDGSYRAVKSRQTVFVHPSSGVAQAPPRWALYHELVLTTKEYMRQVTELKPEWLVEIAPHYYERKDVDEPEPKKAAAATPQEQTTAGSTPTKQTPNLNSFFDV >OGLUM05G16000.1 pep chromosome:ALNU02000000:5:18789799:18796818:-1 gene:OGLUM05G16000 transcript:OGLUM05G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPISCHELSPHLSSLPRAAATTTAHTHLSFRRAPPPCRLLTGTASDDGDRLRLLPSAATRDDALASLVGQLEHDVVVRHGQAAEEEEEEEEDEELYHHDSQDHGAARRRHHRQHHQDGHELAARWREIHGRDDWAGLLDPMDPLLRSELIRYGEFAQACYDAFDYDPSSRYCGSCKYPRRAFFDRLGMPAAARGYTVTRYLYATSNFRFPNFFSQSRAGAKIWSQRANWIGYVAVSTDEETARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDREVKVESGFVDLYTDKDPTCRFCKYSAREQVLTEVRRLVTRYAALGEDVSVTVTGHSLGSALAMISAYDIAESGAASAAHGGGKEAAAAVCVYSFAGPRVGNARFKERFEGELGVKALRVVNVHDGVARMPGILLNEGAPAALRRVAEGILRVPWCYAHVGVELALDHKRSPFLKDTLDPACFHNLEAHLHLLDGYHGRGERFVLASGRDPALVNKACDFLKDHHCVPPCWRQDENKGMVRAPDGRWVQPDRHSWHLDDHDDHHGEGQHGHDGAVDGAHHRHSSHIRLVRRQPN >OGLUM05G16010.1 pep chromosome:ALNU02000000:5:18800598:18810148:1 gene:OGLUM05G16010 transcript:OGLUM05G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FZO-like [Source:Projected from Arabidopsis thaliana (AT1G03160) TAIR;Acc:AT1G03160] MFAASTSSTGLPLPRAGGLLLLPTSRSLLLPRHRHRLRLRDVGAAAAAGGGVSGGGGGAASSREPPRTLFPGGFKRPEIRVPALVLRVGADEALASGDAVVAAVARGVGIVVLEAGEEGGGRVYEAALSLKASVGDRAYLLVAERVDVASAVGASGVVLADDGIPAIVARSMMMKSNSDSIYLPLVARTIRSADTARSATSSEGADFLIIDTGSDDAINVMNGVSGTQHVKIPIFSTLSDSQSEGSYSDNTSRLLQSGASGIVMSLAGIQVLADDIIERDFSKVDTTESVLQANYSSASTLEEADNVMVLTREKAKVAGFTKLDEKVMQLISIEKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVTVGEFNSGKSTFINALLGRKYLQEGVVPTTNEIMLLSYSDVDSESAERCERHPDGQYMCYLSAPVLKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTDSEVGFLQYVQQWKKKVVFVLNKLDLYRNSNELEEATAFIKENARKLLNTEDVTLFPVSSRSALEAKLLYSKNDGREHHGEALFNDPRWRNSKFYDLEHYLLSFLDGSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAIDDLTSIKDLVSGANNYAVKIEADSDSWQRQISSLIARAKGRAISLMESTLQLSNIDLIFTYTLSGGKSTPTKVTSFFQNDILSPSLDDAANLLSEYSTWLSSTNVREANIYVDCFHERWGALVAQEQRIPPEKNELVNEEEKLCVKALDGFSASAAAKVFEEEIREVAWGTFGGLGVAGLSASLLTSVLTSTLEDLLALALCSAGGFFAISNFPTRRKLAVEKIGKAAEKLSSKVDEAIQQDISRSANQLVHFVETVSKPYQDACQQKIDWLQGVQGELSTVERKLQTLNVEIQNLHES >OGLUM05G16020.1 pep chromosome:ALNU02000000:5:18812427:18821969:1 gene:OGLUM05G16020 transcript:OGLUM05G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARCGQTMAPSHLKSVYYGIHLTVSKTWEFNKAEYWKALCRSEETLLMETTAEKLKVTTTTLGAQRTGVG >OGLUM05G16030.1 pep chromosome:ALNU02000000:5:18823205:18824391:1 gene:OGLUM05G16030 transcript:OGLUM05G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVVVDGGGWRAVASGGSGEGTASAAQVLHLHIILHVAGYERIGWSMPVIEMMDGCYCAKSTSSTTSFHDSIVDYCSPPGMASYDVEAFMRVNLLLLNEPMWEAGSK >OGLUM05G16030.2 pep chromosome:ALNU02000000:5:18823205:18824391:1 gene:OGLUM05G16030 transcript:OGLUM05G16030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVVVDGGGWRAVASGGSGEGTASMMDGCYCAKSTSSTTSFHDSIVDYCSPPGMASYDVEAFMRVNLLLLNEPMWEAGSK >OGLUM05G16040.1 pep chromosome:ALNU02000000:5:18826381:18826980:1 gene:OGLUM05G16040 transcript:OGLUM05G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNNALAVQARGGIHFIEHLQGVLRWPPVPQPSRNPLTGGGMVTLFPDPYLHGGTDEVNTACWENDPVVRRFLTEGGTHNHLLEVFINTTRPFVAQELNQLPWHSVPQPLGQPVCRPPSHRIESAAATVASSFRRIAAATIAELPMNGSCNHRRTPTDSALTSHRDHRPPSLMNRHHNHRQAPVADESPPQPSSSSRR >OGLUM05G16050.1 pep chromosome:ALNU02000000:5:18831302:18832156:1 gene:OGLUM05G16050 transcript:OGLUM05G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLDSPPQITPAAAAGDWSSLYAAAQAETAVKAPRHGAVAVKKAAAYGGGGGRRIKNLEMCTEALGCETGGVDGAAATAADAVVVAAAAAAAAAEDAMAVVVETDGAERKRRAREEEAGSMVELAAAAARARRAGPRGGAPLPPPLTTLARGGSRVRMVQERRDGRLAVYAVRTAGVQAERCGGRLRLLLVPCAGCSNAAECHQKEKQLIKQEAEDAAAIVTKKEEEHDAGDEATPEEEYGGVAKYVRGGRCVEAEVAAAAAARRGKKWEPEQAAPFWVVTS >OGLUM05G16060.1 pep chromosome:ALNU02000000:5:18841563:18846943:1 gene:OGLUM05G16060 transcript:OGLUM05G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) TAIR;Acc:AT5G58003] MSLATESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDEEGGDEEEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVGSSEDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAEEGSKENADDAANAEKSKYDGAASGATGS >OGLUM05G16060.2 pep chromosome:ALNU02000000:5:18841563:18846994:1 gene:OGLUM05G16060 transcript:OGLUM05G16060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) TAIR;Acc:AT5G58003] MSLATESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDEEGGDEEEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVGSSEDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKYDGAASGATGS >OGLUM05G16060.3 pep chromosome:ALNU02000000:5:18841563:18846994:1 gene:OGLUM05G16060 transcript:OGLUM05G16060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 4 [Source:Projected from Arabidopsis thaliana (AT5G58003) TAIR;Acc:AT5G58003] MSLATESPSPSSPSSSSGSDDFAALLDAELELTSAADSASAGDPSAATDDDEEGGDEEEEEEDVVVVVEQEDAIVEQSSTKRRRVEDQHRHQAVVMKSDEDTVDVKIDECPPHPGFFGGLCYRCGKRQDEEDVPGVAFGYIHKGLRLGTTEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLFDLSAAENELGIQSAAKEVVPDRSLFTLETMQMLTKLRPFVRRFLKEASDMFEMYIYTMGDKAYAIEIAKLLDPDNVYFGSKVISNSDCTQRHQKGLDVVLGDESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGARSLSETMQDERENDGALATILDVLERIHTIFFDPVIKRVRQEVLQGCKLVFTRVFPLHQRPQDQMLWKMAEQLGAVCCTDVDSTVTHVVALDLGTEKARWAVSNKKFLVHPRWIEAANFRWQRQQEEDFPVARPKEKGKENAADAPISKENGDKNAADAANAKKGNENVADAGSTKEGSKENADDAANSEEGSKENADDAANAEKSKYDGAASGATGS >OGLUM05G16070.1 pep chromosome:ALNU02000000:5:18854813:18855979:-1 gene:OGLUM05G16070 transcript:OGLUM05G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable membrane-associated kinase regulator 1 [Source:Projected from Arabidopsis thaliana (AT5G26230) UniProtKB/Swiss-Prot;Acc:Q3E936] MGRSRGKDGGGRSFPSPASSSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASASDSTSNSSSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLAPPPPPPGVAASFAAKRTGKQYLSSFATRFSSVFHRGGAPAPAAAAANAKKPPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQSNSSGQPLQQQQQQGLKKPFSFSMRKKRGDDDHAAAAAAAAAAVAAEVGGGGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGVGFPDVPAAAAAAMSGGISVGPVSLSTASSMEELQSAIEGAIAHCKSTMGGAVTMCSRKAAAAAAAADEICAF >OGLUM05G16080.1 pep chromosome:ALNU02000000:5:18856927:18860064:1 gene:OGLUM05G16080 transcript:OGLUM05G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCTRVVNELTNERGNDFVVWYVLTTAFSVLPASILRGEKNVHFRQRAKGGFGSSIGIITHEEGFARFAGSSQIVPPMRTEDGSSQFRAGHTTFTAVPQDNMPMFPTGMYTADQMMGYAGSTLSYGEPCSYGGGSSTAQHEIGPSQLDEPPPITQPTQDYGYINFSGVEVAHI >OGLUM05G16090.1 pep chromosome:ALNU02000000:5:18898670:18899634:-1 gene:OGLUM05G16090 transcript:OGLUM05G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRTSAAGFRALVQELTGRNADPSKYSPRASAGDDGGGATALPDTGAASDADALEAGAAPGRHPAETATFDEGGGGGGGGYDDDDVFRSQLLDTSYSVFSPPTLLYDDHPHSKV >OGLUM05G16100.1 pep chromosome:ALNU02000000:5:18912770:18913888:-1 gene:OGLUM05G16100 transcript:OGLUM05G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFGVASEEGSSSLPGLIGATRYPIGPSGLTLVRCPCCGSEVVECRSWRQGGHIFFKCEDNEQFVPNCCTFFKWIKSYKKMVEAMELNYPDEAVSDVAMPMVADIVEKRPNSVTDAKIEKLARLMQILVFMNCSTLVFVLIWQQRTSNPFRFRQRSKFSHITKGHRFRSKFRHYRFRFGEVQIQTLHTNIQIFQAKDMTDSDIT >OGLUM05G16110.1 pep chromosome:ALNU02000000:5:18929849:18933621:-1 gene:OGLUM05G16110 transcript:OGLUM05G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDRVSPHAAVAVGGGGGQKTPTSPRGAPGADRRHPRPFAKNLDFASWASEHSSKLLLLLFVVASAAAVFLLRGAAPDAAALLCLDRSRSSSSSAAGSARLPYPDVKWAAVPTLAIAAGAPFASFRAERWIVVSVSSPPTAALGALARVKGWQLLAVGNSHTPSGWELKGAIFLSLELQAQLGYRSVDFLPYASHVRKTAGYLFAIQHGAKVIFDADDRAEVPGNDLGKHFDVDLGSGVTNHPVLLQYSHADPNRTVVNPYVHFGQRSVWPRGLPLDKVGEVAHEVFYTEVFSGQQYIQQGLSDGLPDVDAVFYFTRKPPTAAFDLRFDAEAPKVALPQGTMAPVNSFNTLFHTPAFWGLMMPVSVSSMASDVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFERILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVLIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNTELAVERCALSHAYKFLPKVFARYGGADGFLFLQDHMILNYWNLLQADKEKLWITNKIAHSWVTVPLENNKEEWFVKQGSMVKQVIGSSPVHFQTNYKESMGEDKIAFCGSELFYIPRQFVEDFGDLVGLVGDLELHHKVAVPMFFLAMDSPQNFDSDALAGTVFRSNLVGNETFSSIYTAQAPAVFPVKVQNEIDFIKLIRVMSTGDPLLMELV >OGLUM05G16120.1 pep chromosome:ALNU02000000:5:18939702:18948722:1 gene:OGLUM05G16120 transcript:OGLUM05G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycoside hydrolase family 2 protein [Source:Projected from Arabidopsis thaliana (AT1G09010) TAIR;Acc:AT1G09010] MAAAAAAAAAAAAAAAEVGKRVLDTGWLAARSTEVALTGEQLTTTDPPPADPEPTAPWMHAAVPGTVLGTLLKNKLIPDPFYGLNNESIIDIAKSGRGHYTFWFFTTFQCAPAGHQHVSLNFRGINYSAEVYLNGHKEVLPKGMFRRHTLDITDVLRPDGKNLLAVLVHPPDHPGAIPPQGGQGGDHEIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISVTGPVRIMDPHLVSTFYDDFKRSYLHCTLQLENRSSWLSDCKLKIQVSTELEGNICLVEHLQSYEISVPPNSVLEYTIPPLFFYKPNLWWPNGMGKQSLYNVEIGVDANGFGESDSSNHHFGFRKIESTIDGSTGGRIFKVNGEPVFIRGGNWILSDGLLRLTRKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDIYGLMVWQEFWITGDVDGRGIPISNPNGPLDHDLFLLCARDTVKLLRNHASLALWVGGNEQVPPVDINKALKNDLKLHPMFVSNHTTKSPGKDISEDPTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPSEGWSIPIFKKRIDGYINEVPNPIWDYHKYIPYSKPGKVHDQIELYGHPSDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPIHVQLNLDSYFIEVVNTTADELRDVAVEISAWDLDGASPYYRVTEKIAVPPKKVQQVTEMSYPKTKNPKPVYFLLLKLFKLSDNQVLSRNFYWLHLPGKDYKLLEQYRQKQIPLKINSKISISGSGYKVRMSIENRSKKPENANSVSTMNLADANDSDRTGEEAIQDGHSSGLWGKIRRGLSITRSDDNVRTVEVKGADSGVSFFLHFSVHTSEPSSSQDVYKDTRILPVHYSDNYFSLVPGEKMAIDISFEAPQGSTPRVILKGWNYHLDHAVTL >OGLUM05G16130.1 pep chromosome:ALNU02000000:5:18951046:18959500:-1 gene:OGLUM05G16130 transcript:OGLUM05G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKGIQDFQALLHQGYSRTPSATAAAAVAQPPVPLALAAPPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKAASAATTTAARRSTPVQYSAHIVMQKVSKVTREK >OGLUM05G16130.2 pep chromosome:ALNU02000000:5:18951662:18959500:-1 gene:OGLUM05G16130 transcript:OGLUM05G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASSHQHHYQHSAKPPVSRSSSWIRRSPPPSPPHKKLWGGGGGGGGGGGRSRYVCRIVPLLVLTVYSIFTVVRIPSSSLVVSTADSERVERREDVEAFKTHLPSNQNNLEAREETRSPASLPCSALINGEAGGGQAAAESALCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSAPEKVRPYTRKFEGSIMSTIDEVTIVPVVDAGSGSNGTTAGDTGKDSLRRRCDVRHPPGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKVVDFRYDRRVHCFSEMIVGLRIHGELVVDPKLMPNGKGIQDFQALLHQGYSRTPSATAAAAVAQPPVPLALAAPPSRPCLRPDDHAKVAKPKLVIFIRKQNRVLLNLPHIVTACRRAGFAPHVMNLRRQTPLPAIHAALSSADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLGYLEYKVAPEESSLAAEYGVNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLRSARLHLKNATACGKAASAATTTAAR >OGLUM05G16140.1 pep chromosome:ALNU02000000:5:18981729:18993389:-1 gene:OGLUM05G16140 transcript:OGLUM05G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAAGAGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHMCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQMLQQPSPAVDVYDVSGVKLNLLQYNVMQSKRGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >OGLUM05G16140.2 pep chromosome:ALNU02000000:5:18981729:18993389:-1 gene:OGLUM05G16140 transcript:OGLUM05G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinases;ubiquitin-protein ligases [Source:Projected from Arabidopsis thaliana (AT5G13530) TAIR;Acc:AT5G13530] MRVPCCSLCHVRYDEEERAPLLLHCGHGFCRACLARMLANAAGAVLACPRCRHPTAVGNSVSALRKNFPILSLLSSSPSSPSFLHSDSGGSSSDGSDDDDDDFFGRPSRRSSAAGAGAGAGAAAPSLQPAGCASFDLASHPDLKLARRIGSGPPGPAGQEVWSGTLSRGGGGGGAKRCKHPVAVKRVPVTAGDVLEGVQEEVERLRRAATWCRNVTTFHGAVRVGGHLCFVMDRYAGSVQTEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDTNGHAVVSDYGLSAILKNLTSRRVSDDSNMVGLDATLLSPNYTAPEAWVPLKKSMNLFWDSANGILPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKERKPPPQYSRVVGVGLPGELWKMIGDCLQFKPSRRPSFQDMLKTFLRHLLDIPRSPPASPENDFTNASMPNGTDVPPASVLDMVQDNPNALHHLVCEGDAAGVRNLLAEAASDGNGRLIRSLLEAQNADGYTALHLACRRGSAEIVEAIVAYQENVDLLDKNENPPIIFAMAAGSPQCVRALVRRSSDVNSRLREGLGPTLAHMCAHHGQPECMRELLMAGADPNAVDGEGESILHIAVAKRYTDCAIVLLENGGCRSMGIPNSVNKTPLHLCIETWNADVVKRWVEVASEEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRVLLSAGADPTAQDDPHCRTALHTAAMINDAELVKIILEAGVDVNIRNAQNTTPLLVALNRGANSCVGLLLAAGANCNLQDDDGDNAFHIAADAAKMIRENLSWIVQIGWTLRDFLERLPREWISEELMETLEEKGVHLTPTIYEFADWVKFRRTVTEPAFGWQGAGPRSIGFVQSVVDHDHLVVSFCSGEARVLTSEVIKVIPLNRGQHVQLKPDVLEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIVRVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSSPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAVHWQADPSDMEKIEKFKVGDWVRVKATVPSPKYGWEDVTRSSIGVVHSLEEDGDMGVAFCFRSKPFSCSVADVEKAQPFEVGEKIHVLPSISQPRLGWSNETAATIGAISRIDMDGTLNVKVSGRNSLWKVAPGDAERLSAFEVGDWVRLKSSIGSRPTYDWSVGKISIAVVHSIQDSGYLELAGCFRKGKWLTHNTEIDKVEPLKIGHHVRFRAGITEPRWGWRDAKPDSRGIIAGVHADGEVRVAFFGVPGLWKGDPADLEIEQVYEVGEWVRLRNNADDWKSLKPGSIGVVHGIGYEDDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRVKLCIRQPRFGWSNHNHSSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEVCVGDWVKVKDCVATPTYQWGDVNHNSIGVVHRAEDGELWVAFCFCERQWLCKRWEVEKVRPFRLGDRVRIRPGLVTPRWGWGEETYESKGEVVGVDANGKLRIKFRWRDGLWIGDPADIVLDDIPSLTEASNGFCS >OGLUM05G16150.1 pep chromosome:ALNU02000000:5:18997327:19004755:1 gene:OGLUM05G16150 transcript:OGLUM05G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein [Source:Projected from Arabidopsis thaliana (AT4G17620) TAIR;Acc:AT4G17620] MDFSEQDVEIFGEDYDDAEAGGGGGASSGSSSPSSSSSSSAAGSSSSSSGASSSSGGGGGGGGGEDEDGVDQGDARGYDDDPFDGAPARAAGGYGDEERGEGDAEEEEEEEEEEERDLFGSDNEDYVKTPARSNYLVPVLPSIRNTNNHSRGGYGGRNGRGPPLLPRPGGHPGRHNFGYGGRFSHGNGRNVEGFVSEMKLNKSEETLSRKFVAFQEPSEIACYSRIEGGDVYFDDRSLRLFKRNICDYVGENLNKGFESFIEKRDLGSEGFGDLLACIRNSTVPLQNIHFVTYRNNLNKILATAYLREPWKMGVHKRNGVVYLDVHKLPERPQSEVERRRCYWGYSFENLATENSIDEDGRGIDANVEFCAVIKTKLGAHRIIMGAEMDCCDATDDGRRFYVELKTSREIQSFIAGVPYVVVGFRNDAGVLIRTERLRTKEITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPDAITHHVEQLSGTAG >OGLUM05G16160.1 pep chromosome:ALNU02000000:5:19007167:19009694:1 gene:OGLUM05G16160 transcript:OGLUM05G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGECRSNDYGAAAYWDARYSSPSPSSTATTGGGGGGGAFFDWYQAYPALRPLLRARLPASSRVLMLGCGNSLLSEDMVKDGYEEVVNVDISSVVIEQMREKHMDVRDMSLFGDGTFDCVLDKGTLDAMMCGDDAPLGASKMLAEVARILRPGGIYMLITYGCPKERVQLLYQAGSHKKIELYIMPTPGYQLKWSKGVSLAQPIMEEVSLTVDGQLPPDYVLKDPESHFIYICKKPDAANEANSVVANEGETITSK >OGLUM05G16170.1 pep chromosome:ALNU02000000:5:19009908:19016240:1 gene:OGLUM05G16170 transcript:OGLUM05G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGGDDAGVKRVADRYLKREVLGEGTYGVVFKAVDTKTGNTVAIKKIRLGKYKEGVNFTALREIKLLKELKDSNIIELIDAFPYKGNLHLVFEFMETDLEAVIRDRNIVLSPADTKSYIQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARIFGSPERNFTHQVFARWYRAPELLFGTKQYGSAVDIWAAGCIFAELLLRRPFLQGSSDIDQLGKIFAAFGTPKSSQWPDMVYLPDYVEYQFVSAPPLRSLFPMASDDALDLLSRMFTYDPKARITAQQALEHRYFLSVPAPTKPSQLPRPPPKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEVHMHRADRTEEHPSGARHMDDMSSQSSRIPMSVDVGAIFGTRPAPRPTLNSADKSRLKRKLDMDPEFGYTE >OGLUM05G16180.1 pep chromosome:ALNU02000000:5:19019689:19026357:1 gene:OGLUM05G16180 transcript:OGLUM05G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAARLARRRRGGGVTVAESRMVRGRRRGGDAGPVVVIDVEDDGEDAADDSAGGGGGAAAAVKRRVVVPGAVATRTRSRRMAMAQQAPVTPPAAAEEAPSRRRKRKGAASAEAGGGGPSKRRVRSSGSAGGRGARKRKEAEADEEEAEAEAEEEAEAEAGTPARGESMEVSQVDGGGSSGRADDASHNGNGESRVCNADGIDQASEERPSVAGGDLIEEEHCGNGEASVAGGDRIVEHCGNVEASVANSNRDGGEIIAGEGTEDRGNTELAVVDPVNEELASDEDDYDDEMLEEKLVGDVIRAYSNGADLDTNGVDWEAEDEMEFADLDTNVVDWEAEDEMEFDDDNDNDADDDSDNFGGDAHEGDKSVQMHDFSKVETQDLVSHNVNVSEVRPHEDEEAIKDEMESKGKGSLSFNEGSSYIEILDSDEEVKVVNDTGNALRRKPLVPAKLPIVPSCVAWRTRSSWGMKEERISYNTYFEVLSDEPKEDDDDTEVELDDEEDDENDDDCNSASCDEEDEEEEEEREEEEEEAQRRKQKKGIDSSDDEMIDDAVDCGIDWEEDYPEVDFTRPLTFQKDGSEAPVGSEAFTEQQKRSRFTWELERRKKLKLGMMTNHRLYERDLESDSNSSDSSQNRKNGCQGSGDHRTGRKRKNPLSKSGKKSSRMLKRQSLMKLLMDKMCSNDDGKSTPFDQKPQIEYSFKDLHPLVFSFGDDDPSPTDRSEQDAALDMLWADLDFTLESENIGTYYDDEGQEDSLLDHALSPITPCSRGKHEFIIDEQIGIRCKYCSLVNLEIRFILPLLASNFAEKPAWRNSSCLKTALMCPDLYEQTGTGDGQSQDFHINGTVWDLIPGVITDMYQHQREAFEFMWTNLVGDIRLNEIKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWEQEFKKWNVNVPFHIMNTTDYSGKEDRDICRLIKKEHRTEKLTRLVKLFSWNRGHGVLGISYGLYMKLTSEKVGCTGENKVRTILLENPGLLVLDEGHTPRNERSVIWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKTRVGRRHCVSKKQRDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGTILRTLPGLRECVIVLKPLPLQKSIIRKVENVGSGNNFEHEYVISLASTHPSLVNAINMTEEEASLIDKPMLERLRSNPYEGVKTRFVMEVVRLCEALKEKVLIFSQFIQPLELIKEHLRKIFKWREGKEILQMDGKILPRYRQNSIEVFNNPDSDARVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFSNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPELS >OGLUM05G16190.1 pep chromosome:ALNU02000000:5:19031941:19032210:1 gene:OGLUM05G16190 transcript:OGLUM05G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASIEAMREGREREGRAETPPPGKPYPHRGASRVMSSPTADATAYAFFARFGIELTFPPYLMQIDTEDELDSAGEGTMKGEFVGGDT >OGLUM05G16200.1 pep chromosome:ALNU02000000:5:19036339:19039655:-1 gene:OGLUM05G16200 transcript:OGLUM05G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGVDWESVAEATSGAVGALVSTTVLYPLDTCKTKFQAELQTQPGAHKYRNLSDVFWEAVQKRQILSLYQGLKTKNIQSFISQFVYFYGYSYFKRLYLEKSGAKSIGTKANLLVAAAAGACTVVVTQPLDTASSRMQTSAFGKSKGLRATLAEGTWLEAFDGLGISLILTCNPSIQYTVFDQLKQKLIQRQRRKNAEAGGGSSPVALSAFSAFLLGAISKSVATILTYPLIRCKVMIQAADPDEDDDDESERPGKSKSPKTMLGALHAMWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISRFTWISLLALRRYLFVSQKRIKSA >OGLUM05G16210.1 pep chromosome:ALNU02000000:5:19044238:19044884:-1 gene:OGLUM05G16210 transcript:OGLUM05G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAETMMAQSDTSVHGQRAVMEFADSAWPCPDLPPYLFPNPPRQIWPELARHRQRQRSARRDNDNSGRATQVTSAQVTTVGDWRQEVNAGDGKEAALADIVGGQRGDDKKGDDDSVPQRSQGKVASVLIVTLLPGDVV >OGLUM05G16220.1 pep chromosome:ALNU02000000:5:19045413:19057884:1 gene:OGLUM05G16220 transcript:OGLUM05G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G05990) TAIR;Acc:AT3G05990] MPSCPNRTRSRDTPPTASILNPSRALDRAHLLRPAPAEPESTTPAQRENTAFPPPFPPEINRAKKKKKQHTADEMRLHLALLAALLTLAAAAAAAQPPFRGYYYLLDCGAAASTTDSRGLEWLPDGGYVTGGEPHQLPDQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDGVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPIPLQNDSYYVALYFADTVSESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILAPISGLPPLINAGEVFGLFPLGGYTFPRDARALEAIKRGLQNIPDDWNGDPCMPHGYAWTGVTCDKGQIPRVISLNFSSMGLSGYLSSDIARLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGIITSLRELFLQNNELDGAVPLNLLLNQGYIVNIFRRKGCDTQETKLIY >OGLUM05G16220.2 pep chromosome:ALNU02000000:5:19045413:19057010:1 gene:OGLUM05G16220 transcript:OGLUM05G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G05990) TAIR;Acc:AT3G05990] MPSCPNRTRSRDTPPTASILNPSRALDRAHLLRPAPAEPESTTPAQRENTAFPPPFPPEINRAKKKKKQHTADEMRLHLALLAALLTLAAAAAAAQPPFRGYYYLLDCGAAASTTDSRGLEWLPDGGYVTGGEPHQLPDQGLLDPALATRRDFPHEPGKKFCYELPVDRNRRYLLRPTFFYGASSPPPPVFDLIVDGTFWTAVNTTDDVLAGSASYYEAVFGASGRNMSFCLGVNPDYTSAGPFINALQVIQLHDSVYNATNFTASAMGLIARTKFGSTDGVERYPNDTFNRYWQPFPDSKHAVSSTHNVTSADFWNLPPPGVFNTALVAEQDAPLVLQWPPIPLQNDSYYVALYFADTVSESSRTFNVYINDYSFYEGLTVTSAGLSVFATQWILSGLTRVILAPISGLPPLINAGEVFGLFPLGGYTFPRDARALEAIKRGLQNIPDDWNGDPCMPHGYAWTGVTCDKGQIPRVISLNFSSMGLSGYLSSDIARLTALTDISFANNSLSGPIPNLSNLRNLTRLHLQDNKLNGTVPQTLGIITSLRELFLQNNELDGAVPLNLLLNQGLTYQFLPGNNFFPRPPR >OGLUM05G16230.1 pep chromosome:ALNU02000000:5:19058392:19062362:1 gene:OGLUM05G16230 transcript:OGLUM05G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLGAAAALAGATFLSCSRLPRRRCRNPRCRGLVKALEFDVQLQTEEAVRAGTGSTSGGADAAMWREIEALPWKGGQGGNNPDYECLRAELRRMAPPNGRAVLLFRNRCGCPIAKLEGWGVPKSKRRSKRSTLGLPADGGVR >OGLUM05G16240.1 pep chromosome:ALNU02000000:5:19064130:19069982:1 gene:OGLUM05G16240 transcript:OGLUM05G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pale cress protein (PAC) [Source:Projected from Arabidopsis thaliana (AT2G48120) TAIR;Acc:AT2G48120] MAAAAPALPAFASLLLPSSSSPPRRLPWPRPLPSRRIALRPVKLLPAISAVEKGKGAAAEAKEVELEGMPPEYYDEEWQARQREKTKEWNAYRKKEEAEEERLTNEYREIGMRLKAYPQEEVRKARILVSSFIRAGEDIEEEIEKAAERGELTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVEMEILRSEATPAMRLLNDLLNLHDGSDDDKWLKKCKKHMLEVFPREDPFTMVFPAGFNMEEHQGQIKLPPQDDDVLLRVDFIREVDELLKEVQAAHENNKVPTGNDPEAVATKLKYQEKLRTIRQVESLLELASSLKW >OGLUM05G16250.1 pep chromosome:ALNU02000000:5:19095050:19101075:1 gene:OGLUM05G16250 transcript:OGLUM05G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERD (early-responsive to dehydration stress) family protein [Source:Projected from Arabidopsis thaliana (AT4G02900) TAIR;Acc:AT4G02900] MGSLTDIGVAAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRSMGTVFSKFVNADLSTYIRFLNWMPAALQMPEPELIEHAGLDSAVYVRIYLLGLKIFVPIAVLAFIVLVPINWASGTLEKEKSLSYDQIDKLSISNLGKGSKRFWAHIVMAYVFTFWTFFVLYREYKVVTTMRLRFLAIQNRRADQFTVLVRNVPPDPDETVSEHVEHFFAVNHRDHYLSHQTVYNANTLAGLVEQKKGLQNWLVYYENQHAKNPAKKPTMKTGLWGLWGKRVDAIEHYTTAIEELCKQEDEERHKVITDPNAIMPAAFVSFKSRWGAAVCAQTQQTSNPTLWLTEWAPEPRDVFWPNLAIPFVELSVRRLIMAVALFFLTFFFMIPIAIVQSMANLDDIERMLPFLKPIIERNSLKSIVQGFLPGIALKIFLILLPTFLVMMSKIEGHTSLSGLDRRTASKYYLFLFVNVFLGSVITGTAFQQLNNFIHQSANKYAQSVIASLLWMWVRGIPEIVGESIPMKATFFITYVMVDGWAGVAAEVLRLKPLVMFHIKNTFLVRTERDREQAMDPGSLDFGTTEPRIQLYFLLGLVYAVVTPILLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVQRRLVIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFIKFPLQDAMVKDTLERANDPTLNLREYLKDAYVHPVFQKNDIYEFAGIDEEEKNPMVATKRQSRMNTPVDSKFNSSSGTNEGEFSRMAPT >OGLUM05G16260.1 pep chromosome:ALNU02000000:5:19107610:19112790:1 gene:OGLUM05G16260 transcript:OGLUM05G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF630 and DUF632) [Source:Projected from Arabidopsis thaliana (AT5G25590) TAIR;Acc:AT5G25590] MGCAQSRIENEEAVARCKERRQWMKSAVQARNAFAAAHSAYAMSLRDTGAALSEFAHGEGVPPPPPPPQPMTTTAAGAAGEEARPVGGGATAADRLAAGGGMGVPGVSPTSAAVDAMMMPPPPPIDTLPPPPPPLPEFSPSPAKIHRSKSMPLPPQTKGPAVLHSDSIREDDEEEAEIEEEEDEDEHLDDRRRRLRHRPQVPPLVSSPAPGTPVTPQPPPPPPPPPPPDSKPGVDTWDYFFSMDEGMASIAPDDDEIIQEPEDEKYVPASPPRPPLSPPTPVAAPAPQEEFEEEPRTPEMVTPPPSLPPRPPAVSSKKKKGKGKHRAAHHQHTESAPPVTLVGGGGGKAGKVVPVELPRIDLLRVLAEIDDRFLKASESAGEVSKVLEANRMHYHSNFVDTRGHIDHSARVMKIITWNRSFRAMQNGDDGKDDFENDEWETLATVIDKILAWEKKLYDEVKAGELMKLEYQRKVALLNRQKKHNAATEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHLHHENQLKTVLNLKSVDISDSHIETSDHHHSHTRQLRDIVEKWTTNFSDLMSYQKEYINALYSWLKLNLIPIESSLKEKVASPPRVQQPPVKALLQAWNEHLTKLPDDLAKTAIVSFRAVLDTILSVQDEELKQKETCEHTRKEYMRKARAFEDWYHKHAQRRAFDVDPESGEGTSQKDTVAEKRFAVESLKNKLDDEVEAHNKLSKQVREKSLSILKAHLPELFRALTDFSLASFEMYSKMRLMSLMQDQGNN >OGLUM05G16270.1 pep chromosome:ALNU02000000:5:19134530:19135357:1 gene:OGLUM05G16270 transcript:OGLUM05G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDAIASRHDPSTSFFWSSEASNEATAAVLQTPGREEPPATSAAWTAKLRRCNNGAWSHWSSAEGGAMAGGPPGAQPQRALRHLDGLDRQSLWWG >OGLUM05G16280.1 pep chromosome:ALNU02000000:5:19135399:19135895:1 gene:OGLUM05G16280 transcript:OGLUM05G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRMVGGLELVGSLAMDRWHSLVDSSSTSHRGYSLVQTGKLKERGDVDMWKRGNRVACQAVKIKD >OGLUM05G16290.1 pep chromosome:ALNU02000000:5:19138945:19139342:-1 gene:OGLUM05G16290 transcript:OGLUM05G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLEKIQSAARAQKAKLYIIVACIALLVCGCTTAAHHHQRKGYRQCKSARCIWLSTAILGGSRLISSGLSSKAN >OGLUM05G16300.1 pep chromosome:ALNU02000000:5:19148584:19155450:-1 gene:OGLUM05G16300 transcript:OGLUM05G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLYLLLLLPLLACADEVPDRYAVLRAPQGPGASAAAKEYLDPTFPLPAPPPAAPSCTVPVLSYSFGDTYGAAPAKASYAPPAGCPAPWSLVVLTFSASCAGDQYDRVAAVWLDGAELLRTTTAEPTPEGVRWTVRKDVTRYSALLRSPPGGVLSVMLENVVNDKYTGVYSVNVSLEFHGTPPYLSDAASSSPAGVASNDPKELMLPESYFQPADLIVPISDVAGNGKGGFWFRIQNASDSHSRLVTIPSSTYRAVLEVFVSPHSNDEYWYSNPPDIYIRENNLTTRRGNAAYREVVVSVDHRFVGSFVPFPVIYTGGINPLFWQPVAALGAFDLPTYDVELTPFLGLLVDSNAHEIGLSVFDGIAEWLVDANLHLWLDPSTSDVHAALGAYQTPRLKISRHYSTRLLEGRFKIKAKRKSSFSGWVKSSFGNFTTEVEAELKATSLVEFTGDGRNKTVSLEAKQETKTLIRAGDTRKVIGRVEREAKYPLSLFAETEDGENGTSVVTVSLTHGLSVEAEVETKALESKEKLDDAQAAQGWMVLLDHDVLNGSVTTTQAYRFSDDEREYERAIDVVDGAVLSDNVTESFSALAAAAADARRRRFHAGIAALIRFRPHRRDQDYCFSSEGEGKVSTGDDLLDALVEVFPQLNFLTLVEVCMEYKNDIDGAADYIIHNVLPSIPDNNDAHANEDSHMKRPASVDNDADSVQSYLANKYTAEQEEEEEEEEEEEEEEEEEEEEEEEEEEEDEDDDEEEDEDDEEDRLMEENSDCLVAIDLNSKPGTSAFDDDLVTHDNGLPAMNIQSSYPVRLELLDNAIADEIDKKITLMSNVTAINQMLEDIKLKEEKTKQAVLEATQAGNDIFVKVEELKEMTMLSTEENDKVVAGEVFAEQSILASEAHGLQARLSNISEERNNYALIIDEMRHTLQNRFAEAEVETVAAEKKKVERETLAEKMLNEQELILDATKERSKMLEQQVRENAKLRELLMDRGQVVDALQGEMLGIFDKISQLQLRVDKQLPEPLLSSMSSSVNSADNIAQLQCRVDDPQHSVDDSLQLASPRLSSSFKSTDSTAQAHCRVDEPQISVDESLLPVDECEQLQLISPRLSSSVMSAQSQYRVDEPLLPVDEVLQLASSSLSSSLKSGDNIAQLLGVLDVHLPVDDLLQLPSLILSSSVKSSDSIAQFQQKADADEMLQSSSLASSEKPATFKNWSSASDTITQFQQRANADESLPLPSSSLASSEKPATFKSTWSSAAESNSVFSGDEEIDDASFHDSIDLDDSWDLVDDEAIYMCAN >OGLUM05G16310.1 pep chromosome:ALNU02000000:5:19156124:19158305:1 gene:OGLUM05G16310 transcript:OGLUM05G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRRWRLARQAVAIGQRSLAVRRDVDQKSGPVWLIGFIRASDRCYQILFGKAEVALRFVTKIWESCDSTTAFDDCLRLLRGERDEQKLAGLREIEAVAGPLFDAARLPAHGLCELLIPDEHVADVVELVLLDGDELPAHGLGERGHGLPDLDVLGELLVHGRGGPDEEAAGAEDAVELEEEGAVSVSRAVPSSTRRRGDDTGGRRARARRRASGTRRWRPSGRWRCSRSPWSRRGRQRRRTGAARTGSGAPREAAGGAGAVTGMLPERVAALESLMLVQALECYFELAVAEGRAFGAVLDGGPS >OGLUM05G16320.1 pep chromosome:ALNU02000000:5:19159959:19160326:1 gene:OGLUM05G16320 transcript:OGLUM05G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNTYLSRWSRASLGNFDRVAEKEQEGGDIISIRTAVMLSASLNSCLVSSFFSLPSSTGGGGGVQGFGGMTLDEGEHILVQGLRVELDLT >OGLUM05G16330.1 pep chromosome:ALNU02000000:5:19162232:19171800:1 gene:OGLUM05G16330 transcript:OGLUM05G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DUF21 domain-containing protein At1g03270 [Source:Projected from Arabidopsis thaliana (AT1G03270) UniProtKB/Swiss-Prot;Acc:Q9ZVS8] MAESAGAEAARGPAETDLPEASRGAGQRVHACCDAAAAKHEHVSSPRLHHGSKRPKPPKDVFGPAHRGGSDPARWPTLPPRVAPPTVRSAANPGLPRGRHPTATVSVPHAPCCFCSWRFAEVVVVPLLLAPLPSIFHRIARGVREGRGGGRGSDAAGRRRWWWGGREMAAAGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNTEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >OGLUM05G16330.2 pep chromosome:ALNU02000000:5:19162232:19171800:1 gene:OGLUM05G16330 transcript:OGLUM05G16330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DUF21 domain-containing protein At1g03270 [Source:Projected from Arabidopsis thaliana (AT1G03270) UniProtKB/Swiss-Prot;Acc:Q9ZVS8] MAESAGAEAARGPAETDLPEASRGAGQRVHACCDAAAAKHEHVSSPRLHHGSKRPKPPKDVFGPAHRGGSDPARWPTLPPRVAPPTVRSAANPGLPRGRHPTATVSVPHAPCCFCSWRFAEVVVVPLLLAPLPSIFHRIARGVREGRGGGRGSDAAGRRRWWWGGREMAAAVGRARGAASLAAAVRVAAATARPASSVAAAAGLGFAGLVVQGEDTPFGSVWWWAYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNACAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMIICYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGNPRNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKPKIVPLPDKTEPNREVSGAPQLTAPLLSNTEERVESLVVDIEKPQSRQVNGNKPCSMQQNEMPYAMSRSSEDIDDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTGQKGTGTQNRQGQPTGILKKPTEGDSNPSKHQVNLVEPLLENKR >OGLUM05G16340.1 pep chromosome:ALNU02000000:5:19172117:19172425:1 gene:OGLUM05G16340 transcript:OGLUM05G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVATWCWCSHCGVDRHLRREGDYASCSSCGKVLLQLRGDDDAAAAEAPRLRLLLGPRMRRTKKRAAAADAAGGGGVAAGGGGGEKYSRREASSDAESNTG >OGLUM05G16350.1 pep chromosome:ALNU02000000:5:19179334:19181748:-1 gene:OGLUM05G16350 transcript:OGLUM05G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:debranching enzyme 1 [Source:Projected from Arabidopsis thaliana (AT1G03310) TAIR;Acc:AT1G03310] MASLPAPPTPLGSCPRGRGGGRVVARPRRAGLACAARSCYRFRTDDDGVVDVAVSGEDGDGGGGGGGGGYAVSVEVPGTRGREGGLVLRASGSGEGAPLAPAAGGASLAAELSFDPPRAPFYLSFLLTDASGAEIRTHRKTSFRVPVGVGPGSPAPLGMSISGDGAVNFAVYSKNANAVSLYLYAAAVGGGGGDEPALEIDLDPYIHRTGNVWHVSLASVDGYVSYAFCCGGIRRPLLDPYAKVIGDFVSGNSVYDEGVTAPSMRCFASLAIAPSYNWGRDRHPRLPLEKLVVYRANVALFTKDRSSGLPDDAAGTFTGLSAKVEHFRSLGVNAILLEPVFPFHQVKGPYFPYHFFSPMNLYSSKGLSVSAIKSMKDMVRVMHRNGIEVLLEVVFTHTAEGESECQTISMRGIDNSSYYIANGIAGCKASILNCNHPVTQKLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEYLSRPPLLEAIAFDPVLSMTKIIADPWSPLDISNVQFPFPHWKRWAEVNTRFSIDVRKFLKREALISDLATRLCGSGDLFSTRGPAFSFNHVSRNSGLSLVDLVSFSNDDLLSESSWNCGEEGPSENSAVLQTRLRQIRNFLFILFVSLGVPVLNMGDECGHSAAGSVSYKDRGPLNWRGMKTTFVKEVTGFISFLTALRSRRGDIFQRREFLKLENIHWYGSDLCEPGWDDPTSNFLCMHINAEVDEMAADSVRGDLYICFNANEESVSAALPALAEGSVWLRLVDTSLAFPGFFATESNPKVQQVPGLSSYHVEAHTCVLFESKSALA >OGLUM05G16360.1 pep chromosome:ALNU02000000:5:19183381:19186569:-1 gene:OGLUM05G16360 transcript:OGLUM05G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANIQRFICSVIQIKEHLRSEVTSVGLVVRAYGSNQARGNAMLDNDMLMNSLFYGDQLQQGVVPSMDRTKMRMLLILSVTSTQYRLKTLTVILPPIR >OGLUM05G16370.1 pep chromosome:ALNU02000000:5:19188943:19190210:1 gene:OGLUM05G16370 transcript:OGLUM05G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMDAKPSSWTNLYLQPNPGTIMSLSLFSSSPHLNPSTLLRGLSETEAQLFVPNPIGGAQLPLSLSSSIVNHNELTCCTTPSKWIFGRKHTQNTEPQEWASLLVEFRPIEAGCCCHGLAGQGGSQVHDADDGFHAGEGAEGTTMLLSIEAVMISIT >OGLUM05G16380.1 pep chromosome:ALNU02000000:5:19191079:19195270:-1 gene:OGLUM05G16380 transcript:OGLUM05G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNGAVPPGCKLPAGGFLGRLHVLVVDDDAAYLEELKLMLLLAGYAVTGKTTAEEALKEVDQNPEDYFHIIMTDVHMSGMDGFDLLHRINGRVPVIMFSEGEDVVMVMRTVMNGACDYMVKPMTSEAIKFIWKHVLRWRLSALPANASSSLQPSDHLAAALAAVAPPPPPAVQLPAAPAQAGNRDGEAHEEAELSTQPPALVPSGVQEAAAAVWSSRGDGQEAPPPAVKAPSKKRGASEVSDRGSNNLEATTGRKKVRTRFTWTTVSHTSFVRAYEQLKDQEGPKKIKQLMELDGIFVTKTQVSSHLQKYRSWLENERKKEEATSSSPCNPLSYTNCLDRGYSTWKQSSVITEGQQSSSFSGRPIHSMATSNGCLTTTDTQAGNYVGVGAKEIENFISSHQRCLGTAIGQESTIEQASLHSEITSVSRDAHENGNSQARGSAMSNGTSGTRGVLVTNENLLHVVSASLPSNMGQPTQPSQSFCTNELAANYSIISDQNPGTSHPTSSSAINNQNSKTQEMSVSQTVELGCGNDVMLDWPELVGLEDQLDNDVLMNSFFDGDLLQQGVVTAIDGTQEMLAFDSTGDLGSVPPRGLNNEIASHENTNGKNGASSGP >OGLUM05G16390.1 pep chromosome:ALNU02000000:5:19196999:19200997:-1 gene:OGLUM05G16390 transcript:OGLUM05G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSCSVAGSNKSKLADEEFSPVGMRVLLIDESTTYLKIITKLLLNCGYKGLTVTNFEITYVWRVDAVTPKTAARDAVEELHENPWSYDMVLTEVHAPAGIDGFNLLQYAGTDMDLPVVVFSADDDKRTVLKCVNSGACDYLVKPLRHEELKNIWQHVYRRKLRSGGRRAAAAGNSSKGEIKKRFRWPKELHERFVSIVLQLGVDKATPNQILPLLNREDLTRDQRFRIALTKSKALPSCSTIPIGETVHGIPLALQAIGTGNNQHLIVPFNRITFVRPRGVEICQNGVVGGLVTGNNNVVVPTATSIIARAFGREIVNGADLFDHGTLIRDSSSTSHDGAQNELGETNLAFELMAKKGSDVEPRIGG >OGLUM05G16400.1 pep chromosome:ALNU02000000:5:19206016:19206411:-1 gene:OGLUM05G16400 transcript:OGLUM05G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSNGQLTTTQRNYVEWDLKKLIILFSTIRNLRELHLVMDQLIRLYKRVLMFMQMEGAKLEESIVTSENLIEVVCAPLPSNMRQPMQPRQSLPKIGVAATSVLSVIKLWD >OGLUM05G16410.1 pep chromosome:ALNU02000000:5:19216638:19225690:1 gene:OGLUM05G16410 transcript:OGLUM05G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEEGEDGDGERLTRDDEGLSSHLLRSMHGQASRRWGGGHRGEEVAATAAPCCRRRRLTSDEARRRSKSWEAVAACGAEAAAGRRGGGSSSLSDGKRIHSACLELPAITAAGFVDEEGLQRSGGGRVTAFVALSCATAAMGGAIYGYDISTAGGCSGGGVRRVSNYCKFDSQLLTLFTLSLYISGLLTAVLLASCGALLSVGLGFTTQSVPLYMAEMAVARYWEHSATASTLAATTVNFTVEKVRGSWGWRLSLALAGVPAVLLTVGAVFLPETPNSLVQQGKDRDKVKALLQKIRGVDTIDDELDEII >OGLUM05G16420.1 pep chromosome:ALNU02000000:5:19269124:19270929:-1 gene:OGLUM05G16420 transcript:OGLUM05G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHPPHGIAAGDARKAHHLGGDPKNLSWCGVGVGDHHFLPRKLVGGGGPSAAKLALVSFLAVILVLAVDLSLTGAGANRRLRLQYLHYIGDGGGGGGGGGVGGGGEEAKNNELPWLSVPDPSNFTEELIDRWLTPGGTPCRDSRTANISIPVLDDAAAAGEVTTLGSAEIHEFTFWALDDAGQRRCLGGDFFEIDLAGDEWKSRPPVVDHGDGSYSFRLQVAPRFAEGDFRLTIILLFRSFEGLKFSSLRFKYHAEMRRIPLLFGPSNSTRFLPALETCRAADFARDVWSGRWTRLAKNDSCEEVDDAGRYRCLEPQHPCEAPWCAGPLGALESNGWVYSAHCSFSLFTADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTNTSTVTRRFDAAFTNPSGGPGTVRITSIFNGHWNMSMNYLGLHSLRNKGFQRLVRSYFLAEDRAPDIVILNSGLHDGCYWTSVRAYVQAAEYAAQFWAGVMAEVRSRGHAVPRMFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKLRRHGVLTGGLIDNFDMTFPWHYDNRCNDGVHYGRAPAKLLWRDGKVGHQYFVDLMLGHVLLNAICNG >OGLUM05G16430.1 pep chromosome:ALNU02000000:5:19297621:19300608:-1 gene:OGLUM05G16430 transcript:OGLUM05G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEMMEDDVFFAELSKRISLLITDDDEAADFGAARFPASAAAPIPGFSLAHVPMGASMVAPPAYTLYHHAASYNNGGGMIGAGDNAAVRAWQQQQQQLCGSKGTGVFIPRSSPGSVHPKKKGKSKGNVHKAVRGQAAPAKKQSSQK >OGLUM05G16440.1 pep chromosome:ALNU02000000:5:19311605:19318342:-1 gene:OGLUM05G16440 transcript:OGLUM05G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G31530) TAIR;Acc:AT2G31530] MPHSLSLLLAPSRALSLSSPPLRLAPTRPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIIASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYATMKSVTYEELCNCVVFGHFWNG >OGLUM05G16440.2 pep chromosome:ALNU02000000:5:19312023:19318342:-1 gene:OGLUM05G16440 transcript:OGLUM05G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G31530) TAIR;Acc:AT2G31530] MPHSLSLLLAPSRALSLSSPPLRLAPTRPPLRLHHDGGHLLVGTTRRQAPSPRRRRLHAARASAPSAAAASPVGPAGEGGEVGGRARKAAGYRNRFLDLARLGAVAESAAEALFRSEIRRRLAVTAVLILLSRVGYFVPLPGFDRRLIPDSYLSFAPLPADDLGDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKIKGYIWWLSLGFALVAAFTVSCYSLQYSIYAASYRVKHVMITSLFLVLGAMTMTWICDTISESGFGHGSSLIICVGILTGYTDTLHKMLTQFSGNWYSCWPYILGIAGTFILVTMGAVLVTEGCRKIKLQYYGFKLASGARSESSPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIIASIFGTQFWESLKETLNPKTSVGGGPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPKIKPGRATVEYLTKIQTSTRFWGGILLSLLATSSLLLDRYLRQINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >OGLUM05G16440.3 pep chromosome:ALNU02000000:5:19308221:19311670:-1 gene:OGLUM05G16440 transcript:OGLUM05G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G31530) TAIR;Acc:AT2G31530] MKSVTYEELCNCVVFGHFWNVRAITTARRHHHPPHLPLPSAIARRDLRREERKIRNGPPDTRETAAPRASQSSVWPSPLPPLPSRQHHRAHALLLLPPQRDAPKQTSSSSSSSLPSSTSTRRIHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLVST >OGLUM05G16440.4 pep chromosome:ALNU02000000:5:19308221:19310244:-1 gene:OGLUM05G16440 transcript:OGLUM05G16440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY protein transport family protein [Source:Projected from Arabidopsis thaliana (AT2G31530) TAIR;Acc:AT2G31530] MGGSSSTSRRRRDEYYAARPPPPPPPPPHHYYTYPPPPPPPPHHHHHPPPPPPPPHHHHQHPYRPPPPPHHATSSSSYYYHHPPPPHAYHGPWHPAPRPPHPEQPALTGPPPEFVEHQQARKVKNDVNLHKDTIRLVPDVTDPDRRLVAFTFDAVTDGSITIYYFGKEGKNCTFSSVYPELQTPTKIPFQKGLAQKFVQTSGSGVDLGFFSLDELSNPSGEVFPLVVYAEACPPPEESHQPNSTRAQITLAVIEKHHNDLRVKVVKQMLWSDGEKYELQEIYGIVNSTEADVPDADDSDMGKECVICLTEPRDTAVFPCRHLVST >OGLUM05G16450.1 pep chromosome:ALNU02000000:5:19318550:19322982:1 gene:OGLUM05G16450 transcript:OGLUM05G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05345) TAIR;Acc:AT3G05345] MAAPVASASASCFAPRSDHGGGWSYGGTRGVPSPRRGAARRLRRVLARSGGGGGGGGGGEGRGILDPLATPLQILGLDASASYTAAQLKAAFRARVKEFHPDVCKDTENADLIMRRVLEAYEILSGNQGMMIERNNVDPFDEPECAACDIFVNELLCIGTGCPYSCVKRAPHAFAFADDTGTAHASSQGHYDDYNVQLAVGQCPRKCIYYVTPCQRTILEEILASVLMTPYDLSEAAVLDSLLSKAMFENNRYKKPKRETKSSSDYVDWM >OGLUM05G16450.2 pep chromosome:ALNU02000000:5:19318550:19322977:1 gene:OGLUM05G16450 transcript:OGLUM05G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05345) TAIR;Acc:AT3G05345] MAAPVASASASCFAPRSDHGGGWSYGGTRGVPSPRRGAARRLRRVLARSGGGGGGGGGGEGRGILDPLATPLQILGLDASASYTAAQLKAAFRARVKEFHPDVCKDTENADLIMRRVLEAYEILSGNQGMMIERNNVDPFDEPECAACDIFVNELLCIGTGCPYSCVKRAPHAFAFADDTGTAHASSQGHYDDYNVQLAVGQCPRKCIYYVTPCQRTILEEILASVLMTPYDLSEAAVLDSLLSKAMFENNRYKKPKRETKSSSDYVDWM >OGLUM05G16460.1 pep chromosome:ALNU02000000:5:19324297:19328671:1 gene:OGLUM05G16460 transcript:OGLUM05G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27550) TAIR;Acc:AT5G27550] MEADPAPSSTPRPSSPAPAASPSRHPPGEEGGGAERVEVEEYVDPPSPDCCGGADPDHAPPPSPKGEEPVVSAEEEQAAVAGGEGEALRSFLEEFGDQGDDSLIPSPKLKQINTPDRLAALRFLGGKYNSLLERYKQQVAKCAEECAPRYDGLKKKYADECAERRRLYNELIELRGNIRVFCRCRPLSTAEISNGCSSIVQIDPSHETELQFVPSDKDRKAFKFDHVFGPSDNQETVFAESLPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGIPEDRGVNYRALEELFRLSEERSSSVAYTFAVSILEVYNEKIRDLLDESSEQTGRKLDIKQTADGTQEVAGLIEAPIYTIDGVWEKLKVGAKNRSVGATSANELSSRSHSLVKVTVRSEHLVTGQKWRSHIWLVDLAGSERVNKTEVEGDRLKESQFINKSLSALGDVISALASKNAHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSADSGETLCSLNFASRVRAIDHGPARKQADPAETFKLKQMTEKIRHEEKENAKLLESLQLTQLKYASRENVIKTLQEKIREAEQTSKTYQQRVRELENELANEKKAARDTARSTKPPLAPMRQRPPLGRIGNHIPPKAPLRLRLSKAPTIQNKENIPVMMNKGSSGADTSKAVAGKARRVSLTPVIRHIPLQPKRRSSLAVLPTQREQLSIFPDKRSVSRLSHIQMPRRSIATFNSIPATPLAAAAHKQVDGTPEARQLRRIEFSSSKFRSPPALARFNSRNNALSPQQKLRLASGSGNASKICFSVQKRVILGSPAPVKSSLLFGTGIFNPALREKMMAAKIGNAQRVFNTNRRKSVL >OGLUM05G16470.1 pep chromosome:ALNU02000000:5:19329034:19330899:-1 gene:OGLUM05G16470 transcript:OGLUM05G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLQQPHVYMTSLSVHRLLITSVVVAAKFTDDAFFNNAFYARVGGISTVEMNRLELDLLFNLDFRLKVDLETFGSYCLQLEKETVVLVIDRPIQQVHGVNSAKDLSRNSSIDESCKSELMRYSSQALQGCS >OGLUM05G16480.1 pep chromosome:ALNU02000000:5:19334052:19342400:-1 gene:OGLUM05G16480 transcript:OGLUM05G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQKQQPPHRPRRKGQKRKLEDEAAASATPSSLGSAGADDDNEEEEDGSAGPEICCRHSQAALAREVRTQVDALHRCFSWRHADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVCHLKEPPAVAVLQEEQQPRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPLLVNLLKRHKNATNLRAVNSVIRRAADAITNLAHENSNIKTCVRIEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKSQIVDCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSCCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQRSSFVSQDTHNQAGIAYNGGLVPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKSVQRRVALALAHLCAPEDQRTIFIDNNGLELLLDLLVSVSLKHQLDGSVALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVTFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWNVFELMMRFIYTGSVEVTSDISQDLLRAADQYLLEGLKRLCEYTIAQDVNVDNVSDMYDLSEAFHAMSLRHTCVLFILEQFEKICVKSGSSQLIQRVIPELRNFFAKALRPSHRNAQP >OGLUM05G16490.1 pep chromosome:ALNU02000000:5:19370847:19373417:1 gene:OGLUM05G16490 transcript:OGLUM05G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEAEVAAVVEARWLLDLRRAAAVLADEAAAFADEAEAAALVEVALVEAEVVALAEVANGAVTPFARPRWDKFVR >OGLUM05G16500.1 pep chromosome:ALNU02000000:5:19377946:19382787:1 gene:OGLUM05G16500 transcript:OGLUM05G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVADRAGKWRCELGRSKLNGSYIPVDSENFLLCFYSRASALLYILALLRAPPYLSLSLLRLIRHCSTAGFASCLAVSGHSQEEEEDNGGCLGGVPSISYGSFAFRRGRPRRRAARAVAAVRPVAGARAAPPQPPRRRAPEPALHHPAGLGAGNRGRAACRRGRHPGDDASVEARDGGRRRRRQLQRRQAWRRPRVQLRQQRPRLQQLQVLRRRRRRVHIWRKAGFLGAAAEPRRASVHVPGAGERDGRVQRVQRGGPRRVRRGLPRPARRRHHGRHQAAQDGRPARGRARVPHRGRPAEPDALAVPGGVAGLLRRPEPPSAGVRVHAQRQPQEPPPPARAGAGGAAAAAGLADAAGHRAGLRPRAGVPPRAQLPRRDPPRLQVQQHPPRPQLPRPRLRLRHGQARLQQGQWPGHHPRPRHHRLPRPRVRVDGEADDKVGRVQLRRRAPRAAHWAGARRHEAAAGTARPSAADEPREARADGGSCPDRPVLPQRSGPGGRHHGDVHTDEGGLPAADDGRGAVADPHREEPTHVLHLHAAEARARAPPRRLHEPEQGLFQRRCPGNAMRHARLGLISHMHLDQLQIYNASSYVLLRFDRRSLAWSTLSQADGEEEMDGQLVKLLDRREIAGTTPSCV >OGLUM05G16510.1 pep chromosome:ALNU02000000:5:19401046:19414508:1 gene:OGLUM05G16510 transcript:OGLUM05G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPIGGEGKVVESFGGIKAYVAGAAESKAAVVLISDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAAVKSAEEALADMIDWFNKNLKGCDPAPAAAREEKRVEERLEVGSRMASPQCCANPPTLNPAGGEGKVVDSFGGIKAYVSGAAESKAAVVLVSDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPFVRENTERPIEVWIKDHGADKGFEEAKPVIAALKEKGVSSIGAAGYCWGAEIDHMSPPEVVKQFEQVLSSKSEIGHFVKIFPGVEHGWTVRYKDDDAAAVKSAEEALADMIDWFNKNLK >OGLUM05G16510.2 pep chromosome:ALNU02000000:5:19388594:19401314:1 gene:OGLUM05G16510 transcript:OGLUM05G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQCWENPPALDPAGGGGEVVGDFGGQKAYVAGSAGSKAAVVLISDAFGFEAPNLRKIADKVALFGYFVVVPDFIHGDPYQPDNPNNPGIWLQSHNPKEAFEEAKPVIAALKEKGASFIGAAGYCWGAKVVVELAKVHEIQAAVLLHPSLLAVDDIKEVKCPISILGAEIDKTSPPELLKQFEQILSPNPEIAHFVKIFPGVEHGWAVRYNHDDAAAVKSAEEALEDMMDWFKKYLKSRQRWLVHPPISKGANPPNLRIPELVHHTTSLLASLPDPPRWIRFGA >OGLUM05G16510.3 pep chromosome:ALNU02000000:5:19401046:19414508:1 gene:OGLUM05G16510 transcript:OGLUM05G16510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPQCCANPPTLNPIGGEGKVVESFGGIKAYVAGAAESKAAVVLISDVFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPLVPESTEKPFQMWIKEHGPDKAFEEAKPIIAALKEKGVSSIGAVGYCWGAKVVVELAKAHEIQAAVMCHPSFVTVDDMKEVKCPIAILGAEIDRMSPPEVVKQFEQVLSSKSGIGHFVKIFPGVEHGWTVRYKNDDAAASAEEALADMIDWFNKNLK >OGLUM05G16520.1 pep chromosome:ALNU02000000:5:19416081:19425071:1 gene:OGLUM05G16520 transcript:OGLUM05G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGYKINGTIAPAMKATTTAVALLVAAAAMAAQVVAEQCGSQAGGALCPNCLCCSSYGWCGSTSDYCGDGCQSQCDGCGGGGGGGGGGGGGGGGGGGGGAVEAVVSKELFEQLLLHRNDAACPARGFYTYDALVTAAAAFPDFAATGDDEARKREVAAFLGQTSHETTGGWATAPDGPYSWGYCFKEEIGATASYCVPSAEWPCAPDKKYFGRGPIQLSYNYNYGPAGEAIGEDLLNNPELVASDPVVSFKTALWFWMTPQSPKPSCHDVITGQWTPSSGDIAAGRVPGYGVITNIINGGLECGFGPDDRVANRIGFYQRYCDVLGIGYGSNLDCYDQRPFNSGLTAAQ >OGLUM05G16520.2 pep chromosome:ALNU02000000:5:19414931:19416866:1 gene:OGLUM05G16520 transcript:OGLUM05G16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding LRRRLPEPVQRLRPDADADAAEPLRRRGLHRAQGPVRAAPPPPQRRGVPRARVLHLRGLPRRRRRVPGVRRHRQHRDAEAGGRRVPGPDLPRDHRRVADRARRPLLLGLLLQAGAEPAVRLLPALAGVAVRPRPQVLRPRPHPTLLQLQLRAGGEGDRGGPAEQPGPGGDGRDGVVQDGAVVLDDAAGEQAVEPRRDHGAVGALAGRRRGGAGAGVRRDHQHRQRRAGVRARPRRPRGEPDRLLPALLWRVRHRHRRQPRLLQPEAVQQRLVGWVGGAVTTDDEKNKK >OGLUM05G16530.1 pep chromosome:ALNU02000000:5:19443884:19448049:1 gene:OGLUM05G16530 transcript:OGLUM05G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAARAANLQVAMKALALAVLALAYAAATARAEQCGRQAGGARCPNRLCCSRWGWCGLTDDYCKGGCQSQCRVSRDGGDDDVAAVLLTAQGGGRAGVASVVTSDQFERMLPHRDDAACPARGFYAYRAFVAAAGAFPAFAAMGDADTRKREVAAFLAQTSHATSGGPYSWGYCYKEVKGATSDFCVPNARWPCAPGKAYHARGPMQIAYNYNYGAAGEAIGADLLGNPELVATDPTVAFKTALWLWMTARSPSQPSPHAVVTGQWTPTPADSAAGRAPGYGLTTNILTGGLQCAGGNGGADRVAFYKRYCDVLGVGYGPNLDCFGQAPFDSDIMSASAAK >OGLUM05G16540.1 pep chromosome:ALNU02000000:5:19447105:19447872:-1 gene:OGLUM05G16540 transcript:OGLUM05G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVQLLLLTVALTLPTSVHPQSGTPREDDVRCLEGLKTSLGDPDGRLASWTFSNTSAGAICELSGVSCWSPDESRIIALSLSGFGLTGAIPSELQFCSAITTLDLSSNRLGGQIPPALCDWLPFVVNLDLSGNQLSGPIPAELANCKFINSLKLSANSLSGKIPASLVLLGYLKSLDLSNNNLDGDIPPKLAASFSADAFADNPDLVEPHSGFDLGVLFGRPEAAAAIAFVFGFVGTLFFGPSIIRRVVGRSC >OGLUM05G16550.1 pep chromosome:ALNU02000000:5:19448482:19449444:-1 gene:OGLUM05G16550 transcript:OGLUM05G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTIIRQYVFLLMASNVVQIMCTSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTYFCSWEGVLCRVKTPHRVISLNLTNQGLVGQISPLLGNLAFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSRLKVLCLNGNHLVGQLNNNFPPKLQVLTLAYNNLTGTIPSSFANITGLRKLDFTANNIKGNIPNEFSNFLMMEILLLGGNMLTGRFPQAILNLSTLTNLHLSFNHLSGELPSNFLYSLPNLQVLALDYNFFQGHIPSSLGNDSNIRVLDISSNNFTVVVPTLCVVGTSDSVAGKM >OGLUM05G16560.1 pep chromosome:ALNU02000000:5:19456008:19456851:-1 gene:OGLUM05G16560 transcript:OGLUM05G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSLPLYASAVSISNLLNVIATTGGEVVRGGDGGAPELEEERVGALRPRHLPAAQVAWLPSHSRSPDSTLSHRLILGTHTSNETPKHLLLADAALPLPPRLAAGATVAGGAVPASSVSISRSVPHKGEINRARYMPQRRYMVATKTCVDEVHVYHLGDDGEKGGADVVLRGHEAEGYGLAPAQSTAPFQVSIFTSRSTTAADVPTRRSAASPSHGFAATLTKMMKGEREREREREREIDEEGREEGKEGKKADVDT >OGLUM05G16570.1 pep chromosome:ALNU02000000:5:19469014:19471031:1 gene:OGLUM05G16570 transcript:OGLUM05G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRCLLVVALAAVVAAAAGRLALAKENNGGRARWQVYSPAPSPKKHPCLENPPNMTENTGGEAGDVVHGYGGLECYVTGSRRSGGRAVILVSDYYGFRAPKLRKKADKVAYQLGCYVVVPDLLFGDPYTDDPARPFEEWIKTHSPVEAAEKTKPLIAALKKDGTSTVGVGGYCWGGKVAVELSKTEETKAVVISHPALVVVDDMKEVICPIEILGGELDTISPPQLIHQLEDALDQDKRASSNCRLATLIR >OGLUM05G16580.1 pep chromosome:ALNU02000000:5:19471110:19478711:1 gene:OGLUM05G16580 transcript:OGLUM05G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHHLVKIFPDAPHGFACRYNASDPFAVKTAEEAREHRRRWQASPLPAPSTGKHPCLDNSPNMTEKTGGEAGEVVHDYGGLECYVTGSRRSGRAIILVSDYYGFRAPKLRQIADKVADSGYYVVVPDLLYGDPYTDDPSRPFWVWIMAHSPDEAAEKTKPLIAALKKEGMSSVGIGGYCWGGKVAVELSKTEETQAVKRPIEILGGERDTITPPLVVHQFEHALDQNNRVDHLVKIFPRRPMPSLADTTPAIRSPSKLQKKLVLTWSNGSTGI >OGLUM05G16590.1 pep chromosome:ALNU02000000:5:19480924:19483818:-1 gene:OGLUM05G16590 transcript:OGLUM05G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C reductase UQCRX/QCR9-like family protein [Source:Projected from Arabidopsis thaliana (AT3G52730) TAIR;Acc:AT3G52730] MGLWDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKVWEMNNIGKRYEDISVLGQRPAE >OGLUM05G16600.1 pep chromosome:ALNU02000000:5:19486051:19487497:1 gene:OGLUM05G16600 transcript:OGLUM05G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like family protein [Source:Projected from Arabidopsis thaliana (AT5G18970) TAIR;Acc:AT5G18970] MATGGPSSMSAGLLFLNLVLYVVVAVIAGWAINYSIDESFNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALLAGVVGVSTSLTGLHDVSQGYPASMMSAAAASIVTWTLTLLAMGLACKEISIGWRPPSLRALETFTIILAGTQLLCAGSLHAGAHAAIIQNPMVSRV >OGLUM05G16610.1 pep chromosome:ALNU02000000:5:19487614:19503923:1 gene:OGLUM05G16610 transcript:OGLUM05G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAAVHLRPLHSLSLPLPLLAAKAATPNPGWLRLAAKLRAGRRRSSYRRGGLALLVSAASDPGKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLVLVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLATMETIDIFFNYVRRIFKNIFDHAEQISKAMIARGFRGDPNNHKIYFLTESSFGIVDVFSLLCLFALVALASISDKLV >OGLUM05G16610.2 pep chromosome:ALNU02000000:5:19487614:19502788:1 gene:OGLUM05G16610 transcript:OGLUM05G16610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAAVHLRPLHSLSLPLPLLAAKAATPNPGWLRLAAKLRAGRRRSSYRRGGLALLVSAASDPGKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLVLVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEASSQFSTGDCSTSDKLEKAGNYGNY >OGLUM05G16610.3 pep chromosome:ALNU02000000:5:19487614:19502788:1 gene:OGLUM05G16610 transcript:OGLUM05G16610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt ion transmembrane transporters [Source:Projected from Arabidopsis thaliana (AT3G21580) TAIR;Acc:AT3G21580] MAAAVHLRPLHSLSLPLPLLAAKAATPNPGWLRLAAKLRAGRRRSSYRRGGLALLVSAASDPGKAAGKGEAGDAVARWAAWIPRAAVGGAGPEQVLRLISGAAATPICQFVDSPRTFLHAIDPRVKLVWLLVLVVLPARSNIYLRFGLVAYLTLLSMWVLPNHVWKDQLGRVALLSGIIFIMLGFGSDGAPSLVQTRTPPPSVIGLPNIPTSTNGYSYTIMKLGPLQFTRKGLSVASTSACLSFAIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEASSQFSTGDCSTSDKLEKAGNYGNY >OGLUM05G16620.1 pep chromosome:ALNU02000000:5:19493653:19495496:-1 gene:OGLUM05G16620 transcript:OGLUM05G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase II [Source:Projected from Arabidopsis thaliana (AT5G59180) TAIR;Acc:AT5G59180] MFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDVGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >OGLUM05G16630.1 pep chromosome:ALNU02000000:5:19495679:19495864:-1 gene:OGLUM05G16630 transcript:OGLUM05G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPPQPRTRFGEKKKKKPARTCRTFAAAAGGEGRAPRRRRKGGGESESESESVRGPSRTR >OGLUM05G16640.1 pep chromosome:ALNU02000000:5:19504773:19506041:-1 gene:OGLUM05G16640 transcript:OGLUM05G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIDPTSTSLNQRMTRTAHPPPYRSPTTPAPPPSAGITLPHLRHQCSAPYQLPRHPLHRLSATDPRRRLALHNPPPPCCTRSSRRQVVTAVLLHRSPPHEVLPSLGSHRAVLYIACLHRSPLHRRGELQLWSSSPVGEQQSTMNAGCSAKCPVRLLTCNACVLESNVITIMILAAMISITHARIALDD >OGLUM05G16650.1 pep chromosome:ALNU02000000:5:19509973:19516404:1 gene:OGLUM05G16650 transcript:OGLUM05G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPPHAAAVLLVAAAALCLGGRAEELEASSPEFNYPAVFNFGDSNSDTGGRVAAGFESIAPPYGSTFFGSPSGRFCDGRLIIDFLMDAMDMPFLNAYLDSVGAPNLRAGVNFAQAGCSITPATATSVSPFSFGLQIKQFFAFKDKVTKLLSKGDMYRRYIPQSDYFSQGLYTFDIGQNDLAGEFYWKTEDQVAASIPTILLEFETGLKKLYEQGARKFWIHNTGPLGCLPQNVAFFGKDRSQLDELRCVAKHNRVAKLFNLQLHALCTKLRGEFAGASITYVDIYTIKYSLIANYSRYGFENPIQACCGYGGPPLNYDSRVPCGQTASLNGNLVTAKGCKDSTEFVNWDGIHYTEAANFHIASQILTGRYSDPPFADKMPFLIKPKF >OGLUM05G16660.1 pep chromosome:ALNU02000000:5:19514819:19516098:-1 gene:OGLUM05G16660 transcript:OGLUM05G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 18.3 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G54780) TAIR;Acc:AT1G54780] MAVHAGPSPYPAPESHPPNHNPTHAIATKFPIHKTAETLVITSSAQLSSLLLPGEAAAGTAAMETLLSPSTLLSPLRGSKKKPASPAASASSSSSSPARSVVSCALRRQQPPPQAVAAWRGDGGRGGGVGSWATFLQHGLAAAALSLAISMAPAPAPAVASEFDVLNGGPPEDTYVVDDAGVLSRVTKSDVKRLVRDLESRKNIRINFITVRKLTSKADAFEYADQVLEKWYPTVEEGNNKGIVVLVTSQKEGAITGGPAFVQAVGDEILDSTVSENLPVLATDEKYNEAIYTTAKRLAAAIDGLPDPGGPTFKDNKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYISKK >OGLUM05G16670.1 pep chromosome:ALNU02000000:5:19517653:19520214:-1 gene:OGLUM05G16670 transcript:OGLUM05G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTLSLSSPLFLAAPPKVQGVISCRAALASASWNSVMLGRKSKTRETIVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGCLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKNRWRYSTFVNWELWWPQFPILVYFKETQTKPEGQIHFFPVIFNGRQLYDAMVERAGPSETSGPK >OGLUM05G16680.1 pep chromosome:ALNU02000000:5:19521688:19525027:1 gene:OGLUM05G16680 transcript:OGLUM05G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT5G27390) TAIR;Acc:AT5G27390] MAPAVLLRLAPSPPYPQNPPPRRRSPASACGASRRDFAIHTAIASASAAAAVSVRPATAAAADEEAPPGEPSQNKKGSPLLGGIANTKSWSQYYGSGFSIRVPPSFDDILEPEEFNVGMTYYGDKAKPRTYAARFASPDRSELVSVVIKPSNQLKITFLEAKDITDLGTLKEASKIFVPGGAKLYSARTIKVKDEDDIRTYYFYEFGVDKEHVAVMATVNSGKTYIAGATAPETKWDDDGVKLRSAAVSLSVL >OGLUM05G16690.1 pep chromosome:ALNU02000000:5:19533130:19540683:-1 gene:OGLUM05G16690 transcript:OGLUM05G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGHDHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGFMAKESVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMNRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >OGLUM05G16690.2 pep chromosome:ALNU02000000:5:19533130:19538327:-1 gene:OGLUM05G16690 transcript:OGLUM05G16690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGHVRSARWSQPVRRDGTARLIAIKRSQTLGRVKWTRTRLAGRRVTVEKSIRSCPTLHVSMTGWTQIGFEKPEFPGSEGLDLMIILTKDLKGNTAYQRVTLSALITRSASSAPRGSRFRKVNVVKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMNRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >OGLUM05G16690.3 pep chromosome:ALNU02000000:5:19533130:19540683:-1 gene:OGLUM05G16690 transcript:OGLUM05G16690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGHDHTDQGSQGEHSLPESYPICSDHEECIVRPERIKIQKGLKIYEDVFTTSELMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEESNCHIEAIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFVRVRPSTPVDLSPLPSPTKAMTLWQAPPPQQQQPIPAPGMQQKPQHGGAIIGYGPAPQAVLAPAWGMAVRAAPVMMVAAPAPARPMVMAPAGGNKRMNRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >OGLUM05G16690.4 pep chromosome:ALNU02000000:5:19538674:19540683:-1 gene:OGLUM05G16690 transcript:OGLUM05G16690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGAAAGAAAAAGAAPASPVAAAAAAAPEVAARDAVIGWFRGEFAAANAVIDALCGHLAQIGGDAEYEAVFAALHRRRLNWFPVLHMQKFYSVADVAAELRRVADARASAAYSEEEAASTVIHEPMDELVAMAAEPEPEPEQEQEPDHDHVPQDPAPEPEPDGAVNNPVSPTAAEVLDHEADGEDSSGDSSERKAPSTEDDAVADGQLSSSFCPGLGGVKNVIRDGRCPLARVTSSRLLLP >OGLUM05G16700.1 pep chromosome:ALNU02000000:5:19589814:19591748:1 gene:OGLUM05G16700 transcript:OGLUM05G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTIYPTLPPVDRILIVASQFCSRNLLVCSPIDHRQLGGPRGGIRKRASSAVGDGRGKPEVSWATSPTTPPTTSSAKNVVPLSPSSLPFVGCDGVRGVNDEHR >OGLUM05G16710.1 pep chromosome:ALNU02000000:5:19594925:19596915:-1 gene:OGLUM05G16710 transcript:OGLUM05G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor-1 family protein [Source:Projected from Arabidopsis thaliana (AT4G15470) TAIR;Acc:AT4G15470] MASAAEMQPLAPAGYRRAPEMKEKVDASAVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSSSPTLALVLAVLPFVLMVPLYHYQHKHPHNFVYLGLFTLCLSFSIGVACANTQGKIVLEALILTSAVVASLTAYTFWASKKGKEFGYLGPILFSALVLLVVISFIQASVFFPLGSGPVALFGGLGALVFSGFIIYDTENLIKRHTYDDYIWASVELYLDILNLFLYILNMIRSMQSDN >OGLUM05G16720.1 pep chromosome:ALNU02000000:5:19601135:19603595:1 gene:OGLUM05G16720 transcript:OGLUM05G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZYY5] MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRFASINVENVEENRRSLRELLFCTPGALQYLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTEKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGPHDIDRCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVSPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLSTKKPWSLSFSFGRALQQSTLKAWSGKAENIEKARAAFLTRCKANSEATLGTYKGDAVLGEGASESLHVKDYKY >OGLUM05G16730.1 pep chromosome:ALNU02000000:5:19603327:19619169:-1 gene:OGLUM05G16730 transcript:OGLUM05G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCISFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKPLMVIAL >OGLUM05G16730.2 pep chromosome:ALNU02000000:5:19603329:19618905:-1 gene:OGLUM05G16730 transcript:OGLUM05G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCISFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKAPALAGRWRARQAAVAVAQVELALPPCRSRRARVCPAHAELADASGANNQREGDRWIWLSVRRERHRRASYEDGDGAPSSPTMVMATVLRKLSSGSLRRPPTAAAFAHRCACPPPFRPSLGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKPLMVIAL >OGLUM05G16730.3 pep chromosome:ALNU02000000:5:19603329:19618905:-1 gene:OGLUM05G16730 transcript:OGLUM05G16730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate deficiency response 2 [Source:Projected from Arabidopsis thaliana (AT5G23630) TAIR;Acc:AT5G23630] MARFEVGGKSVEGVDLLRRRHWASRLDFWPFLALYALWLVVVVPALDFTDALVVLGALSASHVLAFLFTAWSVDFRAFVKDIRAANSCKVTPAKFSGSKEIVPLHIQKTVASSSAAGETEEIYFDFRKQRFIYSSQEDNFFKLRYPTKEPFEHYIKGTGYGTEAKINTAVDKWGRNIFEYPQPTFQKLMKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVATYRCGKWVRIPGTELLPGDIVSIGRSVSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSVAGRGPEETLSVKRDKNHILFGGTKILQHTPDKSINLRAPDGGCISFVLRTGFETSQGKLMRTILFSTERVTANSKESGLFILFLLFFAVIASGYVLVKGLEDPTRSRYKLFLSCSLILTSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGVVSLEDDEELITDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAISKKSGGQPVKIVHRYHFASHLKRMSVVVSIHEKYYAFIKGAPETIQERLVDLPAGYVETYKKYTRQGSRVLALAYKLLPDMPVNEARSLERDQVESDLTFAGFAVFNCPIRSDSGAVLQELEQSSHDLVMITGDQALTACHVAGQVHICSKPVLILTRTKTGGFEWVSPDETDRAPYSAEEVAAVSESHDLCISGDCFEMLQRTDAVIQVIPYVKVFARVAPEQKELVLTTFKTVGRVTLMCGDGTNDVGALKQAHVGIALLNAEPVQKSDTKSQASKSENKQGKLKKPKPSQEGSSSQLTQPANSSARASSSRPLTAAERQRERLQKMMDEMNEESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVFLSILGQFAMHLFFLISAVNEATKYMPEECIEPDSEFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSITENKPFKYALYAAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAILMFCGCYGWERILRWAFPGKMPAWEKPLMVIAL >OGLUM05G16740.1 pep chromosome:ALNU02000000:5:19626493:19630296:1 gene:OGLUM05G16740 transcript:OGLUM05G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMPPPLAVACTALLLLLFVSVSPCRAASGGGPSAVLLPVSKDDATQQYVTMFRQRTPQAPLKAVLDLAGATLWVDCEAGYVSSSYARVPCGSKQCRLAKTNACATSCDGAPSPACLNDTCGGFPENTVTHVSTSGNVITDVLSLPTTFRPAPGPLATAPAFLFTCGATFLTEGLAAGATGMVSLSRARFAFPTQLAATFRFSRKFALCLPPAAAAGVVIFGDAPYVFQPGVDLSKSLIYTPLLVNPVSTGGVSTKGDRSTEYFVGLTRIKVNGRAVPLNTTLLAINKKGVGGTKLSTVTPYTVLETSIHKAVTDAFAAETSMIPRVPAVAPFKLCYDGSKVAGTRVGPAVPTVELVFQSEATSWVVFGANSMVATKGGALCLGVVDGGVAPETSVVIGGHMMEDNLLEFDLVGSRLGRMARFPPPLASGALLLLLFVLVSPCRSAAGGRPRAVVLPVSKDDATQQYVTVFRQRTPQVPVKAVLDLAGATLWVDCDTGYVSLSYARVPCGSKPCRLTKTGGCFNSCFGAPSPACLNGTCSGFPDNTVTRVTAGGNIITDVLSLPTTFRTAPGPFATVPEFLFTCGHTFLTEGLANGATGMVSLSRARFAFPTQLARTFGFSRRFALCLPPASAAGVVVFGDAPYVFQPGVDLSKSSLIYTPLLVNAVRTAGKYTTGETSIEYLIGLTGIKVNGRDVPLNATLLAIDKNGVGGTTLSTASPYTVLETSIYKAVIDAFAAETATIPRVPAVAPFELCYDGRKVGSTRAGPAVPTIELVLQREAVSWIMYGANSMVPAKGGALCLGVVDGGPALYPSSVVIGGHMMEDNLLEFDLEGSRLGFSSYLPLRQTTCNNFRLG >OGLUM05G16750.1 pep chromosome:ALNU02000000:5:19633404:19634723:1 gene:OGLUM05G16750 transcript:OGLUM05G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLPPRLVLASTAPVLVLLLFVPLSPCRAASGSSPSAVLLPVDKDGATQQYVTMFWQRTPSVPVKAVVDLAGAMLWVDCESGYESSSYARVPCGSKPCRLAKSAACATGCSGAASPGCLNDTCTGFPEYTITRVSTGCNIITDKLSLYTTCRPMPGPRATAPGFLFTCGATSLTKGLGAAATGMMSLSRARFALPTQLASIFRFSRKFALCLAPAESSGVVVFCDAPYEFQPVMDLSKSLIYTPLLVNPVTTTGVSTAGDKSTEYLIGVTGIKVNGRAVPLNATLLAIAKSGVGGTKLSMLSPYTVLETSIHKAVTDAFAAETAMIPRVPAVAPFKLCYDGTMVGSTRAGPAVPTVELVLQSKAVSWVVFGANSMVATKDGALCFGVVDGGVAPETSVVIGGHMMEDNLLEFDLEGSRLGFTSYLPLLQTTCNSFHLG >OGLUM05G16760.1 pep chromosome:ALNU02000000:5:19640467:19641834:1 gene:OGLUM05G16760 transcript:OGLUM05G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPLAVASGALLLLFVSVSPCRAVAGGGPSSVVLPVSKDDATQQYVTMFRQRTPQVPVKAVLDLAGTMLWVDCDAGYVSSSYAGVRCGAKPCRLLKNAGCAITCLDAVSAGCLNDTCSEFPKNTATSVSTAGNIITDVLSLPTTFRPAPGPLATAPAFLFTCGHTFLTQGLADGTTGMVSLSRARFALPTQLADTFGFSRKFALCLPPASAAGVVVFGNAPYTFQPGVDLSKSLIYTPLLVNPVSTAPYGRKDKTNKYFIGETTIQLKGRVWREKSTDYFVGLTGIKVNGHTVPVNATLLAIDKKGVGGTKLSTVSPYTVLERSIHQAVTDAFAKEMAAIPRAPAVEPFKLCYDGRKVGSTRVGPAVPTIELVLQSTAASWVVFGANSMVATKGGALCLGVVDAGTEPQTSVVIGGHMMEDNLLEFDLEASRLGFSSYLPSRQTTCNNFRLG >OGLUM05G16770.1 pep chromosome:ALNU02000000:5:19643898:19645082:-1 gene:OGLUM05G16770 transcript:OGLUM05G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTAGGRRLLVLIRRASAAAAAGANPNHHHHHHATLFTHRYSSSPLARTPEAESSAAASYLVASVGLSPAAAARISRKARFRSNADAEAVVSLLRGHGFSDANIAQVLPKIPGLLILNPDKILRPKLEYFASLGVVPSALSRAPLLARSLEKHLVPCVEFIRGVVGTDANLCAAISRNPWALWCDINSSMRPAVESLRRHGLAEANISRLVVINLSALTMSPDRIDGIFGDLEALEVPISHSRFVYGFWALSRLKRGAWEERMSVFMRFGVSRSELLKAFREQPGILVFTAKTIQRKLSFYQEKLKVAPADVIAHPLLLTFSLEKNIIPKCAVLNVLLREGKIKRYGREMDLLRPLQRSNSSFFERFVRKYEEDVPDVVKAYEGKIKFTGFMD >OGLUM05G16780.1 pep chromosome:ALNU02000000:5:19645404:19645719:-1 gene:OGLUM05G16780 transcript:OGLUM05G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSLTTAKDADRSGGGLGVQEEEDSDVGSGPMGGGGLGIRRSRSFQLASVLTRRRWMKVDYPAACGIGSLEADEDGTNGDSQRQCTRGSGD >OGLUM05G16790.1 pep chromosome:ALNU02000000:5:19647973:19648369:1 gene:OGLUM05G16790 transcript:OGLUM05G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGRAGRARLLGWRTRRRRWRGTTRGRRVLLYRIALATVFLEGHIFLFISVIGLRSKFAKFIPKLVRISSSTGIGLFLKFIGLQSSEGVGLVGFSSSTLITLGACPASQRASMALVVTFPIVN >OGLUM05G16800.1 pep chromosome:ALNU02000000:5:19652311:19653507:-1 gene:OGLUM05G16800 transcript:OGLUM05G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAICRRRLAALFPQIRGGGGAYHVQSNPQAALLFHSYSSTAVTGGSDPEPCPDTVSYLVSCGLPPAVARHTAANTRGLRIRSTEKADAVRTLLRSYGFSDADVARIARSAPLLLTVDPDRIIRPKLEFFATMGFQPSKLSTAPLLLARSLEKHLVPTIQFLRSIIGSDDGIRRGFSRIPRALMVSLDNCMRPAVEALHRHGLTGREDVSKVLVLQMGVLMLSPVRIGEIFEDLKAMGMSITDGRFANSFRAMCSMRRATWLRKVALYRSFGLSESEVFEAFKKQPTALLGADETIKKKASFFRDALKLEMREVMVHPVVMAYSFEKTILPRCAVLSVLMREGKINPDIQLLHALLGSAKTFSGRYVDRFAADVPDVVEAYEGKIKFKGFKGQGQGV >OGLUM05G16810.1 pep chromosome:ALNU02000000:5:19655050:19656134:-1 gene:OGLUM05G16810 transcript:OGLUM05G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFERRTHNRHLGWRWRCRPFLPAWKLTAKAAFVAVRKNQLRGVVAPNLQGKMLTGVLGRGGQALRYDIGRDTNIWSYGDKKIVRTMQGSPFALSTVHVCNLGSQDYSQCSSYLDLVVNSVKIICCVRLVTLPFNKDGSMLSLILYGDVLGIIPLIPDYL >OGLUM05G16820.1 pep chromosome:ALNU02000000:5:19657198:19658391:1 gene:OGLUM05G16820 transcript:OGLUM05G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT4G02990) TAIR;Acc:AT4G02990] MRERVEFLHSLGLSNEDLAAYPLALGCSVRKNMVPVLDYLGKLGVRQDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPHDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQVGSVITRFPEVLGMRVGKIIKPFVEHLEGIGLQRLAIARIVEKKPYVLGFGLENKVKPNIEALLEFGVRKEALAFIVAQYPDILGIELRDKLAAQQSLFESSILVSSEDFGRVIERMPQAISLGRTAVLKHVNFLTSCGFLLSQVSKMVVACPQLLALNMDIMKMSFEYFQNEMERDLEELVEFPAFFTYGLESTVRPRHEMVAKKGFTCSLAWLLNCSDAKFDERMKYDTIGIEEMEVDNSFDTNTLSERVEDEVEDEDRDEDSDYDSTDDEFIE >OGLUM05G16830.1 pep chromosome:ALNU02000000:5:19660107:19665588:1 gene:OGLUM05G16830 transcript:OGLUM05G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPSTRQWPPVPLFFSVTFRITQIVFSLLEPQFNHRFICHPARSLQTFSELRGTILYICTWPYEVIQSLHLHKNLISYQRHQKTKRTRVLRTARRNTPRKKKKMGVQHLLKLRMASPHPHPHPGAPLAARPLSALASFFLARPSSTAAAPPPRHVTLSCSRPHCNHNQWAASRCRGTAGRRRLQGVVAMSSSAPPPPPGSVQKSEEEWEAILSPEQFRILRLKGTEYPGTGEYDKLFAEGVYECAGCGTPLYKSSTKFNSGCGWPAFYEGFPGAIARTPDPDGRRIEITCAACGGHLGHVFKGEGFNTPTDERHCVNSISLKFIPASEDSKL >OGLUM05G16840.1 pep chromosome:ALNU02000000:5:19670426:19672834:-1 gene:OGLUM05G16840 transcript:OGLUM05G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:resistance to phytophthora 1 [Source:Projected from Arabidopsis thaliana (AT2G48070) TAIR;Acc:AT2G48070] MYSPLGSGCAFAAAASSAFPPATVPGGIFAGRRRRRPARLVLAWASSDGSDGGGAAAGAVAAEASAVGESKEGEVASGGGSSAESSAEKKPAPAVQKTAATFAPRASTATKNPAVPGTALYTIFEVQGYASMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSSKEKEALNYLFLLVPLINVIIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >OGLUM05G16850.1 pep chromosome:ALNU02000000:5:19679619:19683109:1 gene:OGLUM05G16850 transcript:OGLUM05G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRGRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMPGEKKDGKGLNDRLWKRRRSGFEIKLRL >OGLUM05G16850.2 pep chromosome:ALNU02000000:5:19679619:19682099:1 gene:OGLUM05G16850 transcript:OGLUM05G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWGWFSRVQVQARRGRRVTRREGVRSDAPLRAKVATLATYQFNCRNKQLFCEVDTSADLINNKTNAAVRRPRFIRVLLPGSFAKMPGEKKDGKGLNDRLWKRRRSGFEGLHTSSQVFYCSAMAPQ >OGLUM05G16860.1 pep chromosome:ALNU02000000:5:19681708:19683501:-1 gene:OGLUM05G16860 transcript:OGLUM05G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSPVSPPSAPSTQRKRGSSTDSIGMYAVQCCECHKWRKVPTKDEFETIRENFTEEPWHCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPAGTERLVIMRGDLSKMDTYYVMPNGKRVRCTAEVDKFLEANPQYKDRFSVESFSFTTPKIVEETVSHNSVWKSGKAKKQDKINALSNNN >OGLUM05G16870.1 pep chromosome:ALNU02000000:5:19684291:19686070:-1 gene:OGLUM05G16870 transcript:OGLUM05G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEGKPGFITMYAITCCKCEKWRTIPTKEEFEVIRENYPAKPWFCSKKRDCSCEHPEDIQYDTSRIWAIDRPNIPKPPPKTERLLIMRNDLSKMDAYYVLPNGKRAKSKPDIDRFLKENPEYAATLPLSSFNFSTPKIVKETVSDSAKWVMAKSEREERCMQLDAKEVPSSSSK >OGLUM05G16880.1 pep chromosome:ALNU02000000:5:19689422:19690261:-1 gene:OGLUM05G16880 transcript:OGLUM05G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKETLPSHGQHQLLGRDCNLSSLPSIAAPHQRAAPTSSAEATEEPVAHHKNEADLRRRRPRRTSRYESFRTEKDHPRSLMHRNFSEATLPRRTRRVTSPPLVRNRNKVFTRRFVGNMKERHDDAFKKVNGAQERRRGRTGQRHGKAFASVFTLLTQAPHVDDHGIDRDVSQAVIPRRDQTRQRIHIHTSRAGGSPPASNPAGARPDSAQPPSSPEPSRAVQRRYTQPPPTPRPLPVSSFPAAQLLPRLHMLPLASTRHLLHGATIASHHHHGC >OGLUM05G16890.1 pep chromosome:ALNU02000000:5:19693728:19701270:-1 gene:OGLUM05G16890 transcript:OGLUM05G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate, phosphate dikinase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ05] MAPAQCARVQRVFHFGKGKSEGNKAMKDLLGGKGANLAEMASIGLSVPPGFTVSTEACQQYQAAGKTLPAGLWEEIVEGLQWVEEYMAARLGDPARPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLAAKSGDRFAYDSYRRFLDMFGNVVMDIPHALFEEKLEAMKAVKGLHNDTDLTATDLKELVAQYKDVYVEAKGEPFPSDPKKQLQLAVLAVFNSWDSPRAIKYRSINKITGLKGTAVNVQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMMDHMPEPYEELVENCKILESHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLVERRTALKMVEPGHLDQLLHPQFENPSGYKDKVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKDVILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVRVNDASKIVVIEDKALHEGEWLSLNGSTGEVIIGKQPLCPPALSGDLETFMSWVDEVRKLKVMANADTPEDATTARQNGAEGIGLCRTEHMFFASDERIKAVRQMIMASSLELRQKALDRLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGHVEDMVRELCSETGAAQDDVLARVEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAITMTNQGIQVFPEIMVPLVGTPQELGHQVDVIRQIANKVFTDMGKTIGYKVGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKLATERGRKARPNLKVGICGEHGGEPLSVAFFAKAGLDYVSCSPFRVPIARLAAAQVLL >OGLUM05G16900.1 pep chromosome:ALNU02000000:5:19708815:19709027:-1 gene:OGLUM05G16900 transcript:OGLUM05G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFWLLVVDQWRPSRRRSSRVAESSTPAAAAAAALEDDEEEENRGNGSARGDGDMDPNAGPAYASAPAI >OGLUM05G16910.1 pep chromosome:ALNU02000000:5:19726422:19726988:-1 gene:OGLUM05G16910 transcript:OGLUM05G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSRAVCVQRASGNNGRRCRDGAAAAGRRSVVAQRARHGKPEVAIRSGSGGSARGGHCSPLRAVAAPIPTTKKMHAFNIF >OGLUM05G16920.1 pep chromosome:ALNU02000000:5:19732297:19733455:-1 gene:OGLUM05G16920 transcript:OGLUM05G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLGILLVAFLAAAVPFAFRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHYREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDPTGTFDKAGSGGGNPLARQLFGEGLVGLTGEKWARHRRVIAPAFNMERIKGWISEITAITSSMLDKWEPWEHFPCTNNS >OGLUM05G16930.1 pep chromosome:ALNU02000000:5:19763903:19766386:-1 gene:OGLUM05G16930 transcript:OGLUM05G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 721, subfamily A, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G75130) TAIR;Acc:AT1G75130] MAALLGILLATFLAAAAVLFALRLLHSFLWVPRRLERRFRRQGIRGPPRRPLSGNAAGYRDLLAAAQSAPLASFHHAVVARVAPHHREWPERYGRPFVFWLGPRPRLVVSDPEVVKAVLTDTTGTFGKAGPGGNNPLARQLFSEGLVGLTGEAWARHRRVIAPAFNMERVKAWIPEITSITSSMLDKWELQDEVRTEFEIDVNKEFHTLIADVISCVAFGSSYEEGKRVFQLQEEQLKLVILAMRTVYIPGFRFVPTKKNRRRKIVNQEIRNSLRKLIEINGRKCEDSNNLLGMMLSASKIDSEFKMGIEEIIDECKTFYFAGKETTATLLTWATLLLALHQEWQNKARDEVLQVCGKYERPKAENLSELKIVNMVLKETLRLYPPAVFLNRIANRDVKLGKLDIPAGTQLQLPILDIHHDVSIWGADADEFDPSRFAEGKSYHLGAYFPFGIGPTICVGQNLAMVEAKVALAMILQRFALVVSPSYVHAPMLSHSNPSMVLKFLSIRFETSMDLKYGERFGRMFPARLNFLSEVESAGAMGAFSVYKQHLVPAEMYRK >OGLUM05G16940.1 pep chromosome:ALNU02000000:5:19775783:19782027:1 gene:OGLUM05G16940 transcript:OGLUM05G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSGGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLTSPASNVSCRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >OGLUM05G16940.2 pep chromosome:ALNU02000000:5:19775346:19782027:1 gene:OGLUM05G16940 transcript:OGLUM05G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVYSDGTEGFRTSHPMLLVVAGDETNGSGMVQGGRLSALIRDNSSETPNGNCISTPTVVRFYSLKSHSYVHVLRFRSAVYIVRCSPRIVAVALAAQVYCFDAVTLENKFSVLTYPLQGAPGINIGYGPMAVGPRWLAYASNSPLLSSTGRLSPQNLTPSPGVSPSTSPSGGSLVARYAMESSKQIAAGIINLGDMGYKTLSKYCQELLPDGSGSPLSSSPGRRSGKLPSSVHPLEADNAGMVVIKDFISKEIISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTVIANSSGSIRYDWTASHVHLYKLYRGMTAAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLLPQNSHSDGLPLAPCQSRPWWSKPSFLMDHQLHPAPSTVTNSVVSRIKNSSSGWLNTVSNVAASASGKLSVPSGAVTAVFHNSNYEGSLPVPSKANAMEHLLVYSPSGHVIQHELLPSGSESSDSSPIVGPGSLQIQDDELHVTAEPTQWWDVCRRTNWPERDENIANIVFHNQRNSMMAMDASDCDSEHSDSVPSDGISGKEMMRSRERSSWYLSNAEIFFYVIDQPPAKSGESLSSSGGEIEIEKLPLHEVELRRRELLPVFKQFHYSEQNFSDRNLAIGRFQNALTYIDKDNGAHGSKAGFPISGFYSDMRKMQNMNGLEGQLLLEPITNDLQPMEKCNSVQSPKVANFTALHNVDNESMNHVSTATGATTSVTTVDTLPSTIRPLSSYSLLDGSLDDGLTSPASNVSCRPQITNNSSVSNGTMTDISNGCLTSINSGQNEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGAVFAFSEEG >OGLUM05G16950.1 pep chromosome:ALNU02000000:5:19783342:19787274:1 gene:OGLUM05G16950 transcript:OGLUM05G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGEVVEEEEEEVTAAPAPAAMVAAAEFGLTAEVMAVLPEDPFEQLDVARKITSIALASRLGRLEAEGARLRAQLAERDAAAEDLRERVEQLDAALAVATGRLRRAEEEKEALQRDNSLLSNTVRRLNRDVAKLEVFKKTLMQSLQEDEDPANTTPKARVSETSNFSSATSVGDEDSAFPVSKSSQLSETASSVSEESSHVEPDVPRPPRPHVFLPSYNSTPRVTPPDSPPRSFASISPPRRHSISITSRNLFDDRSSAYSGHSSVTSPFDAASHTGRTRVDGKEFFRQVRNRLSYEQFSAFLANVKELNSHKQTREDTLRKADEIFGPDNKDLYTIFEGLITRNIH >OGLUM05G16960.1 pep chromosome:ALNU02000000:5:19787657:19792858:-1 gene:OGLUM05G16960 transcript:OGLUM05G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEKAKRRAKMWRTAATAAAVLLLVAVGAAPAASGVAAGGAGRATAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGASDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLEKEFSKSFLEVLNHPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIEFVMSSSVVVNLNKQPFPMQRVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALKDIQHTHESKFVHELLSLLQELQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNVKKQK >OGLUM05G16960.2 pep chromosome:ALNU02000000:5:19787657:19792858:-1 gene:OGLUM05G16960 transcript:OGLUM05G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEKAKRRAKMWRTAATAAAVLLLVAVGAAPAASGVAAGGAGRATAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFDVKAITINANEWSDAGHAVNHLYDLLHMMGRDDIPVGVGGDGGASDSGALRGPDVGGYLPLIDQGTSTAGGCRYRQAVPAGRGGRLDVDTNSGVRRGFLPQGRRRYRPVTQPTAQRVMADTVSGGPTTVLLFGAHTNLALLLMAHPRLARNIDRVYVSGGAVRAADPAGNLFTAFATNPFAEFNIFGDPFAAYQVIHSGIPITMIPLDATNTISVTEEFVSEFQQHQQTYEAQYCFQSLDKSYYMWDSFAAGVALSSMRNGEVDGENEFSELEYMNITVITSNKPYGKRDGSNPFFDGRATPKLGLKEGGVHSGHVQTGIRDSFCLVPGSNRGRCEDGYTREVSGPEGVRVRVATRAKPNTDKNSSLEKEFSKSFLEVLNHPEQTGLFNINTQFPYYREVLYKPVFRNVSRGKPVIFDMDMSPGDFVSLIYLLKTPIEVIDLKAVLVNGNGWANIASIDIVYDILHMMGRDDIPVGLGNTTALGIPTLGCNNSYAIPHGSGGFIDSDTLYGLARSLPRSPRRYAPESLDHPEDRQPLALEVWQSVRKQLDPGEKITVLTNGPLTNMANISLSDRDASSVIERVYVVGGLIKDGGDENGNLFTVPSNKHAEFNIFLDPLAAKTVLESDLKIALIPLTAQRKAASFRAVLAALKDIQHTHESKFVHELLSLLQELQIKQKLYHHLDIFLGEILGAVYMVEGSGLKPSVELKPVSVIANTNKSTDGQIVISKNSAKLVRVLSDFDGEIYSKQLANSLANKRQSAVIGSFEEQKAIWSRPVNSSGNVKKQK >OGLUM05G16970.1 pep chromosome:ALNU02000000:5:19810415:19817071:-1 gene:OGLUM05G16970 transcript:OGLUM05G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSTYHRGFGGGSRIGGSARAAGTLEATGLSSPRCRGLPGNVRNVLDKFFVGLCKKSMVHYSSCKHEQAVTIDVNAWTDAGHAVNHLYDMLYMMGRDDIPVGVGGDGGISGHGTIHPNVGGYLPLIDQGMTTFGPCRYRQAIPLEGGGRLDIDTNSGIRKGFLPQGNRRYIPLYQSTAQQVLIETISAGPTTVMLIGSHTNFAIFLMTHPHLKKNVEHIYIMGGGVRSENPTGCCPKNSTTSCTPQQCGDHGNLFTSYRTNPNAEFNMFADPFAAYQVFHSGIAITLVPLDATNTIPVNEEFFHAFQQQQSTYEAQYCFDSLKMARDTWFNDEFYTSYFMWDSFTSGVALSSMRNDNNCQSENDFAELKYMNITVITSNKPYGIHDGSNPLFDDHVIPKFGLQKGGVHSGHVQTGITDSFCLAKESKKGRCEDGYTKEESGPEAVRVCVATKAKVNVDKSSLLDRAFFKSFLETLNLPENTGLFNITAQFPFYREVLYRPDFTNKSRGKPVIFDMDMSPGDFISLIYLLKVPTELIDLKGILVSGNGWANVASIDIVYDILHMMGRDDIPVGRGSTSALGTESLGCKYVSAIPQGSGGLLDSDTLYGLARSLPRSPRRYTAENSVKYGAPRDTDHPELRQPLAFEVWQFVKHQLDPNEKITILTNGPLTNLANIVLSDRNASSVIKSVYVVGGHIRDDSNTKGNVFTVPSNTYAEFNIFLDPLAAKTVLDSTLDITLIPLRAQRKAASFHALLEALKHAETPESRFVHHLLTLLHDLQQKHQLYHHMDMFLGELLGAVSLVEGSNIKQSLQRKLISIVANSTTSIDGQTVVDNQSANLVKVLLDFNNEEYYKRVANSLGDKERSAVISGFAEQRAIWSNPPENGGV >OGLUM05G16980.1 pep chromosome:ALNU02000000:5:19822905:19823248:-1 gene:OGLUM05G16980 transcript:OGLUM05G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLRRAATAVLVVVLAVVVAAAAAAKPRRILVDTDMDTDDLFALLYLLKQNRSEFELKSAFLPN >OGLUM05G16990.1 pep chromosome:ALNU02000000:5:19829754:19833045:1 gene:OGLUM05G16990 transcript:OGLUM05G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVQGAERSRRSAGRERRRASAAEDGRRGGGDGCDAAPVMAATGNAVGCVARREGTRRDKQAAGTSSSPPPGGRLVATTASGSRMGGGTSARWRRLRRGAWRPTAGERSWAQQEKMGGRLIPAAAAHARGEREARAEGDVLGGGGGEAGIPTRDIPVDLVGAKLLPHQPRTPVARRPSPVDVEHQVHLRLARPAAERLRRLRISDGTPHT >OGLUM05G17000.1 pep chromosome:ALNU02000000:5:19833315:19844112:1 gene:OGLUM05G17000 transcript:OGLUM05G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCCLVCDGDGDMHLDGAHDGQSPVGRRRTAPETASVRKPTTWVELGACWRAQAATWLAVAPPLATKFTCRRRAANELGAQDAVAGLMALSNGQIQGTGVDKDSNSGDVGTGLHGLAQPLSLPHHHAQRANDTDKVHLRRVRGERPHRGRKNNSSHEAATGHRAAHKPAMPQHAHLFLTVGFEAPRSDKSSTFCPDG >OGLUM05G17010.1 pep chromosome:ALNU02000000:5:19845392:19845685:-1 gene:OGLUM05G17010 transcript:OGLUM05G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGGCGHRTTAARARTRPCTATAPQQAFAEKLASQPAAARCAGRRKILKKLASCARAGARRGGVELRSCGGGAMMVAWRGRTEVVVVETMWRH >OGLUM05G17020.1 pep chromosome:ALNU02000000:5:19845994:19847175:1 gene:OGLUM05G17020 transcript:OGLUM05G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLARLGVIVVLWAVVAEGILDPVDFLALQAVRRSLDDMPGSSFFEAWDFTADPCGFPGVFCDGNKVSALALGDPRAGSPGLSGRLDQALGRLSALTELSLVPGRVEGELPESLSSCRNLRFLAVSKNLISGQIPDGLGGLSNLRTLDVSFNQISGTIPASIATLPSITNLILCHNHLTGGIPSFPDSSPLIRLDLKHNDLSGGVPNLPSTLQYLSLSANRLTGTVDSVLPRLTRLNYLDLSMNQLDGPIPASVFTLPLSVLQLQRNFFSGLLQPANDVTIQVVDLSYNRFWGPVSPLLAGVGQLYLNNNRFTGEVPARLVQELVGSGGLQVLYLQHNFLTGIEISPASSLPSSVSLCLMYNCMVPPVYAPCPLKAGSTNTRPADQCPEWRG >OGLUM05G17030.1 pep chromosome:ALNU02000000:5:19850823:19855042:1 gene:OGLUM05G17030 transcript:OGLUM05G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRERSGGRQSAGVGGSRMGGRFWKQTRPRISPLHKSRAPERSARLGLTTQKFVNCSRPLLLDTSSSISFLLATRERDRQRAQARKPVVKGRDDGLTPEQRRERDAKALQEKAARKAAQATGQGGNGGAGAKGKSGGKK >OGLUM05G17040.1 pep chromosome:ALNU02000000:5:19855525:19860727:-1 gene:OGLUM05G17040 transcript:OGLUM05G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPTSSIPKYKDIHDGLDFSQHEDVRYTFGIFSVKFSSDGRELVAGSNDDSIYVYDLVANKLTLRLPAHHSDVNTVAFADETGHLIYSGSDDNLCKVWDRRCLSTEEPAGVLTGHLHGITHIDSRGDGRCFISNGKDQAIKMWDIRKMTSNADSTGQKYIYTGSYDSSVCIYDVVSGSQVAKLKGYHQLAIRDCSWHPFDPMLVSSSWDGRVAKWSRSSCQQEETTDLD >OGLUM05G17050.1 pep chromosome:ALNU02000000:5:19877598:19880451:1 gene:OGLUM05G17050 transcript:OGLUM05G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDEVNRNECKTVVPLHTWVLISNFKLSYNILRRADGTFERDLGEYLDRRVPANARPLEGVSSFDHIIDQSVGLEVRIYRAAAEGDAEEGAAAVTRPILEFLTDAPAAEPFPVIIFFHGGSFVHSSASSTIYDSLCRRFVKLSKGVVVSVNYRRAPEHRYPCAYDDGWTALKWVMSQPFMRSGGDAQARVFLSGDSSGGNIAHHVAVRAADEGVKVCGNILLNAMFGGTERTESERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLGGLPFAKSLIIVSGLDLTCDRQLAYADALREDGHHVKVVQCENATVGFYLLPNTVHYHEVMEEISDFLNANLYY >OGLUM05G17060.1 pep chromosome:ALNU02000000:5:19911943:19917749:-1 gene:OGLUM05G17060 transcript:OGLUM05G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRHARPLLPLLRRHLHRSATVHRSPAPAPPDGIPSSSSAPPDPAAARWPSSWSPAAPPLHSFAASHLCEAVSPIAAAILALPGVDPDPLPALVEHNFPTLLAVSPLASLELLSLLRPNPQLGLAVFSSRRTLSPPATLPEFVLAISLAGRARDPAAAARLFAEASTAYCPDQALYNALMSSYMHSGLADRCIEAFHTLERDPRCGPPNADSYNILIALFGRSLLVDHMEATLLSLDASGHPRTIGTYNAIIAGYLTAWMWEKMESVFDEMVSGHVAPDKTTYLLMLRGYAHAGMIYKMELAYERARQHVGQVDMVHIRAMICAYCKFKHVDRIQKIEELLQKLGPDDYRPWLAVLLIRAYAQEGLVERMEQWIAEALERNTIVTTVQVMRSIITSYFQCDAVDKLAHFIRQAEEAGWKLCRSLYHCKMVMYGKQHRLPEMHGVLDEMEFFRFDRTKKTFWIMYKAYVSSGRMAEANTILCMMGKHGFGFPRGGYIQ >OGLUM05G17070.1 pep chromosome:ALNU02000000:5:19918269:19918747:-1 gene:OGLUM05G17070 transcript:OGLUM05G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRTPRMVQGIDAGLTEEAEIGNGARRPALGKTKGKKRRGKTVILRVQRRHSQRTRTHPYEHTHANPTPMSIFEDLNAL >OGLUM05G17080.1 pep chromosome:ALNU02000000:5:19918919:19919274:1 gene:OGLUM05G17080 transcript:OGLUM05G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKDLNEMFPVAEAEAAPPANPRGFVNLLCKQLDHLGNARFDPALFRVDAYGNVLYLHADTASPLAWDIDHWFPAPK >OGLUM05G17090.1 pep chromosome:ALNU02000000:5:19926494:19935871:1 gene:OGLUM05G17090 transcript:OGLUM05G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:squamosa promoter binding protein-like 7 [Source:Projected from Arabidopsis thaliana (AT5G18830) TAIR;Acc:AT5G18830] MDAPGGGGGGGGGGVDAGEPVWDWGNLLDFAVHDDDSLVLPWGDDSIGIEADPAEAALLPPAPSPQPAEAEAEAAGPASLPSSMQAEGSKRRVRKRDPRLVCPNYLAGRVPCACPEIDEMAAALEVEDVATELLAGARKKPKGAGRGSGAAVGGSGGGASRGTPAEMKCQVPGCEADIRELKGYHRRHRVCLRCAHAAAVMLDGVQKRYCQQCGKFHILLDFDEDKRSCRRKLERHNKRRRRKPDSKGILEKDIDDQLDFSADGSGDGELREENIDVTTSETLETVLSNKVLDRETPVGSDDVLSSPTCAQPSLQIDQSKSLVTFAASVEACLGTKQENTKLTNSPVHDTKSTYSSSCPTGRVSFKLYDWNPAEFPRRLRHQIFEWLSSMPVELEGYIRPGCTILTVFVAMPQHMWDKLSEDTGNLVKSLVNAPNSLLLGKGAFFIHVNNMIFQVLKDGATLTSTRLEVQSPRIHYVHPSWFEAGKPIDLILCGSSLDQPKFRSLVSFDGLYLKHDCRRILSHETFDCIGSGEHILDSQHEIFRINITTSKLDTHGPAFVEVENMFGLSNFVPILVGSKHLCSELEQIHDALCGSSDISSDPCELRGLRQTAMSGFLIDIGWLIRKPSIDEFQNLLSLANIQRWICMMKFLIQNDFINVLEIIVNSLDNIIGSELLSNLEKGRLENHVTEFLGYVSEARNIVDNRPKYDKQRQVDTRWAGDYAPNQPKLGISVPLAESTGTSGEHDLHSTNAASGEEENMPLVTKALPHRQCCHPEISARWLNAASIGAFPGGAMRMRLATTVVIGAVVCFAACVVLFHPHRVGVLAAPVKRYLSRNYSS >OGLUM05G17100.1 pep chromosome:ALNU02000000:5:19938123:19941084:-1 gene:OGLUM05G17100 transcript:OGLUM05G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPAAPGLVNVAAAGEEEERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVVRVLHQMLADKAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQSQ >OGLUM05G17100.2 pep chromosome:ALNU02000000:5:19938123:19941084:-1 gene:OGLUM05G17100 transcript:OGLUM05G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARFILSLLELGVSATVHLLFGLYVFSTAVAADISQAAAASGCLLLRRPAAPGLVNVAAAGEEEERRGAAPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRARELFYYLKGGQVDYGEEHSKVFGHTRFGRTYDTGCEGAASDACRQGKQEFRAFLFLGGIMLTLIMWFERNGSCDECMPNAFPGHDTSEDWVLSLTSLSGALNGTTRTYYDGMLAEDGRSMKSICLLQLCRIGVIVYDWLDIPWLKNYYNFGFDHFEMSWRKVGLSGLIDLLLGHTGPFASGDWILPDLTIQGSLKLNSTLRTFPNTFYFSYATKRTRKLFGITVPSSVLGIHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTHPRIPTEHPNRLVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRRSPPTVPNESSQSQ >OGLUM05G17110.1 pep chromosome:ALNU02000000:5:19954904:19962093:1 gene:OGLUM05G17110 transcript:OGLUM05G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEADMWGPGGSSHTDSAANFLPAPHHAIDVDVAYIHLMQHVVSLPMRGRMLVSPTDETGSICETLPYLLNRIESLETEEGSMVETPKLGIKMKKHEAPRICYALGTVMMASASLAWPSTLNTVAAMCDGVSPASAS >OGLUM05G17120.1 pep chromosome:ALNU02000000:5:19958151:19958840:-1 gene:OGLUM05G17120 transcript:OGLUM05G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPSKAELLGRVLIRSLLLLLPALSPDGSHHLLRIPATDLDAAILLLAMCDSYSPPAEASSSSPSCSSPVDWHALLVDDAVGSALSISGLGATPWASLAPYVDAAAKCRRFADVVSQERVAVGGGKDGEWRGGASYAAVLAMPPAAGDGAPCAICREEMVRRGGGGVCALRPCGHRFHWHCALRWLARRNTCPCCRAELPAEDARAETRRLWRAVERMAAGDDSVGCA >OGLUM05G17130.1 pep chromosome:ALNU02000000:5:19961985:19967335:-1 gene:OGLUM05G17130 transcript:OGLUM05G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTFSISRGGFVGALPQEGHFAPAAAELSLHKLQSRPHKARRRSSSSISASLSTEREAAEYHSQRPPTPLLDTVNYPIHIKNLSLKELQQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNTPQDKILWDVGHQSYPHKILTGRRDKMPTMRQTNGLSGFTKRSESEYDSFGTGHSSTTISAALGMAVGRDLKGGKNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPAPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLITILREVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDNRVVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGVPLEVGKGRVLLEGERVALLGYGSAVQYCLAAASLVERHGLKVTVADARFCKPLDQALIRRLASSHEVLLTVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQAREALAIMTVPNA >OGLUM05G17140.1 pep chromosome:ALNU02000000:5:19973616:19977818:-1 gene:OGLUM05G17140 transcript:OGLUM05G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASKSKSKDRSAAKAPKEQPKVAAKPMGNGTVASAYNNFSGKFHLLEPSSSLLVSQGNDKLRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKSTSTAPRVDSVPGCDVDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEALAQKLVAMGFSSDQATMALIQNEGCLEESVAWLCSFDGSEEAKQQTAADQQPGVNLKIDITDELAKIATLEVKFKCTKQEIERAVVSSEGDLEKAEEVLKTQKQESTATASKPEGSGDSSGLANKAQLMLAQNPTRPQTNGFSSVGAQQMRRDEKDLNYKLLLNGSGPKEHAVKGFQPLAPSVKPEMGRPQFVQPEKRRLNANSVPSVSYITSSPLPVAAPQIKSETRHVAGGNEVKNAMHNGNLRESVVVMQRPQSAAAKQSLPSTSHSMFASEPPREWYLNGASGVDMMLNGGLGHGLRNMSLDGVNPSKQFVHANHQQSFVSNPIDLAANGWGGTWGSGGTSSSLGAASSLGLFRGWSSSESSSSLSRPDWRTNGPSPCDYTSIDWSLDTTLLNPAAKSEWLSDTWSTMFMGGRSTRPSGNLGGPGIAGLHESNFPMDPSPSPRPYDWPSFCRGGSS >OGLUM05G17150.1 pep chromosome:ALNU02000000:5:19981587:19983009:1 gene:OGLUM05G17150 transcript:OGLUM05G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLARPSLAALLALCAFAAVERPPAGVGAANVPITTCRSFCGNITVDYPFALRAGCGHAGFRELLYCINGALMLHLPSGSYRVLDIDYAYRGLTLHDPAMSDCRALDRSRGGRGNGFVVEPWRAPYLAPDPDNVFLLLGCRASSPLFQGFPDRHLPCRNVSGMGCGEYYGCPAWDDYGGRRPSGAAYGATAPPECCAVSWDAIRAVNVSRLECEGYSSAYSLAPVRAAGPAGWAYGIRVSWALPEANRGFCGACRATGGVCGHDGDSHGDLCLCGDWNSTSNCDSSADAARPNAASAAPRAIVALCLGVLASGFSFL >OGLUM05G17160.1 pep chromosome:ALNU02000000:5:19985600:19986687:1 gene:OGLUM05G17160 transcript:OGLUM05G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQQHELGIGATNVARPILESIKSSSQRMAFDVMRISSKVLEGEKGVRAFEELLKSQDTLEEEELYMMNNGISEEAAKTFYELIPSTEKLKVLHFHNNMMGDEDLTELYLGDLNLENKGTLAIVNTRKQLTPQIEVLEMARNKINAKAAQALAECLTTLQSLKKLTLAENGLKDDGAVVIAKALEDGHRDLKELDVSKEYVAEDGSSNDPERDLDDDGKEEEDDGEWDSKLQVLKVE >OGLUM05G17170.1 pep chromosome:ALNU02000000:5:19987583:19992408:1 gene:OGLUM05G17170 transcript:OGLUM05G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ36] MLRRRGFWCCSGAPAAAAAALLLLAVAAAAPRAAGFHLGGDESVLVRGMLAAIRREQAEAEDAARFAVAEYNKNQGAELEFARIVKAKRQVVTGTLHDLMLEVVDSGKKSLYSAKVWVKPWLDFKAVVEFRHVGDSQSQSATAADGNAGQDTADPTVASRNDLHNTENNKVSVDLSTFSQTYSV >OGLUM05G17180.1 pep chromosome:ALNU02000000:5:19992764:19998329:1 gene:OGLUM05G17180 transcript:OGLUM05G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-5 [Source:Projected from Arabidopsis thaliana (AT2G38720) TAIR;Acc:AT2G38720] MATAPPRVSCGSLLQELQVLWGQIGQNEAERDRMILQLEEDCLNVYRKKVEQTRKQKEDLIEELSFGESDIEKILSALGERESFSRVEKLGGTLLEQLAKVEPVLEDLRRRRDERVEEFMVVQAQIVRLHAEISGTIENGDPVPPLVDETNLSLRRLAEFKSQLKELQTEKNLRLQKIDVQINCIHEICNMMSLDLKKELYDVHPSFVELGRTTSMSISDSTLERLAGKVHSLNQEKKQRLRKLQDLGSTLIELWNLMDTPTAEQKCFDHVTSLISVSPNTKMPQGCLARELIEKVEVEVKRLNCLKASKMKELVLKKMIELEEIYKSVHMDIDSDYERRILNDLIDSGKADLSDLLTGMDGRITKAREHALSRKEILEKVEKWTLASEEESWLDEYERDQNRYNAGRGAHKNLKRAEKARMLVSKIPSLLENLTAKIKAWEKENGVPFMYDKASPIRLLDSLEEYTSRRQQKDEEKRRSRELKKLQEQYAAEQGATFGTKPSPARPPSARKPLGQSSNANIISGTPTIRRVCTPMARKGGLSSGKVKEAGKTAFIPANYVALPKDCSDNSNL >OGLUM05G17190.1 pep chromosome:ALNU02000000:5:20002079:20003954:-1 gene:OGLUM05G17190 transcript:OGLUM05G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPPPAPARLKMRAAAAEKTAINTRPLRLPHSLLRSSSELANAPLLSLLPPHYLSWRVVYTIEHQTMAVAQQQQQQRQATGESLMQKCKPYVAMVSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMSFWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRAVEMVWTKHMHLHGPHQDAVAAAAADKDWFRGSIFLIIATLAWASLFILQAATLKRYDAPLSLTTLICFVGTLQAIVVTFAMEHSMSVWKIGFDMNLLAAAYAGIVTSSIAYYVQGLVMQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGIIGSVLIVAGLYSVLWGKHKENAEKKEAEAMEIPVAIKGVDGNGRVMDIVELDEVQLEKAQVNGKAAAAAAHEHAAVVAVAVPAEEARMQGKDEA >OGLUM05G17200.1 pep chromosome:ALNU02000000:5:20040167:20041264:1 gene:OGLUM05G17200 transcript:OGLUM05G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14170) TAIR;Acc:AT4G14170] MLARGVPPDHFTLPPVLRSCALTGSSALAASAHALALKIGAQGNLFVASALVLCYSGLSNLPDARRLFDGMRERDAVLWTSMLSAYAQGGHPEAALRFFQGMVAARVQLDAVVMVSSLLACGQIGWRRHGRSVHACCIRRLPGMPLSLGNALVDMYVKCGEFAFAERVFAGMPRRDVISWSALILGHGLNGRSDVALRLFDEMAAEGVRPNSVTFLGALSACAHSGMVDKAYAIFEGMKRHGVKPELKHYSCMADALGRDGRVVAAVKLIEEMPFEPDEAMLGGVLAACRVHGEMEAAERISKKLMGMSPSKSGYFMSLANIYSDAGRYSDAERIRGFMKEVKVDKLPGYSSVEFDVNVSEPRSG >OGLUM05G17210.1 pep chromosome:ALNU02000000:5:20043806:20044105:-1 gene:OGLUM05G17210 transcript:OGLUM05G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGNAMESAIEYARVSSDAMDSGARVDAAYQCVFQEYDGDALKANITAMGPLVLPTWDELLFVLLLPPLACLLKLITAMKLKLCCCLFSAVKCTLKMN >OGLUM05G17220.1 pep chromosome:ALNU02000000:5:20046099:20046588:1 gene:OGLUM05G17220 transcript:OGLUM05G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAVEMAVAAGMATTARLATATVWRQRGGSGRVGGGSVTSMDGGAAGSGGETIAGGGKWRRDGGCGWRRHGGLGRLAGGKEDGRIWPARQRVGGRLGAIDGGKPDWHERRVRWRRPARRERRCRGQRRPAWHERRGRGLEARLTREAHPVEEAGATR >OGLUM05G17230.1 pep chromosome:ALNU02000000:5:20046661:20047191:1 gene:OGLUM05G17230 transcript:OGLUM05G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGQRGAPVQWPHMSAEFERWWSIGGEQRVKTQPGLGRANNDGSFPLLRALSCRLTPQGGLPGESPWRSVTLSGDWSGVSLLPDLCIGAVGVWVMIYFPFS >OGLUM05G17240.1 pep chromosome:ALNU02000000:5:20049642:20050730:1 gene:OGLUM05G17240 transcript:OGLUM05G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATEWQQAQVQAAATDAVAAAAVGRKVVDEVSGWLRVFDDGTVDRTWTGPPEALPLMQPVPAYAEPRDGHTLHDLPGEPNLRVYLPEVALAGRRLPVVVQLHGGGFCISHPSWLMYHHFYARLACALPAVVVAVELPLAPERRLPAHIDTGVDGLRRLRSIALSDAAALGDPAAELLRTAADFSRVFLIGDSSGGNLVHHVGARVGEDRADSWAPLRVAGGIPLHPGFVHATRSKSELEPRPDSVFFTLDMLDKFLAMALPEGATKDHPYTCPMGPNAPPLESVPLPPLLVAVAEHDLIRDTNLEYCDALRAAGKDVEVLVNRGMSHSFYLNKYAVDMDPATGERTRELVDAIKSFVDRH >OGLUM05G17250.1 pep chromosome:ALNU02000000:5:20056569:20061724:-1 gene:OGLUM05G17250 transcript:OGLUM05G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAERDGVGEEQKKRKQGGFRTMPFILANEICDRFATAGFGANLITYLTQQLHLPLVEASNTLTNFNGTSSLTPILGALAADAFAGRFWTIIAGSVFYQLGMLALVASALLPSLRPAPCAPTHGAASCRRATGWQLAVLYLALLCTSLGSGGIRPCVVAFGADQFDDQQQKQRQKGGGGAAAAAAAGRKRSYFNLYFFTMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFVSIVVFVAGYPLYVRLKPGGSPFTRLAQVVAAAFRKRRAAVPEDPGMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDIAGSGEPDLWRLSTVHRVEELKSIVRLLPIWSAGILLATAASHNGTFTIQQARTMDRHLTPRFEIPPATMSIFTTVAMLAGLALYDRAFVPLARRVTGLPSGITYFQRMAIGLGISILGVASAALVEVRRRCAAADHGLLDSPAAVVPISVFWLVPQYAVHGVAEAFSSVAHMEFLYDQAPESMRSSAAALFWLSSSLGNYMGTVLVTAVQRATRGGGEWLQDNINRGRLDCYYWLVTTLMVLNLGYYLVCFHFYTMKPLEVAEEDDDEKESELSSVHKNGGPGGLV >OGLUM05G17260.1 pep chromosome:ALNU02000000:5:20066684:20067522:-1 gene:OGLUM05G17260 transcript:OGLUM05G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKKEVPKKYPRTLIPLVIWEIWKERNQQVFEHKETTTPSLLTKIKEKARTWALACAKRLREFLPNCISHTATYWLRKWAQLQRHDDHIKLIKDVYRKLESMIMQIFVNFRWKFTKRI >OGLUM05G17270.1 pep chromosome:ALNU02000000:5:20081716:20083902:1 gene:OGLUM05G17270 transcript:OGLUM05G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVADENGSPKKTKQGGFKTLPFILVQVLHLKCSIRVLRVHYSVNAANEVCDRFATAGFNANMITYLTQQLHLPLVEASNLLTNFTGTAAFTPVLGAIAADSFAGRFWTIAGGGVLYQIGMLGLVVSALVPALRPAPCGGGGAAAPCQRADGGQLAMLYLSLLCTALGSGGIRPCVVAFGADQFGLGGRRPGGEQKWSYFNLYFFSMGLAVLLALTVVVYIQETVGWGWGFGIPAIAMFVSVLSFVVGYPLYVKVKPEGSPFKRLVQVVVAAFKKIKEPVPDDAGELYHNKELDAAIAADGRLLHSDQLRQAFSMHRSLCPFTCGSLFILTQFNVFLDRAAIVTAGDIAGSGEPNLWRVSTVHRVEELKSIVRMLPLWAASITAIAAGSHNFTFAIQQARTMDRHLAPRFQIPPATMIIFTTLTMLVSLALYDRVLVPVARRYTGRRSGITYFQRMGAGFAVAALGVLAGALVEAKRRAAAAEHGLLDAPGAVVPVSVFWHVPQYALHGVGDALATVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASRGEWLQDNINRGRLDYYYWLVTFLLLLNLAYYFVCFHFYTLKSFEVDAGDEAQRRRDVAGNAEGETELSAGCVVASRNGVL >OGLUM05G17280.1 pep chromosome:ALNU02000000:5:20084279:20091298:-1 gene:OGLUM05G17280 transcript:OGLUM05G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGRGEEKAVDVVAVKKPKQGGFRTMPFILANDFCDRLANVGFSSNLITYLTLQLHLPLVDASNTLTNFHGTANLTPLVGGLIADSFAGRFWTITFGSVIYQLGMVFLTLSAALPSLRPPPCAKHAADCQRASSSQIAVLYASLLFTSIGTGGTRPCVMAFGADQLELDAGARGRRGRKGPKWSFFNLYFFGIELAKLTAVTVIVYIQENVGWGWGLGVPTIAMFAAVIAFVSGYSMYVKMPPAGSPLVRLAQVAAAAFKKRKAVMPEPSRLYEDKVLDAGISTTGRLLHTDQLKFFDKAAIITDGDVLPSGEPKLWRLSTVHRVEELKSILRMLPIWAAGILLVTSASHNSSFAIQQARTMDRDITPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGHPNGITHLQRAGVGMTIAMLANAVAAVVESRRKSVAAASGMLDAPKGSSLPISVFWLVPQYAIHGVADAFMDVGRMEFLYDQAPESMRSTAAALYWLTMSIGSYLGTLLVTIIHAKTQRSGQWLQDNLNRAKLDSYYWLVFGLQGLNLIYYFVCVRYYTFKPLETVKPEEELELYPGNGNEDDVKKGGALK >OGLUM05G17290.1 pep chromosome:ALNU02000000:5:20092969:20094141:1 gene:OGLUM05G17290 transcript:OGLUM05G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAMVSSVSSPRHGSGGRRQTWRSGAMREDDDEVRDAEREMTTRSVAQERDMATRLAAQERGTATSSPASLHQVSLATNRVNSPEWVYLLALVLSHSFLSAHSSLAFAATRPAPAAFSRPSASPLPRRHSAASPASSKPARILAMAAQQIAEIVIFSAGLLATLAEMRRDTIATCFLCQLPVPLPAIEKNCKGQPLYFKFVADWGICE >OGLUM05G17300.1 pep chromosome:ALNU02000000:5:20098852:20101078:1 gene:OGLUM05G17300 transcript:OGLUM05G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESNDDAGEQMPQQGGIKTMPFILANDFCDRFATIGFNANLITYLTAEMHLPLVEASNTLTNFHGASNLTPIVGGLIADSFAGRFWTIAAGSVAYQLGMVGLTVSALVPSLRPPPCRGEAVAVAGEACQRATPWQLLVLYLSLLCTSVGTGGTRPCVMAFGADQFELGKPPRRRPGEAGAPRWSFFNLYFFGVELAKLVAVTAVVYIQENVGWGWGLGVPTIAMLAAVTAFVAGYPLYVKMASGGSPLTRLAQVAVAAFRKRNVAVPDDPGLLHDDKELDAGVSTTGRLLHTNQLTFFDRAAVVTDGDMEGGGGARPWRLSTVHRVEELKSIIRMLPIWAAGILLVTSASHNHSFAIQQARTMDRRVTASLEIPPASMLIFSNVAMLATLALYDRALVPRLRRLTGHPAGITHLQRTGVGLAISAVSNAVAAAVEGRRRRAAASHGLLDEPGATVPMSVLWMAPQYAIHGAADAFMDVGRMEFLYDQAPEGMRSTAAALYWLTMSAGSYMGTLLVTAVHERTRGEGEWLQDNLNRGRLDRYYWLVVTLQVINVVYFVICAKLYTYKKLETVDGVSTGERNDIVDDQENAGERDEKGSDVKDVELQPLLLSDVTLP >OGLUM05G17310.1 pep chromosome:ALNU02000000:5:20102809:20111939:-1 gene:OGLUM05G17310 transcript:OGLUM05G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAVRCAKRTGKRRYPSEKKRLDRRHKELLRKAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVKGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYPELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPLHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >OGLUM05G17310.2 pep chromosome:ALNU02000000:5:20102809:20111939:-1 gene:OGLUM05G17310 transcript:OGLUM05G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G30720) TAIR;Acc:AT4G30720] MALAAASVSVLHLPAISLPLPCLQGSNPSRPSLGLTNALPWRRRGGRGAGAVAGAVRCAKRTGKRRYPSEKKRLDRRHKELLRKAAPEEGSAGREAGYWRLSKLAVPARDDPGKDFAGVSPPLLQAIAKALKFPVSSMLPEEAFTVIRKSFDARKILKEPQFVYTVDVDVKRLLDLEPRTWDFIARLEPKLGTIEYMPDEKVASDLVSMLNVYKQGSDGELGINDTVNNGSICSPRKKPRVAVVGSGPSGLFASLVLGELGAEVTLIERGQPVEQRGRDIGALVVRRILHSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVQFGGPPNILVDGKPHLGTDKLVPLLRNFRHHLKELGVNIIFNTRVDDLVVKGGQVKGVVVSDSRLQLGSPNQTLSFDAVVLAVGHSARDTYSMLLRHNVDMHPKSFAVGLRIEHPQELINDIQYPELAAEVHKGRGRIPVADYKIVKSFGEGDAELPEQNRSCYSFCMCPGGQVVLTSTNPSELCINGMSFSRRASKWANSAFVATVSSHDFRPFESHGSLAGVEFQREFERRAATMGGGNFVVPAQCVTDFLSNRLSVTTLPPSSYRLGVRPSKLHELFPLHITEVLQQSIIMIEEEMPGFVSSEALLHGVETRTSSPLQISRNTGTYESTSLQGLYPIGEGAGYAGGILSASVDGMYCGFALAKQLSLFHGDIESTLGKAQNQKGFVKY >OGLUM05G17320.1 pep chromosome:ALNU02000000:5:20113107:20116727:-1 gene:OGLUM05G17320 transcript:OGLUM05G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPALLDPTAAFDLRLYPAHLFDHELPLAGGGGGDDDDDLPLDGLEFDLPGDFSVEDFLLRSPERDDSGEGSAAGSGPTASPSSSPTTSASNSAVANGSGGEVKHEESDEGRSGGGDPKWSLKRKQASPGPSSDAAKCRRSGDGDVSPSASASRTAVDSDEGGTVCEEEEDKRRAARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSRISFVVAENATLRQQLSGGSVNCPPPGVYPPAPIPGMHFPWMPGYAMRPPGSHVPLVPIPRLKPQQPVPSSKVVKKPESKKTVENKSKSKTKTKKVASVSLLGLLLIMLVFGAFIPGFNHNFGMGGQSDNAMFRNFGQSHARVLSVSSQDKSSLNNSDMISVDVGKMTGNTDGPGKKHQPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHKASKDDSDQSARDHKETSVAIARYLSLPGKDVNRQETSSADGPLPQWFREGMEGPILNSGMCSEVFQFDISTASSNPGGIIPASPVVNSSSVNATEKIPAHSAAYHGKLKNRRVMYNEAIPLTGKTANNTEPFNRTSESSSKLPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSKIFVVVLVDGVRYVTYSCTLPFKSSSPHLVN >OGLUM05G17330.1 pep chromosome:ALNU02000000:5:20126653:20130340:1 gene:OGLUM05G17330 transcript:OGLUM05G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPRPAAAEDGRVTSHVDPFLVEALDNPRHRLMVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTPESKLPVIALSEVPSKQARNEHEAAEKLKFVICPRPKAFQNGAGDAGAKNNAARTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVARDEPINVEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVHDFNVSPGGFNFVVPQFMQYGVGFMQSANMSRNQPSVYFGQPDLSMCSSSGTAVYPQWPTPAMIYPHCYDNLGHMISQVPVYQSFNHG >OGLUM05G17330.2 pep chromosome:ALNU02000000:5:20126705:20130340:1 gene:OGLUM05G17330 transcript:OGLUM05G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAASPPPPRPAAAEDGRVTSHVDPFLVEALDNPRHRLMVLRMEMDIQKFMQNPQLHEFEFQHFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSKIVAKKTPESKLPVIALSEVPSKQARNEHEAAEKLKFVICPRPKAFQNGAGDAGAKNNAARTVEERIEEYNKARARIFNGSISDIEGTSDLGALSVARDEPINVEPPVDENKVNTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRGPVHDFNVSPGGFNFVVPQFMQYGVGFMQSANMSRNQPSVYFGQPDLSMCSSSGTAVYPQWPTPAMIYPHCYDNLGHMISQVPVYQSFNHG >OGLUM05G17340.1 pep chromosome:ALNU02000000:5:20136022:20136805:-1 gene:OGLUM05G17340 transcript:OGLUM05G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQQRRAREERASCNGGGYEGGRRRARGLPGGRSVHEGGGENTSAAELLLGMGGKAAYARRETAAEADNGLIRPLGGGSSPQRLDQDRPSSRWRRRDSKLAAAEARPGRHGPAEDALAAAELVAMVATAGSRRAGRRRWRPVRRKEARPMVVEADAWRRPRCDEAAVEARARRVPVRYDEAGRRGARKCSRRRRWPTQREARLALVKPDEARPVAAHEGWPGRRAPMQWRPRTSGGLNGGGAMIHQW >OGLUM05G17350.1 pep chromosome:ALNU02000000:5:20140950:20143083:1 gene:OGLUM05G17350 transcript:OGLUM05G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARFLCRSGGELAEGEMKRTNCMYPRTEARCPSPARRRRSVCLLASVVAGRREQSKLAAPAAAAASSGSELQLFGEGGRRHHRHAGGGADHAVVHPRWADGRAASGDGPAEEPVVEAGARAGAVVAERARGGVVVEVADLRRVVVRRDVLAREAIDAHQLHYRLGDGVLDAEVRHRVDEPLVQLRRPHEPGPLERPRRLVAX >OGLUM05G17360.1 pep chromosome:ALNU02000000:5:20142385:20142960:-1 gene:OGLUM05G17360 transcript:OGLUM05G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMSVDGLTRENVASHLQKYRLYLKRMQGVGNGGGGGGGGGAGAGGSHSSGSGTDAATEHLFATGPVPFLPPGRAPAGGDPYPPFAPMGGHHHHPPQIGHFHHHPAARPLGHYGSGPGAGFDHGFLSRAVAGGGPPVGPPGMHHRMVGPAAGMAMMAPSPFAEELELGSRGGGGGGGRRELTLFPTTGDH >OGLUM05G17370.1 pep chromosome:ALNU02000000:5:20158310:20158693:-1 gene:OGLUM05G17370 transcript:OGLUM05G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALQADCFTNDLSQLIIADVMSGSVPLDASTSISGRVAILGVFKPDKINCSPMPTHPILQWMVTDLLTINFISVAPVEGDAMGDELVVMMGLARELTATQRARAMT >OGLUM05G17380.1 pep chromosome:ALNU02000000:5:20162805:20163229:1 gene:OGLUM05G17380 transcript:OGLUM05G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTATAVDRDGGGGEWQGGDGKAAETARIHAAQRRLNPAGTADPPPESMPRRPNPRRVGGDGGNGGRLPPDPDTGPHFCSRNPPQQPRHGPLLAGGGGPAPAAKELHRTILEMQAAHGSQCSRRCTAPASAAAS >OGLUM05G17390.1 pep chromosome:ALNU02000000:5:20179499:20181121:1 gene:OGLUM05G17390 transcript:OGLUM05G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCEHPNRETFITNHLLYVFRGENKFEWDGIDRITNPLFSDLGQEGRLRVEVATNLLFSRAWPRGRGQPSPSLKNKIERRHRLVKDEIELKLRIRCCLVELAFLVPKLRRLRRTLRPQAARAPPASSISW >OGLUM05G17400.1 pep chromosome:ALNU02000000:5:20185958:20186380:1 gene:OGLUM05G17400 transcript:OGLUM05G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTIPRSMRESDIAGSAHKSHCHCWICPQEHPQPREPLPPLDPPARALAATRSAHDGTRRHRRESPCRHWIGLREHPPLDPPARALATAGCARKSTHRWIRLLEPLLLPDLLVRAPNTTGARSACCCHLRIHAVVDAGR >OGLUM05G17420.1 pep chromosome:ALNU02000000:5:20203020:20203902:-1 gene:OGLUM05G17420 transcript:OGLUM05G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQENGGEAPELKLFGSWASSYTHRVQLALRLKALEFVYAEEDLGNKSEALLRLNPVHKKVPVLVHRGRPLAESVIILQYLDDAWPESRPLLPSDPFDRALARFWCHFADDKLGPAVGAVFASTGKEQEAAVQQVHDNLALLEAELREGAFKGRRFFGGDQVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRDFEAQEEVKETIPSVDRLLEYARGLRQMLLALAAGAAAAAGSSPVTAAVDAPAPAAPPAAPQAAAVDI >OGLUM05G17430.1 pep chromosome:ALNU02000000:5:20207348:20208535:1 gene:OGLUM05G17430 transcript:OGLUM05G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVRRRRLLLLPLPLRFFSAAAAAASTTTDPKVVSYLISSCGLTPAAAARAAATSPWLPLASPDFASNADAVVALLRRYGFTDADISATVRAFSRILASDPARTLQPKLDYLRSVGITAPLLPRVVSLSPVILHRSIESHLAPLIASLREVLGSDSRIVTALRQMPFAMRCSPKATFLRTLPVLRDVHGLTPSELSKLVASQPGVILLGPGRAGEIVQAVKDAGVEPGSPMFVYIFAAFSKLKAPTLENKFAIYRSLGFGKDDIAVMLRRLPNAAGISEERLKRTVGFLTGKAGLRREDIVAYPNLLSRSLDSHARRCAVLAVLRREGKPEGQHRVPHVLVASLARFMKAYVRRYEGEVPDVLRAINGEIPFEGFGLGELEKKQPQRQEKIRR >OGLUM05G17440.1 pep chromosome:ALNU02000000:5:20214392:20217761:1 gene:OGLUM05G17440 transcript:OGLUM05G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ65] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >OGLUM05G17440.2 pep chromosome:ALNU02000000:5:20214392:20217988:1 gene:OGLUM05G17440 transcript:OGLUM05G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ65] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >OGLUM05G17440.3 pep chromosome:ALNU02000000:5:20214906:20217761:1 gene:OGLUM05G17440 transcript:OGLUM05G17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ65] MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYAGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEAEYEEEEDAIQE >OGLUM05G17450.1 pep chromosome:ALNU02000000:5:20220465:20223811:1 gene:OGLUM05G17450 transcript:OGLUM05G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSLALLSTASASLGLGVARASARPRSPFGRGLALRGLSSSSSSFAATAAVMGKAGTAEAADAGMDAVQRRLMFEDECILVDEQDNVIGHDSKYNCHLMEKINSGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEDKSLGVRNAAQRKLFDELGIQAEELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVRDVELSPNPEEVADVKYVNRDELKELLKKADAGEGGIKLSPWFRLVVDNFLMGWWDHVEQGTLEEAIDMKTIHKL >OGLUM05G17460.1 pep chromosome:ALNU02000000:5:20240188:20242697:-1 gene:OGLUM05G17460 transcript:OGLUM05G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDRWRELLRGAAGSAGICDVIENAILVAAADAPQELLRRRDRICEILFTAPRAPPCHHGCGSAATPTPATPVEEDKGSVRRVPEKQSKVDSSSNGALGGGGGGRRDDVDDDSDSDSDDERLRRAAASNYGHNYDDDDEEEEEEQEAAPAEEDEEQELDPEAVELEALTNEIDEESQVVGEVLRIKDLFLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNGLRKHNSQQIRHLVRTLIEGWKILVDEWVSTTNVALTDNSPGSSKTSVVDDADDEGLPSPPLDEGAFFATQTTAIQLSEFFDEMDEDGNLRHNNDGSLGNKRENNGRRPTSHPALTKQEPPRNMGAVEKVQSRRPELARQEPSMRQANPQTPQNSSLQIKQQAMLHKQSKPLSSDSGPGRPLRAAPQQKPFVEMKSRLAREQVAVERKPAASHVDKSRLPAQPSAGVKLESAKPKIYDGLESNSRLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMMKSRNHIRSWANGRR >OGLUM05G17470.1 pep chromosome:ALNU02000000:5:20254711:20258115:1 gene:OGLUM05G17470 transcript:OGLUM05G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAVVGWLVCPVIRIVVDKARSCASDRFRWLNGGVPDALKQLEDELNQLRAEAGCVERCLGGGGGGRGNCELVRWLRQLKEVVYEADDVLDEFAYRRLAPNDGKVSLLGSSSIGKIGKQLVGKDESVNRLKAVVEKLSSIRANSGRLMQAAGLTKPGSGEPSSTLLTSDGPVTGSILEDGEVFGRDKEREQLVSWLIGSTPEGEGEDRSAAAADTIPVAAILGLGGIGKTTLARVLCHDHEVKGAFDLIMWVCPAGNYSKLDLAKQILQSAELPDDTNSFDRLQRRLKEAVSSRRFLLILDNVWNKDENENSYRDMWADVLAPLRFGRAGSKIVVTTRKRIVADLLNASKFVWLNGLEFADVWLLFKKFAFDNNDVDRHPELKEIGEQIAVKLKGLPLAAKVVGGMLKRKPSLTEWKRILKMEIYDNVSSTLELCYQNLQEHIQPCFAICSIFPKNWRFNRDKLIKIWMALGFIQFRPDDTKNQLEEDVGKEYFNQLVAQSFFHERKEGRRTYYYIHDLMHDLADNVSRIDCARVESVEFEKKDIRIPDTVRHLSVTSDAVMQLKGRAELKRLRTFIILKHSSSSVVPLPDDVLKELKGLRVLGLDGCDMVELSDKVGQLIHLRYLSLCKTITKLPKSVTKLFLLETLYIPKRCQLEEFPKDMWKLKYLRHLDINRTNTSKIVGIGKMIHLQGSIEFHVKKEKGHTLEDLNDMNDLRRKLHIKNLDVVASKEEASKAGLSKKQSIKVLELEWNSPGKSVPSVDADVLEGLKPHPDVEEIHIRRYHGNTSPCWLDRKDITFLKYLHLTNCRKWAVLPPLGQLPFLKVLHLKEMCSLKQIGSEFYGTNPTAFPYLEDLEFDDMPKWVEWTKEEEKYDSVFPRLRKLKLLSCPDLIKVPPFPQSVRKVSIENTGFVSHLKLSSSSSSKANKVKLETCSAAVLTNGLFHQQQVHEIVDLTLRHCQDVKFEELHALTSLKRLQISYLEMTDEELGTCLQGLQSLTLLDIVHCSKITTLPQIENPSNLTKFHELNIRQCPQLSSLHSLPSFATLETVLIENCSRVTVESFPANFNSLTSLRKLSIMNCTGLESLPSGFPSSLQVVHLIGCKPTLLSQLQNKDGPEWDKIASIPMKLIH >OGLUM05G17480.1 pep chromosome:ALNU02000000:5:20260491:20262434:-1 gene:OGLUM05G17480 transcript:OGLUM05G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAPAAPWPVSQEISSLADRLLAHAGGDGDGAAGMRDLAGALLRIQPVARGVERRGDAGQRDLADWLLQLKGAVAEADDLLDELLLRRRHGSRLSPALASCLARGKPPSRELKRLVGRIDRIHDVSERLVDAVAEEEAGKAGRGVRSPNRVTGSVLTERKVFGREKECGEVISRLVDCPENACSSALPVVAIVGHGGMGKTTVAQFVYNDERIEQKFDIKAWVCVWDRSDAAELTREILQSIGGPDDTLYGDNSAKLDILQAKLEVLVRSKRFLLVLDDVWNDEAKMELENKDLWRKVLAPLRSAGRGSKILVTTRMKLVARYLNSTHVVSLDVLGSSDCWLLLKEAALGGESKDIPPDLQEIGRTIAAKAKGSPLVSKALGQMLRNTRSTWRWRALLDAEISHNIIISSLQHSYQHLPGHLQRCFAYCSIFPRGCRFQRDKLVKMWVALGFIQTSRKERKGEYDLAQEYFYDLLSRSFFCTASKDNQTFYFLDNLMHDLAQHASVHDCMKIDEGMPSVIPPTVRHLSVSTDYFPQLKSKCKLERLRTLLVLRSSSLSLSHLPSKFLSEFKNLRVLDLSESDILELPETISQLFHLHYLALCHMTCKLPKCIYKLVQSGVLDMPILLFPDSHPRGMSKFVTQKTS >OGLUM05G17490.1 pep chromosome:ALNU02000000:5:20263362:20267060:-1 gene:OGLUM05G17490 transcript:OGLUM05G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPSVARSKDCDMEYDDSSSRQSGEPLWEHAEGLSLKAATDPFFKSAFSRATSMPGFAAEEDEHQDDQPSLLFGGIGWWFGDGFVVGLVAYGLVYRWSVQCVSPLV >OGLUM05G17510.1 pep chromosome:ALNU02000000:5:20280370:20282321:-1 gene:OGLUM05G17510 transcript:OGLUM05G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAK1-interacting receptor-like kinase 1 [Source:Projected from Arabidopsis thaliana (AT5G48380) TAIR;Acc:AT5G48380] MTDHYYAPLRLVLLLILSATCFGSELDVQCLKTIFQSVTDPNGILKSSWSFVNNGTPGYICKFTGVECWHPDENRVLSLRLGNLGLQGPFPAGLQNCTSMTGLDLSSNNFTGLIPQDISQQIPYLTSLDLSYNRFSGQIPVNISNMTYLNTLNLQHNQFTGQIPLQFNLLGRLTSFNVAENRLSGPIPNNLNKFPSSNFAGNQGLCGLPLDGCQASAKSKNNAAIIGAVVGVVVVIIIGVIIVFFCLRKLPAKKPKDEEENKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATNEFCKENIIGTGRTGTMYRAVLPDGSFLAVKRLQDSQHSETQFMSEMKTLGQVRHRNLVPLLGFCIAKRERLLVYKHMPKGSLYDQLNQEEGKDCKMDWTLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELITGERPTHVSTAPENFRGSLVEWITYLSNNALLQDAVDKSLIGKGSDGELMQFLKVACSCTISTPKERPTMFEVYQLLRAIGEKYHFSAEDDLMMLPPLSTDGETLDELIVAQ >OGLUM05G17520.1 pep chromosome:ALNU02000000:5:20282339:20283703:-1 gene:OGLUM05G17520 transcript:OGLUM05G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGLTGRVDQEVVFTESPWNAASLHRKREGPRPTLPNGLVVVVLLLVVVVLAFSFDGLPPLPSLLCPPRPSLPSPTIVVTISLFIRLLRSSSSGVRAPFLLLLQATANFEVSFLIVKERWH >OGLUM05G17530.1 pep chromosome:ALNU02000000:5:20297681:20300511:1 gene:OGLUM05G17530 transcript:OGLUM05G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCTFTLLEVEYSESTTRDETKAFAAMASKPSSRAESNQAAAAVPSLYGRALPSPPAVEFASAEGRRLFAEALQGGTMQGFFSLVSVFQTQSEPAFCGLATLAVVLNALRIDPGRRWKGPWRWFDESMLDCCERLDTVRAEGITFGKVACLAHCSGADVRTFRAAQATLADLRRHLLRCASSQDCHLVASYHRKLLGQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWIPLPLLWEAMNTIDEATGLLRGFMLISRNTEAPLLIRAVNCRDESWQSMAKYCIEVVPNLLRDNSVDNVLTILSRLVNHLPPNAGNFIKWVIEVRRQEEGGSSPSKEANEMPFLKEKVLQQIRDTKLFQLVHKLQCSKQPCCSCSSLTDEDSISQIAASVCCEATALLSGNLSSRDGLFFSETCSGCTQVNDEGLKNVITGKVVSEGNGHVDKLSPISSTETCFFNSTLSNETVNYPSNTDILTVLLLSLHPSTWLCIEDEKLKAEFQSLVSTDDLPDPLKLEVCCLTPRTLH >OGLUM05G17540.1 pep chromosome:ALNU02000000:5:20301206:20302870:-1 gene:OGLUM05G17540 transcript:OGLUM05G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTISFPLLLLLNMTGVLFTPRTPPLAPSALASAEDGEDVDFFFFPFLTLYKSVHVLLFIDTDTVPTSMDLATDIASGLVVLLCLPNLANLMVGKHSSDWWWWSTMQARSSRSQQPRRPPTSGGKRPVPPKTRDLEAGHPSPYRQPDAGHHTRPAFMRMRKRGEMGEEERVGANMRGPLRLSQQPKSQ >OGLUM05G17550.1 pep chromosome:ALNU02000000:5:20304431:20305800:-1 gene:OGLUM05G17550 transcript:OGLUM05G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQLSLPVLPTGFRFHPTDEELVINYLQRRATGLSCPIPIIADVEIYNFNPWELPSMALFGEHEWYFFTLRDHRYPNSVRPSRSAASGFWKATGTDKPVQVANMQSTPVAMKKALVFYVGRPPMETKTTWIMHEYRLTNTGGSTASHPSLSSSTAHPSVKLDEWVLCKIFNKSPEPDNTAPPSNVVSRLQCSPPLPPPAAPPGNYPPLPVGATNDGGVFAGAGDMLFTIQEHQEGTPSMLPPIPNLEPPAATIGNSSLNGTAAAAAAAAAAADDGHGRLEEEDTSAYTFTDQEMEQMLMDLMDQDFFGNDQPQE >OGLUM05G17560.1 pep chromosome:ALNU02000000:5:20308476:20311232:1 gene:OGLUM05G17560 transcript:OGLUM05G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPKPPPHVGKNMERGWTGEKGRVDPGCRHRFDLPPPRRSTPPGRGGERRGSGRRTRAGEA >OGLUM05G17570.1 pep chromosome:ALNU02000000:5:20312289:20317873:1 gene:OGLUM05G17570 transcript:OGLUM05G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ78] MFSTATAIHLDMMAPAMALRLLVVAVVAAVVSCAVAADEGSVVEVWPMPATASKGGQTLHVSRELRMTAEGSKYADGEAILKDAFQRMVTLIELDHVINGSSQGLPLLAGVNVVVHLPGDELNFGVDESYNLSVPATGNPIYAQIEAQTVFGALHALETFSQLCNFDFTSRLIELQSAPWSITDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGAYSYSERYTMDDAIDIVQYAERRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSSESTFQVINGILSDFSKVFKFKFVHLGGDEVNTSCWTSTPRVKAWLAQHGMKESDAYRYFVLRAQKIAKSHGYEVINWEETFNNFGDKLDRRTVVHNWLGGGVAEKVVAAGLRCIVSNQDKWYLDHLEVTWDGFYMNEPLRNIKNPAQQKLVLGGEVCMWAEHIDASDIQQTIWPRAAAAAERLWTPFEKLSKEWEIAALSARLARFRCLLNHRGIAAGPVTGYGRSAPAEPSSCIKQ >OGLUM05G17580.1 pep chromosome:ALNU02000000:5:20312524:20312996:-1 gene:OGLUM05G17580 transcript:OGLUM05G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSSSISVTILWNASFRMASPSAYLLPSAVILSSLLTCSVCPPLLAVAGIGHTSTTLPSSAATAQDTTAATTATTSNLRAIAGAIISLQETRQLQKPSPSVTPATRPRRSKKMKKNAVAD >OGLUM05G17590.1 pep chromosome:ALNU02000000:5:20319958:20338501:1 gene:OGLUM05G17590 transcript:OGLUM05G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVALIALAPDLRDRALAQVASVAGGHAARERLNPRFDTRARPRGFSPLSLGLTSATTNMELTSSSAMWLAMAILAITAALTKIALGGGRRRCLSESSDLTCKTPPPPPVVNCIALLGLLPVLFRGDVPATMQQLYAKFGSVFTVSVAGLLKATFLVGPEVSAHFFQGLESEVSHGDLFEFTVPMFGKEVGHGVDNATRIEQGRFFAEALKPARLRIHVDPIVQEVEDYFAKWGQHGTVDLKHELEQLLLLISGRCLLGKEVMGTKFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARERLHAIFSDIVRSRKQQQGDQEEVNDKDVLQSFIDSRYKADGRATTEAEVAGLITGVLFAAKHTSTHTSVWTGARLLTHEKFLAAAVDEQDQIVRKHGIINGRIVTDHYGFLTEMHMLHICIKETLRLHPPAPMIVRTALRQFTVRTREGHEYCVPAGHTMASPIVISNRVPYIYKDAHLYDPDRFGPRREEDKVGGKFSYTSFGGGRNSCVGENYAYMQIKAIWSHLLRNFELKLLSPFPKTDWSKFVPEPQGKVMLTSSSVTWLAMAIILAITAAVTKIARGGRRRSATDPTCKMPPPPPVVNSIALLRLLPTLFRSGLPAILHELYTKFGSVFTINLAGLLKMTFLVGPEVSAHFFQGLESEISHGNLLEFTVPMFGKEIAHGVDSATRNEQARFFVDALKPARLRIHVDPMVQEVEDYFAKWGQHGTVDLRRELEQLLLLISGRCLLGKEVMGTMFDEVCNLFRDIEGGVNLMSVFFPYTPLIPSNRRRDMARKRLHAIFSDIVRSRKQREGDNVDKDVLQSLIDSRYKADGRATTEAEVAALMICLLFAAKHTSAYTSVWTGARLLSHERFLTAAVDEQDKIAREHSNINGGGRITDDRYGSLMEMRTLHSCIKETLRLHPPVPMLVRTAHKQFTVRTREGHEYAVPAGHTIASPIVISNQVPYINKDGHLYDPDRFGPAGREEDKVGGKFSYASFGGGRTGCVGEGYAYMQIKAIWSHLLRNFELRLLSPLPKSDFTKFVPEPHGELM >OGLUM05G17600.1 pep chromosome:ALNU02000000:5:20359570:20361344:1 gene:OGLUM05G17600 transcript:OGLUM05G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVSISIYMWSMVALCTIITAMVTTKLARVRRPITLNPKSKRPLPQVVNVIALLEHLPRLCTKGVIAVMHDLYTWFGSVFTVSLFGLKATFLVGPEVSAHFYQGMDSEISQGDLYEFTVPMFGKGVGFDIDNATRTEHLRFFIDAIKTSKLRNHVNSMVQEVEDYFAKWGENGIVDIKHEFEKLLMLISGHCLLGKEVRDNMFDEVFSLFHELDSGVGLGSVMFPYIPIPSHIRRDKAHAKLAKIFSKIVRSRRDSNRPAEQDVLQYLIDSKHRDGSSTTEQEVTGWIISMVFAGKHTSTNSTTWTGACLLTHDKFLTEALDEQKHMIRKHGDHIDYNVLLDMDILHCCIKEALRMHPVAPIIYRKAQKSFVIRTREGDAYDIPEGHNLLSPMIFNNRLPYIYKDPHMYDPDRFAPKREEDKVGGMFSYTSFGGGRHICIGEAYAYMQIKVIWSHLIRNFELKLESPFPKTNWSKILLEPWGKVMVSYKRRRLPTA >OGLUM05G17610.1 pep chromosome:ALNU02000000:5:20364511:20372093:1 gene:OGLUM05G17610 transcript:OGLUM05G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALLAHVYALAFHAVAAVEVRARWPGGHLKKWYIAIWYNKVSIQTAVWIANREAPISNPDESQLAISQDGTLVLLNQSRSVVWSSNVPNVTSSNVDSSEANTVAVLLNTGNLALSPASNSSHVLWQSFNHLTDTLLPNMKIGWNKVTGERACLVSWRNQYDPSPGTFSVEMDSNDSSQYIFLWNNSRPYLTDGKYDPSTGAFSGIPEMTPIRNSIYAFQYMDNNEEAYFMVTVKNDNILFRLTIDVSGQAKLTVWVADRNQWMLFFLQPKAKCVVYSMCGSFSRCTENAIPSCSCLQGFHEQSPSNWISGNYAEGCTRNVGLHCHSNSSAPKARKDKFYVMNNVRLPDWSRTVPAENIGDCKVSCLNNCSCSAYSYNGTCLVWYTDLVNLQDNLDGSGDTIFFRLAAFELPDSKTKKWKRTMKAIVPIAVDGHLTTLKYSDLQLITKGFSEKLGSGSFGSVFKGALPDKTVVAVKKLEGFRQGEKQFQAEMSTIRTIHHINLVRLLGFCSHGAQRLLVCEHMQNGSLDLHLFVNNAGALSWSRRYQIAIGISKRLPYLHERCRDCIIHCDIKPDNILLDASFVPKVADFGLAKLLGRDFSRVLTSMRGTIGYLAPEWISGMAITSKADVFSYGMLLFEIISQRRNADQGEQGANMFFPVLAAKKLLEDDVQTLLDPESVDVIDLEELARACKVACWCVQDEESSRPSMGEIVQILEGFVDDSN >OGLUM05G17620.1 pep chromosome:ALNU02000000:5:20373372:20374197:1 gene:OGLUM05G17620 transcript:OGLUM05G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAIAVATILHLGWFPCTGKLRRGQPEVESPGGASAVEAHVPPALRPTSGPWPTNQWHLVPELTLILWCPLYLRAFFKLATAVILLVDLGLAAHTPT >OGLUM05G17630.1 pep chromosome:ALNU02000000:5:20411116:20413080:-1 gene:OGLUM05G17630 transcript:OGLUM05G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSASSLPLSSGSVITVSSSPAVGASSGGGGGGGGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPYQREDAVNSLAYEADMRLRDPVYGCVGVISVLQHQLRQLQQDLSRARFELSKYQAAVAVSSSNGQAAAAAAMADHFIGSAVQPNCTQNFISGGGVAAAAIGVGGGAGGGFVNDHHQFSAVQMLARSYDGESAVARLGGAVNVSGGGGYEFGYSSAMGGAGGPMSGLGPLGGGTFLKPGTAGGGDRPTAAQ >OGLUM05G17640.1 pep chromosome:ALNU02000000:5:20427153:20434620:1 gene:OGLUM05G17640 transcript:OGLUM05G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASVRSLRRLSSSSSSSSLSPASLRRLLLLLHRPPPPPPPPRPPLPPFQTLARGLLPRIAARPVPRRFSTASCSSTLFRVGECGAPGATAIPEAERGEEEEREGEDGGEADAAVEVAAGRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVIADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLKVDSEEFWDGMNSLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKATENIQLRECFGMKPDLTGVLVSRINPLSDAHRVLKKDDILLEFDVPFRNRERITFDHLVSMKKPGEMAVLKVLRDGKEHELNVTVRPVLMDDINVGYERLAELQVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIVLKYQNAKLATSRILKRHRIPSAISADLVDEQATDDGEEATNETDAVRRGLPGALRWLQRLLFGRLSFDIRLSACRAASSQRITGAFRCDSCCSSGRGGGGHLVKA >OGLUM05G17650.1 pep chromosome:ALNU02000000:5:20436759:20441123:1 gene:OGLUM05G17650 transcript:OGLUM05G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRPRRDPIKSRRRPDWREELRSNCLKRIKEERVQFLWKKRIDGPLPASDMIKVESAVRDIISDELQKLKQHGDGKADQEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEANAKLWCPVCKQGELRETHNLVYCTMCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >OGLUM05G17650.2 pep chromosome:ALNU02000000:5:20436723:20441123:1 gene:OGLUM05G17650 transcript:OGLUM05G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVESAVRDIISDELQKLKQHGDGKADQEADMIWEYQGPQTAKPVETESEDILLEMERLLYEDMRDEAIQIEVEALDEEDEYLAQAFLEHMQLTDKEANAKLWCPVCKQGELRETHNLVYCTMCKLRLDLGEDKINLEFLRERLANVHMDHLDRGCKSAPKFCLQTMFELTALYIQCEECNTFDVVI >OGLUM05G17660.1 pep chromosome:ALNU02000000:5:20442234:20444468:-1 gene:OGLUM05G17660 transcript:OGLUM05G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMFEECERRREEADQRRRADFKAAIDSWMPQEQKKAEDLQFLVEDEQSKVTPTTCSMECPNGSSPSTTARSIYDDEGATPTIILEVGDGEGKDRMPFIISKDLPELTPIMCLTKCSRLDVEPDFTVAAVVTCSNTAVDSKELVATDGATGTTIIDPVFVPRRRTPSVCCSAPMSTVSLIEAGVAYSLLLGAPEGIESSGKATLVMAQKLNSNFCLKWVALNWCSTKCSKGYKKLLMSHPKRNPWPPPCSGGVFHYVGGEEKVTEGMLYGLSTVSCNYLIIPAATMTTTFLSVPSSTQNIYGACWLEAQEGLNDIAKGRTYKICKSMRMTLNHVESWLQNPTNILYNGQLFNCPAGIHFGVRRISGIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDGIDTRTDSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDIKKEQLLQCETFSTRQTNTEQVIKLQVSNSKEIQELQVPWDPGGFLHRLGDKPNFKKRGLSGTQMGCTWAAGYTTGWSAHRQEAQASPS >OGLUM05G17670.1 pep chromosome:ALNU02000000:5:20444834:20450537:1 gene:OGLUM05G17670 transcript:OGLUM05G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSPPESLTQGPKAANTKVTNANAKAHFPPTKPTQPLLISHRKPPPRMEGLIPLLYKAIKDRRGGRPDGGAAAGVDLYDPEQRRLWLEQEVRSPLHPSAAAAGGQHQQQQQPHRRNLSLEELAGEVGLSPGRRLPRVALPKARSVRVFSCIGAGAGVAA >OGLUM05G17680.1 pep chromosome:ALNU02000000:5:20450664:20451432:1 gene:OGLUM05G17680 transcript:OGLUM05G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWWGRGKLRPTGPNRREQSNWPVVGTTPTDGTGEWPVQVGPTEAATPGTSNANVPLVTSTTVDDDTRCVA >OGLUM05G17690.1 pep chromosome:ALNU02000000:5:20451446:20451760:1 gene:OGLUM05G17690 transcript:OGLUM05G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGSYGPVIVALAIIATLMVASVAVGQLCVGRGTPIKPGQGVGAFVKKRLRACIGGNKAAYDDALPEKKEENVENATVEEVEKSEPPKVEEDDDGSSSQVSS >OGLUM05G17700.1 pep chromosome:ALNU02000000:5:20457370:20463430:1 gene:OGLUM05G17700 transcript:OGLUM05G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRREELNVQAKPSDDKGPPTRCNPGCVRSLAKLLPTAVEQKIQELGLGNLFKLKLEALSCRKICGDLLDKAVVHAASDLIELPMGDVSLWILEKVVQHAIDMPVGSVKGLSKSNNATEYKRMYNALRFVCKKFPLPKGKARTAAGGAQDSEAVGGAQGSTIAGDQADARGAQDSTAAGDAQDSTTAAGDAHDSEEDFGDYDSTPADKRRNIFVPDRIVNLVMHCEDEEVVILDCIEGNDLQVNEAGRMYLYNDDKVKGLLKENPNDMIKKWRGRMTSKPLEAFIFKKWEDTCYAKFVEVPERIEVPKSTEMPERIEVLERTEVIESFIPIVNEGHWSLVVVTIKPEHVYILDSEPLRHQSEAAAVIDRLTEHLSSKHVIDIFGWDCGFHVLLYIKGFENRDIFDINEEAVFKFRMKLSVELRHHKMNRARAVGPIHIRPKEGKGASREAYIYMEESIAASAEDNQEEAEVKGKDASGNDKKSAGSNQEEEDASGNDEKSAGSENIAKNAEVKGKDASGKPAGEDDDNALISPQDKRTRRKTTPSSSFHEPPKFEVATQLTATKTAETGDGMQGIGTEIASWLMGGKKDVASGKKLRIIRQRFVIVPIFQHEEWTVFFVDTCSSNQVSVLISTTTKLGASEIEKSARTFAEQAHDGFMHGGYASPFPVLDQIRAATVFIKSRPCTLATMVYLERYNGYEASLPPASVFPLNTTCMFPTSHCK >OGLUM05G17710.1 pep chromosome:ALNU02000000:5:20471026:20471334:-1 gene:OGLUM05G17710 transcript:OGLUM05G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESRETTRSGSPSADGGEEGEVAAARRRREPEASAAYFTAGLTALFACLAALLVFLPLVLPPLPPPPSLLLLVPVGLMAVLLALAFLPADGRRSSIASSCV >OGLUM05G17720.1 pep chromosome:ALNU02000000:5:20478005:20482919:1 gene:OGLUM05G17720 transcript:OGLUM05G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ94] MVVVVACVRGGIVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPAHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYDEADPKTHQGLDLTTMTTRELIAKYGLSDDTVDFIGHALALHRDDRYLNEPAIDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPDCKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIANTNDSHSVQIILPQKQLGRKSDMYVFGCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGQVDELFFDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTLITGKTVDLSVDLSAASAAEEY >OGLUM05G17730.1 pep chromosome:ALNU02000000:5:20484368:20488997:-1 gene:OGLUM05G17730 transcript:OGLUM05G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZ95] MAAEAATLEFTPTWIVAAVCSLMVLISLVAERCLHYLGKTLKRKNQKPLYEALLKVKEELMLLGFISLLLTVFQGLIQRTCIPSRWTVHMLPCQREAVGPAKEHVAAAQIVGRIGRRLLSEGGAGAELCQKKGKVPLLSLEAIHQLHIFIFVLAITHVIFSVSTMLLGGAKIHQWKQWEVEIQKDAVGSGQPGPAKVTHVHQFEFINDHFKGMGKDSKILSWLHSFVKQFYGSVSKSDYTTMRLGFIMTHCRGNPKFDFHKYMMRVLESDFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLILLLAVGTKLEHVIAQLAHDVAEKNSAIEGDLVVKPSDDHFWLGRPRIILYLIHFILFQNAFEIAFFFWILTTYGFNSCIMGQVGFIVPRLVIGVTIQLLCSYSTMPLYAVVTQMGSFYKKEIFNDHVQQGVLGWAQKVKMRKGLKEGSAGAGVAAEPSNGGGGDTAGPSVKIEMMRRAAREGNDEAGVSIE >OGLUM05G17740.1 pep chromosome:ALNU02000000:5:20490329:20490943:1 gene:OGLUM05G17740 transcript:OGLUM05G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELLTTFQEVAIVDKVTVIKDKASRGYQTRYADIMFGTIGNQHNIVYLYSASSIDVNVRSEHDVIT >OGLUM05G17750.1 pep chromosome:ALNU02000000:5:20497512:20499378:-1 gene:OGLUM05G17750 transcript:OGLUM05G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSADALRPDHFVIASVASVSARLRSLRLGRQLHEHFVVSPYSGDDVVKSSLVDMYCKCGFPDDARKLFDSMGAKNSVVWTALVSGYASNGRGEEALQLFRSMPGRNLFAWTALISGLVMTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVACDILSAREVFEGITFRAVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNKVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMATMPYEPDEATWGALLSACKKYKDAEMCIRIADKLLELWPKDSSTYILLSNVYAVNGKWDSVAKVRKCTIGLEIRKEPVYSWIEAGREFRLFHAGEVPLDVREEIMGFLEEMVLEMRKRGYVPDTSSVMHDLEENEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRVCVDCHTVMKLISEITHRKIVVRDSSFHHFECGKCSCSEFW >OGLUM05G17760.1 pep chromosome:ALNU02000000:5:20502641:20503223:1 gene:OGLUM05G17760 transcript:OGLUM05G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLMSSMSLVSPGDDGDPRLKRSQLARVAQLARVARCTGLSERYGSQIPRADDTCDMAAATTEASALMARALLVMSCVIPRADDACDVAAATKADALMARTLLVMSCVAHLDDEDIGARGNVEKAWR >OGLUM05G17770.1 pep chromosome:ALNU02000000:5:20514936:20517261:-1 gene:OGLUM05G17770 transcript:OGLUM05G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDDVDDVTAGAAGSGEEAAADQEEAAAAVAGDSHENDLVMPGFRFHPTEEELIEFYLRRKVEGRRFNVELITFLDLYRFDPWELPAMAVIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENSRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADLFYKSEISLCRVYKRSGIDDGHGHHQRPAGNVQASSSSAAARPPEQHSGNNTAAGLPACRHRPSPSSSSTTTAQQHTSFHQLLQGECSSAAAAAPPPPSLPASATTRNSNASQLLMPPPPPRPPCAAAYTSAAAAPTESAAVLAAASTYSLLAAAGSSSTHIDELSTLLAGHSHGGAYVNNHIVAGSHHHFPLPPSQLMPQLGTLPISPPLAAVSDKLWDWSSVPDTSTARDYDSSGFSDPK >OGLUM05G17780.1 pep chromosome:ALNU02000000:5:20529147:20532490:-1 gene:OGLUM05G17780 transcript:OGLUM05G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G38520) TAIR;Acc:AT5G38520] MAAALAAAAHHHRLSSSSPAARTRVVHHHRRRRSSSLSFSAPASSHGCPRGARALRRAAAGDGGGNGSGGVSTGTVDPAAASSPSSSLEELYRSCATWTWRGMRVNYLVRGEGPPLLLVHGFGASVGHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLDEVVRRPTVLVGNSVGSLACVIAAADSSRDLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRRIASALFERIIRGPADGEGALDAFVSTVTGPPGPSPIALMPAVAARSPVLVLWGDRDPFTPIDGPVGRYFSALPGELPNVTLHMLEGVGHCPHDDRPDLAQPNLDHTIGCYPIRPT >OGLUM05G17790.1 pep chromosome:ALNU02000000:5:20534767:20540054:1 gene:OGLUM05G17790 transcript:OGLUM05G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGGPYTSRTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGAAKKQTKEKAPNSTNKPNKPSSKMQPRPESHSKAPKPPAPPKDDDESGDEYADEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCGNKRARA >OGLUM05G17800.1 pep chromosome:ALNU02000000:5:20541193:20545096:-1 gene:OGLUM05G17800 transcript:OGLUM05G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >OGLUM05G17800.2 pep chromosome:ALNU02000000:5:20541193:20545300:-1 gene:OGLUM05G17800 transcript:OGLUM05G17800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMGMKRPAAAAAATATQTVTLPAPDARAAVRDAVRVAVREAEPPQAPALPPRAPAPAVAVDGVLCLEEVDGRKWSYVVEGGASPGKAGRVSGSGRGRGRGGAASPMGVTFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLNSVGVAQSRATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNTARFTVAVDSFIKSGHVPSLKEGNSQETIFNPPWRHQPVAIGSRFGEAFQEPASFVAIRPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARKRMNSNRSYQNANPLNMDFIPHIAESCKIVTSSYGVFKKKAREQGWIMSESLLNPGRARLCGIVPQ >OGLUM05G17810.1 pep chromosome:ALNU02000000:5:20556110:20556891:1 gene:OGLUM05G17810 transcript:OGLUM05G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGERRPGLPCRRRGRGRREIRGPPPPSSAQAAARAGLSGNGRNHHSLPYGPRAASMGLYGCPQPHEQCSTHFAQPQPQPLACRTDWLVVSDLQYLFSVATPIL >OGLUM05G17820.1 pep chromosome:ALNU02000000:5:20560585:20564323:-1 gene:OGLUM05G17820 transcript:OGLUM05G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPRVAGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >OGLUM05G17820.2 pep chromosome:ALNU02000000:5:20560585:20564323:-1 gene:OGLUM05G17820 transcript:OGLUM05G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDERIPPPAFFQFLPSGAHSSPHHQSPLRSPASERERYLAELLAERQKLAPFMQVLPFCNRLLNQEILRASSLPPNPNFVEPERVNHGSPLRLTGHPMNGQPMDLEGWSGMQTEMGVLQSPSMGWNVAPRVAGSPVVKKVVRIDVPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPSDIVDVRLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSPHLSPSPSVSPFNSTGMKRAKTGR >OGLUM05G17830.1 pep chromosome:ALNU02000000:5:20573272:20574161:-1 gene:OGLUM05G17830 transcript:OGLUM05G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHAWCDGSTSPAAFLLAAAAICGQFATGLAGDTQTSKDDKKAQSKGHTGRTVLIVLLGIGAVVLLSFFLFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >OGLUM05G17840.1 pep chromosome:ALNU02000000:5:20575634:20576186:-1 gene:OGLUM05G17840 transcript:OGLUM05G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDCMGRFISFNFNRTTPGEPHCLGGARQYPMWQPMKPVAPMTRTEIPHPLARLTCAKARTFFS >OGLUM05G17850.1 pep chromosome:ALNU02000000:5:20580975:20585758:1 gene:OGLUM05G17850 transcript:OGLUM05G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPVLMLLVVVVMSWHWGGAAAQVFRPPWNGTFPMGPGGGGGGSVGGGGGAAAAASVPAMFVFGDSLTDNGNNNDMTSLAKANYLPYGIDFAGGPTGRFSNGYTMVDEIAELLGLPLLPSHNDATGDAALHGVNYASAAAGILDNTGQNFVGRSPFNQQIKNFEATLQQISGKLGGGAAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYNGDQYSTLLVQQYTKQLTRLYNLGARRFVIAGVGSMACIPNMRARNPANMCSPDVDDLIIPFNSKVKSMVNTLNVNLPRAKFIFVDTYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFQRPCLNRNTYIFWDAFHPTERVNILLGKAAYSGGADLVHPMNIQQLAAWQP >OGLUM05G17860.1 pep chromosome:ALNU02000000:5:20583550:20584457:-1 gene:OGLUM05G17860 transcript:OGLUM05G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLARGRLTLSVFTMDFTLLLKGMMRSSTSGEHMFAGFLARMLGMHAIDPTPAITNLRAPRMPAAAEA >OGLUM05G17870.1 pep chromosome:ALNU02000000:5:20586042:20586386:-1 gene:OGLUM05G17870 transcript:OGLUM05G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFNNKFINKFTKNIIAVCRRRSPSPAASHLPSRQIRGRGGRRRNPSPATSCLPSRQIRGRGGRRRNPSPAASRLPSPPAGSDRGEGAAAAAFARPPPGHHYARGRRQETRER >OGLUM05G17880.1 pep chromosome:ALNU02000000:5:20590082:20594672:1 gene:OGLUM05G17880 transcript:OGLUM05G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALNLKAGGGGPCLTPRRRPLAAGEAAPCSVAAAWAGRRVGGRRGMALVAVGASGRRGKDGPGGGDGGDDEAKSKASSSSGNDDAASTGDSSDGLNQLHNESKSNISNSNYWRDVRANLVRREQELLVDPSAPAEQKTSSGEPAHQLPQKWAHPITMPEAGCVLVATEVLDDDSIFERTVILLLRLGSRGTFDGPFGVILNRPLYTKIKNVNPSFQDQATPFGDSPLFFGGPVDMSMFLVRASDNSRLKGFEEVIPGIRFGFRTDLEKAAVLMKSGAIKSQDLRFFVGHAAWDYEQLLSEIRAGYWAVASCSTELISDALTGDPSCLWTEILQLMGGHYSELSQKPKEDNQ >OGLUM05G17890.1 pep chromosome:ALNU02000000:5:20594363:20594608:-1 gene:OGLUM05G17890 transcript:OGLUM05G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAQLVDLRRDHPPAAAAASSSGSGVAGDGARKQGTRGEVSDTYWCRNGEDGSRRSWSSGSEELIVYEGLSVDDMEILM >OGLUM05G17900.1 pep chromosome:ALNU02000000:5:20608077:20615586:1 gene:OGLUM05G17900 transcript:OGLUM05G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTQNYFAWSQEESPVQDSSQGTPQVFDHGSISFGRFELESLAWEKWSVFANDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELNLDYSGDGSDSSQTGEDVPTADQASPSGSGTLLDSMVQTGVQTIFENDLECYDDNDKEMLDKDISPSVGGTCQIEQEFRESASGGNHPDRMVDVLQQNTNCGPDDLGRPMESMMTPKRTVKKDSLVGQAAKTMPKTVNMTSSNIPDHAVVNKGTDSGKSSVVNRRAKPETIQQRLKAVTGNIVDIVGRSKPVVKEVPGIMGVRRPSSPALQRPSTRERRPVTRDSSRKAPEVATMCRPSTAERRPATRELAPKQANTVVPCRPSTPNRRPMTRELAPVHSSIATPRRPSTAERRPITRGMAPMHPSIATPVRPSTAERRPTSKQMAQKHVGMATPSRPSTAERRPITREAARKNADVAILHRPSTAERRPITRETPQKHANVVALHRPSTAERRPVAREIAPKHADVTLTPARRPSTSERRPVTRETALRHSNFTGSCWPLTPQRHISRGSAPIHADVCTTPRHPSTGERRPITKESNITLDEKTPIKLRGMLANPKGAMATVVTPQKAITQKLVKSSKPEMKSCAKERTELQAVGKHKASSVNLPPREMFTSNVRANRVPESFRKPNKGIQETARSQISSSKRATPAQTRSIKTRAPNPPPPPPPPRRPSQISSKTNTNNLSVGGRKPKASTPHWH >OGLUM05G17910.1 pep chromosome:ALNU02000000:5:20617192:20619765:1 gene:OGLUM05G17910 transcript:OGLUM05G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSTANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLISYLKEATS >OGLUM05G17920.1 pep chromosome:ALNU02000000:5:20620687:20622265:1 gene:OGLUM05G17920 transcript:OGLUM05G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYHSPTQVWRNDLRFQGKIKAIYIAVALKATTTMADSPVQAELVALQLTIEVANFLNIASTTFLTDNITIVDIIKKRSFLRDPGYWSLRPLLSQMQRVIFRTT >OGLUM05G17930.1 pep chromosome:ALNU02000000:5:20623776:20633022:1 gene:OGLUM05G17930 transcript:OGLUM05G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRGRRCSGKRRGGDADEGGGGKRRREGESEEDYCFACKDGGHLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKERQRLLEEVPRIIPDVEDSKDSEFLVMAANKSSQRNTGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKAVNPGQVIDIDDGEDDLHGKSGDMIVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTSV >OGLUM05G17930.2 pep chromosome:ALNU02000000:5:20623776:20633022:1 gene:OGLUM05G17930 transcript:OGLUM05G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRGRGRRCSGKRRGGDADEGGGGKRRREGESEEDYCFACKDGGHLRFCDHRNCHKAYHPECVDKDDSFLNSDEQFICKFVPVMRQTMGFCNNCLRMAIMIEKNVDVDSDGERVDFSDRETYEFLFKDYWDIIRDKEGLTLDNMREAYTLLRSGLNCNELSDMEKLPNSEQSSDDDFLGNSDDDDEPVYPSVSNGTSNKVKTILKEGKTKKQVYVGWGSIELIGFMSSIGKDTSKPLDQFGAAEVVKQYIRQNDLLQKDKKKQVICDGKLWSLFRKSKLKYNKIYSLLEKHIAENITPEDESLDSSEDNTDSIMERKSRIMNSELSTPEEVSERYRRCFASLVRENIKLIYLRRTLVIDLLKQPDTFECKVIGCFVRVKNDPREYSRHKPQKLYQLGQVTGIRKSSEEYKIRDISTDILLCISDMWSDVKISVLSDEDFEEEECEDLRSLSRKEPSKRQTVAEFEEKARSMHADIMSHWINKELQRLEKLIEMANEKGTNSNKDRVDCLKSCSGEKLKGSKGDADAPGTCLEKVITKAIEVNPPGDMPRSHVQNHGTKAVNPGQVIDIDDGEDDLHGKSGDMIVDLDSDGSEDHGTRQHEAKPKLCSGQKAVEAKEEISEHASVWYYNDPQGDEQGPFPLRILRHWSKAGYFKEDFRVWRTGQSCDSAILLKDALLLTSV >OGLUM05G17940.1 pep chromosome:ALNU02000000:5:20633522:20634391:-1 gene:OGLUM05G17940 transcript:OGLUM05G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPLFSFLVLFLPVLSRRAARSACRRRRRLDYMFDFQILSLSCPGARRLRFTMQRGRKNGATPEGDVQQPGRVQGARQGSLRYLGPRRWPEPVDGVDALPGTRPRAAARQGGPAAWRRNGADEGAARRGGAGVEEPAEEEGCGDGARGGAGLDGERRSRHHAERVNAKLGKALADAERELERERRSRERLEKVCDELVRGGLTGGVDGNRGGKEEVEEMRREAERAQEELEKEREMLRLADELRHRGLPTRGAAHRHTKAMDWGDQLRRSSTGAESYSHLSFSLRFP >OGLUM05G17950.1 pep chromosome:ALNU02000000:5:20634993:20635565:1 gene:OGLUM05G17950 transcript:OGLUM05G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAATPRHHHRLLLLLFILFLAAGAAAPVSGAWDAKGKTLCSGRLLGVADCGDEEPSAYEMLERFGFPRGILPEGVTGYTLRPSDGEFAVYLGTGECEFEVDGGYRLTYQGRITGRVAGGSITGLRGVTVRVFMMNWGIDRVVVADADHLVFYVGPLSQAFPVDGFEESPQCRCRHGGGAAVSGVAAM >OGLUM05G17960.1 pep chromosome:ALNU02000000:5:20644175:20644544:-1 gene:OGLUM05G17960 transcript:OGLUM05G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTVHDLGTPGLKGILSIIHSFPLPEVCKVFSFSGVFGTGAFAVGTDVAFDTASGNFTKDNAGLTD >OGLUM05G17970.1 pep chromosome:ALNU02000000:5:20646597:20652469:1 gene:OGLUM05G17970 transcript:OGLUM05G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein [Source:Projected from Arabidopsis thaliana (AT5G10200) TAIR;Acc:AT5G10200] MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPSVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKNDNQV >OGLUM05G17970.2 pep chromosome:ALNU02000000:5:20646597:20651675:1 gene:OGLUM05G17970 transcript:OGLUM05G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM-repeat/Tetratricopeptide repeat (TPR)-like protein [Source:Projected from Arabidopsis thaliana (AT5G10200) TAIR;Acc:AT5G10200] MEKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFVDRRIGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKSEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKLGRGHVANIPSVVEALCNIARSSDDWQYMAVDCLLWLVQDSNTCHKVIDRVASTLIDLANISMLGDYKKLGDTIVTVLQECMQQYANSRNSISTHTKEQIDELLSSKQSLKLEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAAAKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRTAWLFREAALKHGGIHCEGEASDAFGQEADDSEWETASESDAENDATGEADDETEWKNDNQV >OGLUM05G17980.1 pep chromosome:ALNU02000000:5:20672838:20675961:1 gene:OGLUM05G17980 transcript:OGLUM05G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGAAAAERQELQLPPGFRFHPTDEELVMHYLCRRCAGLPIAVPIIAEVDLYKFDPWHLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPVGTPRPVAIKKALVFYAGKAPKGDKTNWIMHEYRLADVDRSARKKNTLRLDDWVLCRIYNKKGGVEKPSGGGGGERSNMMSHGKTASAGSPPEQKPAVLPPPPPPYAAAAPFSELAAFYDVRPSDSVPRAHGADSSCSEHVLTTSASSGGVVERPEVQSQPKIAEWERTFAGAAAPAGAVSTAGPILGQLDPAAVAGGGDPLLQDILMYWGKPF >OGLUM05G17990.1 pep chromosome:ALNU02000000:5:20680789:20687396:-1 gene:OGLUM05G17990 transcript:OGLUM05G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISNAQDASKLIVTAKGGGGEADDAAAAAAAVVLDLWRQPAKIPAPFVWPRADVALPPSSPPTGELDVPVVDLAAALRDAAGMRRAVAQVAAACASHGFFQVSGHGVPPSLARAALDGAAGFFRLPPAAKQRARRAPGTVTGYTAAHADRFVDNLPWKETLSFGHRHANAAGNNSSTVADYFSTLGDDFKHLGEVYQEYCEAMEEVTKAIMAVLGESLGVGGGYYREFFEDSSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFMALTNGRYKSCLHRAVVHREQERRSLAFFLCPREDRVVRPPAGAGAGERRLYPDFTWADFMRFTQRHYRADTRTLDAFARWLRPPACSGAAPVVGPPTTATQAATV >OGLUM05G17990.2 pep chromosome:ALNU02000000:5:20685859:20687396:-1 gene:OGLUM05G17990 transcript:OGLUM05G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYISNAQDASKLIVTAKGGGGEADDAAAAAAAVVLDLWRQPAKIPAPFVWPRADVALPPSSPPTGELDVPVVDLAAALRDAAGMRRAVAQVAAACASHGFFQVSGHGVPPSLARAALDGAAGFFRLPPAAKQRARRAPGTVTGYTAAHADRFVDNLPWKETLSFGHRHANAAGNNSSTVADYFSTLGDDFKHLGEVYQEYCEAMEEVTKAIMAVLGESLGVGGGYYREFFEDSSSIMRCNYYPPCPEPERTLGTGPHCDPSALTVLLQDGDVDGLQVLVAGAWRPVRPLPGAFVVNIGDTFMVCTLVLGRDMDLGSIDRRVVSSCPAMMVSHRDLDVRRRLAPLAPPVLHASAVRQRTSSYSLAS >OGLUM05G17990.3 pep chromosome:ALNU02000000:5:20680789:20685050:-1 gene:OGLUM05G17990 transcript:OGLUM05G17990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALTNGRYKSCLHRAVVHREQERRSLAFFLCPREDRVVRPPAGAGAGERRLYPDFTWADFMRFTQRHYRADTRTLDAFARWLRPPACSGAAPVVGPPTTATQAATV >OGLUM05G18000.1 pep chromosome:ALNU02000000:5:20694227:20694731:-1 gene:OGLUM05G18000 transcript:OGLUM05G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDIVAVDGGGRRLMAVLEVKALLQASLPQHLLQINAEETSGHGERGGRRGDVYDNEAVTKTTATSIFGFVARSNSYRGRSG >OGLUM05G18010.1 pep chromosome:ALNU02000000:5:20738477:20742573:-1 gene:OGLUM05G18010 transcript:OGLUM05G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G21651) TAIR;Acc:AT1G21651] MAGTASPPPDAEPPECPVCLSPFDAASAAPRVLPCGHSLCGPCIASLPPASASASAASLRCPLCSQCVPFSRALGPSSLPKNLALISLLPNPSPSRAAAAAAAAAPPPLHLPLHADHSRLLSRFRHAVLPESASPLHSPTPAAGLAIGSFASDLGAPWFCPRGHPVTLLPIETPAAAKQESPLYYRPSHAARVVAAIDALSAAARDEVIDLVAVSARLARRVCRVYGFWMGPEAAPLWLVSERQSRGVSCLLEERSRREETVARIRAVGMEVCEAFMGLHSEGLVLGCLGLGCFCLDRFGHCLLDLNQVLALCRGVRAGVFSSKSKAFMAPEVAEVVHDKLQIKDHDFSGLLGPSSDAWLLGCLLVALVTGDEQLAAGWSTDGSYDDWKNEVLTKVDASLVGTHMEPWSATIVSCLNYDPEGRPEIAHVWKCINGSLMNSDIEALIPNVDLAARKSFMCLLLGELSSMCSNLGAVESDDTMHLSQDLDDKHSTPDDASSGGIINNEEVGAAGMDEPKCGLYNSSTLLAHRDCITGLAIGGGFLFSSSYDKTINVWSLQDFSHKQSLKGHEHKITAIVVVDNDNQSLCISGDSGSGIFVWCVDPSLSNEPLNKWYESNDWIYRGVHCLAVSGTGYLYTGSRDKSIKAWSLEDYSLHCTMTGHKSTVSCLAVACGILYSGSWDGSIRSWWLTDHSPLSVLEDGTPGSITPVLSISTELDFVVASYENGCLKIWKDDVLVKSEKLQNGAIYAAKLNDKWLYAGGWDKAVNIQELLEDDSEVEIRDVATFTCDSIITSILYWDGRLIVGLSNREIKVFYKAS >OGLUM05G18020.1 pep chromosome:ALNU02000000:5:20743399:20752475:1 gene:OGLUM05G18020 transcript:OGLUM05G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRASFRSASSSCSSPSSTSLPKSRSVSASLNARGRTQARQSGRQWMGRGAPLFSAATTAVPRRWPMMLGMHHRERRGIGKGGDRFLVVVCLRRTQAGRARTGSPGLCRLWGGRVAVTQLGSSSQPSPLHPLVIADLNGMIGLNENRHGRQKSAKKHVTRARNPTAPDGPGRGATAGCAADAGSGGGKAVPRPASLSIFLHDTMVVSPGRSSTENTRLPPPLAPAPAPAATAGGGRGGALASPSAATASPGGFGAATAAWAAGARGGTTGSPMAAAAAGSIGGSRPRRVQLNGGEFRRASGGGGGGSGGGDWSGGGGRRRGEAWARGVAWRAGHG >OGLUM05G18030.1 pep chromosome:ALNU02000000:5:20745245:20753799:-1 gene:OGLUM05G18030 transcript:OGLUM05G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMWVSCSRTAAAVWRVVLTSGGGTAQRSAFHTICRVLHYLKRFLVMNPEQPDSAGPAVDYLDMDAQLLRRFLEWEGNGVADVPFEMYAYRVMERDKSNACRDRSSDSGSDGNSLCGDDSVSGGSSTTTTATNASASASSRPLLDRRGSTRSSAPRRKVAIAATKAGKCRSAAAAMGEPVVPPRAPAAHAAVAAPKPPGDAVAAEGEARAPPRPPPAVAAGAGAGASGGGRRVFSVELRPGETTIVSWRKMLKEAGLGTALPPPLPASAAQPAVAPLPGPSGATHPTENDCAQSNRFNSVIEKIERLYMGKNSSDEEDLDDAPDDDQYDTEDSFIDDDELDEYFEVDNFATKHNGYFVNKGKLEQIDFDSVQTVEPKKRRRKDSSSSYIENNKEFAPGSSSYMGTPLRDSKRSTLQTGKSTSNGHKSGANGTFEYPYSAYRDKNAPGHLGLQQKIISNGANQDLSKNMHHKEKYNAGQFSGLHASSNIYSTETMHLATKIHTEGSGTKTKGTRLERAIRDLQNIVTEYKPQILDVHEAEANCQVAVKRRLPQEVKQKLAKVARLSANQGKIPEHELINRLMGIVGHLVHRRTLKRNMKEMVQSGLCAKQEKAGKLQQVKMEIYEMVKARLATKPKGAEHKVESIDGFQDPVTHDDRMALRGKSVMDAVLEDRICDLYDLYVEGMDEDKGPQSRKLYLELANLWPHGDMDKVGIRDAISRSKERRNLLYRQRKVRNDQRMKRRRLAAAAKLRDSDPAAPQSAQSLQNMTSTHTMYPVVNNGNSQSSRSVDKVNEMSVGAGSDGNRSSTSMKKRKIDSEDRQVNPPKATAELHHHGIEIQKPAKRADEATKVSNLPQTLLAIPSSDSRPSSS >OGLUM05G18040.1 pep chromosome:ALNU02000000:5:20755502:20762363:1 gene:OGLUM05G18040 transcript:OGLUM05G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIGAFLMWAVKTIDSFLPCPHRPSAPQDGDGWFGHGHAWWMQVWIQIMVDVYINFRFSYMNQRLKFLWDGATSNFMETSSIAAPWRHHSLPLDHRRPASIGGTDT >OGLUM05G18040.2 pep chromosome:ALNU02000000:5:20755502:20762363:1 gene:OGLUM05G18040 transcript:OGLUM05G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTIGAFLMWAVKTIDSFLPCPHRPSAPQGSSHVSPRNLTLISRTHFPLPLHSRTTSSLSLSPHANRSAAADAAVNSDTPLSRRRRPRPAIEPPLKFLWDGATSNFMETSSIAAPWRHHSLPLDHRRPASIGGTDT >OGLUM05G18050.1 pep chromosome:ALNU02000000:5:20762443:20764995:-1 gene:OGLUM05G18050 transcript:OGLUM05G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCYKLTLLRFNDELRGQLLLSYSVAYFYFVPSLWRQPKGIAGLSSVVHAKGGPRSQSSRHCRTSLSICTFSVVLFFQYMHRLETFCNFCSSLVTFLLIEHLKKPYELLYRTHISRITILKTMRSPTTRHTLIKTYSSLGIKRYDVVQEKGDRRQGSGLDRGPAVWKDVFQTC >OGLUM05G18060.1 pep chromosome:ALNU02000000:5:20771804:20775095:1 gene:OGLUM05G18060 transcript:OGLUM05G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLSTWGLGRRACSPWRRRRSAAALGGGVVTLRGGVVAVDGADAGGPRGRNVHKPGSCQMKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFVMETSEIDESYATFLRLLKIKNGLMVIEPEPGVTIVYGQAEETPVGYDELRIATSTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALENMGGQDLVCIDEHGLPPCTELSDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDGD >OGLUM05G18060.2 pep chromosome:ALNU02000000:5:20770896:20775095:1 gene:OGLUM05G18060 transcript:OGLUM05G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAYKLILGSCQMKAQDMVSRSSCSKNKVFGSLPVDSIPEIDPSYRLFLEHLREDGNGYVLDAPRGDHGSPVFLRYAQDHASNGYANAKSGTNITKSSLRRSHCNQNSEGPGVTSDKAGSANAGHCFSLGTSFVMETSEIDESYATFLRLLKIKNGLMVIEPEPGVTIVYGQAEETPVGYDELRIATSTNGRDSLMTAFENMGEENAMNTDGDGLGKINNSASEREMDGLALENMGGQDLVCIDEHGLPPCTELSDLNVCGDEQGEPLALSCGIPSTFDEKLNDVLSKPYDLNEYKELLRKATDRKLVSRQRHLRNASKPYATRAVGLSFLDHYPDLAIQIDSADSDERKLCLLRKFFFWLENLCHEGAYMPWIDKPLACNPIDADDYETDDPTAIEIIQDGD >OGLUM05G18070.1 pep chromosome:ALNU02000000:5:20777330:20778155:-1 gene:OGLUM05G18070 transcript:OGLUM05G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSNSSCWCGRLVAPARLNPCLCEVSSSLQRATIIYPRAMSMEDNAYHFSRVESDTSVGNGMRALCPGSTRLRRCGQVLPHPIETHAFYWAMAGGPRVQLGMVNPIHRVVAWIK >OGLUM05G18080.1 pep chromosome:ALNU02000000:5:20780002:20784974:1 gene:OGLUM05G18080 transcript:OGLUM05G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLRRSLLGDLVDLLLLLLLLVMPPPDQEREERGEQEECDGDGGGVDDRVVGFGRRGGRGGEGEAEGAGGGRWVGGAGDVGDAGGVRDGEVEAVARRRGAVGGGVGGDVGGGFEAGGGGDARVEAAEAVRRRGEVAARGVQRGHGVVPDDRPVLRGGGDAGAEGRRGDELLRRREAGLHEGRSREGERRRRQLRPVVLEAGRGLPHRRDAEVARGADLLREADGEHGQQRIAAPRHVPRPAGAQVGVPRERRHVAQIERARRVPTPARPIHPLHRASEQQQQRHGDRPEQRQARHFREQLLRAEDEWWSRAA >OGLUM05G18080.2 pep chromosome:ALNU02000000:5:20780002:20784974:1 gene:OGLUM05G18080 transcript:OGLUM05G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPLRRSLLGDLVDLLLLLLLLVMPPPDQEREERGEQEECDGDGGGVDDRVVGFGRRGGRGGEGEAEGAGGGRWVGGAGDVGDAGGVRDGEVEAVARRRGAVGGGVGGDVGGGFEAGGGGDARVEAAEAVRRRGEVAARGVQRGHGVVPDDRPVLRGGGDAGAEGRRGDELLRRREAGLHEGRSREGERRRRQLRPVVLEAGRGLPHRRDAEVARGADLLREADGEHGQQRIAAPRHVPRPAGAQVGVPRERRHVAQIERARRVPTPARPIHPLHRASEQQQQRHGDRPEQRQARHFREQLLRAEDEWWSRAA >OGLUM05G18090.1 pep chromosome:ALNU02000000:5:20782930:20784867:-1 gene:OGLUM05G18090 transcript:OGLUM05G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11050) TAIR;Acc:AT1G11050] MPSLALLRAVAMALLLLLARSMEGVDGAGGGGNATCPLDLSYVTTFPWDADLCAGGAGNMTRCCNTLLSVLAIGLAEQVRATGHFRIPSVGESAACLKDYGAKLSAAPLSLPGASLVQTCFPSPEQFVSSPSFCAGVTTAAEYRAVVGNDSVAALDSACGDLSSTPHCLRCLDAGIAATSRLKAAANISANATTDGAATTRNCFYLTVTYAAGISNVAGPTNPPTAACTLGLALSTPPAAPPKSHDTVIYATAIPVAFLLLASLLAFLVWRRHDKKKKKKKKIHEISKEGSAERRSHPRPNTGSILYDIAELSNATDAFADRNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDVEGGDEEFTNEVEIISHLRHRNLVPLRGCCIVDDDAEEGKQKFLVYDFMPNGALEDFIFRDGKRPALTWAQRRSIIMDVAKGLEYLHYGVKPAIYHRDIKATNILLDGDMRARVADFGLARRSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLVLEVLSARRVLDMSAPSGPVLITDWAWAHVKAGQAREVLDGALSTADSPRGGAMERFVLVGILCAHVMVALRPTITEAVKMLEGDMDIPELPDRPLPYGHSAMFSEAGSNFSASPAFSGPFIDNGDMLR >OGLUM05G18100.1 pep chromosome:ALNU02000000:5:20790949:20792273:1 gene:OGLUM05G18100 transcript:OGLUM05G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTVPEPYLTPQRGSGGGSTVEEKGAAAATALASGGGGRLGAGSAGKETGVTGSGGPGLLTRRSGGSLGGSGGDSTVEERELRRRRRTRAQEAGEVVVRAEEVGNGARERRRRARLQSERRRLRRARRERRGRAARD >OGLUM05G18110.1 pep chromosome:ALNU02000000:5:20802413:20806105:1 gene:OGLUM05G18110 transcript:OGLUM05G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHTKFNPNYISICNPASSLSLIFTSLFLSWKRVRGSRRGDFCKEMGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGMVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >OGLUM05G18110.2 pep chromosome:ALNU02000000:5:20803706:20806105:1 gene:OGLUM05G18110 transcript:OGLUM05G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGVVASKLCYPAAAMEVVAAELGHTAGSKLYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLGWAIAQLGWVAGPAVMLLFSFVTYYTSALLADCYRSGDESTGKRNYTYMDAVNANLSGIKVQVCGFLQYANIVGVAIGYTIAASISMLAIKRANCFHVEGHGDPCNISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGVVQVVANGGVKGSLTGISIGMVTPMDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESRVMRRATVVSVAVTTLFYMLCGCTGYAAFGDAAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAQQRWPKSWYITKDIDVPLSLSGGGGGGGRCYKLNLFRLTWRSAFVVATTVVSMLLPFFNDVVGFLGAVGFWPLTVYFPVEMYIVQKRIPRWSTRWVCLQLLSLACLAITVASAAGSIAGILSDLKVYKPFATTY >OGLUM05G18120.1 pep chromosome:ALNU02000000:5:20817937:20819499:-1 gene:OGLUM05G18120 transcript:OGLUM05G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELSPVLLLLPFLLLGFLYLTGGVLRSGGNARRRLAPAPRGLPVIGNLHQVGALPHRALRALAAATGAPHLLRLRLGHVTALVASSPAAAAAVMREHDHVFATRPYFRTAEILTYGFKDLVFAPYGEHWRHARRLCSEHVLSAARSHRYGPMREQEVALLVNAIRTEAAAAAVDVSKALYAFTNAVICRAVSGRLSREDEGRSELFRELIEENATLLGGFCVGDYFPALAWADAFLSGFAARARRNLRRWDELLEEVIAEHEARLRGGDDGGGEEHREEDFVDVLLALQEESQRHDGSFKLTRDIIKSLLQDMFAAGTDTSFITLEWAMSELVKNPAAMRKLQDEVRRGGGATTAATPYLKAVVKETLRLHPPVPLLVPRECARDADDDATVLGYHVAGGTRVFVNAWAIHRDAGAWSSPEEFRPERFLPGGGEAEAVDLRGGHFQLVPFGAGRRVCPGMQFALATVELALASLVRLFDWEIPPPGELDMSDEPGFTVRRRIPLRLVAKPVGSEDDKK >OGLUM05G18130.1 pep chromosome:ALNU02000000:5:20829366:20829978:1 gene:OGLUM05G18130 transcript:OGLUM05G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGGNGMAMPPPPAPVKKARYMHMTFFWGKNTEVLFTLWPGARGGMYALAILFMFALAVLLEFRGYRVSGD >OGLUM05G18140.1 pep chromosome:ALNU02000000:5:20832837:20836029:-1 gene:OGLUM05G18140 transcript:OGLUM05G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZE6] MGGARLGRWVEGMSADNVKGLLLALSSSLFIGASFIVKKKGLKKAGASGVRAGVGGYSYLLEPLWWAGMTAMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIILREKLHIFGILGCILCVVGSTSIVLHAPPERQIESVAEVWDLATEPAFLLYAAIVLAAAFVLIFHFVPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQMWVFLLFVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQDPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHAEDDGYAAEGIPLRSAADGIPLRSPRATESFRATL >OGLUM05G18150.1 pep chromosome:ALNU02000000:5:20836058:20836822:-1 gene:OGLUM05G18150 transcript:OGLUM05G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSGRRGRRRRRRRAQ >OGLUM05G18160.1 pep chromosome:ALNU02000000:5:20841960:20846085:-1 gene:OGLUM05G18160 transcript:OGLUM05G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNLFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPARLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQETLKGYENNFCDFV >OGLUM05G18160.2 pep chromosome:ALNU02000000:5:20841960:20846085:-1 gene:OGLUM05G18160 transcript:OGLUM05G18160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNLFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPARLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQETLKGYENNFCDFV >OGLUM05G18160.3 pep chromosome:ALNU02000000:5:20842449:20846085:-1 gene:OGLUM05G18160 transcript:OGLUM05G18160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPWEYAPYDPYPSSFPNVTFPNYYYMKASSTPANTVYQEPYGYGNFAANVSYNGYDYGYSNPMYGIPVPPDGERLAEDRAREAAAAAAPAPPPPMPMPEVSPWDFFNLFDSYDYNQQLPQYKDANGSFTSSPNSSEVREREGIPELEEETEQESMRESIKARKAVESTASTRIDNADAVNAKAKTASMEHKECEIESVGSASVLDSGEESVCSCECDHADGNAGAGAATAAPAGDDPRMVKKVASEEHSSMVVAEDVLPKNFGTRDVADVVNEIKEQFNSVVACGDDVARILEVGSMRYRPRRRIVRLVFSRLMGAFALLFSSVSEPPVENLEQTALSASGRNHNSSQRIGSASDIEFNTLSSVMDRLYVWEKRLHKEIMEEEKLRITYDKQWKRLKELDDNGAEPYKIDSTRASIRTLLTRINITIRSAKVISRRIHILRDDELHPHLVKLIQGLVRMWKFILECHRKQFHAILETKSHVLIPKNGPERNSKITFELEMELLNWCSCFSNWILSQKAYIETLNGWLVKWLPEEKEETPDGIAPFSPARLGAPAVFITANDWCQSMKRIPEGTVIGAMEAFAVNVHMLRERQDEEQQQKLKADYLSRDYAKRLKSFQKEHGLAGHHEADKTVLPVAENNRAVDSRMVALDALHKRLDEQRSRHEEAVNQIQESSATDLKAGLGPIFEALESFTQETLKGYENVRIPINGCGA >OGLUM05G18170.1 pep chromosome:ALNU02000000:5:20882679:20886843:-1 gene:OGLUM05G18170 transcript:OGLUM05G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMSTSLMAILGRKTRSGSCACFLRPPPPLAHHTPNPAALVSAYAKSRLLLDALHLFDETPRRDIYLYSSLLAAVSRSASLELALPVLRRMLSADALRPDHFVIASVASVSARLRSLRLGRQLHEHFVVSPYSGDDVVKSSLVDMYCKCGFPDDARKLFDSMGAKNSVVWTALVSGYASNGRGEEALQLFRSMPGRNLFAWTALISGLVMTGESVGAVELFVEMRRDDIRIDDAFVLSIVIGGAGQAVAWFRNEAWVPVQHDSWECVD >OGLUM05G18180.1 pep chromosome:ALNU02000000:5:20886818:20887400:1 gene:OGLUM05G18180 transcript:OGLUM05G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDVLMSSMSLVSPGDDGDPRLKRSQLARVAQLARVARCTGLSERYGSQIPRADDTCDMAAATTEASALMARALLVMSCVIPRADDACDVAAATKADALMARTLLVMSCVAHLDDEDIGARGNVEKAWR >OGLUM05G18190.1 pep chromosome:ALNU02000000:5:20888058:20891798:1 gene:OGLUM05G18190 transcript:OGLUM05G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDERSGVVAITSCGRRDERKLRIKKKTRTTTESRTNQDSRERKSMPLLHISSPPLTAALPHGDSWSRGPAAAAPCAAAGGRLARATKGKDGAASQRR >OGLUM05G18200.1 pep chromosome:ALNU02000000:5:20913351:20917018:1 gene:OGLUM05G18200 transcript:OGLUM05G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRSANGELDACFRSLMLSIGSGRGQAEGGGAMPTLSGWKDLPIELLLRIMSIVGDDRMLVVASGVCTGWRDALGWGLTNLSLSRCQQNMNNLMISLAHKFTKLQVLTLRQNIPQLEDSAVEAVSNYCHDLRELDLSRSFRLSDRSLYALARGCPQLTKLNISGCSNFSDTALTYLTFHCKNLKCLNLCGCGKAATDRALQAIACNCGQLQSLNLGWCEDVTDKGVTSLASGCPDLRALDLCGCVLITDESVIALATGCPHLRSLGLYYCQNITDRAMYSLANSRVKSKRRRWDSVRSSSSKEEDGLANLNISQCTALTPPAVQAVCDSFPALHTCPGRHSLIISGCLSLTSVHCACALHPHRAGRTMVPSHAY >OGLUM05G18210.1 pep chromosome:ALNU02000000:5:20927200:20931384:1 gene:OGLUM05G18210 transcript:OGLUM05G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin MtN3 family protein [Source:Projected from Arabidopsis thaliana (AT1G21460) TAIR;Acc:AT1G21460] MEDLAKFLFGVSGNVIALFLFLSPVPTFWRIIRRKSTEDFSGVPYNMTLINCLLSAWYGLPFVSPNNILVSTINGAGAVIETAYVVVFLVFASTHKTRLRTLGLAAAVASVFAAVALVSLLALHGQHRKLLCGVAATVCSICMYASPLSIMRLVIKTKSVEYMPFLLSLAVFLCGTSWFIYGLLGRDPFVTIPNGCGSFLGAVQLVLYAIYRNNKGAGGGSGGKQAGDDDVEMAEGRNNKVADGGAAADDSTAGGKAGTEV >OGLUM05G18220.1 pep chromosome:ALNU02000000:5:20933936:20934187:-1 gene:OGLUM05G18220 transcript:OGLUM05G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGICALVVGDAEEDETELCAICVHVMVPGRPVRVLPGCTRAFHHDYVHRWRTISPRCLVCNAWVTQRSQGPNRRHRPPSLI >OGLUM05G18230.1 pep chromosome:ALNU02000000:5:20933957:20937222:1 gene:OGLUM05G18230 transcript:OGLUM05G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSLRPLRHPRIADEAARRDGSPPVDVVVVEGAGTSGEDADWPSGHHDVDADRAELRLILLGIPDHQRANPTHHRRLLLRSANTLERNTLKKKPKEAVTTEEKVTEPGEQQLSPNPPNRVTSNRESGTTQGKKRLPGGEQYTPTPKVQQQRMKTAHPQDGLTSTDSGTEDDKQQRPPARATGRKSSYEQATSDGETTPIQREQERPKKVNRPHHTEREGRAKAHVHTQHNYQPQTHPQNRILSSSHSICKKQVKRDLSHTVHGVHPPPQSAGDLSAARNPRGAHFNHRRLHHPPIRLKHRRDGEQPANVVAMPPSKLRKAIGAVKDQTSIGLAKVGSGGAAASELDVAIVKATRHSESFPADERHVREVIALTLHSRAYVGACVASLSRRLGRTRSWAVALKTLALVHRLLADGDQAFEQEVFYATRRGTRMLNMSDFCDRSRTDAWDFSAFVRTYAAYLDDRLEYRMQAKHGGAARPGRPLREQLYASPGNRFNYDDFIMRDDEADKAVALVARETPTSEMTLEQLLAKAQQLQHLLDRFIACRPVGAAKTNRVVSVSLYPLVKESVQLYCELTEVMAALVEQFPEMEADDCERVHAVFCGLAKQLDELEALYTWCKDAYVCRQSDVPEVELITQKKLELMDEFVRDRRAAAAQSPEPPRREASPVVEDDVSATKALPAPEEPVAAAQEEKNAGETVPAEPEAPPLIADGDADFLNLKGDAMSGEEHGRQLALALFDGNPAGSAPAPDAFDPSSTDWETALVQSASALAHQRAELGGGLSMLVLDGMYSQAAAASTAANAQAFSGSASSVAMRPPGAPMLALPAPPGTSGASGDPFAASMAVAPPAYVQMSDMETKQHQLVEEQMVWQQYGKNGMSGQGALAMLEQQRPPQQQMQMQMLPSGGYNYAGYHRSS >OGLUM05G18240.1 pep chromosome:ALNU02000000:5:20937488:20940332:-1 gene:OGLUM05G18240 transcript:OGLUM05G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTCLPPGFRFHPTDVELVSYYLKRKIMGKKPLIQAISDVELYKFAPWDLPAQSCLQSRDLEWFFFCPRDKKYPNGSRTNRSTPNGYWKTSGKDRTIELNSRIVGSKKTLIFHEGKAPKGNRTDWVMYEYKMEDNQLVSAGFSKDDFALCKIFKKSGLGPRIGEQYGAPFNEEEWEHADAEMFPLLPNVETSVFPLLPSSEVVNSTDDTRVQPSVAARAIEELPVQHLPHVCAGNGSTYQNITVTGESALMELPSQHSVESIGDEVVSVDNCSNVVNNADSPVIEGLVLEELSRFLTDSPHHGNPVGEHSGLPPMSEAEAHAFEVSTNDLYNEIAGLAELGVPNGDGFSPSNAGVTEQQPTYFGVPNSENYHAVPTVITKSRTVLEDSDTDDLVGFHMLAVLRNRCVFMATCCRSELSQTSLNLSRGLLLDLLVLELVVTASIVRPTMYIVLKTETVHVFGLKLYKHMGGNCASVRPEIVRPFELNQR >OGLUM05G18250.1 pep chromosome:ALNU02000000:5:20952167:20955923:1 gene:OGLUM05G18250 transcript:OGLUM05G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAASSRAGGGPARHAPRGGAGPGSPRVSAQRRRWWWWAAPLPSASGASSLERVALAFFLASVALVLSCALYLYVFRYLGRGSAVAGFVGRDLEPCDVFDGAWVPDAGYPLYNSSLCPFAERGFNCLANGRRDTGYLKWRWKPRRCDAPRFTARAALERLRGKRVVFVGDSMSRTQWESFICMLMAGVDDPKMVYEVNGNEITKTIRSLAVRFASFDLTVEFFRSVFLVQQRPPPRHAPKRVKSTLRLDRLDNISRKWVNSDVLVFNTGHWWTPTKLFEMGCYFQSGRALKLGTSVEAAFRTALETWASWVEKRIDLNRTHVFFRTYEPSHWSDTNQKVCEVTEQPSSEAKGNDKSEFGTILADVVANMKAPVTLLNVTLMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELLEYFELQFCLLKNKKT >OGLUM05G18260.1 pep chromosome:ALNU02000000:5:20956905:20961729:-1 gene:OGLUM05G18260 transcript:OGLUM05G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZG1] MPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDDSAKAKKTELFGADPPILYVLHYLGMKPWLCFRDYDCNWNIPLMREFASDVAHARWWKVHDNMPEKLQSYCLLRSKLKAGLEWERRQAEKANLEDGHWRRNITDPRLTICYEKFCYWESMLLHWGEKNPTNNNPVPATISSS >OGLUM05G18260.2 pep chromosome:ALNU02000000:5:20956905:20960971:-1 gene:OGLUM05G18260 transcript:OGLUM05G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZG1] MGSLETRYRPAGAPSDDTTKRRTPKSRIYKDVENFGVLVLEKNSGCKFKTLRYLLLAITSATFLTLLTPTFYEHQLQSSRYVDVGWIWDKPSYDPRYVSSVDVQWEDVYKALENLNVGSQKLKVGLLNFNSTEYGSWAQLLPGSAVSIVRLEHAKDSITWDTLYPEWIDEEEETDIPACPSLPDPNVRKGSHFDVIAVKLPCTRVGGWSRDVARLHLQLSAAKLAVASSKGNQKVHVLFVTDCFPIPNLFPCKNLVKHEGNAWLYSPDLKALREKLRLPVGSCELAVPLKAKARLYSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSTRDLVILVDDTISDHHRKGLEAAGWKVRFIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDDSAKAKKTELFGADPPILYVLHYLGMKPWLCFRDYDCNWNIPLMREFASDVAHARWWKVHDNMPEKLQSYCLLRSKLKAGLEWERRQAEKANLEDGHWRRNITDPRLTICYEKFCYWESMLLHWGEKNPTNNNPVPATISSS >OGLUM05G18270.1 pep chromosome:ALNU02000000:5:20999311:21000429:-1 gene:OGLUM05G18270 transcript:OGLUM05G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAPQHVNNFVWGKMVYPSLQRSYYRGNHQYIYNDGVVSTGKISLRGALITQEAKEWKKDRSKLIVETKPNRNMDSLLQLYVALVSQHKQSAVPPQRKAHWK >OGLUM05G18280.1 pep chromosome:ALNU02000000:5:21002326:21004135:1 gene:OGLUM05G18280 transcript:OGLUM05G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFAGQRSRPWMGDAAASDQAAAGGVGGGGGDVRDDGDGGAASAAAAKGLGDASTNASAISFGFAATAILISMFLLMAIFEHLIKPSLSSSSSSSSSSSSSSRASHGDGDGHGQSSSSHHAAAAAAGVSPDKLFCTPGKLEVVPAEDLTVLMPGQRYPTFLAQPAPLLPWRREGVRWPPHGHRHCFVPP >OGLUM05G18290.1 pep chromosome:ALNU02000000:5:21015988:21016560:1 gene:OGLUM05G18290 transcript:OGLUM05G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYSNLACSSSSPPPVAAAGNGAGCRARRSLELTNTKETNAWEGLAIGAVTLARTFSTGSHRISSSSRSGAGERVGRTAGGGGGLPGAVRRAFSMRRHPAGLGKGDGYYWRIHDNMDGDSDDDGDNPAAAAAEEEERDKKEQLAESADEKKKEQLAEAADEKVSATATATPKKKKGGRIMKACKKLLRV >OGLUM05G18300.1 pep chromosome:ALNU02000000:5:21018373:21021495:1 gene:OGLUM05G18300 transcript:OGLUM05G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLHHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGNNYVAAPPPPMMPAGRSGRPVSRGGGAPPYNEMHTPKNATTIWD >OGLUM05G18300.2 pep chromosome:ALNU02000000:5:21018373:21021702:1 gene:OGLUM05G18300 transcript:OGLUM05G18300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLHHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGNNYVAAPPPPMMPAGRSGRPVSRGGGAPPYNEMHTPKNATTIWD >OGLUM05G18300.3 pep chromosome:ALNU02000000:5:21018522:21021495:1 gene:OGLUM05G18300 transcript:OGLUM05G18300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLHHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGNNYVAAPPPPMMPAGRSGRPVSRGGGAPPYNEMHTPKNATTIWD >OGLUM05G18300.4 pep chromosome:ALNU02000000:5:21018522:21021702:1 gene:OGLUM05G18300 transcript:OGLUM05G18300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLHHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGNNYVAAPPPPMMPAGRSGRPVSRGGGAPPYNEMHTPKNATTIWD >OGLUM05G18300.5 pep chromosome:ALNU02000000:5:21018522:21021702:1 gene:OGLUM05G18300 transcript:OGLUM05G18300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTTSESGSTTTDDDVHLSPTSICSGGGGGACVVVGGSRIKILCSFGGRIMPRPSDGALKYIGGETRVLAVPRSIPFSDLKKKVEEMFRTEVAAIKYQLVAEDLDVLVSVTCDEDLTHMLDEYDRFEAKRSPSASPRFRVYVFSSQPPVAAAVPSTSRHAGYAPPASHHHLLHHHLHHFQPDHYVATPDGSPPYAGHSHGAVSAGNSPRADAVGPDHPAVFGLKMQRVRSTPNLGSLDASPQHYHQHAADVGGGGGMAGYMGGSSPVHAGAGHLVSQGGFHSYYHPHGQYAPAPVPVPHHAGVGRYDTRGGGGYVRGNNYVAAPPPPMMPAGRSGRPVSRGGGAPPYNEMHTPKNATTIWD >OGLUM05G18310.1 pep chromosome:ALNU02000000:5:21025900:21031777:-1 gene:OGLUM05G18310 transcript:OGLUM05G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,3-galactosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G33430) UniProtKB/Swiss-Prot;Acc:Q9C809] MSRNPGCTVFIGNLDEKVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNIPVTPKLNPIPPPKPAQLMRSSDTPASQHTVVNGRIAGISPNHSYSAHSEAPSGISSRGLSNGTYEYSRRVFGSVLNDALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAA >OGLUM05G18310.2 pep chromosome:ALNU02000000:5:21026500:21031777:-1 gene:OGLUM05G18310 transcript:OGLUM05G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,3-galactosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G33430) UniProtKB/Swiss-Prot;Acc:Q9C809] MSRNPGCTVFIGNLDEKVGRVVDLHIPRDKETSRSKGYAFAEYETEEIAQYAVKLFSGLVRLHNRTLRFAISGQDKQSSNGNIPVTPKLNPIPPPKPAQLMRSSDTPASQHTVVNGRIAGISPNHSYSAHSEAPSGISSRGLSNGTYEYSRRVFGSVLNDALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQK >OGLUM05G18310.3 pep chromosome:ALNU02000000:5:21025900:21027686:-1 gene:OGLUM05G18310 transcript:OGLUM05G18310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,3-galactosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G33430) UniProtKB/Swiss-Prot;Acc:Q9C809] MMALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNRYFRHATGQIYAISKDLASYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVSNNFAAAAA >OGLUM05G18310.4 pep chromosome:ALNU02000000:5:21026500:21027686:-1 gene:OGLUM05G18310 transcript:OGLUM05G18310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable beta-1,3-galactosyltransferase 8 [Source:Projected from Arabidopsis thaliana (AT1G33430) UniProtKB/Swiss-Prot;Acc:Q9C809] MMALTERQPQSEKKAARARPMSAKAVVVLCATSFFVGLLLSGRMTLLMPPPSGSVGAASSGHGSRLSLFSDDCEHRHKLDEGNPNDIMNEVSRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGAAVPSKRGRRPPRAFVVIGINTAFSSKKRRDSLRDTWVPRGERLRRLEEEKGVVVRFVIGHSATPGGALDRAIDVEDAETRDFMRLDHVEGYHELSSKTRTYFTAAVATWDADFYVKVDDDVHVNLGMLTSRLARYRTRPRVYVGCMKSGPVLSQK >OGLUM05G18320.1 pep chromosome:ALNU02000000:5:21034072:21036225:-1 gene:OGLUM05G18320 transcript:OGLUM05G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZH4] MECENGYVAAAANGGGLCMEVPRADPLNWGKAAEEMAGSHLDEVKRMVAEYRQPVVRIEGASLRIAQVAAVAAGAGGEAAVVELDESARERVKASSDWVMSSMANGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAGATRAAMLVRINTLLQGYSGIRFEILEAVAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRENSVAVAPDGRKVDAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAILAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKEAKRLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELSAEQHNQDVNSLGLISSRKTAEAIDILKLMSSTFLVALCQAIDLRHIEENVKGAVKTCVMTVAKKTLSTNSTGGLHAARFCEKDLLREIDREAVFAYADDPCSANYPLMKKLRNVLVERALANGAAEFDAETSVLAKVAQFEEELRAALPRAVEAARAAAESGTAAAPNRIAECRSYPLYRFVREELGTAYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGAPLPIC >OGLUM05G18330.1 pep chromosome:ALNU02000000:5:21065431:21066696:-1 gene:OGLUM05G18330 transcript:OGLUM05G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRCSTVVLNTNDDTVQALDVPPFIIGAWEVITVLVESSQIMVLRPSITLSLEGKMHTRHSLKCPGLVGHANKEPYSRGWQLRTALGPWDLCLSLGNIELTRVGDGWKEKELQYCILELVEDSDRSAQGISFSELVGSLDVKMVEFLLGLVGTSDMNHTESITSFVKERMEGKLEGENDVSDTQLVIDKRITFYFEENISIGDSMFLQKGASQILELLPKPISKWQDAGPDHIYILGVFHAEPQSFFSNQIIWMNGMDRSIYYPWFDPVLSSLPSRVCYDWLIYLRVTLEICPKKMMLQRRIEGRDITWQYRQGLYGKHDSWFCDDLRDQEPYIEGDQIHPSIQDLKSCSCAEQ >OGLUM05G18340.1 pep chromosome:ALNU02000000:5:21066712:21066927:-1 gene:OGLUM05G18340 transcript:OGLUM05G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEDATSDAYIDNLEHPKKMLTNCSTNCSSFDGMTELTVVAVKRCATTVIAFVELIGIEDNGHTTCIGT >OGLUM05G18350.1 pep chromosome:ALNU02000000:5:21067032:21067223:-1 gene:OGLUM05G18350 transcript:OGLUM05G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDKFDMLLRMLEEFERRQEEADQRRRADFLSLKAAVESWMPEVEKNAEELQISVGTSSAK >OGLUM05G18360.1 pep chromosome:ALNU02000000:5:21068842:21071686:1 gene:OGLUM05G18360 transcript:OGLUM05G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTMNHELLQAVTTGNRDLFEQVIGSNVIVTEAPLTGVTAEGNSVLHIAASHGFLELVEAICRVDGTLIRARNNYFDTPLICAARAGHDNVDAHFIRLAAAEHEANEALLGARNSDGASAMHEAVSNGHFAVLETLLLEDAWLGSTVNARGVSPLYLAVLSGRADMVQLLIEQSPEVVRSPAYYSGPDGKTALHAAALVSEDMTESLRLSMPMLTRRGDDFGNTALHYATSAGRIRVVNLLLEDPTLAYLPNSYGQYPVHIAAIKGHVHIVDQFFELYPNCGELLDNNGRNALHCAIEHGRMKVVTNICKSPSFTQMMNTRDKQGNTPLHLAIKLGYASMAFPLMLDARVSLNATNNEGLTPLDVAIYKRDQWCTLSTFNPRIITMISCLEWRGANSRPWCLPERQSEQLDMRETENILSSLYSNLSQNLLTISVLIAAASFAAFFTVPGGYIAEGEDAGVPVLSEVPAFMSFMEFNALALGFSISATMLLLLTSLPDSSQRYRRYNIRYSIALVETGILAMVSTVVYVVRLTPLPAGDWYEFLHLKGDLHFFLRSMIIMFILIANKRSLWWPLRYARLIYSQFGLEGLFAALLGHRPVRLLKQLRSIYPWQNIFGILSSIVIYALIIIPVSQMDTGLPWQSSHNWNV >OGLUM05G18370.1 pep chromosome:ALNU02000000:5:21079552:21082676:-1 gene:OGLUM05G18370 transcript:OGLUM05G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPPELYYRILNISRDTSPKEIRAAYKTLVRQWHPDKHPPSSKNEAEARFKAITEAYEALLDQQENRAAFGARGNVDAVDEKGDRTAAAGGGGGATTTGGVGGDGRAPSSAMPRAQGAEKKKAPSAAAPPPTRTAPCGTPAREFKKPVLYSSTGLGEAAGGGRRRAFAEFSSCVVRKAPPLERRVECTLEELCSGCKKEVKYTRDVVAKNGLVSKKEETKTIRVKPGWKKGMKVTFEGMGDERPGCLPGDAVFTISERKHRVFKRKGNDLVLKAEVPLVSALTGWSFSFRLIGGEKMSYTFRDEVISPGYEKVVAGEGMPVVAAAGGGEKAAARGDLRVKFDVVFPKNLTGEQRAGLASILRACP >OGLUM05G18380.1 pep chromosome:ALNU02000000:5:21087058:21087270:-1 gene:OGLUM05G18380 transcript:OGLUM05G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPPPFFLPPLRRSRLFVISLSDHATPLPAPGSWLGATEARGTGCSTVDGEPDKVAGRPVGTDHSDG >OGLUM05G18390.1 pep chromosome:ALNU02000000:5:21094387:21105744:-1 gene:OGLUM05G18390 transcript:OGLUM05G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZI2] MEAAVLAVVLFIGVALWSSSSSPAAAGTKEELEDGDDQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEGKHQKNTSFLNLDHSVGDRRYNYDHRKVVAYVLSVNHFVLGSQLKIGHESVHMRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPKMWPKLIAKAKNGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYGLIWQPTWGHLRELHCAVKQSSEPLLFGSYSNFSLGQQQEHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVPQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQVGLFGEKDSIYTQEGTNSVRWMDINNLIYHPLTWYKTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCR >OGLUM05G18390.2 pep chromosome:ALNU02000000:5:21093715:21105744:-1 gene:OGLUM05G18390 transcript:OGLUM05G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZI2] MEAAVLAVVLFIGVALWSSSSSPAAAGTKEELEDGDDQRGEVTYDGRALIVNGTRVMLFSGEIHYARSTPEGKHQKNTSFLNLDHSVGDRRYNYDHRKVVAYVLSVNHFVLGSQLKIGHESVHMRGGMAITAALVVVAAAAESRWAELGREITYDGRALVVSGARRMFFSGDMHYARSTPKMWPKLIAKAKNGGLDVIQTYVFWNVHEPIQGQYNFEGRYDLVKFIREIQAQGLYVSLRIGPFVEAEWKYGGFPFWLHDVPSITFRSDNEPFKQHMQNFVTKIVTMMKHEGLYYPQGGPIIISQIENEYQMIEPAFGASGPRYVRWAAAMAVGLQTGVPWMMCKQNDAPDPVINTCNGLICGETFVGPNSPNKPALWTENWTSRYPIYGNDTKLRAPEDIAFAVALFIARKKGSFVSYYMYHGGTNFGRFAASYVTTSYYDGAPLDEYVNFDQHNTPKVEFRNISLELAPKSISVLSDCRNVVFETAKVNAQHGSRTANAVQSLNDINNWKAFIEPVPQDLSKSTYTGNQLFEQLTTTKDETDYLWYIVSYKNRASDGNQIARLYVKSLAHILHAFVNNEYVGSVHGSHDGPRNIVLNTHMSLKEGDNTISLLSVMVGSPDSGAYMERRTFGIQTVGIQQGQQPMHLLNNDLWGYQVGLFGEKDSIYTQEGTNSVRWMDINNLIYHPLTWYKTTFSTPPGNDAVTLNLTSMGKGEVWVNGESIGRYWVSFKAPSGQPSQSLYHIPRGFLTPKDNLLVLVEEMGGDPLQITVNTMSVTTVCGNVDEFSVPPLQSRGKVPKVRIWCQGGKRISSIEFASYGNPVGDCRSFRIGSCHAESSESVVKQSCIGRRGCSIPVMAAKFGGDPCPGIQKSLLVVADCSVIAELPLRGGKGRPVFESACREDSGWTTAAAAGLAFPRLRYVRVPLVWLNLQNNPSCAAVNCSIWGYLQICNCFSFQFCEHANSNIVLVVFF >OGLUM05G18400.1 pep chromosome:ALNU02000000:5:21093808:21099102:1 gene:OGLUM05G18400 transcript:OGLUM05G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIIQAYQTGKSEYLTLDRLVNVPEDIAWQTRELLQGKPEMLSKFIVIVA >OGLUM05G18400.2 pep chromosome:ALNU02000000:5:21093808:21099102:1 gene:OGLUM05G18400 transcript:OGLUM05G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIIQAYQTGKSEYLTLDRLVNVPEDIGLYHIEATVLCFCYEFWPHLPIYCAQTS >OGLUM05G18410.1 pep chromosome:ALNU02000000:5:21111338:21113871:-1 gene:OGLUM05G18410 transcript:OGLUM05G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGGSSEHFLRQFSASDGAPLPRELGEEWAAAECSVGGGGGGGRRGSRRWSRKKARGHHRRGGGGGGGGGLCSSREEAPAGRKRVMVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPHGGGDASALANSLGSLCKACKPEVEVEALVIQGPKLGTVLSQVKKLDASVLVLSQCKPSPFCCFMRSSGEEFVEECINRADCLTLAVRRQSKGVGGYLISTRWQKNFWLLA >OGLUM05G18420.1 pep chromosome:ALNU02000000:5:21127115:21129178:-1 gene:OGLUM05G18420 transcript:OGLUM05G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRASTATTMQLGLLLAALLLFTSSLAGSVAAAAPPPPAGAKGGGAKSGGGGGTVIGIDLGTTYSCVGVYRNDRVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDITGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDFSEPLSRARFEELNGDLFKKTMVPVRKAMADAGLGKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAVAYGAAVQASIISGHVDENTEGMILLDVAPLTLGLETAGGVMTKLIPRNTVVPTKKTQVFTTYKDRQTTVTIQVFEGERSMTRDNRLLGKFDLTGIAPAPRGAPQIAVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFADEDRRHREQVDARNSLEAYVYNVKSTLGGKMADAMEGEEKEKVEEAVREAHEWLDGNPDAGKEEYEEKLRELEDVCNPVMSAVYQRSGGGGSAPEDGNVDDEDDHDEL >OGLUM05G18430.1 pep chromosome:ALNU02000000:5:21132901:21138283:-1 gene:OGLUM05G18430 transcript:OGLUM05G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transport 2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) TAIR;Acc:AT4G22200] MKTSGFESASSSGSGGGGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQPGHAGPNDRRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLISVDVLHHGCLAYIVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAGERVVATLGTRGVFGEVSALSDRPQSFTLRTRTLCQLLRLRQAALKEAMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVLVLLEQACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSSPHHAAGDLLCLAARRGDLDTLRELLKHGLAVDSEDRDGATALRVALAEGHADVARFLVLNGASVDRAASHNEQQAAAAVSVDELRELMKTRELAHPVTIVVDSPSPAAAAVIREVGSSGDSRNGRRQSARSDGTHWPRVSIYRGHPFVRNRSSEAGKLINLPGTMEEFRIIIEEKLKVDARKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEHMTAVASMDSVSGS >OGLUM05G18430.2 pep chromosome:ALNU02000000:5:21132901:21138283:-1 gene:OGLUM05G18430 transcript:OGLUM05G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transport 2/3 [Source:Projected from Arabidopsis thaliana (AT4G22200) TAIR;Acc:AT4G22200] MKTSGFESASSSGSGGGGGGEGSGSFNLRNLSKLILPPLGVPAGGHAQPGHAGPNDRRVISPLDSRYRCWDTFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDSRTQLLINDNGPSERCAQDSIIDMPTALEFLYLSTFFIMDVASTIPFQGLAYIVTGEVRESPAFSLLGILRLWRLRKVKQFFTRLEKDIRFNYFWIRCARLIAVTLFLVHCAGCLYYLIADRYPHREKTWIGAVIPDFQEASLWIRYTSSVYWSITTMTTVGYGDMHAQNTVEMIFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIRAASNFVGRNHLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSICKGICEYLFLPVVKDVYLFKGVSREVTKMKPEYIPPKEDVIVQNEAPDDVYIVVSGEVEVIYSDGEAGERVVATLGTRGVFGEVSALSDRPQSFTLRTRTLCQLLRLRQAALKEAMQSKPEDSVVIIKNFLKHQIEMHDMKVEDLLGEDAAGEYDHGNIPCNLLTVAATGNSSFLEDLLKVGMDPDVGDSKGRTALHIAASKGYEDCVLVLLEQACNVNIKDAQGNTALWNAIAARHHKIFNILYHFARVSSPHHAAGDLLCLAARRGDLDTLRELLKHGLAVDSEDRDGATALRVALAEGHADVARFLVLNGASVDRAASHNEQQAAAAVSVDELRELMKTRELAHPVTIVVDSPSPAAAAVIREVGSSGDSRNGRRQSARSDGTHWPRVSIYRGHPFVRNRSSEAGKLINLPGTMEEFRIIIEEKLKVDARKTLIMNDEGAEIDSIDVIRDNDKLFIVTEEHMTAVASMDSVSGS >OGLUM05G18440.1 pep chromosome:ALNU02000000:5:21140224:21142207:-1 gene:OGLUM05G18440 transcript:OGLUM05G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAHAEKASRQRFVQSRWRSWRKKAAKRFKPIPRSCRRSSRTWPAMRGKISRSWAFSSPSGEGGHTAARSGKPSNNWRVADRSVITSVTESELSVQCESCDPY >OGLUM05G18450.1 pep chromosome:ALNU02000000:5:21145114:21148423:-1 gene:OGLUM05G18450 transcript:OGLUM05G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZJ0] MDRRPDLSLALHPPPPPPEGSSAAVEEEEVEGGEGRFSLMGQPLFLKRPRPRPPRPPRARGVGDDVDDDSSPCCSSSCLSPAAKRRAGAGAGGLEARRAAVRAWGCQPLPEADPDVHELMERERRRQAGGVELIASENYACRAVLDALGSHLTNKYSEGLPGARYYCGNQHIDAIERLCCDRALAAFGLDPSRWGVNVQPYSCTSANFAVYTGLLLPNDRIMGLDSPSGGHVSHGYYTPSGKKVSGASIFFENLSYRVNPHTGYIDYDKVEEKAVDFHPKILICGASSYPRDWDYARMRLVADKCGAVLMCDMAQISGLVAAKECRNPFDYCDIVTSTTHKSLRGPRGGIIFFRKGKNLRKRVGSLTQVVENDQYDFEDRINFAVFPSMQGGPHNNHIAALAIALKQVAMPEFKAYIQQVKKNAQALAMALLSRKCRLVTGGTDNHLVLWDLRTFGLTGKNFEKVCEACHISLNKTPIYGDNGSISPGGTPAMTTRGCLESDFEIMAEFLLRAAHIASIVLKEHGRLQKDFLKGLENNNDIIELRNQVETFALQFAMPGFDV >OGLUM05G18460.1 pep chromosome:ALNU02000000:5:21160555:21160809:-1 gene:OGLUM05G18460 transcript:OGLUM05G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRMAMRHGSDGGASKSSNGEVWGGDEVRVRRQRQQIGRNDVERLGMTMSRWSGDGASRFSSVEVGDSVSCSSEVSSSKLQGF >OGLUM05G18470.1 pep chromosome:ALNU02000000:5:21163311:21165711:-1 gene:OGLUM05G18470 transcript:OGLUM05G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAKQETPAAAEVMVVEKADEVVAVEKAVEVEAEEKKVAEQEEEEEKKAEEAEEAAGGDEAAVIEGTGSFKEESNLVSELPDPERTALAQLKELVAAALAAGEFDLPPPPPPPPAKAEEPAKEEEPKAAEAPAAEEPKAEAEAEAEAAATEEPKTEEPKAEAPAQEEPKTEELAKEEAAAAAAAEEPKAEAAAEEAKPAEPETEEKTVVVTEDEGTSKTVEAIEETVVVAAPAAAAEAEAAAPKEELIWGVPLTGDDERTDTVLLKFLRAREFKVKEAMAMLKAAVLWRKRFGIDAVLAADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILDQLDFSPSGICSMVQVTDLKNSPPMLGKHRTVTRQALALLQDNYPEFIAKKIFINVPWWYIAANKMVSPFLTQRTKSKIIFCTAAKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELPIKPSSKETVEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKIVLTVDNAASKKKKQLLYRFKVKSSSESA >OGLUM05G18480.1 pep chromosome:ALNU02000000:5:21175929:21177098:-1 gene:OGLUM05G18480 transcript:OGLUM05G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G35420) TAIR;Acc:AT1G35420] MAAAVTAPPYAAGAPRRRLLAARPLGRGAALALAPAARTSSSSVTGPRRGSWEDVARRRSGRTEVASVDDDEACELVSGADLVIGGDVDEGDGVRAYLLKAVKNNNGTGVLLLSDVFGFEDSATRDFAYRVACHGYNVLVPDLFRGSPWKKAEKDGFDAWLAGHAPERVSGDIDACTNWLVDEFTAAGVSRKLGIIGFCYGGGRLVETLARDGGGGGGAYSAGVCFYGSRMDASLAPRLAAPVLFVCGDGDPLCGVETVRALEARARGARAAVYAGRGHGFAHRPQSLEDDGDAEDAFALMRGWLHDHLLA >OGLUM05G18490.1 pep chromosome:ALNU02000000:5:21178780:21181194:-1 gene:OGLUM05G18490 transcript:OGLUM05G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAMPPRPFMMPGPGGPMPPPQQFGLVETRPPLAAVLRPRFNIPGLHPSAAAASAAGKIASTYDLVESMRFLYVHVVKAKDLPAVSAAGTIDPFVEVKLGNFKGTTPVLGGNHNPSWKQVFAFSATHLQAHVLEVAVKAKDLAGGDDLIGRVGFDLSEVPIRVPPDSPLAPQWYRLENKRGEKTRGEIMLSVWLGTQADEAFPDAWHSDAHAAAGPGAVASTRAKVYFSPKLVYLRVAAIGAQDLVPLDASRPANACVKLQLAGQVRRTRPGAPPGTLNPIWNEEFMFVVSEPFDEPLFVTVEDRVGPGRDEPLGRIMLPLNAAMPRHDHFGKPVEPRWYSLARPSDDPDKKEGKFASKIQLRMSLDFGYHVLDESTYYSSDLQPSSKHTRKPSIGILELGILGARNLIPMKGKDGRTTDAYCVAKYGPKWVRTRTILNTLNPQWNEQYTWEVFDPCTVITVVVFDNNQIGKNGDARDESIGKVRIRLSTLETDRVYTHFYPLLALKPSGLKKTGELHLAVRFTCTAWVNMIALYGRPLLPKMHYTQPISVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVGSHMFSLRRSKANFYRITSLFCGFAATAKWYDGIRSWRNPITTVLVHMLFLILICYPELILPTIFLYMFMIGLWNYRYRPRHPPYMDTKLCHAEFTNPDELDEEFDSFPSSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLLVIAMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >OGLUM05G18500.1 pep chromosome:ALNU02000000:5:21188415:21188777:-1 gene:OGLUM05G18500 transcript:OGLUM05G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAPARGNEGMWSVGAGAGNEGGSGELRKRAGVVGRRRRETRRRRREMRRVVRGGWVLTGMRRKQRPPAQLAGMEIGTACSSRRWPQLLTRRPQPPSRPPTASSVHRESKGQRRIEKKR >OGLUM05G18510.1 pep chromosome:ALNU02000000:5:21196901:21197783:-1 gene:OGLUM05G18510 transcript:OGLUM05G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWMNYLRPDLKRGNFTDDEDELIIRLHSLLGNKSVSLPPSPAPPPPIDGAFINSLWSLIAGQLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHRPLLSGGDGIAASNKAAPPTPHPISVPAKAAAAAIFAVAKPPPPPRPVDSSDDGGRSSSGTTSTGEPRCPDLNLELSVGPTPSSPPAETPTSARPVCLCYHLGFRGGEACSCQADSKGPHEFRYFRPLEQGQYI >OGLUM05G18520.1 pep chromosome:ALNU02000000:5:21208992:21213635:1 gene:OGLUM05G18520 transcript:OGLUM05G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPALRLGSPAALLAAVLAVPVLADDVALAAALAWCLGGGGGGGGVGVARRGMACSVEALARRGGGGESESENEEEEKRRGRAGERRLRGGGSAAAAAAAGSGELLSIPGVGPRNQRKLVDNGFEGVAQLKQLYRDKFFGKYSEKMVEFLQSSVGIIHKNHAESITSFIKESVDEELKDADSSKASQKKRLTFCVEGNISVGKSTFLQRIANETIELRDLVEIVPEPVAKWQDIGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESSGGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVTLDYLQGLHEKHESWLLPSKGGGTGVLSVSQLPTHLEGSLPPAIRDRVFYLEGDHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYAQQVAEFFQFVKKKKEAPSEQTSTDKNRINPQIMLPHKGGLWVPDGRNPFSGSAMNLNFRRAMSSYLST >OGLUM05G18530.1 pep chromosome:ALNU02000000:5:21216938:21223910:1 gene:OGLUM05G18530 transcript:OGLUM05G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPALTKRAKSPVVEEATAKRVEDQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLARTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKCSLISALYLMKELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSLIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTASQIKAEMEKTLRWLVPIANNTTKSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPDKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDLLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >OGLUM05G18530.2 pep chromosome:ALNU02000000:5:21216938:21225984:1 gene:OGLUM05G18530 transcript:OGLUM05G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSKVSAVDKSPSDTTLVRDQIVDPEPALTKRAKSPVVEEATAKRVEDQQQSFAFLESVVPGLAVYNGADAGQAGSRTPQLARTLSQKAGLGKTKAGAAKVSEVSSLLGRAGTVGLGKAVEVLDTLGSSMSSLNTSSGFISAAKGDKISILAFEVANTIVKGSNLMRALSKTNIKHLKEVVLYSEGVQHLISKDMDELHKIAATDKREELEIFSKEVVRFGNRCKNPQWHSLDRYFEKCSLISALYLMKELYHELHTLDRFEQDCRRKQQELDGLGSRGDSLHMLKQDVKSQTKHVKSLKKRSLWSKNLEEVMEKLVDIVHFLHLEINNAFGLADSEAPQEPAKHHNRLGPAGLALHYANIINQIDTLVSRSSLIPPTTRDTLYQGLPLTIKSALRSKLQSFEVKEELTASQIKAEMEKTLRWLVPIANNTTKSELNCKLSGQMDLTRIETLYHAEKEKVDGHILELVVWLHHLISKSKNANGGVRSPIKSPVRSPTQKGITLMPDKSNSSSPILTQEDKDMLKNVKFRKFVPGISKSQEFDTKSRHSKQIRLIKSNSQSPTSGSRKDLLSLRRSSMLPVIDFQMDRTKALDLIDRLDGLKKQ >OGLUM05G18540.1 pep chromosome:ALNU02000000:5:21223495:21225955:-1 gene:OGLUM05G18540 transcript:OGLUM05G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT4G02790) TAIR;Acc:AT4G02790] MAAASLAPPTASFSPAPAPGRVKAAAPLLSRRPPGRFLLRVASESSSISGDTLLGLYEKERLSHSLYANEDYDKEMFWENLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLATSHPKMDAWLGNRKRIIVMNREDMVSNEDRNAWASYFANQGTKVVYSNGQLGMGTMKLGRMAKSLASTVNTKRREKGLLPRAVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGSDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFADVAAILVQLLVRHPAVGPEAFRRRYRLDMDSDCGKMFVTKLSVHLFNGDASQAAFRILSDYRKGKFGCVALERPPT >OGLUM05G18550.1 pep chromosome:ALNU02000000:5:21226217:21228061:1 gene:OGLUM05G18550 transcript:OGLUM05G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAQFVAAAALPALLPTPCGRTSSSRASSDERWDIQKKPWLQADALHGEEDRNAAMTMRLTTNKEISKPRHAVFAGPSFVAPEPKTGENPALTN >OGLUM05G18560.1 pep chromosome:ALNU02000000:5:21228265:21228642:-1 gene:OGLUM05G18560 transcript:OGLUM05G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVGMVMAAGMAMAVAEFADPATVRRPTVEGSCGAGGLSITRCYRLWRLLAMVQIWRVLSRRSGGVESGRRAGGVNSAGTFRWHLGASAMDALVDRVSEVKTLLRFRC >OGLUM05G18570.1 pep chromosome:ALNU02000000:5:21232091:21234956:-1 gene:OGLUM05G18570 transcript:OGLUM05G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZK3] MSRAPPDAAGDLFAANLKGSLLAVASSAFIGVSFIVKKKGLRRAGAAGPRAGVGGYGYLLEPLWWVGMITMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVEQIWHLATQPAFLCYMAFALVVSLILMAHCAPRYGQTNIAVYIGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLFATISAICIIIQLIYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSISSIASEICGFLTVLSGTVVLHSTREYDQTISPDLYTPLPPIYWHIQGNGETVKQKEDDSLSADFITVVRQDYFV >OGLUM05G18580.1 pep chromosome:ALNU02000000:5:21237679:21239307:1 gene:OGLUM05G18580 transcript:OGLUM05G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZK4] MAAAAAAASTSRLLLLRHHHHHAAADGSKQQQQLRYSSKPSSLALPRLRLLPAAGALLPDRVTPFSYEEDDESDDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPARLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVLGRRRNGAVAFASETCALDLIEATYEREVEPGEVVVVDRRDMSVSSACLVPHRPRRSCVFEHIYFALPNSVVFSHAVHERRTAFGRALAEESPAAGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVHGVIRGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHSIYGAEAEGYCDACFSRNYPVLPTLPEPVVELEE >OGLUM05G18590.1 pep chromosome:ALNU02000000:5:21240775:21243964:-1 gene:OGLUM05G18590 transcript:OGLUM05G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATDPLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPLGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGICASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAMPMSLWWVVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYVYFSMSYIYRRKVVDVQ >OGLUM05G18590.2 pep chromosome:ALNU02000000:5:21243566:21243964:-1 gene:OGLUM05G18590 transcript:OGLUM05G18590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGATDPLLPRAGAARRRPATGGWRSALFIIWVEVAERFAYYGVSSNLISYLTGPLGETTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYITVS >OGLUM05G18590.3 pep chromosome:ALNU02000000:5:21240619:21242072:-1 gene:OGLUM05G18590 transcript:OGLUM05G18590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRQGLGLLALSSTFSSPQSQQCSSSGDGRQVCRSSSVQRAFFYVSLYLVAIAQSGHKPCVQAFGADQFDATDPGESSSRSTFFNWWYLGICASATVTAAVMSYVQDNVGWGLGFGVPGMVMLLGLLVFLLGTRTYRFYDGGGSGAFSGVGEAVRAWRKSRRRGEGGGGGGATVEAEHGELAEEVRGMARLFPIWATCLLYGVLFAQPPTLFTKQAATLDRRIGPSSSFQVPPAALQSFLGVSIIPCVLLYEHVLVPAARRATGVATGITMLQRIGAGIAMCAVTLLVAALVEMRRLSAARDADPGAAMPMSLWWVVPQYVLFGAADVFAMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLISAIDVVTRRDGGTSWFDDDLNRGHLDYFYLLLAALTVLDLLAYLQIKK >OGLUM05G18600.1 pep chromosome:ALNU02000000:5:21276606:21279653:-1 gene:OGLUM05G18600 transcript:OGLUM05G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTAADLRQHHSAIAPSSIGNCAPRWQHPPQTAAPLPHRAEPPFATARFLLSHAAPPSSSHPVTSIRRRRRWSGGSGSGRHGDMLLPSIRRQRRKRSAEGAVSCQYLRCHLTTLKRRRRRRHATGGQVLLRLAGATVYHASAVRRIGRHMEELKPNPSCRFS >OGLUM05G18610.1 pep chromosome:ALNU02000000:5:21277253:21277702:1 gene:OGLUM05G18610 transcript:OGLUM05G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPAAWRTSVHGAADGWARRATRKAPSAAKEWGHRGAGASQTGVGGAADGGGCGPCDEATPPSAVLVIIVVHNSSTAAEEWRSGVGAAADEAPRRFLARVADLRRRRIMGFGGEARFLAWEWDNEATGRDATQGRTGEGMNAAWGRSA >OGLUM05G18620.1 pep chromosome:ALNU02000000:5:21295932:21300884:1 gene:OGLUM05G18620 transcript:OGLUM05G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAVGPRLAMQLPSTILTPPPTTQQKQQGIGFGGGRGRGWSWSATRGSLSLPPAALAPSADADTVLPPRHHRQADANAGEREEAAPSTTRRTTSSRRSVTRGSRGRRWPWTRLARTGAPWRARRRRAGPAAPGCSPATPSLPHRWLLLSSRWVVPLASSPPPPQALTAARSPAPAQVVSSGGFTFPVAIGAAKVVSTIGDELLRESLEICTQLIHVHT >OGLUM05G18630.1 pep chromosome:ALNU02000000:5:21300905:21305245:-1 gene:OGLUM05G18630 transcript:OGLUM05G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATEEGLAMLAFSTLISTGGNQCSSAAVAGGKTCPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >OGLUM05G18630.2 pep chromosome:ALNU02000000:5:21300905:21306464:-1 gene:OGLUM05G18630 transcript:OGLUM05G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSCVVVNLTWIIGGSAAVEIAERFAFYGVSANLITYLTGPLGEGNAAAAAAINAWNGVSQLLPLLGGALADSWLGRYRTILLASLLYILGLAMLAFSTLISTGGNQCSSAAVAGGKTCPPSTLRVAFFYISLYMVAVAQGGHKPCVQAFGADQFDPSDPEESVSRSSFFNWWYFGMCGGTAVTLVFLSYVQDNIGWGLGFGIPCVVMACALAVFLLGTRTYRYYVSGSKKGVVARAGEALAAWRNRAKSIPLLPPASQECHPTATSAPEFSTGVEEDEQVVGKAGLVEQAKGIVRLFPIWATCLIYAVALAQSSTFFTKQAGTLDRRIGDHIQVPPAALQSFISITIVAIIPVYDRVIVPVARRYTGVPSGITMLQRIGAGMVLSLVSMVIAALVETRRLRAARDAGLVDKAGVPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSGIDRATAARGGSWFSNNLNRAHLDYFYWLIAALSALELLAYGYFAVTFKYKNKNKGALLATSTSC >OGLUM05G18640.1 pep chromosome:ALNU02000000:5:21302802:21305838:1 gene:OGLUM05G18640 transcript:OGLUM05G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPIASASNRVTTPLAGSHVVNHMPPMRAVARPCNTAGSYARATATSQSPWKGHQVEGVQHLAQFILVVVDIAKHVHWLLRELERDPLRRVPRSNE >OGLUM05G18650.1 pep chromosome:ALNU02000000:5:21336423:21336698:-1 gene:OGLUM05G18650 transcript:OGLUM05G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLLASRGGLGGGSLHRQPSRRLQISSGGGIVLSPRAPLHFADLGVAGELVAVLLGSSPTTTLLCLETEAPVSSCAAPIHAVEAPLGAS >OGLUM05G18660.1 pep chromosome:ALNU02000000:5:21339183:21340567:1 gene:OGLUM05G18660 transcript:OGLUM05G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAKSLLCISLVAILLLVETTAPHGQAYAIDCGAKCGYRCSKSGRPKMCLRACGTCCQRCGCVPPGTSGNENVCPCYANMTTHNGRHKCP >OGLUM05G18670.1 pep chromosome:ALNU02000000:5:21346172:21353821:1 gene:OGLUM05G18670 transcript:OGLUM05G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSIRPPRAALVLLLLALSFSLTLAAHFEGFDSDDLHSAHADAASADDEDDEGLDVELPPPPRISLSTSTPSPPVTTTTTTTSAPNPNPDPNPTLTPPNPTPTLDLWDEDEFEGIPVPEAASSDESSTPAEAAPSDPAADAAAEAAPAPPRRPAELLRAYTVEIACVSFLICFLLNYFTGKRQNEAIALAWATRFATRDSIFDKNFSLLGTGDGKDTPLLMKEGQDVFKFYASGRRYCQGMLATMEMRARHDLLSKLVELVFPRKDTITFEVVMNEEAMDHVMLAVARKKAAKTMQKEERDLQKFAGVLTSAPAGRRWVADELAVVAESKEVAGDMITEAVLDQVLGDKAFEKFGKWFISLHFSDQLAGSYKKVLSFKFVLPDASNMAEMTRLVALVPYYIDLVGRYKLSNHARSKTEAARTKAAQEAFREQQGLRQEALQRKKAEKKKLMEEAEAKLSAEALRKKEEKERARQMKKSMPKAGMASGNRIIATTLGSTSPLFFLSTLGQSAALRRTRHPMEEAQAAVMAHLDQVSGLVQALSAELRRGIGPAADSLLAFVRAVDWTEPWLICLMVFHATLLLTAVGLRRNANFQLFMLFLAYSGVYLAEKINSYMAEHWKSIATRNYFDRAGVFVSVVWSGPLIFISMVIVVSSLITLCRLMVKWKRAELRHRAQLARDKQD >OGLUM05G18680.1 pep chromosome:ALNU02000000:5:21352792:21359050:-1 gene:OGLUM05G18680 transcript:OGLUM05G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAAAGDSAAELLLRAAALVPPAHYALAALLLACAFLYRFLELHLIGDLLRGLRGGRVALTFHPASHVYHRVASKCRSLHGRQLYTVRDGGTIALDWLLASDCEEEDVGFCDGVISRDDSTPLVVVIPGLTSDSTAAYVKHLVFSMASKGWNVVVGNHRGLGGISITSDCFYNAGWTEDFREIVNYLHQKYPQAPLFAVGTSIGANILVKYLGEEGEGTPVAGAVSICSPWDLLVTNRFIQRKLVQRCYDKALAIGLKGYAKLHQPVLARLANWEDIRKSRSIREFDRHATCVVAKYETVDTFYRRCSSANYISNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATAPNGGHLAFFQGLTAGKLWWVGAVSEFLLALHDSPCMHRQKAQEHSLHTSLESSIDKSPYVNFMEDGMVTAVTNDDANNSDSDNPISNEMELRNGMVGVQQDGIATEIQNECDGNRSQENVTPAQGPVGSQEQPKQNIDKIQDAIAPVKISINQLIRSQGRSVWLLTYIAFVTSWPFLGALGFILFRKKFRNSLPAKRL >OGLUM05G18690.1 pep chromosome:ALNU02000000:5:21359754:21361484:-1 gene:OGLUM05G18690 transcript:OGLUM05G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKSSSVLSNSFPILLLIFLAAAASVPQIAMSAEQPEQAAPAAQEATVQIVYLDRPADADPEEFHIRTLAPVLGSEEKAKEAVLYHYKHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGAGTGTMNTFSLV >OGLUM05G18700.1 pep chromosome:ALNU02000000:5:21376806:21377519:-1 gene:OGLUM05G18700 transcript:OGLUM05G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGAYSFEDKDDPKNIMKTVKLIKAIQYEIPQQVHISTDCRELISRIFVSDPSKRITMQEIKNHPWFLKNFPRELTEEAQSIYFTKNDYFPTFSAQTSGEIMTIMEEAQKIPKSFGDGYIDHRSDEEEMQEEEEGPEENEEEEDECDKILREVQEKETPNMKALSIG >OGLUM05G18710.1 pep chromosome:ALNU02000000:5:21391381:21392829:-1 gene:OGLUM05G18710 transcript:OGLUM05G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYEEVRSIGLGNFGVTRLMRNRDTGELVAVKTIPRGNHRINKSAYREIINQRSSTTAILTHTHLAIVIEYASGGELFDRIVDLERFSEDEARYFFQQLTWGVSYCHHMSSMLHSRPKSAVGTPAYIAPEILNLQEYDGKVS >OGLUM05G18720.1 pep chromosome:ALNU02000000:5:21405795:21406982:1 gene:OGLUM05G18720 transcript:OGLUM05G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTVIVVSAVVGSLGLLSAILGFAAESTKITISDVRVSGDECLYPQNPSLRLGLCAAVLLLLAQVTVSAIGGCGCCCGNGKPRGIPSSKTNRVVGIVFAVASWLAAVIAVVLFVEGAAWNANVARDTAPVCYFLKDGVFAAAAVLALAATALGVASYVMLRRQLPDDDDDAPAGAVASWRQPLLHSGIAMGHPQFPPHPQWHSQV >OGLUM05G18730.1 pep chromosome:ALNU02000000:5:21414231:21415648:1 gene:OGLUM05G18730 transcript:OGLUM05G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAEAAAGSGAGTSAGREEPIVVAIKMDKTTIIVSSVVGSLGVLSAILGFAAEAAKFTDCASSLGLAIAATIFLMMAQVTVAAVGGCCGCCKSRAVPSETKRIVGVFAGAGVLVLVATGLGITSFIMLRMHPQAGEAAAGRAAPRDYDDDEPTPIGTPIDIHGFRPPMPPNPQVPEPLPNYPPPPYSPAPAPAPAPAEGNGNQLAPDQQLAPHPQGHAQV >OGLUM05G18740.1 pep chromosome:ALNU02000000:5:21430426:21449427:1 gene:OGLUM05G18740 transcript:OGLUM05G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEAPAAAGREEPAAVLAIKMDKTTIIVSARCELDLYDLYYSSNSSSAAVGLGVCGAILLVITQVTVAAIGGCCGCCKSRAIPSETKRIVGVVCAVFSWITAVIAFVLFLDGAIVESNCVLVRGGFFASAGVLTLITTALGMTSYFMLRAQPDEPAAPAARRPPGPAGGDEPTPIVGVPTAVPAGFPPPVSSPNPLLVPVPAAQAPPNQQFAHPATSQAPPHARFADAAVPAPAPAAAQGYGSQASNQQHFPANPRGRSEVRISHDRSIDMGQNTVAAGAGTTVVAIKMDKTTIIVSVVVGSLGLLSAILGFAAEGSKLTVTVTAVGGCCGCCKSRAIPSETKRIVGVVCAVISWIAAVIAFVMFLDAGIVASECFIVREGFFAGAGVLALIATALGLTSYIVLRPQPDAAAGRGEPTPIGIPMDAVPGYPPRPPHPPPQQTTVIVSAVAASLGALSAILGFAADAAKHSDCASALGMAVAASIFLMMAKVTVAAGGGCRESRAVVPSATKRTVAVACAAISWIATVIAFVMFLDTGGISVVHGSSSRSAAAELGICAAIFLMITHVAMAAAGCCCRSFCIPSETARVVCAITSWIVPVIVFVLLLHAAVEESDCDKIHKGVYAGAGVLVLVSTVLGITSYLMLRTRPEPTPPIVVPMVIAFQPVYPNPLLVPVPAQAPPPNQAFAHPATLPPQGGWYGQAPNQQFAAPAHFPCAGVVPRMAGKMDKTTIIASAVVGSLGLLSAILGFSAEVTKITATDVLLVGARGECLYPQNPAAELGVCAAVFLLLVQITVSAVGGCCGCCMSGRSIPSETKRIIGVVCAVMSWLVTTDITAGTVWLYSANRDSCRN >OGLUM05G18750.1 pep chromosome:ALNU02000000:5:21457389:21463538:1 gene:OGLUM05G18750 transcript:OGLUM05G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLIERAWERICRIAAGIAWFLFGMGAVVNNDCYVVKDGIFAGAAVLALAATASGITGLDDGSSSGSLGLGGSTAGTYEGSRSTQFRNGKWRWPHTKRIYRRCHGSGRSAQSAWGQHERRWRWLASSGGSDGATAVAAATITALVTVAIVSAIVGPLGVLSAILGFSAEGTKIIISDVLLIGDECLYPQNPSFALGICAAIFLLMAQITVTAVGGCCKSRAIPSETKRIVGIVCAVVSWIAAGVAWVLFVVGAAWNANVARDTAPCYVLKDGIFAGAAVLALAATALGIASYVTLRGQRNEAVRTPKPGEQQPTPAAGIAMGHPAAQLSPPASAPPAPPQQGGDGRALNPQPQVAAASPAPAQVGSHAPDQPLPPHPPPGDAQVVDHGSSRSAAVKLGICAVIFLMITHVAMAAAGCCCRSCCILSETVQVVCAITSWIVPVIVFVLLLHAAVEESDCDKIHEGVYAGPHLHGARDHLLRTSCSARGQSRSTMMMSPRRDRGPDGHRPVYHNPLLVPVPAQGIGASQAPPPNQLPFAHPATLPPQGGWYGQQAPNQQFAAPASAQGYYGWQAPNQQRFPCAQV >OGLUM05G18750.2 pep chromosome:ALNU02000000:5:21449450:21457385:1 gene:OGLUM05G18750 transcript:OGLUM05G18750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSGIAWFLFGVGAVVNIEGKRATMPDCYVVKRGIFAGAAVLALAATAFGITMAGKMDKTTIIVSAVVGSLGLLSAILGFSAEGTKITVTDILVGGKCLYPENPATALGVCAAVFLLLAQITVSAVGGCCGCCKSRSIPSETKRIIGVICAVMSCHRRYHRRYGVVILDEP >OGLUM05G18760.1 pep chromosome:ALNU02000000:5:21450703:21451124:-1 gene:OGLUM05G18760 transcript:OGLUM05G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEEEPMAWLAHKERSSRPRGANGQEERRRLWAPTSFPQTPAAEEKPTVVAAQTAPAAPLPELDRRGEPADSGKGSAPLALGQRHRTEVESRRRATDPPTKITYFHRRWDSGG >OGLUM05G18770.1 pep chromosome:ALNU02000000:5:21459813:21460223:-1 gene:OGLUM05G18770 transcript:OGLUM05G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCVFVYRFTPTLPARSLLKPLLSQLLPPSLQPKPPSPPSLPPLDASHRHRRSCCPQAD >OGLUM05G18780.1 pep chromosome:ALNU02000000:5:21491690:21492633:1 gene:OGLUM05G18780 transcript:OGLUM05G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVFVVSAVVGLFAVASAVLGFIAEEEKLTPEDIDVSSGECEYPANAAFVLGICAVLLLAVAQIIVSSVAGCCGCCRPRAGASESRRVTGIVCSVFSWIAAIVAGVSFVQGAAWNAPVTRDTAPLCYYLKDGVFRRAAVLSLAAAVFGIKSYIMLRAAAAVEPKPDGQQPQPQPQQQQAPAAPVVTGYPPQGYAPNQQFTAAADQVYGQGPSALYPPTKGYGQV >OGLUM05G18790.1 pep chromosome:ALNU02000000:5:21493742:21494080:-1 gene:OGLUM05G18790 transcript:OGLUM05G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGVEQGAGRVLKEAAGRCLRCGGAADLVETEKVLKFFFVPVKRWPGKDPAYLCHECGLLGPGSLGGGGGEAGTGPPLLPRDARCGACNRAVADPQFRFCPFCGSAL >OGLUM05G18800.1 pep chromosome:ALNU02000000:5:21497925:21503612:1 gene:OGLUM05G18800 transcript:OGLUM05G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLVGLVLHLPADHHRVLLRLVLAAEDQPLRRDAHRQPAQALHLGAVARRRVADVGHRPGERPRGRGGGAGVEERDERRVVEVQGRAGAVHGGGRRLRHGLDLRRRVVHGAHELDVVVGPGVVDEARVHGAVGVDVSRAHRQRRRAAVGPPHVLDGRVGVVHRARRRHHRRPHRGGAPLRVHGLDQRRHPGRVRARHGRAGDDVEAAVQLLAPNGGDADVLRPRGEDERARREHVGFEHRRAARRRAARRVRRHHRRRLRAQDGHPELDRRPGRAAPLDVLQQRGGILRAQYHAGEGEVLGELPERIVGEEQPGARLGALHRENGGAEGVRGVAEHDLVAVSRERRVVVARAEEDEPGARDGARAAWVH >OGLUM05G18810.1 pep chromosome:ALNU02000000:5:21501090:21503375:-1 gene:OGLUM05G18810 transcript:OGLUM05G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVVPGICHAVALMWLLLVLFCWAPGLTSAADTAAYIVHMDKSAMPRAFASQASWYESTLAAAAPGADMFYVYDNAMHGFAARVTADELEKLRGSRGFVSCYPDDARAVRRDTTHTPEFLGVSASSGGLWEASEYGEDVIVGVVDTGVWPESASFRDDELPPVPARWKGYCESGTAFDAGKVCNRKLVGARKFNKGLVAATNLTIAVNSPRDTDGHGTHTSSTAAGSPVAGASFFGYAPGTARGMAPRARVAMYKALWDEGTYPSDILAAIDQAIADGVDVLSLSLGLNDVPFYRDPIAIGAFAAMQRGVFVSTSAGNDGPDPGFLHNGTPWTLTVASGTGDREFAGIVRLGDGTTVIGQSMYPGSPSTIASSGFVFLGACDNDTALARNRDKVVLCDATDSLSAAIFAVQGAKARAGLFLSNDSFRELSEHFTFPGVILSPQDAPALLQYIKRSRAPRASIQFGVTILGTKPAPVVATYSSRGPSASCPTVLKPDVLAPGSLILASWPENVSVSTVGSQQLYSRFNVISGTSMSCPHASGVAALIKAVHPEWSPAAVRSAMMTTASAVDNTNAPIKDMGRANRGATPLAMGSGHIDPNRAVDPGLVYDAGADDYVKLMCAMNYTAAQIKTVAQSPSSAVDCAGATLDLNYPSFIAFFDPGATAPAARTFTRAVTNVGDAPASYSAKVKGLGGLTVSVSPERLVFGRKHETQKYTVVIRGQMKNKTDEVLHGSLTWVDDAGKYTVRSPIVATTASSAPL >OGLUM05G18820.1 pep chromosome:ALNU02000000:5:21508049:21508372:-1 gene:OGLUM05G18820 transcript:OGLUM05G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLTVAAAAVFAAAVSVAHGARVLEERMWRPVGARVVSAGNWPNILDSLPLGEPDFAGAGGPVASASASAGADGKKGSGAFGVHGERFGERELSIKVYDKIPLFGP >OGLUM05G18830.1 pep chromosome:ALNU02000000:5:21513430:21516311:-1 gene:OGLUM05G18830 transcript:OGLUM05G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPAAARAPPVLRNELSRRTAVLGLRLWVLVGIAVGAAFLLLLAVISFHLAQARRRKPAAKRASSAAAAAAAPGGAAVPLSPATIPPVSKEIQEVAVHVGSLRHYLEAGATFLKEGGGVGGAVVDGDSLGGSTVYGSQRVHIEAGKGRRMVAYADGEVGPVASDLAASVQAAVGVGVGPEVSHLGWGHWYTLRELEEATAAFAPEHVVGEGGYGIVYRGVLADGCEVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLSWDIRMNIVLGTAKGITYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARAPGEVNLVEWLKNMVSNRDYEAVLDPKLPEKPTSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTPRASPLDRTRTYAKPVTESGDEGNTTTAASTPSRLHESLR >OGLUM05G18840.1 pep chromosome:ALNU02000000:5:21530336:21537794:1 gene:OGLUM05G18840 transcript:OGLUM05G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVICFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKEETAGATGRRDNGRNAGGITKRRELDGSNRIAGAARRRELGGSGGAAGEVGQREL >OGLUM05G18840.2 pep chromosome:ALNU02000000:5:21530496:21537794:1 gene:OGLUM05G18840 transcript:OGLUM05G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASRHGRDERPKPSLARERIFLIFSVTMKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVICFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKEETAGATGRRDNGRNAGGITKRRELDGSNRIAGAARRRELGGSGGAAGEVGQREL >OGLUM05G18840.3 pep chromosome:ALNU02000000:5:21530336:21537028:1 gene:OGLUM05G18840 transcript:OGLUM05G18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVICFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKEE >OGLUM05G18840.4 pep chromosome:ALNU02000000:5:21530695:21537028:1 gene:OGLUM05G18840 transcript:OGLUM05G18840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPLPCGTSNSSSNPLRSRRADLKARPADLLPPTSSLVSTRIEERIFLIFSVTMKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVICFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKEE >OGLUM05G18840.5 pep chromosome:ALNU02000000:5:21530496:21537028:1 gene:OGLUM05G18840 transcript:OGLUM05G18840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYASRHGRDERPKPSLARERIFLIFSVTMKTHERAANLALAGLSLAPLVVKVNPNANVILTACLAVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNTVLTAYFFILGIAALCATLLPSIKRFLPKEWNDNAIVWRAPLFHSLSVEFTRSQVVASIPGFFFCIWYAAKKHWLANNVLGISFCIQGIEMLSLGSFKTGAILLSGLFFYDIFWVFFTPVMVSVAKSFDAPIKLLFPTGDAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTVGLTVTIIVMNWFQAAQPALLYIVPGVICFVAVHCLWNGEVKPLLEYNESKAEEEEACEEDTDSKQNKKEE >OGLUM05G18850.1 pep chromosome:ALNU02000000:5:21538847:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.10 pep chromosome:ALNU02000000:5:21538847:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAVKQQERFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.11 pep chromosome:ALNU02000000:5:21539010:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.2 pep chromosome:ALNU02000000:5:21539047:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.3 pep chromosome:ALNU02000000:5:21538847:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.4 pep chromosome:ALNU02000000:5:21539047:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.5 pep chromosome:ALNU02000000:5:21539010:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.6 pep chromosome:ALNU02000000:5:21539010:21555008:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTHYPTHGIDFFGRSRLPVEGHDPSAGDTPLCIPFRRATELGSALGAPRLGSGSRRRHGGVGGPPHHPRGGEDAQVTDVSPAVLLVTLGAAGDGGREREASGGGEGRERERESADGVWTRREAAMVSWVVRCEAGGTATMTGGGRETRRRKREEEG >OGLUM05G18850.7 pep chromosome:ALNU02000000:5:21538847:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.8 pep chromosome:ALNU02000000:5:21538847:21550297:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAQPQKRVYEAWKGNNRFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTH >OGLUM05G18850.9 pep chromosome:ALNU02000000:5:21539047:21555008:1 gene:OGLUM05G18850 transcript:OGLUM05G18850.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZP6] MAVKQQERFLFGGRLIFGPDAKSLLVSVSLIVVPVLVFCVFVARHLRHQFSTYNAGYAIPAVAVLFMIYVLTLLFITSAQDPGIVPRASHPPEEEFAYGNPLNGGTPGRLQFPRVKEIMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRFFFLFVSSSTLLCIYVFAMSALYIKFLMEEGYPTVWKALKHSPASLVLMIYCFIALWFVGGLTGFHSYLICTNQTTYENFRYRSDNRPNVYDQGCLNNCLGVFCSKTKPSKHKFRAYVQEEVRAPVVNFGRQMEEEPAGGPRAKVEDDLEIGSDLLQISQRRNYGDVDLEMGSQDCSEMEGIPNAKLAIGSESQIPAIGSEVRVRHSSWDRRSGNWDMSLDVIGRSASDVIRRSASGHEAAPPFQTDTHYPTHGIDFFGRSRLPVEGHDPSAGDTPLCIPFRRATELGSALGAPRLGSGSRRRHGGVGGPPHHPRGGEDAQVTDVSPAVLLVTLGAAGDGGREREASGGGEGRERERESADGVWTRREAAMVSWVVRCEAGGTATMTGGGRETRRRKREEEG >OGLUM05G18860.1 pep chromosome:ALNU02000000:5:21561603:21566280:1 gene:OGLUM05G18860 transcript:OGLUM05G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRRRFRGGEDWQASVDDGGELEGAAAAAAAAAARGSVLSGEYQAQEMSTMVSALTWVVAAGHDDHDAGGQWSGLVDVPATTLGGGGGGDYGHGAQGSYYYYGAAPTSTQEFAAGGQQEQVSSDVPQGGASLGLAMDEHSPTYTVEASSSADQHGGGGGGRRYRGYQMLLHGGGGGGGGRYPLYYGAAAAAAMSSSLGPYSSIPTSSVTVASVLSSSSAASSSSGYGAPAEHGEAVQWTSWPDGGGWTYPATTSSWSGSSQYPPPPRPPQQ >OGLUM05G18870.1 pep chromosome:ALNU02000000:5:21567211:21571787:1 gene:OGLUM05G18870 transcript:OGLUM05G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >OGLUM05G18870.2 pep chromosome:ALNU02000000:5:21567211:21571600:1 gene:OGLUM05G18870 transcript:OGLUM05G18870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >OGLUM05G18870.3 pep chromosome:ALNU02000000:5:21566971:21571787:1 gene:OGLUM05G18870 transcript:OGLUM05G18870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEKEYAPVPLGQAPEAVDPEDPVKSPPRPTSPANSTRKACFAVLQSWVSRKFMTGCVVLFPVAVTFFITWWFIKFVDGFFSPLYAKLGFDIFGLGFLTSLLFIFLVGIFVSSWVGSTVFWIGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRIGEYAFGFITSTMILQTDKGDEELCSVYVPTNHLYIGDIFLVRSEEIIRPNLSIREGIEIIVSGGMTMPQVIAAPGQTPHKGQSTRLNRMMSA >OGLUM05G18880.1 pep chromosome:ALNU02000000:5:21572608:21577565:-1 gene:OGLUM05G18880 transcript:OGLUM05G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSKVIHIRNVGHEIAEADLLQLLQPFGNVSKIVMLRAKNQASSLSVILPAMPVAARGRNVYMQFSSHQELTTDQNSHGRNSDQESEPNRILLVTIHHMMYPITVEVLHQVFKAYGYVEKIVTFQKSAGFQALIQYQSLQEAMDAFGALHGFHKPIIAYRTASKGLSASYAQMGRAAMITAAFGGTLPPGVTGTNERCTLIVSNLNTDKINEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGFQAELAVHYLKGAVLFAKKLEVNYSKYPNITSAPDAHDYTTSSLNRFNSNVIKNYRHCCAPTKMIHISALPQEITEDAILNHVSEHGSVVNTKLFEVNGKRQALIQFESEEEATEALVSKHATSLEGNTIRISFSQMQSI >OGLUM05G18890.1 pep chromosome:ALNU02000000:5:21583567:21584158:1 gene:OGLUM05G18890 transcript:OGLUM05G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLAYLALIRFPISKIGGLHEVKALLQASLPQHLLQIDAEETSGAWRTRWTSWGRLTSIGLSTHLGVLLYSPLHGGYHVCDVYDNEAVTKTTATSIFGFVAGSNSY >OGLUM05G18900.1 pep chromosome:ALNU02000000:5:21587579:21588539:-1 gene:OGLUM05G18900 transcript:OGLUM05G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVDVMAGATELDMEAAEQLIQLSGCCSGDGGGGESESRSTDSVSKNNRCGKKAATGRKAAAAAVESGSRKRAAAAGDIDEVVIGGEARRRPRFRSLAAVYRETRRPNDHVVVVVAGAAEEDGERTVTTTTTKRAANDAAVAGKGRRTQVHNIGGKRGLSYADTTFPVERDVHDMHLICPILSSITT >OGLUM05G18910.1 pep chromosome:ALNU02000000:5:21590484:21593523:-1 gene:OGLUM05G18910 transcript:OGLUM05G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZQ7] MSHGDTIPLHSSSAQSDMDEIESLIHAAPPSAAAVLPARPPSPPRASIPVSSSPPLLPPPVAGSKPQLPPFSSSSSVASSSSPPLPSSVSVAIAGDGFGPPPNTLTEPVLDTVKRDLARIVSNLKLVVFPNPFREDPGKALRDWDLWGPFFFIVFLGLILSWSASVKKSEVFAVAFAVLAAGAIILTLNVLLLGGRINFFQSLSLLGYCLFPLDVGALICMLKDNVLLKIIAVVVTLAWSSWAAYPFMSAAVNPRRKALALYPVFLMYVSVGFLIIAID >OGLUM05G18920.1 pep chromosome:ALNU02000000:5:21594747:21598383:1 gene:OGLUM05G18920 transcript:OGLUM05G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAGPPVAEVAARRTAEEVWKEISSSGGLSAPAPAPAAGAAGRGGGPEMTLEDFLAREDDPRATAVEGNMVVGFPNGTEGVGTAGGGRGGGGGGRGRKRTLMDPADRAAIQRQKRMIKNRESAARSRERKQAYIAELEAQVAELEEEHAQLLREQEEKNQKRLKEIKEQAVAVVIRKKTQDLRRTNSMEW >OGLUM05G18930.1 pep chromosome:ALNU02000000:5:21604436:21605141:-1 gene:OGLUM05G18930 transcript:OGLUM05G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGFLLLLLLLLSSSSPLYLPPHVTAAAAVGNCHQKDLSGIQVCSVKLFIVFLL >OGLUM05G18940.1 pep chromosome:ALNU02000000:5:21620765:21622148:1 gene:OGLUM05G18940 transcript:OGLUM05G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDETREIRWVAGDGGAPRTGTRVAPADGCERRTASIGSINHELVGGLKQLAMRLEVEEEVDQVGDEHDDAAGTRQVESGVAGDDGVLAGGDGVPEHERQRAAEDAEHEESGGAGGWTRRRRWRMDGAQVAADGRTTRRTEWHRMKKIRVPMVYSKNNAN >OGLUM05G18950.1 pep chromosome:ALNU02000000:5:21624143:21626990:-1 gene:OGLUM05G18950 transcript:OGLUM05G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZR1] MARGRLPRFLATRRVAAEQGRGADLHPASRAGEGILMSFRSIVRDVRDSFGSLSRRSFEVTLAGLSGLTGHHRGKSQSTVHELCDADLIIQESRWASLPPELLRDVIRRLEASESTWPSRKDVVSCAAVCKAWREMCKEIVLSPEFCGKLTFPLSLKQPGPRDGMIQCFIKRDKSKSTYHLYLCLSTAVLADSGKFLLSAKRHRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPSYNGAVIPPVGRSSRRFNSKKVSPKMPSGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASSVEPGGIVPGQPEQIVPRAFEESFRSTTFSKSSIMDRSMDFSSSRDFSSARFSDIAGGTINGDEEGQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIAAPAQPPAGAPTPSQPAPPEQDKIILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OGLUM05G18960.1 pep chromosome:ALNU02000000:5:21647227:21649262:1 gene:OGLUM05G18960 transcript:OGLUM05G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENGENGHGAADEVVEPYQQTPRPGPKLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFNNLSELSPHRLQEIRRFFEDYKKNENKEVAVNDFLPAPTAREAIQYSMDLYAQYILQSLKR >OGLUM05G18970.1 pep chromosome:ALNU02000000:5:21649747:21652215:-1 gene:OGLUM05G18970 transcript:OGLUM05G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNIGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >OGLUM05G18970.2 pep chromosome:ALNU02000000:5:21649747:21652215:-1 gene:OGLUM05G18970 transcript:OGLUM05G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDLLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGNHEFDKIMVTVSSHQKLHGYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNIGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYADATLNCKGRVIAEVRYMWHGSVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >OGLUM05G18970.3 pep chromosome:ALNU02000000:5:21649747:21652215:-1 gene:OGLUM05G18970 transcript:OGLUM05G18970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNIGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >OGLUM05G18970.4 pep chromosome:ALNU02000000:5:21649747:21652215:-1 gene:OGLUM05G18970 transcript:OGLUM05G18970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDLLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIIVDAPLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPNIGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >OGLUM05G18970.5 pep chromosome:ALNU02000000:5:21649747:21652215:-1 gene:OGLUM05G18970 transcript:OGLUM05G18970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAAEAQRTDLMTITRHVLNEQGRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGDTNVQASNPISDLLYSTLLFLHTNPCDGGWPPRVAVQGEEQKKLDVLSNEVFVKALVSSGRTIFGIYMIKDKDNVTLDDVLQPGTDMLAAGYCMYGSSCTIPNIGKIYSVNEGNAKNWDAPTAKFVEKCKFPQDGSPSKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELVPRKIHDRSPIFLGSYDDVEDIKALYASESIIG >OGLUM05G18980.1 pep chromosome:ALNU02000000:5:21660212:21660773:1 gene:OGLUM05G18980 transcript:OGLUM05G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFFLSRCPRWRGLEDDQVWCRRAVEPAAPARWHMPEASLTVTSLLVMLLSVNHDLDSINTDQTAV >OGLUM05G18990.1 pep chromosome:ALNU02000000:5:21662785:21681438:-1 gene:OGLUM05G18990 transcript:OGLUM05G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZR9] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIHESSVTGPILMPD >OGLUM05G19000.1 pep chromosome:ALNU02000000:5:21682487:21686875:-1 gene:OGLUM05G19000 transcript:OGLUM05G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >OGLUM05G19000.2 pep chromosome:ALNU02000000:5:21682489:21684316:-1 gene:OGLUM05G19000 transcript:OGLUM05G19000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETSRSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPGIVHMKCF >OGLUM05G19000.3 pep chromosome:ALNU02000000:5:21684320:21685033:-1 gene:OGLUM05G19000 transcript:OGLUM05G19000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTVRRRLSVRERRGKRRAERGGEIAAMGVIAKLPPYPSTLGMHSIPTLAGMQYQANI >OGLUM05G19000.4 pep chromosome:ALNU02000000:5:21685729:21686939:-1 gene:OGLUM05G19000 transcript:OGLUM05G19000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAICHIQVLHAGRPSPSHRVRCPAVASRLPRPPSHTTHRLKNEQELTLESSV >OGLUM05G19010.1 pep chromosome:ALNU02000000:5:21694777:21699055:-1 gene:OGLUM05G19010 transcript:OGLUM05G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVMAQPATGLAAPSQLPTGLAVSAHVDGGPRRLQTAAWLGVDGRARRTAERGVDGRGERRGHRSHGRLNVAVGRTEGAPEPGRKGRCGEVVAAHGGFLLSS >OGLUM05G19020.1 pep chromosome:ALNU02000000:5:21700080:21700283:1 gene:OGLUM05G19020 transcript:OGLUM05G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVSCFKVVTAQYDGSSSNGAVATGPMAAGGNCSLVVAAAVLAIPAFVGN >OGLUM05G19030.1 pep chromosome:ALNU02000000:5:21703484:21708552:1 gene:OGLUM05G19030 transcript:OGLUM05G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKAMPNAPRWTVVSSIHIGAELPWQWDQGFATSCHACIAWGLRRHCSEPRIGQVRRENSGFDEKRRSGRGKEGKKKRWVHLEIDAVQRQAIIALTMEMIIVVIALPRGGGNLGPNDKEEQGKAT >OGLUM05G19040.1 pep chromosome:ALNU02000000:5:21704790:21706445:-1 gene:OGLUM05G19040 transcript:OGLUM05G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 21 [Source:Projected from Arabidopsis thaliana (AT2G20540) TAIR;Acc:AT2G20540] MPPPPSSSAPRQLEDAVMARLRACVTFRDLLRVHGHVVRLRLSQSSYLATQIVHLCNAHRRVTHAARVFAQVRDPNLHLHNAMIKAYAQNHQHRDAVAVYIHMLRCPTSPPDGHAGGDRFTYPFLLKACGGTAALELGKQVHTHVVRSGCDSSAIVQNSLIEMYTRAGDLALAHKMFDEMRERDVVSWNMLISAHARLGQMRKATALFNSMPDKTIVTWTAMVSGYTTVGDYPGAVDAFRSMQTEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCKRHGMLTSTHICNALMEMYAKCGCIDQALQLFDGMADKDVISWSTVIGGLAAHGRAHEAVWLFTEMEKEGKVRPNVITFVGLLSACSYAGLVDEGLSHFDRMNDVYGVEPGVEHYGCVVDLLGRSGQIRRALDLVRDMPVPADAKVWGSLLSACRSHGDVDTAVLAAERLVELEPDDVGNLVMLANVYAAARRWSDVASTRKAIRSRSMRKTPGCSLIEVGNVVREFVAGEGLSSELGGLAGVLDIVASHLADDEEDIDFADSDCTVYANLAND >OGLUM05G19050.1 pep chromosome:ALNU02000000:5:21708842:21711771:1 gene:OGLUM05G19050 transcript:OGLUM05G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIAMPLPAREDTVSASRLRCALAFNFVAPTNHAGFAGFHIVVKAFGKRSGHISSVLVARGKAGRGTEGHPDYSRFQRLMCTGAHPPPIIVQRKRQHHHPHPLDFDR >OGLUM05G19060.1 pep chromosome:ALNU02000000:5:21711868:21713226:1 gene:OGLUM05G19060 transcript:OGLUM05G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVAGQHARRRIRPPEPLVMAGSPSTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDTGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEVVLSQLAAAGGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVPYLTGDGTEKRGRVRMAVEALAAVLSADGVGEDTKEGLIAALVAGDLGHIVNTLIAAGANGVMVLETILTSPVPDADAKTAIADRSELFPDLVRILKDAASPAAIRCMAAAVQVRGRPARSSMVRAGAIPALALAVAAAPTAVAESALGLLVEAARCTDGKAAIGTDAAEVAAAVMGRMIRVGPAGREFAVAVLWLSCCAGGGDRRMREAVASAPEAVGKLLVVMQGDCSPSTSRMAGELLRAVRMEQERKGLAAAYDSRTIHVMPY >OGLUM05G19070.1 pep chromosome:ALNU02000000:5:21713973:21715436:1 gene:OGLUM05G19070 transcript:OGLUM05G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRLLFDLNEPPPEEDIEDDVAMACEDSQPQPHLDADDGGEGDGSTACDLPPPPPPPLPPKDDSTGGESSEISEPLLPVLDLDAPLSPLDDDDDDEVEDHDHDHDLPRPPDDSDGARSPGPSHSERMAKTDRSTTASHAEAAATVTTPCSHADDDDGAAGPAHASRHGVTTSPRTTTTSLRNSRGMKTLSPAFSARSSSEAGAAARVHGMPRRDKPPPPVPRDDDYLAGSPSTSHHERSMRSHHPYAMRSEGTPRNNNNNNNRRRPRRPIRQGYNGHDQRGQQQVNNYSHGQRQVYGNGQDQRQQLNNNGRDQRHQVYSSNGHDQRQPVYSSGHDQRQQVYNNGQDQRRQGHHGYRKPESYQGGQGQLQYGYSAPNRQRQQQGYSSGRPSAGVQYAGEDSYGSRQIPANQQHQHFRGQQRHVVKPYHPYARGFDASEDMATNAGKQARYEHPDQQKQQQQHRRNTQSTAGGGPARRRQYYGDLYN >OGLUM05G19080.1 pep chromosome:ALNU02000000:5:21715759:21716241:-1 gene:OGLUM05G19080 transcript:OGLUM05G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCRSAPAPDDLQAGQSQRDLEEAEIGMGEIEAAGELPVTRFEAADELQRHGSRRDLADKLQKVVQIRIGEVGIVVTVVRRSSWYACRLLLTSMAPPPLLGSCLSSLQRGSWWEGRGHDLHNTAAPFSPTPLVLKLSESCRRTCVQSRLRGFRLVTRDA >OGLUM05G19090.1 pep chromosome:ALNU02000000:5:21716296:21717519:1 gene:OGLUM05G19090 transcript:OGLUM05G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMHPHNRTTPLHFPHPPNLGELHWRRRRLRPLPTDLEGVDDDDSTTAATLALLYSHAWRARPPRF >OGLUM05G19100.1 pep chromosome:ALNU02000000:5:21728245:21729219:1 gene:OGLUM05G19100 transcript:OGLUM05G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHCESMLAYAAAAGRRAVVVDHHQRRYRPNVEVAPNCPRCESPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKAVRAMVGETTTARGGGAAAFSHRFHGPVRPDMLLEGMAGSTAASAGLGEQPGVAAPDEKPAAADGSTIDLALLYAKFLNHHQPTMAEQGGGAAVPESVDTSSGSSSDRTTSPAAAQPAAAAAYGPGQDGLVGEPISTEEHGAAAMARCAQALGELNFSVDQISCYTSLGLPTTDGGDLILPSTLDQHAKYEPFDSLPEDALSLHDIISGDDDVWCNALGCQGLEAALCRP >OGLUM05G19110.1 pep chromosome:ALNU02000000:5:21730499:21732576:-1 gene:OGLUM05G19110 transcript:OGLUM05G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NC domain-containing protein-related [Source:Projected from Arabidopsis thaliana (AT5G06370) TAIR;Acc:AT5G06370] MGLLSNRIGKETLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRGQEVGTGTFIDLLLMSSGPNTNTPCSICNNEGATTNTETNGVVSSCLNCFLSGGALYRFEYAVNPALFLAKARGGTCTLAPTDPDEVVVRRANHLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAEQGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVLKVEVEDLTAGLASGRIRAVENISQLVAPAEAQGLAVTAPVAA >OGLUM05G19120.1 pep chromosome:ALNU02000000:5:21734912:21739762:-1 gene:OGLUM05G19120 transcript:OGLUM05G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZT5] MILARCEPARTHGAPEEEDSRSRVKTSTNRPTRSGKGNVTVAASPMESSASSSAAVAAEGAPATGEQLAVFWHEGMVAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIARFISWHSGRPAHAAELLSFHSAEYIEELVQANAVGAKKLCEGTFLNPGSWGAALLAAGTTLSAMKHILDGHAKIAYALVRPPGHHAQPDRADGYCFLNNAGLAVNLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGSVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIEKFRPELLVFVVGQDSSTFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLNLQAPLLDDPIAYYPEDEKYTMKVVDIMKKCWKESIPFLKDI >OGLUM05G19130.1 pep chromosome:ALNU02000000:5:21741465:21743416:-1 gene:OGLUM05G19130 transcript:OGLUM05G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZT6] MASSSVVATAAEPGPHAAGEKVAAAAVFWHEGMLAHDAGRGVFGRGLDPGFLDVLDQHPENADRVRNMVSILRRGPIARFLSWHSGRPAHAAELLSFHSAEYIEELVQADSAGANNNKICECTFLNLGSWDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPDRADGYCFLNNAGLAVKLALDSGRRKVAVVDIDVHYGNGTAEGFYHTDSVLTISLHMKHGSWGPSHPQSGLVDEIGEGRGLGYNLNIPLPNGSGDAGYEYAMNELVVPVIEKFRPELMFDPNGRQCLTMDGYRKIGQIMRGMADQHSNGQILIVQEGGYHITYSAYCLHATLEGVLNLQAPLLDDPLGFYPDDEKYTMQAVDIIKKCWKESIPFLKDI >OGLUM05G19140.1 pep chromosome:ALNU02000000:5:21752774:21759250:1 gene:OGLUM05G19140 transcript:OGLUM05G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLASDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >OGLUM05G19140.2 pep chromosome:ALNU02000000:5:21753063:21759250:1 gene:OGLUM05G19140 transcript:OGLUM05G19140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLASDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >OGLUM05G19140.3 pep chromosome:ALNU02000000:5:21753197:21759250:1 gene:OGLUM05G19140 transcript:OGLUM05G19140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLASDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >OGLUM05G19140.4 pep chromosome:ALNU02000000:5:21753063:21759250:1 gene:OGLUM05G19140 transcript:OGLUM05G19140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNEIAEMVCATGISRGLFAFELCLASGPEGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLASDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >OGLUM05G19140.5 pep chromosome:ALNU02000000:5:21753480:21759250:1 gene:OGLUM05G19140 transcript:OGLUM05G19140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQVGRGAFGAAILVNHKIERKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMDELMKKLNGTYFPEEKLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLTSSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPACYSSSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQCRPLSDAPTPMRMPEKPLSTSRSNQRCTSESQSSSISCSDIDSTQSSDRSTSGGAPSTDSKLNDIRSIQDADRADSDEKCVTPEDLRGNKNISGAELKRQDSSKSVHQHHRGESKQPKIIEKIMTTLREESRLRENNSPVSSSGVKLTSAVSNKNQAEQSSESSRPHSGVSYSSKFGDISSNGWTNTSDECVDPVQAPLQLKQLSPTVEHCPKLKNSGSSTPEPAKQIAENGSSASGMSKTKSSPSSSRRPSPQRQTGAGIPIVPFTVSKRAHIKAESEKTPPRPAHSPNNSLHNLPPLIPISTNLSEENIKLGNSQAMPAPLEFVTAASKEDISFYSNSVVDCVEKAEPSEVFESNSPAYLTPPWTGPVLDAKGENGLIAIPCSEIHTGTLQKSMASNDDSSLSSPLDTFYLSFEQEFVCKDDSQSSKHGHSAVTLLSGEDKFTVQELLASTPVISPFVSSTSNTLPEDKSSYQSFKKQSDSHSGPPVDVPAQTIRLNSFLASDEWPTSETVQGEARDTAASKLLNVVREDFDVRSSSCSTSTQPSGQTPVRSKLNVPETNLASNISIPSISEAVRLSTAMDVKPYTSEASNGVKEEASPAKEALDVTSFRQRAEALEGLLELSADLLENNRLEELAIVLQPFGKNKVSPRETAIWLARSFKGMMNEEGGRLSM >OGLUM05G19150.1 pep chromosome:ALNU02000000:5:21761547:21762239:1 gene:OGLUM05G19150 transcript:OGLUM05G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLMSGSGGGGSARRRPLRQPPVVDDDDVGCSCPKQRLLRSLLSSLVSRARGALGGRAVSRPKSSAPPSSSASTTTTAAAAFTSPSTTGASATTVDSSKESWGPATYAATNTHTLYEVEDEVRRQRRKDMRRRRRRRAAAWDEEEEEGAAAVAVAVEVESAAPYEDFRESMVAMVVEKEMYAWEELNALLHQFLTLNSPRHHALILHAFADLWAPRSGLFCPPSPCQAL >OGLUM05G19160.1 pep chromosome:ALNU02000000:5:21775940:21776707:-1 gene:OGLUM05G19160 transcript:OGLUM05G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLPLSSVLYTINSARDIPPSSPPPPAATPPAWMWPSCKHPRAHSFRSPSAASAAAAAKTIASIFLDSGESSFANSSARMHHDCASDSLSTESDVSATAEDMADAIVRGLRSDRLLFEPRAPSSSILDKKPVRRAAGGGDDDDDGAASFGGGVAVAFDSEDPYEDFRASMAEMLAAHGVGDWGWLEAMLGWYLRANGKETHAAIVAAFVDLVVSTAARGSSSSRHSSFTLAGTDLESSSAGGGAAGHISFRLR >OGLUM05G19170.1 pep chromosome:ALNU02000000:5:21778987:21781450:1 gene:OGLUM05G19170 transcript:OGLUM05G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSTCSPGSPAPAAAPHTIKVPAAVLPHPAPHHRTTPPSKPSPPRAHPHRGGLTFIPTCIMAKDWD >OGLUM05G19180.1 pep chromosome:ALNU02000000:5:21789678:21811607:1 gene:OGLUM05G19180 transcript:OGLUM05G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVDGGDALFCGGVEPPTLTAVSDLAATTDDATVSDAAELALLDAPVPTTFPAGASDAVAAFARFIGSLGKKIFQVEDSFAEGYDKLRLSAYDALGAWRKSVDGVVGGLTASVDATKKQAANGAGAAARLRAWRRQVGCSGEDDVRLEQNSRLTLLVAEWRRRCWRWAVVLAPAGEAVVLAPSGGAARW >OGLUM05G19190.1 pep chromosome:ALNU02000000:5:21811680:21812087:1 gene:OGLUM05G19190 transcript:OGLUM05G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLGSWQRRGRFLCAWPVPFGAVVKMATEDGVAEVFWSRRRRPFGRRWPKRRQHILGKETAGLGGGGIVRSGVWQKCLGVRAEDFSGGDGGPRGSDRTETVAA >OGLUM05G19200.1 pep chromosome:ALNU02000000:5:21812367:21825014:1 gene:OGLUM05G19200 transcript:OGLUM05G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDESGLNQATFGINSSELIVKEGYTLPNGREYISVMATTAARSASSAPAGGDVMHLAMCRPLCHARNLKPDTS >OGLUM05G19210.1 pep chromosome:ALNU02000000:5:21822398:21822661:-1 gene:OGLUM05G19210 transcript:OGLUM05G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNGGKGGKLQEARVNLPSSGGGGGGSSGMILAGCPRCMMYVMLSREDPKCPKCHSTVLLDFNDAAAAADPRQVGAGKSKGKHRG >OGLUM05G19220.1 pep chromosome:ALNU02000000:5:21828501:21828761:-1 gene:OGLUM05G19220 transcript:OGLUM05G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSSWAAAMMAAPPVPSSRLWSKVDDKVFESALVAFPEHTHNRWVLVASRLPGRLAQDVWEHYQVLMDDVNLIEHGMIASPGY >OGLUM05G19230.1 pep chromosome:ALNU02000000:5:21831169:21832111:-1 gene:OGLUM05G19230 transcript:OGLUM05G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGGEMGGSSSSWVAPLVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYRVLVDDVDLIECGMVASPGCWDDGAGRGGAQGASRGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANAGNRSDSKRKSIHDIIAP >OGLUM05G19240.1 pep chromosome:ALNU02000000:5:21835502:21836317:-1 gene:OGLUM05G19240 transcript:OGLUM05G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYLGSMGGSPSSWGVAEVPVPSSRPWSKAEDKVFESALVAFPEHTHNRWALVASRLPGRSAHEVWEHYQVLVDDVDLIERGMVASPGCWDDDNNSAGHGRGSGGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKFFIRQANASSRGDSKRKSIHDITAP >OGLUM05G19250.1 pep chromosome:ALNU02000000:5:21842796:21843998:-1 gene:OGLUM05G19250 transcript:OGLUM05G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELPPGYRFYPTEEELVCFYLRHKLDGGRRVPDIERVIPVADAHQGAWTGDGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGWVYSSDGRPIGTKKTMVFYRGRAPAGAKTKWKMNEYRAFEEDDDNAAAAAPAQNHYLQTRSDFSLCRLYTRSGCPRQFDRRPPSSSVASGGGENRAAPSSTAAAFANEDAAESSGKSQKRKRSAPDDRLDSTSSSDDNGGCDGSMLQQRQRGTDEELVECSMTDWADLLDWF >OGLUM05G19260.1 pep chromosome:ALNU02000000:5:21846111:21847395:1 gene:OGLUM05G19260 transcript:OGLUM05G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRRKTTSKGVVVIKPAKAFASDFHPKLHNPPTQRTSPDHRIPTNHNIGKLTRPPCRYHPLLHRAIPSTLLQELPTTRTGNPAIGEEELHKRILVGWQLRRRRHRCQPLEACHWSLLLLMRDACSRRHPREAETNREEAGVPPCSLHQCSDASTSVLLPLHWRRLAVARGRLDPGGRRTGEYPRRLHRRRGATTPAPSLAGSRIQAEGARKTHLIASTIASPPPLSPHCLHTAAPHRLAAAVGSGAGLLCQGGRMLTGGAGSGGGHQVRHRHLAVTTLVHLAVDAASSTLAANLLPPQPPPPRSSRSWALTPRPRGKRKAPPPPSLHPRGFRRPAWAAARRRREEAGCVARQRWSPPVSPLPLSDTGERRVTIRRTVVMMFMNFGCKYSVFFDLCKNLSTFMIFYCKYIITDRSSIKP >OGLUM05G19270.1 pep chromosome:ALNU02000000:5:21866212:21866619:1 gene:OGLUM05G19270 transcript:OGLUM05G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSMSRAHLLFLCSLAAAAAAAAGALAVPAAEVDWARQLRHHHGASPASDAPAPSPAPLSTPELCRPGEPVPAPSSSPAGATTTPAPAVPAATAPAPSPEADGKSSGAAAAPPLMTWPAVLAGAAGVATTLIL >OGLUM05G19280.1 pep chromosome:ALNU02000000:5:21867427:21872408:-1 gene:OGLUM05G19280 transcript:OGLUM05G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec23/sec24 transport family protein [Source:Projected from Arabidopsis thaliana (AT2G27460) TAIR;Acc:AT2G27460] MAARATFSRFPVTAEALXLGLAMAARATFSRFPVTAEALEACAVQWGIAVTPFAAADERGQAPAAGAGGDRVPRCEHCWAYLNSHCDMERWGWSCALCGTLNGFDDDALRRLQHPEGWPELTSSFVDLEIPVDGSEGAGDGVQARPVYVAAVDLACSEEFLELIKSALLAALEALIPGSLFGLMTFSHKIGLYDVQGPIPVVKNVFIPPDTEEDGLPVALEDAMPLLSFLAPINTCKDRIAAALDTLRPTSSWERGAASGQEPDTVLLGGRGFGTAMSALTDYLSSEYGTTFALARVFAFLSGAPDYGDGQLDTRRYGEQYASKGEDPDLALLPEQIPFYKDLAAVAVQAGVCVDIFAITDEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYEHVQHIICCDSFATYAYDFNFSHPEGFSRHTDPAVVQIAFQYSVIEPVKHTSENEKQSSTSNMFCLKRRLRIRTLQYRPAKNINEIYDSVDPETLLHILVHKVILISLDKGVKEGRSLVHDWLSLLIARYNQALRSDARIPESHVDVDFLQCPQLQMLPHLVFALLRNPLLQLHEEGIHPDYRIYLQCLFSALEPSSLAKAIYPVLVSYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSPSADPSLPFPPPHDCLLRTMINELKQGRCITPKLTFIHGGREDPALFERYLIEEQDVDGTGFTSGKGFVSFRESIRHAATDIIETESSI >OGLUM05G19290.1 pep chromosome:ALNU02000000:5:21874270:21878273:-1 gene:OGLUM05G19290 transcript:OGLUM05G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAVFFSSAFSLLLLLLLLLSAANDVVVSAATSPPLKFGINYGQIANNLPHPTQVSGLLQSLSVNRVKLYDADPAVLAAFAGTGVEFIVGNEDLHNLTDARKARAWVAQHVQPFLPATRITCVTVGNEVLSGKDTAAMQSLLPAMQSVHQALLDLGLAGRVNVSTAHSVNILATSYPPSAGAFREDLAQYIQPLLNFHAEVGSPFLVNAYPFFAYKASPASVSLPYVLFEPNPGVRDPATNLTYDNMLYAQIDAVYAAMKAMGHADITVRISETGWPSKGDDDEVGATPQNAAAYNGNLMKRIAAGEGTPLKPAVPVDVFVFALFNEDMKPGPSSERNYGLFYPNGTPVYNIGFDAASFSPSPTTSTFSSSSRPTDSRVHFSSIVWILKIVIIEHRRRVMHIIGTPTQEIVFPKESDSSQELNWKHRWQ >OGLUM05G19300.1 pep chromosome:ALNU02000000:5:21880881:21881327:-1 gene:OGLUM05G19300 transcript:OGLUM05G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZV7] MATATAPRLCFPKPGAAIAPATKSPSFIGYAKQTLNMSGLRISNKFRVSATAVHKVKLIGPDGVEHEFEAPEDTYILEAAETAGVELPFSCRAGSCSTCAGKMSSGEVDQSEGSFLDENQMGEGYVLTCISYPKADCVIHTHKEEELY >OGLUM05G19310.1 pep chromosome:ALNU02000000:5:21883819:21884162:1 gene:OGLUM05G19310 transcript:OGLUM05G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVHGVRVYNLGACISSFQVLKLPNTAMQNIVCYLKVENYLFFFQIAEWLIIRNAQEQMALVQLTKYSKGN >OGLUM05G19320.1 pep chromosome:ALNU02000000:5:21884094:21886385:-1 gene:OGLUM05G19320 transcript:OGLUM05G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKLIQDDTSHTKRRVSMQYERDVCTALGTTKWQLEQFEREVNAAALSNKSNSRENAILQFRQFIRAIAEQISQVEDSLESLRNDSIRTPKHSYLTEHDGDELASFLSGSNRKDNHVIYSTGTDEIVELKLDSVPAVNGYHSTQERTSCEFRYSGEDVEGAAKPQCSCRENACEGDHNGSSMRGLDSDDSIGRKHHFRSKLSRKYHSFMRNLWFTNRGRESFTKRRKDGEVMDSLRNGSTLPSFNLPPAGRAMYFWPELIKRRLSKFKCSTHHKHLQVRLATVLLIALAVLGELHQSHLFLSISDD >OGLUM05G19330.1 pep chromosome:ALNU02000000:5:21887011:21890023:-1 gene:OGLUM05G19330 transcript:OGLUM05G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVFPSASNGGCPLGVSRNNFRKVVLGVGAGHLQGKKCFSGGSSRPSKLECSANSRRVGPRRTKDALYDLHPEISMLYGEDNGAVAAPGKEQDIVKTTERLEDVSASHRYSEPRIKVIGVGGGGSNAVNRMIESDMKGVEFWIVNTDFQAMRMSPIDPDNKLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVSGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFAFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEEAESRQAGGDNSRSHSSWFSSSSQEEGPTLQIPEFLQRKGRSGFSRG >OGLUM05G19340.1 pep chromosome:ALNU02000000:5:21890118:21890511:-1 gene:OGLUM05G19340 transcript:OGLUM05G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPRSRTSSHQGLTNQIISLTSSPSPSPSTGCSDHRGELLLLLSTTPPRLHAPPSPAGARRFRFPALFCKRAIINRRKPRRRDPAS >OGLUM05G19350.1 pep chromosome:ALNU02000000:5:21890535:21899731:1 gene:OGLUM05G19350 transcript:OGLUM05G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSRIGLQYKSQRVEAKKSELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTEPAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >OGLUM05G19350.2 pep chromosome:ALNU02000000:5:21890535:21899731:1 gene:OGLUM05G19350 transcript:OGLUM05G19350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSRIGLQYKSQRVEAKKSELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTEPAGYLAARPPTLEIFPSWPMSHLQEPYSNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQGLFPGGCSAPGDMSSGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >OGLUM05G19350.3 pep chromosome:ALNU02000000:5:21890535:21899731:1 gene:OGLUM05G19350 transcript:OGLUM05G19350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRVAYWPKGLYLNASSPATRIVSRSSRPSAAVAGGRRRPLPLKKGGNNTLNIRPLPPLAATESTARLLSSTAGGVRLHRSHGKSRIGLQYKSQRVEAKKSELQPAAAAYFGELEKALIHGTSAGAGVDHGMIQSDAYTEPAGYLAARPPTLEIFPSWPMSHLQEPYSNSQSVGSTTDSSSAQNTMSQAELVSPASMRSDSGQEQQQQEVLMVTIDDYNYKQGLGAAIATAPSFQQHAGGLDMRKHGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQRARSQVPTLRKPHKIATSNNTILTKGAVMFDMEYTRWIDDDSKCMAELQGALQAQLPDGNLGAIVEECMRHYDELFHLRAVLASSDVFHLMTGMWAAPAERCFLWMAGFRPSEILKMLIPQLDPLTEQQLMGMCSLQQSSEQTEEALAQGLHQLHQSLADAVGGGPLNDGADVANYTGLMALALGRLENLESFYRQADNLRQETLHHMRRILTTRQTARCFLSIGEYNRRLRALSSLWASRPRENFIATENVSPTGTEFQVIQQSQQNQFSGF >OGLUM05G19360.1 pep chromosome:ALNU02000000:5:21907224:21910024:1 gene:OGLUM05G19360 transcript:OGLUM05G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVGGGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSLTAQRQPRGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEEEETEDDEEKAAGLEGCKVEEEEREQVHSEGSKYYVSVGGGEDQSSNAGDVDAGAACRGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNNVGHPRARPLKDFRTLQTHYKRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAAAAHAAAAPPKQQQRIIRFDDAMAPMHGGGLMN >OGLUM05G19360.2 pep chromosome:ALNU02000000:5:21907242:21907577:1 gene:OGLUM05G19360 transcript:OGLUM05G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRLMHSSRARATLAARLCVCVFARLPQPTLTTSDRLTFRIPKGQEHTHAQREGHHRGMHGLTQTLSYVVVVAVVLLLGIKQSPLQTS >OGLUM05G19360.3 pep chromosome:ALNU02000000:5:21907446:21910024:1 gene:OGLUM05G19360 transcript:OGLUM05G19360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRACTMVEGSSFVVGGGGGVPFFEWLKPRSSPPPSPSSSSTTTTSSSLTAQRQPRGAGTMLCLPLLGRLGEEPVDADDGGAMNNPPVKEEVSNTTDDYAGVDLNIGLPATTGGCSSEDAPMDEDEEDDDDDDEEEETEDDEEKAAGLEGCKVEEEEREQVHSEGSKYYVSVGGGEDQSSNAGDVDAGAACRGRRYWIPTPAQILIGPVQFVCHVCNKAFNRYNNMQMHMWGHGREYRKGPESLKGTQATATLAMLKLPCYCCAAGCRNNVGHPRARPLKDFRTLQTHYKRKHGAKPFACRRCAKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHFPVAAAAAHAAAAPPKQQQRIIRFDDAMAPMHGGGLMN >OGLUM05G19370.1 pep chromosome:ALNU02000000:5:21914746:21915540:-1 gene:OGLUM05G19370 transcript:OGLUM05G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDESPSYLHLIFLSSRIRVFILDPPLSLPLSHGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPXSYGTCIGVWFTLGLQCQPYSKVTTIHPYNDSKKSYLQLYRQLLFSFFRSNN >OGLUM05G19380.1 pep chromosome:ALNU02000000:5:21926934:21928957:-1 gene:OGLUM05G19380 transcript:OGLUM05G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPALSGVSEADGAYGPIPQSDFLSLRGPSEVFPGDMAMNHSEPATSYGYNSEYAPMHLQPNGLYTEASNTESEREASQLQQSAEAVICDSLSKLESAMEKIQGQNPQESSGLVAEGSADDNIHKYHQKAKRARTQITHSGKIDLPTQAVSACKEKTITQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMATTSEEKPLNQKPKRKYVRKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSMTNSWYQNQEKPVNAESSLCSVTKSSVQVEHGQELRMENSPEGLIFGINSKLNKILDEYIHLPEAAPKPSEQIPLAASGHVSEELARKQYDVRHTHDPDSTSYNIQRSGLITTKGHKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGSMTKVSKMDKNKKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLQKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETIFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHC >OGLUM05G19390.1 pep chromosome:ALNU02000000:5:21946914:21960171:1 gene:OGLUM05G19390 transcript:OGLUM05G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEEVASWTHLTTYCIGFARPDQMPPTRRDPRRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETRYDYEQLLCE >OGLUM05G19390.2 pep chromosome:ALNU02000000:5:21946914:21960171:1 gene:OGLUM05G19390 transcript:OGLUM05G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQINWLYYIAFAVVAIGLIIYSLNDHSSDSGTRTTASTEAAAQYQQLPGEDNSTGIGSNDSQERKQEEEVASWTHLTTYCIGFARPDQMPPTRRDPRRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETRYDYEQLLCE >OGLUM05G19390.3 pep chromosome:ALNU02000000:5:21946914:21960171:1 gene:OGLUM05G19390 transcript:OGLUM05G19390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQVQKQQPNTSNFQERIIQQELVRTTAKKGNKRRKEVASWTHLTTYCIGFARPDQMPPTRRDPRRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKMSGATMFNLSLLTTDVWAVAIRVFLYHQQVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETRQLKAIVWRQQQL >OGLUM05G19390.4 pep chromosome:ALNU02000000:5:21946914:21960171:1 gene:OGLUM05G19390 transcript:OGLUM05G19390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTIMEAKGKDAWGLLLVLLLGQLVAFSMAVSSFTSSLIATLGVDAPLTQSFFAYLLLTLVYVPILLKRRQKLQIPWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVVILTWYALGTRYSFWQFVGAGTCVAGLALVLLSDSKSADAQDPSKIPLLGDALVIAGTIFFAFSNVGEEYCVKKKDRVEFVAMFALFGLLVSIIQMYPFNKAQFTTLLLCLFAGFAVALFMFYSITPFVLKMSGSTLFNLSLLTSDMWAVAIRVLFYHQQKPNKFNCGIYEVTILRTVEQEQLQVQKQQPNTSNFQERIIQQELVRTTAKKGNKRRKEVASWTHLTTYCIGFARPDQMPPTRRDPRRAEKIRRSRKAIIPEGVLHHFLPPLKARERLMKNKSAPWSMMFLVFLGQLVSFSMAMPWYWYLALAFIDVQGNCLAIKAYHYSYITSVNLLNCWTITWVMILTRFALGTRYSLWQFVGAGTCMTGLALVLLSDSNYSDVQDESKRPLLGDALIIVATFCFAFSNVGEEYCVKNKDRIEFVAMLGIFGMLIGLFIGFAVASLVFSSIAPFVLKVNWLYYLAFAVVAIGLIIYSINESSDDETAASTMETETRYDYEQLLCE >OGLUM05G19400.1 pep chromosome:ALNU02000000:5:21956012:21956461:-1 gene:OGLUM05G19400 transcript:OGLUM05G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETSCPRNTRNIMLHGALLFFMSLSTRRKEMVKNTLWRREEQAMLHWQEKRAVNRGKNGVSSGRQPSNW >OGLUM05G19410.1 pep chromosome:ALNU02000000:5:21980059:21981621:-1 gene:OGLUM05G19410 transcript:OGLUM05G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADATTLHIAVHALASSLQAQVAAVFFVSAACTVALALLLALLRLRPPWWCACPVCEAYVTASWAREFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPATVDHMLRCRFDNYPKGAPFSAILADFLGRGIFNVDGDGWLFQRKLAAAELASPAIRAFAANVVASELRCRLIPLLRSASSYGSERLLDLQDVFRRFAFDCICKISFGLDPGCLELSLPISAFADAFDTASMLSARRATVPMHVVWKLKRLLNIGEERELRDATRLVDALAAEVIRQRRKLGSAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPVVAAAIRDEVFRVAGGRDPTAASFDFDKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDTLPDGTFVAKGTRVTYHAYAMGRMESLWGPDCAVFRPERWLSGGRFVPESPYRYPVFQGGVRVCIGKDLAIMEMKAVIVAVVLSFDVEAVDRSSRRPKFAPGLTATFAGGVPVRVRRRAHCAPS >OGLUM05G19420.1 pep chromosome:ALNU02000000:5:22001556:22001798:-1 gene:OGLUM05G19420 transcript:OGLUM05G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARGNVAPKPRHSEVTAEGGEDGRSSDVGAATGGAIVGEIGDPVHALWENLGIERSDEERNWGSEAEEMGIGQSNSG >OGLUM05G19430.1 pep chromosome:ALNU02000000:5:22003937:22006374:-1 gene:OGLUM05G19430 transcript:OGLUM05G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKGNFSNLLNHGSSSQATNSDAQNSPSTQFPTSYPQNFRLSFLQNFHPFGPPSNYQPYRYPPIFQGAQQQDYYGQPTPGRLEGFQLQENLVHSSNQAFGFAANRSQFDELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGYHHSPIQ >OGLUM05G19440.1 pep chromosome:ALNU02000000:5:22009599:22012055:1 gene:OGLUM05G19440 transcript:OGLUM05G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >OGLUM05G19440.2 pep chromosome:ALNU02000000:5:22010484:22012055:1 gene:OGLUM05G19440 transcript:OGLUM05G19440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAAYLLAVLGGNTSPSADDIKNILESVGVEANDERLEFLLSELEGKDITEVIAAGREKFASVPSGGGGGIAVAAPTAAGGGAAPAEEAKKEEKVEEKEESDDDMGFSLFD >OGLUM05G19450.1 pep chromosome:ALNU02000000:5:22013738:22016620:1 gene:OGLUM05G19450 transcript:OGLUM05G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQLRAVTAQRRKAERAAGEVLAILESQGLARFSDAADSGSGSDDEDGLESAESGGKARGEAEDALSGSELGDTVNAAAAAAAGGLSWKGRAVSHESQRRQQQQLKGRHLRQRNSHRRGYFYLLAADSSPKYQPGQSCRKVKRKELRFHTEGNEGKDNAMESTEEGQERSDCTVCTDEQPDIDGEVSQDGQGSCGDGRDGDNDDRYSVEYEKDGEMERVLEKQAELIGQYEAEEYAQREWEKKFNNCRDSTAGDVELGNKLNQIEKACEQRDRAAQIKDKEVSEVGGPSDKNLFADDSPSECLSTDSVFGLPPNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQARKDESVNKSFTEIIEGSGNNIAKSSSSLQGNYDSSQNARHNEDQVDESSDSGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLALPAPEYHYATDDSPVRDTELSLCMSTFPSQEILALPEPAEYRSRIIPRDDVKVPGGTCWFVPVANRLFCSK >OGLUM05G19460.1 pep chromosome:ALNU02000000:5:22021021:22025609:-1 gene:OGLUM05G19460 transcript:OGLUM05G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDAHLAQRNGNMIVDTNLSSALESTELPASEFQDHESLYATGGVIPETATKADDSTLKSGFASFNGLPDTAAQASKPKKSRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECRHFASAFASARLALPSPQDKRLVNLSNQFAFQNGTMPTPNSTPLPQLEGSIHARDVHANNTNPIIEEP >OGLUM05G19470.1 pep chromosome:ALNU02000000:5:22039783:22042448:1 gene:OGLUM05G19470 transcript:OGLUM05G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGHADKNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >OGLUM05G19480.1 pep chromosome:ALNU02000000:5:22045567:22048649:1 gene:OGLUM05G19480 transcript:OGLUM05G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADATTAMTIDFLRARLLSERSVSRAAKERADQLTKRVAELEEQVRAVTAQRRKAERAAGEVLAILESQGLARFSDVADSCSGSDDEDGLESAESGSKARGDAEDALSGSEFGGTATAAAAGGLSWKGRAASHESQRRRQQQQQQLKGRHLRPRHSHRRGYFYLVAADSSPKYHPGQSCRKVKRKELRLHTEGDEGKDNAMESTEEGHERSDCTVCTDEQPDIDGEVSQDGQGSCGNGRDGDDDNPYTVEYEKDGEMERVLEKQAELIEQYKEEEYAQREWERKFNNSRDSTAGDVELCNKLNQIEKACEQRDKAAQIKDKEVSEVGGPSDKNLFANDSPSECLSTDSVSGVPQNAPEENAIKHCKVTECDHDFGEATSTVVSVDSGPQIRKDELVDKSFTEIIEGSGNNIEKLSSSLQRNYESVQNARHNEDGVDESSDGGPGYHVNACSYEHYINTPSVASRSSDTPKSKVSEWSSSCFHNHTDNQIDTQMHQPSSDGVGGVLEALQRAKMSLREKLSKPSPPSLEMLALPAPEYHYATDDSPVRDTELSLCMSTFPSQEILALPEPAEYRSRIIPRDDCLVGLAGLFRLPTDSFAQNELCSADGYRSRFSLTATSRENLSNHFRANLSLSRYGSEFSPDPCFSARNSMLLSTPTFGGCSNPVSDFRVGDAYFPSEVPRSSNRRGMPSGDQGMLFHYAGDYSSNKWTL >OGLUM05G19490.1 pep chromosome:ALNU02000000:5:22049950:22060575:-1 gene:OGLUM05G19490 transcript:OGLUM05G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPPYLHLIFLSSRIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSKALLNAMHEGSFPQAALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSFMPAPALSGVSEDGASGPIPQSDFLSLGGPSEVFAGDLAMNHSEPATSYGYNSEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSTDDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMSTTSKEKPLNQKPKRKYVWKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSRTNSWYRNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILVEYIHLPEAAPKPSEEIPLATSGHVSEELARKQDDVRHIHDHNERSGLITTKRNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGCMTKVSKMDKNQKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETVFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCEVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYLTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMNNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPGIPSGSNMYPFFSATDCHQLDERNDIHVSSTSPNSSIGSASSNFKIGTIEENSSFFMPFDAHLAQMNGNMIAGTNVSSALASTELPASEFQDHESLYATGGAIPETASKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQDKRLVNMSNQFAFQNGTMPTPHSTPLLQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQLALDQREPDDPNELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >OGLUM05G19490.2 pep chromosome:ALNU02000000:5:22049423:22060575:-1 gene:OGLUM05G19490 transcript:OGLUM05G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPPYLHLIFLSSRIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSKALLNAMHEGSFPQAALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSFMPAPALSGVSEDGASGPIPQSDFLSLGGPSEVFAGDLAMNHSEPATSYGYNSEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSTDDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMSTTSKEKPLNQKPKRKYVWKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSRTNSWYRNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILVEYIHLPEAAPKPSEEIPLATSGHVSEELARKQDDVRHIHDHNERSGLITTKRNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGCMTKVSKMDKNQKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETVFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCEVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYLTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMNNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPGIPSGSNMYPFFSATDCHQLDERNDIHVSSTSPNSSIGSASSNFKIGTIEENSSFFMPFDAHLAQMNGNMIAGTNVSSALASTELPASEFQDHESLYATGGAIPETASKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKSKPNCNACPMRSECKHFASAFASARLALPSPQDKRLVNMSNQFAFQNGTMPTPHSTPLLQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQLALDQREPDDPNELKDTREAPKPCCNPQTEGGLCSNEMCHNCVSERENQYRYVRGTVLVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRDRHINRIFSNKWV >OGLUM05G19490.3 pep chromosome:ALNU02000000:5:22049950:22060575:-1 gene:OGLUM05G19490 transcript:OGLUM05G19490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDENPPYLHLIFLSSRIRVFILDPPHSLSLSNGQCELQVVEEAAMDPSGLNLQGNPAENQESWTSGVSVGRGTPNLGVGTAVAGRSCPPSTLFPGSSLSSKALLNAMHEGSFPQAALVAGSGSSADEQHGGPPVRPSYNLPAGCTQVPISILVFHRRLTGRGSRRRSFMPAPALSGVSEDGASGPIPQSDFLSLGGPSEVFAGDLAMNHSEPATSYGYNSEHAPMLLQPNGLYTEASNTESEREASQLQQSAEAVICDSHSKLESVMEKIQGQNPQESIGLVAEGSTDDNIHKYHQKAKRARTQITHSDKMDLPTQAVSACKEKTLTQIEMQIADAERTEALKGEDAPAQKLKTRRRKHRPKVIREDRPAKKQMSTTSKEKPLNQKPKRKYVWKNRNPSSLEKCAEPFSDHSISRESRTTVRSSIASVRRRLQFEFGEHGVQRDQSSRTNSWYRNQEKPVNAESSLCSVTKSSVQVEHGQELHMENSPEGLFFGINSKLNKILVEYIHLPEAAPKPSEEIPLATSGHVSEELARKQDDVRHIHDHNERSGLITTKRNKKDLDLNYSNTNGFQMYCSASLLPEMDSTKGCMTKVSKMDKNQKRHYGGESSLAGTQSSIIMRTAAEMLAVYQACGIKKKRSARVRRNSFLSVMDLEKNTSQESTRLPRSCMEALYESSYIKFMTKKRSQKARLNSPNSIQPNIDQKNRFSSETVFSGGFNGLKRSEETFQKTLPQIPDDKRINLDIHCEVPVESSPNTSTPPYMDYLQGVTSKFRYFDLNTEQVHKTEMHLYQTMPSLSSLGATNYLPNALVPYVGGAVVPYLTQFHLVKKQRPRAKVDLDFETTRVWNLLMGKAADPVDGTDVDKERWWKQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMALAASFPPGSDGNCNDGIAGQDNEEIISTSAVRDRGTFEFFYNGSRPDIGLNFEELSMACEKIHMEPKGNATVNELTKGENYSLHCKEPAGSLCDHETRIDHKAKSISDISLVELTARMNNLHATQFQTEISLSQSVVTSESILQPGLPLSSGMDHAPRNFVGGISDTASQQVGSNFDDGKSLTGNDVTANETEYHGIKAAATNNYVVDEPGIPSGSNMYPFFSATDCHQLDERNDIHVSSTSPNSSIGSASSNFKIGTIEENSSFFMPFDAHLAQMNGNMIAGTNVSSALASTELPASEFQDHESLYATGGAIPETASKADDSTLKSGFASFNGLPDTAAQASKPKKPRTTSKKNSENFDWDKLRRQACGNYQMKERIFDRRDSVDWEAVRCADVQRISHAIRERGMNNVLAERIQKFLNRLVTDHGSIDLEWLRDVPPDSAKDYLLSIRGLGLKSVECVRLLTLHHLAFPIPCLGDYTKVPLASSVYELHYQMITFGKDKRLVNMSNQFAFQNGTMPTPHSTPLLQLEGSIHARDVHANNTNPIIEEPASPREEECRELLENDIEDFDEDTDEIPTIKLNMEAFAQNLENCIKESNKDFQSDDITKALVAISNEAASIPVPKLKNVHRLRTEHYVYELPDSHPLMQQVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPINIPREQLWNLHRRMVYFGTSVPTIFKGLTTEEIQHCFWRGFVCVRGFDMETRAPRPLCPHFHLAASKLRRSSKTAATEQTH >OGLUM05G19500.1 pep chromosome:ALNU02000000:5:22067041:22074651:-1 gene:OGLUM05G19500 transcript:OGLUM05G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZY7] MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGLWANSLRADDYQALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >OGLUM05G19500.2 pep chromosome:ALNU02000000:5:22067041:22072107:-1 gene:OGLUM05G19500 transcript:OGLUM05G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZY7] MKKKIRALLDRGWRRSGCFLYKPEMERTCCPQYTIRLKANDFICSKEQGRVLKKMQRFLDGELDPQVGSPQNKTSPTKHSLAEPMNLPVSKISKTLTNDFQAAKCPNLFEEDEFISCLSSKINEAVGMSFQVGTLGSDVQLPKAVVKTVKPQLKKKVGGASQDKKVGEAVQDLLYTCNISFQIVAEIRRALPKEKDANHNEVVADISPNSIAEKLAMTMECHGDIAGLAVKACNGHLNFYSVTNQTKQNKTSIIVSTHAPDKSSSSKQSSVNKNTVRVPQKRRKLEIKMRRSHFDPEEFALYQRYQTKVHKEKKVSESSYKRFLVDTPIVFVPPRSGDNTVPPCGFGSFHQQYRIDGKLVAVGVVDILPKCLSSKYLFWDPDFAFLSLGKYTALKEIDWVKTTQEHCPNLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRFEWVCYDSAKRLLDKSLYSVLSDFAQIQDEMPQPQNSHLDTELSKNDNCESPIDEDDEDLSYDDSDMMVDEEMVRSESNTDVMEDCSSIIDFENVMMDLNGSRVKYKDLLGVVGRIERRHLEQLERQLSKYVKVVGKELSDRMVYSL >OGLUM05G19500.3 pep chromosome:ALNU02000000:5:22072814:22074651:-1 gene:OGLUM05G19500 transcript:OGLUM05G19500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZZY7] MADGASSSGAASASGPGGGGGGESVVIDYGRRRTACGYCRSTGQTSISHGKGKEKEKIVVDMQDPPVYGLTA >OGLUM05G19510.1 pep chromosome:ALNU02000000:5:22078565:22080559:-1 gene:OGLUM05G19510 transcript:OGLUM05G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAALGVPLVQVRAAVAAYGRGAGKGKGKRRVVGAFHAPPGRRRTALVAALPEPLQPLSPAQDGAVAPASTEADGGEEVHGDVASAEISSPSGVLGKTVRVRFVLKRECTFGQSFHLVGDDPALGLWDPSKAVPLDWSEGHDWTVEKDLPANKLIEYKFVLQDLSGKLHWQNGRNRSVQTGETANILVVYEDWGNANSQTVEEEGKVSIGMEEGKLSVGMEEAVVPDDSESRDDIIVADELQVDDNLAVMQNESSVREDDKKSTVGTVTSVQAELMKLHEANQPELIVDEPQIQEALPETADTEPENGGVATCADDHYAESTDDDGVPVGGTDDDGVPVGGTDDDGVPVENRWTGAFEHELLWGWKALQQLLMSLGFKMDTS >OGLUM05G19520.1 pep chromosome:ALNU02000000:5:22087978:22088496:-1 gene:OGLUM05G19520 transcript:OGLUM05G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLWRAVMGAAGGGGSSSSSAAGGDAGGGVEFWHGGERTGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDAAVTRGSVPRGVIPVATCLTVKGAEDVINRQFAFELSTPTDTMYFIADSEKEKEEWINSIGRSIVQHSRSVTDAEVVDYDSRPNSKPPPQPKTSEESEPSA >OGLUM05G19530.1 pep chromosome:ALNU02000000:5:22095057:22100005:-1 gene:OGLUM05G19530 transcript:OGLUM05G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGYQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAVIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >OGLUM05G19530.2 pep chromosome:ALNU02000000:5:22095057:22100005:-1 gene:OGLUM05G19530 transcript:OGLUM05G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGYQAEEAIVADAGKEEAEVRAAMGVEQDGKFSMTSLLWHGGSVWDAWFSCASNQVRPTTNDLVMPLAHISFGILQVAQVLLTLPYSFSQLGMLSGLLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCSTTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAAAVHGQVDGVTHSGPSKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLVATLYVFTLTLPSASAMYWAFGDALLTHSNAFSLLPRSGWRDAAVILMLIHQFITFGFACTPLYFVWEKAIGMHGTRSVLARALARLPIVVPIWFLAVIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRSASARLNAAEKPPPFLPSWSGMFVVNVFVVAWVLVVGFGLGGWASVTNFIKQIDTFGLFAKCYQCPPRAHAGAPLPAPPRH >OGLUM05G19540.1 pep chromosome:ALNU02000000:5:22102293:22102709:1 gene:OGLUM05G19540 transcript:OGLUM05G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGAAGGVDGGRPLMVQWEVGIDSQLWRCGGDSSCVDSTDGSGRLAPLACRGGFGGAGWSPWWWREYAWSAAGGRCSVRTRAGSRRGSRDEGGRVAARGGWTGWGAGAAVSMRQQRFRLWWSNGVLVVDRQAAGGR >OGLUM05G19550.1 pep chromosome:ALNU02000000:5:22106903:22107217:1 gene:OGLUM05G19550 transcript:OGLUM05G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPRDGCGVETVVGDGCGGDSVRRWMRRWWRRQRPEMDAAVGVRRWMRRRQRLEMDAAAGDGCGGDGDGVRRWMRRRWEMDAAATAAGDGCSGDGRAMLL >OGLUM05G19560.1 pep chromosome:ALNU02000000:5:22113345:22129726:-1 gene:OGLUM05G19560 transcript:OGLUM05G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT1G55325) TAIR;Acc:AT1G55325] MPEFPSPSSPAGGGRRRTLNNADNKPDLHGTMNDPYYYDCRILVDATPEKSSRKKSTFVRHFSCTSSHALVKIAAAIVDPRAVVLHGREPHGEQGGGGATGERGHSRSRAERTGHHHRPLLLRFKPAASRRPLPPPTEQLLRWNPNLLYLISQIEGELQNVSWFQFLPVEPDPSTASERSSKAEQKDALNNIVLSAHLHLQSEGFLSTWTNSFVGPWDPSQGEHNPVVSNGLWVAPGNLEEVAAALCQALRNSLERRAQPTIEFVFAATEEAIFVHVIISARYVRNLCSDDIEKVLTHSPRSVGEGLPVIVAPSGMLGRLVGCCPSDLVRQVYSSKLSAATLPGFTQPTMCQLRGQSYYVEVALGFPAATTDKVPESENNQIKKELDSAKDPQLSADEHQKLESADSVPVLERTFIYPPEAVMVPMVHQAFVRFSSKRMCSQACVGNSSWEGWPFWNFSPSSYFRNSSCLGSSRGLGVNSNFLRLRRQKNSNYNSMASSISSVSSTSNGSEHAVAAEGGDLSADADSMACHQSDLPSNIAGSKMVSKRPRSEIPEVSSRAGKESVDNNQGVNGQGRCSWGWDEEGVAMDINLLISEFGDFSDFFQEEELDFGEPPGTAESHSLVIPGPDCGDATFTDSPSTAMDIPEQRFSPVGLSSLEVFNHQTVAPIHDVVSKVQEPQKDIASPTSSQSVVLSSGRSSHLTRAEALLTYAPEYAAIEISTGETPTSLFTNPYQPRSIKPGSSSFNSRVYSYDAAQSSQMESGEDKPEKSVRLASGNLSRDIGSSNLYTVVQVGKKESDKGLKNTDIQSGKEEASRPISGETSLDSSVVSQRKSDSMFNAGYFLLSMKTALATEMECIKFQAAMCRIRHTLLSLSSKASSELKSALSSLVHTDVSNKLDLVPKYDIKRKENIPARLSIDVDHEVYDRSLENVGVWKPVGTPKGPTHLESFSAKTYTGTSQGLPVKRQPIVDLLSAMALIVQQSTSFVDIALDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGILGTCHSKDCAGVDLVDPLSAEVSDSSVISLLQSDIKAALKTAFANMDGPLLVTDWCRGRSNAAEYASMGDAYSFQHPTGDIRESSSSISIGGDSMSPPQSSHVISNDRGTSELEHHRGYHRVRPTVAVLPLPSLLVGYQDDWLKTSANCLSLWEKAPLEPYASPKPVTYYALCPDIEMLTSAATDFFLQLGTIYEVCKLGTHSPQNSGGQMELSPGKYLSSGLVLTECPDQVKIGNGHSSSISSTSEYLQALSRNWTVKSFVTSLTRVIKDIKLNSSILANQKESTSGPCTVIYVVCPFPEPSAVLETLVECSVALGSVMLSPERERKSFLYSQVAKALNCNASVDESSASNVVMLSGFSIPKLVLQIVTVETLLRLHKPNNELAVLKDMAFTVYNKARRIPKAISTSDMFQSPAYMGRSQSTMMHATSPGPTLWKECLVPRMSGSTLSRETEFDASMRSSVTWDNSWPGRAGGFMDPNKIPDVCVQDDRKYAFEPLFILAEPGSVDYSSGMESSKSGVDASGSGIYSSISGGGSDSGASASALLEGSDNDNAASLHCCYGWTEDWRWLVCIWTDSKGELLDSLIFPFGGISSRQDTKVLQSLFIQILQHGCQIMSSAPESSNTRPRDVIITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMGLMQDRNMPSSPSPLYSPHAKSSFMKGALGQSGNKKQILVEQAGMDSSKGSLHLVRSISLVAISQDHSLHLTCQADLLTRPTPGEGNQTSSGPSSYLEGFTPVKSIGSMSASYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRKDSARLANEDRPSVLSVSIIDHYGGSIAAVQEKMSRGSGKQTRNFTQEAAGRDHETEMHNVLEAVAAELHSLSWMTVSPVYLERRSALPSHCDMVLRLRRLLHYADRHLSQSTEKGDVA >OGLUM05G19570.1 pep chromosome:ALNU02000000:5:22130126:22133927:1 gene:OGLUM05G19570 transcript:OGLUM05G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKNGVDGDDVAETVAKASSRPAAAPSLPCHTRSATTAALGGQIEDDGVGGQIRRRRSRCGEREATARRPATARTAAAVAAGDDVGARGYARENNRQGDGRRMCVGPIATKLRTLVRQGSTHLINQLARNGRSHHGSNPDVIKNSVPRDRFKRKRTYNLGPSAQGASILGRIATYAVLIIAAVQTLHLSNEQDASCYTPSIHPRHRTPADKIVGK >OGLUM05G19580.1 pep chromosome:ALNU02000000:5:22134297:22135863:-1 gene:OGLUM05G19580 transcript:OGLUM05G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQVAAVAPSPCARSSASSSPPSTSSSRPALGGAGLARSRAPVNWGAGVMARRRGLRQPARCALSASLDGVGGGDAEFLRRIEELAAAVGVQPTGCGWPASVERSASSAGMPLSLRMLKRKKQQQQLVARQTRWDERLLGSAGDSVGRAFSSMVLIVRELQSFALQQMREAMLGDDLQSVLARVHGEMHASFVWLFQHIFAGTPALMVSLMLLLANFTVHSMGHSVAAAAAIPPAPPTSAAVAVVDTQHADPSLPRFDAASVKTFSIGRAASVGGSSGGGGKVRPVAGATGDDRWDESLARLSGVAPQQPAPPAGTGAGMAEDEQAIWERMVAEASNMQENARAEELSDPDVLGNLVAPVEAEIETEGHAEYTRTEQRYELAVSEEPNNPLILANFAQFLYLVQNDHDRAEQYFERAVRAEPADAEALSRYATFLWKARNDLAAAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCFPLD >OGLUM05G19590.1 pep chromosome:ALNU02000000:5:22140396:22140818:1 gene:OGLUM05G19590 transcript:OGLUM05G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRGSGGAGRVLPWGDADSGKEQRRSGGGLDGSGDDGGLDRGGYPAGRRPRQTREEHARAINDLPNELYDVAWDIVLIDGPSGWNPTSPGQMPSRQSSPARWRRWGKAEGLDSGLATTDRGRGGLDGGGPGGAIRHGGY >OGLUM05G19600.1 pep chromosome:ALNU02000000:5:22141062:22159769:1 gene:OGLUM05G19600 transcript:OGLUM05G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDLCPAPVAARGRGLASRGSSPGITRDELRRPAHQRPWDISLAARRKKEKKNSVGPYPHVDDDGQAAAGIGAALPMPESGGGGSPPPGSGDSGGRRGGGLHMYSSLPQQQQSPGSRRLRMERANGVKRRGSRSHDAWAVCRIQPPYVSWSFNDARLCLGQLTQDEVVPSELLKDSLTSSKRYSKIESTRNAGFIQS >OGLUM05G19610.1 pep chromosome:ALNU02000000:5:22155016:22155720:-1 gene:OGLUM05G19610 transcript:OGLUM05G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRLEAEADDGYGELPALSRHRRRDRAGSRGSRHSRRFFKSDSPHLKSTQVAAAGNKDEMDVAGWPRGSRQSGNMASSSSPSASFSPLPPPWQPPRRLLKQSVILSLPLLSVVATVGRVVEKRRGWWSPSPSSRICPPPGSRCLPHRAWDGQAMAGGSSGGGRSARTVARGSGRLAAARDTKAEAANSAAAAEAEAEKARREWVGWWWWQCGESSQWWRESEEARMEEEGLG >OGLUM05G19620.1 pep chromosome:ALNU02000000:5:22161194:22162763:1 gene:OGLUM05G19620 transcript:OGLUM05G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNYSDYHPNSVPFDAYYEHGHGHLDDHGHGHHHLIPAHPEPSYSYGNWSFLHADATATSSSESSSASASSGAAHIVGASGPSASFVRQLHFGGEYYDDDAADISALMEAASISCWTTNGGAGASTSQTTGLEGVEVMRRAAAPAPDNGSSDKEEAARPLIGVRKRPWGKYAAEIRDSTRNGARVWLGTFNTPEQAALAYDQAALSVRGPGAVLNYPLHRVRESLRTLELAAAAAASESPVLTLKRRHRIRKRSTTKKAPAGKEADEAPATTSSEGKKKRQVNTTSHSHDGKQDQTSSPCVLELEDLGTDYLEELLALSEEQPRMAPTPPLLRAALDGGVDGG >OGLUM05G19630.1 pep chromosome:ALNU02000000:5:22163930:22167622:1 gene:OGLUM05G19630 transcript:OGLUM05G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKPIEQCSTEGRSQQTVASDLDGTLLLSRSAFPYYLLVALEAGGPLRAVALLMSVPFVYLTYVTISESLAVRALLYIAVAGLEVRDVESVARSVLPRFYAGDVHPEGWRVFSSFGRRCVVTASPRVMVEPFARAFLGADRVIGTELEVGEDGRATGFVAKPGVLIREHKRNAVVREFGDALPDVGMGDRESDFDFMAICKDAYVVTTSRKHRPVPESQLLRTVVLHDGRLAQRPTAINTLLVFLWMPVGFALALLRACLSLLLPERVLSYAYKLTGVGLVVRGRPPPPDGSPGVLFVCNHRTVLDPVAVAAALGRKVICVTYSVPRKTYGMSSRLPEALTASPVKAAVALCRERDRDADRVRRLLEEGVDIVAFPEGTTCRGAFLLRFSSLFAELTDRIVPVAIATRETMFHGSTARGFKGMDPYFFFMNPRPAYEVTFLSQLPSELTSGGGGKSPVEVANYVQKALAGQLGSEHIGITRKEK >OGLUM05G19640.1 pep chromosome:ALNU02000000:5:22170696:22172045:-1 gene:OGLUM05G19640 transcript:OGLUM05G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHTTKRCQPTKSIQAFFSFWICRMEIKVALGGFQIENGNNGRWPLLPPVKKIKMENSERGMLGAAVSMDARRCSMDAGGQAHRCEGCRHLASCP >OGLUM05G19650.1 pep chromosome:ALNU02000000:5:22174248:22175690:-1 gene:OGLUM05G19650 transcript:OGLUM05G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAPSAAPATVVVPLTQTLCANAPATSVTVVSKQTVRPDGASAVGDVKLSVSDMPMLSCHYIQKGLFFPPPGVPIASLVSSLVCALSRALAVFPALAGRLVTLDDGRIVIRCDGVAVEFYHAVAPALSLGDFLVPNADVPTRLTKDLFPMDRTVSYDGHRRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGTPVQPPDLRRNFFGDSTAVIRFPGGAGPAVTFDADAPLRERVLHFSAAAIRELKAKANQWKRSDKFAEANGKHVDETKAHGGYREISSFQSLCAHIWRAVTRSRRLLAADATTTFRMAVNCRHRLRPAISPLYFGNAIQSVATTATVAELASNDLRWAAARLNATVVAHEDGAIRRAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRAIAVRSGRANKFDGKMSAFPGQAGDGSVDVEFCLAPDTMARLLGDHEFLQYVSRAP >OGLUM05G19660.1 pep chromosome:ALNU02000000:5:22178533:22179087:1 gene:OGLUM05G19660 transcript:OGLUM05G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAAARHAAQGSVPPTARPRLTRALPAELLPPLSRLTTASSSPTPATPVSSSAAPASPSSRPPLRRPQGDGYPTARPGRGPRSTSSPSAARGSSTPASXDARRGSPPLPSCPAWRRRRRAAARAVPRAENR >OGLUM05G19670.1 pep chromosome:ALNU02000000:5:22180893:22183932:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWLTHIKTWITILGSPHHIVNKIIPLRCVLRADFARFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSTPFADITNANAGDSRIDHGSDRCTSSNVPQKENMQSINAKCKRCKRKRARERYASMSPKKKEARKMKACVYKQQKEEYPENEHVQSFVIPHCLPFNETGTLMQIY >OGLUM05G19670.2 pep chromosome:ALNU02000000:5:22180907:22183932:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWLTHIKTWITILGSPHHIVNKIIPLRCVLRADFARFPPSGAFADITNASVGDSPKNRNSIGVSTPTLLTTSTPFADITNANAGDSRIDHGSDRCTSSNVPQKENMQRSVLERELRRKRARERYASMSPKKKEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHTNENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQVH >OGLUM05G19670.3 pep chromosome:ALNU02000000:5:22180289:22184298:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINAKCKRCKRKRARERYASMSPKKKEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHTNENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQLTMKILDC >OGLUM05G19670.4 pep chromosome:ALNU02000000:5:22180907:22184298:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMYNRLSYLTASHLMRQVH >OGLUM05G19670.5 pep chromosome:ALNU02000000:5:22181540:22184298:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.5 gene_biotype:protein_coding transcript_biotype:protein_coding FDAIDPPRRPLFHPPPAPSPTVTVIPLTDQRRRLHRSSPPPSPFSSTRSLPHRRHRHHRYSPPSSPSLDAGALPSLSTAPLSIHGRTGFESYWGLHTNIVNNIK >OGLUM05G19670.6 pep chromosome:ALNU02000000:5:22180289:22184298:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHTNENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQLTMKILDC >OGLUM05G19670.7 pep chromosome:ALNU02000000:5:22180907:22184298:-1 gene:OGLUM05G19670 transcript:OGLUM05G19670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKKKEARKMKACVYKQQKEEYPGLNQTANNYVKGSYIKIICLLHTNENTIYTILSVHYIMQKMSMYNRLSYLTASHLMRQVH >OGLUM05G19680.1 pep chromosome:ALNU02000000:5:22212634:22216404:1 gene:OGLUM05G19680 transcript:OGLUM05G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAPEARRLDRAMSFGGAGSIPEEALHLVLGYVDDPRDREAVSLVCRRWHRIDALTRKHVTVPFCYAASPAHLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVAELAAPLECLKALHLRRMVVTDDDLAALVRARGHMLQELKLDKCSGFSTDALRLVARSCRSLRTLFLEECSIADNGTEWLHDLAVNNPVLETLNFHMTELTVVPADLELLAKKCKSLISLKISDCDFSDLIGFFRMAASLQEFAGGAFIEQGELTKYGNVKFPSRLCSLGLTYMGTNEMPIIFPFSALLKKLDLQYTFLTTEDHCQLIAKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRVERGDDDPGLQEEQGGVSQVGLTTVAVGCRELEYIAAYVSDITNGALESIGTFCKNLCDFRLVLLDREERITDLPLDNGVRALLRGCTKLRRFALYLRPGGLSDTGLGYIGQYSGIIQYMLLGNVGETDDGLIRFALGCENLRKLELRSCCFSEQALARAIRSMPSLRYVWVQGYKASKTGHDLMLMARPFWNIEFTPPSSENANRMREDGEPCVDSQAQILAYYSLAGKRSDCPRSVVPLYPA >OGLUM05G19690.1 pep chromosome:ALNU02000000:5:22220303:22224951:1 gene:OGLUM05G19690 transcript:OGLUM05G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALYKDAAAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRAIAELGAGSVLNGGGSAPLPRASAADWARMVDGMQRHALSSRLAVPILYGTDAVHGHNNVYGATVFPHNVGLGATRDAELARKIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYECYSEDTEVVRSLTTIVSGLQGQPPADHPHGYPFLSSPRVNVLACAKHFVGDGGTDKGINEGNTICSLEDLEGIHIRPYPDCISQGVATVMASYTQWNGEPLHASRYLLTDVLKGKLGFKGFVVSDWEGIDRLCEPRGSDYRYCIAQSVNAGMDMIMIPFRFEKFLEDLVFLVEAGEIPMSRIDDAVERILRVKFISGVFEHPFSDPSLADIIGCKEHRLLAREAVRKSLVLLKNGKNQKEPFLPLAKNVKRILVAGTHADNIGYQCGGWTIAWNGDSGRITIGTTILEAIKESVGAETEVVYEECPTEATVETGEFSYAVVVVGEVPYAEWLGDRTDLSIPFNGSDLISRIASKVPTLVVVISGRPLVVEPQVLDKVDALVAAWLPGSEGMGVTDCLFGDHDFLGTLPVTWFRSTDQLPINARDASDDPLFPFGYGLKMFRGRSTINNRIGQAMIGPFVVSLKSVYQKLKLQVKSWPLLAYHYCCFMENVISNSAPWNDTIAGDRRHRSYLVNILKFDSGSCCDIDGLYLE >OGLUM05G19700.1 pep chromosome:ALNU02000000:5:22227096:22228702:1 gene:OGLUM05G19700 transcript:OGLUM05G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVNLVRPVAITMPTAAAAVAEAEGISSRLTHDVVPSQSHQFSCCWVPILPAAHREMQDADAAAVLILFTCLCSFALIHIDDNTTPIWSFGVQVQQQLGVSQKGFDEANLDDMNPKA >OGLUM05G19710.1 pep chromosome:ALNU02000000:5:22234962:22236017:-1 gene:OGLUM05G19710 transcript:OGLUM05G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKESYMEVLPPAPAHYFVGQAAAAGGWFLPDRRGGGGAWSQEENKVFEQALAALDRNDPERWERVALLLPGKTVADVMTHYDDLENDVCFIEAGLVPFPHYGAAGGGGGSGFTLDWDGGDDPAGLGFKRSCYMVGGKRARGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDHGNPSPSPPPSVLTAHSSSSAAAVSEQFGVLVDGKPPPPPPLGRGAGHHHFMPHPYAQVKIEAGNSHVAGGGRLDDSVLVQMQCGQLMQPLG >OGLUM05G19720.1 pep chromosome:ALNU02000000:5:22291368:22292958:1 gene:OGLUM05G19720 transcript:OGLUM05G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRYRAVRRAAAAGCRWWRVRMAARPETAATQLKGNKDLAEPCESLALTTQIDATRAQYSPYISPVCTTNCELQKARVQWKKQMVAVQTVHAALLPSTTTTRRRPSPGRLPRRSPIRARAGSSETSSPRGRENWRVQEALARVAEIQVLKVRIASFLDDCSENLLWLAENADAELDATAQDSLRVLDLDGAADDEIMERLYCKLGRFDDAQAEEKWMDLIGLGIDEGVSVESIGEEAKHEHVLVTRESGRYRTRRNVYLGVMGLIWVGLMQTTVFAAPNVELDKFAALCLIFLAHIVQVCFKEETDKKQVCVNHETDQRKAAVDSNHLVWFKVDRDMTGNLDSNDMLHVITLHVVKL >OGLUM05G19730.1 pep chromosome:ALNU02000000:5:22297160:22297740:-1 gene:OGLUM05G19730 transcript:OGLUM05G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPSAKLPKTTAASIGDSGRLPPLARPLFQLDPPCGLGEVATNELRHRLDHLSGQIRRVGLRRLLSPRGLKEAATAAWAQDWREERSGDADIARYVPSSSVVTIVAHTDGGGQSWGGRAGISSICPHVAALLTPRLPPLRGLGVAKGRDQKGKRIREREEDVRPAAAPPSAVNARATLLAAAT >OGLUM05G19740.1 pep chromosome:ALNU02000000:5:22304320:22304761:1 gene:OGLUM05G19740 transcript:OGLUM05G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFLIKGRLGVQKISLAGKMTILACKGNKEMGIKRESWKGKVHIGCQEEKRFRRNVMLSDFALTS >OGLUM05G19750.1 pep chromosome:ALNU02000000:5:22314247:22316232:1 gene:OGLUM05G19750 transcript:OGLUM05G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQMEPPPPPPRRSVSTSCDLHPGETFTGFCAACLRERLAGLEASTAAAAAAPGRRSTSAIRSLFSRPFVAAGGGGGGGGGGAVPSGSGAAVPDLRRCKSFSCGRGGDVLAGGCGDEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALASEVQPQPPPPPPPCVPEVFLEEEIAMAEESDEITPVVEPILVVDTSGEMETEANGGREAKAMKDHIDLECSQAKKPQPKDLKEIAGSFWLAASVFSKKWQKWRRKQKLKKQDAAGSKAAAAAMPPPEKPSKPSFLRRSRLRGEACSEFAGGRRSCDTDPRFSLDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPLSRLPPILSAMEDSPAGIVERSDGQIPVEDDSQPEPDPDADTPGGSVQTRDYYDTSSSSRRRRSLERTSSVRRPSFEVTDAKPVLPAAAAITSVKDSPLIGSSEFYHFQHAEDLLEHHRFSTSSLIEDFPMSLDAAFPGPDKKPRRWRKAWSLWGLIHRRAAGRRGGASDVADRAFSEPWPELRVRGCNARMQRCNSNASARSSFSSNSGGLGSSRRSYVDGNGNVVKRRREECALERNRSARYSPGHADNGMLRFYLTPMRSASGRRAPGLPAKGGRQLRSQSFARSMLRLY >OGLUM05G19760.1 pep chromosome:ALNU02000000:5:22324323:22324907:1 gene:OGLUM05G19760 transcript:OGLUM05G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQRSGRRRRRRRRWFEQLYVHEAGRQVMKTPPLKHSARAQPILVKLSLTMKTKWPHLWKSTPAVQLPVSLSYKCSSYKCCLGNLCLRRRTPRQLRQAPPSPLATRAISASAAGLPDELRLRRRSSESVRLLRYQPSERVCETERERGLG >OGLUM05G19770.1 pep chromosome:ALNU02000000:5:22327320:22328456:1 gene:OGLUM05G19770 transcript:OGLUM05G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRGGWRQHRVTPVANKPPSKAMGDAVVTKADTGGTSRSVRQSALLHLKPEPKPICQTQWPRWTRPLASAKSNGRRRRRREPDAAAVFAPPLGYHPRQQLHFRRQASCGPAWPRARAALAAWWWPRARRRWRRSRWRFVRAEMEVGGTDNRGGKAAVARGWCSRGRCGPAWRQRPSVATAWRGGGEGKEVAGGGGEWPDGRTFFFLLTWHRGIYVRPRQKLDFRPPRQHSKYPLDDAVSISKYPRLWDVFPQNFTFTVSLSLNHKIMMFCSKICLIYKKARCFHYFRYATNMVFNTLL >OGLUM05G19780.1 pep chromosome:ALNU02000000:5:22330107:22334030:1 gene:OGLUM05G19780 transcript:OGLUM05G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MASIRSCVSVKPAAAVSPVKYRSARVGAAGLEPRGLRISCSSSSSSSSSSLAAGGGDGCRDAGCASSSGRGSGVVGSVGDGWWGRRGGQRERAVAAMCSAGMEGVRHGAAAVASVPAASASALPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAIRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILAGRSASKIQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >OGLUM05G19780.2 pep chromosome:ALNU02000000:5:22330355:22334030:1 gene:OGLUM05G19780 transcript:OGLUM05G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;2 [Source:Projected from Arabidopsis thaliana (AT2G38060) TAIR;Acc:AT2G38060] MVDWSCVSVKPAAAVSPVKYRSARVGAAGLEPRGLRISCSSSSSSSSSSLAAGGGDGCRDAGCASSSGRGSGVVGSVGDGWWGRRGGQRERAVAAMCSAGMEGVRHGAAAVASVPAASASALPERAKVVALVAAVMLLCNADRVVMSVAVVPFAAQYGWSSSFLGIVQSSFLWGYVFSSMVGGALADRYGGKKVMAGAAALWSLATFLTPWAASQSTIMLLAIRALFGLAEGVAFPTMSTFLPKWFPTHERATAVGISMGGFHLGNVISFLATPIIMSHIGLAGTFAFFASLGYLWLSVWLFNVESDPLDSRTISKSELQLILAGRSASKIQGSKFPSLREILSKIEMWAIIVANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMALSGYVAGASADFLIKSGFSVALVRKIMQSIGFIGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNVQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAVLYFSATVFYNTYATGDLIFD >OGLUM05G19790.1 pep chromosome:ALNU02000000:5:22334867:22336558:-1 gene:OGLUM05G19790 transcript:OGLUM05G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRPARSDPHLPPDEAARVEAEVRGYFDSMAPRRPSKPPRSDPSDAGEGGAEADADADLPELRRLRDLEAKPQKLVLDGGDVNGEEYVETQYYNGLNCIDKQHHTTGTGFIKVERPNGSSFNVTTVAYSSDSIVRCTSNPATNDWIPSSETVIPVSNKPSRSDS >OGLUM05G19800.1 pep chromosome:ALNU02000000:5:22337802:22338327:1 gene:OGLUM05G19800 transcript:OGLUM05G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAREGPEASGCNRAGIVEGEGGSQQVTAVATDDTTNDGGEGSIKARGERAMAATEPRRGRKAMLGAAPLPSTIPSPSLLACQSRQPPFPNRARRRHRYVPMWGKEKRTKEHKRMRGEERAGDTNMWASHADSIN >OGLUM05G19810.1 pep chromosome:ALNU02000000:5:22354929:22365305:1 gene:OGLUM05G19810 transcript:OGLUM05G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARRHRHRRAYELTPTTKNPRKQRHARREIDRFVRSRRGTRPVDRVAYERTRETDAAAHVHTGRDETLQRSAASRAHGEGKKHARGRWIARWLAGGKRRLASRAELPRPDLTGAVKRGPHVRGRQAMATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGARAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKFRAWGQEVLHFQRNVHPRKEDEILK >OGLUM05G19810.2 pep chromosome:ALNU02000000:5:22355858:22365305:1 gene:OGLUM05G19810 transcript:OGLUM05G19810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTSSTATTTTTTCSSPSSTTSPIHPAVPHRRRLNDIERVDYAHGAAADDCAACGGVAPDAALADDDECGHGHPVVGARAWVVGAGGQAWMRGVVLCLLGLVAVVGFLGSHRRGGSGGGGGGAGSGAVGGGGGGDGDDGGRLVKKVEVADADVMGWTEENLTALTRRPPDPPIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKFRAWGQEVLHFQRNVHPRKEDEILK >OGLUM05G19810.3 pep chromosome:ALNU02000000:5:22355394:22365305:1 gene:OGLUM05G19810 transcript:OGLUM05G19810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRAARRHRHRRAYELTPTTKNPRKQRHARREIDRFVRSRRGTRPVDRVAYERTRETDAAAHRSLARARRGEETRTRALDRALAGWGEAAVGEQSRIPEIWMKPDSEGYSQCIERPKNQRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVEHFKEILKEDIVIVDSLPPTYKRVKPYMRAPTSWSRASYYRDFSRILRKYKVVRFTHTDSRIVNNGLAPSLQRLRCRANYKALQYRKEIEELGRTLVDRLRNGMDHYIALHLRYEKDMLSFTGCNHNLTVHEADELTDMRLKVRHWKEKEINSEEKRLQGGCPMTPREAAVFLKAMGYPSTTKIYIVAGEIYGAHSMDALKLEYPNIYTHYSLATADELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMDWNEFQSEVKKHHENRLGGPYDRLPGESPRQEEYFYSNPIPGCLCRKFRAWGQEVLHFQRNVHPRKEDEILK >OGLUM05G19820.1 pep chromosome:ALNU02000000:5:22369078:22370788:1 gene:OGLUM05G19820 transcript:OGLUM05G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKRASIFIMLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMKTSAAPQVLPDLAISAVKQHWDFSGLLFITRITGPGLLIAAF >OGLUM05G19830.1 pep chromosome:ALNU02000000:5:22370311:22370773:-1 gene:OGLUM05G19830 transcript:OGLUM05G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPGPVIRVMKRSPEKSQCCLTADIARSDHGQIQDNFQVLTNMFKGIHTVKFSMVNPIYKLP >OGLUM05G19840.1 pep chromosome:ALNU02000000:5:22379043:22383249:1 gene:OGLUM05G19840 transcript:OGLUM05G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGQSAIAAEDDDLERGAERDEEEEEGSQYFTDAEDHQSWASHSRHNSTAYEDYISTCASVRASSYDGEAEEEEDAGGGGGGVEHHRRKSSCVSECSLDDVDLEAGLAEVIKGSPEKAERCCRICHLGLETAAAESGAGITLGCSCKGDLSYSHKQCAETWFKIRGNKICEICSSTACNVVGIGDSESVEQWNESNSTAPAQAPPAETQRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >OGLUM05G19850.1 pep chromosome:ALNU02000000:5:22385692:22389784:-1 gene:OGLUM05G19850 transcript:OGLUM05G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLSPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPNRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >OGLUM05G19850.2 pep chromosome:ALNU02000000:5:22385692:22389784:-1 gene:OGLUM05G19850 transcript:OGLUM05G19850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLVLRIITFYSTQLPGPNYHCREGSKLATLSPPNNVFEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFVKLLAWFMAIVQSLLIIASRKHYSVDVVVAWDLYGKHFVTSFVEIFTEMPNRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >OGLUM05G19850.3 pep chromosome:ALNU02000000:5:22385692:22389784:-1 gene:OGLUM05G19850 transcript:OGLUM05G19850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYIAREASKVWRKVTTETSVELSLLREKWGLLLAGIVFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFASIFASFVLLLAWFMAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPNRTNGVPLLPLSTREKDGRLKEEKDSRLKEEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHAHSTLSDAAVNGGT >OGLUM05G19860.1 pep chromosome:ALNU02000000:5:22412975:22413295:-1 gene:OGLUM05G19860 transcript:OGLUM05G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNGAGSLTLTSPPAEEREGSAAAAEDVVSEEHCPVVGGRRDGLCGVHRWTTPQDVVARLVSTVAAICCSLVSNRLGENLPKALGSPPDRAMEKQKPTLDGPSLL >OGLUM05G19870.1 pep chromosome:ALNU02000000:5:22438299:22440017:-1 gene:OGLUM05G19870 transcript:OGLUM05G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKVAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHAGFAAPARKASPPPPVAPPATKVAPAAYYHSLAQLLTQRQIQATQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAAPPVDMNPAAWPPLQKQQHATAPGVGGGGGGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDTLINRSNAMLASQKRRASPAVPSPAPAPALCHSSGGDGGGDDVQ >OGLUM05G19870.2 pep chromosome:ALNU02000000:5:22438562:22440017:-1 gene:OGLUM05G19870 transcript:OGLUM05G19870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEWSDGGEEFLLPDEFLDDDFFSEEEKAAVAARSDSSDEEDCLAGLSRRLAGLLGDDGERDAPPKVAEVTVGSPQSTLCGLPKSGQESPNGGASQVSSPPSSPLEQKPADPWDMLYEAAGQVARMRVTNSIPVPNNPYGFPAHAGFAAPARKASPPPPVAPPATKVAPAAYYHSLAQLLTQRQIQATQFHLLKQQQLLKLQRDRHLAAAAAWGARQTAAAKTAGCGVAAPPVDMNPAAWPPLQKQQHATAPGVGGGGGGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDTLINRSNAMLASQKRRASPAVPSPAPAPALCHSS >OGLUM05G19880.1 pep chromosome:ALNU02000000:5:22463525:22466543:-1 gene:OGLUM05G19880 transcript:OGLUM05G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanylyl cyclase 1 [Source:Projected from Arabidopsis thaliana (AT5G05930) TAIR;Acc:AT5G05930] MWPLGFFSERLFKVAGEGDGGEGADDSPPAPDGRVPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGMDCCDGIADLEKLCRTTSIWTVDLAYLLNRFSVCFSFFTVTLGANPQYSAETFYREQLQEDIDRVDELFGRALEAGISIQCRSISAYDIAFLLLSGHCIAIALVDKTKLNSSWSNDLQDMQQFSEDSDYMGHYVVICGYDADACEFEIRDPASSRQRERVSMKSLDQARKSFGTDEDIILVSLTGKIGMKLSRKLLVGSL >OGLUM05G19890.1 pep chromosome:ALNU02000000:5:22471563:22473425:-1 gene:OGLUM05G19890 transcript:OGLUM05G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAVAAAEAGSKVAGGGGEVVAAWERDAEKLEFIEEMTRGFYAVQERVLAAILVRNNSTEYLRHHGMEGRTDREAFKARVPIVTYEDLRPEIERIANGDRSNIISSHPITEFLTRSCSAASRIASWGRRKRRAAGGGQRLSLPSSPAATFFSLRKNVSAPTQKAKYLL >OGLUM05G19900.1 pep chromosome:ALNU02000000:5:22476003:22478856:1 gene:OGLUM05G19900 transcript:OGLUM05G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRADDERRIGVAMDYSASSKRALDWAIANLLRRGDHLVVLHVLHHGGEEAKHALWGKSGSLDSIPPALIPLSEFRDPTAMQQYGVHCDAEVLDMLDTAARQLELTVVAKLYWGDAREKLCDAVEEQKIDTLVMGSRGLGSIQRILLGSVTNYVLSNASCPVTVVKGK >OGLUM05G19910.1 pep chromosome:ALNU02000000:5:22481393:22484063:1 gene:OGLUM05G19910 transcript:OGLUM05G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:partner of SLD five 1 [Source:Projected from Arabidopsis thaliana (AT1G80190) TAIR;Acc:AT1G80190] MYGRRASQLLKEVDSCEAGQLVPFNSDVFDQVIRECNEHNTQFQSLIRKMVEQNLDIETTRNDDHYGAAVHHLSLLRNKRCLMAYMYNRAEVIQSFRWKIGPVLPHEIQEKLHFSEKEYFKNHSAAIKSYMSEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSISLTKNSLHFLRRTDTEQFISQFWISDMELVRKHNQADSKQEW >OGLUM05G19920.1 pep chromosome:ALNU02000000:5:22494466:22498176:1 gene:OGLUM05G19920 transcript:OGLUM05G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGEEAAKEEREVSEALTADSSADEECRRGSSSSSASSGDASSESYCPPDEWQKVAIKTCVSSDLVVVSAEPAKEKKPPPPPSSPRVDAAPADKHHRPSEMEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKTMWRREMDWLLCVSDHIVELVPTWQTFPDGSKLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVDQGICPPDRDGSAPFMLTFHRRDEKWWLPVPRVPPGGVGETTRRQLEHKRDCASQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDIIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASIYVWRRRGTSGAASRAGNKSSWGIVKDMIMDTEKRDDLLADRAEGLLMCLKQRFPGLTQTSLDTSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLYVDERSRQAELLPTAGAGSGKISCMPAMSASSVPAYPVVSTSGTPPPYATAYATPSFSPAQLSSPSKIGRALLVDRRSHDGRAFDGSMEFMGMAVSNAVFDLPGL >OGLUM05G19930.1 pep chromosome:ALNU02000000:5:22498899:22499382:1 gene:OGLUM05G19930 transcript:OGLUM05G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSVTVAVKRMRDMNRVKFEEHIQMLGDLRHPNVLSPVGYHYHREEKLIVSEFMPRGSLLYILHGDQRPDRVVLDWPARMRIAVGVVRGMAYLHEKLGIPAMRLVSMDGADFNAIHSCRRGRLTPLAV >OGLUM05G19940.1 pep chromosome:ALNU02000000:5:22506584:22507382:-1 gene:OGLUM05G19940 transcript:OGLUM05G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQNSKGQNGGGFADGKSHSPTKARGAYKDGKQSYPNESSESPYFGSSVHYGAREFYGNTPPKQGDASPGNQKEQEQNPDGSLATRGDWWQGSLYY >OGLUM05G19950.1 pep chromosome:ALNU02000000:5:22509578:22510046:1 gene:OGLUM05G19950 transcript:OGLUM05G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMGMKVRNGMFDLPDLRIDQLRFTNYEVALHAIMKWPMTSLTSYIEAKAATQRSNGTKCQPMHTIVNP >OGLUM05G19960.1 pep chromosome:ALNU02000000:5:22540178:22540363:-1 gene:OGLUM05G19960 transcript:OGLUM05G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCPCRVCLWGLRVTRNPACMRAAYVRGVGMRRKEDDEAIDDRRCIAGRLGGSEPVTVTQ >OGLUM05G19970.1 pep chromosome:ALNU02000000:5:22550418:22555250:1 gene:OGLUM05G19970 transcript:OGLUM05G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGGGGGYGGGGGEHQHQQQQHHLLLGQAAGQLYHVPQHSRREKLRFPPDHPAESPPPPPPGSWPLPPAFYSYASSSSSYSPHSPTLAHAQLVAHGMPPGAATSGGAQIPSQNFALSLSSASSNPPPTPRRQFGGGGGGAAGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQLDRGSDEGLLDVDAMDAAGSVDHEMDGSDRAVADAVTVSGAEQQWRKTRLISLMEDVCKRYRQYYQQLQAVVSSFETVAGLSNAAPFASMALRTMSKHFKYLKGIILNQLRNTGKGATKDGLGKEDTANFGLMGGGAGLLRGNNVNSFSQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQLQKNPSLDKNQLSMQHTQHSSESSGKPCDPSNSLQGQSSSMTRNHSISASRHIEDGLSQMPHDISGQVSFAYNGLAAHHSIAMAHHHQPDLIGTGGAANAGGVSLTLGLHQNNNRAYIAEPLPAALPLNLAHRFGLEDVSDAYVMSSFGGQDRHFTKEIGGHLLHDFVG >OGLUM05G19980.1 pep chromosome:ALNU02000000:5:22563619:22564342:-1 gene:OGLUM05G19980 transcript:OGLUM05G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSNGVGVTVDWGGDGEAAMLDLAPLPDPAPSRLDPASPMTAATTSLWRVELWATTASWCCARGQRRRASGELWADAALWCYTRRAEACRPWHPEAEVAYDGVATPPDSVSASLAREAALRGGDADAEEAAWTWRRWGATVKLWRGRRGEVTHHRRWERQRKEERPAVVEAYLARVVAVGSGGWFGTRCRGRQRSSAWCGCWLAGAPVQLCPHTDKVLMVVEQWWSAVDKQAVDGG >OGLUM05G19990.1 pep chromosome:ALNU02000000:5:22566840:22570170:1 gene:OGLUM05G19990 transcript:OGLUM05G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGAGQSGWLLEYGLVEEEIQGSDFIYMVDDPAAVSSVLLGFDVPRKEDGSGGQDNSASKKRFNELSAILEPGKPPRADKVSILSDAARLLSQLRAEAQKLKSSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVGAATPAAPAPFVPHHAAAVAAAPAYHPAAFAQAGGKYVPYATSYAPPAAFWQWIPPTSLDTSKDPVMWPPVA >OGLUM05G20000.1 pep chromosome:ALNU02000000:5:22571115:22577073:-1 gene:OGLUM05G20000 transcript:OGLUM05G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDPSRSFVRDVKRVIIKIIRSGALLGLFCGLLDVTAYVEVANLSTLVGTAVVSRQDGRLALGRVGALCEQVPNQRKVAMQVKELNSLGYEVILVTSGAVGVGRQRLRYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFNQLDVSSSQLLVTDSDFENPKFREQLTETVESLLDLKVIPIFNENDAISTRKAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVDGLYSGPPSEPSSKIIHTYIKEKHQQEITFGDKSRVGRGGMTAKVKAAVLASNSGTPVVITSGFENRSILKVLHGEKIGTLFHKNANLWESSKDVSAREMAVAARDCSRRLQNLSSEERKKILLDVADALEANEDLIRSENEADVAAAQVAGYEKPLVARLTIKPGKIASLAKSIRTLANMEDPINQILKKTEVADDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAIRSNTILHKVITDAIPRNVGEKLIGLVTTRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYIDKSADMDMAKHIVMDAKIDYPAACNAMETLLVHKDLMKSPGLDDILVALKTEGVNIYGGPIAHKALGFPKAVSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDDKVAETFLRRVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWILRGRGQVVNGDKDVVYTHKSLPLQ >OGLUM05G20010.1 pep chromosome:ALNU02000000:5:22580372:22585063:1 gene:OGLUM05G20010 transcript:OGLUM05G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A053] MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGIGFIWKPVAILAAFMTGISIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWLFVLLFSAVSCMLWLTSCSLLTVLWALLIAIFATLLHASFRTPNLKARLNTFREEFRAVWRNYSSCGKKCLVLKLRAVCELCLWEIPRYEQW >OGLUM05G20020.1 pep chromosome:ALNU02000000:5:22584689:22588734:-1 gene:OGLUM05G20020 transcript:OGLUM05G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uracil phosphoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT3G53900) TAIR;Acc:AT3G53900] MPSLATAAAAGAGAPLRRGPCAPRRQSAHASSSSSSSLPSPALATSTRFAATAPILRLVQRRPRAPLTAARAASPDAATGARSPSSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMAELGRLLIYEASRDWLPTITGEIQTPVAVSSVEFIDPREPVMVVPILRAGLAMAENASSVLPATKTYHLGLRRDEETLQPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLVERGVTSKQIKVVSAVAAPPALQKLSNKFPGLHVYTGTIDSEVDERGFIVPGLGDAGDRSFAT >OGLUM05G20030.1 pep chromosome:ALNU02000000:5:22590348:22593675:1 gene:OGLUM05G20030 transcript:OGLUM05G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane Yip1 family protein [Source:Projected from Arabidopsis thaliana (AT2G39805) TAIR;Acc:AT2G39805] MDEGYANLPTSHLLGSVPAALTPEERKPSPVAEVGTSRLQQFPPALGGTGGVGGGGGGYQPPGTPADGDVETQTNWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFFRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQRKTDLNIWSFDVGYFNWAASVMYGYAAAVPAIFFFLFQYFGSRPSLVRFWCMWGYSLFIFIPASVLLLIPVEFLRWVIIILVGGASSWFISLNLKECTEGADMMVLIASAAVLQFTLALFIKVFFFA >OGLUM05G20040.1 pep chromosome:ALNU02000000:5:22596246:22600718:1 gene:OGLUM05G20040 transcript:OGLUM05G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGHVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAIVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDFW >OGLUM05G20040.2 pep chromosome:ALNU02000000:5:22594606:22600718:1 gene:OGLUM05G20040 transcript:OGLUM05G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27610) TAIR;Acc:AT2G27610] MTPRTAAALSGLLRGKNAVNLAPEQVPKLLATRASPARVEDGVCLRDPPGARYPLDEIPRRDAAVGANRVLFDYARRGMVPEVLDQFSVARRGGVLVDSATLSCVLKACRSVPDRVLGEQLHCLCVKCGHDRGEVSAGTSLVDMYMKCGSVCEGIEVFEGMPKKNVVTWTSLLTGCAHAQMHSEVMALFFRMRAEGIWPNPFTFASVLSAVASQGALDLGQRVHAQSVKFGCRSSVFVCNSLMNMYAKCGLVEGAKSVFNWMETRDMVSWNTLMAGLQLNECELEALQLFHESRATMGKMTQSTYATVIKLCANLKQLALARQLHSCVLKHGFHLTGNVMTALADAYSKCGELADALNIFSMTTGSRNVVSWTAIISGCIQNGDIPLAVFLFSRMREDRVMPNEFTYSAMLKASLSILPPQIHAQVIKTNYQHIPSVGTALLASYSKFGSTEDALSIFKMIEQKDVVAWSAMLSCHAQAGDCEGATYLFNKMAIQGIKPNEFTISSVIDACACPSAGVDQGRQFHAISIKYRYHDAICVSSALVSMYSRKGNIDSAQIVFERQTDRDLVSWNSMISGYAQHGYSMKAIETFRQMEASGIQMDGVTFLAVIMGCTHNGHVVEGQQYFDSMVRDHKINPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGAIVWRTLLGACRVHKNVELGKFSADKLLSLEPHDSSTYVLLSNIYAAAGKWKERDEVRKLMDYRKVKKEAGCSWIQIKNKVHSFIAFDKSHPMSDQIYKKLKVIITRLKQDGYSPNTSFVLHDIAEDQKEAMLVAHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHMVMKMVSMIEDREIIMRDCSRFHHFNGGACSCGDEQTHEKAPPEAQLHCTSQIQVVVLIPVEFLRWVIIILLGGASSWVISLNLKECTEGADMMVLIANAAVLQFAVALFIKFVSNLPTKFMILD >OGLUM05G20050.1 pep chromosome:ALNU02000000:5:22601108:22602682:-1 gene:OGLUM05G20050 transcript:OGLUM05G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSTLRRARAHPSWAAAARGLLATWGAGSGGRVRHPRHGAASASLFSSLSSSQTSAADAQLLRVINMEISYAQKDCKNRNWELGEGFPFEIQDKDGTNMMTLKRSDHKEQIEVEVFLPSVVNEGEENGEPEDQCEDGKHRTHIGNGVPAQYCIPLIVRVRKEAASYLKISCSSYPNELIIESLSFEPNDESGDSASLEAKLSNLPEEFQKAVYSYLKSRCISTDITDFLHAYMINKECHEYLSWLRKVKGLIKS >OGLUM05G20060.1 pep chromosome:ALNU02000000:5:22602851:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEIDELKTVFGIHYKVFIPILDTFDSKHLNTVNCYATPRAAELGN >OGLUM05G20060.10 pep chromosome:ALNU02000000:5:22602851:22607699:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEIDELKTVFGIHYKVFIPILDTFDSKHLNTVNCYATPRAAELGN >OGLUM05G20060.11 pep chromosome:ALNU02000000:5:22604051:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNALGLAELPELALLPNRMALHLVDRWRHASNIEQVLRDGPVIDVNVLLDPLLVGALREHAVPHLNPPPATCAGVFPSFSAVETTTGCASTLPTAFTDAVPGEPNGDIRQNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWILRTA >OGLUM05G20060.12 pep chromosome:ALNU02000000:5:22604051:22608815:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.12 gene_biotype:protein_coding transcript_biotype:protein_coding MNALGLAELPELALLPNRMALHLVDRWRHASNIEQVLRDGPVIDVNVLLDPLLVGALREHAVPHLNPPPATCAGVFPSFSAVETTTGCASTLPTAFTDAVPGEPNGDLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWILRTA >OGLUM05G20060.13 pep chromosome:ALNU02000000:5:22603536:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.13 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWILRTA >OGLUM05G20060.14 pep chromosome:ALNU02000000:5:22604051:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.14 gene_biotype:protein_coding transcript_biotype:protein_coding MNALGLAELPELALLPNRMALHLVDRWRHASNIEQNLRSLVCCHTGWHSTWSTAGGTRATASRSSSFLLEKLLTPMARALPESWSFSIAAHVAGISVGRTFCPPKPAPFFILTGQWILRTA >OGLUM05G20060.2 pep chromosome:ALNU02000000:5:22602856:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >OGLUM05G20060.3 pep chromosome:ALNU02000000:5:22602851:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEIDELKTVFGIHYKVFIPILDTFDSKHLNTVNCYATPRAAELGN >OGLUM05G20060.4 pep chromosome:ALNU02000000:5:22602856:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >OGLUM05G20060.5 pep chromosome:ALNU02000000:5:22602851:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEIDELKTVFGIHYKVFIPILDTFDSKHLNTVNCYATPRAAELGN >OGLUM05G20060.6 pep chromosome:ALNU02000000:5:22602856:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >OGLUM05G20060.7 pep chromosome:ALNU02000000:5:22602851:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLEIDELKTVFGIHYKVFIPILDTFDSKHLNTVNCYATPRAAELGN >OGLUM05G20060.8 pep chromosome:ALNU02000000:5:22602856:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >OGLUM05G20060.9 pep chromosome:ALNU02000000:5:22602856:22609311:1 gene:OGLUM05G20060 transcript:OGLUM05G20060.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEFVLEKPNLHVANRTYSSGKIFRVSGFQQVICQNMEPCLLNLVELSHQFFRDAPVKYVNVLPCPHLIVALWEHALSHLNTPAKSNLSRTFLQLLGNGNHSRMAQNVWSIVPW >OGLUM05G20070.1 pep chromosome:ALNU02000000:5:22603485:22605465:-1 gene:OGLUM05G20070 transcript:OGLUM05G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESFVLNTNAAIPSVGLGTWQISPGAVQDAIRAAVQVGYRHIDCSPQYGNQKEVGLALKKLFDEGAVKREDLFITSKLWCTHHAPEDVPEAINTTLKDLQLYYLDLYLVHGPVRAKKGTKLSVENYLKPEIPATWQAMEKLYDSGKARAIGVSNFSCKKLEDLLNVARVPPAINQVECHPVWQQGKLRKLCQSKGVHLSAYAPLGSPGSPGNDGPNVLSHPTVISIAKKLQKSPAQVALRWGIQMGQSVLPKSDNEVRLFKYEFLTHPTSFYKSLEDFWDGEI >OGLUM05G20080.1 pep chromosome:ALNU02000000:5:22606753:22609698:-1 gene:OGLUM05G20080 transcript:OGLUM05G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFVLNTGAKIPSVGLGTWQAEPGVVGNAVYAAVKAGYRHIDCAQAYFNEKEIGVALKKVFDEGIVKREDIFITSKLWCTNHAPEDVPVALDSTLQDLQTDYVDLYLRPRVTQFDCMIIRVEDAHAVRKIHWPVRMKKGAGFGGQNVLPTDIPATWAAMEKLHDSGKARAIGVSNFSSKKLEDLLAVARVPPAVDQVECHPVWQQTKLRKFCTSKGIHLSAYSPLGSPGTASVKAVGNVLAHPVVVSTAEKLGKTPAQVALRWGIQMGHSVLPKSTHEERIKENIDVYDWSIPEDLFIKLSEIEQARPESASAADALKLCSCWMKLIRGEFWTHPEGVYKSIEELWDGEI >OGLUM05G20090.1 pep chromosome:ALNU02000000:5:22613807:22616562:1 gene:OGLUM05G20090 transcript:OGLUM05G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A075] MWEVAWWRPGTWGGLAMRVGQVAFAGASIGVMASGAGFANYTAFCYLIASMGLQSLWSLGLACLDVYALTVKRDLNNALLVTALLSFAASCSAGGVMVLFKRDVLFCRRYPQLPCGRFELAVALAFLSWALSATSAIIMFCLLAAF >OGLUM05G20100.1 pep chromosome:ALNU02000000:5:22625269:22625789:-1 gene:OGLUM05G20100 transcript:OGLUM05G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFIPFIYKAIVQYRKEGQVSVGDLFFDEPSPSSYFRLPGDSGRYQQQQQAAMSVLFSQATTADSDAAAPRRSPLRCTIVICENVQK >OGLUM05G20110.1 pep chromosome:ALNU02000000:5:22629998:22634528:-1 gene:OGLUM05G20110 transcript:OGLUM05G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAESRSSEDITKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENKHARGVSCGARHSAVITDKGDVFCWGWNKYGQLGLGDSTDRNVPCQVPVEAYNPLNVSCGWWHTLVLAEYPT >OGLUM05G20110.2 pep chromosome:ALNU02000000:5:22630051:22634528:-1 gene:OGLUM05G20110 transcript:OGLUM05G20110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGGGGGEGEEMEEDGGGAGRRKERVVLMWGYLPGVSQQRSPLLGPVPVRMPDAAAAAGDAWRDVAGGGCGFAMAVSEQGKLFTWGSTDDMGQSYVTAGKHEETPEAFPLPSDIAIVRADAGWAHCVAITGKGDVYTWGWKECVPTGRVIADHSATGAMDCDKRQSGVATDQGNSITVSPRSKVSRTSTKAASGPAESRSSEDITKRRRLSSSKHGAESESSSDENLSAPPCVVTFNTGVKIVEVAAGGRHTLVLSDFGQVWGWGYGGEGQLGLGSRIRTVSSPHPIPCVQSAFYSKDRTGIVMGSRTLEGTNDRAATCVKAIACGGRHSAVVTDSGTLLTFGWGLYGQCGQGNTDDILSPTCVSAIMGVKIQRVAAGLWHTVCTSADGDVYSFGGNQFGQLGTGSDQAEIVPKLVEASKLENKHARGVSCGARHSAVITDKGDVFCWGWNKYGQAFCSLSKSVNGTHRTMSETFNCANSLVWATQQTETYHAKCPWKRTTR >OGLUM05G20120.1 pep chromosome:ALNU02000000:5:22651948:22660219:1 gene:OGLUM05G20120 transcript:OGLUM05G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTKMRWADDGGEADDDDDLRLPGAGRRSPSLGILAFEAASTMTKLLSLHRSLSEKEVARLRSNTMRAAGVEYLSSTDQAFLLRLACAEAVAALDAAAAAVARLGARCGLDFAGPRDSLRATSLRKFSSSSTRRSAARVWLLSSLPSIVPSAGAQSRSSYSSATPPSTVNHNGDRRRTHETETTGSMVASGSGVWARSWGVAASAAAAEAEHQVKRRLLLDVVVGQRAAVFQLLPREDEPLLIRRDPCKNLSSRQWVEMMIN >OGLUM05G20130.1 pep chromosome:ALNU02000000:5:22659723:22661461:-1 gene:OGLUM05G20130 transcript:OGLUM05G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSITLEVESSDTIDNVKARSKTRKGSRRISSGSSSRGSSWKTAARWPTTTSRRSRRFTWCSASAAAAEAATPHDRAQTPEPSPQVQREEDDLPQVLCTPSSQGYQLPQKEVRPQQ >OGLUM05G20140.1 pep chromosome:ALNU02000000:5:22664733:22669270:1 gene:OGLUM05G20140 transcript:OGLUM05G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHGTGRRRSTTTTLTLSALRRAIASFARSNAASAHALSDSALSTPFTTASPPPPPDAPAGGGSTALGREHPGGDGGPLLRKHARTTSHAISLVTTSHSPSLARIRHSSSSARSVTVTSGSDVTYGFR >OGLUM05G20150.1 pep chromosome:ALNU02000000:5:22667939:22669264:-1 gene:OGLUM05G20150 transcript:OGLUM05G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVSIRPDFLRGSTSSGKHHFFGVFDGHGCSHVARMCQDRMHELVVDAYKKAVSGKEAAAAAPAWKDVMEKGFARMDDEATIWAKSRTGGEPACRCELQTPARCDHVGSTAVVAVVGPNRVVVANSGDSRAVLCRAGVPVPLSVDHKPDRPDELERIKAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPYVTSEPEVTVTERADDDECLILASDGLWDVVTNEMACEVVRACFRSNGPPSPPGCSRPKAVLPPPAGASGGGGGDAVVKGVDKAESDKACADAALLLAKLAIARRSADNVSVVVVDLRRPVP >OGLUM05G20160.1 pep chromosome:ALNU02000000:5:22673223:22674257:1 gene:OGLUM05G20160 transcript:OGLUM05G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALDKLEAAEQKLARRGWGRLSGPIPSPAAAAVDAVGSDSLRLGIRAQRARVRRLKEESLWSQSYEKAVILMARAACAVFVRVCVVFGAHVPGLPPPLPPAEAVHSRLSKLLLHPMSAAAQPRSLSGPIQRRDVPLRIEMSSNSCPIIRSHCQQPWQTSPPGVDWRKLLEPPPGTVGGAGLDLQYANVITTAERLLLETDHAEGRQRHEEARAELYAMLPSKLRAAVRAKLRGWWRERGAAEAVAVELDAGLAEGWRSAAGRILAWLAPMARDTARWHAERSLDRKRRFEVGGGGGGSARAWALQTLRWADAEKAEAAVVEVLVALSCVGWYDERQRVASLRF >OGLUM05G20170.1 pep chromosome:ALNU02000000:5:22676393:22680162:-1 gene:OGLUM05G20170 transcript:OGLUM05G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSATGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNTIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >OGLUM05G20170.2 pep chromosome:ALNU02000000:5:22676393:22680162:-1 gene:OGLUM05G20170 transcript:OGLUM05G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSATGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNTIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >OGLUM05G20170.3 pep chromosome:ALNU02000000:5:22676393:22680162:-1 gene:OGLUM05G20170 transcript:OGLUM05G20170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSATGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNTIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEVSKYLIPVLLALLSISRNYNGLVPLIFSFNDETIHGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >OGLUM05G20170.4 pep chromosome:ALNU02000000:5:22676393:22680162:-1 gene:OGLUM05G20170 transcript:OGLUM05G20170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02130) TAIR;Acc:AT5G02130] MNPIRSALARALLSPKPRPPRARHYATSATGGATQPESVAAEMVRYALGGARPGSSPDEAMRILEQGASNLQGGGEGCGEAVGMLMLAMSTLLYRSGRRQEAMEKLKATNQVAPAAFRVAAWEATMGLCMEAGQVINTSVSPDDLVDLSIKDDNIKWSDQGHLKCRVNTIKGLIALLNGETESAQLFFDGCKDLCAGVGNKQTGMEVSETIWPFTFFPAIISYTIVMFLTENAVLSYCEYLHCVGDFPLATQMYERVLEALTMEDMSGNFLAACNMVPEEVSLGATCSYGQLLSHSGKFSEAEDYLTRALKKAEDQFGANHPKVGIVLTCVARMYKLKAKAEGSSSIMVQEGLYRKALEVLRAPAINSEGVSKQMDWRDIISLARGEYAELLLIQSNRKAEGERMKEWAEHAWRNSRLTLAQALEFSGPSKPTVVDTRIGRVM >OGLUM05G20180.1 pep chromosome:ALNU02000000:5:22690008:22690580:1 gene:OGLUM05G20180 transcript:OGLUM05G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAEAKSRRERRSVVAELEGALLRDAATFPYFMLVAFEASGLPRFAALLALWPLLWALERALGRGDLALRAAAFVATXRNPRRPTDGTGLRSPRLRPHARPPPSAACRSAPHRRQHPPAAARESRPQSGSPPPYGAAWRSPWRRPPQPASTWPPPRGRSRRPWGVVPAARTSTSASPLLLPRTSRHRR >OGLUM05G20190.1 pep chromosome:ALNU02000000:5:22691064:22693690:1 gene:OGLUM05G20190 transcript:OGLUM05G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLHKQLRPPFCEDDGDDVAAAGEQHKCPPFRPVIFHDGRLVCRPTPLMSLVILLWLPLGALVAFVRIAVGISVPIQIIPRIAPYFGGAVVVHGAPPPAAASGPASGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRGVDAAQMRAELSRGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEETCAAGKSAVDVANYVQRILAAKLGFECTTFTRKDKYRVLAGNDGIVNVKPPPAADPAPWQRRLKEVLGFLLH >OGLUM05G20200.1 pep chromosome:ALNU02000000:5:22697955:22702120:1 gene:OGLUM05G20200 transcript:OGLUM05G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A090] MGRPGLTLPILSVLAAIGYVYYTTVFVAVARWLGLATAAGAANAAAFTALAAACVTTYAVAVCRDPGRVPPSFAPDVEDAESPLHEIKRKGGDLRYCQKCGHYKPPRAHHCRACKRCVLKMILIIGSAMYSVPVDEHSSNDSSRTSIIICGIILCPLTLALTVLFGWHIYLILQNKTTIEYHEGVRAMWLAEKGGNLYHHPYHLGVYENLISVLGPNIFCWLCPVSTNTGNGLRFRTSHDIPLSTPSITAMVSNRSNNAASDEQ >OGLUM05G20210.1 pep chromosome:ALNU02000000:5:22702199:22704587:-1 gene:OGLUM05G20210 transcript:OGLUM05G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A091] MAHHSAGIITARVESRRERERERERERRRDGDCSVLPRAAASRRGLLRWGAASYPSARLGSALAHSKVMAEVADLTGDGGVLKTVVRKAKDDAIAPTDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEIGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYRSAGSPPEIPPNATLIFEVELVACRPRKGSSLGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >OGLUM05G20220.1 pep chromosome:ALNU02000000:5:22706170:22706433:-1 gene:OGLUM05G20220 transcript:OGLUM05G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMESRAEDHGVKRREPCKKRIGRTAGAGSEAGNGSRHQASCSPPPAPSSSFPRRCARLVKEQRARLYIVRRCVTMLACWRDVDYL >OGLUM05G20230.1 pep chromosome:ALNU02000000:5:22709286:22712988:-1 gene:OGLUM05G20230 transcript:OGLUM05G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A093] MGSSTGRRLLCLASPACLLFAAAVLLAMPGLTAARTRRYSFNVTMATVTRLCVTKSVATVNGQFPGPKLVVREGDTLVIRVTNNINNNVTFHWHGIRQVRSGWADGPAYITQCPIRPGGSYVYRFTVTGQRGTLWWHAHFSWLRATLYGPLVILPPRGVAYPFPKPHREVPLLLGEWFNADPEAVIKQALQTGGGPNVSDAYTFNGLPGPTYNCSSSNDTFKLRVRPGKTYLLRLINAALNDELFFGVANHTLTVVQADASYVKPFAATALVISPGQTMDVLLTAAANNPPSRSFAIAVAPYTNTVGTFDNTTAVAVLEYYGAATSAAALRSLPLPSLPAYNDTGAVANFSASFRSLASAQYPARVPRTVDRHFFFAVGLGADPCQSPVNGTCQGPNNTRFAASMNNVSFVMPRTSLLQAHYQRRYNGVLAANFPAAPRTPFNYTGTPPNNTFVTHGTRVVPLSFNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDASNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLSMAWLVNDGPLPNQKLPPPPSDIPMCS >OGLUM05G20240.1 pep chromosome:ALNU02000000:5:22723725:22725532:1 gene:OGLUM05G20240 transcript:OGLUM05G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPPAGFGYPFPAPHEEVPIMFGEWWNNDTEAVKPGKTYMLRLINAALNDELFFSIANHTLTVVDVDALYVKPFTVDTLIIAPGQTSNVLLTAKPTYPGASYYMLARPYTTTQGTFDNTTVAGVLEYDDPCPTTAAGKTVPIFSPTLPQINDTSAVSNFTAKLRSLASAEYPAAVPQQVDHRFFFTVGLGTHPCAVNGTCQGPNGSRFAASINNVSFVLPATALLQSHFAGKSKGVYASNFPYYPLNPFNYTGTPPNNTNVMNGTKVLVLPFGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGMHSLIGVWFMHCHLEVHMSWGLKMAWLVLDGSRPDQKLPPPPLDLPKC >OGLUM05G20250.1 pep chromosome:ALNU02000000:5:22730158:22736416:1 gene:OGLUM05G20250 transcript:OGLUM05G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLASLARALSRRSAPSSSRARQGFSLGGLGGTTRSPPPPSSPLPSLHGGEGGGLGLGFVRGYLTAALGRPAAGKAGTDWRSILANPQFRRLFSDGSKKNYENYYPKGKKEAPKGDGSNKSDSKQDSSTDDQWNFQETASKQLQNFLAPLLFLGLMLSSLSSSSSDQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRSSPQSNSQGQNTDAIITTNDVPSKHTPSRYKYYFNIGSVDSFEEKLEEAQEALGVDPHDFVPVTYVAEVNWFQEVMRFAPTVFLVGLIYLMSKRMQSGFNIGGGPGKGGRGIFNIGKAQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEETQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEEVLIGRISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAKIAEMLLEKEVLHQDDLVRVLGERPFKASEPTNYDLFKQGFQDEEDSKNQEAAKTPQPDDDGTPSLGEVVPT >OGLUM05G20260.1 pep chromosome:ALNU02000000:5:22748155:22748545:1 gene:OGLUM05G20260 transcript:OGLUM05G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASSVLRFCLLVTALMTLCEMGAEAITRQYLFDVQTTSVTRLCSTKSIVTVNGQYPGPTLFAREGDHVEVTVVNHSPYNMSIHWYVYASRFPPCLI >OGLUM05G20270.1 pep chromosome:ALNU02000000:5:22749483:22750266:-1 gene:OGLUM05G20270 transcript:OGLUM05G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTKVLVLPFGANVELVMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPINDPAKFNLYDPVERNTVGVPAGGWVAIRFHADNPGMA >OGLUM05G20280.1 pep chromosome:ALNU02000000:5:22768122:22788328:-1 gene:OGLUM05G20280 transcript:OGLUM05G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKVEEEEEERNPVASSPSVSEGSAHAAALASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIAESFSDRTEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDGLKQLPKRPPADNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLATQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSEAHSVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHETASDVLKWERRPDASKFNVVTLPKGK >OGLUM05G20280.2 pep chromosome:ALNU02000000:5:22768122:22788328:-1 gene:OGLUM05G20280 transcript:OGLUM05G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKVEEEEEERNPVASSPSVSEGSAHAAALASPTAADSIFGRRRKSGPVRRAKGGWTPEEDEKLRKAVDIYNGKNWKKIEVQCLHRWQKVLDPELIKGPWTQEEDDVIINMVKKHGPKKWSVIARWHNHLDPQIRKEAWTVEEERVLARAHCMYGNKWAEIAKLLPGRTDNSIKNHWNSSLRKKIDDYNTRDILPVHPPVVGDGLKQLPKRPPADNHFDLNKEPIICSRDRLGVVHSDPTSHQRASNLKDFKGCADYLSLGQPVTSCEASAADDSAFDLATQGMRMDSVHDKGTGNNFVCGKVQGINFLGDKGLKINQISDKMGCSRQAKREGEAAINGGGSSLQSEAHSVGSLCYQIPKMEDIAPAQSPVFTANYVPEHSRNVMHSPNGYTTPPTHGKGSDQLSVESILRSAAEKFHETASDVLKWERRPDASKFNVVTLPKGK >OGLUM05G20290.1 pep chromosome:ALNU02000000:5:22793968:22798833:1 gene:OGLUM05G20290 transcript:OGLUM05G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERKKEKEKKKKHKEKEKEKAGTHGGEAHFKPSGEVKGVRFGGQFIVKSFTVRRASPLELLRLLDIPPAYLSECQSLPFPSTTAYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESEGMKAAVDQLWPSMIPLGDVNKKLIRGLTGSEMARFKFRKGCLTIYVYAVRRLGAAGFMCADDLRRILESVVVLKDFLDHTTMLAMPSQKSITLQSRVAVAH >OGLUM05G20300.1 pep chromosome:ALNU02000000:5:22801858:22804834:1 gene:OGLUM05G20300 transcript:OGLUM05G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT5G02370) TAIR;Acc:AT5G02370] MATAAATQSQPVRVVLRVRPHLPSEANSAEAPCVGLLGSHPGGDVTVQLKDQYTSRNECYKLDAFFGQESRVCEIFDQEVSAVIPGIFEGTNATVFAYGATGSGKTYTMQGTEDLPGLIPLAVSTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAREIMVLDDKDGNLQLKGLAWVPVRSLEEFHEIYSIGVQRRKVAHTGLNDVSSRSHAVLSIRIITDVVKGKLNLIDLAGNEDNRRTCNEGIRLQESAKINQSLFALSNVISALNKKEPRIPYRESKLTRILQDSLGGNSRAVMIACLNPVEYQEAVHTVSLAARSRHVTNHMSSASKQETPKDKVDMEAKLRAWLESKGKTKSIQRMDGLLSPNAIKTPLSMSHKKQSASVRVSGRVVLPSLTPCKEDKTGSSLRKALSPISSNMDPQKQRTADDSNCLMLLEPRTPMGSCNIVGKVTGATPLDKFIALGSNLKESLIQQYLDFLNVANKEELQKLKGIGERRAEYILELREDSPRPFKSVRLLDY >OGLUM05G20310.1 pep chromosome:ALNU02000000:5:22808268:22812943:1 gene:OGLUM05G20310 transcript:OGLUM05G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGSIPLDVVPRIIARPAPGSVYRSPQLYARLRADMDTDNSTDAICNAVAIAGFLNATLVIPNFHFHSIWRDPSTFSDIYDEAHFVKRLQNDVRVVEKVPDFIMERFGHNLSNVFNFKIKAWSPIQYYKDAVLPKLIEERLIRISPFANRLSFDAPPVVQRLRCLANFEALKFSNPIATLSETLVSRMKEKSTASNGKYIAVHLRFEEDMVAFSCCVYDGGDEEKKEMNAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNNTAIFLASGKIYRAEKNMVPLLEMFPLLQTKETLASAEELAPFKMEIIETALA >OGLUM05G20320.1 pep chromosome:ALNU02000000:5:22815068:22816653:1 gene:OGLUM05G20320 transcript:OGLUM05G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFTQAGRFTLNITRANGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFSRSDSAIVAVASAPPPAALPAESPESAPTKLSSPPALRDTSGNANHTAAAAAVAAAAATTKPTIGRWCIALLYLLLLPLLLPLICMGLGEEGGEVTGEVRGEERRRVGGGSELAG >OGLUM05G20330.1 pep chromosome:ALNU02000000:5:22820989:22826601:1 gene:OGLUM05G20330 transcript:OGLUM05G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0A4] MSIYVIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGESNDEITSKFVPLIRCYQSSSVECLFPNTHVPPLGVAGRQYPHRPRHRTVGAPLLPPTLMVAAARRSYCPLSCTSIATTSSSARPWMNYSTGLPTASTPDVNYYLTPEHPACYDNMWSALRWAVATATESAKCRPVAPQPCGGWVIVLGYNADSGMLDSYFISAKEDDNKAKTAWLRGKLEERISPPLHFSQVRKKGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >OGLUM05G20330.2 pep chromosome:ALNU02000000:5:22820989:22826601:1 gene:OGLUM05G20330 transcript:OGLUM05G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0A4] MSIYVIRLIWAFSCLVLAGSYPGKPSQLGNSNPAAYYYTSDGKQWLVLMGMTSSQSVPTDQYHCYYCVPVNKKSSAKGYCWSVAGESNDEITSKFVPLIRCYQSSSVECLFPNTHVPPLGVAGRQYPHRPRHRTVGAPLLPPTLMVAAARRSYCPLSCTSIATTSSSARPWMNYSTGLPTASTPDVNYYLTPEHPACYDNMWSALRWAVATATESAKCRPVAPQPCGGWVIVLGYNADSGMLDSYFISAKEDDNKAKTAWLRGKLEERIGSPMAPSQPKSGLFVGINKGHVVTKRELPPRPSDRKGKSTKRVTFVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMAGVLRKMRSGGGHAHTEKKK >OGLUM05G20340.1 pep chromosome:ALNU02000000:5:22827465:22830205:-1 gene:OGLUM05G20340 transcript:OGLUM05G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVPGPGDKPMIVVQYKGEEKQFAAEEISSMVLIKMREIAEAYLGSSIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIASKLAADDKKRIEDAIDGAISWLDTNQLAEADEFEDKMKELEGICNPIIAKMYQGPGADMAGGMDEDAPADGSGAGPKIEEVD >OGLUM05G20350.1 pep chromosome:ALNU02000000:5:22837460:22842267:1 gene:OGLUM05G20350 transcript:OGLUM05G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDDFKKKVRRIVRKSQEML >OGLUM05G20360.1 pep chromosome:ALNU02000000:5:22846518:22846949:1 gene:OGLUM05G20360 transcript:OGLUM05G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0A8] MAPKAEKKPAEKKPAEEKVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OGLUM05G20370.1 pep chromosome:ALNU02000000:5:22847117:22850328:-1 gene:OGLUM05G20370 transcript:OGLUM05G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain;GTP cyclohydrolase II [Source:Projected from Arabidopsis thaliana (AT5G59750) TAIR;Acc:AT5G59750] MDRVLLSSQLSSQTVVNTRVQQGSGGINSIGFAVIRKGSLKLRCYAIGGLGGGENLNDPLKESNNGPVLQGFNGSSASFRTVGAKITQETGDFFVSDAEGDPDKPTDGFSSIDEAIGALREGKFVIAVDDESGDNEGDLVMAATLADPESIAFMIRNGSGILSVGMKEEDLTRLMIPMMSPIAEIEDISAAASTVTVDARVGISTGVSAADRAKTIFTLASPDSKPTDLRRPGHIFPLKYRNGGVLKRAGHTEASVDLVALAGLRPVSVLSTIINPVDGSMAGMPVLKQMALEHDIPIVSIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVAKGDIGDGEDVLVRVHSECLTGDILGSARCDCGNQLDLAMQLIDKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDDGHDTVQANVELGLAVDSREYGIGAQILRDMGVRTMRLMTNNPAKFVGLKGYGLAVVGRVPVISPITKENQRYLETKRTKMGHVYGSDLPGNVPEEFLNPDDIAGDQDEDDTHN >OGLUM05G20380.1 pep chromosome:ALNU02000000:5:22851723:22853794:-1 gene:OGLUM05G20380 transcript:OGLUM05G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRKLGRHAAHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEQDVPQPLFGVTMLFTSYSQSSPTATKIELVDIQDYCGLGYGLVMLHQWHTLSLSTGRMNFERQNLQHHSHLSGSLLIHGPSHSPANNGQVLKSARTPEQKAYDKPERSLALFYVVERFF >OGLUM05G20390.1 pep chromosome:ALNU02000000:5:22854701:22857429:-1 gene:OGLUM05G20390 transcript:OGLUM05G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKRRSKLGKTGGAKKRA >OGLUM05G20390.2 pep chromosome:ALNU02000000:5:22854701:22857429:-1 gene:OGLUM05G20390 transcript:OGLUM05G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA [Source:Projected from Arabidopsis thaliana (AT5G59770) TAIR;Acc:AT5G59770] MARPSQLYLLSYNSVQALGWFVALLRLLPCLAPPSSVHSSYAVAGDLTWAILETIHAAIGLVHTSPLLAFLQWGGRTHFVLAVVRQIPEVGRTANFCFVQSSPSVFITFMAWSISEVIRYSHYALTTLKVCPPWLTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKERKLYSGFFGKFSMSYHSFLVAVLAVYPFLWMKLYLHVFKRRSKLGKTGGAKKRA >OGLUM05G20400.1 pep chromosome:ALNU02000000:5:22859943:22860467:-1 gene:OGLUM05G20400 transcript:OGLUM05G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQRAVGEGSGGLRPTTHVAGGGGSARGEYFRCKTCSKTFTSFQALGGHRTGHTRMAARQRQEHGAAGAAVVGATNNQRRVVSAHQWHLCAVCGVEFRMGQALGGHMRRHRGEAAAATPPAAAAAASAGAVSSVEPPEMLDLNSPPAVEEAGEGDQEVERAEQEPRLLNLLV >OGLUM05G20410.1 pep chromosome:ALNU02000000:5:22863352:22865713:-1 gene:OGLUM05G20410 transcript:OGLUM05G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCPSVKNILLLDSEGKRVAVKYYTDDWPTLSAKLAFEKSVFVKTQKATAGAEAEIVMFDGHIVLYKFIQDLHFFVTGGEEENELILASVLQGFTDAVDIILRNNVDKRTALENLDLILLCLDEIVDGGIVLETEGSVIAEKVSAHGIEGATSLAEQTIVQALTTAREHLTKSLLM >OGLUM05G20420.1 pep chromosome:ALNU02000000:5:22870943:22873752:-1 gene:OGLUM05G20420 transcript:OGLUM05G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >OGLUM05G20420.2 pep chromosome:ALNU02000000:5:22870941:22873562:-1 gene:OGLUM05G20420 transcript:OGLUM05G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >OGLUM05G20420.3 pep chromosome:ALNU02000000:5:22870943:22873478:-1 gene:OGLUM05G20420 transcript:OGLUM05G20420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKTIKINNKTDQGADKPAASGSACCGS >OGLUM05G20430.1 pep chromosome:ALNU02000000:5:22878787:22879354:-1 gene:OGLUM05G20430 transcript:OGLUM05G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0B8] MEVGAKVPKKAGAGGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTGSAAAKEAKEGKTPKSPKKATTKSPKKAAAA >OGLUM05G20440.1 pep chromosome:ALNU02000000:5:22883186:22883617:1 gene:OGLUM05G20440 transcript:OGLUM05G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTEAKGDTVERQQQLLAGLAGDGGFAGDGGEGGRGEEAAAAAGEPRRRRRRRGTRWRGSSSSWRDGAQAAAAVRPPRRPHLCLRLVNVVRRRQAGAAAGGSVAPRTRAPKCPRRQRQWAHPAALLAIPPLSTTASEGEG >OGLUM05G20450.1 pep chromosome:ALNU02000000:5:22893546:22893830:1 gene:OGLUM05G20450 transcript:OGLUM05G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRPGRHQRRASQSVFVLPDNFADLDDVPAGAGGAGEDGRKGAAATADAAGGQQQARPSPAARHRRAMSVAVASSRELEMIKEDMGSYKIGA >OGLUM05G20460.1 pep chromosome:ALNU02000000:5:22898718:22901595:1 gene:OGLUM05G20460 transcript:OGLUM05G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVAAGTGRGGGEGEELLPNAVEGDGGCGGGGTCAGDRPWRLNFDGLRRPEAHQEKPPRRFHDRLGGLGIWIFQFKALEMMLQNITSNSRNCWKEECEKVARSEALAIRLSNIANMVFFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSKKTSNPYRYPIGKRRMQPLGILVFASVMATLGLQIILESTRSLFYDVSLFFSLLTIL >OGLUM05G20470.1 pep chromosome:ALNU02000000:5:22906870:22907571:-1 gene:OGLUM05G20470 transcript:OGLUM05G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSSSASYIRMVHHLIEKCICFNLNKEECMEALEKHANINPVVTSTVWKELEKENREFFETYNKDRAERNIEAETMQRIQKMLSDAAASKGSDDDDDES >OGLUM05G20480.1 pep chromosome:ALNU02000000:5:22919674:22920816:-1 gene:OGLUM05G20480 transcript:OGLUM05G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHQLGQQEAMQEEDYIDMDLTSAAAATAPGEFEFDFHMSGPLGGGGARWEQEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRVVVGGAGRRQLAISTAPATPYDSCTASPANSCYASGELNVEKYFQEYAARLADAAAAACEKKPWSRKLRFMRQLNLGLKLKASKAYIKTIFAAKPASSGGDDDKDAILGATRETKELSHGGHHHHHHHRAWRRNPFGQMRSNRCIASSQSGGGGGAAAGGGRGSVGGGKHKERDHGGHRRSFSSVIVRYSTSNKTSPAPQSSSCSSSSSVRTSSESDGGAAAPALRRSSSASSEVENPIQGLIAYCKRSQQLASVRKSASDAGFRFLSSAASKIAAAESDGPEELVEICRG >OGLUM05G20490.1 pep chromosome:ALNU02000000:5:22928267:22934582:-1 gene:OGLUM05G20490 transcript:OGLUM05G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipin family protein [Source:Projected from Arabidopsis thaliana (AT3G09560) TAIR;Acc:AT3G09560] MNVVGRVGSLISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRSTPWYVRFGKFQGVLKGAEKVVTITVNGVDASFHMQLDNSGQAYFMRELVPGSENSGTSSEEEEASCEPEPPARSKSDGDLYIGAKGRPGSPESNVERQEKQAIEEFDSYGYSRMEVAEDSAKQPDGSNSEMVLVSVDGHVLTAPISSTEENVEDVQLSEPQFHLGPGESSSGDFSRSGEVWEAGIVGDLYMPQEKVKFDSGNQQEALEELGDVPIETDKSHHVPVTGDEVLHTSVYEDVTSALTNEVKSLVVPELGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYQPLATEELGDVPIETDRSHHVPVTGDEVLHTSVYEDVPSALTNEVKSLVVPELGNNDEDYQALINVDEVHDISENKNEGCRPPSSQDEVCDVSKTDDEGYRPLATEDEARDVSKNNDEGYQPLATENEACDVPLLENDEACRVPANVDKVCDVSNEDTELEIASPSFGKYDTFKSCLDLSSQIDDGDSGTEPFSPEFDHQQGFEFSLSNRSVVDIDLEEDISKTTHCDRNDPLQYLEEDNKTEGKDSSAHCRKVSDMSIVGGSHGKTKETASSENEANRPDGLHSTIGTSDKDKLGSIPENSAAEEELNKEEHPKLQKGLGFEISLCGHMLQPGMGQASAEEVFQQHLVLEEDFKLSGSSIMKNVNLVVKFDSQYFLWSKISHIILGKAVFGPNFCTEHIDAIPVEHQETPTSRDSLGLSPSSRRWRLWNIPFRISRSLQRSNSDSSEDIFLDTETVLSPMDEQTPENNINQSPRKQFVRTLIPTSEQVASLNLKEGQNIVTFSFSTRVLGKQQVEAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSQSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKVLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAINSSVDVKSYTSLHTLVHDMFPPTTLVEQEDYNSWNYWKMPLPDVDL >OGLUM05G20500.1 pep chromosome:ALNU02000000:5:22934633:22935108:-1 gene:OGLUM05G20500 transcript:OGLUM05G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCLLASLLLLLPPLSPSSLPPLSLLCFPRSAEPEALRRRRGRHGVDGLPHLPSPPRPSLLTPSRPPRARARPTSRWSASVSTRIEFEFVWREIGGSR >OGLUM05G20510.1 pep chromosome:ALNU02000000:5:22942167:22943543:1 gene:OGLUM05G20510 transcript:OGLUM05G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRLLLLAIAVVAVSLAPRAAAEIRTEAFREDPRPTILFEKFGFSKTGAVRIVITGAGISSTFARPDPKQLGFFLLSDESMFQAIYEAQARRPPERREEVAGGGADEPDVSRCILTSPYVKTLFTFHDLKRGHYNKTFPVTHPDEYSLYFANCAPESLVTMRVRTEMYNGNADGSVDYLPVGQAPVPAIYGFFAACYAAFLAAWGYLTLSSRDHRAAAHQIHHLMSGLLAARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVVLFAVIVLVGTGWSFLRPVLQDREKKVLMMVIPLQVMANIASAVIGETGPFLQGWVTWNQILLFVDVACCCAVLFPVVWSMRSLRETSKTDGKAARTLSKLTLFRQFYIVVIGYLYFTRIVVYALKTIASYQFRWVSVLAEEVATLAFYLFMFYTFRPAERSRYFSFDEDEEEAAEMVLREEEFEL >OGLUM05G20520.1 pep chromosome:ALNU02000000:5:22949185:22956684:-1 gene:OGLUM05G20520 transcript:OGLUM05G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSLSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSYSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKATCQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVVTIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANVHAQRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLASLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >OGLUM05G20520.2 pep chromosome:ALNU02000000:5:22949185:22956684:-1 gene:OGLUM05G20520 transcript:OGLUM05G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHGAGVVAAGSDAAPAEVSIVGQTNPSTYPPLALGHHSWSSSTGAATVPWSYPVNNQSQDTVYYDPQRDVSVSGDNQSVASSAPHNAQPTMGTENATHSHMPYSSSLQHGYTAAEYANYYYSYPQTTNGSSVQQGGTNQHSGAAHQPLTSFQNSESYVGPTSNTYYNAGGHQTAPGYGTSNSYYQNSTWNGGSFENNYAQSYQNYPSSNTNTVQHSISVPTNSLSYQQQYNQWPYYYNHTVPNPAGDPVGNSNSIVNTTSSYSYPSIQPPPPGTTSWKSNSSYSIAPPIQASGGPGPQDQYINQAHAPVLENQYAGQVAGNPRSQNHYASQTPACPQSTVNLNPVQQSNHGDQQNTVFIATENSSENKMQVPRIAPGFSMVIPKSEKKILGADLSKKPAYVSVSMVKNDARSLPFSLHNYATRNLNRCKDEAQKATCQSMIEEIKNSAIADGTLLTKNWDTEPLLPLVQNVVTIPETSANNSSPSLSTSTNRRRQKSRWEPVVEEKVTDKVEPVKGLVNGNTHNNLEAKNRMSNNWDSRKFFQSHHATANKVSQRPAKKQKISSYSDQMQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFEKNQNSSSKSRNSAASKDVMANVHAQRAVSALLARSCEDGTTLAVEDMDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEHVLEKALSMVETSQKNYLYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFSAYNLLCVMLHSNNKRDLLSSLASLSKQAKQDEAVKHALAVHSAVSSGNYVLFFKLYKQAPNLNSCLMDLYVERMRFEAVKCMSKSYRPTIPVGYVAQILGFSRIDSEASEECEMWLKAHGAILSIDNSRDLQLDTKASTTTLYMPEPENAVAHGDASLAVNDFLART >OGLUM05G20530.1 pep chromosome:ALNU02000000:5:22967060:22967851:-1 gene:OGLUM05G20530 transcript:OGLUM05G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0C9] MGSWVAISGRGTSGARRHRIVFRGYIQGIAKPVIRRLARKGGVKRISGLIYKETRGVLEIFLKNVIRDAITYTEHAHRKTVMAMDVVYALKLQGRTIYDFGG >OGLUM05G20540.1 pep chromosome:ALNU02000000:5:22968537:22970370:-1 gene:OGLUM05G20540 transcript:OGLUM05G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCFGGGKKKSLSADTGRFDDADAAPASQMTPPAPAAAPMTPPRPDQAPKPSEDASAGLAIAGQAFAFRELAAATDHFTPYNLIGEGGFFRVYKGQLEKTGQTVVIKQLDRHGFQGNNEFLDEVSKLSRLHHDNLVDIIGYCADGDQRLLVYEFMSAGNLEEHLFDLPADKKPMDWCTRMKVAYGAAQGLEYLHEKASPPVVYGDFKASNVLLDDALTPKLSDFGLAQLGQVGGNAPAPMMGSFGCCAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAIDPDKPTEEQNVVAWAMPMFKDQKRYHELVDPLIKSEYAAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSLPPDPPAASVPAPAPSASPAPKSDHSDSSSSSSSDDDDDDNDNEEEEGEEEEEEDAEEQ >OGLUM05G20550.1 pep chromosome:ALNU02000000:5:22975569:22975883:-1 gene:OGLUM05G20550 transcript:OGLUM05G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM05G20560.1 pep chromosome:ALNU02000000:5:23007837:23010304:-1 gene:OGLUM05G20560 transcript:OGLUM05G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGKWFDAVQRALSTSENDRHENEKKGKRSTLKKILQFSKSSASTSSPPVTSPSARQQPHHHHPPPPQAAPPDRQRDDGIKEAKSSAAAAQKTATATAVTRPTTTAPRAPARSAEELAAVKIQKACRVYLGRRSQRARGLDRLMLLLEGLAVKRQTYEALYYMQTMTRVQTQIHSRRVKTEEDKKALKSQVHVKQSLDRIKIGESWDHGHQSKEQIETVLTMKQEAALRRQRALAYAFSHQETFICASRAASNVHGHRQPQLGMELGGAMDGGGEAVGEPDHAGEQRPCSRGGERRRQREAGAHVGADTHVDAGVGQVHPPAELPVAVDTHAAVAVEDVGGAAVEPGRQPVPEVGDGDDRPAPHDEPAAGAAAELRAARGGERQPGPRREGRRRPPLAAAHDEPAVRGAAEEAEPRRRRRRPGERRRGAADAELHADDQVREGQGGSAGGDRGPRHRREDGARSPSGDLTVGDQQAPFPGLRGQAEQRPVAEQGEGREVDAATLAASEPKVLISQQPNVSPVAVMLRSGWLVA >OGLUM05G20570.1 pep chromosome:ALNU02000000:5:23010615:23020589:1 gene:OGLUM05G20570 transcript:OGLUM05G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPRATVWARGPWAQPARPLGPFAIVCLSDHDQSSPRTRRSPVHHARAHTETEAAKTLAPSSTSSSSRAADGDELALPQGSRLGFSSHSIASGGSDWEVFRCFFGAKFCPPYWCFAGDATLRLRSAPVAVDRGGMELEGDPRLVGVALGEYLTDGEEVMVVGGAGEVAVAARVVKVDGGKVVVAMDEDAAAGGGVGVGVVSDLLYATGSAAVGKGYGGECTDNMQELLDGGGAEERMLGVELGGFRGEAGWTLMPVGDAAIETSEEMSDPVTLQYSETGLTGDDQRAKYRLPPLDGYGFRASGLVWSKLKGHPWWPGEIFDTSDASELALKHQKKKGSHLVAYFGSNTFAWCDESQLKPFMSNYSQMANQSNSDAFISSVNLALEEISRRILSGMCCFCLPEDLSDNCMSYMVENSGLRDGVTCSKVTRSEILECFNAENFLSYLKSLALFPGQGGELLDLVIACSQLTSFFQSKGCHELASFGSGSELVDDGMDSSSTKNVLLPEAVTYEQKPSEGKPKRRRIKTCVKKPQNALELTEENPISSLNNECTFVDCMGLNIIGKVKGKRSEKRRKYVPSPEVHTTDHGQDDSWSGFCLNNDPTDTLGEASAKMRPRRKQRSSKETCAPSSDLSSHVPPLQLGLLGPKKQIQLIERSIIHADEQRIDEIMPSALVLSFGRSAALPSKLDLIRLFSRYGPLKENETEVHQNTNTVKVAFKRRFDAANAFSVVGKYSYFGPSLCSFRLVNLPFSLSKLSPEDPGTEVPDSFAGGGGGENGGGVVAMSSTHVAVAAPDPGGDGGLGEARLVDADAAAAAAAPAAGDAEGSLGGGGGGSSAPAAAGDADVTMTVAVEEEVEVEVAGDAEAEAAVVAGDAAGDPLYGTESAGMVVDEPVDAAEGGVDGGDGGEEGLEAEARVLQGEAAMEPVPAGGDVAIASEVAAEAHDSATPEHAEAESNDLEENHVDRGKDNGVAVAHCDDEMQNNVEGSSEIQEDDGAPTLEQQDDESEMPLPSSMSNFELCARYSLPPLDKGEFRVSDLVWGKVKSHPWWPGEIYDPSDASELALKHQKKGSHLIAYFGDNTFAWCDESQLKPFVSNYSQMEKQSSSDAFVSSVNYALEELSRRILSGMSCSCLPEELSDNGMSYMVENAGLKDGVTCSAVNRSEILSCCSPENLLNYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGSAWVENGVDPSSIKDDVADEVVTNEEPPANDKPKRGRGRPRKQKPEDGLELTEKKSTSNLSTDNAYDHPAERQMDMEFDEFDGLQSKKKRSLDSFEDPETKAAAPSFGSSFKIGECIRRAASQLTGSSSIVKSQNEQVPHKNIAETENGDFDVSSDDAINELSVEKRAKRRRMHRHHSADPKELLSQLCSVAVEPTHGYSFSAMVINYFSDYRNYVVSTTTEANIVEKTTAKKGRKRKVMPSPEVETTDHMQDSYWSGLSLHNHPIHDLRRASTSTRPRRRRRSLRETYFHAQQNLQHGLLSPKKQIQVIERSIIHVDEKMVDEVKPTALVLSFGRSSALPSETDLVKMFGRYGPLKESEIEVHASSNTVKVVFKKRADAERAFSFAGKFSTFGPSLRSYRLVNMPFFLSSQTNNTEAHSEYHGLEIPGPSESKVPLDAAEADQVDKTDEKVEDKGTAEVLARETGDSITAPGALDEKTEKEATAEALADKTTEGEITAEVQVEETTTTEKIVEDKELAEETTEGEITAEVQVAETTSTEKIVEDKELAEETTEGETTAEVHIEETSTTERTVEDKELAEETTEGEATAEVHIEETTTTEKTVEDKVVPEETMEGEATAEVLEECTAIEKIVEDNTIAEEITEGETVAEVHVEVATAIDKAVEENTLAEETAKGETTPEVHVEETTTAEESVGDKAVDETTKGETTAEVYAEESTEKTVEDTTVEALDEKTKTANGPVEDATVEEPDKKTAANDPVEDATVEEPDKKTATNDPAEDATVEEPDKKTAANDHVEDATPEEPDKNTTTNDHVEDVTVEEPDKKTAANDPVVEAIPEETDKNTTTNDPVEDVTIEEPDMKTEANDPVEEATVEEPAVEAGTIEEIATAEARDEKTMITEETAQDPMVEDEKTMTTEETTQEPMVEDEKTVTTEETVQDPMVVDDKTMTTEKTLQDSMVEEGGTNIAAAEETVEHAAATAETLAGQASSTEQTG >OGLUM05G20580.1 pep chromosome:ALNU02000000:5:23022971:23025198:-1 gene:OGLUM05G20580 transcript:OGLUM05G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEEAVQVPKGWANKQHTIKEWVICNLRIFLLAM >OGLUM05G20590.1 pep chromosome:ALNU02000000:5:23028138:23036408:-1 gene:OGLUM05G20590 transcript:OGLUM05G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSVRAITYLCDAMPRAADAVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISRRQPTPCLQAGMITAVLTYIDFFSASIQRVAVSAAANACKKVPKDCSQFVMDSVPVLCNLLQSEDKMVVEKVASCLINIVDSFSSSVELLDMFCHQGVIEKVLPLINTGGLTSLSPSTCSNLIGLLAKLACNSLVAVKSLFELNVGNTISRILVTSDLSHGMPYLPLENQSNQVNEALKLANQLIPSAARDVEDTQMVLAKEKIIVDEPRFLCQFSMEILPVLIKAVKSGANSYICYGCASIVKNICYFSKPEMLQDFLAGLLSRKDHHVLFSSLKLIEILMQKLPDAYLGSFIKEGVVYAVEALLMQEDCSKSTNLSDETQQSENQPIIRNKPTCFCYAFDYPRSDAAETRTCMIGKGNLFTFARHVKTTYFTTEAVNSEMGLTEILQKLKTCCAVLNDSADKSLNRDNIQNEEHLTNILSEVMMELHGGETMTTFEFLESGLIKSLSNYLSNGKYLQLERIPNDYNTEHFLAVLKRFQSFTQISFSRMEQGWGDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRNNISDIPTRHSTITPCIRVRFKKDEDQTNLSSYDNVVNLEISSLLHTIEEFLWPKICTGTSNQKPESSANGTASENKYPEDDLQERYSSPESSPPPEGVIIGNQSPSVEPGSNKGPSSSGAGQQETNTSDHAAQPKLLFSLKGKELDQSVTLYQSILQDQINAGSDIILDNQFWRIVHDVTYRTATNPEIDDPLKYSSCATTPAHPDKAGYICQTLPFFTSLLLGKLPCKLDRSSPSYDILFMLKVLEGLNRYSFHLVSDERNRAFVHGSITDLDDLKVDVSVVPQQEFVSAKLTDKLEQQMHDPLVLRSRCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLTPQHGNMMDTSGSGVMTERVPSFSRKKFKVDRDNILVSAAKVMQSHARSNAMLEVEYEEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELSGKAGLDNVHGGSVFVVAPNGLFPKPWSTHVDCSSFSEVNKQFHLLGQVVAKAVKDNRILDIPFSKAFYRLILGQELNIYDIHSFDPELAMTLMEFKALAARRKYLESSSSGDCKSTSDLSYRGCRIEDLAIEFALPGYPEYVLSLENSLDNVSADNLEQYVSFVVDATIRSGIARQLEAFKSGFNEVFPLSMLQVFSEDELERLLCGEQDTWDFAKLVDHIKFDHGYTSSSPPVINLLEVIQEFEGHQRRAFLQFITGSPRLPPGGLAALNPKLTVVRKHNSNEADDDLPSVMTCANYLKLPPYSSKDKMREKLLYAITEGQGSFHLS >OGLUM05G20600.1 pep chromosome:ALNU02000000:5:23040762:23049790:1 gene:OGLUM05G20600 transcript:OGLUM05G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 8 [Source:Projected from Arabidopsis thaliana (AT3G09660) TAIR;Acc:AT3G09660] MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRNISVVAFLDNVYDFSGWTLQSLIEMFWKSQLKIQELASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNASTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFSMNEMYSLADRISLQVANLDAIVESLNNAGYITKKGSSMYQVVTSSYQGSQATWSRR >OGLUM05G20600.2 pep chromosome:ALNU02000000:5:23040762:23049299:1 gene:OGLUM05G20600 transcript:OGLUM05G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 8 [Source:Projected from Arabidopsis thaliana (AT3G09660) TAIR;Acc:AT3G09660] MYDDGPRKGKPGGLSMDAATAAGLAAVWPEYFPEESEFAADGRSARLAADLVDLFSSPDASDLLSRNISVVAFLDNVYDFSGWTLQSLIEMFWKSQLKIQELASGESHEEGRVPRTIECELTEDLVDCCIPGETVTVTGIVKVLNNYMDVGGGKSKSRNQGLYYLYLEAISVRNSKVHAASGNSDAASGSFGFQAFTEKDLEFISKFKEEHGADVFRQILHSFCPSIYGHELVKAGITLALFGGVQKHSIDQNKVPVRGDIHAVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTNAGLTVAVVKDSMSNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHSNDGGPFTANKRIRTVPQFNASTEFGVGRTSLASRLRLHPEKDKDFCPLPGPLLRKYISYARSHVNPRISMPSPAADSLQKFYLDLRKQSDSADGTPITARQLESLVRLAEARARVDLREEVTLEDAKEVIDIMTESLYDKCVDEHGVVDFARSGGMSNQKQSKKFLRALNEQCDLQKKDCFSMNEMYSLADRISLQVANLDAIVESLNNAGYITKKGSSMYQVVTSSYQGSQATWSRR >OGLUM05G20610.1 pep chromosome:ALNU02000000:5:23059379:23059603:-1 gene:OGLUM05G20610 transcript:OGLUM05G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSYYGHYLSGGGANMAKEKRPPLKRGQVKRRIVRSISNLMANNAAADDDSNSAQAADHRNSFIRREASYNNN >OGLUM05G20620.1 pep chromosome:ALNU02000000:5:23066470:23079870:1 gene:OGLUM05G20620 transcript:OGLUM05G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGEVWIVRAHLSEAGGRRVERRWAGGGWSGDDSVVLTYHAWPSSEPAAICLPPPGTMRLLKENKLTALRA >OGLUM05G20630.1 pep chromosome:ALNU02000000:5:23079990:23080232:1 gene:OGLUM05G20630 transcript:OGLUM05G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGYGCYSYYQQYKSSGSFISGKEKRPPLKRGQLKRQIVRTLSNLMAPATRSSGDAAAAADSKKKAADRSSFRREASYN >OGLUM05G20640.1 pep chromosome:ALNU02000000:5:23086838:23093310:-1 gene:OGLUM05G20640 transcript:OGLUM05G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKNFLERQSEPSGQEEKGEAAGRVVDSSGIGGSLLLREKIVQGPHKIEIWKPIRPSLGNIEQIMSVRVEEKQSPASGNQDTKDVIHPVKVQEGKLSEDSDDEFYDVDKVETSQEMHSSDSANTGIDNRGQEENFPSMEELECLVHGGLPMALRGELWQAFVGIRARRVKGYYDSLLVAEGELEDTSGSRPSTSDVAGENTEVSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLLAYARHNPAVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLGSQLIANMIYWCANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTARMGYQSVDETGLQELRNKHRPSVLSSMEERAKGLGALDTNGLASKLYNFKHDPEPLVSISDSQDQMSDVGDGDANQSDSGNMDDMYGGLTVSSEIEALPDPKDQISWLKFELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQSEQELSELRQTLLDKQEQEQAMCQVLLRVEQELKIAEEARISAEQDAAAQRYAVNVLQEKYEEAMASLAKMENRAVMAETMLEATLQYQTSQQKALLSPLPSPRTSMIDASPGRANHDSSQEFQPKKINLLSPFSLSWRDKNKGKQNNVDDSAKLTDAHDQREEITNNNDEKQVETPKLDVLEESMGSPKEDNKSRVEVPKEDSDLASVQVVANDMNGQHEQLQEIKLD >OGLUM05G20650.1 pep chromosome:ALNU02000000:5:23101154:23102018:-1 gene:OGLUM05G20650 transcript:OGLUM05G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSQSAQLGMATAFFGALSFLLAILGELNKPPHGTPIRGRGVVVCKFPADPTVALGALSAVAAACGAGVGALAVFFPYNGKPVPRKALFDYTLLYVFFHLAIGITVAGIATTAWVTSSEAMHRVRNVHGGDPEYACPTAKTGVLGGAAFLNLDASLFWLLCLMLAGNVKEEYFDDGGGGGEVGDGVAGLEEK >OGLUM05G20660.1 pep chromosome:ALNU02000000:5:23106521:23112022:1 gene:OGLUM05G20660 transcript:OGLUM05G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGCTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >OGLUM05G20660.2 pep chromosome:ALNU02000000:5:23106521:23112022:1 gene:OGLUM05G20660 transcript:OGLUM05G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYVDVPLGGGGQSTLPPVAPLKKQPSRLASGMKRLASMVPDTMKLKRTHSSAQPALRGLRFLDKTSAGKDGWKNVEKRFDEMSADGRLPQESFAKCIGMADSKEFASEVFVALARRRSIKPEDGITKEQLKEFWEELTDQNFDSRLRIFFDMCDKNGDGQLTEDEVKEVIVLSAAANKLAKLKSHAATYASLIMEELDPDHRGYIEVPRHEIISLFFDKQQHTDMLVSMQIWQLETLLRGMVTAQGPPEKVKLASASLARTMVPSSHRSPMQRRFNKTVDFIHENWKRIWVLSLWAILNIALFMYKFVQYSRRDAFQVMGYCVCIAKGAAETLKLNMAVILLPVCRNTLTRLRSTALSKVVPFDDNINFHKVIALTIAIGAATHTLAHVTCDFPRLVSCPRDKFEATLGPYFNYVQPTYSSLVASTPGWTGILMILIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVIAYILLVLHSYFIFLTKQWYNRTTWMFLAVPVLFYSCERTIRRVRESSYGVTVIKAAIYPGNVLSIHMNKPSSFKYKSGMHPFSITSAPGDDYLSVHIRTLGDWTTELRNLFGKACEAQVSSKKATLARLETTIIADGLKEETCFPKVFIDGPFGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNGDVQSTHDAELGCTFKSNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHDNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANAHQNSRIGVFYCGSPTLTKMLRDLSLEFSQTTTTRFHFHKENF >OGLUM05G20670.1 pep chromosome:ALNU02000000:5:23110707:23119418:-1 gene:OGLUM05G20670 transcript:OGLUM05G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLSLMAAVQTSFSPFASAAGGRPLLSTAGEGGGIKEYPYSSKYFVRHPFPSAAKAPISARSTPRPSPRCRRAAADPEPTARPAAGTRSLNRGRCLAPMIFWCVAALHVFLISRNVHFLRHRQPRRRLRPHRSIDFSRLAHQLHRLFQEDRSGKNLAARDDIHTIFGMLKRSFSMLMKGVAPIPSPISKRMSYFL >OGLUM05G20680.1 pep chromosome:ALNU02000000:5:23118350:23118610:1 gene:OGLUM05G20680 transcript:OGLUM05G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGRRRRLGCRWRRKWTLRLMRNTWRAATHQKIMGARQRPRFNDRVPAAGRAVGSGSAAARRQRGDGRGVERAEIGALAADGNG >OGLUM05G20690.1 pep chromosome:ALNU02000000:5:23120226:23123613:1 gene:OGLUM05G20690 transcript:OGLUM05G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNRFAGFRGPRRPDRPAARGTASPCFSVAAAPLRRSWRRWPPRGGAGGGRRRRNAGEKRAAEPSIEAPPRSSKYYALCVAGGGRTWLRPWKAPGVDVCCANPGGRILLRRAGAPRAAGSRLVGKSRMFRAASVRLP >OGLUM05G20700.1 pep chromosome:ALNU02000000:5:23125376:23126554:-1 gene:OGLUM05G20700 transcript:OGLUM05G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDSSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSYYSSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQAMNVPPSPPPPPPFSGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKITYACRKTLADSRPRVQGRFARNAETEADAVAGLDTEVYGNGYGYCAYSGLTNSTSSNCYDNQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGDDEDYELWASIADMCSGT >OGLUM05G20700.2 pep chromosome:ALNU02000000:5:23125376:23126554:-1 gene:OGLUM05G20700 transcript:OGLUM05G20700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFHPSSSSSPPTYSDSSMHHALSFSSALPTAPTEIPGSGGGFVDDKGSMFSLPNVAGSAPPPSYYSSLPSFYIHRSTSSHSLLHHRLSDLLNSNAAFSYSSAPACQLQPLPPVSSSTSSSSGDLLEFSSGTLRRVFSTGDLQAMNVPPSPPPPPPFSGDICSQEVGGPFSQKVGRYSAEERKERIERYRVKRQQRNFHKKITVLTYKTAFPFPLQAIDHGSYCSSSSSSSPGRFQYACRKTLADSRPRVQGRFARNAETEADAVAGLDTEVYGNGYGYCAYSGLTNSTSSNCYDNQSQSQWWGTPAGAANWQHQQQKQQLGFDVAVDGDDEDYELWASIADMCSGT >OGLUM05G20710.1 pep chromosome:ALNU02000000:5:23129575:23132305:-1 gene:OGLUM05G20710 transcript:OGLUM05G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDTTLHEMEDRVKAMLNLIGADGDSFGKKAELYFKSRPELINHVEEMFRSYQALADRYDRISSELHKANHTIATAFPDQIQFSMQDADGEGFQKAISGIDLSNFKFPALEGLPMGSRGASRGTSPVPKRTQMHRRITSHMNKENAQEEIDKLQKQILVLQTEKEFLKTSYDSALGRYLDIEKQVVELQDEVCSLQDAFSTGAAIEDNEARALMAARAIVSCEDTLVNLQDQQRRSSEEARTEFKRFIEAKKKLDIFKAECGQPHTQNDEPDNSDKEYIHAMPSGDVDDSVQNEIRFDLQEVCQKVKELIELHPGVSVTDLADKVDRLVEKVIDLELATTSQNAQINRMKTEIDDLHKRLQALEEEKSALVADSSKLVDRLKQVEEVLQAVQHLGNSIQNGTQNIHKEMNAACSELAEFVEKLHEPEPQNSGFMNSSQESSCQEEDSEVTSQYAKKQTSDSIDGSKNEVEKQDKGSEGPLVQQHPDTNGSDGEDKIVLEGYASVLQSYRGTEQKLSEIEKTNQEYHSRSMSELKDLKSANAMKDEEIHSLRRMLSSLQRKMNAPAPENVDKSEETSKISTTPVTEDKEIAEIEEYMKQCQVEEQLASSISEEKFRAEIDRVLENNLGFWLRFSTSYHQIRNFQTSFDKLKTEMDKLIDAQAQCGADGVPISYQVAKLESAVLEKKFRDLNTDLQVWIEKNVLLKGELENRFSSLCGIQEEISKIATLDNSDEVHFTPFQAAKLQGEVLNMKQENNKVAKELEAGLDHVRGLQVEVGRVLLKLRENLELSIARSNRAQQNFRNLSTKAGVPLRTFLFGTKPKKPSLFSCMGPGVHKHHSGSRAGRR >OGLUM05G20720.1 pep chromosome:ALNU02000000:5:23146613:23147755:-1 gene:OGLUM05G20720 transcript:OGLUM05G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPERSYSCSSAKEVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVISFFAIDESRFTQTDEVSCMPYFHSRRSWGLFRKRTRLICRKCGGRIGNAYEDEDSTLYDGSDDLHMSSEGYSMSSGKKYVIKINALQPSTDDSGVPFTL >OGLUM05G20730.1 pep chromosome:ALNU02000000:5:23149634:23157402:1 gene:OGLUM05G20730 transcript:OGLUM05G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEFLSPEAAAAAGVGPVRQQQHPGEAAWRAVVGWLGFLLQILLQIVRGTPSSWAHLLSFLGLRHPLLSAAPPQPSPSPSPSVAFVRLPSEAPADASSTGPPPPLRRLTVVLDLDETLVCAYESSSLPAALRAEAVEAGLHCFDMECISAEKASCIFLDIKDAEGSQRVNRVTVFERPGLHEFLQRTSEFADLILFTAGLEGYAKPLVDRIDAHNRFCHRLYRPSTVTTEYREHVKDLSCLSKDFHRIVLVDNNPYSFLLQPLNGIPCLTFSAGQPVDDQLMGVIFPLLKHLSLQNDVRPALYETFHMPEWFQRHGIPQIDQAA >OGLUM05G20740.1 pep chromosome:ALNU02000000:5:23150886:23157392:-1 gene:OGLUM05G20740 transcript:OGLUM05G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPAAEHGFARSAAPSPLREEEEVLDDDPKLPPLRMPTSFAAFPGSSSGSDSDSFLSLSSTPSGLMNPYGVWSPRAPPSETSSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSTAQWSETRELDDCGGRHQVHTKSKADAEACAEVYTCSSAPCSCCYGRRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDLMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEADALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKIAEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSMRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTEDYDLFHKNEETDQLEYLIPEKISLRRRLQCPDTKFVKFLSYLLQINPRKRPTASEALQHPWLSYAYHGYALHVKAMQSCFNSLGTKSSRKT >OGLUM05G20740.2 pep chromosome:ALNU02000000:5:23152982:23157392:-1 gene:OGLUM05G20740 transcript:OGLUM05G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGAEGAAMGFLPAAEHGFARSAAPSPLREEEEVLDDDPKLPPLRMPTSFAAFPGSSSGSDSDSFLSLSSTPSGLMNPYGVWSPRAPPSETSSSEMEFGTAREYDTTDLFFGENWLYDDHLFHTNSDGDEGNGEDKFIVGADSTAQWSETRELDDCGGRHQVHTKSKADAEACAEVYTCSSAPCSCCYGRRKNDDGLTRDSCSAVYGRYLIMDDQTEVLDECGADAFLFTRDGDLMLKSEQPIDSKGGDIELLDMSTVEKELQMLSPYLAEADALEKAELEHDFSGNGELDINIVTNEKTADDKELLKNSYSIHSLPEIGDPLDVYEMEDFGRTDTSVQNSTANKIAEDARTDIDLALSRFHEEYEVFELRIFHRKNRTGFEENKEFPIVMNSVVGGRYRITEYLGSAAFSKVVRAQDLWTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDEHHILRLYDFFYYQEHLFIVTELLRANLYEFQKYNQESGDEVYFSMRRIQAIARQCLEALVYLHHLNIVHCDLKPENILMKSYSRCEIKVIDLGSSCFLTDNLSLYVQSRSYRAPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVQIILARMIGTIGPIDMEMLALGQDTQKYFTEDYDLFHKNEETDQLEYLIPEKISLRRRLQCPDTKFVKFLSYLLQINPRKRPTASEALQHPWLSYAYQ >OGLUM05G20750.1 pep chromosome:ALNU02000000:5:23162853:23171625:1 gene:OGLUM05G20750 transcript:OGLUM05G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0F5] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVDVMEKD >OGLUM05G20760.1 pep chromosome:ALNU02000000:5:23180952:23185553:-1 gene:OGLUM05G20760 transcript:OGLUM05G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAAAREDVKTSHFPASTGGGKKKPHQARNGGGGGGGGGGGGGEKKRLSVLGEEGSEVSGGIEEKYALDRELGRGEFGVTYLCMDRCSRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPRSASIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAALLFCKFLPSKIAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHTWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKDMFKVMDTDNDGIVSYEELKSGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGYIEPEELQEALVEDGATDIMEVVKDILQEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIRLIKDGSVKLGNE >OGLUM05G20770.1 pep chromosome:ALNU02000000:5:23186394:23187037:-1 gene:OGLUM05G20770 transcript:OGLUM05G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDVVVLSHPFLRALSCCLIPQGWLPGESQVLAPLSPDGRRWRFFVASLLEDVVLASPSQSLLNDWCKHVLGVGLVLVVRAFFWAISSVFLCWYSGGRSGVSLLPVLCVGVVGV >OGLUM05G20780.1 pep chromosome:ALNU02000000:5:23205730:23209250:1 gene:OGLUM05G20780 transcript:OGLUM05G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVQLLLVGLPALLFLSDLSHIFAPPPPHLRHPHHHPPHHHPHPHPPHHHPHHPHPPHHPHPPHHPHPPHYHPHPHPDPAAEAIQANVDGAGYGTTVELQFCASCSYKGTAMTMKRMLETSFPGIHVILHNYPPPFPKRVLGKLVPILQVGAIATIMAGDHIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGDLVFSKLAEQRFPSEFELRDLINSRLPDSLVGKNVGKALS >OGLUM05G20790.1 pep chromosome:ALNU02000000:5:23210255:23213675:1 gene:OGLUM05G20790 transcript:OGLUM05G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLRRLAGAAAGRHLRRPLSTSASRPPWGMILTEAELDRSGAPSRSARASLDLVEPPGSSRLSVPAHFINPGPLPDAEGDAVGFVGWVIGGSGDGLLLLKFYDTRYHAPVVANVRLAVELQLSRTTSEGVLDPDVTHFVCNPLSGQMYRLPPAIETTKYSTGRGLLTRSESRHGPPDRYVVAELTRGESGSSVLRRFMSETEEWDDLATARSSSGSERAAAAERVMHLDHQVVAFGGRLWWVDVSWGALSVDPFSDRPEERFVELPKGSVLPDLTGIGGRRILGLYRRMGVSEGKLRYVEVSNAKKPFVVSAFSLDDEGSSWTLEHRMEITPSWKGELKVPEKPRIGAIDPLNANVVYLIFLHEVLAVDMAKGEVIGRSSPDDVNSSSVVPCILPPWLESCQIPSAATLSSKKTDVERNTLADTLVRVDRGS >OGLUM05G20800.1 pep chromosome:ALNU02000000:5:23223011:23226633:-1 gene:OGLUM05G20800 transcript:OGLUM05G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDDDELDRLLPLIKVTPFAAHRTSAPGGCGCPCAATRRMEEGQASSERTPNPPTVAQSSLDNSKKDSEKALRKRSIKMAMKIINYSEDCMRKIVELNATLPLDQHFFPACIWPRDLEDLRFSKIGFYVDYRLNDTSPTTWGCKRFAHEDKYVASTMLRINGLVFTGDFPHGRSMHVYGFVAVRDDKEPLRNYIFNVSREKACQLNLDSPSLEISPPMRGISVWDSALIEFHLKVKGSDSDSSSDDDILINACMEFDYETIERDKKLISRIDGLFGLLDMRYIFLKNGIEATINIDLGSTSEAYDILLVAFSGEDSMTLYKDRVGQHTKFTAVVIVPLDELLHIKAFGTYGSSHFDGNIAVPLAEKKSGHKNLPRACLEVTFSTMGYYNTGDVEQMTARTAILL >OGLUM05G20800.2 pep chromosome:ALNU02000000:5:23223011:23228151:-1 gene:OGLUM05G20800 transcript:OGLUM05G20800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDVDELDRLLPLIKVTPFAAHRTSAPGGCASSERTPNPPTVAQSSLDNSKKDSEKALRKRSIKMAMKIINYSEDCMRKIVELNATLPLDQHFFPACIWPRDLEDLRFSKIGFYVDYRLNDTSPTTWGCKRFAHEDKYVASTMLRINGLVFTGDFPHGRSMHVYGFVAVRDDKEPLRNYIFNVSREKACQLNLDSPSLEISPPMRGISVWDSALIEFHLKVKGSDSDSSSDDDILINACMEFDYETIERDKKLISRIDGLFGLLDMRYIFLKNGIEATINIDLGSTSEAYDILLVAFSGEDSMTLYKDRVGQHTKFTAVVIVPLDELLHIKAFGTYGSSHFDGNIAVPLAEKKSGHKNLPRACLEVTFSTMGYYNTGDVEQMTARTAILL >OGLUM05G20800.3 pep chromosome:ALNU02000000:5:23227781:23228151:-1 gene:OGLUM05G20800 transcript:OGLUM05G20800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDSRRLADLDVDELDRLLPLIKVTPFAAHRTSAPGGCGCPCAAPRRMEEAQASSERTPNPPPPPQSPLDNSKKDSEKALHSKYMILSYKKRKASK >OGLUM05G20810.1 pep chromosome:ALNU02000000:5:23239622:23256476:1 gene:OGLUM05G20810 transcript:OGLUM05G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLRHLLGLSGEVSGRLRSSHSTAASRPAWAMMADMGLVHTEQEPPEPITTATFCLASPPRVSQLVVPIRFIVSLAVPVDDGSKGEVISRGTICAANSGGLFLVRTALELVQVPAHGGNPVFIPRPKDDTWPPLPGLKSDTKVVRVVCNPLTGGELLPLPEEDPDTGDTGGTWRFVKPGFLTQADRGDGPPDRYAVAEIRGEDSIMHRFLSETGRWDATPGFSSAIPAARPAITADHPVVSFGGRMWWIDLAWGAVSVDPFAAEPDFRFVELPSGSVLPAADAISVERRRLQEAPLSRYRRVGVSEGRLRYVEVSEASPFVLSCFTLDDEGGSGWTLEHRVALGRLWSEPLQETPRIGALDPLKASVVYLMIGEDGRHVVGVDLEKGVMIGSCLLEHPTGLTPCVLPPWFETSRIPSTGTLSSKKTNAESSLKFTGRDPEIPFDGSSFFKYLNSHFGDAGRSLGFAVHLDFAVPTAATMQLRRLLALSGEVSGRLRRRSHSTFASPSRPAWAMVVSEPQEEAPELRATFRLAEPPRASQLLVPYDAIRPRGSQGPLCAAAEEATSEDGLLLLRAIVAHGACACAHATAPNLPASSRPPGQRSVARVVCNPLTGQLLRLPDIDGASSEGSPGLMGYAGLLTQADGGDGPPDRYAVAEVSCDDFVMHRFLSETGRWDAMPGFLSPLPAARPIVVDQPVVAFGGRLWWIDLAWGAVSVDPFADEPDFRFVELPRGRVLPSSNEMSFVRRRRKKVLSTHRRVGVSEGTLRYVEVSGVEQFVVRSYVLDDDGSSWTMEQSTALRAQGAPFPGMPGIACIDPVNADVVYIMVGSGLVLGVDMERGMGFGLSVLDEPAWPTPCVLPPWLESTRIPSSTETLLSKKTNVERKSLADMLLRRLLGLSGEVSGRLRRSHSTVASPSRPAWAMIDIARQDPPELHATSRIAEPPATSDGFLLRGVYENDVARVVCNPLTGQLFRLPDIGGARSEASPGGAAGLLTQADRGDGHGPPDRYAVIEVGVNGHVMHRFLSETGRWEAMPSFFSSLPFGRSTIIDHPPVAFGGRMWWIDLGWGAVSFDPFADEPDFRIVALPTGSVLPAEASGFATRRKLRLSRYRRVGVSDGRLRYVEVSASAGAEPFELNSFVLDEAGNRWTLVSSGEPLADGRQLCPDGSHIFAEAPFICCIDPLKGNVVYLMAGPGNQVVIGVDMETGLLTPCLLPPWLGSCQIPSSGKNNVKNEALAEILVRSDRAKTLSASFLSLSLSSKMLCHRGLSAVASGRLRRALTTAASRPPWALIHRISTADGSTGAGVSLALAPPPRASRVTIPAKAIALNDRPISADESRVALRGRGVLAASGDGLLLVYTFKACFRGPAHPVPELPLDVIIPELARTTVETTYEHFASFVCNPLTGELFRLPDFDGTENTVDVHHTGILTQRDGGGEDGPPKRYAAAQLSNVDGDDEGRRFLLRRYSSETREWSKLVMPSPLPPGRAMGMNHEVVAFGGRLWWVDVSWGAVAVDPFSHRPEPRSIKLPAGSILSEKPCSREMERIVKHRRMGVSNGKLRYVEVSDQEPFVVMSFTLDDESGHWTLDHQVALSTLGAKGGSPKGIPYIGAIDPFNADVLYLAIERVSVSVDMRLKVIQCSELCSDVFPTVSSSGVLLPCVLPPWLDSFPIPNAGKNNMKNETLADILVINIKRGILMD >OGLUM05G20810.2 pep chromosome:ALNU02000000:5:23228400:23239651:1 gene:OGLUM05G20810 transcript:OGLUM05G20810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRRLTGAVSGGLARYLSTRAASPARPPWALLQLSKMDRSGASSQPGASLHADYPPCVSYLTFAASFVDPRLRHDAESEMFGTVSTDVRATSGDGLVLVRFYDSRNHLPTVGSRGGEPMREWALDGVDRDPEVTRFVCNPLSGEMYRLPDLDGTKKTSRYLHFGLLTQSDAGQGPPARYAVAELDGNREEDGQGWLVRRFLSDSGEWDKLVGMPSPLPARRTVDIDQEVVAFGDRLWWVDASWGAVTIDPFSDRPELRFVELPKESVLPDLDDVVMLRELGKYRRMGVSEGKLRYVEVSLGKQFLIRSFSLADDDEGGDSWTLEHEVAFGPIWKDEHHASVPLGGMPRIGAIDPLNANIVHLIVGDQMLSIDMIKERAIDSSRLGCADFPLLPCVLPPWLESSQIPEGIHWSKKAKMKSNTPSYSDMSGFSKLTDELRRLLGLSGEVSGRLRRSLSTAASRPAPAWAMMDDAVRLDERVPPERRPTLRLAEPPRVSRLTVPLHCLLCPATPGTEGNVACRINICTASSGGLLLLRTVFDLAQVPDHVKFPIPISKDDTTWPPLPGLKSHTKVSRVVCNPLTAELLRLPEDPDTVGESKSWHNLPHGFLTQADRADGPPDRFAVAEVRGADCVMHRFLSEKGRWEATMSLSSLSFTRQILIDQPVVSFGGRMWWIDLAWGAVSVDPFPDQSDVRYVLLPSGSVLPADAASIEMRRGKVGLRRWRRIGVSEGRLRYVEVSGAKPFVLSSFVLDDDGGGRWTLAHRVALGPLSPAGPLQIGAIDPLNASVVYLVVGGDDGKHVIGVDMERRMVIASFLLDEPTVFTPFVLPPWLASSRIPGDHLTQLLYCAVNSTIVFFHVITDSQCIVDYICQKVILIKLNDHH >OGLUM05G20820.1 pep chromosome:ALNU02000000:5:23257898:23259519:-1 gene:OGLUM05G20820 transcript:OGLUM05G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLATVVAIFLAAILLSSPPPCAAASSAPSPAAAGHGVPAVFAFGDSTLDPGNNNRLATLVRADHAPYGRDFPGGAATGRFTDGKLITDYIVSSLGIKDLLPAYHSSGLAVADASTGVSFASGGSGLDDLTANNALVSTFGSQLNDFQELLGHIGSPKSDEIAGKSLYVISAGTNDVTMYYLLPFRATNFPTVDQYGDYLIGLLQSNLNSLYKMGARKMMVAGLPPLGCLPVQKSLRGAGSGGCVTEQNEAAERYNAALQKALSKLEADSPGAKIAYVDIYTPLKDMAENPKKYGFTQANLGCCGTGMMEMGALCTSALPQCQSPSQYMFFDSVHPTQATYKALADEIVKSHVPQLMQ >OGLUM05G20830.1 pep chromosome:ALNU02000000:5:23261884:23271313:1 gene:OGLUM05G20830 transcript:OGLUM05G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MASRTLPPPHLRLDLCSPRLPPLRSPGCRRRRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLCAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDCIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFECSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQTPEGSLLDIMRNAYDLLSSCNVKVPKVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >OGLUM05G20830.2 pep chromosome:ALNU02000000:5:23261884:23271313:1 gene:OGLUM05G20830 transcript:OGLUM05G20830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose pyrophosphorylase 3 [Source:Projected from Arabidopsis thaliana (AT3G56040) TAIR;Acc:AT3G56040] MASRTLPPPHLRLDLCSPRLPPLRSPGCRRRRRRGRVLSALSSPSPSPSSASRSQSVSTAPLERGVGPGPATSREQPRGGGDPALAAELARLSALRARLRGARSLADKLRALDAETRVVEFFGEGSNGGVLGALEPREVFLLKCLVAAGQEHVLCAELDWDGRGHEHHHHHNGGSDGRSALRQALSSLAALVGKWSSEGVVEGVAESGESELLRRLLKFLGDIDVFYDCIGGIIGYQIMALELLSASKDHKHRPSKHKSIDFHVPSGLNLLEDTEYASQAALWGIEGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGKQCITPVAIMTSSVKDNHEHITAICERLEWFGRGRENFRLFEQPLVPVVNAKDGKWLTSGALFPVGKPGGHGAIWKLACDRGIFQWLYQNGRKGATVRQVSNVVAATDLTLMALAGIGLRHDKKLGFASCERRPGATEGVNVLIEKENQDGQWAYGITCIEYTEFEKYGIPEPTVTNGSLQANYPANTNILYVDLQAAEEVGSRKNASCLPGMVLNLKKAVSYLDHLGFECSAAGGRLECTMQNIADNFMNTYNYRCSKGIESELDTFIVYNERKKVTSSAKRKLKSEDKSLHQVKDNCEYLRSGPPFLIFLHPALGPFWDITRQKFVGGSVSQGSELQIEVAEFLWQDVELDGSLIVLADNIMGSTNKNNTGEQIMHYGARCGRCKLQSVKIVNKGINWSSANNVYWKHDVERSESVKIILHENAEFEAKDVVLKGNHIFEVPTGHRMRIVQDGPEFVAKLDPISKEMMDSGTWYWKYAVDGAHVKLEMVEL >OGLUM05G20840.1 pep chromosome:ALNU02000000:5:23276469:23282142:1 gene:OGLUM05G20840 transcript:OGLUM05G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0G8] MAAAGAYSASLPAVPDWLNKGDNAWQLTASTLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGDQLLPFWGKAGVALTQSYLVGRATLPATAHGAIPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLLSYTVGAFSLWGGGFLYRWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVCAATSLLMWTCLDVIFFRKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVVMGIFAGSVPWFTMMILHKKSALLMKVDDTLAVFHTHAVAGLLGGILTGLLATPELFSLESTVPGLRGAFYGGGIKQIGKQLGGAAFVIAWNLVVTTAILLGIGLFIPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDLSRGGGGGDRDGPAGERLSALGARGVTIQL >OGLUM05G20850.1 pep chromosome:ALNU02000000:5:23281272:23281869:-1 gene:OGLUM05G20850 transcript:OGLUM05G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PEBP (phosphatidylethanolamine-binding protein) family protein [Source:Projected from Arabidopsis thaliana (AT5G01300) TAIR;Acc:AT5G01300] MAQESLRLVSHPIAAHEGRLPRHYTLEGQGAKKDISPPVEWYGVPDGTRSLALVVHDVDAPDPDGPIVPWTHWVVANIPPSVKGLPEGFSGKEGAAAREYGGIQEGVNDWKQPGWRGPIPPSRGHRIQFKLYALDDEVHLGNKASFDPIEPYLVAITCAVLRISLTVTKDKLMDAIEGHVLGEAELMAVF >OGLUM05G20860.1 pep chromosome:ALNU02000000:5:23282732:23293464:1 gene:OGLUM05G20860 transcript:OGLUM05G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGFKHIKELLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVGSPVLHCSSLLAPKSSPPVRKYENFYYEETVTVGKINCPLFQPAAPSSPNESLLLRDFCFLPQKKDRSYKEVLLSQPPPAPQPKTKTSRSPTLHKEIPIANHCFRCLASDHLVRDCRDPIRCILCRRLSHHMTFAACFAHAYIDPPAACPASIIRGAIRARLPNITYALHPTCRGALVLEFDSPVDREAAIELGNPLNSMGHNVTLDEIKIALDVLGEVIEIDEQWTIGKDYSSLRLILETHRDRVIPTFIWVNNPLHDGTATKIQVIHTWDRAESFDDNGHYFRRFPPYQPPRNPPQQRQTSYLQFLRQQRAAMLPRFNPMQRNGMEALDQGSGSAEPITEVIALPWYNNWNVFSGQEDPENLEVTTQLETSQRPDTPQLSDDPVQAPSAQFAGESGKFPRVKKAAVKPPGPSEEIHRQSDRLVAKEPSSFQSMKDKAVRVKNIKVKLAACSIRLQHTFRKHNLLNDPSLHVSPSAIKELASVCNLDEAATKELESVLMAPAIVVLQETKLSNISPSDLRSFLPPSLISIVFSPASGSSGGLVTAWNSSLLSKTTFISRKHTLTVGFSYNISNLCFFVTNVYAPTDPLQKTFFLDDLLELSSNFSGPWMLIGDFNLIRSPHEKNNPSFDHLSADLFNEKINELALLEIPLFDRLFTWSNKRDTPALTKIDRAFINQVWNLSLPNTSLTSLIRSTSDHVPLKATIQTTIPKPQVFRFENYLLRSPNFLPSVLSAWAGHASSSNHADAAGSLVANLKRARGAAKSWLNVTSQNDPKIYSLNENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVINNLDDAHEQIDTAIATALRESKPVYISVGCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAADFLNKAVKPVMVGGPKIRVAKAKKAFAGIAESSGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMTEFLDALAKRLDRNTTAYDNYRRIFIPDREPPNGQPDEPLRVNILFKHIKEMLSGDTAVIAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVISCIGDGSFQMTAQDVSTMLRCGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLIDAIHNSDGNCWTKKVRTEEELIEAIATATGAKKDCLCFIEIIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OGLUM05G20870.1 pep chromosome:ALNU02000000:5:23294324:23294973:1 gene:OGLUM05G20870 transcript:OGLUM05G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQRDGRGEKEEGRMRTTPVGIGGRGATEGSANHRAQRTSMFPRGHAWAPTSSRPRHARRDDWANKSPAPSSSPSMPTCSPLSLPSYASLPPPTPLFLSDPQILKDSHGRTATIASPPSPARSCCFPSTAMTPMASTEGIER >OGLUM05G20880.1 pep chromosome:ALNU02000000:5:23300072:23300888:1 gene:OGLUM05G20880 transcript:OGLUM05G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLISGCPRLADLTLEACYEMGPLSVAGLALRCCHGLDTVVLDDMSSPSELLQAFELQATALR >OGLUM05G20890.1 pep chromosome:ALNU02000000:5:23349639:23350223:1 gene:OGLUM05G20890 transcript:OGLUM05G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQESAAGTTNQVMRWRYGDVDDSNFAVHGRAVYLLVGLLVAVVVFVALCLYLRWACHRYTPDPEASSSSSAVGAAGAAAAAPMHGLDAEAIGGLPVTLYRPRDSSSPPAVKGGGGGVDDDQAAQCSICISALVAGEKVKALPPCGHCFHPDCVDAWLRSQPSCPLCRSLLLAAAATAAKPDVNGGDDDDSAV >OGLUM05G20900.1 pep chromosome:ALNU02000000:5:23366196:23368551:-1 gene:OGLUM05G20900 transcript:OGLUM05G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPGRRNPLLSARRAAASLRRSRRLPVYVAAVFFVASVLLMFRDEILYLTTARSPSSSLPTTGGSAGGAGLARREELVSVNKPVLLGHGGKPEKHHSVTERHRPKVSAKRRPNKKAAKAARKKFMASPAVAAGAEVNVPETCNLSKGKWVFDNATYPLYREQECEYLTAQVTCTRNGRRDDGYQKWRWQPRDCDLPLAFDARLFMERLRGKRLMFVGDSLNRNQWESMVCLVRPALSPGKSYVTWWDGQRVVLHAWEYNATVEFYWAPFLVESNSDDPKAHSIRDRVIKPEAIAAHAGDWVGVDYLVFNTYIWWMNTVNMKVVRPTGKTWEEYDEVGRIEAYRRVLDTWATWVNDNVDPARTSVFFMSISPLHISPEAWGNPGGVRCAKEDAPVQNWHGPLWLGTDWDMFRAARNASRAAGRVPVTFVDVTAMSELRKDGHTSVHTIRQGRVLTPEQQADPATYADCIHWCLPGVPDVWNLMLYARILSRPPAAAAAAGHVA >OGLUM05G20910.1 pep chromosome:ALNU02000000:5:23374498:23380564:1 gene:OGLUM05G20910 transcript:OGLUM05G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDQDDGGKGAPASIEEQQYMDFPPSSLHSYVPEQPEISLDAESMKMLTSLPLYGEPLCTNESIIAHVKSVKGILESLLRRNDWLKVDEYLTKIVSENYLNSYSAISGVPMSEINQAHPELALLARRQHVYDLLVEGKYDEASDYYNANVAILEKCRSKRVRTASSDLKVLISNRTAAVNNDVDTGMAIKDYIYLYYPIFRPDIRKRNFGRKPHELWEFAWRKSTVSGFRCFACHKVFKHKKLTMIESHLKGDRTDDITACPAVTENVLSRLQLALDTGAAGRLSRKIERGRMSRDTQSTGDEHPSPDGEEDGRGDGCTDSAGPSNAVPAC >OGLUM05G20920.1 pep chromosome:ALNU02000000:5:23380929:23385643:1 gene:OGLUM05G20920 transcript:OGLUM05G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIKNRADFMDVELLTMKRRSICFLSPPLKSSTSDFTLNTPKGVYINLPKLRAVEGVIGMFTSSDW >OGLUM05G20930.1 pep chromosome:ALNU02000000:5:23386367:23388055:1 gene:OGLUM05G20930 transcript:OGLUM05G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDAARSSRRMDLNLYLGLPRAPRLRRPDLGSDLALGTPMLSSSPSSSAASADAPPLETEPLHPPYSPPRAELVRPPTPLPEPYDPSAPEAHPPYVPPPVPPPEAIPELADDLEFGFSHPPLLLRPSELLGWVDRPSSSTASSSFRPERVDRYRPPVICLNSRQSRCLRPRRFRSDLPPLGSEAPALENDAAAQPPPQEPMQDTVEENKVVADGAIVGASEEEPAERGKSVAMFECNICFEMASEPVVTSCGHLFCWPCLYQWLHVHSTHKECPVCKGEVTEGNITPIYGRGNSTSDAEKKVAEEGNVSGPTIPPRPHGNRLESFRQKFHHLRPISRRLGEAHGILSSWRRILDQQIMNSVSRFEGPPESTVQEMIDHAHHASRLGRITTRMRARRLQREAENSTFVASSAAESGLPANSTSDLPRRSSSPFSSERIDLLQHFVDLASTERLASAVSDLRRMVRPSPYGASTSSNPPNPPNTELLPVDGNHVAVALAADQASNSSTMAVIQEDAAFTESTGEPSNAGSSRSLRRRGRNDALGSLDVDGVGLHRNKRRRLN >OGLUM05G20940.1 pep chromosome:ALNU02000000:5:23390176:23394090:-1 gene:OGLUM05G20940 transcript:OGLUM05G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAADQNPNDAEHREAAAGANAAEEEYEEEDEEEEEVELDGPAAVAAEREKVQAVFKRLSSDPVGIRVHDVIIKGNAKTKEELIEAEVAELLRAAPTVQDLLRNASIASARLRQLDVFDSVNITLDAGPPELPGTTNVVVEVVEAANPITGSAGVYSKPEARSWSLEGSVKLKNLFGYGDIWDASGAYGWDQTSEVGIGVSLPRFKSISTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMQHDLSYNLTWRTLTDPSQVSSKSIRRQLGHNLLSALKYTYKIDQRNSHLRPTKGYAFLSTSQVGGLWDSKGLRFFRQEFDVRGAVPLGFYNSALNVGLGVGAILPLGRGFMNLSSSVPDRFYLGGHSSPVCSLSGLSSLLGFRTRGIGPTEPRRLVPSESEDGSAASPGRDYLGGDLAVSAFADLSFDLPLKIFRDAGIHGHAFLTAGNLAKLSEGEYKKFSLSEFGRTFRSSAGVGIILPTKLFRVEVNYCYILKQAEHDSGRTGIQFSFSSPL >OGLUM05G20950.1 pep chromosome:ALNU02000000:5:23395578:23397041:1 gene:OGLUM05G20950 transcript:OGLUM05G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVHERVAPPPAAELAGRRGGGGGGGMEIVTARVGGCGYGYEEEGGTRRQQRRRRKVSDGHVVAQLLDSPLPTPRRSCCGSSSAAGTPRSAARCGGGGSPGAPPSSPPSSPQRTHVPFSWESSPGVPKDAACGRKVVREVLPPRPPPGRGGGGGGSPAHAHARAYFGNATETTSSDDDDADDTFSDALDRISASDRFAAFSSRLSSIDGAGSLRLPSFIMDRFLPAANAIATTSADKRPKKTPRRGARSSKQDEEATASARRRAQSLRRASGREQPKQPPPRHHVSTLQRKESDPPPPPRQSRDIDEETQSDEMSPRSCGFMLFLPWSVKPVLCGFARSRTSRAADASTTASSPPRRSVTLGNALEKEKEKEKDKSKLRGGGGDPSRWSDEKSGSGREWSSPGWGTAILGTSKRYCADARKALSRLARSATDGRGSPRVTGERRAGKPAAAASPRRSTSGEIPPLSPPSESWLSHARGSSTLSNKR >OGLUM05G20960.1 pep chromosome:ALNU02000000:5:23397494:23401098:-1 gene:OGLUM05G20960 transcript:OGLUM05G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRNPVIFLFLWFVMAFELCASLNHEGVALMRFKEMIDADPFDALLDWDEGNASPCSWFGVECSDDGRVVALNLPNLGLKGMLPQEIGTLAHMRSIILHNNSFYGIIPTEMTYLHELKVLDLGYNTFSGPFPSELRNILSLKFLFLEGNKLSGSLPIEYELTSMDQTSLNKISTERNEENATRRKLLARKQKRSQKNRMLLSGTSESPLENVTMPKSHPDNITVPHRPVAPRSSSPQPPLPSEPIPSPAPSMPSPAPSVSTTTNGTSGQENKSKTAIYASIGGVACLVVVAMSAALILCYRHRKTSTVVPFSPTASGQLHTATLGGITKFKRSELETACEGFSNIIDTLPRFTLYKGTLPCGAEIAAVSTLVTYASGWTTVAEAQFKDKVEVLSKVSHKNLMNLVGYCEDEEPFTRMMVFEYVSNGTLFEHLHVKEADQLDWQSRLRIAMGVMYCLNYMQQLNPPVLLRDLSTSCIYLTEDNAAKVSDISFWGDKKEDDEKSEASDEHSTVYKFALLLLETISGRRPYSDDYGLLILWAHRYLIGDKPLMDMVDPTLKSVPEEQVRELTKLVKLCLSEDPMERPTVAEVTAWMQEITGISEEEAIPKNSPLWWAELEIITS >OGLUM05G20970.1 pep chromosome:ALNU02000000:5:23409684:23410457:-1 gene:OGLUM05G20970 transcript:OGLUM05G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSWVRTRSSKSKPAAAAADTTSTALVAASKRLTFSSPSLKDLQSLLVPPHAALSSSSLSPPRVFHRIRVAACALRVLRNLQSAGQQQQPHAAAAIWSEPGGGEGARVVLYYTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPAFLPELAALLPHRRHVALPQVFVNGRHLGGAEEVRRLHESGELRRIVAAANPTPASCGRCAGERYVLCGSCDGSHKRYSHKGGGGFRACAMCNENGLVRCPDCCLPPA >OGLUM05G20980.1 pep chromosome:ALNU02000000:5:23419974:23420171:-1 gene:OGLUM05G20980 transcript:OGLUM05G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAPKGRGRGQMRRRGKGRGATLTMGGLRAAASDAVGDGGGGAGCSQGRRRRRRMWRLAEGAG >OGLUM05G20990.1 pep chromosome:ALNU02000000:5:23448296:23449012:1 gene:OGLUM05G20990 transcript:OGLUM05G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGADAQAQPVAQAPPAMQPMQQLSRYESQKRRDWNTFLQYLRNHRPPLTLARCSGAHVIEFLKYLDQFGKTKVHASGCAYYGQPSPPAPCPCPLRQAWGSLDALIGRLRAAYEESGHAPESNPFAARAVRIYLREVRDAQAKARGIPYEKKKRKRTQQQQPPPPPPPPPQHQPGAAAGEASSSSSAAAAAVAAEGSGSSAAAAAATSQTGGGGGGSTTTTTASAAAPTTATRV >OGLUM05G21000.1 pep chromosome:ALNU02000000:5:23461607:23465649:-1 gene:OGLUM05G21000 transcript:OGLUM05G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSSADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRPPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNAMVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPNTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >OGLUM05G21000.2 pep chromosome:ALNU02000000:5:23461607:23465649:-1 gene:OGLUM05G21000 transcript:OGLUM05G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSVLSSRLTAPTTTLAPPAAPGERNPTPIPAPGDTPPPAVVAGGVEAWESADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRPPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCGKPLLELNRVLRPGGYYIWSATPVYRQEKRDQDDWNAMVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPNTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >OGLUM05G21000.3 pep chromosome:ALNU02000000:5:23461607:23463255:-1 gene:OGLUM05G21000 transcript:OGLUM05G21000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTKSICWRTVVKSEDSNGIGVVVYQKPASNSCYLERRTNEPPMCSKKDGPRFPWYAPLDTCISSSIEKSSWPLPWPERLNARYLNVPDDSSSTDEKFDVDTKYWKHAISEIYYNDFPVNWSSTRNVMDMNAGYGGFAAALVDKPLWVMNVVPVGQPNTLPVIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGSLTNRCDIMEVAAEIDRILRPDRWFVLRDTTEMIKKMRPVLKSLHYETVVVKQQFLVAKKGFWRPGK >OGLUM05G21000.4 pep chromosome:ALNU02000000:5:23463365:23465649:-1 gene:OGLUM05G21000 transcript:OGLUM05G21000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTSDQRKRRPFLLSLSLFLLVSALLALAFLFLDPSAQSLSVLSSRLTAPTTTLAPPAAPGERNPTPIPAPGDTPPPAVVAGGVEAWESADATEKAEETASRPDDTAAAVNADAAAGEGGGSSESPRLDADKGAAATEGVADDDGGGGGDEPAAKVRWETCRPGRGVSAADYIPCLDNMRAIKALRSRRHMEHRERHCPVAPRPRCLVRVPSGYRPPVPWPRSRDMIWYNNVPHPKLVEYKKDQNWVTKSGDYLVFPGGGTQFKTGVTRYIQFIEQIMPTIQWGTHTKTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDEAFDVVHCARCRVENHCWSLTEFSGLEDITFGLQPLSTVKKKEIKMTGMVCVVSCSIICQAFSLEYHPRKLLLSTFLMKIA >OGLUM05G21010.1 pep chromosome:ALNU02000000:5:23467136:23469701:-1 gene:OGLUM05G21010 transcript:OGLUM05G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein [Source:Projected from Arabidopsis thaliana (AT2G43190) TAIR;Acc:AT2G43190] MSTISDQKKRTLEALQQRYAAAKAKKLQDKPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGGETNPVYSELSFAPHDNLLQRSISDLDSMDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLTLCKFDLYKPMHEMWKEYITELTKSTPKKQLSENLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >OGLUM05G21010.2 pep chromosome:ALNU02000000:5:23467136:23469701:-1 gene:OGLUM05G21010 transcript:OGLUM05G21010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease P family protein [Source:Projected from Arabidopsis thaliana (AT2G43190) TAIR;Acc:AT2G43190] MSTISDQKKRTLEALQQRYAAAKAKKLQDKPRCQTRNKDSAPKPNLDASRKGKAPDFIPSRTSAPFPSKKGLAISSSSASSGGETNPVYSELSFAPHDNLLQRSISDLDSMDVVHNVVYDIIQKGGDAGKITKGAKKLKLEKGILLDNYVHRGPRLVDAQAKSLLIHSKRSKRHMSLKQHKKCGSFDLPETLTLCKFDLYKPMHEMWKEYITELTKSTPKKQLSENLLSADLHGALVIVAECKSASSYQGVCGIMIRDTAETFGIISDDNRFRVVPKAGSVFILQADCWKVTLIGDKLSPREKLKENQRQQRVQAQIR >OGLUM05G21020.1 pep chromosome:ALNU02000000:5:23476516:23485763:1 gene:OGLUM05G21020 transcript:OGLUM05G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDLKLTACLLLAVFSLAAAADCECQPSDEGHDAAKSRTLKVIAIFCILVGSSAGCAIPSLGRRFPALRPDTSLFFALKAFAAGVILATAFVHILPVSFDKLGSPCLVDGPWRKYPFTGLVAMLAAVATLLLDTIATGYFLQRAQDSRGAAAAVAACGGDASSSHDHERGHAHGVSSAVIASATMPNDAADDCDDAEDRAKLVRHRVISQVFELGIIVHSIIIGISLGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQARFHLKSAVTMAIFFSLTTPVGVMIGIGISSAYNENSPTALIVEGILDAAAAGILNYMALVDLLAEDFMNPRVRKSGRLQLIISISLLVGIALMSLLEEPD >OGLUM05G21020.2 pep chromosome:ALNU02000000:5:23485584:23488983:1 gene:OGLUM05G21020 transcript:OGLUM05G21020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAMTKVFVLLFLVAACYLPAHAAAAECDCATDTAGRDKAQALRLKVIAIFCILAGSAVGAALPSLGGRFPAIQPETDVFLSVKAFAGGVILATGLVHILPAAFEALSSPCLVGGPWKRFPFAGMVAMVSAIGTLIVDTVATGYFHRTDAKRKAAAVADEPADDLEASDEHSHGHAPGMSVMSVAPAGEEDLVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQFFEGIGLGGCIVQAKFRVRSVVTMALFFSLTTPAGIAVGIGISSVYDANSPTALVVQGLLEAAAAGILVYMALVDILAEDFMKTKVQSRGRLQLAMNVALLLGAGLMSMIAIWA >OGLUM05G21020.3 pep chromosome:ALNU02000000:5:23488929:23493027:1 gene:OGLUM05G21020 transcript:OGLUM05G21020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLYACGRSNRRTTDHTASTGAAIACTTAEQHCPTPAACSWCLATSAGTATHDAACPAAPAAFNTPPPPQPPIRLCCGGDGRGAVYGIIPPRSYLTQKRTGPPKGCVLRTD >OGLUM05G21030.1 pep chromosome:ALNU02000000:5:23504584:23506495:-1 gene:OGLUM05G21030 transcript:OGLUM05G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYLLNNNKAYKHFIRSCRLLDGDGDGGAVAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLSNYRSVTTVHETAAGAAAAVVVESYVVDVPHGNTADETRMFVDTIVRCNLQSLARTAEQLALAAPRAA >OGLUM05G21040.1 pep chromosome:ALNU02000000:5:23512357:23514748:1 gene:OGLUM05G21040 transcript:OGLUM05G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPNRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGSAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >OGLUM05G21040.2 pep chromosome:ALNU02000000:5:23512414:23514748:1 gene:OGLUM05G21040 transcript:OGLUM05G21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGDVGMEVETKAPAMPPPPPASSSAARKKKQARAKNGDTPEPDAAGGARARASRRAKRGPGSYRGVRQRRWGKWVSEIREPNRGKRHWLGTFGSAVDAALAYDKAAASILGPRAVLNFPAFSPPAAAIAAPEQREPPFCSPATTAAATAPEQRQTPGCSPAAVAGSGGSAVFEERDVKPVVLPLPLPAILQGGGGTEAMAQHWDWEWDASWPELEMFECLDDIAMYLDVDAVMTTRDCKVEELDADIVDSPLWTLSD >OGLUM05G21050.1 pep chromosome:ALNU02000000:5:23525535:23527523:-1 gene:OGLUM05G21050 transcript:OGLUM05G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVQTLGSSDTMTEDILRVFSNYDGRLSLDKLYAARAAAASAAGGGGGGGGLGGGGEHSMPESPTLPLPPPPAAAVSAAAAAGRPPPVTSMERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELEAAGTNRGLFDRAEELLSRCMARLEEEFRALIERPDDAAPAAPGGFRSDGSDDEEDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSVANVHQIARRMVDAGFGRECAEVYAAARRGFVDESVARLGVRPRPAEEVHASSWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFVAAVRTQALQLISFGDAISSSSRAPERLFRVVDMYEAVRDLLPDLDPVFADPYSAALRAEVTAVCNTLGSSIKGIFMELENLIRRDPARVAAQGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDFGAVGGAAAAVDPDRPTSSLAVHIAWIMDVLHKNLDIKSKIYRDPSLACVFLMNNGKYIIQKVNDSELGVLLGDEWIKQMTNRVRRWSMDYQRVTWGKVTTVLQTGGPGVGGLPATAMKQKLRMFNTYFQEIYEVQSEWVIADEQLRVDVRAAVAEAVMPVYTALISRLKSSPEARHDLYIKYTPEDVEACIQHLFEGAAK >OGLUM05G21060.1 pep chromosome:ALNU02000000:5:23531354:23534205:1 gene:OGLUM05G21060 transcript:OGLUM05G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIDCYVVPQTTGTGRNIFQGGSPLSASLPLLGVQLVLIVAVTRVLYFLLKPLKQPRVVSEIMARPPLEPHLFAYAYGGIILGPSVLSRHAAFREVVFPARGEPVLNTVATFGLMFVIFLIGVRMDPRLVVRSGRKGVVIGLSGFLLPLAMTGAGSSGEAMATEPDVSRRSTFLFALATSLSVTSFAVLSPILSELSLLNSDLGRIAMSASMTTDGIAWIIMVVYILAEAFLVSPATSIWAFLSLAVLAAFILFVVRPVALRVIERTPPGKPVEEAYVFVFLLVVLLVGFYSDVIGTNSFHGALMLGLAIPDGPPLGTALGEKIEAMVSGLILPLYYAMTGLSTDVWRMHWGRLQVVMFLAWVGKLVGVMVSSLYLEIPLRDAVSLSLFMNSKGIVEVITFNFFLTNKLIGKNTFSILICLSVATTAVSVPVAGWLYDPARRYAVYKRRTVQHLKADADLRILACVHDQSHVPGTLAVLEASNATPQTPLSLYLLQLVEIAGRSAPVFIPHKLRHSASRAGAAAASAAPPTDSDRIINAFFQYELKHPEGAVSVHPFTTISPYSSMHDEVCRLAVEKRTSLILLHYHKRHMLAGGVRAAVGLRVVNRKVLEVAPCSVAVFVDRNAGSVGLCAFIPGPVQDQSTSSRSRSGGHAASGSGQGFKAAVASLFFGGGDDREALAYATRMARHEGVAVGVIRFLPARGIKDEPSDRRIDNRAIEEVKALAARSRNIKVQEELVGDMERIVQVLRGLDEAGYDLVLVGMRHRWYPVMPANGMSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQGGLNAAVPGAQDVWHVNDGGAEDAPAPPRNMSTAGS >OGLUM05G21070.1 pep chromosome:ALNU02000000:5:23548822:23556553:-1 gene:OGLUM05G21070 transcript:OGLUM05G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNEFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVIDTEGCYKGKSHLSHFMKISSSTDPRSKRSNSGSICRMVVTVQRD >OGLUM05G21070.2 pep chromosome:ALNU02000000:5:23549295:23556553:-1 gene:OGLUM05G21070 transcript:OGLUM05G21070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNEFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >OGLUM05G21070.3 pep chromosome:ALNU02000000:5:23548822:23552211:-1 gene:OGLUM05G21070 transcript:OGLUM05G21070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNEFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVIDTEGCYKGKSHLSHFMKISSSTDPRSKRSNSGSICRMVVTVQRD >OGLUM05G21070.4 pep chromosome:ALNU02000000:5:23549295:23552211:-1 gene:OGLUM05G21070 transcript:OGLUM05G21070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGSLTDKQLHFFDANGYLVLGSFSSAEEVKAMRDRMAVLIDGFDGAGDVFSTKDHRQVKNEFFFKSAENISFFFEEKAFGDDGCLKQAKELSINKVGHALHELDPVFKKFSFGENVSSLFSSLRYKRPAVIQSMYIFKQPGIGGEVVPHQDNTFLYTNPPSCTGLWLALEDATKTNGCLWAIPGSHKNGLKRRMIRDENDTHFDHPSPTYDLKEFVPLEVKSGDLVVIHGDLVHQSFENLSLVSRHALSLHVIDTEGCEWSKQNWLQRKIPPQPLYEN >OGLUM05G21080.1 pep chromosome:ALNU02000000:5:23583411:23584555:1 gene:OGLUM05G21080 transcript:OGLUM05G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAFLESGKDGGQDGLSALPDDVIVGGLGLRDVVRASVLSRRLDNDVADFMPYDHGDSSSSDDRHRIMSAYADATRWLLAPSAERAIKSVSLSFLIAEPYLLHAVARAVDDLLLNGSNSSLESLEMDMWTDWVAAGAATLEQRRLFGQRFQSLFDAYPAQFRCLHMDQFHGPPAKKRSSQQTRGLINRT >OGLUM05G21090.1 pep chromosome:ALNU02000000:5:23585048:23585710:1 gene:OGLUM05G21090 transcript:OGLUM05G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0K3] MASSAPTPPPLLPVTNPAAAGSSPAATAVGSDAPIATPAFRLFLSKLSDSARRSLSDRRPWTELVDRSAFSRPDSLSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLVLLGLLAAWCFLYLFRGSDQPVVLFGRTFSDRETLLGLVVASFVAFFFTSVASLIISGLLVGGAIVAVHGACRMPEDLFLDDADAASGNSAAQGLLSFLGAPGSRV >OGLUM05G21100.1 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.2 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.3 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.4 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.5 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.6 pep chromosome:ALNU02000000:5:23588139:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEPSPAAASAVEDLETLPLDSSYSSAPATDPLLRPPASPSAASAAAGGDHDPFVIDDDFLVDEEDDDDVGPPAPTAIPLVAAAARAPEFARIAVSDPKKHAEPTSGAAGVIPGSGSYFSYLITTRVGEAEVRVRRRFRDVVALADRLAAAHRGLFIPARPDKSVVEGQVMQRHDFVNQRCSALQRYLRRLAAHPVVGHSPDLRTFLTEPGAIPAFEGEQPRYWTTTLSSAAPSTPAKAGRDLFGMFKGLKQTVVNGMVATKPPPVEEETDTEFLAHKARFQDLQQQLTTTSQQAEALVKARDDLRTTTAHLGMTLIKLAKFEREQATCSPQRRRAADINNFGSSVVKFSRSQAKLNSEIVKHLGSIHEYLEMMISVHHAFTDRSNALHHVQSLSADLFFLHTRAEKLESVSSRSRSIDQEWTRHQKLGGLKETISATEAAKSHALKEYENIKENNTIEIKRFDKDRHRDFVQMLKGFIVNQVSYSDHYANMWAKVADETKVKLELSAAKMSHAPCRNAASFKPLLPSRLRAKPLAGISSSPRRNVSASAASSQSRRDFLLLIPSLAAASAILRPLPSSAADGEAPPTDSSSPSPPSAEEAGAVVEEVDESALSRVYDATVIGEPEAVGKDARGRVWEKLTAARVVYLGEAELVPDPDDRVLELEIMKGLATRCAEAERGVAVALEAFPCDLQQQLDQFMDGRIDGSILKLYTLHWPQDRWEEYEPLLNYCRDNGIKLIACGTPLEVKRTVQADGIRGLSKAERQEYAPPAGSGFISGFSSISGRSLIDKILSARDSPFGPTSYLSAQARVVDDYTMSQIILKELNGGDTSRMLIVITGASHVIYGSRGIGVPARVSKKMPKKDQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRPEALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMSELIHRFQGFRERLLADPKFLQRLAIEEAISITTTLLAQYERRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISVLSYADDGSGESLEFVKGLLGSLPDNAFQKNVLGQNWNMKQRVAAVLVGGLKLASVGFISSVGAGASSDLLYAARGVLKSSVNAEAGRKRSPIWKSAAVYSCFLGTSANLRYQIIAGLVEHRLGESLATSYNQPLLASLLSFVARTVNSYWGTQQWVDLARYTGLQKTKEVPPVEATASPAETSQLATPPAETSQLATPPAETSQLEDGRTEVQNLDDNSNQSSG >OGLUM05G21100.7 pep chromosome:ALNU02000000:5:23597887:23600983:1 gene:OGLUM05G21100 transcript:OGLUM05G21100.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAMAQDEHHFVLKSGHAIPAVGLGTWRAGSDTAHSVQTAITEVYSAYLPYLAIYMRRSVQHTNQVAMANKPILSVFANNLIVNHLQAGYRHVDTAAQYGIEQEVGKGLKAAMEAGINRKDLFVTSKIWCTNLVPERVRPALKNTLKDLQLDYIDLYLIHWPFRLKDGAHQPPEAGEVLEFDMEGVWREMERLVTDGLVKDIGVCNFSVTKLNRLLQSANIPPAMEMHPGWKNNKIFEACKKHGIHVTAYSPLGSSEKNLAHDPVVEKIANKLKKTPGQVLIKWALQRGTSVIPKSTKDERIKENMQVFGWEIPEEDFQVLCGIKDEKRVLTGEELFVNKTHGPYKSASEVWDNED >OGLUM05G21110.1 pep chromosome:ALNU02000000:5:23608052:23608573:1 gene:OGLUM05G21110 transcript:OGLUM05G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACMLPCGALDVVRVVHLSGRVDEFTSCPLTAADVLAAHPNHALTAAWSPGGGAGAGAPRRKIVIVSPDSELKRGRIYFLIPSACSAPAADKMMTRRKKKKRCHGNGNGKGGAAAAAAASTAEQDNYLMELLSEKRGTSHRRRRSGARAGVWRPQLESIAEEPSD >OGLUM05G21120.1 pep chromosome:ALNU02000000:5:23624687:23627117:-1 gene:OGLUM05G21120 transcript:OGLUM05G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAPGSLPLVNSRPVALSLAASRSSFSSLLSGGAGSSLNLMTPPSSLPPSSPSSYFGGVSSSGFLDSPILLTPSLFPSPTTTGALFSWMTTATATAATAPESQVQGGVKDEQQQYSDFTFLPTASTAPATTMAGATATTSNSFMQDSVLMAPLGGDPYNGEQQQPWSYQEPTMDADTRPAEFTSSAAAGDVAGNGGYSQVAAPAAAGGFRQQSRRSSDDGYNWRKYGQKQMKGSENPRSYYKCTFPGCPTKKKVEQSPDGQVTEIVYKGAHSHPKPPQNGRGRGGSGYALHGGAASDAYSSADALSGTPVATPENSSASFGDDEAVNGVSSSLRVASSVGGGGGGEDLDDDEPDSKRWRRDGGDGEGVSLVAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASNDLRAVITTYEGKHNHDVPAARGSAAAALYRATPPPQASNAGMMPTTAQPSSYLQGGGGVLPAGGYGASYGGAPTTTQPANGGGFAALSGRFDDDATGASYSYTSQQQQQPNDAVYYASRAKDEPRDDGIMSFFEQPLLF >OGLUM05G21130.1 pep chromosome:ALNU02000000:5:23631033:23633114:-1 gene:OGLUM05G21130 transcript:OGLUM05G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNGNEDLKADVELVESTTVDNDTGAPGASTLPTQGVPRQGKQRNGFYATAIAYEMYRLDHHFSSVLYYSIIQFSPDQPVYFFINHKTVTQWTFCIRIRHQPFHYWY >OGLUM05G21130.2 pep chromosome:ALNU02000000:5:23631033:23631518:-1 gene:OGLUM05G21130 transcript:OGLUM05G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRTMKAPTPSVLHRDVLYYSIIQFSPDQPVYFFINHKTVTQWTFCIRIRHQPFHYWY >OGLUM05G21130.3 pep chromosome:ALNU02000000:5:23632967:23634078:-1 gene:OGLUM05G21130 transcript:OGLUM05G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPPPHIVEKEKKKKKEKRSPRYAFPCLLLLFFGSSSAPLHPILNPPPPPPRSSSGGGWREEEGKDPAGRGRLSFPSFASVVLLAAACSCQQQWTMILEPQVPVLFLLKVFHDKGSSGMGF >OGLUM05G21140.1 pep chromosome:ALNU02000000:5:23642980:23651264:1 gene:OGLUM05G21140 transcript:OGLUM05G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQIFQDCNLRPRFFFGEKKTGRGMQIHPSPAGHALLDPEASGGGLQSPVVGQGSARLMLERESEERKIREGSIESFTRLLLPKMTKSASARQAEKTGFATCKYDY >OGLUM05G21150.1 pep chromosome:ALNU02000000:5:23651381:23655817:1 gene:OGLUM05G21150 transcript:OGLUM05G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVVTGPPPARLPASTRVDKATSHLLQGPDWAINLEICDTLNADRWQTKDVVKAVKKRLQHKDPRVQFFTLTLLETMMKNCGEYVHFEVVEQHILQEMVRIVQKKHDTQVRDKVLILLDSWQEAFGGPGGKYPQYYWSYIELKRSGIMFPRRPVDAPPIFTPPATHHTQSYGSPTYPAGSLNERMTSDVETLSLGDLNNIRDTTELLCDMVNALNPSDRMAVKDEIISELVTQCRSNQQKLMRFVSSTGNEELLKQGLEINDRLQSVLAKHDAIASGAPLPVETPRKDEIPREDPKIKPSAPPIAPPSAPPVEEDEDDEFAQIARRKNKSVISSDEASSSAGDQALVPVDPVTSEASSSVASNAVVPVDSSPASGTRTREQDMIDLLSLTLYSPPEASTDSSSQTQNGTQQSVTSNGPELPPNYQPAASNGSHYSSNQQAYPTNQAYTPYNNYVVPWAQAGQGTQAGGAYQTQPMQQYGSSYPAPPWAMPASVNSTNPFQPATYQMQNPPVASVAPTNTYPAPSSPYAAPPRQQVPSPATKPMQQYNSFVSQTRSGPAMAQDVRMNGNPRPSETTAAAAKPYYMPDNLFGDLIDVKSYGGGKMSRTASMPSPNGSGQPLLGGKK >OGLUM05G21160.1 pep chromosome:ALNU02000000:5:23656114:23659620:-1 gene:OGLUM05G21160 transcript:OGLUM05G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLASSRRLLQAALAPVRAQSSLSAAAAAAAPAPENAFAAAPKMPPFDYTPPPYDGPRAEEISRKRAEFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAMVNQAKRIQHSTVLYLNHAIADFAEALAAKMPGDLKVVFFTNSGTEANELALMIARLYTGCHDIISLRNGYHGNAAGTMGATAQCNWKFNTGVHHALNPDPYRGAFGSDGEKYARDVQEIIDFGTTGRVGGFISEAIQGVGGIVELAPGYLPAVYNMVRKAGGLCIADEVQAGVARTGSHFWGFESHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGVELVTDRQKKTPAKVEIGHVMNHMKDMGVLVGKGGFYGNVFRVTPPLCFTKEDSDFFIEAMDISLSKL >OGLUM05G21170.1 pep chromosome:ALNU02000000:5:23666188:23704996:1 gene:OGLUM05G21170 transcript:OGLUM05G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAKATHFGWLGFPTLAASRRPGPEGSVDSAGFDDDDDDGAEVSSKNANTTHPRTARPEPEPRGLQSRFSLTDLNFSAMNCTSVYRLAAHAARYCTRNLASLFDPVSDERYSTQQLLPIDNV >OGLUM05G21180.1 pep chromosome:ALNU02000000:5:23679643:23680915:-1 gene:OGLUM05G21180 transcript:OGLUM05G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQRNESLNNELKGYISVKYDMLTFFEHFDRLVGDKRYEEVKCDFRATQSTPKLKAELRILRYVAEVYTPAVYKIFEEEMPKKPRGIKLKEKGIRGSARPIGGLEKASSQRKRKKKDDDVPDHVLEPQPEMQSQPPATVMQPNFFTCITVLCCSGSVNTPFNTPISVTPESQGLHQGRPIQQFDTDFHNMFP >OGLUM05G21190.1 pep chromosome:ALNU02000000:5:23705011:23707754:1 gene:OGLUM05G21190 transcript:OGLUM05G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSSAHWLSLVGSVWLQTINGPNADFPVYSSQLKEVKGISQVQLNFLAFASDAGKLFGWFAGVAALYLPLWLVAVVGASFGLVGYGVQFLFLERPGLAYWHLFLLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKLYTTMAEKMPRGATARYSKEKVYLLLNAVVPMLVTLVAAPSLRVVELTSHRRTDPAFLAMFAITLATGACAVVGSIGSKSIGLSTSEHMISLYILLALPVLIPAALKVRESMDKLREAKRENRVHDVAAATDVPETAVSVLEVAEAAENKEEDDAAAGESGGQDEVGGIRLLRRLDFWLYFLSYMFSGTLGLVFLNNLGQIAESRGLSDPSTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMAALMAPMAGAFFLLLDPRDMFLYTSTAVVGTCTGAITSVAVSATGELFGRKNFGVNHNVLVANIPVGSLCFGYLAAFLYQREARGASRCAGAACYRGTFLVWGATCAVGTALCTVLYARSRGFAGRLPPLARSTTMPCAGQRPATNLGDDNKGPEPEVSSTAV >OGLUM05G21200.1 pep chromosome:ALNU02000000:5:23723576:23724223:-1 gene:OGLUM05G21200 transcript:OGLUM05G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPQSPLRITHDGEFYARLLTKESSQGNPSFRYYGAGTGAVPFVWESHPGTPKVDASSPFAEAGGVPAITPPPSYHLRAAAAMSSSPQPHGRSGRRNVVNGRGKYCGYCRLKWIKIGFIAAVFRRLALGKPSRASSSSSAVQPSPSTRWLFSGSGSVETSDEPQPPAISPVSTKQGGLLCLGVRPSPWMVQLCGVRSIRRVDTGSSWATHGWA >OGLUM05G21210.1 pep chromosome:ALNU02000000:5:23731646:23734507:1 gene:OGLUM05G21210 transcript:OGLUM05G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAATQHGHAVAARAMPGRGEVDTSSPFESVRQAVDLFGGGALSPWRHHHPPPPPPPPPLQLRPEEVELMKVEEQTVKLEMELFVKEKETFKVLKELQATKQVADNLKLQLEKAASESAASARGHSDAGKVYPLPDTERKCSYHTEQPVKSAKGNQSALTTLIKLNQAKAFLNMDTVMTIKRQIEEEKGSLEKTRERLQLNRAKASSLEADLNKIVSQLQAARGPKPTLEPSDIWLQMKQLNSEKEKHRKIADDSKNEIGELTATIEHTKSRTKTLQFRIVMAEKLKEASRRGEALALAERKNLSNGEHEASTASDVTLSVEEHSVLVQKAEEADAACRKKIDAAMQELDQANRGKLELLERVEEAMAAVETSRKALEEALKREESTNKAKLVAEESLRKLRSEQIIQNWRPTGNSSVKFKTSATTTAAVVAHRRAGSGIYDVNGLSLVTATPKSTKAMSIGQILSMKLDHRELEVAGKGGGAKKKVSLGQILSQKYDALSPLRIDHGGASRRQFHPRRRKLGFVVYALLLAKHRHRKKRQPAAAADSSSCTHGSFSTKAVY >OGLUM05G21220.1 pep chromosome:ALNU02000000:5:23734728:23739822:1 gene:OGLUM05G21220 transcript:OGLUM05G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT5G46280) TAIR;Acc:AT5G46280] MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHSLDLARRVIRSPAEYMQPASDAVTEVARNLDPKFLKEGQRVLVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHYCPATGGTLSREYRDITSFVGLPTGSVYPTRDENGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDIIVEDDLVDSCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIANNVSLMNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKTGYAEEDDGDVNAAIFVKYDRMLHGQDRRRGKKSKQDRLTVKFLKKYIHYAKNLIQPRLTDEASDHIATSYAELRDGGANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKTDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGASGGNADEHRSSGNDPMDVDVGNASNDQGVPAERIEAFEAILGQHVLANHLDQISIDEIEQTVNREAAAPYNRRQVEFILERMQDANRIMIRDGIVRII >OGLUM05G21230.1 pep chromosome:ALNU02000000:5:23741849:23743114:-1 gene:OGLUM05G21230 transcript:OGLUM05G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPHAHLLPQRNDTQVERATAFGKEAIGITGSAIVAGVSGYKDIGKGAATTLLFKAGGFSLLVTFVSAVVLMHFQMHQPPAAPRSRCADLSSAVLVSLTGVLLVATNGMFVALMDRDNDTVLVILVLPVVLVLGMLAGADLPPTEGAVTAAAVAQDEAYEEAMKSSAELATFGATAAFAIEGALILGYLKYPSSLDGCGRSPPAQVDLAVASFASTVSVLAMAATALPVRTLFPSARARAVAVAGHLNRAMLAALVSMATILAVEFLQWWFMLSLLPEAIAVALNVAIVAWTTEGGANVVDGGVEAAAAAAAAAGARERRAKGFRAVATMSFTLMAGTYAVYLGQKKYDVYLRAAMLVMLAAVVSSLRQMLRPFGRSRARGWWAVAAGAVSLVFPGLALVIAIPLFVKIFVHFYFGHVN >OGLUM05G21240.1 pep chromosome:ALNU02000000:5:23744697:23749226:-1 gene:OGLUM05G21240 transcript:OGLUM05G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0M6] MAADLQTFGALLKYPKVEGPIRKNASAPASACSSPHRRGDPLDADGRRLARGGSRSAEFGAEALILAEGEQASRRVMQGSILVKTESDSPGNAEICAKRSVLMERYVIGRQLGQGTFGKVYYARNLSSGQSVAIKMIDKEKILKVGLMEQIKREISIMRLVRHPNVLQLFEVMATKSKIYFALEYAKGGELFHKMARAKLNEESARNYFQQLISAMDYCHSRGVYHRDLKPENLLLDENETLKVSDFGLSALAESRRQDGLLHTACGTPAYVAPEVLSRKGYSGSKADVWSCGVILFVLVANYLPFHDRNIIQMYRKIAKAEYRCPRHFSAELKELLYGILDPDPSTRMSISRIKRSAWYRKPIAISALNNETGKKSCTSEAPFSGPTICISSERNQEPPNLHNLNAFDIISLSTGFDLSGLFGERYGRRESRFTSRKPAAAVLVKLKELAKALNLKVTKTDNGVLKLATTKEGRKGRLELDAEVSEVAPSFLLVELKKTNGDTLEYQRMMKEDIRPSLKDIIWTWQVLSTAKCAGWSVMSGNSIELPNDELTVQCSEGEVQKQSRLPSIKNFLVRVTVTYSTSRTSWSWTHGHGNCSKNASKNGIKGEAYNADCVSRRSIEVCAKLLEPDDQMIKLIDRLDRRRCKLIDRFRPKERLEMTD >OGLUM05G21250.1 pep chromosome:ALNU02000000:5:23750026:23751384:-1 gene:OGLUM05G21250 transcript:OGLUM05G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACGMGSSRRPRRWSGGSKLAVACLAAVAVTSLQLCCLSGCFIAACGGAGRDDDDVRRYSDHFGRLEGAGAHSGDLLEGGDHYHVGLGRRLLSGGPGSHPPRCTSKCGSCSPCSPVHVSVPPGVLVTTEYYPEAWRCKCRNRLYMP >OGLUM05G21260.1 pep chromosome:ALNU02000000:5:23753213:23756084:1 gene:OGLUM05G21260 transcript:OGLUM05G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNAFNLCSGGVYQSDLKSENLLQDENENLKVFDFGLSALAESRRLDGLLHTACGTPAYVAPEVLSRKGNSGSKANEKSRATKPAQLDAFEIISLSIGFDISGLFGEREARFTSRKPAATVLVKLKELTKALKLKVTKTDNGVLKLPTTKEGRKGRLELDAVLKKTNGDTLEYQRQVKEDIRPSLKDIIWSWQGNSIKLPNDELTVQCSEGEAQKQSRLPSIKCVSRIKEARYSSPHRMWNSSLCSLEVLSRKGYSGSKADDVYLNFSRIKRSAWYRKPIAISALNSETGKKSCTSEAPFSGPTTCISSERNQEPPNLHNLNAFDIISLSTGFDLLGLFGERYGRREARFSSRKPAAAVLVKLNELTKSLNLKVTKTDNGVLKLATTKE >OGLUM05G21270.1 pep chromosome:ALNU02000000:5:23774997:23776398:1 gene:OGLUM05G21270 transcript:OGLUM05G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVPAVWEGEGVNSGTGRAYGDGDGAGADRGNAVADALKLHASVCCRCSVAAGSQNITPFPDLKFELRTRQEAKNTLNTCTPLQESEPKTTGEK >OGLUM05G21280.1 pep chromosome:ALNU02000000:5:23783513:23785568:1 gene:OGLUM05G21280 transcript:OGLUM05G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0N0] MANARNAAAASPPPPSSSSSYSSSASDGEILRSLHRLARDLAAAEAPAPFLETVFAAVSRRAKLLAAVFDDLLRCGRLPRSASLCLREVLLVLQRFKAVVADCSARSRMRLLLQADEVAARVRELQHDLATLLDLLPVPELGLADDVVDLLALASRQCRRSSPSADAAEHELKAGVLALIQEVEREIVPERERLEGILEEVGINDPACCSDEIETLEREIGDRVAERWTSAMIALVGLLRYAKCVLFTAATPRPMDTKVDVDDDDDDDDAEPPSPPPDFRCPISLDLMRDPVVSASGQTYDRESITRWFGSGKSTCPKTGQVLANLELVPNKALKNLISRWCRENGVAMESSEPSKPEPAPVVTANKAALEAARMTASFLVKKLSVSFSPAAANRVVHEIRQLARSGNDTRAFIGEAGAVPLLVPLLHSDDTATQLNAVTALLNLSILDANKKRIMHSEGAVEAICHAMGSGATWRAKENAAATVLSLASVHSYRRRLGRNPRVVERVVHLVRTGPSSTKKDALAALLCLSGERENVGKLVEAGAAEAALSAISEEETAVAVLASLAKRGGAEAIVNIDGAVVRLVAELRRGTEWSRECAAAALVLLCRRVGAAVVAQVMSVSGVEWAIWELMATGTERARRKAASLGRACRRWAAAEQTAEYPATSDVTTTAITAS >OGLUM05G21290.1 pep chromosome:ALNU02000000:5:23793717:23794160:-1 gene:OGLUM05G21290 transcript:OGLUM05G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSLLLFTGAVAGVAMLVLPWWCAVGEDDDTYIDGVVDDSYDSDSWYTGYSDEDDDDVGGDNKDGLTPDELRRLPWFAYCGGGGRSCSICLEEMRDGERCRRPGRCRHAFHAACVNEWLTTRRTCPCCRELVLVPPAARLAAPTYR >OGLUM05G21300.1 pep chromosome:ALNU02000000:5:23797814:23798971:1 gene:OGLUM05G21300 transcript:OGLUM05G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKFRLSDMMPNAWFYKLRDMRARGGRGATAMQPPSSSSLMRGSRAAQQQAGTSRLGTSSPSSLLPHRASYYYTTRDREVPPPPPPPPRGVDDQFPPLTLSPPLPTRNSRRRHRVGRFGSTEMDGGELVLAPSDDHDGCSHQEPPVADASGSSRCRRDMFIGRDGGRGVEFRRRATTVDGPEEDAAVDVKVITSDADIIIDLGADDDDDDDDTPERVLRPVVTRPARRELDWCEPAEVKHVDIAELMTPRASSASASSEKSISTGKPRRSSVSSRRRLKTRTNSPRLAACRKDKPTARATTTTPTQPPLAHSFAVVKTSSDPRRDFLESMEEMITENGIRDAGDLEDLLACYLSLNSGEYHDLIVEVFEQVWTGLAAACGVMP >OGLUM05G21310.1 pep chromosome:ALNU02000000:5:23804123:23806283:-1 gene:OGLUM05G21310 transcript:OGLUM05G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0N3] MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFQVRNIVEQAAIRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRDRRPPERFRRREDRPTGPRPGGAGGPGAPAAAGPGGPPNVART >OGLUM05G21320.1 pep chromosome:ALNU02000000:5:23806982:23807735:1 gene:OGLUM05G21320 transcript:OGLUM05G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDEGIGDVDDAVAGPTEQGVIRDAKEVGVGLLADVAVWGRGRRGGGDEGEVVGGVAVAKRDDVGAAEHPHHHCLLRSTSRTRNITCSPPPLHCVGRALTATLLQTNLSVGPCQMRLWA >OGLUM05G21330.1 pep chromosome:ALNU02000000:5:23808659:23812202:-1 gene:OGLUM05G21330 transcript:OGLUM05G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFQFLEAPSDRSDSSVSSLVPTSPAPARLMARDTTKSREPRVNPQVRLAQAKRFAEGALEHYNRRKKVKFELWMPCLVLVYLSHTVFTPTSTSLPGPARRRRQEVFTARLSKKGSRGEPSNAVQRGFVVTCCEPLGPDSMVGRKLLERDDTKVVRKNTDFTYCYGCPQMISHPKGEMYIAGHCNIPHGNITAKRFKISRFYEFCNVNQINDDGVPCRLDQHNVKGTINNFLSKTMMIASFPVSYNCYDFEDRFEHVYQYDINDPVCENDGTDRIITHAAVIYWLRV >OGLUM05G21340.1 pep chromosome:ALNU02000000:5:23816599:23817948:-1 gene:OGLUM05G21340 transcript:OGLUM05G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVLFLLFLARQASAAGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNDGAACGSCYELRCDNAGSSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVSFRRVPCVKKGGIRFTVNGHSYFNLVLVTNVAGAGDVRSVSIKGSRTGWQPMSRNWGQNWQSNAFLDGQSLSFQVTASDGRTVTSNNVAHPGWQFGQTFEGGQF >OGLUM05G21350.1 pep chromosome:ALNU02000000:5:23824377:23824670:-1 gene:OGLUM05G21350 transcript:OGLUM05G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQRGRRLAGEERGEADRRSSGGWRAPGGLQRGKQRRVSGSGLWRAAGERRRSGRERSRPSRAGAATSELSHEDAEVDVVLGRPQRRRLRLRRDGA >OGLUM05G21360.1 pep chromosome:ALNU02000000:5:23825332:23826146:-1 gene:OGLUM05G21360 transcript:OGLUM05G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIAPWDGVCNHQAINSLRAMRRGDCCLFYHSGVGAASRHIVSVVEVTREWYEEEGEAASGGAMDVRAVGEFRRLVALGKMKIGDGVRTTTTALIPA >OGLUM05G21370.1 pep chromosome:ALNU02000000:5:23828166:23829931:1 gene:OGLUM05G21370 transcript:OGLUM05G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAARSGWPAAAAVLVVVLVLSSPPGTSTVVVARAALSCSTVYNTLLPCLPYVQSGGAVPAACCGGIRSVVAAARTTADRRAACTCLKNVAAGAAGGPYISRAAGLPGRCGVSGKLTWKQHFRPACVWAQGSHGPWAVNE >OGLUM05G21380.1 pep chromosome:ALNU02000000:5:23830656:23831225:1 gene:OGLUM05G21380 transcript:OGLUM05G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVAAPAPSVFEAARPALESGGGGGGAPPPGRADASFDTNMVIILAALFFALLFAIGLNSLARCALRCGGRGAAAAGGGGGGGGGGAAAAGVGCGGIKKRALRSIPVEVYCGGEETAETDVCAICLGEFADGEKVRVLPRCRHGFHVRCVDAWLVSHGSCPTCRRQVIGGGGSTPPPDSDTIAVVVA >OGLUM05G21390.1 pep chromosome:ALNU02000000:5:23832218:23832661:-1 gene:OGLUM05G21390 transcript:OGLUM05G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAREEDEVGGGGGGVVARYSLDVSDGCGGRHSALLDEYERMAFEAQLNRAIVLRRCYSEPSPARFPVAPPQRGGAEGDGCTAPWRSCRLHVVEAVFLRWLEAVKPVLCWLRSAWEQRRRRMERAAAAPRGPPATVPRVQLMDYFC >OGLUM05G21400.1 pep chromosome:ALNU02000000:5:23839472:23845137:1 gene:OGLUM05G21400 transcript:OGLUM05G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRFAAVVVVLLLALSLIPGPAAAAGRVLQGEKARPSEAAPAPTVAAGGSHKESAKSSNGQNPVTKETHHQTPPPAKPPKDQTPPPPPAVSESKGQKGDAGNNSGHLVPPTDAHKTSPPPEGPGPTGGKEQEGGAGGEKKNPTEEIKKVLSCEDAAEKCSVPGEITACLQVFKDGSIRPFVVVQNEGQNDVKVDVVIDGKMLPLQLAKGFSGQVNITYSNPNGVEITVKSGKGQCSLHTKQTVFDWQQQFQQFAAYATRANPIYGASFLVFTVVLVGVVCACCKFARRRASGVPYQQLEMGAQAPNSSGVENTTSTVDGWEDGWDDDWDDEEAAAKPSDKKPSGSISANGLSLRPQTNSKDGWDVDWDD >OGLUM05G21410.1 pep chromosome:ALNU02000000:5:23848381:23852306:1 gene:OGLUM05G21410 transcript:OGLUM05G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFQNSCSVLSALGPGGINGTQQNQTKQPPRTLHTQCISTPPRAPTLESNGRTPRRRLIPSSSSSSPMAALLLLTLAAILAAAGAVNDDVLALVVFKSGVSDPGGVLAAWSEDADRACAWPGVSCDARAGRVDAVALPAAGLSGRLPRSALLRLDALLSLALPGNNLSGPLPDALPPRARALDLSANSLSGYLPAALASCGSLVSLNLSGNLLSGPVPDGIWSLPSLRSLDLSGNQLAGSVPGGFPRSSSLRVLDLSRNLLEGEIPADVGEAGLLKSLDVGHNLFTGELPESLRGLTGLSSLGAGGNALAGELPAWIGELAALETLDLSGNRFVGAIPDGISGCKNLVEVDLSGNALTGELPWWVFGLAALQRVSLAGNALSGWIKAPGDNASALQELDLSGNAFSGVIPREIASLSRLQHLNLSSNTMTGKLPVSIGRMALLEVMDVSRNQLSGGVPPEIGGAVALRKLLMGSNSLTGIIPPQIGNCRNLIALDLSHNKLTGPIPATIGNLTGLQMVDFSENKLNGTLPVELSKLANLRVFNVSHNLLSGNLPISHFFDTIPDSFILDNAGLCSSQRDNSCSGVMPKPIVFNPNASSDPLSEASPGAPSSQHHKKIILSISTLIAIVGGALIIVGVVTITVLNRRVRSAASHSAVPTALSDDYDSQSPENEANPGKLVMFGRGSPDFSAGGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDEFKRQVKLLGKVRHHNVVTLRGFYWTSSLQLLIYDFVPGGNLYQHLHESSAERSVSWMERFDIIIGVARALAHLHRHGIIHYNLKSSNVLLDSNGEPRVGDYGLVKLLPMLDRYVLSSKIQSALGYMAPEFTCRTVNVTEKCDVYGFGVIVLEILTGRRPVEYLEDDVVVLCDVVRAALDDGRVEDCMDPRLSGEFSMEEAMLIIKLGLVCTSQVPSHRPDMGEVVSMLEMVRSSQGTPEDDLV >OGLUM05G21420.1 pep chromosome:ALNU02000000:5:23854966:23856016:-1 gene:OGLUM05G21420 transcript:OGLUM05G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIATGATASPASSMASELMAQGRESAAVLEALLHGASLPPAHGGAHALAAEILRCCDRALAALRAGGDAESSSPGTKRSKPATAQPATRRRRRATASGGGAAAAAETARVDRARTSEDGFLWRKYGQKEIKNSKHPRLYYRCSYKDDHGCTATKQVQQSEEDPSIYVITYFGDHTCSCQTAAAMDDDDDDDENSQHFVIHFGSATASRNGSPPLLYDDDDDGDVWRETAATPPSSRQSRSSPEVDGEESGVKMSKEEPVDSCPAPSAVSSPADVVSCSSPAMEPDLLRCLNWDDDFGDSSFVDADEFMNFDEIDLFQIYS >OGLUM05G21430.1 pep chromosome:ALNU02000000:5:23859511:23862947:-1 gene:OGLUM05G21430 transcript:OGLUM05G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLPKSERSPSPPPPPPGDQRDAAIQELSKGSELATQLMAQLELIPERELNGRRDDALANVRSLSMSLSSSLYALRSERREHYYCGSSSSGGAGPAAVTSVSGGGRGGGSGARNKTKRRRGKHGEELTETVFVTTTPENDGFHWRKYGEKKILNSEFPRLYYRCGYSDERKCQAKKYVQQENNKHPPEFRVTLTKEHTCNTLFQDQPSSSSTNSQVLDFTKASISSPLMDSHVGAPTLKEEEEEEVPSIDESSRIMSTIMRNYGAYGDYDESSPQPWNGAGWQ >OGLUM05G21440.1 pep chromosome:ALNU02000000:5:23872860:23873980:-1 gene:OGLUM05G21440 transcript:OGLUM05G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTMVSLAAIADRKPTAASAQLVAEGRESAARLYALLVGSSALHGPVGLTEQILLCFDRALAKLHGVNLAGAEDDDDAAGNGNSRKRKSGRGRGLTAASAAASSKRMRVSSAGGNGARIERKATMDDKFLWRKYGQKDIKNSKYPRFYYRCSYKDDHGCTATKQVQQSEDDDDTASPVYVITYFGEHTCRPGIDAAAMVVDGGEEEDQLSPAQMVISFASSGGGGDASVSWPCSGDDAQNNSETSHESRPPDQAPAGEEEERLRPCTTADVSDEPIMDQSTPPAPELLADLKPMDGCLLDGESLFGMDELVYFHELSAALGLLDRDWAAPV >OGLUM05G21450.1 pep chromosome:ALNU02000000:5:23891628:23891984:-1 gene:OGLUM05G21450 transcript:OGLUM05G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSLRPRGRRPREACGDDRLSALPDDLLLLVLRRLYTRTALATGMLSRRWAHLPHELPALDFKVSDILRRATTGDRCILRHRGVVGASPVASRRHRVARHRPPTPSSSPTLSRPRQ >OGLUM05G21460.1 pep chromosome:ALNU02000000:5:23892331:23892732:-1 gene:OGLUM05G21460 transcript:OGLUM05G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGEGSKASAGEQGVRGGEAVLGRGQLDPAQRRVRRRRRATCGPSTRRRPSPWRWRRLVRCGHGGLRELPGREPAGEVVEVGRLAGRDADGAEVGGGEGEHRGGVDQRGIGIGGSGEAREEASVDGGGGGGS >OGLUM05G21470.1 pep chromosome:ALNU02000000:5:23896152:23897201:-1 gene:OGLUM05G21470 transcript:OGLUM05G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRATALAATAGESSAASASQLVAEGRRSAARLHALLCRQQAAAGSSSSTLHGCPMALTEQILCCFDRAIAALHGGAGAGCREDDDGHAAVRGGKCKPERGSAGARAAASSKRIRVEKKATMHDNFLWRKYGQKEIKNSKHPRLYYRCSYRDDHGCTATKQVQQSEDDDTASPVYVITYFGEHFCGRVADVGGPVVAGDDGEESEELMVISFGSSAAAAAAATGGASAAYPWPCCGEDAKNRGPSKTWSLPDWEEVPPLTANVAEELIEEESTPEPELMGLISSPDLEYSLLDLELGESSFGIDGFINFDELSVYSIDNAR >OGLUM05G21480.1 pep chromosome:ALNU02000000:5:23927856:23928077:-1 gene:OGLUM05G21480 transcript:OGLUM05G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEETVAMAGTGSWEEALLVLFDTAWQQGNLLTGGSGGTAGALALTRGRGGSGRQATPPVAGLRRRMESRRR >OGLUM05G21490.1 pep chromosome:ALNU02000000:5:23928128:23928310:-1 gene:OGLUM05G21490 transcript:OGLUM05G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAVAVATPCMATRLPLMAKERQRLWQNAPTYQVAKNAAWSAWRMYSEWRRQLQISRMP >OGLUM05G21500.1 pep chromosome:ALNU02000000:5:23928524:23936560:1 gene:OGLUM05G21500 transcript:OGLUM05G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHTHIIRPDFTEELALGGVKQPKDSEVQNDTVAMGPMTAKRKTVDGMGSSPVLGRHHRHAPCPNGCPTAPATKASRPSIAP >OGLUM05G21510.1 pep chromosome:ALNU02000000:5:23933579:23934599:-1 gene:OGLUM05G21510 transcript:OGLUM05G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTNAASRHVAKGRKAAAHLHSLLGQRLPAAAGSPSSSTLHGLLVLTEQILRCFDRALAALHGGAAGTWDDDAAGSGRKCKPERGEVGTRAAAASSKRVRVEKKGTTHDNFLWRKYGQKEIKNSKYPRFYYRCSYRDDHGCTATKQVQQSEDNPSLYVVTYFGEHFCGRVADVDGGPVVAGDDSEESEELMVISFGSSGGAAAMGGASAAYPWPCCGEDVKNSGASQPWSLPDWEGVPPLTASVAEELIEESTPEPELMDLISSPDLEYSLLDLELGESSFGVDGFINFDELSVYSIDNAR >OGLUM05G21520.1 pep chromosome:ALNU02000000:5:23943114:23947586:-1 gene:OGLUM05G21520 transcript:OGLUM05G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMVNLPGRLDELLRRHGNILPKGADKEIPLIRQDIEEITSILHGHHSDATELEDYHDMVVRCWTKEVRELSYDIEDCIDQYEDAVEQYEHAATVGRYPSVSTIRRRKFSRRPVGSKTPLVPEKLKQRLWMANKIREFSLRVQEALQRHAILHSSDLGGIANTSAGHPTLCRKRADGVRHVGLDAAINKVQEWLADGEKKLKVVSIVGGGGVGKTTLANELYRKLGRQFECRAFVRASQKVDMRRLLISMLSQVRLQQPPDNWKLHSLISSIRTHLQDKRYLIIIDDLWDICTWEIIKCTLPDGNSCNRILITTEIEDLALQSCGYESNYIFKMKPLSEDDSRNLFFSTVFGSHSNCHPELSEVSYDIVRKCGGLPLAVVTIASLLATQLEKQEQWDYINETLGYSLMANPNLEGMKQLLNLCYNSLPQHLKACMLYLRMYQENSIIWKDDLVNQWIAEGFICPSEGHEKEEISRAYFSELVDRKFIQPVHINDNGEVLSCVVHHMVLNLITYMSTEENFAIAIDHTQATARLADKVRRLSIHFGNVEDATPPTNMRLSQVRTLAFCGVLNCMPSITGFQLLKVLILHFCGDEDSISFDLTEISELVRLRYLKVTSNITLKMPTHMQGLQYLEALKIDGKIDAVPSDIVHLPGLLHLSLPARTNPPNGIAHMSSLRTLGYIDLSCNTSENLWSLGELTNLQDLQLTYSAIHSDNLKNNMQYLGSILGKLPNLKSITLSPVGSSYANTLHIHSATSTSVSVYGWSSVSSPPALLQRLELLPCVCIFSSLPNWIGQLGNLCILMIGIREVTSYDVDVLGGLPALTVLSLYVHTKPAESIVFDNARFSVLKYLKFRCSLAWMKFEAGAMPNLRKLKLGFDVHRADQHDAIPVGIENLSGLEEITAKIKVDCTAGDLCRRFAESALTDAIRMHPGRPIVNIRCVDWTFDDKDNNNVRTRDEEHRTTEKQHLIVKEGLNEKSVVLQKDHGEGACKSVEGERRRVLSARSWWRSRPPFEPHPPLDDKDNNNVKTRDEEHKTIEKQHLIVKERLNEKSVVLQKDHGEGACKSVEGERRGVLSAGSWWRRQPQFERFYKSAESRADDGGGGGSIISGAQTVPIKNFTGGWPAPPWQEGSGTTMPPVNINAGEVTVAREAPLPQPGYINVIYCSPPRSCTTSQDESTEAGERERSGGKVSGSGTGSSKGGFFGPTFQAVGGYMDRRFGLDDREDSDAGRRPH >OGLUM05G21530.1 pep chromosome:ALNU02000000:5:23955525:23956666:-1 gene:OGLUM05G21530 transcript:OGLUM05G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKMRFGRCPYCRAMIYQNPKAVIFYCSRCRTPIRGKNPEPTDEAEYALSRLEILSADTASVFSDEPEDAGSDRRADEVRPLSRRTRRPSSSSDWTTTTDSERSEEAFYTPRNAQEGRPWQSPSPVSSQELGASGGGGGLPRPPDEPGAVAAARLMDPAFHKELLHALDNLRSLIVTIEQPRPASGGGGRALTRRDSRLFRRLESQLERALPPQDTASTSASSSSCRGDGGGGGGGRPSAPARREGTDPCRPVLGGAPFVICGKCSELLRTPPPPRPRRRRWTTRIRCGECNEVLELSLPAGGVPAQHRPIRTCSAPLVSDHHRPLPRRLE >OGLUM05G21530.2 pep chromosome:ALNU02000000:5:23955527:23956644:-1 gene:OGLUM05G21530 transcript:OGLUM05G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEEVKMRFGRCPYCRAMIYQNPKAVIFYCSRCRTPIRGKNPEPTDEAEYALSRLEILSADTASVFSDEPEDAGSDRRADEVRPLSRRTRRPSSSSDWTTTTDSERSEEAFYTPRNAQEGRPWQSPSPVSSQELGASGGGGGLPRPPDEPGAVAAARLMDPAFHKELLHALDNLRSLIVTIEQPRPASGGGGRALTRRDSRLFRRLESQLERALPPQDTASTSASSSSCRGDGGGGGGGRPSAPARREGTDPCRPVLGGAPFVICGKCSELLRTPPPPRPRRRRWTTRIRCGECNEVLELSLPAGGVPAQHRPIRTCSAPLVSDHHRPLPRRLE >OGLUM05G21540.1 pep chromosome:ALNU02000000:5:23964621:23969371:1 gene:OGLUM05G21540 transcript:OGLUM05G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01920) TAIR;Acc:AT5G01920] MASSLLLPRATFAATTKHLAVLHPPAAAACRPHPPRLIRCGAAAVPDDEILRSLYLVQADAASPVVSADTGNDGWAALLDEIRGSLQAEDSSSSIPAATSGGVVVPDELLTAPPSVVIPDEILGADPSSTLQAPGPGGGAIPEDLLAALHLDASNPVVRAAWGALSRLDELTSGLSGPQRWAAAAFAAATWAYLTARPGVLSGAVDAYVLAPLQLAVDSAVGRRSLRMSDFVVGERIGEGSFGVVYSGAVVPRGGAAPAARKGKAKTRLELDERYKEKVILKKIKVGTAGAKECGDYEEWFNYRVARAAPESCAEFLGSFVADKTKSEFVKGGKWLVWKFEGDRTLGNYMSDRNFPFNLEGLMFGRAVRGLDDGSRAALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPQPPAEPIAAILSPILWQINSPDLFDMYSAGIVLMQMASPMLRSPSGLKNFNAELKAAGYDLNRWRETTRRRPDLQILDLDSGRGWDLATKLISQRGADKRGRLTAAAALRHPYFLLGGDQAAAVLSKLSLSK >OGLUM05G21550.1 pep chromosome:ALNU02000000:5:23966640:23972109:-1 gene:OGLUM05G21550 transcript:OGLUM05G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLPLALQISLVAKPAWNLKGDSDLLAIHPGISDRQAVVSAVDKELRFPGWAWRPPVPFASPGAIPVPPTPPPGAAAAAVDARPTCSAQNQADGEPNFSCPFALAESTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDLGQDGGGLVAAEEEVRVAQGGGGGEAAALVGAALRDELGGEVPPPAGVEVEDLQVGSPPRGLPPPVEVVPGGLELGVENKAY >OGLUM05G21550.2 pep chromosome:ALNU02000000:5:23966640:23971071:-1 gene:OGLUM05G21550 transcript:OGLUM05G21550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRPRVSRQARRLRLDKGTRGRWALVQAVAAYREELAAAASQLGIGGFMREGDDGSGPAKRWRLARQATGRAQRAEDTCILTNYLKSMGCCGSSTVDAEEHLDYSGGNVTLVTDQKNWDNTMEEVAEHGKTVVLKFSAIWCTPCRNAAPLFAELSLKYPDIVFVSVDVDEMPELVTQYDVRATPTFIFMKNNEEIDKLVGGNHEDLQEKFEQLNRPKLYDDLGQDGGGLVAAEEEVRVAQGGGGGEAAALVGAALRDELGGEVPPPAGVEVEDLQVGSPPRGLPPPVEVVPGGLELGVENKAY >OGLUM05G21560.1 pep chromosome:ALNU02000000:5:23972185:23974761:-1 gene:OGLUM05G21560 transcript:OGLUM05G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLVLIAFLSASFLFLHIPCARCADLNSDRQALLAFAASVPHGRKLNWTLTTQVCTSWVGIKCTPDGRRVRELRLPAVGLFGPIPSDTLGKLDTLQVLSLRSNRLTISLPPDVASIPSLHSLYLQHNNLSGIIPTSLSSNLTFLDLSYNYFDGEIPLKVQNITQLTALLLQNNSLSGPIPDLHLPNLRHLNLSNNNLSGPIPPSLQKFPASSFFGNAFLCGLPLEPCPGTAPSPSPMSPLPPNTKKSFWKRLSLGVIIAIAAGGGLLLLILIVVLLICIFKRKKDGEPGIASFSSKGKAAAGGRAEKSKQEYSSSGIQEAERNKLIFFNGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMEIIGRVGQHQNAVQLRAYYYSKDEKLLVYDYMTPGSLCAALHGNRTAGRTTLDWATRVKISLEAARGIAHLHAEGGGKFIHGNIKSSNILLSQGLSACISEFGLAQLMAIPHIPARLIGYRAPEVLETKRQTQKSDVYSYGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDADLLRHPNSEDEMVQMLQLAMACVAIVPDQRPRMEEVVRRIEEIRNSSSGTRSSPEDKLKEEAIQIT >OGLUM05G21570.1 pep chromosome:ALNU02000000:5:24005688:24009215:-1 gene:OGLUM05G21570 transcript:OGLUM05G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLLGAEVGKNDYDWLLTPPGTPRCPVLEVAEKTPSPNILPKRTATRSSSTTRASRLSVSQTENGHSTAPTRPARSNSVTRPSIQSTLMSSSNRTAALNTSISSVSSRPTTPSRRSSTVVAPKQSIAASRPVPARSSTPVKTRPSTPTKTRPSTPVRTRQTANSTSDSAAARTTSAQNSRPSTPTSRSRAMPNSSSGAIPAMSRPGASTISATSRSNASTISATSRPGSSSSNVPGISRATSLSSSTVPSMSRSSSRSSTPTRQPAMRSSAPAVGRSPSVGRSSSISSLTSSINRPAANGGRNSAPSSAPSSRPSSPGPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGVRSTSNTEPSAASAPVKKVSVPAMSRSKFSDAPSRTPTLTNGRQNRQSERSTVDSQPSKVSRPATGIDNGFGMTMSKKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTAGKGRPARMSDPGHTISNGDHRHYADNGSTNGHFSGDSNGALSRNGGSSTDSPDRGSIGGKETLSELDMYGSSRYEAMLLREDVRNTSWLHGFDDSKPDQSPLFDHRFEPLPEPFSPL >OGLUM05G21580.1 pep chromosome:ALNU02000000:5:24014469:24014684:-1 gene:OGLUM05G21580 transcript:OGLUM05G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTQIAHYARNDGHDDGCRRAPRGCVVVVVGGGGGGSFFIFSGVKAELGQARGVPGGAGTYALSSPAPSS >OGLUM05G21590.1 pep chromosome:ALNU02000000:5:24014938:24018351:1 gene:OGLUM05G21590 transcript:OGLUM05G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit E2 [Source:Projected from Arabidopsis thaliana (AT3G08560) UniProtKB/Swiss-Prot;Acc:Q9C9Z8] MNDADVGKQIQQMVRFILQEAEEKASEISVAAEEEFNIEKLQLVESEKRRIRQDYERKAKQVDVGRKIEYSTQLNAARIKVLRAQDGVVGEMKEDAGKSLLRVTKDATAYRKSLLRLREPSVVLRCREADRGHVESVLEAAKKEYAEKAKVNLPKILIDGKVYLPPPKTARDAHGPFCSGGVVIASQDGKIVCDNTLDARVEISFKQKLPEIRKKLFSQKVSQ >OGLUM05G21600.1 pep chromosome:ALNU02000000:5:24016423:24018270:-1 gene:OGLUM05G21600 transcript:OGLUM05G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRANRSGQGEDESLGRCLRWRRNRFKRLFGDSACDVRRPLERLIEEKPEGDNYERGKGLMERRK >OGLUM05G21600.2 pep chromosome:ALNU02000000:5:24016927:24018270:-1 gene:OGLUM05G21600 transcript:OGLUM05G21600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRANRSGQGEDESLGRCLRWRRNRFKRLFGDSACDVRRPLERLIEEKPEGDNYERGKGLMVSFA >OGLUM05G21610.1 pep chromosome:ALNU02000000:5:24018499:24020382:1 gene:OGLUM05G21610 transcript:OGLUM05G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPRTPRTTKTQERKRHQRGEQHREGIKNIRENQSAGVEKRSQETSATKRAGRRRERGGGESIGWSRRTGRGCAVETDSASTAGPPSATIAAPSIGIVTIRQEVAAESLQSIGLLGSGDPAAFAKYPVGRWGYNWNYIQRVKDWNRDWILLNPRMTPLQGRGRTCVNCNQKIGESSARYCCLMCKHNHVHQGKGRDMIQALAAGNYFQIHRPDRFCTICMSSFCSACCAEHIERHHPEEANAHGDQIIEVVHVDAWAAVAPSVLVPEDVLHGVQVVHAGGGALVYPVMRLEAPPAVQHVGDVPWQHNCGAPGCHEMILVQAQFCCLRCKAAVHWAA >OGLUM05G21620.1 pep chromosome:ALNU02000000:5:24023007:24024528:1 gene:OGLUM05G21620 transcript:OGLUM05G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLYLQRLVQEDWRMTCRRNRFCFYCWLSFCDHCCKEHWDHHHPEEGLPRVATVGSKDDFFFFFFFFFFFFFFFFFFFVSDRASCVSSYSRSQVELLAENPAVLARYPVGTEYDWEGIQRLRGDEQTNWILLRPWVPPMYGRKKDFSSCVDCHQRIKKPTNALYCCTMCKLNQVQEEDQGRDMVEALATGDYSTQALLHDNFCVLCTSSFSSDCCTYHMELHHPDVEDIGVWLVLIEVVYVDGWAAVAPSELVSENVLAGVQVLQVQADDETVLYPLRRTVAAAVDRLGHVPGWHGCGAPSCHEMIPAQALFCCLRCKAAVHWAA >OGLUM05G21630.1 pep chromosome:ALNU02000000:5:24025482:24029742:1 gene:OGLUM05G21630 transcript:OGLUM05G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear shuttle interacting [Source:Projected from Arabidopsis thaliana (AT1G32070) TAIR;Acc:AT1G32070] MAPAASASAVVTPSSFRCVPTASCGLGARGKAPAPRRLLHDHAQGKKRAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVNVYDLQALCDKVGWPRRPLTKIAASLRNSYLVATLHSVTTPSKAEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >OGLUM05G21630.2 pep chromosome:ALNU02000000:5:24025482:24029742:1 gene:OGLUM05G21630 transcript:OGLUM05G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear shuttle interacting [Source:Projected from Arabidopsis thaliana (AT1G32070) TAIR;Acc:AT1G32070] MAPAASASAVVTPSSFRCVPTASCGLGARGKAPAPRRLLHDHAQGKKRAAATWSLKAGLWDSLRSGFLKSNNSTETVEPPSAPIEEEEPLPEELVLLERTLADGSTEQIIFSSAGDVNVYDLQALCDKTPNQNSSILKKQLPGCYTTFSYYAFKSRSYLTEGEERKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDISNITLFADNKVVDFYKNLGFEADPQGIKGMFWYPRF >OGLUM05G21640.1 pep chromosome:ALNU02000000:5:24036178:24045345:1 gene:OGLUM05G21640 transcript:OGLUM05G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGGVLCAVTLVVLLTFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLSLRNCSLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNLNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMLCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRRSLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCPPECVDSFLQLAMKCSRNETDARPSMTEIVRELELILKIMPEGDLIQLETPQTFSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMISFKKPWTQVKSIAT >OGLUM05G21640.2 pep chromosome:ALNU02000000:5:24036858:24045345:1 gene:OGLUM05G21640 transcript:OGLUM05G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGGVLCAVTLVVLLTFLEAADGKSTDPSEVSALMAIKGSLVDPMNNLKNWNRGDPCTKNWTGVFCHDLGDTYLHVTELQLFRRNLSGNLVPEVSLLSQLKILDFMWNNLTGNIPKEIGNITTLKLILLNGNQLSGLLPDEIGNLQSLTRLQVDQNHLSGAIPKSFANLRSVKHLLVDNNNLSGPLPPELAAAKSLKILQADNNNFSGSSIPTLYYNMSGLFKLSLRNCSLQGAIPDLSAIPQLDYLDLSWNQLTGSIPTNKLASNITTIDLSHNMLNGTIPSNFSGLPYLQLLSLKNNLLDGSVPSEIWAGVNLNRNGSLVLDFQNNSLNMLPAEISPPPPNVTVVLYGNPICENSSETLIINLCRLQSINLEKSKQETSTAMLCGACPTEKNYEYNPSFSDQCFCAVPLGVGLRLKSPGVTDFHPYENAFKIDLTSLLQLFPYQLYIENYIWEVGPRLNMHLKLFPSNTSLFNMSEVVRLRHVLAGWEITLLDVFGPYELLNFTLGSYEDEYPNLASSGLSKAALGGILASTIASAIALSAVVTALIMRRNSRTNRISRRSLSRFSVKIDGVRCFTYEEMASATNNFDMSAQVGQGGYGIVYKGILADGTIVAIKRAHEDSLQGSTEFCTEIELLSRLHHRNLVALVGYCDEENEQMLVYEFMPNGTLRDHLSGKSKQPLGFGLRLHIALGASKGILYLHTDADPPIFHRDVKASNILLDSKYVAKVADFGLSRLAPVPDVEGALPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMKPIEHGKNIVREVKKAYRSGNISEIMDTRMGLCPPECVDSFLQLAMKCSRNETDARPSMTEIVRELELILKIMPEGDLIQLETPQTFSGRAMSKDPMSKSTSNSTNGNYLASSQTFTSVDASSSGVLSGMISFKKPWTQVKSIAT >OGLUM05G21650.1 pep chromosome:ALNU02000000:5:24044249:24049359:-1 gene:OGLUM05G21650 transcript:OGLUM05G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLRRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANEPEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGAKRRKLRGRRLWVFHKTIITLFVAATDRVFTIIGVPLTTVET >OGLUM05G21650.2 pep chromosome:ALNU02000000:5:24045657:24049359:-1 gene:OGLUM05G21650 transcript:OGLUM05G21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2/B3-like transcriptional factor family protein [Source:Projected from Arabidopsis thaliana (AT5G58280) TAIR;Acc:AT5G58280] MAAEAGSAAAGAAYEEERRKRVLENLKHLEDLGIKKMSKSLLEAARLQKSTRASPKPRKKFEVGATEVRRSSRARNSVSYKENFDELDSFLRRRRGSRIRSTEQGRDYTGRVASYEQQQRAFKKAERLQNSLDPENPSFVKTMVRSHVSSCFWLGLPTRFCKLHLPPKEYKMVLEDEEGGEFDSVYIGNRTGLSGGWRGFAMHHNLEDGDSLVFELAEPDRFKIYIIKAVDEDANEPEPADEEAVGDKDTSTEDAAEQDDSPNAEPLKGAKRRKLRGRR >OGLUM05G21660.1 pep chromosome:ALNU02000000:5:24054887:24058893:-1 gene:OGLUM05G21660 transcript:OGLUM05G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRTGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >OGLUM05G21660.2 pep chromosome:ALNU02000000:5:24054889:24058710:-1 gene:OGLUM05G21660 transcript:OGLUM05G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPERVSFSQGPGWQGAPQSPSSYIVKKILRLEIPTDAYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRTGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >OGLUM05G21660.3 pep chromosome:ALNU02000000:5:24054889:24058710:-1 gene:OGLUM05G21660 transcript:OGLUM05G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLHGTDGCFSPGRAMSPQVRPPVPPDAASGGQYLAELLQEHQKLGPFMQVLPICSRLLNQEIMRVSGMFRQPGVGDFERSQPASPNQMHPSHIVPNFCGNAFGPWNGMRPEFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDPNKEEQLKGRTGYEHLDDPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELALLNSPLREESPHPGSASPFSNGGMKRMKQ >OGLUM05G21670.1 pep chromosome:ALNU02000000:5:24066162:24069039:1 gene:OGLUM05G21670 transcript:OGLUM05G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHWLEAMLPLGIIGGMLCIMGNAQYYIHRAAHGRPKHIGNDNWDMAMARRDKVLLHQASSENN >OGLUM05G21680.1 pep chromosome:ALNU02000000:5:24069439:24071645:-1 gene:OGLUM05G21680 transcript:OGLUM05G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKHGIQRSATFVEDHRQQPPQPGDTSSPAIASPRATRFADDSRRPDRSLAAASSSPQPDGSTPDPVTQLYTSARGAKGNETKHGFWGVLAQQAIVMLDENGGTDDNHSVTSQSRWSYDRVRKPENPPLDIGCKIKTALEEGLTKVEGSSRTGDGVHGRKLHIRRKACSMDLRNSSMGLSSPEAMSPTMSDTESPQIKASRDVASAMAAKVKLLQRELKTVKADMAFSRERCAQLEEENRMLRDGKHDADEDLIRQQLETLLAEKARLANENTVYARENRFLREIVEFHQLNMQDVVDLDDEDMAGDGDGEEGDDDHQQYGCHLRAHEAAHGLWAGGGLGTPPQSPLGHAGRMGMSRSNSRAAESPTMRRSLKEENVDEPETPPTRRCLKDQEPDVDAPPETPPTRRSLKEKADVDAPPETPPTRRSLKEADVDEPDTPPTRRSIKEDADDAPETPTTKQDIGSPETATTPARRSSNDDLGAAETTTPTRRSFKDDNGVTEMKNEH >OGLUM05G21690.1 pep chromosome:ALNU02000000:5:24073612:24078229:1 gene:OGLUM05G21690 transcript:OGLUM05G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSPAAAALLLRRSLAAAAAASPALRSGPRQLVRAALLDESLSIQTSSAMAVQYHQWSSSADGDDDEVLEAFNRDCCTNAGAGVLDDSASTAYVEKLCISGNLPDAVQILRHLHDRKIHVGLGTFNLLLEQTGEVNNFVLFAKVFRYLLLSKIAPDLTSYTNVAKALQKLDDYELILKFVRQVMEITHDRDPTVMNRIVFTTAKYGQIDKCLIIFEELKKDQRGLDVVTFNTILDMLGKAGRVDQMLQEVKLMDELGHSPDIVTYNTVINCLRRLGRLDQCKIFAREMVERGIDPDLRTYTALIDIFGRAGHITEALEMFDQMKRSHQPSIYVYRALISDLKKAGQFELAQKLSEEMKTSASELLGPEDFKQKFKGRKINKNNTHWFNFCLFCTSTSSISALPFMFSAVKNSWSLEWREGGSCMRGEVMGAISSPVDPAAVSAIYDWRPVVSSLARLLSV >OGLUM05G21700.1 pep chromosome:ALNU02000000:5:24078823:24081203:-1 gene:OGLUM05G21700 transcript:OGLUM05G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRSVLEMVVAAAQGGGGAAGESVLGMLRYAVLPIAKVFVVCFMGFLMASKRVGVLKPSGRKLLNALVFSLLLPCLIFAQLGRSITIDKIMEWWFIPANIALGAVSASLVGLIVALIVRPPYPYFKFTITHIGIGNIGNIPLVLISALCRDQLNPFGDSNKCTQDGNAYLSFGQWVGAIIVYTYVFKMLAPPPGQTFDSCDEERDKLPIKAPNTMSSVAKYPSSAHGNTHEEEPLLSIEEEEEEGQDVHSLGSKIMIPIKGMVRFLQKKQLLQPPIIASVLAITLGVVPFLKNLILTDDAPLFFLTDSCLILGEAMIPCILLAVGGNLVDGPGEGSRRLGVRTTVAIIFARLILVPIAGIGIVSFADKLGFIPKGDKMFKFVLLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIILYLTMLF >OGLUM05G21710.1 pep chromosome:ALNU02000000:5:24081660:24081872:-1 gene:OGLUM05G21710 transcript:OGLUM05G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRPTWHASPLVAASSSQLPSARGGVGRESSATAAAAAADEPYHDRLAAFPGRFRRRRLLPRAPAAAF >OGLUM05G21720.1 pep chromosome:ALNU02000000:5:24085821:24086543:-1 gene:OGLUM05G21720 transcript:OGLUM05G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTWSMAVKANAKKLKRPSAASATMKELALCYDSIVHIACLVGVYYRPTQPTCHEGDVGRHVPLERPNNMKWMENERYLTVVNHLVSAGFIIGVTLVFTHQDMKQGLLFLNKAATSGHKMAAYVLILLLYKSNEAHATRKKCISQVEGDSDKAATGVGVKRTNRECQRCQKITEDVIQEATWKVGGCRSRMLVLPEDSHQCMTACCGVE >OGLUM05G21730.1 pep chromosome:ALNU02000000:5:24088111:24091096:-1 gene:OGLUM05G21730 transcript:OGLUM05G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTQSMAARAKAKHMNRPSATSATMKELALCHDNVVHIACLVAATSPEPIADLLNLRATCKAMHAAAKERDVGRCVPLERLDNMKWMENERYLAIVNHLVGAGNPDACFITGVTLVFAHQDMEQGLLFLNKAVTAGHKAAAYVLGLLLYKFDDARATGKKYISQVEDDGNEAATGVGVKRTNRECQQYRKIVGDVIQEAMWKVGGRRGRMLVLPEDSHHCTATGCGVESGWDGYGVFCSDDCRIKHEYSKFFTEAKQMKRPSMASATTKELALRHDNIVHIACLVAATSSEPITDLLSLCATCKAMHAVAKECDVGSYVPLERLDNMKWMENERYFIVVNHLVTADNLDACFIVGVTLVFAHQDMEQGLLFLDKAAITGHKAAVYVLGLLLHGDGEEVATGVGVKRTNQECQQYRKIAEDMIQEAT >OGLUM05G21740.1 pep chromosome:ALNU02000000:5:24107408:24119360:1 gene:OGLUM05G21740 transcript:OGLUM05G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFVFFTAAAAALPVVVAAAVIAGLCITAAWLARPRRVAEVFRRQGIDGPPPSSFLAGNLPEMKARVAAAASAAAPTADGEETASAGGGGGGRDFEKDGFDDYCTRIFPYFHKWRKAYGETYLYWLRRRPALYVTDPELIGEIGRCVSLDMGKPKYLQKGQEPLFGGGVLKANGACWARQRKVIAPEFYMARVRAMVQLMVDAAQPLIASWESRIDAAGGAAAAAAEVVVDGDLRSFSFDVISRACFGSDYSRGREIFLRLRELSGLMSETSVIFSIPSLRHLPTGKNRRIWRLTGEIRSLIMELVRERRCAARAAREHGGKAAPPSPPERDFLGSIIENSGGQPRPDDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLEVCGGDGAAAAAAPDFDMVSRMRTVGMVVQETLRLFPPSSFVVRETFRDMQLGRLLAPKGTYLFVPVSTMHHDVAAWGPTARLFDPSRFRDGVAAACKHPQASFMPFGLGARTCLGQNLALVEVKTLVAVVLARFEFTLSPEYRHSPAFRLIIEPEFGLRLRIRRAGGQDATSQADTSTAPVHSSHN >OGLUM05G21750.1 pep chromosome:ALNU02000000:5:24122240:24126671:1 gene:OGLUM05G21750 transcript:OGLUM05G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRISASPRPCSGRRVVARKRPRHEAAVNSVRKLQRREISSCRDRAFSMSAAQERFRNIQLQEEFDTHDPKENSLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNRRICFLNGSPDEVIRSLFYNKNNESLITVSVYGSENFSALRCRTTRIEYIRRAKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSISDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSNDSSSEENAGSINISNILTGKCLAKIKASDLCKQKKAWKFQSTALEALEDITALYYDEERDEIYTGNRQGLVHVWSN >OGLUM05G21760.1 pep chromosome:ALNU02000000:5:24127514:24131108:-1 gene:OGLUM05G21760 transcript:OGLUM05G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDFSWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAETPTMDSLKKGAPEKWKLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDTALASGKIFEGEGFKYIKESFDQGTLHLIGLLSDGGVHSRLDQLQLLLNGASANGAKKIRVHILTDGRDVLDGTSVGFVETLENDLSQLCAKGIDACIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHKFQNAVEAVKTLRSETKANDQYLPPFVIVDDSDKAVGPILDGDAVVTFNFRADRMVMIAKALEYEDFDKFDRVRVPKIRYAGMLQYDGELKLPSHYLVSPPEIERTSGEYLVKNGIRTFACRQFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVKPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAIEQVGGIYLVTADHGNAEDMVKRNKSGQPQLDKKGEIQILTSHTLQPVPVAIGGPGLHSGVRFRNDVQTPGLANVAATVMNLHGFEAPADYEPTLIEVVDK >OGLUM05G21770.1 pep chromosome:ALNU02000000:5:24133436:24151268:1 gene:OGLUM05G21770 transcript:OGLUM05G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWCDLNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPVPWILVPSAGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLNFGNTRGHGRHVLLSLRTKYRIGLPGIVYLHLNPEFIRDMVMIAATLSSPPEDEPCIGAAISSYWPPGMNGARVAVMPTAIEGVIGPILEDVIHHKEAFYFLTAQEHLHVFALPEFRVNRRGHLHIAPMEIRSFPHDGRDYDGRAVARYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELPINNGEARYAWNELESLGGRMLFVARGCSKSYEVADYPGLGFSAGVYFLDDGRIYDEFTVLDDTARRYPCRDSGKWLLGAAEADNFLPEQALIDWWMKARMAFRTGYRSIFDSVFALTCWLLWEERNARVFEQKFRSIEQLVQNIKEEVIVWKTAGFYRLVAHLLHLPNQPTISFRFSLSKKKKIPFRFEKQRNPAHTAHRLASSPRHRQAAPPASPMDDAPWRELDADVLRLIHSRLPCHVDRRRMGRVCRNWRVAVAPQQQPPPPLPSILVPRADVGPSFACAIAGCATHAFRPPLPADARAARYFGAYDGRWLFVAFGQTKDYALLSLRTHHRLRIPYPYVSWVTVAATLSSPPENEDCLAAAICHYCQETGPRVHRFWRMGQHQAAVKRTRISVRNIMSATNLEDVIHHRGAFHFLTGEENLHVFPVPGFHEDGNGNLEIPPMVIRRFSRGGRDYDGKKVVVRYLVESGGYLLMVVRLAPFPPLQAPPPPRTSAFKVFEMVEPPPPTPINSSEAQYSWKELDSLGGRMLFVARGCSRSYDAGDYPRGLEFTAGVYFLDDGKLYGEERVIGAAAERRYPCRDSGKWLPLPAAAAAGRVDKLLPEQAPSNYSPPRLDLDVVSHCHRQLNSHRVVGGRGASPRPSCREDGGQVDDGREKHRRVDAHRQCDRDAPVSPPSSGPHDQGSRGNRRGDGDGDGATRRPACNVEYVSKH >OGLUM05G21780.1 pep chromosome:ALNU02000000:5:24148515:24149641:-1 gene:OGLUM05G21780 transcript:OGLUM05G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCVDQGFHKETPKRSEDEVAGAPVQQAASPTSHPPQVDETMKKASVGSTPPPPDQEDEDEETTRAHKPWRMFLIPEDHVFVVDYCAGDEPTVHIKPRSDADADADADDDDQPPITCVRPPRHEAAGVPSLFDGGWYRALFWSAPAPEEGAIIVPAPWSRPLSEKVIKAILKDNGETKTTTTTVPESVRLSPDLVMFYRPVEGSTEVLVASRDYIEYLDLTKKPGCHQAVSLLDQRAVLPTSFSTHDA >OGLUM05G21790.1 pep chromosome:ALNU02000000:5:24170499:24171302:1 gene:OGLUM05G21790 transcript:OGLUM05G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATLSSPPGDERCVAAAISHSCMMNNPRIHAFGILRHRGVEEATHDPAEFFTGHALEDVVHHKKAFHFLTREENLHVFSVPDFHEDDDGNLVIPPMEVRRFSRGGRDYGGCFAVRYLVESGKSLLMVVRLLPHPPLFPPTTWAFKVFEMVETPINNDGAPYAWKELESLGGRVLFVARGCSRSYDAGDYPGDEFNEGIYFLDDGRLYDETFQIPNPFAQYREYPCRDHGKWLPPAAAAAAVTGRVDKFLPEQGPSHYTPPVWILP >OGLUM05G21800.1 pep chromosome:ALNU02000000:5:24171742:24184867:-1 gene:OGLUM05G21800 transcript:OGLUM05G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTNHSTLSHHEVHHDPKFFRPMKKCSTKCSDRNFRPKQTVMINWAQAQLLARLAKGWPSLLLLPNQTTPSFPCFQKPSSNMAHNDEASSSRSTTSSAAQPSPPPPPRLASASSSPMDTVAPARDFMAESLRLVHNRLTCLVDRRTMARVCHAWRAAVKPLQPPPEERPLPYILLPGDGERSFSCALRGCATHRFHIPDIPRDARCFGAHPGGWLFFAVAQTTKNAILRDEFPSGIPDVLHLDDRPPDKDTDVVMFAATISSHPEDQRCIGAAILSHFPDVTNPSIYAFFRMGVLPAMVANGDDASNAGSASGLEDLIHYDDAFYFLTGEECLLVFRVSEFHDFKDEELDIAPIEIRRFPRRGRGHYGEDDVAVVRYLVESRGRLLMVARIAAGAGPLRPSPPSPTTTSEFRVFEMARRSTALAATNNDGAEYDWVELDSLGGRMLFVARGCSTSYEVARHPGFEEGVYFLDDGRLYGEVAMFRDPNLRQYPCRDSGRWLASAPEAVPRVDNFLPEQAPSNYSPPACRASASPMDDASWCGLTADVLRLVHKRLPCLVDRRRMARVCRTWRAAVKGEQHPPESPLPWILVPRGADGPSFSCPIAGCRGHGFGIPDDARAARYFGTYGGGWLFLAFGQIKRHALLSLRTEQRFYLPDIARWDFAGRPAFDTDIVMVAATLSSTPEDKGCVGAAIVFHRASLYSPRVHAFWRMGKQIAVATTCTNTIAGRLLEDVIHHKGAFYFLTAQEHLHVFEVEEFYEDGDGNLKIAPMVFRRFSRGGRDYSGAIAVRYLVESGENLLMVVRLVPHPPRLPPRTLAFKVFEMVEPPLETPINNDEAPYGWNELESLGGRMLFVARGCSRSYDANKYPGAEFNEGVYFLDDGRLYCEAAVFVDQAAAAAADEPRCPCWPCTDSGKWLAAAGEVPRVDNNELRKKNKNNCFGVPDDALEARYFGTYSGGWLFLAFRQIERHALLSLRPEQR >OGLUM05G21810.1 pep chromosome:ALNU02000000:5:24200646:24201837:-1 gene:OGLUM05G21810 transcript:OGLUM05G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARWCALNADVLRLVHKRLPCLVDRRNMRRACKSWRAAVAAPAPPQQRPLPWILMPSAGGPTFSCAVGGCRRHDFGVPDYAREARYFGTYSGGWLFLDFGNTRGHGRHVLLSFRAKYCISLPGIVCLDLNPSIIRDMVMIAATLSSPPEDEHCIGAAISSYWPGMNGARMHAFWYMRRQVAVMPTASEGVFGPFLEDVIHHKEAFYFLTAQEHLHAFAVPEFRAAAAIWIYPPRKIPSFPHDGRDYDGRDVARYLVESRENLLMVVRFVSDPPQMPPRTSAFKVFEMVELPINNGEAQYAWKELESLGGRMLFVARGCSKSYEVADYEGFGFSAGVYFLDDDRIYDEFTVLLDDTARRFPCRDSGKWLLGAAEADNFLPEQAPSSYSPPAWLLP >OGLUM05G21820.1 pep chromosome:ALNU02000000:5:24203152:24209193:1 gene:OGLUM05G21820 transcript:OGLUM05G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTLAFRPIFCAIISLISNVQNRSRIACAVAFPVPSHLRPLITPPPQPRRFSPPLTPLPPPLTLFRRSGRRLNLPAPLPIHHRRNTMETSSRASSRPLTPSCSPLLWPLDYRRHRCPVRRCTPHYSNYQSIAARGDGTEYNGIKSTADAEDRDRHDQAQRAERPWLSKLLEAGKADSVGPPRP >OGLUM05G21830.1 pep chromosome:ALNU02000000:5:24209373:24211625:1 gene:OGLUM05G21830 transcript:OGLUM05G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPGDSGGGAGGGAGFWGAPAALLADERVDRAEDIVHLGPPLGDMEDELGGAPLLHEPPPPPQTPPRRRRTGVPVPILHGDNCNIDQESTAETCVHRIVRGIALRRSATVYADVVDGLGGGRVVARIRGQLVLLEVAPLAAYAGGDGGGGGGGDDGDGDGDGLQMYVPKLSAENCEHFFWVPFVDEIGTLRGHVPSSALGGIADVVRGGARVVYARIGGERPIPLLAGGRVPLLVGGHLVSLNVVALGLGVAALSRDREDDHGVAAVRHQDGGGGGDLADGGDEDADAVAIVRCLEAVLEEEAFLINAEGRLLRIGLLEDVIHHNKAFKFLTREENLHVFPVAGFHEDVNGNLEIPPVEVHCFSRGGRDYGGAVAVRYLVESGGNLLMVVRLVTDPLLLPTRTSAFKVFEMVEPPTGTPIHDEEPAFAWKELESLGGRMLFVARGCSRSYSKNNYPDAEFNEGVYFLDDGRVYRLFLAPDSPEYPCRDNGKWTAAAAAAAVGSSMDKFLPEQGPLNYSPLAWLLP >OGLUM05G21840.1 pep chromosome:ALNU02000000:5:24213190:24214356:1 gene:OGLUM05G21840 transcript:OGLUM05G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHHDVIRLIHSRLPCLVDRRRMGRVCHSWHLAVAERQRSKLPSILVPHADGPSFACALASCCATHGFAHPLKDDARAARYFGAYDGGWAFVAFGHTVGYALLSLRDGDRLHIPDIGMAVVAATLSSPPDDERCLAAASNLSFLIDDLRTHAFWPMRRQSAAAPEKAAKPAKVISGHALEDVVHHDDAFHFLTREENLHVFPVAGFREDDEGNLEIPPVEVHRFSRGGRDYGQNNAVRYLVESGENLLMVVRLVPHPPQLPPRTSAFKVFEMVEPPLGTPINNDEAPYAWNELESLGGRMLFVARGCSRSYDANNNPGSEFSEGVYFLDDGRLYDEELMLGEPDFREYPCRDTGKWLPAAEANPRVVEFLPEQAPSSYSPPAWLLP >OGLUM05G21850.1 pep chromosome:ALNU02000000:5:24215743:24221801:-1 gene:OGLUM05G21850 transcript:OGLUM05G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAFSDSASSSKPSHSVSLLFPCFHKPSSNMAHTILHHVHPNFTSNMAHNDEASSSRSTSSSAAQPSPPPPPPPRLAPASASPMDAAAPARDFMAEALRLVHNRLTCLVDRRTMARVCHAWRVAVEPLQHAPHRPPLPWILVPRPDGPSFSCALGCGGHGLGVPVDARAARCFGAYDGGWLFLAFRETFRHNLLNLRDVQLRLRLPFFVRQDMTAAELGRSVPYIGMVMLAATLSPPPEDEDCVGAAIITYGPYEAGRRTHAFWRVQSAKAFPDQAAAMGHGPDAIDEPALEDVIHHKGAFLFLTTEEDLHVFAVRDFHEDGNGNMKMAPRAIRRSSRGGCDYGGDIVVRYLVESRGNLLMVVRRVPDRLYAPPRTSAFKAQYAWKELESLGGQMLFVARGCSRSYDAGDYPGDEFGEGCSRLGGGDGDIPAETPASGYRRRMRRGFRVWTSSCRSKARRTTHRRGGFSPEIAHVSFDRPGTAHMDLENASSTALTGQIDNHRIKSRREGRAVGARDEDQWERPRLRQRLLRRDGEAPPVAESGHAAAVDEAEDVGSRSRHVASSIGEAEARLAGGEERRRGAIPLCARPRRDTGGDGRSGTMDYALFSLRTDERFPLADIDMLTDILAATLSSPPDDEHCLAAAIINTSFSLMDDPRVHVFWRMEHQVEEKATAVEFVTTSVLEDVIHHKKAFHFLTREENLHVFPVAGFHEDDDGNLEIPPMVVRRFSRGGRDYGGAIAVRYLVESGENLLMVVRLLPHPPLFPPTTWAFKVFEMVETPINNDGAPYAWKELESLGGRMLFVARGCSRSYDADKYPGAEFNEGVYFLDDGRLYDEAFQILNPFAQFPCSDNGKWLPAAAAAAAEAVTGHVDKLLPEQGLSMYTSPVWILPLILLFPRRKSLNQHGIHSDSYAESA >OGLUM05G21860.1 pep chromosome:ALNU02000000:5:24218576:24220092:1 gene:OGLUM05G21860 transcript:OGLUM05G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRADVLRLVDRRRMARFCHRWRLAVAPQQPLPETRPLPLILVPRADGPSFARDGTEYNGCKLNHISEDQINSESYLYQDDQN >OGLUM05G21870.1 pep chromosome:ALNU02000000:5:24223635:24238161:1 gene:OGLUM05G21870 transcript:OGLUM05G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPWFNLNADVLRLVHKRLPCLVDRRRMARIEQAKWDCIRVMVEIINVLITSSIHLWRVNGHVRGHVMPAGESERLGFLSVLPLLYAHNPSSNCHQQTSMQAAARQQAQEEMLSKEQRSKLQA >OGLUM05G21880.1 pep chromosome:ALNU02000000:5:24238499:24239662:1 gene:OGLUM05G21880 transcript:OGLUM05G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVCHDWRVAVKPLQHAPNLRPLPWILVPRADGPSFSCALRGCGGHGLGVPDGARAARCFGAYDGGWLFLGETCRNTLLSLRDHQLRFNLPFFVRPDKTAAELGRPDGPVPNIGVIMLAATLSSPPEDEDCVAAAIITYWPFQAARRTHAFWRVQSANASPDQVAAMGHGPSAIDEPALEDVIHHKGAFLFLTAEEDLHVFTARDFHEDGDGNMKMAPRVILRFWRGRRDYGGDVVARYLVESRGNLLMVVRRVPAPLAAPPTTSAFKVFEMVQPPPRKRNKALHGWKELESLGGRMLFVARGCSRSYDAGDYPGDEFGEGVYFLDDGRLYRESTVFASRAVAGKYPCRDTGKWLPSAGAAGVPRVDKFLPEQGPSDYSPPGWLLP >OGLUM05G21890.1 pep chromosome:ALNU02000000:5:24244709:24245419:-1 gene:OGLUM05G21890 transcript:OGLUM05G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNLAVPSLIQPPMAPPAAIAAGDSVMKTKAAAAAAGGDVVLTVWRKSLLFNCRGFTVFDASGDLVYRVDSYAADSRAEVVLMDAAGVPVLTVRRKRAIGSQLGLGGDQWLVHPGEETRLPPLYAVKRTPQYVRGGGSVKTMAHVAPCGVALGAGGGGGYEIEGSYLRRSCAVYDARRRAVVAEVQAKEAVGTDVFRLVVRPGMEVSVAMAVVLALEQMFGKPSLLRSWSS >OGLUM05G21900.1 pep chromosome:ALNU02000000:5:24254655:24257376:-1 gene:OGLUM05G21900 transcript:OGLUM05G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIMSGAAAAAGGTGGAVPLIKNATSASQMSRGKAGTGAGAVVCYSPMMVTAYGIWQGASPLDFSLPLFLLQVAIIVATTRLLVILLKPFRQPRVIAEILAGVILGPSVMGQVSTWAVKVFPERSLLTLETVAHLGLLYFLFLVGLEMDVNTIRRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEVKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLIAGVAFVLACFYVVRPLMWWIVRRVPEGEAIGDVHITLILTGVMVAGVCTDAIGIHSVFGAFVYGLVMPSGPLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTKVRDPITVGLLVLVFVMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNPGGASDHIFNAFESYEEMVGGVSVQALTAVSPYQTMHEDVCVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILASAPCSVGILVDRGLSAAAARMAAVHHVALLFFGGPDDREGLAYAWRMVENPGVCLTIVRFIPPGYTAPAISPPQPPMPAAHSRAINVVPEVAKSERQMDEEYLNEFRSRNLGNDAILYVEQVVANSEETVAAIRSQLDNAHELYIVGRHPGEASSPLTSALAEWMESPELGPIGDLLVSSEFSKMASVLVMQQYVITAPLPPPVALAGPPTDDPVRQYLTNANQRPSVAIGGNQMGAAGRGGWSGGAGGY >OGLUM05G21910.1 pep chromosome:ALNU02000000:5:24261848:24266336:1 gene:OGLUM05G21910 transcript:OGLUM05G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVSFGPEEQIVWPASVLAGILMCAAVYDITREVSSRCYKGYNGLNELDKLEWNNRGFSTFHALVAAVVSFYLLVISDLFSKDVHGAIIIDRKSWMSDSMFGVSLGYFLTDLLMILWHFPSLGGKEYLLHHGLSMYAISLALLSGKGHVYILMVLITEATTPFVNLRWYLDLAGRKDSKLYLYNGVALFAGWLVARVILFVYFFAHVYLHFDQVRTVFPLGFYSMMAVPPAMSAMNLLWFRKICKGMIKAMSSANRSQCVKTD >OGLUM05G21920.1 pep chromosome:ALNU02000000:5:24271940:24273502:1 gene:OGLUM05G21920 transcript:OGLUM05G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPYLIDWSLINQLMTGRGSDMQDSLGLMQFHDHHQYLYSSSSSNLPLQQPLLSHHHRFLEANEGCAGEDDSPEFVEPAAAAAAAGTFEQRPELGACKEVYSEEGGAAEERTGVAMAGNDVEQVVVEEEEEAHGVRMIALLMECAAAMSVGNLAGANGALLELSQMASPYAPSCGERLVAYFARAMAARLVGSWVGVAAPLAPPPPPCAAAINAAFRALYNVAPFARLAYLACNQAILEAFHGKRLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVIRVTGFGMSASVLHDTGNQLAGLARKLCMSFEFYPVAKRPGDADAVADMPGRRPGEAVAVHWLRHAMYDAAGDDGAAMRLVRWLEPAAVTLVEQERAHGAGGGHGRFLDRFVSALHHYSAVFDAMGASRPDGEDASRHLAEHGVLGREIANVLAVGGPARSNGRERPGGSWREVLARHGFVHAAGGGGGGGRAQLVAGACPGGLGYTVAGDHDGTVRLGWKGTPLYAVSAWAWCSPPHARA >OGLUM05G21930.1 pep chromosome:ALNU02000000:5:24275044:24279152:-1 gene:OGLUM05G21930 transcript:OGLUM05G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0V3] MKGQGGGAGAPAKRRWRSVAAAAAALALLFLSVGVPLAVLLGLHQRFPSMYLADESAVSVFGGGEGGGGWEPNTSQENDRLPVNDTNKFPPSIEKSAFAISWILFHMALFCISTAIKALFLSFWHDWSKTNTGNSDAESNGTNNQPSIDKPISNTSIHPGLPIKQIVIFDDISLLNNTDADPKDNFEQGLPGDESIKSCQLEFGSYCVWSVEHKEVMKDSVVKRLKDQLFVARAYYPSIAKLEGMEKLSHEMKQSIQEHEHMLSEAICDADLPAFHGANMAKMEKTIAAAKSCLIECTNFEKKLRQLLDMTEDEAHFHARQGAYLYRLGVQTLPKSLHCLSMRLTVDYFKSFADMEYSNVQKLENPVLRHYVIFSTNLLASSMTVNSTVINSEESANVVFHLVTDAQNFYAFKNWFIRNSYKEATISVLNFEDFQATHLDNRRVEHLSPYEEFRIASHSNARIPNTQLRTEYISVFGHSLFLLPELFSNLKRVIVLEDDTIVQRDLSHIWNLDLKGKVIGAVQSCRVRLRHLRPYLVDFPYDASSCIWMSGVSVIDLNKWREHDVTAVRNRVLQKLHHGTEASWRAAVLPAGLLAFQNLVHPIEAQWIQSGLGHDYGVNHGAIKKAGILHYNGNMKPWLELGIRRYRKYWRRYLPRDDPFLIGCNVNP >OGLUM05G21940.1 pep chromosome:ALNU02000000:5:24283609:24285837:-1 gene:OGLUM05G21940 transcript:OGLUM05G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRMAAAAAGVLLVAVAVAGVARGEDPYVFFEWKVTYGTKTLLDAPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDEPLLFTWNGMQHRKNSWQDGLAGTQCPIAPGTNYTYKWQPKDQIGSFFYFPSLGMHRAAGGYGGISVVSRLLIPVPFDPPADDHMVLIGDWYTKDHAAMAKMLDAGKSFGRPHGVVINGKSGKAAADPPMFTVEAGKTYRLRVCNVGIKASLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYAVASTRFIHEAKSVSAVIRYAGSSTPPSPAVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIRLMVSRGHIDGKLKYGFNGVSHVDAETPLKLAEYFNVTDGVFRYNQMTDVPPAVNGPLHVVPNVITAEFRTFIEIIFENPEKSMDSWSAEERKTYNLLDGVSRHSVQVYPRSWTAIMLTFDNAGMWNVRSNIWERHYLGEQLYISVVSPARSLRDEYNMPENALRCGKVVGLPLPPSYLPA >OGLUM05G21950.1 pep chromosome:ALNU02000000:5:24286522:24302394:-1 gene:OGLUM05G21950 transcript:OGLUM05G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKGREKRADNTSTSRDLSFNSGLGGPLPTKIGDLKQLTTLILAGCSFTGEIPKEIGNLLQLWFLRLNMNGFEGAIPTNTSKLVKLNELNLANNKLAGSMPDLSSMTNLNVVDLSNNAFDISVAPTWFTSLTLMANVSLCGQVPKGLFTLPQLQQVVLSNNRFNGTLEMVGSISNQLEIVNLQNNQIVSRNITGYNNSLVIKQGNTTYTTSMTQCSGSAASDQCPDDQSLDPGYCSCAYPYKGTLFFRAHFFPDVTTREPFRQLEMTLWMQLKLHPDSVYLSNVSIDGDKNLEIQVKLFPSSGVTFSMSELSRIDFGLSNQTYLPPSNFGPYYFIGETYNLLPGAGSADGEKSTITMGAKAAIGAAGGLLVMALIFMAIFALHRKRKAKELAERVDPLDPWEAPHLKGTRFFCVDEMKSCTDNFSDSHKIGSGGYGKVYKGTLADGTHVAIKRAQPGSMQGVVEFKNEIELLSRVHHRNLVHLIGYCYELGEQMLVYEYISNGTLRDNLMAKGLMSLNWQKRLRIALGSARGLTYLHEHADPPIIHRDVKSTNILLDDNLKAKVADFGLSKLVDDTKKSHVSTQVKGTLGYLDPEYYMTQKLSEKSDVYSFGVVMLELISGRQPIENGEYIVREVRLAINPADDDHYGLRDIVDPAIRDSTRTAGFRRFVQLAMRCVDDSTAARPAMGAVVKEIEAILQNEPATVDGSAGSSATEFEGAGGGDDVPDYLPFFGGKPPAQNYPSSWNSGDPCGGGWDGVMCSNGRVTSLRLSSINLQGTLGTSIGLLTQLVYLDLSSNIGLGGPLPAEIGNLGQLTTLILAGCSFTGAIPKEIGNLSKLWFMALNSNKFTGGIPPSIGLLTNLFYLDLADNQLTGSFPISSPTSPGLDLLVQTKHLHFNKDQLTGTLTGLFNSKMTLLHILFDSNQLSGSIPAELGGITTLEVVRLDRNGFGGAIPTNISNLVSLNQLNLASNKLTGSIPDLSSMTKLNVVDLSNNTFDTSVAPVWFTTLTSLTSVPKGLFTLPQLQQVVLSKNALNGTLEMTGIISKQLRTVNLLNNNIISANTQSYNNTLVLVGNPLCVDQDYSGKPFCSIRQENLIAYTTSMTQCSSSAAQCPDGQSLDPGNCGCASSYNGKMVFRAPSFFDVTTGEPFQQLEMSLSTQLNLRPGSVYLSDVHWNSDNYLQVQVKLFPSSGMSFNLSELTRIGFDLSNQTYKPPSNFGPYFFIADPYAPLSASRGTSRIDSEGAPQVDGPRRFTIREMKRCTDNFSESKKIGEGAFGKVYQGTLERQVVAIKRADPERVHGNKQLRNEIRLLSGVRHRNLVRIIGYCYEQGFCCTPDEIMLVNEFVSNGTLKQKLTGLLDLWSKTRAFLSFQICQQIGSAKGLVYLHEHAHGVIIHRDVKPENILLDEDLNAKVADFGLSKLVASTENAPPTELIMGTNAYMEPEYKRTGRLSDKIDVYSFGIVMMELVIKNDVMRSILSDLPNGVPNNVMRLILSDLPADPSDDHEPHTSILDDIVDPAIRDATSTDGFWEFIRLVQQCVNSSRDVRPTMVAVERRIEDILNSVVRSSTTGFMTAGGDTPSNEPNREDNGNEPNPSNEIARD >OGLUM05G21960.1 pep chromosome:ALNU02000000:5:24302866:24303667:-1 gene:OGLUM05G21960 transcript:OGLUM05G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQARGGASHGMDQRPMFLLLLLLLASAPSVLCDTNAQDAAALQSLMRQWTNYPSSWTSGDPCDSWANVTCSGGRVTSLKLSGVNLQGILSSSIGQLSQLVIL >OGLUM05G21970.1 pep chromosome:ALNU02000000:5:24305018:24326664:-1 gene:OGLUM05G21970 transcript:OGLUM05G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLLVLALVVVAAVPAVLCQTNAQDAAALEGLKSQWTNYPSSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSSSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHILFDSNKFSGSIPAEVGTVSTLEVLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQIPKGLFTLPTLQQVVLSNNQFNGTLEITGNISRSLQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPPNFGPYFFIADPYAPLAGTLGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEFFLPYS >OGLUM05G21970.2 pep chromosome:ALNU02000000:5:24305018:24326664:-1 gene:OGLUM05G21970 transcript:OGLUM05G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLLVLALVVVAAVPAVLCQTNAQDAAALEGLKSQWTNYPSSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSSSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHILFDSNKFSGSIPAEVGTVSTLEVLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQIPKGLFTLPTLQQTVNLMDNRIVSTDTASYKKTLLLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPPNFGPYFFIADPYAPLAASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEFFLPYS >OGLUM05G21970.3 pep chromosome:ALNU02000000:5:24320958:24326664:-1 gene:OGLUM05G21970 transcript:OGLUM05G21970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVLLVLALVVVAAVPAVLCQTNAQDAAALEGLKSQWTNYPSSWNSGDPCGGGWDGIMCTNGRVTTLRLSSVSLQGTLSSSIGQLGQLTYLDLSFNINLGGPLPAEIGNLGELTTLILAGCSFTGNIPIAIGNLRKLGFLALNSNKFSGGIPSSIGVLTNLLWLDLADNQLTGSVPISTSTSPGLDQLVKTQHFHFNKNQLTGTLTGLFNSNMTLIHILFDSNKFSGSIPAEVGTVSTLEVLRLDRNGFTGAIPATIGSLVKLNELNLANNKLTGSVPDLSNMTNLNVVDLSNNTFDPSVAPSWFTSLTSLASVSIVSGSLSGQIPKGLFTLPTLQQVVLSNNQFNGTLEITGNISRSLQTVNLMDNRIVSTDTASYKKTLLTKNTIQSSSSLLPFTNIASLLMPYPVCSLAGNPFCAEQDPNNRAFCSRQLQNASPYSTSMEKCGSAQCSDGQNVNPASCGCAFSYNGKMVFRAPFFVDLVSSTPFQLLESTMAAKLNLLPGSVALSDIHFNSDNYLQVQVKLFPTSGVTFNLSELTRIGSSLSNQIYKPPPNFGPYFFIADPYAPLAGTLGGKKSKMSTGAIAGIAVAGGVLVIALIFMSLFALRQKRRAKELKERADPFASWAAGQKDSGGAPQLKGARFFSFDELKICTNNFSDNHEIGSGGYGKVYRGILGDGTRVAIKRADRNSMQGAVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYISNGTLRENLTGSGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDIKSTNILLDDNLKAKVADFGLSKLVADTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIEKGRYVVREVRLAIDPADHDHHYGLRGIVDPAIRDAARTPVFRRFVQLAMRCVDESAAARPAMGAVVKEIEAMLQNEPDDAGAGEGDSSADPSANEFDRYRGGGGGPPAHPYSDVEISRGSYAGDGASDYMPYFEVKPK >OGLUM05G21980.1 pep chromosome:ALNU02000000:5:24321337:24327133:1 gene:OGLUM05G21980 transcript:OGLUM05G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTSRTTYRPFSIGCLPLTSSSITTPKLYTSLFSDSCCWMSERATEPGSRFSFAAMVDSSSWNGVLLTKSTKKGALKTILPLYEKAQPQLAGFAKLGDELMIEEGAYRYVSWPSWPMLLLSVPCRLTLDSLLQKPETSMARFQRKAIT >OGLUM05G21980.2 pep chromosome:ALNU02000000:5:24321684:24327133:1 gene:OGLUM05G21980 transcript:OGLUM05G21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAEVWMSERATEPGSRFSFAAMVDSSSWNGVLLTKSTKKGALKTILPLYEKAQPQLAGFAKLGDELMIEEGAYRYVSWPSWPMLLLSVPCRLTLDSLLQKPETSMARFQRKAIT >OGLUM05G21990.1 pep chromosome:ALNU02000000:5:24329386:24331605:-1 gene:OGLUM05G21990 transcript:OGLUM05G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGRSMALSLLLFAVTLSLLEMYRGRFASSELMTIAGGFVSSLLFLFLLTFIGNYQEANGVKTGWGAVVVAELTALIVAGTVHRVCITTCFLFSAGFLYEVDKLSGMILAKSESKARRH >OGLUM05G22000.1 pep chromosome:ALNU02000000:5:24333153:24345182:1 gene:OGLUM05G22000 transcript:OGLUM05G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLAPRGSSMTGSSSLGVQPPRGSISGAWFPPNSLQASIYQVGYPALSNRGGMHVGGSPVFTGNMSAIDGSIQASSSYLTKDGNHNSALGLAAAPVCGNFGPRITYVASVAGGGNIGRTISSAGMSMPTVASPVNLSGSGALNIRGSNQMGGTHQQGLPAMNMLGSSSSAPGGTLSKNQLQAGSSSSGSPGMRHDGPLRQQAFGINAVQQNQEFRIHNEDFPALPRLEGMELHRKDHLPKNANIMQAQHYPMGKSSGFNTGSSCPPRKQHKQTANSVQNTGPENVGPRPVNSPRSPLNPRPREQFIQQNHEPQAQKSVRLQSSSGPESHKVQSPKSSQRTDTTPESHKVQSPKPSQRTDTAPESHKVQSPKSSQRTDTAPDPYDPYGLHGLLRVMKLKEEGPASLALGIDLTTLGLDMNSSDNLYKTFGSPWSSEPVKEEYSYEIPDCYSSMQPPPLQALHFLRFHLMTLFYIFYSMPQDAAQLYAANEICKYGWVYHKELRQWVKRAPNTTPLVKTTTYEQGLCYLFDANIWDAIPKDNFILRYDDIEKIPALPPLLPATQNGSVRINKPLQ >OGLUM05G22010.1 pep chromosome:ALNU02000000:5:24346969:24349414:1 gene:OGLUM05G22010 transcript:OGLUM05G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRRRNRDAEFDMAEIDRMVPVMKLDPARQPWLTVPGRSSCTCDCCNVTTKKKPSNKALIPAWLFRSDDDDDDHHEESAEEEEEDNLLQGLNKHLAEYRIGQYEIRNCRPASGATPRPFVLEGSSHRDGFFGLSWHSEYRMHETAPTSLPRSRFTTPHPRWDYEADNMLQLCSLSLAAAGAGDRRRLLVYGIVAARDDMEGLPNFVFNRTRDNAQEVTLSSPSLELSSPLRGISAFEHVLLEFDLKLKNTAGDGADADADDVLVDACIEFVDRTITCSAGRLLRSRIEGPICSLDMDYMFVKSGVEAAVEVFLGDSCASCFQSVAAVYRAIAGDSDGGGDGIVIHEESIPLPPKLMLAADTATAQAAAAATVVAVPTAGELTVTLSFATARRRTRTSPAPAPCLVARFRAQKVGSSEKGGEVRGAAGEVVPVRFKVTWSTACGFTMSTLQDYLAKSKSTRLSKAVRV >OGLUM05G22020.1 pep chromosome:ALNU02000000:5:24351131:24351526:-1 gene:OGLUM05G22020 transcript:OGLUM05G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAGTTTAAGTGDGGGQGELHWVVVGDGGGDDEDDGAADPAAACPDPVAARRPAVASARIHPAAATGVLRRPSWRQPALLVRRTTGSGAGASSGGEGVDWSPVVCAGGVNIAGILGVQVTFGGSRRGCYG >OGLUM05G22030.1 pep chromosome:ALNU02000000:5:24355948:24361339:-1 gene:OGLUM05G22030 transcript:OGLUM05G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHNYHFTPDV >OGLUM05G22030.2 pep chromosome:ALNU02000000:5:24355948:24361339:-1 gene:OGLUM05G22030 transcript:OGLUM05G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKHGNDGMSKDMGRATRLKRLQTPINLFEDECVFCHSFRTPPQFHGPMAHYQKGKLVSSDNGSPCPTDIIYVHKKCLEWAPQVFYEGDTIVNLELEIKRAAKLKCKRCKLPGAALGCYYTKCNRSYHVPCAMMTLNCRWDVDNGCVMCPEHATMPLPCDKISSPRNESGNSSSFPQSQSSIEQSDSADCEWEYPIIDQWNTSSSLSQGQSSAKEGISAVPKREIDQLNTSCSSFPEGQYLDKEGISTDEYRKEKQTDHLYTERDCPSDLWVLLGSALSESEKDSLQEFASWTDATVVNEWTENVTHVIVGKSAGSAWSRSYEVLMALLFGKWVVTFIPSPEASFELRFSHDSRASIGGNKKRRNQASEGSKFSAATISMMIMRCLQAQKLFSGLNFCLSVYINPDDRQHIQSLIAAAGGQILETNGGSHSLRENLEKVAVKPCYFVYDGGAPRDFTQGLLDDLPKEMEEGREYAACGAQVISHLRVFDAIAAYDAQILSHNYHFTPDV >OGLUM05G22040.1 pep chromosome:ALNU02000000:5:24361767:24362147:-1 gene:OGLUM05G22040 transcript:OGLUM05G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAMTTASLAHPLASSSSSSTRVEVEVMGDVFVSSDDNARNLIIDYGSGKITLTAACIHFRIPGAALNSPFHRCVASVLPTIALLSLPPKKKPLPPLSPPAVAKRSPQPPQPFVLRVVWRCGPS >OGLUM05G22050.1 pep chromosome:ALNU02000000:5:24364655:24366282:-1 gene:OGLUM05G22050 transcript:OGLUM05G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVTKSQKSQSKGAAPRGSKGPKIGGGGGKR >OGLUM05G22060.1 pep chromosome:ALNU02000000:5:24379824:24381255:1 gene:OGLUM05G22060 transcript:OGLUM05G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFHQGRHHRHPNVGVVLCSVFFWEKPTQHTIIGVGEGGQRPAMTRSALFLYYPTEEVEVGDKAMPLLLEAEASGSPFPFPSRCKTTSVASTAGGAVSAAFPVATDRVMRRCYSTGFIEGREEREGRIRNADKWRIGIEMTNEYGLLNLNIGGEEG >OGLUM05G22070.1 pep chromosome:ALNU02000000:5:24382913:24383403:1 gene:OGLUM05G22070 transcript:OGLUM05G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAAVLAMSCLLLLPLLVSSVPVPGIVHLSSQQQHPSSRNIYADVVNLSHVLQFLNSQIRWQQRWTWR >OGLUM05G22080.1 pep chromosome:ALNU02000000:5:24401637:24402644:1 gene:OGLUM05G22080 transcript:OGLUM05G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRPRDLAVVLAMTSLLLLPLLVSSVPMSGSLHLSSQQQRPSSLNISADDMVAPTTDVEVNDYPAPGANPHHNPKRPLGREMSTQGMVAAATDVEVNDYPAPGANPRHNPKRPPGREMSVQGMVAAATNVEVNDYPAPGANPRHNPKRPPGRE >OGLUM05G22090.1 pep chromosome:ALNU02000000:5:24409199:24410617:1 gene:OGLUM05G22090 transcript:OGLUM05G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMERRPRDLVAVLALSCLLLLLPLLVSSVPMSRSLHLSSQQQQHPPSLNLSPDEMAAAAARGLGRRPAARMDVEVNDYPGSGPNNRHDPPKGPGRA >OGLUM05G22100.1 pep chromosome:ALNU02000000:5:24416140:24424489:-1 gene:OGLUM05G22100 transcript:OGLUM05G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPVGAAASCPFIPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVRQNNKGFMEIIKRSLKTLQEWTTNTPLCNSQERPFSPLHHHSIPPPSTPLLSAASPLSPPTCADVTAASLLFDPPSYADVAPSSSRCSASGARGRASHALARRSVLCPLIPSSSLYVKRSSTEAVRRAAKGVVPRTTLRQPPLDAVITRVVQLRVAEDQASGTSLNNEACLPRVRLSRHALVPAEELRRAPSLPGGRHPRRHEVATTEVEAEAAVRRRAPAIVGQRQPPSVNFLHDADPISPSAASPLSTPPLRGPPLQLAPTSAPAAARHHHSGPCPPPAEGDREKEREKGGRGEEEERERMTCGAHMLVGPIIFYVCE >OGLUM05G22100.2 pep chromosome:ALNU02000000:5:24416140:24424489:-1 gene:OGLUM05G22100 transcript:OGLUM05G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPVGAAASCPFIPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVTLQEWTTNTPLCNSQERPFSPLHHHSIPPPSTPLLSAASPLSPPTCADVTAASLLFDPPSYADVAPSSSRCSASGARGRASHALARRSVLCPLIPSSSLYVKRSSTEAVRRAAKGVVPRTTLRQPPLDAVITRVVQLRVAEDQASGTSLNNEACLPRVRLSRHALVPAEELRRAPSLPGGRHPRRHEVATTEVEAEAAVRRRAPAIVGQRQPPSVNFLHDADPISPSAASPLSTPPLRGPPLQLAPTSAPAAARHHHSGPCPPPAEGDREKEREKGGRGEEEERERMTCGAHMLVGPIIFYVCE >OGLUM05G22100.3 pep chromosome:ALNU02000000:5:24416324:24424489:-1 gene:OGLUM05G22100 transcript:OGLUM05G22100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPVGAAASCPFIPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIVQFTGERRSSGELHLSQVAAIPGAMRWPPLRWRPKLRSAGARRPSLAKDNPRRSTSSTTPTPSHRALPRHCRLLHSGDRRSS >OGLUM05G22100.4 pep chromosome:ALNU02000000:5:24416324:24424489:-1 gene:OGLUM05G22100 transcript:OGLUM05G22100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPVGAAASCPFIPPTLAAEPASVLPSPFFSRILAACSSSRPHLSTTPPSPCVSSNSCRSASLAHGSSFPFPFLSFPVAGATSPSGSGGIPFAIYPSLLPTPAGRTSFSPFIQHFHEVGMTGAAAIRGTTEEKIVVFEDTSGVDNKYTIRRSSGELHLSQVAAIPGAMRWPPLRWRPKLRSAGARRPSLAKDNPRRSTSSTTPTPSHRALPRHCRLLHSGDRRSS >OGLUM05G22110.1 pep chromosome:ALNU02000000:5:24426615:24427838:1 gene:OGLUM05G22110 transcript:OGLUM05G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVTAVARQAAATSRAACLAAVARHDAAAASRAARLKPRPPLDDAARLSFRYSSEPPDDGKCVTKEDLESDEAVWALFERYCKSYNRKYDHAQMVRRFRIFKFNAKRTYCWNQYLHKDVKELARAKKDRDLGLPVDSWYLQKELGEYDDGGEPLTENWRKF >OGLUM05G22120.1 pep chromosome:ALNU02000000:5:24430483:24434031:-1 gene:OGLUM05G22120 transcript:OGLUM05G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERYDPAGRWRRGILPPPVGAGASTGLRRRPPDVHIAGTGASAERSEPALSPPDRQIEVRPPASDPLPAHIFVSRPKPSSSRLFSNPGRRRIPSDEGKASHLRRLEADRATDLTVFASLAPSRLLEGGGASAATRGRRTTGRAAARISTSREMSWFSRFGLLRLKHSATNPRAFTQKTKDICWDSAPLVTGMDGSRWRQDVNGCAVLKSLNGKLRLCGFKFDHIHPYAAGGPNTPENCAIIQSRANRSKSDDILDEMEVAALASRINWTASIEAAVIGNIIRDGKVIEIAPNSKGGPLQDVLRSLLEKNELMINKLCDEDAPLESTASRPLSGFFFNTLCKEPGYLAFDTIRCGKLLYPESRFAALPEPVSVLASTRGLVCVCGKTTGLYYVTNPTTFKWVQLPRHSCDHGEPAVVITFEEPLTSCFDGAVEHYHVFAAFHLKGSVWTSESYSSRTGRWTIAKDALPAVEVKAESGVGTLGCAFWRTSLGSILCYDPGKDLLKVIPAPRVVNQDTVWELGEMVGDLTVTCFKDVDGFLTLGVLKINKRLFDDKVAALWTVVGSFSGEKVGKRAMLLRSQGAAEVVVWEPLEERVVAMDLEGLVTGNFGPLTNEGCTPCFVPYFPSSVSI >OGLUM05G22130.1 pep chromosome:ALNU02000000:5:24445175:24445717:1 gene:OGLUM05G22130 transcript:OGLUM05G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDAVALLAVVSALAFLVTPYVRMVAAEVGGLVSDLDAAGVASSYYAPFAAAGAGAAIAAVAGVVAWDAVGHRARRCGKPRCRGLRKAVEFDIQLETEECVRGQQQRLLPLPGGRAALLAAAGARPVQLGDAHRELEAELRKMAPPNGRTVLIFRSPCGCPKGRMEVWGAKKVRRIKK >OGLUM05G22140.1 pep chromosome:ALNU02000000:5:24446900:24448989:-1 gene:OGLUM05G22140 transcript:OGLUM05G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQHQGRSDLFTTRTSFPMERHLFLHGGNTQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQGQANVGTTKNALGCTGVADRIPGTSALAMASASAIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPASLEDAKIKISELVSQVSNECLSNAVTEIRESSSIHRLEPRQIQFVESSANNCLTAAEGFKEHRLQNHGVLKAYDDSTLFCRKQSQDQESQYSLNRSLSERRMGHLYSGKQYHKSEGSDSDTEVLHEYITPQKNGGGSTTSSTSGSKEINVEKLYLDEPSCKRQTVDYQRESKLLDFDQQSSGKSLDLNTHNIDDKDQGYRHFDLNGFSWS >OGLUM05G22150.1 pep chromosome:ALNU02000000:5:24451934:24452950:1 gene:OGLUM05G22150 transcript:OGLUM05G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSIELKNGLKPSRIPVPDDAGGAKSRGLTGCRRGAAESFAADLTDGANPRCGIRWKAIGRTRSAPRLNSFIVNARIY >OGLUM05G22160.1 pep chromosome:ALNU02000000:5:24453130:24459057:1 gene:OGLUM05G22160 transcript:OGLUM05G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGHLNPQEPIDTPGFFGPTVTTLLGMVILLRALLRYPSSFGKEFWVKTLSSLWMDDDGVIRRRDLREGVISQQISIKNKEQGETVDDEYSQAGTSRR >OGLUM05G22170.1 pep chromosome:ALNU02000000:5:24455745:24456716:-1 gene:OGLUM05G22170 transcript:OGLUM05G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAITRYWCHECEQAIEEAMVDEIKCPSCGGGFVEEMTDEEIERLTNRQPEPGFSQWNPIEHPGETMDSDDEDNDLGREFEGFIRRHRRASTLRRVLDSIHDDLADDQERDSSILINAFNQALALQGSVLDPDEGQGDQGGSTNDDGLLEEYVLGAGLSLLLQHLAESDPSRNGTPPAKKEAVEALPTVKIEEVVSCSVCLDDLEVGSQAKQMPCEHKFHSSCILPWLELHSSCPVCRFELPSEETKDLNEPSNIGRVEDSHEEVRADGPGNVSESSNRPWAIVPWLNELFSTREAQNAGGVSTDQQSPHTSGTNPNAGHS >OGLUM05G22180.1 pep chromosome:ALNU02000000:5:24459197:24462433:1 gene:OGLUM05G22180 transcript:OGLUM05G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A0Y5] MEFLQGQSTETAVAVAVTVVAVAAGAAFLLLLLRSSKKPKRCLDPENFKEFKLVEKRQISHNVAKFRFALPTPASVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGRFGLVIKMYPQGRMSHHFREMKIGDYLSVRGPKGRFKYQPGQVRAFGMLAGGSGITPMFQVTRAILENPIDNTKVHLIYANVTYDDILLKEELDSMVETYPDRFKIYYVLNQPPEIWNGGVGFVSMEMIQTHCPAPAADIQILRCGPPPMNKAMAEHLENLGYTKEMQFQF >OGLUM05G22190.1 pep chromosome:ALNU02000000:5:24467010:24472603:1 gene:OGLUM05G22190 transcript:OGLUM05G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDDDEKMKGCRPKLFGTKDKKVVKRADYQSCSAAHLVESGELLKSVGQSANYIHKEVFAEVRSIRLSHLLGHSSSTTKTEPFRIFVSTWNVGGNTPTAELNLDDFLPADDNSDIYVLGFQEIVPLNAGNVLVVEDNEPAARWLALINRTLNKPVDSNADIFQHKPSSSLDSTSSLSSSNLDASFSSRTRTASGSSAIFQKSSLKSIRKPYMPTQRKLLKLCNCSVEMTRKSYKDACFGCPQAYANETDSSEDDTDDRSNDPCGYIVDGMNSAASASRDQLKYNLISCKRMVGIFITVWAKKELVHHIGHVRTSCIGRGIMGYLGNKGCISVSMTVHQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFQRICRAGRRIPEKILDHDRVIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIERKSGRVFKGWSEEKIYFAPTYKYSSNSDSYAGETATSKKKRRTPAWCDRILWHGDGIVQLSYFRGESKFSDHRPVCGTFIVDVEIQESRSKRRSSNTNIRIGAEELLPTSKSKANKNKGNKGSGA >OGLUM05G22200.1 pep chromosome:ALNU02000000:5:24476058:24479021:1 gene:OGLUM05G22200 transcript:OGLUM05G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRLGAPTSGALLLLVLLLICGGGGGGGVAAGGGGGGGGGKGSSVYPAPVVYPHHSRQISWKPRVFLYQHFLSDDEANHLVSLARAELKRSAVADNLSGKSELSDARTSSGTFIRKSQDPIVAGIEEKIAAWTFLPKENGEDIQVLRYKHGEKYERHYDYFSDNVNTLRGGHRIATVLMYLTDVAEGGETVFPLAEEFTESGTNNEDSTLSECAKKGVAVKPRKGDALLFFNLSPDASKDSLSLHAGCPVIKGEKWSATKWIRVASFDKVYHTQGNCTDDNESCEKWAALGECIKNPEYMIGTAALPGYCRKSCNIC >OGLUM05G22210.1 pep chromosome:ALNU02000000:5:24481363:24482380:-1 gene:OGLUM05G22210 transcript:OGLUM05G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPGPFITLITSTFGFNVPMGPTPSPSPAAVSPSGEGPQFPDAHDEHTTTYAYTRKYVS >OGLUM05G22220.1 pep chromosome:ALNU02000000:5:24482508:24482918:-1 gene:OGLUM05G22220 transcript:OGLUM05G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRRWPTSTLAAVAVAVVLAASAATTTEAQSAPAAAPGPAGPVLDQACLTALLNMSDCLTYVQNGSRARRPDKPCCPELAGLVESNPVCLCELLSGAGDSYGIAVDYSRALALPAICRVSTPPVSTCAGNQSPP >OGLUM05G22230.1 pep chromosome:ALNU02000000:5:24487752:24489922:-1 gene:OGLUM05G22230 transcript:OGLUM05G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >OGLUM05G22240.1 pep chromosome:ALNU02000000:5:24493606:24497426:1 gene:OGLUM05G22240 transcript:OGLUM05G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGSGRDAGSAQRGPMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGADLDGGGGGIAGQFQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGHKRCATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKYFLQFRNEVNHAALVLEIGSWMRY >OGLUM05G22240.2 pep chromosome:ALNU02000000:5:24493606:24497426:1 gene:OGLUM05G22240 transcript:OGLUM05G22240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGSGRDAGSAQRGPMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGADLDGGGGGIAGQFQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGHKRCATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKELDEILNSAPPPEPKYQLRRTSSAAF >OGLUM05G22240.3 pep chromosome:ALNU02000000:5:24493727:24497426:1 gene:OGLUM05G22240 transcript:OGLUM05G22240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGSGRDAGSAQRGPMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGADLDGGGGGIAGQFQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGHKRCATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKELDEILNSAPPPEPKYQLRRTSSAAF >OGLUM05G22240.4 pep chromosome:ALNU02000000:5:24493606:24495958:1 gene:OGLUM05G22240 transcript:OGLUM05G22240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIQAMASHAGGSGGGGGSGRDAGSAQRGPMQGLARQGSLYGLTLNEVQSQLGEPLLSMNLDELLKSVFPDGADLDGGGGGIAGQFQPALGLQRQGSITMPPELSKKTVDEVWKGIQDVPKRGAEEGGRRRRERQPTLGEMTLEDFLVKAGVVTDPNDLPGNMDVVGGAAAAAAGTSDLNAGAQWLQQYHQQALEPQHPSIGAPYMATHLAPQPLAVATGAVLDPIYSDGQITSPMLGALSDPQTPGHKRCATGEIADKLVERRQKRMIKNRESAARSRARKQAYTNELENKVLRLEEENERLKKQKVLSLLLPLYCFYFVIPLDK >OGLUM05G22250.1 pep chromosome:ALNU02000000:5:24498156:24504790:1 gene:OGLUM05G22250 transcript:OGLUM05G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFRDVAVEINRHGWKEGTEIENNDEESTAHGRTEQEKGHIEPLRSPGIQETERKSSNEPMNNPRNPPKRTHLKPMFQPRSSAFHQTGARCAFDGRGERSNWSFFLGSAFDFLTAGCFVRAISAAATWRWVFKLKRRGGKWERKLEGLPLQFESSAFGNFYLEAQAKSIVASFETTSASMRSCLAQSPITQGCLRSWFQMTHEYL >OGLUM05G22260.1 pep chromosome:ALNU02000000:5:24498903:24501063:-1 gene:OGLUM05G22260 transcript:OGLUM05G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01370) TAIR;Acc:AT1G01370] MARTKHPAVRKSKAEPKKKLQFERSPRPSKAQRAGGGTGTSATTRSAAGTSASGTPRQQTKQRKPHRFRPGTVALREIRKFQKTTELLIPFAPFSRLVREITDFYSKDVSRWTLEALLALQEAAEYHLVDIFEVSNLCAIHAKRVTIMQKDMQLARRIGGRRPW >OGLUM05G22270.1 pep chromosome:ALNU02000000:5:24502378:24505783:-1 gene:OGLUM05G22270 transcript:OGLUM05G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEEKTQVAIKTLRRLGPAMAGMKQGTKPVPGSGLPMWKQVSISDALLTNEILVMRRIVESVAPHPNVINLHDVYEDVHGVHLVLELCSGGELFDRIVGRDRYSEFDAACVIRQIASGLEALHKASIVHRDLKPENCLFSDKDEKSTLKIMDFGLSSVEDFSDPIVALFGSIDYVSPEALSRQEVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKDLISRLLSVQPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQKFNARRKLRAAAIASVLSCKVALRTKRLRNLLGTHDLTSEELDNLRLHFGRICADGENATLSEFEQVLRAMKMDSLIPLAPRVFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQMYDADRSGCISKEELASMLRALPEECLPGDITEPGKLDEVFDQMDADSDGKVTFDEFKAAMNKDSALQDVLLSSLRPQ >OGLUM05G22280.1 pep chromosome:ALNU02000000:5:24506396:24511084:1 gene:OGLUM05G22280 transcript:OGLUM05G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRRELGALLEQVASVHVLEVQGPLLLRLLGLLPLLVAGFTLRRGGIHLGLRVEDGGVAAPDAADDLEHLAVDAAGRDGGVIVVRRGLVVVVVLLLLLRRDEALLLALLQALQRLPPLVVLEAAGVLLVDLDLGEILLAAASIGLIVGAREDVLLLLLLLPPLLHGCRCGGDGDDGGGEAGGN >OGLUM05G22290.1 pep chromosome:ALNU02000000:5:24506768:24508735:-1 gene:OGLUM05G22290 transcript:OGLUM05G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 family protein [Source:Projected from Arabidopsis thaliana (AT3G24080) TAIR;Acc:AT3G24080] MKQRREEEKKKKDILAGSDDESDGGGGEEDLSKIQINEEYARRFEHNKRREALQRLEERKKKGLVPPEEEEEDDDDDESSSDDDDAAIASRRVDRQMFEVIRRIRRGDAAILDAKAKMYSSSSESEPGDEEGEKPKKTKKERPLYLKDVNARHLLEEGPEFAAQASHGGHSSSKYDKIAYDEQQRKGLEAFLAAEKAALGDGDGGEDDDDLFQVKPKGGDGGDKEDDDEEKETEQILIDIFGKDEELDENSKFLKKFFLERPYLETGSEKKYSPDDIQEVSDEEEHLIEQEDYETRYNFRHEEAAATGADVMDRVMGHSRFVEGSVRKKESSRKQQRKNKEERIARAKQEQAEELKHLKNLKKKEITEKLERIRMIAGIDSDAACKLGADDLEEDFDPEEYDRKMKETFNDNYYEADDVDPEFGSGEEIDLEKPDFDKEDELLGLPKDWALDGQDGSSAAAEGASQKKKGGKDIANGEGTNQKMKGKFSLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKQVKPNSFGLSTYEILASDDKDLNQYVSMKKIAPYREAEWKVTHHKKLIKDSILGGQNKEGKKVKKKKSKSEEGPASSEPEKELPNEQEEQEDADGKAKSTRSERRKRRRRELKMTTERQAAYGKINPKRHKSH >OGLUM05G22300.1 pep chromosome:ALNU02000000:5:24511893:24517947:1 gene:OGLUM05G22300 transcript:OGLUM05G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFLQKLKGLDAYPKVNEDFYKRTLSGGVVTVVASVVMLLLFVSETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSVPCTLLSVDTMDISGEQHHDIRHDIEKRRLDAHGNVIEARKEGIGGAKIESPLQKHGGRLSKGEEYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNINVPELSALEHGFNVVPTIYTDLRGRKIHSNQFSVTEHFRDGNIRPKPQPGVFFFYDFSPIKVIFTEENSSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >OGLUM05G22310.1 pep chromosome:ALNU02000000:5:24520750:24521805:-1 gene:OGLUM05G22310 transcript:OGLUM05G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIHADPVLEADQFDRLPDSLVLVILNNVEDVRSLGRCSAVSKRFYGLVPLVHDVYVKIDRVVTVDGEAEDALNLSSPKPRNILSHFLKMMLFTIIKPFHSMRGPNGAGRPLFPQLAQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTQVDRKPVGAEHELYSEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLSMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYAPYLELPGGIALQGATLVAIKPSPEGSNGGHTSRKETDAFVSGAFDGPFKFAVKALMKRRTYLLEMNGF >OGLUM05G22320.1 pep chromosome:ALNU02000000:5:24534617:24540414:-1 gene:OGLUM05G22320 transcript:OGLUM05G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDAAGAGPPSPELARVGGLGGRLVAADEARAGLARRLHAALQVREASRRQGAALDEMRAWVELRRARVGELLVARRDAARGVDRRREQLQAQIDRVLRLSGAVAAANRRLQDAKEALSGEKARLGDLQRLLRMRQQSMIGQVAALYPVKVFHDLPNGRNLDSNTNGAHRSLSEENGTLPEENGTHLLNVIKLPQIHALTFFGWQIGKQRRKQNDICEKDLQRSAAVLGYAAHAVLLIASYLHVPLRYPLHFGGSRSYVSDCLPSAETASIASAERTCINMTDPKLTVYPLFVECQEDDSTKASYAIYLLHKICAVKLIEDKAMGVEADHGTPCIRTILRCSIRMSYRYASENWVLLFPVLLLYLLFRSSPGFFAFLLSHSPVIICAALILGVLISHGSTNVAEIKEERKSVAEVSDPKYADLSRNIHLEANKGFLAKENTASLNDGEIKDGLNSSREDAIEVVEMVGKISHDRGSTDSQSDEMKVDSEDKPAGTCKWGRAFSVRRRKKLSDIKVEPINAAVDSPLDLSLDSPFGRVGCHDGSPGFDHDQAEGTTPGTPRTRIASVLDEIDPLSSADSPHPDPIQNDDSDNHMSLQDSRTVSDNNYESDKSKANKNDDKNVSTDPAFLGTVDDDKNVMDLGYSEVERHRRLEILMVKRRSRKNIVFDPDSNLDINNDKVCKRNPSDILSCSDETEFPGSAPSVLHTRRNPFDHPFEQSDESDLHEHVAIPHQDMFFTRHESFSIGSQGRRPSRFKPPFIIEAMDIDEPSASDFQRQFSDKSASTLSTVTESDIISSVADQEDISNSIKNDSSREYESPELPTIPTMGSDIICVGGT >OGLUM05G22330.1 pep chromosome:ALNU02000000:5:24541515:24543739:-1 gene:OGLUM05G22330 transcript:OGLUM05G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHESDSDPDSDRTHWYMSNSDDESFVDKDKDCRRSTVAPLLKKGPWTSWEDSILEKYIKKHGERNWKLVQKNTGLLRCGKSCRLRWMNHLRPNLKKGAFSKEEENKIINLHRKMGNKWSRMAADLPGRTDNEIKNYWNTRIKKCKNNRSPLYPANVCNDALNEDQHESADPNVREKLTNNQLEDTTSMYSAPQFSDASISNILDRRLASKDYDSIEDQRNRIEVAAEYEISLPVLKTTNNDIFPSASIFANHGISNGNLSALSTTDALQMELPLIQFDPNNQFVYSRAYATHLTNFALLNDQSEELLNDTDVLNYVVMKEELSGGSLSPTINMPCEAHNSMAASNELVVPRYEGDAPPLQDDFTSYFYLNDTNLSIFEDTNELFLENKLDTKGELVMACYVS >OGLUM05G22340.1 pep chromosome:ALNU02000000:5:24544961:24551011:1 gene:OGLUM05G22340 transcript:OGLUM05G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGRHSLRRKRRDVKGSSLRRDRRWRWEIASPGNGGAGSFGGGGASASMSICAATSRRLSGGQNGSLKPRTTLISFFLCRLLSRASTETIMPKQD >OGLUM05G22350.1 pep chromosome:ALNU02000000:5:24547214:24549232:-1 gene:OGLUM05G22350 transcript:OGLUM05G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASNFIPGPYQELVDAKPIRSLAPMFPAPLGINVNQSSTPPLVCVTPVGQFPVGFGSGILPTFGSTTAFTTTANGVSYTGYTNNGAIDATPISAYKTRPGIVSLDGDEPYSGSASGRKSKRSSGSAADGSNGVKFKRPKPVYKNFVAGKELAFLPPSSSDPREVVEAVHMTFEALRRRHLQLDEIQETSKRADLKAGAIMMASNIRANVGKRVGLVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMSAKFGSDEDSVAICIVAAGGYENVDDDTDTLVYSGSGGNSRNSEERHDQKLERGNLALERSLHRKNEIRVVRGFRDPFCLTGKIYIYDGLYKIQESWKERTKSGINCFKYKLLREPGQPDGAALWKMTQGWIDNPASRGRVILPDLSSAAESLPVCLVNEVDHEKGPGHFTYASQVKYLRPLSSMKPLQGCGCQSVCLPGDPNCACGQHNGGDLPYSSSGLLACRKPIIYECGDACHCTTNCRNRVTQKGVRFHFEVFRTANRGWGLRCWDPIRAGAFICEYTGEVIDELKVNLDDSEDDYIFQTVCPGEKTLKFNFGPELIGEESTYVSADEFEPLPIKISAKKMGNVSRFMNHSCSPNVFWQPVQHDHGDDSHPHIMFFALKHIPPMTELTFDYGVAGSESSGSRRTKNCFCGSSNCRGVF >OGLUM05G22360.1 pep chromosome:ALNU02000000:5:24553216:24558736:-1 gene:OGLUM05G22360 transcript:OGLUM05G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0A106] MAAAVSACSSRCLRLILGRPPRAPLASVVEVVEKRRRGGLVAVAATEGSAKSSGEADEQVPSWARPGSDEPPPWAREGGGGGGQQEPGAVELPFFAYLLASAITAIAAIGSIFEYANQRPVFGVVSPDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFSNFTMSSIGTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAVSYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGASLGKGRQAAKTEIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >OGLUM05G22360.2 pep chromosome:ALNU02000000:5:24553216:24557897:-1 gene:OGLUM05G22360 transcript:OGLUM05G22360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0A106] MAMFNWTGYDLSVTTFSPDGRVFQVEYAGKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMILKGSNRRLHSVHRHSGLAVAGLAADGRQIVSRAKSEAVSYEKVYGEPMPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGLSYKYFGASLGKGRQAAKTEIEKLNLSELTCREGIVEVAKIIYGVHDEAKDKDFELELSWVCDESKRQHEKVPDDLVEQAKAAAQAALEEMDAD >OGLUM05G22360.3 pep chromosome:ALNU02000000:5:24558050:24558736:-1 gene:OGLUM05G22360 transcript:OGLUM05G22360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0A106] MAAAVSACSSRCLRLILGRPPRAPLASVVEVVEKRRRGGLVAVAATEGSAKSSGEADEQVPSWARPGSDEPPPWAREGGGGGGQQEPGAVELPFFAYLLASAITAIAAIGSIFEYANQRPVFGVVSPDSALYAPLLGFFVFTGIPTSGFLWFKAVQTANKEAEEQDRRDGFS >OGLUM05G22370.1 pep chromosome:ALNU02000000:5:24560709:24561243:-1 gene:OGLUM05G22370 transcript:OGLUM05G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLTQEQVDECREIFDLFDSDEDGRIAAGELVTALRSLGQNVDEAEARRFLADATASGGGGGGGGDIDFAAFLSVAARKMGRGATEKELAACLDVFDDARSGVIPAEQLRQAMVSHGDRLTEEEADEMVRKADPAGEGRVEYKEFVKVLMNNK >OGLUM05G22380.1 pep chromosome:ALNU02000000:5:24561273:24565109:-1 gene:OGLUM05G22380 transcript:OGLUM05G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANN >OGLUM05G22380.2 pep chromosome:ALNU02000000:5:24561293:24565109:-1 gene:OGLUM05G22380 transcript:OGLUM05G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKNLPFQFATS >OGLUM05G22380.3 pep chromosome:ALNU02000000:5:24563228:24565109:-1 gene:OGLUM05G22380 transcript:OGLUM05G22380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMAKKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OGLUM05G22390.1 pep chromosome:ALNU02000000:5:24568320:24570984:-1 gene:OGLUM05G22390 transcript:OGLUM05G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGKEGEENGHVAAGAAAGVAGSAGAAARAPPPLMPPDAVMRELPPPVPYVFTPQVPVAPLHIPTEFSPVFNNSWINESDESTNNHPQEKGIPTLISWSQGGNEVFVEGSWDNWTSRRVLEKSGKDHTILLVLPSGVYHYRIIVDGEPKYVPELPHVADEGGQVANLLDVHDYIPESLDSVAGFDAPPSPEHSYDLQLPGDEEFAKEPPILPPQLVMSVLGDTDNSEEQTLKPKHVVLNHLYIEKGWGSQSLLALGVTHRFQSKYVSFVLYKPLRRSSTAKRTKNGG >OGLUM05G22400.1 pep chromosome:ALNU02000000:5:24580916:24582822:-1 gene:OGLUM05G22400 transcript:OGLUM05G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAGNLAPATAILVVVVAVVLAAAAAASQDGDALTEFRKGMSDPDGALASWDPDLVNPCTWFRVTCNADNRVIRLDLEEMNLFGHLSADLARLDQLQFMEIASNNIEGPIPPEFGNLENLISLDLCNNTISGPIPPSLGKLKSLKFMRIDHNLLTGPIPNELAGLSNLMILNVSNNDLCGTIPTSGPFDHFPPSSFANNPRLRYPGMDDDDTGR >OGLUM05G22410.1 pep chromosome:ALNU02000000:5:24586159:24590110:-1 gene:OGLUM05G22410 transcript:OGLUM05G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYHAKKFSVPFGPQSTQSNEHMSNIGAFGGSNMGSPANPAGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGMQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQMIIEEQQKLGGSLKACEEQKLPHSPPSLDDYPDSMQPSPKKPKMDNLSPDSVRDVTQSDFESHLIGPWDQEAAFRVDEFKADPGLNKS >OGLUM05G22420.1 pep chromosome:ALNU02000000:5:24604831:24605385:-1 gene:OGLUM05G22420 transcript:OGLUM05G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKQCGAKGGGGGNARRSATGGGAGRMHRRGKHQGDGGGGGGGGGKRREIKVVYIANPMRVTTSEEGFRALVQELTGRHADPSKYRGGGGAPVDETSGGGGGGGGGEMMQGAAAMMQPSPGSTVDSSSTDHGGAGLQAAALDDDENSFVAPELIDNRYSVCFSPPTFLYGGGSHTYDGDDYGL >OGLUM05G22430.1 pep chromosome:ALNU02000000:5:24608634:24613749:-1 gene:OGLUM05G22430 transcript:OGLUM05G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCPPGSSSEPDPPPASSGSSRPAGSAGAAASPATISPSAAPAPAKPPAPVGKELGRGQFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLFGVTSLCTHKATGQRFACKTISKRKLSTKEDVEDVRREVQIMYHLAGQPGVVELKGAYEDKHAVHLVMELCAGGELFDRIIAKGHYTEHAASSLLRTIVEIIHTCHSMGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKEGLHRFLHCCSLLELIIIVINGVPIAGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSIGVMLYILLCGVPPFWAGKLLLFIAMHGIFNSILRGHVDFSSEPWSRISHGAKDLVRRMLHSDPKQRISAYDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRKGLAKKGTKLTEAEVQQLMEAVRIATLTRSYGFVLHIQKTFRLTKCLWIWGEKKQADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDNSGYITIEELEQALREKGLMDGREIKDIISEVDADNDGRINYTEFVAMMRKGDPEANPKKRRDVVL >OGLUM05G22440.1 pep chromosome:ALNU02000000:5:24615172:24617970:1 gene:OGLUM05G22440 transcript:OGLUM05G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYASPGTDASTDPDIDKNIRMFEQGDPPTPIAYCPRDKSKGKLGQKTLRRLAQNREAARKSRLRKKAYVQQLEDSRMKLTQLEQELQRARQQGIIISTSGDQQRSTSENEALAFNMEYMRWLEEHNKQINELRSAVHTHAGDDDLQSIVSSVMAHHEEIFRIKGLAAKADALHVLSATWRTPLERCFLWLGGFRPSDLLKLLADQLEPLTEQQLASIYNQQQSSQQAEETLSQGMEIIQDSLAKTVASQLGRAGSSSPSNAADHTAAALGKIGDMESLLQQADDLRMQSLQKMQRVLTTRQSARALLLVSDYFSRLRALNSLWIARPQQ >OGLUM05G22450.1 pep chromosome:ALNU02000000:5:24619697:24625303:-1 gene:OGLUM05G22450 transcript:OGLUM05G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATATDGGTRGARCPAAHTSRVAAAASERSTAGLHPAKPETREERRGERERERAQRVLRFFIRRIGFGPYETSWWRKQHPSDL >OGLUM05G22450.2 pep chromosome:ALNU02000000:5:24620952:24625303:-1 gene:OGLUM05G22450 transcript:OGLUM05G22450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATATDGGTRGARCPAAHTSRVAAAASERSTAGLHPAKPETREERRGERERERAQRVLRFFIRRVLIPNIIKY >OGLUM05G22450.3 pep chromosome:ALNU02000000:5:24618945:24625303:-1 gene:OGLUM05G22450 transcript:OGLUM05G22450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATATDGGTRGARCPAAHTSRVAAAASERSTAGLHPAKPETREERRGERERERAQRVLRFFIRRKGNVLCKTKGKKGYFI >OGLUM05G22460.1 pep chromosome:ALNU02000000:5:24633943:24636202:1 gene:OGLUM05G22460 transcript:OGLUM05G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKEIVHKLKGLPLAARALGSLLCAKDNEDDWKNILESEIWELPSDKNNILPALRLSYNHLPPILKRCFAFCSVFHKDYVFEKDILVQIWMAVGYIQPQGRRRMEEIGNNYFDELLSRSFFQKHKDGYVMHDAMHDLAQSVSIDECMRLDNLPNNSTTERNARHLSFSCDNKSQTTFEAFRGFNRARSLLLLNGYKSKTSSIPSDLFLNLRYLHVLDLNRQEITELPESVGKLKMLRNLNLSGTGVRKLPSSIARTELITGIARIGKLTCLQKLEEFVVRKDKGYKVSELKAMNKIRGHICIKNLESVSSAEEADEALLSEKAHISILDLIWSNSRDFTSEEANQDIETLTSLEPHDELKELTVKAFAGFEFPHWINGLSHLQTIHLSDCTNCSILPALGQLPLLKVIIIGGFPTIIKIGDEFSGSSEVKGFPSLKELVFEDMPNLERWTSTQDGEFLPFLRELQVLDCPKVTELPLLPSTLVELKISEAGFSVLPEVHAPRFLPSLTRLQIHKCPNLTSLQQGLLSQQLSALQQLTITNCPELIHPPTEGLRTLTALQSLHIYDCPRLATAEHRGLLPRMIEDLRITSCSNIINPLLDELNELFALKNLVIADCVSLNTFPEKLPATLKKLEIFNCSNLASLPACLQEASCLKTMTILNCVSIKCLPAHGLPLSLEELYIKECPFLAERCQENSGEDWPKISHIAIIEIDDDSAMPDRSIRRRLC >OGLUM05G22470.1 pep chromosome:ALNU02000000:5:24639743:24641090:-1 gene:OGLUM05G22470 transcript:OGLUM05G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYDEEASAKEVSDWEEAVKQQDVKVDEATMKARFQDWMKEHNRSYSTEEEKARRYEIFKETTIRADKANAVRPMDVPFAPNGFADWTDEECNSLYSHPGSFDWERYIDHMNTMNANGGYIGNQDVIVSEAVKKKDKELAAKYAERRRRAANNQPEKRLTNI >OGLUM05G22480.1 pep chromosome:ALNU02000000:5:24643939:24648057:1 gene:OGLUM05G22480 transcript:OGLUM05G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPCRPRVKPERALLCFSLLLLLTATTANASITDCPTTSSTNSSHVDDGAFGANLRALLSSLSAAAAASSSGFAENATGAAPDTAYGLAQCRGDIVGGGNGTSCRSCLDDSVRDAAKACPGEKSAVIISDYCLVRYSNASFAGAADERTVKLWWNTDNATQPERFKSLLGTLMGNLTDAAARASSPLMFAAGETDLPPFTKIYGMAQCTRDLAAGDCYRCLVGAVNNIPKCCDGKQGGQVITRSCSIRFEVYPFFDAQAAKAAMSPAPAPAPVTTPTGVNGSNHTVSKSVIVPVTVGVAVLLVVILLLIALCLCKRNRKPHKHMMIGSVDLGDEDEMRGSESLLYDLSTLRAATANFSEENKLGEGGFGPVYKGTLQNGQEIAVKRLSATSHQGQLEMKNEVVLVAKLQHKNLVRLLGCCIEEREKILVYEFLCNKSLDTILFDTSRQQDLNWEQRFKIIEGIGRGLLYLHEDSRLKIIHRDLKASNILLDVDMNPKISDFGLAKLFNMEASVANTSRIAGTYGYMAPEYALHGILSAKSDVFSYGVLLLEIVTGRRNTCLHDSEDLLAFVWRHWSRGGAGELLDGCPAAGRRPQELLRCIHVGLLCVQEDPQLRPGMAAVVVMLNSRSVTLPAPSAPAFVSASRGIGGSPPAAAAAGDAPARSTDHEVPTAAR >OGLUM05G22490.1 pep chromosome:ALNU02000000:5:24650136:24652203:1 gene:OGLUM05G22490 transcript:OGLUM05G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAHEKVGPTCQSVSAPLPPLQKQSARGGGSGSSSSKSIVVVSREMEALAGGRPLSGRARQVGRSREEELLGLLSDFSGDSGEFGRELSFSDLVVVEDTANNPSPRGGDGGGDRAAPTAAAAENGQGRPSSSSEQEEAAEAASRRQQQQQQAAAAARERRLRRRRSDSRGSCGGSGDGVLLNFYVPGLLTRSMTTPRPAARGTLPGAAAAAAAPATAAAGKARMDAPLAVGCWPALWGGGGRRDRRKPAKPAAGRRDTRVVTTVTLGGSVCVDSE >OGLUM05G22500.1 pep chromosome:ALNU02000000:5:24653266:24653736:1 gene:OGLUM05G22500 transcript:OGLUM05G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFPVPRGAHWAVTSTAHVLVGFTGEMARVEQPRAPAPYNAAFLRKKLTLATANTVFQ >OGLUM05G22510.1 pep chromosome:ALNU02000000:5:24653909:24656785:1 gene:OGLUM05G22510 transcript:OGLUM05G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRHQRAAAAPQPANRGAAVAAGKQKAAATAAAGRPGARNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVAANKIVVQNPARKEPAPKPAKKVVPRPENAAKASTGAGVNENKKPSESEGAGSSSGGSALKYSRKKVVNTLTSVLTARSKHACGITEKPKEVVEDIDKLDGDNQLAVVEYIEDIYNFYRTAQLERRPTDYMSSQVEVNPKMRAILADWIIDVHYKFELMPETLYLTMYVIDRYLSLQPVLRRELQLVGVAAMLIASKYEEMWAPEVQDLIHVCDNAYSRQQILAMEKNILNRLQWNITVPTPYVFLLRFIKAAGGDKELENTVFFFSEMALKEYGMASLCPSLVAASAVYAAQCTLKRSPLWTSTLKHHTGFTESQLRECAKVLVNAHAAAPESKLKTAYRKYASEQLGRVSLRPPAVCLA >OGLUM05G22520.1 pep chromosome:ALNU02000000:5:24657386:24658866:-1 gene:OGLUM05G22520 transcript:OGLUM05G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A128] MGSSAEPPLPCHVVAVPYPGRGHVNAMLNLCRILAARDGVTATVVVTEEWLGLLGGAAAAAAEGGVRLEAIPNVVPSEHGRAGDMLGFVRAVYTRMEAPFERLLDRLALGAAPPPPAAIVADTFVLPWAVGVGNRRGLPVCVLSPLSATMFSVHYHFDRLPTATDIADGDEVGNYIPGLKSIRFSDLEPTHTNKNMVDLILEAYSHARKAQCVIFTSFYELESNAMDALRRDLPYPAFSAGPCIPYMALQADEHHAGDEEEEPYMAWLDAQPVGSVLYVSLGSFLSVSRPQLDEIAAGLADSKVTFLWVLRGDSGARDILRGGGGMVVPWTDQLKVLCHPSVGGFFTHSGMNSTLEAVHAGVPMLTLPIAFDQPIVARLVADEWRIGYGLRENGDGGGCGGVVGREEIAAAVRRLMVMDSDAAAAEEAKEMRRRASLMREASRAAVQEGGSSYRDVTSLINYISEFKN >OGLUM05G22530.1 pep chromosome:ALNU02000000:5:24667797:24670267:1 gene:OGLUM05G22530 transcript:OGLUM05G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A129] MASCCGGFMEKAKPYFAMICLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATISIAPFALILERKVRPKMTWSIFFQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLELKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAGAGAAEAPAAAAAAISSRDWFLGSMFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICLVGTLQAVVVTFAMERRRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAALIVVGLYSVLWGKHKETQEKQADTKLSLPTSKGAAAAAEAEEITGAGEDDGDGDDDAERSKNHRSSGGVRSSSDSNGHGASAV >OGLUM05G22530.2 pep chromosome:ALNU02000000:5:24667797:24670267:1 gene:OGLUM05G22530 transcript:OGLUM05G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A129] MVFDRMEKLELKKVRCQAKIAGTLVTVAGAMLMTLYKGPLMEMAWSRHAGAGAAEAPAAAAAAISSRDWFLGSMFVIVATLAWASLFILQTHTIKQYSAQLSLTTLICLVGTLQAVVVTFAMERRRPSVWAIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQRTGPVFASAFSPLMMIIVAVMGSFILSEQIYLGGVVGAALIVVGLYSVLWGKHKETQEKQADTKLSLPTSKGAAAAAEAEEITGAGEDDGDGDDDAERSKNHRSSGGVRSSSDSNGHGASAV >OGLUM05G22540.1 pep chromosome:ALNU02000000:5:24673648:24674756:-1 gene:OGLUM05G22540 transcript:OGLUM05G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRIAYRRRGVDELRAGVALPEGINRPITRSFGAQLLKKAQENAVGVNKIVVQNPEGTSSEVGQVVPRPDNTAKASTGAGVNENKKPSKSEGTGSSGSGGGSAHKYSRKKVVNTLTSGLTARSKVSDRSNTYACLLISA >OGLUM05G22550.1 pep chromosome:ALNU02000000:5:24675209:24675547:-1 gene:OGLUM05G22550 transcript:OGLUM05G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYWRRALTAASTLVPAACGSVGGMPCVPAARGGGRKLLGIATGDGRQLRCGWPRKAVKSVFQMVDRRGKVSGLIRQPLTKGKTSCGGVWVAAAHAGADDSDLLPSTSYR >OGLUM05G22560.1 pep chromosome:ALNU02000000:5:24684212:24688538:1 gene:OGLUM05G22560 transcript:OGLUM05G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASLLPFALALVAIPISLALLDRLRLGRIPPGPRPWPMVGNLWQIKPVRCRGFLEWAERYGPIVSVWFGSSLNVVVSTSELAKEVLKENDQLLADRPRNRSTQRFSRNGMDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRAVTQPGSEHKPIVVRNHLAMVAFNNITRLAFGKRFMNANGDIDEQGREFKTIVNNGIKIGASLSVAEYIWYLRWLCPLNEELYKTHNERRDRLTKKIIDEHAKALKESGAKQHFVDALFTLREQYDLSDDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMSETDFQSLPYLNAVVKESLRLHPPTPLMLPHKASTNVKIGGYNIPKGANVMVNVWAIARDPKVWSNPLEYRPERFIEENIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHQFEWSLPEGTRPEDVNMMESNGVVTFMSTSLQVIAKPRLDNPDLYKRFPVEM >OGLUM05G22570.1 pep chromosome:ALNU02000000:5:24688734:24694975:1 gene:OGLUM05G22570 transcript:OGLUM05G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYDITVQRGVKTLSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIISTYEKFEENHEFPPGQFSKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTTSSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLEEDEDYDNEE >OGLUM05G22570.2 pep chromosome:ALNU02000000:5:24688734:24695143:1 gene:OGLUM05G22570 transcript:OGLUM05G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCNRTYDITVQRGVKTLSSSHLKQCIHSYNVYDFLRDVVSKVPDMGTSDAGVDDKLGKRRKTAEDDSEEESKRTRNEAASQTSTGRGRGRGRGRGRRGGRVSEREIISTYEKFEENHEFPPGQFSKPSQLKVDVSVDGTDAIETKEATPLSNARASLRNIDLNIELTDYDDEGSAPLEVQPPAPAAGVVTTSSGPLVSEVNEEAKTKDFLGWQLPELTKMAMDPVQFALSSNHRLEEDEDYDNEE >OGLUM05G22570.3 pep chromosome:ALNU02000000:5:24693903:24694935:1 gene:OGLUM05G22570 transcript:OGLUM05G22570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLDGGEVVLAPGRSVVDAAHLHAAWLLRLLGHRRRAATGGEEEGNGNGGETRTTTTTSSSRCSEHRFREER >OGLUM05G22580.1 pep chromosome:ALNU02000000:5:24694051:24694815:-1 gene:OGLUM05G22580 transcript:OGLUM05G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A137] MAEEAQQPRGVKVGGIHDAPAGRENDLTTVELARFAVAEHNSKAVRQQVVGGFMHYLTVEVKEPGGANKLYEAKVWERAWENFKQLQDFKPLDDATA >OGLUM05G22590.1 pep chromosome:ALNU02000000:5:24695599:24696030:1 gene:OGLUM05G22590 transcript:OGLUM05G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANHSGVEEEQDGGKTFWSVAVHGDSSNDINGRPIPFFTNVGWPPASYSSIDPSSSSVLDLAFISCGGGIMEYTWVGVVRPSPRCAHTPWPSSHFLATVAALVTGTPMPPCRELGGEALQTGSHDSGEDSSIASDRRALRRQ >OGLUM05G22600.1 pep chromosome:ALNU02000000:5:24700886:24701950:1 gene:OGLUM05G22600 transcript:OGLUM05G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAGGMSESVLRKVLLSYCYVGVWIFLSFAVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRLLRVVEPPSSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLGFLLVPWVFVELPRLRAVGTFRPDFFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKISQADEEAGSLLQERDGHSDRKSDNQA >OGLUM05G22610.1 pep chromosome:ALNU02000000:5:24705081:24706010:-1 gene:OGLUM05G22610 transcript:OGLUM05G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLSGSGNAGGGAAVAGEWDGGGGGGIAGRMRGVNAGIMDEKVLELVFRALNWDPRELCVVARVSRRLRAVAERVLWRELCVSRAPRMVSALSGPTAAVAAAAGRIGGGWPAMAKLLFFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLFVSDPCEHAAGAASDDDVVGAYRGVYRGFMRSRTRAFLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSACRRLGANEGRLEYFVCVSGHLHGSCWLARLSSSSSSSDGERSADSDSNHSDDETFAAADVSLPLPPAGRVPARRLRGRPAM >OGLUM05G22620.1 pep chromosome:ALNU02000000:5:24711534:24712037:1 gene:OGLUM05G22620 transcript:OGLUM05G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAEEEAVPVAEARAQAEKRAAAEDGEGAEAEEEGNEMPEPKRRRACVAALEGVRRAAADAAEENGDGGGGGAGGPAADGGSSFSFHARSFSGVETTPKFGSFNPADDLLVAFQLKPPPPPMDAPAKEEPPAAAAGDDDDDEEHEATAEEGNDGISQQLGVVDQ >OGLUM05G22630.1 pep chromosome:ALNU02000000:5:24714524:24724445:1 gene:OGLUM05G22630 transcript:OGLUM05G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTVLSDEEDEIEEEEEEENPRPSRRGRDNMDRDDDDDEEDEEDEGQDEYEKDGFIVDDADEEEEEEDERESDDERRKKKRKKKKKRESEGFMLDEDDYELLQDNNITGIQRPKPGNKFKRLKKAGRESEMDERSGFSDDDGSGKRRTAEERVQYSLFGDHQDASIEEDIVEEDQQADEDEDGDPEDEMAGFIVDEDEIDANGQVVKRKKGKVRPRRPAAGVSSSALQEAHDIFGDVDELLALRKQELERDAANSGELRGNRLEDEFEPFILAEKYMTPKDEQIRENDVPERIQLSEELTGYPPTDTTMIEEESVWIHSQLTGDGFLSFFNNEHVNKDIDQKDIASVLTMLHVNKFEIPFIAMYRKENCPSLLKDLDANEQTNEEHSDEEDQRKMMWHKMLWAVHTLDKKWLLLQKRKVALEMYYDKRFDDENRRIDDVTRQALNRQLYSSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWQVANQFGRSAEQLGHHLTLTKIPEAGELDSGKDSPEEVAANFTCAMFETPQDVLRGARHMAAVEIGCEPIVRKHIRSIFMNKAVVSTCPTAEGNLIIDPYHQLSGVKWLRDKPLNKFVDAQWLLIQKAEEEKLLKVTIKLPEDAKKELMSEARENYLSDCVSKSAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLNMEYGKQLWNKVSVAPWKKKDADKKDSDIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISIRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVFFDSIHLQLLSSHKVTCSVDLYKVISSSSMACLVASNHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMAATLCGPGKEILSWKLHPLEQFLTPDEKYEVVEQIMVDATNQIGFDVNLAASHEWQFSTLQFVAGLGPRKASALQKELLREGSIFSRKDLVKPLGRKVFMNASGFLRVRRSGGAAASAQIIDLLEDTRIHPESYALAKTLAKDVFAEEAPHEANEMDDDEQEMAIEHVREKPRYLKSLDIREYMKSMPEEFHNKEQTLKDIKWELLCGFPDWRTPYAEPTPDEEFWMLSGETEDTISDGRIVQVTVRSIQDNRIICTFDSGLKAIVMADNYSDEGFDLETLQLHEGDVLTGKIKNVNKNRFMVYLTCKASELRRRPLSRGNHDPYNHEQDMTSQNEQDKLRKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVLAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLLYRKFKKGSKSEVDEMLRAEKSENPMRIVYCFGISHEHPGTFILSYIRSTNPHHEYIGLYPKGFRFRKRDFDNIDRLVSYFQKHIDKPPPDAGPSMRNVAAMVPMKSSGWGNGGGTGGGNDGWRGDGNNDRDRPFSGRSGGRFDSRNSSGGRGRGRGRGRGNFGSDDGGGGGWSGGGGGGGNSGGWTDNIGSGGGGWGTGGGSSWAGGGDGGSGGGDSNRGGGGGWGTPAGGSDGGGGGWGAAPGGSNDAPGWGSGKKAVPAQDGGSGWGASAGGGSGGWN >OGLUM05G22640.1 pep chromosome:ALNU02000000:5:24723103:24723963:-1 gene:OGLUM05G22640 transcript:OGLUM05G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRATHWCYVCRRPVRIRGGSQDVTCPSCDDGFVQEMSEMGRRTASSTLGFVGPDAGDEFLLRRSPVMEAMSTLMRHAATVGGDEREVDVHDEHGGGDGVPAHARLGVLFRGGPRVGVERRGGYYRAGLEALFEQLQNQLGSSRQGPPPAPPSAIDAMPVVTISRRHLRSEPRCPVCQDAFQLGAEAREMPCAHLYHADCIVPWLVHHNSCPVCRHSLPPPATTASGGGASGGERQVRRGSRSFLWPFGPTSSTSHSHECEDGSSDTTVYEDPGQVRYIGWHYNH >OGLUM05G22650.1 pep chromosome:ALNU02000000:5:24725974:24743334:1 gene:OGLUM05G22650 transcript:OGLUM05G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G02860) TAIR;Acc:AT3G02860] MDQRKALFRAKLRERETKEKQERRIDPSLVRYNEFDQPICRICNVTLKSEALWPAHQVSRKHHEAKAAAAASAKAPSGAGSRGNKANHEQPAESQKPKSSTLPANFFENQGMKRHNDGAGSEGRSVRREVADVQQKTKEASKTKPSFNFEKIPKKESQANTNVKGVLPGNFFDYNDEDEDPAPTEANSAPGNPPISNRMQVKGVPDGFFDGNKNSNGMQPSEPSQSSKAVKSSETSEVKGSLPEGFFDNKDADLRARGIQPPKIDINDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQEEYRQRVDMLKKQLTESKAARTTKANSKPVGMDMLSSSDSSSDEEDDSTDFAVDWRAQHLK >OGLUM05G22660.1 pep chromosome:ALNU02000000:5:24734607:24735281:-1 gene:OGLUM05G22660 transcript:OGLUM05G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVPSQLLFSHPEVPDSFDDFLNNITTCTHTHTCNPPGPSATMHTHTCLHTHTQVFASGSGEDDIKEDLTKIRRPLGNREAVRKYREKKKAHAAFLEEEVKKLRAANQQLLKRLQGHAALEAEVIRLRSILLDVRGKIDMEIGTFPYQKPCSVGSVACTDPGLCFNGNSEIGGVWEECSRPAGADRMIDKDGSMSQEIDIPGPVHSISMDVVGSLVTSASLSE >OGLUM05G22670.1 pep chromosome:ALNU02000000:5:24748730:24755605:1 gene:OGLUM05G22670 transcript:OGLUM05G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A146] MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRRILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQKLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGSKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVCIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >OGLUM05G22670.2 pep chromosome:ALNU02000000:5:24748730:24755607:1 gene:OGLUM05G22670 transcript:OGLUM05G22670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A146] MEGGRSWSIESYLNEYFDIPAKNPPGEARRRWRRAVGLIVRNRRRRFGRFSDVDAIDEAQRRRILGKVQVVINVHKAALQFIDGVKQYHLPPELIEEGFCISPDELAAIANMREDYTMLRMHGGINGISRKIKASLEDGAKETDIATRQKLYGANRHAEKPPRSFWMFVWDALHDLTLIILVVCALVSIVVGLATKGWPMGIYDGFGIILSILLVVLVTATSDYQQARKFMELDREKQKIYIRVTRDKKTKEVLVHDLVVGDILHLSIGDVVPADGLFISGDCLMIDESSLSGESEPVNISEERPFLHAGSKVVDGAAKMLVTAVGTRTEWGKIMGTLNGDGVDETPLQVKLNGVATIIGQIGLVFAVLTFLVLLARFLADKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDKALVRHLAACETMGSASCICTDKTGTLTTNHMIVDKVCIGDVKFVGDKKNSELKSTISERVMAILIQGIFVNTASEVVKGDDGKNTILGLATETALLEFGLSLEEHLYDDYNKLTRIKVDPFNSVKKKMSVTIQLPNGGIRTFCKGASEIILEQCNTIHNTDGNIVPLSEMQKHNVLNIINSFASEALRTLCIAFKDMDEFPNDQPISDDGYTLIAVFGIKDPVRPGVKDAVRTCMAAGIRVRMVTGDNINTAKAIAKECGILTEDGIAIEGQQLNNKSSDELKELLPKIQVIARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALHESDIGLAMGITGTEVAKESADVIIMDDNFETIVNVARWGRAVYLNIQKFVQFQLTVNIVALIVNFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGDNFITRIMWRNILGQGLYQLLVLATLMVIGKKLLSIEGPQSDKTINTLIFNSFVFCQINVLQGIFRNWIFVGILTATVIFQVIIVEFLGTFANTVPLSGELWLLSVVIGSISMIISVILKCIPVEFNKTNTKPHGYELIPEGPEIL >OGLUM05G22680.1 pep chromosome:ALNU02000000:5:24759790:24762804:1 gene:OGLUM05G22680 transcript:OGLUM05G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0A149] MVGSYAAGGGRGAAVAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKDSLMYSLHSGPQDPSLCAKCISCSNTDSILY >OGLUM05G22680.2 pep chromosome:ALNU02000000:5:24759790:24762804:1 gene:OGLUM05G22680 transcript:OGLUM05G22680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:A0A0E0A149] MVGSYAAGGGRGAAVAAVAEGKLDELRRRMGKADGDLLRIVGVGGGAWGSAFCALLQDAYGRHRDKAQVRVWRRPGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVINGLPSTETREVFGEIGRYWKERIRPPVIISLAKGIEASIDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNIYAIGAGMVAALTNESATSKSVYFSLCTSEMIYITHLLAEDPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVHAFYELLSQSSLSVTHPEVKKLVAPVELCPILKTLYKILIKRLVRLPLLLFLLVLIYVYRLKFFAHFTCRELATDSILQAIRDESMYDPRERIEMSQRQCLYRPSLLGLPKDSLMYSLHSGPQDPSLCAKCISCSNTDSILY >OGLUM05G22690.1 pep chromosome:ALNU02000000:5:24770388:24774184:1 gene:OGLUM05G22690 transcript:OGLUM05G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVISMVVAPWILACGFLLCSSSFLGAEGAIGVNYGMLGNNLPSPAQVISMYKAKNINYVRLFHPDTAVLAALRNSGIGVVLGTYNEDLARLASDPSFAASWVSSYVQPFAGAVSFRYINAGNEVIPGDPAANVLPAMRNLDAALKAAGISGIPVTTAVATSVLGVSYPPSQGAFSEAASPYMAPIVAYLASRGAPLLVNVYPYFAYAADAERVQLGYALLSASQSASVTDGGVTYTNMFDAIVDAAHAAVEKATGGQAVELVVSETGWPSGGGGVGATVENAAAYNNNLIRHVSGGAGTPRRPGKPVETYLFAMFNENQKPEGVEQHFGLFQPDMTEVYHVDFAASS >OGLUM05G22700.1 pep chromosome:ALNU02000000:5:24774312:24776435:1 gene:OGLUM05G22700 transcript:OGLUM05G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKNLGNGRQNHEKSTVTEDARSRDQETLQRFADSDITVSPVNSHESGDVNMEAAISAEDVLRAGGFGAKDDIGSLLPTAVDSTDFEASLRDARDFEGESEKPSHPGLGYKANETDNGGKPSDVQQQQQQQ >OGLUM05G22710.1 pep chromosome:ALNU02000000:5:24776470:24777343:-1 gene:OGLUM05G22710 transcript:OGLUM05G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDDDEFSDEEEQSMGKKLWEDEDAGDDFAAVKDSWDDDDDVKPGKETAATAASTKPPATKGKKSQANAKAKAEAADATPSETSTSNAAAEIAQKQPYDDEPIEKFVPKSEKEFAEYAERIAKDLLRPYEKSYHYIGLMKAMNKLAVASLTSTSVKEIVSSMTTVANEKLKAEKAADAGKKKPGQKKKRLHVNKAEGQKFRDADDDDDDDDDCLSS >OGLUM05G22720.1 pep chromosome:ALNU02000000:5:24776851:24786438:1 gene:OGLUM05G22720 transcript:OGLUM05G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYSANSFSDFGTNFSMGGFVLAAVAAVSFPGLTSSSSSHESFTAAKSSPASSRLPRHPKDAVPKLAMATAVRVIAKWGHPAADITHLVVSTNAGAHSLGTDEWLAALLGLRATVQRTILYMHGCSASCSALRLAKDIAENNHGARVLVACTEHTEHDSNISHVT >OGLUM05G22730.1 pep chromosome:ALNU02000000:5:24778576:24780575:-1 gene:OGLUM05G22730 transcript:OGLUM05G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A154] MAKKSVGDLTAADLEGKRVFVRADLNVPLDDNQNITDDTRVRAAIPTIQYLIKNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVTKAEDVIGPDVEKLVSELPNGSVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLAKAKEKGVSLLLPSDVIIADKFAPDANSQVVPASAIPDGWMGLDIGPDSVASFSSTLETTQTVIWNGPMGVFEFDKFAVGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVTVAV >OGLUM05G22740.1 pep chromosome:ALNU02000000:5:24782746:24784062:-1 gene:OGLUM05G22740 transcript:OGLUM05G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRAVLVGINYAGTEGELKGCLNDVARMRRCLVDRFGFDEADIRVLADADPSTPQPTGANIRLELERLVGDARPGDTLFFHYSGHGLQLPIETGGDDDDTGYDECIVPCDMNLIKDQDFTELVQKVPDGCLFTMVSDSCHSGGLIDKTKEQIGSSTKQSKIQQRERELRRQQAPSPGTCSCASLLQIALRHLPRRGGQRIIGSRSRDGVGEDQPPRSQAELLAADATRAGIKNRSLPLSTFVEMLRERTGKDDVGVGSIRTTLFHHFGDDASPKIRRLVNAMLGRRHGSATASEEHPDKAKPERVDGEGEAAAAKQGAPETRPLPRNGVLISGCQTDETSADATTPEGVSYGALSDAIQSVLAEERRGKVTNMELVRRARELLAKQGYTQQPGLYCRDKHANVAFIC >OGLUM05G22750.1 pep chromosome:ALNU02000000:5:24784689:24786888:-1 gene:OGLUM05G22750 transcript:OGLUM05G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKRALLVGINYPGTKAELKGCHNDVARMRRALVDRFGFDEADIRVLADADRSAPQPTGANIRRELARLVGDARPGDFLFFHYSGHGTRLPAETGQDDDTGYDECIVPSDMNLITDQDFTELVQKVPDDCLFTIVSDSCHSGGLLDKTKEQIGHSTKQNQAQQIKREERSDSGTGGFRSFLKETVRDAFESRGVHIPHQSSRRNDDEDEEPHMGSSSHGGDRIKNRSLPLSTLIEMLKEKTGKDDIDVGSIRMTLFSLFGDDASPKIKKFMKVMLTKLQEGQHGGVMGLVGALAQEFMKAKLEGNQEADALEPAMKQEVHSVHEAYAGTTARVSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILSEKSGRVTNKELVLRARELLSKQGYTQQPGLYCSDKHTSVAFIC >OGLUM05G22760.1 pep chromosome:ALNU02000000:5:24813585:24814169:-1 gene:OGLUM05G22760 transcript:OGLUM05G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEDAPAIPSHPSVPQEVGNQLPRRSPPPPPRLETAADEIGGVRCGVLCFHQPRRSKKKKKKRPPPPVMQLAGGAAGIKSVQLETTATDDDDASSASPPPQRVTFLASASLSTWWPASPPAAAAAGGRGASSSSFSHWRRSLSSSRRRVTPHGAAAAAASAPTSFSFPSSPASASTSCTSTPKLVHGCHVD >OGLUM05G22770.1 pep chromosome:ALNU02000000:5:24817267:24826944:1 gene:OGLUM05G22770 transcript:OGLUM05G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural maintenance of chromosome 3 [Source:Projected from Arabidopsis thaliana (AT5G48600) TAIR;Acc:AT5G48600] MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFCDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKHDGARAELTAAQNQMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPFEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQASELQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >OGLUM05G22770.2 pep chromosome:ALNU02000000:5:24817267:24826944:1 gene:OGLUM05G22770 transcript:OGLUM05G22770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:structural maintenance of chromosome 3 [Source:Projected from Arabidopsis thaliana (AT5G48600) TAIR;Acc:AT5G48600] MESSPPRSPAPSPGRAGRPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVEGSDFIITRVAFCDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKSQGPHDEGFLEYLEDIIGTNQYVEKIEEASKQLEMLNEKRTASVQMLKLAEKERDNLESAKNEAETFMLKELLLLKWQEKATTLASDDATSHVAQLQENVADLEKNLASEREKIQHSSQTLKEMESVYNKHAKRQESCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKTEKDTSKIDESTKEVEESSSLIPQLEEEIPKLQEKFNEEEKVLEQIKENSREETERLRSKLTQVRSELEPWENQIIEHKGSLDVASAEKKLMKEKMESIKEQIKAKDTYIMELQEKIEKHHSEANEARKVEQECLKQEESLIPFEQAARQKVAEIKTTRDSEKNQGTVLKAILQAKESKEIEGIYGRLGDLGAIDAKYDVAISTACPGLDYIVVETTNSAQACVELLRRRNLGIATFMILEKQTHHLRKLQEKVKTPEGVPRLFDLVKVKDEKLKLAFFATLGNTIVASDLDQATRIAYSAASEFRRVVTLDGALFEKSGTMSGGGSKPRGGKMGTSIRESISEEAVANAENDLNKLVDQLNRLREKINDAKKGYRALEDAKSRFEMELAKAKKEVESMNAQFSYNEKRLDSLKAASHPKADEVRRMEELDDIISAEQAELNRLAKCSSKLKDQQKIENAGGQVLKDQKLKVANIQSQLDKTSSDINRHKVRITTCEKLVKKLTKGIEESRKEKEKLLAEKEKMMSIFKEIEKAAFTVQEDYKKTQEMMDNHKDELDKTKVEYNKLKKAMDELRSSEVDVEYKLQDTKKLAKEWEMKVKAFRKKLDDIQTNLVKHMDQIQKDAIDHEKLKETLSDEQFNEACDMRKAVEMVALLEAQLKDLSPNLDSIAEYRTKARVYGERVDELNATTQERDDLKKQYDALRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >OGLUM05G22780.1 pep chromosome:ALNU02000000:5:24828400:24828981:-1 gene:OGLUM05G22780 transcript:OGLUM05G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERF domain protein 12 [Source:Projected from Arabidopsis thaliana (AT1G28360) TAIR;Acc:AT1G28360] MELDMGAGGGGGVVGGGRAEAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTYDTPVEAALAYDRAAVALRGVKARTNFGSGSSGGGGVGGHGHGHSHSHSHAQLPQLHHRLHPPRPPQGPGHFGGLDISHPSPWHYVYFPARVQAMAPAAAGHVAAHVAASLPSTTLELRTGPSAGELPFDLNEPPPALLFGS >OGLUM05G22790.1 pep chromosome:ALNU02000000:5:24839254:24839964:-1 gene:OGLUM05G22790 transcript:OGLUM05G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRTSDKTMSPAAAATGLALGVGGVAGAAAVGTGQHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPYPNGAPAAGVNSGSSNSSTVESFGSDVQAPMKAMPIPPSLELDLFHRAAAAAAAGAGGMRFPFEGYPVSHPYYFFGQAAAAAAASGCRMLKIAPAPVTVAALAQSDSDSSSIVDLAPSPPAALAKKAIAFDLDLNCPPPMEV >OGLUM05G22800.1 pep chromosome:ALNU02000000:5:24859524:24864282:-1 gene:OGLUM05G22800 transcript:OGLUM05G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRGAGLPAAGEAAKAGRVGVGTTKRARDPSPNSKDPNGFVGVIAAEKKPALQLHGDEKYQKKAGNDPVPPTIDDTSKTGGLHLHGGHVSQSPPDSNALSSQRFGSSSPGGDMKNKTRKRTCTFYAQGRCKNGKSCTFLHEGEVSGSDNQVYGNHGGTGEGSEIQHPSSSKERQFKNSAGSSQHEIYRTLVHAYGEDNRGLTHPVVKHSCHMLKASHGFKIGGSLTANPTNEVVQLPVVQEKNHEPYFMGHQISLGTNNCLNDMGAYSRLRLDGGKLQFEVAKGDSPRDSHLSRSYLEKNPLKPDYRYQPFDSTISLDPHQYSKKLSAYGGATENLPHKHQEEKSSSHVSYSLNSYTGFRKQGHDSSDFFLVNQSLRATSHHGTLPLHQLTPDKDASHHKGADFDKGGTSRSTLLVSSSSQPVVASAGKLSPIKDEVWITSVPFVPSFNFPDFPGSTSPSKSQYDPLVDSIDPPKVESLNNLKTSNISCSISSQHVDMNVIRGGSLEKPLTFADKLARNVSAKGSNDFGLISYDRGHSSSLDGDNRVKTCERKNDASLNNEKSDFRFHLVEHVKELVKPIWKEGNLSKEAHKLIVKKSVDKIFASLEPNQMPETEKAITTYITASAPKIEKLVKAYVDRHRTS >OGLUM05G22810.1 pep chromosome:ALNU02000000:5:24867792:24874831:1 gene:OGLUM05G22810 transcript:OGLUM05G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARTQARAYIGRAASRPESCTTQRQAGKGQGGRPTTSTTIAALRLRCLCFSFPLALRLLLLPPTASRVASTHLTSLPPPRACSTTSRRRGRARGERGGGEEAGMPAQKRPAPEAASAPAGGDGHVEGGGAGGGGGADEDAHRGGERSPKVMNGGGPEKEKERRDADSDAEEEEEEAGGGGGGGGADEDRDSPSSESDGDMDEFILVKLMDIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALILALYPDIDKYEEEELAFSEEERTRNKKIQASIAETFRRQSEALVKKRSVAKATGSTITRRTRGNMRAKRRGRTSSPDIVATDNEDEDRDENGNEGSKESSSVDDRSPDVRQKRVRRWPVPRSSPAKSIGGIDSSFEDIDDLGSGRDIMSTSPLRGEMLAWGKNGTRSQTRHGNSGGSSGRMAKGGRVTKLVEYLRNTDEFDNKFNLYLVLLPLNGQSMPKLEKPYLSCQPTFSVRHLCQFVALQLSRHAKEVEIFIRKNPNNGCFASIDTSADEIKLNHDALERLEEEKSLSELYPSLASGHGDLELLYSLKAEV >OGLUM05G22820.1 pep chromosome:ALNU02000000:5:24875683:24880509:1 gene:OGLUM05G22820 transcript:OGLUM05G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein L11 methyltransferase-related [Source:Projected from Arabidopsis thaliana (AT5G53920) TAIR;Acc:AT5G53920] MLPLVRPGRAAPLLRRLRRRLLLLSSPQTPSPSSSPYIVSSSKVSPLLLLLSARPSPSRAGDRGAWRGEVLSESLLCFGATSVTVDDIAAAGNLDEITITSIFAHGEDVGSCVSSAASSAGLEYNPVYESSVGKQCDWVTVVQETYESTKVIDGLWVIPKWRTPPDPQAINIIINPGLAFGTGEHPTTKLCLLLLRETVKGGERFLDYGTGTGVLGIAALKMGAALSTGIDIDPQAVTSACENMMLNGIDSNKMLVYLVPTNAQSACFPSNIDKSEENRPTGNLELKSSKGSYDIVAANILLNPLLELVEDIVGYAKSGGIVAVSGILSEQVPKVEEAYSRYLENISVSEIDGWACLRGNRRA >OGLUM05G22830.1 pep chromosome:ALNU02000000:5:24878774:24883819:-1 gene:OGLUM05G22830 transcript:OGLUM05G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAACGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGQAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRTIGGGKTGAPQWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLESNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPIVLVTVGETSWASGIILNKNGLIITNAHLLEPWRFGRTSSLGLQNKIASFSEHTCGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >OGLUM05G22830.2 pep chromosome:ALNU02000000:5:24878774:24883819:-1 gene:OGLUM05G22830 transcript:OGLUM05G22830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPREVAAAACGFSAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPPGSLAEPPPLLDRICAAHGQAGGVALTSASLVEPFLVEEQRNSPSQELQPRLVPEAHLDVLVEHEESRTIGGGKTGAPQWLSARLLAIVDVQASADSVLSLLQHEGSLIRSSSWDVCWSLADVNQKQVDNDARYSLESNRKNAYAESTEPPMLAKSATRIAILGVSNLNSSNTRCINVSLMQQRGDSLLIMGSPFGILSPVHFFNSISVGVVANCLPPGTARSSLLMADVHCLPGAPVFDKNSCLVGMLMKPLRQRGSSTEVQLVITWDAICNAWNSDKLERIGHPPSELVDEKSSDCKYKESCVADKHRRFVPNSANNLNQYDVSPSLTEAISSVVLVTVGETSWASGIILNKNGLIITNAHLLEPWRFGRTSSLGLQNKIASFSEHTCGGENNLLQPQQCKVSNEDAVKHELSLFNFGLKKDRAISVRLDHGERKTWCNASVVFISKGPLDVALLQMEKTPIELCAIRPEFVCPTAGSSVYVVGHGLLGPRSGLSSSLSSGVVSKIVKIPSTQHSQLSSVVEVNNMDIPVMLQTTAAVHPGASGGVLLDSLGRMVGLITSNAKHGGGSTIPHLNFSIPCKSLEMVFKYSAKGDFKILEQLDKPNEVLSSVWALAPTSSPFFSTSPENGRGGKVLEFSKFLADKQEGLKSIKDIEAFLRDRIPSKI >OGLUM05G22840.1 pep chromosome:ALNU02000000:5:24887593:24887922:-1 gene:OGLUM05G22840 transcript:OGLUM05G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGRWAICALLLAALCVAAQFEGALCRGGGRGGKGGGGGGGGGGKGGGGRGGAGRPIAGAAAAGIGSRAGSGSHRHSAAAAGPHGRGAWRTSGGASAVAAAALVWWC >OGLUM05G22850.1 pep chromosome:ALNU02000000:5:24890018:24890611:1 gene:OGLUM05G22850 transcript:OGLUM05G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTRRVCVLLLLVLLGLAAISNRARAARVVPAAGAAALRREEGEAPAAVHRGRRGVPRIGRGGGVGGGGVTVPVEHVARRRLQEHEHLNEEMLPSFSGGGGSRGGGVSSGGSSRGGGSSIGSGGGSRGSGGGSGSGSRGAGGTGGSGSRFIPPIIPAVPEGGGGGGSGSGSSSGRRGVWNVGVAAASVLAVAWLV >OGLUM05G22860.1 pep chromosome:ALNU02000000:5:24893351:24893983:1 gene:OGLUM05G22860 transcript:OGLUM05G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSTRRLRVLLLVLAVVVASAVQYSGAGAHAARVPAVLREDETTTTATDGLACRHRRRASAVVVPAAAAPPLVPVHAAAGHGVPSTKSFNEGPAPSTTGGGDDGHAIFAAAAAGRVSSRLGGGGGRGSGGGRGGGGYGRAGGGAGYAAGMYTGGGTGSAKADIIIDVLLVLTLVGGIITIVWSFCFICTNQQADSGSTDQDPNPPLPKQ >OGLUM05G22870.1 pep chromosome:ALNU02000000:5:24925212:24942565:1 gene:OGLUM05G22870 transcript:OGLUM05G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGTWFLRPIIDIDVINNRLNTISFFLCCEEVMSALRGTLKSVRDIPHMLKKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >OGLUM05G22870.2 pep chromosome:ALNU02000000:5:24925212:24942565:1 gene:OGLUM05G22870 transcript:OGLUM05G22870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMFLWFYFGNKDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGTWFLRPIIDIDVINNRLNTKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYISDALLIGLPDFEFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >OGLUM05G22870.3 pep chromosome:ALNU02000000:5:24925212:24942565:1 gene:OGLUM05G22870 transcript:OGLUM05G22870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMFLWFYFGNKDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGTWFLRPIIDIDVINNRLNTKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIIRDLVCRVCQFIPQLTKAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >OGLUM05G22870.4 pep chromosome:ALNU02000000:5:24925212:24942565:1 gene:OGLUM05G22870 transcript:OGLUM05G22870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-homologue 5 [Source:Projected from Arabidopsis thaliana (AT3G20475) TAIR;Acc:AT3G20475] MDEEEEEEMSEREVDSQVHMACVMQGRRVGIAYYDSSMHQLFVLEIWEDITEDFPLIDLDCNDEAPAVKLMKSSTFSYEQAWHRLMYLKVAAMDEGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTLDQMEGGASIAIDSVAQISFYPFIRNDIIRTKCANVLISMFLWFYFGNKDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGTWFLRPIIDIDVINNRLNTKKFNSPSSFCTSSDWHAFLKCICSLLHINKIFEVGISEHLAIKLQHMNIDLVGKANSSITEELDYVSDLVVGVIDVQRGKEKGYDTLLDELRMVYEELPDFLEQVSANEIASFPFSFECRKAPLIVYISDALLIGLPDFEFAEMTVDTFVPNDTKIRSSGRINIITGPNYSGKSIYIKQVLLSTHLTQIFTESYLPQSEHIKCYTMSVLNPDEQTDNEDVIFLYRLVPGQALLSFGVPSEVVQRAVTVLGDIHSKRPIRRMVWEKLAAKDQQYQDAVTKLLAFDPHKGDLVNFFQEVFPS >OGLUM05G22880.1 pep chromosome:ALNU02000000:5:24945436:24947205:1 gene:OGLUM05G22880 transcript:OGLUM05G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYQHIMSMVCLGYCQSNKSTCYLTIHLVYRGYFQHAGYLLICHSNIATSGGILNFLVSVLIFRDVLAFFSYGSIVSECKLCRCASCPAGSARRRQFLLPLRPRILRIFS >OGLUM05G22890.1 pep chromosome:ALNU02000000:5:24948165:24949908:-1 gene:OGLUM05G22890 transcript:OGLUM05G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATKWDRRGLNNLRGADGALCDRYPVSADAEISALKAELLQAHNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSKENDKVRNILDAVKEELNRERKNRQRAEIMNSKLVSELSELKSAAKRYLQDYEKERKARELMEEVCDELAKEIAEDKAEVEALKSESMKMRDEVEEEKKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSKLQSDLESFLSFHQGNGVNKEALRDGERLREAICSMKFHDIKEFSYKPPPPSEDIFSVFEELRERDDANEKEIGQCNGGTPKRHATKIHTVSPETDIFLEKPLNKYSNQLCDRNEEEDDSGWETVSHVDEQGSSNSPDGSEPSVNGFCGGNDASVSGTDWDDNRSNSEISEVCSTTAEKYRKKGSSFGRLWRSSNGDGHKKTGSELLNGRLSSGRMSNAALSPSLKNGEVCTVSPSVGEWSPDLLNPHVVRAMKGCIEWPRGAQKQNTHNLKSKLLEAKLDGHKVQLRQALKQKI >OGLUM05G22900.1 pep chromosome:ALNU02000000:5:24958601:24959017:1 gene:OGLUM05G22900 transcript:OGLUM05G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAAAPSDDGELGSPEREEMTGVEVRIRISKRQLQELLEMASMTAAGDEKVIVGIINAGEVVDHHQQRHWQPTLQSIPEAGEP >OGLUM05G22910.1 pep chromosome:ALNU02000000:5:24959687:24961780:-1 gene:OGLUM05G22910 transcript:OGLUM05G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DCD (Development and Cell Death) domain protein [Source:Projected from Arabidopsis thaliana (AT3G27090) TAIR;Acc:AT3G27090] MDNLWHLGDEFRGQSKVVEDRQWSLMTSKLAEINKSKAERTNELDYARMNTIPDVKQWDKVSYHQDECKMDHLNLGLMNLDLKMNDIRMNDAAMKNPFRGMAYNMNQLYPKGGNGNVNSFKMNVGVNKYLHSPNGKDVNGKNSGANSNGSNSSGNNSSNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAIIPGLPLFLYNYTTHQLHGVFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDAFRPVLHHYDGPKFRLELSIAEVVHYVLMFVKRKLLVAPLQGPGT >OGLUM05G22930.1 pep chromosome:ALNU02000000:5:24976440:24978891:-1 gene:OGLUM05G22930 transcript:OGLUM05G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSSASRSCCCCSWVRGGCASCCSWIRGFCGGGGGATTSAQDTAASDAKKRKKRKWVVRGVFGKAAREAEEPLTLETMKKRKSAATSPELEKNKWGTKKNWKKKKGKTQPTGLASLVKEISLENSTRNRAAAGEILRIGNHNIPSRVFTFRQLADATGSFSPENLLGEGGFGRVYKGFIPDTKEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTECDQRILVYEYMPLGSLQDHLLDLTPNSSPLSWHTRMKIAVGAARGMEYLHEIANPPVIYRDLKASNILLDGGFNAKLSDFGLAKLGPVGDKSHVTTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLEIITGRRAIDTTKPTREQILVHWAAPLFRDKKKFVKMADPLLDMKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDVEPLPIKAPNLDRESSQKEAEGGDNDSDEGGEEQV >OGLUM05G22940.1 pep chromosome:ALNU02000000:5:24980004:24980564:-1 gene:OGLUM05G22940 transcript:OGLUM05G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVVFFAAALMAAMVAISGAQLSESEMRFRDRQCQREVQDSPLDACRQVLDRQLTGRERFQPMFRRPGALGLRMQCCQQLQDVSRECRCAAIRRMVRSYEESMPMPLEQGWSSSSSEYYGGEGSSSEQGYYGEGSSEEGYYGEQQQQPGMTRVRLTRARQYAAQLPSMCRVEPQQCSIFAAGQY >OGLUM05G22950.1 pep chromosome:ALNU02000000:5:24985964:24994224:1 gene:OGLUM05G22950 transcript:OGLUM05G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A180] MASSRVILALLLAAAAVMASSAQLDEKFYSNSCPSVEAVVRKEMVRALGAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSAGNSTAEKDATPNQTLRGFGFVERVKAAVEKACPGTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSIANETDQLPPPTANFTELTQMFAAKNLDLKDLVVLSAGHTIGTSHCFSFTDRLYNFTGLDNAHDIDPTLELQYMARLRSKCTSLQDNTTLVEMDPGSFKTFDLGYFKNVAKRRGLFHSDGELLTNGFTRAYVQRHAGGGYKDEFFADFAASMVKMGGVEVLTGSQGEIRKKCNVRAMHLLPQYSLIVARNLPWLRSRLRRGARLGVETVCRLMSRELELLLFLLALRASGEVVAGSAEAAAAAAAWPGLQVGFYHAKCPVAEDVVLGEMRMILEEDPTLAPSLLRMHYHDCFVQGCDGSIMLRSRSGKGERDATPNRSMRGYDAINRIKARLETVCPLTVSCADIIAMAARDAVYLSKGPWYDVETGRRDGDVSVAEYAENDLAPPDSNIVDVKTFFSVKSLNAKDIAVLFGCHSIGTSHCGAFQKRLYNFTGRMDQDPSLDAGYAAKLKKLCPPGHGHDHDHDGHGGAGGAAKVPMDPGSGFTFDLSYYRHVLATGGLFQSDGSLRDDPVTRGYVEKLANASSSSEYFADFAAAMVKMGRTDVLTGDLGAVRPTCDSLVD >OGLUM05G22960.1 pep chromosome:ALNU02000000:5:24991749:24995763:-1 gene:OGLUM05G22960 transcript:OGLUM05G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAPRRLLPDSSSPPIHRRRLPLPPLFRRRLSPLQASLVQALLCSGPIRGGRGLTPLGLLMDPAADGEGGGYEDASEFADAETGGGEAVRGEGEGERERRELPEELAKGVVCLECETSPEAEAAGAGGTCRVYVVGTAHVSQESCDQVKAVIDYLKPQAVFLELCASRVAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLDVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGRMSLWHRAKFLYYIVFQSIFLPSPEELNKMLKDMEDVDMLTLVIQEMSKAFPTLMETLLHERDMYMSSKLLKVAKEHSSVVAVVGKGHVSGIKKNWEQPIEIESLLVLPVTKQGASKMKILASIGALGGVVIATGIYIWKGRYFYILHPGIVLKLEAVSNRAAHERDF >OGLUM05G22970.1 pep chromosome:ALNU02000000:5:24997550:24999607:1 gene:OGLUM05G22970 transcript:OGLUM05G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A182] MASTDRSKKLRVLLIPFLATSHIGPFTDLAVRLVTARPDAVEPTIAVTPANVSVVRSALERHGSAATSVVSIATYPFPEVAGLPRGVENLSTAGADGWRIDVAATNEALTRPAQEALISGQSPDALITDAHFFWNAGLAEELGVPCVLFSVIGLFSGLAMRFVTAAAANDDSDSAELTLAGFPGAELRFPKSELPDFLIRQGNLDGIDPNKIPQGQRMCHGLAVNAFLGMEQPYRERFLRDGLAKRVYLEQLDELALGLEASGEPFLWAVRADGWSPPAGWEERVGERGVLVRGWVPQTAILSHPATAAFLTHCGSSSLLEAVAAGVPLLTWPLVFDQFIEERLVTDVLRIGERVWDGPRSVRHEEAMVVPAAAVARALARFLEPGGAGDAARLRAQELAAEAHAAVAEGGSSYRDLRRLVDDMVEARAAGGEAAAAPQPQ >OGLUM05G22980.1 pep chromosome:ALNU02000000:5:24999637:25016740:1 gene:OGLUM05G22980 transcript:OGLUM05G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSTGSLTTLGTSQVTPMKIAEKLAWTNATALHTQVNLDVQALYYDLYNLSSANKPPYSKIYVGFQAQEQEGIDHKMCPLGDQEGNGVATAWAAPGGAAAGEADSAAADGWAPPAGWEDRVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSVLEGVTAGVPLLTWPLVFEQFITERLVMDVLRIGERVWDGARSVRYKEAALVPAAAVARAVARFLEPGGAGDAARIRAQELAAEAHAAVAEGASSYGDLRRLIDDLVEARADAGESARQPLHIGPFTDLAVRLAAARPDIVEPTIAVTPANVSVVRSALKRHGSVASSMVSIAKYPFPDVAGLSPGVENLSTAGDEGWRIDNAAFNEALTRPPQEAVIREQSPDVLITDSHFSWIVYIAEGLGMACFRFCVIGFFSILAMRLLAGAAADANGSGSESLTAAGFPGPKLQIPRSEVPDFLTRQRNFDKFDMRKLQQSQDRCHGIVVNSFLFLDKPYCEKFVCNGFAKRGYHVGPLCLPQPPAVGNVGEPSCISWLDSKPSWSVVYICFGTSAPVSEEQLHELALGLEASGKPFLWAVRAADGWAPPAGWEERVGDRGLLVRDWVPQTAILAHSATAAFLTHCGWNSMLEGATAGVPLLTWPLVFEQFITERFVTDVLRIGERVWDGPRSVRYEEKAVVPAAAVARAVARFLEPGGTGDAARIRAQELAAEAHAAVAEGGSSYDDLRRLIDDMVEARAAAGGVAPARQPQHRPLSPTKSRKMASDGSSKKLRVVLIPFFATSHIGPFTDFAVRLAAARPDAVEATLAVTPANVPVVRSLLERHGPAGAGSVAIATYPFPAVDGLPAGVENLSKAAPGDAWRINAVADDEALMRPAQESLVRELRPDAIVTDAHFFWNAGLADELGVPCVQFYAIGAFSTIAMAHLVGGVKEGAKEVTIPRLPGHDLTIPTTELPEFLRSSSEVVIDCSKPKNANSGPSAYFGVVVNTFLDLEAEYCEMYTRDKHAKRAYFVGPVSPAPPPLPASGESPCLDWLSSKPSRSVVYLCFGSLTHVSDTQLDELALGLEAAGKPFLWVVRTDMWAPPEGWKERVGDRGMVIRGWAPQKAILAHPSVGAFVTQCGWNSVLEAVSAGVPVLTWPMVFEQFVTERLVTKVLEIGERLGPEGAGVRSTKDEEKEVVPAKAVAEAVTKFMEPGGAGEAARCAIKELAVKANAAVAEGGSSHRDLLRLIDDLMQAK >OGLUM05G22990.1 pep chromosome:ALNU02000000:5:25021406:25022428:-1 gene:OGLUM05G22990 transcript:OGLUM05G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT3G20680) TAIR;Acc:AT3G20680] MALSFLNTPPSKLAPKPPFPPPSRQFAPPQRQRSLLHLSLLLREQQPPRPVRSSSSTQAGAAPSPPSSREEAVSQARSCLAAALRKPLGKQRKQQRQPRFRAEIPVVDDSPGSLARLASDVFSSGLGLSRKGGGGGAPARLLLVWPSSEEMGVALREPHDWGESTAHAQLDAVAPDALSSCDAAVFLAPGRSQVEKMKAAADALDTKPVVMFNPAWSFDEEEEGFAGGARGFVGSFSVVYSFTGLEVRGLLSKKKGVLLRCVDGGRFGGDSWVLMVEDDAAAPASEQFKVVSRLKRRPTIGEVETMLYNVMAANSPVTKSARFLRGLVSNVTGGRKEKKQ >OGLUM05G23000.1 pep chromosome:ALNU02000000:5:25024346:25024645:-1 gene:OGLUM05G23000 transcript:OGLUM05G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSWWRRGGGGSPEPAKGAGGAGVAEVAAAGGTQGAVEVLRRQRQADATVFEFGSAAESGAAVTLAGYCPVSDDLEPCRWELVPAAGEGAPQFRIVF >OGLUM05G23010.1 pep chromosome:ALNU02000000:5:25024716:25027109:1 gene:OGLUM05G23010 transcript:OGLUM05G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54660) TAIR;Acc:AT5G54660] MPPRRGIEVRQAVGDGAAPRWRMSLLENTFSSFLQSIGGGAGADGAAARAVFGEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRGARTTVDWAETDSEYYLRADIPGGRKCDVEVSGDDAMRVVDVSGLWRAAPPPPPPDGRDWRAGRWWEHGFVRRVELPEDADWRKVEAFFDDGEGSLEIKVPKSGDAHQAAAATA >OGLUM05G23020.1 pep chromosome:ALNU02000000:5:25029051:25030328:1 gene:OGLUM05G23020 transcript:OGLUM05G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEHELRGGGVGAAAHGHGSICFSGGPVLVDGRRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPSQRLTSWLLRALVARACRLCAAAPAGAAVEFLERGRAPPWGRAMSVMELADYVDLTPWHRFGFTASNAAILRAVAGASAVHVVDLSVTHCMQWPTLIDVLSKRPGGAPAIRITVPSVRPAVPPLLAVSSSELGARLAIFAKSKGVQLEFNVVESATTTSPKKTSTTLCQELASVLSDPPSLGLRDGEAVVVNCQSWLRHVAPDTRDLFLDTVRALNPCLVTVTDEDADLGSPSLASRMAGCFDFHWILLDALDMSAPKDSPRRLEQEAAVGRKIESVIGEEDGAERSEPGARLAERMSRKGFAGVVFDEEAAAEVWRLLSEHATGWGVKREDDMLVLTWKGHAAVFTGAWTPN >OGLUM05G23030.1 pep chromosome:ALNU02000000:5:25030804:25033559:1 gene:OGLUM05G23030 transcript:OGLUM05G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTTTAASPPAMDRRVQRLVSGVAAAAATVSLLYLISHASTSCFPGATTLPLARFPRTSCDAASRRVVPPGRRLAKLRASARWRRRSVALASSSAFASLRGLRLLAGSSRALCLAAGAGHAVDALRAEGVGDVTGIDLVDFPPLVRRADPHHLPFSDGAFDLIFSDDPAGFSGALFPSRFAAEAERAVRSGGAIALAVDRHLDPSAVAVLFKRSRIVDQRDLTMDGSQSYAGVTSFTFNPEHTRQKEEGNQNARKQGRKTAYI >OGLUM05G23040.1 pep chromosome:ALNU02000000:5:25041853:25043832:-1 gene:OGLUM05G23040 transcript:OGLUM05G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVAAAVSPAAAAATAMCAEHREKLEHIERVTRNAGQEQRRVLEEILAQNAQAEYLRRLGVPGDAPGADEAFRRLAPLVTYEDILPDVLRIANGDTSPILSGKPVSEFLTSSGTSGGERKLMPTIEEEMERRSGLYSLLMPVMSRQVPGLDKGKAMYLYFVKSEWRTPGGLPARPVLTSFYRSRYFLERPHDPYTVYTSPDEAVLCEDAYQSMYAQLICGLVHRADVLRVGAVFASGFLRAIRFLEKHWPSLCRDIRAGELDGGVTDPAVRGAVGRVLRGADPALADAIEAECARPSWQGIIRRVWPSTKYIDVIVTGAMAQYIPTLEFYGGGLPLACTMYASSECYFGLNLNPMCKPSEVAYTLIPTMCYFEFLPVNSGANDVAAPEPDHRGLVDLVDVKLGHEYELVVTTYSGLYRYRVGDVLRVAGFKNAAPMFAFVRRKNVALSIDSDKTDEAELHAAVTEAVQHLAPFGASLVEYTSYADTATTIPGHYVLFWELRSPAGGTPVPASVFEDCCLAVEEGLNSVYRQCRAADRSIGPLEIRVVADGTFDKLMDYALSRGASINQYKAPRCVRPGPVVELLDGRVQATYFSPKCPKWCAGGNKQWISSGAAAKKTTTTCDSLAV >OGLUM05G23050.1 pep chromosome:ALNU02000000:5:25056393:25059987:1 gene:OGLUM05G23050 transcript:OGLUM05G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGGQPASLSDAAASSSSSSFLLAGQHMDYWTQDFMGGRAAAAATASFDTLLQLQLQGGDAASPRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVSNTDRLHDHHQDAGSPSPATRSSPGSPAAAKKPRIEAPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVASLSSPYLRCGRPVQLQHQQGSHKVNGNCEGKQLDLRSRGLCLVPVASTYTVASETATEFWHPTFGGTFR >OGLUM05G23050.2 pep chromosome:ALNU02000000:5:25056393:25059987:1 gene:OGLUM05G23050 transcript:OGLUM05G23050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHEWWNSPCSARTGDDEAACSTADADESAVGSTPMSFGHGGQPASLSDAAASSSSSSFLLAGQHMDYWTQDFIRGGRAAAAATASFDTLLQLQLQGGDAASPRLLLGDHAAAPPRHLVVPGAPYGGGGGDDTAAPPRGLSPTPYEAADNLQQQQSFPGGHHVVVGSSSGLFRPATTAPPPQFLLQA >OGLUM05G23060.1 pep chromosome:ALNU02000000:5:25061737:25065777:-1 gene:OGLUM05G23060 transcript:OGLUM05G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSEVAQSLSKQTPTVFFLLPDLRSVDRPSSSSTTSSPMAVKVYVVYYSMYGHVAKLAEEIKKGASSVEGVEVKIWQVPETLSEEVLGKMGAPPRSDAPVIAPQELAEADGVLFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGVFFSTGTQGGGQETTPLTAVTQLAHHGMVFVPVGYTFGAKMFDMAAVHGGSPYGAGTFAGDGSRWPSEAELEHAFHQGKYFAGIAKKLKGASSA >OGLUM05G23070.1 pep chromosome:ALNU02000000:5:25067051:25068539:-1 gene:OGLUM05G23070 transcript:OGLUM05G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRASPCAAVATGKQKAATAAAGRPGSRNRQALGDIGNVLNAHVVDGKIQLPEGINRPITRSFGAQLLKKAQENAVGANKIPVRKEPAPKPAKKVVPRLENAAKASTGAGVNENKKPSESEGAGSSGSGGGSAHKYSRKKVVNTLNNCAYCSFQGE >OGLUM05G23080.1 pep chromosome:ALNU02000000:5:25068362:25074914:1 gene:OGLUM05G23080 transcript:OGLUM05G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A194] MAIHQLSSVLLLMLLAPATSRARDSIAPGEPLAGHDTLVSAGAGDGGGFALGFFTPPGSNDTYVGVWYARVSPRTVVWVANRADPVPGPVDGNAGATLSVSRTCELAVADANSTVVWSVSPATTGPCTARIRDDGNLVVTDERGRVAWQGFDHPTDTLLPGMRIGVDFAAGNNMTLTAWKSPSDPSPSSVVVAMDTSGDPEVFLWNGPNKVWRSGPWDGVQFTGVPDTITYKNFSFSFVNSAREVTYSFQVPDASIMSRLVLNSSGGGLVQRWTWVEAAGAWNLYWYAPKDQCDAVSPCGANGVCDTNSLPVCSCLRGFAPRSPAAWALRDGRDGCARETPLGCANGTDGFAVVRHAKAPDTTAATVDYDAGLQLCRRRCLGNCSCTAYANANLSAPPGRRGCVMWTGELEDLRVYPAFGQDLYVRLAAADLDSTSKSKKKTHIIIAVVVSICALAIILALTGMYIWRTKKTKARRQGPSNWSGGLHSRELHSEGNSHGDDLDLPLFDLETIASATNGFSADNKLGEGGFGPVYKGTLEDGQEIAVKTLSKTSVQGLDEFRNEVMLIAKLQHRNLVQLIGYSVCGQEKMLLYEFMENKSLDCFLFGTNRNLPMFSDKSKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDKEMTPKISDFGMARMFGSDDTEINTVRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIISGKRNRGVYSYSSHLNLLARAWSSWSEGNSLDLVDKTLNGSFNQEEVLKCLKVGLLCVQENPDDRPLMSQVLLMLASADAASLPDPRKPGFVARRAATEDTSSSRPDCSFVDSMTITMIEGR >OGLUM05G23090.1 pep chromosome:ALNU02000000:5:25083147:25087438:1 gene:OGLUM05G23090 transcript:OGLUM05G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFSYSSRHHRSKKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHRSPPFEDCCRSEDFSFCTENESGKIKKSQSLGDMLEMEKLYDFDKTNNKGEDCAIDGSHDEKTCTGECTREKKENRTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSVNLDVHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLKPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNSISTIEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGMHRLKLKVLDLHGNSLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVYYNKQAVRSRRCSKPQGGGGRHGRAVDLGGGGGGGGGRSKRLELRLPRRSACASVALKSSGCHHHVRAGAAAAAHGSVRTSRQSRNNAPPMAPTIRGADRSSEGERRLPGTEISGQIFRIRSADDL >OGLUM05G23090.2 pep chromosome:ALNU02000000:5:25083317:25087438:1 gene:OGLUM05G23090 transcript:OGLUM05G23090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSCFSYSSRHHRSKKLVASSVEDRWAYPNLTQKVDGKNCSNSNVEQHRSPPFEDCCRSEDFSFCTENESGKIKKSQSLGDMLEMEKLYDFDKTNNKGEDCAIDGSHDEKTCTGECTREKKENRTSCCGDFTDPHQHECQSSLGNSEHLIEKQCDCEDIVSTYCLVNSEEQSFLPEPQPLLSRSQSVNLDVHIPTVIEDSIDSAQLGLRSRSFGNLSSLDGAPYTEEARVSPSHCKDASEDFVQSNAQSQCHCRDEHINNSSTDEISQCCVESGNDCHHSDFTSTAAVTPVRNSNSFDDPPSLSHDAGNTEEIFQQIDKREVATSVKNCEPEPCYQNCCTSSRKEFNVRRIENWISQIPDSNDIAPYEQGECSSSAHLMNSKQVDTIRKLNAKSPLGMETAYNYIAMLKPSSSIAQLSNLGLVAIPILSAFSDLRLLNLAGNSIIRITSGALPKGLRMLNLSRNSISTIEGLKELTLLRVLDLSYNRITKIGHGLASCPFLKELYIGGNKISEVEGMHRLKLKVLDLHGNSLSSSKCLDQLANCGTLQSITLEGNPAQRNVGDEQLKRHVLRLLPHLVYYNKQAVRSRRCSKPQGGGGRHGRAVDLGGGGGGGGGRSKRLELRLPRRSACASVALKSSGCHHHVRAGAAAAAHGSVRTSRQSRNNAPPMAPTIRGADRSSEGERRLPGTEISGQIFRIRSADDL >OGLUM05G23100.1 pep chromosome:ALNU02000000:5:25087736:25091376:1 gene:OGLUM05G23100 transcript:OGLUM05G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHPASPVAGGEKATPPSTPPPVRLAGGAAAAIQPNSPRFFFSSLAAASASASSPHRRIAIAVDLSDESAFAVKWSVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDDDSAPDAAQHANAHAATRDEPEEAKKKREEDFDAFTSTKAQDLAQPLVAAQIPFKIHIVKDHDMKERLCLEAERLGLSAMIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRYPDDGAAAGGGEAVGDELRTVPEDEPVYHEAPEGQKEY >OGLUM05G23110.1 pep chromosome:ALNU02000000:5:25094218:25095483:-1 gene:OGLUM05G23110 transcript:OGLUM05G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPFFLWATNATSSSSSSAAAAAAAAAVSDHDVGGLRAEEETAAAEATQLSPELAAAVARPRLRRQASSSSPAKQQQQQVGGGGGSKRAPQRGLGVAELERLRCGGDPLRDLNTAVAAMGDAAAAIHRHHHHLPLPALDADVAGGRGHYAPLLVRPAPPPLPPPPAAAPFCHLHSSSSAAAGHNVAPPELQFLRDRCMGGFAGAGQLLPLAPEHPSSQSNTIWRPASSSSSSSCLPATHRCDLCSKTMVRALAERGGARGAATTTTNTPDYSIYDLAAAMATARKVHTQAGVHFYKARVTYKLTKVSCSSRWTQEKGHGVFLGRERKNDEAAAAAEKEVREIEFFPMSTTHADESEFAAAPFSSSAGGGCGAVPLDLSLRL >OGLUM05G23120.1 pep chromosome:ALNU02000000:5:25103644:25108990:1 gene:OGLUM05G23120 transcript:OGLUM05G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELSTRASTSSSSSSPPGDARGPEHGGTPRGEVSSKRRLVLRRRQRWRRLGGGAAASWAAADPRARWVREWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGADEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQVVVWVAAPAMIRAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGPPACASSTAAVACVNPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLSTFGNLESTTEWVEIVFNIMTITGGLILVTMLIGNIKVFLNATTSKKQAMQTRLRGLEWWMEHKGVPHGFRQRVRQFERQRWAATRGVDECQIVRDLPEGLRRDIKYHLCLDLVRQVPLFHHMDDLVLENICDRVKSLIFPKGEIIVREGDPVQRMLFIVRGHLQCSQVMRNGATSWCTLGPGNFSGDELLSWCMRRPFMDRLPASSSTLVTAESTEAFGLEAGDVKYVTQHFRYTFTSDKVRRSARYYSHGWRTWAAVAVQLAWRRYKHRKTLASLSFIRPRRPLSRCSSLGEEKLRLYTAILTSPKPNQDDDF >OGLUM05G23120.2 pep chromosome:ALNU02000000:5:25103644:25107057:1 gene:OGLUM05G23120 transcript:OGLUM05G23120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGELSTRASTSSSSSSPPGDARGPEHGGTPRGEVSSKRRLVLRRRQRWRRLGGGAAASWAAADPRARWVREWNRAYLLACAAGLMVDPLFLYAVSLSGPLMCVFLDGWLAAAVTALRCMVDAMHAWNIVTQLRVSRAGRERACAAGADEEQPEAEAAAPAPAADADAAASNKLRDHGRYRKWLVLDFFVILPVMQVVVWVAAPAMIRAGSTTAVMTVMLVAFMLEYLPKIYHSVVFLRRMQNQSGHIFGTIWWGIALNLMAYFVAAHAVGACWYLLGVQRATKCLKEQCLLAGPPACASSTAAVACVNPLYYGAAVASVGGDRLAWGGNATARNVCLSSGDNYQYGAYKWTVMLVSNPSRLEKMLLPIFWGLMTLRSCST >OGLUM05G23130.1 pep chromosome:ALNU02000000:5:25116166:25119100:1 gene:OGLUM05G23130 transcript:OGLUM05G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGSNGSQGYHVNGKQVQSVVPSIQNNGPRHRPLTLMRRCRGMLCLVIMPLTAFMMMVYLSPVTTFLIRLFSVHYSRKSTCFLFGMWLAMWPFLFEKINKTKFVFSGETVPPKERVLLFANHRTEVDWMYLWDLALRKGRLQCIKYILKKSLMKLPIFNWAFHIIEFIPVERKWEVDEPLIRSRLSELKNPKDPLWLAVFPEGTDYTEKKCIKSQEYAKEHGLPILNNVLLPKTKGFHCCLQELRDSMDSVCDITIAYKHRPPTFMDNVYGIDPSEVHIHVKIIQVSDIPTSEDEVADWLIERFKLKDKLLSDFSALGHFPNEGTEDDLSTLKCIANFVAVISTTTVLTYLTLFSSVWFKIFVAFSSAFLTFATLYSIHLPQLICSPEAGTHAKKS >OGLUM05G23140.1 pep chromosome:ALNU02000000:5:25121676:25124641:-1 gene:OGLUM05G23140 transcript:OGLUM05G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVAGAGRGPLFGGGGGGGGRRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSVQGNKFVRESARFSSGRTTTSAQAFSESFKRGSEGKKTPSGTVVDIEAEVKDAE >OGLUM05G23140.2 pep chromosome:ALNU02000000:5:25121676:25124641:-1 gene:OGLUM05G23140 transcript:OGLUM05G23140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPTHLLTPPPPLCCCSYALLLPRARRAPPRFSNGAFSAAESLVRGEFLGKVGTLARRMEGRRRLAVAGAGRGPLFGGGGGGGGRRGSGRVVGNLAFAALLTFLATTGQLRWVLDAIVSLWLLTILLPILGLAAFFFFAGQDILQSNCPNCGKSFQILKSSLKDGPQLCPYCTQPFSGKKTPSGTVVDIEAEVKDAE >OGLUM05G23150.1 pep chromosome:ALNU02000000:5:25126418:25128920:1 gene:OGLUM05G23150 transcript:OGLUM05G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVENGAALFGEEELRDVSGVRVGDRFVEVTCGCTSARYGDAVGRLRLFASGELQVSCDCTPGCDQDKLSPAAFEKHSGRETAGRWQNTVWVMVKGDKVALSKTCLLRYYHKKLKSSSANGGGRRPPCHRDEFVRCAGCGKERRFRLRTKEECRLYHDAMARHDWTCKDMPPAGRCRVRVSCEEEEERASRKASRGCTRAATCKGCVRCVCFGCETCRFAGCACQTCVDFYRINCMINS >OGLUM05G23160.1 pep chromosome:ALNU02000000:5:25131813:25134803:-1 gene:OGLUM05G23160 transcript:OGLUM05G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHPRPPTPSPPRASSDTDAAFDPVVEWLLDLDQAPMADEVAKGLGIAEEPASFDAGKVSGGSVSESRGVEEKGNALPEQSGASFDGELDVEMEPKTGSGGLVPQLVQDQHPASDIGDLAVREDGSEIMVDVERSTVPVDADMNNVSLALVKEEAEGGGDREDKSDEEESESSEEESEASSSSDEEEDKRESSEASSSSDEEEQMAKKTGGAGDTDSLFEEGELMLASDDDDEEAPKGPIKSKHEVEVLPPVPKIDVQLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRIPLGIVDELFGPVKNPYYLVRYNSAEEVPADISAGTAVSFVAEFADHILNMKELYAKGYDGSGENDEDQTDPEFSDDEKEAEYKRSLRLAKRQTDRQHEGKKTSGDKKRAQPRGSGFWKDMPPRSHDGPAPGRQSQPHFHRPDKAPVVDAIRPLGSQDGPMSAPTKLPPGPVHPVMPAANQLTNQMGGRFMNPSQQFLPQQPNVVWPGGLTPTPHPNMGIEGAAFAANIMQNLLIGANQYQQQFQNHNFGGFLNQMPVPPPQFMSQGGMPSNPMAFGGPTMAQMNPPFGPPQLPMDQGNFGQAPHMAGHTVQQGPPAGFPNGQGFGQSASPQGDGEQPPMQFSSGQFNQGNSSFHGRRQQQRGGRHSSGRGGGRHRR >OGLUM05G23170.1 pep chromosome:ALNU02000000:5:25141364:25147163:-1 gene:OGLUM05G23170 transcript:OGLUM05G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1A8] MAGKHGRNGFEDDDVNPFAGGSVLPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSIGSLPILEYHSNHNCMGKRGSGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARAYAMNTSRVRGWRVSLG >OGLUM05G23170.2 pep chromosome:ALNU02000000:5:25142095:25147163:-1 gene:OGLUM05G23170 transcript:OGLUM05G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1A8] MAGKHGRNGFEDDDVNPFAGGSVLPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSIGSLPILEYHSNHNCMGKRGSGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQQVYMYFRGSGKAAEMKREAARGALSSAF >OGLUM05G23170.3 pep chromosome:ALNU02000000:5:25142095:25147163:-1 gene:OGLUM05G23170 transcript:OGLUM05G23170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1A8] MAGKHGRNGFEDDDVNPFAGGSVLPANNSRLPPLSHEPADFYNVDIPLDSSKDLKKKEKELQAMEAELNKRERELKRKEEAAAQAGIVIEDKNWPPFFPLIHHNISNEIPIHLQRMQYLAFSSIGSLPILEYHSNHNCMGKRGSGILPAIDVIGKSAIVGIFYFVGFGLFCLESLLSIGVIQIMTIDCSLNMQQVYMYFRGSGKAAEMKREAARGALSSAF >OGLUM05G23180.1 pep chromosome:ALNU02000000:5:25152793:25154551:1 gene:OGLUM05G23180 transcript:OGLUM05G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSKKSDELLPGSAAAAAAAGEEVEAPRRRVDQARDPPPTEEEKVKEVLSETPAPSARARPRQRRVAGAVAPSVERGGGGGEKVARAKEGGGGGGRVRARRAVGAERATSEKSEAASESSVATTATGPERSPGKPARKRAVVSSELGRARRDRGPAAARKLPVPPRPCGRASPRRAQETNSPASSTTTTQSRGPPPHCSSPPPPQDAGASAAGAGEEVAGGGGEGKESLDNPSVAHKHHHTVHRHCIASWRWCGLASSVRCGRTHAALARGSGW >OGLUM05G23190.1 pep chromosome:ALNU02000000:5:25155806:25160003:-1 gene:OGLUM05G23190 transcript:OGLUM05G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfite reductase [Source:Projected from Arabidopsis thaliana (AT5G04590) TAIR;Acc:AT5G04590] MSAAVGGAEFHGFRGGGGGAAQLQRSRMLGRPLRVATPHAAAPAGGGGSSSASIRAVSAPLKKDASEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVISTVIKNMGSSLGACGDLNRNVLAPAAPYVRKDILFAQETAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNTYGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDSAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRRYSRMKYLIDNWGIEKFRAEVEKYYGKKFEDSRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVAGQAKKTLREIIEKYNLEVSITPNQNLILCGIDQAWKDPITAALAQSGLLEPKDVDPLNITSMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDHESVVVRITGCPNGCARPYMAEVGFVGDGPNSYQIWLGGTPNQSTLAETFMNKVKLQDIEKVLEPLFSYWNSTRQEGESFGSFTRRTGFDKLKEVVNKWAESASAA >OGLUM05G23200.1 pep chromosome:ALNU02000000:5:25161739:25163388:-1 gene:OGLUM05G23200 transcript:OGLUM05G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLRLRLLAAAAAAAVMASSMVTSSTNPWKSNVNQSIATAFIYKRYPKARAVKDAAAAAKAGAATGASDVGEGAGASAADAVPTSDVARTAAAAATARSLSFSVAAICFLASWLTDRSALGEQEH >OGLUM05G23210.1 pep chromosome:ALNU02000000:5:25208493:25209041:1 gene:OGLUM05G23210 transcript:OGLUM05G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARTQNLTPMSIFEDWAGKSWRLTKSPQAARCRQSGMHREHEPKSVTVQKRVFRTVDLPARSSTATRCRRVLCVLR >OGLUM05G23220.1 pep chromosome:ALNU02000000:5:25229547:25231080:1 gene:OGLUM05G23220 transcript:OGLUM05G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLSCNHMVRRNPRFALQKSSPANDFLLPPPIPPLHCGKAGGGSRRCRGSQGRLPPPRTGGHAHTGKLPPRTRETRWRTLRTSTLRRERATTVDREEKQRAAAGPVVVADAAGGGEAGTPPAAKNRRPCAYRRAAAKNEGEEVADAEDEHAEERVGRRGGLGGGAEGGVERKEASSRWDRGEREQG >OGLUM05G23230.1 pep chromosome:ALNU02000000:5:25272252:25274426:-1 gene:OGLUM05G23230 transcript:OGLUM05G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTHGGVRQSGEKRTTEIESDEEEPTPQAVCCVDPANRKLVFKGKAKASVRMGTVDTSAGRKATRSKPPTDHFY >OGLUM05G23240.1 pep chromosome:ALNU02000000:5:25365781:25379740:1 gene:OGLUM05G23240 transcript:OGLUM05G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHSLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNLTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPIAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNGESSNNQSNWNGQNSNCVNTYSINNFQQSKPDVNTVQVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPMNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSFGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVRKSGWERRATDLPPTIDLTGTATAPRLPPLGASALPLLPRPRELVPLRLRFLPSAHRHGRFLSRLNKRSSMLDIQLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >OGLUM05G23240.2 pep chromosome:ALNU02000000:5:25365762:25379740:1 gene:OGLUM05G23240 transcript:OGLUM05G23240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPVTYKIKKKEILASRHGVTSACVKKRNKLPSRVKKKTSPVSPALLRLRRSPSRVQSRGAVVLLHPGTTYDMSQFCPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHSLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNLTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPIAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNGESSNNQSNWNGQNSNCVNTYSINNFQQSKPDVNTVQVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPMNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSFGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVRKSGWERRATDLPPTIDLTGTATAPRLPPLGASALPLLPRPRELVPLRLRFLPSAHRHGRFLSRLNKRSSMLDIQLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >OGLUM05G23240.3 pep chromosome:ALNU02000000:5:25365781:25379740:1 gene:OGLUM05G23240 transcript:OGLUM05G23240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFCPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHSLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNLTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPIAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNGESSNNQSNWNGQNSNCVNTYSINNFQQSKPDVNTVQVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPMNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSFGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVSLNKRSSMLDIQLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >OGLUM05G23240.4 pep chromosome:ALNU02000000:5:25365762:25379740:1 gene:OGLUM05G23240 transcript:OGLUM05G23240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPVTYKIKKKEILASRHGVTSACVKKRNKLPSRVKKKTSPVSPALLRLRRSPSRVQSRGAVVLLHPGTTYDMSQFCPSQHGSDSDAQMWQRQMLYKQLQEFQRQQQQQQLDHGGRMQNSFGQFQAPAKQSLADQFPTMMNEMPMNEPSSYAWSNGISLGGSRLGSNSQILNNGNPHWEQHHGASLGTSSFANGAVYSNIQNLMRPMGSVNNKVNQSSHSLPASMSKGSGNQYSQFPGFPANSHNAMARAGSDQSDMTARFASSINSFQNEHSLCEQVTSNNLQNFCENGSVLSDSSTQSQGGNLKTGSPVLVNHLQHGFHVQHFNGWMNQVECQSAPHVGPASSAASLDPTEEKILYGDDNNLTGLLGEDDSSDGVPGHDNSSGNGNSSIPVSAQGGSWSALMQEALQSTSSKNGLQEEWSSVNFQNRDQAFTNKMTSPDLEQRQHATLNSMNLHSAPPIAQPSPSHDGSSGTMNNLKFTSFQRATKSVYDHQEKFSYGSTSAAINNHTTTGANDGLFQPSLKQSHSGDCGSPEHVNLSIGVWAQQKPMLLKRNLNSGGERFMPQNAQGLGVLQQSSYNHNFNGESSNNQSNWNGQNSNCVNTYSINNFQQSKPDVNTVQVPNDGYSSKNTVLTSSSTGMFSPGQHQMMLGQSGGNFGSNNAPGQRPLPETSCSQGNNAEYGLAGFSQMYTNAISAEGHNSNNGQHLGISFSARGNSFSGMDAHNLGQSDQKTMGPSALLNHLSATSGISTGHFPMNSLCNNKLLSESIQPPNNQENLLGGSCQLAGHVGSTNEKIAMVEEQLTQHSTASKYSNEPPFRGYDGTLLQNPNKIVQTSQHMLQQFLQKVDSTNSVVSSNMPIRSDITPNQLNQPPLQGFGLKLAPPMQQQLTSGNLWTSHTSVDIKPADNSVPGEDQRQLPSTPGSTTSSGYPSRSSPFYSSDADNTGLSSGCLPQTKSFGQQYPVAEPKSAPVNSLPQQSLQGTAATMLKNVWTNISAQRLGGIQHNKITPNILQSMMFPSTIGDSTLRGCPKDDYQRMRVANLSDDATTTTNSGRQEIKRVVENDGSDIPNMDQMGDILLGKKNALQRPLMQHGIINSSQGENMAANIPNMGSSFNKVSTYGGISLHGSLAPSNSQQINYSLLHQIQAIKPVDSDPENTSGKRLKTTDMSCNASQVEWPGAERAPHGENNPLRLCTDKTEVPRISNLLPSDQMLRFAPRNSEDVTSTMPSQVQLRELTSTSNDMATARTDLQNQCSSLGTSSTENLIESGDKLMINPQISPWFQHGSNRNGHNLAMYSVRKTASPYNHPKVPWSMDTSSVSGHGLECSTSVRPEMPSGLKVSSAVRRPKKRKFKAPVLVSWNQIIDGHQKLADMSTLGMDWPEATNRLIEVEDEADIQEDALILYLPRKRLIMTSRLIQQLLPSIPAAILRAQAISMYQSVTYTIAKLTVGDACSMPSNSSLDTGTLISSGDKSYEQIENDKMRDRFTKAVEFFIPRFKKMENDFVSLNKRSSMLDIQLECQDLERISIVNRLGRFHARNYHAAGVEASSTDLAPRRIYRDRHVMTFAVPVNLPDGVLLHP >OGLUM05G23240.5 pep chromosome:ALNU02000000:5:25365762:25369412:1 gene:OGLUM05G23240 transcript:OGLUM05G23240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPVTYKIKKKEILASRHGVTSACVKKRNKLPSRVKKKTSPVSPALLRLRRSPSRVQSRGAVVLLHPVVPNTTLGPCTSSVFKAELARPGQGSSAWWAVNMLTVLGWSHISADTG >OGLUM05G23250.1 pep chromosome:ALNU02000000:5:25402008:25404139:1 gene:OGLUM05G23250 transcript:OGLUM05G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFMGSQLECEIVLDQSQLSEGPTTRSKRRKSTRRRQKTWPQEPPPVTKQSVLTRCSPKFAHDACRALLPTHRQALAALGLGELAKMTLNGLEQPDLTCWLMDRTNPKSMTIDISENKKIVITPWKVKTVLGVPLGGDPLQLPDQDIMSDALSDLAIELDLPPKSDITASRLIEEIKKRPKDRTMVRYFIMVIINKMLLPSTGLYIRPKDAWIGSDLDKLARINWSKAVFDALCDSLLYIDNLKVPKDSFTVNRCETPRIQLYTKHLVEDISQEDRVTDPSGNYVFGNLPMNGILGSSYSHPNYGKEKEPRGDNSGTPFADELISAIEISFPSMFDTVYPHLSGLQDEHKQHVLDALGEYDRQCHVPKRL >OGLUM05G23260.1 pep chromosome:ALNU02000000:5:25415111:25416346:1 gene:OGLUM05G23260 transcript:OGLUM05G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGYALLSSVLLVLLALSIITLVPRMSSPQSFFRSPPPRLINSRVPHPSDASDCIFSDGKWVRDAAAVTTYREDCPFLDPGFQCISNGRSNSSFRYWRWQPHGCQLPKFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAASVPAGKSRIYEQSGKPISRHKGYLAMVFADYNLSVEYYRAPMLVMIDRFPASSGAVRGAVRLDMLPRHANRWAGADVLVFNTGHWWNEHKTIKSGNYFMVGDRLNMSMDIKEAFRWSLETVKDWEISSTRVPNSYFFFRSYSPSHYR >OGLUM05G23270.1 pep chromosome:ALNU02000000:5:25439170:25439738:-1 gene:OGLUM05G23270 transcript:OGLUM05G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPNPISGNTDLATGALERGHTVVNTQPRANPAELLRHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLAPPSSISIQPNEPSTVTHGCMHGVPSASDCSRRLRRSSHHWRGKS >OGLUM05G23270.2 pep chromosome:ALNU02000000:5:25439170:25439738:-1 gene:OGLUM05G23270 transcript:OGLUM05G23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPNVSSSSPSFFRSRLILARRSLCSDLAPFLAADLWEHRPRHGGSGARPHRRQHAAAGSRRCSRRLKANPAELLRHQLRLTILSKTPQESLRTVQRGGRSTTVFSNSDLAPPSSISIQPNEPSTVTHGCMHGVPSASDCSRRLRRSSHHWRGKS >OGLUM05G23270.3 pep chromosome:ALNU02000000:5:25439396:25439738:-1 gene:OGLUM05G23270 transcript:OGLUM05G23270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPPPNPISGNTDLATGALERGHTVVNTQPRVRAAVPVASRRIRLSCCATSFASPSSPKHPKNPSEPCSEEGDRPPSSPTPI >OGLUM05G23280.1 pep chromosome:ALNU02000000:5:25445757:25445981:-1 gene:OGLUM05G23280 transcript:OGLUM05G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAASCGEAATAEGNSMPYDHQSMPTSCPPPRATSGATYSCVPTNELDRACTGSAAMPIMCKHLSARSTSAA >OGLUM05G23290.1 pep chromosome:ALNU02000000:5:25450572:25495187:-1 gene:OGLUM05G23290 transcript:OGLUM05G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGMPKGSDWRGTSKQFNKDDITTYNISCFLLPIYDIQMLIKSVVWQELSSHHGVLQCQMADVQKRLRALQSYQGYFSMRKQSANNVGSKHRLKMRMLI >OGLUM05G23290.2 pep chromosome:ALNU02000000:5:25482282:25495187:-1 gene:OGLUM05G23290 transcript:OGLUM05G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPMNTDTIMFAEFSYGAHILHVTLNPIYCRGSDWRGTSKQFNKDDITTYNISCFLLPIYDIQMLIKSVVWKELSSHHGILQCQMADVQKRLSLQLSHVCQILSGHSQCVTNF >OGLUM05G23290.3 pep chromosome:ALNU02000000:5:25450572:25482052:-1 gene:OGLUM05G23290 transcript:OGLUM05G23290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDAARKPAADDPEAVSAGAGAIVPGRRRRRFSTAGPSAASTTGSPPPHLLRGGRRATSGGAVVPSSSFSDAKNAPGGDGDGAGRNYQVTMVFYNVKWQMSKNVSVSRALQSYQGYFSMRKQSANNVGSKHRLKMRMLI >OGLUM05G23300.1 pep chromosome:ALNU02000000:5:25453670:25459901:1 gene:OGLUM05G23300 transcript:OGLUM05G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEAELAKTEEEKCASASSASEYEKTSQSSTGSVAFHGAADAGGDGHKLSVVDCRALSKKGKLGYAVAEKRVLVKFKCLNLVW >OGLUM05G23310.1 pep chromosome:ALNU02000000:5:25481196:25495880:1 gene:OGLUM05G23310 transcript:OGLUM05G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAANVERGAAAPPGVVIAARDIPPPGASVAARASLFGPPPPPSSRATDVSVGSGEERSGGSGRPHPAPAPPTPPLLRGRRRGEEGATAELEPPHRPAPSPSPPGAFFASEKEEEGTTAPPLVARRPPRRRCGGGEPVVEAALGPAVLNRRRRRPGTMAPAPAETASGSSAAGFRAASPLAIRQIRRGRGAPAEGERGAGGGWEREGHRRRSRGAGAAGKGRERGERAVTLTHERERRILAILPTNENIVPNKDPRRRRDRVSGVAA >OGLUM05G23320.1 pep chromosome:ALNU02000000:5:25528873:25530265:1 gene:OGLUM05G23320 transcript:OGLUM05G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFLRGKTATFSLRRGATVVFPARLKSHISVSHGALVTNLAEGPLGILLLLPLNSSAPAEYLAGDPAVNSVHLPPPPPPPAAAALVPFTASVVVVVATKTQTQKKKKKTRTILQHAIALSNLSDRRLIPI >OGLUM05G23330.1 pep chromosome:ALNU02000000:5:25529086:25530353:-1 gene:OGLUM05G23330 transcript:OGLUM05G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRRSERLLRAMACCRIVLVFFFFFCVCVLVATTTTTDAVKGTSAAAAGGGGGGGRWTELTAGSPARYSAGADEFRGSKRRIPKGPDPIHNRRAGKTTVAPRRRD >OGLUM05G23340.1 pep chromosome:ALNU02000000:5:25540082:25542681:1 gene:OGLUM05G23340 transcript:OGLUM05G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKRRGGAGAGGAEKKDLFHVVHKVPAGDSPYVRAKHLQLVDKDPETAILWFWKAINSRDKVDSAVKDMAVVMKQQDRAEEAIEAIRSFRHLCSRQAQESLDNLLIDLYKKCGKVDEQIDLLKQKLKMIYLGEAFNGKATKTARSHGKKFQVSIQQETSRILGNLGWAYMQQSNYSAAELVYRKAQSIEPDANRACNLGLCLIKQSRHDEARHVLHDVVLRRISGSEDDKVVARAKQLLHELEPVAHVTSPNNAALSVSEEIMERLDLVLNEWTPFRSRRLPTIRNPSVHFTWLVGIKTETLKWFGFKVAAQNVSFSEVKMKIFAMECRVGARDVYFRYPFIKIY >OGLUM05G23350.1 pep chromosome:ALNU02000000:5:25543128:25544368:-1 gene:OGLUM05G23350 transcript:OGLUM05G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFENARSVRLRSHLGTYLCAADVGDGGGEAVTHGYRRNCRGTVWAVETSGDDYVRLQGHRGLYLCATELPAALDGGCRGSAACCWVIQAGSPPSPNDGAFLWTPRREGEHLTLTGLYGRLLRARFGLTPRENAVTVDRDAAPEESSWVVEVVPESEAPLPPPPPPRCRALSCDARLEAATSEPDTASTTAFVRFYSTKELKVVKPPPPEEEEEEEPPSEAAPGALVARTIFYNTARDDGGVDDFDQGTWRYFTFKEQSLAALRRRLEEEARREDFVVCRRRCAAPPPGLFPVVLDLPPGNRDMEFVLVLHSSRVASALQFPFGNGHTARISV >OGLUM05G23360.1 pep chromosome:ALNU02000000:5:25545755:25558139:1 gene:OGLUM05G23360 transcript:OGLUM05G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVASASASGGGVKRRKGPGDAAGASSSSSAAAEAAKRRRRSGVLQFFDDAAFVGDDEEDEDEEEEEEEEMFASDGDDDGFFTEGKAENVNLKRTERSHPLPFLGIVKEEELSGDELEEFIKDRYSSRVKHTPFDGSTNVQDDEFTEDGLLKEPVIWRIKCMVGRERQIAFCLMQKYVDLQKFGTKVPIISAFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINSVPVAEVPSLLSSRAKPFAISPGTWVRMKTGIYKGDLAQVVSADEGRKRVMIKLIPRVDLRAISKKIGGAIPLKEAAIPAPRLISSQELEFFGSHIERKHDRQTNDFYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSTPTITSSTDDLDWVSSMYGPKKRNVPKEPDMEPSSSKASSSKGKYSKASSKASTSTEDYEDKGFNLHDLVLFGRRDFGVVIAFEKDGLRILKGGPEGSAVTVRKQDIKDVCADKMLTAVDHKKKIICINDTVNVLEGPFQGKQGVVKHLYMGILFIHNESESENSGFFCAQCSSCENVKKRKEPANERPYRSTREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTVQAEFLSVPAKRGDNSSGAPSGPFGSEADKPSWDNGLPSFGSDSWQPFSSAALPVQNADGESEVDPWCKKTSSSANDSDPWGTKTKSASVDVWNNSTTQVENSSDNAWDKQPGGSGPNIGGSSWDRPATDKESEKSDNWGEACKETEKTGSDTDPWGSKVKEIDLKETDSWGKASMQPEKKLEDDSQGWGQPVGKSNQDQEKGADKCGAWDTVIAGSSSSVPGRGDDDSWIKTDTLPVAQDDAWGNSKDCSDGAAGWNETRTSKQSHSTGGWDAAAANLNESSDVDAHKDSWGKAKDTTANAEEKNNESGNWNKAGPLDKVCGSDWGSPKFSSGDGPSSWNKGDKIGGDSQNGSWSRPGGNFEGGRGFGRGRGRGRGRESGDFGGRNDQGSWKNSGTSDSSGRPSWRSDSQVEKEVGDSGGYRGRGRGRGQYGGQGRGDNGWRNGGQSNSEFGRSDADAPNWGNKGVSNKGSWDSGDNWNAPKSSDENQTSAWNSSEDKRPSGGQEQQSGAWASKMTSTAGAEDKSDAWGTKAEGNSGSTGGKWENASSGEEQQSDPWGSKICSNKGKEQETDPWTSKVTSTADADDNNNVWNTSASDTASGSESKWGNAGAEEKADAWKSKVGSENSGGWNQKSSWGKPSFSGGEQEPAWSNPKNGDDNSGYGRGGFGRGNRGRGRGRNFGDSGSSWSGGSYRNDESRGERSEDRWNTRDSDGGRGRGRGHFGRGDRNQGNNYGSGDNNDRTWGSGRGNRDQDGCKNWNRNDDRRPFGQDRGGDWSQSSDWNANKGQSSWGAPKPSGGDDQAGKNNGNNPWGAPADSMTGGGSGGGGSWKNKTEDTWNSSGGTRDSGTTPGKSSWGGSEDGQKKEGSWGKSEGSGRQVGGGSSWDKPDGGWNSSKCDGTQGGGGGSSWDKADGGNSNKGTQGGGGGSSWDKADGGWNSSSKGGSSGNGGW >OGLUM05G23360.2 pep chromosome:ALNU02000000:5:25545755:25558139:1 gene:OGLUM05G23360 transcript:OGLUM05G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGKGKAVASASASGGGVKRRKGPGDAAGASSSSSAAAEAAKRRRRSGVLQFFDDAAFVGDDEEDEDEEEEEEEEMFASDGDDDGFFTEGKAENVNLKRTERSHPLPFLGIVKEEELSGDELEEFIKDRYSSRVKHTPFDGSTNVQDDEFTEDGLLKEPVIWRIKCMVGRERQIAFCLMQKYVDLQKFGTKVPIISAFALDHVRGFVFVEAEKACDVTEACKGFCSVYISRINSVPVAEVPSLLSSRAKPFAISPGTWVRMKTGIYKGDLAQVVSADEGRKRVMIKLIPRVDLRAISKKIGGAIPLKEAAIPAPRLISSQELEFFGSHIERKHDRQTNDFYEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSTPTITSSTDDLDWVSSMYGPKKRNVPKEPDMEPSSSKASSSKGKYSKASSKASTSTEDYEDKGFNLHDLVLFGRRDFGVVIAFEKDGLRILKGGPEGSAVTVRKQDIKDVCADKMLTAVDHKKKIICINDTVNVLEGPFQGKQGVVKHLYMGILFIHNESESENSGFFCAQCSSCENVKKRKEPANERPYRSTREQLFSIGEMLRIRKGPMKGYLCRVVRIFRNDVTVKLDSLLKIVTGWLLEYPCSCFLTVIDYFCVMFAVQAEFLSVPAKRGDNSSGAPSGPFGSEADKPSWDNGLPSFGSDSWQPFSSAALPVQNADGESEVDPWCKKTSSSANDSDPWGTKTKSASVDVWNNSTTQVENSSDNAWDKQPGGSGPNIGGSSWDRPATDKESEKSDNWGEACKETEKTGSDTDPWGSKVKEIDLKETDSWGKASMQPEKKLEDDSQGWGQPVGKSNQDQEKGADKCGAWDTVIAGSSSSVPGRGDDDSWIKTDTLPVAQDDAWGNSKDCSDGAAGWNETRTSKQSHSTGGWDAAAANLNESSDVDAHKDSWGKAKDTTANAEEKNNESGNWNKAGPLDKVCGSDWGSPKFSSGDGPSSWNKGDKIGGDSQNGSWSRPGGNFEGGRGFGRGRGRGRGRESGDFGGRNDQGSWKNSGTSDSSGRPSWRSDSQVEKEVGDSGGYRGRGRGRGQYGGQGRGDNGWRNGGQSNSEFGRSDADAPNWGNKGVSNKGSWDSGDNWNAPKSSDENQTSAWNSSEDKRPSGGQEQQSGAWASKMTSTAGAEDKSDAWGTKAEGNSGSTGGKWENASSGEEQQSDPWGSKICSNKGKEQETDPWTSKVTSTADADDNNNVWNTSASDTASGSESKWGNAGAEEKADAWKSKVGSENSGGWNQKSSWGKPSFSGGEQEPAWSNPKNGDDNSGYGRGGFGRGNRGRGRGRNFGDSGSSWSGGSYRNDESRGERSEDRWNTRDSDGGRGRGRGHFGRGDRNQGNNYGSGDNNDRTWGSGRGNRDQDGCKNWNRNDDRRPFGQDRGGDWSQSSDWNANKGQSSWGAPKPSGGDDQAGKNNGNNPWGAPADSMTGGGSGGGGSWKNKTEDTWNSSGGTRDSGTTPGKSSWGGSEDGQKKEGSWGKSEGSGRQVGGGSSWDKPDGGWNSSKCDGTQGGGGGSSWDKADGGNSNKGTQGGGGGSSWDKADGGWNSSSKGGSSGNGGW >OGLUM05G23370.1 pep chromosome:ALNU02000000:5:25558360:25563097:-1 gene:OGLUM05G23370 transcript:OGLUM05G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAEEACHGGGGGGAGFDLAEHLQQQPLLTSDDRPKAPTMIDDDDDEINAKYDECNAEVEEYNEECMRKTEELNSALPEEEHYYCVQFLPRSLPEPLFYTVHRMYFMHDTNPSNKLYTNLEDRDYPITMIQIFSMRFAGDGVQLDQSMRVYGFVAIRDELDCRRNYVFNRSRDDPCEITPVCPTLPLISPARGTSIIDGVLLEYSLKAKRGGGGDGDGNDVELIDGCIEFTSPSTMPVDEKLKTRIYGRAAPPPGGGAAVAVDMAYAFIERGVEATVEVEVRSAPPPSPGHGRRRLNAAALTSGYEDEIVLFDGPLSSSPSSSSSSSLSSPAKLAFSAVVAVAQDDELSLRLEAVTGGEGGLSMAVSRSYLSFEAQKHGSSVAELVMAKDLELVRRGYFKGNEGVTTGGAHEAESNILAVELMEETATSTRHPEGGQDGGRSLLGKRDVGVGGAPEYLLDNEGYGGEEEAGPKHSDL >OGLUM05G23380.1 pep chromosome:ALNU02000000:5:25564191:25566128:-1 gene:OGLUM05G23380 transcript:OGLUM05G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHAEIKSVHGTSIYDMWLSDLSEHLRCAGFAAGGSLRACCGGGGPYNWNGSAICGMAGAVACEDPSASVHWDGGHYTEAMYRYIAKGWLSKALTLIRQF >OGLUM05G23390.1 pep chromosome:ALNU02000000:5:25566488:25587482:-1 gene:OGLUM05G23390 transcript:OGLUM05G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLVFFIVFLFCISGASSISHYFTSIFSLGDSYIDTGNFVIMAPSGPPLRYDQLPYGMTFFGHPTGRMSDGRVIVDFIAEEFELPLLPASMANSSSVSHGVNFAVGGALATGIDYFERNNIVSFKLLNTSLDVQLGWFEQLKPSICNTTTEQANGKSKQEVESYVPQVVRKITMGVEMLINQGAIYVVVAGNPPNGCAPALLTALMSPNRTDYDGLGCLGALNGVAKRHNMMLRVALGRLRGKYPHAKIIFADFYQPIIQVMLNPSHFGFASDGLLKACCGTGGTYNFNVSSACALPGVVACKDPSASISWDGIHYTEAINRFVAKGWLYASSIMELKLVFSIAFIFCLSHVSSTSHFFTSMFSLGDSYIDTGNFVVMATPVAPVWNDKPPYGMTFFGHPTGHVSDGRVIIDFIAEEFGLPFLPASLANSSSVSHGVNFAVGGAPATGIDYFQRNNIVAFKLLNSSLDVQLGWFEELKPSICNTTKEDANGDKSLFIVGEFGVNDYNFMWMAGKAKHEVESYMPRVVKKITMGVERLINQGAVYVVVPGNPPTGCAPALLTQRVSPNRTDYDGLGCLRAINSVAKSHNTLLRAALVRLRRKYPHAKIIFTDFYQPIIRVTQEPRRFASVSWDGIHYTEAVYRYVAKGWLYGRESIMELKLVFPIAFLFCLSRLYIDTGNFVIIASPVVPVWNDKLPYGMTFFGHPTGRMSDGRVIIDFIAEEFGLPFLPASLANSSSVSQGVNFAVGGAPATGVEYFENNNIVPFKLLNNSLDVQLGWFEELKPSICNSTDETNGLNCFGKTLFIVGEFGVNDYNFMWMAGKPKQEVESYVPQVVKRITTAVERLITQGAAYVVVPGNPPTGCAPALLTSRMSPNKTDYDGLGCLRFINDAVERHNTMLRAALGVLRGKYPHAKIIFADFYNPIIRVLQNPSHFGVAADGVLKACCGTGGAYNWNASAICAMPGVVACQDPSAAVSWDGVHYTEAINSYIAQGSTSHYFTSMFSFGDSYIDTGNFVIMATPVMPVWIDKPPYGMTFFGHPTGRVCNGRVIVDFIAEEFGLPFLPAFLENSSSISHGVNFAVGTAPAMDAALFKRNSIADKLLNNSLDVQLGWFEHLKPSICSSTDEANGFKNCFSKSLFIVGEFGVNDYNPMLTAKKTEKEVESLVPQVVEKITTAVERLINQGAVYVVVPGNPPRGCSPIVLTLFMSLNTTDYDGLANPFWYN >OGLUM05G23400.1 pep chromosome:ALNU02000000:5:25590343:25592187:-1 gene:OGLUM05G23400 transcript:OGLUM05G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRPISEEHYERSEPSNLSDSRQSHPSIHLFPPLPRHKRRPRRRSPPTPPPRRGGVDRPEPEKKKKKMVAIRAPKSHRAKRELLKHAPKLVETGKKTLVLHGTKTSAVLNSVLADLFHLKRDNAVKYSKKNENIRPFESGGETSLEFFSLKTDCSLIVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVENYKSIESYVYDKKLAPKLGSKPFFTFIGEHFESVEELKHLKEVLLDLFRGEVVENLNLAGVDRVFVCTAISPTTVYLMHCALRLKRSGTSIPRMELVEVGPSIDLVVRRHRYPAESLKKEAMKTADHAKKMKNVTKDPVQGKLGKVYIPDQQIAKMSLSNDIKGLKRERREAKKNKEHTKKQKINVE >OGLUM05G23410.1 pep chromosome:ALNU02000000:5:25593709:25601310:1 gene:OGLUM05G23410 transcript:OGLUM05G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVHTHRAFLLCNYLLLGAASGCIFLTLSLRLLPSPCGLLLVFLHALTAVLAAAACSGSFTAPGGGGGTHTAHTASAVLTAIFQGAAALLAFTRTGDFLAELRSYVREEDGEVILELVGGLGAAIFVLEWAALALAFALRLGDDGADGDEHDGGRSKSMRSRTSFLLTARRKDARSVRIKRSKDAVKFKVRCSRYLYTLCVHDTDKANKLKQSLPPGLTVQETDLKLQYSDMEDAKTRGTGDVAFSQWLRGSWPTNIPASHWESSSEAYSRATTTLRRRRAADTATAMGRLRRGGVGLLRGAVVLASLLLVVSGEVIFEERFDDDWGSRWVKSDWKKSEGKAGTFKHTAGSYSGDPDDRGIQTTSDAKHFAISAKFPEFSNKNRTLVVQYSIKIEQDIECGGAYIKLMSGYVNQKKFGGDTPYSFMFGPDICGDQTKKLHLILSYQGQNYPIKKDLKCETDKLTHFYTFILRPDASYSLLVDNREREFGSMYTDWDILPPRKIKESNAKKPKDWDDREYIEDPDEVKPEGYDSIPKEIPDPKDKKPESWDDDDDGVWKPRMIPNPEYKGRWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPEYARSVVDEVRAANKEAEKEAFEEAEKRRKAREDEDITGKSIAVLKFTKIRVIIVGMTTMTSYEVSRLSHSFKELQEVSVAHRLFHHPIDDCTNKIEHIISRTEQSFISGVDSTLQHELMLFT >OGLUM05G23420.1 pep chromosome:ALNU02000000:5:25614312:25615126:-1 gene:OGLUM05G23420 transcript:OGLUM05G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSRVRPMQRWCHPCMQQPKPWKEAEEVLSGYGSDVEEQWRMQEEQWRMQKEIAVGLSKLDRWGLKTPPLPCWIRPCGGPRAGSAPAEEVVQAPTIHKGMPHVHKVVQTPLHTNWGKKKRKKEEAAGRRGHEGDDGELDGVDHGVEDPNGTCGEQVEGVDCRGGVGAGREEGMGAAEQGCGEDDDGGCDDLGNGVLASWEREDGKEGGDEDGNGDGVREEDRKSDWSGMVPILEISSGIQPI >OGLUM05G23430.1 pep chromosome:ALNU02000000:5:25742268:25746223:1 gene:OGLUM05G23430 transcript:OGLUM05G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylem bark cysteine peptidase 3 [Source:Projected from Arabidopsis thaliana (AT1G09850) TAIR;Acc:AT1G09850] MAFVSCLSPLMLMLMTLMLSAAAAGSGGGGVAEYEAQFEAWCAEHGRSYATPGERAARLAAFADNAAFVAAHNGAPASYALALNAFADLTHDEFRAARLGRLAAAAAAGGPGRDGGAPYLGVDGGVGAVPDAVDWRQSGAVTKVKDQGSCGACWSFSATGAMEGINKIKTGSLISLSEQELIDCDRSYNSGCGGGLMDYAYKFVVKNGGIDTEADYPYRETDGTCNKNKLKRRVVTIDGYKDVPANNEDMLLQAVAQQPVSVGICGSARAFQLYSKGIFDGPCPTSLDHAVLIVGYGSEGVKDYWIVKNSWGESWGMKGYMYMHRNTGNSNGVCGINQMPSFPTKSSPNPPPSPGPGPTKCSLLTYCPEGSTCCCSWRVLGLCLSWSCCELDNAVCCKDNRYCCPHDYPVCDTASQRCFKANNGNFSVMEGGSRKQPFSKVPSLGGLLELLDQ >OGLUM05G23440.1 pep chromosome:ALNU02000000:5:25747056:25751902:1 gene:OGLUM05G23440 transcript:OGLUM05G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCPPVHKGNHAKSVRHGRSGKGEYQWLGKDFFSFHVSESSSLLAFRSLEPFMRLPACDSGWFPGVVCSGHPINWQSIKSSDGKSPIVVGPWGGTGGYPWDDGVYSTVRQVIITHGAAIDSIRIEYDLKGSSVWSETHGSTDGGSETDKVKLDFPDEILVSVSGYYGSVCGTPVIIRSLTFQSNRSIYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVNVSDRSNSPVLPQSRSITSAYNKNGYSFPEGASGYDMVLAVRDRGDSYAVYTSNYPNQQYTNPSPDYNDGIRWNKVPQTSPSLQMVSFPSGYGDRGGAALSSHETYGPWGGSGGTMFDDGMYTGVWQINLTRAVGITSIKVLYDRHGQAVWGNKHGFSGAVSPDKIVFDFPSEVLTHITGYYGTTMIMGPTVVRSLTFHTNKRRYGPYGDECGTYFSTSFSDGRIVGFHGREGWYIDGIGVHVQEGNLAAPRVSSRSTIEMNPSLRYDMLAQSRSETYNEVPYSMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQIYVMRGTFIGSIQIEYDRGDQSVWSARHGTSGHITHRIKLDYPHEVLTCVYGYYNTNREEGPRVLRSITFISNRGKYGPFGEEFGAYFSSAKTEGKVVGFHGRSGQHLDAIGVHMQHWMGDRRPAPKYVLSKYLF >OGLUM05G23450.1 pep chromosome:ALNU02000000:5:25752774:25757736:1 gene:OGLUM05G23450 transcript:OGLUM05G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARGFVERLSTVEGRLLRLEVVVLASAVVLAALVLYGATRRRSSDKLLRGVMWMAYSLSYVVVSYAVGLIQDGPFRGETFVLWAAALLLIQASAYAAPVHSRREFSQRKKLLLQHVLQTALVLWLIANATGRNASYRAAIWAFWCLNVLKTVAKIVEMIKTSIPDQSVKLIADYMDVEESLAGVGDGEPPDPTTMKGYRYIFHGEDTMVPATRDDMVRQSDGKSVVTIDRVYRWIDDEPGYSGVEKDMARDFCLAFALFKLLKRRFYGFVPAEAGSPRARDLVCGGLIRPAVTGPDAAFRVVEAELAFLYDEFYTRNVVLVGARTYVCIAAAVAGITMWTAFFGTLGPGYHRLRIGVRGLDRSVTVLIVVITAGLELCQAVAGFSSNWRYVKTVYRCVRDDQPWTNRRRGHLWWKESITPPATRYWDDKVGQYVLLKRFGHRPWNILSWLTLYLVEPRRQGQKRGRRKRLPQEVRRAVLVSLKASYGHLTNGVSTLRRHGLTPQLEWACAFPKLTDQILVWHVVTTRFDWTSGGGHGRSRRRDNGGDVNRVVATKLSNYCAYLVAFVPEMLPDPSYNAEQMFDTAVQQARDHLGGCRTESAVLQRLQEIEDEERRGAVRERAGSATVIEKAALLGGQLRAAVDGEARRWQVLAEFWAEFILFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPAVAATPSPPTV >OGLUM05G23460.1 pep chromosome:ALNU02000000:5:25759968:25770544:1 gene:OGLUM05G23460 transcript:OGLUM05G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILEIFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVETLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMEAKTSGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSDVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >OGLUM05G23460.2 pep chromosome:ALNU02000000:5:25760032:25770544:1 gene:OGLUM05G23460 transcript:OGLUM05G23460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACAAATDDSAASTAGMRDEDRSLSGESLSEWRSCEQVESESPSTSPPFWDTDGDDDDPGPKPSDLFGRYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNIDPKKVKYSDTLHKFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDKPFRCLDRPYRRELLRVYTTNIESIYRRFVEERRNKLSKLIEDKMRWSSFCAFWSAIDPSTRHRMSREKTDVILKVLVKHFFVEKEVTSTLVMDSLYTGLKALEYQSKGKKGRTIADLDELPAPMIHVDMDMFVLASDVIDLLERAALEPLPCQPVSPKDDKCSQSRMKDGASGEVNKISMEREERRLTELGRKILEIFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAWLLGNEMKGKRGGGANEKDKRAKKKQAKQKKNNRKIKDKERDEKFEAKILERLHDETAIDDSDGLSSKQAEEVTTKVETLEEGASDRQGDLDSSEIAHRPDSGDKYPRQMNGLSDVTGNAQKVKKASSMEANSPVFLADSVAASGTHSRGNNLSDSKNRMTPNRGKNQRNKGISIISFSEEGEGIPSSSTGGSARCSSSCGTSAKLDQDTVLLTLKDKLRKLGQRLHEKNIEGRKLLQAHFEAMEAKTSGSSPSSSPLEETPDVVKSPEQSAEGTTDAKANGTPNKDEPVTNCVAEESVSVMPGTKSTEALSGMALAKTKVEPVSNKDHVPKPTLQANRASANCSKSTPVDMEKDVPLPSRSPQINKPAPVPPKSPQVGNATPVPPKSPPIEKACPVPPKSPPSAKDTSLPSVRSLQIDKPVPVPPRLPQVDKAASLSSELPQTSTTSNSEAQEETAAIRVASPSVSDVTVTASRPSSAPVFPAPRSTVPATQVQVSTLLSRSMSEATRRSGNDPSPSAPAYIPQTYRNAIIGKHGRGTTSGTTAYQSTSLGQGTALSQPLSTYAPTMSVTMPPAGRNDQFSGRHGLESGLGKPEARDSWQPWNANRHVDKHLWRDDSTYQQTTNGHAYPQPWKDVNFLQARGTETEIPSRFGGPQLPRQFQAETHADYLLQQPQGAVAEEFPHLDIINDLLEEEQSNGSMPESIGHDYHTFGLPLPFLLRGNLADQEMASASSPGRFNLTEPYYDEGYSRAYDMSAFQGTRERQFPSLDAYSNGLSDMSPSKPWLNGSPNPSMNHAVGTNGYPQQIPDYTNLASELNGASLYHRRYANGRW >OGLUM05G23470.1 pep chromosome:ALNU02000000:5:25771669:25776711:1 gene:OGLUM05G23470 transcript:OGLUM05G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYGPAAAPRLLVSDSGRVHEMERFSHYVARQIGFDHVDECPHLCTLAYDYLRKNKGYEENIFAFFQNSQDPETLIVKFIEELDKCILGYFSFHWNYATYIISQVLTVEGAPKRKLRNMVLEATRKQRFERVTRNLKVTRLFSTLVEELKAIGLSSHVEAPRSDVMVPAAHCDRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGAAANAVVVEADAFKETDVIYRAISSRGHHNDMLQTAELVHQSSMDAASSLLVTALNEGRDVIMDGTMSWEPFVQQTITMARAVHRQRYRMGVGYKVTEDGSITEEYWEPVEDSSTDEEGETRNRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLKSHKRFATAFHSYCNLVDNARLYCTNSTGAAKLIGWKDGESNLLVDPEEIGCLERVSHLNDEADCVHEIYPDGSAAAAWEALVTSPSRAPAQREIMAAVQRSEARFRTTSTPS >OGLUM05G23480.1 pep chromosome:ALNU02000000:5:25778119:25781585:1 gene:OGLUM05G23480 transcript:OGLUM05G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLWSKMERVVRVHYGGSVLESSNGGSQFDGMSVKTIVFQSKPTFEELLCRTKDILGWSDKVSVAGTVTHFANLANRNEELEAQLQQLRSSFNILQVFATPFAAVLICIIAIAAWRFT >OGLUM05G23480.2 pep chromosome:ALNU02000000:5:25778955:25779260:1 gene:OGLUM05G23480 transcript:OGLUM05G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFNTKCSGVELSHLSARSTSAKCRVTMDSRPTRRADVSKFSVAKLSVIQFGAELRDIKAHLPRPRERALSFLSLPRSMKTTTAMAAFLSEP >OGLUM05G23480.3 pep chromosome:ALNU02000000:5:25777318:25778676:1 gene:OGLUM05G23480 transcript:OGLUM05G23480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAAARAAVARLSGVAQLRARRGERVRCGYSSRDGKEATPAAAAVKGATSMLAAAVAASSSSAPTAMALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTIYTSTLDDDDESGGLSL >OGLUM05G23480.4 pep chromosome:ALNU02000000:5:25777318:25781182:1 gene:OGLUM05G23480 transcript:OGLUM05G23480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAAARAAVARLSGTVTHFANLANRNEELEAQLQQLRSSFNILQVFATPFAAVLICIIAIAAWRFT >OGLUM05G23490.1 pep chromosome:ALNU02000000:5:25793121:25797606:1 gene:OGLUM05G23490 transcript:OGLUM05G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVLLFVSFIHFPVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >OGLUM05G23490.2 pep chromosome:ALNU02000000:5:25793121:25797606:1 gene:OGLUM05G23490 transcript:OGLUM05G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPAARLAARRLLGLATSSASESAAARRLSRSPISYAAAGCSSRLFSTALNYHLDSPENNPDMPWEFTEANMKKVNEILSHYPSNYKQSGIIPMLDLAQQQHGGWVPVAAMNAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEEALLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLKRGETPPRGTQHPERKNCGPAGGNTTLHADMRRQW >OGLUM05G23500.1 pep chromosome:ALNU02000000:5:25798138:25804083:1 gene:OGLUM05G23500 transcript:OGLUM05G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRFGSGSVPTHLVGAALLRGEWRSSRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGTFIVTKVTSGTMLPVPELKNMAVEGDLVYSKEPPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDISMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTLYCI >OGLUM05G23500.2 pep chromosome:ALNU02000000:5:25798138:25804084:1 gene:OGLUM05G23500 transcript:OGLUM05G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTSLTEAEAGITCFASSLPGFRGVLKHRYSDFIVHEVARDGSVARLTSFDLPDECVDVSEEDKAAPSADADHSQALESFRALCGDADCDALKGLLEKASAGAEADVSPVILSPDADKAHRSEVHNFFKRSFKFLVTDTVEHNDGVQRCIRVRLGSGARGGRGGGGRSGGGRGRKRKNMGGSDWRDDRPFDSRGSTSWPNHVGKFLRFHLCKENKDTQEALGVIGKMLGLQSRSFGFAGTKDKRAVTTQQVTVFKISANRLAALNNRLFGIKVGNFSYVKEGLVLGQLMGNRFTITLRGVVAESEDIIKASVEGLGKNGFINYYGLQRDDIREMREHYKEHGDIDMALRNFPRHLVAEKAILQCLKKCPGTFIVTKVTSGTMLPVPELKNMAVEGDLVYSKEPPPGEATSVDTSEPCDDQINSSDIDLCSETLPEETIQSVKIVDSEDLLKGVYSFEDVVLPLPGSQALFPGNGIADIYHELAKKDGISLTENAHGVKELMTYTDDTASLAETDLDVLSRNKPTKAKEVNETISSAISNAQSHDSKVAGPLDISMPGSETGLVEEKSVGSSDMLARKLAIKLAFTLPASSYATMAIRELLKTSTLYCI >OGLUM05G23510.1 pep chromosome:ALNU02000000:5:25804667:25806897:-1 gene:OGLUM05G23510 transcript:OGLUM05G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPISVKPPSPVAAAPPPPPVQVPVPPPPPPPLPPAAAAVEPLPPQPVAVVVAEAEPCSMNQLALTPTPKRQKVEENADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKALYAETVQTTRKVLLSRQKRMSLKINRRSEANVEAVEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCEDCRNPFGKNEGIMAEESKRFLYTGADLDHSEDEQDFIVERSPRLQSPISKESSFQQTPPHIRATNRDTHMFPQAISQWQPRSWHCSKRQSNDRVIDDSGEYKNSNHDWQLAKPEDSYSISRCVQILNGMAELSQVEKSVAPDVFLQAGNREIFISLNGDVRALWLKRKIQNLT >OGLUM05G23520.1 pep chromosome:ALNU02000000:5:25809603:25812673:-1 gene:OGLUM05G23520 transcript:OGLUM05G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1F8] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIATGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGEHHEANGLKDMNEMFWEHVLMLIDICKYI >OGLUM05G23520.2 pep chromosome:ALNU02000000:5:25809661:25812673:-1 gene:OGLUM05G23520 transcript:OGLUM05G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1F8] MVLAQLGGSISRALAQMSNATVIDDKAFADCLHEIARALLQSDVQIRMVSDMRANIRRAVNLDALPAGTNKRRIIQQAVFAELCNMLDPGKPSFTPTKGKPSVVMFVGLQGSGKTTTCTKYAHYHQLKGFKPSLVCADTFRAGAFDQLKQNATKAKIPYYGSYMESDPVKIAVEGVERFRKEKSDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKLDGHAKGGGALSAVAATKSPVVFIATGEHMQDFEVFDVKPFVSRLLGMGDLSGLVNKIKDAMPADQQPELMQRLIEGTFTLRVFYELFQNLLNMGPIGQVLSMIPGFRSELMPKGHDKESQAKIKRYMTIMDSMTNAELDSTNPKLMSESRIKRVARGSGRTMKDVTDMLEEYKRIAKVCSKLKKKLPKNMDRNVMNNKDTLNTINNLIPKQLLNQIGGVNPLQSIMKQMGLKT >OGLUM05G23530.1 pep chromosome:ALNU02000000:5:25815362:25817356:1 gene:OGLUM05G23530 transcript:OGLUM05G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSNIVSMNAKAKLATQAGGSATVNLQAIVPYSKGSASASIQVTSGKACVSVSAQEPAKKTKTGDPPPPKKAKTPGSILMLPPWESDKL >OGLUM05G23540.1 pep chromosome:ALNU02000000:5:25822571:25822903:1 gene:OGLUM05G23540 transcript:OGLUM05G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESVRCACCGLEEDCTQEYIENVKANFGGKWLCGLCSEAVGDELSKDRREQDGIEDAIKAHMAFCRMALSSPAVKVADGMKEMLRKRSKDKVKPEIPSKAHPFLLGDN >OGLUM05G23550.1 pep chromosome:ALNU02000000:5:25823989:25826315:-1 gene:OGLUM05G23550 transcript:OGLUM05G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVDDYTDSRRELEPQSVDPKKGWGFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVVGDADLPKPAQWHRIAIHNDQLGAFAVQKLVKNSAVYVEGDIETRVYNDSINDQVKNIPEICLRRDGKIRLIKSGESAASISLDELSKFTENLNILIYENLALLVFVVIMQL >OGLUM05G23560.1 pep chromosome:ALNU02000000:5:25827531:25830058:-1 gene:OGLUM05G23560 transcript:OGLUM05G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKYLETARAERSVWLMKCPPVVSHAWQGAVSSSDAAGSNPNPVVAKFKMEMAQTNTGNTPKSYSLNMSKDFVPMCVFSESNQGKLSCEGKVEHKFDMKPHSDNLVNYGKLCRERTQKSMIKTRKVQVIEDHRMSLIPLPGMVGLIPSGSKEKKKQTPTKPSDAKRIRRDRRELENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCFYNKRGPNQGTHELKPEYKKSTGDTDAS >OGLUM05G23570.1 pep chromosome:ALNU02000000:5:25832844:25833557:1 gene:OGLUM05G23570 transcript:OGLUM05G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQRMVIVGEEHCGGGEDRELTVRKTTLFCPGDGLEAYDHGTGTLAFRVETYGRGGVCGGGAAAGDLALLGPEGEPVLTVRRRRPSLHHRWDGFLGDGAASGQKPLFSARRSSILGVGSGAAAVLVDLLAPGAAGEFRVDGSFPRRCCRVVAVKAAAPAGAGGEEEEEEVVVAEVRRKVDEDAHVVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGDELNGDLSEDLAVASSPV >OGLUM05G23580.1 pep chromosome:ALNU02000000:5:25834843:25838483:-1 gene:OGLUM05G23580 transcript:OGLUM05G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQQSVNAGKAKVDVHVDLTHMLCEALLLPPMRNSGATFSQIVGRISLKHPSLFGRSEKLDVILDKGINESNAVIAFRRPRPEWLSQQSFVIQHTMTPEIAVHGFPADNFTRSGSRGINLSRLSLGVELNEPSTSNWTSGTSVKFEHIRPVNNEGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNLQMEQGLPLVPKSLTFNRVKCAVSKGMKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGAGRLCLIANCEYTVPLAKHLEGSIFMDCGSDLGSACHVPGNPALRQGKPGFGVGFGYGIHFNTDLGQIRVDYAMNAFSRKTIYFGINSGGGS >OGLUM05G23590.1 pep chromosome:ALNU02000000:5:25841544:25847791:1 gene:OGLUM05G23590 transcript:OGLUM05G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 25 [Source:Projected from Arabidopsis thaliana (AT3G14400) TAIR;Acc:AT3G14400] MFACWHAGKKVLPNKDKECVFCVVERQISRLLRTEAGALDSPAKIIRCLPLFAEHFRWGRQEDAHEFLRYIIDACHTAGLRIRKRLPASNANGDAGEEEVRVQGPCMVMKETFGGALLSQVKCLTCKGESNKTDEIMDISLDLPGSNSVADALARFFQPEILEGSNKYSCERCKKLTSARKQLFVLRAPKVLVIQLKRFEGINGGKINRNIEFKETLFLSDFMYNKNQDSLPVYNLFGSIVHSGFSPDSGHYYAYVKDAIGRWYCCNDSHISPSSSQDVLSEKVYILFYILSTKTQKPSTNGYSSSAAKSSNSNGNGISNATSNEPLKIPLVKQNGLCSSKGIAPPPLKNGKIAPGMHLKPIHLKNNGTGKVSSNGKASIILGNKLEVSEGLTLPAVNGRDSGQYAEPGKMNANGSVSCNKTDVNSQRVLPNTNGNGNPIHFSDLQETTDAKATCAEQYSEKSSIASLEDSKNPVSCHEMSAVIVKDVVSSGKDSSSLKHRLEEGKFKEMLAESASSELHLSGWVDDVRNFMHTIKRRRLQNTGTPQDSDTMRKELISESGRIFRSKIPESLREHLIQALRSYYQDKFSLGG >OGLUM05G23600.1 pep chromosome:ALNU02000000:5:25850903:25851544:1 gene:OGLUM05G23600 transcript:OGLUM05G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVLLKWKADFGSTLGSCVILGASSAGKDGGAGAAPAVDCGESDETGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIADHTTLESLDLTDADGQGVLTMDKWQLQELRVKPVSASGGSHRTLMPALSMRLWYAPHIELPGGLVLNGATLVAIKPTEEATRDTVGSGIAGSAGGCWVSDAFEEPYRTAVGMLLKRRTYSLEMNSF >OGLUM05G23610.1 pep chromosome:ALNU02000000:5:25857187:25858938:-1 gene:OGLUM05G23610 transcript:OGLUM05G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNHSDAAFPVAATTPLLGRRPLDAGEFRRQGRQVVDFIADYYAGINDYPVPPAVAPGFLAGKLPATAPSTPEPDALTAGLRDVRELMLPGVTHWQIPRHFAHFSATASNVGALGEALAAGLNVNPFTWEASPAATELEVVVTDWLGKALHLPERLLFAGGGGGTLLGTSCEAMLCTIVAARDEMLAEIGEERIGDLVVYCSDQTHFSFQKAARIAGIRRGNCREIPTCRESGFVLTATALQAAVAADEAAGRVPLFLCATVGTTPTAAVDPLRELCAAVEGRGVWVHVDAAYAGAACVCPEFRHAIAGAEAVDSFSTNPHKWLLANMDCCALWVARPAALVAALGTDDDVILKDAAAAARPARGDHHHHAAVDYKDWQVALSRRFRALKLWLVLRCHGVDGLRAVVRSHVRMAAALERMVRADTRFEVPVPRQFALVCFRLRGGGAAAQLVGGDELTASNELNRRLLEAVNATGRAYVSSAVVGGMYVLRCAVGNSLTEERHVREAWSVVQGQAAAVLATAGAAADTARTKDHAAGDDHGADQPHAMTTTTTMGCRSGAWELRTVYRTLRSYAVYFLLGK >OGLUM05G23620.1 pep chromosome:ALNU02000000:5:25867788:25871640:1 gene:OGLUM05G23620 transcript:OGLUM05G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSVTDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >OGLUM05G23620.2 pep chromosome:ALNU02000000:5:25867788:25871640:1 gene:OGLUM05G23620 transcript:OGLUM05G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIAATLSSPRGEPDPDADEPDHAGDRASSPEGSSPRDPDSDEAEQPDTPSRRGVKDDISELTETLTRRLWGVASFLAPPPPPESSTPRGEEEEEEEGRDGEEESAQSPRIAGIRSDLAEIGGRVRSGISMLQSNKAVAEISKIASSLLPFGQGDADEGEPVAGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSMEHLVPSLSDIKAAICSTDMSEACFWKIYFVLLHSKLNKQDAELLSTPQILQAREELLQSLQTKNKRGSEVPEEEESSKTVIMSSAPAEEKVIQPSSIENKAGKPEVSSFEEPSSDISPDVEAEKFPIAITEMEIVDKSVIEEELSVKNETKSLAIEPKIHSVTDEDEVDEWPDDDDDAEEVVGTEGNRTSLGQEEDVSFSDLEDDDDDGNKGIAK >OGLUM05G23630.1 pep chromosome:ALNU02000000:5:25873668:25877010:-1 gene:OGLUM05G23630 transcript:OGLUM05G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSWWGRSGGGGGVGNGGGTPVVVKMENPNWSISEVEAAEVAPGSPAGAGKAGRGKNARQITWVLLLKAHRAAGRLTGAATALRARSYGCIRVFLVLSLLLLAVEVAAYLQGWHLEEVASLLAVDGLFAASYAGWMRLRLDYLAPPLQFLTNACVALFMVQSIDRLVLCLGCFWIRFKGIKPVPQAAAAGKPDVEAGAGDYPMVLVQMPMCNEREVYQQSIGAVCNLDWPKSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQADFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVGLVEKHSKQQRVGSAPNLDALTKEESNPKKDSKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >OGLUM05G23640.1 pep chromosome:ALNU02000000:5:25885418:25889366:1 gene:OGLUM05G23640 transcript:OGLUM05G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPLMAAKAYKYKAELLVKDYLLADLYVPYASVLGGILMCKLSYDFTRFISSFYFKGYGSLTKMQKVEWNNRGMSTVHAIFITLMSAYLVFFSGLFSDQQDGPVTFRSSSLSNFTLGVSVGYFIADLAMILWFYPSLGGMEYLVHHVLSLTAVTYTMLSGEGQLYTYMSLISETTTPGINLRWFLDVAGMKRSKRYVVNGVAMFLTWLVARIILFMYLFYQIFLHYDQIKQMETFGYLLVCVVPAILFVMNMIWFSKILRGLKKTLAKRH >OGLUM05G23650.1 pep chromosome:ALNU02000000:5:25889781:25891079:1 gene:OGLUM05G23650 transcript:OGLUM05G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic aspartyl protease family protein [Source:Projected from Arabidopsis thaliana (AT5G45120) TAIR;Acc:AT5G45120] MVIINSTRFDFLDIIEPVTTYTDGYLISLNLGMPPQVFQVYLDTGSDLTWVPCGTNSSYQCLECGNEHSTSKPIPSFSPSQSSSNMKELCGSRFCVDIHSSDNSHDPCAAVGCAIPSFMSGLCTRPCPPFSYTYGGGALVLGSLAKDIVTLHGSIFGIAILLDVPGFCFGCVGSSIREPIGIAGFGKGILSLPSQLGFLDKGFSHCFLGFRFARNPNFTSSLIMGDLALSAKDDFLFTPMLKSITNPNFYYIGLEGVSIGDGAAIAAPPSLSSIDSEGNGGMIVDTGTTYTHLRDPFYTAILSSLASVIPYERSYDLEMRTGFDLCFKIPCTHTPCTQDELPLINFHFLGDVKLTLPKDSCYYAVTAPKNSVVVKCLLFQRMDDDDDDDDVGGANNGPGAVLGSFQMQNVEVVYDMEAGRIGFQPKDCALHS >OGLUM05G23660.1 pep chromosome:ALNU02000000:5:25894477:25904186:-1 gene:OGLUM05G23660 transcript:OGLUM05G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRYTEQEEALEISSLRRIIAAYANYHDAAERDVKRYERSFKRLPPAHKELLFNLGLKYQRLRWCISMNASFIMDMLEAFEPPFDMSQHVDMDGHDCAENMHGHCHADCAHSVDRGDCFRSSISVSNSELHEPDGCPRKDDKTHELSRETDNKVEDKSCNGDKAMDAAANCQDTDCVACSADENVIPQQFMAPSLQLNVPPIDVDKVRCIIRNIVRDWAQEGQKERDECYKPILEELNRLFPNRSKERPPSCLVPGAGLGRLALEISTLARKKPMSGLYILGYTATAILFQTMINFALFHFLIFTPQAQGSQKDFLCVLRSTMKKAKNVWINLGPLLYHFADSYGPDDDMSIELSLEDVKRVAYHYGFVMEVEKMIDTTYTANMKSMMQNRYRAAFWTMRKNASRSKAQKHQ >OGLUM05G23670.1 pep chromosome:ALNU02000000:5:25904122:25911864:1 gene:OGLUM05G23670 transcript:OGLUM05G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRSELISSASSCSVYRRSAILPGGGGGGGGGEAEERSFLQMNWRKLRLFREFQICYLPAFVGSFANATIAPAVCALEQKSLTGCYYQTLKIDEAGHKSLDQAVSNESSLWDFIWVL >OGLUM05G23680.1 pep chromosome:ALNU02000000:5:25905682:25913334:-1 gene:OGLUM05G23680 transcript:OGLUM05G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFIAGRSRCARQVGAGRREAEEEERGKAARKRERGGGGKKIKLRQFVRSPLLPAPIAAPRPAASSPARDPLRVASRRRRDRGIVPSIGFHGELPIWISDPIVSWIDRFRVPAVGCWIGQYKKTVGFGDDAIVSGNGFREKLKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSTIPPEQYQPRHK >OGLUM05G23680.2 pep chromosome:ALNU02000000:5:25905682:25913334:-1 gene:OGLUM05G23680 transcript:OGLUM05G23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDFIAGRSRCARQVGAGRREAEEEERGKAARKRERGGGGKKIKLRQFVRSPLLPAPIAAPRPAASSPARDPLRVASRRRRDRGIVPSIGFHGELPIWISDPIVSWIDRFRVPAVGCWIGQYKKTVGFGDDAIVSGNGFREKLKFQPRDKSKSPAVAASHGKDPGKPPIDDAPSSATKQRVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKASKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAFIVKLYYSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTSTKGTKPLPDSSSRLSSSAPKRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKACTLPTIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGLPWERLYQMKAAFIPEVNSELDTQNFEKFEETGAQIQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKSKRPTIKTLFESMDEDEPVQGSFLNMLPHKEGQPSSHSTIPPEQYQPRHK >OGLUM05G23690.1 pep chromosome:ALNU02000000:5:25917115:25923250:1 gene:OGLUM05G23690 transcript:OGLUM05G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCSRVYHLHPVSPSTMQGSLARPSIHAGSASLTFRARPNSVSIVRCDADSPPEGSAVAGWAPPGPYTGRDPAARKPAWLRQRAAQGEKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGDGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLRTAVAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVKALKLASA >OGLUM05G23700.1 pep chromosome:ALNU02000000:5:25924261:25925496:-1 gene:OGLUM05G23700 transcript:OGLUM05G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEAAVRDQVELTMRLLHHLLLDDKHGTTTNLAFSPLSLHAALTLLASGAAGATREQIVAFLGPAGADAHTALASKEASVGVLACRRSAGCSNPEVRSAMAVWVDASLRLNPAFADTAASVFKASVRSAGNPAAARAEINEWFSSQTGGFVKDILSNSIDDDDDGSGGGGAISASVFLANSLYFNAYWDHPFFPHLTEEGDFHVSPDHDVRVPFMAGSHQHAFMDVGCHPGFNVLRMMYRTGGAAGGDKMFAMYIYLPDDRDGLPELARKLASNPAAFLRRTIVPAQPVAVGELKIPKFEVSLKVEASRLLRELGLDLPFLPAADNSFSGMLLDPPQGTAVSSLLHQCFVNVNEEGTVAAAGTVGEIMGFAMPDDQIVDFVADHPFLFFIVEEVSGLVVFAGQVVNPLLH >OGLUM05G23710.1 pep chromosome:ALNU02000000:5:25929213:25929380:1 gene:OGLUM05G23710 transcript:OGLUM05G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVESAHYIKTEHLVPLSEQQLVDYADIALNHTFRRALEWIAENDEITMQLDYP >OGLUM05G23720.1 pep chromosome:ALNU02000000:5:25931021:25936304:-1 gene:OGLUM05G23720 transcript:OGLUM05G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:breast cancer susceptibility1 [Source:Projected from Arabidopsis thaliana (AT4G21070) TAIR;Acc:AT4G21070] MADTESLEKMGRELKCPICLSLLSSAVSISCNHVFCNDCLTESMKSTSSCPVCKVPFRRREMRPAPHMDNLVSIFKSMEAAAGTNVVSTQEAPVVKLADGSDCVNSGKNSKRSQKSLTRKRKVTSEMEKNTAKDATASASQPTTKPSFSTNKRIQVKPFPESETPIRAEKIMKPEEPKNNLNNDVEGKNKAVASGQPGSPSLSPFFWLREQEEQEGCTAETLSETQSLDTPLRHNAPSFSDIKDSDDEIPLNTTPNSKAAATELFNSEIFEWTQRPCSPELYSTPLKKQSKAKSKLDQIEEKGDEEDVHIGGSFDKLGNASNAAQLVNTKATKQKRKKTSPSNKNSAKLSNRAEPCIKKSDANQQGSNRRKSAALKSCQKSSSAVGRNTSGRRNKASSNSKPIHGSSDNSPESYLPKEGLDVEAPDKPLSERIQNLEKTSRRKGSARKLEMAGKTISDTTEKNSEPRSKRVRRMSDHAIAKPVEVPSGSGNETEIPQLHTLTKGSIQCKSSNARRHSKVCGEQEGKNKLENTTMTPIILHGKCQNKEAVCTAPSVRTASVKYKQAKFSEQPDCFGTENFGNLQGCPARNVLLKKCEVSTLKVSCAFCQTDVITEESGEMVHYQNGKQVPAEFNGGANVVHSHKNCLEWAPDVYFEDDSAFNLTTELARSRRIKCACCGIKGAALGCFEMSCRRSFHFTCAKLIPECRWDNENFVMLCPLHRSTKLPNENSEQQKQPKRKTTLKGSSQIGSNQDCGNNWKWPSGSPQKWVLCCSSLSSSEKELVSEFAKLAGVPISATWSPNVTHVIASTDLSGACKRTLKFLMAILNGRWIVSIDWVKTCMECMEPIDEHKFEVATDVHGITDGPRLGRCRVIDRQPKLFDSMRFYLHGDYTKSYRGYLQDLVVAAGGIVLQRKPVSRDQQKLLDDSSDLLIVYSFENQDRAKSKAETKAADRRQADAQALACASGGRVVSSAWVIDSIAACNLQPL >OGLUM05G23730.1 pep chromosome:ALNU02000000:5:25943742:25947482:1 gene:OGLUM05G23730 transcript:OGLUM05G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAVASTAPPQPLRPRRGIAVPSSYSHRAATRPRLAVSASSTTTGTTETTSERGGAERFYFNFTGFPFPLGPFLNRRTIRTEAVKGRIWLFEQEQALGFSSVSTNTRMTVIKLKSGGLWLLKELDAPVEHIVLPTFAYEHKVFFGPFTRKFPRAQIWVAPRQWSWPINLPLEFFGIFRAKPLQDEDDDTPWAGEIEQKMLSSPEVGIGPYVEVAFYHKPSRTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWPFRRIIPAHFAAPINASRSDFLAAFAFLDEFLPEQSPASPGLSLLFASLMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >OGLUM05G23740.1 pep chromosome:ALNU02000000:5:25949134:25949496:-1 gene:OGLUM05G23740 transcript:OGLUM05G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAPSAPWRWSWSVARAVFLASLLVLASAQQQPRPPRAPEMSAVDVDAILARVCGGGSSRQAAPVPPLPLCHELMRHRGGVRRHHRRPAPPPGRDEEVDLRYGVAKRLVPTGPNPLHN >OGLUM05G23750.1 pep chromosome:ALNU02000000:5:25952788:25954092:-1 gene:OGLUM05G23750 transcript:OGLUM05G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHHHHDPPPPPPACCSCCCGCDGGCYPAPTSYYYPVPPPPPPAPTSASDHLLHAIAAHLLLGSAPPPPAPTPPQPQTQPPPPPPPPTSAAHHAYHYQYQYQYEQPKPHQYPQPQQANPSDHSHAVLHSLLRRVAALESTLPRCFASPPVPPPLHRNPRHRPRAAAHREEEEEEEEDEDAPASLPPPPRRARAPPSPARERAARTIQAHFRRFLARRSRTLRHLKELAVLRSKAAALRGSLSGRGRVGDPAAISEAAMALLFHLDSIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHGEMAMNGELDCKDYHEGCNAAFAANPSAMNKKKVSFCGNGKVQELHDEAEQEHGSDADESSETSSSAEAEARKRSNSKRGAHAKPRLAAPMPVYMEPRRIDEERR >OGLUM05G23760.1 pep chromosome:ALNU02000000:5:25954694:25957714:-1 gene:OGLUM05G23760 transcript:OGLUM05G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of transcription; LOCATED IN: nucleus, H4/H2A histone acetyltransferase complex; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CT2 /.../erPro:IPR012423); Has 60 Blast hits to 60 proteins in 27 species: Archae - 0; Bacteria - 0; Metazoa - 26; Fungi - 2; Plants - 30; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G26470) TAIR;Acc:AT1G26470] MEAAADEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFTQGEEFSLPENIS >OGLUM05G23760.2 pep chromosome:ALNU02000000:5:25955068:25957714:-1 gene:OGLUM05G23760 transcript:OGLUM05G23760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: regulation of transcription; LOCATED IN: nucleus, H4/H2A histone acetyltransferase complex; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: CT2 /.../erPro:IPR012423); Has 60 Blast hits to 60 proteins in 27 species: Archae - 0; Bacteria - 0; Metazoa - 26; Fungi - 2; Plants - 30; Viruses - 0; Other Eukaryotes - 2 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G26470) TAIR;Acc:AT1G26470] MEAAADEEQEGISAQSPAQAPPSSASSLPKEQSQVELELRVLQALEFYPPSKLKGIHRHFVIYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFTQGEEFSLPESFFNKDE >OGLUM05G23770.1 pep chromosome:ALNU02000000:5:25960817:25963714:1 gene:OGLUM05G23770 transcript:OGLUM05G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLLLLLLAMSTGSDGAFCVCKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIVAHCSYATNSYFQKNSPIGATCDFGGVATLTNTDPSSGTCKYPATASGVGTGMGTGISTGTGTGVGTGGTGTGVGTGTGGAGVGAGTGTGVGTGTGTGAGMGTGAGAGTGITTPGSTTGTQGGALSPPFGGAYGPSAGAMNPDYNEAAPARSQLAATAVLLAAAPFLFHLI >OGLUM05G23780.1 pep chromosome:ALNU02000000:5:25997878:26000454:1 gene:OGLUM05G23780 transcript:OGLUM05G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSQYCYTSNHEQLKMISNNSTNEELGGGGRKAADQPSGGGGAAAAVANSRHWSASTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLINAAQVEIDKLPPLQFPPHDHDLVAAAASSMAPPPFANGGDGHHGASASSMLEDGDKAAGGGGMKAFMSLSNSLGLLNAATMPATLAAHHHHHHHAAAYYAAAESWGNGGNGGHHHDVSHGVSPSAHNSPFPSLLSLAPGSHHQFVFYSPEGGGFAVKEAAAEQFPVDSLDHSQGQLTLSSARSFLHSGSQG >OGLUM05G23790.1 pep chromosome:ALNU02000000:5:26013804:26019738:1 gene:OGLUM05G23790 transcript:OGLUM05G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTRAARSRALLLLPRASAAAPHLSTTASSGAAAAAAAPVEAAAAGASDASAAAAAGAGEQPAPPPKRWGLLKFGAFAAVCGALGAAGYSSYAYTLEEVDQKTREFRKAMTTPRPVAEDASEFEKFQAMVYSTAMKAPVAAIEFYMDVRHTIEDHIRGFAEPTSDKLLPDLDPLNQHIFTLVLDLNETLVYSDWLRERGWRTFKRPGVDAFIEHMGKFYEVVVYSDQMPMYVDPILERLDTKGFITGRLSRPATKYQDGKHYRDLSKLNRNPAQVIYISGHALESCLQPENCVQIKPWKLETDDTQLLDLIPFLEYVAMVRPSDIRTVLASYQGRDVAAEFIERSKEHQRRMQEQKQHGRIWRR >OGLUM05G23800.1 pep chromosome:ALNU02000000:5:26020402:26024039:1 gene:OGLUM05G23800 transcript:OGLUM05G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G73840) TAIR;Acc:AT1G73840] MAANQPAGEALAANISAMSRPEMYDLMSQMKVMINHDQERVRRMLVDNPDVTRALFRAQVVLGMVKAPKTAQSSDKAQPAAVQATPSSSVKPTVQDHASFPQPQLPSSQQNIQSSGPFSSGPSNPASSLDLPAMSANPQQSAQAKGYPIHQMPPTSTTQTSQHQSATLPPHVSSQYSNVPSHMPIVHSQPQQPLQNPGMFNQQLQPPLPQFPRPPNMQPFVHQMHPQVPSSFGLSHTNAPQHMLQQSMFHPGGNPQTSFLTGQPPLPNQPPPLPNQPPPQLYQGSSHAASHYNSQSMQMDRSTPWGRGNAEASSAGTHFPGHLPGLPGQMTQGIGGIHSARPEAPLTPEMEKMLVQQVLSMSPDQINMLPPEQRQQVLQLRDMLRQ >OGLUM05G23810.1 pep chromosome:ALNU02000000:5:26025251:26028907:1 gene:OGLUM05G23810 transcript:OGLUM05G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1J1] MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >OGLUM05G23810.2 pep chromosome:ALNU02000000:5:26025251:26028907:1 gene:OGLUM05G23810 transcript:OGLUM05G23810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1J1] MEGGGGGGQELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGIRAGVGGYSYLLEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMAIQPAFLLYVASVIVVVFVLVFHFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLVYPETWFFVLIVATCVLTQMNYLNKDWSGQSLGSITSEICGLIVVLSGTILLHVTKDYERIPQSRSIYAPLSPSLTARLNGDLLKHVEDDRNPDEEKALRRQEMY >OGLUM05G23820.1 pep chromosome:ALNU02000000:5:26029260:26031390:1 gene:OGLUM05G23820 transcript:OGLUM05G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARSTCRGGFPFHSSLLLGSPPPLSPSAPPPRSLAAAASSRPPTAPTAPPPRRSPASLSHLLLLLRRWYPPVRVLLLSRIKMGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >OGLUM05G23830.1 pep chromosome:ALNU02000000:5:26032278:26033693:-1 gene:OGLUM05G23830 transcript:OGLUM05G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGFNLRLVPSFPPEVEARMAAAPAMAAVAMSGSMEASPAAAVVPCRRDMKRRLQEEIDAVRGLLGKAEALVAVASEDVNGGAAASASAVAKRSPRRVRSPPRRGRSDREELDRARDRRHGGRSDREVFDRGRDRRHGGRSDRDREVFDRARDRRHSRSDREELDRERDRRRSRSRRSHREELDRERDRRRRRSSDRVVFDRARKIPRRRPHEAESEPRKIEAAAGAPPQCQPKDGEIAPAMDASPSLCEREEGEIADDHGAAMDIDIDIPRGGAISPLVVNKAQSSPLAKNDDDDELVDISGEASPVAIENFPEATKSSISPSSDEPSLGNYSGDDDDDDDDDGDDGESSKKPDTTCLPTEAAATATTPLVAAAASPPATQTSQLIAIAKEKQRLRREVERRAAREALEAMARAARPIRDDIAATDMMQLGLFETQYIVSTEKSQDSLRRGSGGLLPQLGFFLKPEYS >OGLUM05G23840.1 pep chromosome:ALNU02000000:5:26034314:26036840:-1 gene:OGLUM05G23840 transcript:OGLUM05G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G70630) TAIR;Acc:AT1G70630] MLVASRHGAHPRRRRAGGNGVADELALPLAGGLALLLAFVTAAAVLSGGDRREEGDRRRPDLPGGAAGPRVAIFSAPLHPPDGSPARQELAVRSWLALPGNVSVVLLAAHPSAHALAGRLGGRVTVDAAIDISFTGTPFFHSIVARAQAADSDICVLVDAEIILLPETITLLKHFSRSDLDWFVFSASRNISAFPYHLVDNGTQWADEHGKQVSFKKENQSDKWAGHGSDRGLIVAWNNPSTRMVAGVMPSFLNGRGVHNWWLIHEVLSSETRLVFDASNLVLGLYPENFSEKRGTSTSRNVSNPDGSWEYDVNRHLAAVYGSYCYELPRRNSPMVYKVVKQFEDYMFSKNEGPNLSNSVINKEQNVHPEGGSLCEKEISYSSAVNLPHSLEMLLELVADKNRSVVLAVAGASYRDMLMSWVCRLRRLRVTNFVVCALDQETYEFSVLQGMPVSRDTLSPNNVSFDDCHFGTQCFQQVTKVKSRIVLKILRLGYNVLLSDVDVYWFHNPVSFLHSLGPGTFAAQSDEFNQTGPINMPRRLNSGFYYARSDDATITAMEMIVKHATNSGLSEQPSFYDILCGKDGANRIGDDRCLEPSTNLTVVFLSRDMFPNGAYGGLWEKKHGVSSACRELGCVIIHNNWVNGRRKKLHRQMASGLWDYDPGSRLCLQNWSNASRFSVQTDDPVSYDS >OGLUM05G23850.1 pep chromosome:ALNU02000000:5:26041317:26044456:1 gene:OGLUM05G23850 transcript:OGLUM05G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPGASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >OGLUM05G23850.2 pep chromosome:ALNU02000000:5:26041317:26044182:1 gene:OGLUM05G23850 transcript:OGLUM05G23850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLRDHRSYLADHPGASAITTAQGEELRKQIGAAAYIECSSKTQQNIKAVFDTAIKVVLQPPRRRGETTMARKKTRRSTGCSLKNLMCGSACVV >OGLUM05G23860.1 pep chromosome:ALNU02000000:5:26043815:26051018:-1 gene:OGLUM05G23860 transcript:OGLUM05G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline iminopeptidase [Source:Projected from Arabidopsis thaliana (AT2G14260) TAIR;Acc:AT2G14260] MIRALRATSAPRTPTPTPPAPSAAGGRRGLGCHLRCRATLAAATNAPMGQLQQQHQEQQLRKDLYPQTEPYDFGFLKVSGVHTIYYEQSGNPQGHPVVFLHGGPGAGTSPGNRRFFDPEFFRIVLFDQRGAGRSTPHACLEENTTWDLVFGGSWGSTLALAYSESHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEDERNCFIAAYSKRLTSSDADVQAEAAKRWTMWEMMTAHLIQNHENIKRGEDDKFSLAFARIENHYFVNKGFLPSDSHLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKMVPDAGHSANEVGVAAELVSANEKLKSMFTK >OGLUM05G23870.1 pep chromosome:ALNU02000000:5:26055961:26057487:1 gene:OGLUM05G23870 transcript:OGLUM05G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1J9] MAATPPSSQHRRPLSSSASAASLAGKPRGGGLLLGRYELGRLLGHGTFAKVYQARSADSGEPVAIKVLDKEKAMRHGLVPHIKREIAILRRVRHPNIVRLFEVMATKSKIYFVMELVRGGELFGRVAKGRLKEDTARRYFQQLVSAVGFCHARGVFHRDLKPENLLVDEHGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGIILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLSSLLNRILDTNPETRITVKEVMESRWFQKGFRPVRFYVEDDQVHSLADGDNDMPELEPSEPPPPPPFPPPPPQQDDDGEESGWESDSSVASCPATLSSEERRQRPLGSLTRPASLNAFDIISFSKGFDLSGLFEERGSEVRFISAEPMQTIITKLEEIAKVKSFFVRRKDWRVSIEGTREGLKGPLTIGAEIFELTPSLVVVEVKKKAGDKEEYDDFCNRELKPGMQHLVHHMGSVPNIPSDTE >OGLUM05G23880.1 pep chromosome:ALNU02000000:5:26063731:26068589:1 gene:OGLUM05G23880 transcript:OGLUM05G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1K0] MALWRCSSSWLSSVSRSSGGVGGGESKVSPEIAPVSGGEGEGEEEEGEVERWSRLLPELLTEIMRRVDAGAERWPPRRDVVACACVCRRWRDAAVSVVRPPLECGRITFPSSLKQPGPRDAPMHCFIRRNKKNSTFYLYLSLTQALTDKGKFLLAARRFRNGAHTEYIISYDCDDLFPGSNSSDFLGTKFIIYDSQPPFDGAKPSRSQSSRRFASKQINPNVSGGNYEVGQVSYKFNFLKSRGPRRMQCNIQCPVGQSTASDPLKEKLISTSSPLALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAPAGTSDPWGIADEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >OGLUM05G23890.1 pep chromosome:ALNU02000000:5:26070247:26072734:-1 gene:OGLUM05G23890 transcript:OGLUM05G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLPVVKLSKELDMHRVMLMQLQLKSKENLSERTPGRLLQEASFKLFGIWLPKMPMLQCSGEASDTARVTSGGEARRQLAAPVMADANRRIDLAAPLVSVRRHGGGAAGEAATRTDGTRPGHPKSVRTRRATMVHATARDEEPARDAMAVVAVAAPVRERDQEARFSDALSVADSCLTVNCSSATGLSDAVARPPRGVGVGGGVMMDRFLPAAHAVAVLSPQCSSRKASVAAAAARNGHGADALLPPPEPTPTIRTLCIVPREKTDDADADADAAVDDDGGGGEWDAHSTRGVSSRRCGLLIPTRCMKSTLLLLNPAPAMRRRGGGRRRDRGAPLLSKIGRSQSLGNPLVRSAHDTGIMRSWEEVYINSLRRSGRGGRKGLGALLSPELDTTMPSVRELYLEQGDGVVHPKATHLGFLLVLDRSHDQCHDSHDDPKLLPPPRFPRPAPPKVFDGGKKQRRDAAAAAAGGGYGWPLLLEDKAAASRDMVPPLPPLPSMKSPSESWLSRALPSVSSNPPATSFLGIHVQHKKQSPPPRCSSRAPAKLVADGHARPRQMRIHDLQKS >OGLUM05G23900.1 pep chromosome:ALNU02000000:5:26074985:26077150:1 gene:OGLUM05G23900 transcript:OGLUM05G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFQEELALGVKEEQGEEVDSLLVMMLVRTVKKPSKFEDSDTVTAKPARAVFEMPHRTSPRAPKKPAGGGAAVSRVAELEAKLGKAEGQLAEMREQLAAAEKARKDARAALVESKKRFSAAKKRVTTAGAASSSAAAEQTPPQAVSDEKCGVISPAGDVPEAAEPGDAQGEETKTKEMADDDEVNSVTAAIVGDLEGNKGGQEVEQLRTKLMEKDMEVYELKAKLIAMDAEADDLRASLATKGIEIDELRAKLTSKDADIAAVEADNAELMKMAEEASHAVKEAATKERDTEHALRESAAREAARVAERLRASERAREALEAELQRGRAQSEQWRKAAEEAAAVLAAVEHGAGAPAADVEWRRHSSGAAAGERVAKDTDEHHVSGGKRNSGGAMRMLSELWKKKAQK >OGLUM05G23910.1 pep chromosome:ALNU02000000:5:26086470:26087621:-1 gene:OGLUM05G23910 transcript:OGLUM05G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAKPAALEQISLVRSPSVEDNFGAGLPVVDLAADGAAGEVVRACERFGFFKVVSHGVGEGVVGRLEAEAVRFFASPQAAKDAHGPASPFGYGSKRIGRNGDMGWLEYLLLAIDGASLSRSSPAPSSSLRDAANKYVGAMRGMARTVLEMVAEGLGVAPRGALADMVVGDGAASDQILRLNHYPPCPPLLQNLMPNCSPTGFGEHTDPQLISILHSNSTSGLQVALHHDADAGDHQWVTVPPDPASFLVIVGDSLQVMTNGRMRSVRHRVVANKLKSRVSMIYFGGPPLEQRIAPLRQLLVAGVGDGEEEEQSRYEEFTWGEYKKAAYLSRLSDNRLAPFHRQPPPVANPLA >OGLUM05G23920.1 pep chromosome:ALNU02000000:5:26096793:26097686:1 gene:OGLUM05G23920 transcript:OGLUM05G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPPAAACRSKSAPSKSARVVLNSPVWPDSRFPEIGVKVMNRVHDTPAMSGEFKVK >OGLUM05G23930.1 pep chromosome:ALNU02000000:5:26100850:26101089:1 gene:OGLUM05G23930 transcript:OGLUM05G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKAGKKKVLCCVVVVLLLCAAAVQASAARPLLRETAVVGGGDEGSQVGGGVVAGGNQSPAAYDDEKRLSPGGPDPQHH >OGLUM05G23940.1 pep chromosome:ALNU02000000:5:26107698:26107961:1 gene:OGLUM05G23940 transcript:OGLUM05G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARTTGIVLVVILLVAAELAAAPEARRIVYAAAAAAVGYSTGAGEGGVAGNGGGGAQPPFKWNTRREIGGDKRTVPGGPDPQHHH >OGLUM05G23950.1 pep chromosome:ALNU02000000:5:26108124:26124032:-1 gene:OGLUM05G23950 transcript:OGLUM05G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQKRSKFEDCSQSLSESDNIHSESLPNNDDDYQCDSCDNSDSHHMDVPSQDHLNVISTNDLNVISVVAMFHSTFAMIWMFHNHIKSKRSEDDNIKMKRSESIELDNGVMINQVLRDIIQRDQIINSLKKKLNIHHNIVLGQKLIPLNKQPVHVVLGLPVGGSTIHSKFDSGKQKILHIFGKTSIPSVKFFGEKLIKNEELPDDQILICFMIVSLNCFLCPNSSLIPSTKYLSAFEDMDLIESLDWCKLVFDWLMDHIRKLEKSKTFGGCLFHLAVNYLDFFNFGSQKVLLDTPRIKVWKRSMIKDYSKFDKISEGVYGKRPVNDIASTCYQMVDNSSSSFADMLKSSVGDLLPSDVQDKIFHLRCNHFGKEDEIFEDKAKKLLIDVPLLLADCSSNYPTQPAAHENSGIEPDHEPVDEINDDGNSKIVLDDDKLPIPNEEFNPIKHINIDEIMTKLNKTGHVPINPLDETEKISALTARNNQLFHDQPSFKIWDSDDDLHRENDDFKKEITPAHLVDSHQVIPDSYSPNPVLRNKITPRKLSQTFAAAVESPIICLDSPDKMYMATLENSTSPNASLNENKENEKGCHIIKDSPDVVFIGEKKFSKKCADIGNKTNMMYNKMNRILLDSQKQNFKTFASPERVLLCNMKNFNPSTSGTKPIHHDLRRVINLAKYCTDPYTPQRSNFTVSQYERQIYNAVCTLSQADIMSKRYAVEIDGVHCKFSSFGGSFKFGHEVSNFVVSVFCRYLFHLSHPSKSKKYYFFSSIGDDLLKHPSRTDFSSVRKCFDGASKARPVESCEMLFFPILYKRHWFVFIVHLKDEMFVFLDSLHEEGFEYQDEVKNRLTSNFALAWNSIMEEYQINFDAFKIVYPPVPRQNNLCPILLLLRVIFVDCGVFTLKYMELWGPRVQLTNHFSQKDIQNIRIQYVNRLFFHPDNSVLGTGTKKLVIDFAQTMKLQSSNSERNRERGDHFGDFAVINARDSAAASIWRFRRSGVRVIRRAGS >OGLUM05G23960.1 pep chromosome:ALNU02000000:5:26108978:26109778:1 gene:OGLUM05G23960 transcript:OGLUM05G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEEQKEREISAKFKDKIIKEMIHKEDSNKSTDQSQIINKSREKKKNQEDPGIITNRKKSMKDNN >OGLUM05G23970.1 pep chromosome:ALNU02000000:5:26128777:26131042:1 gene:OGLUM05G23970 transcript:OGLUM05G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLRWVDWATGLDARTKRTAAKLDEVLEMALRDHEQSRGDDDDGGGGDGEPHDLMDDLLSMANDGGGDHGHKLDRIDVKGLILDMFIAGTDTIYKSIEWTMAELIKNPAEMAKVQAEVRHVAAAAHGDEDEDTVAVIREEQLGKMTLLRAAMKEAMRLHPPVPLLIPREAIEDTVLHGHRVAAGTRVMINAWAIGRDEAAWEGAAEFRPGRFAGGGDAAGVEYYGGGDFRFVPFGAGRRGCPGVAFGTRLAELAVANMACWFEWELPDGQDVESFEVVESSGMVKPYMECILRWRE >OGLUM05G23980.1 pep chromosome:ALNU02000000:5:26139159:26146144:1 gene:OGLUM05G23980 transcript:OGLUM05G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1L0] MGIDLNTVEEEAEEGAAAAVCGELWHACAGPGVALPRRGSALVYLPQAHLAAGGGEVPPAGAVAVPPHVACRVVGVELRADAATDEVYARLALVAEGEMLQQNFREGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHLKEKLVTFNDYKTVRPSQELIAVDLHGTQWKFRHIYRGQPRRHLLTTGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSAGLISGISEVDPIRWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPASGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVDPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVGGTNNKGMHVSQFASQEMLSETVTWPGTQLQTPSEITSNQFALARIPAAPSGAESGSPKRDAGRSSCRLFGFSLTGNMLGEDGEGLEDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >OGLUM05G23980.2 pep chromosome:ALNU02000000:5:26139159:26145180:1 gene:OGLUM05G23980 transcript:OGLUM05G23980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1L0] MGIDLNTVEEEAEEGAAAAVCGELWHACAGPGVALPRRGSALVYLPQAHLAAGGGEVPPAGAVAVPPHVACRVVGVELRADAATDEVYARLALVAEGEMLQQNFREGGGEDGAGEMEGCDAEKKPRMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLVIHLKEKLVTFNDYKTVRPSQELIAVDLHGTQWKFRHIYRGQPRRHLLTTGWSSFVNRKKLVSGDAVLFLRGDDGQLRLGVRRAVQLRNEALFEPVNSSDSKLRILSSVASSLENKSVFHICFNPRSGASEFIVPYWRLLKSLNHPFSIGMRFRVCYESEDANERSAGLISGISEVDPIRWPGSRWKCLLVRWDDSTDSSHQNRVSPWEIERVGGSVSVTHSLSSGSKRTKLHFPQGSLDTPFLNGNGHPDSMGTENFHRVLQGQEFRGSRSHGVVCSESPGVPNFQSPDNRRFSADMRGYMMPASGPPQRNTEFTYQPIGFSESLGFPEVLQGQEMSQVDPLFRGATFGARTQNDRVVSANSVHRSAAQSGLLASTLGHPISQFTLSSSKVSSPSSVLMFNQATAPNHETVGGTNNKGMHVSQFASQEMLSETVTWPGTQLQTPSEITSNQFALARIPAAPSGAESGSPKRDAGRSSCRLFGFSLTGNMLGEDGEGLEDGAIEAGCENPPVLELFGHSHSTPGALHALCAAAPLGM >OGLUM05G23990.1 pep chromosome:ALNU02000000:5:26149142:26150891:1 gene:OGLUM05G23990 transcript:OGLUM05G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTESKCNGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLRIADAVHRHGGAATLGEIASEVALHPSKIPCLRRLMRALTVSGVFAVAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRREPPDPCIFKQAHGRSFWELADRDAAFDALVNDGMVSDSRVIMDYVVREHGEVFRGIASLVNLAGGLGEAAQVISKAFPEVRCSVMDLGHVVAKAPAGTDVEYIAGDMFESVPPADAVFLKILKNCKKAIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMFVNGIERDKQEWKKVFMEAGFSGYKIMPVLGFRSMIEVYP >OGLUM05G24000.1 pep chromosome:ALNU02000000:5:26153920:26155477:-1 gene:OGLUM05G24000 transcript:OGLUM05G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLELSESKCNGGQEVSLLDAQLELYWNTFAVIKSMALKSALDLGIADAVHRHGGAATLAEIAGEVALHPSKIPCLRRLMRALTVSGVFAAAVKPGDGGGGEPVYELTPSSRLLVGSSNLSGIMSMILHPTLVVPFLGVGEWLRRDREPPEEDPYCIFKQAHGRSLWELAGRDAAFDALINDGMVSDSRVIMDYVVREHGDVFRGIASLVDLAGGLGAAAQAISEAFPEVKCSVMDLGHVVAKAPAGTDVEYIAGDMFESVPPADAVFLKWVLHDWGDDDCIKILKNCKKSIPPRDKGGKVIIMDIVVGAGPSDQKHREVQALFDMYIMLVNGIERDEQEWKKVFVEAGFSGYKIMPILGFRSMIEVYP >OGLUM05G24010.1 pep chromosome:ALNU02000000:5:26160328:26163957:1 gene:OGLUM05G24010 transcript:OGLUM05G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPAAGGDGGRGSSGGKGSSRSSSRHQQFRNLAKTRVDDLQEMFSGLQSARKESRSADAALLEEQVHHMLREWRAELNVPSPASSLQAIPPNPSFPPSSFTCANSQSQGNNREASDPPSETLRLLQLAGAEEEEDDATSKLVMPRSPMPMQSSHEGHNLSPVLQGGTMAGGAAELMVPRSPLQQMPSSHQSHGHGQDGGQNLQGEAVMGCTAATAAPHLGQGMQGDCGGMAGVTNAMFHDQLYYIDHELNIDDFLQDDDYKINLPGSNPDGPNTMQGIGQLEQQYNLPLDLPPNSFVDANNSAQSSGDVFFHMSDLLTTMCPSPSQYLGPKCALWDCGRPVRGSDECQHYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALSAKVQGKNVGIPVCEGAATTKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSSNYEWHLFEYETNDSDALALYRLEYKSSDTKRSVKSKLASSPLSEIQQQMVRLSADSPVESKRTARSRAKANQKDNNSNAYPALNTPVQVSASNAHQTMSVNTPDQVNVSNAYQTMPLNTSNQPGPSNAYHAASQMDQMTFLDGSVVYGPHLPYGYSTERSDFYWNPSDGT >OGLUM05G24020.1 pep chromosome:ALNU02000000:5:26164712:26166353:-1 gene:OGLUM05G24020 transcript:OGLUM05G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGKGESSGGGGGGGGEMGFRFKPREAEAVEYYLLPRLQGRPPVPNPAIVVENVYEFEPERLINEKCNGGVGGEGEEGWYFLSPRDRKYRNGKRPSRSTEDKAGRWKASTGKTEGKDPITECYGGVKFCVTSLVYFKGPVKTEKKTKWLMREFTIPHFENKLDKTAASASAAAGSSNKRQLDQYVLCRIYTSPKKGADDGEQAEVVRGGGGEDIDEWAEACAVFDLGPETAEGSDNADAAAAEGDMRSAKQAGKRPVAAAAVAEQPSKRPWLPPSPSTPCDGGPSQAMGNRQVPMQGLSLMHNFPPPTTTFCGHAPLQQGFPVHNNRAQMRWPTMQHNCMPSPAHSFHPRPVQRRPVLVGQAPPQRRPVHHVGGHAPMHMHQAQWMPVHIAQAPMQQLPFDDWVFDPFDDPPPPMQQLPVMMNNYQPQAPMQLPPMMNNDQPAMVHGGELQAPMQLLPATTHGGEVQAPMPLNVYEEEQRPSQEDGGQCTNAEG >OGLUM05G24030.1 pep chromosome:ALNU02000000:5:26166328:26171254:1 gene:OGLUM05G24030 transcript:OGLUM05G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPIKGAAARRQSRNPRRGRRRAVAAVGLTSPPTSSMARGKFKGKPTGERSFSSEEQIAAGTSAGRPKTFKKKQSEKEVYDRRQESDEEYDRSQESDEEESDNFQKNKHKGTEGLIEIENPNLVKPKNIKAKDIAIGRTSDLSRREREELEKQKSHERHMKLQEQGKTEQARKDLERLTLIRQQRAEAAKKREEEKAAKDERKAEARK >OGLUM05G24040.1 pep chromosome:ALNU02000000:5:26174055:26174414:-1 gene:OGLUM05G24040 transcript:OGLUM05G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEPSTPMTQSSYFAGCMGSPAWLPAVQRSPARFHLLSRDAAAGRDDGGRRAWSRLLRRLVRESRSFCSLGSRHGGAMAAATTTFHYDAASYAKNFDDGRRSHHAASAQAPPPVAGAS >OGLUM05G24050.1 pep chromosome:ALNU02000000:5:26178272:26181239:1 gene:OGLUM05G24050 transcript:OGLUM05G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19985) TAIR;Acc:AT4G19985] MRPGAMAAAAAKLPRLTTSAALFPTPRPFGSPRPAKARPMPPPPPITISMDPAAVDPAHLQALMLACAHSCAIRPSPPSAASAAEDPVDLRKLRVALAHSFLVVSVFCSARFLDDGDGGVDGDGRRRLLGLGLGLGRREDRRLVGFGRAVSDVGLTASVHDVVVHPSLQRRGIGRQIVERMTRPFFEACGFGDDAMGSTTMMYTGKMHR >OGLUM05G24060.1 pep chromosome:ALNU02000000:5:26179216:26182201:-1 gene:OGLUM05G24060 transcript:OGLUM05G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVVRRPQPHHQLQFRLIAACADLTTLLAAPDMCKEQSGCVFEQFGRMEFFCCCIKNAREMRLELQVSIRQGNIRISDPHPLGGCLKIERNSWGLNLARQFTY >OGLUM05G24070.1 pep chromosome:ALNU02000000:5:26183097:26188717:1 gene:OGLUM05G24070 transcript:OGLUM05G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIVAAIVALLVLGAVAAGLLCFCSRRRRRRRRQRTSPGDFLGPLPVTSRHHQQSQFIKPTVTYPPQLNAHSPLQSSSNSDPPSPLLQPSPPPPAASGGTVSYGDLVAATNGFSEGNLLGEGGFGHVYRGELLLHDGRRQPVAIKKLRPGSRQGEREFRAEVDIISRVHHRNLVSLVGYCIHADHRLLVYEFVPNKTLDFHLHGSSRPTLDWPQRWMIAVGSAKGLAYLHEDCRPKIIHRDIKAANILLDYKFEPKVADFGLAKIQPGDDTHVSTRVMGTFGYLAPEYATTGKVNDRSDVFSFGVVLLELITGKRPVISTEPFNDETLVSWARPLLTKALEQHVYDDLIDPKLDALYDAHDMHRLISCAAAAVRHTARSRPRMTQIVRYLEGELSIDDLNAGVAPGQSSLRSQEHSGDTTELVRRRLRRVAFPPGSGVTVTDSGYLSEATSEYGVNPSISSSSGGDDDDTAGEVVGGVTATSRPHAAAASSPDTSEVASPHAGELAADAAKPMSRRTRLGRFP >OGLUM05G24080.1 pep chromosome:ALNU02000000:5:26187375:26188409:-1 gene:OGLUM05G24080 transcript:OGLUM05G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFTPYSLPLSVTVTPLPGGNATLLSRRRTSSVVSPECSCERSELCPGATPAFREAHLGHARAGACRVPHRGGSAGDQPMHVVGVVQGVKLWIDQIVVDMLL >OGLUM05G24090.1 pep chromosome:ALNU02000000:5:26190358:26193723:1 gene:OGLUM05G24090 transcript:OGLUM05G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEEAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >OGLUM05G24100.1 pep chromosome:ALNU02000000:5:26194126:26194581:1 gene:OGLUM05G24100 transcript:OGLUM05G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRTGARRAAAAVVVLSHASGGGGGRGPRGGGEREEEPTDASSSWLVDEDMATLRRRIREAREAEEEEGYGGGGGGGLPAEWTELERRHHGSYVAGVRGAVGLLQALLVSARPGLGAGLLALLLLGVPASVLLVSAQLLAVASAVLSGT >OGLUM05G24110.1 pep chromosome:ALNU02000000:5:26195345:26197252:-1 gene:OGLUM05G24110 transcript:OGLUM05G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDPSIVCMLIGNKSDLRHLVAVSTEDGKEFAEAESMYFMETSALDATNVDNAFAEVLTQIYQIVNKKPVEAPEDGSAGPGKGEKINVKDDVSAMKRVGCCSN >OGLUM05G24120.1 pep chromosome:ALNU02000000:5:26198956:26205418:1 gene:OGLUM05G24120 transcript:OGLUM05G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MLHKDALQKTKESFFIPKNCLGRSGKMFSPGFVSLSESLPSWPIVSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAGRPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >OGLUM05G24120.2 pep chromosome:ALNU02000000:5:26199611:26205418:1 gene:OGLUM05G24120 transcript:OGLUM05G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF300) [Source:Projected from Arabidopsis thaliana (AT1G77220) TAIR;Acc:AT1G77220] MRCRRPRRRVVLDFGIGWFGRAAEGERGRSSMLHKDALQKTKESFFIPKNCLGRSGKMFSPGFVSLSESLPSWPIVSAGISVTASLVLSLFLIFEHLCAYHQPEEQKFLIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRFQFSESSPLLDVDYDYGIVKHPFPLNWFMRNWYLGPDFYHAVKVGIVQYMILKPICAILAIFMQLIGIYGEGKFAWRYGYPYLAIVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGIAVAFLFSTGLFKGHLAQRFQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVSNVAVMSDYASLGAPDPEEEREIDNVAIMQAGRPDSRDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPVERSFSKINRTLHQISENVKQLEKQKRKAKDDSDVPLEPFSEEFAEAHDNVFGGSVSDSGLARKKYKNTKRAPSSLKPFEFRLGRWFQ >OGLUM05G24130.1 pep chromosome:ALNU02000000:5:26211848:26216827:1 gene:OGLUM05G24130 transcript:OGLUM05G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLAAGNFEALGPAGGGRRRSFGAKRMTRVMTVPGTLSELDDEDDEPAATSSVASDVPSSAACERLIVVANQLPVVARRRPGAAAGGWAFSWDDDSLLLRLRDGVPDEMEVLFIGTLRADVPACEQDEVSQSLIDGFGCAPVFLPAGLYDRFYQHFCKGYLWPLFHYMLPFASALPAAASGDGRFDRGAWEAYVLANKYFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRSLPVREEILRTLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSVLRSSEKEKKVAELRQQFEGKSVLLGVDDMDIFKGINLKLLAFENMLRTHPKWKGRAVLVQIANPARGKGKDLEAVQAEIRESCDRINKEFGQSGYSPVIFIDQSVPSAVRLAYYTVAECVVVTAVRDGMNLTPYEYIVCREGIPGSECAPEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEALNEAISMSEREKQLRHEKHYRYVSTHDVAYWSKSFVQDLERACKDHFRKPCWGIGLGFGFRVVALDPHFTKLNFDSIIMSYERSKSRAIFLDYDGTLVPQASLNKNPSEELLRIINTLCADRNNTVFIVSGRSKDDLSKKLISCPKLGIAAEHGYFLRWTRDEEWQTTAQTSDFGWMQMAKPVMDLYTESTDGSTIETKETALVWHHQDADQGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVTKGLIAEKVLTSMKEKGQLADFVLCIGDDRSDEDMFENIADVMKRSIVAPKTPLFACTVGQKPSKARFYLDDTFEVVTMLSSLADASEPDLMADLEDDLATSVSSIEISDRVVSFSNLRTEGS >OGLUM05G24140.1 pep chromosome:ALNU02000000:5:26217498:26218841:-1 gene:OGLUM05G24140 transcript:OGLUM05G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRWPGEVRRPDNGGAGLERQCHRRLDGSAKGAGGGVSSFLLPVSTLVLPGAHPLLCGKFLGWIEAAARQRGKLRLPKQCHLVPGSPSAKTSEAAGGWWNGGVLGQLSGTVVQWSRPTEGHRCGPKRKPSLVVHRTGGGYAFGRRNLIGALSRLPSLFLDEHLWIGDGVILDVVTTVVASFFRISSLWCCCRPSGVRLRLAGVKWDPSRSWGTVGPTKTNKIYIFKGALDTWLPQAQWPRRNKARVSRLSRP >OGLUM05G24150.1 pep chromosome:ALNU02000000:5:26221123:26222845:1 gene:OGLUM05G24150 transcript:OGLUM05G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFHRLSLAFLLLVVVVAGDLMISTATAMAVGTSDDDGPPIKISMRYANAEESRWLDSWAEKTQSAGGGGGDDFEVRRATDEESARLNRMRADADRRARDGSGFGFDGHIDFGRVVVTDFPPSSKPNDDLMMSSSFLRTTN >OGLUM05G24160.1 pep chromosome:ALNU02000000:5:26223841:26227896:-1 gene:OGLUM05G24160 transcript:OGLUM05G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLAVAAVLHRSAAAAEGVIRLPRGRACAAPTDPAAYDRPVIGIVSHPGDGAGGRVSNGTAASYIAASYVKFVESAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKSKSFDVVYIFS >OGLUM05G24160.2 pep chromosome:ALNU02000000:5:26223955:26227896:-1 gene:OGLUM05G24160 transcript:OGLUM05G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPPHRLLLLLAVAAVLHRSAAAAEGVIRLPRGRACAAPTDPAAYDRPVIGIVSHPGDGAGGRVSNGTAASYIAASYVKFVESAGARVVPLIYNEPEERLLEKLSLVNGVLFTGGSVKSGPYFETIKKVFQYVLDKNDAGIPFPLFAQCLGFELVSMIVSKDNNILESFSATNQASTLQFPNYSSLEGSVFERFDPDLIKKLSTSCLVMQNHKYGISPKTLRENVALSSFFKILTTSPDENGEVYVSTVQANKYPITCTQWHPEKAIFEFGKQMIPHSEEAVQVTQNFANYFISQARKSQNRPPADKVLDNLIYNYSPTFIGKKS >OGLUM05G24170.1 pep chromosome:ALNU02000000:5:26229187:26230302:-1 gene:OGLUM05G24170 transcript:OGLUM05G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSETQEELVLRSWQSMKKDSESIALKFFLRIFEIAPAAKQMFSFLRDSGDDVPLESHPKLKAHAVTVFACESATQLRKTGDVKVREATLRRLGATHVVKTALLDTIKDAVPEVWSPEMKGAWEEAYDQLAAAIKEEMKKAA >OGLUM05G24180.1 pep chromosome:ALNU02000000:5:26231579:26232461:1 gene:OGLUM05G24180 transcript:OGLUM05G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPEEELERDVGRGLPLHGIPRAQHELLLLLAEGGSRSRRRILLHDHGRRRGGGHARRRCIAAAAAAHLVLLSDKEGSREQPIDVSKGQDSGHCDSASVALYPAERGLITSSPHQVAKGQDFAGLTSGSMDGSRSKGYGCRYRQRAENICKYRQATPTAEACNRAFSSKLSE >OGLUM05G24190.1 pep chromosome:ALNU02000000:5:26232898:26235808:1 gene:OGLUM05G24190 transcript:OGLUM05G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVGTAVLLLRSDVKQSASIFRRNVRHIRNWLEEESAAAAKSAERAAPKELESQAAKKDVTPKDDKH >OGLUM05G24200.1 pep chromosome:ALNU02000000:5:26236072:26237996:1 gene:OGLUM05G24200 transcript:OGLUM05G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGKSIFPPPSFLSPAPLAARRLRLTGTTARLHMDAGDQSPEEVYSVWAFPPEPVRARLRSVMAGLRAAHGGPAFEPHATVVGAIRLRRSAAVEALRAAAAGVRPYTARVVGVARGDFFYQCVYLLLEPTPEVVEASDHCCGHFGYERSTPYMPHVSLLYGDLTDEEKEVARKKVEEIDKEICGLQFEISELALYRTDTEDKSLESWELVEICHLERK >OGLUM05G24210.1 pep chromosome:ALNU02000000:5:26237555:26239463:-1 gene:OGLUM05G24210 transcript:OGLUM05G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLYLSQIIPDVLDPFISTISLRVTYNSRLFLAGAALKPSAVVSKPQVDVGGNDMRVSYTLVLVDPDAPSPSDPSLREYLHWMVTDIPETTSISFGEELILYEKPEPRSGIHRMVFVLFRQLGRRTVFAPEKRHNFNCRIFARQHHLNIVAATYFNCQREAGWGGRRFAPEGP >OGLUM05G24220.1 pep chromosome:ALNU02000000:5:26247610:26252167:1 gene:OGLUM05G24220 transcript:OGLUM05G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVPFSIRGYAARARAGAADEGGRCWPFAGKAGALPPMEVRGFRWWGDEAAALAEEEGEEERRLAAKRRKRSIVELFAAVPKVVAAADEGLGRGKRVRRKLDKGDPPAVGVEAAKKKGFRKQKVLVEIGVRKKKKKSLSKKKGVPLEKKSMKGNKTTTLSSQKTTKSSCHVQSILKKHLKTGVGTLLKNTDVMSPSKSLLKPKRVTFSDDNDILGRTDSQLGDGTEKSQLLQTSQQHYKDGKSQGGDNHCSTYEPQFSYQRAGAIVDSVEEDTSSTVLLTKSKEKTILANPVDLNHCLEISSSGSCLNSINSAVLSGQVLPQNFAGVGSIPNEGSNVHVEFQAEENHHKYHGSSVGASLAVKARSSDLIRRQLPEPSSSCFVASLRVNDGNRSKMLQERLTALHPRLIRSKDMVNSISSSAGSNKSTDAQTPNCLSACRNMHSEDYQGLPLNSHGEFVKLHPSGTIDPNGMFKRQFPGGDYVRPSAFPVFITPETCVDYAHLKSSYQGPQFCAVDTFDFQSEPYHSPTASAAYGMGFRQSPSSERMEVHGYAVPSNNDPYSNQQELSVGCFCPAFTGQGNQTHKPLEMQNCFPSQHYEQNSQPAPETTVRLMGKNFTLGTSSNQFRGLDNKNPCPSKQSRDEDHGTSAKAFSQLFHGTRVEPPSTLRNSNGGVEHPSRFSSVLEAELRCGLDSYSFRTSDRYQQPHLAVQNKLYVNPVSRHNEAEPWQQQLHVENHILGASEPQLLGTMHLRQSKTAATVPSYSPKHNFSNLVEIRPARSQFAYFPQQNVTQRTPISSFLSGYAVQSSPGLTTPTKFTSLRPLPPSVTSSHVYSSEDAQPHGSVPPFYPSIALSDQASKNCAPGDLKDNRSMQQTPITSNHDSSEQLNRGFKRPAVEDDVFLKPRKSFIAVGKDLNLLPLQEERLGLCGSRPDAQLPVCLSKDSEVDVQLLNNDTQIAWSDSVNRVRTILPVKLRSGAKHILEPGASPTATLGQEESWPLHSIKTFVVEDDAHAVGTSKKRDEEICRV >OGLUM05G24230.1 pep chromosome:ALNU02000000:5:26253136:26254966:-1 gene:OGLUM05G24230 transcript:OGLUM05G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAWRRWPVVVAATVVLAVAAPVQAAPQVPCYFVFGDSLVDNGNNNDIVSLARANYPPYGIDFAGGAATGRFSNGLTTVDVICKLSYFLPKLLGFEDFIPPFAGASSDQLLTGVNFASAAAGIREETGQQLGARISFSGQVQNYQSAVQQLVSILGDEDTAAAHLSQCIFTVGMGSNDYLNNYFMPAFYNTGSQYTPEQYADDLAARYAQLLRAMYSNGARKVALVGVGQVGCSPNELAQQSANGVTCVERINSAIRIFNQKLVGLVDQFNTLPGAHFTYINIYGIFDDILGAPGSHGLKVTNQGCCGVGRNNGQVTCLPFQTPCANRHEYAFWDAFHPTEAANVLVGQRTYSARLQSDVHPVDLRTLASL >OGLUM05G24240.1 pep chromosome:ALNU02000000:5:26257882:26270758:1 gene:OGLUM05G24240 transcript:OGLUM05G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDRGRRSPQNVAQKPIARIAIANHTVHTCPEKVHVLERNRRIFDHKEAATGFLLTRIKEEASLWALAGAKRLRDLSQAGWFLYVIVDETPVVPATNPAAVGDDTHRHTGSSSRLIDQDGRGRATFSGSEPHQCNEITR >OGLUM05G24250.1 pep chromosome:ALNU02000000:5:26284860:26293902:1 gene:OGLUM05G24250 transcript:OGLUM05G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalose-6-phosphate synthase [Source:Projected from Arabidopsis thaliana (AT1G78580) TAIR;Acc:AT1G78580] MPTPAPSASSSSSFSCGGGGGGAGAASSYSSSSSSSPDDRMLRGECGRRHPFASSAAVGAGSPDAMDTDSAEPSSAATSVADFGARSPFSPGAASPANMDDAGGASXXAARPPLAGPRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFNAYKRANQMFADVVYQHYKEGDVIWCHDYHLMFLPKCLKDHDINMKVGWFLHTPFPSSEIYRTLPSRSELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFKRALELPAVKRHITELTQRFDGRKVMLGVDRLDMIKGIPQKILAFEKFLEENHEWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLFAGAAQSLGAGAILVNPWNITEVADSIKHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNETVAEAQLRTRQVPPDLPSQAAIQQYLHSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPELKGPLRALCEDEHTTVIVLSGSDRSVLDENFGEFNMWLAAEHGMFLRPTNGEWMTTMPEHLNMDWVDSVKNVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPDSSGSVSLDRRPNGRPSNGRSNSRNSQSRTPKAQAAPERSSSSSSSSQGTPNSHHDWREGSSVLDLKGENYFSCAVGRKRSNARYLLNSSEEVVSFLKEMADATAAHNGFQSTTADYMFLDRQ >OGLUM05G24260.1 pep chromosome:ALNU02000000:5:26308131:26308547:1 gene:OGLUM05G24260 transcript:OGLUM05G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFQEADVLWPDHHHHLRRDDDARRRCRHQEQQQHGGVDDAAAADDDDSRGGAGATPSAPVGIPVTRAATTRRISHGSTAPAASFVPPHELVAARARRCSEERAAFSVCVGNGRTLKGRDLRDVRTAVLRMTGFLET >OGLUM05G24270.1 pep chromosome:ALNU02000000:5:26319693:26320460:1 gene:OGLUM05G24270 transcript:OGLUM05G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPNSPSSSTSSSSPSSAAAAASSSPSSHRPPPPPPSSSSQPTLPPSPRTVVPRTIDTTPFPTTFVQADTASFKQVVQMLTGSDTTPPSQRPPAKSNHHQHHHSGAPCRPKKQAFKLYERRSGVHKNFKMIAPLAMAAAAAAGASSSPRKAAQHQQQEALSPSVLDFPSLALSPVTPLVADPFNRSPASASSSASPEEEAAAIAQKGFFLHPSPRSAEPPRLLPLFPVTSPRVASSSSSAAAAAVAVASPSFE >OGLUM05G24280.1 pep chromosome:ALNU02000000:5:26331175:26337898:1 gene:OGLUM05G24280 transcript:OGLUM05G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >OGLUM05G24280.2 pep chromosome:ALNU02000000:5:26331175:26337898:1 gene:OGLUM05G24280 transcript:OGLUM05G24280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >OGLUM05G24280.3 pep chromosome:ALNU02000000:5:26331881:26337898:1 gene:OGLUM05G24280 transcript:OGLUM05G24280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSWVRRTKFSHSIVKSNSGREQFGAFLDPFDSSTAWRQKGFSGELMIHGHNLQPRAKGAVSKSPRPSIPLAKSPVSQAERKLKAKFSDGELKQRGKISDGSLREASKEKDRKVGHKGEGLSLDISCPILDRTVQDDSSDTLDFSFHSEEQSLRLLRVCSSPAPFYSKDTTTFGDSKIHSTSVKIVGEGSKPRRRAKSPMPTRVISEVFKEAKAATKRFSSPQRQRKSSSPHSPRSPDDSPRFGFPSMRTPGNLKARRASSWPRNYDNGVAKAVALDILERWTIDRSQLLIGQRFASGAYSRLFHGIYKEQPVAVKFIRQPDEEEDAELAAKLEKQFTAEVTILARLHHRNVIKLIGACNAPPVFCVITEFLCGGSLRAFLRKLQRQKLPLEKIICIALDIAHGLEYIHSQRVIHRDVKPENILFDGECCAKVVDFGVACEEVYCNSLEDDPGTYRWMAPEMYKRKPYGRKVDVYSFGLVLWELFSGSIPYEEMTPLQAAFAVVNKNLRPVVPSSCPAQLRLLIEQCWSCQPEKRPEFSQVVQILKNLKEALDRDGTLDKIPSTNCQEPPDQNKKRLSNWIQRLSYSQADFSGPPPPKLL >OGLUM05G24290.1 pep chromosome:ALNU02000000:5:26335905:26337605:-1 gene:OGLUM05G24290 transcript:OGLUM05G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEEAVVAAGNEMSLSNMVLGFYEEAELQSSPPGDCAAAAGDDDDGSDDEGSSGAAKCRAFWKEQQSQLYEALAKMSSAESRIQADAEEAMRQMRAAAAAAGGGGCRSCTLRFLAERLRDAGYNSAICRSKWPRSPEIPSGEHSYVDVVAPTRSGKAVRVVVEPSFRGEFEMARGGAGYRALVASLPEAFVGRADRLRGVVRVMCAAAKQCARESGMHMAPWRKQRYMEAKWLATPERVAPPGNAGGAGDAVAVGSPSSPPSPGMTNRQMQPKFRASMLTLDFGGRTAVEVV >OGLUM05G24300.1 pep chromosome:ALNU02000000:5:26341198:26347519:-1 gene:OGLUM05G24300 transcript:OGLUM05G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT4G04910) TAIR;Acc:AT4G04910] MAGRNYHGYGGGGGGMSMVVASTPGQELALTNCAYVSSADIRRFPNALALVGDAFVFTLRYPFADLVTKSRRQTKVSAGDSITVSSFAPPDDFKLALLTLELEYTKARANRNEECNLICMSLVSQLDAVVLAQQLRRRFLDQVMTSGQRVPFEFCGTNYIFTVNQALLDGQENSTPLDRGFLSSDTYIIFEAAPNSGIKVVNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVNKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGNEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQKTRGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDDLERCRLRGMVDCGKAHRHLYERGMLLVEQVKVSKGSPLVTCLLEGPAGSGKSALAATVGIDSDFAYVKIISAETMIGFSESSKCAQICKNYIEAVCFVIAIQVFEDAYKSQFGIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDATKVLRHLNVFDEADIDAAAEALDDMPIKKLYTLVEMAAQGPSGGSAEAVYGGEEKIDINHFFSILSDIIRY >OGLUM05G24310.1 pep chromosome:ALNU02000000:5:26348433:26352609:-1 gene:OGLUM05G24310 transcript:OGLUM05G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:periodic tryptophan protein 2 [Source:Projected from Arabidopsis thaliana (AT1G15440) TAIR;Acc:AT1G15440] MNYRFHNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLSASSSLTLPFESSSNVTRLAVSPSGDFLLSVDDAGRALYANLGRRAVLHRIAFKSAPSAVRFSPDGNLIAVAVGKLVQIWRSPAFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSSFLLASCKDLTARLLPVKKGLGGKPFLFVGHRAAVVGAFFATDKKTGRVTGAYTISKDGAIFTWNLVEGSDDSPPPSPGTPEQEAVQDGEAELDGDEPELDGGSRKRKSFGESEEPDTTPLHFARWELQKKDFFMQSPAKLTACDYHRELDMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKIFVWSMKTGRLLDILSGHQGPVHGLMFSPINAILASSSWDKTVRLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPFDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGTYILAGGNSKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKCVKFAPTGRSFAAATTDGVLLYSVDDSFIFDPTDLDIDVTPEKVEEALEENQQQRALLLSLRLNEDSLIKKCIFSVDPSNVRAICSSTPLKYLQRLIEAFSDLLESCPHLEFILLWSQELCKIHGNYIQQNSRALLPALKSLQKSITRIHQDLADTCSSNEYMLKYLCSSGTRN >OGLUM05G24320.1 pep chromosome:ALNU02000000:5:26355337:26361120:1 gene:OGLUM05G24320 transcript:OGLUM05G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MLPSSRYLLAPAPLPAMVVRPPPPHPPSRGTSPLARPPLCRAMARAAPSLSAAASTAASSSTTPAKKKVLLPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASRGSRIVADKRIAACADQGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTAFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIIADKCISDASALEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYEAGFTPGDILGLKTLGILYSLAWKITAIEIVDQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >OGLUM05G24320.2 pep chromosome:ALNU02000000:5:26355330:26361120:1 gene:OGLUM05G24320 transcript:OGLUM05G24320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MLPSSRYLLAPAPLPAMVVRPPPPHPPSRGTSPLARPPLCRAMARAAPSLSAAASTAASSSTTPAKKKVLLPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASRGSRIVADKRIAACADQGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTAFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIIADKCISDASALEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYEAGFTPGDILGLKTLGILYSLAWKITAIEIVDQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >OGLUM05G24320.3 pep chromosome:ALNU02000000:5:26356629:26361120:1 gene:OGLUM05G24320 transcript:OGLUM05G24320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class I glutamine amidotransferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34020) TAIR;Acc:AT4G34020] MLPSSRYLLAPAPLPAMVVRPPPPHPPSRGTSPLARPPLCRAMARAAPSLSAAASTAASSSTTPAKKKVLLPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASRGSRIVADKRIAACADQGGMPGSVRLRDSAILQRITVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKVSGELTTSRGPGTAFQFALSFVEQLFGPCTAEDMDNTLLTKVDDNLERSIEVNEIEWSSDHNPHVLIPIANGSEEMEIIMLTDVLRRANVNVVLASVEKSTSIVGSQRMRIIADKCISDASALEYDLIILPGGPAGAERLHKSSVLKKLLKEQKQTGRMYEAGFTPGDILGLKTLGILYSLAWKITAIEIVDQDKTVTAHPSIVNQLTCEVIDRSKVVIDGNLITGMGLGTVIDFSLAIIKKFFGHGRAKGVANGMVFEYPKS >OGLUM05G24330.1 pep chromosome:ALNU02000000:5:26362939:26366047:-1 gene:OGLUM05G24330 transcript:OGLUM05G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAADKGGILRQAISQASGGDAGAPDSFERVVSGALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRKVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVEHHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELQFTLQEAERRMDLARVADLKYGALQEIDVAIAKLESETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAPHKDELAYRVDNRGGLVNAETGQKSDILIQVPNGAATGSDAAQAVKKMRIMEDEEDGMDEE >OGLUM05G24340.1 pep chromosome:ALNU02000000:5:26366589:26372703:1 gene:OGLUM05G24340 transcript:OGLUM05G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YebC-related [Source:Projected from Arabidopsis thaliana (AT2G25830) TAIR;Acc:AT2G25830] MASAARALGALVHKASSLSSSASALRSAAVLHGRSSAGGSARLFQRHAARRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVAAVKKGGPSPSSNTTLAAILEKARELDIPKEILERNIKRASEKGQDTYTEKVYEVYGFGGVGMVVEVLTDKITRSIADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDADKDQLLTVALDAGADDVIEPNFDDDDDDDDDYDDDSSEDVSERFYKIVTTSENYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKVLVSKLLELDDVDAVYTDQR >OGLUM05G24350.1 pep chromosome:ALNU02000000:5:26370599:26376129:-1 gene:OGLUM05G24350 transcript:OGLUM05G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:staurosporin and temperature sensitive 3-like A [Source:Projected from Arabidopsis thaliana (AT5G19690) TAIR;Acc:AT5G19690] MAEPESSTAAAGGSRLRNACGGVLCAFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNFRVTQVAKFSKHSVVSGDCLCVHCSNILSKRFLGYLPFNKGEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVITVGLTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFVVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEAFDVLTRSVKYQLSKLFDDSPAASGDSSAESSSASTVSTNSAKNETRPEKTETAPKEKPSKKNRKKEKEVAESVPVKPKKEKKLLVLPMEASVLGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGNYRVDAQGTPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSGSKASSTNAAGRKKNPWQ >OGLUM05G24360.1 pep chromosome:ALNU02000000:5:26377367:26378558:1 gene:OGLUM05G24360 transcript:OGLUM05G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWNRHKELLQLQLHPMWKTLISCCEQEGNPFAIDNKNVGLAFSQIFDVIPCPSCIAMWDNESRCHAFVVELQRMLCVVLSDPVADELDIWKWDHGLWTRAYTINLKLWPNFSLATNVVVPLAVDPTDGRVLLNTGRKLGLYNPFNQAIENLYALDQASLMTSKVQRRCPVVRQKCITRCGDVPSKFSSLKLSMAPCDNIASPSNASSRNKELNCVSPKIMPVVPMLYEETLAYYPQVGRARGVDLDSVL >OGLUM05G24370.1 pep chromosome:ALNU02000000:5:26380064:26381886:-1 gene:OGLUM05G24370 transcript:OGLUM05G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein NUP35 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1Q6] MAASSSRSPASTSRRGGGARQSPFFRDLASPIPSHRAASRFASSAAGPTAATTPPPPPLFTLDDRAAAVDFCPDAAASELLLPVASSPSPRSAAAAASRSPSWDRSRGRASAPGSPMDGVMEPPRKEVLALPPPPSPGTPAPPPPAAEAQSPVTPAPVSTGMEQEMNGGREVDREEWITVFGFSLKDTNLVIREFEKCGVILRHHSGPRDGNWIHILYLHSYDARKALQKNGVQLSSGVIIGVKPIDPMHRQQLDERFAGNKQGGFMVSLPPKSLVLKGTGASNQLGALPRPYDPKANTNVIRDANRRATGSVAAPAKSLVTNVMDLIFGI >OGLUM05G24380.1 pep chromosome:ALNU02000000:5:26383651:26385865:-1 gene:OGLUM05G24380 transcript:OGLUM05G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase II (PTH2) family protein [Source:Projected from Arabidopsis thaliana (AT4G32900) TAIR;Acc:AT4G32900] MAAPPPRRTPNPERGSRRKGEDPWLAASLRPGNFLPGLAIGFLLGLLVDLTSSWRPQSSPPLAPAAPAPRGSKRTGGSSSAGGGIGGEELKMVLVVRKDLKMRAGKIASQCAHAATGLYAELMASNRGLLRQWEQFGQAKIVLTCKNQQEMNRIKETAEHRGIPTFVVADAGRTQVQAGSKTVLAVGPGRKADIDSVTGKLSLL >OGLUM05G24390.1 pep chromosome:ALNU02000000:5:26391766:26393861:1 gene:OGLUM05G24390 transcript:OGLUM05G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAADYAGGVRVKKEAGGCGGGGDMFLVDDLLDLPCDEEEEETGLCGAYGGGGAGLGAGVVGGGGDDRAAGNASADSSTVTAVDSCSNSFSGLADGDFSGGLCEPYEQLAELEWVSTYMGEETLPTEDLRKLQLISGIPAAPRAPPALAVSAVQLPAGGAGALPTEAPVPGKARSKRSRVAPCSWSSRLMVLPPPPASPPSPASAVISPSESGTAAPAFPAKKAAKSAKKKDGPSPAPAPNAAAQAAAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVVELRRQKEMQLLHHHQQPPPHVGAGGGGAAGGLLHVTSPLLFDGPTSSAPLFAGADEFLIHNRISPDYRRQAT >OGLUM05G24400.1 pep chromosome:ALNU02000000:5:26399224:26400141:1 gene:OGLUM05G24400 transcript:OGLUM05G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGCQHHQERQAATAGESAKKLCRVVRAVYLVLVKGLGKHQPKLAALGVHLHQMMSSRRHGGGGHDHGLDDLREHPALLTYLSSTMSCRSMDPAAAVHPYPRGRGAHGAGRRRSGGGISSASGGVSGLSSMSCRSMDPSAAVSQYQYRPREVEFSCSSTPLHRRRRAQRRSQLRLQQHGQWHDRSSAAEPYGSAATVSRLFELMDVKEEAAAEAMTTDIDDEDGDVVAWPAVVVPAPRQVRITDSPFPAWEADGDDDEEGRLGVVDRRADEFIMWFHEQLRMQQQQRAAAAAAKERSTYYFVR >OGLUM05G24410.1 pep chromosome:ALNU02000000:5:26408321:26409551:1 gene:OGLUM05G24410 transcript:OGLUM05G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQSPVMGSLCVLVISEGAAALQRSNSDGGGGMAAGADQEARSVRCECCGMAEECTPRYIGRVRERFHGKWVCGLCSEAVKERQKREPALTVDGAVDAHAALCERFNSTVRLNPKLSLASSMRDIARKSCQHRATATGADVIPSACSGAGAATMARSTSCALPYV >OGLUM05G24420.1 pep chromosome:ALNU02000000:5:26427468:26430096:1 gene:OGLUM05G24420 transcript:OGLUM05G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQDYDNLKPNYSETELHNMSGISDPVPPPCPSTCLKNYADRSGGVAASSSRGKSWRSRGKDADVAFEVGGGGRERFAAHRCVLAARSKVFKAELFGAMKEGDAACVVRIDDMEPQVFRALLFFVYTDSLPEMRKEEEEAICQRLLVAAEVYGMERLKLICESKLCKYIDVGTVASIMALVDQYHCHGPWPHEGLLRFCQLSRQSNGGVSH >OGLUM05G24430.1 pep chromosome:ALNU02000000:5:26429406:26429603:-1 gene:OGLUM05G24430 transcript:OGLUM05G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVGQSGRLISDDKALGRRRVIVDDDDDDVAAEVKESFFIYLDFSKTSLSMNLQQLRHSGQRSS >OGLUM05G24440.1 pep chromosome:ALNU02000000:5:26430907:26431937:1 gene:OGLUM05G24440 transcript:OGLUM05G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSASIVQANVTSGYNLLTIDGYMATTPIPTGVYMTSSAFAIGGHQWRIRYYPNGKNSGCADYISFDLILDENVAAPVYAQHRFRFAGDAEKEAEQAPAPPPPSSTLTSFTSGSAARGRAGSILERSRRRHLKNDSFTVRCDVVVTEFRPAEVAPGSVDVDGPPSDLHRHLGDLLRGETGADVVFEVGGERFAAHRCVLDARSSVFDLELFGATTTKEVVVGQSTGIVRVDGMEARVFKALLFFAYTDSLPEMMTTTKKKMEEQAAGDGDDRYDIDAFTVGKVIALAEQHDCRVLRKLASISSCVVLDFRRMYCWAPATAAPSAAPFLLDKSCLKAS >OGLUM05G24450.1 pep chromosome:ALNU02000000:5:26433269:26436224:1 gene:OGLUM05G24450 transcript:OGLUM05G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLCFVTLLALCFLAPAALMLGYYHGSPELVVVGSGCSRLVETNSFFVQDIKARTEGGSPENGLVLYGMPVAPPLGVPAAWSEARRAVVPANSHMEWVYFLNRGSEIEVAYSVRSETESSRPICMIIARGKESFLQWAENPSANETTLSWHLVHGNGTIKQTINLSSEYFIALGNFNNQDVTGLNSDGHYVKLSYGPRWIVYIIGLVLLAVALLIMYDILNMLFGPGPGGGDARTSLLSSSSAAAASKEEDDASLGSSYDSVSHDGDGEDDDDDVEERGGGGEGRHLCVGGRGGRELPVVPEETEESEEDLLRLSCPRAQAWGFSEPVEWRREKKNGMDAHRGARTHDHKVKSLALYRLS >OGLUM05G24460.1 pep chromosome:ALNU02000000:5:26439056:26455252:-1 gene:OGLUM05G24460 transcript:OGLUM05G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFPTGVAASPRCSLFRWKRKLPNQLGRAKAVRSVGDECSPRVMQSSAAAVEMRRNPEGEVATASFHGYLDEQFCQVEDLQDEANPNFAEEVVSLFFKDSARVMLNFEQAIEKHPKDFARWDAHMQQLKGSCSSIGASRVKNECTSFRNFCGEENAEGCTRSFQKVKREHAVLRQKLESYFQIAMADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPSMYYKPLIYFNRLVTITVLPTQF >OGLUM05G24460.2 pep chromosome:ALNU02000000:5:26438720:26445886:-1 gene:OGLUM05G24460 transcript:OGLUM05G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDFEGLQAADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASVFPNSCSDRTADTSIRRISSRIARFSLTKNGQPATSRPKPKDTAPKTPSISNESSIEPVDRRLFTEGI >OGLUM05G24460.3 pep chromosome:ALNU02000000:5:26438720:26445886:-1 gene:OGLUM05G24460 transcript:OGLUM05G24460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVDGDFEGLQAADRRAEVIEWLNALLPEYCLPLDSSDDELRELLSDGTVLCHIVNALIPGVLEESWGAYASSDQHAGHVKKFLAVVADMGLPGFSVKDLEEGSMSGVVDCLLVLRESVSSGLRDGTSKAPLRKKWRVPETGEPLVPGVAQGKTSPGEDKRNGLPDPKSQQKTPIFNGRKLREIFQLKRGSYADLPAAKISEMMHSNSLDNAPTQSLLSVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNVIIKTREDKYHSKIKALEILVNGTNEENQMAINRLQIIKEEKSKIEEKRKLGEQDVARLMKEKEISENTIASLKKEMEVMTSMHEQQLQKIELTAKQMEEHLTTKIKEVESLLVQSNKKIEEVEAASLLKSQLWNKKEGIFQKYMNSQQLYVKGLRISSWSIKNEMHALEMELRDEMSNFGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTVDYIGENGELLISNPFKQGKDGHRMFKFNKVFSPFSSQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRRNAFSYEVGVQMVEIYNEQVRDLLTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRILTVHVRGLDVKNGSTSRGCLHLIDLAGSERVERSEATGDRLKEAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTIVRKDTEIEQLQLMKDKVKSPSFAVDINGASMPKNSNSDLRSVLSITTNQQSQLSDPQSYAEVNRDGGPTSYTDITPTCLDEADFEDNASEDGFSGGTDYSVGCAAGASENFITNSSIQSHKEWTTSNIQTKTKGYCSEDSKSNESSIEPVDRRLFTEGI >OGLUM05G24470.1 pep chromosome:ALNU02000000:5:26455397:26458731:1 gene:OGLUM05G24470 transcript:OGLUM05G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGARAQEEISRGRIKKKRERERDKSRTEKFPKPPPLTLASASTGNLMRDSKRTRSAPPREPLEMTEDMTPRSKRRKFVPFGEGAVLPEEMLTEVFLRLPIKSILPNFNSTAVYTCSPSKPIDDLLFTLDDVRGNYVEVAPSPCHGLTLLYDAVAPAYYVLNATTRAITRLPPYQDVIHATSGVGFDARTKEFKVVRLFRGKSHEIHSVKCEIFILGGEEGDHWRPTAGGVPFRFCSFALSAIRNAVVNKLQPVFVNGFLHWLINPSFLLKAPRAAIISSLTDETFRWIRSPPFEVSRVHLAELDDQLCMVRDLRNGLPTGSMFEIWRLNDYNSGDWSLDYRIGLTGNLPRDLLEPRIVKVIGSFGSYRSSKKIIIATSKHKVCAYDPVSRTLETIVSIMETCSAYQNEKSDIRFSSFTKSFTPVHRTRKEIGFSTPLSKATKEILLRLPAESILKLELVCKQWRGLIKNEGFVHAYFEHKNMDRRPKIMLVGKGSEKSVFNFIPLSK >OGLUM05G24480.1 pep chromosome:ALNU02000000:5:26462111:26467785:1 gene:OGLUM05G24480 transcript:OGLUM05G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWDGVPARERRQMEEILQLDMEELNVEMVDDDGSSSEEANGGDDGADAFLRDTDGEGVASTSGQFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTILSLPMLYLQGVVLFPGATLPLRLIQGRFVAAVEKALRQVDAPCTIGVVLMYKRHSTRHYANASVGTTAEIRQLGRMDDGSLNVVARGQQRFCLKRHWMDVDGVVWGDVQIIEEDTPLRTPRDAFAQLASCNSLRQHTSSPVISLDVSPIKQRDHVDSELDCGTPSPKSTASNHSAIDSRMCHSDSRSSSSMRSSNEDGIFMHEQFYSQELHPLKGSAAVQSGENTNMGEEDFCLTSLRSLSSAGTRDTKEQRQYILPKQHFQAPLSFWPRWAYQMYDSYALARRAADLWRQIITHPSMDDYVRKPDLLSFSIGSKLPVSESVRQKLLEIDGISYRLRREIQLLKAFNLIKCRCCKSLIAKRSDMVVMSTDGPLGAYVNPHGFVHETITVSRATGLALDGNPSTVHSWFPGYSWTIASCTDCESNIGWLFKATKKNLRPRSFWGIRSSQIADDAQELDQDE >OGLUM05G24490.1 pep chromosome:ALNU02000000:5:26468631:26472237:1 gene:OGLUM05G24490 transcript:OGLUM05G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1S0] MAVSVSWSWAPRFLACVAVAVLLVTSGLGGAAAGGGGRRHGHTKGLRPGKAAAKPYYPVNATAVEAIERQFTRWVRSMVGRRHSTFQRALYRGLLPTRTLVVDKNPAAGNFTSIQAAVDSIPLINLARVVIKVNAGTYTEKVTISPLRAFVTIEGAGADKTVVQWGDTADTVGPLGRPFGTFASATFAVNAQFFVAKNITFKVSTTTTLQMRHHLCRGVAHSFRLSRGQNTAPVPRPGALGKQGVALRISADNAAFLGCNFLGAQDTLYDHLGRHYYRDCYIEGSVDFIFGNALSLYEGCHVHAIARNYGALTAQNRMSILEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGSNYAGRVAWSRELTDQEAKPFISLSFIDGLEWVKL >OGLUM05G24500.1 pep chromosome:ALNU02000000:5:26472737:26475815:1 gene:OGLUM05G24500 transcript:OGLUM05G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAARGLRPSGGSDVGDGRAGLMWWQGRADEDRRSGINTAAAVAGALGTGHGHVNPMWQQLPRRRRPCKFVLRKGSMRCRLHICENDGGWLCCKISVAAS >OGLUM05G24510.1 pep chromosome:ALNU02000000:5:26479027:26480751:-1 gene:OGLUM05G24510 transcript:OGLUM05G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAMRWFKKVLTGKKEGGDRDRKEHSAAGGANSGVAPPPMERRRWSFAKPRSSVADGSRRPSVTAVVAGELSQVRPCSCGQQREVEAAVMIQKAFRGYLARRALRALKALVKIQALVRGYLVRKQAATTLQRLQALMRLQASSRAIKMASSRKSVEQERIVVQMQGGRVKTLTLPVVHRRRVSDGGDINFDRSPRIVEMDTCQLRCRSSRITSRYAADPPPDGTPGSVPLSSPHLYCYKPPPSRHLQAEEHEHDARAQPKTTHNTPRLAAALPAGYHGPASPAKGRVVSPRYMADTASSVARARCQSAPRQRHGAAGEPRPSLARAGSRKSRPDSAISLKSSEMSRHEDSEFSDDVTRDYYLDQLW >OGLUM05G24520.1 pep chromosome:ALNU02000000:5:26486364:26487599:1 gene:OGLUM05G24520 transcript:OGLUM05G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVAANSEGEEKGMAMAIASSIICHSVNPQPALGSSLLGECRGAASSATRDHAYRGHPLVTTSGSLSPVWIEASLGEDRGCGAWEIEGRGSTAKEHIAGDGLMEGVGMPTNSSSSSERKHEKFPLALISSSDTPYACHMLVRSPRHATSSSPLRYQLAESAAEKPRSKNEVLLPLRLAVPTRRHPCYRCRRGLPRRRRHCFRSVDRRAQMCRGCASLPLKPQPRLGVGAGGGGYVYNNERR >OGLUM05G24530.1 pep chromosome:ALNU02000000:5:26489477:26491518:1 gene:OGLUM05G24530 transcript:OGLUM05G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGKMGDGGRAVLKASVRGRRCHHHGDEEQQHYARPAHRRQTPEKGRRGGGGLGAVAQGGAPRAVLPATARPLPPSRLLDVAAPRCLRQGDDDAGLPAPRRHHQADADAGFVELTGHPVACRQPPNLPLSLLACERRERERERERRGRKGKEGKEMMTWRDMRGKKCGAM >OGLUM05G24540.1 pep chromosome:ALNU02000000:5:26498717:26499433:-1 gene:OGLUM05G24540 transcript:OGLUM05G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGVRDGDEEAALWPRPIMLEEDYYSRRRRAREHDRRRAAALREEESNERLARECLDHYNALHPGDEHDLAPGDVTLCSAPCNSTTWTHGNFVACRRSGCLASLFLPSRPRTLFFFEHMSSKDFLGVVTCVPMADEPDGGGLLARVPLCRRWATRRRRSGRWDCVCRTCNRGLHVKHPWLKKKVVGEFPCGHLEAESVCKMCFSYSDVVHPSPGKFARGYQEHEDDFWRPCNGYKM >OGLUM05G24550.1 pep chromosome:ALNU02000000:5:26501070:26505875:1 gene:OGLUM05G24550 transcript:OGLUM05G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1S8] MGKAAAVGTAVVGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDVVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSHGI >OGLUM05G24550.2 pep chromosome:ALNU02000000:5:26501170:26506059:1 gene:OGLUM05G24550 transcript:OGLUM05G24550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1S8] MGKAAAVGTAVVVAAAVGVAVVLARRRRTRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDVVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >OGLUM05G24550.3 pep chromosome:ALNU02000000:5:26501170:26506172:1 gene:OGLUM05G24550 transcript:OGLUM05G24550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1S8] MGKAAAVGTAVVVAAAVGVAVVLARRRRTRDLELVEGAAAERKRKVAAVIEDVEHALSTPTALLRGISDAMVTEMERGLRGDSHAMVKMLITYVDNLPTGNEQGLFYALDLGGTNFRVLRVQLGGKEKRVVQQQYEEVSIPPHLMVGTSMELFDFIASALSKFVDTEGDDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSINDAVGEDVVSELGKAMERQGLDMKITALVNDTVGTLAGGRYADNSVVAAIILGTGTNAAYVENANAIPKWTGLLPRSGNMVINTEWGSFKSDKLPLSEFDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDAALFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKTVGAKLKDIVGVPDTSLEVRYITSHICDVVAERAARLAAAGIYGVLKKLGRDKMPKDGSKMPRTVIALDGGLYEHYKKFSSCLESTLTDLLGDDVSSSVVTKLANDGSGIGAALLAASHSQYAEID >OGLUM05G24560.1 pep chromosome:ALNU02000000:5:26506291:26509535:-1 gene:OGLUM05G24560 transcript:OGLUM05G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSGKAFYLHLLLLAILPCLVARRGAAQQGGVDEKQLLLQVKRAWGDPAALASWTDAAPHCRWVYVSCDGGGTGRVTSLSLPNVAVAGAVPDAIGGLTALTVLNLQNTSVGGVFPAFLYNLTAITSIDLSMNSIGGELPADIDRLGKNLTYLALNNNNFTGVIPAAVSKLKNLKVFTLNCNQLTGTIPAALGELTSLETLKLEVNQFTPGELPGSFKNLTSLKTVWLAQCNLTGDFPSYVTEMMEMEYLDLSQNSFTGSIPPGIWNLPKLQYLFLYTNQLTGDVVVNGKIGAASLIYLDISENQLTGTIPESFGSLMNLTNLALMTNNFSGEIPASLAQLPSLVIMKLFENNLTGQIPAELGKHSPFLRDIEVDNNDLTGPIPEGVCDNRRLWIISAAGNRLNGSIPASLATCPALLSLQLQDNELSGEVPAALWTETRLITVLLQNNGQLTGSLPEKLYWNLTRLYIHNNRFSGRLPATATKLQKFNAENNLFSGEIPDGFAAGMPLLQELDLSRNQLSGAIPASIASLSGLSQMNFSRNQFTGDIPAGLGSMPVLTLLDLSSNKLSGGIPTSLGSLKINQLNLSSNQLTGEIPAALAISAYDQSFLGNPGLCVSAAPAGNFAGLRSCAAKASDGVSPGLRSGLLAAGAALVVLIGALAFFVVRDIKRRKRLARTEPAWKMTPFQPLDFSEASLVRGLADENLIGKGGAGRVYRVAYASRSSGGAGGTVAVKRIWTGGKLDKNLEREFDSEVDILGHVRHTNIVKLLCCLSRAETKLLVYEYMENGSLDKWLHGNKLLAGGATARAPSVRRAPLDWPARVRVAVGAARGLCYMHHECSPPIVHRDIKSSNILLDAELMAKVADFGLARMLVQAGTPDTMTAVAGSFGYMAPECAYTRKVNEKVDVYSFGVVLLELITGREAHDGGEHGSLAEWAWRHLQSGRSIADAVDRCITDAGYGDDAEVVFKLGIICTGAQPATRPTMRDVLQILVRCEQALQNTVDGKVAEYDGDGAPFLPTRGGSRRKQLSDTKGIDDVNGSLDSIV >OGLUM05G24570.1 pep chromosome:ALNU02000000:5:26512210:26513175:-1 gene:OGLUM05G24570 transcript:OGLUM05G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSTGAGADRREDRRQRTGPTKTIKAETEAHNDEGLPDSPVDRVARSLGEALIGGRSRAMIDDDEEAALWSRRNTPVEHPIRRAYDRVAAALREKRSNERLARECLDHYNALHPGDEHEHDLAPGGDVTLSRSHCSTGAWTHGNFVARRRRRQWRRCLAFVLPATRTLFFFEHMSGDDYLGVITCIPMPDEPVGGFLARIPLIRRWATPRRSGRWDCVCKTCRRGLRVTHHWLKRKVVGEFPCGHMVAESVCKMCYHYSDVVHPSPGKFARGYLEHEDEFGHYGRNGLRDYPC >OGLUM05G24590.1 pep chromosome:ALNU02000000:5:26521777:26528482:-1 gene:OGLUM05G24590 transcript:OGLUM05G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1T1] MSRSSQQTTVINSKSPEGSNSVSCPPPSKRRGHKAGVKCFICHEGTIHGITLRKSSQRRFNQPQASPNVFGPKSSKPPNNGSVSLTSPLDGQSCLNHVQVETNGEVINLEQAEKADKEQEERAADSREKIQQQQRESSSEPSIGCFPTHSKPTTSIGTTGAKRGFFATVGATLEGYNQSHRDTEECGKELTLGDENMAGERKKGCCPSPPCSAAAHSSNPQGRGAIPPVVGWPPIRSFRRNLTNGSSFKQSPERQNDEADDKAKPICKKRPLVKINMDGIPIGRKVDLEIYDSYQKLSSAVEELFRGFLEAQKDLSCAESGEQGAEDKIFSGLLDGTGVYTLVYEDNDGDRMLAGDIPWKLRVMRRSELPHDMIGADPVK >OGLUM05G24600.1 pep chromosome:ALNU02000000:5:26535245:26536072:-1 gene:OGLUM05G24600 transcript:OGLUM05G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVVGGGGGWWRWSVGFFVAYGLGVGYFVVSGHSVGCFVVSGHGVGCFVFCGRGVGCFVVSGWEVVAPSRVTAAVTSRGLRRPVLSSPRACCSWCLMTTRRRGGDDFTGFVVRVELTLLRFNDELRGHLAESGVAYSKIYGSAADLRFVQSLWSQPDGDYRFVKRAARRRRPKVAFVAALP >OGLUM05G24610.1 pep chromosome:ALNU02000000:5:26535589:26536101:1 gene:OGLUM05G24610 transcript:OGLUM05G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSNPANLDQWNLSIGSSRTGTSEIVTTTPTGCHQAPRAASSGRRQHRTTQATRSHRSRHTRWGDDLPATDDEAADAAATEDEAANTVATDDETADAVATDDEVADAEAVGDEEANAAATDDEADAAARSQHQPSIHPQVYGSHTSTATNRRRRLPHRRPWSSPAKSTK >OGLUM05G24620.1 pep chromosome:ALNU02000000:5:26548503:26550910:1 gene:OGLUM05G24620 transcript:OGLUM05G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRPAPSERQPPPPEQLRFQLLFRAVFCWGDRVRRRDEQQQARRGRSGGDVRGGGGRRAVRGVVVGVRRRVGRRWGRGGPVLGGRRGGGGGVGPDGAPPVRAATAAAVELGQHLRHVVHDGAAPSQERVVQVLRGEVPVVRVHVGGEVPGGSAQEGEAIQVEDQVVQQLRRAGRDRQDAVVEFLRESEHDGGGRIQGSPDPCKQERLPSVVATL >OGLUM05G24630.1 pep chromosome:ALNU02000000:5:26549950:26552683:-1 gene:OGLUM05G24630 transcript:OGLUM05G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEAALDHARSVRPRAVKLFSNLNTRCLSIENSNQTHSAKSCEESSEPFSRLASSCHQIQSSNRTHPVRFSEQSSEAIVEAEVDGFTTEFDNEHFVLPLWEGMLAKPSSPSRSIDAVVITEEDLEGYETYADASSDTVSVEVVIRQKPMIRRLSCFLGSLKLTSNCEPSPPRRLAEVRAC >OGLUM05G24640.1 pep chromosome:ALNU02000000:5:26572110:26577473:1 gene:OGLUM05G24640 transcript:OGLUM05G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRALRSLKHHGASRFASTSVVKQSSGGLLGWFLGGNSSQLPPLDVPLPGVIISPPLPDFVEPSKTNITTLPNGIKIASETSPIPAVSVGLYIDCGSVYETSSSSGTSHLLERMAFKSTTNRSHLRLVREVEAIGGNVFASASHEQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMHSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >OGLUM05G24640.2 pep chromosome:ALNU02000000:5:26572992:26577473:1 gene:OGLUM05G24640 transcript:OGLUM05G24640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKSTTNRSHLRLVREVEAIGGNVFASASHEQMSYTYDALKCYAPEMVEVLIDSVRNPAFLEWEVKEQLQKIKSEISEVSGDPHGLLMEALHSAGYSGALAKPLMASESAVNRLDVATLEEFVSENYTAPRMVLAASGIEHDELVSVAEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSTSTHIALAFEVPGGWRQEKTAMIVTVLQVLMGGGGSFSTGGPGKGMHSWLYLRVLNNYGQIESFSAFSSIYNNSGLFGIHATTNPDFVSSAVDLAARELHEVATPGKVTQEQLDRAKEATKSSVLMDLESRIVASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIISSPLTLASWGDVIHVPSYESVRRKFHSK >OGLUM05G24650.1 pep chromosome:ALNU02000000:5:26578190:26583583:1 gene:OGLUM05G24650 transcript:OGLUM05G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1T8] MASSHIILPPDDDDEEQRRLEEEEDEDPWARSGPPPTAPEHAVKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLVDHLPDAPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQKVYFESDEVKACIVTCGGLCPGLNTVIRELVCGLAHMYNNGYKGFYSSNYLTLTPKSVDDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGIPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYVERRLKENKHMVIVVAEGAGQDLIAKSLSTSEQQDASGNKLLLDIGLWLTHKIKDHFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGNVNGRHAYIPFYRVTSTRNKVKITDRMWARLLSSTNQPSFLSQKDIDEAKENDRTANKPPLPTGLSHHVANSFDQSASSSSNSQI >OGLUM05G24660.1 pep chromosome:ALNU02000000:5:26584289:26588859:1 gene:OGLUM05G24660 transcript:OGLUM05G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGTMAARSWLLLLCFVAVATAGVLQARAQPDSNGFISVDCGLPGKTGFVDDKTKISYAADDGFTDGGACHNISAEYITPGLAKRYHTLRSFPDGKRNCYTLRSLVAGLKYLFRATFFYGNYDGLNKLPVFDLYVGVNYWTMVNITGPGDAVIVEAIVVVPDDFVQVCLVNTGAGTPFISGLDLRPLKNTLYPQSNATQGLVLLGRLNFGPTDYTDVIRYPDDPHDRIWFPWVDSTKWSQISSTKKVQDLDNDMYETPTAVMQTAITPRNASRNIEFSWDPVPLPNDPTPGYIAIFHFSELQLLPGNAVREFYINLNGKPWSLTAFKPEYLYNDATFNRNPFLRYPQYNISINATANSTLPPLINAVEVFSVIPTATIGTDPEDVAAITAIKEKYQVVKNWMGDPCVPKMLAWDKLTCSYAISNPARIIGLNLSSSGLSGEVSSYFGNLKAIQNLDLSNNKLTGPIPDALSQLPSLTFLDLTGNQLSGSIPSGLLKRIQDGSLNLRYGNNPNLCTNGDSCQPAKKKSKLAIYIVIPIVIVLVVVIISVAVLLCCLLRRKKQAMSNSVKPQNETVSNVSSNGGYGHSSSLRLENRRFTYNELEKITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSESSNQGAKEFLAEAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHIVGKNNNRIYLTWRERLRIALESAQGLEYLHKACNPPLIHRDVKVTNILLNTRLEAKIADFGLSKTFNHVNDTHVSTNTLVGTPGYVDPEYQATMQPTTKSDVYSFGVVLLELITGKPSILREPGPISIIQWARQRLARGNIEGVVDAHMHGDHDVNGVWKAADIALKCTAQTSTQRPTMTDVVAQLQECLELEDRRCGMEDTYNNFYAGNNNDPNSSYNMYNMDQSTDVSQNNTMEHNFERVPTMATGPVAR >OGLUM05G24670.1 pep chromosome:ALNU02000000:5:26591377:26593813:1 gene:OGLUM05G24670 transcript:OGLUM05G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLFLLCLATATAGVLQARAQPDSKGFISVDCGLPGKTSYIDDKTKISYASDDGFTDGGKHYNVSPEYIKPAVTARYYNVRSFPDGARNCYTLRSLVAGLKYLIRATFMYGNYDGLNKLPVSFDLHIGVNFWTVVNITDPIQPVNREAIVVVPDDSVQVCLVNTGAGTPFISGLDLRPLMNKLYPQVNATQGLLQLARLNFGPSDETSIRVQNIDNDLFEAPTAVMQTAVTPINASNNIDFFWNSQPQPNDPAPGYIAIFHFSELENLPNNASRQFYININGILFDDGFTPSFLYAEASYSSKPFVRHPQYNITINATANSTMPPLINAVELCHF >OGLUM05G24680.1 pep chromosome:ALNU02000000:5:26595228:26595587:-1 gene:OGLUM05G24680 transcript:OGLUM05G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFDATNGFGFITPDNGGEDLFVHRSSLKFDSYRSLNDGDVIELSVGSGDDGRTKAVDITALGGGAHTGGSRPSCGQIPTAASRRLSTSPHCLLALDVLLLPAPRRSPPLVIPSSSPG >OGLUM05G24690.1 pep chromosome:ALNU02000000:5:26610988:26611442:-1 gene:OGLUM05G24690 transcript:OGLUM05G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTPLGVVPLLEGVVLALTSPGTKNLPPCNGSYWWTPALPLKLYKPKVFDEVFSVLVLFLALRQSCFAVGVRRSSATMTHCNLFIRLLMPAHCSEVEAAVSFGWQAQ >OGLUM05G24700.1 pep chromosome:ALNU02000000:5:26611646:26611834:1 gene:OGLUM05G24700 transcript:OGLUM05G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALAHASARRIARHADLPLPSAPPVPRQPPSVTPHRPASPYTSSTAAPPHILLFTASGTTH >OGLUM05G24710.1 pep chromosome:ALNU02000000:5:26611986:26660726:1 gene:OGLUM05G24710 transcript:OGLUM05G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGAHNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYGNNPNLCTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQVPFFTYKGSMNNSVKPQNEIMRYGPTNNGSGHNSSMRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLAEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQVFLLHGELMVRARVPTQRCNPPLIHRDVKGTNILLNTRLEAKIADFGLSKVFNPENGTHVSTNKLVGTPRYVDPEYQSTMQPTTKSDVYSFGVVLLELVTGEPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQRFMSRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFSTDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAIEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLDLTGNQLNGSIPSGLLKRIQDGTLNIKYGNNPNLCTNDNSCQAAKHKSKLAIYIVVPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMKLENRRFTYKDLEKITNNFQRVLSRGGFGKVYDGFLEDDTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKNFVSMIGYCKDGKYMALVYEYINNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNTRLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWTQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYETYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFLYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWTEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYIAVMHFSELQLRSSNASRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASTMMVIKEKYQVKKNWMGDPCVPKTLAWDKLTCSYDSSKPARITDINLSSGGLSGEISSAFANLKALQNLDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRVQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETASYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGEYMALVYEYMSEGTLQEHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFNLENGTHISTNTLVGTPGYVDPEYHATMQPTTKSDVYSFGVVLLELVTGKPSILRDPEPISIIHWAQQRLARGNIEGVVDARMRGDHDVNGVWKVADIALKCTTQVSAQRPTMTDVVAQLQECLELEEERCAISNTNYNFYTDNNSNSNSSYDMYATDHSIDEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNTEIIRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMQTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDGFISIDCGLPEKTSYVDDANKLKFTSDEAFTDAGTIHNVSSEFATPTTTTDRSMYNVRSFPAGARNCYTLPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGIAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVKKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLYGNNPNLCSNSSSCQLSQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKTNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKGRSLTWRERLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVAAAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGVQYLNLSNNNLTGSIPDALSQLPLLAVLKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >OGLUM05G24710.2 pep chromosome:ALNU02000000:5:26611986:26660726:1 gene:OGLUM05G24710 transcript:OGLUM05G24710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTPGAMAARSWLILLCLALAATAGILQARAQLDSKGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYFAPALSARYYNVRSFPDGAHNCYTLRSLVAGHKYLIRATFMYGNYDGLSKLPIFDVYIGVNFWMMVNITDPAGSTLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQATETQGLSLFGRWNFGPTSNTEIIRYGNNPNLCTNGNSCQPPKNKSKLAIYIVVPIVLVLAIVSVTTLLYCLLRRKKQVPFFTYKGSMNNSVKPQNEIMRYGPTNNGSGHNSSMRLENRRFTYNELEKITNKFQRVLGQGGFGKVYDGFLEDGTEVAVKVRTESSNQGDKEFLAEAQILTRIHHKNLVSMIGYCKDEKYMALVYEYMSEGTLQEHIAGKGNDGRYLTWKERLRIALESAQVFLLHGELMVRARVPTQRCNPPLIHRDVKGTNILLNTRLEAKIADFGLSKVFNPENGTHVSTNKLVGTPRYVDPEYQSTMQPTTKSDVYSFGVVLLELVTGEPAILRDPEPISIIHWAQQRLARGNIEGVVDASMHGDYDVNGLWKVADIALKCTALSSAHRPTMTDVVAQLQECLELEDKHQRFMSRYPDDPRDRVWTPWDSPSNWTEISTTRPVQQTYDDLFEVPTAVMQTAIVPMFSTDNIELAWVAYTQPKDPSPGYIAIMHFSELELSPPSRDVREFYINLNGNMMYSKGYKPVYLYAHAIYNTNPFLRYPQYNISINATYNSTMRPFINAIEVYSVFSTTTIGTYGQDASAMMVIKEKYQVKKNWMGDPCIPTEFTWESLTCSYENSKHVIKINLSSSGLSGEISSSFGDLKALQYLDLSNNNLTGSIPDALSQLPSLTVLYGNNPNLCTNDNSCQAAKHKSKLAIYIVVPVVLVLVIVSVTILLFCLLGQKKKQGSMNTSIKPQNEANYVPTNDSDGHGSSMKLENRRFTYKDLEKITNNFQRVLSRGGFGKVYDGFLEDDTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKNFVSMIGYCKDGKYMALVYEYINNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNTRLEAKIADFGLSKSFNLENGTHVSTNTLVGTPGYVDPEYQATMQPSTKSDVYSFGVVLLELVTGKSAVLRDPEPISIIHWTQQRLAQGNIEEVVDACMCGDHDVNGVWKVADIAFKCTAQVSARRPTMTDVVAQLQECLELEEEHCAVNDANNNFYTSNNSNPNSSYETYAADHSIDVSQNSVAFEMEKNFGRMPSTAPGFISVDCGLPGKTSYVDDKTKISYAADDGFTDGGSFHNISAEYITPALSARYHNVRSFPDGARNCYTLRSLVAGLKYLIRATFLYGNYDGLSKLPIFDVYIGVNFWMMVNISDPSGATLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLEKKLYPQANDKRGLSLFGRWNFGPISTTEFIRYPDDPHDRIWMPWVSPSYWTEVSTTRPVQHTDEDVFDAPTKVMQTAIAPLNASSNIEFAWVPYTQPKDPAPGYIAVMHFSELQLRSSNASRQFYINLNGNMVFSQGYTPAYLYADAIFNSNPFLRYPQYNISINATANSTLPPIINAIEVFSVFSTATVGTDGQDASTMMVIKEKYQVKKNWMGDPCVPKTLAWDKLTCSYDSSKPARITDINLSSGGLSGEISSAFANLKALQNLDLSNNNLTGSIPDALSQLPSLAVLDLTGNQLNGSIPSGLLKRVQDGTLNIKYGNNPNLCTNDNSCQPAKHKSKLAIYVAVPVVLVLVIVSVTILLFCLLGRKKKQGSMNTSVKPQNETASYVPTNGSHGHGSSMQLENRRFTYNDLEKITNNFQRVLGEGGFGKVYDGFLEDGTQVAVKLRSESSNQGDKEFLAEAQILTRIHHKSLVSMIGYCKDGEYMALVYEYMSEGTLQEHISGKRNNGRYLTWRERLRIALESAQGLEYLHKWCNPPLIHRDVKATNILLNARLEAKIADFGLSKAFNLENGTHISTNTLVGTPGYVDPEYHATMQPTTKSDVYSFGVVLLELVTGKPSILRDPEPISIIHWAQQRLARGNIEGVVDARMRGDHDVNGVWKVADIALKCTTQVSAQRPTMTDVVAQLQECLELEEERCAISNTNYNFYTDNNSNSNSSYDMYATDHSIDEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQVTETQGLSLFGRWNFGPTSNTEIIRYPDDPHDREWVPWINPFDWTVISTTTMVQNIENDIFEAPSRVMQTAITPRNASGNIEFAWDAYTQPKDPTPGYIANFYFTEVQLLPSNALRQFYINLNGRLVYNESYTPLYLYADLIYEKKPFLRYPEYNISINATSNSTLPPIINAIEVFSVMPTINVATDSEDGFISIDCGLPEKTSYVDDANKLKFTSDEAFTDAGTIHNVSSEFATPTTTTDRSMYNVRSFPAGARNCYTLPSVVPGSKYLVRAKFLYGNYDGLNKPPVFDLHLGVNFWQTVTVPSADWLGIAEVIAVVPDDFLQVCLVNTGAGTPFISGLDLRPLPSSLYAPANATQGLVLLDRRNFGASGSTVIRYPDDTYDRVWWPWSNPPAEWSDISTADKVQNTIAPVFDVPSVVMQTAITTRNSSIPIQFSWDTKPNHVYPDPGSIFTLYVTELELLAGNAVRQFNVTINGVIWTKAPYKPVYLSTDAMYNGDRPYRGITRYNFSLNAAGSSTLPPILNAAEAFSVISTADLATDAQDVSAITAIKAKYQVKKNWTGDPCAPKTLAWDGLTCSYAISTPPRITGVNMSYAGLSGDISSYFANLKEIKNLDLSHNNLTGSIPNVISQLQFLAVLYGNNPNLCSNSSSCQLSQKKSNSMLAVYVAVPVVVIGAVAVFLIFFIRKKTNKSKGAVKPQILGNGVQSHSQNGSGGSLLELHNRQFTYKDLAVITNNFQRVLGKGGFGPVYDGFLKDGTHVAVKLRDESSSQGYSEFLTEAQTLTKIHHKNLVALIGYCKDEIHLALVYEHMSEGTLEDKLRGKDRKGRSLTWRERLRIVLESAQGLEYLHKACSPRFVHRDVKSSNILLNANLEAKVADFGLTTAFKCDGDTHVSTVRVVGTYGYLAPEYATALQVSEKIDVYSFGVVLLEVITGQPPIIKLPEPTTIIQWTRQRLARGNIEGVVDVNMPDDRYDINCIWKVADVALKCTAHAPGQRPTMTDVVTQLKECLELEETSFKGDTSSSYMSGSSIDPNSTRAMAARSWLFILCLAVAAAGVLQTSAQPDLKGFISIDCGLEGKTGYLDDKTNLSYVPDDGFTDAGTNHNISVEFMTPLISRRNYNLRSFPDGERNCYTLRSLTAGLKYLIRAAFVYGNYDGLKKPPVFDLYIGVNFLTMVNITGLDGAALEEAIVVVPDDFVQVCLVKYQVKKNWMGDPCVPKTLAWDSLTCSYSTSIRPRITSLNLSSSDLRGDISSSFANLKGVQYLNLSNNNLTGSIPDALSQLPLLAVLKPAQWINSIWTSQKNSRWLPRSKLENRRFTYRELEMMTDNFQLELGRGGFGCVYDGFLEDHTRVAVKLMFKNSKQGDKEFLGEILTRIHHKNLVSMIGYCKDGDNMALVYEYMSEGTLQEHIAEENSRRRFLPWRRRLQIALESAQGKYLMTMQPTTKSDVYSFGVVLLELVTGKPALLRDLDNTSIIQWVQQHLARGNIEDVVDARMHGDHDINSVWKVVDIALKCTMQESIHRPTMTGVVAMLQECIELENRHLKDYAANSENHNSSYNTYGVDQSTNVIQSNDAFEVGHNIARVPTMATGPVAR >OGLUM05G24730.1 pep chromosome:ALNU02000000:5:26683745:26685415:1 gene:OGLUM05G24730 transcript:OGLUM05G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLPVAHRFSLASAFLPRHRRPSPSAPNRRRRHGTVVAYMEPNPNSPSSIAGRLIGALPVVGLVARILSDEGGVGGDMIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKAGDPFYVLLCCWLAAVGGGLLKTEEILEGVARLRLSNDIEFEEETFLDMMKTAKEKRAKLKAPAPQIPMEARAEKALEAIYVCCFGQDMMEDEDVKLLCKMLNAIFPSVGRQAVERIVTSMAKQVAAGERKGPGVKTVSKEAAQRQLKDLEFLKQNKLDSV >OGLUM05G24740.1 pep chromosome:ALNU02000000:5:26685481:26688493:1 gene:OGLUM05G24740 transcript:OGLUM05G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRQPRRRETGAAERYREMGISAALSRPWDYPTACGEIAALLRIGYGDLPKAAQALVTGDVLLAFRLLPDVQTGYALGAANGLLQAAEGSLPKQKKAQAVSEFKRSVVAHKRRARVQQDPGVPHIPYDVLVHIFSFLDMRSLVAAGLVCWPWNSAANDNHLWEMNYSLFFGICHINCNSTPTAGNVQNTDYHVQNSIYQVSPDPGFNWKEAFHKKYAEQETWSSASNRALCGYCRSVIWLCDLTCATPHYCLNNGKDGVKLGPLLPHTVADYILDIADLAASSTESDDTDSDSENYPQARFWSLS >OGLUM05G24750.1 pep chromosome:ALNU02000000:5:26689186:26690961:-1 gene:OGLUM05G24750 transcript:OGLUM05G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1U8] MAEHKEEESLVESVMDKISDKLHSRGGSSSSSSDSDGERTADLKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKKISVGVLTGATAIWLLFEVMDYHLLTLLCHCIILTLAMLFLWSNASTFINKSPPNIPEVKIPEDLAVNVARSVRFEINRGFATLREIGLGHDLKKFLIVVAGLWVLSVLGSCCNFLTLFYIVFMVLYTVPVLYEKYEDQIDAFGEKAVIELKKYYAIFDEKCLSKIPKGPSKNKKH >OGLUM05G24760.1 pep chromosome:ALNU02000000:5:26703484:26704104:1 gene:OGLUM05G24760 transcript:OGLUM05G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLDSFSSLGVGYAVAIALGFLVLLASLLLAFYFCSRRGGAGVVRRGGQGVHSARHAVSSASSSGHISITVPRVIFVADDSDSPGSSSRGGAGGGAASSPVGLDPAVIASYPKVPFSRAAAGADAEAACSICLCEYKEGEMQRMMPECRHRFHLMCLDAWLRRSASCPVCRSSPIPTPVSTPLATPLSELVPLSQYAADRRRHR >OGLUM05G24770.1 pep chromosome:ALNU02000000:5:26706664:26707425:-1 gene:OGLUM05G24770 transcript:OGLUM05G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAENKNEPARPFAVPSPSVHPAATGVEEEEEAQAATGWRSMQYLRKRRRALCCCGCCVTTLVVVGLVILILALTVFRVKDPRITMNGVWVTAISTGPGTGTGIGSTVATNATLTADVSVKNPNAASLRFSRSETDVYYKGKTVSVAYVPAGSVGADKTVRMNITLDLLADRLASVLNGTGLILGQEYDLTTYTAMRARVSVLGIIKKSLDVRMNCSVILDVAGIAGVLLPGDGAKSGVQTRSVDCVAIVS >OGLUM05G24780.1 pep chromosome:ALNU02000000:5:26720509:26721942:1 gene:OGLUM05G24780 transcript:OGLUM05G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1V1] MEPNSKQSQTVVLHTILGAGHLAPMVELAKLFLGRGFAVIIAVPTPPASTADVAASSAPAVARIAAANPSISFHNLPPPDYPEPDPDGFQQLLDVIRLTVPILLTFLRSLPPVAAVVLDLFCVDALDAASAVGVPAYFYFTSSAGVLAAFLHLPHYFATTEGDLKDMGKALLHFPGVPPIPASDMPHNVLDRADVIGASLVYHYRRMPEARGMLINTYEWLEAKAVTALGDGACVPDRPTPPVYCIGPLIVKGEVAAKGERHACLAWLDAQPERSVVFVSFGSMGAVSAEQLKEIARGLENSGHRFLWVVRIPPPEDPANFSLPRSEPDLGALLPEGFLERTRERGMVVTSWAPQVEVLRHAATGAFVTHCGWNSVLEAATAGVPMLCWPQYTEQRLNKVLVVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMDSDEGKKLRGRLAMAKEMAAEALADGGPSCTAFSDFLDDLQRSK >OGLUM05G24790.1 pep chromosome:ALNU02000000:5:26722691:26724103:-1 gene:OGLUM05G24790 transcript:OGLUM05G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1V2] MTTKTFVMYPSLGVGHLNPMVELAKHLRRRGLGVVVAVIDPPNNDAVSADAMARLAAANPSITFRLLPAPASPDVGAHPIKRSHDTLKLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSQASALAVFLHLPYHYPNLPSFSEMSKAALLRFLGMPPIRTVDMPAMLRGKESEATKVRLYQFKRMTEAKGVLKAEIGGERHACLAWLDAQPRRSVVFLCFGSQGAFPAAQLKEIARGLESSGHRFLWVVRSPPEEQTTSPELDLERLLPAGFLERTKDRGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVSLDGYEEEGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAITEGGSSEMAFDMFMRDLEESSLENGVRS >OGLUM05G24800.1 pep chromosome:ALNU02000000:5:26725492:26726004:-1 gene:OGLUM05G24800 transcript:OGLUM05G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNTLANLDSDHYVYMTEYNENINNKINSNMVVKNCVPQTEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIAVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLREKLVETRDMALDAVNKGGSSEVAFDEFMRDLEESSLENGVRS >OGLUM05G24810.1 pep chromosome:ALNU02000000:5:26734545:26735987:1 gene:OGLUM05G24810 transcript:OGLUM05G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1V4] MEADPDPTVVLHACLGVGHLIPMVELAKLLLRRGLAVVIAVPTPPASTADFFSSSAPAVDRMAAANPSISFHHLPPPEYPDPDPDAFLQMLDTMRLTVPPLLAFLRSLPSVAALVLDLFCVDALDAATAAGVPAYFYYTSSVGDLAAFLHLPHHFATTEGSLKDMGKTPLRFPGVPPIPASDMPHTVLDRADRTCATRLGHYGRIPEARGILINSYEWLEARSVRALREGACIPDRPTPPVYCIGPLMAKGEEAANGERHACLSWLDAQPERSVVFLCFGSLGAVSVKQLKEIARGLENSGHRFLWVVRSPPQDPAKFFLPRPEADLGMLLPEGFMERTRDRGMVVTSWAPQVEVLRHAATAAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRMNKVLLVDGMQLGVVMDGYDEELVKAEEVEKKVRLVMEFEEGKKLRDRLTMAKEMAAEALADGGSSSLAFTEFLKDLNFGNTTKDQA >OGLUM05G24820.1 pep chromosome:ALNU02000000:5:26750169:26752713:-1 gene:OGLUM05G24820 transcript:OGLUM05G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMNGGGGGWRRWWLWRRRFGGRPSRTRQDGASSLEAASGGGVGGVGVGKVEGSGSQLGRACSSAPATYFFSSQASALAVFLHLPYHYPNLPSFSEMGKAALLRFPGMPPIRTVDMPATLRGDESEVSKVRLYQFKRMTEAKGVL >OGLUM05G24830.1 pep chromosome:ALNU02000000:5:26752732:26754150:-1 gene:OGLUM05G24830 transcript:OGLUM05G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1V6] MTTKTFVLFPSLGVGHLNPMVELAKHLRRRGLGVIVAVIDPPNNDAMSADAMARLAAANPSVTFRILPAPASPDPGAHHVKRNLDTLRLANPVLREFLRSLPAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASVLAVFSHLPYYYRNAPSLREMDKAALIRFPGIPPIRNVDMLATVKDKESETTKIRLYQFKRMMEGKGVLVNSFDWLEPKALKALAAGVCVPDMPKPRVYFIGPLVDAGKKVGNGAERHACLAWLDAQPQRSVVFLCFGSQGAFPAAQLKEIAHGLESSGHRFLWTVRSPPEEQSTSPEPDLERLLPAGFLERSKDIGMVVKNWVPQAEVVQHEAVGAFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMKIVVPLDGYEEGGLVKAEEVEAKVRLVMETEEGRKLRERLVETRDMALDAIKEGGSSEVAFDEFMRDLEKSRLENGVRS >OGLUM05G24840.1 pep chromosome:ALNU02000000:5:26761426:26762870:-1 gene:OGLUM05G24840 transcript:OGLUM05G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1V7] MKKTMVLYPGLSVSHFLPMMQFADELIDRGYAITVALIDPVFQQHIAFPATVDRVISSKPAIRFHRLPRVELPPAITTKDDDFSLLGYLELVRRHNECLHDFLCSMPPGGAHALVVDPLSVEALDVAKRLNVPGYVFHPGNASAFAIHLQLPLIRAEDQPSFRELGDTPLELPGLPPIPASYLYEELLEDPESEVYKAIMDLFHRDIQDSNGFLLNTSESLEARVVNALRDAALPLFYCVGPLVEKAGERRETAERHECLVWLDLQPDGSVGIGNHSKEQLKEIAVGLEKSGHRFLWVVRAPIIANDDPEKPYNPLADPDLEALLPAGFLERTSDQGAVVKQWAPQVDVLHHRATGAFVTHCGWNSVLEGIAAGVPMLCWPLYSEQKMNKVLMVEDMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGNQLRARVTTHKEAAAVAWGDGGSSRAAFAEFLLDADSRQSH >OGLUM05G24850.1 pep chromosome:ALNU02000000:5:26774278:26792196:-1 gene:OGLUM05G24850 transcript:OGLUM05G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETVVVYAGLGVGHLAPMVELANLFPRHGLAVTVVLIEPPAKPPSFAAAVSRSMASNPRITFHVMPSPSCHSNVPELIRAMNAPLREYLRSSVPSARAVVFDMFCACALDVAAELGLPAYFFQCGGASHLAVGLHLPHVQAEINASFGEIGDEPLLFPSVPPFKPSDLPKAALDRNDEMYRWILGVFERLPESRGILVNTFQWLETKALRALGDGACVVGRPTPPVCCVGPLVSRSGEDKKHGCLSWLDAQPEKSVVFLCFGSMGSFPKEQLAEIAIGLERSGQRFLWVVRRPHAGEASLSGLLAGRHGTHGELDIDELMPEGFLERTKGRGLAAGSWAPQADVLRHRATGAFVTHCGWNSVLEGIAAGVPLLCWPLYAEQRLNKVFIVEEVGVGAVMAGYDGEVVRAEEVEAKVRWMLESNEASPIRERVALAKERAEEATRKSGSSHQSFVKFLIDFGQIEAKMKKTMVLYPGLSVSHFLPMMKLADELVEHGYAVTVALIDDPAPQKQIAFTATVDRVISSKPSICFHRLPRVDHLPAVTTNDGEFYLPGYLDLVRRHNEPLHGFLSSHFRGGIQALVVDMMSVEALDIAERLKVPGYLFHPSNASLFAFFLQIPSICAEGKRSFSELGDTPLELPGLPPMPASHLINNLLEESPESEVYKAIMDLARRYTNKYSNGFLVNTVDSLEARVVNTLRHARRQGGRALPPFYCVGPLVNKAGERGERPERHECLAWLDRQPDRTVVFLCFGSTGIGNHSTEQLREIAVGLEKSGHRFLWVVRAPVVSDDPDRPDLDALLPAGFLERTSGQGAVVKQWAPQVDVLHHQATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVEMVGWQQGLVTAEEVEAKVRLVMESEAGVELRARVTAHKEAAAVAWTDVGSSRAAQSTDVVSSWRYRGLLTPADTGGGGFLERTSGQGAVVKQWASQVDVLHHRVTDAFVTHCGCEGITAGVPMLCWPLHSEQKMNKVLMVEEMGIAVELVGWQQGLVTAAEVEAKVRLVMESEAAQPAQGAGHGAQGRRGHGLGRWRLAAFGICPS >OGLUM05G24850.2 pep chromosome:ALNU02000000:5:26765657:26774271:-1 gene:OGLUM05G24850 transcript:OGLUM05G24850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTIVLYPGVAVSHFLPMMQLADELVDHGYAVAVALIDPAFQQHTAFPATVDRVVSSKPTVRFHRLPRVELPPATATDDGDFLLLGYLDLVRRHNECLHDFLCSMLPGGVHALVVDSLSVEAFDVSMRLNVPGFVFHPANLGAFAIFLQLPSIRAEGEPSFRELGDNPLELPGLPPMPASHLFSQFLEHPESQVYKAMMNVSRRNAQCSKGFLVNTFESLEPQVVNALRDSRCHHGGPALPPFYCIGPLVEKADDRRGRAERHECLAWLDRQPERSVVFLCFGSTGAGNDSVEQLGQIAVGLEKSGQRFMWVVRAPPVAIDDDDSFNPRAEPDVDALLPAGFLERTTGRGVVVKMWAPQVDVLHHRATGAFVTHCGWNSVLEGITAGVPMLCWPLHSEQKMNMVLMVEEMGIAVEMTGWKQGLVTAEELEAKVRLVMESEAGSQLRARVTAHKEGAATAWADGGSSRSAFARFMSDMDPGQSLPAARLAVTVVLIEPPAKPQLLRHGTLVHGFQLVLTDLSELICGTNAPLREYLPAFVGALCLCARARHVLRLRPRHNIYGPTSSFHSCAASHLAVAFCTSRTCRIADQDNTPASGRSATSPSGKRKWEAPHCGAIARREDGEPEPNRCHLAAPTLPFPALLRHLPCSPRSPSAQPPLCRGLDRHPLSCLSSLRSGPPSATAFIFTTSRAHLSATIVIGAAIPTAIFAVGVHGYGSEDLVGGS >OGLUM05G24860.1 pep chromosome:ALNU02000000:5:26792823:26800907:-1 gene:OGLUM05G24860 transcript:OGLUM05G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAAASSSGASPSAFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGW >OGLUM05G24860.2 pep chromosome:ALNU02000000:5:26792943:26800907:-1 gene:OGLUM05G24860 transcript:OGLUM05G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAAASSSGASPSAFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGYHEHKAN >OGLUM05G24860.3 pep chromosome:ALNU02000000:5:26793342:26800907:-1 gene:OGLUM05G24860 transcript:OGLUM05G24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Respiratory burst oxidase homolog protein F [Source:Projected from Arabidopsis thaliana (AT1G64060) UniProtKB/Swiss-Prot;Acc:O48538] MRAGIGSGSGGGTTPVRPRWGSGVTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIVLRSVEPAAGGAAAASSSGASPSAFSQELKAEAMARARQFSQDLTKRFTRTQSTTTAPPGIESALAARAERRQRAQLDRTKSGAQRAIRGLRFISGPNKASNAWIEVQANFDRLARDGYLSRDDFPQCIGMTESKEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEQLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISTKLSYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRHRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAARALPFDDNINFHKTIAAAIVVGVILHGGLHLVCDFPRLIGSSEEKYAPLGKYFGETKPTYLTLVKGVEGITGVIMLVCMIIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYISLVIHGEWLYLIRIWYKRTTWMYLAVPVCLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRVFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLINSIIKMEEEEEASGDLYPPIGRNKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIASKHPYAKIGVFYCGAPVLAQELSDLCHDFNGRCTSKFEFHKEHF >OGLUM05G24870.1 pep chromosome:ALNU02000000:5:26804693:26806235:-1 gene:OGLUM05G24870 transcript:OGLUM05G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L20 [Source:Projected from Arabidopsis thaliana (AT1G16740) TAIR;Acc:AT1G16740] MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL >OGLUM05G24870.2 pep chromosome:ALNU02000000:5:26804693:26805293:-1 gene:OGLUM05G24870 transcript:OGLUM05G24870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L20 [Source:Projected from Arabidopsis thaliana (AT1G16740) TAIR;Acc:AT1G16740] MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRIHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLASIL >OGLUM05G24880.1 pep chromosome:ALNU02000000:5:26807363:26812665:-1 gene:OGLUM05G24880 transcript:OGLUM05G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLEAAAGDQQHGRLLIPQLPAAYLASSSMAALSPAGDDWAASLILPDGGSAAAGVGEDDLGGGVMAAAAAESSCGGSSTVTSSGVTEAAAAAATTTRRGRGNGKKAGGGGRTPRFAFHTRSENDILDDGYRWRKYGQKAVKNSDFPRSYYRCTHHTCNVKKQVQRLAKDRGIVVTTYEGVHNHPCEKLMEALSPILRQLQLLSQL >OGLUM05G24890.1 pep chromosome:ALNU02000000:5:26815620:26818246:-1 gene:OGLUM05G24890 transcript:OGLUM05G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1W6] MCCSHQLVWVQGPIVVGAGPSGLAAAACLKEKGIDSLVLERSSCLAPLWQLKMYDRLSLHLPRQFCELPLFPFPASYPDYPTKQQFVAYLESYAAKFGINPMYNHTVVCAEFDERLMLWRVRTTQATGMMEDDVEYVSQWLVVATGENSEAVLPMIDGLEEFRGSVIHTSAYKSGSKFAGKTVLVVGCGNSGMEVCLDLCNHNGYPHIVVHILPREMLGQPTFRLAMWLLKWLPIHIVDRILLLVARAILGDTSQFGLKRPSLGPLELKSLSGKTPILDIGTLAKIKSGDIKVRPAIRRIAGQQVKFVDGRSEQFDAIVLATGYKSNVPCWLKDQGLFSEKDGLPRKAFPNGWKGERGLYSVGFSRRGLMGTAADARRIAHDIHMQWKSSKGRSRPAKPSP >OGLUM05G24900.1 pep chromosome:ALNU02000000:5:26821810:26825518:1 gene:OGLUM05G24900 transcript:OGLUM05G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLTTKTFPCNDGRSWTPSKAFASWVPFLDVSSRGVGASCVQEVVLWRLGLMFKVDSRRGVGAAVSLGDKLGNDSPCLAKVEMQHFSKSSSR >OGLUM05G24910.1 pep chromosome:ALNU02000000:5:26826694:26830381:1 gene:OGLUM05G24910 transcript:OGLUM05G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9/RNase H1 [Source:Projected from Arabidopsis thaliana (AT5G53070) TAIR;Acc:AT5G53070] MASARAALLRRHCLAAAGGANPVLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNIDKFALLIREQRKLYQRQEEEVVKEVRQEDDDAKQQEEKLKEYQTAAKRLDNALLVLRRFISVGNELRSPVTKDEIVSEVARQLNINIHPDNLHLPSPLASLGEFELPLRLPRDIPRPEGKLQWTLTVKIRRK >OGLUM05G24920.1 pep chromosome:ALNU02000000:5:26829951:26832849:-1 gene:OGLUM05G24920 transcript:OGLUM05G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKSPLSQMGSRDRDRELLIPVSGGGSAPGDGDGDGDRAASSSASAALSSSSREAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFITSVTFIFVVGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRIGEYAFGFITSSVSLQSYTGQEELYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQTILGDRTGASRS >OGLUM05G24930.1 pep chromosome:ALNU02000000:5:26837625:26838353:-1 gene:OGLUM05G24930 transcript:OGLUM05G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEQRGRVFVLTLTGDGEHRLGHSLIGSIRAAVSSVAAAAAAAGPGAALVTVAEGRFFSNGLDIGPGGIPPSRVGELVVALRPLAADLLALPMPTVAAVTGHASAGGFLLTLCHDYRLMRADRGVLYMSEIDIGLPLPPYFVAILRAKITAAHALRDVTLRGRKLKAAEAKEMGIVDVVCPTAAETAAEAVKLAEQLAARKWNGNVYSSIRISMFPEACRSVGIVEESDEEKRNHFASKL >OGLUM05G24940.1 pep chromosome:ALNU02000000:5:26838723:26845398:1 gene:OGLUM05G24940 transcript:OGLUM05G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIGSAELTTLSGVPLQHQFNSAVNKQSKVRPHHLAEGEPLVEEQCEHEGSGDEHHMAEGVLILVVRAPHLWVAPHAPHDRRRAGDEHHLHHRVVERDVVREQVHVPRHEHQHVQLLRLPRHPCANTQIRERRIPPTPNQAAETLPPLYGSGSPEQDFVA >OGLUM05G24950.1 pep chromosome:ALNU02000000:5:26839531:26841994:-1 gene:OGLUM05G24950 transcript:OGLUM05G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor family protein [Source:Projected from Arabidopsis thaliana (AT1G29330) TAIR;Acc:AT1G29330] MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYMDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKDQDTFRHVVLVAAAFVLALLFNERFTFREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWIAGLVQTALYADFFYYYFLSWKNNVKLELPA >OGLUM05G24960.1 pep chromosome:ALNU02000000:5:26849715:26855275:1 gene:OGLUM05G24960 transcript:OGLUM05G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPEGVGVGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKRGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHRSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYSKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISVSLGTAPTVQLMFSSRVNKSKAERSREQVLHAFESVLASAITLEIRYEPKDDARAGHVPAISPYPEDSTSNVALRRSFTRHSSASSRGENLIRRLQKDNVVHGANSNQTRWVQSDPHILTEGEIIEVGPSQVDWHSEPNNSAGVRKENIVLQGREVVNNEHGRQNSIVRGKVSLAHVINKAETCSQQGGWSRQKAMSIAEKLEQENLRLEPKSSLLCWKASSTTRRKLSALKMRTRRSRALSRLAFCGKCISTKSPR >OGLUM05G24960.2 pep chromosome:ALNU02000000:5:26849715:26855275:1 gene:OGLUM05G24960 transcript:OGLUM05G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPAATAAAGMGSGGGGGGSGEHHMRGHAHLTNCIHLRHHHAHGLGAGSASSGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSSSRVAAEPEGVGVGLGDDLHDDSSRPGGALKTLLDQLAENPQPKPSRGPRRRFKRGAGRRAAPASATGGALDRGVDGAAAVSVNSSSQEAVCGNKYLFGVVGGDDDDDDECGVELQRPQASQDSRNVCGIPWNWSRIHHRGKSFLDMAGRSFSCGLSDPKSASAARRSIAATSAGSCGHMDGSRSHPHFPVTARLTSTSSDSDSLPLLVDGVRNGIGGIARSFSGELGIFSNQTSELDSDLASEARSGQRSRGSHRGRHRSLTQKYSPKTFKDMIGQSLVVQALSNAILKRKIGLVYVFYGPHGTGKTSCARVFAKALNCHSPEHPRPCDSCASCIAHNLGKSRSLVEIGPVGNIDLDSIVDILDNVMLSPVPTQHRVFIVDDCNTLPPDTWSVISKVIERAPRRVVFILISPNLDLPHIIVSRCQKFFFPKLKECDIVNTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYAFTQERVRRKFFKCPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYVLPSSSTSASFNQGLLTCPEGDIGRSTAIDHTNIYAGPHGLPRNANLGVDSDNNMAGTRRGKGPGEHTPDNHVLLARATRVNLSRYSKSDNEMIWQAVLEHIRSDSVRKMLAKEARLISHQLCN >OGLUM05G24970.1 pep chromosome:ALNU02000000:5:26860753:26863551:-1 gene:OGLUM05G24970 transcript:OGLUM05G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPIPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRSCAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLEKIRTRMTNKKYSDISSSWTDIWGSPTRYQGNGKLKQITQFKKKEQRERREQLLLLGDGENTREKAQTGHRSTNFQRQRAIKNEFRGKFPLAVVW >OGLUM05G24970.2 pep chromosome:ALNU02000000:5:26860753:26863551:-1 gene:OGLUM05G24970 transcript:OGLUM05G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPSPPLLAESLATLRTASPSPPIPCSPRRTRPLVSARFARTAGRRSRSTGGRRDLRSCAYAAEAEYGSAEDEVADDFYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDNPEVTNFCMFINEVYTVLSDPVQRAVYDEIHGYTATATNPFFDDSAPKDQVFVDEFTCIGCKYCANVCPNVFRIEEDYGRSRVYSQSGSTELIQEAIDSCPVNCIHWTSAAQLSLLENEMRRIERVNVGLMSAGMGVSVDVFRLASVRWEKRQSKVLKKKEQRERREQLLLLGDGENTREKAQTGHRSTNFQRQRAIKNEFRGKFPLAVVW >OGLUM05G24980.1 pep chromosome:ALNU02000000:5:26883492:26886420:-1 gene:OGLUM05G24980 transcript:OGLUM05G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFDAWVGVQRHGQDLADRLAQGFSGLLLHAHPPQLHWSAPALPAKLIPFEIDLPVVPFVVGGRRAGGGRGAADLPAAAVASFVEIGGRLGQAGSELGACVGGAVQQLARQIPVPFLAESARGRKWEAIPPQPAAAAAAAATVNEGEVALAVERAEDKELASERVGDRGPLEVAAAAAAAATGSATATSVGGAEGGLDEEDDGFGCDIGTIGNFKKAKGTVNISATYETRHHDFETSVVARGDLWRLESSRGGSNSGDENAPLFLVQLGPLLFVRDSTLLLPIHLSKQHLLWYGYDRKNKMHSLCPAIWSKHRRWMVMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPFFGGLLQAHGKCPGETRLSFSFKSKQGTRFTPMFQWPDNSLSFGVAQALAWKRSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCARHPSAFTAVSLGRSKWNGQVGSSGLVITLETPLDNLARPSLSVQLNGGFEL >OGLUM05G24990.1 pep chromosome:ALNU02000000:5:26895134:26896681:-1 gene:OGLUM05G24990 transcript:OGLUM05G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLHNMVPKPMKGPIQVYYQLENFYQNHRRYVKSRSDKQLRSKEFSSVIKTCDPEAISEGGAPIVPCGLIAWSLFNDTFTFSVNKKTVQVNKKNIAWSSDRTIKFGSDVFPENFQKGGLIGGGQLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGKNNFIGFAYVAIGTISLLIALAFVGLNMVKPRTLGDPSYLSWNKENPDYVQ >OGLUM05G25000.1 pep chromosome:ALNU02000000:5:26901142:26901450:1 gene:OGLUM05G25000 transcript:OGLUM05G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGVTRFAEACLAACLVVLLLRCSQCEGRKLMLAAEEGGSDEVMHFEGGLELRVAVWRRRRRGGGGGVAVSPPPRPRGFSASGGRAERLMRSVPSPGVGH >OGLUM05G25010.1 pep chromosome:ALNU02000000:5:26907579:26914690:1 gene:OGLUM05G25010 transcript:OGLUM05G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNLGGGGGGGGGGGPPPFLIKTYEMVEDAATNHVVSWGPGGASFVVWNPLDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQNQINGPLAESERRELEEEINRLKYEKSILVADLQRQNQQQYVINWQMQAMEGRLVAMEQRQKNIVASLCEMLQRRGGAVSSSLLESDHFSKKRRVPKMDLFVDDCAAGEEQKVFQFQGIGTDAPAMPPVLPVTNGEAFDRVELSLVSLEKLFQRANDACTAAEEMYSHGHGGTEPSTAICPEEMNTAPMETGIDLQLPASLHPSSPNTGNAHLHLSTELTESPGFVQSPELPMAEIREDIHVTRYPTQADVNSEIASSTDTSQDGTSETEASHGPTNDVFWERFLTETPRSCLDESERQESLKDDVKAELGCNGFHHREKVDQITEQMGHLASAEQTLHT >OGLUM05G25020.1 pep chromosome:ALNU02000000:5:26910677:26914902:-1 gene:OGLUM05G25020 transcript:OGLUM05G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1Y2] MRSDGSRRESRDPPPTRPTASERISARHEAAAGRAKSEGSCSPPPLPLVRGAPEKMEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >OGLUM05G25020.2 pep chromosome:ALNU02000000:5:26910677:26914902:-1 gene:OGLUM05G25020 transcript:OGLUM05G25020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1Y2] MRSDGSRRESRDPPPTRPTASERISARHEAAAGRWDPRGPPPPPPRPLPPPPPLSLSLSSSSPLSRHGRISLSLPAPPRRAAVAFSGEGEERGLLLAAAPPPRPRRAGGQKMEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >OGLUM05G25020.3 pep chromosome:ALNU02000000:5:26910677:26914037:-1 gene:OGLUM05G25020 transcript:OGLUM05G25020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1Y2] MEGAGRDGNPLGGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIKSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPLARDLIPRMLVVDPMKRITIREIREHQWFTVGLPRYLAVPPPDTAQQVKKLDDETLNDVINMGFDKNQLIESLHKRLQNEATVAYYLLLDNRLRTTSGYLGAEFHESMESSLAQVTPAETPNSATDHRQHGHMESPGFGLRHHFAADRKWALGLQSRAHPREIITEVLKALQELNVCWKKIGHYNMKCRWSPGFPSHESMMHNNHGFGAESAIIETDDSEKSTHTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >OGLUM05G25030.1 pep chromosome:ALNU02000000:5:26920876:26922291:-1 gene:OGLUM05G25030 transcript:OGLUM05G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASVALLLHLLSMAAAATAALAMDPAERETLLLVMEAVSSDRDWRSVGPDPCGSPWPGLECKPVPAAGNVSSAAARLHVTRLDFGVAPNPTCKDGAAFPHLAFALPHLQSLFLVDCFKNPAATTAFTLPPPANLSSSRLQQLSVRSNPSLSGTLPPQLSSIRSLQVLTVSQNALIRGEVPQGIGELKSLVHLDLSYNSLTGTIPSRIGELRSLVGLDLSYNSFSGSIPGQLGDLAMLQKLDLSSNNLTGGVPATITGLTSLTFLALSNNGLSGHLPAGLSDLRDLQYLIMENNPMGVPLPSELGDIARLQELRLANSGYSGSIPETLGRLASLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRRLGRNLDLSGNPGLCVDGRAVLQADVGVGVCRRAGDGGDIASVSAATDVLSVGTLFRRDGQLRLAGGRWSALLLIRPVAVALCCSCCLLL >OGLUM05G25040.1 pep chromosome:ALNU02000000:5:26930241:26934376:1 gene:OGLUM05G25040 transcript:OGLUM05G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVEELDEFEVLWPDTDAADDDAPPPAISPAPPVQPYETCAPTPRVKHSRPVDVPCRGARLHRWNWRDGGASMEEDGHGSVVGKVVIVPPHLLLLFGVRRPEEEEEEMAAAPCTLPSSLGTRPCKRARDLRHLRNSVLRMTGDQTLRMPWIPTIRCTALHINPVRFLPAYRYTPLRSSHCRLPKELCLLTKTRQLLPLFSSSLQRTPPYINTPSPSPRRPLSVVQETAGDGDGDAMEEFQEADILWPEPAEDNSDDGVVVVTTTPSPVARRPVGSPESSSLSAPVEIAASRRKRRSRSWASEYNMFDQTNDDDDAVKKKMMNNGVMVAPPHAIVDRRRLRGRTAAYSMCAGKGRTLKGRDLRNVRNLDCKLLARGINV >OGLUM05G25050.1 pep chromosome:ALNU02000000:5:26935724:26936461:1 gene:OGLUM05G25050 transcript:OGLUM05G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKNHCAMAALLLAILLPVSHASGKYVAPAPAPAPVPPPPHTSPSPSPSPPPPSRIQPVVVVQGTIYCKSCKLSGYNRYMDASPLPNATAQLVCYGDKVLNMTSTATDKNGYFLVMVYRLDVFRRSRCRVYLGSSPSPLCAAPFIPSNKWLGLTLERERVASLPKGVRGVYRPKSTLMFGPGTGGKCPAAAADDAAGVPMM >OGLUM05G25060.1 pep chromosome:ALNU02000000:5:26938981:26940257:1 gene:OGLUM05G25060 transcript:OGLUM05G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVGRKPIEWQERVVTRDWTNLGEGPAGLIAERLLASDVTFRAVCRPWRLCCADPRAHGVLDRRFHPRRWITLRGMHPHLPVPPLPPERHHRPLQARTWISRSSAATTCSARPPRDSSSSSTPPPTSSAADLPPATNLLNRRTVEEQPYRLRSLRTVSGAGLADERTFAVQFGSTRTIAVVKPGDVDWTVVDRGAHFMPTMSFAGRFYCATIDAVSWWWRLVHRGWPLSPIWLGRFPR >OGLUM05G25070.1 pep chromosome:ALNU02000000:5:26943881:26945418:1 gene:OGLUM05G25070 transcript:OGLUM05G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFVKFVVLSLAAVLLALDGGGGGGGGASAMGLPPPPPTVNFSIGVQGMVWCKTCRYPGYLAAMDASPLAGAVAYLRCRHGHRRVASIRGVAGSGGYFRIETSQLTSFTSQECRVYVPRSPSRACAVPGHGRRGLPLKFEEFVKRDNGLQGLYSVGNFVFSPKYPNKCY >OGLUM05G25080.1 pep chromosome:ALNU02000000:5:26947250:26952261:1 gene:OGLUM05G25080 transcript:OGLUM05G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAATLGMRCGSYGSLASAGGGRKGGGRGWGWRGGGEKERLQLLHRALRLVGRRRAGVLLLLAAASAAVFCSIFAVVKDDNASMIIANNYEVANTIQNSVYPSMTRPLMTSSDQFSASSVNKTELPNRLRLSFANFTHHPCEGFSVAPPLVDPKRTGPRPCDVCYVPVDQAFALMPLQPSPSPVLKNLSYVFEDNITANFSNQGSVFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGSGFDINDDDLLEMEKCRELVVASAIFDEETEAYVKNSSSLYRNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLKLVVDPYLLLERFLWRKNATFAISRHYKRFDVFEEAEANKAAGKYDNASIDYQIEFYRNEGLTYYAPAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVIQGYHRELLEQMIASGWKPPPMASEPSRKLRLGSRKAPPSKKSSMKRKRVKKSSSRRRLPKPITGMTDTTT >OGLUM05G25090.1 pep chromosome:ALNU02000000:5:26952428:26953299:-1 gene:OGLUM05G25090 transcript:OGLUM05G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESRVGLVLAGMECKSEEIRVDSLEAVQKLAEKRGDEHGVLEIADALGDLKKNNDWHVNNLGVVEALSTLYGPLFLSIGTLRIILRHRHDNGIAAVQKQAHRLILQGRKLKTMELGGITGTVFLGQNHQESVPQGGRARGDRIINLCKQVIGDDAEHRTKRNKPVSDEWFDKADKLRKDIEMFVTQPVHLPVDPDAASGRDERLPAAAADDDHLPPLPALLRNKRSTSPAAAAEAEGEHLLAASGSTSDHEESLPRRRRRRLSPNLPAVPDVTPK >OGLUM05G25100.1 pep chromosome:ALNU02000000:5:26956931:26960723:-1 gene:OGLUM05G25100 transcript:OGLUM05G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLIGGPGPGDGDGDGGKSKPVGSPCQQEDDNQDAKRMRNPRVSLPEDIWYHIHSLLPLRDAARAACVSSTFLCSWRCRPNLIFSQKTLGLNGNWRENVRELINKVDHIMKNHSGIGLRTFGLQSYNLINTCYLDRWLNIAITPAIEELSLTQFPENNTKYYNFPCSILFNRGGNSIKHICLSYCAFRPTGGLNFLRRLHLGEVHITGDELECLLSNSFALEQLTLKYCKELNYLRIPCQLQQLKDLEVYERKALQMMEVKAPNLSTFHYDGNLARLSDGGLLAVKKLRISSFYQYNNVHYASANLSSIVPTIETLIISSFEVQYSSCTFQIPPPQELEDFSYRIQWGLSPAYDYLSLAYFIDASPVLETFTLIVSQIRMEHDVISENSSHLRQMPRSTHGNIKNVNIIGFCSAKSMIDLTCHILENATSLECLTLDTIHDDYVHPDRLSLHEVGFCYRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCSWCHATEGLGVKDHRVA >OGLUM05G25100.2 pep chromosome:ALNU02000000:5:26956931:26960723:-1 gene:OGLUM05G25100 transcript:OGLUM05G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSLKRLIGGPGPGDGDGDGGKSKPVGSPCQQEDDNQDAKRMRNPRVSLPEDIWYHIHSLLPLRDAARAACVSSTFLCSWRCRPNLIFSQKTLGLNGNWRENVRELINKVDHIMKNHSGIGLRTFGLQSYNLINTCYLDRWLNIAITPAIEELSLTQFPENNTKYYNFPCSILFNRGGNSIKHICLSYCAFRPTGGLNFLRRLHLGEVHITGDELECLLSNSFALEQLTLKYCKELNYLRIPCQLQQLKDLEVYERKALQMMEVKAPNLSTFHYDGNLARLSDGGLLAVKKLRISSFYQYNNVHYASANLSSIVPTIETLIISSFGEVSQIRMEHDVISENSSHLRQMPRSTHGNIKNVNIIGFCSAKSMIDLTCHILENATSLECLTLDTIHDDYVHPDRLSLHEVGFCYRIGSPMIMEAENALLAIKRYIVGKVPSTVKLVVLKPCSWCHATEGLGVKDHRVA >OGLUM05G25110.1 pep chromosome:ALNU02000000:5:26962126:26964559:-1 gene:OGLUM05G25110 transcript:OGLUM05G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSQGPTTARRRPLRVLPGNRTPQGSRPRKPAPTPAAACRPATPAAAAASACPPASDAAAIDRLLLARSVLAGLVSQIDELVCAALECQSVSTKGKQEIESFSCFLSDTNSSLKQWSSRLKLALQASPEKNKNVSKFTSGACSVPATIGNDRLLCSNIDEPDLIVSPSPLVSWRAGACMVDSGKQLFLLTPLTKTKTCSSRCPKSSTTRLKTTTGLDQLHLPNIPVLKLTISDDDCLNLEQSVKANEANTCVMTPNFIKAKKGSSGNSLFSPFSFTVQKSGRALPSPCLRTALSCKQQRFSPISEGSRKEEIPSTGPTQTGKPSEASGDISSDEISKDLASRYPDFYGFNQPTMTTYRRREADDTLDWFLSPLKTCVLMDPSDEKPIAPPARDSKSFIDTPCKGLESDNLQKIKELSDDKPIQTSSVHSKALLGTPWKGLESNILKKGQGISDDKPIQTPAIHSRALVGTPWKGLESTNLKGKQAGETTLKRELWTRFEAVSTNELHFDSSVFQRSDGRRFIDILEEEAS >OGLUM05G25120.1 pep chromosome:ALNU02000000:5:26965886:26969469:-1 gene:OGLUM05G25120 transcript:OGLUM05G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A1Z4] MRKAAAAAVAAAAAVGVALLVRRQLREAKRWGRADAVLRELEERCAAPPARLRQVADAMAVEMHAGLASEGGSKLKMIISYVDALPSGEEKGVFYALDLGGTNFRVLRVQLGGKEGRVIKQEHDEISIPPHLMTGGSNELFDFIASSLAKFVASEGEDFHLAEGRQRELGFTFSFPVKQTSIASGTLINWTKGFSIDETVGEDVVTELTKALERQGLDMKVTALINDTIGTLAGGRYDDNDVIAAVILGTGTNAAYVERANAIPKWHDLLPKSGDMVINMEWGNFRSSHLPLTEFDQALDAESLNPGEQVYEKLISGMYLGEIVRRVLLKMAEEASLFGDEVPPKLKIPFIIRTPYMSMMHCDRSPDLRTVGAKLKDILGVQSTSLKTRRLVVDVCDIVAKRAACLAAAGIHGILKKLGRDVPNTDKQRTVIAVDGGLYEHYTIFAECVESTLRDMLGEEVSSTIVIKLAKDGSGIGAALLAAAHSQYREAEEL >OGLUM05G25130.1 pep chromosome:ALNU02000000:5:26971023:26971280:-1 gene:OGLUM05G25130 transcript:OGLUM05G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGALGGGSRARQNAMRSGLVVLGAAAFGYLSFRVGFKPYLDRAQEAMDDTTHHGSASGAAAQPDHAGEEDDVATSKDPAVVLRD >OGLUM05G25140.1 pep chromosome:ALNU02000000:5:26974996:26980151:1 gene:OGLUM05G25140 transcript:OGLUM05G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANPFDLLGGDDNDDPAQLLAKAAVAAQKAEAKKAAAAAAAAPAAGKGGAQPAASKFPTKPAPPSQAGCKDMSFDLILPFSVRESRDGSAPSRGGYGRGERGRGRGGRGYGQNRDFGSEELNGFQGGYGGGGGSRAGGEEGAQDRERGPRPPFQGGGRRGGFRDSGYGDDSERMSRRPYERHSGTGRGYEMKREGSGRGNWGTTTDEILAQETGEALKLDEKAPVTEKQGAPEGAPQADENKDNKDVTPNEEEKEEDKEMTLEEFEKLREEKRKALLSLKTEERKVEIDKDLKSMQPLSNKKENDEVFIKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGDRGGFRGGYGEGHRSAAPPAPEIKDQSQFPTLGRK >OGLUM05G25150.1 pep chromosome:ALNU02000000:5:26978528:26979966:-1 gene:OGLUM05G25150 transcript:OGLUM05G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFSAILGDLTSRSISYVMDRYCSNQPAAIDDGIRQLRRLLLRTHTIVEEAEGRHITNQGMLRQLKAMRDELFRGHYVLDTFRHRADLLQKEEEKEDEQVRSSFAMSRLNPAKRIRFSRARTSSFQDLESMIRSLEDAIADTKEFIVFLMSCPPVMYRQPFSTHLYLDKCMFSRQIEREQVIDFLLRIDPDPHGSCNDIGVLPIIGPALIGKSTLIEHVCRDERVKSHFSLILFYNGDELKHETLATFRDRCIIKHQNNCTSSPKTLLLVIEILGDVDEDTWKELYYSSENRIPRGSKIIITSRSEVFVFGSADPDEHPKLKSIAMEIAAELRRSLFCAHVVGALLRVHLDAHFWRRVLEGTREYMQKNLILASEYPHDLKTDKNHPRYAWIISEPKPIKYLLIYDSYQKGSEDAEVPNITNQDLLFGEASGRGKFDILLWKSQMPPYCSHICSCVV >OGLUM05G25160.1 pep chromosome:ALNU02000000:5:26983247:26988255:-1 gene:OGLUM05G25160 transcript:OGLUM05G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRSQLMEMFISAAIGDLISRSMSFIVGKYCNCNQATAEENLQRLRQLLMRISTIVEEAEGRHVRNQGMLQQLKILRDEMLKGCYILDNFRYRAIQDKAKDDEVSHSFALSRFNPAKRLRFPTSKPQQTVFSGGEVEDLQKMVHRLEILIADMKEFIAFLVQYRPMYRQPYSTHLFLDKCMFNRHMELEHAIEFLLQMEPPGSSNLGVLPIIGPRHIGKSTLVEHVCIDERVRNHFSLILFYSGNSMKDETPTTLRENFIVKHRGNAFHKRLLLVIELSRYADEEKWRLYSSELRMPHGTKIIITSRSENITSFGTTRPLRLRPLTCEAFWYFFKVRIFGSIDPEEHVKLASIAMEIAKEMEGSFMHGNVFAGLLRTNFNAQFWYRVLAWTREHIPNNLNLCSEYPDDVTMNHPAYIKGIAQPIKHFCMYEPYPKGSLEDDVPDTTVQDMLCGKAKARSNSEILVWKSQIPPYHNFISRCVVQSSRITACCRRVANNTTPPAKLHFSALISASMALTTFFREGFLMELLSAILGDFVSRSITFMIDRYPKQRPSVDENLQRLHHLLVRIRTVVEEAEQRHVTNHGMIRQLELLREQMFRGHCVLDAFRFRDEEEDEVTPRFALSKFNRAKRIRFSDSSSNTQIQTRSTNDLHQTVTSLERIIGDTKEFVVFLMSYPPVYRQPYSTHLYLDGCMFSRQMERENAISFLLQREPLAVAEEIVQVLPVVGPEFVGKSTLVGHICRDQRVREHFSLILYYSGDDLRYEKAETFSEICQTKHRNESNDAMDGRLLLIIELLGDVDERTLKKIHSSFRKQMTHEIKIMITSRSEKIIRLGAKQALRLNFLPFEAYWYFFKVLAFGATDPEQHPKLASMAMEIATMLRGCFLCAHIGGALLKANFNSKFWSRFVAFVREYIDEINSLMPCDCQDHPKFGWVIVRPKPENYFVLRDSYQKALVEDDGPKIKLVDLLSGRVRRRGKFEVLVWKSRIPPYYSYISNCVMY >OGLUM05G25170.1 pep chromosome:ALNU02000000:5:26991311:26992261:-1 gene:OGLUM05G25170 transcript:OGLUM05G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGQVQPHAHEPDGGGANHRRPQSPPSLPAEVVPAFAPPESEDEESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLPRSISFHLANKLCSSTLLSTLLYDLFLASFTAHPSLRAAVVADLLAARSRDPACVGFSQCLLNFKGFLAIQAHRVSHVLWAQHRRPLALALQSRVADVFAVDIHPAAVVGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPARNTAVGNPARLIGRKNGEAEKDEDMPGESMDHTSFIRQWSDYTI >OGLUM05G25180.1 pep chromosome:ALNU02000000:5:26994912:27002552:1 gene:OGLUM05G25180 transcript:OGLUM05G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A200] MGCLAAAAAGAEVAPLLFRRRLAPSPVAARRRLLVSCRARRRGLRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNQARLQALEHVEKILKEKEDLQRKLKILETRLSETDARLKLSAEGQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLTEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKPGPRQYDAWWEKVENLGDLLETATNKVENAAMVLGCNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEERFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDSFLKITLPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFKNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVAQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVSHIQREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELRNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVEKDMRIDFSWSSSASQYEDIYQRAVARARAAA >OGLUM05G25180.2 pep chromosome:ALNU02000000:5:26994912:27002552:1 gene:OGLUM05G25180 transcript:OGLUM05G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A200] MGCLAAAAAGAEVAPLLFRRRLAPSPVAARRRLLVSCRARRRGLRTAAELPRKSTSNDKHHNRVNMQRDEASVSSDKERQEKYGDENGISNLQLEDLIQMIQNTEKNIMLLNQARLQALEHVEKILKEKEDLQRKLKILETRLSETDARLKLSAEGQFGTEINDSLPVLELDDIKEENMLLKDDIQFLKTMLTEVAETENSIFTLEKERALLDASLRELESRFIDAQADMLKPGPRQYDAWWEKVENLGDLLETATNKVENAAMVLGCNHDLEDKVDKLEASLAEANISKFSCYFVDLLQEKIKSVEERFQVCNHEMHSQIELYENSIAEFHDILSKLVEETEKRSLEHSASSMPSELWSRISLLIDGWLLEKRISYNDANTLREMVRKRDSCLREAYLSCRGMKDREIVDSFLKITLPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQNDQVNNLKVLDVVVQSYFEGNLFKNKIWTGTVEGLPVYFIEPQHPAKFFWRAQYYGEHDDFKRFAYFSRAALELLYQSQKKIDIIHCHDWQTAFVAPLYWEAYANLGFNSARICFTCHNFEYQGAAPAQDLACCGLDVAQLDREDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYALEVRSEGGRGLQDSLKLHSRKFVGILNGIDTDTWNPSTDRHLKVQYNANDLQGKAANKAALRKQLNLSSTNASQPLREFEGIADHFQNNNNIRLLLKYDDSLSHWIYAASDMFIVPSMFEPCGLTQMIAMRYGSVPIVRKTGGLNDSVFDFDDETIPKELRNGFTFVHPDEKALSGAMERAFNYYNRKPEVWKQLVEKDMRIDFSWSSSASQYEDIYQRAVARARAAA >OGLUM05G25190.1 pep chromosome:ALNU02000000:5:27005437:27008393:1 gene:OGLUM05G25190 transcript:OGLUM05G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVNCCDLMAALASKLAQMQAKACDAARFAAKHGCAYHRALMEKNQKYVGDPPTIERCQELSKQLFYTRLASLPGRYEAFWKEADQVKNLWRNRKDLNVEHAGVAALFGIELYAWFCVGEIVGRGFTFTGYHV >OGLUM05G25190.2 pep chromosome:ALNU02000000:5:27002873:27005613:1 gene:OGLUM05G25190 transcript:OGLUM05G25190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWARRGSIWPMTFGLACCAVEMMHTGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRRDFLHWWNK >OGLUM05G25200.1 pep chromosome:ALNU02000000:5:27009216:27013057:1 gene:OGLUM05G25200 transcript:OGLUM05G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEICRGLDEFVVGQDKAKKVLCVAVHNHYKRIYSESSNKCSMKSSACGDVGMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDGRDVSGEGVQHALLKIFEGTVINVPRKRNQDSLSDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCAEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTGNALRLIAKKAAARETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGTLELYVYQNNIRLPGLIPGNPKRTRIFSFCLLVALSATKLWVYHTFHCCSSIYEWVVSILCKANIFTQ >OGLUM05G25200.2 pep chromosome:ALNU02000000:5:27009357:27013057:1 gene:OGLUM05G25200 transcript:OGLUM05G25200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAARRLLLAARTGAGAGAASRLSAAVFALRSYSSTAQSSSPRRFPTPKEICRGLDEFVVGQDKAKKVNETSLLVGVVLCVAVHNHYKRIYSESSNKCSMKSSACGDVGMSGDDDIELEKSNILLIGPTGSGKTLLAKTLARFADVPFVIADATAITQAGYSGEDVESIICNLLAAAKFNVEATERGIVYIDEVDKLIKKVECNEDGRDVSGEGVQHALLKIFEGTVINVPRKRNQDSLSDGYVEVNTKNILFICGGSFSGLEKIVSERHRNCHMGFGLPTSGDLRNCGWTNAIGESCCAEAIESDDLIAYGLIPEFIGRLPITVGLNDLSEAQLVQVLMEPKNAIGKQYKKLFKMNDVKLHFTGNALRLIAKKAAARETGARELRSIMEDILTEAMFEIPDAREGKEKIIAVLVDEESVGSVHSRGCGAKIFRDDGTLELYVYQNNIRLPGLIPGNPKRTRIFSFCLLVALSATKLWVYHTFHCCSSIYEWVVSILCKANIFTQ >OGLUM05G25210.1 pep chromosome:ALNU02000000:5:27013342:27015264:-1 gene:OGLUM05G25210 transcript:OGLUM05G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKPLSARRLVPALFPLAHADAASAAASRRARRRDAFVATPPTPPPSPSAVRLAEPLPSLAPSRLALHNRILALLSPSSKDGEKGADLAEAALLTRHALHSNCRPSSFTCTAVLAALLRARRLDDFFALHRFALQAAVPPTAATYALYLSALAARRLPDAALHHLRLVSRPGSPVPPSPSAYRVVVKSLVVDHGRLTDALELKDEMLASGFVGPDPQVYNLLMAGFVEAGDGAKAVELYEELKEKIGCEPILDGIVYGSLMKAYFLMGMEEKAMECYKEVLGAESEVRFGAESYNGVVDAFGRNGRLDDAIELFERMLREHDPPLKIAVDVRSFSVIVDAYCAAGRFGDAIAWFRRMGEWEVIADVAAYNNLIQHLSLQGLVSEAELLYKEMGESGLKADEETYVLLMQGCFRVDRIDEGINYFDGMAELGLKPDATAYHKIVDGLIGFGMLDNAHGYLDKMRGKEISPSIASYEALLKAYIGEASLDKSIDIAKDILLDEKVVFSNEMRDLLEGALREAGREGDITKLYEDVEEKKAEAAARAEEEKARAEALAREEREKRRAEAAAKDEAAARASAAAIEAILGHKRKTENVTGEPAPDANSLDGVFQSRFGITSAGDGALQGTSSGGETKQGDGH >OGLUM05G25220.1 pep chromosome:ALNU02000000:5:27016191:27018573:1 gene:OGLUM05G25220 transcript:OGLUM05G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G61770) TAIR;Acc:AT3G61770] MEVLSSSRFSRVFPSSLLSPVSKPLKPPRHRRRRVQTLSSSSSDAAAAPSTPPPVWPPASLSRLLAAALRGGRAGGELPDLAVGAGAAATAAGGGARIGTLLMSTTAAAVTKARENPYILALAANPTFVSGLVAWAVAQAAKVVLTSFVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDSLFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFQGHPISERKLKELLGHTPSQKWKNRFDTKIFGASGVTIVPGDS >OGLUM05G25230.1 pep chromosome:ALNU02000000:5:27034356:27036818:1 gene:OGLUM05G25230 transcript:OGLUM05G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKQFKRPPGYEEPAVLAAQTTFTVNEVEALRELYNKMSYSIIKDGLIHKEEFQLALFRNSRKANLFADRVFDLFDLKRNGVIEFGEFVRSLSVFHPKAPKSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLHLSECAVEAIVDNTFSQADSNGDGRIDPEEWEEFVKANPASLRNMDITMAFPSFVMHSEAHD >OGLUM05G25240.1 pep chromosome:ALNU02000000:5:27037405:27040436:-1 gene:OGLUM05G25240 transcript:OGLUM05G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLRIGKVLDCFSFSMCCARSSGCLCLRASEEDGDEEAAMERKSLVSSSSQVDQVIRLRDLVDGTRTLGFHLEPKTVELKVSMHCNGCAKKVQKHISRMEGVTWFEVDLEKKKVVVTGDVTPLEVLQSISKVKFAQLWPLPPQPAAPATVSSA >OGLUM05G25250.1 pep chromosome:ALNU02000000:5:27044989:27050663:-1 gene:OGLUM05G25250 transcript:OGLUM05G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADREKAEETEEEEYESDLDDAPLPAVRRRDAASDEEEEEEEEEGARPSPPTKAGSDAESDGQGAAEVYDDDDAYEDDEGYEEYGEVYEEFEQGRGVAGGVATGAVAAAGEEAGMGMKGEAEGEASAAAAEGEEGKKGSEPYAVPTAGAFYMHDDRFQEARGRGRQRRMVGDRRLWNAKEDQAWVHDRFDEMNLHDFHNDYTRRRPRGRFRGRGGAPVGKVRGGSRDNFRGNRSQTYYRDGAKNYIYVPKEPHSYHDNTKKVQQVLNDNGKNRTIKPPNPRDGDANNFDFVRKESRPLYGNAKSNKSAPRVVRGRGSKRYQPRWRSTAEISSEHNNKSQNLENTSSNANLGKHQHQASNSQPERGFPMKQSFASNLNSASPPFYPSRPSHQELPVSQRGNGQPSTTTRHFSSPIGMEHVSPTPQYGPLLRGKAFVPSAGHGKLHAEVPIKGMDHPSFHSSTSSSTSQFPIATNQVTGNSAKSPHPIVQQRLVQSFNQSTPKMPGQMFAAQFASSDKLPSSMQSTSTILTEGTEISSPHGSNKSNTRLMAKGQHSDQGEEHASFMYGGAQVLGTTGSLGDQNFHGTPALFPVMQFGGQHPGGTGVPSIGMALPGFVSQQQLGLSNSEMTWLPILAGASGALGATYGSPYITVDGSYYPRTSEHASSSVSLREPSASSQLKSQEITEALNDELSQRQHKPRRYSEMNFGK >OGLUM05G25260.1 pep chromosome:ALNU02000000:5:27053470:27058784:1 gene:OGLUM05G25260 transcript:OGLUM05G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFEGQPLPDIRSATKPDDYHRLLIDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFMVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >OGLUM05G25260.2 pep chromosome:ALNU02000000:5:27053470:27058784:1 gene:OGLUM05G25260 transcript:OGLUM05G25260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVKEEERNERAIRALLKLPGNRRCINCNSLGPQYVCTSFSTFVCVSCSGIHREFTHRVKSISMAKFTSQEVSALQEGGNERGKEIYLKHWDFEGQPLPDISDVDRLRNFIKIVYVDRRFTAERIGNHQPQAKGSRDDTYRNNNIDSSRGVQRGPYGGTSEDNHGPQHSTASTSEDQNNLNKHPVPAKVDQKNRTTTERENANTGKHQYLDGLQKTGGSSENNLKDTTKSVSSVVEPSKETNRKVLPIRLPDPPRSHKATTSTTPAEIQKVVPPRAADPSSKTTTDVKLEISKSLIDFDSDFEPHQGFGQTEVQKSSPLPDVGWATFDDTTPKNATATSISSTNSLNGPLVQILNSVSAPQISFPTRQSTKSLSFSQANNGSQQNQFFFRPTDNIQSYSSPLNRANSAPVNSQLWGAASQASIQGSHALPSNHGSNILAGTLASQRPAVDTTSSRGKALPEDIFTMSYHPYAANWDWRANPQLNMGYGQYNMQYPVGAANFPSLSSMRGALPHTGSTSMLPRAPFTGFVNSDLITPQILPPMTTNNHQFMVQQHDVKVTHQMQNASFPINQSHLPQVGGNPFF >OGLUM05G25270.1 pep chromosome:ALNU02000000:5:27055973:27059445:-1 gene:OGLUM05G25270 transcript:OGLUM05G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPTLKRLLRSGGCVSISAGGGIGNRGKSKTVEAASCAVYTANPQPWYWMLIEIVLVF >OGLUM05G25280.1 pep chromosome:ALNU02000000:5:27059833:27062481:1 gene:OGLUM05G25280 transcript:OGLUM05G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLPLLLLHSALVSKPAPSRLLSSSSSSAAAAAIAAASSGARVGGGHGIVSAVLEIVGPIELLFPSSEARLYVRLLRRCARDALAAGAGAVHGHVLKRGFASVSLVSNVLMDTYAKGGLMAACRHLFDEMPNKDVVSWCTVIAAHASRGRCFEAIGLFKELLSSEVKPNRFVISSVLNACARSGVIEQGLMVHGMVVKSGLGADRFVEVGFVDMYAKCGNVSYSFRMFNGIPVKSSVAWNAMISGFVENNCFMDAVELCQDMHRVGMAMDVVTLRVVASVAAILGAFELSRNIHVYALKAGLGRDCFVVSELIKSAGKAGETQYIKKLVPAVRRHDASLYSLAISGYHSNGCQGEAVKLAEVLLYSGLNLREGDLVTVINICQTKEEVQQMHAYTFRTGDLSYTNVCNSLISIYSEIGSLIHAESIFKTMQFRDVISWTAVMAGCVKNLQYERAFGYFLELRNSGEPLDQHCVANLINACTGLEDMYKGKQIHSLALKLGLLVDFISASLVNMYAKCHHIEWASQLFSSTLFPRNLVIINAMISGYCWNSLPQKALLLFCREYRSGLRPDHFTFSTVLGACADIGAKEAGEQIHGHLVKIGSEYLDVVVGNSIINFYVKCGCIANACRFFHSMRSRNINSYAMLMLGYIQNRCSDEALQFFSMMQQSGLRANRVTFARILRGCADLCAIHLGRQLHASIIKMGLISDVYVANALVGMYKKSEGWVESKRNSKETLAPEQDAEDNCYSEQRDIRSTLEEIGLFTLEEEKDKETFADERKVYTSAASVFGRDLRTDSIIGNWKNGRCNESGHLLNYKSAEYQEIGGEPFKLFALLQEDSRRSDKFVLLVITDNSHLKTKGAGFINAELARRPGFAPALDFPP >OGLUM05G25290.1 pep chromosome:ALNU02000000:5:27070013:27072918:-1 gene:OGLUM05G25290 transcript:OGLUM05G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAVGVVALLLLLPLAASAYGDGLGRAAVGVNWGTMTSHPILPCEVVRMLAANGVARVKMFDADPWTAAPLAHTGIQVMLAVPNDQLARLAGDPRRAYRWAEQNVSAYLEAGVDVRYVAVGNEPFLKSYNGSLINVTFPALKNMQRALDKLGLGDHVKAVVPLNADVYNSPENKPVPSAGSFRKDINALMVDIVNFLNMNNAPFVVNIYPFLSLYQNPNFPLNFSFFDGGSKPVYDKGMVYTNVFDANFDTLVWSLRKAGVPDMKIIVGEVGWPTDGDKHANVRYAQKFYDGFLKKMVRNIGTPLRPGWMEVYLFALIDENQKSVLPGRFERHWGLLTYDGKPKFSMDLSGDGLDNLVGVEVEYLPAQWCVFNKDAKDKYKDLPAAVNYACSNADCTPLGYGSSCNNLSHDGNISYAFNIYFQTMDQDVRACSFEGLAKITTINASQGGCLFPVQILSASERVVPLRFLPTSFLILLMVVSILT >OGLUM05G25300.1 pep chromosome:ALNU02000000:5:27074770:27081877:1 gene:OGLUM05G25300 transcript:OGLUM05G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGYELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVICEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAARTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPRTRQTTTTSHSHFLFNRGGSSIKHLHLSYCVFHPTGGLNCLRSLFLYEVRITGHELGCLFSNSFALEQLELTDCKELSYLKIPCLLQRLSKLAMYGWEASQVMEIKAPNLLTFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPTIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMIGYLFTNPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >OGLUM05G25300.2 pep chromosome:ALNU02000000:5:27074770:27082105:1 gene:OGLUM05G25300 transcript:OGLUM05G25300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGYELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVICEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAARTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPRTRQTTTTSHSHFLFNRGGSSIKHLHLSYCVFHPTGGLNCLRSLFLYEVRITGHELGCLFSNSFALEQLELTDCKELSYLKIPCLLQRLSKLAMYGWEASQVMEIKAPNLLTFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPTIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >OGLUM05G25300.3 pep chromosome:ALNU02000000:5:27074770:27082105:1 gene:OGLUM05G25300 transcript:OGLUM05G25300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGYELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESEVSQTRMKHHVICEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEDIWYHIHSLLPLQDAARTACVSQIFLRSWRCRPNLIFSAKTLGLNDNWLERNKVIGELNGKVDHIMKTTPRTRQTTTTSHSHFLFNRGGSSIKHLHLSYCVFHPTGGLNCLRSLFLYEVRITGHELGCLFSNSFALEQLELTDCKELSYLKIPCLLQRLSKLAMYGWEASQVMEIKAPNLLTFHYEGNLARLSDGGLPYVKNLTIASIRWHNAIYYACANLPSIVPTIETLTVFSVSEIINTPIAPLRFLHLKHLTVFLHTVPRVVSPTYDYLSLAYFLDASPALETFTLKVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >OGLUM05G25300.4 pep chromosome:ALNU02000000:5:27074770:27081877:1 gene:OGLUM05G25300 transcript:OGLUM05G25300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGYELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVICEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMIGYLFTNPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >OGLUM05G25300.5 pep chromosome:ALNU02000000:5:27074770:27082104:1 gene:OGLUM05G25300 transcript:OGLUM05G25300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVERITSMPRRQVQARDGSVASLAKRTGSPCQQEDDYEGAKTMTNPWTFLPEDIWYHIHSLLPLKDAARTACVSRTFLRSWRYRPNLVFSDAKLGLSGLSESDEVTKELNEKVDLIMKNHSGIGLRTFGLEYYNLVDASYLDRWLQIAVTPAIEELILMFFPEIKAKYYDFPFSLLFDRGGNSIKHLRLSYCAFRPTTSLNFLQRLHLFEVRITGYELGCLLSNSFALEQLKLTHCKELNYLKIPCVLQRLSKLTVFGCTTLQVIEIKAPNLSTFDYDGNLAGLSDGGLLPVKNLHLSSFYQHHTIQYTCAKLPSVAPTIETLTIFSESERFNTQISPFRFLHLKCLTISLSIYRGGFSPSNDYLSLAYFLDASPVLEIFTLTVSQTRMKHHVICEDSSYLRQMPGHRHVNLKNVKIIGFCSAKSMVELTCHIIENATSLECLTLDTICDDYENPDRLSVHEIGECSPICRQMIMEAKNALLAIERYIVGKVPSTVRLDVLKPCSWCHAIEPLTPEQDRERARRIGVIWSPRARAPPCWFRNRRGAERAAGKKRGGEAAAAAHVDAAGADAATAADESVASLAKRNGSPCQQGDDYQGVKTMRNPWAFLPEVSQTRMEHDVISEDSSHLRQMPGHHHDTIKNVKIMVELTCHILENATSLEGLTLDTIFDGNNNPADRLSVHEVGRCGRIHSPMVMEAKNALLAIERYIVGKVPSTVKLDVLKPCSWCHTNSSVE >OGLUM05G25310.1 pep chromosome:ALNU02000000:5:27083431:27087493:1 gene:OGLUM05G25310 transcript:OGLUM05G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASETSQKAAAAGSSIPEGARRLLHELAAGWGDVADCRALEVVPLRGAMTNEVYQARWPPAAEAEAEAAGRRVLVRVYGEGVEVFFDREAEVRTFESMSRHGHGPRLLGRFPNGRVEEFIHARTLSAVDLRDPEISAIIASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCPSDEAKEFCLDSMENEITALENELSEDYQCVGFCHNDLQYGNIMIDEETKLLTIIDYEYASFGPVAYDIANHFCEMAADYHSEKPHILDYTKYPDTDEQKQFVQSYLSSSGEEPDAEKVNNLIKSIEKYTLASHLIWGLWGIISEHVNDIDFDYMGYARQRFEQYWLKKPAILTCQVVE >OGLUM05G25320.1 pep chromosome:ALNU02000000:5:27090724:27099577:1 gene:OGLUM05G25320 transcript:OGLUM05G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSEYEYVKREFELDSLLPPSNWIVVRIDGCHFHRFSKIHTFEKPNDERALRLMNACATSMLEKFPDIVFAYGVSDEYSFVFREETEFYQRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDGRVVCYPNLKTIHDYLAWRQVDCHINNQYNTCFWSLVKSGKTEKEAQQALKGTFSKDKNELLSQQFQINYDDEPAIFRKGSCVYRDKVETMVKTDRCGNPIKRTRLVITNANVDIIGPEFWENHPYILREEKCRYENVKKFDINHRLPPCNWTVVRIDICKFEQFSLIHSFDKPNDEAALRLMNASASLMMESFPDIVFGYGFSNEYSFVFQDKTELYQRQESLILSSCTSRFTLFYMMKWKYFFPNKDLVEPPHFEAELLCYPKQKILCDYLSSRQAECHTTNQYSTCFWMLVKSGKSENEAREILKGTLSKDKNELLFQQFHLNYNNEPAVFRKGSCTYRQKVEESADAEGRENTTRERWDVIVAHADMGTEFWRKHPYILRKLDLLG >OGLUM05G25330.1 pep chromosome:ALNU02000000:5:27095790:27100658:-1 gene:OGLUM05G25330 transcript:OGLUM05G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSASSLLLPRRGHQLQEPAMAASQMRCGGRRENQKGLVQRCAETMMLCCGVDCGCGALRLWRRVVLRKWLNVGSGSGDSDFSADECDASDGELDGEDRDNESYGEGTSLDGLGAGTIGDEIKSMPYRLRRRKSETLRAQYIDIRELRICVGTWNLAGKFPPSDLDIQDWLDKEEQADIYVLGFQEIVPLNAGNIFGSEDNSPIAVWEHIIRETLNKICPDKPQYKCHSDPPSPSRFNPSDYVMVMKDELLSESDSDNYGELHPLIKQNDDIAIDNDVVHDKTYENFSAASNGRVHKGKDFSRMDSVKTSDQSPNLSYEKDRSKLEETTKLLYHPERLGMIWPEQPLDMMAQCLRASTSLKALATPASLKSTVNFPNDDLSHQVNSDNGVIKSKRPCFLRIGSISVSMSIHQTHFCFVCCHLTSGEKDGDELKRNADVEEILRRTVFNPLPGLSTPKGILGHERIIWFGDLNYRINLSYERTHELISKQDWDGLFENDQLKRELSKGHTFDGWIEGDISFPPTYKYEFDSEKYVSDEPKSGRRTPAWCDRILSRGKGIRLISYRRGELKLSDHRPVTAVFMADVEVLCHRKLQKALTFTDAEVEYHLASSSAEGR >OGLUM05G25340.1 pep chromosome:ALNU02000000:5:27107249:27111497:1 gene:OGLUM05G25340 transcript:OGLUM05G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLSLLPAASVSPRSRRAARRRPPTASRLPVRGARAASPLHASFSSSSGGGEGGGGDELHLLEKPFPSPSPADEDESESTEAAPALSTEEALAPFLKFFQGKSTEPDEDDAAAGGGGGGGGGYVEEDEEDKGEGSAGAGAGGRGVVYYDPKPGDLAVGVVVGGDGRTLDIDVGAGGEPALMLAKEAVPMTGEEFEYLACDVASKDAAQFAAEGKVGVVVSGGEGQGEATGGRNGKGRGRGSPALGVGTVVFTEVLGRTLGGRPLLSARRLFRRVAWHRVRQIKQLNVPIKVKIFEWNAGGLLTRIEGLRAFLPKPEMMTRPRNFKDLKNTVGQQIHVCITRIDEKANELIISEKEAWAMTYLREGTLLQGTVRKLFPYGAQITIGDTNRGGLLHVSNITRGQLTSVGDVLKVGEEVKAIVIKSTAPGRIALSTKDLESEPGLFLSNKEKVFSEAEEMAQRYRDQISEKHQPAELDSSFDEVAPFDDEAVSYANWKWLRFSKSDKTNFNPRAESGL >OGLUM05G25350.1 pep chromosome:ALNU02000000:5:27115134:27120095:1 gene:OGLUM05G25350 transcript:OGLUM05G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPAKWIKSVLFGKKSSRSGSTKAKDLSKGSNNKGYAAAGKDAGFESSPVISEPVLVTPHNNEAVQEVGRGENSSLQGEVVVRDVSQDLEKQNTVVSDASNDPERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRATWLIVKFQALVRGRNVRLSTNTIQVNWKLVQQQSGSGKRDAWKEKLSSNAFARKLLASPILVEALHFQYDERDPNSAFNWLERWTIGRVWRPISHPKRAAVTDAKPHTRKASYAMETESGKLKRNSRRSSAAPVESSQTNMAMETEKSRRNPRKFTSSTADSVPESQLTELEKVKRNLRKVTNSMAEASKVSTPATEIPERQEVQCEKPQRTAEEVPNYPEIQEPQNGNLLENAKTDILVPDLQPEPEVPSYQVETEEKVAELTVADPAVETMPLQDIHNEENALVNDMEQRSKEEPLSTESLKSSKRRSSFSTKTEYPENGSKNSPAVPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSNGKLNSHSPRTQRPTHAGGKEGVKADKSMLSSRDASERPAKAEWKR >OGLUM05G25360.1 pep chromosome:ALNU02000000:5:27121835:27126244:1 gene:OGLUM05G25360 transcript:OGLUM05G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICNYGALTKNSNNSRQSPTDLGLALQAGRSRRINSIILSVLGYKMRVLSHEMLLANQRPNHPISSHPSISSNGSERPGLAMNR >OGLUM05G25360.2 pep chromosome:ALNU02000000:5:27121835:27123046:1 gene:OGLUM05G25360 transcript:OGLUM05G25360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICNYGALTKNSNNSRQSPTDLGLALQAGRSRRINSIILSVLGYKMRVLSHEMLLANQREWQISFSQA >OGLUM05G25370.1 pep chromosome:ALNU02000000:5:27127041:27130870:1 gene:OGLUM05G25370 transcript:OGLUM05G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPAGPGLYSDIGKKARGLKGILSIPFPYQKSAKAEVQYLHPHAGLNAIVGLNANPLVSFSGVFGTGAFAVGTDVAFDTATGDFTKYNAGLSHTTPDLTAALLLNNKGDSLAASYYHKVSKTSAVGAELAHSFSSNENTLTFGTQHALDELTTVKARFNNFGMASALIQHEFRPKSLVTISTEVDTKAIDKSSKVGLSLVLKP >OGLUM05G25380.1 pep chromosome:ALNU02000000:5:27130901:27132772:-1 gene:OGLUM05G25380 transcript:OGLUM05G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSIMMVKNEIENYSGSSPAMIINAPEGGAEAGPVVRRRRREPSLLAPISGDTNGGGIGKTSLSGITVKRSSRFRGVSRFRACRDDKKILSCRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVMDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSEYKNSAYILFYIVNWYSNASKTSHLNISPSAILPKSFSPGTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPTPAHVAMNPAEALAMQIPVDHFLPMETQMMMLPQSNPFTSYHNAQSLGGGDGGSSSSNNQEASMIISPCGSSRKRSSSTALSLLLKSSMFRQLVEKNSDAGEENGGEMREPGAHPGEGYEYHNFFQGDAAPDMDMCDLLSSGNVHAASGSAGFEEDIACYSGFGSMQSLQQ >OGLUM05G25390.1 pep chromosome:ALNU02000000:5:27133983:27140042:-1 gene:OGLUM05G25390 transcript:OGLUM05G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 12A1 [Source:Projected from Arabidopsis thaliana (AT5G62530) TAIR;Acc:AT5G62530] MSLILSRRRLAAAVRRSGPAAFASRWLHTPPFATVSPQEISGSSPAEVQNFVQGSWTTSGNWNWLVDPLNGEKFIKVAEVQEAEIKPFVESLSNCPKHGLHNPLKAPERYLMYGDISAKAANMLGQPVVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQSSNGYRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVSIVMDQMLRLLHACGMPAEDVDFINSDGITMNKLLLEANPKMTLFTGSSRIAEKLAADLKGKIKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSILFMHKNWSSSGLLDKMKSLSERRKLEDLTIGPVLTVTTSSMIEHMKNLLKIPGSKVLFGGEPLENHSIPEIYGAFKPTAVFVPLSEILKSGNFELVTREIFGPFQEVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPLPKNWALPSAT >OGLUM05G25400.1 pep chromosome:ALNU02000000:5:27142476:27143239:-1 gene:OGLUM05G25400 transcript:OGLUM05G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKEFFPNKDLAEQPDFEAELLCYPKQKIICDYLSSRQAECHTSNQYNTCFWMLGTLSKDRNELLFQKFHLNYNNELAMFRKGSCTYRHKVIISASKKHFA >OGLUM05G25410.1 pep chromosome:ALNU02000000:5:27148831:27152274:1 gene:OGLUM05G25410 transcript:OGLUM05G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSVDSWCFGGFNAVAAMERLKVMRNLCEVLGIDFWEKMEELDIDPKIKELGLRLIELRSVMIDQEIDFANVVCFIDAASEDLITQEKALSSRFLNKCTHLTGNEVEIDLEKEVLEELKVQKEMLKVEVERRSDIVIRAEIWRKSVDQLEALQKGSKNTNKMELMRCELLAKRIEGIKNTLVEMVQTWEEKYDSPFSYDGDHLLTILNADAKPSSSEAEGMQKQKAEGQVPAQDLKSLLTPHPKLRRTPRVPVPLEEHVPLQLHVVAPLLLVPPAGAPPPMAQAAQAVQELPAPLLLPDVPVQAGSPPTMNQPVQQEPAKSADFYNAEGSPGDEETGSINVSSDNDDSDDSDYLP >OGLUM05G25430.1 pep chromosome:ALNU02000000:5:27169689:27171820:1 gene:OGLUM05G25430 transcript:OGLUM05G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRTLLKVIVLGDSGVGKTSLMNQYVNKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCMLVYDVNAKRSFNALNTWHDEFLTQASPSDPKHFPFILLGNKIDIDAGNRRAIPEKKAKEWCVSKGNIPYFETSAKDDYNVDSAFLCIAKLALEHEHDQDIYFKTVAQPAPDTEHTSGCAC >OGLUM05G25440.1 pep chromosome:ALNU02000000:5:27193299:27195292:1 gene:OGLUM05G25440 transcript:OGLUM05G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARPPAPRPASPPPSPPQRRRRFPTRRGRSSTSPSSSSTTRLRPPCSMARPPPRCRMAPPQTQQGARRRRCRRRRRRPWRGRGRSGSRSGRSQRSRFLTTATSGASTARSPSRTAPTQGTTTGARRKGAT >OGLUM05G25450.1 pep chromosome:ALNU02000000:5:27197501:27216131:1 gene:OGLUM05G25450 transcript:OGLUM05G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKIVLGSHIWLEDKDLAWIDGEVFRIEGQKAHIRTTNGNMVVASISDIHPKDTEVHSDGIDDMIRLSYLHEPGVLNNLSVRYAKNIIYTYTGNILIAINPFQRLPHLAEPHTMEKYKGANFGELDPHVFAIADISYRQMMNERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEEIRKYNLGDPSSFHYLNQSTCIKVDGISDNEEYLATRSAMNTVGITEQEQEAIFRVVAAVLHLGNINFVKGREVDSSVIKDEKARFHLNAAAELLMCDRGKLENALIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVSRLNASIGQDENSQYLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKCTHESFSQKLYEKFKNHKRFSKPKLSRTAFTIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASTCSFVSGLFPSVQEENTKSSKSSIANRFKGQLHDLMETLSSTEPHYIRCIKPNNLLKPATFENANVLHQLRCSGVLEAIRISCAGYPTRKLFRDFLQRFRIIAPDFFKERNDEKVICQKILDKMGLQGYQIGRTKVFLRAGQMAELDARRTEVQNRAARAVQSRFRTHVAREQFLMLRNTSISFQSFVRAILACKLHLLLRKQAAALKIQKNVRCYFASKSFSELRSSAITLQTGLRAFGAYNEYIRRKQNKASTDIQTTLHEMERRVEEARATQERESAKKVVEEALVLEREKIALLTKEVEELKVLLLKEQEEKNATNSAFSIAQERNDDLTKKVEVANENFKQLKDTLKSFEESTKGLETSLMMERQQNEANRREVGEAQQRVEELLRLVADANGKSTSLQTTVQRLEQSLIEKEATWLTERQESETTNKLLIEAHGRNEELLNKIEVAENDISKFRDNIQRFEETATTLETSLLAEKQHSAAIMSQLAETKQGNEELQKKLADINRTNDILQDSLKRFEENVTTRDALYLAERQEHDETKQSLSKSQERNWELLQKVDEAEKRINKLLENAQRLEKHATARESLLLKTKQSHDSTTKALVEAESRNRELTKSFEDSDRKINLLEDSVNRLEERIAEKDSLLEIERQENNATKDEVTNAQNKIMELVNESQQLQDIRKHLEDNIKRLEEDATTREALLISEKQTHEATKRTLTETQLRNEELINKIQDSDKHALQLELTIERLQENASTMEALLLREREQSNATMKAHSESQERNSQLLKKFEDVDKKIGLLQGTIQRLGEQTTKDTLLLSERKEKDELKKVLSETEYRNEELVIKIEEENKKVEHLQDTITMLKENIAVQAANLEAERQENDRIRKSLVEAQERNDELFKKVSDSEYRAQQLQDTVQKLRQESDAVRKALAESHGRNEDLIRRNDDLLSRNDDLIKKIEDSGQVVAELQAALERIEGKAANLEAENQILRQQAIATPPSTAKSQAAFSKINAFQQRSPENGHILNGNVAYAEKSLTGPAETRPSMVVNQGSILNLINQKDYESGDKMQRAHNEVYQHQQPQDDQQLLLQYITQHLGFSGSKPVAALLLYQCLLHWKSFETAKTSVFDSILQEINSAIEAQHDTRSLAYWLSNLSTLSVLLQLSFKTTRAAISTPHRRRFSYERIFQASQTSNSGLAYFSAQPVDGPSGLQQIDAKYPALLFKQQLVDLIEKVYGMISDKVKKELNPLLELCIQDPRTSHSNQAKASLSSASHLGQQSQLTHWLGIVKILNNCLHLLRANHLKFLVFTQFADSAWEALRHIRQAVDFLVISLKPIRTWSEICDDVCPALSLQQLERIVGMYWDDMNGTNIISAEFTSSMRTMMKEESNNATCFSVLLDDDSSIPFSLEDIAKSIPTIEETTENDLLPFVRENQSFAFILHRRD >OGLUM05G25460.1 pep chromosome:ALNU02000000:5:27216525:27219056:-1 gene:OGLUM05G25460 transcript:OGLUM05G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAICGEDEAAARVGCTGEWAGGIERVDLGERKEAAAAAGAGKRSVYLMDCAPVWGCASTRGRSAEMEDASAAVPRFADVPGRLLASRRDLDALGLDADALRLPAHLFGVFDGHGGAEVANYCRERIHVVLSEELKRLGKNLGEMSEVDMKEQWDDVFTKCFQRVDDEVSGRVTRLVNGGDELRSEPVTAENVGSTAVVALVCSSHVVVANCGDSRIVLCRGKEPVALSIDHKPDRKDEQARIEAQGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVMVVPRAKDDDCLILASDGLWDVVSNEEACKVARRQILLWHKNNGAASPLSDLGEGSTDPAAQAAADYLMRLALKKGSEDNITVIVVDLKPRKKLKNIS >OGLUM05G25470.1 pep chromosome:ALNU02000000:5:27225622:27230447:1 gene:OGLUM05G25470 transcript:OGLUM05G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHQFGPWNLLPDDILELLVGRNLCEIDRLHARRVCHSWRAAFARIEPPPPPPPLPLLLLPEADDDEHGLAFSCVLSGWNTHPFFLPRAARRRARCFGSCDGVWLFLAMEDGLQGDRARDHVLVNLHSFQFLDLPNVIRLDHTFPQLMKDIEIAIVAVTLSRPPTQQGCVAAGIIELPPFPIGVRPFAFWRMGDRVILPFYEDVFGDQAVEDVIYHNGYFLFLTQDEHIRVCQEPVFHDTNVDVDSILLRFEPRVDDGDAVLARYLVLCRGKVLMVVRLGCPHRRSPTSAFRVFERVDYLVVNAGVVEVLEHTWSEIDELGGRMLFLGRGCSRSYEEADGYPGMEGVYFLDDRSFRDPIFHDPDMVFDHTYHCCDNGRWSKSPFNVDRRFPERGRSKISPPKLTNRDEVLRELYRRIPCEIDRHYARRVCHSWRAALARLEPPAPHPQVPWLLLPETNEHGLTFSCVLSECRAHRFFLPSGARRARYFGSYDGAWLFLAVDGRGAQAQDHLLVNLNNFEYLDLPNAILLHNWYEPDKLDLKKVAIVAAALSRPPTERGCVVAGIIEPFLSAHRVAFWRMGDRVISPQPAWPLPLEEVEDILHYTFNRNEREHEAFLVLTTEENVLVCEPRFHGSSVQVLSNLVRFIPRGSDGQPVLARYLVESRGEVLMVVRLGSAIQYDPSAEEFRVFERRDFNDGKFNCIWNSMSELEGRMLFVGRVCSRSYEAADGPAMEGVYFLDDRSFRDPIFHDPYEQPIFRRANRCSDNGKWLEAPFIRLDRCLPERGPSKCSPPRIET >OGLUM05G25480.1 pep chromosome:ALNU02000000:5:27234047:27235056:-1 gene:OGLUM05G25480 transcript:OGLUM05G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSCLKAPHKKGEELPKHSERLANHKEDDPAAKPNGGLAEATAPPPPRRPTMATRAAIVAAAAAASPASSRLDPAQGRNRQDAPPPPSTKAVAPPAAIATTTVGELCHCPTATRPAAQPSCRRCGLPMAHTPPPSPPSRSSQPKSGQGRAGFRLTGVVLPSSGLVVPQPLHQGGCSSGGEAEERRGREGATAFSPPASPSGGATTPLVVTVAARGCRHPPSLRSADDHFAVSDDWHRLSHCLLLRWRKEREKGKKKFVPCHRPQARATRAPSAVKDPI >OGLUM05G25490.1 pep chromosome:ALNU02000000:5:27235175:27246648:1 gene:OGLUM05G25490 transcript:OGLUM05G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGGGVVFLAVGQANSVWGAPPLLCGELLGNLEAVASPQGKLRLPRQYSLGSDSTGKPRGGVTEAAWISALSKDWKPVDGGASMVEADRICVGGGFFLLVLAALQPADLLGELREGKTGRRLGGVGRETGSHWLIHSGARELWCVCVVGDRGGYRRTFVTTGSPRDLRMKGNKLGKKRSPDRDPTAKAASSTSGK >OGLUM05G25500.1 pep chromosome:ALNU02000000:5:27237344:27238000:-1 gene:OGLUM05G25500 transcript:OGLUM05G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGRSPTLLLLVGFLWSQLQFGAEAAGTTVFTMRNNCTYTVWATTLSGNTAVAVGAGGFELSPGANVSFPAPDGWSGRLWARTDCAPSGTASLVCATGDFGGAVSCSIGGAPPVTLAEFMLGGGDGKDFYDVSLVGGGRRGSPGAAPPPAARALLLAASPRCPPAASLPTAASSPLAGRRRPPPPAG >OGLUM05G25510.1 pep chromosome:ALNU02000000:5:27240742:27244242:-1 gene:OGLUM05G25510 transcript:OGLUM05G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLALRRLMAAQRDRRRRRKAQARNGAITSMAKRKGTFLRGGDSSQGAKRLRYSGPYLTEDIWRHIHSLMPLRDAARAACVSQAFLRSWRRYPNLILTTETLGLEQKKHWKVHMARYFTRVDHILKNHSGIGVKRFQLVCGRRKLICRLNNWLQIAISSGIEEITLSLPSEYSFPCSLLSGGSGRSLQHLELVNCAFRPMAGLGCSRNLTKLHLHSVLITDDELACFLSECFALKYLDLSYCRELVYLKIPCLLEQLSYLNVDLCHLQMIESKAPNLSSLIYSGNLIELSLGQSSQVKTLDINFYDKTNFLCYVITKLQNIVPNLESLTIYSDDEVQQGGMKHDSVSGDTTTNLRTMPGHKHERLKEVMIIGFCSATSMVELTCHILENTTSLETITLDTVCDVDDLENIGRCCTTTIRKTGSCYPLRREMILEAHRGVMAIERYIRGKVPSNVELTVREPCTWCHDLERLDALEKENGSHYSPPHLKLEDISLLLKPV >OGLUM05G25520.1 pep chromosome:ALNU02000000:5:27256696:27259926:1 gene:OGLUM05G25520 transcript:OGLUM05G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKVFVLSDMELDAGAWRSQDELNTIRCKFAAKGLSAPEVVFWNVGAPASTPVVEPQENAGGENHVWSMAILSPLASELSSFVHSTSKSTQLQV >OGLUM05G25530.1 pep chromosome:ALNU02000000:5:27257655:27260390:-1 gene:OGLUM05G25530 transcript:OGLUM05G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A243] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATIDTDRNWCYLQTGDMAATNMNDNEHIILAGATSTNAVTG >OGLUM05G25530.2 pep chromosome:ALNU02000000:5:27257829:27260390:-1 gene:OGLUM05G25530 transcript:OGLUM05G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A243] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMEEKRWVEFKGSDQDQTTVQVEWICWLNGQRKKAPTPEELAELEARRERYSKKKEEEEKKTGVRPVKTVGKFESPNLKSSIPQFPDTSLDQRKGHDEVSRSKDGSDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >OGLUM05G25540.1 pep chromosome:ALNU02000000:5:27263730:27269971:1 gene:OGLUM05G25540 transcript:OGLUM05G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTGSPPRPIHVSKSDRTQLGICLTHSIVFLVCSSSSHLVPFAFQFATDTESPTSTPSPLPSPISAAQSPRRPWPHLSDDLLYEIVRRIPCEVDRLHMSRACRSWRVALTKTEPPAPAPPPALPWLLLPEVDGEHGLTFSCALSGWRRTHPFFLPHAARRARCFGSYDGAWLFLAVDGQGPRGEDHVLVNLNNFEYIDLPNAIFHFDWIDPENVDIVAATLSRAPTEQGCIVAGIINSFLSHHQIAFWHMGDRLFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTEEEHIRVCPELTIFHEYPERILWRFQRRRRGEEEEQVLARYLVESRGSLLMVVRLASGRCQNLPTSEFRVFQKEKFSNGEEEEEDAFQFHEYYWSELDKLEGRMLFVGRGCSRSYEAADGHAGMEGVYFLDDRSFVEAAIGDAPKLPYRCSDNGKWSKSPSQSQGQVERCFPERGQPRSKYSPPSRRRPAAMGRWAYLSEDLLDDIVRRLPCEVDRLRMSHVCRSWRVALTKAEPPAPPPPPPLPWLLLPEVDGEHGLTFSCVLSGWRRTHPFFLPHAARRARYFGSYDGAWLFLAIDGPQGDQAVQAQDHVLVNLNNFQYLDLPNAIPLNWDLPPEAQDLERIAIVAATLSCPPTEQGCVVAGIIVLPQALLRGVAFWRMGDRVVSAVFAEAWPPEQVEDLIYFKGREGHENFLLLTDGENIYVCQPMFHGDDPPMVPVFSNLVRFKPRGSSGQRVLARYLVESRGDILMVVRFRSDLRSSEFRVFQHKDGEFGENLWSLMSELDGRMLFVGRGCSRSYEARDYRYPGMEGVYFLDDRSFHDQIVGFDGDAPKEPYRCSDNGRCSRPPSQVERCFPERGQSRSKYSPPKSSFHDPKNP >OGLUM05G25550.1 pep chromosome:ALNU02000000:5:27270006:27274163:1 gene:OGLUM05G25550 transcript:OGLUM05G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQPRWRWAAADDDVLHEVARRIPCELDRRHMSRVCNSWRVALAKLEAPAPPPPLPWLALPESDDGLPATVSCILSGCRAHAFSVLQGAHGARYFGSYDGGWLFLAVGGQARRQALLNLKIDGFQTLDLPNLARVNSVYPNEVNPNGDREMAIVAATLSCQPTEQGCIVAGIIESSPNLVAVGHVTRSIAFWRMGDQVALPVFWALEKDNPLMWLEEVEDLLCHNGAFHFLTRVEDVLACEEPPVFYRDSVSLVPVNMFFLPRVHDEDETVLARYLVGSGKKLLMVVRLASGRGQRRTTSAFRVFQKEKFNTVEEDDPSQNRSAHFEYYWSELDLDELDGRMLFVGRGCSRSYEAGDGRYPGMEEGVYFLDDPSIHQMIIGDAPKPPYLCSDNGKWSKAPTDPQGQVERCFPERGPSIHSPPVVRRIPCEIDRRRMSRICHSWRVALRRVRAPAPPPPLPGFRAHPFLVPRGAHRARYFGSYDGEWVFLAVDGQGNQAQEYPRRVAQDHVLVNLNNFHYFNLPNRILFGAVVVYPSPEHWYYRKMAIVAATLSCKPTEQGCIVAGFLEYFPFPGHAEQHVAFWRIGDDMVLPPFWEGMNREADWFRPPMEDLIFYGGAFLFLDRGEHILACEEPPVFQEYGVELVPVGMFFQPRVHDKNETVLARYLVESRKNLLMVVKLTSGRQHLPTSAFRVFQKKKLNNGEEDEPLYNGMLQFQYYWSELDKLEGRMLFVGRGCSRSYEAGDRYPGMEEGVYFLDDRSFRKPIMAFDRDADELPYRCSDNGKWSKSPTPQVDRCFPSRGPSIDSPPVWILP >OGLUM05G25560.1 pep chromosome:ALNU02000000:5:27293044:27293226:1 gene:OGLUM05G25560 transcript:OGLUM05G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRVGSNGDGDGGGFPRWIGWQQRRWLLPTANPAAPTAPVATRVQIRRRQRLLAPEI >OGLUM05G25570.1 pep chromosome:ALNU02000000:5:27314305:27315474:1 gene:OGLUM05G25570 transcript:OGLUM05G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACHSWRVALAKLKPPAPSPPLPWLLLPRADGPPTFSCVLSGCRSHRFFIPPGAAQRARYFGSYDGGWLFLAIGGQGQAQRHLLLRHNAFRLLVLANVTHFPDMVYPPVLGVVKRDHQITIVAATLSCKPTEEGCIVAGIIDFAHSPGRAVRQIALWRMGDQVVLPPYWEQVPNQPMIEAVPVDLIHHDGAFHFLIRLEHIIVCEEPPEFQEDAVHLVPVYMYFQQRGGDNGDETVLARYLVESRKKLLMVVRYSSGRQHLPTSAFRVFQMKKFNNGEEDEPLNNGGFQYYWSELDKLEGRMLFVGRGCSRSYEAVDSYPAGMEGVYFLDDRSFAEAAMAFGEGANKLPYRCSDNGKWSGAPADSRIDRCFPSRGPSIYSPPVWLLP >OGLUM05G25580.1 pep chromosome:ALNU02000000:5:27315893:27323148:-1 gene:OGLUM05G25580 transcript:OGLUM05G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-galactosidase 17 [Source:Projected from Arabidopsis thaliana (AT1G72990) TAIR;Acc:AT1G72990] MGPSRSFQNLLLLLLLPLALALCCAAVSGEASRRFWVENDTFWKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNTIQTYVPWNLHEPKPLSWEFKGFTDIESYLRLAHELDILVMLRVGPYICGEWDLGGFPPWLLTIEPTIELRSSDSTYLSLIENEFGSFGDDKNYLHYLVEVARRYLGNDIMLYTTDGGAIGNLKNGTIPQDDVFAAVDFDTGSNPWPIFQLQKEYNLPGKSAPLCSEFYTGWLTHWGERIATTDASSTAKALKRILCCNGSAVLYMAHGGTNFGFYNGANTGQNESDYKADLTSYDYDAPIREYGDVHNAKYKALRRVIHECTGIPLLQLPSEIERASYGLVEVQKVASLFDVIHNISDPLKVSFSEQPLSMELMGQMFGFLLYTSEYQEKHSSSILSIPKVHDRAQVFVSCSHGDVRKPRYVGIIERWSSKTLQIPSLSCSSNASLYILVENMGRVNYGPYIFDQKGILSSVEIDGIILRHWKMHPVSLNAVGNLSKLQLIMQMTDAEASKVSIYGDSENKLQDVSLYLNEGISEEPAFYEGHFHIDSESEKKDTFISFRGWNKGVAFVNNFNIGRFWPAIGPQCALYVPAPILKPGDNVIVIFELHSPNPELTIKLVKDPDFTCGHSSAM >OGLUM05G25590.1 pep chromosome:ALNU02000000:5:27323677:27332808:1 gene:OGLUM05G25590 transcript:OGLUM05G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G56450) TAIR;Acc:AT5G56450] MSEEAAAAAAAAGAAGVEESIGRRRSRRGGGGDGVGMGAAAAARVWEFERDLVAGAAMGGAVHTVVAPIERAKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGMLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFSSIALTNFIAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGICHFVQTIYNKNGIRGIYRGLPASLQGMVVHRGLYFGGFDTAKDVMVPLDSPLWQRWVTAQAVTSMAGLISYPLDTVRRRMMMQSGMDVQMYSSTLDCWRKIYKVEGIKSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >OGLUM05G25590.2 pep chromosome:ALNU02000000:5:27325389:27332808:1 gene:OGLUM05G25590 transcript:OGLUM05G25590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G56450) TAIR;Acc:AT5G56450] MPTLTVTGGPQIPSPFLSEDKARTRSLATCTRNARKKKKKCCEAHHLSAARSSSSRRAQPTRVRSGRARCSSPASYTNKPTERARHSRTLARTHARELHTRRPRGGRGEGRGGERGEGKGGEANERGGGGGGGGGGSGGSGGVDREAEE >OGLUM05G25600.1 pep chromosome:ALNU02000000:5:27328028:27334639:-1 gene:OGLUM05G25600 transcript:OGLUM05G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRADEFLQGKGSRESDLRNASNNHEQRQLHRAGLVTWRNPYRMTAVPLPRQRESMPSSRTVRATQSANPRNLRARPSSAALPSCVWSSSLARSIGATTVCTAPPIAAPATRSRSNSHTLAAAAAPIPTPSPPPPLLLLRLPIDSSTPAAPAAAAAAAASSLIRLSSLPFSSLPSPPLPSAAARPSRVQLACVRACECA >OGLUM05G25600.2 pep chromosome:ALNU02000000:5:27331735:27334639:-1 gene:OGLUM05G25600 transcript:OGLUM05G25600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKDSLDLSGLGAAVPNAKELSAEDKANLVESIKNTLQGLAARHTDVLESLEPKVRKRVEVLREIQSQHDDLEAKFFEERAALEAKYQKMYEPLYSKRYEIVNGVVEVDGVTKEAADETPAEQKEEKGVPEFWLNAMKNHEILSEEIQERDEEALKYLKDIKWYRISEPKGFKLEFYFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWFPGKCLTQKVLKKKPKKGSKNTKPITKTENCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGRADEFLQGKGSRESDLRNASNSKMLPT >OGLUM05G25610.1 pep chromosome:ALNU02000000:5:27338164:27341311:-1 gene:OGLUM05G25610 transcript:OGLUM05G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLPAASRLRSGPTSTSAQSPVAECPLALHVIHTGWVRVASPSPSTPASASSPMRQTLDLHLLGAVASQSNPFPMRLLRRIPLLVERFDWDFSFLGVCRTSPNGIKAIGVFRLVHWWLDVLLAEEARLSWEGGLWCGVDEEVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLIGMAAFSSLLLGWFVLGVYCFKGLVC >OGLUM05G25610.2 pep chromosome:ALNU02000000:5:27338164:27341311:-1 gene:OGLUM05G25610 transcript:OGLUM05G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTLPAASRLRSGPTSTSAQSPVAECPLALHVIHTGWVRVASPSPSTPASASSPMRQTLDLHLLGAVASQSNPFPMRLLRRIPLLVVEAACGDGVVSSSNEMQELWPLGEVDQKGTRFPCCIVWTPLPVVSWLAPYIGHVGIAREDGTVMDFAGSNFVSVDDLAYGSAARYLQLDRRKCCFPANLAAHVCARSYEHSEAGTAISWDDALQSGARRFEHKCYNLFTCNSHSFVASCLNRLAYGGSVGWNVLNLAALVWLRGRWLGKMAVVRSLLPFAAVACVGVLMAGWSFLIGMAAFSSLLLGWFVLGVYCFKGLVC >OGLUM05G25620.1 pep chromosome:ALNU02000000:5:27342843:27346331:1 gene:OGLUM05G25620 transcript:OGLUM05G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1230) [Source:Projected from Arabidopsis thaliana (AT5G11840) TAIR;Acc:AT5G11840] MAAAPLSPPPPLHARLLLLPPLLPTMAGGSRGRRRGRGRRRFAVPPSRNGSSSQGTEWCPVPPEQLPVNEYESLAASLPFSWAAGDLTVYCSRLALTGAAFALFVGLPVASFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAVSLILCILLYANTENPKDPFENTVGRAIPGVYDDTSARSFEPDAFCGEPDLGDLS >OGLUM05G25630.1 pep chromosome:ALNU02000000:5:27346683:27351579:1 gene:OGLUM05G25630 transcript:OGLUM05G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSGQTCRRRRRVGKGKPLATRSNPYKKSKTPKAPPRAPAHPFPLPPTSSSSLAPRHTAKQSGGLIRANHPLIFLFNHSGLSSPRLLRLLKLISPPSYSRAGRATRNPSSSEHEVAPRLGSDRAVDAGFGGGGGRLGMAGRRAMRPSGSSMRGVVARLAAARSPAVSFLVAAAAGAALVGGVYFWLVVSSFRLPDSRAVGCLADGEGSWAIGMYYGKSPLELRPIELEGRSNGNSSAWPVANPVLTCATPTEGGYPSNFVADPFLYVQGDTLFLFFETKTVSTMQGDIGVARSLDQGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLIDKPLIDSSLVQYDGLWWLFASDFTRHGIEKNAELEIWYSNSPLGPWSEHKQNPIYRSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRKVKLYKVEKLTKEEYKEVPVNLGIEEAKKGRNAWNGMRYHHIDAQQLASGGWVAVMDGDRVPSGDSTRRSLFGYMGFLVAVALVTFVGFVKGAISCYIPPSFWVPLTRRSELSRILPVHRFNLKIRRYSTSIGRNISATKARLSEKTWSNTLFFCVIALIGIVNVCIAVHFLLGGNGAEEAYTHQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNHPTSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVLELDDDIMMTCSDVEKGFKVWREHPERMVGFYPRMIDGDPLQYRNERYARGKKGYNLILTGAAFMDSEFAFSKYWSQEAKEGRDYVHKNFNCEDLLMNFLYANASSSRTVEYVHPAWAIDTSKLSSVAISRDTQKHYDIRTKCLAKFASIYGPLPQKWLFGMREDGWDK >OGLUM05G25650.1 pep chromosome:ALNU02000000:5:27352133:27356441:-1 gene:OGLUM05G25650 transcript:OGLUM05G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT5G26680) TAIR;Acc:AT5G26680] MGIKGLTKLLADNAPKAMKEQKFESYFGRRIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYSKREDATKELTEAVEEGDKDAIEKFSKRTVKVTKQHNEECKRLLRLMGVPVVEAPCEAEAECAALCINDMVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFEVAKVLEELELTMDQFIDLCILSGCDYCDSIKGIGGQTALKLIRQHGSIESILENINKDRYQIPEDWPYQEARRLFKEPNVTLDIPELKWNAPDEEGLVEFLVKENGFNQDRAIEKIKFAKNKSSQGRTLQKNQPKQSLTRKLREPAERRNNDLPDDVLREILRRVNSRTALGAATLGKRWAGLARELPSLDLRVADILPRDYYLELRRRDEAKRSFWVYD >OGLUM05G25660.1 pep chromosome:ALNU02000000:5:27356678:27358455:1 gene:OGLUM05G25660 transcript:OGLUM05G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2752 [Source:Projected from Arabidopsis thaliana (AT4G29660) TAIR;Acc:AT4G29660] MARRLWRWYADRQFNRWEKTVLWDMVEPYRPPRSFAPLIGTYVAAFYTGVVASAITEQLYKEKYWEDHPGEAVPLMPPKFYWGPWRVMNGEVPRFMQTPEEAKPA >OGLUM05G25670.1 pep chromosome:ALNU02000000:5:27358322:27362558:-1 gene:OGLUM05G25670 transcript:OGLUM05G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 60-3A [Source:Projected from Arabidopsis thaliana (AT3G13860) TAIR;Acc:AT3G13860] MYRAAAAAASSISRSSSALRKQLSRGGGGEQRLWARGYAAKEVAFGVGARAALLQGVNDLADAVKVTMGPKGRNVIIERSHRAPKVTKDGVTVAKSIEFEDSAKNVGANLVKQVAEATNKVAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAISSITTHLKSKAWIINSSEEINQVATISANGEKEIGDLISKAMEKVGKDGVITITDGKTLDNELEAVQGMKLSRGYISPYFVTDQKTQKCEMENPLILIHDKKISTMNSLLPVLEMSIKNRRPLLIIAEDVEGEALSMLVLNKHRAGLKICAVKAPGFGENRRANLDDVAVLTGGEVVSEDQGLDLGKVELQMLGTAKKVTVSLDDTIILDGGGDKQQIEERCQQLRESMDKSTAVFDKEKAQERLSKLSGGVAVLKIGGASEVEVGEKKDRVTDALHAARAAVEEGIVPGGGVALLYATKELDKIITANEDEKIGVQIIKNALKAPLMTIAANAGIDGGVVIGKLIEQDNLNLGYDAARGEYVDMIKAGIIDPVKVIRTALQDASSVSLLMTTTEAAVAEPPAAKARMASRMPQMSGMDF >OGLUM05G25710.1 pep chromosome:ALNU02000000:5:27370897:27379219:1 gene:OGLUM05G25710 transcript:OGLUM05G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G47210) TAIR;Acc:AT2G47210] MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKIAWQWLPFTSSARTDNLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALAHFDNVDGVSPLSNTHPSSTATLPAAAVNNSIPASLRMLRVYLRTQALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAGERAGKRDHKRKTTGRFIDAPPSPPQSKRPRKLKASD >OGLUM05G25710.2 pep chromosome:ALNU02000000:5:27370897:27378909:1 gene:OGLUM05G25710 transcript:OGLUM05G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT2G47210) TAIR;Acc:AT2G47210] MDAKDILGLPKTAFPSVQEKKPRAPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAVEKEKIAWQWLPFTSSARTDNLQLYHWVRVVNGAPPTGDYHFAKYNKKADVLKYTDEEYEKYLTDPAWSREETDKLFELCERFDLRFIVIVDRFPTDRSMEDLKSRYYSVTRALLIARARSFDEVAGNPLVKETFNAAHETERKRALSALLSQTKQQERKDAEILAEAKRIMETRAASKHTEEAGALAHFDNVDGVSPLSNTHPSSTATLPAAAVNNSIPASLRMLRVYLRTQALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRENSFTEAPSTPKRANRDVDRPFVPDTVGFAGERAGKRDHKRKTTGRFIDAPPSPPQSKRPRNASALRRFSSYSSLEDETNQVEAAAGVTEK >OGLUM05G25720.1 pep chromosome:ALNU02000000:5:27380673:27381803:-1 gene:OGLUM05G25720 transcript:OGLUM05G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAEAASPGVGAWAAIRGYFTPATLFLVVNIVIGTIALTSRTHQRRRRQVYQYHHDGEILHHDQLQPPPPLLHQQYYGGGEHQQMMMQETLYAPPPAPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYATAAAAATSQLHHQRTEEVVSSSPVDEVKLQGHYARSRSEPAAAPAQEERKAASRLRNSSSEVRRAEVVRAPARVVEAFPEEAAAAVDATAEDDFTPKQQRDLPLQQEYVPPPAPAPAPLARTSSVLDRLRSFTLYSFRSGDLATDDIPADAAAAATPAQAHYGRTRSEPAREQNKKAKKQAASEAKTMTKSSSEARKDTAAEDADDGGVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGSSKQ >OGLUM05G25730.1 pep chromosome:ALNU02000000:5:27381968:27383529:1 gene:OGLUM05G25730 transcript:OGLUM05G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEARRGGRGRHAARRGGRWLTWWVENVGIQAAAQAGKQQQRGEPTRRDCSCCICMASSAKKKTAYDAYADTVIFSWSDERMGLTDGAAQIRGSE >OGLUM05G25740.1 pep chromosome:ALNU02000000:5:27386287:27389174:1 gene:OGLUM05G25740 transcript:OGLUM05G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWIKSLVSLKAAPEGTTKGRRWTRLWRSSSSASASASTAGDASESASSEADAFSSVVAAVVRAPPRDFRVIRQEWAAVRVQAAFRAFLARRALKALRGIVRLQALVRGRLVRRQLAVTLKCMNALLRVQERARERRARCSADGRDSQDAVGERDGCADPIKQAEEQWCDSQGSVSEVRSKIHMRHDAVAKRERAIAYALSHQPRSSKQSARPSSPARSLRNHESNRCNHDWSYIEGWMATKPWESRLMEQSHAELKCSKNSGELNLAGAKLSNASSVKMRGNRVAAKPPSVLSASSSDFPCDVSSASTSSATPARSDGGHGEGPSYMSLTKSAKARQSCNSPFQIQRQRSGGMSSYKRVALSPLDVQSNACSEFSVTSRKLNSLSLKGRSMTRSLDKENDNLF >OGLUM05G25750.1 pep chromosome:ALNU02000000:5:27391003:27393723:1 gene:OGLUM05G25750 transcript:OGLUM05G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A266] MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEVCNLFDFLSGSEVSLNSALYWWQISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTPGADQS >OGLUM05G25750.2 pep chromosome:ALNU02000000:5:27391003:27393552:1 gene:OGLUM05G25750 transcript:OGLUM05G25750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A266] MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEEVAPATSWEISELGYGRTPGADQS >OGLUM05G25750.3 pep chromosome:ALNU02000000:5:27391003:27393723:1 gene:OGLUM05G25750 transcript:OGLUM05G25750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A266] MGDGAEAAGATAASRFGTICVFCGSNAGRRRVFGDAALDLGHELVRRGVDLVYGGGSIGLMGLIARTVLDGGRRVVGVIPRALMAVEISGESVGEVIVVQDMHERKAEMARRSKAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLLNVDGYYDPLLALFDKGEAEGFINSDCRQIFVSAPTASELLTKMEQYTRLHQEVAPATSWEISELGYGRTPGADQS >OGLUM05G25760.1 pep chromosome:ALNU02000000:5:27406621:27407865:1 gene:OGLUM05G25760 transcript:OGLUM05G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFGWSAPAAPCQPSCGPGGDDDDDVLLAAVLGASFELHSLVDGGGNGAAGAVRSDDAYGLDVDLPSHQMSLLRCQDGLSALHGDASPTAAAAAFLDSVDVLPVPAIAGATHDDGGLLDRFAFPNVAETTTVQAAASNTAFSGYSSNTTGGGNISSGESNTYTEVASTPCAVSTTTTTTALPPSKRKLPEKYPVVGTSSTTKTTTTSETAAERRSTKRAAGGSSSITFGGGRHGAGAAAALLGLGRGYEPDTEAIAQVKEMIYRAAAMRPVTLGGAASASDPSSAAPPPPQRPRRKNVRISSDPQTVAARLRRERVSERLRVLQRLVPGGSKMDTATMLDEAASYLKFLKSQLEALETLGNGNGNGNLLHHGYYTGSRNATATAATGSSNSTVLAFGRDGLAGFVKSNRNLQL >OGLUM05G25790.1 pep chromosome:ALNU02000000:5:27417536:27423615:1 gene:OGLUM05G25790 transcript:OGLUM05G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGGGGTAAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAAAAAAAHHHRHHTTAGHAHHRAVRNSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >OGLUM05G25790.2 pep chromosome:ALNU02000000:5:27417536:27424097:1 gene:OGLUM05G25790 transcript:OGLUM05G25790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEARNHYHTRGSTRGGGGGGTAAERDLLLQWGNRKRLRCVKVHRRDVDAAATVAAEKAAASQRRAAAAAAAAAAHHHRHHTTAGHAHHRAVRNSEESGAMRSSAQQHQMNGIRAVASPERERPARGSNIINNNGGPPTSPDDKKGSSSGSEGSIWPKFAVALTNKEKEEDFWVFKGSKLPQRPKKRAKVIQRTVNLVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHNMDSDSE >OGLUM05G25800.1 pep chromosome:ALNU02000000:5:27424883:27430882:-1 gene:OGLUM05G25800 transcript:OGLUM05G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEPLIWEIVKKNNCFLVKQFGNSNAKVQFTKEPNNLYNVHSYKHSGLANKKTVTIQPSGAKDAAVVLSTTKTKKQNAPAKLYHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVSKSGAKKKNRQPTKLGLVVFMFPATSEVIVLQESKYKFRIIITTMASRILYAAAVVAAVAVSSLAGVAYAADAPAPSPTSGAAAVSSSLVAAVLCPAVALLLGNLRQ >OGLUM05G25810.1 pep chromosome:ALNU02000000:5:27426227:27428219:1 gene:OGLUM05G25810 transcript:OGLUM05G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPQKKMVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGAGGNQTTQYLTAVSGHYAAVAQGGAPAAIRWLAFRTNRREYGPLGGGAAEGTPFAFPVDGGAIVGFWGRSGRQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSVRQRFGPQQQQQVQHHNSFALSQSGRTF >OGLUM05G25810.2 pep chromosome:ALNU02000000:5:27427031:27428219:1 gene:OGLUM05G25810 transcript:OGLUM05G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKKIMKVGPWGGTGGSPWDDGGHTGVRSITLSYDRCIDSIAVEYDRNGVAVAGERHGGAGGNQTTQYLTAVSGHYAAVAQGGAPAAIRWLAFRTNRREYGPLGGGAAEGTPFAFPVDGGAIVGFWGRSGRQLDAVGLHVAPLRPETMYEKAHKLGLMAYRSVRQRFGPQQQQQVQHHNSFALSQSGRTF >OGLUM05G25820.1 pep chromosome:ALNU02000000:5:27433118:27433538:-1 gene:OGLUM05G25820 transcript:OGLUM05G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQMGSEPPRVAAGVAPKTAARIGSFSRLLSGLDAGGVLRDVEPEKMKDEIRRWAKSVVSLVRQLSFGAPPPPENHRSSNSIMHVVLNSPGFWRWNKYCKSVSMLP >OGLUM05G25830.1 pep chromosome:ALNU02000000:5:27434088:27436598:-1 gene:OGLUM05G25830 transcript:OGLUM05G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMEKLKIFAVKEPVVTASCLIAGFGLFLPAVVRPMLDSWETAKQVPPPALNDVVAGVTGKKKE >OGLUM05G25840.1 pep chromosome:ALNU02000000:5:27436846:27440652:1 gene:OGLUM05G25840 transcript:OGLUM05G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCFVQNSDFMPWRRNVLRGHLLRESETRRRRQTPASRQSGSGGEVPYTMRTMKFDHSSNRNKPFCKSSRMT >OGLUM05G25840.2 pep chromosome:ALNU02000000:5:27436846:27439465:1 gene:OGLUM05G25840 transcript:OGLUM05G25840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDCFVQNSDFMPWRRNVLRGHLLRESETRRRRQTPASRQSGSGGEVPYTKFSQEVFGDAILKPSSPQY >OGLUM05G25850.1 pep chromosome:ALNU02000000:5:27439414:27442843:-1 gene:OGLUM05G25850 transcript:OGLUM05G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLKARTPEERALVDLETHYTKEYLDEKAGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSETGALISARPVSVTIRTDDGGNAAIAVYNLLAKLQLSFLYVIGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >OGLUM05G25850.2 pep chromosome:ALNU02000000:5:27439414:27442843:-1 gene:OGLUM05G25850 transcript:OGLUM05G25850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCEVDRYQNGDEWDGVRLFYRRFGRGATKVLLVIGLAGTHDSWGPQIKGLTGSLEPAADDEESGDAAAAEGDDGDGIEVCCFDNRGVGRSSVLPHKSYYSTVIMARDALALMDHLGWKKAHVFGHSMGAMISCKLAAMAPHRICSLALLNVTGGGFQCFPKLDGQMLSLAFRFLKARTPEERALVDLETHYTKEYLDEKAGSCTRRTILYQEYVKGISSTGMQSNCGFEGQVNACWTHNMTTKELDTIRSAGFLVSVIHGRSDIIAQLCHARRLAERLIPVARMVELHGAHLVSHERPEEVNNALMELIKATKSMMKPEEWSSQPENSSGVILMGFEHMRNIVKVMKPKQNSCKLLSEPSRRPGIFMSPQYCGDDGFRIASPKTS >OGLUM05G25860.1 pep chromosome:ALNU02000000:5:27448346:27449248:-1 gene:OGLUM05G25860 transcript:OGLUM05G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLLNQQCSHTLAHYLHKKGISYLIHAISFSCIQHEQAQVLGHCRPRDPATVRFIVFRHVVYHVLVLLCVGQAETAYTNYEVTSKVKERIQKHSRRVLTDVQDYDYGGPNPRHEPRKKPGNGH >OGLUM05G25870.1 pep chromosome:ALNU02000000:5:27453132:27454596:1 gene:OGLUM05G25870 transcript:OGLUM05G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQDQASFRAGETKAHTEEKAGQVMGASKDKASEAKDRASEAAGHAAGKGQDTKEAAKERASETAQAAKDKTSGTAQAARDKAAESKDQTGGFLGEKTEQAKQKVAETAGAAKQKTDETAQYTKDSAIAGKDKTGSVLQQASEQVKSTVVGAKDAVMSTLGMTEDKAGTDDGANKDTSATAAATETTARDH >OGLUM05G25880.1 pep chromosome:ALNU02000000:5:27455782:27461580:1 gene:OGLUM05G25880 transcript:OGLUM05G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYQTSRSEIYFEVGPTCSICRLHQTRAPLCSETRNRRPGSQRRSRHPTERRAREPISHEKEKEKEKKNRASKRRSATSQIEEANQTKPNPSSAAARNPTGSAAAAAAGGRRAEEMSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWVVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHSDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYCLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >OGLUM05G25880.2 pep chromosome:ALNU02000000:5:27455782:27461580:1 gene:OGLUM05G25880 transcript:OGLUM05G25880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYQTSRSEIYFEVGPTCSICRLHQTRAPLCSETRNRRPGSQRRSRHPTERRAREPISHEKEKEKEKKNRASKRRSATSQIEEANQTKPNPSSAAARNPTGSAAAAAAGGRRAEEMSLTEVRIGEEVWLTCLSHALTTETEEVMGLLFGDIKHSSRGGVTALIWGASPQMRCERKKDRVELVGHHRRENEGDWVVPLAPAYHRSAFPCRCSDPGNVSVDGASEDAQKVGKIQVIAFQSLGGNQQSVVPVNDPVINLESSWSSLDDTSHPALIEGIEQDTGDSKSSRNSKVWAKSSDVDFYPHSDANHSAKHQSKENAIVAYDPNNAPETPVDLDESDMTPSIQEALHRSNMDISGAEYVRKEVPLYVFPTRHLLKLDTTLTSYCDMQHVVFEEEKSAYNQAMHQNIRDGKIHPLTSIHHASTYNSSLCKLMEYWLVAQSLSVNWLICLFMCSPLLTYLAFCYSSLSPAITVLQDRLKENELRLSMLMEEAKQLEAENQSMRNDSPRRLMYHGTSGTSSLMAQDKQMSPRSPSGTGRRKAS >OGLUM05G25890.1 pep chromosome:ALNU02000000:5:27463250:27463561:1 gene:OGLUM05G25890 transcript:OGLUM05G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSSETFRRSGSSGLVWDDRHLSGEIKPADGGGGGAAARVERSRSAGHGGYRAAGRVQPALDPPSPRVAVCGFCRFFGGSGKGGRSGGGGGKARRHSSS >OGLUM05G25900.1 pep chromosome:ALNU02000000:5:27465644:27471628:1 gene:OGLUM05G25900 transcript:OGLUM05G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVMVAAALLLLLPDAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGSSNWLHFVGVSDLTLSGGGVVDGRGHRWWARSCKAKHNATENCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGIRVEKIKMDTLFISNTQNGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMVGGQNASAYCHQAFGKSVGVVVPDSCLGKEDYLRRQVPASAAAAAGGMQELGGEDDDR >OGLUM05G25900.2 pep chromosome:ALNU02000000:5:27465644:27471680:1 gene:OGLUM05G25900 transcript:OGLUM05G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVMVAAALLLLLPDAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGSSNWLHFVGVSDLTLSGGGVVDGRGHRWWARSCKAKHNATENCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGISIGGLGENRSYHRVEKIKMDTLFISNTQNGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMVGGQNASAYCHQAFGKSVGVVVPDSCLGKEDYLRRQVPASAAAAAGGMQELGGEDDDR >OGLUM05G25900.3 pep chromosome:ALNU02000000:5:27465644:27471680:1 gene:OGLUM05G25900 transcript:OGLUM05G25900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRMAPPPLAGVVMVAAALLLLLPDAAEPRTLLSLDDFGAVGDGVANDTQALVDAWSAACATGDHTFLHVPAAKSYLVWPVTLAGPCREEIKLFISGNIVAPESPDEWPEGSSNWLHFVGVSDLTLSGGGVVDGRGHRWWARSCKAKHNATENCTTQAAPKALHFEDCQGISVMGITLQNSQESHLTFTRCSHVKANYLRITSPEDSPDTTGVHVVSSRNVHIMDDSISTGHDCVSIVGNSTDVRLRAISCGPGHGIRVEKIKMDTLFISNTQNGVRVKTFQGGCGTARKMKFGDILMKNVKNPIVIDQQNSSSNEIPCGSKNGSAVTVGEISYTDITGTSASERAVTFACSEAAPCSKLSLENVNITMVGGQNASAYCHQAFGKSVGVVVPDSCLGKEDYLRRQVPASAAAAAGGMQELGGEDDDR >OGLUM05G25900.4 pep chromosome:ALNU02000000:5:27465644:27468481:1 gene:OGLUM05G25900 transcript:OGLUM05G25900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRVSVMRLVVAAMAVAAGVLFFSGAGEARVLLTLDDFGAVGDGIANDTQAFLDAWNAACASTEPAVLAVPAGKTYQIWPVRLAGPCKKKLKLMISGTIAAPASPDEWAGRDPTKWLYVFRVDDLSVSGGGTIDGMGAEWWARSCKRKKTKPCSTVSAPKALQFEECRRVSVQGITMQNGPQFHLMFTRCTDVKASFLRVVAPESSPNTDGIHLNDTTHAQIMDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDRIENVRVDTCLLTNTTNGVRIKSWQGGMGYAHNLRFESIVMKNVSNPIIIDQYYCDQPTPCANQTQAVEVRKIEFAGIRGTSATEQAIKLACSDAVPCRDLELRNVNLTMAPHRMLGDATPAARVGS >OGLUM05G25910.1 pep chromosome:ALNU02000000:5:27475702:27477212:1 gene:OGLUM05G25910 transcript:OGLUM05G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVIISVVVIAAFAAAAVVEARVGPIDVAPTNLITNPLGAIIDNGRKITGTVVDECAWTCDHVAAGNKKMCNTLRKLPGVSSPKELLTAAVKLSMRKAKAARARFEAAARAAEKGTPMESILDTCKEGYDNTVSALQEVQRCIDANDSKASLITKMSAATTFTGDCGNAYEERELEPSLALKATKNNVNRVVTGALAIAAKLKL >OGLUM05G25920.1 pep chromosome:ALNU02000000:5:27480119:27482839:-1 gene:OGLUM05G25920 transcript:OGLUM05G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVIGELKMRTFLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKTRSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITTAGEYELRLI >OGLUM05G25920.2 pep chromosome:ALNU02000000:5:27480119:27482839:-1 gene:OGLUM05G25920 transcript:OGLUM05G25920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKESDAEVLSPVVHDDAGVSYMFIQHNNVFLLTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAMILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVEKHSRSRIQITVKTRSQFKERSTATNVEIEVPVPEDSTNPNIRTSMGSAAYAPERDAMVWKIKSFPGGKEYMCRAEFSLPSITSEDGMPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITTAGEYELRLI >OGLUM05G25930.1 pep chromosome:ALNU02000000:5:27485308:27488050:1 gene:OGLUM05G25930 transcript:OGLUM05G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERMTKNLSTESIFSRKYGLLGKEEAHDNAKRIEEVCFASADEHFKEEPDGDGSSAVQLYAKETSKLMLEVLKRGPRTTVEPEVPVADTPLEPADSVFDISGGKRAFIEADEAKELLSPLIKPGNAYKRICFSNRSFGIGAANVAGPILESIKKQLTEVDISDFVAGRPEDEALDVMRIFSKALEGAVLRYLNISDNALGEKGVRAFEELLKSQDNLEELYVMNDGISEEAAQALSELIPSTEKLKILHFHNNMTGDEGAMFIAEMVKRSPNLESFRCSATRIGSDGGVALAEALGTCTRLKKLDLRDNLFGVEAGLALSKTLSKLPDLVELYLSDLNLENKGTVAIINTLKQSAPQLEVLEMAGNEINAKASQALAECLTAMQSLKKLTLAENELKDDGAVVIAKSLEDGHQDLKELDVSTNMLQRAGARCFAQAIANKPGFVQLNINGNFISDEGIDEVKDILKSGENSVEVLGPLDENDPEGEAEDDEEEEEEEEDDGELDSKLQSLKVEQDD >OGLUM05G25940.1 pep chromosome:ALNU02000000:5:27490068:27498643:1 gene:OGLUM05G25940 transcript:OGLUM05G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14530) TAIR;Acc:AT5G14530] MAATLSQLDDGIVRGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLESSPNVATEAAFTPDGQYVISGSGDGTLHAWNINTIQEVLFLKSCF >OGLUM05G25950.1 pep chromosome:ALNU02000000:5:27497590:27500429:-1 gene:OGLUM05G25950 transcript:OGLUM05G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGSACGGGGVDKEKEEFKQIYGVLKEELLRDPAFEFTDSSRQWIDRMLDYNVPGGKCNRGLSVVDSYKLLKGTNVLSQEEMFLASTLGWCVEWLQAYFLVLDDIMDDSHTRRGQPCWFRVPQVGSIAINDGVILRNHITRMLRLHFRGKLYYADLLDLFNEVEFKTASGQLLDLITTHEGEKDLNKYNIGVHRRIVQYKTSYYSFYLPVACALLLSGEDLTKYGAVEDILVKMGIYFQVQDDYLDCYGDPKFIGKIGTDIEDYKCSWLVVQALERADESQKSVLFENYGKKDPACVAKVKSLYRELNLEAVFLDYENESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >OGLUM05G25960.1 pep chromosome:ALNU02000000:5:27504415:27505051:1 gene:OGLUM05G25960 transcript:OGLUM05G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPGLFSYYDPIPIGHQKGPTHFAGPPPGPARRAAVKSSCAAGLAAPLPRRAPVHVAAVFVTHMHRDKSLIINKHRNFFFGIRKMITHLYMIKRNKIYIDDKKGGRLGKKREKEKDKKKGT >OGLUM05G25970.1 pep chromosome:ALNU02000000:5:27529277:27530195:1 gene:OGLUM05G25970 transcript:OGLUM05G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALYGSHPDDGAGFVADYSSVLDVSENLGYGESSSNSSNWTCAEVSNVLDSEVLNWAASAGAGAAAKAEPFADMEQQHSGYGGEHQVEDDATLEHKFSLPCHEQSLAQFDFNLEYF >OGLUM05G25980.1 pep chromosome:ALNU02000000:5:27532935:27536436:-1 gene:OGLUM05G25980 transcript:OGLUM05G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDTAYYDTLGVSVDASQAEIKKAYYLKAKQVHPDKNPGNPDAAQKFQELGEAYQVLSDPSKREAYDKHGKEGLPQDNMVDPAAVFGMLFGSDYFEDYVGQLALASIASVEVEENLNGQEARGKVQEKIKELQKEREQKLIQSLKDRLQPFVDERKDEFVNWANGEAQRLSHAAFGEAMLTTIGYIYVRQAARELGKSKLYMGVPFIAEWVRDKGHCIKSQVNAASGAIALMQLQEGMKKMEESDNKEDQIMKSFEEKKDAMLSYLWKINVVDIESTLTHVCQAVLKDASVPKDVLKLRARALKKLGTIFQGAKSLYHRENSLQVETSPRQGAATSN >OGLUM05G25990.1 pep chromosome:ALNU02000000:5:27537415:27540519:-1 gene:OGLUM05G25990 transcript:OGLUM05G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIAGEELGRGAACEEGGGAGQQEGGVPMGTGRQSVVSDAGHEGGVPMGSSRALLLSLLSDLESERQSLLPSLVPLGDVPMGMRRGSGGAGADQEGGVPMGGRSGGSGAGVGLDWVWDWRNPNRSLPPPPTEDIPAVPGSSGVLPFSNLQSKRQYLLSSLMPLSSLPLSDLQRHSEKLSDTHGSDKEDEVVDSSDDDCDPYFNYLVDSFITATKAQPKQSYVNIDLVAAAQRQSNEYASSALDYYNKDENNKIQYSLIKALKSSTIREKGEKYGHVNFIASLDSKEELFFAEVCWDPKTYDMVPTCIVSLEEKKRIGGRSFINDEYVVCLDLQNPPIDGKHCYGCSEEIKHPEDGSTFKAGHVAATGFYDSFM >OGLUM05G26000.1 pep chromosome:ALNU02000000:5:27547724:27549940:-1 gene:OGLUM05G26000 transcript:OGLUM05G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSVVLWWEEWQLRILVLGSLFLQCFLAFVAVHRRRSIPASLRFFIWLAYLGSDALAIYALATLFNRHKTNNQGGSRFLTTLVSPQGKAGGDDTGLEVFWAPVLLLHLAGPDSITAYNIEDNELWRRHVLTVIFQVTVSLYVFCKSWSGENKLLRAVVLLFIAGTLKCIDKPMALKSASIYGLVTSSPFHDQKSQNNDQGKNRSLEAYIQEAKGYFSNLKINDVYVELVVAAQNKDLRVMPFWLFVDLASTLCHRLRVLRFFLVLDNKSADSLLQTALCGSFVRLYTKKSMLLSYFWAKDKRNALISTYSHLNRLLAVCLTISAVALFHQSHKQGYNNSDVKVTYTLLWCTAALEVYALFGPKYKFFTWCNNVAQYNLVGFFARDQTPTRLLKLAGCFWCKDYVDQHWYVNQCSSSFAITELVIEQVKAGWKNYIEDTSTYWMFNDRRGQLTIQHELCDEELCKSLDVPFDESIIVWHIATDICFYDGAPAANHHHLKAATRCREISNYMLYLLVVNPDILMSGTRANILSNTCKELRSMFEDEKPPSDESDLTREIHKRAQSSNVDAAATEELIPRASKLASQLLAMDGDKRWKVMQGVWVEMLCFSASRCRGYLHAKSLGQGGEYLSYVWLLLWYMGLESVAERQQRSDFRSHVERVASRQLPCTETESSQEPEIQEEEEAVVPLFQEGDIGAAVRSNVEDEEAGAVTLEIQDDEEAATTAHGSKAIVPGDNDV >OGLUM05G26010.1 pep chromosome:ALNU02000000:5:27553729:27555596:-1 gene:OGLUM05G26010 transcript:OGLUM05G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVAFRCGGEALLAPAAAAAAFRSVGTTTMDCGENYSIDRDTGPVLATPADISGAATDISHKDQDSGRLPSSHLHRQSESWATHGSDKEKEDEEVVQAKNEEVDSFIAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKSCAIQTNESYGHVNFVASSSDSKEEFFFAEVCYDPKSNGLVPTCMVSLEENNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHVAATGFYTSY >OGLUM05G26010.2 pep chromosome:ALNU02000000:5:27553729:27555894:-1 gene:OGLUM05G26010 transcript:OGLUM05G26010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPSSSSSSPTEKDAAVADFTCGNHEHTSWATHGSDKEKEDEEVVQAKNEEVDSFIAAAEKTGPPQQNIDCTAAARRQANNYAKVALEHYNKDENNKIQYRFIKALKSCAIQTNESYGHVNFVASSSDSKEEFFFAEVCYDPKSNGLVPTCMVSLEENNRIGGLLGVGFVGCPDLLNPPVDNDHCYACDDRLKHPKDGTLFKGGHVAATGFYTSY >OGLUM05G26020.1 pep chromosome:ALNU02000000:5:27560032:27565443:1 gene:OGLUM05G26020 transcript:OGLUM05G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVIDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKVYVKILLPYKKKVMKYFNFHEQALYEALMRQDELLAYIDKQDMIKFRKKKFCF >OGLUM05G26020.2 pep chromosome:ALNU02000000:5:27560220:27565443:1 gene:OGLUM05G26020 transcript:OGLUM05G26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGFTHNDEKKEIRSDADNSEGEKKTKIGSFKKKAINAGNKFRHSLRRRSKKKNEPRGSIEDIRDVQDLQAVDAFRQCLVDEDLLPQQHDDYHTMLRFLKARKFDVEKAKSMWSDMLKWRKEFGADNIEEFDYTEADEVMKYYPQFYHGVDKEGRPIYIELIGKVDANKLMQVTTIERYVKYHVKEFERCFQMRFPACSIAAKRPIDSSTTILDVQGVGLKNFSKAARDLITRLQKIDNDNYPETLCRMYIINAGQGFKMLWSTVKSFLDPKTASKIHVLGSKYQNKLLEIIDENELPEFFGGKCKCEAFGGCKKSDKGPWKDPNIIKRVLNGEANYGRQIVTISSTDGKIIRYAGPQYPTRKGSDGSAESGSEVEDGASPMASRNLITNPLLTPVHEEASCHASKLAAHGFTSASPSIIEESIPVIDKVVDDGWGSPRASSSPSRSLPITFDGLWTQVITWLTVLIVSLFAMVRSVPSRMAKRFSSQSTDHDHSYVEYPQEAEYKEEFRPPSPAPSYTEKDVLSSMVRRLGELEEKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKVYVKILLPYKKKVMKYFNFHEQALYEALMRQDELLAYIDKQDMIKFRKKKFCF >OGLUM05G26030.1 pep chromosome:ALNU02000000:5:27569498:27574170:-1 gene:OGLUM05G26030 transcript:OGLUM05G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDENTLVWYSHNKEKCLRLSSVSKVIPGQRTAVFRRFLRPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLESLITSCRLNFLNDGQTDRVSFSEDVTIYQDSTSYDTTLDIASSITRSFNSAGYSTPNSLNSIRADVGSDRVNMLRASTGDNSRVSISSAPSSSSQSSGLDDIESLGDVYVWGEVWTEVLPSEGSSNYLCSKTDFLIPKPLESDVVLDVQQIACGSRHIGLTTRQGEVFTWGEELGGRLGHGTDTDICRPKLVESLAVSNVEYIACGEFHTCVVTASGDLYDWGDGSYNAGLLGHGTGVSHWLPKRVSGPLEGLQVLSVACGSWHSALTMSSGKLFTFGDGTFGSLGHGDRESVAYPKEVEALSGFRAMKVACGVWHSAAIVEISGQASTNAMSRKLFTWGDGDKNRLGHGDKEAKLVPTCVQALVDHNFHQVACGHSMTVALATSGHVFTMGSSNNGQLGNPKADGKQPCMVQDKLGNELVEEISCGSNHVAALTSRSEVYTWGMGANGRLGHGSVEDKKKPTLVDALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKAADTGVISSYNKRNVITRRSIDIKDKLERPEIRPSRLATTSPAEPVKYQETKIVRNETKPADPMSMMKASQVPAMLQFKDMAFAGTFGTVPTTVKSMTMGGQMQMGMPMFSPSPPSKKPSPPPATASPLIGKVDNDGLKKTNELLNQDISKLQSQVNKLKQKCETQDEQLQKAERKAKQAASMASEESARRNTVLDFVKHLDSELKVIADRVPGDVADNLKTLQSQSERFLAGQSSNLVEITGLTGHDIGHHRSSSTGSLPVSQDGSSGNASGSSISMASDSPCHRIMENNLKAPGDFAPKYGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFKKYNHPTN >OGLUM05G26040.1 pep chromosome:ALNU02000000:5:27578992:27581574:-1 gene:OGLUM05G26040 transcript:OGLUM05G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGMIIGGGGRRRLTRVRTLGRGASGAVVSLFAAGDDELLAVKSTAGPAGAAQLRREAGILASLCSPHVLPCFGFGAVAGGEYGLLLEFAPGGSLADEVARNGGRLEEDDVRAYAADVASGLAYLHGVGMVHGDVKGRNVVIGADGRAKLADFGCARRADSAGPIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSGVDDVVAAVRLIGFTDAVPEPPEWLSPEANDFLDKCLRRRAGERWTAAQLLEHPFLALAGCRAVAAEETKPKWVSPKSTLDAAFWESDADDEDDDMPESSAERIMALAVPCSAVPDWESDDGWIDVMSSQSELPIAAAETPAEQTRSEVSESPVASPALETTSYASAWDERSEAVMDADVDDDDDELVHNVRTVDTFVDEQLRQDIYLDFTTSDPIVLHVDVSDERKVKLLPPIPDCLCSSPSLSFFDFIHSNLITLQTQTTNLKLQTSKNVKSRAAASALILQNDGTKAGEMKMMQIRGDTWPKSLDYLTPDRVPLKKNKGITASTLLLHLRVVVDSVIRLPAQHLIKTVKSIAMCHCHLYLDAMNNLVVVVLLGMNFLHVS >OGLUM05G26040.2 pep chromosome:ALNU02000000:5:27581580:27588882:-1 gene:OGLUM05G26040 transcript:OGLUM05G26040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAAAVSRQWTRVRTLGRGASGAEVFLAADDASGELFAVKSVGAAGAAALRREQGVMAGLSSPHVVPCIGGRVGRDGSYQMFLEFAPGGSLADVAARCGGRMEERAVGEYAADVARGLAYLHGMGLVHGDVKARNVVIGGDGRAKLADFGCARWADSGRPIGGTPAFMAPEVARGEEQSPAADVWALGCTVIEMATGRAPWSDMDDVLAAVHRIGYTEAVPEVPGWLSADAKDFLARCLQRRPIDRSTAAQLLEHPFVASAAGDGKPEAAKSKWVSPKSTLDAALWESDTDEEEDDELSQSTAERIGSLACAASSLPDWDSDDGWIDVISTPTEESCETTTSPADEETTTDLNGDIRTAEFELPHIDVDSGNGNTTHNVGEANAQHIISPSNLVFDQPEEEINR >OGLUM05G26050.1 pep chromosome:ALNU02000000:5:27594240:27606812:1 gene:OGLUM05G26050 transcript:OGLUM05G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDLDKMIHGQAEMMKGVIQGVEGLMGMIEKAFAGSKGRIMMIEGILQEREGQTGMRAMVNQGDHLGVGDQCLQKSIGIGVDMNPASHRGHLEVQHVDTSSVTDAASRSVDPDSLVKMNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLDEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >OGLUM05G26050.2 pep chromosome:ALNU02000000:5:27594240:27606812:1 gene:OGLUM05G26050 transcript:OGLUM05G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLDEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >OGLUM05G26050.3 pep chromosome:ALNU02000000:5:27594240:27606812:1 gene:OGLUM05G26050 transcript:OGLUM05G26050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLHSEPPEARKSDIRWRLYVFKGGEPLDEPLYVHRMSSYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKD >OGLUM05G26060.1 pep chromosome:ALNU02000000:5:27608436:27608909:1 gene:OGLUM05G26060 transcript:OGLUM05G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLHVVLLAPPLLQARPLALGHGHRLLESPPVIMLPTDGEAVAAASPGQLGRSGRAPPPPRSNQPVTPLAGVDGGVSGGRAPTNTPPSPQPGGSTKPLSDDDGKPPPQGNKPPITSPRLRTSTDPPLRLPAPADDAAGLLRLIRDAVEYVIGELEA >OGLUM05G26070.1 pep chromosome:ALNU02000000:5:27610834:27613251:1 gene:OGLUM05G26070 transcript:OGLUM05G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSLPPPPQSGAYSSTSLPPAAAAAGGSAGEAPARRRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEAHCFREEDKPAFRDSKAQMARFYQRMIDIEKNRIKQIFRPRVLSDTPEQHLTAEEMEAELAGKKVSSQAHHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAQNDDQAKKSLYFAELKLNPDLLAKRMERFAELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMTRNQDYERCHSCSDRIKHPYGTEFVAGHDSSKIPYYTAG >OGLUM05G26080.1 pep chromosome:ALNU02000000:5:27613705:27615535:-1 gene:OGLUM05G26080 transcript:OGLUM05G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARVLHLKVIKDYDLVTKPCTLPGGLGRVQVGQRHLLQTEEEEQPPPATSPARHLRHAGAATYVAADVVTPAPAERAPTTSPARHRRHPRCSTAATPPPPPPTTTTTHPYSRQKVDKAHRRGSDTVATLKERVCNKQQQTLPKVAKAMAANATLWRLPNALVPVLSP >OGLUM05G26090.1 pep chromosome:ALNU02000000:5:27616725:27617639:1 gene:OGLUM05G26090 transcript:OGLUM05G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVERREHSRRSGRSRSRSPARDRGSPPRRRSPPARRERSPAPRSRSPRRRSPVKTTSSHRERSPVRRNGSPRRSPVRSVGRSPQRDRVKEQVRSPKQARSRSRSRSPARKRESQSPSPRSKRLKRAQSEREGADATEGDRRKTTSREERDSGRYRERDEGKDVSRDRQTEREDSRGSFKDRKLDCDDDRDHSRDRRSDRSGASRETWSSRDDERRDSRGRRSDGDDRKGISREQRADHDDRRDSARERRADRDERNGESGRSSRRGRSVSPEEHRHRGRHESRQSPRSSRSAARGEVCNDI >OGLUM05G26100.1 pep chromosome:ALNU02000000:5:27642674:27643444:1 gene:OGLUM05G26100 transcript:OGLUM05G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSSPCKLLLLLHVVLLAIAAPLLQARPLGHHQVVVAPLMLPSDGGEVVGGVRRPGGFVPPPPRAPGGPIALAGDDGGLLGRTGGPTPPSPGAPDGPITLAGDDGGLLGRPGGLAPPPPWHPGVPTQTQLAGDDDGGLLRRPGRPTPPTPGHPGVPTQTQLAGGDDDGVGGLLGRLWPKAPPPPDPNTPPVQPLSDYGVSRCCGRPGPAPPTPAGNPPGKTKVAVALAPPIPCAAFLRVIRDAVQYMVGGGLGA >OGLUM05G26110.1 pep chromosome:ALNU02000000:5:27645417:27646061:1 gene:OGLUM05G26110 transcript:OGLUM05G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSSPNLLLLLLLLPVVLLAPLLEARRLHVLPPLMLPSDGVVVGGAVEVEGGRSGYAPPSPRPHGRVTPLAEGGGGGGGVLRRPPGRGPPPPGPGGHVGPLSGGVSSSAGRSSTRPLISDDDGGVSGRQWPAPPPPPDPNTPVQPLSGGVSRGDRPRQLAPPPPPMGNPPPNTHHRRTDRPPRRLPADDDMAGLLLRVIRDAVEYMVGELGA >OGLUM05G26120.1 pep chromosome:ALNU02000000:5:27649161:27651192:1 gene:OGLUM05G26120 transcript:OGLUM05G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFNIDSPLTITTTPFYKEYILRNNIVIHPSLCLFRLVEKEQPDGMMSKQVRPYLMDLGSTNGTFINENRIEPSRYYELFEKDTIKFGNSSREYVLLHENSKE >OGLUM05G26130.1 pep chromosome:ALNU02000000:5:27653858:27655012:1 gene:OGLUM05G26130 transcript:OGLUM05G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWPVAVAPPLAGHDGRPTKPGSVHGLVIRNLDVTSSKDSKAFQALRSCSRAPEEARASRAVGVRWVIGCVVVRHKYTIHIRQPLLTPLTRADNAHYYPEHAFTRVIAGALGNRCCSLNGCNPPVTEELTKEP >OGLUM05G26140.1 pep chromosome:ALNU02000000:5:27666333:27667026:1 gene:OGLUM05G26140 transcript:OGLUM05G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGDEVLVVVDSMDDRAGEEALRSCSRDPEEARASRALGVRWVIGCVVGRHKYALRICQPPLTPLMRAYRARYYPDTRVIAGALGNRRRSLNGRSPPITEELTEEP >OGLUM05G26150.1 pep chromosome:ALNU02000000:5:27667390:27667690:1 gene:OGLUM05G26150 transcript:OGLUM05G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLALAESGLILLTKAVSLIAHVQAVREKLLPCHEPLSQARMSVRGILLHLPGVLFLLAGASPDPAVGREVEELAPDCDGGGGRESSHSRAVIRTVDP >OGLUM05G26160.1 pep chromosome:ALNU02000000:5:27670820:27673829:1 gene:OGLUM05G26160 transcript:OGLUM05G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSVMAGVGSISLAVRVAVAGTGSISAAGAGDGPFSSSVAGAGAGSISATAGAGHGAGSSSVAAAGSGEAPARRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEVHCFREEDKPAFRKSKAQMARFYQRMIDIENNKIKQIFRPRVPSDTHEQHLTAEEMEAELAGKKVSSQACHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAVDDDQAKKRLYFAELKLNPDLLAKRRERFVELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMIRNQDYERCHACSDRIKHPYGTEFIAGHDSSKIPYYTAG >OGLUM05G26160.2 pep chromosome:ALNU02000000:5:27670292:27673829:1 gene:OGLUM05G26160 transcript:OGLUM05G26160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPTIEEKLATYSMFQVRQGSTVPITAREWLDSVMAGVGSISLAVRGAGSISAAAGAGDGACSSSVAVAGTGSILAAGAADGPSSNSVAVAGTGLISAAGAADGACSSSVAVAGTGSISAAGAGDGPFSSSVAGAGAGSISATAGAGHGAGSSSVAAAGSGEAPARRKRTPGRWRRIPRTLPHPCLRKRPMTWEELLADSLDMSDEVHCFREEDKPAFRKSKAQMARFYQRMIDIENNKIKQIFRPRVPSDTHEQHLTAEEMEAELAGKKVSSQACHFANLAINHYNNIEENIVKIELCTVLLSNCFHEICGSTYAHVNFTARAVDDDQAKKRLYFAELKLNPDLLAKRRERFVELSLDPNIVGCADDIEPMCVVSIHNLQGSCFGGCHEINRRIDYVMIRNQDYERCHACSDRIKHPYGTEFIAGHDSSKIPYYTAG >OGLUM05G26170.1 pep chromosome:ALNU02000000:5:27692587:27701362:1 gene:OGLUM05G26170 transcript:OGLUM05G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGEWVDEIAALRLQFEQKDIEVERLKDLCLWQREEIWTLKGAVFFPATQPDHNLTGQIQYLAEKIAVAPSSTAKMAAAAAAPISIHIPSLLPHLRERPWAKEVTVATASAYGRGGHRGNNNRPWAEEVREEGGNAHGGAVQERQENTLLKSELEKLQELGRALRDERARQEAISLPKLRHRGSLQRERRHCRRRGGHRRIARFATRSK >OGLUM05G26170.2 pep chromosome:ALNU02000000:5:27692587:27701362:1 gene:OGLUM05G26170 transcript:OGLUM05G26170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGIYAKHPSPTPNPQTIPRSGTRSLYVRGTSSHDRRSSRIQRWHRLCGRPSSSRCRRRARRSRRHRRRYPATPPAPPAVSDAGVVAGACAGAPSPLVLLPLRPPATGLEVPANPTAHGHPATAARQIQRGEAGRAPRASTRGSALRGRTRRLRRRKTRTRKAPALVVVPAFFARCRSSAPSPATAVAVAPRVPGIVAAVVASLSP >OGLUM05G26180.1 pep chromosome:ALNU02000000:5:27702207:27702811:-1 gene:OGLUM05G26180 transcript:OGLUM05G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVEEEMAFTMKLTPEPPPSGLHPPGSPFEGQPTGESTDQKGRAATGSNDAGDGPRAATVAVGRFRAAAATEDWIRAAAVASGRNHVVAAAADLIRAAAVASGRIRVAIAVVSRNLVASTVAVRNRVAAVISNFHRHTNEKKTGRTRPNETSPTT >OGLUM05G26190.1 pep chromosome:ALNU02000000:5:27702270:27703295:1 gene:OGLUM05G26190 transcript:OGLUM05G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDDGGDAIANSDGGGDEVAAHDCDGDADTTRGDGGGADQVRGGGDDVVAARRDGGGADPVLSGGCGTEAAHGDGGGARAISCVVASSCSSPFLVAILWIRLFSLSWPTLTPPLVALQKVTPAGEVLMAAAQASAGILAKPSDDDLSFEAFGIFVDGCLHWPGSTSFMVKAISSSTISIISARQKLICSHSGAPSLKVGCSSDSPFLLFPNRRNHAGFVIRVELGPPTQFRWTGSMLELLRFNDEPRGDPSLSPTTHTPKSTAQQQTSVLCRFRGGGRWGLTVCQAECTSFEAQGSSRRGFAADSSVNPPFSGGGSFAFSFYPWLCNGVYYLILLLLNN >OGLUM05G26200.1 pep chromosome:ALNU02000000:5:27708507:27709702:1 gene:OGLUM05G26200 transcript:OGLUM05G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSGSAAASTTAAENASSPPTKISTAGGGKRIERFGRQLPQIDHDDDAHKFVELLPANESRQRRPIRALSIRHRWTTMAMQFFLCS >OGLUM05G26210.1 pep chromosome:ALNU02000000:5:27710713:27714603:-1 gene:OGLUM05G26210 transcript:OGLUM05G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:double-stranded DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT1G29850) TAIR;Acc:AT1G29850] MVTVCSFPEKADPELEAIRQRRMQELMAQHGAANPQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQSGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >OGLUM05G26220.1 pep chromosome:ALNU02000000:5:27752971:27753597:1 gene:OGLUM05G26220 transcript:OGLUM05G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDETFASPTAGGGGGEFEQPLTNGGGGGGVYPAAKAYDAGELDALREAKRDLEDKLAAVEHENRFLGAEAYRLEGIVSQAREDIATAEHAIAASEGEAASLRDEVKRVKELLAAEKSNHEAERRRAADLDAELKGVQKEVAALEEEIKALKASAAAADAEDEDEAAAPATPSKEAEVGYHGLMAAAAAGAAATAVVAVVILHLKR >OGLUM05G26230.1 pep chromosome:ALNU02000000:5:27756128:27757075:-1 gene:OGLUM05G26230 transcript:OGLUM05G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDAAANDGAYCPDCRRATAVVLDHTTGDTICTECALVLDARYIDETSEWRTFANDGASDDRDPNRVGDRADPFLPDHVGGTTIAYSSAPPKSADAAPLLTRRRVDVVGPSPENALVAAFRGIADMADRLGLVATIRDRAKEVFKKLGEAPKGFPRGRNRDAVYAACLFIACRNEGMPRTYKELASVTAEGAAAKKEIGRLTTLIKKHLGDQGEGRAMDIGVVRSTDYLRRFCSRLGLGHQDVRAAGDAVRRLEERLDVRRNPESIAAAIIYMVVQRAGGSKSVRDVSTATGVAEGTITAAHKELAPHASVLFGG >OGLUM05G26240.1 pep chromosome:ALNU02000000:5:27759088:27765426:1 gene:OGLUM05G26240 transcript:OGLUM05G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGSEGGEAAAQGKVGLHRLFRYADGVDALLMAAGAAGAAASGAAQPLMNLVFGEVVDAFGSGSRDDVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFFEKEMTTGQVVERMSGDTILIQDAIGEKVGKFIQLTATFVGGFVVSFAKGWLLSCVMLSSIPPIIIAGATMSWTISKLSTHGQSKYNEAGNVVEQTIGAIRTVASFNGENRAIALYNKYIHSAYVSAVQESTATGLGFGFIMFMLFCTYGLAAWYGAKLIIDKGYEGGQVVTVWMAFMTGAMSLGEATPCMSAFASGQAAGYRMMQTIERMPAINSSGIDGAVLENIKGDIELRNVYFSYPSRPDQLIFDGFSLHVLNGITMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGVNIKTLRLRWIREKIGLVSQEPLLFATSIRENIVYGREDATTEEIMAATELANAAKFIENLPNGLDTMVGEHGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDMESERVVQEALNRIMQDKTTIVVAHRLSTIKDADIISVVQHGRVVEQGTHTELLKDLNGAYSQLIQLQGATEELHKSGVDYQRSISTVQSVMSISKSRGRNASFKRSLSRGTSFGSTSVHLTTAAGMIVPESMHTEVPSKVLDDNEEHKKVPLCRLISLNKPEIPVLLLGTAAAVVAGVLFPMLGLLISSSIKSFYEPPHQLKKDARFWTLMYVAAGIVSLISLPMENFLFGVAGGKLVERIRSLSFKRIVHQEVSWFDNPSNASGTIGARLSVDASNIRRLVGDSLALFVRSSVTIIAGFIIAMVANWRLALVATVVLPLGGLQGFFQIKFLEGFSADAKIKYEEATQVAHDAVSSIRTVASFCAENRIMKAYYKKCEAPVRQGIRQGIVSGLGFGISFFVLYSTYALCFYVGAKFMLDGKATFTEIFRVFFALLMATIGVSQTSAMGSDSAKAKASATSIFAMIDRESKIDSSSDDGMVLANVAGELELHHVCFLYPSRPDIQIFRNLSLRIPSGKMVALVGESGCGKSTVIALLERFYDPDSGTVTLDGVDIKNLKVGFLRQQMGLVSQEPVLFNDTVRANIAYGKEGDATEEEIVAAARAANAHQFISALPGGYDTCAGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERAVQAALESVMVGRTTVVVAHRLSTIRGADVIAVLKDGEVVATGGHEELMAKKDGVYASLVELRMSSERAGDSKPS >OGLUM05G26250.1 pep chromosome:ALNU02000000:5:27767177:27773361:1 gene:OGLUM05G26250 transcript:OGLUM05G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASTARAADGDKRGKEENDRRMAKDGKVAFHHLFKYADSTDVALMLVGTIASLASGMSQVIMTIIFGQMVDAFGKSSPGNILHQVNKAVLYFVYLGIGSGIVCFLQVSCWSVTGERQATRIRSLYLKTILRQDMAFFDKEMTTGQVISSISTDTTLIQGAIGEKVGKFLQLVTTFLGGFVLAILKGWLLTLVMLSTIPPFIFAAGIVSKMLAKISNEGLASYSKAGDIVEQTVGSIRTVVSFNGEKKAIGLYNDLIKKAYKGAVKEGFIQGFGMGFLNLIYFSSFGLIVWYGSKLSLSRGYSGADIMNILFGIMIGARALGDATPCTAAFEEGRIAAYRLFKVIKRKPEIDYDDTSGIVLEDIKGDIELKDVFFSYPSRSEQLIFDGFSMCVSNGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSLRLEWIRGKIGLVNQEPILFMTSIKDNILYGKENATLEEIKRAAELANAARFIESMPNGYDTLVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNQIMVGRTTLVVAHRLSTVRNAHCISVVHKGKIAEQGHHDELVKDPNGAYSQLIRLQEAQQAIDPHLDGPLNKRSQSLKRSLSRNSAGSSSHSLNLPFSLRGATELLEYDGADGENRNLKNDGKLPKKGSMGRLISLNKPEIAIFLFGSLAAAIDGAVFPMIGLVLASAVKVFYESPDKREKDATFWGLLCVGMGAIAMISKLANILLFAIAGGKLIKRIRALTFRSIVHQEVSWFDHPANSSGALGGKLCVDALNGYAQVRFLQGFSQDAKIMYEEASQVATDAVGSIRTVASYCAEKKVMTKYNQKCQASRYQGIRTGIVGGLGFGFSNMMLFMTSALCYYVGAKFVSQGNSTFGDVFKAFFSLVVAMLGVSSTAAMASDSSKAKDSASSIFAILDRKSQIDSSSNEGLTLELVKGDIEFTHISFRYPSRPDVQIFSDFTLSIPSGKTVALVGQSGSGKSTAIALLERFYDPDSGVILLDGVEIKKLEISWLRDQMGLVSQEPVLFNDTIRANIAYGKNEEVTEEEIVAAAKAANAHEFISSMPEGYSTSVGERGTQLSGGQKQRIAIARAIVKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTIVVAHRLSTIQGADIIAVLKDGAIVEKGRHEALMGIASGAYASLVELRHNVT >OGLUM05G26260.1 pep chromosome:ALNU02000000:5:27775239:27776111:1 gene:OGLUM05G26260 transcript:OGLUM05G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLAPKLGVRLNAVSYNIILKGACLRDGFMGARGVLDEMLSRGVRPTVVTFNTLVGSACREGELGAAERLIDEMARRGVAPNAATYALLMRGLCDADRHADAEKLMFDMEYRGCQADVVNYGVLMSSRARRGDADGIRELLSAMRKRKLKSDDASYNILIRCLCDAGRADEAHRALLEMQLRGTVPGAATYRVLVDGCCRARDFDLGLRVFNAMMASGHCPQARTFRHLARGLGEDGKAEEAFFVLEQMARREMSLDADGWQAVVTCVRSSCSTQASEIKLVNELVLSN >OGLUM05G26270.1 pep chromosome:ALNU02000000:5:27778475:27779413:-1 gene:OGLUM05G26270 transcript:OGLUM05G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGEGKGDIPSVVLLELWGHVADDDDDDHRPDATTATSTTSTGLTISVTLRAATPPALSHLSVDCPGLADLDPQPLGQVRGAHGGAKGTVGWVDLWRGILLCDVLEDSPKLRDMPLPLPARVNWPLFLNRCPYYCRDIVVSQSRDTIKYVEMEFTNGWPPSDFEARI >OGLUM05G26280.1 pep chromosome:ALNU02000000:5:27780699:27782959:-1 gene:OGLUM05G26280 transcript:OGLUM05G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G21610) TAIR;Acc:AT3G21610] MRGGEMLTASRGAGASSSTAAAAATVDLAGRAGAGAGGGGGGNFPLAVALIAFAFANFLNLLAIWLKEKRWDARKFLTSAGIISSLSATVGSLAVAVGQQEGGDSSSFALALVFAAVVMYDASGIRFHTGRQAALLNQIVSDFPPEHPIISSFRPLREPLGHSPFQVFAGALVGCSIAYLMGKSV >OGLUM05G26290.1 pep chromosome:ALNU02000000:5:27785246:27788937:-1 gene:OGLUM05G26290 transcript:OGLUM05G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAANGIGEVERKAQRSYWEEHSKDLTVEAMMLDSRAADLDKEERPEVLSVLPSYKGKSVLELGAGIGRFTGELAKEAGHVLALDFIESVIKKNENINGLHKNITFMCADVTSPDLTIEDNSIDLMFSNWLLMYLSDEEVEKLVGRMVKWLKIFKECHSYDKDGGSYELSLETCKCIGAYVKSKKNQNQLCWLWEKVKSTEDRGFQRFLDNVQYKTTGILRYERVFGEGYVSTGGIETTKEFVDKLDLKPGQKVLDVGCGIGGGDFYMAENYDAHVLGIDLSINMVSFAIERAIGRKCSVEFEVADCTTKTYAPNTFDVIYSRDTILHIHLFASIADHGILTYNNFVVELTTEATVLRLLYMVLQDKPALFRSFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVKTYGKMLEDAGFHHVIAEDRTDQFLRVLQRELAEVEKNKEAFLADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >OGLUM05G26300.1 pep chromosome:ALNU02000000:5:27792497:27798802:-1 gene:OGLUM05G26300 transcript:OGLUM05G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQSWRKAYGALKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKIVAATSIARPRADVAYCIHALSRRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFAQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELESQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGSLSDFYENCRGLELARNFQFPTLREPPQTFLVTMEEYVREAPRMVPVREPLELPERLLLTYKPEEQEEDSVPDPVEEEKPPVEEPVPVPPVTEAVSPPPPPKTKVADTGDLLGLNDPNPSVSAIEESNALALAIVPADAGASTSSTATWQDKGFDPTGWELALVTTPSNTNSSAADSQLGGGFDKLILESLYDQGDYRQRQQQQLYGSSAPNPFMSNDPFVMSNQVAPPPSVQMAAMSQQHQQIPTMMQANPFGPPMQPQHVGMGPATNPFLDSGFGPFPMANNGHQQANPFGGTQLL >OGLUM05G26310.1 pep chromosome:ALNU02000000:5:27801143:27804864:-1 gene:OGLUM05G26310 transcript:OGLUM05G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:STT7 homolog STN7 [Source:Projected from Arabidopsis thaliana (AT1G68830) TAIR;Acc:AT1G68830] MATGSLGLSTSFLPGHDTLLRRRRRRPPATTAASFRPVTAELGGELGRQLVEAVGVGLPCTVMACGDVIYRSTLPRNDGLTITAPGVALALAAASYLWSTPGVAPGFFDMFVLAFAERVFRPTFRKDEFVLGKKLGEGAFGVVYKASLDDPKAAEKQGAVVVKKATEYGAVEIWMNERVRRACASSCADFIYGFRESKAKGKGADEYWLIWRYEGEDTLFDLMQSKEFPYNVETKILGDVQDLPKGIARENKIIQTVMRQLLFALDGLHSTGIVHRDVKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPSEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATPELRRGFDILDLDGGIGWELLTSMVRYKARQRTGAKAALAHPYFNREGLLGLSVMQNLRLQLLRATQKDYSEAARWVVGLMARSGTEAEGGFTEAQLQELREIKPKKGSARRNLLASVLRVQRKVVRTINESMDELSSQSKSIWWSRWIPKEE >OGLUM05G26320.1 pep chromosome:ALNU02000000:5:27817347:27825691:1 gene:OGLUM05G26320 transcript:OGLUM05G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPATAANGVAGSSSSGQKRKAAVDDDGRGEDDNWLKLSLAPVDYGDPTGDVVDNNSSCAPAVMTSTEERSGTAAGVASGSARAGLIPNGAVPVFPCFNFLGTSMSSSSLSHLHQQSSSTRRQSNASTASSSGGIGGGDDDEAPNVMNGGDKNDGNALPDPPYPWATNEVAKHHSLVELARRDIININGEARCRRCDTRKMIVYNIATKFREVSDYFRQNYQHMNDRAQARWMNPVVPNCDNCGHERCMRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNRHRTGAKDRVLFSTYEELCNQLAPGLITGHDQLRMLDAGILIFLYYKRSMLSGVKNMKKKYNDPIFALNNYTPNERQSGSPQNLHVAAIKCASIDLDSILNGVPTGALQCARRRLRKTAAAVQGAGSSLSGQKRKAAMDDDGSSEDDN >OGLUM05G26330.1 pep chromosome:ALNU02000000:5:27825779:27826582:1 gene:OGLUM05G26330 transcript:OGLUM05G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTEERPATAACVASRSARTGLIPNGAVPVFPCFNFLGTSTSSSSLSHLHQQSSSTLRQSNASMASSSGIGGGDDNEAPGNIVAPNVPNGGGNDNNGGNAPLDPPYPWATNEAAKHHSLAELTRRDIKTIRGEARCRRCDTHKMIEYDIATKFQEVSNYFRQNYQHMNDRVQARWMNPVVPNCDNCGHEKCMRPVIAAEKERINWLFLLLGETLGLRMLDQLKYFCAHTNRHRTGAKDRVLFSTYKELCNQLAPGLITCHDKSRMR >OGLUM05G26340.1 pep chromosome:ALNU02000000:5:27828883:27830866:1 gene:OGLUM05G26340 transcript:OGLUM05G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAPSPPPARDAGSSSGQKRKAPFTKVDQDGGSEDTWLKLSLGPVIYTDATNIDDSPVTATSPKEPPPPPPAAAAVVQQTVGPPIFMASATTSLIDHGKEATRMATDALFNGDATGSSSLHHESSSARHPTQRRSTASTTSSTSGSAGDVPAITGDGTNGGSDGNNGAAGTVNNDRVLVNNPPYPWATNRVAVHHSLVELSRRGIFTIKRRCDVRKEFVYDIEAKFRELEDYLRRNCMSMNDRASERWKNPIVPNCDGCGQQNCMRPVIAAEKERINWLFLLLGETLMREKRNS >OGLUM05G26350.1 pep chromosome:ALNU02000000:5:27830964:27832082:-1 gene:OGLUM05G26350 transcript:OGLUM05G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNLTRPTKGGRKAGPGRRLGYVHGGADAVLASHDGGDLPNRLMTSMVRLFSTRLFLFSFSRHLSRISNPKPQLLLLLPPLRRLLLFLPSVVAAAPLPVLVASATPPSPAAPSPPRRGVEGGSCCISPVRERGDSGGGCFPPRRGGSSCPPLPDIVLMVAPTPPSRHGDGGCGGCFPPPAWW >OGLUM05G26360.1 pep chromosome:ALNU02000000:5:27833316:27836184:1 gene:OGLUM05G26360 transcript:OGLUM05G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLTGVPASSALTFRPRARFFPRANPRSMAAAGPACCAPAAATAASSSASAAAAAGDGGGGAQKPWLLVGLGNPGRMYKGTRHNVGFEMIDAIAEAEGISVSSKQFKSMVGKGLIGDVPVMLAKPQTYMNASGESVAQLVSYFKIPLSQVLVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPTGKLDAIGFVLRSFTKEEQEELNFTINRSLQAVRIMLLEGFNKGATFVNTPQPSEMLNK >OGLUM05G26370.1 pep chromosome:ALNU02000000:5:27837292:27838524:1 gene:OGLUM05G26370 transcript:OGLUM05G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALARFPGSYWRDLFDSRVGRTTWPFGSGVWSKKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLAGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFTPQVAEPTFASAIQIGDPVSVDRAVVALKATDGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >OGLUM05G26380.1 pep chromosome:ALNU02000000:5:27854926:27856137:1 gene:OGLUM05G26380 transcript:OGLUM05G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSCLLDDASSGASTGKKAAAAAPAAKALQRVGSGASAVMDAAEPGAEADSGGERRGGGGGGGGGGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGEAEAARAYDVAAQRFRGRDAVTNFRPLAESDPEAAVELRFLASRSKAEVVDMLRKHTYLEELAQNKRAFAAISPPPPKHPASSPPSSSAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPPPTTTSSVAAAADAAAAGGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRAAGKNAQLFIDCKVRAKPTTTAAAAAFLSAVAAAAAPPPAVKAIRLFGVDLLTGAAAPELQDAGGAAMIKSKRAMDAMAESQAHVVFKKQCIELALT >OGLUM05G26390.1 pep chromosome:ALNU02000000:5:27859202:27866340:-1 gene:OGLUM05G26390 transcript:OGLUM05G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAVDAGLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPIYAVAGPMIIFIEEWLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGIPKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRARLVSLARKLLAIAEAVVAGRKFYG >OGLUM05G26390.2 pep chromosome:ALNU02000000:5:27859202:27865726:-1 gene:OGLUM05G26390 transcript:OGLUM05G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGLARISSGDAFLTGSRPWKYNNITRGVICHGDKSVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVVLPLLIAAMVGVSRVDDYWHHWQDVFTGGILGLVVASFCYLQFFPPPAGEQGFWPHAYFEHILHPEVENQVQLTATSNHHQGLDMRTNNQSLDSMEEGRRARLVSLARKLLAIAEAVVAGRKFYG >OGLUM05G26390.3 pep chromosome:ALNU02000000:5:27865757:27866340:-1 gene:OGLUM05G26390 transcript:OGLUM05G26390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPATSPAPAAIRLGAPHPYLRTHGTKVARLHLLDWIVLALLVAVDAGLNLIEPFHRFVGEDMMISLRYPLKRNTVPIWAVPIYAVAGPMIIFIVIYMKRRNGYCFLC >OGLUM05G26400.1 pep chromosome:ALNU02000000:5:27869697:27870287:-1 gene:OGLUM05G26400 transcript:OGLUM05G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2E9] MSGAVALMAERLGHRLRHPPLHHRLLGNKGIVYSSVMYYVQGLVTRAHGPMFVTAFQSLCMTIIAVLGSTILGEEITLGSVIGAVIIVVGLYAFIWGKGGDHADNGKPPAAATPP >OGLUM05G26410.1 pep chromosome:ALNU02000000:5:27870842:27871045:-1 gene:OGLUM05G26410 transcript:OGLUM05G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAAAWEPIPPLSDVRFSRDAAEAVCSGGKVCMVNLCSRGAKEHVVFDLRADRWEDMPPGMLAD >OGLUM05G26420.1 pep chromosome:ALNU02000000:5:27885503:27888567:1 gene:OGLUM05G26420 transcript:OGLUM05G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDGVGGDGGAPAAEQQQRRVALRVLLSRAEASSPPLATVEEEAQRGRSGGNKGLASAALRGLGCTSTAALRAHAPASAVEVASSSERWHGRRRRRKVQERRSARGGGGGGGGGGVAPPGPAPAAAGDVWCTCAPGIPFAAEASSVDCVVVARHHHAHHTAAAMGSGRRGEAERRHRERPAAPRARRVTMREHISSSLMDSPPFPDMPLLNADLLPPPPSGRHRHGYRHPHVGAAEEEIMMLRTRLLWGRFGMHDQHQDWRLDVDNMTYEELLDLEDRIGYVSTGLHDDEIARSLRMVKYSAFNPKHFATEVERNCSICQEEFEANEETGRLICGHSYHVQCIKQWLSRKNTCPVCKTVVSKT >OGLUM05G26430.1 pep chromosome:ALNU02000000:5:27888623:27890934:-1 gene:OGLUM05G26430 transcript:OGLUM05G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENSVGSGSGGNTMDIFGQSIDVRRPSKSRRRVVSHKNLSPEIEESIGSSRRKLHRRKAIAEDQEQARVESELSRAMNMAMELERQIEQTNAKARSRRSELQRQRTRASGGGSRRKTARGLAAEAAGGAPAHRQEGVGTAYGEVMQELDRVKGELRKLQREVMAAMAAKGTAGRRDAEAEASTSSAVSSGPRGGGGVERDADGASEEHGVLVELAVGTAATASSDAGSWHSELAVVRATDATAMASRGHEVEHEEPSLQAAEAELSSARIELESIKAEGLRFTASIERTRRETARVTDEIRRLTEQEKAASAHVQQLNAKLLKARSRLEAVTAADERADETISKLAAILRQLEDDAAAAEKEKTLADTENRRAMSDAENIDAEIAAAEKRIRESVRELGAARASEAAATARLKAIVESATLATAAAATPRSSSSGNVTIPRFEYEYLTGRAEVVRAVAEMKAAAAEAWAEARRASEKEIAMRAEAIERELGEARAADAEATNTTRRMPFSSAATSRMAKSRRMPSSSAAAAAARKPRSPSSSVKRRKRRVLTLNCLKLLAGKCRGQN >OGLUM05G26440.1 pep chromosome:ALNU02000000:5:27891771:27893164:-1 gene:OGLUM05G26440 transcript:OGLUM05G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2F3] MNVPHVSSDSDDDRPVIRLFHRQKPVHKILGGRKVADIMLWRDRNLSAGILAGATLIWFLFDVAEYNLVTLLCHIALLGMLVLFIWSNAAPLFDRAPPRIPEVIVSEHAFRELALTLHSKVAHFSAVLYDISCGKELRKFLAVIGSLWILAVIGETCSFTTLLYVGFLCALTLPALYERYETEVDHLVAKGGQDLKKFYKKIDSNVLNKIPRGPVKTKVH >OGLUM05G26450.1 pep chromosome:ALNU02000000:5:27895103:27895384:1 gene:OGLUM05G26450 transcript:OGLUM05G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVLTVMVVLLLFAAAAVAPGAEAACDALQLSPCASAIIGNAAPSASCCSRMKEQQPCLCQYARDPNLQRYVNSPNGKKVLAACRVPVPSC >OGLUM05G26460.1 pep chromosome:ALNU02000000:5:27898568:27898949:1 gene:OGLUM05G26460 transcript:OGLUM05G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASAVLVFLVVVVLAVAAMRGAEAATCTPTQLTPCAPAIVGNSPPTAACCGKLKAHPASCFCQYKKDPNMKKYVNSPNGKKVFATCKVPLPKC >OGLUM05G26470.1 pep chromosome:ALNU02000000:5:27899211:27902280:-1 gene:OGLUM05G26470 transcript:OGLUM05G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLCALPLLITLLLISSVPPSVQESDAFFRYTNCTPASYQCGSLKFDVDYPFSANGVHRPDYCSYPGYRLICSPDNQLMIYMNSTSFQITNIDYGNKILAVIDQTQPQETCPDRYHNTTIDESRFMYTDRDQFLTVYVNCSAKSSSLPLIYDLVSCISGGSSYYRLHKNKDDSLESDILGSCSSTIVVPYNSTMAGSLAAENSSLVDVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPDGQAIGSCSSSGSKISKISKKKTNAIGVILLFLLLLCTLCGKKFWHGLLSWIEKSKEAPNIESFLQKHEAQHPKRYSYSEVNTMTKSFSHKLGQGGFGTVYMGKMPNGKPIAVKLLKSCKDDGQEFMNEVASVNRTSHVNVVTLLGYCIQGSKRALIYEYMPNGSLERFAFSPNSETEDSLSWEKLFDIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKSCKQKESNISIDGARGTIGYIAPEVFSKQFGDASSKSDVYSYGMMILEMVGARKNISASADVSSKYFPQWIYEHLEEYCVAASEMRLDTSVLVRKMIIIGLWCIQLLPNNRPSMTRVVEMLQSSADDLHIPPQSFLS >OGLUM05G26480.1 pep chromosome:ALNU02000000:5:27940628:27944821:1 gene:OGLUM05G26480 transcript:OGLUM05G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLCSLPLLIIILLSSVPPSMQESGAYFRYTNCTPASYQCGSLKFDVDYPFSANGVHRPDYCSYPGYRLICSPDNKLMIHMNSTAFQVTDIDYGNKFLAVIDQTQPQETCPDRYHNTTIDESRFMYTDRDQFLTVYVNCSAKSSSLPLIYDLVSCISGGSSYYRLHKNKDDSLESDILGSCSSTIVVPYNSTMAGSLAAENSSLVDVIRGGFTARWKVGLGWCSDCKASGGHCGFNGSFPDQYTCYCPYGQAIGSCSSSGSKMSKKKAIPIAISIAAGVLFLLLFVVSFLYIRKRRQYKMTSSSRLLKYTTSGGTPRSKGSSDKFMESGSFHYLQTHHFAYEELEEATDGFSDARELGDGGFGTDVVDFVGFLVDLEMCSGELRDGRVVAVKRLYNNSCRRVEQFVNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGHRAPERALTWPLRLNVAVEAAAALAYLHAVEPAPIVHRDVKTNNILLDANFHVKVADFGLSRLFPRDATHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLAELISSKPAVDVTRDRDEINLAGMAVNKIQRCQVDQLVDDELGYSSDEATRKTMTMVAELAFRCLQHNGDMRPPIKEVADVLRGIQDECRAAEKGGKRGSPCSPNTVHAPWDSMSTTPNTSQ >OGLUM05G26490.1 pep chromosome:ALNU02000000:5:27950224:27968327:1 gene:OGLUM05G26490 transcript:OGLUM05G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRAPSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQMADIALTCKNRPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWRKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTLSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQLAGWLCQQSVQKEYHVPLSTPQWNEANKQPGQTEDLQHSPWSQSTKTTPLQAHLQSDLQIRANCSACVLCHIHGPSKDGRQTGMDTRQTRMVRSAATSVTCFLGQLPHDKCDGDFVNLFPRYGSHRSDSLDVGSLTVYLMP >OGLUM05G26490.2 pep chromosome:ALNU02000000:5:27950224:27964996:1 gene:OGLUM05G26490 transcript:OGLUM05G26490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRAPSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQMADIALTCKNRPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWRKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTLSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQLVVVTVVRADSNVLTRNALNGAFDVRGGVVEVDRRTKKNNKKKKPEQCTTTILAISPGGSSLVFLEDEEKSFFLASIWNSDAMHDVAGFCRSTIARLLPPPPLAAVAELPPFMPPPLHTHTPTRTRNSNPKIFQSLFLLPLSLSLCRFL >OGLUM05G26490.3 pep chromosome:ALNU02000000:5:27950224:27968327:1 gene:OGLUM05G26490 transcript:OGLUM05G26490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLADEGSIAAAVMPRSPSPPAAAAGSAAEAPMLIFVYFHKAIRAELERLHAAAVRLATERSGDVGELERRCRFLFSVYRHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFSLLKLDVRNDDGLRRELASCTGAIQTFITQHMSKEEEQVFPLLIKKFSHEEQADLVWQFLCSIPVNMMAEFLPWLATSVSSDEHQDILNCLHKIVPDEKLLQQVVFAWIGGEAVKTISHDFCSPCSKSNVRCKDAIDQTDKYGCSHEHFKTGKRKRAESSYSQLVMHPIDEILCWHNAIRKELSDIVEETRRIQQSGDFSDISDFNVKLQFIADVCIFHSIAEDQVIFPAVNDQVSFEQEHAEEERRFNKFRCLIEQIQITGARSTAVDFYSELCSQADQIMEKIERHFKNEETKLLERVLPWFVSKLNDQDAEAFLQNMFLAAPSSEAALVTLLSGWACKGRSKGTSNSGKFICLTPRAPSSPLDENGFKDCQLCPCSLQSDICSRPAKKWNDTESSNISNCSQMADIALTCKNRPCHIPGLRVEISNLAVNSFASAESFRSLSLNYSAPSLYSSLFSWETDAAFSGPDNISRPIDTIFKFHKAIRKDLEFLDVESRKLIDGDESSLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFKDISTILFELSQLHADLKHPLGGADAVGANHIHPYNRIDWSKKNNELLTKLQGMCKSIRVTLSNHVHREELELWPLFDKHFSVEEQDKIVGRIIGSTGAEVLQSMLPWVTSALSLDEQNNMLDTWRQVTKNTMFDEWLNEWRKRSPTSSGPSSDASHPEEDHFQEKFDQSEQMFKPGWKDIFRMNQSELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPQSEDRNGCTVLPGCCPSYRDPENQIFGCEHYKRKCKLVAACCNKLFTCRFCHDKVSDHTMERKATVEMMCMQCLKVQPVGPNCQTLSCNGLSMAKYYCSVCKFFDDERSVYHCPFCNLCRLGQGLGIDFFHCMKCNCCLGMKLIEHKCREKMLEMNCPICCDFLFTSSAAVKGLPCGHFMHSACFQAYTCSHYTCPICSKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQQSVQKEYHVPLSTPQWNEANKQPGQTEDLQHSPWSQSTKTTPLQAHLQSDLQIRANCSACVLCHIHGPSKDGRQTGMDTRQTRMVRSAATSVTCFLGQLPHDKCDGDFVNLFPRYGSHRSDSLDVGSLTVYLMP >OGLUM05G26490.4 pep chromosome:ALNU02000000:5:27965719:27967556:1 gene:OGLUM05G26490 transcript:OGLUM05G26490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFIGMRQTNSLAKQRTSNTRHGPRAPRRLLYRPTFSLTCRYEQTVPHAFCAISMDHPKTGMDTRQTRMVRSAATSVTCFLGQLPHDKCDGDFVNLFPRYGSHRSDSLDVGSLTVYLMP >OGLUM05G26500.1 pep chromosome:ALNU02000000:5:27959770:27963320:-1 gene:OGLUM05G26500 transcript:OGLUM05G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSATAASGGGGNSLAIVERQKPATSCMASLFQMLAKKKLFSSSSKKTKLLPPVRTQKFSPGRPPAGGEKTPAAKMRTLLLDAPCYPNEVTTRLPPPGQDNKSSEMCTPGVVARLMGLSAMPATSHQKAVKTMDASELGGHRSECSYGSIYNSHQKQQKPGHIRDGRHDNGNQLDADAQPVWSRKHAAHKLASPIKSPRSVSSRNKVRLIEAAVKALEPGLQSRHRHQARRQACLEYRCNSSDVQSAAEAMHDFSDQFAREMCDVDASRSGAQNVGATSLHNSTSNQWSEEDTMRNASVRRPNQNISCQAQPEGNHKGQRNGFKDSGQRTSDAVLVSSQGVQKIQPKNISRENVDCRPLKQNNLKQNALPETYRAADTGHMVQRQKHRAKEQNVANTDNDFVCSNRGMNNSASLRSKGKVKDKIGMPHGSAENRNLSTKCHRTSGLHGDCSNKQISKTATLKATEKDMIIAKGAGLVSEKPKSTSPNSVRNDSRRKVESRIASRGNNSGIISISSNSPRKAVLALLNGHTKGSDSVVLGSPTGSCPKRDYRRDCQNISSERELVLSEALQGISSLESAESVCFNRNELRNRDILDDRVMSSLFRKTSAINVMEESPSDEFLWQCNFLDSLTFGFREVPRSGKLRETHKKHEVTTNASDPSYYADDECFSGSLLKTAASEAGFRDRRRSENCTMQQDGTNKRNSRCSETNFGQDSSNLIHPGEVAATVELLLTNVRRSTSTTPPRTSKAPFKAFLVKTFESALTTVTTTSSTKKKKKKNGFSFNAGVVKATEGEKSRSPLGSLAFDSVMECLDSLFTQFCDSGYRSFSRVPLICPEERLAAQVDREIARCSGMAGKEVDELIASDVQHSGVEIGVDVLHEAFEIGAQIERDLLQELVAEIGMDMLRRL >OGLUM05G26510.1 pep chromosome:ALNU02000000:5:27979021:27980038:-1 gene:OGLUM05G26510 transcript:OGLUM05G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPQAKPPAATSTHHLEPLPAEADSEPELKAPPPPPPPPPLLPMAQPQADGAARPWNLRQRTRRRPAASMSWATAVPVPSSSRRRKRAPFSVALTPEEIEEDIYALTGSRPRRRPRKRPRVVQRQLDSLFPGLWLTEVTADAYRVPDE >OGLUM05G26520.1 pep chromosome:ALNU02000000:5:27982698:27985254:-1 gene:OGLUM05G26520 transcript:OGLUM05G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAATVTPAAAAMSEAPAVAAPRPRDPGLFGSFDLPAAWGCRRPMAFCRDLDAAALVGSEPNAAAAAAAAGDAVEPKRNASRSPPKGGDAPVAAAAAQEAPRKQWNLRYRKGGRDGGEDALQNKKLWNMEAGGGGGGGARASRGFSVELTRQEIDADFFAITGRKAPRKPAKRPRSVQRQVDSICPGNSLWEVSRDRYKVNEN >OGLUM05G26530.1 pep chromosome:ALNU02000000:5:27988901:27990372:1 gene:OGLUM05G26530 transcript:OGLUM05G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMAASASAAASDHHTAQAKSSPSVAPPAGSATRTRLHSFSFPTTFGWGTHRLLRCSKNGDSAPASASPPKQPHTPSPEKGQETSAGGASRPSRPWNLRTRRSATVAPDASRSEAAGKKAAAAAGGGQALLRPPAPLPVVAKKRGFSVALTREEIVADFIAIRGSAPPRRPKKRPRAVRLELDRLYPGLSLADVNLDSYKIVEER >OGLUM05G26540.1 pep chromosome:ALNU02000000:5:27991541:27992553:1 gene:OGLUM05G26540 transcript:OGLUM05G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPVGFSQVLMDRSESKQRRSATLNVVSCEHGGRYPTCSTRAAAPSSWTPCAVAGRSHQRRRGTDTFYKAAEHARQQQRQGVLPAGRATSRQNLLSGREEIEGREKKG >OGLUM05G26550.1 pep chromosome:ALNU02000000:5:27992056:27992319:-1 gene:OGLUM05G26550 transcript:OGLUM05G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAPLEELPAVAAAWRALQLCRRCLFLGAAGESAPQLRKASRNSARLLEWSRWGSDLHAHRTRHSGWPIALSTGQKDHQGTRRTWD >OGLUM05G26560.1 pep chromosome:ALNU02000000:5:27995691:27996773:-1 gene:OGLUM05G26560 transcript:OGLUM05G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVATRIATLVRAAAAASRPLRLHRRPGGEDTRMVVIVGATGTGKTKLSIDAAKVIGGEVVNADKIQLYDGLDVTTNKVSLADRRGVPHHLLGAIRPEAGELPPSSFRSLAAATAASIAARRLVPVIAGGSNSLIHALLADHFDASAGDPFSPAAAFRHYRPALRFPCCLLWVHVDEALLDEYLDRRVDDMVDAGMVEELREYFATTTAAERAAHSGLGKAIGVPELGDYFAGRKTFSEAIDDIKANTRVLAAAQVSKIRRMSDAWGWPIHRLDASDTVRARLTRAGSAAESASWERDVRGPGLATIRSFLADQSPPPRSEGTNDYLYAMETEPEPPPPPTLPPRLLRLPRMQYCDMVG >OGLUM05G26570.1 pep chromosome:ALNU02000000:5:28013783:28018022:-1 gene:OGLUM05G26570 transcript:OGLUM05G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G18390) TAIR;Acc:AT3G18390] MALSELPLHHSFRLSSRPHLRLLPLRLLSSRHASSSSSTTAAASSSSSSSSGGNRAPPAPNPTRGAPWLQKWGPTDPAAPPPPPPAPSPTSSIDRIVHRLRNLGLASDDDEPAAAAATATATAPPDGNERLSDLLDRSWARPDQQFAASSFDESVLPWERDEVARGRENEEDGVKRRRVRAPSLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTQAVTEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSETLDGNSSAVKGADGTLFIPDASSPTEHDSQGKDVNTQREIAARLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPGYKTPFRLLPTGMRLTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEEIKNLTGGTLLLRNKYYIVIYRGKDFLPTSVAAALAEREELTKDIQNVEEQKRCIPVVHSMDDSLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSVKEKLFKRLEHKLSIAQAKIHRAERLLSKIEASMVLANPSDDKEMITDEERSVFRRIGLRLKAYLPVGIRGVFDGVIENMHLHWKHREVVKLITKQKTLPFVEETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIAELENNIRQMKLDLGIEVDEEYEEDGSDSENENNEAVSSARYDEDQDDFDESSDEDEYDDYDEDDDDDDDEGVDS >OGLUM05G26580.1 pep chromosome:ALNU02000000:5:28019241:28019561:-1 gene:OGLUM05G26580 transcript:OGLUM05G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQSERLRLPAAAPMPRGAHALYGLLALLGASVVVWSVAGKPPAAHAGHALAGFLLWLLGMIALLLSPYAAQPLFPVATTANLAVEKLKHLFSNPRTPAQAPALA >OGLUM05G26590.1 pep chromosome:ALNU02000000:5:28021843:28024454:-1 gene:OGLUM05G26590 transcript:OGLUM05G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGEPSKHSQWMSHWTKGSSSAEPQVGRSNDSPEDAKYDICEDNSGPSNFEIMKSRLFERLMVGISQERASLEHGQKLNSNMKVVVKDARRHAVQNQIDQGDGPIQKSVMQKDVLYAKAVVSKSLSIQKLSELSVGCQKLAGSDDLSSEWNHFPMLAINRKIDSILNPKRKSAKSTGPNDVFVPKQTLKLNMTTANLMAFSSQEYELHSHRTTDETMDHCKHAGGIVSRLEDHAGFMLNPAEQKLKGQLLPATSCSCSKDDSNSSDSLLDEQHTSRYIADSDQEPTCRSREKRLKSSENNDTNCKIGSCSQNQKSRAPGHHKHKGSAGVMFRTSVPGKEFEAAEINCSDKINQRHLNTQRIVSAANVTGSCIPDPAADISTVNGRGEAVTQPSGISGDSTKRKAPYLFEMLTIPSKAQNMNPEDSLPSGNSTAFGVHMYGTNIGSHLFGANNKSSTETEILSGDSQHVSKSSAGIASLLAQKAKSEQLATLYMKGASGCNVNEHQGVSSKAIVANKQQCYNPRTARMDLDLMQFQLSRMRNQESQARTEPGDRWLKRLQIDSKDPHHLPCSKRSKAGDGSGRPVTGGASSMAPRCDGSNDDDDIVDRDHKEEQGLDEGVEIQGGREASPVPAKSDDRWIGRWCQGGVPVYHEDDHDQRKEVTKPDIAAGDSGGVEGQFPSIKAMAMMGRAMSKVRPCQQERRGSFMVWKA >OGLUM05G26600.1 pep chromosome:ALNU02000000:5:28029660:28030733:-1 gene:OGLUM05G26600 transcript:OGLUM05G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2H2] MSSSSSLYKQLGLGAGSPVSASHLLLLVLGAGFLALTVFVVHPNEFRIQSFFSGGCGRPGTDAATAAVAASPVKNVSGGASDAAAATTAARSPDDDVRVLIGIQTLPSKYERRNLLRTIYSLQAREQPSLAGSVDVRFVFCNVTSPVDAVLVSLEAIRHGDIIVLDCAENMDNGKTYTFFSTVARAFNSSDGEGSGSGSPAPPRYDYVMKADDDTYLRLAALVESLRGAARRDAYYGLQMPCDRENFYPFPPFMSGMGYALSWDLVQWVATAEESRRDHVGPEDMWTGRWLNLAGKAKNRYDMSPRMYNYRGASPPSCFRRDFAPDTIAVHMLKDAARWAETLRYFNATAALRPSHL >OGLUM05G26610.1 pep chromosome:ALNU02000000:5:28031689:28033806:-1 gene:OGLUM05G26610 transcript:OGLUM05G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQESLTLAGVLRGHNDMVMAIAAPMDNSPFISLLVWDITNPSTAVATDPEAAPPEYGVSYRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGRTTRRFVGHTKDVLSVAFSVDNRQIVSAARDNTIKLWNTLGECKYTIGGDHGAGEGHTGWVSCVRFSPNPMAPTIVSGSWDRSVKVWNLTNCKLRTKLEAHNGYVNAVAVSPDGSLCASGGKDGTTLLWDLTEGKMLYKLDAGAIIHSLCFSPNRYWLCAATEDSVKIWDLESKLVMQDLKPEVQAFKSQMLYCTSLSWSADGSTLFAGYTDGTIRVWKVSGFGGYAI >OGLUM05G26620.1 pep chromosome:ALNU02000000:5:28035200:28037362:1 gene:OGLUM05G26620 transcript:OGLUM05G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQISDAAAAVNINQQAPQSPRRQHVISIQ >OGLUM05G26620.2 pep chromosome:ALNU02000000:5:28035200:28037362:1 gene:OGLUM05G26620 transcript:OGLUM05G26620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQISDAAAAVNINQQAPQSPRRQHVISIQ >OGLUM05G26620.3 pep chromosome:ALNU02000000:5:28035200:28037013:1 gene:OGLUM05G26620 transcript:OGLUM05G26620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGVIYCRIVALTLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQFNAAEQSMKLVSHCNLTVRKCNCQISDAAAAVNINQQAPQSPRRQHVISIQ >OGLUM05G26620.4 pep chromosome:ALNU02000000:5:28035200:28037013:1 gene:OGLUM05G26620 transcript:OGLUM05G26620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADHFAVMAGRLLTESTVQSAIDEASAAAMPSSVIASEHNDVQDEREKSGVLVECRICQEEDDQTYMETPCSCRGSLKYAHRKCIQRWCDEKGDTICEICLQQYTPNYTAPAKLFQHGRNSIFFRTPGYIQAQVMQNTDRSAAASTSYDPELPNPKGLMVLLLLHDAISVFLGDHDAYTVAMITLLMLRTAGIVIPVYIILLSLTELLHRRNQRQFNAAEQSMKLVSHCNLTVRKCNCQISDAAAAVNINQQAPQSPRRQHVISIQ >OGLUM05G26630.1 pep chromosome:ALNU02000000:5:28046469:28051005:-1 gene:OGLUM05G26630 transcript:OGLUM05G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2H8] MAAAAVARRVVLVLVLAAASLAAAPRGAAARSLGGREGPGEVDADAAVDLNATNFDAFLKASLEPWAVVEFFAHWCPACRNYKPHYEKVAKLFNGRDAAHPGLILMARVDCASKVNIDLCNRFSVDHYPFLLWGPPTKFASAKWDPKQENNEINSFSLEDKKYENENMLPKNASDPEQIVQAIYDVEEATAQALQIILERKTIKPKNRDSLIRFLQILVAHHPSKRCRRGSAELLINFDDHWSSNLSLSSQEGSKLLESVAEENHWICGKEVPRGYWLFCRGSKSETRGFSCGLWVLMHSLTVRIGDGESQSTFTSICDFIHNFFICEECREHFYEMCSSVSAPFRTARELSLWLWSTHNKVNMRLMKEEKDMGTGDPLFPKVTWPPNQLCPSCYRSSKVTDGAVDWNEDAVYQFLVNYYGKKLVSSYKETYMESLQQQEKKIVSEDSSISNAASVPIGAALGVAIASCTFGALACFWRAQQKNRKQRKNWN >OGLUM05G26640.1 pep chromosome:ALNU02000000:5:28054339:28055831:1 gene:OGLUM05G26640 transcript:OGLUM05G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPARWALLLFLAVALLVPAALAAGGGGNGGASASTPNNGNGGNNGNNGNNGNNGNNGNNGNNGGGNEKHEKSPPPPYHDSPPPPRASPPPHVYSPPPPPPHSSPPPPPVYSPPPPVSSPPPPVPSPPPPVSSPPPPVSSPPPPVSSPPPPVHSPPPPVSSPPPPASDVVYCTNTTRYPTCTSPAYCPSRCPKSCHMDCATCKTVCDCNLPGAVCQDPRFIGGDGNTFYFHGRRDRDFCLLSDANLHINGHFIGNHVPGLKRDPTWVQAIAVQFSGGHRLYVGARRTAVWDDDSDRLAVVFDGETVQLQRVAHARWESGSGLSVTRTKAANGVLVELDGVFKITANVVPITKEDSRIHRYGVTDDDCLAHLDLAFKFYALTDDVHGVLGQTYRSSYVNRLDVSAKMPVMGGEKQFTSSGLFAADCAVARFGRAAGAVAIASDELVDVKCSTGLDGVGVVCKK >OGLUM05G26650.1 pep chromosome:ALNU02000000:5:28057952:28059427:-1 gene:OGLUM05G26650 transcript:OGLUM05G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2I0] MEPHVLLVSFPMQGHVNPLLRLGRRLAATGLLVTFTTVRLAAAGGRLRDVPEDGACADVGLGRLRFEYLRDDDDDGDGDELSPNDMLSHVTAVGPSALAEFIDGQADAGRPVTYVVNNIFVPWALDVAAGMGIPCAMLWIQPCSVLSIYYHFYESPEAFPTAADPDVPVELPGLPVMAMDELPFMVRPEYAQCLWGDTLRAQVGAIKRTVSWVLVNSFYELERSAVDALRVHTTVKLAPIGPLLEHGHDNGGGDDDAPAPALGAEDNDRCVAWLDAQPPRSVVYVAFGSLVNIGRDETAAVAEGLVATGRPFLWVVRDDSRDLVPEAVLAACRGAGGDKAGKITAWCPQGRVLAHGAVGCFVTHCGWNSIMEALAAGVPVVGYPWWSDQFANAKFLVEDYKVGVRLPAPVTGGELRACVDRVMSGPEAAVIRKRAMHWKHEAAAAVADGGSSDRSLQDFVDHVRRSKAPKELARLAQDIQIKNGPVNPVLV >OGLUM05G26660.1 pep chromosome:ALNU02000000:5:28069481:28069984:-1 gene:OGLUM05G26660 transcript:OGLUM05G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVPGGKGARVILPDDGGVRQVALPATAAELMMDAPGHFLADARAARVGARLAALSADEELELGAVYATFPMKRLGTPLAPADMARLAAVATREARRSAKVAAAVVAPPPTPLQAEDAAPRLRLDEMVDDEAVAADMNVYKHRLSSARSRRPTLETIQEENYMLTN >OGLUM05G26670.1 pep chromosome:ALNU02000000:5:28075661:28083741:-1 gene:OGLUM05G26670 transcript:OGLUM05G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2I2] MATRRALSSLVRAASRLRGASPAPRPRGPLHRPSPAGYLFNRAAAYATAAAAKEAAPPAPATGKATGGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDHNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVNSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKELRGIVLTRSGNPKERLLPMKTICGSLMHELQVIWDEIGEPEAARDRMLLELEQECLEVYRRKVDQANRSRAQLRQAIAQSEAELAAICSAMGETTVHVRQSNQKACGLRDELGAILPYLEEMKRKKVERWNQFLDVVGRIKKISSEIRPANFDPFKVSVDQSDLSLRKLEELRVELKSLEKEKGERVKQVMEYLKTLHSLCVVLGVDFKKTISEIHPSLDEAEGPRNISNTTIEMLALAIQRLRETKMQRMQKLQDLASTLLELWNLMDTPFEEQQAYQNITCNIAASEAELTEQNTLSIEFLNYVEAEVLRLEQHKASKMKELVLKKKTELEEHRRRAHLVGEEGAIDPSLLLEQIEAYISTVKEEAFSRKDILERVEKWLNAREEEAWLEDYNKDDNRYNAGRGAHIMLKRAEKARVLVSKIPGMVDVLETKTRAWETERGNEFTYDGVRLILMLEEYMVVRQEKEQERKRQRDQKKLQDQRKAEQEALYGSKPSSSKSHSTKKVPRNSTPGVQPPKSEILHSKTIRAAKKTEDINTPSPGHKGLDTVGLPIRKLFPSSNSSTLLEMETPRKPFSQITPGNISSSPVRPISTGGTEENRTPKTFAPVPTTPMTVSPHMQMAVTPVLTAKAVSVLSYDEPELTSQEDTEYSFEEKRLAVYLAAQVA >OGLUM05G26680.1 pep chromosome:ALNU02000000:5:28091743:28095012:1 gene:OGLUM05G26680 transcript:OGLUM05G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETPAAAARLVAVRGPQRPLRETEELPWLGGGAPPSPPTSEATTVAGHSGGRWQAGRWQHQSRELFLCLLECLAGTTQYDTHNTRTHTHPYERTHTNPNPMSIFEDWAEPITSMTIVKEASSKPILPPFPGSTRLVLVVHLKSSITLQRDTIHAPSETTQINNDTQ >OGLUM05G26700.1 pep chromosome:ALNU02000000:5:28105703:28113588:1 gene:OGLUM05G26700 transcript:OGLUM05G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDVSNFYFPYASVVLDCNGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNMVFFRDLRTSLLKVETFSRSSPNLREAAPSRHPDLASRPNSRRRINPPQKGSSALASPSPSRLAALQPIAAAARDSSPPRRASPLFLRPAALALAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >OGLUM05G26700.2 pep chromosome:ALNU02000000:5:28105703:28113588:1 gene:OGLUM05G26700 transcript:OGLUM05G26700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDILTRVDSICKKYDKYDVERLNGANVAGEDPFARLYGSVDADINECVEKAEAAKQEKNRATVVALNAEIRRTKAKLVEEDLPKLQRLALKKVKGLTKEELATRSDLVAALPDRIQSIPDGSSSAKKNGTWGASGSRTGGAIKFDTSDGNFDDEYFKGTEESNQFRREYEMRKMKQDEGLDIIGEGLETLKNMASDMNEELDRQVPLMDEMDEKVDRANTDLKNTNVRLKETVLQLRSSRNFCIDIVLLCVILGIAAYLYNMVFFRDLRTSLLKVETFSRSSPNLREAAPSRHPDLASRPNSRRRINPPQKGSSALASPSPSRLAALQPIAAAARDSSPPRRASPLFLRPAALALAAAAAMSAVNITNVAVLDNPTAFLNPFQFEISYECLIPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKLLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGGEGSKPAADQ >OGLUM05G26710.1 pep chromosome:ALNU02000000:5:28115959:28119930:1 gene:OGLUM05G26710 transcript:OGLUM05G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2I6] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLVGMASGLETLCGQAYGAKQYDKLGVQTYRAIVTLTVVTIPISLLWVFIGKLLTLIGQDPVISHEAGRYIVWLIPGLFAYAVCQPLTKFLQSQSLIFPMLWSSIATLLLHIPLSWLLVFKTSMGFTGAALAISISYWLNTFMLAAYIRFSCSCKVTRSPPTIEAFRGVGLFLRIALPSALMLCFEWWSFEILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQNMAEKARERIFGETPSEKQHLVLDATNSV >OGLUM05G26710.2 pep chromosome:ALNU02000000:5:28115959:28119930:1 gene:OGLUM05G26710 transcript:OGLUM05G26710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2I6] MEERIPLLSKRFPADGTAGVGGGREEEGGDRWWSGLAREAGKVGSMALPMAAMSVAQNAVQVASNMMVGHLPGVLPLSASAIATSLASVSGFSLLILVLLSGLLPNPELESSVLSICLTTTSLMYTIPYGLGGAASTRVANELGAGNPEGARSAVHLVMSIAGTEAVLVTGMLFAAQRILGYAYSSDEEVVTYFTSMVPFVCISVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPVALLLGFGFKMEGKGLWLGIACGSVLQFLLLAVIAFFSNWQNMAEKARERIFGETPSEKQHLVLDATNSV >OGLUM05G26720.1 pep chromosome:ALNU02000000:5:28123045:28123641:-1 gene:OGLUM05G26720 transcript:OGLUM05G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, oligomerisation;Ribosomal protein L7/L12, C-terminal/adaptor protein ClpS-like [Source:Projected from Arabidopsis thaliana (AT1G70190) TAIR;Acc:AT1G70190] MAASLLRSGHRLRLLRHGSLSWASFSAAAAEELIDVRKLPTDYDASTFDPTAPSRPPPSDRVWRLVEDVSSLTLAESAALSALLLRRLDVPAPPIAILNSAAGLGGGGGAGAAGAAGEKAGGAAAAEKTVFELRLEAFDAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEARTIIDKMKAVGAKVVMD >OGLUM05G26730.1 pep chromosome:ALNU02000000:5:28127373:28133226:1 gene:OGLUM05G26730 transcript:OGLUM05G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILDTRRKNTTKEPPPGTLCQPAPLQVRWPPAHTTDQPNNAAPPAPPLGLADSVAPRPLRRWAARAPPKAEALRGGRTHADPPSPRLRRRHHPLSSPLVLEKTRPPLLRLIQPKYPTRVVIVVFLLPPPPHPTHARAAPIAADAEISAFLLSHLAEGSGSGNMEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >OGLUM05G26730.2 pep chromosome:ALNU02000000:5:28127238:28133226:1 gene:OGLUM05G26730 transcript:OGLUM05G26730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVGNIFTSKGFTLEIKYCRRNGADKHFCTLMQNCRRDLMELTAVCMFRKTLKTIIIIVITPSYLTETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >OGLUM05G26730.3 pep chromosome:ALNU02000000:5:28127238:28133226:1 gene:OGLUM05G26730 transcript:OGLUM05G26730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >OGLUM05G26730.4 pep chromosome:ALNU02000000:5:28127918:28133226:1 gene:OGLUM05G26730 transcript:OGLUM05G26730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQRTRHRDGEERRLVAAADGGAEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGASYHSSATSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAVVYLVALAFLLFQNRDDARQFMKHLYPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGKVKRSLSQFTPAQWDKDQWYPFMGPLRFVQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPTWLIIFWSSVGVALVVFLLAWSWRNHLKYQRKRL >OGLUM05G26740.1 pep chromosome:ALNU02000000:5:28133731:28138432:1 gene:OGLUM05G26740 transcript:OGLUM05G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGGAAKKPAGGGELSRFLQSHLQTINDTFQMMAEAAPGGLERTEWSEVVKLGEQVSRQATVAGMVWSGDLPDVETLKENIVAYFNILQGFLLGCHGSTVGAGPTLHKSICSSAKNVVDSSFSLFNQAVSAYESRSPDRKTTIPQVTGTVWEACLALNKVPTTNCVAIGRAMTQIGVYLKDILREMKELPIGDSNGTAEKSSNGAVDTTSCSDRDGSSSDLELDEDFTEEEVAVAKLVVTVASDALVVVKETIRFITCLLKISGNRSGANEEKVETMEQLLSCCRQAADQINDLGASVYPPQDLSEMKSSVKRLYGGANAMRREIGSLGGSPEGAFVALERFEKSLGALEVEIADDVANEMENLTISSS >OGLUM05G26750.1 pep chromosome:ALNU02000000:5:28142497:28143466:1 gene:OGLUM05G26750 transcript:OGLUM05G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKVYFPSSQEKQSREERGGGSSTVQSNRKPIRSLSPLSSSPIPNMPRKPCNSKMRGFLARGLGFYLVSDLDAFMVF >OGLUM05G26760.1 pep chromosome:ALNU02000000:5:28148284:28161672:-1 gene:OGLUM05G26760 transcript:OGLUM05G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVRARESLFIPIDLNEKSSHEKQDEEEIHLRQLEQLLAPFNPWEAGDPELEEWQCARPKTRHPTPPPPPPRRCHLLFTMKLSVKRGQAMWSRKETPRFSAERAGGFPLRLARLAADGSPRLELEEDGGRVGALSCFESRPWPWSRREEVVALAAADSAPVRVVAVIRRFPVAGGDRLAIVSNMRMASLVVRLHDKLFVLPPDGSIVTIAFSERWFRVPSSWTEEKLYARVGHDFINITAALEDLARTLYQMYEQEEQEKMILQEKQEQEKRKQEQLNREREELEMMPLACIPLGHGDMQWESPCEAMHRRFKLSMGTDGEVRCNFVEQEGPRVMRRRLACDGRFILPLTTMKLQVVGFVEGYSDPETIGFTEQRSDIDTLRPVAMVSVLPQEHTQVDQILSFKFLVDNVPIRLNDGIILTGWSGITVGIHSGDDGDNCTFLPCTSAESWTHQILEWRVDDNNPISCSSLLVQLNRKLCRLNAAMTEREEHDLGLSAIFALEAEEVQGLLYHQEQLENQDFRLNELSLSGEPSVGGEGEAESKLKPKRKVYPRLVCLEWCTCSPSSMLQVYALEIIVADSLHSCKLDISGFVAIRDLRDGRRNYIFNREMDHPFTVVSQHGVLQLPTLSPRRAIHTNPKILLEFNLKMKRTGNGIHSYHDLIQGVVEHPSIYRRDWSRVNELSIVPCGNNSTPMMRLKLAVISKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDTSLQFVVATVLHGNMEIHLEAVCNGVSQRWCIGFVPKFHALFSQEVDFQFAQLLLTIAWECAKVLTLQMSQQSYTAHQKEEEEEIHLRLLDQLFAPFDMFEGGDPVLHEWQYARPIYGKERDAAPPRHCHRLFRIKLSAKRGQAFTSQSGLSRKAEMVAAVAAAGSPPVRVVAVIRRLPGAGCDRLALTTNMWIARLAVRLHDKLFVLPPDGSTVTIAFSERWFRIPSKWTEEKLYARVGHEFINITAALEDLARNLYQMHEQEEQEEMILHEKQEQERRMQEELDREREELEMKPLACIPLGHGDMKWESPDEAMHRRFELSVGTNGEVGCSFVEQECPRDMRWRLACYDRFVLPLTTMELQAVGFLEGYLDPKMDGFTEQRGKTVALRPVAMVSMSPQVDQILSLKFLEDNLAMRLNDGIVLTGWSGITVSIHCGDDDNSCAFPSYTSAENWTHQVLEWKVDDNNPISCSCLLLQLNRKLCRLNAARTDWQGHDFGLSAIFALEAEEDQGLLYQQKQQENEELRLTALSLPGTLSGGGGGEGEGEYSLLFESPEESDWVKVSEPYVPKFPTEEEIQKREEWRKEQLKLVMEPIIPVQEPRRGSNYFMCNPGSRSTTKAELPTDEPYAVLLYYWTLSDKSESKLQPKRNLHPRLVCLEWCTCAPSSILQVYTLEIIVADSLHSCKLDISGFVTIRDLRDGRRNYIFNREIGHPFTVVSRHGVLRLPTLSPRRAIHTNPEILLEFNLKMKRTGNGIDSYRELIQGVVEHPSIYRHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDVVCGSNGVAWQHGDPLGRSIEEIVSASPLMDENANRAWAVVLLRACWCYASLLPGKINVRIESRAAAGV >OGLUM05G26760.2 pep chromosome:ALNU02000000:5:28148284:28161672:-1 gene:OGLUM05G26760 transcript:OGLUM05G26760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVRARESLFIPIDLNEKSSHEKQDEEEIHLRQLEQLLAPFNPWEAGDPELEEWQCARPKTRHPTPPPPPPRRCHLLFTMKLSVKRGQAMWSRKETPRFSAERAGGFPLRLARLAADGSPRLELEEDGGRVGALSCFESRPWPWSRREEVVALAAADSAPVRVVAVIRRFPVAGGDRLAIVSNMRMASLVVRLHDKLFVLPPDGSIVTIAFSERWFRVPSSWTEEKLYARVGHDFINITAALEDLARTLYQMYEQEEQEKMILQEKQEQEKRKQEQLNREREELEMMPLACIPLGHGDMQWESPCEAMHRRFKLSMGTDGEVRCNFVEQEGPRVMRRRLACDGRFILPLTTMKLQVVGFVEGYSDPETIGFTEQRSDIDTLRPVAMVSVLPQEHTQVDQILSFKFLVDNVPIRLNDGIILTGWSGITVGIHSGDDGDNCTFLPCTSAESWTHQILEWRVDDNNPISCSSLLVQLNRKLCRLNAAMTEREEHDLGLSAIFALEAEEVQGLLYHQEQLENQDFRLNELSLSGEPSVGGEGEAESKLKPKRKVYPRLVCLEWCTCSPSSMLQVYALEIIGVLRLPTLSPRRAIHTNPEILLEFNLKMKRTGNGIDSYRELIQGVVEHPSIYRHDWSRVNELSILPCGNRSTPMMRLKLARILKGVEATVELQPLRLPPGGIDLRCTARSGRIADDIELFDGKYGGDDVVCGSNGVAWQHGDPLGRSIEEIVSASPLMDENANRAWAVVLLRACWCYASLLPGKINVRIESRAAAGV >OGLUM05G26770.1 pep chromosome:ALNU02000000:5:28152394:28159598:1 gene:OGLUM05G26770 transcript:OGLUM05G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGTTPRRTLAACRARLLRQQQNSSSSQVSAAAFQAAKQRPTPSSQASSTEFHAEMQRSSPSSRSQGSVSVLLQAAMQRPCPSSQVQAPALLQAAMQPPSSSSQASASAGRPHVDHRLLDLGPTALCAPHCRLAVVSVCLILNLPTPATESMEGHAPWETLNPLSHEAPGIDFSTAYSVSDEEFLHRSNFAVRTLVDWLVMMALGHGGAGPGRKKSIGAVIEKFRIQIHENRSSELRDQGRFRTHISSMVLRDWYEWLSEGSMLAAAKHPAE >OGLUM05G26780.1 pep chromosome:ALNU02000000:5:28169164:28170554:-1 gene:OGLUM05G26780 transcript:OGLUM05G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32590) TAIR;Acc:AT4G32590] MAATSSTSTALFSLAIPSSRVVSTRGHRKASSGSGPFRAARIRCSNAASPNASAGAASAEEAAPPPKPQIDLEFVGPKADADGSFPVDRAAAGSGEKLLRDVMVENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKELLNERTNTENRLSSNVCPSGKNDCTAHVMH >OGLUM05G26790.1 pep chromosome:ALNU02000000:5:28171197:28171802:-1 gene:OGLUM05G26790 transcript:OGLUM05G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKRGDGGRSADASELRNWRGDGACGRRSAGGVQRSTAAWGPRLADAVEDEQEGVYNLLLLVVMDDDGGSLLLVAASLLLVCGFNSLALDLAACLWIWICSLLADEDALVADGRADGMTRPTDGGSPAMDACCLIPAIPTAEVRVLLLLEPVAIVVVVFSSSGVGMRRLRRRRAPRSGCVWGECGVRMFATSAAEVEVEG >OGLUM05G26800.1 pep chromosome:ALNU02000000:5:28173714:28176471:1 gene:OGLUM05G26800 transcript:OGLUM05G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSIDDVHVFVCNLAPVFWVTPPKGCSAPENHPPREMTVSHGHVGLQMAPHSGSLGDPIRIPQCERSRT >OGLUM05G26810.1 pep chromosome:ALNU02000000:5:28176730:28178628:1 gene:OGLUM05G26810 transcript:OGLUM05G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSTLLPRGCLYPSNSEVAREQWCPMLGAALLSSVKLPLGPRWAHRLGNTRKLKEIIWTGDPEDHKKNLYVLTTLIVIFPVDKSTHWFWSH >OGLUM05G26820.1 pep chromosome:ALNU02000000:5:28181865:28195773:1 gene:OGLUM05G26820 transcript:OGLUM05G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQGLALKLRAAPAAAAGGVRGEKRRRAASATAAAAARPRHGAMSLEGGFLGGALPAEDRVAPRASASRQAEAGAGAGAARPPPRSMSKIPESSIGLYDPSMERDSCGVGFIAELSGEYSRKTVDDAIEMLERMAHRGACGCEKNTGDGAGILVTKDAGFELPPPGEYAVGMFFMPTDEERREKSKLLFREKAELLGHTVLGWRRVPTDNSGLGQSAVDTEPVIEQVFVTKSASSKADFERQMYVLRRFSVMSIREVLGVKNGGPKDFYMCSLSSRTIVYKGQLKPSQLKGYFFADLGDESFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCEGLGLTRDEMLKLLPIVDATSSDSGAIDNVLELLIQSGRSAPEAVMMMIPEAWQNDVNMDPERKALYEFFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDVPPQDVSRKGRLNPGMMLLVDFENHCVVNDDELKKEYSKVRPYGEWLKRQRIQLTDIIESVNEAERIAPSISGALPITKENKADMGICGILTPLKAFGYTREALEMLMLPMAKDGQEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLSETTERQCHRLTLKSPLLNTNEMEAIKKMNYRGWRSKVLDITYPKKNGRMGLKQTLDKICAQAREAIHEGYTILVLSDRERVAVSSLLAVGAVHQHLVSHLERTRIGLLVESAEPREVHHFSTLIGFGADAICPYLAIEAIWRLQIDGRIPPNDGKPYTQEQLIEKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLALEVVSKCFEGTPSRVEGATFEMLAQDALRLHEIAFPSRTLPPGSADANALPNPGDYHWRKNGEVHLNDPFSIAKLQEAARINSREAYKEYSRRIYELNKACTLRGMLKFREIPNQISLDEVEPAKEIVKRFCTGAMSYGSISLEAHTSLAEAMNTLGGKSNTGEGGEQPCRMVPLPDGSKNPRISAIKQVASGRFGVSIYYLTNAVEVQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARISVKLVSEAGVGIVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQMKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHTNTCPAGIATQDPVLRAKFAGKPEHVINYFFMLAEEVREIMAQLGFRTVNEMVGRSDMLEIDPKVLEGNEKLENIDLSRLLKPAAEISPGAVQYCVEKQDHGLDMALDNKLIASSTAALRKGVRVFIETPVRNINRAVGTMLSHEVTKRYHIHGLPSDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFNPQDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTAVILGKTGRNFAAGMSGGIAYVYDVDGKFSSRCNYELVDLYAVVEEDDITTLRMMIQQHRLHTQSDLARDILLNFDTLLPKFIKVYPRDYKRVLDKLKEEKAAKEAEQKAREVVDKKPVEVIQAPNGISITTEKVMNEEPSSRPSRVSNAVKYRGFIKYEREGTSYRDPNERVKDWNEVAIELVPGPLLKTQSARCMDCGTPFCHQEGSGAGCPLGNKIPEFNELVHQNRWHEALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDNPVSIKSIECAIIDKGFEEGWMVPRPPLRRTGKRVAIVGSGPAGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKADKEGIVQRRVELMAKEGVQFIVNAHVGSDPLYSVEKLRSENDAIILACGATKPKDLPIPGRELAGIHFAMEFLHANTKSLLDSNLEDGNYISAQGRKVVVIGGGDTGTDCIGTSIRHGCTNLVNLELLPEPPRKRAPDNPWPQWPRIFRVDYGHQEATSKFGKDPRSYKVLTKRFIGDENGNVKALEVIRVEWGKVNGRFQFKEVEGSEEIIEADLVLLAMGFLGPEATVANKLGLEQDMRSNFKAQFGNFATNVEGVFAAGDCRRGQSLVVWAITEGRQAAAAVDNYLSKDDEGETNGTEDIAVSSEGLVQPVVA >OGLUM05G26820.2 pep chromosome:ALNU02000000:5:28181341:28182005:1 gene:OGLUM05G26820 transcript:OGLUM05G26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding NFRAEREEAIHTTLPRGGEAKKPSDRRGEKAAGEPQFAGDLHPSEVKRKRQFKSSTESFKIAVLLY >OGLUM05G26830.1 pep chromosome:ALNU02000000:5:28197301:28197759:1 gene:OGLUM05G26830 transcript:OGLUM05G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLQLPCPCQEATAVATSGVKKRRKKSPATAAVRSSKAAAAASSRHVVPVMDTPGEEEEEMKMAWPGCHVEPAVDGGDGMRVKVVMKRKDAAELMARLEERCAIERKAKMVELNAGLRGGHRHGHGVGGGARMSPCRDAWAPRLVAIPEN >OGLUM05G26840.1 pep chromosome:ALNU02000000:5:28199767:28206920:1 gene:OGLUM05G26840 transcript:OGLUM05G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13400) TAIR;Acc:AT4G13400] MEIGRLVRTQTQPGWAPTTRGGGRRRPLALALGRLSSRRPVRCRCLAAMQTSPPPPSVRTVSIPFSDLKERDRDLSGKIEEGLGPNGLGIISIADVPGFPVLRKTLLRLAPKVANLPEDVKKELEDPDSRFNFGWSHGKEKLESGKLDTFKGSFYANPILDAPTTDDVLVRRYPSYCRTNIWPASHLPELEIAFKALGKLMLEVGLMLAHHCDRYVMQQGVGPYDGESLEQTIASSRCHKGRLLYYYPRQFSKQEEGGSVSSWCGWHTDHGSLTGLTCALFTKNSMEIPCPDSAAGLYIRTRDDKVVKVTFEENELAYQVGETTEILSRGRLCATPHCVKAPSSENASNVDRSTFAMFMQPDWDEKLKFPSEIPYHQELIPPNGTLTFGEYSERLVNKYYQGKT >OGLUM05G26850.1 pep chromosome:ALNU02000000:5:28207436:28212529:-1 gene:OGLUM05G26850 transcript:OGLUM05G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFMHLPRKRFTDFALVRKEIADETDRETGRSKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSFIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQQWIGVVNRSQQDINKNVDMIAARRREREYFSTTPEYKHLAHRMGSEHLAKSLSKHLETVIKSRIPGLQSLITKTIAELETELNRLGKPIATDAGGKLYTIMEICRMFDGIYKEHLDGVRPGGEKIYHVFDNQFPVAIKRLQFDKQLAMENVKKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKELVHKAINETHELKQFPTLRAEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGTTVLAYINMVCSTLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREIKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >OGLUM05G26860.1 pep chromosome:ALNU02000000:5:28218369:28222622:1 gene:OGLUM05G26860 transcript:OGLUM05G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRSTADPASSSTSTHSTTTTSPTPTPSPSSLRKRVLSIDTSSRDSRGSSGRERESKARQEEEEEESVMASAAASEAERERDVEAAVPVPMSPAGRLFRETNFNCYIVAVIGLGARVDVAAARAGLEATLVRHPRFCSVQVSDEASKRAKPRWVRTTVNLDDHLIFPELDPTATSASPDQVIEDYMSTLSTQPMDHSRPLWELHVLDFPTSEAAATVAVRMHHSLGDGVSLLSLLIACTRSAADPARLPALPPAPALRDGPVYARRRPPLSAGIVALAAWAWSYLVLALHTLVDVACFVATSLFLRDARTPLMGTEGVEFRRKRFVHCTLSLDDVKLVKNAMKCTVNDVLVGVTSAALSRYYFRKENDTNSEKRTRRKHIRVRSALLVNIRKTPGLHVLAEMMNSNKNNVARWGNLIGYIVLPFRIAMFHDPLEYIRQGKRTVDRKKSSLEAIFTYWSGNLIVKLFGIKTAAALCHGMLANTTLSFSSMVGPAEKVEFYGHPIEYIAPSVYGHPHALTVHYQSYMNIIKLVLAVDDAQFPDAHQLLDDFAESLRLIRQAASTKS >OGLUM05G26870.1 pep chromosome:ALNU02000000:5:28223846:28227219:1 gene:OGLUM05G26870 transcript:OGLUM05G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSAAAVAVLVVAFAAAAAAQESCNTELPGVLVGNYSGLNCQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMVGSSAMVGWIGRKGLPHVKQFALRGKTSGKVVVNRGFLVSNSNNHDHTVVVQQARIYLAFQLQFSYRLTHQHIILAFGSSIPVNNKLTKHQDKTSFTFDFTTGKAFVDGSFPYGLRRAHGALNLFAWGVLMPIGAILARYFRRMDPLWFYLHVGVQFVAFIIGLAGVVAGVALYNKIQADIPAHRGLGIFILVLGILQILAFFLRPNTDSKYRKYWNWYHHWSGRLVLFFAAVNIVLGIHVGGGDNSWKIGYGFNLAIILLAVIGLEFMLRTRWSKESEPTPTY >OGLUM05G26880.1 pep chromosome:ALNU02000000:5:28232581:28237894:1 gene:OGLUM05G26880 transcript:OGLUM05G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSGEAVAVARDVASSSPAKPPSALDMMRFQRVSPDCLPLPNGGGGGGGSRKPAAGPRSSKDDDAPAAAAAADSARTSPYLAAASASLESKPRTRAPPPPPAPAPAPPSSSSAAAAPGRSPARERERDHGHHPSDISDPTSPSSTGVGGGGAGGSGSAVPDSAVLLQWGHNKRSRGRRDASASSSSAAAPSPQRRQAAAAAGVKIQRRSSAPAEKLMPPPSTTPSRSSTLRVTSSLPARGGGGGDAHHHGRSALPHHSSMMMIRSGEQSAAATKAEKQQQRPAAHRAAEAGGVVMALAKTDSKYQHHTGHGGHGQAADHHGPSSSSKAATAAAQKIELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKKRPKLVEKQVNFVCPGMWLSDVTRSKYIVREKKSTKKQQKYRGLKGMESMDSDSE >OGLUM05G26890.1 pep chromosome:ALNU02000000:5:28239836:28240090:1 gene:OGLUM05G26890 transcript:OGLUM05G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGDAAPKGPRGFVAHSNRTPLMHENGSDPGGWSPSTFPPRQAGRGVGRDTEKSQATDDWRLAPATGRGERGKIFRKQVGKR >OGLUM05G26900.1 pep chromosome:ALNU02000000:5:28241410:28246210:1 gene:OGLUM05G26900 transcript:OGLUM05G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLLKDDATEEKGERARMASFVGGMAISDLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >OGLUM05G26900.2 pep chromosome:ALNU02000000:5:28241503:28246210:1 gene:OGLUM05G26900 transcript:OGLUM05G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIADKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRIHIWDGRVPTVDLQR >OGLUM05G26900.3 pep chromosome:ALNU02000000:5:28241466:28246122:1 gene:OGLUM05G26900 transcript:OGLUM05G26900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWASDISKVQDDEVGDGTTSVVVLAGELLREAEKLVNMKIHPMTIIAGFRMAAECARDALLQRAMDNKEDSDKFRSDLMNIAMTTLSSKILSQDKEYFAGLAVDAVLRLKGSTNLEAIQILKKTGGSLKDSFLDEGFILDKKIGLGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAEIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGDIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLYGGGWPEMVMAKEVDGLARKTPGKKSHAIEAFSRALQSIPTIIADNAGLDSADLISQLRAEHHKEQSTAGIDVISGGVGDMEKLGISESFKAKQAVLMSATEAAEMILRVDEIVTCAPRRREDRM >OGLUM05G26910.1 pep chromosome:ALNU02000000:5:28247855:28249006:-1 gene:OGLUM05G26910 transcript:OGLUM05G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAVAAAMAGPRRRRMLLLMANYAALLVGSVASSLLSRFYFTHGGRNRWVVTLVQSAGFPLLVAGALAGGRPASAPRPFTWLSRRFLAVCLVIGALMGANNLLFAYSTSLLPVSTSSLLLSTQLAFTLVLAVVIVRHPVTFVNLNAVVLLTLSSVLLALRSGDSGETAEGGVGGGGRKSYLVGFVVTLGAAGLFSAYLPVMELVYREAVSGGFVLAVEVQAVMQAMASLIAAVGLAASGGVADDVSGWVDGGSSPAVYWAVVATLVLTWQACFMGTAGVIYLTSSLHSGVCMAAVLTLNVLGGVAVFGDPFGAEKALATALCAWGFSSYLYGEYAKAKKVAAAAAAAAAEEEESASDGEGSVHKSLTGGGTAGGHVETAV >OGLUM05G26920.1 pep chromosome:ALNU02000000:5:28252868:28255332:1 gene:OGLUM05G26920 transcript:OGLUM05G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRQGQRVRLYVRGTILGYKRSKSNQYENTSLLQIEGVNTKEEVGWYAGKRIAYVYKAKTKSNDSTIRCIWGKVTRPHGNSGVVRAKFRSNLPPTSMGKKVRESQSRSGSWPHPNLTELGWQEEFTGIPGMVSGFQLFVERFLAYAAKLIVVTVEGFISASHLVNQSEI >OGLUM05G26920.2 pep chromosome:ALNU02000000:5:28252868:28255332:1 gene:OGLUM05G26920 transcript:OGLUM05G26920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHAATRSISLAAADAASLLSAAAVAAACPVLLLFSDDEGTARPAREAVRPRHHPRLQEVEVEPVREHVAAADRGGEHQGGGWVVRREAHRLRLQGQDEEQRLHHPLHLGQGHPPARQLRCRPRQVSVQPPAHLHGQEGPRFHVSCLCCETDSCHRGGFYIS >OGLUM05G26930.1 pep chromosome:ALNU02000000:5:28257236:28259475:1 gene:OGLUM05G26930 transcript:OGLUM05G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHAATLSSSLAAAAAASLTAAAAAAAAFLVLQLFGDEMTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OGLUM05G26930.2 pep chromosome:ALNU02000000:5:28257328:28259475:1 gene:OGLUM05G26930 transcript:OGLUM05G26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >OGLUM05G26940.1 pep chromosome:ALNU02000000:5:28259793:28262848:-1 gene:OGLUM05G26940 transcript:OGLUM05G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVVLMVVVCLAVAAAAASAASGGGHSRGRPGKSARLQLVPAAPGASMAERARDDRRRHEYISARLAASRRRRRAEETSSVSSAEAVAASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLIADTGSDLTWVKCRGAASPSHATATASPAAAPTPAVAPPRVFRPGDSKTWSPIPCSSETCKSTIPFSLANCSSSTAACSYDYRYNDNSAARGVVGTDSATVALSGGRGGGDRKAKLQGVVLGCTTAHGGQGFEASDGVLSLGYSNISFASRAASRFGGRFSYCLVDHLAPRNATSYLTFGAGPDAAASSAPAPGSRTPLLLDARVRPFYAVAVDSVSVDGVALDIPAEVWDVGSNGGTIIDSGTSLTVLATPAYKAVVAALSEQLAGLPRVAMDPFDYCYNWTARGGDLAVPKLAVQFAGSARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLNNRWIRFRQTSCTQ >OGLUM05G26950.1 pep chromosome:ALNU02000000:5:28270208:28276635:1 gene:OGLUM05G26950 transcript:OGLUM05G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFASYPGNGPSSSSSISSGSGRIIFWPALASSSRVTLLGGRSGDGVLGAHGDDAVRQFLEQYPLPKLLGVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNIRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTKGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSAMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTNSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDTRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGILEWAARIRTRDLWL >OGLUM05G26950.2 pep chromosome:ALNU02000000:5:28270208:28276635:1 gene:OGLUM05G26950 transcript:OGLUM05G26950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15180) TAIR;Acc:AT3G15180] MEAPAMEPGEMEAMLRAAAEFAVRLMYLGWMKLLPHAWTKYFLRDMEHHFCQAMAFIQAGLLANSKNIRQLACKAVIHLLDKAGDSAVVVDTFVQHNLYPLLMNCLTKGDEEISAISLDGIKRLAQIPKGIEIIFPPNGQGSVQLHKVAAQSSAMARIRILSLIAKLFAVSTYTATAIYDSNLLSLFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLMVDVINDSSADSIVRSRATLISGRLLSSADAFTTIDRNCVANLLLAIDKLLKMEESQNTDETESALEALGLIGTTSAGACLLLTNSSNAARHVVEASFGRQGRGKQLAALHAFGSISGVDRQEDQIKLDNQAEERLKRFVYTTARNSPKLTPSALLLSVLQQDPDTRITGYRVISGLVAREWCLMEICSKLDIINLVTDPKMEMTKLGMEARHDCCLAISKALSSSHLLHEPSLSELIGKLNEAVKRGPYLSERKRVEPQPVVVPAESLKRGILEWAARIRTRDLWL >OGLUM05G26960.1 pep chromosome:ALNU02000000:5:28279360:28284732:-1 gene:OGLUM05G26960 transcript:OGLUM05G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSSVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGILCFDGRFVELYAIEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSLRPSVVSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRESDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLSIEGGKVALKVKYSLLIAEDVIGSMEEEREDTGMVEGRRGDEIVQSMASCKRMINVHE >OGLUM05G26960.2 pep chromosome:ALNU02000000:5:28278946:28284732:-1 gene:OGLUM05G26960 transcript:OGLUM05G26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSSVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGILCFDGRFVELYAIEAPRAHLALLDRVKRDVPPFWDPAALAEFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSLRPSVVSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRESDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLSIEGGKVALKVKYSLLIAEDVIGSMEEEREDTGMVEGRRGDEIAYNPRG >OGLUM05G26960.3 pep chromosome:ALNU02000000:5:28278946:28284732:-1 gene:OGLUM05G26960 transcript:OGLUM05G26960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAC/Perforin domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G28380) TAIR;Acc:AT1G28380] MMRVLGFMGDGGGGGMMTAQAAAEAAVGAIGCGYDLTSDLRLSSVKAGGRLVDIDGASGAARRELVLPGGAVVGGVPVGIVADKGERTRFRSDVLSFAQMAEQVNQTMSVAGKIPSGAFNAMFDYRGCWHKDAAATGILCFDGRFVELYAIEAPRAHLALLDRVKRDVPPFWDPAALAESVRLPPSRAARPAFVAFAATPVATDSSVLTWSLPNFLFRFIDKYGTHVIAGVKMGGKDVVCIKQLKGSNLTQSDVQSRLKKLSDDKLAQDSPESLTARDDKFLLGLNGSLLLGPGSAAWRSLRPSVVSHKDDIVSIHIRRGGVDNGQGHSKWLSTISGSPDVISMAFVPITSLLTGVRGCGFLNHAVNLYLRYKPPIEELHQFLEFQVPRQWAPEFGELPLALGPRKKKNSLPSLQFTLMGPKLHVTTAKADSGNRPVTGIRLFLEGKKNNRLGVHLQHLSATPGTITIAGEVASAEDATVRESDYIEPIKSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVQETCLKKVLFLRLGFSGVASTKIRRSEWDGPFVVSRKSGSLSALFSARLSAAGAGGSAQMMQQQQPVGEKVEVNSAIFPKGPPVPLPVQRMARYVDTTEVMRGPADLPGYWVVTGAKLSIEGGKVALKVKYSLLIAEDVIGSMEEEREDTGMVEGRRGDEIAYNPRG >OGLUM05G26970.1 pep chromosome:ALNU02000000:5:28286859:28289567:-1 gene:OGLUM05G26970 transcript:OGLUM05G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRRDPNPNHLLLVAVLLLLVPATVAAAATYSDHCHGLPSAPDLAGGGGGGEGGADPTSLRLSLQLNTGYFSGGGARLFGPDLSIPPRSFSFLPSSVVRTTDASLLHVSATLTVSGGRRRRPPNDGRHLLVEYDGQAHRFRPRLPRFAGRRGSVTFGLEGYYSSASGELCMVGTGSGRAADGTAVNLLSAVLRVRYPGRANLTRPFVTGSLESTDSPSFFEPVSLVTYAEEGYAYAESASCPPPPTGRLDALQVFEGSKFSCAHLSSLFKATFRLDYTNGSSEPTASSLGLHQRFMFINRMRCADDGAVRAYVVFANQTDVSAYYFMLGEKAMVVEGFWDEKRSRLCLKGCHVVNSGPSRADLAVGECGIGMSFWFPAVWSLQERSFAAGLVWNTSLKSGEGIAASSNTIAPYFRGSLSGLKYNYTKVDEAKKYYEKYGLNKKRKGKFPDSNSYRDLTFRFFLQKGGGSGYASPVTIGSMLYDGNSLVDSDHSYHIMTETNHRLLNVSYDIHYVGNWSLETFRRQHISAEGVYDAKTGSLCMIACRVVNISLDCEILVTAQFSPLDTKVAQHVKGTIRSLRKKTDPLFFEPLDIASYGLYIDKVDESIWRMDLESTMALISMTLSCLFIAVQLFHVKKVPEALPAMSIAMLVVLSLGYMIPLMLNFEALFKNSNKQTFPLSGGGWLEVNEVIVRIITMVTFLMQLRLLQLACSARSMDVSKDQSWAAEKKVLWICLPLYIIGAVAAWVVHMQFNNNRRMLRKVARLPRVNRHAFWEDLVSYGGLILDGFLLPQVILNACLGSKVKALSPGFYIGSTMIRALPHVYDVFRAKHFVPSLRPFYRYANPRDDLFSLAWDIAIPCGAILLSVLLFLQQRFGGAFFICSKNRKASEYEMVSTVSS >OGLUM05G26980.1 pep chromosome:ALNU02000000:5:28290849:28294802:-1 gene:OGLUM05G26980 transcript:OGLUM05G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAPKGYLCAGSSSFDDPDVVEVTPAAAAAGGWSSGHQKRKRSQVVPHEVIELDADDDPDGVVIIGEKSSVDKNKQAVGYPIDWLKHAKSSLAGEIAGPSSYPSKNPDILLGGLKIFQENPFYNNIDDYAYEAFEEDYGYDEDEYDDYDYDSTLYESEYNFTLAAKFDGLDIPPGVEAPLPWLQTTAAEMANKTKPVNMMDDKIDEKYSAFKQFDTVDDHSDHYYSKPDLRKVQVVKKPSKEWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVITGPAGTPYHDGLFFFDIYFPPHYPSVPPLVNYRSGGLRLNPNLYACGKVCLSLLNTWSGSGCERWSPSNSTMLQVLVSVQALVLNAKPYFNEPGYAMHANTPHGEKSSLTYNEDTFLLSCRTMLYSLRNPPKHFEDFIAGHFRKYGQSILIACRAYLDGAQVGCLVGNGVQDVDEGDKSCSARFKSALKRLFEELLMEFTVKGAECDKFLAEKAKAAASRAPADTTLRL >OGLUM05G26990.1 pep chromosome:ALNU02000000:5:28297070:28303985:-1 gene:OGLUM05G26990 transcript:OGLUM05G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate 2 [Source:Projected from Arabidopsis thaliana (AT2G33770) TAIR;Acc:AT2G33770] MAGDWACHRLSERLNTRKSRTIQGLGKMDLYAIDSDTESYAETSDSEEDQEECELTYCGHAQNILSSLDESIGKIDNFLSFERGFLHGDIVCSAADPSGQLGRVVGVDMLVDLETSSGDIIKHVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTVVFSDGARCEMLLRDSEVLKPIPPILFEDAPYFYYPGQRVRIVHPSISKSGTWLCGSWKASRDEGVVSHVDVGLVHVNWITSVTNVWGGQSSSPPNFQDPKKLTLLSCFPYANWQLGDWCTLSDCEGSLWENSDKSCFMSMTWKSSSDTQTTIGTYGSDYSQTYVVAKTKSSVDVLWQDGSTSLGLEPQSLVPVSTLGDHDFWPGQFILEKLTVEDNGRCQRTGIVTSVDALERTVKVKWAVSVDSDTVSYGDGLTEETVSAYELVLHPDFSFFTGEVIIRSAVNIENSEANLTNGTVAVSRESLDTSSAFLSCIGNVLGYNDEGLEVQWASGAISRVQHFEIIALDRILDDSLESMIEEHTTDDLVDMAEQEKMHLEDTKSALEESAGDCTGSLRKATAFLFSKTAFNFLTNVASSLFGAHDSTSSSSVNADSQYQIVTTAELQPSAEDISEEKQTMELITQFEKPTLASENAMTKGFDVVTDCSDHHFVKEIGHENVKRGWVKKIQQEWSILQNDLPDGIHVRVYEERMDLLRACLVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWAGTGSEVWDPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFMGKADGEKNSITYNENAFLLSCKSMTYILHKPPKHFENFVKEHFTCCAPHILDACKAYLGGDLVGHARDSAYISDDGCKNSSTGFKIMLAKLLPKLVTTFSEAGIPCSP >OGLUM05G27000.1 pep chromosome:ALNU02000000:5:28305959:28306604:-1 gene:OGLUM05G27000 transcript:OGLUM05G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPFVTKSVAAIKPKLSDRKLDQKHTESWGSEELTNQKADADDEIVLHFGRRIQQPCGWIFCMRSRDPVKGDGCTFMGMECSQHAISE >OGLUM05G27010.1 pep chromosome:ALNU02000000:5:28313210:28315764:-1 gene:OGLUM05G27010 transcript:OGLUM05G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 [Source:Projected from Arabidopsis thaliana (AT4G30930) TAIR;Acc:AT4G30930] MATRRCLLRLLSRRLLAHTPQPASLASIATRTLASLAKPLVPQASRVLASPRLFPSRCHYASNRSSGDEEEGDDDDHYDEEGSGDEWGEEEEEAVAAKPPSGKTEEEKVVEAAEIGYTVVGPLGADEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLILNRVLMLGSQSQTVIGRPTLPDATVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKSEATAVAA >OGLUM05G27020.1 pep chromosome:ALNU02000000:5:28316406:28320193:-1 gene:OGLUM05G27020 transcript:OGLUM05G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSSICQRLGQQLAFHLRELCSAVASEIHAKLARFLHRFWTTLQGSSKDIGWLKRTKTLPCSVDGTDRFKELLYGIRNGMHHLPNTLVYLFIPGLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >OGLUM05G27020.2 pep chromosome:ALNU02000000:5:28316406:28320193:-1 gene:OGLUM05G27020 transcript:OGLUM05G27020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGSPARADHPHAAPMRLTRASSRRHVAQASQFAADCREGFFLTSFPTSIHPRTPPPTLGETKGGTLIELLLGILGCQTAAEHVFGYIDWIPEHHGFQFSPCYKRSLPATERYIRGEAPLLSVSNSTEDQTPNGLSEAIVVRKGLSRLFSNHSPLYFVNTKRIFSKMGLTCHIARIHSEASVEKNARELKLYIEELYWGSGKQVLLLGHSKGGVDAAAALSLYWSELKGKVAGLALVQSPYGGTPIASDILRKGQIADKETRRIMELIICKLIKGDIRALEDLTYDKRRDFISKHKLPVDELPIISFHTEASTAPTMLVTLSRVAQAELLPWLPLPRFLSSSEYAESLLASLKLPVVVPASAAMAVSALHLRLRYGERSDGLVTRRDAEVPGSVVVRPERRLDHAWMVHSTLRKDHAEADATQMCEALMAMLVEIGRKKCC >OGLUM05G27030.1 pep chromosome:ALNU02000000:5:28321807:28323054:-1 gene:OGLUM05G27030 transcript:OGLUM05G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAQQQKHEVDRATVAGAVAALFKWMRARAAEAPPNLLADERDDLLLLQLSLRRIPPSPTTKPRLLPLPHPVIVPGESASICVISDDRPKSRSPAASDLLDASRSHHLPVSEVIPLSALRTDYRPYESRRRLAASHDLFIADRAVLPLLPRVLGKAFYSTKKAPVAVDFARTGWLEQVRKVMNSTFLYLRTGTCSGIKVGRLDMKEEDTVENVMAAVEAAVENVPKKWANVRSLHLKAVDSVALPIYQAVPELGMKIEVRFAQLEGEVGSGEVIDASEAGAALKGVGKKKAKKALKHVEEENAGEEAAQESGKRKRGQKQLAEDLMEQDIQDVSEKRKKVKEGTPAAETPKVSKKGKEKSKRALDKEGEDASVEEASNKKKKGKKEVVSDVGEKNSKVKKSNGDKEKRRTRLRV >OGLUM05G27040.1 pep chromosome:ALNU02000000:5:28327838:28328056:-1 gene:OGLUM05G27040 transcript:OGLUM05G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGRVARHDGRRGLSHRDDEPEVGPGSVAIATMTAVREFGGGGLKRGGGISGRGGRSRAMRNVERTVGV >OGLUM05G27050.1 pep chromosome:ALNU02000000:5:28329464:28332851:1 gene:OGLUM05G27050 transcript:OGLUM05G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel interactor of JAZ [Source:Projected from Arabidopsis thaliana (AT4G28910) TAIR;Acc:AT4G28910] MDDENGLELSLGLSLGGTSGKSKARDAPLEPKAEPQVEESSSKGVSQTPEAPFVHYYQTNTENQEHSSKQRHSPAAPPFGNFWGQPGSSSVPVADGSNEQKPVSSKRKLLSEEISFQKKPNTAAEQPDAFSKSSDGGVKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNRGSDRKRSSDDAAVGFQGKRQPSFSGSESSSGKLPQGNPLSLQASNVVAVPYQVPSQVSAPPSITNASNFTPVCTVQLRPPTNNGLAVTMGSTSQVAFGYPAVQLPTLETSSSWAFGAPPQAMSSFTAKDKVERAGISQADDGKKTQEAGASSSALVEDDKKSDRALPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMTPEEFMRHASADAPGQENSATLPAFPVGNQAASAQN >OGLUM05G27060.1 pep chromosome:ALNU02000000:5:28329502:28329723:-1 gene:OGLUM05G27060 transcript:OGLUM05G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKQQDQDELARDGWGSAAGGGGGGRGCAHQDGCGGSSRTNDEAALLASLAVAGWNGDRRRRVGRQGQTQEG >OGLUM05G27070.1 pep chromosome:ALNU02000000:5:28334848:28341399:1 gene:OGLUM05G27070 transcript:OGLUM05G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA synthetase beta subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G72550) TAIR;Acc:AT1G72550] MPTVSVGRDRFFVALGRTYTQEEFEVLCFEFGIELDDVTTEKAIIRKEKHLEDDDGEVDGDDDEVIYKIEVAANRYDLLCLEGLARALRIFTGTEATPMYKISCIPRDSMLQMYVKPQTSQIRPYVVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLKGPFSYEALPPQEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLKTRNVFIECTATDLTKAKIVLNTMVTMFSEYCKNKFEVEPVEVVSHDGSKTIYPDLSCYKMEVSLSEVVGPIGISLDETQVISLLNKMQLQAESCPSKGEPRISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKLRAEVARAGYMEVLTFTLCSREENFDMLNRTQDVNKAVIIANPRTSEFEVVRTSLMSCSLKTLKHNIDHPRPIKIFEVGDVVTLDESRDVGASNNRRLAALYCNKQSGFEEIMGLVDRIVNVVRAPHIKFGQNYYVPTNEPEFFPKRQCKIVMSDGKQIGYLGIVHAEVLRKFGIPDPCTFVEIDVEALL >OGLUM05G27080.1 pep chromosome:ALNU02000000:5:28341677:28343542:-1 gene:OGLUM05G27080 transcript:OGLUM05G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLRAAVLAVALLLLADSGEAFFDLFSIFRPRSDSDYFPFDGSPEQAKRKPKIEQEEDGAAPATATGLTKVPLLGAPSKAALDTIVLPVDDSAGHAGSWTIVSENSGVSAMHLAVMRHGKAIMFDTSTTGRSLMRLPMNNCRADPRAKREGTMDCWAHAVEFDYSTGALRSLKTATDTWCSSGAFDADGNLIQTGGYFEGDKAVRRLDACDTCDWREYPNSFAEGRWYATQQVLPDGRFIVFGGRRAFSYEFVPQPGMTNGQSIKFPLLRETTDDVENNLYPFVNLLPDGNLFVFANDRSVVFDHRTGKVVRELPKLAGGGRNHPASAMSAMLPLDLRNLTRGADPEPEVIVCGGALKTAFRLGENNTYQPTLRDCARINLGKIDAVWAVEAMPVGRVMGDLLVLPTGDLLMLNGAAKGSSGWGFARQPILSPILYSPRHPEGSRFRPLAASTVARMYHSSSAVLPDATVLVAGGNTNAAYNFSGVDFPTEVRVERFAPPYLSRELTGNRAVIDVASVPAGGMRYGTKFTFRFHTPVAAVEWGDVRVTMYAPPFTTHGYSMNQRLLVLPVAGFSAQGQMYELTVDTPRKPELAPPGYYLVYVVSKDVPSEAAWVKIQ >OGLUM05G27090.1 pep chromosome:ALNU02000000:5:28347966:28350906:-1 gene:OGLUM05G27090 transcript:OGLUM05G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAGGAGGNRDDGSSDPWKRKGDKKKKKDDKPKERKRGFGVAKLEIIRIQSELAEQKKKNELAEQEKRQNALNQQGPPQIPDGTTDGVMHYGNEGMGAMNFGQSQSTPMRPPGTFGASSYSNTNIISGPPGAFGAAYYPYSNNIMLPANEVTMAQPLSQFPNSQELIDLMREGGHSTSAGESTSKNSDEDPDGLDLELRL >OGLUM05G27100.1 pep chromosome:ALNU02000000:5:28354938:28356454:-1 gene:OGLUM05G27100 transcript:OGLUM05G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPGRGRSFGSSRKGKRSAGNSGSDKPKQPQRGLGVAQLEKIRIQSEMAAGYLQNPPLGQPPPIHGIGSLNLQEDARSSNSLSSSPSSSFPANINSYPIHPNLAMAYGGSRSGDIRYGEFQSTSPIIRSPPNHEAIYGAAAHYSHPSSDHTLPLFEPEESIYLRRHYGLNQPVDHSMNSDDPEEVDLELKL >OGLUM05G27110.1 pep chromosome:ALNU02000000:5:28361303:28362417:-1 gene:OGLUM05G27110 transcript:OGLUM05G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G11550) TAIR;Acc:AT5G11550] MSRAQPGGAAAGGGGAARLKPSPRALFSCGIFSTCTHPALSPTATPNNNVVAGMGGAKGGSGTPCGGDAAAASPVVEAAAPAVEMSSHHQHPQQQQQQGAAVQRSVGPSSSSSSSSSSASQSFTQWRLPVHHPPQASGSAGGGGGGGGGGGGAVMMSAEEKFAAGEVVAALRTVEREMEAAARAVPVAVVAGVVAAVREPATARLAAKVLLVVLLEEGNREAAVEAGAASAAVEACAIGVLAAIYGRNGDEAASSSPSPPPPEVVKAVVAAMQGECSARGRRKGAQLLRALQEGGRLGLAWDGVGEP >OGLUM05G27120.1 pep chromosome:ALNU02000000:5:28368502:28372042:-1 gene:OGLUM05G27120 transcript:OGLUM05G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P1] MPPPPPLEARDYIGLGATPASSSSSCCASTPVAEVVGAHLALRLGLPGSESPARAEAEAVVVDAALTLGPAPPPRGGAKRGFVDSLDRSEGRRAAATAGDDERGVREEEEEEKGLGEAAAGAPRAAKAQVVGWPPVRSYRKNTLAASATKTKGEDQGKSEVGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLALEKMFSCFITGRSSSHKTSKRDRLTDGSRADALKDQEYVLTYEDKDADWMLVGDLPWDSEITGTDSSEQIVARAFIIWTANVWTKFAVASFR >OGLUM05G27130.1 pep chromosome:ALNU02000000:5:28381129:28386596:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MENPMAPRKHGRRVGASLVGPRTAPTTTGVKLEDELAPGLISSSPEPPRAAAAAEEEEGEECGRLGKPADSDLVVDERYNSASASYLHMSKRGPGKRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >OGLUM05G27130.2 pep chromosome:ALNU02000000:5:28381129:28386596:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MVSSRRNTGGIQRDGSLRDWLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVT >OGLUM05G27130.3 pep chromosome:ALNU02000000:5:28381676:28386596:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >OGLUM05G27130.4 pep chromosome:ALNU02000000:5:28382623:28386596:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MVSSRRNTGGIQRDGLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQESRFIEKLVKHERQIEGLPEDCNRVMVWNFNLEPPLLNVPPGWSLHKNLDAVIPVAMRLLSDAFLNKPHTGLHEVAVV >OGLUM05G27130.5 pep chromosome:ALNU02000000:5:28381129:28385233:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MVSSRRNTGGIQRDGSLRDWLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQVS >OGLUM05G27130.6 pep chromosome:ALNU02000000:5:28381129:28385233:1 gene:OGLUM05G27130 transcript:OGLUM05G27130.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2P2] MVSSRRNTGGIQRDGSLRDWSEFVDPSPSPKLLYSQSYVAMRGLLSSLVSMDFALLSSRLKSAWAAILSQRHTRSPERSKSRGLSCKRLAFHLFVCFMVGIFIGFMPFFSVDVSQKIVSENGRLPFDEGAVDRGMVDGKVKELETIVVEKEVDIIDESEVEESPPVPAMLDDEADFVESAPAIPYINDLDITVRKLLIIVTITTVRPQQAYYLNRLAHVLKTVQSPLLWLVVEWPDQSFQTAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNTAIYHIKKHRLDGIMHFADEERSYMSDVFEEMRKIRRFGAWPVAIHTGIKYRVVLEGPICKGNRVTGWNTIQNIQKKSAVRRFPVGFSGFAFNSTMLWDPERWNRPPMDSVIVHSGGRGGLQVS >OGLUM05G27140.1 pep chromosome:ALNU02000000:5:28390066:28398988:-1 gene:OGLUM05G27140 transcript:OGLUM05G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) TAIR;Acc:AT1G79830] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQSQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETGNTIETGNQDHQETKYSGPNDEAPQSQIGESDRGIPDGTKPSSPTELDQSGNTGTTEYLHAGTENIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIEHDEHTNAAENDEEANRTEAQVATVVEREENTMGSVTTSNHLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFMEERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDAAEHRELLEKDLEREKAARAELEKTSSRDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLYGF >OGLUM05G27140.2 pep chromosome:ALNU02000000:5:28390066:28398988:-1 gene:OGLUM05G27140 transcript:OGLUM05G27140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 5 [Source:Projected from Arabidopsis thaliana (AT1G79830) TAIR;Acc:AT1G79830] MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEGSGSHASNSDRIGFFNPVMAFMGNNGEEDSAEASEKQQPPKSTTAEQSQSASTEAPTSKVDASEESESTQSPKPSEQGETLISSTEPPVSKAEVSEQLATPKTPKSLSATEEKPSHSTESPTYKGDSEAPQSPTDPSTAEENSGSTETGNTIETGNQDHQETKYSGPNDEAPQSQIGESDRGIPDGTKPSSPTELDQSGNTGTTEYLHAGTENIDDKNAIQSQPLDSILASSDDVNEAVKIVKGADDRNEISSSHENTDTVDQASHVEVIEHDEHTNAAENDEEANRTEAQVATVVEREENTMVQLEDLSSMSIIVSNDSNSQNESVPTSADVPVGLVEVGSNSNDLRKEENIQGSVTTSNHLESVGAVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKSTIDDLKSKSAEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIISQVRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNTEAKVELESRLREACEKENILIKTIEELRHALTRQEQEAAFMEERLKRDYDDLQRRYQSSELRYNELVTQVPESTRPLLRQIEAMQETAARRAEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSSSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAMQEGRAKQLEEEIKELRTRHKKELQDAAEHRELLEKDLEREKAARAELEKTSSRDAPKIPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSAESNMSYYLRSMTPSAFESALRQKDGELASYTSRLASLESIRNSLAEELVKMTEQCEKLRTEASALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLYGF >OGLUM05G27150.1 pep chromosome:ALNU02000000:5:28425973:28429749:1 gene:OGLUM05G27150 transcript:OGLUM05G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2Q1] MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAAAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRHRDPFPILQHPHPHQLLPPSTPHSNHKLLIVVTPTRARPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVMHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >OGLUM05G27150.2 pep chromosome:ALNU02000000:5:28425973:28429603:1 gene:OGLUM05G27150 transcript:OGLUM05G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2Q1] MASIRRPHSPAKQQHLLRHGHLGPFASSSPPSSPLRHSSSSSSPRSAAAAAHHHHHLLAAAGHTSFRRPLPRFAAFFLLGSFLGLLHFLSHLPRPLGPIPNPNSHHRHRHRDPFPILQHPHPHQLLPPSTPHSNHKLLIVVTPTRARPSQAYYLTRMAHTLRLLHDSPLLWIVVQAGNPTPEAAAALRRTAVMHRYVGCCHNINASAPDFRPHQINAALDIVDNHRLDGVLYFADEEGVYSLHLFHHLRQIRRFATWPVPEISQHTNEVVLQGPVCKQGQVVGWHTTHDGNKLRRFHLAMSGFAFNSTMLWDPKLRSHLAWNSIRHPEMVKESLQGSAFVEQLVEDESQMEGIPADCSQIMNWHVPFGSESVVYPKGWRVATDLDVIIPLK >OGLUM05G27160.1 pep chromosome:ALNU02000000:5:28430440:28433048:-1 gene:OGLUM05G27160 transcript:OGLUM05G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2Q2] MATIVNTTEEEPMLAVVRFTAELAWADAGADVAEPEVTRLCVEAQQHILAARWLDMASLMLASADLLLQSTRLPDKDKDLECILAIICNLVTKARSQDEALQIAELICAKLTHQPQDKPALRLKVIGFVTMLCFHCMRPTQGSLFSLYNLLGSPYAKAFVYKKALDLAAAGKAADCIIPTFKNIDSFISDWGIGKVEQRDLFLAAARILKDQKGMNKEYFNFLNKYLATFDGSADDADAIGDAKEEAVAAIIEFVKSSDLYQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTQRLDSYLEFQSANSALLKGYGLVHEDCITKMRLMSLLDLSSRCAGEIPYHAIIDALKINDDEVEYWIVKAISCKILDCKVDQLNQVIIVSRHTERIFGMPQWQSLRAKLGVWRGNIASAINTIQANKVTDDGSQGIQGLMIR >OGLUM05G27170.1 pep chromosome:ALNU02000000:5:28433578:28438125:1 gene:OGLUM05G27170 transcript:OGLUM05G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRSTARWLEQRRMHSPAHCIDDNGNQTLVMHPSSDHLTRCRASSRLHAARHRIVPLQTPWWFPLPPEPYPGLPLHRRSHATQFLTFNEWMTSDNNMDLRLGGPVQKV >OGLUM05G27180.1 pep chromosome:ALNU02000000:5:28437790:28440349:-1 gene:OGLUM05G27180 transcript:OGLUM05G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQALQDPVAEPHGAEPAAAGAPPGVVPGKEFTRTCKGLVVVLVGGYVLLQLLPSSLDYLAIIPAKTIPFVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGVLAFCFAVALYYVTGKESFLVTPLSGFHGALAGFLVGLKQLLPNLELPMCFFWKIKAKWMPFFVLCFSTIMAFIVPDSINFLPTLLSGMYVSWIYLRYFQRNPLTGLKGDSSDDFSFPSLFPDVMRPVTDPVANLFDRMLCARSRPSELALPVSDPAKASRRRERGERVLEERLAADHAADTEAPALGHSTAED >OGLUM05G27190.1 pep chromosome:ALNU02000000:5:28438250:28439915:1 gene:OGLUM05G27190 transcript:OGLUM05G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGNKLGKEKSSLESPLSPVSGFLWKYLRPTRKPARAPWKPESGVTLHNISIKISYDIVECNSKAECKDTADGVDQDDKLQEFFAAPHWFDILPTEEKTKGTADSLERKNNNNQE >OGLUM05G27200.1 pep chromosome:ALNU02000000:5:28461132:28464765:1 gene:OGLUM05G27200 transcript:OGLUM05G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAVQPVAVKGLAGSSISGRKLAVRPSPRALCRTTRRPRAAVVAKYGEKSVYFDLEDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLVAYVSASASPDLLPIKKGPQLPPTPGPRGKI >OGLUM05G27210.1 pep chromosome:ALNU02000000:5:28462817:28465366:-1 gene:OGLUM05G27210 transcript:OGLUM05G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLLKIGHGLDRFRRRRSTSSSSSSSLALSLSSSSAAALSDDDPGSPMDPEMPPAARRALSRSSGSRGRLSFELPPLAGGPSDKEEAPPRTSSSSSAPAPARPAPAALHEGPPSDAEMVREKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWRREIDWLLSVSDHIVEFVPSKQVSEDGSTMEIMITQQRRDLQMNIPALRKLDAMLLEYLDNFKEKQEFWYVSKDASESEKGNTPRQDDRWWLPTVRVPPGGLSDASRKWVQHQKDLVNQVLKAAMAINANVLMEMDVPEAYIESLPKNGKSTLGDSMYKIITEDHFNPEELLGTVDMSAEYNIIDLKNRIEASVVIWQRKMVHKEGKLSWGHGVKFEKREKFEARAENVLLLIKHRFPGIAQSALDISKIQYNRDIGLAILESYSRTLESLAFTVMSRIEDVLHADSLAQASNTRTQESMRMASLSRYDTDKVVIDAKAEVERLGRMEPVSATLFDFVSPRDQDVVATKMDSKEKGCRGDAHSRKLTKVSPIATKRYSYLEKLENLSGTRSPISRH >OGLUM05G27220.1 pep chromosome:ALNU02000000:5:28466302:28466973:-1 gene:OGLUM05G27220 transcript:OGLUM05G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: transcription factor-related (TAIR:AT4G18650.1); Has 560 Blast hits to 560 proteins in 40 species: Archae - 0; Bacteria - 0; Metazoa - 2; Fungi - 0; Plants - 558; Viruses - 0; Other Eukaryotes - 0 (source: /.../BLink). [Source:Projected from Arabidopsis thaliana (AT3G14880) TAIR;Acc:AT3G14880] MEVEAATRRFHLWLRGLRGLRRELRAARWADDPAQLGSLVGRFVAHVECYTAARAEMDPVWTLSAPWASPVERGAAYWLAGWRPTTLVHLIYTESGRRFEAQLPDLLLGVSSGNLGDLSPSQLAQIDDLQRRTVAEEDGLSREMALVQEGHGAVAAGGGIDVDGIVGRVRAVLGRADALRLRTVKRAVEILEPAQAAELLVAAADMEIGFREFGLKYSSARSD >OGLUM05G27230.1 pep chromosome:ALNU02000000:5:28469272:28471330:-1 gene:OGLUM05G27230 transcript:OGLUM05G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSFVLVVLILQAVVIGCSSLDELPVQLSNRRLLHDRNHAALLYKDHFPTVGNMTFPTSHQLQNDPNYKPLDSSSHPAEASAKKGSSKGFKKWLYMVVIPVAGLIMLAGMAWMFLPCRKKSVATIGPWRTGLSGQLQKAFVSGVPQLQRPELERACEDFSNIVASHPYYTVYKGTLSSGVEIAVVSTTIKSSKDWSKHCEDCFRKKIESLSRINHKNFINLLGFCEEEEPFTRVMVFEYAPNGTLYENLHDEAFDHIDWRSRMRIIMGIAYCIQHMHELNPANVHPDLHSSAVFLSEDCAAKIADLSVWQEVVSDGKKSTANNDHHEPISARLAGNVYSFGILLLEIISGKPPYSENEGSLANLALGCIIKGRSIASLLDPVLESHKENELDVICQIIMECIQSDPTKRPGMREITTRLRETIAISPDAATPRLSPLWWAEVEVLSPVEPR >OGLUM05G27240.1 pep chromosome:ALNU02000000:5:28474862:28479241:1 gene:OGLUM05G27240 transcript:OGLUM05G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2R0] MAAVREPREEAAVGEGEEEGRWGGLLPELVEEVVRRVEASGGERWPARKDLVSCACVCRRWREAAAAVVRPLPESGRITFPSSLKQPGPKDFPIQCFVKRNKKKSMFYLYLGLLNGSHVVGIVFPCPCISFSQCICDIYNSIRHHVHKLGTMDKGKFLMAARRFRRGPHTEYVISLDADDLSQRSNAYVGKLRSDFWGTNFKIYDNQPPYDDAKTSSTRSSQCFGSTHRFGSRRICPQISAGNFNVGQISYKYNLLKSRGPRRMFCTMECPSTQETWENSLKTKSLRCTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPSHPDSVGDEETVILQFGKVDSNIFTMDYRQPLSAFQAFAICLSSFGTKLACE >OGLUM05G27250.1 pep chromosome:ALNU02000000:5:28478702:28479045:-1 gene:OGLUM05G27250 transcript:OGLUM05G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRKKGSSSRLSDPINTTVTTDNGQEMAASHFISQQASQLDEAARKRLQRMNERLKLLEMQMETLEAGVAKASNDSYE >OGLUM05G27260.1 pep chromosome:ALNU02000000:5:28482058:28483098:1 gene:OGLUM05G27260 transcript:OGLUM05G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVREMAVAAAAAAAASSGGGGGGLRMPPPNPNLPYREDCWSEGETEALVRAWGSRYVELNRGNLRQKQWQEVADAVNSRRGAAARRRPPRTDVQCKNRVDTLKKKYKAERARVMPSTWSFFPELDRLVGPTLSASASKRPSPSPSPVPPPPHFAMPIHPSAVRKPPSPSPSPSPPPPMALPLPSYRRGSPLPAAALIQQEAAAAAAAAVSDSEDSEGPGDNNNHNAQRSPSQSVSSRSGNSNKRSRQEVNGGFRELARAIEAFAEMYERVESAKQKQALEIERQRIDFLKQLEVKRMENFVDAHVKLARAKRIKKHAGTAPDGIGAAELVSSVAALPFLSTSTYI >OGLUM05G27270.1 pep chromosome:ALNU02000000:5:28493351:28494910:-1 gene:OGLUM05G27270 transcript:OGLUM05G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAITAPSSIEHIPLVRCPKGANAGPQAVIPCIDLSAPGAAAAVADACRTLGFFKATNHGVPAGLADALESSAMAFFALPHQEKLDMSGPARPLGYGSKSIGSNGDVGWLEYLLLSAGAASSGGAALPAALRAAVEAYTGAVRGVGCRVMELMAEGLGLGASEEGRCVLRRMVVGCEGSDEMLRVNHYPPCLLPPGRDRDECGVTGFGEHTDPQIISVLRSNCTAGLQILLRGDYSSPARWVPVPPDPDSFFVNVGDSLQVLTNGRFRSVKHRVLAPEGEESRLSVIYFGGPAASQRIAPLEQVMREGEQSLYREFTWGEYKKAAYKTRLGDNRLGPYELQHAAANDEAATKK >OGLUM05G27280.1 pep chromosome:ALNU02000000:5:28504382:28504633:1 gene:OGLUM05G27280 transcript:OGLUM05G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVSVLVAGVTTLMCIILLILSYSAVTAEAGRQWEGREPTVAARGRFRKIMREETTLDDGGAAIGESKRRSPGGPDPQHH >OGLUM05G27290.1 pep chromosome:ALNU02000000:5:28515094:28515321:1 gene:OGLUM05G27290 transcript:OGLUM05G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRVVQVWCLIVLAMIVVFAATPAMAARDGRRLHPPAPAARGGGAWNRVSVTAEIVGGGGKWEVPGGPDPQHHH >OGLUM05G27300.1 pep chromosome:ALNU02000000:5:28516094:28518916:-1 gene:OGLUM05G27300 transcript:OGLUM05G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G53000) TAIR;Acc:AT1G53000] MPICPPSSESSPAPGLGGRALIFHGLALGAAAAAAAAAAYLYRRPGGFRGRAVGIIPARFASSRFEGKPLAPILGKPMIQRTWERVMLASSLDHVGSERCCEALQKLNKHYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTALKPEDASDTNRVKCVLDNQGYAIYFSRGLIPFNKSGKVNPQFPYLLHLGIAGFDSKFLKIYPELPPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEALMRARNIQ >OGLUM05G27310.1 pep chromosome:ALNU02000000:5:28523417:28527872:1 gene:OGLUM05G27310 transcript:OGLUM05G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPARPAAASVSGAFGLSADPARCSFDQTLRREDFQDNRLLRSLVNIHEQETYSREIITEAIESCMKKQADNLVNTLDVISGRLSQLELYCYKLERSIGELRSDVMDYHGEANINFRCLEKHVKEVLQDKQELAETQKELTKLQILHEESAQKSEGTAPSVLMTKEIDGSMPVAKHELALVPLHQVNAAQSPAMQFQSCNGLVLQQLVPVSLSTQQDQQHMNQATMYCMQNQAHVEHRQAQPFQPAPQPVQRHTQNTPQTVVEAQQVTSQAPDFYLQPQQQWAHQTGQQVHQARQPQPQVVQQQHYNNIQQVPAQIVQMQTSSPQAQSAPHVTLLYPPYGSQQPACANSEPRSRSMAMQPSYSTISSSQRNHHEVAPVYVQSNTISVPLAEHSVQPQQPPQIQSLGNGSFKPSKVSLHGVASYTVQGNAQAYNTAYGNPSNNAATVVAVLPQQAQSSAPMVLHHLGPQSLQNHPIDMVEKVARMGYFKDQAESMALRMATAGQNVEFKHLA >OGLUM05G27320.1 pep chromosome:ALNU02000000:5:28529059:28537080:-1 gene:OGLUM05G27320 transcript:OGLUM05G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILHEWPNAKLARRLYRWLELKSRDEDITGVWFKHDKPTPIEISAMKLKMQVTHGGNLCMDLCSAFIRLYQQLDAKMNTNPSGQRWRHFFPPQFALIAPVELAGGTWSCYIWDMEKRQMHILDPVLQQRETYNVSAKHRNSGFYTLYYSCEFHDGKLQRSLDSGSITALRKDLLYQLLTMEGNYAELPTPMAMIFSRSSAGSPFHPSSPTPTDEEGSPSSSPPFPRRPTFPSSGKRGPPAPTRCRMPAKTFRTTTTDPSNSDSHGLINDLPAKLSDLSRHFGERCTQMLDEAMAEIKDSFQATQEGILALLAKHNEKCGLVFSSLTEGMQALAAEHGEKCYSAVMDQAVQANPDRSNARLHGAIEGVDTDDMLAATMRRTASHGVSVHRMHVKDGAKTTRKRSKLSHEASAANRSQTPIDLRGIAFDMMLHFSIEYICVLMTQTFQSITGKGDLLGPNKLANAARGHTEQQNPAADHANPLSVSRTAPIAHHHLLKSPAQATSAVHTNHRGLDQGDGATLDQNNNGAQDKYQGQSERCETQMTKEAGNHLRPSCMSSFSMRTVHEWWPNSILAGRLFRWLEESCDDDITGVWFKHDKPTPIEISAKEIKMQVIRGGILHADLCSALIRLYQQLDAKMNTNPCGQRWRHFFPPQFAT >OGLUM05G27320.2 pep chromosome:ALNU02000000:5:28537090:28540259:-1 gene:OGLUM05G27320 transcript:OGLUM05G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWSTTPAPVLVPLSFLPLPPIEGRAVGIAIATVFPAASSPPNLFCSRPTRPAGADEPQEARSDTQDDNTDPSNSDSHGLNDLPAKLPLQDLGRHLAERCAQLLDEAMREIKILTQETQEVMQALAAKHSEEFTLVISDVMEAIQAEHDQMRMLPMCRQQQSEKLHDYTTTRKRSKLSRVASAANRSQAPVDLRGDLLGPSNSENEASEHIDQQSYQAVDHANPILGMAPTAHHRPLNSSAQVTAAVHHELDQGFQMQDKCGLEWLVSAAAVGEATMRASATLDQSNNDNLAVVTCQRQPEHCETQMTKEVACPRPSCM >OGLUM05G27330.1 pep chromosome:ALNU02000000:5:28540898:28541320:1 gene:OGLUM05G27330 transcript:OGLUM05G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASKAVAMTATTGGPDDAGGACVDGGKDDTGAAQLLPATVARMADSMTTAADEASMAVVDGGPDLGDEGSRSGRGRVAPRINVVSRIRRERRPPDDDAGGRGRQRRRWGKAASVEEGFSPFFPILAPPLSSPLHPLLL >OGLUM05G27340.1 pep chromosome:ALNU02000000:5:28547251:28547717:-1 gene:OGLUM05G27340 transcript:OGLUM05G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSAELPSHIARYLE >OGLUM05G27350.1 pep chromosome:ALNU02000000:5:28550117:28552595:-1 gene:OGLUM05G27350 transcript:OGLUM05G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEHWISRLAAAKRFYAAQLGHADRAGMEEVDMDEEVRPEFACPYCYEDHDVVSLCAHLEEEHPFEPHAAPCPICSDKIAKDMLNHITVQHGYLFKNRRRLRRFVIPGSQALSLLSRDLREAHLQVLLGGGGHRSNNSSNTTNISADPLLSSFGLSFPTSDTEETSKPPISIPDDASVAKETPAQPWDSSIDSSLTREEREQKRKQASVRATFVQDLLLTTLFGD >OGLUM05G27360.1 pep chromosome:ALNU02000000:5:28554682:28556488:-1 gene:OGLUM05G27360 transcript:OGLUM05G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYKILGVDKAASDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGSGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGSSSPFGGMGGGMGGGMGGGPGMRTGGTRFSSSIFGDDIFGSAFGGGADGHHGMHGGGAGRALKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNEQPNVIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGHTVHLTTLDGRSLTIPITSVINPGYEEVVRGEGMPIPKDPSKKGNLRVKFDIKFPARLTADQKSGVKRLLGQ >OGLUM05G27370.1 pep chromosome:ALNU02000000:5:28557971:28568409:-1 gene:OGLUM05G27370 transcript:OGLUM05G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSGDGGDEGAGAAPAGVEEESPAPASPPAPAPASASAPVPAAVGGGAGASGSSGKVKRVMKTPYQLEVLERTYTEDPYPNETMRAELSVKLGLTDRQLQMWFCHRRLKDRKPPPKRQQLEEEVPVPVMAPPPVLPPPLPHSELTMGTGGMYGEPLLPPSSRRGTGRPSAVPRISASEVARRYYEPPQVMLPPLAPMQLTRAEHRVIDSVERLIGEPLREDGPVLGVEFDPLPPGAFGAPIVPEQQRQPFRSYEAKMFSGHDTKHIKASAFLPSIDPFVPSTVSGKRKSMTGSSSHLGSRAVREYQFIPEQSSDIYERTTQSRYYDVSTEASDSRMSTGSRFLHGVEKAPGYTFHGQISGSSHLSLHGRPPVFSSGSTDYEMDPSNINSSSVPNESQYGIPQVAGFENSIAPSDRMVYHDDDAFRMERKRKHSEEAKIAKEVEAHEKRIRKELEKQDMLNRKREEQVRREMERNDRERKKEEERLLREKQKEEERFQREQRREHKRMEKYLLKQSLRAEKIRQKEELRKEKEAARQKAANERATARRIAREYMELMEDERLELMELVSRSKGLPSMLSLDSDTLQQLDSFRGMLRQFPSEIVRLKVPFSIKPWTSSEDNIGNLLMVWKFFITFADVLGIPSFTLDEFVQSLHDYDSRLLGELHVALLKSIIKDIEDVARTPSVASGMTANPGGGHPQIVEGAYDWGFNILAWQRHLNLLTWPEILRQFGLSAGLGPQLRKRNAENVNNHDDNEGRNGEDVISILRSGSAAVNAAAKMKERGYGNRRRSRHRLTPGTVKFAAFHVLSLEGSQGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDSKLFERTAPSTYCVKTPYRKDPADSEAVLAAAREKIRVFQNTISECEEVEKDVDDAERDEDSECDDADDDPDGDEVNIEEKDVKAPLVKAQDGGMPTAVGDIKKETNSIVNSLTTPLIHTKSSESSSLRTLDKSVQVRTTSDLPAEISSDNHEGASDSAQDAEIDESNQGESWVQGLAEGDYCDLSVEERLNALVALIGVATEGNSIRAVLEERLEAASALKKQMWAEAQLDKRRSREEFSSKMQYDSGMGLKTDVDQQNTLAESNLTPVHNLVKDSNGNGSLVNNELPVDQQSQPNACSVVHERNGVRQEFSANPENLSGQQYVTSEKTRSQLKSYIGHKAEQLHVYRSLPLGQDRRRNRYWQFSTSASPDDPGSGRIFFESRDGYWRLIDSIETFDALVSSLDTRGIRESHLHSMLQSIEPTFKEAIGRKRCASIEPSAGRVLKNGTSEIISPNHSNEFGSPCSTLSGVATDSAMAYSDSFRIELGRNDVEKTAISERADLFIKWMWKECNNHQPTCAMKHGKKRCSELIQCCDFCYQIYLAEETHCASCHKTFKSIHNISEHSSQCEEKRRTDPNWKMQISDDSVPVGLRLLKLLLATVEASVPAEALEPFWTDVYRKSWGVKLYSTSSTKEVFEMLTILEGAIRRDFLSSDFETTTELLNLSTQDSASRNFPRSGSADVLPWVPDTVAAVVLRLLDLDSAISYTLRQKVGSNKERGAGEFMKLPPRYTPAKTKQETEPMGTGFDRQETWLTPSNGRGCRGGRGRGSRGGSRGGRSRSRGGKVPRGISSSPKIEFRGYSASAVSSEKAPRKYARRGRNRGRGRGLRTVRPRQPSDIGARSIPKPNLLGSFSMLRNAKHTTAMESPQSSGAEEWGLERRPSYVKDGEDNSASQSEESSEENSEPMNEEYDEPLPDYSRDNSGSSPLQMMDDGSDDNEEDAEGDEDGEDYDAEQHVDEDNDDAEMGEDDVEDNDDGDGGGGGAENGDEDEDGTSYSSEYSE >OGLUM05G27380.1 pep chromosome:ALNU02000000:5:28570191:28570755:1 gene:OGLUM05G27380 transcript:OGLUM05G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASPRLSSAPAAAATFAICCAASAAFVDASGRVAEKNALVIAATTGADDINRPFLVRLLSEIGLGVGVEDNRKRRSAKTRREDWAGNRRGRQLRGGGRQRSICDTPEFDELDIFGACSVSLLYLL >OGLUM05G27390.1 pep chromosome:ALNU02000000:5:28571982:28573007:-1 gene:OGLUM05G27390 transcript:OGLUM05G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLATLAETRKRMRASARASSSSSASMAAAAQRDDVESRRDGGENERRPLLEKRSAGDGGGGGSGGDGMSPVQRAISQTYQSTAHLAKLLPTGTVLAFQLLSPIVTNQGHCDVEANRAMAGALIALCALSCFVLSFTDSFRDAATGGAVRYGFATPAGLWVIDGGAPLDPQAAAAYRLRLLDLVHAVVSVMVFAAVALFDQNVVSCFYPVPSEGTRQVLTALPIAIGVVGSMLFVSFPTTRHGIGFPLSPR >OGLUM05G27400.1 pep chromosome:ALNU02000000:5:28583045:28586691:1 gene:OGLUM05G27400 transcript:OGLUM05G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 73 [Source:Projected from Arabidopsis thaliana (AT4G28500) TAIR;Acc:AT4G28500] MTWCNSFSDVRTAVDSSLSPAAAVAAAAGKKAAASLAVLVKMCPSCGHRARYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRKLHPLVDEFIPTIEGENGICYTHPERLPGVSKDGLVRHFFHRPSKAYTTGTRKRRKVHSDEVDGGETRWHKTGKTRPVMTNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAAAAKEASAAAAAAVVNSNYSIVHGHQGGGGGSFLKEANVVHEFYDPAATMGYRPPAPAAHFAPNFAVHAARNSFGGP >OGLUM05G27410.1 pep chromosome:ALNU02000000:5:28585642:28592473:-1 gene:OGLUM05G27410 transcript:OGLUM05G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMLGLRGSPSAGDAGGDAPVRNGGEGGGGPGRPLRLVYCDEKGKFVMDPEAVAALQLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVAPTHRPCTKGLWMWSAPLKRTGLDGTEYNLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRSTASELGHFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSSKNAIRESIRALFPDRECITLVRPVNNEKDLQRLDQLPLNNFRPEFRSGLDALTKFVFDRTRPKQLGASTLTGPVLAGLTQSFLDAINTGAVPTISSSWQSVEEAECRRAYDSAIDTYNSSFDRRKPAEEDAMREAHEDALKKAVSVFNASAVGAGLARSKFEKLLQTSLKKAFEDYKRNTFLEADLQCSNRIQSMESKIRTACNRPDAKLDDIVRLIDGLLTEYESKSYGPGKWKKLATFLQHLAGPVLDLFRRQIEHIDAERNSLRLKCSSNDDKLALLRKQLEASEGHRAEYLRRYEESINDKQKISKDYSGRIAELQTKSSKLEERCVSLSSALDNAKRESVDWKNKYDHNLLQQKADESKLKSQIASLESRVNISEGRLSAVREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELASQLSEKEEEIARLNTKINQTEIHATNLISRLEATEAKLKNHESDSLALKEEIRSLTVSLESFRTEAQSREKEVKILEQEKNHLQEKYLTECKRFDEADRRCKEAEREAKRATELADVARAEAVASQKDKGEAQRLAMERLALIERMERQVEGLEREKNKMLEEIERVGQSEKDAVCKVSSLEQRVDEREKEIDEMMQRSNQQRSSTVQVLESLLETEREACAEANRRAEALSLQLQATQSKLDMLQQELTSVRFNETALDSKLKASHARRLRGEATESVHDMDIDDDNTGRRRKRSKSTTSPFKSNHTEDGGSVFVGEDTNNGSQQAQETETEDYTKFTVLKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >OGLUM05G27420.1 pep chromosome:ALNU02000000:5:28614707:28620201:1 gene:OGLUM05G27420 transcript:OGLUM05G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2T0] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLCTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSSRAPGVVNKWQAQLHGRAAFHGPPAPALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLESLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >OGLUM05G27420.2 pep chromosome:ALNU02000000:5:28614707:28619864:1 gene:OGLUM05G27420 transcript:OGLUM05G27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2T0] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLCTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSSRAPGVVNKWQAQLHGRAAFHGPPAPALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLESLKQVPKSLGNSCATVHEQRPVVGRMVAEYQGDGGTDDSDIFILDVGVGALIM >OGLUM05G27420.3 pep chromosome:ALNU02000000:5:28614707:28620200:1 gene:OGLUM05G27420 transcript:OGLUM05G27420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2T0] MTGIDLNTVEEDEEEAAEEVAANGSSPAPARAGAVCLELWHACAGPVAPLPRKGGVVVYLPQGHLEHLGDAPAAAAAAAAVPPHVFCRVVDVTLLADAATDEVYAQLSLVPEKEEVARRADDGEGEDGDGMKQRFARMPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGTLANVAHAVATESVFNIYYNPRLSQSEFIVPYWKFMKSLSQPFSVGLRFKMRYESEDATERRYTGIITGSGDTDPMWHGSKWKCLLVRWDDDAEFRRPNRVSPWEIELTSSVSGSHLCTPHSKRLKPCLPHVNPEYMVPRGGGCPDFAESAQFHKVLQGQELLGFKSHGGTAAATSQPCEARHLQYIDERSCSSDASNSILGVPRLGDRAPLGNPGFSYHCSGFGESQRLQKVLQGQELFRPYRGTLVDASMGSNGFHQQDSSRAPGVVNKWQAQLHGRAAFHGPPAPALPSQSSSPPSVLMFQQANSKMPRLEFGHGQLDKHENDRRVRFGPSEGIERREQRIPLQPYPTSGEVIDGQVTVEKSHSPGRHGKDGPDNKAVGTNSCKIFGISLTEKVPAREELDDGDANYSLESLKQVPKSLGNSCATVHEQRPVVGRVIDISTMDMMI >OGLUM05G27430.1 pep chromosome:ALNU02000000:5:28620774:28622914:-1 gene:OGLUM05G27430 transcript:OGLUM05G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRLSGPSAPDAENIEDLTDDEDDSNE >OGLUM05G27430.2 pep chromosome:ALNU02000000:5:28620774:28622914:-1 gene:OGLUM05G27430 transcript:OGLUM05G27430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15150) TAIR;Acc:AT3G15150] MSSVVNKLTNAAERESSEAETLIAVKQLEAAALELVASCEDCTCYADAIRKVPGAYQPSNQVRTLMTDFEKLIEAEVNKVKGNSSTSVENHLLIRQFREAVWNVHHAGQPMPGDEQEDVLMTSTQTSILNVTCPLTGKPVIQLTEPVRCADCRHIYEKVPIMHYIRNQKPPKCPIAGCPRVLQVGRVTCDSLLQVEIDELRLSGPSAPDAENIEDLTDDEDDSNE >OGLUM05G27440.1 pep chromosome:ALNU02000000:5:28627690:28632910:1 gene:OGLUM05G27440 transcript:OGLUM05G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVARRFLVAAAAVCLALAAVPAAMGQAAAPAPKGAAAAALNVTAILEKGGSYTTFIRLMKSTQQDTQLNSQLNGTSTGFTVFAPTDGAFSSLKPGTLNSLSAQDQVSLVQAHIVPKFYSMDAFDTASNPVRTQASGGDGPYTLNITATSTNQVNVSTGVVDTTLGTALRADQPLAVYSVDKVLLPYALFGPKPPPSPPPAPSKKPAKGDNSASAEAPAGSADHPAGAAPAAARAAGWGKPKAATPTKATPASPGPAAAAADGPAPTNVTAVLEKSGKYTTFLRLLHESRVDTQINSQLMDSYNGLTMFAPTDAAFAALKPGTLNSLSSQDQIQLMLYCVLPRFYSLAMLTTLGGPVNTQASGADGPYKYKIKPSNNNVNISTGVNWALLSTVVSKDFPLAVYSVDKVPLPYELFGPKPPTPAPAPAPAPSKSKTKKHKKSAGIAEPPVADDASADDTTKKAAAPATAVSRWVVAAAGVLAGAILAAL >OGLUM05G27450.1 pep chromosome:ALNU02000000:5:28645622:28646014:1 gene:OGLUM05G27450 transcript:OGLUM05G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAIPYNANRAWPAASRTAAAAAPPPPPRGEEEEVRRAVAECPVVVVGRSGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGVVAGGGGVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >OGLUM05G27460.1 pep chromosome:ALNU02000000:5:28646083:28654215:-1 gene:OGLUM05G27460 transcript:OGLUM05G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWWLQNVSDRYREEKKNISTGAQQTTTGHELQASNTLPFMHGSGDNAFRKRKGCLQAHVQQFFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >OGLUM05G27460.2 pep chromosome:ALNU02000000:5:28646793:28654215:-1 gene:OGLUM05G27460 transcript:OGLUM05G27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWFSAFLTWNNAAI >OGLUM05G27460.3 pep chromosome:ALNU02000000:5:28650901:28654215:-1 gene:OGLUM05G27460 transcript:OGLUM05G27460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAAAKAKRSHTVRGRRAHQTPAAAAAHRQKRAEAAAAAASASGPRGRNLPSNWDRYDGEDDADESAAPSEWTGEVAPRSKGADFGFLLEQARAQPREAQRLSSQDSPFDFMQASTSMLEAKGEEILSWCEDDNFILEDDLAPDFEVPFLSMDLHALATHLSKLKLSERLFLEKDLLPEDLAVASEVNQIQIQRGTDVDSDAKGSLIHDHDSIRCDDQMKADCQLKCFEEDKSTSSPKTSSHSVHLDTGEDNNNSEGAKFEVVAAEEELDMLLNTLGGTHLSGSNLDESFGNKSTLQDVKVNQPDKKVTPSTSSKSSVTAACDDALDDLLSETSPSVQNEGFAEPGSTSKNDHNIDIRYANQIDISTSIDDLVDDLLTDTSLCLNGQKQTTSAKGKDNISSVSVPPNSGPSNASDDFDSWFDSL >OGLUM05G27460.4 pep chromosome:ALNU02000000:5:28646083:28650008:-1 gene:OGLUM05G27460 transcript:OGLUM05G27460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMCQLSVEKGAQQTTTGHELQASNTLPFMHGSGDNAFRKRKGCLQAHVQQFFFFAASDSQPEKHREFGTSKYRKYFSSFLPFVFTWSKIGIGTSYKVIKYSSVNGHTLLLIILIKILKEFKFFVLSNYNTIINAMKKKEAKSIR >OGLUM05G27470.1 pep chromosome:ALNU02000000:5:28655158:28660680:1 gene:OGLUM05G27470 transcript:OGLUM05G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTVSPTIVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELSKFGEVETLNVCDNLADHMIGNVYVQFREEEQAVAAHNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGRELRRKLYGGRSRRSHGRSRSPSPRHRRGNRDRDDFRRERDGYRGGGDGYRGGGGGGGGDGYRGGDSYRGGGGGGRRGGGSRYDRYDDGGRRRHGSPPRRARSPVRESSEERRAKIEQWNREREEKP >OGLUM05G27480.1 pep chromosome:ALNU02000000:5:28662504:28672372:1 gene:OGLUM05G27480 transcript:OGLUM05G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRNMVWTHQVASPENQVQPESFYHGGAGSNLSNLSVQVAVGVPGNTDFRSHYESINLQHQHVQNPYPHVGVASSSVFPSTMYNPCISTTAVNRYVPPIQSFGLGNPLLLPLYHQLAQGSMDENGSSGNFCDSVREFIKRKNALLVGGHHFVNSFASSSSSAYVPPNPSHRSWNASFEANILPSTGVSNPPEYSSADSLNNSNSMASHPELVHHGNYVFPAGHMSQYNAWIAQAGRTGGVPQWEHGNAAANPPGGFVHSGTIDMPNGGLQGYQAGPFANFYGPLPHFHQNPLNSMQHPALFNHIQMQVPHQHCLSNNLLHHPSGNGLPLDPRILAISSNSGHTFGPTAQPSLANQVNAGSSRIQPYENAPFVDLSRLYEAGVIDEHRDMRLDVDSMTYEELVALEERIGNVNSGFTESYIEENLKSSSYVPDADCMPDQSSVEKDACIICQEEYEAKELVGTLGCGHKYHAMCIKGWLMVKNLCPICKTTALPADRRNG >OGLUM05G27490.1 pep chromosome:ALNU02000000:5:28670833:28672089:-1 gene:OGLUM05G27490 transcript:OGLUM05G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVSTEDAKAFAEKENTFFMETSALESMNVENAFTEVLTQIYHVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSSA >OGLUM05G27500.1 pep chromosome:ALNU02000000:5:28678040:28683101:1 gene:OGLUM05G27500 transcript:OGLUM05G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNRHWPSMFRSNLACNIQQQQQPDMNGNGSSSSSFLLSPPTAATTGNGKPSLLSSGCEEGTRNPEPKPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGQVGDANVFYWFQNRKSRTKNKLRAAGHHHHHGRAAALPRASAPPSTNIVLPSAAAAAPLTPPRRHLLAATSSSSSSSDRSSGSSKSVKPAAAALLTSAAIDLFSPAPAPTTQLPACQLYYHSHPTPLARDDQLITSPESSSLLLQWPVSQYMPATELGGVLGSSSHTQTPAAITTHPSTISPSVLLGLCNEALGQHQQETMDDMMITCSNASKVFDHHSMDDMSCTDAVSAVNRDDEKARLGLLHYGIGVTAAANPAPHHHHHHHLASPVHDAVSAADASTAAMILPFTTTAAATPSNVVATSSALADQLQGLLDAGFLQGGAAPPPPSATVVAVSRDDETMCTKTTSYSFPATMHLNVKMFGEAAVLVRYSGEPVLVDDSGVTVEPLQQGATYYVLVSEEAVH >OGLUM05G27510.1 pep chromosome:ALNU02000000:5:28687591:28688439:-1 gene:OGLUM05G27510 transcript:OGLUM05G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAAPASNQPHDTSNILENVWASIMTSSSSPAVSSSVAVSSSAVTGQEENSAILQRLPSLGRWISMGAEEWDELLLSGAALASESSGELQLVSASPGDHNQVVGGGGGANYRTTATAAARRSYRGVRRRPWGKFAAEIRDTRRRGARVWLGTFATADEAALAYDMAALRMRGHRAHLNFPLATVQKHLERDLAGGRSSSDQTSTRARRRRRPRANTTTDAAARSVVFSGVQTATNCDHMVSFASEKDQVSMQECSKSDAGVIDFEEIGGEYWDYLFPPLV >OGLUM05G27520.1 pep chromosome:ALNU02000000:5:28690450:28695308:1 gene:OGLUM05G27520 transcript:OGLUM05G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHNKSSQNKAHTYHGPDHAHINKPFHQAATRPALSSPLLLHFFIQRKSPRHSAFFWIPPLLCASTATRCRAIAPRRLASSRVNESMGGGREEEAAAASKVGYSSGDLPPSAPPHLQGQDPQQYQYGTFQPPPHHAASGELARPPVGFPQPAPPPGFGGASGGGHYHHHQQQPYAPAEPYYAQGYQTGPGYGPIAEGRPVRMRRLPCCGLGLGWLLFIAGFFLAAIPWYAGAFILICVRVHDYREKPGYVACTVAFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATVHFKLCKRDNTKQFHNSNIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >OGLUM05G27530.1 pep chromosome:ALNU02000000:5:28696998:28705511:1 gene:OGLUM05G27530 transcript:OGLUM05G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCASSSPDSLNQSYKIVQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRVTSNVKSTRSIRILFYCHVASQIVAVILATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGVRFRSIWYLTHWLLGIAICVVGVANVYIGMHTYHVRTGRSVRPWTVLLTVEVSAMAFVYLFQDRWNHVVRQQQQQEAAALGDDDDEQSEEHVYPANDHKEVAVVPDGSHGGGGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFSKRAPPLISRRFAERAFTVGIGGPVGTGKTALMLALCRSLREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLIINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHILQAWEIATGNKRR >OGLUM05G27540.1 pep chromosome:ALNU02000000:5:28703589:28705949:-1 gene:OGLUM05G27540 transcript:OGLUM05G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSLGLLQAPSSFTAAAASRPASRRAPRALFAVRASAAADATKDAVLKAFREKRALKIISGLQNFDRSSVASVVSAADKGGATHVDIACDQDLVKLALELTSLPICVSSVDPSAFRSTVEAGAKMIEIGNYDSFYDTGIEFSSEKILKLTKETREMLPDITLSVTVPHTLSLLDQVRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKATPTLAAAYSISRAVTIPVMCASGLSSVTAPMAVTAGAAGVGVGSAVNKLNDIVAMVAEVKSIAEALGLPSRNVSSNLRTVHH >OGLUM05G27550.1 pep chromosome:ALNU02000000:5:28706510:28707448:-1 gene:OGLUM05G27550 transcript:OGLUM05G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDVAAFGFPAPVRPGATRVGWVGIGVMGGAMAARLLAAGFAVTAYARTPAKAEALVAAGASLAGSPAAVAAACDVVFTMVGNPGDVRAVVLDAASGVLAGLRPGGVLVDCTSSSPSLAREVAAAARAAGCYAVDSPVSGGDVGARDGALALLAGGDEAVVSWLAPLFAHLGRPTYMGPPGSGQSSKIANQIAVAGAVVGASEALAFANAAELDAPLFLDAVSKGAAGSRVMDIFGERMLRREFASGGSVKYIIKDLGMALETEEGPEGAKALPGAAMFRQMFSAMAANGDGDLSLQGLITVVERLNGIRK >OGLUM05G27560.1 pep chromosome:ALNU02000000:5:28707790:28708152:-1 gene:OGLUM05G27560 transcript:OGLUM05G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATDTATAAVSSEAAAPAPRAATARRGSWLRRLIPRDYLSLSRRWKLGGGAGAASRRLASLSRSLRWKRRLPGFSLTLRSGSASAVVDAVAFRVMYVVEAVVLGLALSCFFLCCGCHL >OGLUM05G27570.1 pep chromosome:ALNU02000000:5:28708779:28717778:1 gene:OGLUM05G27570 transcript:OGLUM05G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGGGGGDDGSGKPATVAAAVLDLLGSLLELLGIGLQSPYDEPMTQSIRVRGAKKQRKVVDYSYNTGYSRSTAQCVCLCLMIHPAKPKAKHNLRCAGRRHAARGAPHGWRNGAGACLADEKIKNNSAEPERSRLRATAHSAVQAGASRPSQAFVIQIPKSRQMFQIHLKNLKPNRLLGGIPTAGPEPLGTSHSVQD >OGLUM05G27580.1 pep chromosome:ALNU02000000:5:28732580:28736333:1 gene:OGLUM05G27580 transcript:OGLUM05G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGEGFPFHDELASLFAERPPNGAMPGMLQQQQPWSFIDYHHHLMQESAPTTPPLDYEAFAGEFDDDVAPLEEVKRELVVDGVGLFPGGGASAAAAAAAVAGPMTPNSMSVSSTSSEACGVGGGAGGDEESAGKCKKEEEGDGGDDDGKEGSATTKGDGDGEDKNKKGGKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGTAHLLGAAAAAHHHGGLQYHHPGHFAAAVGHRLPPQPHDALGGGLLAPPHAQHLHAMQHQMQLAAAAASGGSVHAAAMQQMPQPDHAGLAAIIASTTGASTTPAPPPATGSAAAATTPLRMQHFMAQDYGLLQDMFIPSPFLHNDDANNNNHR >OGLUM05G27590.1 pep chromosome:ALNU02000000:5:28753810:28759218:1 gene:OGLUM05G27590 transcript:OGLUM05G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRKTEYCCGSQIVCIIVHIAAAFINMLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFMPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAFADDVRPIIAQMLGRPRSIA >OGLUM05G27590.2 pep chromosome:ALNU02000000:5:28753810:28759218:1 gene:OGLUM05G27590 transcript:OGLUM05G27590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRKTEYCCGSQIVCIIVHIAAAFINMLTLMMKNNCNDDDIGSEFYDSFNLDNTIENVHSQNNKLELAKTGEFNQYEQTTGFSLPCGDLQYDVYLRTQIAGQDNKSGEGADFMDDGTFTLLKDLVTESRTSSPYYEKDHQLNSLNYVNQDGHGIPTTTNPTWDLTQSCNPLNHSLTEDSVPFNVGTSAGVLTNATSNDFMPIDELAITSGRYGVLPSIEKTEEGSIAIDEANSFGATATMCYNSVHFSHWIDQNLTGPLPDLADLPDIYPDSFLPTPRKNITLVLDLDETLIHSSAVDRDGADFSFPMYHGLKEHTVYVKKRPHVDTFLQKVSEMFKVVIFTASLSSYANRLLDMLDPKNIFFTKRYFRDSCLPVDGSYLKDLTVIVADLAKVVIIDNSPEVFRLQEENGIPIESWTSDPADKSLVELIPFLEAIAFADDVRPIIAQMLGRPRSIA >OGLUM05G27600.1 pep chromosome:ALNU02000000:5:28760710:28767126:1 gene:OGLUM05G27600 transcript:OGLUM05G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:16S rRNA processing protein RimM family [Source:Projected from Arabidopsis thaliana (AT5G46420) TAIR;Acc:AT5G46420] MAPPATASASASAPVSLLFLSLPLSPSSCRGLPAPHTHLPPRRLALAPARPGAALLSSLGDAQEEEEYDDEEEEELVEVGYVSGAHGVRGDVLVSPRTDFPQLRFATPGKRWLRARAAGKQQVKEFELVRGRAHTGKKSWIVTFDGVDSVDEARQIVGSAILVKAGDRPKMEEDEIYSLDLVGMRVIVKDTGKLVGTVGQVFNFGAGDLLQVMVGGTEDTVSQPNSENQDSTPSGEHVWIPFAEDIVPDIDMESREMWITPPKGLLELNARSDKRSKKERRAMEWKEKKRLQRRVIAAKKILSEMDQGHVLEGLLSGDKVQKASLAEQIGSIDFQLFRHAMHSVSRPIGSLSKDVFVKSSSSRKKLMRIPYETLLNHEENANFASELNEGAGIIQKSKAATILITNDSDTLDAEFQGLLNSFNKLMKVEETRGSIPFVIVCPAGHVGSVQNCLVENDYFGLDTQKVWVLEEMKLPIVSMSSKLNSRKILLKSPWEILQKPAGTGVIFSLLSSNKILDTLNEMGVEYVQICSLSNKPNIGHPLLFGAVSSFGADAGLMLRKSSKETGDDFDLILSMNHVNKMCRDVTKLRFSAQQEQHVHVEHVDGQWVDVQPEATNCHRLHAEVTSVLNYCSPDKVCVIEIVQQ >OGLUM05G27610.1 pep chromosome:ALNU02000000:5:28765683:28765943:-1 gene:OGLUM05G27610 transcript:OGLUM05G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRCLWLDIDPLAIDMLDVHMLLLLRREPELSNISAHLVYVVHGKNQIKIISCFLAALAKHEASIRAKGADSPKQQRMPNVWLIG >OGLUM05G27620.1 pep chromosome:ALNU02000000:5:28766941:28771711:-1 gene:OGLUM05G27620 transcript:OGLUM05G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2V6] MPEANAGGRAAPAAEQRSKNTTEMDFFSEYGDSSRYKIQEIVGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDTWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSMDAISRIRNDKARRYLSSMRRKQPALADPYFKGLAKVEREPSCQPISKMEFEFERRKVTKDDIKELIFREILEYHPQLLKDYMNGSENTSFLYPSAVDNFRRQFAILEENGGKSGALDRKHVSLPRATTVHSTSIPPNEGLDATSQVTQRIPTARPGRTVGPVLPFENPGAADPHSAQRVVRNPMVPPAAANKSGYSYNLKSDYSDRQHQEELEKDRVQYRPAQHLMDAKVAPDTAPDMRSSQYYFTRSAPRTDLTDRAALQGSMLYGIAPFNGIAAVAGGYSKVGAVQYGVSRMY >OGLUM05G27630.1 pep chromosome:ALNU02000000:5:28777094:28782322:1 gene:OGLUM05G27630 transcript:OGLUM05G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSGWMSTEDLADEDWLPRKPGWWWERLFWKWRSRRARSFSASRRRASTSSSRRCGSCGRWLKRHLGPNRGWRGGGLASTRRNEESSAAAARESSTEVKSKTESREAAARAAGPAGLRVQSAIRPSLSEREKGAKGMEAAAAPPPRDAGAGAVSGGPQPSGLKATTPTSKPMVLGGGGGLDPGGGWGWRREGLGGEGEGRKSGEFRVWK >OGLUM05G27640.1 pep chromosome:ALNU02000000:5:28780287:28782044:-1 gene:OGLUM05G27640 transcript:OGLUM05G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2V8] MGFDVGVVAFNPEGWGPPDTAPAPASLGGGAAAASIPFAPFSRSDKLGRIADWTRNPAGPAALAAASRDSVFDFTSVDDSLAAAAEDSSFRLVDAKPPPRHPRFGPKWRFNQRPQLPQRRDEEVEARRREAEKERARRERHFQNNRSHHHPGFRGNQSSSAKSSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLLCGAVEYYDRAFDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIHSWDIVVQRVGNKLFFDKRDGSQLDLLSVNETAQEQLPENKDDINSAHSLAVEATYINQNFSQQVLLRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISIVARCEVHAVNADPGGGRQFLTLNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTCQALLAGADMMKLGYVSRVHPRDHYNHAILTVMGYKPRDFATQINLNTSNMWGIVKSIVDICMKFEEGKYVLVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPPSDDVDATAEEMDAAAEAEANNAAASAGGEGEKSAEAAAA >OGLUM05G27650.1 pep chromosome:ALNU02000000:5:28783792:28786587:-1 gene:OGLUM05G27650 transcript:OGLUM05G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAPFAAIGSPSFCLFDPFPLLLLPSTSTRSSPPRLEPSMSGKPSDDTTGQVRPEVDGSDEKVEIANQNEKEVMPSPQEEEAAIKKKYGGIVPRKPALIAKDHERAYFDSADWALGKQGGHPQKPKGPLEALRPKLQPTQQQARSRRFLHASVDNEEGLNSPTEDASQNQESNEVKDEK >OGLUM05G27660.1 pep chromosome:ALNU02000000:5:28789294:28793021:1 gene:OGLUM05G27660 transcript:OGLUM05G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEVRRGCSSLPRSMPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRAQDEQPHQPQDHQLSLSCFMIVAANSNMLAAGCRYYFQNRESVPHLRFINW >OGLUM05G27660.2 pep chromosome:ALNU02000000:5:28789294:28793021:1 gene:OGLUM05G27660 transcript:OGLUM05G27660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRCEVRRGCSSLPRSMPPPIPHSPLAQVGAKGEVRQSASQPPRPLHGRGDTCARLQRSMPPDTIRARSLLSFCRRRDACADLQHSTRPVDNWFVLFTLQSINLSRIIIVSAEAQDEQPHQPQDHQLSLSCFMIVAANSNMLAAGCRYYFQNRESVPHLRFINW >OGLUM05G27660.3 pep chromosome:ALNU02000000:5:28788710:28791579:1 gene:OGLUM05G27660 transcript:OGLUM05G27660.3 gene_biotype:protein_coding transcript_biotype:protein_coding LRIPSHALLPSVNHFSPPQARSRSLSNRRRRSPHPSPRPHHAFANRHSCSPESTPPPSRVDIAAPPIALLPRRHRLHPSAASRRRSHHRSIPPLPPALPVPPAPSPQHFPRQHRLHPSAASRCRPHPRLHPSTADRHRTPPPLKVARSSPPDTPPIGDRTLTLHRRHNSRQRGDVEDLTPTTAARGDTTRH >OGLUM05G27670.1 pep chromosome:ALNU02000000:5:28788782:28789120:-1 gene:OGLUM05G27670 transcript:OGLUM05G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICGGWMEAGVRPAARRGGWMEAVLTGEVLGRWGGRDRESRRQRRNGSVVRPAARRGGWMEAVPAGEKRDRRSGDVDPRRRRRGFRRAGVAVGEGVVGARRRMRGAASTVG >OGLUM05G27680.1 pep chromosome:ALNU02000000:5:28792563:28794389:-1 gene:OGLUM05G27680 transcript:OGLUM05G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLRLAVPTAAPPVLPPLRQSAVRAASSPAAAALRTGALRGCASLPLNPQPLLGAGQAASGRRGGAAVCHSSAHLSARTMQWVSAGATAVLLLAKGTAIHKSFLVPLFALLAPCSVISWIKSDYGQWTAFLALLVRLFFSIPGELELPLSTMLLVSVAPYQLMNLRELLRKILLFSKVLVLMLQFPFPMHRGTQGGAALSLALAGYLAFQHFTRVGGLGKAFDQGSIIATLAIICITVIPLMMLF >OGLUM05G27690.1 pep chromosome:ALNU02000000:5:28798829:28807280:1 gene:OGLUM05G27690 transcript:OGLUM05G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQGLRPLSLSRALSHPAISRLFRCPLADSSLMRPRLEFSSPLGIEGVERVHDLRFAVWINLLTCLDTFQEVKSKRDKKKEVKEIPEPRSRAASNAGSRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQMSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETPKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >OGLUM05G27690.2 pep chromosome:ALNU02000000:5:28798679:28807280:1 gene:OGLUM05G27690 transcript:OGLUM05G27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAGSRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQMSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETPKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >OGLUM05G27690.3 pep chromosome:ALNU02000000:5:28798829:28807280:1 gene:OGLUM05G27690 transcript:OGLUM05G27690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQGLRPLSLSRALSHPAISRLFRCPLADSSLMRPRLEFSSPLGIEGVERVHDLRFAVWINLLTCLDTFQEVKSKRDKKKEVKEIPEPRSRAASNAGSRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQMSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQENYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >OGLUM05G27690.4 pep chromosome:ALNU02000000:5:28798558:28807280:1 gene:OGLUM05G27690 transcript:OGLUM05G27690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGAGGGGGGGKGGAAAGPVPAASRKLVQSLKEIVNRPEAEIYAALRDCGMDPDEAVSRLLSQDTFQEVKSKRDKKKEVKEIPEPRSRAASNAGSRGVRGGADRGGRNSSFHSSSIDNVASRSISGPGMTSTNSTQKQTIPSSLVNKSVVADGPSVPAQSSSGFQHGWSGTPGQMSMADIVKMGRPQVKQSSSKPAVTADKGYTGQYPSLPSTVNQNLKQSASTVSPTNPDQGLHSAQDSIHPKDHNHSAAVNKQAYDNDWLPQDEPPPGNQSALPETSGDQSLYESSLQSSTLVAGVINPHENSHLDENRSAAFSSERHLEHHGGDSEYDDGLLQESSTYLPQKNSHAEDEVEGSNSDVALATENFQGLSLHNEELVATKLAEDNPAVIIPDHLQVTGSDCVTLSFGSFESGAFSGLLPVPSRSADDNNVELPVIEESVPLDQIDSRDQDYYDSAAVNSSGNENLDTIIGTNMENIDVPSVSQPDVLRQEVLDHSGLQYNLPSDSSAAYANTTQPSTMESSQGNNQAHTLSHLSNLLQANSLHNSLLGSNIAPLRDLDFSLSPLLAAQSMTKYNSAAPTTTGPAISMQETPKPGVFSNAQSTQNLPSTSIATGPPLPQQLVHPYSQPTVPLAPFANMIGYPYLAQNYPAAYLPSAAFQQAYSSNGPFHQSAAAAVPGAMKYNMNVPQFKNNLSATSLQQQPSSVISGYGGFGSSSNLPGNFTLNQNAASASTNLGFDEALSTPYKDPSQYMALQQGDNSAMWLHGAGSRATSALPPSHFYGFQGQSQQGGFRQAQQPQQHSQFGGHGYPAFYHSQSQEHHQNPAEGGLNGFQNAQSQPSHQGWQQHTGY >OGLUM05G27700.1 pep chromosome:ALNU02000000:5:28810162:28815888:1 gene:OGLUM05G27700 transcript:OGLUM05G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTLTQSSQAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >OGLUM05G27700.2 pep chromosome:ALNU02000000:5:28810162:28815888:1 gene:OGLUM05G27700 transcript:OGLUM05G27700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >OGLUM05G27700.3 pep chromosome:ALNU02000000:5:28810298:28815888:1 gene:OGLUM05G27700 transcript:OGLUM05G27700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHARSPPPPVVLGTSVVAVAAAAPPIAAMGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >OGLUM05G27700.4 pep chromosome:ALNU02000000:5:28810325:28815888:1 gene:OGLUM05G27700 transcript:OGLUM05G27700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRSVALVLLAAVLLQALLPASAAEGLVRIALKKRPIDENSRVAARLSGEEGARRLGLRGANSLGGGGGEGDIVALKNYMNAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSIACFFHSRYKSGQSSTYQKNGKPAAIQYGTGSIAGFFSEDSVTVGDLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGDAVPVWYKMVEQGLVSDPVFSFWFNRHSDEGEGGEIVFGGMDPSHYKGNHTYVPVSQKGYWQFEMGDVLIGGKTTGFCASGCSAIADSGTSLLAGPTAIITEINEKIGATGVVSQECKTVVSQYGQQILDLLLAETQPSKICSQVGLCTFDGKHGVSAGIKSVVDDEAGESNGLQSGPMCNACEMAVVWMQNQLAQNKTQDLILNYINQLCDKLPSPMGESSVDCGSLASMPEISFTIGGKKFALKPEEYILKVGEGAAAQCISGFTAMDIPPPRGPLWILGDVFMGAYHTVFDYGKMRVGFAKSA >OGLUM05G27710.1 pep chromosome:ALNU02000000:5:28816976:28819478:1 gene:OGLUM05G27710 transcript:OGLUM05G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYVGVKRKNVAVGGDVGREMPVAPPSSSSAAVGMVEFPAAAAGLGYAGMTAKEAGGGYQERRVVVGEMDFFKTAEKRGERKEPPPPLPLPPATATAAASGHAGASPDDLSLNKDDLTINMGLLVGRRRNSGSEESIVDDGGVSSNDEEHREAKAALAVTKAEIGRLSEENKRLKNMLSNVTTKYNSLQMQFVTLMQQRRSVLAAPIHQQELLDPEKKEQEGSQQQQQQLIPRQFISLGSASLQPDVEAPHSVVVVGGGGGDVCAPSSSNPDAAVPAMMPLPHFDHHNHHHPIHGGRERGSSPAEADHHRHHQQEQPPPQQQQQQLPPSWLPADKVPRFLPGKGPEPVPEAATMRKARVSVRARSDAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTVLITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMPSADGSLMAGSNFLARAVLPCSSTVATISASAPFPTVTLDLTQTAPPPPPASSTQPQPPRPEPAQLQAALAEAARPVALPQLFGQKLYDQSKLSAVQAVAGTKGSDGGALADTVNAATAAIASDPNFTAVLAAALTSYIGSSSGSGGGGAGGSSGTVQPLMSGGGGDSCSRDDKIGEQNS >OGLUM05G27720.1 pep chromosome:ALNU02000000:5:28820726:28823092:-1 gene:OGLUM05G27720 transcript:OGLUM05G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;GTP binding [Source:Projected from Arabidopsis thaliana (AT5G66470) TAIR;Acc:AT5G66470] MELGLALRLVAPPPRLPCRALQPPPMPCFSPCAARRSRIRSSRLERRVGVVVSGGSMASSAMEEEEEEEWEEAEEEAEGWQEEEAAVVTTRPRLELIEKPDRSLCLLDEYESEELGTSHCANHRSGYVAVLGKPNVGKSTLINQIVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDTMMMKNVRSAVGSADCVLVVVDACKMPEKIDEMLEEGVGNKDTELPVLLVLNKKDLIKPGEIAKKLEWYQKFTNADDVIPISAKFGRGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFLQYRQEIPYACQVNVISYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLEIMVKVKENWRQDELLLKRYGYGGEIQAL >OGLUM05G27730.1 pep chromosome:ALNU02000000:5:28822663:28827999:1 gene:OGLUM05G27730 transcript:OGLUM05G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRFYNFTAIPKMCNMVIFPTRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGMVEQSDSEDEREQLTRIAKKKADSGKKEKPAKAKRLQQDDFEIVPAEPVRTEDDSSSSSVESDELDEDLDDDTKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >OGLUM05G27730.2 pep chromosome:ALNU02000000:5:28822663:28827999:1 gene:OGLUM05G27730 transcript:OGLUM05G27730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsJ-like methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G25730) TAIR;Acc:AT4G25730] MGKTKGKQRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARAVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWAQEATAQSSLVIDAVRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQLFEKVEVTKPTASRSTSAEIYIICLRYKAPAKIQPELLDIKHLFSVRDPLKPDRQKRNRDGYEEGNTTLRKVGLASDFIWSEGQTPLEFLGSFNAISFDDPASLPIKNHELTTDEIKALCEDLYVLDKNSFKHILKWRIRIRKALSSSEVTKKTDDTAVEVNVKDDDQLLQEMEELTSVIDRKKKREKKRQSKRRAKDKARKATGMQIDATGDNYGDPDLFSISAIKGGKELQAIESAELNVEDAQGDSENEDIQTREYSDEEMDSDEEQQRYDAQLEEMLDEAYERYVTKKGGEVKQERKRAKRVNTDADEELLEGGEDDGDDVDMDQGSDEEQDQETNPLLLSLDAEKPTKEQMMEQWFSQDVFTEAGTGMVEQSDSEDEREQLTRIAKKKADSGKKEKPAKAKRLQQDDFEIVPAEPVRTEDDSSSSSVESDELDEDLDDDTKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPKWFAEDEKRHNQPMKPVTKEEVAAMRAQFKEIDARPAKKVAEAKARKKRVAMKKLDKARQKADAIADQNDINEQSKRKMIDRIYKKAIPKKPQKEYVVAKKGVQVRAGKGKILVDKRMKKDKRASKVKGGKGAKGKGKKGGGQKGGMRGKAGRKAQN >OGLUM05G27740.1 pep chromosome:ALNU02000000:5:28829679:28835087:-1 gene:OGLUM05G27740 transcript:OGLUM05G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTRAAATPRPSVGGAGAADITAGKISFRSRKIVKSTPAKGKSVATTTTAVLSPPPLSSPGELAAALSHLRTADPLLSEVIASTGAPAFISSPSRPAFHSLAHSILHQQLAPSAAAAIYARFLALIPAAADPDAAVVNPAAVLALSAADLRAIGVSARKAAYLHDLAGRFAAGELSESAVAAMDEAALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPSGDLGVRKGVQELYGLPALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKAKSNAIAALPSSC >OGLUM05G27750.1 pep chromosome:ALNU02000000:5:28831906:28833494:1 gene:OGLUM05G27750 transcript:OGLUM05G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRSSSPNSKSEWSRKENKMFEEALAYYGEDTPNRWDKVASAMGGIKSAEEIRCHYEDLTDDVKTIESGRVQFPKYKTQGYWT >OGLUM05G27760.1 pep chromosome:ALNU02000000:5:28836787:28847864:1 gene:OGLUM05G27760 transcript:OGLUM05G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQDLVSPRESNHCRRSRDREGGAMNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLILGIGLLVLQQLSGINGILFYAGSIFKAAGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >OGLUM05G27760.2 pep chromosome:ALNU02000000:5:28836787:28847864:1 gene:OGLUM05G27760 transcript:OGLUM05G27760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLIGTGLLVLQNLSGINGILFYASRIFRDAGFTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQDLVSPRESNHCRRSRDREGGAMNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >OGLUM05G27760.3 pep chromosome:ALNU02000000:5:28836787:28847864:1 gene:OGLUM05G27760 transcript:OGLUM05G27760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLVLATGVTTWLLDRAGRRILLIISTAGMTLSLLAVSVVFFLEGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQDLVSPRESNHCRRSRDREGGAMNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >OGLUM05G27760.4 pep chromosome:ALNU02000000:5:28836787:28847864:1 gene:OGLUM05G27760 transcript:OGLUM05G27760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGSRRGGASCADESGSDQDGGSGGGLRKPLLNTGSWYRMGSRSSLAASSMAAIRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAIIRDLKLSISEFSAFGSLSNVGAMVGAIASGQMAEYIGRKGSLIIAAVPNIIGWLAISFAKDASFLYMGRLLEGFGVGVISYTVPVYIAEISHQNTRGALGSVNQLSVTIGILLAYLLGMFVPWRLLAVIGSIPCTLLIPGLFFIPESPRWLAKMKMMDDFEASLQVLRGFETDITAERAVASANKRTTVRFKELNQKKYRTPLLVLATGVTTWLLDRAGRRILLIGNISHDSHSFYILSMISLVALVAYIITFSFGMGAIPWVMMSEILPVSIKSLGGSFATLANMLTSWAITMTANLLLSWSAGGTFLSYMIVSAFTLVFVIFWVPETKGRTLEEIQDLVSPRESNHCRRSRDREGGAMNRGGGVGVGDESGSDYESGGGMRKPLLMHTGSWYRMGSRQGSLTGAGTSSMAILRESHVSAFLCTLIVALGPIQFGFTGGFSSPTQDAIIRDLDLTLSEFSVFGSLSNVGAMVGAIASGQMAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGVISYTVPVYIAEISPQNMRGALGSVNQLSVTVGILLAYLLGMFVPWRLLAVIGILPCTVLIPGLFFIPESPRWLAKMNMMDDFETSLQVLRGFETDISAEVNDIKRAVASANKRTTIRFQELNQKKYRTPLIVASSKQQSYFSRFGFVTRKISSGLTNSDLATCALGAIQVLATGVTTWLLDRAGRRILLIISSAGMTLSLLAVAVVFFLKDSISQDSHMYYTLSMISLVALVAFVIAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLMLSWSAGGTFVSYMVVSAFTLVFVILWVPETKGRTLEEIQWSFR >OGLUM05G27770.1 pep chromosome:ALNU02000000:5:28850227:28850849:-1 gene:OGLUM05G27770 transcript:OGLUM05G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPTPPPSLDTGAGYAYCYSRQPPPPPPAPQWGCYGQAAAKSASYAMFDAADDGPCLSWRLNMMPSSPAFAVGERPGLFQYY >OGLUM05G27780.1 pep chromosome:ALNU02000000:5:28856643:28859530:-1 gene:OGLUM05G27780 transcript:OGLUM05G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2Y4] MNGGDAAAAAATPSHRRLPDFLQSVNLKYVKLGYHYLITHLLTLLLLPLMAVIVLEAGRTDPDDLRQLWLHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPDKLKVRFDEFLHHSKLCGFSDDCLEFQRKILERSGLSEETYVPEAMHLIPPEPTMANARAEAESVMFGALDKLFKFTGVKPKDVGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLARDMLQVHRNTYAVVVSTENITQNWYFGSRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYALKHVVRTHKGADNKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPFSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLQPVHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGHRIWQIAFGSGFKCNSAVWHALRNVKPSPESPWEDCIDRYPVELDMIALEESADFAAANSALYSVITLVCTSWIIRYIYGP >OGLUM05G27790.1 pep chromosome:ALNU02000000:5:28868321:28872000:1 gene:OGLUM05G27790 transcript:OGLUM05G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A2Y5] MLRAAGKRLLGVGLRPAGGGAGEAAALSAAASAVAVVRRRGYHERVVDHYDNPRNVGTFDKDDPDVGTGLVGAPACGDVMKLQIRVDEESGRIVDACFKTFGCGSAIASSSVASEWVKGKQMEDAASIKNSEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYETKKAKLDKGNE >OGLUM05G27800.1 pep chromosome:ALNU02000000:5:28875031:28876990:1 gene:OGLUM05G27800 transcript:OGLUM05G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIIIISHLASRRASLPRARMLFFLYSAVYKRGRRAARCVRRRHRPYVVEPPVAMQYTVEGSGGGGVQTVEAAVRKGPWTMEEDLSLVNYIAANGEGAWNTLARAAGLNRTGKSCRLRWLNYLRPDVRRGNITPEEHTLIIARYLPGRTDNEIKNFWRTKIQKKHRKSTDGIYATTTSESIMPAATVHQNTVAEDQGSSSVSGRTTTATVAVTKEYATEAPPPSGMSSGSYLDQLQPGYASSIHGGQDGGAAAAAAGDVVVSDEFLAASSDNFWALEDLWPTVQSLHGNC >OGLUM05G27810.1 pep chromosome:ALNU02000000:5:28878167:28878706:-1 gene:OGLUM05G27810 transcript:OGLUM05G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTAVSSTLPLFHVRTASSAPAALRFTTRGRGGGRHSVACNSTAASSPKVLELGDAIAGLTLEEARGLVDHLQERLGVSAAAFAPAAVVAAPGAAGGGEDGAPAEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKAKEAVSKEEAEEAKKQLEEVGAKVSIA >OGLUM05G27820.1 pep chromosome:ALNU02000000:5:28879807:28880166:1 gene:OGLUM05G27820 transcript:OGLUM05G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSPATPLLAGGAHAMRPPRQDTSAAAAAGATGGGGSYTPVFIVLGVIAALLVISCIVGQVCTKKHLRPRPRRDRVAYYDDDMEGGFVHGGGGPGAIAKMEAAAPPATSVEAPPPAA >OGLUM05G27830.1 pep chromosome:ALNU02000000:5:28883315:28883821:1 gene:OGLUM05G27830 transcript:OGLUM05G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQRPRRWRDGDGDGEEGPTTAGGGGGVGCRCRSCAAVMLADCIALGCCPCAVVSMLSLALVKAPLAVGRRCVGRLRSRRRTLLHNKRVRDVAATSAAAAGEKKAIAKPEEELEAADIVANDDDTASAAAATAGAGAPTDEDLAWLEEMYQMGHWGFGRVSISGKTP >OGLUM05G27840.1 pep chromosome:ALNU02000000:5:28885816:28886523:1 gene:OGLUM05G27840 transcript:OGLUM05G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEADGDRGVAATAAAAAIVHDDVLESDEEDFTFAAASAAAAAVTCVVGGGRIGAVVYPVFGHPRSPPPVQEVEEPDTATVRVPLGQLLLEERASAPPSGEQADEDGVLDGVPAETYCLWSPGSPAPAVSNSPARCQKSGSTGSVLRWRQRLIGRSHSDGKEKFVFLSSGSDVRSKGRTTTTSSGDAGGRGGGWRYYASGGGNGGGRRPSFLPYKQDLVGLFANAGAFRRSYHPF >OGLUM05G27850.1 pep chromosome:ALNU02000000:5:28905166:28905604:1 gene:OGLUM05G27850 transcript:OGLUM05G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLLRSEHDRVRRMASRLKGVGKGGHCAASSVREREFRSVKGRKEVEKTKWRRRWQRPCWERCGGWKGEGRTSSVAWRATVVRRRMRHGRGRGGWEAGAHPPPPMARMPSRSEDGGDSGGRW >OGLUM05G27860.1 pep chromosome:ALNU02000000:5:28906166:28906930:1 gene:OGLUM05G27860 transcript:OGLUM05G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRHRGGSRTRHGRRHARQKQLNAMELNAIIAGGNMMADDDGGGFTFAEVPPLAGGRCVGPPLYPVFGRPRSPPPTPPPHRQAPEKASRLPLWRFLMVDHGPPPPPPPTTQPAADDDLDLDLDGEPAESTFLYCPLCPALPVAAAASPARCRKSGSTGSSLLRWRQRSIGRSHSDGKEKFVFLNASSSSSSSEHKGGRGGEVGHDGALSYYANGGSRGGGGGGGRRRSFLPYRQDIVGLFANATAFRRSYHPF >OGLUM05G27870.1 pep chromosome:ALNU02000000:5:28910055:28913848:-1 gene:OGLUM05G27870 transcript:OGLUM05G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 [Source:Projected from Arabidopsis thaliana (AT3G27925) TAIR;Acc:AT3G27925] MAAASSSAAACFLSPSPPPRPRHHSIKHLACAASRSPSPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDETGRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGAKVTNGSDLYRILDQCKVGEKVTVEVLRGDQKEKIPCQPHTTLSWILGIHTVVERQG >OGLUM05G27870.2 pep chromosome:ALNU02000000:5:28910652:28913848:-1 gene:OGLUM05G27870 transcript:OGLUM05G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DegP protease 1 [Source:Projected from Arabidopsis thaliana (AT3G27925) TAIR;Acc:AT3G27925] MAAASSSAAACFLSPSPPPRPRHHSIKHLACAASRSPSPGPSSSRSLALPSPSASASPWPWPRRLRDLLPDETGRILSSATGSLIVALASAALILGDAGSASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQTVYEAQVVGFDQDKDVAVLRIKAPTDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPPNGPAGKAGLQSTKRDSYGRLILGDIITSVNGAKVTNGSDLYRILDQCKVGEKVTVEVLRGDQKEKIPVILEPKPDES >OGLUM05G27880.1 pep chromosome:ALNU02000000:5:28916119:28918990:-1 gene:OGLUM05G27880 transcript:OGLUM05G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSVTSPDLELVAGPLDLGTIFDVDMEDFVHGRRTLFAVCAYALLKAIPGLRALVDDIPHHSYDVGHVGGEPKTVTVNVQALMDELLSEIDYWCLEKDYENSMNMVLMQVSFTQQTNDLLLFESSTKWGCYNCAVHFTRMECSKSIYMDGRSVSEFEEECYLDCHECKIAVGYKRMKVCKLPQVLNFYEVSGLLPELRT >OGLUM05G27890.1 pep chromosome:ALNU02000000:5:28920572:28921142:-1 gene:OGLUM05G27890 transcript:OGLUM05G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGNAGIELRNSTWLHGYSGSMKQQQFIATSRKRSPPRASIPRQPPFVAALVILTACRYAPSSAARRRAPSSATREGWRGPQSAINY >OGLUM05G27900.1 pep chromosome:ALNU02000000:5:28921856:28923226:1 gene:OGLUM05G27900 transcript:OGLUM05G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIPVELWQEILLRASTKDVARSCCVSTQWRDIVRDPSFRKLHHDRHATAHDVPDALLVATYNIDSQRVASVFPVEPAAVSPTSSTRTATAPICRVNHMEGYRIANICNGFLCFASHSTAKAIVHNPVTGERLEVPRAPRLPPDQDNARSPVTFALGFSPNNCVYKLFRFTNRTMDVYTLGRGAESTGWRRHALPLHPRNLVESKPAVVIGGKICMATIGPAPYRHPADNGTPGPVLVVDVAHEEPCTYSPPDYGLPWEDAAVSVFELHGRLCLAIRTERMIQFWTMPVEEDDDDQPWQLLYKFKVVDDEIIRFNQFQRLVPMSAWLDGHTNTLCYREGNNVYRKYVGTTTATVRRFSSTKVVIMSWDSKICLPVASSSLSSFQWDIYAGYRPTLLSPLTFASGQHEEDDNKCDLFIRSLLRTLRSQKSQKCRPSPTSAGCTNAKRICCINPRGF >OGLUM05G27910.1 pep chromosome:ALNU02000000:5:28923638:28925361:1 gene:OGLUM05G27910 transcript:OGLUM05G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAPSSSLRALVVPILPSVSSSPSARPGLRGVAAPVQHARARRRRGAAVVARAAALPSDAEWLERLPEKKKPLYTHSLPCIEAWLRSIGFSQTREDRAVWVAEMPLWHARLSLDVTDLHIRYLKSGPGNLDKDVERRFSYALSREDIENAILGGP >OGLUM05G27920.1 pep chromosome:ALNU02000000:5:28928944:28944570:1 gene:OGLUM05G27920 transcript:OGLUM05G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKTGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIAPGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMMANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAVLPNHSFPAQVIRPSATNVANSRALGTPISPLPGVIIPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >OGLUM05G27920.2 pep chromosome:ALNU02000000:5:28941714:28944570:1 gene:OGLUM05G27920 transcript:OGLUM05G27920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLSMEPAKSASSKEKGSNKKLKEVDGAAVSTGSGDSKKTMTSSGDYSAEGSSDVNDLKVGKTGRKRRLDDGAGAETSAAAKMENALPPSHILGSTAVLPNHSFPAQVIRPSATNVANSRALGTPISPLPGVIIPSHTGVSTELLIKDERELKREKRKQSNRESARRSRLRKQAETEDLATQVESLTAENTSLRSEISRLSESSEKLRLENSALMGKLKDPAASTQAETSLQKTTTASSPRVVENFLSMIDNTNKTSVRHTEHAEPKLRQLLGSGPATDVVAAS >OGLUM05G27920.3 pep chromosome:ALNU02000000:5:28928944:28931228:1 gene:OGLUM05G27920 transcript:OGLUM05G27920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDEAVATQKTGKTTSPPKDQPTPCPFPDWSAVQAYYGPGVLPPTYFAPAIAPGHAPPPYMWGPQPIMPPPFGTPYAAMYPHGGAYPHPLMPMVNINLDVLPYTFLFN >OGLUM05G27930.1 pep chromosome:ALNU02000000:5:28946926:28950947:1 gene:OGLUM05G27930 transcript:OGLUM05G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKCSATGILFHGSFTGLNTQFLDVQAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPALPVQTAAAARLGLGPQQPAPICSSA >OGLUM05G27930.2 pep chromosome:ALNU02000000:5:28946926:28950947:1 gene:OGLUM05G27930 transcript:OGLUM05G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGEVVEHLHLISLLMLTEFDFMYDPAIFDFSFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPALPVQTAAAARLGLGPQQPAPICSSA >OGLUM05G27930.3 pep chromosome:ALNU02000000:5:28946926:28950947:1 gene:OGLUM05G27930 transcript:OGLUM05G27930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKFLDVQAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPALPVQTAAAARLGLGPQQPAPICSSA >OGLUM05G27930.4 pep chromosome:ALNU02000000:5:28946926:28950947:1 gene:OGLUM05G27930 transcript:OGLUM05G27930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGHYTNLTDPRTELEVVRDWNGVDQVVLRSPRGAYARVSLHGGQVLSWRNDRGEELLFTSSKAIFKPPKAMRGGIPICFPQFGNSGTLEQHGFARNRIWALDEEHPPLNQNDNNSKASVDLILKPSEDDLKCWPHGFEFRLRVSLTKDGNLSLVSRIRNVNGKPFSFSFGYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVSSPNVIAVLDHEKKRTFVIRKEGLPDVVVWNPWEKKSKNIVDFGDEEYKQMLCVDAAAAERQITLKPGEEWTGKLELSEVPSTNCSGHLDQPALPVQTAAAARLGLGPQQPAPICSSA >OGLUM05G27940.1 pep chromosome:ALNU02000000:5:28951728:28952547:1 gene:OGLUM05G27940 transcript:OGLUM05G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCHEVEVPGKPTETGTALLEAATGSIQGFGPVNQIHQHLCAFHFYADDMTRQVEAHHFCAHLNEDMRQCLIFDGPDAGARLIGVEYIVAEPLFLTLPDDEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLERVCKTYGKTIHFWQVDRGDALPLGLPQIMMALTRDGQLRQELAKCVEEKFSVSFDKERENRAYMSGPDHGIHPLANAAGKGLKTDLREVDLPAMTTAHAGRVFT >OGLUM05G27950.1 pep chromosome:ALNU02000000:5:28953933:28955327:-1 gene:OGLUM05G27950 transcript:OGLUM05G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFCGDMIPVELWWEILLRAPTKDVARSSCVSKQWRGIVSDPSFRKLHHDRHAALNDDVSDTLLVARADVDGESVSSVFPAALVSPAVTGQAPICRVNNPYGYSLTNVCNGFLCFASWSRAKVIVCNPVTGEKLALPRAPHLGLEKRRRYSRPVTFALGFSPTTGAYKLFRFADRRMDVYPLAAAGGWRQHPFPHPYRVVQNTPTIVVGGKICMLTANPASHQHPNDVGKPGPVMVVDVASEEYRTYNPADYGCLWADMAVSGFELHGRLCLAIRSDTEIHFWKMPVEENDALPWQMLYKLKVDKNDVRFGDSGLLRPTISMRAWLDGDTHTLCYGVDNKLYSRYVGTTMTMTTSLAARCLSPTEVMSWDCKIRLPTTPPWLVSCNWNIYTGYRPSLLSPLTLASQQDNNDDDEDEGDESRPFVRRLSCALRHQKSQKRRMSPTSTDHTNGKRVCYRNPCIC >OGLUM05G27960.1 pep chromosome:ALNU02000000:5:28956710:28960637:-1 gene:OGLUM05G27960 transcript:OGLUM05G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A308] MVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQELEKNVKEKLSLFCHVPVANIFTLYDVSNILRVPLLLRDQKADQAILKVLNLESVAEEPNLEEWMARADLYDTLHETVRIAMVGKYTGVSDTYLSVMKAPDAYSTAWSLLRGADGILVPGGFGERGVEGKILAAKHTRENDVPFLGICLGMQLAVVEFARHVLKLPDANSTEFDAKTENPCVIIMPECSNEGKGGTMRRGSKRTFFKVNPNVVQLFENNGLQVVGTDKTGEIVQIIEIPNHRFFVGVQFHPEFMSRPSKPSALFVGLIAASCGQLDGVLQDAACNHEPQQNQRAEKRPAASDLGD >OGLUM05G27970.1 pep chromosome:ALNU02000000:5:28984253:28986677:-1 gene:OGLUM05G27970 transcript:OGLUM05G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESSPFDEVPAYVLYEIARHIPCKVDRVRALVVNCSWRTSLQALPPPPLPPQLPWLLHPSAGGPTFSCLLSGADELSVHRVRVPADLSGARYFGSYDGGWLFLASGQTFGHMLFNIRTEQRLFLPDTVTQPWRSDDFPMIMLAATVSSPPSAKDDLCFGAAIVSDVGQKLAQRCNVFWELGDCRAVSFVPPQDPFNLFFEMEDVIYYQGAFNFLSIWRNVLECRLTLHQGVLQVHQKWRLFLPQEELSLCRNAAARYLVESRGQLLMVIRERPAYNQSREFFIFEMTKIEEAVDEAEYIWRRMPELDGRMLFVGHGCSRSYEVGDFPGFQEGIYFFDDQDSYSVSSISEDNEYTCFDNGKWSAGPPPNVLLLAGSSQLELLFTEYLFDVSRCFHLLLFHGHGLRPDGHVRGLAGGGQGPRTGARLTPVIPVTVKAKAVVAGPGKLFAQNGSLNAAKIHQASASGPGSCFNRKQSE >OGLUM05G27980.1 pep chromosome:ALNU02000000:5:28988720:28989931:-1 gene:OGLUM05G27980 transcript:OGLUM05G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHTPPWEELPADVLRIVFSRVACRADRQVMAGVCGAWRRAVKVKVATGQPPRPRQLLCLLRPNGGDSSVCCLLCSGGHGISVVHAAHLPQQPVDARHARFFGSHDGSWAFLASAQTSGHVLQKFGTDTIIPLPDFMDVQGGESSSIVHLAATLSHQPGHASCLVAAIVKTYPIDVMSLRAVAFWRMDHGTMASELHRTEIEAMEPEDIIFHKGVLLVLTQQENLLAWIPEYTDEGRGVEMHGPEHRACGKPRIYNELAVQSRYLVESQNCLLLIVRYREGHPTSSTQELKVFQLVELEIPDENGIMMTRYNWVELFSLFGEMIFLGRGCSRSYNVSNYPGFTEGVYFLDDGSFYHADLLSHDAADQKYTCSDNGRWVNLQVDRCFPPEQSCSCSPPIWVLP >OGLUM05G27990.1 pep chromosome:ALNU02000000:5:28991278:28992204:1 gene:OGLUM05G27990 transcript:OGLUM05G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNIRTEQCLFLPDTVPRPWSSDDFPMIMLAATVSSPPSRGTDDPCIGAAIVHCTPFITDSRQITFWRMGSHMAIPSIPPDHQFDVVSNQFVVEEMEDVIYHKGAFHFVTKLKNVFVCRLALHQADLVVDHREWLMFAPQDDLGYPRPVATARYLVESREQLLMVLKCTCNLPGWPPLVFSVFEMTHVQAPAGAPQYVWTPVPTLVGRMLFVGHGCSRSYELANFPGFQEGIYFLDDLQFYSVSRIVQYQEYLCFDNGKYTLGPPHVVSRCFWPDQVNSNYSSPVWLLPGGEDDANNAQAQVDVHMM >OGLUM05G28000.1 pep chromosome:ALNU02000000:5:28997078:28998142:-1 gene:OGLUM05G28000 transcript:OGLUM05G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGGDMSAHYAFGLQEDDAIIKRRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINAFVLPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEELKKKLEQSRIERLYKEECEAIRKMISLQPSRSETEKLIAGLEKEIANLEAENTASIRTLELRKKQLSLLLHVVEELQISIEDEQRSIADELRAGAEEQQNMSTDEGSGDASDAMALD >OGLUM05G28010.1 pep chromosome:ALNU02000000:5:28998956:29003977:1 gene:OGLUM05G28010 transcript:OGLUM05G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQSTLVRRCTESSKNKEKFMKEKKIFWTYESDRKLLMIYTRYRAARGARSSRVDWNSLSDLPASPAACCRRMSDLRAKMYIRLAVSQICNLLGIHYAKHLERERISKAKGLLSQVSDSNKENCVDSDSEQLNWDNFEDQEIRGALDEVLEFIQLEKMDRTKQISSKNEVSNDSNADEAPTGQEQTIMQYVTSSSTEVPESGLHEHVKQYRHPTAIHASKNMENFFRYHEEVIIPNKDEITKRDECKSLAVANALELLKMVYLSTSSGPEVQASLTATFKLYSEREIFTAFCFLRDRNFTVTRNGTKPETLSGKFFFNASHSPFPFGSGKKASEVSKWLIAQQKNTMDSRVYLYPDLQCGEIVHLFSLVWSGEMCISPSLPSKGVGEADEPNSHIPLDEADEPDDRIPSVEDTSELDDSTHKRKADKVKLKSSKTKKHKPLPKIESDFCYRREKGFPGIQVALNQEKNQTSNLMHALHDEECLIFTLAREMGSKDVSSQVESQNMLSYLNNSSSCRCLLSASHLERSYSGWPWDAMNIYAKQLPSLSCCKDESFILSSDMFRNAFSVIHQTGEQGIDLREMSQALHPLGILSNKYFFLVFVEVNAYDGVQIVDTLHKSKYHITTLAKYSGCSCLRDPAFEIAATGDAENTLKDKHGVASNLQRTVKMLGDVHTVTVLGVQSNTSSPHMHSGEDERLSTPTQDNGGSGCCHACGRHIYQPILPWINGDGSMNDTVYEGLSRRIIGYVMQYPGVVEEHLICRMDVLNPQTCRTLLEQLAVDKHIYVRVFDEPVPVAPTTFQSLLKQHGHCKEPSKCRRRYFANPTSTFQL >OGLUM05G28020.1 pep chromosome:ALNU02000000:5:29013822:29022346:1 gene:OGLUM05G28020 transcript:OGLUM05G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A314] MKYVVVMGGVISGLGKGVTASSIGVVLKACGLRVTSIKIDPYFNADAGTISPHEHGEVFVLDDGGEADLDLGNYERFLDIKLTRDHSITAGKIHHVIPHITNEIQDWIERVAIVPVDGEVDPADVCIIELGGTIGDIESTQFIEAFSQFSYRVGAGNLCVVHVGLVPVLNVVGEQKTKPTQNSIRKLREYGLTPNIIACRCTKELERSVKEKLSLFCHVPVANIFTLCDVSNIWRVPLLLRDQKAHEAILKVLNLESVAGEPNLEEWTARADLYDTLQETVRIAMVGKYTGVSDTYLSVMKAPDAYNTAWSLLRGADGILVPGGFGERGVEGKILAVKYARENDVPFLGICLGMQLTAVEFARHVLKLPDANSTEFDAKTENPCVTIMPECSNEGKGGTMRRGSKRIFFKVAGSKSAKLYGSVSHIDERFRHRYQVNPNMVQLFENSGLQVVGTDKTGERVQIVEIPNHRFFVGVQFHPEFKSRPSKPSALFVGLIAASCGQLDDALQDAACNHEPQQNRRGEKRLAASDLGDGTCRSKRQVKACSNSNDTDEAQDVTTC >OGLUM05G28030.1 pep chromosome:ALNU02000000:5:29025138:29026337:1 gene:OGLUM05G28030 transcript:OGLUM05G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPRVNDGENGINISLVATCNDGVLPVDLLHDILLRLPARPLCRLRAVCRPWRALLSDPSFVAAHAARHPGPHLAVAVRGRLNAYGREVVDVHLVDASSGDVVKRVCAGRCDRPAEMSTRCGMALLVDNNLLLRVLDPASGAAPLVPDYEIHPINYSFTLVRTASTGDYKVLRITHDVALQPRERQVCSVLALGGDGVNGGRLAREVQSPPGNVKTWDKYVAVVDGVAYFLLRDEFLLRETGGGDWITAFDVEAEQWRPELVGGPPETFHNRLRVSLAALRGSLVVAQDDHQAGTLDLWFLLAGDGGKVGPQHWSKLYTVTMPYHGRPFRLDGERAEPVVVLDDGRIVFWVWERRVSSRGGVMRVYDPNTGGQTDVAAEANCVHVGVYTGSLLCPR >OGLUM05G28040.1 pep chromosome:ALNU02000000:5:29027789:29032286:1 gene:OGLUM05G28040 transcript:OGLUM05G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATGTPSWHPPEIPTLGPVLVVDVASEKHRMYSPPDNGCPAADETSFTAFELHGRLCLAIRISMTNTVQFWTLSVEEDDDDLPWQLLYTIKIDMKDGYNNGFQELEPMNDWFNGGYNGFIQVPEPMDAWLDGETHTLCYREGSTLYSRYIDTTTTQDLSLTEVMSWDSEIYLPEIPNSLQMCNWGIYTGYRPNLLSPFTFASQQDDDEDEEDESSPGEMVPVELWWEVLIRAPTKVVARSSCVSKQWRDIVTNPSFRKLHHDRHAAPPKGDVPYALLVSTDSVDGESVSTVFPAALVSPAVMTGGGHAPICRVSNAYGYHLANVCNGFLCFASWSGGKVVVCNPVTGEKLALPRAPPLEPDLVFASPFTFALGFSPTTGVYKLFRFADHRIDSYALAAGDGACSGWRQHPLSHPCRVAENTPTVVVGGKICVLTPGPVMVVDVASEEHRTYNPADYGCPWAQVAVSGFELHGRLCLVIRTHTDIQFWAMPVEEDNGDQPWQLLYKIKDDTIDVRIGNRVFRRQASMSAWFDGETHALCYKEGYDLYSRFIGTTTTTTSPAAPSLSQTEVLSWDCKIPLPVTPQSLPSCKWDIYAGYRPSLLSPLTFASQQINDDDDEEDDESTSFVHNLLCALRHQKSLKRAS >OGLUM05G28050.1 pep chromosome:ALNU02000000:5:29035090:29035726:-1 gene:OGLUM05G28050 transcript:OGLUM05G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTQYAFLLLSAVMASLFAGSAAGVYHIIGAGKGWRMAPNKTYYADWARTRNISVGDKLMFLYRSGVYNIVEVPTKELFDACSMRNITNRWQNGPTIIELTQPGPRYYFCGVGKHCEEGEKVAINVSVSAPTLPDSDADADDDDADDSDSSAATPATAADLLIYLAGLAACLLPALLLI >OGLUM05G28060.1 pep chromosome:ALNU02000000:5:29037313:29044941:-1 gene:OGLUM05G28060 transcript:OGLUM05G28060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGARVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQTQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMAWRASDVANREKIAANRADVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNEFNLPFGNQQPYLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLKPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDVFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRLSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLRQGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLASSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >OGLUM05G28060.2 pep chromosome:ALNU02000000:5:29037315:29044017:-1 gene:OGLUM05G28060 transcript:OGLUM05G28060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTHGFRRVGVVEMEAATAAEVAGAGSGTDGDLQIKGSKENGQTAEQPAASEALEMPSTPLPLPRDIDWSEHFSFFNSVGGFGGSMDGARGLTSVGLSNSESRPDSVTQTQSCLNNADERVEELTLKNCISSDAQHEVSAGGSTSSGEKPTVMRGLWGNFTRMAWRASDVANREKIAANRADVANLRVGDMPIRENLAVSFGNNMISRNDASNKEMGMSHGDHANNEFNLPFGNQQPYLSPRPNQNEQRVERENALIVSSFSARILDQMRSKNVTPSSGVQSFPFKSVLKGKGVVYQGAREEIQVQGNARTRAPMDKIRKIPNIPQDSMARMDGTIFGSGGNVLKPQCEGTSLRELIKPARQTMSKFEKMHFFKQILDLVDKSHAQGFSLQHLRPSYFTISASNQVKYIGSYGTQDLSAPSKLDIATDDVFNRKRYLDPKVESQDSNGDNASITKYQKVGEQGSIAVRRPVHTFWANHRGGNQSEGVDPGALWQGNSSCTVRERFKAAEPFYGGSMPYAQRLSSSGNQQSVFELRMLEESWYRSPEEISQLKGILPSNIYSLGVLLFEISVIAFCPQIFFQKVLKKLVSVFGYSIQIPVLGLRQGCDLINEGRDLSLLDNKTPVAVNEEDTESGLLLGFLSQLKEEKEMHAAKLSADLASLETDIAEVEKRHSMRMGFSLEDMDVLASSNDLSGASACALGGASLSGLPPSLCRSSIYEERVMRNLEQLENAYYSMRSTIDTSEANIIKRVDNDALRVRQNFHELHSDANAIDEQADPLGCFFDGLCKYARYSRFEVRGILKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNSYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKKNCTDTIRNVANVCCVQFSPYSSRMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNSSGLSTDACSMTLSGHTNEKVFSYYKTFPMPITSHKFGSIDPITGQETNDDNQQFVSSVCWRGRSNMVVAANSTGSIKVLELV >OGLUM05G28070.1 pep chromosome:ALNU02000000:5:29049379:29050908:1 gene:OGLUM05G28070 transcript:OGLUM05G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G01037) TAIR;Acc:AT4G01037] MDAKLLLLPFPSPPATLHHHPPPPKSLFLGASLPLLHPPPPLRLLRPGAPRRLAVVAQAAVKRRKEIPFDNVIQRDKKLKLVLKLRNILVSNPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEVVEEGVYSLRFRLTPAAERLYLDELHLKNESEGLAVTKLRKLLMMSQDKRILIEKIAHLKNDLGLPPEFRDTICLRYPQYFRVVQMDRGPGLELTHWDPELAVSAAEVAEEENRAREEQERNLIIDRPLKFNRVKLPQGLKLSRGEARRVAQFKEMPYISPYSDFSHLRSGSAEKEKHACGVVHEILSLTLEKRTLVDHLTHFREEFRFSQSLRGMLIRHPDMFYVSLKGDRDSVFLREAYKNSQLVEKSKLVLLKEKMRALVAVPRFPRRGVPATSEEADRTNGAAQMLSEGSDVEDDEDEGLSDMEDLISEISGGKSDTDYHWGDGWVGENDDSPPDFEDDDGSSLKEVKVTMKKTANSANGKAHVPVFPDGRPRERW >OGLUM05G28080.1 pep chromosome:ALNU02000000:5:29057078:29058139:1 gene:OGLUM05G28080 transcript:OGLUM05G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELCGGEGEGQIMLATELAQLRAMARELEAKMDPDRVAARELCRALASSVDRSIRLAASCFPPPEHPPPAAGNAGRDAAKGMAKVRRQVRVTSVQDTASLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQGCNATKQVQRADGDPLLFDVVYLGDHTCGQAAVAAVAQSAPPEHAGQEQQRQSSLLAAGTEGIHQQVVAEPMEAAAAAAPFLFTSTAAGGVDDGYFSFISPANSDCQFSSDFSAGSVGVDMDHEACFEDLFSNTLEFFQSEIQNL >OGLUM05G28090.1 pep chromosome:ALNU02000000:5:29059180:29060032:-1 gene:OGLUM05G28090 transcript:OGLUM05G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLLLQNGGQLSSEDVRRLAAALLPPAPSAAVSPMQRKPTKPRRRRQLKLKAQIIRDQNKIRRKVKAALDRYALQNNN >OGLUM05G28100.1 pep chromosome:ALNU02000000:5:29060073:29063689:-1 gene:OGLUM05G28100 transcript:OGLUM05G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTCRAPPFPWPRPRPLAAGRARGGYGLGRARGVKRRAAAAARGVVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLDTKESGSEDSQDIKEKAQLKSHPPSSAVIAAAMDDGGGHRRRRTTQDKHRSLLLDKVRSSHEEALERLRPAAVAADLDAGLCFGLLDPVSNAIVNAVLTAAPKNRKMRRARRRTRRRRRSRRRRSLDGSVAFLTSFFPYLPEWEAVYYLLLADADVLVAARLVVQARGLRRFGFTSDTTAAAVRLALRCAALAVKHPHPDRLVHVWLSLSRRLDEAVSALANRDVRGLVALRCGRGIAARHYWHAMPLQMALLHAIQGFYLRALSRLPSGERRSRYHRSLVKAGHCYGPMDPVSNIILNVVWYDAAHPPVEELELAMIPPKSMSSIATRSFLGLVSFLCTRYPNLELHDAIRCLLDADADLNAAAREAERRQRRPSCTIQEA >OGLUM05G28110.1 pep chromosome:ALNU02000000:5:29078143:29079640:-1 gene:OGLUM05G28110 transcript:OGLUM05G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRDAARGACVSRAFARSWRCLPNLDFSEESLGINRSTCKKDEKLGDLTSKIDWILKNHSGIGIKKLIVQVGSVYSRDSCHLAHLDSWLQCAVKPGIEELIVNLSSMNAKYNFPCELLSSGTGDSLRYIYLASCNFHPTVRIGCLKSLTRLQLCMVNITENELRLCNDAAFYARTELPSSMPNLERLIIHSDTEMVNTPMVPSKFYHLKYLSIALGGQTYDYLSLVSFFDASPFLETFILNALRERTERVTVFGDPSGLRMMPEHRHDKLKETLMEAHRALKAVQTYIKLKVPSKVELNVLEPCSRCHALDL >OGLUM05G28120.1 pep chromosome:ALNU02000000:5:29089687:29090119:1 gene:OGLUM05G28120 transcript:OGLUM05G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVVRRRAWDCGSPLYDSFELASVYGLLDSNLMALPFAERSAELDAAADRAPARRTAAKEQRRRKKAAAAARRTGKAVLRSIFSADLAVFVSFRQIL >OGLUM05G28130.1 pep chromosome:ALNU02000000:5:29091628:29092446:-1 gene:OGLUM05G28130 transcript:OGLUM05G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase-type DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64380) TAIR;Acc:AT1G64380] MDASLRTLPPASFPGEVRSAVSSLLLSPGGSALDTVFSHLPPPVTIPPLGSSVYYRQSELLRHFAASQAAQAQSATAAASSSSAAAAGLDDGAPRKLYRGVRQRQWGKWVAEIRLPQNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGRDCPDNLRQLRDAVDAKIQAIRVRMARKRARARRQREESKKSQRAEDAKAATPSRPVASERAASETTTTTTTSSSYGSPDGVLSMSAASVDGDCPLERMPSFDPELIWEMLNF >OGLUM05G28140.1 pep chromosome:ALNU02000000:5:29100975:29102987:-1 gene:OGLUM05G28140 transcript:OGLUM05G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETGATDEGHACEVVVAGGDGKAAAARRRRRLELRRLGLAAEDDAAAKRIRSVKDGSSSDDSSTEVVPRSWPACVSHGSVSVIGRRREMEDAVAIERTFMASTGDGAGAIRGGGEGEEDFFAVYDGHGGSRVAEACRKRMHVVLAEEVSLRRLRGQSASGGDVRWKEAMLASFARMDGEVVGSVAAAAPRVDGTEPSGFRTVGSTAVVAVVGRRRIVVANCGDSRAVLSRGGVALPLSTDHKPDRPDELERVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPFVSAEPEVRVVERTDKDEFLILASDGLWDVVSNEVACKIARNCLNGRAASMFPESVSGSSAADAAALLAELAVSRGSRDNISVVVVELRRLKSRAA >OGLUM05G28150.1 pep chromosome:ALNU02000000:5:29111562:29113625:-1 gene:OGLUM05G28150 transcript:OGLUM05G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39680) TAIR;Acc:AT5G39680] MAAARACPMGVSVDQRRAAVAVLRAAAAAGELSLGKAVHARVVRAARFDVVQYNNLIALYVKCGRLGLARQVFDAMPSRNPVSGNLLMSGYASSGRHRDALTLLRVADFGLNEYVLSSAVAATAHVRSYDMGRQCHGYAIKAGLAEHPYVCNAVLHMYCQCAHMDEAVKVFDNVSSFNVFAFNSMINGFLDRGQMDGSTSIVRSMVRNVGQWDHVSYVAVLGHCASTKEVVLGSQVHTQALKRRLELNVYVGSALVDMYGKCDFPHEANRVFEVLPEKNIVSWTAIMTAYTQNELFEDALQLFLDMEMEGVRPNEFTYAVALNSCAGLASLKNGNALGACTMKTGHWGLLPVCNALMNMYSKSGSVEDARRVFLSMPCRDVVSWNSIIIGYAHHGRAREAMEAFHDMLFAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNIMMKEVGVKPGKEHYTCMVGLLCRVGRLDEAERFIESNCIGTDVVAWRSLLSSCQVYRNYGLGHRVAEQIFQLKPKDVGTYVLLSNMYAKANRWDGVVKVRRLMRELGVRKEPGVSWIQVGSEVHVFTSEDKKHPYMEQITKKLQELIDKIKVIGYVPNIAVALHDVEDEQKEEHLMYHSEKLALAFGLIRTPKGEAIRIMKNVRICDDCHVAIKLISLATGRRIVVRDTVRFHCIEDGVCSCDDYW >OGLUM05G28160.1 pep chromosome:ALNU02000000:5:29117079:29120564:-1 gene:OGLUM05G28160 transcript:OGLUM05G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKKSPVAFSFPLPKSQLLSRRMLVVAAGRGCSFLFLVSSGLRGTHQLSQARGLGRLRSAPGSPARPAARGADQLGLGWVGLDLGAGQCELCCCCCCAGGMRWCGLRLLPLVCLLVIAAAAEEDKTNILQADKNNDNNIAHSDGGKTGRHDETNPNTVHHDEGKNDPDGNNKKDKSTEVISTAKYAAAVHHVDKDISTAKSSHVTDFSQDPLIKGCDPSHTCVIENKKFIACLKVPGEDSLALSLLMDNKGMDPLYVGVTTPEFVTSAEDTIHVQANDHNETQVTIFNNGAPNMTIILRVAEETCNISIHRAIAREISQVMPMRLTSKYMLVPVFLLIGAVVACIKLRRRGIQDGGPAYQKLDAAELPLSTGGKKEADQTDQWDDNWGDEWDDEAPLTPTRHMPNLSSKGLASRRSTKDGWKD >OGLUM05G28170.1 pep chromosome:ALNU02000000:5:29124368:29129355:1 gene:OGLUM05G28170 transcript:OGLUM05G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:A0A0E0A330] MASTKIKVANPIVEMDGDEMTRVFWKSIKDKLIFPFLELDIKYFDLGLPYRDQTDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKSMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKDEEIELEVFNFTGAGGVAQSMYNTDESIRSFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLTAN >OGLUM05G28180.1 pep chromosome:ALNU02000000:5:29128727:29134111:-1 gene:OGLUM05G28180 transcript:OGLUM05G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A332] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKSTAVQLLLDMLPSLLVLAIHWIYPFLLDFGALPSSALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >OGLUM05G28180.2 pep chromosome:ALNU02000000:5:29128727:29134111:-1 gene:OGLUM05G28180 transcript:OGLUM05G28180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A332] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKSTAVQLLLDMLPSLLVLAIHWIYPFLLDFGALPSSALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKDVPLPPQGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >OGLUM05G28180.3 pep chromosome:ALNU02000000:5:29128727:29134111:-1 gene:OGLUM05G28180 transcript:OGLUM05G28180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A332] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >OGLUM05G28180.4 pep chromosome:ALNU02000000:5:29128727:29134111:-1 gene:OGLUM05G28180 transcript:OGLUM05G28180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A332] MKYVLVTGGVVSGLGKGVTASSIGVVLKDCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTDEPADVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGAGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEENVKVKLAQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHESILKVLDLQCVGKVPRAPKLTEWTERASKFDKLKTPVRIAMVGKYTGLSDSYLSVLKALLHASVALDRKLVVDWVPSCDLEDSAATETPDAYEKAWDLLKGAHGVLVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPATTTPCVIFMPEGSKTHMGATMRLGSRRTFFQANTCKSAKLYGNASYVDERHRHRYEVNPEMVPEFEKAGLSFVGRDESGTRMEIIELPTHRFFVGAQFHPEFKSRPGKPSPLFMGLIAASSGQLDHLLQQSCGVVSSPVRRGNYCNGATKQQKLYQNGHVKNGLVNGCYYANGNSILHT >OGLUM05G28190.1 pep chromosome:ALNU02000000:5:29168878:29171946:1 gene:OGLUM05G28190 transcript:OGLUM05G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSFEGM >OGLUM05G28190.2 pep chromosome:ALNU02000000:5:29168878:29172032:1 gene:OGLUM05G28190 transcript:OGLUM05G28190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGFDGTENGGGGGGGGGGGGGVGKEQDRFLPIANIGRIMRRAVPENGKIAKDSKESVQECVSEFISFITSEASDKCLKEKRKTINGDDLIWSMGTLGFEDYVEPLKLYLRLYREGDTKGSRASELPVKKDVVLNGDPGSSFEGM >OGLUM05G28200.1 pep chromosome:ALNU02000000:5:29174863:29175279:-1 gene:OGLUM05G28200 transcript:OGLUM05G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEREGAVVAKGHEEGMKMAASLLEEFGLPLGLLPLGDVVEVGFARATGYMWIAQRRKVEHHFRMVGKHVSYDADITGYVKPRCIKKLKGVKAKELMLWPPVNEIAVDEPSTTGKIHFKSLAGVTKTFPVEAFAAGQ >OGLUM05G28210.1 pep chromosome:ALNU02000000:5:29176208:29179340:-1 gene:OGLUM05G28210 transcript:OGLUM05G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketol-acid reductoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A338] MAASTTLALSHPKTLAAAAAAAPKAPTAPAAVSFPASHAACAPLAARRRAVTAMVAAPPAVGAAMPSLDFDTSVFNKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFDEARAAGFTEESGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSAGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEEMAYKNTVEGITGIISKTISKKGMLEVYNSLTEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPENDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >OGLUM05G28220.1 pep chromosome:ALNU02000000:5:29182583:29186368:1 gene:OGLUM05G28220 transcript:OGLUM05G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G27430) TAIR;Acc:AT2G27430] MEAAGDDAAAAVRMRAAVKRLSFGTAEERAEAAGEVGRLARSDEGRKRLLPELGVVPPLVSMLADARGGGAGARMAAAGALLELARGAHRNKVHIVQAGLLKKLPLLMDDKDLSRSQELALLLLSISSLANTDFPLASSELLPFLVAVLSADDAPADTKLPCLGALHNLSAKLEHVRDVASSGAVRALLALSLDRKTSEAALSVLGNLAATAAGREEMEEDEAAPRALVEAMTWHDAPRCQEHAAYLAMVLAHGSRLQRRRMRRLGVVQALLEVSLLGSPLAQRRAAKILQWFKEEGQDRIRAHSGPRMEGASSASCDDGGEGAKDRRNAVDRIVKQSLDRNMKSILRRATASVDLTSVKLLVGSSSSKSLPCETLHP >OGLUM05G28230.1 pep chromosome:ALNU02000000:5:29186378:29190775:-1 gene:OGLUM05G28230 transcript:OGLUM05G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRVKAAMGFQRSPATPKTSSSRKAPPPPPPLVQAAAHHAAAGQPETPRRRSSGSPASASASASGSKTGVFARSFGAYFPRSSAQVQPARGAAPEVGELVRLVEELQERESRLRTELLEHKILKETVAIVPFLENELAAKSSELGRCRDALTRLESENARLRAALDAAAASSRDNEQRILEMERQMTELRKRRQRDVATGPDDCSSSASSDNSESSNAATNSAKSAKVAGCSSVRPPPPPPPPPLPPPMPATYKSKSYFSGSSRASPANSSSSSSSSSAPSTPSCSSDTAASRSRLPELSKLPPIPPPPPPPPPPPMPRSRSASPSPSTSSSGSAGPPAPPPPPPPAAKRTSRTSTPATTSSSAPASGPCVRRVPEVVEFYHSLMRRDSKRDGGGGGGGAEACPGGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFEWPEQKADALREAAFGYRDLKKIEEEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLARVRDGAMNRYRGYHIPWEWMQDTGIVSQIKLQSVKLAMKYLRRVSSELEAIKDGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQELKEKASTFQSQRECQNQHLQQHKLAGRS >OGLUM05G28240.1 pep chromosome:ALNU02000000:5:29194456:29196138:1 gene:OGLUM05G28240 transcript:OGLUM05G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQCLGDGTARRWRELHGESDWDGLLDPFDLDLRRTVIRYGEMAQATYDAFNHEKLSPHAGLSRFAARRFFERAQLPGHAAAYRVARFVYATSCVAVPEPLILRSASRARRCRESNWIGYVAVATDEGKAALGRRDIVVAWRGTVQSLEWIKDMDFVMVPPKGLLRDKASDAMMHRGWLSMYTSRDSESSHNKDSARDQVLSEVAKLVSMYQDEELSITVTGHSLGAALATLNAFDIVENGYNRAPRAAAAAAGCPVTAFVFASPRVGGHGFKRRFDGARGLGLRLLRVRNARDVVPRYPPAPPYHGVGTELAIDTGESPYLRRPGNELVWHNLECYLHGVAGARGGEAGRFKLAVERDVALANKSYGALRDEHAVPAGWWIPSNRGMVRGADGRWTLMDREEDEDSAE >OGLUM05G28250.1 pep chromosome:ALNU02000000:5:29196170:29207299:-1 gene:OGLUM05G28250 transcript:OGLUM05G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPMLGGIADRWRELHGQDSWNGLLDPLDLDLRSSILSYGELVQATYDSFNRERRSPHAGACVYGHGDLLAAAGASAAGSYAVTKFVYATSGLPVPEAFLLLPLPSLLPPAWSRESNWMGYVAVATDEGVAALGRRDIVVAWRGTVESLEWVNDFDFTPVPAAPVLGAAAAANPRAIVHRGFLSVYTSSNKDSKYNKASARDQVLEEVRRLMELYKDEVTSITVVGHSLGASLATLNAVDIVANGANCPPASSSSSQPPCPVTAIVFASPRVGDGFFKAAFASFPDLRALHVKNAGDVVPMYPPLGYVDVAVKLRISTSRSPYLRSPGTIETLHNLECYLHGVAGEQGSASGFKLEVDRDVALANKGVDALKDKYPVPPRWWVSKNRCMVKDADGHWALHDFEQI >OGLUM05G28260.1 pep chromosome:ALNU02000000:5:29204382:29204729:1 gene:OGLUM05G28260 transcript:OGLUM05G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAKKPAEEEPAAEKAPAAGKKPKAEKRLPAGKGEKGGAGEGKKAGRKKGKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKXHLMNNSR >OGLUM05G28270.1 pep chromosome:ALNU02000000:5:29219911:29223401:-1 gene:OGLUM05G28270 transcript:OGLUM05G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A344] MRPSLLRYAAQALRRRDYSSAAAAAERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPALVKGFVGEEQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCTAISKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKANVPVTEVNVPVVGGHAGITILPLFSQATPASNALSHEDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGQLSEFEKEGLENLKGELKSSIEKGIKFAHAN >OGLUM05G28280.1 pep chromosome:ALNU02000000:5:29224757:29229012:-1 gene:OGLUM05G28280 transcript:OGLUM05G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQQQQAPLLQRPAAAPPSSSRLPDFKQSVKLKYVKLGYHYLITHGAYLLLAPLPGLVAAHLSTFTLGDLADLWQNLQYNLVSVLLCSTLLVLVSTAYFLTRPRPVYLVDFACYKPDDERKCSRARFMNCTERLGTFTPENVEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARKEAEMVMYGALDELLAKTGVNPKDIGILVVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLISIDLAKDLLQVYPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHRGADDRCFGCVTQREDADGKTGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVTRKVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALRSVNPAKEKNFTNPWIDEIHRFPVPVPKGFVGPHSPPTPVSSPPPLASPPFSPMALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVPIDLAMQQQHEAELAAAAAQPLPDDDDDLIE >OGLUM05G28290.1 pep chromosome:ALNU02000000:5:29231869:29234253:-1 gene:OGLUM05G28290 transcript:OGLUM05G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPTAAKPVALLPAPICRCGGGGLRSTLLALMPPPAAAASRFRVSASASDVPDFLSSDWLETRKKKPFGPRLNFNAEEAVEYQLEALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQRVGGSWDGYWLTESLINDDGDALSGGLAY >OGLUM05G28300.1 pep chromosome:ALNU02000000:5:29235188:29237800:1 gene:OGLUM05G28300 transcript:OGLUM05G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G15510) TAIR;Acc:AT1G15510] MPPPPARTHPNPPLLHLLASHRAPQPLPLTPAHGHLPPRKRPRGVGSAAAPPPPRAAASAEATYSDRSAALRALCSHGQLAQALWLLESSPEPPDEGAYVALFRLCEWRRAVDAGMRACAHADAEHPSFGLRLGNAMLSMLVRFGEIWHAWRVFAKMPERDVFSWNVMVGGYGKVGFLEEALDLYYRMLWAGMRPDVYTFPCVLRTCGGIPDWRMGREVHAHVLRFGFGDEVDVLNALVTMYAKCGDVVAARKVFDGMAVTDCISWNAMIAGHFENHECEAGLELFLTMLENEVQPNLMTITSVTVASGMLSEVGFAKEMHGFAVKRGFAIDVAFCNSLIQMYTSLGRMGDAGKIFSRMETKDAMSWTAMISGYEKNGFPDKALEVYALMELHNVSPDDVTIASALAACACLGRLDVGIKLHELAQNKGFIRYVVVANALLEMYAKSKHIDKAIEVFKFMAEKDVVSWSSMIAGFCFNHRSFEALYYFRYMLGHVKPNSVTFIAALSACAATGALRSGKEIHAHVLRCGIGSEGYVPNALLDLYVKCGQTSYAWAQFSVHSEKDVVSWNIMLSGFVAHGHGDIALSLFNQMVEMGEHPDEVTFIALLCACSRAGMVIQGWELFHMMTEKFSIVPNLKHYACMVDLLSRVGKLTEAYNLINRMPIKPDAAVWGALLNGCRIHRYVELGELAAKVILELEPNDAAYHVLLCDLYTDAGKWAQVARVRKTMREKGLEQDNGCSWVEVKGVTHALLTDDESHPQIKEINVVLHGIYERMKACGFAPVDSLEDKEVSEDDILCGHSERLAVAFGLINTTPGTTISVTKNRYTCQSCHMIFKAISEIVRREITVRDTKQLHCFKDGDCSCGDIGYG >OGLUM05G28310.1 pep chromosome:ALNU02000000:5:29242540:29244042:1 gene:OGLUM05G28310 transcript:OGLUM05G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGGFGGWSTMDVAAAAAAALGNVSGAVYHADPAAAVYASLVPGMAVVPGRAPPSAVQIEAARRWKELEKMALRSVNLMVTCAGAIQAGDYAAAAGSLSDAREIFAKMPTTRTGIGRVLTHFADALAERLFPAFPQSAPPPPPPRGEQRELFRGFYEAGPYLKFAHLAANQAILEAFEGCNSVHVIDFALTDGIQWPSLIQALAVRPGGPPFLRITGIGPHAAGNRDELRDVGLRLAEFARSCSVPFAFRGIAADQLDGLRPWMFQVAPGEAVAINSVLQLHRLLVDQDAAAAASFPAPIDGVLDWVASMNPRVFTVVEQEADHNKSSLLERFTNSLFYYASMFDSLEAISRHGGGDGAGNPLAEAYLQGEIADIVSREGSSRVERHEQMPRWVERLRRGGMTQLPLGATGLWQAVMQLREFSGAGFGVQENGGFLTLTWHSQRLYSASAWRATAGKKMTMMASGAADAMEESQNSNTNGGGGGSSGGGHGALNQIMQ >OGLUM05G28320.1 pep chromosome:ALNU02000000:5:29257687:29264518:1 gene:OGLUM05G28320 transcript:OGLUM05G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT1G17220) TAIR;Acc:AT1G17220] MGFPASVANLGTNGRPAASSSHLASRIRFAGLGSIRRWQYAPGRLCRCMVVTNLIDEEKGVQFSSRGSVSVKPSDDSDLLLKPPQKPIRANGPPESVNAASPSPSRPTLEDRDKVRESLDEVLEKAEKLKASTSGNGIGNGDLRQNGASKPDSSATPAAEGANLRKTKTLKSVWRKGNPVSTVHKVVRDHPRSESRNQSSSTAKPSMPAPTKPVPPLLTKPSVAPPPRRPVKADTSKEKKGPILIDKFASNKPIVDPVVAAALIEPVKPVRGPPAKVKDDRRKKTSTPAGPRRRMPKNDGLVDEDTAVRKGRRWSKAKRRAARLQLEASQVEEPVRVEILEVGEEGMVIEELAYQLAIDESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPVKVEEMAKKKEFLDEEDLDKLEDRPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVIVQVDGNPHACVFLDTPGHEAFGAMRARGARVTDICIIVVSADDGVRPQTNEAIAHAKAAGVPIVIAINKVYFLVISSSITVVKRKILLSCFSNLLIKQIDKEGANAERVMQELSQIGLMPEAWGGDIPMIQISALNGEGVDELLETIILVAELQELKANPHRNAKGTVIEACLDKAKGSLATLVVQNGTLNKGDIVVCGEAFGKIRAMYDDGGKLIDKAGPSNAVQVIGLNNVPLAGDEFESVDNLDVARERANARAEALRIERISSKAGEGKVTLSSIAASVSSGKQVGIDTHELNIILKVDFQGSVEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVKAPGSVKSYAKKKSVEIRLYKVIYDLIDDLRNAMEGLLELAEEEVPIGSAKVRAVFSSGSGKVAGCMITTGKVVHDCNVRVLRKGKEVYMGTLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKTRTLEEASASVTAALKDAGVQL >OGLUM05G28330.1 pep chromosome:ALNU02000000:5:29265237:29269199:1 gene:OGLUM05G28330 transcript:OGLUM05G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHLIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLHWCVLRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCMEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLTILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVSFLDASPSLETFILDVQQRRMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIYGDCRCYLKTSPFCNHIEEGILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHTKGLQRISC >OGLUM05G28330.2 pep chromosome:ALNU02000000:5:29265237:29269199:1 gene:OGLUM05G28330 transcript:OGLUM05G28330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHLIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLHWCVLRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCMEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLTILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEAVNTPVLPTKFLYLKHLSISLIAVSTLSPSYDYFSLVQQRRMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIYGDCRCYLKTSPFCNHIEEGILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHTKGLQRISC >OGLUM05G28330.3 pep chromosome:ALNU02000000:5:29265237:29269199:1 gene:OGLUM05G28330 transcript:OGLUM05G28330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKRNQKQRRRQIRAQNELIRWCKRKGSPCQHGDSRAVKTMRRSTPYPCLPEDIWHLIHSLMPMRDAARAACLSRTFLQSWRSHPNLILNKDTIGLNASACGGNFSRKVDHIMRNHSGIGVKIFRLEYLGVVGFDASRYLDSWLQVVVKPGIEELTLVLCKTKREYNFPCSLLSDGIQNSIRYLRLHWCVLRPTAELGPLQSLTSLRLRSVSIRGEELQCLLSNSPALEQLRISSCMEIVCLKIPCSLQKLSNLTVIGCDSLKVLENKAPNLSSFFVSGCSNLTILENKTPNLSSFFCRGVGAKLSLGETLKMKKLGMGRANAVHYARAELPSIMPNLETLNIRSGPEVQQRRMEHESVFAKSSGLRQIPENRHDSLKTVKISGFCSAKSLVELTCYILKNVVSLESLTLDTIYGDCRCYLKTSPFCNHIEEGILMEAPRALSAIRRYIEKIVPTTVKLTVLEPCSRCHTKGLQRISC >OGLUM05G28340.1 pep chromosome:ALNU02000000:5:29271112:29271714:-1 gene:OGLUM05G28340 transcript:OGLUM05G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGELQWIVIHGVRDAVLSAAAIVGPRLAVIGDVRVSDAPAVLALLDKEGVRQGWEIERRHRLAWLARLDHELAIVLEVIVPMLMHTPFHIVAGRELQRYGWSIQHLAASIFGPVHALHAAVRPVGRFLRRHARHQHHGTRDAAWLAGNILEVRDRVSALRSLVVMFPEEDPAAAAAAAGDDDHDDGPEFAEDDEEE >OGLUM05G28350.1 pep chromosome:ALNU02000000:5:29274566:29275771:-1 gene:OGLUM05G28350 transcript:OGLUM05G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAPPWAELPDAVLLGIVRRIPCAFDRAHVGEICRSWRRTLLQIPPPRPLPGILLLTPHGPTLSFVVAGDAWCTHPVFVPEAFRRARYFGSYDDSWLFLAVGQDNGHALFNLTDSQEEELPNWGTFQLHDRELEAEILLVAATLSSSPNVHGSVAGGILTADLPPANSMEHIAFWRTGSDVMSKTIRTSGVGLLEDVAYHDEAFHFLTLDDIIVVCRASMAEPWSPGKIVVVDEVNVSIELGNIAPRDELGYRDLRIVASYLVESRNDLLMVEKLAPNLLSPASAFRVFQMIKERLHDGQVHYSWEELTTKLDGRMLFVGQGCSRSYEAANYPGLDAGVYFLDDRSTRHDPKIPFQEARARRYLCSDNGKWSGTPPQIKLCVPDPGPSNHSPPVWLFP >OGLUM05G28360.1 pep chromosome:ALNU02000000:5:29291549:29294083:1 gene:OGLUM05G28360 transcript:OGLUM05G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALLPPAHPGRFYSDFGPKPFGGGDQRLSSPNLLTNGGDLFYGCYSPFSPTRVLSPPPPPRRAASFSHCSSSSDSVVDDGDGAGAAAAAAAATEHRLHLAHLALQYQEMANRFELCLSHLADAADEAAALRQENAELRVANNDLACRIAKFGGRQSSAIALAGDLRRLRLPKEQTVPALPPPPQSPPAALMNPVAVPEKQAVLPKSISIRSTGYQKLNQGGKHRVSKPVNVGSQRVFVGIDGAEGGEHKVGVKKEEPPMGGLEFEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGGVCPYGHRCHFRHSITPADRFSFGH >OGLUM05G28370.1 pep chromosome:ALNU02000000:5:29295750:29298621:-1 gene:OGLUM05G28370 transcript:OGLUM05G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAAQLTPARIDFSGVDPAAPGTGRWAEVRAEVMGALTTHGWFDAHYPQLTPELRASLFDAAVRPLFALPVDAKRRNHYGPEKPFHGYLGGLPGLDSYESLAIVDGLKPESVRAFADLVLPRGANDDGFCEIVNGAAKRIADLEGMVRRMILEGLGVAEHHEAQGEPFWHLFRMSEYRAPNSDEKVTGYIAHQDTNWLSIVCQNEVNGNEMRTRDGEWVLVKPSPTSLIVNVGNALRAWTNDRLHAPFHRIMVSGHATRYTCMLFSVPNFMIQIPDELVDESHPPRFKTHDNDDFIRFCLTFEGVFS >OGLUM05G28380.1 pep chromosome:ALNU02000000:5:29300072:29300605:-1 gene:OGLUM05G28380 transcript:OGLUM05G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAFPIRFTRGIRSHWGRRKYQRLEAANGGGKAIRATQQLGGARRGGGGWGLRLRRLLRVRVRVARAWLSSPARLLARIRDAYVGGMLAVSRKASGMALPNAPEGLWPRRVPRRKQLPAARPGQLTDFEQRLVVEIYKSIVASKELTTMLHHSTAHLPQQHNTTAPASSGQLLVN >OGLUM05G28390.1 pep chromosome:ALNU02000000:5:29313410:29329629:1 gene:OGLUM05G28390 transcript:OGLUM05G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSILRWLRHHRSRRVSSSSFHLTTTGDDTVKDLHDPRREDAEGDGWEEVHEGPESDPEEYIALVSEDAGTHLPVRTEPRRMDPSKKEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKVCDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPSHLRSRKLMEHFQKQKKELADRLNLRISQVDAWFRNRRLRIVIERNLELGGIAIDHCEIESGQMTKINSARCDVL >OGLUM05G28390.2 pep chromosome:ALNU02000000:5:29313410:29339244:1 gene:OGLUM05G28390 transcript:OGLUM05G28390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRARSILRWLRHHRSRRVSSSSFHLTTTGDDTVKDLHDPRREDAEGDGWEEVHEGPESDPEEYIALVSEDAGTHLPVRTEPRRMDPSKKEPDFFTEYGEANRYKVSEVIGKGSYGVVAAAVDTQTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVIKANDDLTPEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKVCDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESLAKIRNEKARRYLSNMRKKPRVPFTKKFPGVDPMALHLLERLLAFDPKDRPSAEEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLAKDDVRELIYREILEYHPHMLQEYLRGGDQMSFMYPSGVDRFKRQFAHLEEGVSKGEKSSPQLRQNASLPRERAIGNKHGDDEYHAKLNVGEKPCHASVTDGISKPLMSARSLLKSESISASKCIGEKPKQDRDEDSLTESMDETADEVSEKVAQLKT >OGLUM05G28390.3 pep chromosome:ALNU02000000:5:29330385:29339244:1 gene:OGLUM05G28390 transcript:OGLUM05G28390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKWAESEGVKVRRGRCARRHVSRRQPCMRDMERAIGNKHGDDEYHAKLNVGEKPCHASVTDGISKPLMSARSLLKSESISASKCIGEKPKQDRDEDSLTESMDETADEVSEKVAQLKT >OGLUM05G28400.1 pep chromosome:ALNU02000000:5:29329810:29330331:-1 gene:OGLUM05G28400 transcript:OGLUM05G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRQQQHAHGEGRTAAQRLGYAPAASADSPSTTLLRRVQTHAPNSTQVVGFLTLAVSGAVLLLLTGLTLTGAVVALIFLGPIALLTSPIWVPVAVALFVLAAAALSACAFVVVTVAAGTWMYRYFTGRHPVGADRVDYARSRIADTASHVKDYAREYGGYLHSRAKDAAPGA >OGLUM05G28410.1 pep chromosome:ALNU02000000:5:29347577:29349812:-1 gene:OGLUM05G28410 transcript:OGLUM05G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0A362] MISWHELYMVLSAVVPLYVAMMVAYGSVRWWGVLTPEQCSGINRFVAVIAVPLLSFHFISSSDPYAMNLRFVAADTLQKVLVLAALAAWSRFPARFVPPAWPPLDCSITLFSVSTLPNTLVMGIPLLVSMYGPYSGDLMVQIVVLQSIVWYTLLLFLFEFRAARVLIAAQFPDTAASIAAVHVDPDVVSLEGSQAEAHAEVAPDGRLRMVVCRSSVSRRSAAAAATPRASNLTGVEIYSISSSRNATPRGSTFTLADIPGHQPPNSALRVSSFGAADLFSLHSSSRQHTPRPSSFDEHAAARARASATVAPTNDLKDTHMIEWSSGASAASEVTGLPVFRSGRETRRLVPSDAPSIASSRVIRPPPGATGGERAASFNKAVGGQDELAKLEAGAKTEQQTTAVTTTTKGGGAAGAERARGQQNAPAGVMLRLILTTVWRRLIRNPNTYASLIGLTWSLIAFRFHITMPIIVAKSISILSDAGLGMAMFSLGLFMATQPKIVACGYSVAAASMGVRFFFGPAIMAAASAAVGIRGTLLRIAIVQAALPQGIVPFVFAKEYNLHATILCTLVIFGMLIALPITLVYYIILGLL >OGLUM05G28420.1 pep chromosome:ALNU02000000:5:29353503:29354405:-1 gene:OGLUM05G28420 transcript:OGLUM05G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTITARNPHDSLSFSRRHFKWPVLGKSYSHGATRGEEDYMKSSEAEEEEDEATMAFSSVCPSFHSEDFVSPPKKPPRQQHPQQQQQQHPPQRRKVRTAVSRLRSALAAAVTGRHRQVGLGARLTGTLYGHRRGHVHLAFQLDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGGPAPALPTATGGGKRLLEETVWRAYCNGKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPAASCGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSTSTSSNSNSGGPELSVYLLRV >OGLUM05G28430.1 pep chromosome:ALNU02000000:5:29358233:29362821:-1 gene:OGLUM05G28430 transcript:OGLUM05G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSTSSARRRAARAPPPPNLGDLSGVSAPLPSNGLHAPSISTHPTNAATPNPSPPGASIVVVVRPAMQPASPVSGDAGPMAEAVPPRGAPQVLVRRRSVPFSPDSPLAPGSRGGGERRSTFREDVSHAAAETYLVTRLAFILLRYLGVGYRWISQLAALIIYAILLMPGFIRVGYYYFFSRQVLRSVIYGDQPRNRLDLYIPRDPKKPSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASDGISFVCETVGAYGGDPNQIYLMGQSAGAHIAACALLEQAAKESRGKQISWSVTQIKAYFGLSGGYNIENLVDHFHERGLYRSIFLSIMEGKKSLPHFSPETVAKKLSPETIALLPQIVLLHGTDDYSIPFSASETFAGVLKQAGAKAKLLLYEGKTHTDVFLQDPLRGGRDKLVEDVISVIHADDADAREKDALAPIPGRLVSEWQIKLAHRISPF >OGLUM05G28440.1 pep chromosome:ALNU02000000:5:29365315:29365827:-1 gene:OGLUM05G28440 transcript:OGLUM05G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSEAAPAPQQLRGSQLKQLRELFRRFDMNGDGSLTQLELAALLRSLGLRPTGDEVHALLAGMDANGNGSVEFDELAAAIAPVLTTQTHLVDQAQLLEVFRAFDRDGNGFISAAELARSMARLGQPLTFEELTRMMRDADTDGDGVISFKEFAAVMAKSALDFLGVA >OGLUM05G28450.1 pep chromosome:ALNU02000000:5:29367643:29387140:-1 gene:OGLUM05G28450 transcript:OGLUM05G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLIGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVLLVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATRIDKDKPSESANNSLFQFAASWQANKNFLFKGKLGPSKSSVALPFKSWWRPSFTFSVTVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLDIQTESGHLAMPSNICCVVVEYLAGGSLKGFLIKNRRKKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGSPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEMPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPVDQRQGCLSCFRQYRGP >OGLUM05G28450.2 pep chromosome:ALNU02000000:5:29373837:29387140:-1 gene:OGLUM05G28450 transcript:OGLUM05G28450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLIGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVLLVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEKHDERDKRSPSSKIALVK >OGLUM05G28450.3 pep chromosome:ALNU02000000:5:29373837:29387140:-1 gene:OGLUM05G28450 transcript:OGLUM05G28450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYDEMPPAMVLVPPPFTFPAAAARTRMAVPAYEVMFGKLQRRSLFDDYFDQVGSITSGMIMLRPLVDSHVDLTAKMTTTGGEALFRWQSMVQLRSCAYYPKYRIGAFGTFPLLKANRDCSEGDYGIMGLRYGSENLSIGASFLPFALSGQVPYGAWLIGRKGNISAGIQYKPLCESMHPVPLTDLKNWNCAISYGMGSTSPLSPSFNFSLELVRNTQLVASFYQHFVVQRRVMNPREEEHIIGTTNFVDFGLELATSLDKDKAKENASNPSFQVAASWQASKNFLVKGKLGPSKSSMALAMKSWWRPFFTFSFTAMYDHLKGTGSYGFGISIEDLKEPSYQMADSNYVIVTQNKEDVEPRFLKKLGKKYIFQPDIDSGNYDNLPTGLKPIDKILKEREKKRQRAHSASSEMGIFWSKAEPPPPMVLVPPLFDYPPIAARTRMSVPAYELMFGKLSLQNLFEDYFDHAGNMTSRVMLKPLEDPHVDLIATVSAAADKIDGTQVKGDALFRWQKDLDDPHTFVDLLVSTSNPMLQVRSCAYHPKYRVGAFGTFPLLMGNRVRSEDYGVMGVRYGSENLSFGSSFVPFPGSAELPSGAWLVGRKGSLSAGVQYKPLNWKNWNCAISYGVGLTSPLSPSFIFSLELARSTEFIASFYQHMVVQRRVKNPFEDDQIVGITNYIDFGLELATSYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQAEKHDERDKRSPSSKIALVK >OGLUM05G28460.1 pep chromosome:ALNU02000000:5:29387426:29390259:1 gene:OGLUM05G28460 transcript:OGLUM05G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGSPEVGMEDSSATHLSDEVQDPGRGSSDASVRTSLRDSTGKEAVAAAEPVREELVQSAVGFLKHPKVVASSDVQRRSFLEKKGLTVDEIDEAFRRLLSPSSNSMSPNYCTYQGVSDHSSKITQENPSTVTKCMDDDSGRPEPETESVDPVVPRHPKSYMEIMEMIQRGERPDDIQDINDDPPNPYQPISESRMAPKPKPWEKQGQESSIWELKSQSMDTIESRSEIQLDSANQFTETENRSNQGDSLLLEETVAGSEAHTDDAASTKS >OGLUM05G28470.1 pep chromosome:ALNU02000000:5:29394560:29395477:-1 gene:OGLUM05G28470 transcript:OGLUM05G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIPEPQDPTADVAADVLPAEASSSDVTTTGVEEESDSDGEFEFEFPFVSRDSPAGTAAVADDLFADGRIKPFYPVFGRAGAGGGGDRQQHLAKDDAAATVPPRTRGPLGRLFLEESRGSFDRWSTSTSSSSSSAPASDEGGLDGAPPESYCLWTPGAGAGSASASASPRPPRKSGSTGSMARWRRISELVVGRSHSDGKEKFLFLPIPPPSSKENDVEHFKPKPKPPKPTPASGRKTAQAAAAEIDTVAAIHRIAYGAKGGGATGTGAGGGTPRRTFLPYREELVGLFANVNGISRSHPHPF >OGLUM05G28480.1 pep chromosome:ALNU02000000:5:29402823:29410580:1 gene:OGLUM05G28480 transcript:OGLUM05G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRLGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >OGLUM05G28480.2 pep chromosome:ALNU02000000:5:29404927:29410580:1 gene:OGLUM05G28480 transcript:OGLUM05G28480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >OGLUM05G28480.3 pep chromosome:ALNU02000000:5:29402823:29410580:1 gene:OGLUM05G28480 transcript:OGLUM05G28480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRLGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTKHMAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >OGLUM05G28480.4 pep chromosome:ALNU02000000:5:29407400:29410580:1 gene:OGLUM05G28480 transcript:OGLUM05G28480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEARAAEQVVEACVRTESVRKCVFTSSLLACVWRQSYPHHRRRFPAIIDESCWSDESFCRDNKLWFALGKTMAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTPSIAYLKGAHAMLAEGLLATADVERVAEAHVRVYEAMSGGGAAGGRYICYDHVVRRGEEFAELQRQLGLPITGVAAASRPGYSDDGDVGGDGRFALCNGKLARLVSSRRRCTYDVYYPASYD >OGLUM05G28480.5 pep chromosome:ALNU02000000:5:29402823:29406187:1 gene:OGLUM05G28480 transcript:OGLUM05G28480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLRSTQSMEAEVEEMRAALALAPLGRHGAWRLGAAAKREAGAEEGAAPEARTVCVTGGISFVGLAVVDRLLRHGYAVRLALETQEDLDKLREMEMFGENGRDGVWTVMANVMDPESLNQAFNGCVGVFHTSSLIDPGGISGYTVHLDSNHRYGVWFIGSTQHIQGNIF >OGLUM05G28490.1 pep chromosome:ALNU02000000:5:29409850:29413750:-1 gene:OGLUM05G28490 transcript:OGLUM05G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIKGLTFLLLLVLLVLCSNVSLSDARSGKHWRQNRASSSTLLRRKGKGKTNNSHKQYGKGNQDPYQPSPSTSPNVPVNPSERPVQGKGHPAPTMPPPSSGSGHTLPSPPPPLPPLLPPPQPPAAQSQNTVFNVVDFGARGDGVTDDTQAFEEAWAAACKVEASTVLVPSELEFVVGPISFSGPYCKPNILFQLDGTILAQTSTRVWGSGLLQWLEFTKLSGISIQGSGVINGRGQEWWTYSDPNDDDNDDVDAYNVELEKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSKQVSIHHTNLACGNALINSIKAKPTGFRTKGKLKTLVQVSEVIFALCDAGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMFKTMTGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDRTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQYHLYNPFCWQAFGELSTPTIPPISCLQIGKPSGNNVMSDYDLC >OGLUM05G28500.1 pep chromosome:ALNU02000000:5:29413399:29420523:1 gene:OGLUM05G28500 transcript:OGLUM05G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVVAEMAIVLEDALFCLQCLPLLASDKLTLEHRTSKTRRSRKTLEWMAGWLAPRVMGCGKHAKKIQHIPLGKWKGFVTGRSGRTNCRYRTREKGPPIPSCPTPLHPNINKATNLSSLVFAIMYMAKIVVTGQELLSKLTVSSLGWKKTSVTRTPPRVKEWENQHVSVLKTSGRGGRQEALIFSDTCYSFHLKCRNPVHKSKSFDQDRRLDEPLNAMKKIA >OGLUM05G28500.2 pep chromosome:ALNU02000000:5:29413399:29420471:1 gene:OGLUM05G28500 transcript:OGLUM05G28500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVVAEMAIVLEDALFCLQCLPLLASDKLTLEHRTSKTRRSRKTLEWMAGWLAPRVMGCGKHAKKIQHIPLGKWKGFVTGRSGRTNCRYRTREKGPPIPSCPTPLHPNINKATNLSSLVFAIMYMAKIVVTGQELLSKLTVSSLGWKLGWHAENIGHSNTTKGQGMGESACLSSQDLREGRKAGSTDLLRHMLFFPFEVSESSAQIKEL >OGLUM05G28510.1 pep chromosome:ALNU02000000:5:29435288:29436547:1 gene:OGLUM05G28510 transcript:OGLUM05G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHYYSGGAGHHQDVAAAGSPGDMASSTFSLFFPMSNGQCWPPSTVEESAAYDDHSTVTTSPSSPSSSSTGSVDCTLSLGTPSSRRAEPVAAAAPAANHGAPVPAHYPSLSAATVSWDATAESYYCGQQGRPATGAAKCAAGAGHDALLDRRCANCGTASTPLWRNGPRGPKSLCNACGIRYKKEERRAAATTTTADGAAGCGFITAQRGRGSTAAKAEPAVTTCGEETSPYVVGGGGGEVADAAYLAWRLNVVPPAATATAFSVWPERASLYHYN >OGLUM05G28520.1 pep chromosome:ALNU02000000:5:29437455:29441902:-1 gene:OGLUM05G28520 transcript:OGLUM05G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRGEESGGEDGGRTASASDLRKPFLHTGSWYKMSSAGGGGGMGSRLGSSAYSLRDSSVSAVLCTLIVALGPIQFGFTSGFSSPTQDAIISDLGLTLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYVVPVYIAEIAPQTMRGALGSVNQLSVTIGILLAYLLGMFVPWRILSVLGILPCSILIPGLFFIPESPRWLAKMGKMEDFESSLQVLRGFETDIAVEVNEIKRSVQSSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGLTNSNLATFGLGVVQVVATGVTTWLTDKAGRRLLLIISTTGMTITLVVVSVSFFVKILPVNIKSLAGSVATLANWLTAWLITMTASLMLSWSNGGTFAIYAAVCAGTLVFVCLWVPETKGRTLEEIAFSFR >OGLUM05G28530.1 pep chromosome:ALNU02000000:5:29444326:29445303:1 gene:OGLUM05G28530 transcript:OGLUM05G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSPLSPNLHPLSPSPSAAAAAAATPTPAAAAASSRSSRSKKPPHSSDPNQSKKPRLTLTVPGRPLSADGEVAAAIQHLRAADPALATVIDAHDPPAFQCPHRPFHSLVRSILYQQLAFKAAASVYSRFLSLLGGEHNVLPEAVLALTTQDLRQIGVSPRKASYLHDLARKYASGILSDAAVVNMDDRSLAAMLTMVKGIGAWSVHMFMIFSLNRPDVLPAADLGVRKGVQHLYGLDAVPRPSQMEKLCEQWRPYRSVGAWYMWRLIESKAPPPPPAIPVGPPALTEHGDELMLQQQQQQHQQQQQQSVIQMIDPLQMLPGMG >OGLUM05G28540.1 pep chromosome:ALNU02000000:5:29447960:29449903:1 gene:OGLUM05G28540 transcript:OGLUM05G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTENYDPCYPDQPVVHRYLPVWAKLPAFAAKPAFVWADDGDTAMSYATLTYSQLDAAVERMSSGLLGALRRADTVLVLASPGLRLVKLLFACQRAGLTAVPIIPPDPSRPGAGGAAHSHLLRAVSQTRPRAAVADARYIDAIKKSNAVVDVAGEPDRLAAMLRSLRWLSVDELEQGGANGAAPVTPFVGGEPEDAYLIQYTSGATGAQKPVVITAGAAAHNARAARRAYELHPGSVVVSWLPQYHDCGLMFLLLTVVAGSTCVLASPDAFVRRPRLWLELVTEFRATCTPVPSFALPLVLRRGRSPDGRRRRPLELGSLENLILINEPIYKSCVDEFVAEFRGDGLLPASISPSYGLAENCTFVSTAWRSRRCVDLPSYKKLLPSARLSFHMADEEPEIEIIMVDEETGEPVEDGVEGEIWVSSPSNASGYLGHPSATREVFCATLPGKGSCYVRTGDRGVVVVRGAERYLYVVGRSADVLALDVDGGQRSVCAHYIETAAFGGAPDRLRGGCIAAFATSPVPSTSLVVVVAELLKGSGGGGGGDHKDICEGIKRAVWEEEGVRVGWIVLVDSGVVPKTTSGKLRRGAAREKLLAGKLPILLEARYDGDESSSSVPWDGGEEMEKCPGMDAAYGSASRRLRLQSFL >OGLUM05G28550.1 pep chromosome:ALNU02000000:5:29452009:29457679:1 gene:OGLUM05G28550 transcript:OGLUM05G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGHDEPVDEMGVAYGRTPPSSSSSPAASASAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPMPGDAADALKCAACGCHRSFHRKDDGQQQQQLRLLIPSPPTPRVPLLMPPPHPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKEQMLAFAERVGWRMQKQDEALVEQFCAQVGVRRQVFKVWMHNNKSSIGSSSGGGSRRQPQEQQSQQQQQQQ >OGLUM05G28550.2 pep chromosome:ALNU02000000:5:29452009:29456705:1 gene:OGLUM05G28550 transcript:OGLUM05G28550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRGHDEPVDEMGVAYGRTPPSSSSSPAASASAGNGAGAAEVRYHECLRNHAAAMGGHVVDGCGEFMPMPGDAADALKCAACGCHRSFHRKDDGQQQQQLRLLIPSPPTPRVPLLMPPPHPHPHPQHPYLHPPFPYHHTPSGSGGTTTESSSEERGPPSSSAAAAQGRRKRFRTKFTPEQKEQMLAFAERVGWRMQKQDEALVEQFCAQVGVRRQVFKVWMHNNKSSIGSSSGGGSRRQPQEQQSQQQQQQQ >OGLUM05G28560.1 pep chromosome:ALNU02000000:5:29462043:29463173:-1 gene:OGLUM05G28560 transcript:OGLUM05G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQARAQWPQKQNKLFEQALAVYDKETPDRWHNIARAVGGGKSAEDVKRYYEMLEEDIKHIESGKKSNRTLLKCKYAAEYGVRPNRAMK >OGLUM05G28570.1 pep chromosome:ALNU02000000:5:29465616:29467476:-1 gene:OGLUM05G28570 transcript:OGLUM05G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAGSKQQQAMMSLPSSRGGGGGGWTQRQNKQFECALAVYDKETPDRWHNIARYMGGAKSADEVRRHFDHLVEDVARIESGRVPFPRYSSSSSSSRGADDGNRSRYLKYQ >OGLUM05G28580.1 pep chromosome:ALNU02000000:5:29471970:29475010:1 gene:OGLUM05G28580 transcript:OGLUM05G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:anaphase promoting complex 10 [Source:Projected from Arabidopsis thaliana (AT2G18290) TAIR;Acc:AT2G18290] MESDGEEEAAATPGAGGAPAAGRLKGCPELMVDDDMREMAKTAAWSVSSCKPGNGVASLRDDNLDTYWQSDGAQPHLVNIQFQKKVQLQLVVVYVDFKLDESYTPSKISVRAGDGFHNLKEIKTVELSKPVGWVHISLSGADPRETFIHTFMLQISVLSNHLNGRDTHIRQIKIYGPRPNHVPHQPFHFTSREFVTYSTVR >OGLUM05G28590.1 pep chromosome:ALNU02000000:5:29481073:29488379:1 gene:OGLUM05G28590 transcript:OGLUM05G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRERRHQHHHRKAAAQVQAAPAIAAVGVGAGGNGAAAAAARAAYGDVFGGPPRFAAPFGGAPADYAEVFGGVAATCSIPFLDLPPVPAVGADYGFFGRAGAGDYGEIFGRFDFGDFALPYEELFAEAEAEAVGEIGAEEIASSTGSSSRSSMIKESSQPDAQSFMLPQHFKEHESSVISFPPDNQQFVMSYNKTTQRSDDLVEMTTDPSMDYVVDSCEFPHVPATNHVATMDSGIEADGEKRKKSTTTSASVSLRSSESDFTVDQKQHIPAYPPICEKVSANENHKNSNSISTSSNGTPSPDYAFLRVSDVNVQTQTVKPPPPLKQTSKLLKRREILAKGDVHLENHSCPPASSAHAPSNTSTSQAERRDDTALFNNEANPSSAAAAMKEAMEYAEARLRAAKELMERKGDSFKLRKKPSHHRSTRSTEIKVPTESDTFDENLSVKKSTKEEMNSEDSLLDKHQKASAVRTDHCDDSGKRALSLEKPQHMQSCTAPNETSSKLGKLGNWTSGDEFYELTGEDQKQKTDAAVGEEDKCEVTNPVTKLSKEQKCEVTAADSDLERYEKLWEVNDGRDAGVKHVNPREDNTSPMGKDRVSMILEASTENIDHEKIYNSHFEGPKVVETSNESHDGEDGAVEIPCKSGITISEPNLMKDMRGSFMEASSPGEYVADFGKGTTEESPVAGISLEPKTTKEELEAACDAEMQCTTGDSEKLQESSEVTSIDNSLARQIKSLILEDLEGSSETQAFPGDPGTAGSEAETYGRNLGTTGLETESYGREKFSFVEESFMHNANRNVTESPVETPIPEQVENVEIEDRVGSCAHSEESTVDKDSECPEEGSDITSQNNNLPDHEDSTMLNVFEVASKLIKRDLDQEKQDTLQPGEVETRTVLDSNDKDTKENPSENSNTIGSEEVLSHGNQEDQKVPEMDKTKGRSDANAQVKLSGVNFYEDGDVTSAANNVTTRLTTNSKDQASSSSEMLTGRQHLPQDAGPAISQTSNGTFPSLEKTEEVCKEAGRELPTDKSAAFEDENSRACKSKAELKQQQFHSEKSSSLPKSAEGHIPSSADISRKETPGVQRLKEQGSLRTEREREKDKEASRTLEETKERDKKFDKEREIAEERERKKLEEQEREREREKDRLAVERATREAHERAFAEARERAEKIALERVTAARQRASAEAREKEERASTEAAAERAARIKAERAAVERATAEARERAIEKAKAEKAAAEARERRERYRSSFKESFKSSNLDNRQDTQFQRAVSSNLMRNPDSYSKGLEVESALRHKARLERHQRTAERVTKALAEKNMRDLLAQREQAEKHRLSEYLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTELITAAAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >OGLUM05G28600.1 pep chromosome:ALNU02000000:5:29489241:29492629:-1 gene:OGLUM05G28600 transcript:OGLUM05G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A389] MQFSSVFPLEGKACVSPIRRGGEGSASDRLKIGDSSSIKHDRAVRRMCLGYRGTKNGAQCVLTSDAGPDTLHVRTSFRRNFADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFIMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGTDLEAMKVDTSFLNFAIDDPTKFPYIASMGVYVFKRDVLLNLLKSRYAELHDFGSEILPRALHEHNVQAYVFADYWEDIGTIRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKCRIKDAIISHGCFLRECTIEHSIVGVRSRLNSACELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKINNCIIDMNARVGRNVVITNSEGVQESDRPEEGYYIRSGIVVILKNATIKDGKVI >OGLUM05G28610.1 pep chromosome:ALNU02000000:5:29498068:29498388:-1 gene:OGLUM05G28610 transcript:OGLUM05G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNGGARRSCRTDARARAAGRPDLKPGGGGGSGARRSYGCGAGARAAGWPDLTPGGGARRSCGADAGARATTEIGGVSAPTPGRPGVAPRLLPRSAPLLPSQSME >OGLUM05G28620.1 pep chromosome:ALNU02000000:5:29503566:29510987:1 gene:OGLUM05G28620 transcript:OGLUM05G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDYACTNSSHRLRSTRHGGSSQSQTPPYFPRAKPKPITGAKGAGLRAVWYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELVQLWKECTEIKSPKAPSSGYYTICSFLSPFWITKGTPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACDCSHV >OGLUM05G28620.2 pep chromosome:ALNU02000000:5:29503566:29511027:1 gene:OGLUM05G28620 transcript:OGLUM05G28620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDYACTNSSHRLRSTRHGGSSQSQTPPYFPRAKPKPITGAKGAGLRAVWYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELVQLWKECTEIKSPKAPSSGYYTICSFLSPFWITKGTPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACDCSHV >OGLUM05G28620.3 pep chromosome:ALNU02000000:5:29503566:29510552:1 gene:OGLUM05G28620 transcript:OGLUM05G28620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad21/Rec8-like family protein [Source:Projected from Arabidopsis thaliana (AT5G05490) TAIR;Acc:AT5G05490] MFYSHQLLARKAPLGQIWMAATLHSKINRKRLDKLDIIKICEEILNPSVPMALRLSGILMGGVAIVYERKVKALYDYACTNSSHRLRSTRHGGSSQSQTPPYFPRAKPKPITGAKGAGLRAVWYEAVTLPENIMDMDVEQPMLFSEADTTRFRGMRLEDLDDQYINVNLDDDDFSRAENHHQENITLADNFGSGLGETDVFNRFERFDITDDDATFNVTPDGHPQVPSNLVPSPPRQEDSPQQQENHHAASSPLHEEAQQGGASVKNEQEQQKMKGQQPAKSSKRKKRRKDDEVMMDNDQIMIPGNVYQTWLKDPSSLITKRHRINSKVNLIRSIKIRDLMDLPLVSLISSLEKSPLEFYYPKELVQLWKECTEIKSPKAPSSGYYTICSFLSPFWITKGTPQVDNDREMGFHPVDFADDIEKLRGNTSGEYGRDYDAFHSDHSVTPGSPGLSRRSASSSGGSGRGFTQLDPEVQLPSGRSKRQHSSGKSFGNLDPVEEEFPFEQELRDFKMRRLSDVGPTPDLLEEIEPTQTPYEKKSNPIDQVTQSIHSYLKLHFDTPGASQSESLSQLAHGMTTAKAARLFYQACDCSHV >OGLUM05G28630.1 pep chromosome:ALNU02000000:5:29514241:29523159:1 gene:OGLUM05G28630 transcript:OGLUM05G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDAGEMRSAAVGNTKKSRLRALATAFDNIWPGAAPASAYLAMVSTSAMTWIALRHLWRIKHTSMEPHFSSPHQQGQMEAVCAGGCRSNDDLDDEAATISSSLLSSYSHSPCLHHPQAFKPMLSWLLH >OGLUM05G28630.2 pep chromosome:ALNU02000000:5:29515671:29523159:1 gene:OGLUM05G28630 transcript:OGLUM05G28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPGIESLDLRMVADLEPRSMGMARASRRRTPAERQEVEEVGGQSRRHTTWVIYCSAPHLPVKQSAHQRSQVLLLLVLPRFPSPAAAIIQRHWLGLCYAPPPSSEYDGMLNAARNGAPQPLVTT >OGLUM05G28630.3 pep chromosome:ALNU02000000:5:29515671:29523159:1 gene:OGLUM05G28630 transcript:OGLUM05G28630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPMCLHLVRVIHFCKLEENDKLVLTPFEKNVDIWRQLWRVLERSDLDLRMVADLEPRSMGMARASRRRTPAERQEVEEVGGQSRRHTTWVIYCSAPHLPVKQSAHQRSQVLLLLVLPRFPSPAAAIIQRHWLGLCYAPPPSSEYDGMLNAARNGAPQPLVTT >OGLUM05G28630.4 pep chromosome:ALNU02000000:5:29515671:29523095:1 gene:OGLUM05G28630 transcript:OGLUM05G28630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPKSCPGDQFACGFVVENTTRQHVVADGLISIFCSVPFHSTRPSVEGAWSLQCRELNKSYEWLVPGIESLEQKTGSEWYDGKKRI >OGLUM05G28630.5 pep chromosome:ALNU02000000:5:29515671:29523095:1 gene:OGLUM05G28630 transcript:OGLUM05G28630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVADLEPRSMGMARASRRRTPAERQEVEEVGGQSRRHTTWVIYCSAPHLPVKQSAHQRSQVLLVNCDAWLV >OGLUM05G28640.1 pep chromosome:ALNU02000000:5:29524358:29527876:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSQAKCLNSIQSPHAATKLNHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYVAADIKAAPNLELELWRWEKVGRCFQKRHEEYKVCHRC >OGLUM05G28640.2 pep chromosome:ALNU02000000:5:29524309:29527772:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSQAKCLNSIQSPHAATKLNHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYVAADIKASEDAFRRGTRNIRFVIAVNAAIWCSNIYYDGQRR >OGLUM05G28640.3 pep chromosome:ALNU02000000:5:29524309:29527876:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSQAKCLNSIQSPHAATKLNHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYVAADIKASEDAFRRGTRNIRFVIAVNAAIWCSNIYYDGQRR >OGLUM05G28640.4 pep chromosome:ALNU02000000:5:29525945:29527876:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPERRDRSHIATCLCSRSPARESHLASVPTATATLSPASPASSPASGIHSTAEIRPSSGPSAGLTVTLPPPPATGATASTDRAATATARARARGARGGSTAGSSSHPSLSPGPTAARSSEADVRRATSAR >OGLUM05G28640.5 pep chromosome:ALNU02000000:5:29524358:29527876:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHPERRDRSHIATCLCSRSPARESHLASVPTATATLSPASPASSPASGIHSTAEIRPSSGPSAGLTVTLPPPPATGATASTDRAATATARARARGARGGSTAGSSSHPSLSPGPTAARSSEADVRRATSAR >OGLUM05G28640.6 pep chromosome:ALNU02000000:5:29524358:29526680:-1 gene:OGLUM05G28640 transcript:OGLUM05G28640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFLFQKFSVLSGSMPNRPTSAQGGDVSAIHDALRRFSTVLPNYHGLPVSVGRCFQKRHEEYKVCHRC >OGLUM05G28650.1 pep chromosome:ALNU02000000:5:29527236:29532439:1 gene:OGLUM05G28650 transcript:OGLUM05G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARIQWLLEFVIFFSKPDDELMSVLHNLPDINITCKARQVKLLSHHRVWCYSAN >OGLUM05G28650.2 pep chromosome:ALNU02000000:5:29526994:29534666:1 gene:OGLUM05G28650 transcript:OGLUM05G28650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARWRFFEIALRFAVIRPQSPANSTCEAPTLAASARLSSSLSSHAAGAGASPTLAAAASPLLPLLPLPMAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARWLLLYSLAGDLLHKQVAMCDRSLLSGCFVIESYNIMFIRGLLNEHRNYQKGNQIKSVPNIVCLHMRKSIQRA >OGLUM05G28650.3 pep chromosome:ALNU02000000:5:29527236:29531411:1 gene:OGLUM05G28650 transcript:OGLUM05G28650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARIQWLLEFVIFFSKPDDELMSVLHNLPDINITCKARQVKLLSHHRVWCYSAN >OGLUM05G28650.4 pep chromosome:ALNU02000000:5:29527236:29529594:1 gene:OGLUM05G28650 transcript:OGLUM05G28650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGYLAEVALLTSASEDLAAVGPGEREGWLDDPAVLPPLAPRARALAVAVAARSVLAVAPVAGGGGRVTVRPALGPDDGRISAVEWIPLAGEDAGEAGERVAVAVGTDARIQWLLEFVIFFSKPDDELMSVLHNLPDINITCKARQVFIEKLNAYFAKAIYYRKGLRVPRKSYLSIYIYRIECTISPKGRLGILAIIILNHRDNEYDQQLLQLAIFTEMEILQAIILAIEFRDLYFPFYI >OGLUM05G28660.1 pep chromosome:ALNU02000000:5:29534734:29535650:-1 gene:OGLUM05G28660 transcript:OGLUM05G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGMLQALKDKQRFIRHEFEALQKFTKSELDLKVAKMETVVATMRGLHENSQKECLKNSVLLLGVTAAVFGVLQRQAERPGVEIPTTGKSEA >OGLUM05G28670.1 pep chromosome:ALNU02000000:5:29538894:29540798:1 gene:OGLUM05G28670 transcript:OGLUM05G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47840) TAIR;Acc:AT3G47840] MALFSRLRASSPPLAAADPFVLSLVFKSCAAAADARFLPHAASLHAFAVRSSAVSSVFVATALADVYAKAGCLGLALKVFDEMPHKNVVSWTTLVASLTRAGRRHEALRRFSEMRASGVHCDSYAYAAALTACADAGLLSRGREVHAFCAKLGLDSTPYVANTLATLYARCSDVDRALAAVSRMFTRDVAAWTTVISAYVQTGRAKEAIEAFVRMLREESSVAASPNEYTYAAVIAACADIAWVCLGEQLHAQAARKGFACARSVANSLVTLYTRAAGCLSAADAVFRESVVKDVVSWSAIISGYAQEGLAEDAFALFREMRHHSGCPRPNEFTLASLLSVCATAASLDAGRQLHTLAVAAGLEHHAMIRSALIDMYGKSGSMLDADIVFSHRVKDDVVSWTAMIVGYAEHGHSKKALELFQEMCHVGLKPDHVTFIGVLNACCHAGEVELGLRYLNEMNQIYGLYPAKEHYGCVVDLLGRAGRINEAEELIGKIAANERDGVVWTSLLRACAARGEEETGKKAAERAMEAEPWGAGAHVAMANLYASKGQWHEAAQERHMMKQKGVVKGAGWSSITVGGEGRRVGVFVASDRTHPQDSAIYRMLELIYFGTGMARYVPDQFDLGSEVDMMISS >OGLUM05G28680.1 pep chromosome:ALNU02000000:5:29548240:29549070:1 gene:OGLUM05G28680 transcript:OGLUM05G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAALRLRLLYRMLRVGELLALVVFLSWSSSRVPAAAAAVVRLAGSLLLNARFVFVLGNAIVLLLLALSRHDLSISSNHGTTTTAAAAAVSSDSAGAGSTPASTTAPPAASFPLFIVPQPSPPPPHATEAPVVAAPPAPVVPCAPSVAPAAPAAAAAFEDKQVVRVNKARAPRRSRSEKMGSRGAFRRAASPELRRSESDNGRRRRSSVTARDAEVCWGADDAEEFRRTVEAFIAKQTRFHREESMTMTMSIVAGVGHGEVAPAIAGALAVVE >OGLUM05G28690.1 pep chromosome:ALNU02000000:5:29554672:29563236:1 gene:OGLUM05G28690 transcript:OGLUM05G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPLFKPAFRGSKTGGDSTGSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDSLILSSGQADVKSTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGMPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVPESVATVKPGSSTADVYKDEVEEDMDSDKNKEMSASNADGRTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFVNNRDSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYKNKDTVAPAADEHVASSTKSDTENVDVAPVQQPELRTADLIDVPNNTMYNNLSTSDYATPSAVQPDSSAHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGASSNPQLNQSQPSTSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPVQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLSSAAAAAAAQANQMWPNSY >OGLUM05G28690.2 pep chromosome:ALNU02000000:5:29554647:29563236:1 gene:OGLUM05G28690 transcript:OGLUM05G28690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDVAVERLISQDPFHEVRRKRDKKKEIKAPQETRPRPLFKPAFRGSKTGGDSTGSGKGPTKKETELHSLPKSSVSDSVKESNPTEKISAADHATINDSLILSSGQADVKSTPLQPPSQVKHGWGGMPGRPSMADIVKMGKPQAKPVRSVACNTGMPTIGGSVISNATNHTSKDSQDLVLPSQVNSVATDRIPNGTNEVSPASNDSSIDVLPPREGLEVPESVATVKPGSSTADVYKDEVEEDMDSDKNKEMSASNADGRTSSGPYPASSKEVHSEHTQIATHHNDLIVETEDSQSDGNAFVNNRDSEGNMSATDKQFEQLILHEEKKSKSSEDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDAPVSLKTANGDEEVAAVSDNHSIDQSDVRIHEYKNKDTVAPAADEHVASSTKSDTENVDVAPVQQPELRTADLIDVPNNTMYNNLSTSDYATPSAVQPDSSAHIYLQEHRQLQNISPLSSFMQGNIPNGLLPPALPPLRDFDPAFSLLLTNPPLATMVHGTTSSSMGNATTVSTQPQEIVNPGASSNPQLNQSQPSTSTSTSIASGPPLPQHLTLHPYAQATLPLGYASMIGYPSLAPSYTYLPPPAFQQPYMNSGLFHQAAAAVPNSSVKYPLPQYKGNVSLASLPQQASLLSSYVGGFGAASSMPGNFALNQSTPSATAAPGFDGTVPVQYKEGNQFVSLQQSENAAMWMHGASSRTMPPLAANALYGYQGQQGHQGGLRQGQLPSQFGAPLAPTQPGLGHEHRNPSDGNLSSAAAAAAAQANQMWPNSY >OGLUM05G28700.1 pep chromosome:ALNU02000000:5:29565207:29566690:-1 gene:OGLUM05G28700 transcript:OGLUM05G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWRVHCLGWLLVLLLFSHEAAGGGGAEQVATQAHHDASRRLAAQVAHGTPERDVTSPLATVPVDNPAANPTVTSTTNPAAMPGTQTTPSLANPVAAGGGGGGGGGSWCVASPSASTTALQVALDYACGQGGVDCSAIQSGGGCFNPNTVRDHASFAFNSYYQKNPVQTSCDFAGTAILTSTDPSSSSCKYPSTSTGASVLNTSTPTNPAFGGYDNSPPGFGNNSPPLYGSMSPPGYNDNIGAAAAMAGSKETLLSLACVVATVSLNLYK >OGLUM05G28710.1 pep chromosome:ALNU02000000:5:29571807:29572700:-1 gene:OGLUM05G28710 transcript:OGLUM05G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant basic secretory protein (BSP) family protein [Source:Projected from Arabidopsis thaliana (AT2G42900) TAIR;Acc:AT2G42900] MEAPLLLPLATASSSSSGATADESSSSPSTASIVARVVAVLAVASVSLFARHEASKGFHVDIVNAAPRDTVAGRRFDLFFVSNGKAERILHYANRGVEAALFPDASFPRKQVTRVTVRMAGYNLTDDDDATVIAAGVAPGEYVISLSPRLMHPASDKAADAVANAVRRAVARMWLWDGRGAAPARVTEAMVDYLASGADAVEASPANDDDDDDAPHCMSARFLGHLERRRGGFVARLNRAMRDRWSDAAMDAALGVPARPVCAAYRAAAAAAALTQQQPPAALAGSTSAGDGSRVAM >OGLUM05G28720.1 pep chromosome:ALNU02000000:5:29574152:29579595:-1 gene:OGLUM05G28720 transcript:OGLUM05G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGPVTPGQVSFLLGLFPVLIGWIYAEILEYRKSLLYGKVHSDANLENETIKEDDKAVLLEGGQSKSPSTKLRNMSTKANLIRFITMDESFLLENRAVLRAMAEVGIILVYFYICDRTNIFPETKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGSLGLFNKYNEKPSVMAIKIACCFLTVILIWEIPGVFEFLWAPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLFIKGAIVTLSLTAGYLWYEYIYRLDKITYNKYHPYTSWIPITVYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSTPNGQPKWLLSFVPDYPLLNFMLTTAIYLLLSYRVFEITGVLKGAFIPSRDNNRLYQNFIAGIAISACLYFCSLILVKITIHGAFGLSIGPPSYSFTGASAT >OGLUM05G28730.1 pep chromosome:ALNU02000000:5:29585366:29589928:1 gene:OGLUM05G28730 transcript:OGLUM05G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRKALDFGQLASCRCRWAGRSGMRVAPRRRMPCVCFVASPSQPGLAAVDVPAEAISSATTTTMIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLLASSTRSAAIFSGVSTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPKLREIIDSEFSESDSLATAIDLVHRSGGIRRAQELAKEKGDLALQNLQCLPKSQFRSTLENVVKYNLQRID >OGLUM05G28740.1 pep chromosome:ALNU02000000:5:29591008:29599451:1 gene:OGLUM05G28740 transcript:OGLUM05G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3B8] MAVKMRIGRRRAIQQGIAEVRIPPAACGPERTYKAILAADAARSGGSGRGGRGHRPSAIAIALTITDRAPAAAVLATRAVWICGGQGGFEWRRVWGCREADSRGALWELVWGERSVRERNAAGAAEEVIALFIMDEMCDLASNLEYVVEKAKCDVHRTSSAEEFFTEYGDANRYRIQEVIGKGSYGIVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >OGLUM05G28740.2 pep chromosome:ALNU02000000:5:29591008:29599451:1 gene:OGLUM05G28740 transcript:OGLUM05G28740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3B8] MAVKMRIGRRRAIQQGIAEVRIPPAACGPERTYKAILAADAARSGGSGRGGRGHRPSAIAIALTITDRAPAAAVLATRAVWICGGQGGFEWRRVWGCREADSRGALWELVWGERSVRERNAAGAAEEEYGDANRYRIQEVIGKGSYGIVCSAIDLHTRQKVAIKKVHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTASVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLQRLLAFDPKDRPTAEEALTDPYFKGLSKIDREPSCQPIRKLEFEFEQKKLSKEDIRELIFQEILEYHPQLQKNYRNGTERATFLYPSAVDQFKKQFSNLEESNGSGSAIPMERKHASLPRSTTVHSTPIPPKEQPLAASLKSSRPVSDEPCKNPWVMGGFSGNIPTSSQVSQVAKPVAPGRPVGSVFPYETGSTNDPYGPRGPVMSSGYPPQQQISQAYGYHQVPARMNCVEQSQAMDAYKMHSQSQTQAYAYPNSKVTADVALDMRGSTFHHSAGSKNGSLDRMVTQTDIYTRSLNGIVAAATSAGVGTNRKVGAVPISTSRMY >OGLUM05G28740.3 pep chromosome:ALNU02000000:5:29597512:29601501:1 gene:OGLUM05G28740 transcript:OGLUM05G28740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3B8] MAVAPPSPLSLVFVVLAAVSLSASAAAAPASVLPRGAIPTKSGYLPIPPANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSNFLQIGPYLLAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFYSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVTQVTTHADIAYFMGLINGKQKREVEAMQARAVELIKAERWSEAYVAREGLLKWMENASGVPSLFDVEVTTSLEAEAAALAPLLNGAEAKAALGARGDAEWKMCSAAVGAAQHEDVMKSVKPEVEALLRRGTTPTRVLLYGGIRDVKDGPVSTEAWVRELEWDGLAAFQDADRAVWRHRGGELAGSVQRHGALVNVAVYGAGHFVPFSQGRAAQEMIEDWLTKFIPKSALGTGCHVGSRQQCTLPRTCT >OGLUM05G28750.1 pep chromosome:ALNU02000000:5:29601647:29603002:1 gene:OGLUM05G28750 transcript:OGLUM05G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3C1] MAPPSRPFYLVYVVIFVAVSLSVTAATATAAAVLPRGALPTKSGYLPIPPAANASLYFAFYEATEPVTPPATTPLLVWLEGGPGCSGFLSSFLQIGPYLFAGGSLSPNPFAWNRRFGLLFIDSPLGTGFSVAPSPAAIPTNQSVVADHVLAALQSFLSLEPSFRARPLYLTGESYAGKTIPAAGALIVATNPTLPEQKRINLRGVAIGNGMTHPVAEVTTHADIAYFMGLINAKQKRAAEAMQAEAVALTREERWREASAARARLMSWLENATGVVTLLDVEVQQSVAVMAAGLADFLSTAEVKAAVGARPDVAWEACSAAVGAAQEEDVMKSAKRDVEALLRRGASPTATPTPTRVLLYEGIRDVGNGPVCAEAWLRELEWDGLAAFQDAGRAVWRSGGGLAGYVQRHGALVHVAVYGAGHFVPYSQGRVAQEMIEDWVFRKGLFSAATV >OGLUM05G28760.1 pep chromosome:ALNU02000000:5:29603611:29604239:-1 gene:OGLUM05G28760 transcript:OGLUM05G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACGSDGKAQLYPMANGGQGAGGGRRQRSRVTEMRHRCSKDWAGRCRATVQRDRAGAALRFCGTEPVPRGPLLFRGSAPVPFV >OGLUM05G28770.1 pep chromosome:ALNU02000000:5:29605595:29606896:1 gene:OGLUM05G28770 transcript:OGLUM05G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3C3] MAPPLLLVSLLLVGFVSARAITPSAKAAAVFPKEALPTNSGYLPITTTNASLFFAYYEATHPLTPPASTPLLLWLQGGPGCSGLAGNFFELGPYFVNRDALSLSPNPFSWNRRFGLLFIDNPLGTGFSAAPSPAAIPINQSVVAAHLFAALQSFFALQPGSRSRPFFLTGESYAGKYIPAAGSYILAVNPTLPTRLRVNLHGVAIGNGLTHPVAQVATHADTAYFMGLINAKQKRGSSCCRCWRTRWADARELVLLLLENATGLATLFDAAKQRPYETGPVGKFVNRAEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPEVEALLRGTRVLLYQGIRDVRDGVVSTEAWMRELEWDGLPAFLDADRAVWRIGEELAGYVQRSGPLSHVVVYGAGHLMPADNGRAAQEMIEDWVLQAGLFGRHGGMKRAA >OGLUM05G28780.1 pep chromosome:ALNU02000000:5:29608642:29610390:-1 gene:OGLUM05G28780 transcript:OGLUM05G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGGGGHGLYEDHPAAAGFLPFDHDDDVVASFFFGRSAASGGGAGAGAGAGDDDGVGLITPYSSITDYLQGFLQDPVYASSPLGGDAAVKHETVVDHPSQAGGVAAAPATPNSSVLSSSSEAAGGDDLRRCKKGRRPEDEEEEEIDDEGSAVQSCKTNKMKNKKGAKKEREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAPRCGVKKRVERSEQDPSMVITTYEGQHTHPSPVSYHMHRQQGLMHVSARGVMPGAAGAYQFGAPPPPLLGFDEALAARVRMTMNQQQQQQLGFVPSIHAAAARPTMPPLHLYTARQDLFLP >OGLUM05G28790.1 pep chromosome:ALNU02000000:5:29640833:29642493:1 gene:OGLUM05G28790 transcript:OGLUM05G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTVVFTAAVALALVNAVNSHDFAAHLAGVDCRMGLAGPVRCPASGFVELLVPALNVHKVIAMAELEHRPKLPMEAYPRD >OGLUM05G28800.1 pep chromosome:ALNU02000000:5:29649336:29649590:1 gene:OGLUM05G28800 transcript:OGLUM05G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPAQGPLRARTKPAGRRGGAPPPAAEDPSRAAAAARRSVRKWSTWTMKTAKVAAYYGFIPLVIVIGMNSDPKPSIGQLLSPL >OGLUM05G28810.1 pep chromosome:ALNU02000000:5:29652300:29660270:1 gene:OGLUM05G28810 transcript:OGLUM05G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT1G15240) TAIR;Acc:AT1G15240] MATAGGPGRAHTVRDLAEEGKKRAVLLLVFAFGLAFLMSLTSSSVWINLPFATALIVLFRYISLDYDFRRKSTTTTDNDASRALTKTKSIEPNKIPSIQKDGKSDWKSKVNSPPVEAAIEQFTRHLVTEWVTDLWYSRVTPDKEGPEELINIVNNVLGEISVRARNVNLIALLTRDLIDLICKNLELYHLCQAKIGKEKFVNLSTERRDAELKLTLITENKLHPALFSASAEHKILQSLADGLISVTAKPQDLQCYFFRCTARELLACAVMRPIVNLANPRFINERIELLALSHANKAERGVAESLEHATMVKQREPPMPTVDELAALIDPTSSGVELVRFSQDQSKAAPDTQLSNTRHPSNLKPNSSSTSLTNSSHPLESSILSSTTHGHSNSSMSLHSQSSGRTTAECYEGEWAQTMDISSQRKSQALAPEHLENMWTKGKNYKSENVKHVARVPSKSSSLGTSPVQQSAPYSTSVGHYPSAPQRQTTMSRSDDQHLIKHSTTAAYLNGTNHLRMALSRESADHASQEDFGVDSESSYATEEDENNNVTGLDSPVTRVWDSKSKGNATSSHIHHPLESPGFHKAKKNRSHIGKLKMTKTSGRKRSRSNSQKPPVWQEVERSSLLVGDDLDILNTSADDSRTDGLYDDTEVESMSRMFSGANASSLSLASTDSSYSSNYSTTNVLEDSYLKLKCEVVGASIVKSGSGMFAVYSVSVTDANGNSWSIKRRFRHFEELHRRLKEYSQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKKLLQIPTVSSCIEVWDFLSVDSQTYIFTDTLSVIQTLSVNLDERSKEKNTKSFNSSQALNGNLVSASRSLHVHKDDTMPKEKDKDFDAVDGLRSRKRNTEQNLGIGVGNTNANLHEDLSGSDPEQNEHSFIINSGNSKKTLSSETDYPPQSLESDGYSVAPNDLQDGGWIRRQAFWVVKQILQLGMGDTFDDWLVEKIQLLRKGRIVAFAVKRVEQRKAATPPPGSQSNGMANYLTDEQRLEDAHRANFVHELMIEKAPSVLVNLVGRKEYERCAQDVYFFLQSPVCLKQLAFEVLELLVLAAFPELDDIVKKWHEDKQQLYALE >OGLUM05G28820.1 pep chromosome:ALNU02000000:5:29665202:29666137:1 gene:OGLUM05G28820 transcript:OGLUM05G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSKARRHGVGVGVRLRQRLSQILLHSSCTTTSATAFVTNVAVAAGNAAAARQAPPPAAANDAHQPRPKIDGSVRRRRRRSARALVHISIDCSGPTSARSVGAAVMPSPVAPAKDVKAVIRSKARGGRPRSPSYSCSSSTVTDDELPPFSSSDWEGGEGAETRSSTLFSSLSISSDSTSDFYNSTGGGSKRHHKNPPRRVPRRAPPRGANAGDAKPHEDNKGGAKKADDKHGGGVVGVAAAGSMAVVKRSHNPYADFRSSMVEMVVERRICGADAMGDLLMSYLSLNSRRHHPAILAAFEDVWEAVFATP >OGLUM05G28830.1 pep chromosome:ALNU02000000:5:29671789:29676011:1 gene:OGLUM05G28830 transcript:OGLUM05G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGHGNGAARHAGKEGRQTTTALLPVYHSPKPAPPDRIFSSSSASSSPSSPPDPAAARWLGGLRPPPPTTALASGTVPRSVAPFLAASGQTGSWASPCSPFPSPRAPATAALPLSRVSAAADCHTSSTTSFRRPPPHLHRPARVRERRSRIRNGVEPGVFYWTGRPARPASFYGSTISSRDIQQLLPPRRPSPVKGTPLHPQSFRSRFTELLLRCSYGPSIGGSYPWSLKEMILTKESSPPAPPPLPPTFAVDVSITVGLLTAVLLALFLFLIYAKHCKHRGLGVARAVVVFVQAVPLRPEQLRRGRAPVFRFGDMGDAGGAARDQATECAVCRGPFDTAELLRVLSWCQHVFHPGCIDVWLMTHSACPVCRRSAANGALRVPGDESR >OGLUM05G28840.1 pep chromosome:ALNU02000000:5:29676042:29677274:-1 gene:OGLUM05G28840 transcript:OGLUM05G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11690) TAIR;Acc:AT4G11690] MWGTTAAAVRRLCAAGDVRSALAMLARGTKSGDAALDVTACTALVNGCCKGGDVAEARRVFDEMPLLGLAPNEVTYTALMHGYFTHGQREKGFALFEEMRRGGVEPNLYTYNCLIGEWCRTGEFERARSLFDEMPVRGIVRNVVSYNTLIAGLCRHGKLWDAAKLLDMMRTEGTRPSIITFNLLVDGYGKAGKMSNALPFFNQMKAAGFQPSAVTYNMLIAGFCRARDMTRANRALSDMKERGLEPTKVTYTILIDSFARENHMGKAFEILAGMEKAGLEVDAHTYGVLVRALCMEGNMKDARKLFQSMGEKGVEPSNVIYDMMIYGYGREGSSYKALKLIMEMRQKGLIPNSASYGLTIRVLCKDDKCQEAEALLDDMVRAGLQTSESICQALLDAKARLRGSANVSFV >OGLUM05G28850.1 pep chromosome:ALNU02000000:5:29679444:29681236:1 gene:OGLUM05G28850 transcript:OGLUM05G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITHASSLSRFHPLLKKLIMEYSNDWDLQALVRSCGTAVADSEPEPPAAPSTTRRAEAETVVVGRAGGVPEFVGQPVRSAAASFYDLEYLDLYHELPRAPFLVTAPSTSRERGEGGEHEVLISFPAIASTSGQGRKQPGRKPGVRTARPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKMVERSPEKPGVLVITYIAEHCHAVPTQLNSLAGTTRNNKPASPDQQQQQPSPGGASTDEAAAAAAKTEDSADTTCSMADDENDLWAPVEMDMDDFFGPFDDDLDHFLDDDAVLGRRLSL >OGLUM05G28860.1 pep chromosome:ALNU02000000:5:29686064:29686631:-1 gene:OGLUM05G28860 transcript:OGLUM05G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLMDKAKGFVAEKIAHIPKPEASLDSLSFKGMGRECITVHSNVNVSNPYDHRLPICELTYTLKCAGNVVASGTMPDPGWIAASDTTKLEIPAKIPYDFLISLVKDVGRDWDIDYQLDVGLTIDLPIVGNFTIPLSTSGEMKLPTLKDMF >OGLUM05G28870.1 pep chromosome:ALNU02000000:5:29687889:29688632:1 gene:OGLUM05G28870 transcript:OGLUM05G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEPKKPKAKDHVDLVFDAAKVKAKAKAKAIDGDADVEIAKPAEQHGHGSRLLRRACCALGVVAAVAAVAMLVLSLTVLKVRDPTLSMDSVTVERFHVGFGTEASRPLRINVTLVAGIVIKNPNYESMRFGTSTTEFYVDGVPEYVGLGSAPPGEVAARGTSRVRVGMDVFVDRVGAAVVGEVLFGRGEVRLASHTAVDGRVSVLGGLYGRRAVRVAMRCRVVLRVSAAVVVAGSPSCVADFSSH >OGLUM05G28880.1 pep chromosome:ALNU02000000:5:29694146:29695119:-1 gene:OGLUM05G28880 transcript:OGLUM05G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFRLLAARRRAVSTITAAAAAAPAASSDAGRDSEDEGPFFDLDFSSVRGASSSSGSMSGSDDSDDDCTDLDFIISLHRSRSASPSYDTLFFAAAASEPSTKASFQPSHHFCAKRRGGGGGGGGLRTLSFGAKKAAFYGGRHSFARSSCSARSLRLFMESPADDDGEEVAEPRRAPPSRDVIRRYLTKIYRRFRRTAPRAAGEARGLRRLRKSRSASAATSLSASSSAAAAPSGRDDSLLEKQDGIASAIAHCKESLHRASVSECDSSLSRSRSDPGKREADQSCH >OGLUM05G28890.1 pep chromosome:ALNU02000000:5:29700720:29705836:-1 gene:OGLUM05G28890 transcript:OGLUM05G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEDGGGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFHYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEKERKENSENKQDNSKAEGGTEATIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTLAVAM >OGLUM05G28890.2 pep chromosome:ALNU02000000:5:29700974:29705836:-1 gene:OGLUM05G28890 transcript:OGLUM05G28890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRNLFVSALSVGVGVGLGLASARWAAPGSGEDGGGGGGAGIGVAELEAELRRLVVDGREGDVTFDEFHYYLSERTKEVLISAAFVHLKQADLSKHIRNLCAASRAILLSGPTEPYLQSLARALSHYYKAQLLILDVTDFSLRIQSKYGSSSKGLAQSQSISETTFGRMSDLIGSFTIFPKSAEPRESLQRQTSSADVRSRGSEASSNAPPLRKNASMSSDMSDVSSQCSAHSVSARRTSSWCFDEKVLIQSLYKVMVSVAENNPVILYIRDVDQLLHRSQRTYSLFQKMLAKLTGQVLILGSRLLDSDSDHTDVDERVSSLFPFHVDIKPPEEETHLDSWKTQMEEDTKKIQIQDNRNHIIEVLSANDLDCDDLSSICQADTMVLSNYIEEIIVSAVSYHMIHNKDPEYKNGKLVLSSKSLSHGLSIFQESGFGGKETLKLEDDLKGATGPKKSETEKSATVPLKDGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARCGEHEAMRKIKNEFMSHWDGLLSKSGERILVLAATNRPFDLDEAIIRRFERRIMVGLPTLDSRELILRTLLSKEKVAEDIDYKELATMTEGYSGSDLKNLCVTAAYRPVRELLKREREKEMERRANEAKEKAATAENSESPESKKEKENSENPESKEKEKERKENSENKQDNSKAEGGTEATIDLRPLTMEDLRQAKNQVAASFATEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >OGLUM05G28900.1 pep chromosome:ALNU02000000:5:29741749:29743613:1 gene:OGLUM05G28900 transcript:OGLUM05G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDSVQVAAGWPAAAREHEACPPPLVVGAPSHDADAQPEEEIPYSVSFSVPASPSGMHLGASVVRVHAAPPSVGEARIDMIHPAEPPPQMLWQQARFHSQPTLTVINGEAAAPVPRSDSTRDRRFDQFKTFSGRLERQFSSLRGMLPQEPAADIETADSKISEEEADGGEVPTADRYFAALEGPELDTLRTNEDVGVQATEVPVLPEDERWPFLLRFPISAFGMCLGVSSQAMLWKTLASEPSTAFLHISLDVNHVLWWVSVALMALVSAIYLLKVVFYFEAVRREFHHPIRVNFFFAPWIACLFLVKGLPRQVWTIHHVVWFLLMAPILLLDLKIYGQWMSGGERRLSKVANPSNHLAIVGNFVGALLGARMGLREGPIFFLAVGLVHYIVLFVTLYQRLPTNVQLPKELHPVFFLFIAAPSVASMAWARLTGEFDFGARIAYFVALFLYMSLAVRVNMFRGFRFSLAWWAYTFPMTSAAIATVLYASEVTNVATRAMAVGLSGIATVTVTGVLVTTMYHAFVRRDLFPNDVSIAITRRKPKFSKILAHLRSSGTDVKELVFSVSSKNGADDSASVSKASNCSSGDQSPVPHAGAGRGR >OGLUM05G28910.1 pep chromosome:ALNU02000000:5:29774299:29775357:-1 gene:OGLUM05G28910 transcript:OGLUM05G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAIARLAKLQVLSAYIIGVTHESSISELKPLKKLKVLALDFLENVLQVQEAKDAILNDKHDLVSLSYQWNTYVENAEQVLESLQPSDGLQNLQIISYPGAKLPQWMTWREPYLKSLLHIKLFNMKACQKLPPLGQLPLLKTAEINGMSAVSIIDDAFYGDNGTFPSLEKLILSHMHNLEIWHHSERKDMFPRLCELTLIHCPKFEALCMELKHLQKLSLSMNNWLLYSRRGSFNGVARSVRSISLSLCQELTVSDGCKGLLELRHIQELEVCSCPELTSLPNGMRYLVSLRSLRVENCVRLESLPNWLQSFPCLTSLRMSDCPVLRSIPKGLRRRSDIQVTLEGCSNCYW >OGLUM05G28920.1 pep chromosome:ALNU02000000:5:29776212:29779102:1 gene:OGLUM05G28920 transcript:OGLUM05G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAFLERATKRSETDSDPDAEMGAMRTMILKVVKDMSPSFCCNFIPWQDLVEVENQERKTFAAVDEPHLQGERSTLAARAMSSLSWRTQSSSVSHSTSCNPTFLAVDRSAAAATATATAIDHAGAACQCQQAMSMPPLLNRLDTVDRCFAVAALSTSDLGGIKRKLGREMRFLELWWDEIREKFYGEFGQTIEHVWFPIGEIRRQYRTLAASQDTVEILHLMERMRDTTHSLLKHNTRPGTGSGGDDEIAAELPRRDDDDELSLVSLRAHLTSIIRLLTDAIVHEDACEAKLLLDKIRAELGRLEGAFNDIHQSEKTIEGSFGAVQHLVDELLLDAAAAPVATSSRQDQLRCLIAALSSVDREVAAITDRVNDTFRLSTAAAAAAAATPAARAASSCWGGALESSWETRRHLQLAMDSLDTRLKRCLLCFVVFPDDAAIKRRLLIHWWVGERLVDSVDQGKEVFDELVSSTGFVTPLRRPHCSKVHGCKIQPWVRVLLVACARRNAFLDLDANGMPRDDFARTRRSCLREGRTVASGAGAGGFRRDVTTIYNVDRRYVDLDKSWFARKEELTTLQLGTWRDHGYDPRAHHVELINGELLRGIGACRNMRYLSFRGISRIEALPDSIGGLCSLIVLDLRSCHNLATLGEGIKSLVSLEYLDGIEKLSKLQVIKGFVVANSSSKDLCRLSELRTLTRLRKLSIVIGRTARPEADEVTALASLPALRSLTMTWSGVSPAEQDGRDATDKVAFALSSELEKLDLRCFPLPDFPRWAEPHLLPRQEKLYVRGGMITGLGEGGGGSAVKVLRVRFLRHLDYSWEKLHDAYGKLEILEVCECSNVQAWPACRGGLGLWRKGEDC >OGLUM05G28930.1 pep chromosome:ALNU02000000:5:29780895:29784330:1 gene:OGLUM05G28930 transcript:OGLUM05G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQKIGGTTFKHHKHYILPCKDLRVIKELKLHACKQTMVRIQNLNLILQIANFMDATFHMNQQTTFHIWTAAKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKPLQPNGNTSFDAKKNVGSKDQPGFMHMSTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVSISGAIPVDEQNVVILHQRPISSMLLLCYSASKKPRLSADNKIHIRNGAAGL >OGLUM05G28930.2 pep chromosome:ALNU02000000:5:29780895:29784330:1 gene:OGLUM05G28930 transcript:OGLUM05G28930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLRPSPAAGGWAGVAGVGPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLENLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTVELKVARLNQQILTCQIFTDRAGLRQQKIGGTTFKHHKHYILPSTGHKRTQAARLQTDNGQDSKPKPYPSAKTLSWHLSSENSISTTGAQKYTFTLGDTISSKPASNGSMYLLGKDIPASPMHKPLQPNGNTSFDAKKNVGSKDQPGFMHMSTFNALDKPRGREIQKVPVSTKSMLATLFIKHKSAKTRKASVSISGAIPVDEQNVVILHQRPISSMLLLCYSASKKPRLSADNKIHIRNGAAGL >OGLUM05G28940.1 pep chromosome:ALNU02000000:5:29784848:29788492:-1 gene:OGLUM05G28940 transcript:OGLUM05G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPPQPRSQPPPPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRMF >OGLUM05G28940.2 pep chromosome:ALNU02000000:5:29784848:29788492:-1 gene:OGLUM05G28940 transcript:OGLUM05G28940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGARASRHRQSPDQSQSQSPSPHHKHHHHHQTTRAPKPKPKPQPPPPPQPRSQPPPPPPPPRHQPQQAPQQAAAEDGVGRVLGRPMEDVRATYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLARPDDLDDVRREVHIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRLYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFSSEPWPSISSGAKDLVKRMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRAGLPKLGTKISESELRQLMEAADVDGNGSIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGFITVDELEEALTKYDMGDEATIKEIIAEVDTDHDGRINYQEFVAMMKNNSPEIVPNRRRMF >OGLUM05G28950.1 pep chromosome:ALNU02000000:5:29790598:29793418:1 gene:OGLUM05G28950 transcript:OGLUM05G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPVSQAKCLNSIQSPNAATKANHPTIGVVPFASRTFFSYTKPYHLPSLGETNYLDYAAADFKAAAMVISPKMKVGTSRNHEVG >OGLUM05G28950.2 pep chromosome:ALNU02000000:5:29790598:29793635:1 gene:OGLUM05G28950 transcript:OGLUM05G28950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRFLFQEFSSVLSRSMPNRPTSAEGGGVSAINNVFNGALRRFSTVIPNNHGLPVSASVMDITESHLGGMY >OGLUM05G28960.1 pep chromosome:ALNU02000000:5:29794402:29796267:-1 gene:OGLUM05G28960 transcript:OGLUM05G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVALRRAFSAAVAASPSPGLGAAASPVGFQHGPLLRRLINSDASAALKNNKHGFSSESRDARMDIKRRCELKEHEFFHLVEEALKTADPVKARLVFEKRKELSDLVREYKANSGLKTANRRLNLAVIISAVVSGYFVAGMIASN >OGLUM05G28970.1 pep chromosome:ALNU02000000:5:29798722:29800830:1 gene:OGLUM05G28970 transcript:OGLUM05G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLRQVGEAIGGVNALMAFHDDLRCINPRQCALLAHAYALAFRAVAGELRARLRFHDRLTKWKPLDDPLRELHRVVRDGEAYIRHCLLLDPAHWWARAAAATHGTECVEHHLHNLLWCVSVVVEAVENVSEVTGSDPDELARRRLALARDYDKDLLDPKLFRERLGETFLATRELAARMDMAWKEDRWLLSQLLNERKGPTSSPEPPLTRQEHRLADLLAAPRGKLHPASVLLMSDFHMRRRLGGNGNLKEVQWLGEAFAVKHVVGVDAEAAAAEVAALASVSPHPNVAHCRYCFHDEEKRELYMVMDQLMSKDLGSYVKEVNSAKRRAPLPLVVVVDTMLQIACGMAHLHSNKMYHGNLNPSNVLVKPRHGDAYLHVKVAGFVSGSGTANAANPCIWCAPEVVGNEAAATEKGNVYSFGMICFELITGKIPFEDNHLQGENMSKNIRAGERPLFPFQSPKYLTSLTRRCWHGEAAQRPPFHSICRVLRYVKRFLVMNNPEQAAADAAGAGPAVDYLDMEAQLLRRFPEWEGNGVADVPFEMYAYRVMERDKMSNACRDRSSDSGSDGNSLWGDDSASGGSSTTATDASASSRPLLDRSGSTRSSPPPPPRKVAIAAAKAGKCRSGIVTRLKPSSKITASSMSVTCAGPPQKSRSMGTVRPPPVVARRTPRIKSDGHLNRAAIPPTRRRKSGGNASDSELA >OGLUM05G28980.1 pep chromosome:ALNU02000000:5:29801399:29805558:-1 gene:OGLUM05G28980 transcript:OGLUM05G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01100) TAIR;Acc:AT4G01100] MASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGYQVLSICKSLFAGGVAGGVSRTAVAPLERMKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASSGILWLYRQQTGNEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMFHALGSVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPYDLGKDNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWNNAASIVTGEGKEALQYNGMIDAFRKTVRYEGVGALYKGLVPNSVKVVPSIAIAFVTYEFVQKVLGVEMRISD >OGLUM05G28990.1 pep chromosome:ALNU02000000:5:29839316:29844943:1 gene:OGLUM05G28990 transcript:OGLUM05G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) TAIR;Acc:AT2G46370] MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRNGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVVDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >OGLUM05G28990.2 pep chromosome:ALNU02000000:5:29841515:29844943:1 gene:OGLUM05G28990 transcript:OGLUM05G28990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive GH3 family protein [Source:Projected from Arabidopsis thaliana (AT2G46370) TAIR;Acc:AT2G46370] MTICSCEETINEFEMLTRDAARVQKDTLKKILEINASAEYLQNFGLGGRTDAESYKSCIPLCVHNDIEPYIQRIVDGDTSPVVTGEPITNLSLSSGTTHGKPKFIPFNDELLETTLQIYRTSYAFRNREYPIGQGKALQFVYGSKQVITKGGILATTATTNLYRRQRYKEGMKDIQSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSEEVHSVFSTFAHSLVHAFQTFEEVWEDLCTDIRNGVLSKKVTAPSIREAVSKILKPNPELADSIYKKCIGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGNLPLISADYGASEGWVGSNIDPTVPPEQVTYAVLPQVGYFEFIPLEKPIGEETENSASIHYIESDPVGLTEVEVGKIYEVVITNFAGLYRYRLGDVVKIARFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEASKFLEGEKLEVVDFTSFVERSSDPGRYVIFWELSGDASDEVLSSCANALDLAFIDAGYTGSRKIKTIGPLELRILRKGTFKEILDHFLSLGGAVSQFKTPRFVNPSNSKVLQILSRNVTQSYFSTAYGF >OGLUM05G29000.1 pep chromosome:ALNU02000000:5:29847707:29850455:-1 gene:OGLUM05G29000 transcript:OGLUM05G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPACGDGPGRLLPLFRDHRLQAPHSHSHKGIEAAAASSSFRPCALTQGAAAAIFCASVAASPLLWSFATAREHSSVQFRNSYSLRLATMHTYDVQQRSHLERFAALDEAPPPAPEETVVTGGEMVDYMLGQPPPTTPGPQSQVSFDKLTFSDVLQFADFGPKLALNQPAASDNGGGGGDDGDDDDDSYFLRFQSLPSLPAVPPPRGGAGAAHQVVDEQEGSKQTVDAGGVSESTTLVQQADGGGGRAEKAGEQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRVLRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGDAPAPPARPVADGAVLPAPMQQPPPATPPFFPPSIPFPASSGAGDGTGAGVAKVALDLDASGGGEVGGGVREEMAENKSCVADIEVRVVGVDAMIKILSRRRPGQLIKTVAALEEMHMSILHTNITTIDQTVLYSFNVKIAGDARFSAEDIAGAVHQILSFIDVNYTL >OGLUM05G29010.1 pep chromosome:ALNU02000000:5:29852712:29859274:1 gene:OGLUM05G29010 transcript:OGLUM05G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPTSHRLLLAALRRRRVLRNVGVEGFRFGGGGGGGGGARPVGGAMGSEAKEMKYRRRARVPEPFDYGQCGGDRSGVLDWGALKENPVELLRKLDELRDHITRSCEITDQPRERHRMSRRTASLRPSHAEPPPPPPPLGRGPEHYRSRYTGRYGSGFPHSPNDQLHRSMHRDRYERQPSGRFRQWPERQWENSGYLGGNHHQSTCQCAQCLHGQRAVMQEEHIPMTRYFAGQQGSHLFDRSPSVSSELDRRSVASSLYSHFSVSKRRTEFFRKKAESFCRPVRGAAPFVVCSSCNQLLQLPPGKCTARKQIQVRCGSCSEIVSFKLKEVKIHPLVAPTSFPASKTVGSSSRQVNKSFGWYQHQDEGNSSFHKLQAQERWQQNKDLADNISVSSTSSYDRIDKECGSNRSSQLLSVSVRRSRLANIPKDILCQGDAYSQVETSAFNTGNLQAPVIEDKCVDPFSSRLKDCSGGDRTSKECSLNIMADSVDANVRDERSDVTYEQNSKDHKEGFGEETVSSRHEQKLKESTSGFCDDGSMGNIDKLRADNDDTSSLEDGDVSKKYEEKIKQDDNNFQSEFITERYSKCSKEDNNSVIQVETIATICKQDDLDDCYSELLSPNSEHAIMPSKLESSVNERTNSSSRVSSEAELDEVQSAATKNGDSKFFAGFLKKGLKDISLFNQSVDSAKVSINGHSISERVLRKAEKKSGPVGPGSYWYDYRAGFWGVMGHECSGIIPPFIKEFNYPMPKNCAGGNTGVIVNGRELHQKDFELLAGRGLPRISGKSYSVEINGNVIDETTGKKLRKLGKLAPTVEKLKRGFGMHVPEEKS >OGLUM05G29020.1 pep chromosome:ALNU02000000:5:29860757:29863857:1 gene:OGLUM05G29020 transcript:OGLUM05G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGGSPLLRSPSPVMNTMPTPPSAAVFDVEAASGARRLGIKPAADAGAAFVLESKGKWWHAGFHLTTAIVGPTVLTLPYALRGMGWALGLVALTAVAAVTFYAYYLMSRVLDHCEAHGRRHIRFRELAADVLGSGWVFYLVVIVQTAINAGITIGSILLAADCLQIMYSDLAPNGPLKLYHFIIVVAVVLSLLSQLPSFHSLRYINLGSLLLSFGYTILVSAACIRAGALSDVPEKDYSLSSSNSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYTVVLFTFYLPAITGYWAFGSQVQSNVLQSLMPDKGPSLAPTWLLGLAVVLVLLQLLAIALVYSQVAYEIMEKSSADAARGRFSRRNVAPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGLIGAVASVRKLVLDAGQFKLFSGNVVD >OGLUM05G29030.1 pep chromosome:ALNU02000000:5:29864021:29867080:1 gene:OGLUM05G29030 transcript:OGLUM05G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor E [Source:Projected from Arabidopsis thaliana (AT5G24120) TAIR;Acc:AT5G24120] MASTVTTPSRPVSAGCHRRSPRRSAPVVLSLGGGPRRRTPSSTSCSALASPAKQGTAKLPPPQPTASRTAAADAERERERTDYNEVAAALESIYKLSPAVVEEKDADEEDEKSKQTKRKRKGRVGRSRNATVTVRSSRRRRRGQRMDLGKRVEMRRREEEEGGGGAGKVEDEERGFEGMLLREHAVSTDMGSLDWKRMKIPPVLTSAQSIRLFRIMQPMKAILEMKENLENEVQSEPNDAQLAEAMNMSVLQMRRHLEVGRAARNKLIKHNLRLVLYTINKYYPDMSNDERFDDICQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAITLSNFTRFPFAMESERQEIHRAREELAFELGRAPTEEEVMKKVGLSPARYRDVVRMTRPTYSLHARNRVTQEELINEVTDDDAIGVDTSSHNTLLRLAIDDLLDSLKPKESVVIRQRFGLDGRGKRTLSEIAGNLNISREMVRKYELKALMKLKHPTRVEYLRRYM >OGLUM05G29040.1 pep chromosome:ALNU02000000:5:29867620:29868880:1 gene:OGLUM05G29040 transcript:OGLUM05G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLATAREARMYGPALAVRRWEYINAGAYVFAALLLAVALAALSAGGGGGGGASRAALAVAAVALALVAAVNAHDLAAHLAGVDCRVGLVRYDAQLGLVELLVPALHVAGCVLAVVAMALLLSQGERETHAANTLLAAALVWLLGSILNSCQVYERADGRAQLLQSSVQVPMLLGSLLFLVGAVVNRRRRPEPPVLVGRSWAWAMCVLGSVLWLVAAVFNMGKVFVMHQSDAPRLEKLRGGAQERLSRDREGRVPLNWEEAARSRRVALPAELR >OGLUM05G29050.1 pep chromosome:ALNU02000000:5:29871520:29874030:1 gene:OGLUM05G29050 transcript:OGLUM05G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G01030) TAIR;Acc:AT4G01030] MAKAQAQLVSLSFPPPAPPSARPRHAPPLLNAAALRTGVPPYSAGVLVSLLRDCADLHGDDTDHRVARRLAPQLHSLAVRTGLSRDPRVTCALVDLLARLGRGPSCARLLHEAAEDGAKDAVLWNKHVAMLAEAEEWDEAIAVFREMQARGVPADGYTCARVLHACGRAGALREGRAVHAYALKLALDAHPLVPGFLAGMYAENADVAAATRVLDAMGAGSVVPWNAVVACCARLGLVDDALELAARMSRSGPEPNVATWNTVLSGCSRHGRDREALGVVASMLKQGLRPNATTVSSLLKSVANTGLLRHGMEIHCFFLRNQLEPDVYTGTALVDMYAKCGRLDCAQKVFDALEHRNLTTWNSLVAGYANAGRFDIALELVELMKKNRLDPDITTWNGLITGYSMNGQSSQAVLLLRQIKAAGVTPNVVSWTSLISGSCHNGEYEDSFYFCHEMQKDGVQPSLVTMSVLLRACAGLALQKKGKELHCFALRRAYDCDMVVSTALIDMYSKGGSLVSAKVIFESIQQKNLVLCNAMLTGLAVHGQGREAIELFHDMWNSGLKPDSITFTALLTACRSMGLVTEGWEYFDSMETKYGVKPTTENYACMVDLLARCGYLDEAMDFIERSPIDPGASHWGALLTGCSIHGNLALAEVAARNLFRLEPYNSANYLLMMNLYEYERMYDEAESLKYAMKARGVDSRPGWSWIQIEQGIHVFEVDGKPHPETAEIYEELIRLVFQIKKAGYVPDTSCIAYNVQEEEKEKLLLGHTEKLAITYGLIRSDASRAPVRVMKNTRMCNDCHEVAKHISSLCDRQIILRDAVRFHHFVDGKCSCNDYW >OGLUM05G29060.1 pep chromosome:ALNU02000000:5:29875150:29877154:-1 gene:OGLUM05G29060 transcript:OGLUM05G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLRLSLAAAAAAALAVAVALLLPPLAAAQGETTCPADVPRRGAWMSVASFGGVGDGRALNTAAFARAVARIERRRARGGALLYVPAGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLIDPLPSYGRGRELPGGRYMSLIHGDGLQDVFITGENGTIDGQGSVWWDMWRKRTLPFTRPHLLELISSTDVIISNVVFQDSPFWNIHPVYCSNVVITNVTVLAPHDSPNTDGIDPDSSSNVCIEDSYISTGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVHVEHLNFFGMGVGIHVKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDASYDPSKLPVVDGVTIKNVWGQNIRQAGLVRGIRDSVFSRICLSNVKLYGGDSVGPWKCRAVSGGALDVQPSPCAELTSTSEMSFCTN >OGLUM05G29070.1 pep chromosome:ALNU02000000:5:29879022:29883519:-1 gene:OGLUM05G29070 transcript:OGLUM05G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGAVKDQESSANPASASAALSEASAAASEVTAAAAAGAGAGAAEEGAAVSGRPPRPPHDKRLGVRHPLKHRRFRAGGKVMVEPGDPPSAQEVADEEASEVEQEAAPVEREPPQEEGGDVEVSSAPAEMEVVEGDAMEVSPEPAVAVGESELEGRPGEEEEVSSPVVPQGERKQETAAAAPVPAVEEKKHKDQENKHKEREREKERERVDEVGYMSGGWKSEDGFLSCGYSSFRGKRASMEDFYDIKSSKIDDKQINLFGIFDGHGGSRAAEYLKEHLFENLMKHPEFMTNTKLAISETYKKTDSEFLDSESHTHRDDGSTASTAVLVGNHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRLLKQFVVADPEIQEQEIDDELEFLILASDGLWDVVPNEDAVSLVKIEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKMDGDSSPTSDKS >OGLUM05G29080.1 pep chromosome:ALNU02000000:5:29886363:29891312:1 gene:OGLUM05G29080 transcript:OGLUM05G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCTRRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKDDLMELEDQDLATKMLKNQKRAIDFWQKHWHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHFDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >OGLUM05G29080.2 pep chromosome:ALNU02000000:5:29886363:29891312:1 gene:OGLUM05G29080 transcript:OGLUM05G29080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MDDLMELEDQDLATKMLKNQKRAIDFWQKHWHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHFDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >OGLUM05G29080.3 pep chromosome:ALNU02000000:5:29886363:29891312:1 gene:OGLUM05G29080 transcript:OGLUM05G29080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MGNVQHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHFDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >OGLUM05G29080.4 pep chromosome:ALNU02000000:5:29886363:29891312:1 gene:OGLUM05G29080 transcript:OGLUM05G29080.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MGNVQHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHFDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >OGLUM05G29080.5 pep chromosome:ALNU02000000:5:29886363:29891312:1 gene:OGLUM05G29080 transcript:OGLUM05G29080.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 14 [Source:Projected from Arabidopsis thaliana (AT4G20130) TAIR;Acc:AT4G20130] MATPAASPLLLPLPLPLPASTFPPRRAVPCTRRLVLRPPRAGRPRLRDPPPAAPPPAVEEVGEEEEDDDAPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGVEFLEGPDGMAVYASRDVDPLRRARVIMEIPLELMLTITQKRPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDVEDNFWQLYGDFLPSVDECTSLLLAPKHKTIPLKLKRLAPDHERFLWALSIVQSRSVNLKLRMGAFLQDANVLVPYADMLNHSPDANCFLHWRFKDRMVEVMIKAGRAVKKGDEMTIDYMSGVNSSFMERYGFSSPTNPWELINFSSDAKIHFDSFLSVFNIAGLHDELYHNAALTSGENNFVDGGVVAAARTLPTWSEGDVPAIPSLERKSAQALQEECHTMLESFSTTIQQDQEILDSDGHIRRTREIAIKYRLHRKLLLQKIIDALDIYQDKILF >OGLUM05G29090.1 pep chromosome:ALNU02000000:5:29893594:29896958:-1 gene:OGLUM05G29090 transcript:OGLUM05G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGADRLSIRAAALALDDAAAGRDKPDTKRDVFADLGSPVSPLRLRPGGAAATPSSSSSSAGSAKSPALCNAGAGVGRGGGGGRGSHSGELVAEGNPPRPPGHRRSGSGPLIFSGGSSSAGSGGGGGGCGGGSTASSPLTNALPTGNICPSGRVASAAPAPPRRARPDVLGSGTGHYGHGSIMRGGGGMAPPRSSIDASPYHGSYSRSPAPQGSSGGLQEVTRAGNEWYKKGHYGEALRHYDQAVALCPDSAACRSNRAAALIGLGRLAEALRECEEAIRRDPASGRAHSRLAALCLRFGMVERAREHFMLAGQVNQSDPAEFQRLQEVERHLGRCMDARKTGDWKSALREADAAIANGADSSQLLLALRSEALLRLNKLEEADSTITSLSKLDIASLSSMSTKLSGMVADSYVHVVEAQVNMAFGSKTRTEVYSCRFDIAVTMAEKARVIDPGNTEVGRITNNIRLVAQARGQGNELFKAGKFAEASLAYGEGLKYEPSNPVLYCNRAACWSKLGRWMKAVEDCNEALKVHPGYTKALLRRAASYAKLERWADCVRDYEVLRKELPNDTEVAEALFHAQVALKTARGEEVANMKFGGEVETITSIEQLRDAIHSPGVSVVYFMATMNQQCQQITPSVDSLCSECPSVNFLKVNVDESPMVARAENVRIVPSFKIYKDGARMKEMICPSLHILRYSVKHYAVSSS >OGLUM05G29100.1 pep chromosome:ALNU02000000:5:29906485:29915093:-1 gene:OGLUM05G29100 transcript:OGLUM05G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRLAGAALGCLSLFLLSRALLFSQDDPEPVKRPDEASSISLPPDRIAIIAAAPAPSPATAAASDGSPAPAQDEVRCDLFDGSWVYDPAGYPLYDAGECPFLSDQVTCRRNGRPDSGYEHWRWQPRRCAAALRLRGGEMLEQCRDKRVVLVGDSLNRNMWESLACILYAAAPDRSRATVDDASADHKIFQALDYNCTVEFYWSPFLVDLDDQTRVLKLDRLPATTYRRLAAADVLVFNTGHWWTHTGKFRAWDHLERNGKKVEMGAEEAFNRALRTWTRWLDRNVDSHKTMVFFRSISPEHKNKNWCYNETAPMARAEEYVEAFPRGMVSIVERNVRRARTAVGYLDITRLSELRRDAHPSVFTPSTRKRDVKKLVQEHSPCQPSTLQADAYTTGLSMKEIERRRKIGAANKGKVPWTKGRKLSKEHKELIKRRTTEALRDPKVRKKMLGHRQLHRQASKDKIGAALRKIWERRMVAVKARQEVLRIWSNSIAEAAKYGDYCQDKLDWDSYDRIKSEMISMFLWNKERERIMKKLEKAEAKIVAKKLQAAERSKLQTRGIKKLQHQKLVLRKSDAQPTRVVVSTRPKLKERLTKDSTHNDLVPLPIPIEGIGELIDHDCSRMKSKENGSIQKAGKVDHSQRGRPNWVLVAGGVLLSTLSVRLGCKLKQMFDAKKQNTVADGVEIRQAPGSPLPKSTDLSPLLLVEIPGPESSKENSGVMWTSSPDRLEDPRKPFQYSNSSGSPCFSESGSDIYNKREVIQKLRQQLKRRDEMIVEMQAQIVDLKNSLVVQVTQTTNLQSQLDAASRELFESEREIQHLRKIIADHCVAEALSHDKPLQAGHWQSDATNGHANGYADSSVDDPELHYIGIEKRKGEVEKVEMLKREVCDLKEVIEGKDFLIQSYKEQKLELCGKIRELQEKLSAQVPNIL >OGLUM05G29110.1 pep chromosome:ALNU02000000:5:29915801:29918422:1 gene:OGLUM05G29110 transcript:OGLUM05G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWFKLGGSAVRAMGALRGSPPAMASVFTGGWLLGSSISSWQTLKVAEQQIDALAREQEEYLNKFEAKWVEELNRLKLEMMNELEESEERLNREIDVLKMMARIAMEEKEMRMAMEEEAASPGPLQGESGDLGDV >OGLUM05G29120.1 pep chromosome:ALNU02000000:5:29919568:29922585:1 gene:OGLUM05G29120 transcript:OGLUM05G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQERPKCSCVQSSCTQLYCRCFRSRYFCSDNCNCSGCYNIKYYEDAIEEISDMIQMKNPNAFDPRIIVSVQDATAADPQSSTSAISDPKNTSDAMPGNEQRKHAKGCSCRKSKCSKLYCECFKNSVGCTAKCKCQECSNSFGVKNSESSNKPDPDDKSATDGLTHEETTTENITLPGETWNSDPNKRPRYF >OGLUM05G29130.1 pep chromosome:ALNU02000000:5:29922546:29932481:-1 gene:OGLUM05G29130 transcript:OGLUM05G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFKTNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRLAAENIMSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >OGLUM05G29130.2 pep chromosome:ALNU02000000:5:29922546:29932481:-1 gene:OGLUM05G29130 transcript:OGLUM05G29130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFKTNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVSGLAKGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERSSDTLRSSGFLYLHFKSYNGLGHYTIPEEMDDVGKWLSSRLGLDRSR >OGLUM05G29130.3 pep chromosome:ALNU02000000:5:29922546:29932481:-1 gene:OGLUM05G29130 transcript:OGLUM05G29130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFKTNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVSGLAKGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >OGLUM05G29130.4 pep chromosome:ALNU02000000:5:29922546:29932481:-1 gene:OGLUM05G29130 transcript:OGLUM05G29130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLSISPDFIFNNGELSVAFIFKTNWDCQNEGAVFSRVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRLAAENIMSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >OGLUM05G29130.5 pep chromosome:ALNU02000000:5:29922546:29932481:-1 gene:OGLUM05G29130 transcript:OGLUM05G29130.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTHSTGWTCLPPPPPEPAAPGRGVCAMSTSWRDKQQPSLINFIAAFLAANSYRLNFLVNMLKRQLKHLYVVVAVPTKEQNESFNRSYHKYGMKLGFPTFVPVTDPEMGFEKIVKIAHALGVCKQQDIISRLKNEREQAVQCTDSFLRVLTSIPGIDNHDANALAQAIGSIEAIAKASKKFILENTDLSTDKAETIVRLAAENIMSYYGSSSSGGRGGQRVEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLSLPNIKWICPTAATQPVTAFGGFPCTAWFDVEDTSVDGRDDIEGLDASAAHIANLLSSEPPDVKLGIGGFSMGAAASLYSAACYAHGKFASGIPYPITLSAVISLSGWLPCSRTLRGKMESSHIAARRAASLPILLSHGRADEVVSYRNAERLGHYTIPEEMDDVGKWLSSRLGLDRSR >OGLUM05G29140.1 pep chromosome:ALNU02000000:5:29935337:29940347:1 gene:OGLUM05G29140 transcript:OGLUM05G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAALRLLLLALAVACCAVAEPPQQERSALRAFLAGTPHERALAWNASTPACAWVGVTCDAANATVVALRLPGVGLIGRVPQGTLGALRGLRVLSLRSNRLFGDVPGDLFSLPDLRSLFLQGNLFSGSVPPDVAKLTALQHLALSHNNLTGAIPFALNGLANLRSLRLDGNRFSGSLPSLTLPLLEDFNVSYNQLNGSIPASLARFPPESFAGNLQLCGKPLSRPCEPFFPSPAGAPTPTDGRGSGGGSVPVSEKKKKKLSGAAVAAIAVGGGAAALLALVLLVVCTAASRRRAANGEVGKKAAARGLTPPSTASGELGEVTSSTSKEIALAAAAATAERSRLVFVGKGAAYSFDLEELLRASAEVLGKGSVGTSYKAVLEEGATVVVKRLKEVAASRREFSAHLDSLGKVDHRNLLPVRGYYFSKDEKLLVCDYLPAGSLSATLHGSRGNGRRTMDWDARMRAALSAARGVAHLHAAHSLAHGNLKSSNLLLRPDPDAAALSDYCLHQLFAPLSARPNAGGYRAPELVDARRPTFKSDVYSLGVLFLELLTGKSPGNASVDGDGAVDLPRWVQSVVREEWTAEVFDVELVRLGGSAEEEMVALLQVAMACVATAPDARPDTADVVKMIEEIGSGHGRTTTEESEDRSRGTPPAGTTP >OGLUM05G29150.1 pep chromosome:ALNU02000000:5:29940341:29941012:-1 gene:OGLUM05G29150 transcript:OGLUM05G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSEYAIVTSGGGGDVACLGGGGAEAIGGAAGGEGGGGSRGGHAAAEAVVVDSAVEVQRLAPLPHQDRPLPDGLAAAAVLLGRRGPPATVAAAVEEEEAAAGAREAAAAQGDEAAQPAHDVVVVVVVVVPVVTSVGDGHGWNLLLLAAAAVVIHEEMKISARMPPRPRDERIHRFSWSWSWRNKSKGRGPLARAPQRPNLQKPNSLRPTRPQAAASPFWPG >OGLUM05G29160.1 pep chromosome:ALNU02000000:5:29942044:29943120:-1 gene:OGLUM05G29160 transcript:OGLUM05G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3H5] MVMNPDAVRNVVGIIGNLISFGLFLSPLPTFVTIVKKKDVEEFVPDPYLATFLNCALWVFYGLPFIHPNSILVVTINGTGLLIEIAYLAIYFAYAPKPKRCRMLGVLTVELVFLAAVAAGVLLGAHTYDKRSLIVGTLCVFFGTLMYAAPLTIMKQVIATKSVEYMPFTLSLVSFINGICWTIYAFIRFDIFITIPNGMGTLLGAAQLILYFCYYDGSTAKNKGALELPKDGDSSAV >OGLUM05G29170.1 pep chromosome:ALNU02000000:5:29945203:29953410:1 gene:OGLUM05G29170 transcript:OGLUM05G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVMSEILLRLPSKSVDRYRAVCRSLRRITSCPYFLAARDAGGILRRPPGVGAGPRPVRMLIHCSIWTARFVCVEERDDVMVVCESAHDKKLNTQHAAGLYHLRDNKSDEMAVYVVRKLCLDKYQKFHRLDSVGIPLRPRPFGWNAIARITGRRIHSISPNYLGEHLHKSRQMQQISKDLHENRRIYSDGLV >OGLUM05G29180.1 pep chromosome:ALNU02000000:5:29946540:29953299:-1 gene:OGLUM05G29180 transcript:OGLUM05G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSPGDPGDGVPSKRARTERDPYRVETMEFLVLVKAELPDDVYSHFVRSMIKIRRQRNMSIEKCKEIILEILDGQPEAIQVFEHFIQGYSPCRAKMRSKAHNFVERVKACPDISREDFHALLNVLAKYYKNEIKTSEEVLEKVERIIGNYPEFLEEFKIFVPHHLRAHLPNEKSCTSPKSSRVSETFVSFTLDAMNKLDGLRVKATNGRNQATPLKYTQDQNQNHEGRGYSLRHKQTKRTTGLIENPRKEGDDKSPHAEDDEEHKAEPLLQWSTSRENELPLKVDPSNCKHCTPSYCLLPKNSSYQTELGRSILNDSLVSVTSGREDCYKFRTKNQYEENMFKCEDDLFESDMLLQRFRATADFIEDLQYRFGSNVKIQEHLTPLHKRCIEQLYDDSGIDMLDALSESENTSSALAVILSRLNQKIGDFSEARLSLNKMCSDTVANNYYRSLDHCSPSFKQLDMKRMSPKALLAEDKQISQIKSHTDIHIHEDVGVIINYAYSRSCTTEDKPMMNWTKLVKAFVSVKFQWPDLKDTVSRRNVCEHCGMSRDFLNTIPVAVLTNEFVFSSKEVESLRAKSNESTSSLDHFDAEVEEGEFIPDVENIQLRVRCLPTNNSMHSTYGHWSGSEEHKSSRDDSNKEVGSSEYFGRTSKECDANRGISCCTLAVLCRLLQVMYERLLVAKNLSEGASTHDSYAHFKEKLCSLIDGSTDNWNFEQHCLKFLGPNSYVLFTLDKLIDRVIKQICKIYPGREDSSVLQRQERSRRTFNILKDPALPARRTNSSKELLHHQNARGPSIELPKQGREEAKGGCESHGDTGKMKQNHFQRRKKRALENGPPSFSQPGSGNQAHN >OGLUM05G29190.1 pep chromosome:ALNU02000000:5:29954635:29963836:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKRNEGARAMPSSLLSRRRARRAIRTGTEHRSHGAAGCLPAKGAATAPPTTASKATYCTRRGLKFRGLYMPNVQVADVSNLTAGITVYKKDKVNCMLDAFVFNNLGLSGFIPLRLQKLSKNLKRDIDIIIPH >OGLUM05G29190.2 pep chromosome:ALNU02000000:5:29954635:29963873:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKRNEGARAMPSSLLSRRRARRAIRTGTEHRSHGAAGCLPAKGAATAPPTTASKATYCTRRGLKFRGLYMPNVQVADVSNLTAGITVYKKDKVNCMLDAFVFNNLGLSGFIPLRLQKLSKNLKRDIDIIIPH >OGLUM05G29190.3 pep chromosome:ALNU02000000:5:29955650:29963875:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKVNCMLDAFVFNKSFKPWSLRLHSSSPSETQQEPEARHRHHHSSLGPLQPPQALSAPPLSDARFLGTWHGFRHCGSC >OGLUM05G29190.4 pep chromosome:ALNU02000000:5:29955650:29963875:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKVNCMLDAFVFNKSFKPWSLRLHSSSPSETQQEPEARHRHHHSSLGPLQPPQALSAPPLSDARFLGTWHGFRHCGSC >OGLUM05G29190.5 pep chromosome:ALNU02000000:5:29955650:29963873:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVQVADVSNLTAGITVYKKDKVNCMLDAFVFNKSFKPWSLRLHSSSPSETQQEPEARHRHHHSSLGPLQPPQALSAPPLSDARFLGTWHGFRHCGSC >OGLUM05G29190.6 pep chromosome:ALNU02000000:5:29959535:29963873:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSNDEWELFTFLHGDNHLLRRFIHAADSQALHVGVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKHWSRRMPASIYHRVG >OGLUM05G29190.7 pep chromosome:ALNU02000000:5:29959535:29963873:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHINQLFTFLHGDNHLLRRFIHAADSQALHVGVDACCKVAEAGKQHITHLVWNQLVHDAADQHHRRCNGCSGCDGLLGAEELCTASFCSKHWSRRMPASIYHRVG >OGLUM05G29190.8 pep chromosome:ALNU02000000:5:29959535:29963873:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKLDLPVPGGPYSSFWSMASVSNVDGWWRSIGDHESEYSFMYIWRILSWSFMALAVAMMNGSSSPSSMVTTIFSEDSSMLLTRRRCMLVLMRVAR >OGLUM05G29190.9 pep chromosome:ALNU02000000:5:29959535:29963875:1 gene:OGLUM05G29190 transcript:OGLUM05G29190.9 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRSSIIDCFSFWSMASVSNVDGWWRSIGDHESEYSFMYIWRILSWSFMALAVAMMNGSSSPSSMVTTIFSEDSSMLLTRRRCMLVLMRVAR >OGLUM05G29200.1 pep chromosome:ALNU02000000:5:29957997:29959578:-1 gene:OGLUM05G29200 transcript:OGLUM05G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSGDYEKYMATAAAVAGTAMVVRGVVSELVPDELREMLRSAARGIRARVSSTHTVVIDETEGLSTNQIYDAARTYLAARINTDMQRLRASRVDDAQGIMITMDQGEEMLDVHDGVEYTWRLVSRDTAAAATAHAAPYGIGGGGAANRRGRSRFEVKSFEVSFHKKHKEKALRSYLPFVIDTAKAMNDKHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDHSLKHSVMYDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCTIDLQQRDEGEIKRAKPTYSGEENEDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTREAFRVLASNYHNVENHAMYPEIEHLIEEVLTTPAEVAEVLMRNDDVDVALQVLAEFLKAKRNEAGETKAENKNGNQKINKYEQSMV >OGLUM05G29210.1 pep chromosome:ALNU02000000:5:29960787:29962358:-1 gene:OGLUM05G29210 transcript:OGLUM05G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYDKAIESYKKAITTAASVAASVMLVRSVVNELVPYEVRDVLFSSLGYLRSQISSQHTIIIEETEGWSHNHVYNAVRAYLATRINTNMQRLRVSSMDESSEKMVVTMEEGEELVDMHEGTEFKWCLISRSISADPNNGNGSGQREVRSYELSFHRKHKEKGLKSYLPFIIATAKAIKDQERILQIYMNEYSDSWSPIDLHHPSTFDTLAMDQKLKQSIIDDLDRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLKFDIYDLELTEVHSNSELRRLLVGMTSRSILVVEDIDCSIELKQREAGEERTKSNSTEEDKGEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDQALLRPGRMDMHIHMGYCTPEAFRILASNYHSIDYHVTYPEIEELIKEVMVTPAEVAEALMRNDDTDVALLGLLELLKSKIKDASETKAESKDANKQTEENKDDKAMENKNDSSTDECT >OGLUM05G29220.1 pep chromosome:ALNU02000000:5:29964991:29969385:1 gene:OGLUM05G29220 transcript:OGLUM05G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQSWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >OGLUM05G29220.2 pep chromosome:ALNU02000000:5:29964991:29969446:1 gene:OGLUM05G29220 transcript:OGLUM05G29220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQSWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFRFININMNVGNV >OGLUM05G29220.3 pep chromosome:ALNU02000000:5:29964991:29969498:1 gene:OGLUM05G29220 transcript:OGLUM05G29220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVRIKFQISCTTAPTATNRQCYKSLTVLVVAGLGMLPILPKHLADRACKLSLLGTILSSAYSLYSTYGKPRAWNMPAVQSWLQAVLGTKDFIHLMFSLMLFTSQLHLKIAALPVFCWALDHVARFLRRNFARSSFYRSYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWQRSIIQTFMYWQVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >OGLUM05G29220.4 pep chromosome:ALNU02000000:5:29964991:29969385:1 gene:OGLUM05G29220 transcript:OGLUM05G29220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDASGGDGPEQELKRAAAAAYNYEGDARWAEYWSNILVPPHLASRPDVVDHYKRKFYQRYIDRDLVVEPMSSTGSTQPSGPEVLKQMYHAPVTASYHQSAWAKIGRIVNPYIHRYAPFLNTPISAAQRWWFR >OGLUM05G29230.1 pep chromosome:ALNU02000000:5:29970845:29973198:1 gene:OGLUM05G29230 transcript:OGLUM05G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3J3] MGISQGFQHLRPADAERKILDDALDRKPQIDWVEEDISSWMDKSYTSSNLEYNLLMQNIQVLESSLAGKDLVRLERDILVHIERLGALKSFNASTSRATLTQTYESEFSLPGDIIKLDPEIPLEEQNDVVIVRSGKSQERKLKRMKASEKGSRVSVKTPSRKSKKSSSSQFIAEWKNYPGRRRSIVREQSALLVTIKECANLEKIRENLLKDGSEVSHASWAKAAGIDEALLRSRLQEGYCCRERLLVTTEWLVKYIAKTYAGMGTAFEDLLQAGKMGVLNGAEKFDSQKGCKFSTYVKYWIRKAMLALLAENSGVIQLPARMEGIIRKVREARRAIRYNTGRNPPDAEIAALIGVSVANVRLARKCSRRVVSLYTEIGVGQNAKFVEVTPDTSLEAPDEAMFRMQLRERLLHVLDRLPPREGHVLKLRHGLEDGKCRSLEQIGSIYHVSKEWIRKIEKSAMSKLRNDDVHNELKDFCGF >OGLUM05G29240.1 pep chromosome:ALNU02000000:5:29974618:29976211:-1 gene:OGLUM05G29240 transcript:OGLUM05G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCSGDYSTAGQRAGEEGGGGGGAGLRLFGVQLHAAAASSPASYLHKSYSMDCLRLQVSSPSSLQSSSSSPSPLTSSLLLSIDEGCERPAADGYLSDGPHGAAATMRERKKGVPWSEQEHRLFLAGLEKLGKGDWRGISRSFVTTRTPTQVASHAQKFFLRHNSAAKKTNNKRRSSLFDMVQDCDSGGRSLASSDPATRCNNNISASLSLQVSHHKSGDSAWPSSETPSVSEAQQAMYIVSLISESFCLRYDSYPSTDRANSTSDKNVVAVSMAIGKQSVVPEALYGAQALQSLICAKKVSKCSVLNLC >OGLUM05G29250.1 pep chromosome:ALNU02000000:5:29977282:29980921:1 gene:OGLUM05G29250 transcript:OGLUM05G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNQFDLLVDVDNDDPSHLIAAAEKKAAAAAASPKLASSPAPAPAKLPTKPAPPAQAVREARNYGAPRDGAGRGGPGRGRGGGRGGRGGPRRDFGEGDANGFEGGYGGGGGFGDGGLARGEDVEGRQAERGRGPRQPYRGGGRRGGYSDGQSGDDYGRPRRAYERHSGTGRGYELKREGSGRGNWGTVTDEGLAQEVAEAVNTEEAPATAEDEKKPEDVPQSEVDKDKESPENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEVDKELQAMQQLSVKKANEEVFIKLGSDKDLKKKEKDEKDERAKKSLSINEFLKPAEGERYYNPGRGRGRGRGRGDRGGFYGGYNGNGGRSQAAAPVIEDQAQFPSLGGK >OGLUM05G29260.1 pep chromosome:ALNU02000000:5:29981577:29985267:-1 gene:OGLUM05G29260 transcript:OGLUM05G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSGPDKGRGAEAVVVVAVRAAARDISKAALVWALTHVVQHGDTILLLAVMPPPHNSGKKFWGFPLFAGGCASAHRSVLTQNSDVADLCNQMMLKLRDFYDPNKIITKLKVIPASLGGVATESKRAQASWVVLDKELKHEEKRCMEELQCNIVAMKRSRPKVLRLNLVRSPPKESKSPLPPLPELSDSVGETESSINEQRCPIREPAVTPSSSPESETAFGSTDVGTSSVSSSDPGTSPYSASETNSTFKKEATKDNFQHSDVNVSDSESEASTPPAASSLQPWMADILKGSASSRLAGNRPRRTRTPTADALLEKISKLDLLAEISAIRSRSDLNFRGNVRDVVSLSRSAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQYKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRRNKETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDDLIDPRLGDRFSENEVLCMLHAANLCIRRDPHSRPRMSHVLRILEGDMVVESGCVSAPCSEAGSRSRRMLLQQEQQSSPAQQDSQIMVDGKPQSYVARRIAWDRDTQSLSHRF >OGLUM05G29270.1 pep chromosome:ALNU02000000:5:30001886:30003313:-1 gene:OGLUM05G29270 transcript:OGLUM05G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHVFLIAALLLLPLPAAFSKAVRTQSLLANPLSPDPITQEQQLSLAAPRTNASTLHFRLAHREHFALNATASDLLAHLLARDAARAAALLAAPNNATRPRRRGGFAAPLLSGLPQGSGEYFAQVGVGTPATTALMVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYAAVDCVAPICRRLDSAGCDRRRNSCLYQVAYGDGSVTAGDFASETLTFARGARVQRVAIGCGHDNEGLFIAASGLLGLGRGRLSFPTQIARSFGRSFSYCLVDRTSSVRPSSTRSSTVTFGAGAVAAAAGASFTPMGRNPRMATFYYVHLLGFSVGGARVKGVSQSDLRLNPTTGRGGVILDSGTSVTRLARPVYEAVRDAFRAAAVGLRVSPGGFSLFDTCYNLSGRRVVKVPTVSMHLAGGASVALPPENYLIPVDTSGTFCFAMAGTDGGVSIIGNIQQQGFRVVFDGDAQRVGFVPKSC >OGLUM05G29280.1 pep chromosome:ALNU02000000:5:30003975:30006422:1 gene:OGLUM05G29280 transcript:OGLUM05G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAGGATLCAAREEQELLATRLNVNGIRPPHCAAESILIYLTAPGLSMMPMRVMASDSIASVKLRVQTSKGFVVRKQKLVFDGRELARNDSRIMDYGVSHGNVLHLVIRISDLRLITVQTVHGNKFRFRVEPGRTVGYVKQQIAKNSTHDDDHHSLVLQGEVLDDAHLIHDVCRTDGAVIHLLVHRSAKLAARPVDRDFEVSIVARNRNAAADAAQPTLHLQRDFAIEPVIVNPKAALPPVIENLVGAVLAGMEKGNAPIMSSEGTGGAYFMQDASGQEHVAVFKPVDEEPMAANNPRGLPPSPTGEGLKKGTRVGEGAIREVAAYILDHPPGGRRSFAGHHGSATVGFAGVAPTALVRCMHRSFKQPAASEQGPPLFKVGSLQAFVKNSGSCEDMGPRAFPVHEVHKICVLDIRLANADRHAGNILTCRDEQGHGLTLVPIDHGYCLPESFEDCTFEWLYWPQCREPFSEETVEYIRSLDAEEDIAILRFHGWEMPAKCERVLRVTTMLLKKGVDSGLAAFDMGSILCRETLTKESVIEEIIREVEDDVGDEAAFLQSVSQSMDRRLGELSKKKKVM >OGLUM05G29290.1 pep chromosome:ALNU02000000:5:30005814:30007041:-1 gene:OGLUM05G29290 transcript:OGLUM05G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRARRRRPRCNGSHDSLDATTTAIHGLRDRLQEGSFITNIVFNLTDDLLDDRLLGERLPAQDAPHVERGEARVDALLEQHGGDAQDALALGWHLPPMEPQNGDVLLRVQ >OGLUM05G29300.1 pep chromosome:ALNU02000000:5:30008169:30009971:-1 gene:OGLUM05G29300 transcript:OGLUM05G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMWRANARVVERRGGREAEERGGVISVVLAHGYGASQAVWDKLVPSLSKSHNLLLFDWDFTGAGAGKDDDEYTFGRFADELIAVMEERGVGASGAVVVAHSMSAMAACIAAQRRPDLFAHIFLVCASPRYINLEEEGYVGGFEEAAIHGMLAAMESDFDGWVRSFLPNAAGDASAVEHLLKSFLAMDPTVALKLAKMIFLGDQREVLDGVKTPCTIVQVKADFAAPPSVAEYMHLRMKGAATAVEIIGSVGHFPQLVAPQQLLDILAGVLRLREAAAEAEHDDAGTVEIAGGIDVAI >OGLUM05G29310.1 pep chromosome:ALNU02000000:5:30032597:30033448:1 gene:OGLUM05G29310 transcript:OGLUM05G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIVVVAVAVVGVFVIVVQGGGSSKQRRAGAGVAVAVVVVLLLHAAPAAPAQGSLQGTRTCQMDTTSLAVLSCQEWPPSGSCCGMPSTSSPAIGLCCLCVYIVTMRLISVDLPYVYRVCRGKDAEAVAAWIALQPPPVYDCTVTGNGMLLPHRGPVAAAPVIQREGEAAQAVVRVGRRRRSSSSSI >OGLUM05G29320.1 pep chromosome:ALNU02000000:5:30035964:30037558:1 gene:OGLUM05G29320 transcript:OGLUM05G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVLLLLIIAAAGAAAFGIRRDAPKAYCFYGIGWTGVPYLPGTAAAIYIGNTNSCIAGYHSPPDTATSYRFCIPSWVAFTANATTLCGQPAVDHAAAISGFKRLIGLQPGDPHAKRVAQIAPYKLGEKIGRCSIQVQLDDGAKCRVEDFLPEDVAGILIAQLKSTAEAHLGHRIDNAVVTVPGHFNGNQRQEVSSGSTEYGGFRYVSVVDEQVAAAAAHGLHEDRGDGKVILVFHLGGRTAHATKFVIRDGTPSLIALRHDPFLGGDDFTARVVDHMADLIRDKHGGRDVRADAAALRRLTAECERAKKALSYQQETVVTMRLDDDDDLFSEPLTRSKLEELIGDLVGRAVDLVESCDASGGDVVGVDEILLVGGSTRIPMVRDLVKDYFHGKEASNEKGVEPDEAVIRGALLLSHPHQARYLDPCYDYWHSR >OGLUM05G29330.1 pep chromosome:ALNU02000000:5:30040715:30045925:1 gene:OGLUM05G29330 transcript:OGLUM05G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAAAVAFFPAADGNPEPVGKLDVKDPGSPDRLSLVAAVTTATSQTRHPSRACTGDVQETEVKADLPRLHLTLTRPKVREDKSEWYSG >OGLUM05G29330.2 pep chromosome:ALNU02000000:5:30040715:30046202:1 gene:OGLUM05G29330 transcript:OGLUM05G29330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRLSLGTRWPNGPFDCFLQMMMVSQSCSLSQPPTIFSCFSMTIAFTTVRFIPILALKCNCICLPSVPMINKYIWSL >OGLUM05G29340.1 pep chromosome:ALNU02000000:5:30050133:30054325:1 gene:OGLUM05G29340 transcript:OGLUM05G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3K5] MTLAAAAEAEAPAPTHAFAIAAEEVAMEPLSTATAPAAMEEESSSSGGGGGVGERRSRFRRICVYCGSAKGKKPSYQDAAVDLGKELVERGIDLVYGGGSIGLMGLVSHAVHAGGRHVIGIIPKSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYNPLLSFIDLAVNEGFITEEARRIIISAPTAKELVMKLEDYVPEYSIGLVWEDQNQRQNNLVPELDSGITSS >OGLUM05G29350.1 pep chromosome:ALNU02000000:5:30065484:30069898:1 gene:OGLUM05G29350 transcript:OGLUM05G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRSVPARGRDSESSSRKTKAAASSSSTAGCWGRLPLLISSGGIMTSSPPDRSPPYLQTTAEPTLYAGTTNNSYKPFLPEEAFSGSISPSLVAADFQLRQFTYADLQRATGYFRPETFLGVGGFGRAYKGWIQVNETAHGKPRTGIPIAVKTLNCDGLQGHDEWVAEIHYLRNLKHPHLVKLIGFCMEGDQRQLVYEFMSRGSLENHLFIRSRTPLPWFLRVKIVLGAAKGLAFLHEQEMPVIFRDFKTSNILLDEDFNAKLSDFGFARDGPVGDMAHVSTRVLGTYGYAAPEYVLTGHLTSMSDVYSFGVVLLEVLSGRKAMERNLVEWAHNNANDRSIHRLIDPGLGSNFSMAGAQILARTARSCTRQNPRDRPLMSEVVHTLETLHTDQRANATTSYSYSQSQPPSPSANPSPSRSPMRSSASSPYGAPYPYGGIGGHASPLRHGTRRAMA >OGLUM05G29360.1 pep chromosome:ALNU02000000:5:30071925:30075915:-1 gene:OGLUM05G29360 transcript:OGLUM05G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGGDDRLPPIVLSVWFYCVYLTSLSTGTSQESPRVPRTKGCAVLIYTTSSPLEEQIKTQVEVAQLLLDPPGTKANGLLGTKLQKLPYIKKNGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >OGLUM05G29360.2 pep chromosome:ALNU02000000:5:30071925:30074970:-1 gene:OGLUM05G29360 transcript:OGLUM05G29360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MKGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >OGLUM05G29360.3 pep chromosome:ALNU02000000:5:30071925:30075915:-1 gene:OGLUM05G29360 transcript:OGLUM05G29360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGGDDRLPPIVLSVWFYCVYLTSLSTGTSQGTAGSYLRTAAMGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >OGLUM05G29360.4 pep chromosome:ALNU02000000:5:30071923:30073422:-1 gene:OGLUM05G29360 transcript:OGLUM05G29360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G62740) TAIR;Acc:AT5G62740] MGNLFCCVQVDQSTVAIREQFGKFDAVLEPGCHCLPWFAGKRIAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKANDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGQATTTSH >OGLUM05G29370.1 pep chromosome:ALNU02000000:5:30077802:30078346:-1 gene:OGLUM05G29370 transcript:OGLUM05G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAPMGVAHLDPHSMEAIDSSSLVVIKEDEDDTVEHDDGFKRRAVCGGSNSAAGGGGWKVWRGEEQHDLWQIKDRRRGATSISTGIVVRPPSPEVKHALHLDTTLTHDTERRHTLIELNLMSSNLRAADDEFLMQGQQERIV >OGLUM05G29380.1 pep chromosome:ALNU02000000:5:30079437:30080632:1 gene:OGLUM05G29380 transcript:OGLUM05G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKQGGGKQQAAAASPGPDQKDEELDPKFEWLDNANNFLLRLYLTGFKKEDFRVQVDGTGKLTVRGQRPAAGSKHNTRFHKVFQLPSNANIDDITGRFEASVLTITVPKRPAPTSSAPAPTSVQEIKQKAPTAKQEPQPQFDEAPNKKKQQQEEEEEAAKKKQQQLQEEEEATKKKQQEEEEEAAAKKTKKLQEEEAIAKHKPATTTERKQAEPTTAAPLPGHVVDRESLAEKVKRRAEEECAKAAAAAEAAEEKTATALSRWRERVAGELEHLGDMRWAEGVVETARRNKDVIATAVAAFSLGFFVSHKFFCRR >OGLUM05G29390.1 pep chromosome:ALNU02000000:5:30081169:30084330:-1 gene:OGLUM05G29390 transcript:OGLUM05G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 1 [Source:Projected from Arabidopsis thaliana (AT1G49970) TAIR;Acc:AT1G49970] MALALRCPAAASPSPAKSTFPPSSSPPPSRLPRRPPASCRCYYYGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQIEMFMNDDNPYDRQSQRVTEESISASRSYDEFGMYNLSGMHEGPAGYSMGMGMGTMSMGRAGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAFAIADFINRSKSKVYTINLSMAYGQAAMLLSLGVKGKRGVLPNSITKLYLPKVHKSGGAAIDMWIKAKELDTNTDYYLELLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQEMRQSGKRPAAGAGRWSTPSVPR >OGLUM05G29400.1 pep chromosome:ALNU02000000:5:30091631:30094906:1 gene:OGLUM05G29400 transcript:OGLUM05G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQLGGGGKVAEPKDLAATDKDRPSSKKNRRRQKKPATSAAISPPVAAMQTLFDTSREVFQDSLPGFVPPPQAVARLAALLNDLKPHDVGIEPSMSCFKNADSKGPPRVTYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFCKILFGSMHLKSYDWAKSAPDNDNNALETSDGARLAKVNTDAVFDASSETTVLYPENGGNLHCFTARTACAVLDVMGPPYNRADGRDCSYYDESPYLSSSGGDARYSWLKENHSTFEMKGVQMPQRFIV >OGLUM05G29410.1 pep chromosome:ALNU02000000:5:30096641:30112466:1 gene:OGLUM05G29410 transcript:OGLUM05G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTLEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >OGLUM05G29410.2 pep chromosome:ALNU02000000:5:30096641:30112466:1 gene:OGLUM05G29410 transcript:OGLUM05G29410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTLEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >OGLUM05G29410.3 pep chromosome:ALNU02000000:5:30096641:30112466:1 gene:OGLUM05G29410 transcript:OGLUM05G29410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTSVTHSCVGNFTGPNQLNLIVAKCTRIEIHLLTPQGLQPMIDVPIYGRIATLELFRPHNETQDFLFIATERYKFCVLQWDGEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANSTFRAIPIKQSIIRAYGRVDPDGSRYLLGDNAGILHLLVLTHERERVTGLKIEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADPNGSYVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNAINDLLIQVTANSVRLVSCTSRELVDQWNAPEGFSVNVASANASQVLLATGGGHLVYLEIKDSKLVEVKHIQLEHEISCVDLNPIGENPQYSSLAAVGMWTDISVRILSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGHLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAIPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKHNQTSIEESETHFVRLLDHQTFEFLSIYQLDQYEHGCSIISCSFSDDNNVYYCVGTAYVLPEENEPSKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEELARDYNANWMSAVEMLDDEIYIGAENNYNIFTVRKNSDAATDEERGRLEVVGEYHLGEFVNRLRHGSLVMRLPDSEMGQIPTVIFGTINGVIGIIASLPHEQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTLEARNFLDGDLIESFLDLSRNKMEEVAKGMGVPVEELSKRVEELTRLH >OGLUM05G29420.1 pep chromosome:ALNU02000000:5:30114485:30119954:-1 gene:OGLUM05G29420 transcript:OGLUM05G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKNVAIDDDEYSFPQEDAAPAPAADKDKPIKKGGGKKGKKGAKAALPDDDDYEPPAPPPPPGDDDDDEEPINLVFTGKKKKKKGAKATPALHQTPHLHPLPTPPGDDDDDEEPINLVFTGKKKKKKGGGGAVSSFSAFDALAADEDQGEDDDEAPAPAPAPVEPDAAAKSDAEDDDLDFDFSKAKKKKKKKDKGARPVPLEHDDLDLDKPAPPPPAAAADEADDDEAAAAAASKKPQKKKKKKGGFTVDNEDIDKLLAEIDDTSPTEEAEPVEEVPAPDADDALGKKSKKKKKRGGFTVDDEDVDKILAEFEDQPPPVDDPEPEPEAVKDVGNVAASTSVDDAEGKKLKKKKKKSGRTAQEEEDLDKLLAELGEGPTPAEKEKEVLPQAPPAAAMVKEDTETAEDGKAGEGEVESAAAKKKKKKKEKEKEKKAAAKEADAKKEEEKAVEAPKGKVDMKKLPKHVREMQEALARRQEAEERKKREEEERLRKEEEERLKKEEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQVADGAVPETKKRPIYDSKKKKGQQKTVETAKVVEEQPQEVNETINDEEEYVLVDQESQLQVEESEEKTEPDQDVEESKPEEEEDEDEWDAKSWDDIDVNLPKTSAFEEEEANPVAKKVAEPVQKQENSKAQSTVATVKKVANSNKGETEDGESSSANARRNRGASKKGPIKEDETKNGSDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTKELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKKCTNAPIGKALRQQNEDVKREFNMRLTDIVTQFKMQGVNTALYYKNKEMEDTYNIVPTSAISGEGIPDLLLLLVQWAQKTMEERLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYQHHKKIRAAQGVKISAQGLEHAIAGTALYVLKPDDDLDRLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVRIFVADIIYHLFDQFTAYIKNLREEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEYIDIGKIASIEINHKQVDMATKGQKVAIKIIGSNPDEQQKSFGRHFDMEDELVSRITRRSIDLLKENYRDDLSMDDWKLVVKLKSILKIP >OGLUM05G29430.1 pep chromosome:ALNU02000000:5:30135101:30137838:-1 gene:OGLUM05G29430 transcript:OGLUM05G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLALPAAPPAPTLSFTLLAAAAAVAEAMEEALGAALPPLTAPAPAPGDDSACGSPCSVASDCSSVASADFEGFAELGTSLLAGPAVLFDDLTAAAAAAASVAVAEAAEPRAVGPTARSVFAMDCVPLWGLESICGRRPEMEDDYAVVPRFFDLPLWMVAGDAAVDGLDRASFRLPAHFFAVYDGHGGVQVANYCRKRIHAVLTEELRRAEDDACGSDLSGLESKKLWEKAFVDCFSRVDAEVGGNAASGAPPVAPDTVGSTAVVAVICSSHVIVANCGDSRAVLCRGKQPLPLSLDHKPNREDEYARIEALGGKVIQWNGYRVLGVLAMSRSIGDKYLKPYIIPVPEVTVVARAKDDDCLILASDGLWDVMSNEEVCDAARKRILLWHKKNAATASTSSAQISGDSSDPAAQAAADYLSKLALQKGSKDNITVVVIDLKAHRKFKSKA >OGLUM05G29440.1 pep chromosome:ALNU02000000:5:30141521:30147722:-1 gene:OGLUM05G29440 transcript:OGLUM05G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRYWIVSLPVQTPGSTANSLWARLQDSISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNVFIEGVSHKIRRQIEELERAGGVESGALTVDGVPVDTYLTRFVWDEGKYPTMSPLKEIVGSIQSQVSKIEDDMKVRGAEYNNVRSQLSAINRKQTGSLAVRDLSNLVKPEDMVTSEHLVTLLAVVPKYSQKDWLSSYESLDTFVVPRSSKKLYEDNEYALYTVTLFAKVVDNFKVRAREKGFQVRDFEYSSEAQESRKEELEKLMQDQEAMRASLLQWCYASYSEVFSSWMHFCAVRVFVESILRYGLPPSFLSAVLAPSQKGEKKVRSILEELCGNVHSIYWKSEDDVGVAGLGEHRVRCCGYYVFVTLSSQKDKLGVMYRTSILGDPVPTDDSRVGKTQEEMMVKEKEIHVMSNRRRKRGESKPQAHDAGDTTPIDNILTSLDDAPPPPPPPRRELPLLQLNDHQEADDADASSSSSPHQQRRLWVKDRSRAWWELCSSADYPEADFRRAFRMSRPTFHFLCDALAAAVAKEDTALRAAIPVRQRVAVCVWRLATGEPLRVVSKRFGLGISTCHKLILEVCAAIRNLLMPRFLHWPDHPTSTAYKTRFEATSGVPGVVGAMYTTHIPIIAPKVSVAAYLNRRHTERNHKTSYSITLQGVVGPDGTFTDVCIGWPGSMSDEQVLRKSALHQRASAAAGSMSWVVGGASYPLTEWMLVPYAQRNLTWTQHAFNEKVGEVRRVATEAFVRLKGRWACLQKRTEVKLQDLPAVLAACCVLHNICETRGEDMDPDLRCDLPPDEEEDDTVLVQSESANKVRDDIAHNLLHRGLAGTAFF >OGLUM05G29450.1 pep chromosome:ALNU02000000:5:30149042:30150210:-1 gene:OGLUM05G29450 transcript:OGLUM05G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKQPPPHAPPPPPPPPPVEGKPKPPPHAPPPPPPEAKKSFMRRMFPFLLAANVFVGAYMLMRTYQKDSGKKDTENDPTSSTPTSSPAAAEKPAEPIVAPIKVLTPISQDDQRQLYKWMLEEKRKIKPRDAAEKKKINEEKALLKEFIRAGSLPRL >OGLUM05G29460.1 pep chromosome:ALNU02000000:5:30151304:30161909:-1 gene:OGLUM05G29460 transcript:OGLUM05G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTDQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFCGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRANSGQGAPNAVNVHPGAMSAPGNPTLQPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVSVTP >OGLUM05G29460.2 pep chromosome:ALNU02000000:5:30151304:30161909:-1 gene:OGLUM05G29460 transcript:OGLUM05G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTDQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFCGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLQPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVSVTP >OGLUM05G29460.3 pep chromosome:ALNU02000000:5:30151706:30161909:-1 gene:OGLUM05G29460 transcript:OGLUM05G29460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFNYGVSVGNKSSPRSLAIEKAQEELRQEHDVREERKRELDFLEKGGNPLDFKFVHVASVSVQSTSLTDQIVEQNVISDAKGSFAFAASPRGDSVESNGRPGSSPCRETNTADNLMLFCGDKNDVVEEKIVKRGTKRTNAAQSKQPLPTDGHNNAKQAEDSVLSRLGVKSQAYVRRNRSKPCREITSVKSPTVPAKSSEPKDAKGEMQEKQADGHGARSVSGLKQAGQKRENATKSTASDEHVAMELDGIQTNRGSNCLVKNEASQSDGSSKAIEVLPNAYGNQQLGGCGEVVAAGEPVEIPDSTSNIILRTSYSSAKSTHHASETHAYDQKVEDGQLDKGFTSIHVDELDNSGISPVCAVESGTVCTNLVDPHCEESIDMTHNHADGKSNQVDMKNVDEPQDLDTSRLSNKGIKESVQLEGFIGSTSVKENSNHVQPEVSTTVPVKDESEAFDSAIVAQKDIVCSSPVHSMNKEESPGSEGRNSCLGNSNSIHPIVVGPVLPKNSLPEKYGSDMETEIKTSGENLDQMAQKEHEDSILKKAHLIEVNLKRAGERSLYNMSLEKRTRGHWDFVLEEMAWMANDFMQERLWKNMAAARVCHWVVSKGRAKFEEAIIQRKQKAVTRSLVKGIMSFWRSAEALRTIGRTAVIQEHNSDMLDTTNHTGLKAEKSEGNKSSEAEEPNYPRQSRIQDYAVKFLEYNSQTSGSLVLAEAPPTPDRLNDFGTLKVSDLSEGSLFYTVAPSAMKVYRESVESLSVHHKKTGYIGLKDDYEASGCDSAADLPQENAYEDEGETCTYLPEAYDGGLLSQMGHRKHLGQQRINGARSYGISTHVPFEPYLESKLSNGKRPSSFLAVPTKRIRTAARQRIVSPYPAGIGGTTQVTSKTDVSSGDTSSYQDDQSSLHGGSLPWKNTDFESTVDFDRQLPYDGREVVTKANKKKKLKNPGYKTSQNAANSCALASAKGRICDQRSQADFFTQYDQKDFLKKKSDSEQFDSKWNIASHGGQHALKKLKLMRQGIDISQEASPVASQMSNMANSAKIIKIITNRDRGRKGKALKMASSGGWSNFEDQALVVLVHDLGQNWELVSDAINSIEQFKSVYRQPKGCKERYKGSARQLFQRLQGPIEEENLKAHFEKMILLMRQLHARRRKGNSQELKSIIQPHSSHVAALTQACPNNLSGGALTPLDLCDAVSSNLDASTPGSGYQSSYTIGLTPPNHHGSNGPTTPNSTLNSRLSGSPGTVLSNNFSPPSTFSASSRDAQKYGVPRCTSLQSDEQQKIHYNQILSGRNLQQTGGSVPGAFPPGVDRGARVMPGTHGMGMVSGLNRGMPAATVGFPRHSSPGKPNVVSPGNVLANSGQGAPNAVNVHPGAMSAPGNPTLQPHNPMQALHVSQGNTQAISSMNHSLSNAASSSPVQSFPIQQHQQTHQISPPSHMFGNPQHPQIQGMSHSNPQQQTYAMRLAKERNIQQRMVPQQQNDLPGASAVPSVQNGSHGQQQKQSPAALSAPSSQPQHQRQQAAQNPPDSCAHPNQPTNATQHKPKKSQQQPRQNQQQRNQGSQQAKLMKSLGRGNMLIPQTTVDTTPTNAVSASSKKQITENKLIQHGQGTLPGNKASNPSIPHPGNQHKLYSSPLPQSPKQLPDIGNQGVLQGSPSQALLTSQQLPLHPKSSLTTQQQQRHVHSSQNSIQRMMMHQNLQSNSDCRPDSQVVQVQNNQIVPTPPIPQSTESGSTGLSSISQQKHEVSNDSNAVNSTSMMLSSPQDTFAGGERLLPSSSHDVLERQMPGGLPMYGGQWHQEQSKQQLQPPNQQRPVVQGSVYAPLNPGPG >OGLUM05G29470.1 pep chromosome:ALNU02000000:5:30161979:30162768:-1 gene:OGLUM05G29470 transcript:OGLUM05G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSVSPTRPEPSRARHRPAALSSPPSSRLASPLFSSCWFALYIHTGTQLSFPPPLPPATPAPLHRPPPRPPPPPTHPFASLPSPLDRTSTPLRPCPVFSPANPNPSSARQSSCGEILLVVEHALKNPEE >OGLUM05G29480.1 pep chromosome:ALNU02000000:5:30167916:30172021:-1 gene:OGLUM05G29480 transcript:OGLUM05G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVLCHSLPLMLRYAVTSGDHAIVSGDAALHLSRACSILMLVAYLAYLFFQLKTHRQLFEPQQVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >OGLUM05G29480.2 pep chromosome:ALNU02000000:5:30167916:30172021:-1 gene:OGLUM05G29480 transcript:OGLUM05G29480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTMAKEMAVRHHLLPGSPRRRTAHNLSSSSLRKSSDASLLHKVPCAALRSLLANLNDVLLTTRLFLLFPAVLLAIAATYLHFGQVWVFVLSLIGLVPLAERLSFLTEQIAFYTGPTVGGLLNATFGNVTEVIIALLALREGKIEVVKCSLLGSILSNLLLVLGTSLFLAGIANLRAHQPYDTKQAHVNTALLMLAVEDDDDDDLVIAQDDEPVLGFSSAMIWLALMTLLTALLSGYVVSTIEAASESWELSVSFISIILLPIVGNAAEHAGAVIFALKNKLDITLGVSLGSATQISMFVVPVSVIVAWTMGIPMDLDFNLLETGSLFLAILVTAFTLQEGESHYLKGLILVLCYAVISVCFFVIRRRSAGGTDGVHHLDVIV >OGLUM05G29490.1 pep chromosome:ALNU02000000:5:30177152:30180756:-1 gene:OGLUM05G29490 transcript:OGLUM05G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRIGNKFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDSPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIAPATGQSSAMAPIANNNRLSATEEGRRSGWSDMDAMRRQVPPPAINAGSLAKQKSPIGHEQSTSKDAMFSSSTFLGRSSGSSRRPAVSSSREPSTEADQSRSRTTDASPGAFQRSGAPRWSPQMVDSSDNRRTPSGRHPSNAKNYESTIRGIQGLNFDGDDRIQY >OGLUM05G29500.1 pep chromosome:ALNU02000000:5:30184414:30185951:-1 gene:OGLUM05G29500 transcript:OGLUM05G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLLCLLLLQLVGLVVAGGGRWRWQEEFLRLPSSDEATRWAVLIAGSNGFYNYRHQADVCHAYQIMRKGGVEEQNIVVMMYDDIAHNPDNPRPGLIFNHPSGPDVYAGVPKDYTGDDVNVNNFLAVLLGNRSALTGSGSGKVVASGPNDHVFVYYADHGGPGVLSMPADGEYLYADDLVKALKKKHAGGGYKSLVVYVEACESGSIFEGLLPSDISVYATTASNAEESSWGTYCPGDDHDAPAAEFDTCLGDLYSVAWMEDAEAHQEGRLAETLRQQYRTVKNRTSDEGTYTLGSHVMQYGDMALAPQSLDLYYMDTSPATANDHKLAAAGAKGSHSYTVSVNQRDADLLYLWRKYRRAGEGTAEKVEARERLVQEMGRRSRVDRSVEMIGGLLLGGAKHKQQVVRERAALVEDWECLRSMVRTFEDQCGSLGQYGIKHMRSFANICNAGVPHHAMAKAASLACPSPPPLHL >OGLUM05G29510.1 pep chromosome:ALNU02000000:5:30190825:30191664:-1 gene:OGLUM05G29510 transcript:OGLUM05G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYDEREFWQFSDQLRLHNFSSLSIADSIWSSPSPAAVDHSSNNNLAAQQQHLLNNATAKTYFNKSVGRPANNNFNFNYNSNSVVVDAFNGKKKAAVDAPAGGGGGGRNNNKKNSSSNDNKMSSRLKKSQLPASESVPKEEAIGGYIFVCNNETMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEATSFGGSNIDPGAWEDSKCPGGESRFPAQVRVATRKICEPLEEDAFRPVLHHYDGPKFRLELTVAEALSLLDIFAEKLFA >OGLUM05G29520.1 pep chromosome:ALNU02000000:5:30224976:30229928:-1 gene:OGLUM05G29520 transcript:OGLUM05G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKSWGGVVFAVAAAAAALLCSSDAADDVVSVSFSKTPPRVSRSASAVFTFQVLHTNGSGPCQDCLITCKVDGERAWECGRNSSSSNGTAVVRYSRLKDGNHTLAVCAGAGRPPPTTTTCATYAWDVDTVAPTASVKAEAGFTSGSNVSVLVSFSEPCPGGGGFTCNATYCHLSVYGPGRVDPSSLQVLRPALQYSVHVTIPPELLYGRLILVMAKGFCTDAAGHHFIRTANSTFTLRFDRRSDSMNIGSSIPEKLLQIEGAARVVEATNDDKDLRVYLSFAEPVMNSSSQILAALTATDAILTPTNRSTLGNRRFGYLVKRTSNTAVVTVSCDGNSIISRQGTPVSSSEPYTFLYDNQRPSVKLATSTVRTSSRNIPVLIKFAKPVFNFTSSAVQIQAVDNLVSVHVAENSAQDVAGNTNLPSDRLEVRHYSVPASSSSIAIVTTVIFAATAAFATLLTVSTSSLLASGVIQRPPSYLVSEPSRNLLRMACHIQVFALSRWLSINLPVEYYELSKGLEWSIPYMRLPWEGPSADPFVGYSTMPAIAYSEMLDRTALAADVLRRPPAAPGVAMAMPSTSPLDGKPLTAMEYRYLFENQDMKPEAQIIMKLQDLDGWKYFFRNIFWLAVIAAAFLLLHAALLLYLKLRHRHSHTHVGALVFPRLELMLVILAMPCVSQASAALIRGGTTAGLAVGIVLTGVLTAFLVALLLFLSLGVTTGRLLQYKEVHQEGREYHWYQEIVRRTLGPGKRGQWTWKDPARTACLVKLGPLFEDLRGPPKYMLSQIAGGSGGKRAAERIIVSDDENEDAEAPFLQKLFGILRIYYTFLESVKRVALGIVAGAHASSDHSSRAHAVVVLAIASFQLFFMVLKKPFIKKRVQLVEIVAVASEVLVFAACLRLVDSGGSAVAEGSGVGLAMLTVFAVALAAQVCNEWNALYRQVRLLSSDRRSFVEGAKAAWVGLLLLVLPSSALGEQLEKMKKQQEQPEAVALGGGGGGTERSWLGQLREMAKASFSKEGQGGEAEASGSRAKGGSRSMSSVASSSDSKAKGPENSHSQWSSKSKGLYKDLEAIFSNR >OGLUM05G29530.1 pep chromosome:ALNU02000000:5:30233156:30233712:-1 gene:OGLUM05G29530 transcript:OGLUM05G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLDSQDQGEDRPPVRCRQRASNAAVALDPTSSLFEAPFADVTVGLGPNGELRYPSGATGGGRVPVLRHKYMLQQLRRHAAEAGDPLWGLSGPRDSPDACGFFNDDGILPTRRPCAKKREK >OGLUM05G29540.1 pep chromosome:ALNU02000000:5:30236911:30245304:1 gene:OGLUM05G29540 transcript:OGLUM05G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSVPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGGEEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >OGLUM05G29540.2 pep chromosome:ALNU02000000:5:30238393:30245304:1 gene:OGLUM05G29540 transcript:OGLUM05G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSDIGLSAAINVSMAVAFLLVFAFLRLQPINDRVYFPKWYLRGMRDSPVSSGAAVQKVVNLNMRSYLKFLSWMPAALKMPEDELINHAGLDSAVYLRIYLTGIKIFVPISILASLVLFPVNWTNDTLDSMKVVHSKIDKLSISNIPYGSNRFVTHLVMAYAVTFWTCYVLFREYEIITTMRLRFLASEKRRPDQFTVLVRNIPPDPDESISELVEHFFLVNHPDHYLRHQVVYNANKLADLVEKKKKLQNWLDYYQLKYERNPSKRPTTKEADERQKIMKDPQSAVPAAFVSFRSRWGAAVCAQTQQTSNPTVWITEWAPEPRDVYWNNLSVPFVSLTVRRLIVAVAFFFLNFFYVIPIAFVQSLASLEGIEKALPFLKPLIKIDVIKSFIQGFLPGIALKVFLILLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSIVTGSALDQLKAYIHQSANEIPRTIGVAIPMRATFFITYVMVDGWTGVAGEILRLRALIIFHLKNFFLVKTEKDREEAMDPGSICFDWCEPRIQLYFLLGLVYAVVTPLLLPFILVFFGLAYVVYRHQIINVYNQQYESGAQFWPSVHGRIIIALIVSQLLLIGLLSTKGFEETTPVLLVLPVLTFWFYKYCKNRFEPAFVRNPLQEAMRKDTLERAREPTFDLKAYLANAYLHPVFKGGEEEDNMSISEDVGMEEVIVPTKRQSRRNTPAQSKYEGSDTLSLPETVHER >OGLUM05G29550.1 pep chromosome:ALNU02000000:5:30247074:30254840:-1 gene:OGLUM05G29550 transcript:OGLUM05G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MRDPSLLPLPSSSPPQITSHRVGESLSSRPAAAAAAADDDDVQPSSVIPHLLDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >OGLUM05G29550.2 pep chromosome:ALNU02000000:5:30247074:30253808:-1 gene:OGLUM05G29550 transcript:OGLUM05G29550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:regulatory particle non-ATPase 13 [Source:Projected from Arabidopsis thaliana (AT2G26590) TAIR;Acc:AT2G26590] MESTEPLQDIMCEFRAGKMSLDGTRVIPDTRKGLVRIGRDQIVFPEEAVFEKVTQSSGRVYILKFRHDSRKFFFWMQEPSADDDSQICRQVNAYINRPLDGEAVSIEAEMSHEDTADDDISSRAGNLVDQSMTADLAGEVTSAAGPVRLEDLQRILSAIQPSDAVADPDAGLGLGDILKPDLVLPLMETLPIEQLASYLPEGPWTAGDILELLQSPPLRQQVEAFTHVLRTGQIDLAQFGVDPNKFTVASFLEALEDSVAKASGAGDKDSESQRGGGNDPMDES >OGLUM05G29560.1 pep chromosome:ALNU02000000:5:30253828:30257071:1 gene:OGLUM05G29560 transcript:OGLUM05G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGGGEEKDLSWWAGLRLAGLERPAHAFDGPYKSPLCNSKDEVELGFSISFLSPKPKSSSHPLNSGDPLGGGGGFPNHPTPPELQDGVRRPGARIARRPDHIRHHKRWPQHCGDTQRIRSSHQHHPR >OGLUM05G29570.1 pep chromosome:ALNU02000000:5:30257002:30257355:-1 gene:OGLUM05G29570 transcript:OGLUM05G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWDNKLARQAQRWSDSMRRDCQIRHSTGNRFAESLYIGRNGWNARAFDAVRCWGDEEHLYDRGVDFHECGHFAFMVRPNFTRIGCARAECFNGGVFITCNYYKDEQHQPATPPTYS >OGLUM05G29580.1 pep chromosome:ALNU02000000:5:30257383:30257601:-1 gene:OGLUM05G29580 transcript:OGLUM05G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAMILPLLLLLCWFLLLSVGDAMSQQLELVFNDDASASAPAGGLVSWTNGMGWYKGMPREFVDGHN >OGLUM05G29590.1 pep chromosome:ALNU02000000:5:30265569:30269286:1 gene:OGLUM05G29590 transcript:OGLUM05G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >OGLUM05G29590.2 pep chromosome:ALNU02000000:5:30265569:30269589:1 gene:OGLUM05G29590 transcript:OGLUM05G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALLRTILVTGGAGYIGSHTVLQLLQLGFRVVVLDNLDNASELAILRVDLRDKQALDQIFSSQRFEAVIHFAGLKAVGESVQKPLLYYDNNLIGTITLLQVMAAHGCTKLVFSSSATVYGWPKEVPCTEESPLCAMNPYGRTKLVIEDMCRDLHASDPNWKIILLRYFNPVGAHPSGYIGEDPCGIPNNLMPFVQQVAVGRRPALTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDRIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAQTAKAEKELKWKAKYGVEEMCRDLWNWASKNPYGYGSPDSSN >OGLUM05G29600.1 pep chromosome:ALNU02000000:5:30268603:30271914:-1 gene:OGLUM05G29600 transcript:OGLUM05G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAGPGVVVVALVVVVYLILLIRPAASFRVNVGVGIGGGIGIGNQNQNQYQNQNQKPYYDDSNNNNNYDEGEGEGGDDQEEGPEAAAPVGPGQSFTGGRGTYKYMAHEFLDAHNKVRAQYGLQPLKWSNKLARYARRWSAARRFDCVMMHSPESPYGENVFWGTGWGWRATDAVKSWAGESSVYDWRGQSCNPGQMCGHFTQIVWNDTKLVGCGRSECVAGGVFITCSYDPPGNWKGEKSCCCCYWRMGRLYSAGSVATVRRSIPVGVLARPIPQFLLSFGSLSVNDLGISRPSSSKYKRDFLFKLALLLLGRHHMINKSKGQVGN >OGLUM05G29610.1 pep chromosome:ALNU02000000:5:30310984:30316170:1 gene:OGLUM05G29610 transcript:OGLUM05G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAMYPETFGFSAYPQQQQQPPPDAASCIYTAALPLIADPPDILGNMAQPSLLSEYDLGGEGDLFKAPEPIIEEPVLSLDPVAAAISMMSGSENVMDETIEVADISDIQNDSLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEFPRETQVEVVGHVQLPAMEKEKPSVPECCSLQKSVSSGCLNSADWINGPARPNFLDFQGLDFETAFGLRRAYSEGDIQNLGASTPRPGNSGNAQLASCERLVTISDLKSEERKQKLSRYRKKKVKRNFGRKIKYACRKALADSQPRVRGRFAKIEEGDLLKPRK >OGLUM05G29620.1 pep chromosome:ALNU02000000:5:30315641:30318079:-1 gene:OGLUM05G29620 transcript:OGLUM05G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3P4] MSKLCQSACKAARSLLSATAAASSPRTSLLAEGRNAALATLTNLGRKTLPTAYAYSYHHNSSAAAAGWLAAIPAAVYVLQDQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKLVVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKAEIGLWFEPRELVSYTSNEEKWIYGVN >OGLUM05G29630.1 pep chromosome:ALNU02000000:5:30318984:30322312:-1 gene:OGLUM05G29630 transcript:OGLUM05G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFLPSQQSMETPADGLSTSKTNLDSPPSSRRRSWTPKRVMGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWF >OGLUM05G29630.2 pep chromosome:ALNU02000000:5:30318986:30321015:-1 gene:OGLUM05G29630 transcript:OGLUM05G29630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASLLHLLSLPRIRWSSSTEDDDKIELTRAEVESLRTEIADAEERESQLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTESTLLCDIVEVGQLPNFVPEDEKTRYAFYIMTSQGLKFECSSMSEIQVDSWVRAIRGDCGLSDGGESRSKTSRQEVGSWF >OGLUM05G29630.3 pep chromosome:ALNU02000000:5:30321013:30322312:-1 gene:OGLUM05G29630 transcript:OGLUM05G29630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVYGRIEVFPQHFLPSQQSMETPADGLSTIHVDVPGLRNE >OGLUM05G29640.1 pep chromosome:ALNU02000000:5:30329459:30333901:-1 gene:OGLUM05G29640 transcript:OGLUM05G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20270) TAIR;Acc:AT4G20270] MALRPQLVPPLLSHRRRLVIPLLVLLLAAAATAAASDDQLLSLRGQAAVLVSIKDAFSPPLPTPLRTTWSVANHASLCSSWHAVRCASDNRTVVSLDLSAHNLSGELSSAIAHLQGLRFLSLAANSLAGDLPPTIATLRHLRYLNLSNNQFNGTLQYYLSTMTSLEVLDVYDNDLSGPLPLPDVDNNLRHLDLGGNFFSGSIPTSFGRLQAIQFLSVAGNSLSGRIPPELGNLTALRQLYLGYYNQFDGGIPASLGRLASLVHLDLASCGLQGEIPPSLGGLANLDTLYLQTNQLNGTIPPALANLTALRFLDVSNNALTGEIPPELAALTHLRLLNMFINRFRGGIPEFIADLRSLQVLKLWQNNFTGSIPGALGRVAPLRELDLSTNRLTGEVPRWLCALRKLDILILLDNFLFGPVPEGLGACRTLTRVRLARNYLTGPLPRGFLYLPALTTLELQGNYLTGQLQLHDEDAGGAGSPLSLLNLSGNSLNGSLPASIGNFSSLQTLLLSGNHFTGEIPREIGQLRRLLKLDLSGNNLSGEFPGEVGECASLTYLDLSANQLCGAMPARVVQIRMLNYLNVSWNKLNGSIPAEMGSMKSLTDADLSHNDFSGHVPHNGQFAYFNASSFAGNPRLVLCGTPGPGPAPAPGTTPGSGGDGRAPVMWLAAALGLLACSVAFAAAAVATTRSAIERRRRSGWQMRAFQKVRFGCEDVVRCVKENSVVGRGGAGVVYAGEMPGGEWVAVKRIVDGGFSAEVQTLGRIRHRHIVRLLAMCWSAEAKLLVYEYMAGGSLGDALHGHHRHHDEYDDDGSNTNIIGSLLLPWAARLRVATEAAKGLCYLHHDCSPPILHRDVKSNNILLDARLEAHVADFGLAKYLRAGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGQKPVGEHLQLHQEEEEEEANTTTTVVDLVQWVRARCGSGKDGVWRVLDRRLGGDVPAAEATHMFFVAMLCVQEHSVERPTMREVVQMLEQAKQQLSRCHPPPPPPTSTSIDHACMI >OGLUM05G29650.1 pep chromosome:ALNU02000000:5:30336902:30338515:1 gene:OGLUM05G29650 transcript:OGLUM05G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGPGPLVVVLLLSLLLLVAGIHGRLQHEEEEEEEEVQDQEGGSSSFTLPVWAPHVPESGEERREHFRALMAKDMRRMMRQVPELMSKTDMFELPMRSALNIAQVGMYVVVVRIGTPALPYSLALETANEVTWINCRLRRRKGKHPGRPHVPPAATTMSIQVDDDGGGGGGSGGKSKVTKVIMNWYRPAKSSSWRRFRCSQRACMDLPYNTCESPDQNTSCTYYQVMKDSTITSGIYGQEKATVAVSDGTMKKLPGLVIGCSTFEHGGAVNSHDGILSLGNSPSSFGIAAARRFGGRLSFCLLATTSGRNASSYLTFGANPAVQAPGTMETPLLYRDVAYGAHVTGILVGGQPLDIPPEVWDEGPLGNDNPEAGIVLDTGTSITYLVSAVYDPVTAALDSHLAHLPKAEIKGFEYCYNWTFAGDGVDPAHNVTIPSFSIEMAGDARLAADAKSIVVPEVVPGVVCLGFNRISQGPSIIGNVLMQEHIWEIDHMSTVLRFRKDKCINHQQLNRHHKKASSSSSSSSSSSSPPPYPAA >OGLUM05G29660.1 pep chromosome:ALNU02000000:5:30338625:30342476:1 gene:OGLUM05G29660 transcript:OGLUM05G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSVRVARRRRGGRARGGGRRREGERAATAAAARVGARARGATVTASPRRSGGGVRGLLQHLDLPLSARGSGRRSQQPPQQLNRSEPSPTSSPQRRERVGEELGDWAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWVWAGTPNEGAAWLCLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFDQLKLVKPPQKQVAFLPIQSTLNSAISLEGICLDKDS >OGLUM05G29670.1 pep chromosome:ALNU02000000:5:30341744:30343464:-1 gene:OGLUM05G29670 transcript:OGLUM05G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEKVGPRRWLVTARAYKQTSSELASHRHRQHRQWRRRQQRREASSDNSSLRSSSSWPLRVRVPKRALELCFQALAELRRVEMSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >OGLUM05G29670.2 pep chromosome:ALNU02000000:5:30341744:30343464:-1 gene:OGLUM05G29670 transcript:OGLUM05G29670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEKVGPRRWLVTARAYKQTSSELASHRHHPNPIGSPLQVKGEGEKQKKGKKKSSFWKSPAAPRLLSRPPPPRLLSPRPSLRLLSRWRATPPQLRSSSSWPLRVRVPKRALELCFQALAELRRVEMSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >OGLUM05G29670.3 pep chromosome:ALNU02000000:5:30341744:30343464:-1 gene:OGLUM05G29670 transcript:OGLUM05G29670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNKEKVGPRRWLVTARAYKQTSSELASHRHHPNPIGSPLQVKGEGEKQKKGKKKSSFWKSPAAPRLLSRPPPPRLLSPRPSLRLLSRWRATPPQLRSSSSWPLRVRVPKRALELCFQALAELRRVEMSSSSAAALARGKSGISAAKFEASKGLGAKPKRSASATGSRGKTEKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFCDQLYYPSTSSTKMYNRLMEHGLLSPERAKKAYEKKQKRQQQIRSGTPIKPSVKKDKPESSKKPSSYNSSDSKAKKRVDYSDDDDDFIVKLKRSRG >OGLUM05G29680.1 pep chromosome:ALNU02000000:5:30367188:30368348:1 gene:OGLUM05G29680 transcript:OGLUM05G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVSMSTSTRLPLVAALLSAAACVAAAQPATAEAAPETPGVGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSSPVAAPAPTVVPAAAEADDGVAKPVIESLPFFRFAALRGARQGLECAVCLARFDDADLLRLLPRCRHAFHLDCVDRWLESKASCPLCRARVDAEDAALGLKYASSARFVPAGGASERFDGDQDLLGIFVERVPSSRMEPAAAPAAAHCPDLDRYKHRIVVSDAVFKSRWSEINSSDLIALDTELLRSMEMEVEMEMELYKETEEEETDHDHQRKTLLAASGGRSSVDAAARARMISSSSSSGSVRSMSEMVSLPRLRAAMRERLAHEDRWVPIARRTARWFAGRESRHDEAAPVVDSPPASHSHSLV >OGLUM05G29690.1 pep chromosome:ALNU02000000:5:30376252:30389348:-1 gene:OGLUM05G29690 transcript:OGLUM05G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKSEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRREETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELLLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYKFRFTPSVP >OGLUM05G29690.2 pep chromosome:ALNU02000000:5:30376252:30389348:-1 gene:OGLUM05G29690 transcript:OGLUM05G29690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKSEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRREETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELLLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRQTGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRISISEKMRPFTPSVP >OGLUM05G29690.3 pep chromosome:ALNU02000000:5:30376252:30389348:-1 gene:OGLUM05G29690 transcript:OGLUM05G29690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKSEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRREETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELLLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWWGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPQFTPSVP >OGLUM05G29690.4 pep chromosome:ALNU02000000:5:30376252:30389348:-1 gene:OGLUM05G29690 transcript:OGLUM05G29690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKVDPSSSSSAPPPPPPPPQRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKSEKKKMEEVAKIWEDSKGPVEELKKKKMSHTSNTKRINSHMAENMKRRQDITHKELQLKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVNVQDKVHASYLEGHVASYIWKSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMIRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELLLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWWGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPQFTPSVP >OGLUM05G29690.5 pep chromosome:ALNU02000000:5:30376252:30389348:-1 gene:OGLUM05G29690 transcript:OGLUM05G29690.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAAKRPKRGDDDYVPGNIVEIELCNFMTYDHLTCRPGPRLNLVVGPNGSGKSSLVCAIALALAADPAILGRASSVAAFVKRGEDSGHVKISLRGNTPDHKLCITRKIDTNKSEWQLDGTTVPKKEVIDLIKKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPNLPIQHRQLIDRSKELKILQVAVKQKEQTLNNLKALNAELEKDVERVRQRDRLLKKAELMKKKLPWLKYDMKKKEYKEAQEKEKSEKKKMEEVAKIWEDSKGPVEGIFELRGLGICSDVLRYEDFLSKPFNLNGYIHKGQLRATLEDIEDLKRQERSRQQRILKAKEALAAAERELDDLQPYEAPKAEMIQLTEEIARLTCDINELKRKKTDMESQLVRERENLRNCSDRLKQMENKNNKLLQALRYSGAEKINEAYNWVQDNRHMFRAEVYGPVLLEVCLLACSPTIVCFADHTSNSTSSLYCCQSFITQDASDRDLLVRQMKQYDIPVLNFMGDKGIRREPFNITLEMQQVGIYSRLDQVFEAPPAVKDVLISQANLDRSYIGTDETHNRADDVPKLGISDFWTPDNHYRWSRSRYGGHLSAFVDAVNPSRLFMCNLDVIDSERLRSQKDKHIKDIDGMDEDLKKLLKEQRQLEDEAAKIRRKKEEITDTMMFEKKRREETRRRVDIKRRMLETIYKEEDMESSKRKFVDQAAKLNDQRYELLLKLKDLLIEAVALKWSCTQKNMASIELDTKIWEMEKDVKKLEKNAIEAAKEYENCKRKTQEHKQQLSNAKQHAESIAMITEDLAKKFLEMPTTIEELDCAIQDTESEANSMLFLNQNVLLEYQSRQREIESISGKLEDDKGECERCYSDIEATKGKWLPTLRTLVSKINSTFSRNFQEMAVAGEVSLDEHGLDFEQYGILIKVKFRSVAGVERSSPIWWGAISFNHPVPCITSRSYQLPLSGMDPINERKMFQQLVRAASQPNTPQFTPSVP >OGLUM05G29700.1 pep chromosome:ALNU02000000:5:30389586:30394834:1 gene:OGLUM05G29700 transcript:OGLUM05G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTYMNLSGESLGEAKTQVGLYDPLPLPEWFEVGPLAAYYKLPLNRVLVAFDGTDLPCGVLRLQPKGGFGRHNGSVDFVTMCFNEVICHSKGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGLSESARSSNWIRRISI >OGLUM05G29700.2 pep chromosome:ALNU02000000:5:30389586:30394834:1 gene:OGLUM05G29700 transcript:OGLUM05G29700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTYMNLSGESLGEAKTQVGLYDPLPLPEWFEVGPLAAYYKLPLNRVLVAFDGTDLPCGVLRLQPKGGFGRHNGSVDFVTMCFNEVICHSKGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGLSESARSSNWIRRISI >OGLUM05G29700.3 pep chromosome:ALNU02000000:5:30390430:30394834:1 gene:OGLUM05G29700 transcript:OGLUM05G29700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMFAQSQGISPTRHHFKALFAEGMVEGVPVLLAKPQTYMNLSGESLGEAKTQVGLYDPLPLPEWFEVGPLAAYYKLPLNRVLVAFDGTDLPCGVLRLQPKGGFGRHNGSVDFVTMCFNEVICHSKGIGCPPGQMDPKAFVLQKFNKTSLERIDSAIEEGVEILKLVVTKGLSESARSSNWIRRISI >OGLUM05G29710.1 pep chromosome:ALNU02000000:5:30401544:30402323:1 gene:OGLUM05G29710 transcript:OGLUM05G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:basic helix-loop-helix (bHLH) DNA-binding superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06120) TAIR;Acc:AT3G06120] MSHIAVERNRRRQMNDHLKVLRSLTPAFYIKRGDQASIIGGAIDFIKELQTLLQSLEAQKKRRQQPQAHLISPASISASGGGSPSPTPSPRSLITSCSPTAAAGSSAGSSSSISPKDENKQQLQLVAELAACCNSPMADVEARISGANVLLRTLSRRAPPVRIIALLESLHLEVLHLNITTMDDTVLYSFVLKIGLDCHLSVDDLAMEVHQSFMPPPAAHPDHHLHS >OGLUM05G29720.1 pep chromosome:ALNU02000000:5:30405374:30407047:-1 gene:OGLUM05G29720 transcript:OGLUM05G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKTDDQKLVIGTLSADKFPQIQFDLVFDKEFELSHTSKTANEEEEEKIIPAPRANGKVEGKENEQKKQGKTDSSASKSKAAVNDDDDDDDSDEDDSEDEDLSPEDDDDDSSEDDSSEDDEDESDEEETPKKPETGKRKVAEIVLKTPSSDKKAKIATPSGQKTGDKKGVHVATPHPAKQASKTPVNDKSKEKSPKSGGGSISCKSCSKTFNSEMALQSHSKAKHPAK >OGLUM05G29730.1 pep chromosome:ALNU02000000:5:30411252:30412833:-1 gene:OGLUM05G29730 transcript:OGLUM05G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVASPSSAPGPGAAGRPHPTYKEARFSLRYSPHPKIILMILRALKELPDPIISSRRAIAKYISDNFSGLPSHHDALLTVHLRRLRSQGLLLMSGHSYLLSTSATAARGRGRGRPPKKASSYAPPQKRGPGRPRKNTALFPVPVLEAKPGRGRPRKNPLPVASSTSSSAAAAAAAAATALSLRVKRGPGRPRKNAAAAASPVAPPPASPLKRGVGRPRKNATPLVKPGPGRPSGFKRGPGRPRKNATPPVLSVPPTAAAVLGVKRGRGRPRKDKPLQSWSVLSGSAAITKRGPGRPRKKRPLEAGGVVAAQVDTADGGEVGAVQNGGENRGPGSPRKEVLLENEPTVSTLVGKRGRGRPKKEKPSAARPAETGDAKSMGIKRGRGRPRKDSSFQAVFAEAAGQVSRDVTAAQPEGDADLLAGKEPETAAVVSVENKETRPSDAGGVVVSEEKTSIDPVEAGSVMPCVNAEVDRMNSDLRTANP >OGLUM05G29740.1 pep chromosome:ALNU02000000:5:30414648:30418534:1 gene:OGLUM05G29740 transcript:OGLUM05G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPDIYPLTGLQIGDMQSYVSRAFLYFAPLSKKVFILVDNQPWRSSKQSRSARLWQFMVTKYRMSPFANSRALPCSGRNTSSAAAAAAAAAAAADGECSMAARRWFEVVDLRLALHGFLVFEVSWRDVHGINYLNELLTDTSLALEARYMKKWEFYSAEQAAGCTKLWFLGRAPEAEALRGYLTTLYSLSQDNVVDNDDKDNNNINTSTSNMRRLIHQQIRRSSSSESDKKKEDADDEDDQAPSSSSSYTDTLILLRSRDSALPMKLRQIIMSDIRLLTLLESGLPSWVIFLQSYPLLCLLYRPWMRPLARTLYLLVSLATVIIGFYDLYKNVPLLKAAAARVCGPLFGWIETWDMVTRIQYLGTILFLRNHLRKCLQGMVALLRMARAVLRPLSAPLSAIAGPLLAACGEVCELLGDLAEALWAPLDAVLDCLNPLVQALLLPLRFAASLASCAGSLLSNTYNFGKDIWETVSSMFELNHMAEAQHSAFDVSLLKSLWNDLFSQDDVVECDICK >OGLUM05G29750.1 pep chromosome:ALNU02000000:5:30427418:30427660:-1 gene:OGLUM05G29750 transcript:OGLUM05G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRMAMVSLALVLVGLLLVATTANAKNAHAGGLKKGGSEAEGRVVYADMRLVVPSDSDSASAPASASDGPAPAPSPNN >OGLUM06G00010.1 pep chromosome:ALNU02000000:6:17347:25647:1 gene:OGLUM06G00010 transcript:OGLUM06G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAPKPIHLLNDKDKDKGDDGSHQDTPTLQSPPHGSDAHPIPLELNVSAAVARPPQPPHAQPTELNAVAAAAAALPMELDDDAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGSDVSHESFEQNLQHQVLDNALTDPSSLAESTATPASTAGLKPARRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPSSKKAVLKGVITGCNIRCFCLSCNGSKDVCSYFFEQHAGSNKKHPADHIYLGNGNSLRDVLRACESSPLESLEKTIRSSIDPIAKRSYVNCLNCNEHLSSSQTEIFGSFLCQRCLEPKQHQDPPSPSYACKSNSSLIPSSKDFLLKKTPLNTKGGSAGKVTTKDTGLHKLVFKVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCSRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGRRPKDGMPGQDYSGMYCAVLTLGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSEAYLNGAHLTIFHGTSNLYKAIPSS >OGLUM06G00010.2 pep chromosome:ALNU02000000:6:17347:25647:1 gene:OGLUM06G00010 transcript:OGLUM06G00010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEAPKPIHLLNDKDKDKGDDGSHQDTPTLQSPPHGSDAHPIPLELNVSAAVARPPQPPHAQPTELNAVAAAAAALPMELDDDAAAAVPAESTELNAAATAVPAQPTEVNAAAEIVKPIGLNAVAAETAKPDMAMELQEPPTVTAANGSDVSHESFEQNLQHQVLDNALTDPSSLAESTATPASTAGLKPARRFTRSLLKNKPEEEPTASKSQDPAVSMISEDNNEASVDLALAPEKPQRRFTRSLLKVKVEARSTNNLLQSKEAIDSTSDSSRSVKKMEMKMSKKVACLTKHPSNIRELLNTGLLEGMPVRYIIPSSKLQKAVLKGVITGCNIRCFCLSCNGSKNIFLHHKLKSLEAFCVNVALSQNNIKIPLPHLMLVRAIPGTPGYTNWSLKFCLMRKVDGYIKDQRIYCNHCSRVVSPSAFEAHAGEGTRRKPYDNIFTSNGVSLHELSMKISKDMELSERETDDLCRECGQGGDIFPCKMCPRSFHPACVGLSGVPSEWYCDNCSNLVQKEKALAENKNAKAAGRQAGVDSIEQIMKRAIRIVPISDDLGGCALCKQKDFNNSVFDERTVILCDQCEKEYHVGCLRSQWQVDLKELPEGEWFCCNSCSEIRSSLDKIISDGALILAESDIDIIRKKHEMKGLSMDTNTDLRWRLLAGRKASEDGDLLLSAAVPIIHQSFDPIIEVQSGRDLIPEMVNGTSVVSAALLRVMGGEVAELPLVATSKDLQGLGYFQALFSCIERMLISLKIKHFMLPAAQEAEGIWMNKFGFTKIPQEQSEAYLNGAHLTIFHGTSNLYKAIPSS >OGLUM06G00020.1 pep chromosome:ALNU02000000:6:26943:27953:1 gene:OGLUM06G00020 transcript:OGLUM06G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAHAQRFYCHQCDRTVPIPPPTSPDADVLCPFCGGGFVEELGEDINPNPNPSPFLPHHPFFPFASPSFDLRNPSDLAAFFGPPSPSPSPSPAARHFDPSNFLHDHFTGLLSGGATIQIVLEGSSASLPLGGAAAGPGGISLGDYFVGSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMAADGGAQCAVCMDDFHLGAAAKQLPCKHVFHKDCILPWLDLHSSCPVCRFELPTDDPHHAHPTLGSHRAAAPASASASPSPAPPPRLAERRFRISLPWPLRAAFGGQAESSNPTNQDPVGGSTDASGSGNNNATGGHRGYDDLD >OGLUM06G00030.1 pep chromosome:ALNU02000000:6:33402:33866:-1 gene:OGLUM06G00030 transcript:OGLUM06G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3S1] MAALSSAAVTIPSMAPSAPGRRRMRSSLVVRASLGKAAGAAAVAVAASAMLAGGAMAQEVLLGANGGVLVFEPNDFTVKSGETITFKNNAGFPHNVVFDEDAVPSGVDVSKISQEEYLNAPGETFSVTLTVPGTYGFYCEPHAGAGMVGKVTVN >OGLUM06G00040.1 pep chromosome:ALNU02000000:6:37188:40208:-1 gene:OGLUM06G00040 transcript:OGLUM06G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKVEMTPAVAAAAAVLPVGFRFRPTDEELVRHYLKGKIAGRSHPDLLLIPDVDLSTCEPWDLPAMSVIKSDDPEWFFFAPRDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGPLIGIKKTLVFHRGRAPRGLRTGWIMHEYRTTEPHFQSGKNGKFVLYRLFNKHEQDDTHTPASNLDQQLHSMSSQGNPQNGTPAVQLALASIMKDHQTLPSSGFTQLTEIQDASTSVHDKEKTVAHDDAFLDVLSQLPDLEPEQRYNGFPNITSPIRPYSDHPFVGNLGEQDLSAHFGSTLSEQDLQSLLFSPNYTKMDKHPTGNVESNPTASSSNPNNNTLLMDSWRKNDLYQMLLIQCADNTDATCRSSSINAPQTETSDANLEARAQSSSMVYSGVAEGSLLCNQDQLHSAINPHMESQKSGAFCWAGLQTPYPQHWFDTMVEPCRSGMTFSDALKEQGQEQAPSMKHLTAQDLVDPQQGTAARRIRLVCSVERASVSQPVSSHLQSEYEAGSCCNTRNSSNNKKKSVRSEDEAGSCCNTGSSSNNHSEENDDAASQIMDGEPMHIQCKEDTPIQVDHSVEVMDKLQGFSFHEEMLVHANQPRGTNLKQRLLRAESRNSNENNAPSLETRGQQHAPHIQIWTSSVVRLGWGWQWPALFVMAGSLLLLVGVWKSLNHTT >OGLUM06G00050.1 pep chromosome:ALNU02000000:6:42493:46523:1 gene:OGLUM06G00050 transcript:OGLUM06G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGVVGGGGAAVVLVALLVTVVLAVMRSAGSSSSKRGRLPPSPMALPIIGHLHLIRPPPHRAFDRILGRHGPLVYLRLGPSTHCVVIGSADVARDFLKFEASIPERPPTAVTRQLAYGKAGFAFAPYGAYWRFVKRLCMSELLGPRTVELLRPVRAAELAGVLRAAQSAGERGEGVDMSQELVRMANNSIMRMVASALPGEMAEVARDCAKQVAELVGAFNAEDFVAVCRGWDLQGIGRRTNEVHARFDTLLETIIAAKEEARRLSLGRRETSSSKDLLDMLMDAAEDDTAEVKLTRDNIKAFVLDIFTAGSDTTATTVEWMLAELVNHPECMAKLRGELDAVVGRSRLVGEQDVARLPYLQAVLKETLRLRPPAVFAQRVTVEPVQVRGYTIPTDTQVFFNIFSIGRDATYWDQPLHFRPDRFLPGGAGATVDPKGQHPQLMPFGSGRRACPGMGLAMQAVPAFLAALVQCFDWAPPPSQPLPLDMEEAAGLVSARKHPLLLLPTPRIQPLPSFYS >OGLUM06G00060.1 pep chromosome:ALNU02000000:6:46982:54012:1 gene:OGLUM06G00060 transcript:OGLUM06G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLYRRVLPSPPAVEFASEEGKRLFSEALESGTLQGFFNLISVFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVLLCRVAEMKAGKAWRSIAWKMYPIFLRMRV >OGLUM06G00060.2 pep chromosome:ALNU02000000:6:46982:54012:1 gene:OGLUM06G00060 transcript:OGLUM06G00060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASLYRRVLPSPPAVEFASEEGKRLFSEALESGTLQGFFNLISVFQTQSEPAFCGLASLSVVLNALAIDPGRQWKGPWRWFDESMLDCCEPLDKVKAEGITFAKLACLAHCAGANVRSFRADQSTIHDFRHHLVRSASSQDCHLIASYHRKPFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVHELQFTKQPCCSCSYSSDDDSFTRIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >OGLUM06G00060.3 pep chromosome:ALNU02000000:6:47635:54012:1 gene:OGLUM06G00060 transcript:OGLUM06G00060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALILDVARFKYPPHWVPLPLLWEAMNTTDDATGLLRGFMLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVHELQFTKQPCCSCSYSSDDDSFTRIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >OGLUM06G00060.4 pep chromosome:ALNU02000000:6:50424:54012:1 gene:OGLUM06G00060 transcript:OGLUM06G00060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLISRHTAAPSLLYTVSCRDESWKSMAKYCMEDVPDLLKDESVDNVPALLSRLVKSLPANAGNLIKWVIEVRRQEEGGSGLSKEEEERLILKEMILQQVRDTELFRLVHELQFTKQPCCSCSYSSDDDSFTRIAASVCCQGAALLTGNLSSKDGFCCRETCFKCVQVDGDGPKTVVTGTAVSGVNEQSVDMLLPISTLETSVCNSNSSNEVVKYPSRTDILTVLLLALHPSTWVGIKDERLKAEFQSLISTDILHDDLKREILHLRRQLHYVRSCKEEEYGDPVPQSH >OGLUM06G00070.1 pep chromosome:ALNU02000000:6:63927:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEDSLKGNGH >OGLUM06G00070.2 pep chromosome:ALNU02000000:6:63927:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEDSLKGNGH >OGLUM06G00070.3 pep chromosome:ALNU02000000:6:63932:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >OGLUM06G00070.4 pep chromosome:ALNU02000000:6:63927:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEDSLKGNGH >OGLUM06G00070.5 pep chromosome:ALNU02000000:6:63927:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >OGLUM06G00070.6 pep chromosome:ALNU02000000:6:63932:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >OGLUM06G00070.7 pep chromosome:ALNU02000000:6:63932:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >OGLUM06G00070.8 pep chromosome:ALNU02000000:6:63932:70714:1 gene:OGLUM06G00070 transcript:OGLUM06G00070.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant U-box 14 [Source:Projected from Arabidopsis thaliana (AT3G54850) TAIR;Acc:AT3G54850] MPKRVADEIAALPEPRGPLRRPCADLSRRVRLLAPLLDHLPASASSSSTPLADALGAARDLLRKTRDGSKIDQAMRGDAFLDEFAGVNRQIHLALDALPYNTFHMPQEVQEQVALVHSQFQRASTRTDPPDTQLSMDLAWALTDNPSDPALLTRISHKLQLHTMADMKNESIALHNMVISTAGEPDGCVDQMSSLLKKLKDCVVTDDHANDALATRSASIKHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERSCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLISQWCEANGIELPKNKQNSRDKKAAKSSDYDHAGLVSLMNRLRSGNQDEQRAAAGEIRLLAKRNVNNRICIAETGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVDSHAIPKIVEVLKTGSMETRENAAATLFSLSVVDENKVTIGAAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKVRAVKAGIVIHLMNFLVDPTGGMIDEALSLLSILAGNPEGKIVIAQSEPIPPLVEVIKTGSPRNRENAAAILWLLCSADTEQTLAAKAAGVEDALKELSETGTDRAKRKASSILELMRQANEA >OGLUM06G00080.1 pep chromosome:ALNU02000000:6:73581:89528:1 gene:OGLUM06G00080 transcript:OGLUM06G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKEAEEFFNSLGDKFAYCNVEWLVKWKDLGYEYATWELETSSFLCTPEAKDLKRNYESRHEDARRGFDPAKINKVKQCLFQKLQKLPDGFPPGLDKDHLSSLNRLREFWHNSDGAICLDDQERVIKTILFSMSILPDVCQPLLIVSTSASLSLWEAKFNRLAPSINVVVYNGEKDVRKQIQDLEFYENGLVTFQVLLSHPDAILEDIQTMESIVWEAVMVDDCQSLRVSKCLEQLKHLSTNFRMVLLSFPLKESIPEYINLLSFLNPEGSVISSSSNGDFTDTGDILATLKEKFARHVAFERKTDSSKFLEYWVPARLSRVQLEMYCYTLLSNSPALRSHSRTDSVGALRDILVSLRKCCDHPYLVDQSLQSSLTKGHSLTDILDIGVCASGKLLLLDKMLQEIRNQGRRVLIVSQSGGGAGNPMGDILDDFVRQRFGFESYERVERGLLVPKKQTALNMFNDKTKGRFIFLIDSRACVPSIKLSSVDAIIIYCSDWNPTNDLRVLQRISIESQSECVPIFRLYSSCTVEEKTLILAKHDHILDSNVQNVMPIVSHSLLSWGASFLFNRLEEFQKHDYSSKDSEDDGLFMNNVFLEFAAKLSTNVEASTKMENAVISRAQQSGSFYSRDIAVISEREGISAVDGDLPKFWTFWSNLLGGRSPHWQYISEPVQRNRRKIQNMEDQMRIPAEETDEAIMKRRKIGEIMDSSPKILPVKDNDAVLPENSTASSSHETSVDDTWQELGAESLQGTQKGLHTQLKPELSKLYELLELPETVKCLCEELLDYILKNHQVSQEPKGILHAFNIALCWRAASLLKHKINRRESLALSVRNLNYECDEVLAEYVYEKLRILKKKFSRRASETSKQSQSTLVNNTSSYKQQTSPKLRSDGSICHQVTTIDGDLENVSHEEAPHDILTEEMILEQKELISVLETHREEHVLRDELLERITEKRINLIHMVFSLREKNIQDKQENETTLLNMHKQKEVAKLRETCNFVVEHLRKGHIDSEDRDATVKLIIEWFTLLLYAFLNHMRCQHNKLKMQQSTSWNKELQLKEIFLQQAKSGHLDRSFDQQIPLPDSCFTLEEFSHFKEIVGNFPVGAATSANCQHSLASTMEIALVRSVSPSEVGNSEAAINGAVEVPVHTKKRPTSEVGLSQNRMDNDSDGIDSQGGSPLAVQHSLSSNPAIDNSNNLESSVASHRSKHLGDIAVEVNADNCGTTLADSPHLEAPTVATLPSQSALPMAMEVDIQTDHVVQSAQQNIVTGRVPQEEEREGSTTVTSAQPLQPEMRPSSPVSGILRERTNPDQRRESRQPEAAPSSVDPTQLFPVASLMFNHPPLGNEPLKNELHRLQVHMDSLNKIYELKKSQLQTECSQEIEKIKQKYDLLIKEQDSAHHQHRKTLDDLYGKVLLNQSLADDFRVKFVSTSAAQARAVSPPLCQTTRQTAGVSQQVPTRPSVAGSIALPVGSSSASRPSLQRHCAQPSHVDRSSSSGGSHSSSPSSQVVRPPPAILGSVVRATSTPFSHTPAARGNYGVGSEVARAPAPHLQFRLPRAHPTAPVNQQQRQLPVRLESTCSRTQLTPVSTPVNARQLSSQSVSPVSNSSSSSSSHPGPALSNPALAANSSSNPVLSAGTVALPPSPHPPESIAAPRGQQKGAPSGLNTVPVVGSGLPASRSMSDSVSLDAWLTSNLGLKDGETSTPRTRMDSHRTVDVVCLSDDEPEEH >OGLUM06G00090.1 pep chromosome:ALNU02000000:6:91754:93296:1 gene:OGLUM06G00090 transcript:OGLUM06G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAVVRVVSRRTVKPAAPRPRESIPLTSWDLSMLSADYIQKGLLFPPPPPCLLVVDHLAAALSTTLNTYYPVAGRFVTHNHPEPEGGCSVSIDCDGQGVQIVHAVADAVTVADLLPPDAHVPPLLHSFFPLGDAVNYDGHHLPLFVVQVTHLVDGVFLAFVYNHALSDGTAFWDFLNAWAEIARASCLAAPTSPPPLFNRWSPSPGDGAPVVLPYADLSELIERLQPPLLCERMLHFSSESLVALKERARQELLAAGDTAGAAALTRFQALSSLLWRCITRARRLPAEQQTMCRAAINNRGRLQPALPREYFGNSIYAISTEKVQASELVERGHGWAAAAVGRAVAAHTDADIRARVAAWEVKPIIYTARYFDPSGVMMGSSPRFDMYGCDFGWGKALAARSGKANKMDGKASLYPGREGGGSIDAEVVLTPHHMAALDDDHELWAAVTPDHTLPLLLNNNKP >OGLUM06G00100.1 pep chromosome:ALNU02000000:6:93805:96782:-1 gene:OGLUM06G00100 transcript:OGLUM06G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) TAIR;Acc:AT5G54080] MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRKAEPVKFLVSN >OGLUM06G00100.2 pep chromosome:ALNU02000000:6:94262:96782:-1 gene:OGLUM06G00100 transcript:OGLUM06G00100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate 1,2-dioxygenase [Source:Projected from Arabidopsis thaliana (AT5G54080) TAIR;Acc:AT5G54080] MAMATATPAAQNEQQEKGGLEYVYLSGLGNSLSSEAVAGTLPRGQNSPLVCPLGLYAEQLSGTPFTAPRARNLRTWLYRIKPSVTHEPFHPRRPAHPRLIGDFDRTTTDTVATPTQLRWRPADVPPHHPPLDFIDGLYTVCGAGSSFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGKLLITTECGKLLVPPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLASARDFLSPTAWFEQVHRPGYTIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSKFCPFNTVLFDHADPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGIYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRPDANEPSRLSGTLAFMFESALIPRVCQWALDSPSRDLDYYQCWIGLKSHFSHDNGGATSEEPCRK >OGLUM06G00110.1 pep chromosome:ALNU02000000:6:96274:96927:1 gene:OGLUM06G00110 transcript:OGLUM06G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGGWWGGTSAGRQRSWVGVATVSVVVRSKSPMRRGWAGRRGWKGSWVTEGLIRLRARGAVKGVPESCSAVPAGRPAGCSARAGASPPPPPTTSCCPAPTDIRTPTPPSPAAHSAPRGLPLPWPWPLAGGEGEGQHNCLSPSFSFRRLLLSCISLFFSPSVYILQSTTPPK >OGLUM06G00120.1 pep chromosome:ALNU02000000:6:98741:99965:-1 gene:OGLUM06G00120 transcript:OGLUM06G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGRPYGRRGGAAPSYVPRPRAPNPSPSAPAPARPPQQLRKPVFTTVERLRPQSHGHTLTARVLSARIILDNPPPRARLAECLVGDNTGTVLFTARNQQVDLVKPGTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDPASFQVKEDNNVSLVEYELVDVVDED >OGLUM06G00130.1 pep chromosome:ALNU02000000:6:106530:111963:1 gene:OGLUM06G00130 transcript:OGLUM06G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3U2] MEGGVGGEVDHLAGERATAQFDVEHMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMLPRKELFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYRFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTKEGKYVHSDVPKQLLYGTMVFVRQTIVADASKALSRATCIAVRYSAIRKQFGPQTGGPETQVLNYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTHKLEAKDFSTLQEAHACTAGLKAVTTSATADGIEECRKLCGGHGYLNSSGLPELFAIYVPACTYEGDNVVLLLQVARFLMKTVSQLASGKQPVGTTAYMGNIQYLMQCKCGVNTAEDWLNPAAIREVFEARALRMAVNCAQNINKAPSQEEGFYELSPDLLEVAVAHIQLIIVTKFIEKLEQDIPGEGVKEQLRILCNVYALYLVHKHLGDFLSTGSITARQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNDTDVPDGYQEHLRPLLKQQLKLSRL >OGLUM06G00140.1 pep chromosome:ALNU02000000:6:112634:123902:1 gene:OGLUM06G00140 transcript:OGLUM06G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT3G48110) TAIR;Acc:AT3G48110] MAGLAPPPLLLLPLSSSLSPAAASHHPTSPPSRTRRRRRLLSAVASADGDAPSPVSVSASAATKGPSSSSVLTFQQAIQRLQDYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSALGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLLPVSVEITYGLERILMSLQGVDHFKNIQYTKGITYGELFLENEKEMSAYYLEHANVDNIQKHFDDFEEEARSLLSLWLPIPAGFVGVTERARYFGRMRSLARQCAQLWVKTRENLGYPLGTYQESNLIYPHVSEKPSRKGVVGQPRAFVLEIGTEELPPHDVIEATKQLEKSLIQILEKRRLSHGKVRSYGTPRRLAVVVENLNMKQMEEEIELRGPPVAKAFDQEGRPTKAAEGFCRKNNVPIDSLYRRTDGKTEYIYARVKESARFADEVLTEDLPTIISGISFPKSMRWNSNIVFSRPIRWIFALHGDLIVPFCFAGISSGNQSCGLRNSSLANFKVEAAELYLHTLEKAGILIDMQERKQRILHDSSILAEGVGGDIIAPDSLVQEVINLVEAPMPIIGRYDVSFLELPKDVLITVMQKHQKYFPVTSKTTGNLLPCFITVANGAIKEEVVRKGNEAVLRARYEDAKFFYKMDTQKKLSEFRDQLSSILFHERLGTMLDKMKRVENTVAEVALLLGINEKMIPAIKDAAALAMSDLATNIVTEFTSLAGIMARHYALRDGLSEQIAEALFEITLPRFSGDVFPKTDPGIVLAVTDRLDSLVGLFGAGCQPSSTNDPFGLRRISYGLVQILVENKKNFDLTKALTLVAEEQPITIDSGVIDEVVQFVTRRLEQLLVDEGINCEIVRSVLIERANCPYLASQTAIEMEAFSRTEDFPKIVEAYSRPTRIIRGKEIGSALEVDASVFEKDEERALWSAYLEVADKIHPGVDIKAFADASLELLQPLEDFFTNVFVMAEDEKVRNNRLALLTKVASLPKGIADLSVLPGF >OGLUM06G00150.1 pep chromosome:ALNU02000000:6:123948:126649:-1 gene:OGLUM06G00150 transcript:OGLUM06G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRALPPPHRRASATAMPPANLSISAPSAAAAPPPPLYLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >OGLUM06G00150.2 pep chromosome:ALNU02000000:6:123950:126611:-1 gene:OGLUM06G00150 transcript:OGLUM06G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaminyl cyclase [Source:Projected from Arabidopsis thaliana (AT4G25720) TAIR;Acc:AT4G25720] MPRRRALPPPHRRASATAMPPANLSISAPSAAAAPPPPLYLRRRPLIAAAALLFLLVIVVLAAAHPYGYAPWLANAAPAALLRRPVSTTFYSFDLLREYPHDPYAFTQGLLYGGNDTFFESTGLYHRSSVRRVDLKTGKVLVQHEMDGRLFGEGLTLLNDKLFQVVWMKNQGFIYDRHNFSKRESFTHKMSDGWGLATDGKVLFGSDGTSRLYQLDPKSIQVMKTVTVKYQDNEVPYLNELEYINGEVWANVWQTDCIARVSHEDGLVVGWIFLHELRQHLWNSGNTEIDVLNGIAWDEENQRLFVTGKLWPKIYEIKLRPVDGPQDGSVEKLCPKASFYR >OGLUM06G00160.1 pep chromosome:ALNU02000000:6:133680:137221:-1 gene:OGLUM06G00160 transcript:OGLUM06G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPMRKEGTRINNREEALHVLAPLDAAAETTERLEYQSMACSHQALFALMNAQVEFVTQLLQEESQCLDLVSCISRLWKRNVLLQYDVLLPNHHMPA >OGLUM06G00160.2 pep chromosome:ALNU02000000:6:130993:137221:-1 gene:OGLUM06G00160 transcript:OGLUM06G00160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPMRKEGTRINNREEALHVLAPLDAAAETTERLEYQSMACSHQALFALMNAQVEFVTQLLQEESQCLDLVGGVYTD >OGLUM06G00160.3 pep chromosome:ALNU02000000:6:128900:137221:-1 gene:OGLUM06G00160 transcript:OGLUM06G00160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPMRKEGTRINNREEALHVLAPLDAAAETTERLEYQSMACSHQALFALMNAQVEFVTQLLQEESQCLDLVSSWQCHVSIWSGFEPGR >OGLUM06G00170.1 pep chromosome:ALNU02000000:6:132334:138557:1 gene:OGLUM06G00170 transcript:OGLUM06G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVEKPWELKEDVEIMTEEEEEDDSSNLLQARGRNKKHALINGDEQQQQEEEEEVVEEHKSVFFDPTQGLWKCRHCDWTYLLSGPSRNVILNHQGYCQITTNLESLVQSESFYSSPSKVSEHVTEVSGKNEVTRVEQFVAKKEKAHETSSSKGKELETQENANSQETNENSNNSSLENRSPSNGSHEVCNSGETVTVANGKAGLKVITIIDKNQNGLANSNGSLHIANVSMNKTSVHEIEAEKDEDVIKGKVNIEEYDLEKILDEQETHDLFCPNCNSCITRRVILRKRKRTVRQTSPDEPPKKTQIAEPSANTSNQTVPERQGQESPDIFRCLSCFAFFIPTGCGFNIFRIFGRTEVNQEAQVQEAAASGHMSGSDNCASCLFSCFEPGDGPKKTDAGPEKEPLLPDKQDSNNGSASSVEGSTASVHSHGISVQQQESKRPLPAESSSQLQPSNTKKEDFGTVSFSGSSSVEAHSSSSASIINPGQTATGFLQTGETHVVIGQQDIVLQQNVPLPKPGDAAHLDKQKQDVVRPMVDKPSRGIVIPPEAVESQTRPEHSSVQIGPDASMPLIDTPAPEQRDDWDILKAIVYGGLVESITSLSVVSAAASSGARTLDIFILGIANLIGGLPIIFHSMAELRSIGDVDEREEQGGHYWLQLGRRSKYRLHVAMAVLSYLLFGLLPPLIYGLSFRGGDVREKKMVAVAAASLGCIALLAMGKAHVARRRSYVKSLLYYLSIGVSASGLSYVAGLLLAHFALITHQTPPASSSWASY >OGLUM06G00180.1 pep chromosome:ALNU02000000:6:138110:141032:-1 gene:OGLUM06G00180 transcript:OGLUM06G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQSGSPKARQPVFQRMRVTLTIGVIGLCVASYILGAWQGTSTTSIHPSIIYTKSQCGESILRTSSNSSGRSSSDARLDFQAHHQVSFNESSLVAEKFPPCQLKYSEYTPCQDPRRARKFPKTMMQYRERHCPRKEELFRCLIPAPPNYKNPFKWPQCRDFAWYDNIPHRELSIEKAVQNWIQVEGKRFRFPGGGTMFPHGADAYIDDINALISLTDGNIRTALDTGCGVASWGAYLIKRNIITMSFAPRDSHEAQVQFALERGVPAMIGVISTERIPYPARSFDMAHCSRCLIPWNKFDGIYLIEVDRVLRPGGYWILSGPPIHWKKYFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKDDLAIWQKPINHIECVNSRKIYETPQICKSNDVDSAWYKKMETCISPLPDVNSEDEVAGGALEKWPKRAFAVPPRISRGSVSGLTTEIFQEDNKVWAERVDYYKKLIPPLTKGRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSAHDTLGIIYERGFIGTYQDWCEAFSTYPRTYDFIHADKIFSFYQDRCDVTYILLEMDRILRPEGTVIFRDTVEVLVKIQSITEGMRWKSQIMDHESGPFNAEKILVAVKTYWTGQPTQKQ >OGLUM06G00190.1 pep chromosome:ALNU02000000:6:143003:148114:-1 gene:OGLUM06G00190 transcript:OGLUM06G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLNRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQKGFSLNECFVQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQDIVISRYCYEGADEEDCIIEMDGRCLYSSVRTVQWRS >OGLUM06G00190.2 pep chromosome:ALNU02000000:6:143003:148114:-1 gene:OGLUM06G00190 transcript:OGLUM06G00190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLNRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQDIVISRYCYEGADEEDCIIEMDGRCLYSSVRTVQWRS >OGLUM06G00190.3 pep chromosome:ALNU02000000:6:142980:148114:-1 gene:OGLUM06G00190 transcript:OGLUM06G00190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLNRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQVEKLKEEVPQP >OGLUM06G00190.4 pep chromosome:ALNU02000000:6:142980:148114:-1 gene:OGLUM06G00190 transcript:OGLUM06G00190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3754) [Source:Projected from Arabidopsis thaliana (AT2G46915) TAIR;Acc:AT2G46915] MPLLLLRAGAGAKPPLSFSVPPPPGDVRISSRPPTTATRCSSSSSPKLSSATVQFRSDSDPWNQPTVDDDGDFDLKGRKAIPGIHVPRQRYIAVSKPALLDALLSLFPSQPPTPSPTSAAAADFKRFARCLDALLHAEHKEMLEEMRTYYMLTHNHHQHTAAADDDDDDQPVLNGNANTTAGFFGITDNGTLLLNRSLGLRTLLGLSPDPDSHNRIAFATHFQRSFMNLLRNAQFEELSAQDLLLTYALNTDYLLTLPIYVDWKKAAESNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQNIFFGFSKPLRKLGKWLNEALKRSTGNEGFQIWIEKLKVWLKEQTYAENSLSLIENSSWDKLRSDQLPDADLPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLAWTGLIPSLPEATIKSDIDTKDLEGYVRPNFLPRITLANIWAPASRESCNNNLWEITKASFGVLFGKSTLQEPAFQELILLYTDEADQSKEREKSDMMPLQLKIFERIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAFTALAILVFRVALGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQKGFSLNECFVQYKEALLAYGMLLCRKKYQVSSRVSIRDTCEQFMYEKFKAKIEMPIDKAMETLLRLGLVIELPTDGGSRVIALPCSDAYEILKSRWDSLLEHKTEQVEKLKEEVPQP >OGLUM06G00200.1 pep chromosome:ALNU02000000:6:150549:157452:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGLSRPVAFQNPAAVARLVLVPVGYFLSLWLKKYHSFCSSAADPPLIRQRSACMIPWLNLVEIDVLDDIEINPSCGHLLPKVVIHQLFVRRVETKSRRNLRLLLRAAAAHTRSIHFDRSIDRPANILIDNLIYLSIYLRACLCLPEITVMHACQYCYKLRILTVMYVRT >OGLUM06G00200.2 pep chromosome:ALNU02000000:6:150549:157468:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MHEIDVLDDIEINPSCGHLLPKVVIHQLFVRRVETKSRRNLRLLLRAAAAHTRSIHFDRSIDRPANILIDNLIYLSIYLRACLCLPEITVMHACQYCYKLRILTVMYVRT >OGLUM06G00200.3 pep chromosome:ALNU02000000:6:151697:157287:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MPAWCWCGRNIDDLVIIIGDMKAPGASSSTYQLPQEIDVLDDIEINPSCGHLLPKVVIHQLFVRRLNTWLGLAGRLETHECM >OGLUM06G00200.4 pep chromosome:ALNU02000000:6:155965:157287:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MGHGVEEMMACRRDLELLNLTSEVSVLVSGVEVLLLQRDAGVVLIPWLNLVEIDVLDDIEINPSCGHLLPKLNTWLGLAGRLETHECM >OGLUM06G00200.5 pep chromosome:ALNU02000000:6:148160:150184:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MARNGRQEQEDNPPNHGTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSERKISKHWLKRSVEARINDANRDDYAIPETISELESGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >OGLUM06G00200.6 pep chromosome:ALNU02000000:6:155286:157287:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MPAWCWCGRNIDDLVIIIGDMKAPGASSSTYQLPQEIDVLDDIEINPSCGHLLPKVVIHQLFVRRLNTWLGLAGRLETHECM >OGLUM06G00200.7 pep chromosome:ALNU02000000:6:148160:150957:1 gene:OGLUM06G00200 transcript:OGLUM06G00200.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62730) TAIR;Acc:AT1G62730] MARNGRQEQEDNPPNHGTSSFTSQTEFMGSTAAAGGNLRTALSYCVQQVRNYDYHHYLCLLHLPPAMRKAAFAFRAFNIETAKAMDVVSDPKTGLMRLLWWKDVVDKVFANKLVEHPVAQVLSSVVSERKISKHWLKRSVEARINDANRDDYAIPETISELERYAEDTQSTILYMTLQAGGIQSTIADHAASHIGKASGLLLLLKALPHHVSKQGRIPYIPASIAEECGLLTREGGRSEVRMGDELPDAVFKVASVADAHLQKARELASSVPAEAIPVLLPGVPAQVLLDSLRRREFNVFDSRLSRGVHGISPLWYQIKLIWHSVRKKY >OGLUM06G00210.1 pep chromosome:ALNU02000000:6:155650:157020:-1 gene:OGLUM06G00210 transcript:OGLUM06G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSMSSSSTQQQAQVPPGFRFHPTDEELVDYYLRKKVAARRIDLNVIKDVDLYKIEPWDLQERCRINGGSAAEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYATKQHSLLVGMRKTLVYYRGRAPNGHKSDWIMHEYRLETSETAPPQEEGWVVCRVFKKRLPTTRRDSDHDAPCGSWYVDEDAPGAFMSPMMITRSSILRPHQHHAGITLQEQHLHTTYKHRDLTSKIQQLQVPAAGHHLLNTMPHDLESSTSSFHSLLVSPDHHQINMHHAQADPFFDDMHAVDQATTTDWRVLDKFVASQLSNDATNKPADHYTDEGDILQVSDKQQEVAAADYASTSTSSSQIDPWK >OGLUM06G00220.1 pep chromosome:ALNU02000000:6:167477:171516:-1 gene:OGLUM06G00220 transcript:OGLUM06G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAALLAFVAVAGALVAQATDPYVFFDWDVSFITASPLGLPQKVIAINKQFPGPVMNLTTNYNVVVNVLNSLDEPLLITWDGIQQRKNCWQDGVLGTTCSIPPGWNWTYNFQVKDQIGSFFYFPPLSLQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKSHTDLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPAGIEHETIKVEPGKTYRFRVHNVGISTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLITMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVTINGKKRTTLSGISFSPPDTPMRLADLYDKKGVYTLDFPTMPIDGPPVMKTSVINSTYKNFLEIVFQNNDTKVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPDGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSSSAASPNRYWLAVVVSLVAAVFVQ >OGLUM06G00230.1 pep chromosome:ALNU02000000:6:175902:178168:-1 gene:OGLUM06G00230 transcript:OGLUM06G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPHQIRSDQISRSPTLLHTPTPAAAEQRAPPPPATMSSHHRYAHLLDDPFFPFPPPSSSSCPFLSPPAASSTCPFFALDSPFAADPFHLHPFLPTPPTSSLLDPFLLHTLTDRVSQLELALAARAPHPRPTSRKCTYVTESTGRKVKWTTEDKPRAGERVLKWEAELDSPYDDGFDRKWKWEAKAKTASAAATKLKWATHLKGKGCLEPWSHSYTWEEDFSATDDDDDDEIEDQLHHKALQDHSKLKTKAKDDKKKKKKDNNTVVVNKEQKKCPFSVKIEEIPPEEDNTAGCVAIRKAFALGNGKAKKKELSPQDAALLIQLNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRHRMAHDHEERQRFTEKIIVLLLTVDALEGPDYMVRTAKKSMLDELEGMLEIVDPQPPGKQRSLTRRKFDLPEGGPITDEKMAGVNNAVKVIQKGKK >OGLUM06G00240.1 pep chromosome:ALNU02000000:6:180812:181180:1 gene:OGLUM06G00240 transcript:OGLUM06G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVKMAGVACVLVVGVLWLSAGQSAACDGHPCPTPAGKCPINTVKLGVCADVLDGLIHASTPPKEPCCPLIAGLADLDAAVCVCLAINANLLGLNLDVPVDLSLLLNYCGCKLPAGFKCA >OGLUM06G00250.1 pep chromosome:ALNU02000000:6:183957:185039:-1 gene:OGLUM06G00250 transcript:OGLUM06G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3W6] MKKASSLSELGFDADGPSFFRHLTLTDGDDGTLPRRRLIKISVIGAGNVGMAIAQTILTQDLADEIVLIDAVADKVRGEMLDLQHAAAFLPRVNIVSGTEVSLTRSSDLVIVTAGARQIPGETRLNLLQRNVSLFRKIVPAAAEASPESVLVIVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLEVSAQDVQAYMVGEHGDSSVALWSSISVGGMPVLAHLQKNHRSAATAKKFDEAALEGIRRAVVGSAYEVIKLKGYTSWAIGYSVASIAWSLLRDQRRIHPVSVLAKGLVRGVPADRELFLSLPARLGRAGVLGVAAELVLTDEEERRLRISAETLWGYCHALGL >OGLUM06G00260.1 pep chromosome:ALNU02000000:6:189760:191303:-1 gene:OGLUM06G00260 transcript:OGLUM06G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGTVVCLLGLLMLMQEVKGGQGLGNDGGDLWQEFLRLPTENGGTKWALLIAGSSGYDNYRHQADVCHAYQIMKKGGLKDQNIVVMMYDDIAYNPKNPRKGVIINKPNGGNVYAGVPKDYKGKDVNKNNFLAVLLGKKSALTGAGSGKVISSGPNDHVFVYYSDHGGPGVLSMPSGEGLYANELVQALKKKRAGGAFLNLVVYLEACESGSIFEGLLPSNIGVYAMTASNKTESSWATYCNTPGYTTCLGDLFSVAWMEDADPRRPGDRQTLAQLYTIVKKRTTSSQVKLYGDLRLASQPVSLYYLPPGPGITSDEEGRRGGGVDQRDAGLVYLWREYYEEKSVEAWERLLREMERRSRLDTSVDLIVGDLLSSKAQLLQVRAGQPLVDDWDCLKSMVRTFEAHCGPLGQYGMKHTRAFANMCNAALDHHHMAKAASKACTMHPPLITY >OGLUM06G00270.1 pep chromosome:ALNU02000000:6:202903:206880:1 gene:OGLUM06G00270 transcript:OGLUM06G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSCHPHNPTTLPLPEPDSSKSPEPTSVLYNRSPSTSLGSCSSKPPEDPPPPPIAADDFCEDWDAVVDMHMLAPAPAPDSSFLRWIMDAGYADADTFPDHPSFDSDLLQLPMPMPSDHPPQPLVDDLLDAARLLDAGDSTSAREILARLNHRLPSLPSPPGHAHPPLLRAAALLRDALLPPTALPVSSTPLDVPLKLAAHKALADASPTVQFTTFTSTQAFLDALGSARRLHLLDFDVGFGAHWPPLMQELAHHWRRAAGPPPNLKVTALVSPGSSHPLELHLTNESLTRFAAELGIPFEFTALVFDPLSSASPPLGLSAAPDEAVAVHLTAGSGAFSPAPAHLRVVKELRPAVVVCVDHGCERGALNLLRSCAALLESLDAAGASPDVVSKVEQFVLRPRVERLAVGGGDKLPPPLQSMLASAGFAALQVSNAAEAQAECLLRRTASHGFHVEKRQAALALWWQRSELVSISLAAQERYFAAFKQSRIMEAGSLSVSDKLW >OGLUM06G00280.1 pep chromosome:ALNU02000000:6:208086:212493:-1 gene:OGLUM06G00280 transcript:OGLUM06G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3X0] MALLLRHSPKLRRAHAILGCERGTVVRHFSSSTCSSLVKEDTVSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKVSPQEASRASNFMRASKYGMPITANGVVPSRCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKREKEQPKAPEPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRNVPECNSSWMNDFIRQYHNVNINVAVQTEDGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >OGLUM06G00280.2 pep chromosome:ALNU02000000:6:208086:212493:-1 gene:OGLUM06G00280 transcript:OGLUM06G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3X0] MALLLRHSPKLRRAHAILGCERGTVVRHFSSSTCSSLRLPVAAVSSSNLHPEYAKKIGGSDFSHDRQSGKELQNFKVSPQEASRASNFMRASKYGMPITANGVVPSRCFSSGADLPPHQEIGMPSLSPTMTEGNIARWVKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGAKEIKVGEIIAVTVEEEEDIGKFKDYKAPSSAESAAPAESKPQSEPTEPKREKEQPKAPEPKATKTEESFLSEDRTFSSPIARKLAEDNNVPLSSIKGTGPDGRILKADIEDYLASVAKGAKKETAAAPGLGYVDLPNTQIRKVTANRLLHSKQTIPHYYLTVDTRVDKLIKLRSELNPLQDTSGGKKISINDLVIKAAALALRNVPECNSSWMNDFIRQYHNVNINVAVQTEDGLFVPVIRDADKKGLATIADEVKQLAQRARDNSLKPEDYEGGTFTVSNLGGPFGIKQFCAIVNPPQSAILAIGSAEKRVIPGAEGQFEVGSFMSATLSCDHRVIDGAIGAEWMKAFKGYIENPTTMLL >OGLUM06G00290.1 pep chromosome:ALNU02000000:6:213283:220369:1 gene:OGLUM06G00290 transcript:OGLUM06G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLNPSKFLNLTFGNGQIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSFKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSLFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >OGLUM06G00290.2 pep chromosome:ALNU02000000:6:213283:220369:1 gene:OGLUM06G00290 transcript:OGLUM06G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCDALEDEIRLNPSKFLNLTFGNGQIVIVRPISRYEQHEMDMFMLLFHGGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSFKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSLFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKWGSHFILEVKECFRGKIYVSGSSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >OGLUM06G00290.3 pep chromosome:ALNU02000000:6:213283:220369:1 gene:OGLUM06G00290 transcript:OGLUM06G00290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 7 [Source:Projected from Arabidopsis thaliana (AT4G16570) TAIR;Acc:AT4G16570] MPSCCCLLGLGFPSPPSALRILRRRMASRAFQLRLNPLTGDSEWLVVEEEEEEDHHPTPPPKQLLATTSYLDMLNDSARNRAYRRAIEAAVTDPSSRVLDIGAGTGLLSMMAARALAAVGGETRGGSVSACESYLPMGKLMRRVLRANGMENRVKVFHKRSDELKVGDDLDSPADILVSEILDSELLGEGLIPTLQQAYDMLLAKNPKIVPYRATTYGQLVESTFLWKLHDLHNNEANAADGVWLTPGEMERIVSVKPQQHAMQCGYFNWILLGQSSTQLLLDGRDNQVVRGRNADWCDHWKQCVWFMQGKGIPATEDQVLSLRARHNQTSISYQLNINDEACDRSFKGDHLTLLPERIALYGDKDWRSALINTIKNALTVKSSPTCVVADDSLFLALLISSMSPTSKVIAMYPGLRDKGAAYLRSVADANNFSIDQIQVIGKRASSITADDLKHKKVNLLVGEPFYLGSEGMLPWQNLRFWSVRTLLDSMLSEDAFIMPCKGILKLCAMSLPDLWRSRSSLKDVEGFDHSVVNETLGACGYLPGDQQGPCLPYYVWQCGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIEFSHDGTCHGFAVWIDWVLDERKSVVLTTGPDNRYWKQGVQLFSKPVEVNPGKSVMHVEASFDPSTGEITFSSSSTTCS >OGLUM06G00300.1 pep chromosome:ALNU02000000:6:220459:231160:-1 gene:OGLUM06G00300 transcript:OGLUM06G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASDSDDADADFFDKLVDDDDADTNRRLASDLTPPPSRPEPDQAAPPPPPQPHTAPPPPPPNAEPEAPPPPQLEVKAPAATLPPNPEAASPGSAKDVHTAVKQVQWSAFATNSGVGGDDPFGEFMGDDAFFGGNNTHTMTGDQPIQASLVPPTTSTIGSMDHQFSNQVDNIADSQPGWPATAAEFMDHNTSVQSDSAATAAVDSTSTDPKYFESLYPGWKYDEATQQWYQVDSSYTAQGNADNLGALPVVGGDNVHQQQQQQQQQFTVSYLHDSSQAGLETIAEEGSTMATSWTPNESNTGAVEYPSNMVFYAEYPGWYFDTNTQQWLSLESYQQGGVQAETTAAASAGYAGTGHNVAQTSDSYTGDYSHQGQQQHGSLGDNSLSDSFYGSNQHTENQTAQQANVESLESSKYYHADINTYAHSTSQYASSEDHQASYKGFGSSTSHQSVYKGFEPSVGHQSTFTSHHSGYNGSESSTVQQAAHQGFKPSTGNQNYKGFEPYSGHQLGYKGYDYSTGQSGHQEFGPSTDSQANHVAYQQLPSHYSSFDGAAKPQDSVPTANMPQMQTRADSDGCMKLPNNYLSTGSSVNFAQQQFIGSNALPQQFGYSSREQRSSAGRPPHALVTFGFGGKLVVVREAISMSTNFDSGNQGNPCGTVSILNVSEIVSDRVDHPSIPSGSALSYFHALCRQPIPGPLVGGSAAAKDVNKWLDEITGGYDSSIREFQGGDDQKLLILLLKILCQYYGKLRSPFGSDPSQEGIDGPEMAVTKLFSSCKSSGAHKGEYGAIVHCMKNIPSENQIQATAKEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYVDTVKKMAYHHFVSGSPLRTLCLLIAGQPADVFNAENPVDGNYGNLHIPQRPVEAVNSKSMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVAAAHSCYLAAELNIESYSESSRMCLIGADHLRCPRTFTSPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLVEVGKVSDSLRYCQACLKVLKASGRAPELEAWKQLFSSLEERIRTYQQGGYGTNLAPAKLVGKLFTSLDKSLSRMMGTQPSALSPVPQGSLTERDSYSAPAATNFVNNQPVMAMSSLMSSVSEQSMSEMSGNTGPDRKVTHNRSVSEPDFGRTPNQVLLRLECFLDVFHFSFLKLELSQGAGLDNAQSTSGSGSSRFGWLLQKTMGLVSRSHHQAKLGEQNKFYYDEKLKRWVEEGADIPAEEPPLPPPPTKALFQNGIPDQSSNGPGSVSYTANGFSEARPLNPSGPSSGMPPMPPSQNQFSARGRMGVRSRYVDTFNKGGASATGPSYNKPATPSMNPLSGATFFVPTPATVASEQIPDPTVNVHQDQPSSTIALRESSASPPPSVQSIPVQSNIQRYPSMDNIMTPSGSGNGSSFSRSRAASWSGAYSEQLSGNAVSRSPDGQRTMMQSPLIPGQKQSHSRSSSNSSLQFNNGLGEDLHEVN >OGLUM06G00310.1 pep chromosome:ALNU02000000:6:232069:238992:-1 gene:OGLUM06G00310 transcript:OGLUM06G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISPVARNFNANDVFSSWDSALAQSFSPRHTHESQSGTCPTSEAIEQEIMLPTLRAMPDFAQVYNFLGSIFDPETSGHLQRLREMDPIDVETVLLLMKNLSINLTNPNFEAHRKVLASHGSGMDQVKHESLGDLGSTHTLHLPFITSVYISSKKKKKKKKKKKKKRRLGAMVQGASGGGWSSKVEEGVIVGGLLVVQCILAGYVVFVDHVLSLGANPLSLIVLGAVASSLFFLPFAVVLERKKWPSKISRTLMAQFVFIALGGTTVFQELMLLGIKKTTPAIASAMPNLSPGLIFIIAACFRLEKFDKGCKYTRAKILGTLVCLVGAMAMSFLQSPVSSSPQLTTTSYYDWILGCFYLFLAVVVLSIYTVLQAATLVSFPAPLTMCSVTSMMGAVFTAILQFIVDGKIDMGSPRIDVTIISTIVLVGGGVVGGCVVFQTWCIGKRGPLLVSIFGPVQTVCSALLSALLFSQLLCLGSLAGMVLMFCGLYIVLWAKSKEGHSIIHLEGGDVEKALLS >OGLUM06G00320.1 pep chromosome:ALNU02000000:6:239016:240654:-1 gene:OGLUM06G00320 transcript:OGLUM06G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPQQLLDSSSPGPGPGPEVEDDGGRRVRKPYTITKSRESWTDPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQPLKLSYHNKLLI >OGLUM06G00330.1 pep chromosome:ALNU02000000:6:239882:247747:1 gene:OGLUM06G00330 transcript:OGLUM06G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPEPEPPKRNMRISYSRDFMISVGETDRCKKLPQGFDASLLSDLQEMSAGVLDRNKGYYTTPLGRSDGSGPYSYSSRGGSSGGRWETRSSGSSDRDGDLPDRDSSMQDRRNGNQYRRNWQNQEHDGLLGSGVLPRPPGYGGQVASKDRGNTYQPNRTSERYQPPRPKAAPFPRKDIDAMNDETFGSSEFSNEDRAEEERKRRASFEMMRKEQHKALQEKKNGPEIEKENSGHDIISLLQTPSERTATTAKSEKPDGSAISSAYQEDTAKTSSVLSASTARPLVPPGFSNAFVEKKLQPQSSNISLEPKVIDATSEGNILATAQFGGLVEGNQSASEITASKNKEKGIPDNIASVGKQHTLPSGGVTYSAEFASSILKGSGDWEGDAMDKYSIENEGKSKNIGSVRKDHSISILEQFFGSALSKGGTDLPPYVENQQMKNDDDVIVSSLPESSKFAHWFHDEDSKPAEDLSSNGLLSMIVKNEKPGQESIAHGPPLSDGAVQNLLPISPTHKLDVASTHPLFTPAAPAVGMLEQHNHADIEPAPIMMTCEDLEQAMLAQVATSSNSNQKNVVQEHQLVVDELIATQKVAVDNHASQHLLSLLTKSTDNKGSSSFGLHIGSSDRSHNSDVTSNGGVSGIAPVNKAETAPTSEKNLTLEALFGAAFMNELQSKDAPVSIRGSATSGPNYEFAETGKTSIASSHEGYYPGEQVLPFGTIKDGVAPKESGTGNRNLALSGPSQGSASLDKKSLEIQLPEEDNLFTVNDSLDGQKPDIFPSVRSSRVEGLLPEKAVDDLNYRLQSLVPGDSEHVQVLGPDALGSHSHERRYQAESQNLYHLLQGRPPALAPRPMMDHIGNRNQQTPFDMTQAIQHDPHRSFSSHMNPMQQSLHAPRAPHVDPAAHHLMMQHISTPGNFPPEGLQRGVPPSQPVHHMPGYRPEMSNVNNFHMHPRQPNYGEFGLMMAGPSGPELRGNHPDAFERFLQMELTARSKQMHPAMAGHVPGGMYGPELDMNLRYR >OGLUM06G00340.1 pep chromosome:ALNU02000000:6:248168:252169:1 gene:OGLUM06G00340 transcript:OGLUM06G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:septum site-determining protein (MIND) [Source:Projected from Arabidopsis thaliana (AT5G24020) TAIR;Acc:AT5G24020] MAFAPRLLLPSRYPPPASSPARHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLSAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALHDLQLLCLSKPRSKLPLAFGSKTLTWVADALRRAANPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDAEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKAGFFSFFGG >OGLUM06G00350.1 pep chromosome:ALNU02000000:6:250956:253225:-1 gene:OGLUM06G00350 transcript:OGLUM06G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cwf15 / Cwc15 cell cycle control family protein [Source:Projected from Arabidopsis thaliana (AT3G13200) TAIR;Acc:AT3G13200] MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQHTQEELQKRNLRDELEERERKHYSSKDKSYAEERDRRKSTSLLLEGSRREAEDKIVPREIDADDSDVEPRSDDESDEDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNAGSFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >OGLUM06G00360.1 pep chromosome:ALNU02000000:6:253760:255442:-1 gene:OGLUM06G00360 transcript:OGLUM06G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKVFDIFNLSFATFVYGVESWFGSCTIGYGNEIVIKLILVLLLSAVEFGWVQNASGRAEGTIVAIGGGGGEIGIGRQLLENGACVDEEAVKDIGGEAELRENQATLLLPVAVPRASARHEVDVEVDFASESGAAGVDGRRQGDVLHGIELQLILCSAAEEGVVKGEDVHDVLHAPLFLRHWHRPSCTPTTNCSQNSTPHLTTGFPGHLQ >OGLUM06G00370.1 pep chromosome:ALNU02000000:6:258298:258724:1 gene:OGLUM06G00370 transcript:OGLUM06G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFHGGHHVHALCPVAAAASDDDGDSWVFLHPLRATVHAAWPAHMLRAFDGRTDGATRRQRPYWEQIGLGGNRVTLRDLHRLGLFTVVLGSGDDVLLDALAAPTSTSCVVQVIPPRDSLPSLPVSEPNLLVSTPFPS >OGLUM06G00380.1 pep chromosome:ALNU02000000:6:262681:264785:-1 gene:OGLUM06G00380 transcript:OGLUM06G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQTQPVPNASPLHVLRSVCVLLLAASATVAARRHGPAAPIAGQSMYLAPSCRAHTASLTDFGGVGDGTTSNTAAFKSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQDMGEWPIIDPLPSYGRGRDKAGGRYASLIGGSNLTDVVITGANGTIDGQGAMWWSKFHSNKLKYTRGYLIEVMHSDTVVISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTHSPNTDGINPDSCSHVRIEDCYIVSGDDCVAIKSGWDEYGIAYGMPSQHIVVRRLTCVSPTSAVIALGSEMSGGISDVRAEDITAVNSESAVRIKTAVGRGAYVRDVFVRGMSLDTMKWVFWMTGNYKSHPDDGYDPNAIPVVDNISYQDVVATGVYKEAARLEGIQGAPFRGICIANVTATLSKSRKYPWTCTDIEGVSTGVTPAPCQPLQGAHDGACPFPTDTLPIDQLVMQQCAYSVPASI >OGLUM06G00390.1 pep chromosome:ALNU02000000:6:268674:271281:-1 gene:OGLUM06G00390 transcript:OGLUM06G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPIPSHGGKLIDDIRFGARGFLPSSLRRRLLFSSPARPRRTRSPPDLDPPPLADFASSPPDLHSHPTDLSLLRLLRRHWDAYRSIGAGGNQGGKVLKKGKKKHAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKRQQKREEQQKLDDEGAAIAEAVALHVLIDEDSEEPCHLMLNNLRICNHWEDFVGFGFAPDSQGVDAYPSGKPTSVSHAYVPQLRWTNWGMSQTFSSWEQLTDCEAPLYQEALAQSDIHPGPIAIVSPLQKRREDPFTIQGEAVAAASSATESESGQWNQQ >OGLUM06G00400.1 pep chromosome:ALNU02000000:6:274134:276982:1 gene:OGLUM06G00400 transcript:OGLUM06G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEEEGRQVQEVVAHVYDVASSGSSEGGGGGGGTAILHVNRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCENGTGVFSCPPCKNPMYTYRESIVLGKTTCSIFTVNQILRELSWKWPGGSYELLSRNCNHFCNTFCEKLDVPKLPAWVNRFANAGDAALEVAENTAEKLKQAKKDIAGACKAATTYLTGASSSSPSNAHDSGGSTNSSLFEGTWLRSIIGISMKPSRSLMCSDSSDSSDDEKSEDERESDCQQPSGDQIEEKKDATQEQAGK >OGLUM06G00410.1 pep chromosome:ALNU02000000:6:277546:281224:1 gene:OGLUM06G00410 transcript:OGLUM06G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKRRRASHLAQTKQRDSTGRGGKGKRRELKKSGMAPPAPAALLLPSNHSYRPLLPRPILHHATGFACASASPSPPPRLRLRLRHAAPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTLFSLAAKIPAEPKTAQEGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >OGLUM06G00410.2 pep chromosome:ALNU02000000:6:277543:281224:1 gene:OGLUM06G00410 transcript:OGLUM06G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAPAALLLPSNHSYRPLLPRPILHHATGFACASASPSPPPRLRLRLRHAAPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTLFSLAAKIPAEPKTAQEGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >OGLUM06G00410.3 pep chromosome:ALNU02000000:6:277546:281224:1 gene:OGLUM06G00410 transcript:OGLUM06G00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVCCNIKKKKKKRRRASHLAQTKQRDSTGRGGKGKRRELKKSGMAPPAPAALLLPSNHSYRPLLPRPILHHATGFACASASPSPPPRLRLRLRHAAPLRAAALPAIAIAPGDHWGNWAFLLSAAAFGTWSEESTSWGAALSGSLVSIMAGLAATATGLVTAGAPAQDAVMDYLLPATVPLLLLGADLRRVVSTTGDLLKAFLIGSVATTIGTTIAFLLVPMKSLGQDSWKIAAALMGSYIGGAVNYVAISEALGVSPSVLAAGVAADNIISALYFMTLFSLAAKIPAEPKTAQEGSNGGESEGGRRMSVLHGGAAVALSFVICKAGSAISSQLGIQGGTLPCVTALVVALATAFPRLLGKLAPSGETIALILMQVFFTVVGANGNLVDAVTKAPSVFAFALVQVTIHLAIVLAAGKLMGFERKPLLIASNANVGGPTTAAAMATAKGWSSLIVPGILVGMFGISIATFVGIGFGMFVLRRICGA >OGLUM06G00420.1 pep chromosome:ALNU02000000:6:303353:308015:1 gene:OGLUM06G00420 transcript:OGLUM06G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A3Y8] MGWWLGGGLPVIAMLALNVVAAVLVSLVKVAMDGGLNPLVLVTLQQLTAAIFLGPIAYFKERKSRPKLTLEIFAYLFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAILTRSESLNLRRKTGIAKLVGTLISVAGAMVLTFYKGVAVTHTTKIHHATAAAAVAADAAMSSRNWTLGTVAILGNCVCLSCWFLLHSRLAKKYPHVYSCNAFMCMFSFLQVAVVGLSTQRNVSVWIVRTKFHILTILYAGVVGCGLSFVLLTWCIEKRGPVFVAAFIPVVQIIASVIDFTVLHEQLFLGSVLGSVLVIGGLYLLLWGKRQEALHLPPKVAEHDKEQQQQQQQQQQQQVQL >OGLUM06G00430.1 pep chromosome:ALNU02000000:6:304987:307828:-1 gene:OGLUM06G00430 transcript:OGLUM06G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTAAAVSTSAAAAVTKASPSPAHCFLPCPPRTRAAHQRGLLLRAQVSTTDAAAVAAAPAKKEKISKKQDEGVVTNKYRPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEIPYREGQSIGVIADGVDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSDVKITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKYDDYKFNGLAWLFLGVPTSSSLLYKEEFDKMKAKAPENFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKDGIDWADYKKQLKKGEQWNVEQLLEGGARPPASCPTAEDKGHLSQVQIPARCICVWQCNGFKI >OGLUM06G00440.1 pep chromosome:ALNU02000000:6:310994:311593:-1 gene:OGLUM06G00440 transcript:OGLUM06G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVAWESRNLQLQGGGGGHGGGGGERREYMFEKVVTPSDVGKLNRLVVPKHYAEKYFPLGPAARTSPAGTVLCFEDARGGGGGGDSTWRFRYSYWSSSQSYVITKGWSRYVRDKRLAAGDTVSFCRAGARLFIDCRKRAASVSSSSLVPPALIKVQLPPSRPVVDEEEAACGRRCLRLFGVDLQLRADASPALDLQL >OGLUM06G00450.1 pep chromosome:ALNU02000000:6:321193:332243:1 gene:OGLUM06G00450 transcript:OGLUM06G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGQQRRRGGRREMRRIEDTTRRQVTFSKRRKGLLKKASELSVLCDAEVALLVFSPRGRFFHFASAPSLEGTIDRYISHTQEAPANKKPRELTVKNMKSQSETLAMEIDTVEAYTRKMQGKNLESCSLQELHGLEMQMEKSLSSIRLQKQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >OGLUM06G00450.2 pep chromosome:ALNU02000000:6:321098:332243:1 gene:OGLUM06G00450 transcript:OGLUM06G00450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSETLAMEIDTVEAYTRKMQGKNLESCSLQELHGLEMQMEKSLSSIRLQKQKKLMDKISQLQQQEKILSEENALLLDQGKVQHAPIGAPAREMNQNQHVQDIDVDTELVIGRR >OGLUM06G00460.1 pep chromosome:ALNU02000000:6:332234:333184:-1 gene:OGLUM06G00460 transcript:OGLUM06G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNNPAIFLAAALAVATAAQVVTAGFTTDLYWQQQPAPGAVTPYKTSDWHDGSATFYGDPSGMGDDFGGACGYVSNDIVSLYSTKTAALSTPLFADGNGCGQCYELRCVKSPWCNPGSPSVVITGTNLCPPNWYLPNDDGGWCNPPRHHFDMAPPSFLKLAQRVAGIVPVQYRRVPCQRTGGVRFCLQGNHYWLLLYVMNVGGAGDVSSLSVKTSGGGGAWIQAAHNWGITYQVFAALDNSDGLTVKLTTYSTPQQTIIVSDAISPWWITGLCYQGSNNFY >OGLUM06G00470.1 pep chromosome:ALNU02000000:6:339203:344411:1 gene:OGLUM06G00470 transcript:OGLUM06G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRAPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEIKMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSRGNPNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQQQQQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAG >OGLUM06G00470.2 pep chromosome:ALNU02000000:6:339203:344411:1 gene:OGLUM06G00470 transcript:OGLUM06G00470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAHHHHHEHHHLLDMSSPPNASGAIISSFDHAAGLLSLHDVAAAADHHHHHLRGGGGGLQLPSPWSQQQVSLSLYNNAAGAAGSPPSSLVAHQQLAAAQPLMFQLRGSKYLGPVKALLAEFCSLDVEAMDGAKQQRAPNPNPKIGKWDDVEGSGSWGNLSLSSMDLLDLERRKARILSMVEEMRAVEVSFEAVAGGGAAQVYTKLAMRAMSRHFRCLRDALVGQVRALRNAMGESQRDAAGGVAAAAPGATKGDTPRLRVLDQCLRQQRAFQQSGAVDSFPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYKEETKPESSDGNNKLNPSAAGNKQQHRDDPKKNYTATTAEASLVQQSSYHLHLRSRGNPNSSSLMIPAAASTSIDHHHDSSHQLLGGHSYSSAAGLHHGHGGAVSLTLGLQQQQQPFAASMMHQHQQQQQQQQQSFMVEAAEEEEDDVLPYRNLMESQLLHDFAG >OGLUM06G00480.1 pep chromosome:ALNU02000000:6:345204:346822:-1 gene:OGLUM06G00480 transcript:OGLUM06G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSYDDDVSSFVAAATRCSPAAAPPRPVRIPRVRVRCCEDTLGVPRSRRPTHDTELAQSQRFPELVTPYGAASYLPHQQRYPPPPRPRRIVLVRHGESEGNVDEAAYTRVPDPRIGLTPQGWRDAEDCGRRLRHLLSTGGGDDWKVYFYVSPYRRTLETLRGLGRAFEARRIAGVREEPRLREQDFGNFQDRDKMRVEKEIRRRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGERNPDMNVVLVSHGLTLRVFLMRWYKWTVSQFEGLANLSNGGALVMQTGAGGRYSLLVHHSVDELREFGLTDDMIEDQKWQMTARPGELNYNFITNGPSFFTHFTHHHHDKHKAAIDDRTGGSATAPS >OGLUM06G00490.1 pep chromosome:ALNU02000000:6:353782:354249:-1 gene:OGLUM06G00490 transcript:OGLUM06G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGGYSGVPRLLLHLLFLLTHLRRLSSCLLRLAGADIDDSPTAVDAEYDGSYSYSSQSSQLLELDDHSPALRFDALSSSLQPPLHVATCAVCLRDFHKSAQVRRAHRCRHVFHRACLDAWAHHGHRTCPLCRSPLLPSSAPPVLLPLPLPAS >OGLUM06G00500.1 pep chromosome:ALNU02000000:6:361402:369424:1 gene:OGLUM06G00500 transcript:OGLUM06G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MWKMSQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSVSSDHHHAEIEEPSKKSWTLAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGSVVGSILMILGLYILLWGKNRDTSAAASAKEAKEEEEDKEKQAFILASLKRPPDQTLVESKGKASGFPEMWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKTRPKFTTEIFVYMFLSGMLGPVLLQYTLFVGLEFTTATFAATFGNLLPVVTFLISLVFRFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSSSPASSSSHSQAEEHDTAHWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISVWALKGKIEIATVGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKREETAAVSKDAIASPEKPVQDVEQQQEKVEFVVVVVVVVAAAEGRKAATKKIAIQHGGPDTYYGLNSTY >OGLUM06G00500.2 pep chromosome:ALNU02000000:6:361402:369424:1 gene:OGLUM06G00500 transcript:OGLUM06G00500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MWKMSQVDEWKPVIAMLFFDLISAVTTALLKKALAEGLDRLVLITLRQLVATIFLAPIAYFKERGKRPKLTLEILVYLFFSAALGAALSQYTFFYGLQYTTATFAITFTNMSPVITFLIAALLRVESLNMKNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVVSSVSSDHHHAEIEEPSKKSWTLGTVALLANCLCFSFWLLLQTKLTKKYPALYSSTAYMFLISSLQGGALTAAIQRRASVWVLTRTVEIVAVLYTGIMGSGVGYVLMTWCVEKRGPVFTSAFIPIIQIMVAIIDFFYLHENLYLGSVVGSILMILGLYILLWGKNRDTSAAASAKEAKEEEEDKEKQAFILASLKRPPDQTLVESKGKASGFPEMWRAGCMEQWMPTVSMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKTRPKFTTEIFVYMFLSGMLGPVLLQYTLFVGLEFTTATFAATFGNLLPVVTFLISLVFRFEALNVKSRSGSAKISGTLVSLSGAMMLTFYKGSALTHTPSSSSSPASSSSHSQAEEHDTAHWVLGSVSLLANVVGFALWLMLQRKFTRKYPAIYSATAFMSLFSCLQAGALALSIQRSSISVWALKGKIEIATVGVVASGFGYLMLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVIVGLYLVLWGKREETAAVSKDAIASPEKPVQDVEQQQEKVEFVVVVVVVVAAAEGRKAATKKIAIQHGGPDTYYGLNSTY >OGLUM06G00500.3 pep chromosome:ALNU02000000:6:369244:374739:1 gene:OGLUM06G00500 transcript:OGLUM06G00500.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRHRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRFITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQGRSGGDDLGLPGLLRDGDHRHPGGDAGLHPDHRVLEDERVTGRRAEQAERPESSAAATMTWKKSERPRMEIMRSALARGALVTAARLRPRAAAHRQRSVRPATSRRPSAA >OGLUM06G00500.4 pep chromosome:ALNU02000000:6:369244:374465:1 gene:OGLUM06G00500 transcript:OGLUM06G00500.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle 48C [Source:Projected from Arabidopsis thaliana (AT3G01610) TAIR;Acc:AT3G01610] MGKRARHGGSGRSHSPYFESQLRRLITDGGLSSSSAEDVAIALRSRFPEFRRHKLDPFTSAVRRALNSIPSDSHSDSADDSHASTPSQRHRRRPRHDAHATASSSTSLSDDAAHPPPPPIYDVTKSMLRTQYASQTPKRDTGSNQQLEIEIAAEKPRRFITSDGGAGGEAKPESAPPSEGGDRGGKGPTFSDLGGMESVIEQLMMEVVVPLCHPEVPRWLGVKPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRSLFKKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEYHQQIGSGSGDVGSESAEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDEYARKKILMMLTRNLRLEGQLDLLKIARATSSFVGADLKALVDKAGNLAMKRIIDRRRAQFCQEHDENSKHDWWRQPWDANEIEGLSITMDDFEEATKMVQPSLRREGFSSIPDVTWDDVGGLDSLRKEFDRYIIRCIKHPEEYKTFGLNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFIRAQTNTPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGAGERKGVFVIGATNRIDVIDDAALRPGRFGKKHYVPLPGADERVSILRALARNKPISSSVDLGALARREECNNLTGADLASMVNEAAMAALEERLEFLENGESSMSSSSAIELPHFERALAKMQPSVSEQQRRHYEALCKKYSAS >OGLUM06G00510.1 pep chromosome:ALNU02000000:6:374274:375044:-1 gene:OGLUM06G00510 transcript:OGLUM06G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETMATTTTKRVSVPEVSLVEAVLFDIDGTMCISDPFHHRAFSELLQALGYNSGVPITPEFGMAHMAGRSNHQIGSFLFPDWPQHRLDAFFADKEALFARYAAEGLREVAGLTDLCRWAAARGLKRAAVTNAPRANADLMISILGLSDFFQVIVAAADDCDLPKPSPEPYLRALSLLGASPRHTLVFEDSVVGVQAGVAAGMPVIAVAEEAREAKVVAAGASLVIRDYKDHKLWAALDKLQAAAAAQSNGQLGA >OGLUM06G00520.1 pep chromosome:ALNU02000000:6:376323:379687:1 gene:OGLUM06G00520 transcript:OGLUM06G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNLMNEGKLVSSDLIVKLLFKAMRESGNDKFLVDGFPRNEENRHAYENIIHIEPEFLLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFDVFQQQTLPVIQYYEKRGKLRKVDGNRQVDDVFEDVKAIFAQLNNQKNHGDQQASGLNRAQMNPLKRRFFDFFCGEQLTSISKFQVALGLKKQETDSKNESDMISLRVCGLWST >OGLUM06G00530.1 pep chromosome:ALNU02000000:6:385692:391262:1 gene:OGLUM06G00530 transcript:OGLUM06G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGMGMAAAWAAGDLWVLAAAVVAGVVLVDAVVRRAHDWVRVAALGAERRSRLPPGEMGWPMVGSMWAFLRAFKSGNPDAFIASFIRRFGRTGVYRTFMFSSPTILAVTPEACKQVLMDDEGFVTGWPKATVTLIGPKSFVNMSYDDHRRIRKLTAAPINGFDALTTYLSFIDQTVVASLRRWSSPESGQVEFLTELRRMTFKIIVQIFMSGADDATMEALERSYTDLNYGMRAMAINLPGFAYYRALRARGKLVSVLQGVLDGRRAAAAKGFKRSGAMDMMDRLIEAEDERGRRLADDEIVDVLIMYLNAGHESSGHITMWATVFLQENPDIFARAKAEQEEIMRSIPATQNGLTLRDFKKMHFLSQVVDETLRCVNISFVSFRQATRDIYVNGYLIPKGWKVQLWYRSVHMDDQVYPDPKMFNPSRWEGPPPKAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYKLKRANPKCRVRYLPHPRPVDNCLATITKVSDEH >OGLUM06G00540.1 pep chromosome:ALNU02000000:6:392225:396898:1 gene:OGLUM06G00540 transcript:OGLUM06G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >OGLUM06G00540.2 pep chromosome:ALNU02000000:6:392225:396774:1 gene:OGLUM06G00540 transcript:OGLUM06G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:EYES ABSENT homolog [Source:Projected from Arabidopsis thaliana (AT2G35320) TAIR;Acc:AT2G35320] MDGVVAASADATRDEIKIMNVYIWDMDETLILLKSLLDGSYAGAFDGLKDHDKSVDIGKRWENLILELCDEHFFYEEIENYNEPFLSAVKEYDDGKDLTTYDFEADCFSSPYDDLNKRKLAYRHRAIGEKYTKGLEKILDHHMIKVWNDLYSATDKYTDGWLSSAHKLLEEAMGKSTAESTAKHSSINCIVTSGSLIPSLAKCLLYRLDDVVAFENVYSSWEVGKLQCFKWIKERYDGPNVRFCAIGDGHEECTAAQIMKWPFVKIEFHPDAPHRFPGLNLPTIHRIMDTVYDSSSNDG >OGLUM06G00550.1 pep chromosome:ALNU02000000:6:398234:398485:1 gene:OGLUM06G00550 transcript:OGLUM06G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKDKVSAVKAKGKVSKAKADEKKEVATARSHAERELAHERAKARVAAAKMELHQDKALHREEAIQHRLHKHGAGAAPPTC >OGLUM06G00560.1 pep chromosome:ALNU02000000:6:407758:408620:-1 gene:OGLUM06G00560 transcript:OGLUM06G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMEGRIVELIQSLAAKVEGVSTMSARLDTIDNKLAQHGNQLEKMQVKVDLSMTSFGQVQMDQVVMAKAMKATANQASPLASPTSEPPLLDTPPRASTSKAPTPPPKLVYYLRLYDPTLSVSFLLSQFIKGLKEELCFSVLAQLPEDVNQAYRVALAF >OGLUM06G00570.1 pep chromosome:ALNU02000000:6:409356:433408:1 gene:OGLUM06G00570 transcript:OGLUM06G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 8 [Source:Projected from Arabidopsis thaliana (AT2G36850) TAIR;Acc:AT2G36850] MSSSSSRRRPPRPERVMDNWERLVRAALKHQHRAPSAAASSAAGIGLASAVPPSLGKTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDIFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDGTEPKIDERAVTEVFLKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLMLMFQCLTIIAFHHGKIDIGTIKILLSAGPAFFILNFIECCLDVLLMFGAYKTARGFALSRLVIRFIWLTAVSTFVTYLYLKVLDEKNARSSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVDPTNVIVTLRNLRYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKTLSPLRISNGPVAQGPEITKMHASIFSPFWNDIIKSLREEDYISNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISRDEYMAYAVKECYFSAERILHSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSRIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWNNFLERIGRGELSEDDFKESPSDMLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYEVSPDARAQADLKFTYVVSCQIYGQQKQRRAPEAADIALLMQRNEALRVAFIHEEDVSSDGRKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQILSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKIFSDAWPFMQYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTRGGSSSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKIASHNTSLAVYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLAIGMIAGIALLIALTKFTIADLFASALAFVATGWCVLCLAVTWKRLVKFVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >OGLUM06G00570.2 pep chromosome:ALNU02000000:6:409356:433408:1 gene:OGLUM06G00570 transcript:OGLUM06G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan synthase-like 8 [Source:Projected from Arabidopsis thaliana (AT2G36850) TAIR;Acc:AT2G36850] MSSSSSRRRPPRPERVMDNWERLVRAALKHQHRAPSAAASSAAGIGLASAVPPSLGKTTNIEHILQAADDIEDDDPNVARILCEQAYTMAQNLDPDSDGRGVLQFKTGLASVIKQKLAKKDGAPIDRQNDIQVLWNFYLQYKSRRRVDDMQREQERLRESGTFSTDMGSRAVEMKKIYATLRALLDVLEILIGQSPSDRLGRQILDEIRRIKRSDAALRGELMPYNIVPLDAPSSVANTIGFFPEVRAAIAAIQNCEDLPRFPSDALQLQLRHKDIFDLLQFVFGFQEDNVRNQRENVVLALANAQSRLGLLDGTEPKIDERAVTEVFLKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAERAKSCTITNDSASYLEKIITPIYQTMEAEAQNNNNGKAAHSAWRNYDDFNEYFWSRSCFNLGWPPAEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLMLMFQCLTIIAFHHGKIDIGTIKILLSAGPAFFILNFIECCLDVLLMFGAYKTARGFALSRLVIRFIWLTAVSTFVTYLYLKVLDEKNARSSDSTYFRIYVLVLGGYAAVRLVFALMAKIPACHRLSNFSDGSQFFQFFKWIYQIRPLVDPTNVIVTLRNLRYSWHDLVSSGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKTLSPLRISNGPVAQGPEITKMHASIFSPFWNDIIKSLREEDYISNSIMTKFSFREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWDRISRDEYMAYAVKECYFSAERILHSLVDGEGQRWVERLFRDLNESIAQGSLLVTINLKKLQLVQSRLTGLTGLLIRDETADRAAGVTKALRELYEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSRIFWPKDLEMKEQVKRLHLLLTVKDSAANIPKNLEAQRRLQFFTNSLFMDMPAAKPVSEMIPFSVFTPYYSETVLYSMSELCVENEDGISILFYLQKIYPDEWNNFLERIGRGELSEDDFKESPSDMLELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLEKRYLGGIEDGYSAAEYIDTQGYEVSPDARAQADLKFTYVVSCQIYGQQKQRRAPEAADIALLMQRNEALRVAFIHEEDVSSDGRKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRGKHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQILSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYEISRQFRFLGNTALDAALNAQFLVQIGIFTAVPMIMGFILELGLLKAIFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKIFSDAWPFMQYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTRGGSSSFILLTISSWFLVVSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGENSWESWWDEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLKIASHNTSLAVYGFSWIVLLVLVLLFKLFTATPKKSTALPTFVRFLQGLLAIGMIAGIALLIALTKFTIADLFASALAFVATGWCVLCLAVTWKRLVKFVGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRFLFNQAFSRGLEISLILAGNKANQEA >OGLUM06G00580.1 pep chromosome:ALNU02000000:6:437485:439052:-1 gene:OGLUM06G00580 transcript:OGLUM06G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEQDADVKKGPWTPEEDKLLVEYIGKNGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGGFSDDEERLIIHLHATLGNKWSSIATKLKGRTDNEIKNYWNTHLRKKLLSQGIDPVTHRPRTDLLAGLPNLLAAANLGGAAHQLPLDLNAIKLQADAAKFQILQGLLRVLASTTAPPPTAAVLPGTELMTSILGATLAANSAGILGQQQQQQQQLASVDLSRLGQYNGNYDNLPPLTNDSCTQQTQPAMSSMSPDSLLNRISSGISGDMLSSPELGQGGPSASNMTTSPMAAPPPMVAADDHQCNTNTPSGGGGGGDGMSCEQTPASSTFDGLNLDDIDINDMEGCWAMTDMLLAEQCPSWLISSNNASEMYISKNNTSEM >OGLUM06G00590.1 pep chromosome:ALNU02000000:6:453050:455987:-1 gene:OGLUM06G00590 transcript:OGLUM06G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIEKTERIRPSRKPKKPKSEISSAIRFAAASTPPPPPPPPLLLLLALTVSSTSPSLVLVCLLLAMDVKPVRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDPQGAETALLLSGATIVDLSVIIAPAPEYQPPPTSSAPPMYSATSVPVSGDNNVVHKAEDVVSTMLAKGFTLGKDAVGKAKAFDEKHGFTSTAGAKVASIDRKIGLSEKFTIGTSIVNEKVKEMDQKFQVSDKTKSAFAAAEQKVSTAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEDQHKGSGPSGGHSYTPIQ >OGLUM06G00600.1 pep chromosome:ALNU02000000:6:457041:462154:-1 gene:OGLUM06G00600 transcript:OGLUM06G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSDSDDSAPIPAGAISKLLIVIAMQTEALPLVNKFHLVEAEESIFPKGAPWIRYHGNYKGLHVDLVWPGKDPVLGVDCVGTVSAALVTYASIQSLKPDLIINAGTAGGFKAKGADIGDVYLASDVAFHDRRIPIPVFDMYGIGTRKTFATPNILKELNLKVGKLSTGDSLDMSPHDESAILNNDATVKDMEGAAVAYVADMFSTPAIFVKAVTDIVDGEKPTAEEFLQNLVAVTAALDKAVTEVMELDTDPTKLKAKPIIKPKVEPCDDDDELPPPPPPASGSGEDWEATTPLAAGNPFFTALIAKSHLHPKFQMWIPPRFQHRLAEPEARTAAVLHSGGKSWATSYCGHLKMKKLDAGWSEFAVDNRLLVGDACVFELVAMGATGGLEFQVQILRGGLPAEVVTSKGLTSDQPILIVD >OGLUM06G00610.1 pep chromosome:ALNU02000000:6:462262:470684:-1 gene:OGLUM06G00610 transcript:OGLUM06G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRDSVYRLPRRRLELLRIRKAAVGVVVGVGGTGAPSREHIGVTQGGADLEDVVVDVVAAASSSSGSCCFVVHGQLLAVVDADAGDGEKQLGVLIWRDREGIIWIEGFGEEEVTAGANHAVKLPSI >OGLUM06G00620.1 pep chromosome:ALNU02000000:6:469825:475570:1 gene:OGLUM06G00620 transcript:OGLUM06G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELFPRQLDLSLHISTPSCFSPSPASASTTASSWPWTTKQQLPEEEEAAATTSTTTSSRSAPPLLLCNSDVFSTRGSSTTNTNHHADGGLANSQQLKAAARQPIHGIPVYHGHQQQQRRQLHHPYDVVGTRQSDGGRRLFSHHVGVGVTPSRTLSSSSSSPVRLLPRLPPGRRSVRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQNTKLSVDLETSMLRLPGRPNLEFTLGIGKASQ >OGLUM06G00630.1 pep chromosome:ALNU02000000:6:476826:483195:1 gene:OGLUM06G00630 transcript:OGLUM06G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELSNLTRCHLPLLLLFLLAASSSMALPVHPAMDRVRWQVDKVNRRGHSIGLVMSYIDEATALESSGYFRPWHVLPFVDLYGRRYHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFTVSGIVHYGTAGSSNDSMSFGDVSVPKFVAYTSAWTWKKFKSPKESDTELSFGDFTVPNGGENLLGALKFRNEELYSVGKPMKEVFWLPVDSAWFKIAEGLKVSLERCNDTFCLPTTPKVVCGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGIPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKQKSTMSEGSANN >OGLUM06G00640.1 pep chromosome:ALNU02000000:6:480871:483075:-1 gene:OGLUM06G00640 transcript:OGLUM06G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11290) TAIR;Acc:AT1G11290] MVCSVAATASLLPSPPPKPTALAADDHHARLRAAAARSDLPAALAAFVAMSSAGAPPVLRTFTSLLKLCAARGDLATGRAVHAQLAARGLDSEALAATALANMYAKCRRPADARRVFDRMPVRDRVAWNALVAGYARNGLARMAMEMVVRMQEEEGERPDSITLVSVLPACANARALAACREAHAFAIRSGLEELVNVATAILDAYCKCGDIRAARVVFDWMPTKNSVSWNAMIDGYAQNGDSREALALFNRMVEEGVDVTDVSVLAALQACGELGCLDEGTRVHELLVRIGLDSNVSVMNALITMYSKCKRVDLASHVFDELDRRTQVSWNAMILGCAQNGCSEDAVRLFTRMQLENVKPDSFTLVSVIPALADISDPLQARWIHGYSIRLHLDQDVYVLTALIDMYAKCGRVNIARILFNSARERHVITWNAMIHGYGSHGFGKAAVELFEEMKSIGIVPNETTFLSVLSACSHAGLVDEGREYFTSMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWAFIQKMPMDPGLSVYGAMLGACKLHKNVELAEESAQKIFELGPQEGVYHVLLANIYANASMWKDVARVRTAMEKNGLQKTPGWSIIQLKNEIHTFYSGSTNHQQAKEIYSRLAKLIEEIKAVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAFGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >OGLUM06G00650.1 pep chromosome:ALNU02000000:6:491577:497485:1 gene:OGLUM06G00650 transcript:OGLUM06G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGGGGLRHSNSSRLSRMSYSGEDGRAQAPGGGGDRPMVTFARRTHSGRYVSYSRDDLDSELGNSGDMSPESGQEFLNYHVTIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKVMSDERGDDILPCECDFKICADCFADAVKNGGACPGCKDPYKATELDDVVGARPTLSLPPPPGGLPASRMERRLSIMRSQKAMTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVDNGGGGGGGGGLGGGDGQPAEFTSKPWRPLTRKLKIPAGVLSPYRLLILIRMAVLGLFLAWRIKHKNEDAMWLWGMSVVCELWFGLSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPNGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHDIEPRNPESYFNLKRDPYKNKVRSDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKRQREAALDDVVEAVKIPKATWMADGTHWPGTWIQPSAEHARGDHAGIIQVMLKPPSDDPLYGTSGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIMGPVYVGTGCLFRRIALYGFDPPRSKEHSGCCSCCFPQRRKVKTSTVASEERQALRMADFDDEEMNMSQFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVRTLNVTFLTYLLVITLTMCMLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDEADDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLLAITISLLWVAINPPSQNSQIGGSFTFP >OGLUM06G00660.1 pep chromosome:ALNU02000000:6:498380:499807:-1 gene:OGLUM06G00660 transcript:OGLUM06G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGPSRAADADDDDDELSRLLSLAEADLDASRLRAAHKHARRAARLDPDSTRASLLLTVVSVLAADDSSHRATLLLPDSPHSQASPLSPSALRRHYKSLSKSLRSAPPSSSPAVSSAVKEALRRAADAYAALANQAAAPVPPTFWTACAGCRLLHEFDRKYVGFRLMCPSCRRTFLASEVPPPPEAEAEAEPEPLPPAKKKPKTQKREMTLAEMQLQLSKKRATNNSSRLDEDDDDDNDDEDDEEEEQQQNNDSEMMDVEDSDFYNFDADRCEKCFKRGQVWALYGDDDGMPRHYALVEMITPGGRFRAQIRWLDLQPDGGEGKPCGEFKVGRTVTVHSVNIFSHQVAYERVAREVYRIYPKKGSVWALHGGKDADSGRPKYEFVVFLSGYSDLYGASFGYLEKVEGFRSIFTRQDVGRDAVQTLHKGDMGKLSHQIPARRAPKGEGSTLPPTDCWELDPASLPSELLHDNQQK >OGLUM06G00670.1 pep chromosome:ALNU02000000:6:500554:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHLEELQKLLVFLQISNSEEVQNETGRRMDSAWFRDANGPY >OGLUM06G00670.10 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHLEELQKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00670.11 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.11 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMPTVEELQKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00670.2 pep chromosome:ALNU02000000:6:500554:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHLEELQKLLVFLQISNSEEVQNETGRRMDSAWFRDANGPY >OGLUM06G00670.3 pep chromosome:ALNU02000000:6:500554:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMKLLVFLQISNSEEVQNETGRRMDSAWFRDANGPY >OGLUM06G00670.4 pep chromosome:ALNU02000000:6:500554:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHLEELQKLLVFLQISNSEEVQNETGRRMDSAWFRDANGPY >OGLUM06G00670.5 pep chromosome:ALNU02000000:6:500554:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMKLLVFLQISNSEEVQNETGRRMDSAWFRDANGPY >OGLUM06G00670.6 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00670.7 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMVGGRIFVGCRDDQLHLEELQKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00670.8 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMPTVEELQKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00670.9 pep chromosome:ALNU02000000:6:500781:510069:-1 gene:OGLUM06G00670 transcript:OGLUM06G00670.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase and ligase family protein [Source:Projected from Arabidopsis thaliana (AT5G35930) TAIR;Acc:AT5G35930] MAAEAAAAKEEEPCCISHAFDRAARRNPTGLAVIHAASSSSSGSDSERRFTCADLLAAVSTLSRHIAAELSSSTSRHRDESPGCSGRPVEAAAAPRVVGVYASPSVEYIAAVLAVLRCGEAFLPLDPSWPEERIRWATSSSNAVLVVSSGGLGTAHVFASSSCSVIHMDDDLWQVFEDEKGGIGRDELAWPCECKKPREFCYVMFTSGSTGKPKGVCGTEKGLLNRFLWMQRWKPLCSDDLLLFKTSVSFVDHLQEFLSAVLTCTTLVIPPPNDWRANPASLANLIKAYGISRMNLVPSLMEIILPSLEKNLSWGHNPLKMLIFSGENLSILLWKRVHEILPETTIVNLYGTTEVSGDCTFFDCTDLPILLKREELTSVPIGFPISNCEVCIATDAEVADEGEMHVTGACLFAGYLEESMASNHTEDNGSSTYYRTGDFARRLKSGEFIFLGRKDRTVKIYGQRFSLQEVESTLNEHPDVSAAAVTFQNNEFLDFRAYLVLKSSTASVEDCQRRKRYKSSEVIMPSIRSWLIMKLPPAMIPRFFLPMESLPLTSSGKIDYMKLSSLKCALESCETETERITVNPHLQVIKKAFSDALLVDEVSEFDDFFTLGGNSISAAHVAHKLEIDMRMLYIYSTPSKLLDALFTKHGCLLSSSHEPHPKKGLDISSSIHSSFNPIATSVDDNFPEVKAHINGDGECAHDAISGNYANEVDGQLNRNVPLSNDRYQTKSLLLDTCSNDRNSVDVSPWILNFCLVKKWSIGRCNRFMHGYEDKLQIEDVCSYVPYNKRGYLQALWNIPLGSCVDASPLLVSNNGMLSIFIGSHSHRFLCIDGCSGSVRWSVKLEGRVECSAAITGDFSEVVVGCYKGKIYFLDLLTGKQAWTIQTDGEVKMQPAVDMIRNLIWCGSYDHHLYALNYKDRCCTYKISCGGSIYGSPAIDMTHNMIYVASTSGLVTAISLEVSSFKIIWQYEAGAPIFGSLAIHHHGGKVICCLASVGGPIFAGACLSSGLPTQVLIPSCDGRLYSFDTVHVIRIRADAKEEKVNGSICNDLVQGFAAIDLPGDIFSSPLMPTVEELQKLLVFLQISNSEEVQNETGRRMDSGN >OGLUM06G00680.1 pep chromosome:ALNU02000000:6:510704:512146:-1 gene:OGLUM06G00680 transcript:OGLUM06G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT3G02360) TAIR;Acc:AT3G02360] MAVTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLISEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFSIKDDQGSGHLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEAAKVFQGDFSSNLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSMEKGWSLNLGELARIWKGGCIIRAIFLDRIKKAYDRNSDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARAAKM >OGLUM06G00690.1 pep chromosome:ALNU02000000:6:513482:513754:-1 gene:OGLUM06G00690 transcript:OGLUM06G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMPWPATGPSRRWSGPRSDCQFATNSNSIWNVGPTTPVETQAHKSGSNFDPPTAAAAAISARRSGPTRQRDVLIAAGVKGKGDIWWAI >OGLUM06G00700.1 pep chromosome:ALNU02000000:6:516668:522995:1 gene:OGLUM06G00700 transcript:OGLUM06G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAVGGSNWEEMVRRMLPPGTTIPEAPANLDYSIALEYDGPPVSYELPRIDPVDLPAIPTAQPVSGPLVPGRSNGVVAPVVRPVFMPPVHRKQDAHRAEPPPVAAQGRRRRSSESVDSAPQNEGFSDDDDSCSVSQESAHNFHGQRGGRTAAQEGRRAQVVTFGVTEDSRYESKEFDDVSEQYVAVTKKEKRGRTCSRCGKRKWESKESCIVCDARFCSYCVLRAMGSMPEGRKCITCIGQPIDESKRSKLGKGSRILSRLLSPLEVRQILKAEKECQANQLRPEQLIINGFPLNPDEMASLLSCQRPPQKLKPGRYWYDKESGLWGKEGEKPDRVVSTNLTFNGKLQPNASNGNTQVYMNGREITKIELRVLKIAQVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPVTRFACALFSLPVPPANSDEPKDEAPYSARTVPDYLDQKRIQKLLILGSPGAGTSTIFKQAKLLYDTRFTQEELDSIKLMIQSNMFKYLGILLEGRERFEEEALAGSNNPSSEDENTQHDGNKSNGSDSCIYSINAKLKKFSDWLLDIIAMGDLDAFFPAATREYAPIVEEMWKDPAIQATYKRKDELHFLPDVAEYFLSRAIEVSSNEYEPSEKDIIYAEGVTQGNGLAFIEFALDDRSPMSEMYTDNHEPHSQTLNKYQLIRVSAKGMNEGCKWVEMFEDVSMVIFSVALSDYDQLGAPSSGGNSPLVNKMIQSRDLFEATIRQPCFRDMPFVLVLNKFDLFEEKIGRVPLSTCEWFSDFCPLRTHHNNQSLAHQAFYYVAMKFKELYAACTDRKLFVWQARARDRLTVDEAFKFIREVLKWEDEKDGGGYYPDESFYSTTELSSSRLIRQE >OGLUM06G00710.1 pep chromosome:ALNU02000000:6:523205:527599:1 gene:OGLUM06G00710 transcript:OGLUM06G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEVTYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSSLLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFHYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQEKFQEAENIIYRCLHDEAELDTVVYNTFIKSMLESGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNQLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRNDTHAS >OGLUM06G00710.2 pep chromosome:ALNU02000000:6:523205:527599:1 gene:OGLUM06G00710 transcript:OGLUM06G00710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEVTYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSSLLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGEEARCRT >OGLUM06G00710.3 pep chromosome:ALNU02000000:6:523205:527599:1 gene:OGLUM06G00710 transcript:OGLUM06G00710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEVTYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSSLLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGEEARCRT >OGLUM06G00710.4 pep chromosome:ALNU02000000:6:523205:527599:1 gene:OGLUM06G00710 transcript:OGLUM06G00710.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27270) TAIR;Acc:AT5G27270] MAAAIAGAAASTAVSITCSSSSYEDDGAATSWSLSSGTSSSSSSSQRQRPYRRLLHDEAQRLRRERRGQGSGAHTPRWVRRTPDQMARYVEDDRAGHVYGRHVVAAVRAARATASCSSPSSADMREAMASFVAKLTFREMCFVLHELRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGQVGKIKLAEETFLEMLQAGCEPDAVACGTLLCAYARWGKLNDMLMFYAAVRRRDIVPSISVFNFMVSSLQKQKLHGKVIHLWEQMLEANVAPNQFTYTVVIGSYAKEGMLEEAMDAFGEMKRRRFVPEEVTYSLLISLCAKHGKGEEALGLYDEMKVKSIVPSNYTCASVLTLYYKNEDYSKALSLFSEMEQNKIVPDEVIYGILVRIYGKLGLYEDAQRMFEEIDKAGLLSDEQTYVAMAQVHMNVQNYDRALQVLDAMRARNVKPSQFSYSSLLRCHVAKEDVDAAEDTFRALSNYGPPDVFCCNDLLRLYMRLGHLDKARALILKMRKEALQFDEDLCVTVLEVCCKTSINKDTDNLTEVIQNEGSSSKVLNPTDSSTLSMMLKSLLDKPGGLSSVSQLIMKFAREGSTDEAKFHYEHLTELGAKPDDTAIATLIVQYGQAQQLEQAQKLFETASTSFPVGGSVYNAMVDALCRCGKTEEAYRLFMELIDQGHNGDAVTISILVTHLTKQGKLYSAVSIYDRMISSGIPRSMQTFNIMISVYGQGGKLEKAVEMFSAAQELGLPIDEKTYTNMLSFYGKAGKHHEASLLFSRMKEDGIRPGKISFNTMINAYATSGLHNEAEIIFQEMQKNNHVPDSHTYLALIRAYTEGKCYSKAEEAIQMMLRSNMTPSCTHFNQLISAFLKEGQIDEAQRMYNQMEEAGIPADLACCRTMMRMHLDHGYVDDGILFFETACRLLKPDSFILSAAFHLYEHSGRESEAGDVLDAINMSGASFLRNLKVGSKLEQVRNDTHAS >OGLUM06G00720.1 pep chromosome:ALNU02000000:6:550033:550490:1 gene:OGLUM06G00720 transcript:OGLUM06G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTVSFVVGRLAEFVAKEAKVLQGVERDKLQWLHTFVQLADQRRRLNGNAYVDVWVQQTRDVSLEVEDVIDKFMVRVNSDRHLPIWSKCLKLSGRIAMITARLNQILLAPSMPLGTGRR >OGLUM06G00730.1 pep chromosome:ALNU02000000:6:552794:553977:1 gene:OGLUM06G00730 transcript:OGLUM06G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWGQSALWAYPSTTLELAKGASEAVHVTLFGDASNEAGQIWRRKRDRAVHERWDEEVEIFGFDTERSSLLTYLLEGGKERSITSIVGESGMGKSTLARSVYDSSNVRKSFKALPRLKLKAHKKFLKPLI >OGLUM06G00740.1 pep chromosome:ALNU02000000:6:555014:556192:-1 gene:OGLUM06G00740 transcript:OGLUM06G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSKVSEASGSAPPATSVIEGWAELPEGLLHSIVALLGSFLDLLAFTGTCHSWRAAFSSYPSKSNFRTILPPLLVRPNVRVKAPSSSNGHRKLRSCEVIDLANRNTPLRCQIPQETLQRMHFAGSSHGQLICCRRGYCLVVDVFTGAEVSPPRLPFSENCDEFYYCGILTAPITSPNSHLIISTQSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAVIEYSLYTLQLAPILRLEKIKTLWWDNMNECPYMRPWFVVCGDMLLIVDHYISFSFGAPVLYRPYRLDMSTKPAKWVEVKKLENWALFIGGDARSPPFSFKNPERWGGRSNCLYYAHYSQPLSLHGLSDDADAVWDPNTDDNLVFKRNWYRQLQALWVYPSMFYSEGDGQ >OGLUM06G00750.1 pep chromosome:ALNU02000000:6:555917:556156:1 gene:OGLUM06G00750 transcript:OGLUM06G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAARRGFDADIGSDEEGWEYGSTPRMAGASEGKEVKERAQQSNNGVKQALWEFCPTFDHRRGRGC >OGLUM06G00760.1 pep chromosome:ALNU02000000:6:557206:559862:1 gene:OGLUM06G00760 transcript:OGLUM06G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRRKRQRRRPRPPSPQDEPSPTELTALGQREVAVAERPFKKTCHSSVSTSSSMCEPHVWPGLMDSMLHQIIALLSSFQDLLAFSGTCRSWRAALSSFPSVHTFTFPPLHLKPDIPNSHPHCSSFRYTLLYKCRWQLGDPSKRTLSLRCSAPQNTPNRMRYLGCSYGYLIFSYYENCLLVDMYTGAKMKPPKLQSTGNKETYYGILTAPVNSPSSHLLLCSRSSIFYWQVGTNSWSEHPFGGERILQIVLFKGEMFAMDFHHRLHTIRFAPQLSMQEIGVAWGEEMFVGVHFKPWLVICGDMLLMLDLSVGIHQSYGFPGTFQVFRLDFSAQTAKWMKMEKLENSALFVSLDRRNPTFSCTSPERWGGKSNCIYVAKPSEDSDEPWTAVELGQPIPGTTHCVPYSHALLRTEGHCSQLENLWVLPSFINGVDQ >OGLUM06G00770.1 pep chromosome:ALNU02000000:6:562683:563844:1 gene:OGLUM06G00770 transcript:OGLUM06G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLWRSFFWVGSFGGRSSRASGMKRSSISSSRSLGLLALCFEDLPHDLRPCFLHFALRPENTIYSARRLVRLWVVEGFLQHTKGETMEDVGHTYLKELVSRGMIHLIKKESEEEIMLVTIHQQLHAMARYETYYQATFLDVYGQTYVPSSAAVHHIFLNNIRNANIHMDSTFPNLRSVLCAFPNNWERAGAVGGATAITSDDHYMRHFGKSELLRVIELTGLQVKKVPRVIGNLIHLRYLCIRSPCLVELPSSIGNLINLQTLDIAKSSVKKLSTSFWKISTLRHVIAERLDLPKSVGVLKNMQALMGLVCLHPWHGNISPLHNMVNLRKLKISGLTSNHSAALSDAFSKLELLIYLELIGTDIPSTLFTNFSMWVYNPCS >OGLUM06G00780.1 pep chromosome:ALNU02000000:6:562912:565675:-1 gene:OGLUM06G00780 transcript:OGLUM06G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYRARAVLATPARAFILSCPRVWQIRRDVLSFTVRLHRLFGVIFLKDRRDCVTVFVSRADVAVPWMKANQAHECLHVSMPDILHCFSLCVLEESLNNP >OGLUM06G00790.1 pep chromosome:ALNU02000000:6:565341:566070:1 gene:OGLUM06G00790 transcript:OGLUM06G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRRVAVVDSGRGRTGGCRGPMVREDALETKTVTQSRRSLRKMTPKSRCSRTVKDKTSRRICQTRGQLRMNARAEWRQWQLGDAAAMLEVDEEDPERLTMTSADDEVVDARTTMKTTRRETL >OGLUM06G00800.1 pep chromosome:ALNU02000000:6:565679:565897:-1 gene:OGLUM06G00800 transcript:OGLUM06G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAVQAVGLATSHSSSSSMSHRQRRHIFLDYTSLFSGNRVLLWQFSLYAVLAARPSQRPSLLVSSDIGV >OGLUM06G00810.1 pep chromosome:ALNU02000000:6:573087:573509:-1 gene:OGLUM06G00810 transcript:OGLUM06G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDVNKTMSSDGEMSSTAERAANLLRTLRQLIEDGCAAAKPLAEMVEYCAKHAAAGEAQGKEEEVLDDGEFSDEEETDILRVEAIWMGVEGMLSVAAQRTAELIKDKPPEPEPEPSPENDPFHGFHSLHSFIEHCYTD >OGLUM06G00820.1 pep chromosome:ALNU02000000:6:574399:575214:-1 gene:OGLUM06G00820 transcript:OGLUM06G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAGSSHGQLRCCRSGYCLVVDVFTGAEVSPPRLPFSKDHEEIYFCGTLTAPITSPNSHLLISNRSSLFDWPVGSDSWSELKLPVNRVDQIVEFNGQLIAAIEYKLYTLQLAPKLRLKKMKTLWWDDMSECPYLRPWLVVCDGMLLIVDHYITLSFGAPVNYRPYRLDMSAKPAKWVEVKKLENWALFIGGDARSPPFAFKNPERWGGRSNCLYYAHYSQPWSLHGLGDDADAVWDPSTDDNLVFKRNWYSQLQAFWVYPSMFYSDGDGQ >OGLUM06G00830.1 pep chromosome:ALNU02000000:6:576547:579056:1 gene:OGLUM06G00830 transcript:OGLUM06G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRQEMPPPTELSALGHREVAAAERPFKKTCHSSVPTSSTLCEPHVWPGLLESLLHQIIALLSSFQDLLAFSATCHSWRAALSSFPSVYTFNFPPLCLKLNTPNIRPLRVLLKDNLLSYCKWQLDDPSKRNISLRCSAPPDAPNRMRYLGCSYGYLIFSYHEKNCLFVDAYTGTKLKSPKLNFMGDRDIYYGILTAPLNSPNSHLILCSRSSIFYWQVGTNSWTKHVYGGEHILQIVLFKGEIFAMDVLGRLHTMQFAPELSIQEVAVLRREEMVTGPRSGPWLVACGEMLLMVDLSTDRDQLPRTFQVFRLEFSAETVECVKMERLENSALFVSLDGRDPTFCCTSPERWGGKSNWIYVAKPSGDSGEPWTAVELGQPVPSRIDRVPDFQVDNMWVVPSLIYDVNQ >OGLUM06G00840.1 pep chromosome:ALNU02000000:6:576656:577550:-1 gene:OGLUM06G00840 transcript:OGLUM06G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTQANAASAISDSVSNATIQECQQERKPNYLWPRALSSVGGGISWRLPSMAAAAPTTH >OGLUM06G00850.1 pep chromosome:ALNU02000000:6:579310:584400:-1 gene:OGLUM06G00850 transcript:OGLUM06G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEKNKKMIKVISSDGEAFEMTEAAASMSRILLHMIEDGCTGDGGAGITLPNVAGSALAKVIEYCTKHAIAAAEGSSSSRKAKEELKKFDVEFMEVGIDMLYDLIMAANFMGVEGLLSLAAQRTAELIKGKSPEQIREMTAAPTAAPASLSKIIEYCTKHAAVEGGSTAAAELKRFDEELIDVDTDTLYHLLMAGNLMGVEGVLELAVQRTAELIRGKSPEEIRDTFKIANDFTPEEEEEIIKENAWALQ >OGLUM06G00860.1 pep chromosome:ALNU02000000:6:593732:597472:-1 gene:OGLUM06G00860 transcript:OGLUM06G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPLPQPRSSMREALEKEDKEKAAAAKEKAAVPKNGGNGGGGKNGGGNGGGNGGAGAQPGEETTREIQVVREAYRREPAAPAYVMPEEPPAMVELVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPASDFTPDTKYIVKGATCSIHEMSMYQRLTKHSIAIDGSRLSPLGWSGLSWAIGILIAAPILTQAAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIGASIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLTLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPTTAFEPNFFTKLSYSMTLVRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGVLYSFGRILLLDASPPGKEGAFAVWYAFVRCIGAMIGFAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMEDEKRLGMEKGEGMSAVADSGEGRGRV >OGLUM06G00870.1 pep chromosome:ALNU02000000:6:597500:607158:-1 gene:OGLUM06G00870 transcript:OGLUM06G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISVRNNVLADVTIILENGIKCGHPVLLIAGNIDQDTVSIQSLWPIRLEVYRSMETARIKNLDDITFQLEAESGLKGEEILGGRCFECNGSVMVGTHYSPYQKGREGGGRRHGLMRAVRVRSPGQDNPASMLTAGA >OGLUM06G00870.2 pep chromosome:ALNU02000000:6:602992:607158:-1 gene:OGLUM06G00870 transcript:OGLUM06G00870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISVRNNVLADVTIILENGIKCGHPVLLIAGNIDQDTVSIQSLWPIRLEVYRSMETARIKNLDDITFQLEAESGLKGEEILGGRCFECNGSVMVGTHYSPYQKDAVEKAIAVAGVYTLLRLASKVLVK >OGLUM06G00870.3 pep chromosome:ALNU02000000:6:602992:606745:-1 gene:OGLUM06G00870 transcript:OGLUM06G00870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGAIFLVKPSLVGCPCFLKSTDISILENGIKCGHPVLLIAGNIDQDTVSIQSLWPIRLEVYRSMETARIKNLDDITFQLEAESGLKGEEILGGRCFECNGSVMVGTHYSPYQKDAVEKAIAVAGVYTLLRLASKVLVK >OGLUM06G00870.4 pep chromosome:ALNU02000000:6:602992:607139:-1 gene:OGLUM06G00870 transcript:OGLUM06G00870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISRDFFFGTIAIRSGYPTDRPKPGGHGRSTDISILENGIKCGHPVLLIAGNIDQDTVSIQSLWPIRLEVYRSMETARIKNLDDITFQLEAESGLKGEEILGGRCFECNGSVMVGTHYSPYQKVLVK >OGLUM06G00880.1 pep chromosome:ALNU02000000:6:608125:614121:-1 gene:OGLUM06G00880 transcript:OGLUM06G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWELLATEIMASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >OGLUM06G00880.2 pep chromosome:ALNU02000000:6:608125:612781:-1 gene:OGLUM06G00880 transcript:OGLUM06G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASDGQPFEADEIMASTFGATSTVGLMAAPTGIVSDKKPSSLSSVSSVSVASRPRNARLQRKCNFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVEELKKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENNLYVVEGMQFERGYISPYFVTDSEKMSAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLNKESTTIVGDGSTQEEVTKRVAQIKNLIEAAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAARVDAIKDNLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNDNFKFGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEPAPVTNPMDNSGYGY >OGLUM06G00890.1 pep chromosome:ALNU02000000:6:616155:617138:1 gene:OGLUM06G00890 transcript:OGLUM06G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSLRKLFDGFCTKEMKVLMLGLDAAGKTTILYRLHIGEVLSSIPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQYFSNADALIYVVDSMDRERIGVAKEEFQAIIRDPLMLNSVILLLANKQDLKGAMSSSEVCQRLGAYEELKNRRWHCQGASALTGDGLHGGLDWLASTLRDVQTWGTSVRF >OGLUM06G00900.1 pep chromosome:ALNU02000000:6:623656:627197:1 gene:OGLUM06G00900 transcript:OGLUM06G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTCKRISNTPNSMPVLQGWADLPDDLLQCVLALLSSPSDLAAFIATCPNWHAAFRSAKSTLRTTLFRPLAIRSCASSGDDPVVWELFDPAKPTICIHRVTPPDFLAGMDYECCSYGHAIFSGNAPSLKDTTFAIVDVFTGTSVSPPPCPFFTFVNSCALTAPLDYHNSHFLVEAKHSLFAWRVGSDHWSQCSCPPNSKALEQFVPFKGQLYALEYQQLYTVKLEPQLSLEEVQVVWSVEMSEPDLCEPSLVVCDDMLILLAASIGEAFRLDLSSQPAMWVKMEEEELKEWAFFFDEKREAFRPRPPLSCKNPQRWGGIGYDSYSWFFQREKAFSGFQLFQLAENMHVQRHMLLYSWIHEDDFDGPEAFQDQMDDEVSYAAHKSQPVSVPTCQYLCFDFLTHPNSFSPHHRAPAMAPYPLPPPSPPQQQLPPASSSKPRRPPPHRSHGGYKNGTVSVDSGAPHDARGLRALIKALAAEHGEAAPAVHAHAAKLGLDRRRAVRDGLVELYLARGELASARALVDGFPAGRDVVSCTAMVTGHARHGFLDEAVVLFFAMADDRGVAIDAVAAAAAFSACAQIGDLALGREAHRRVAERKVAMDVVAWNALVDMYAKCGDAAAAHRWFRRMPVKKNVVSWNTMMSAFARAGELEEALALFQEMQAAAVRPDDATFVAALGACAQLGALDTGRWLHAYMGRMGHSADGVVGNALLDMYAKCGAVDQATEVFDGMVRRDVYTYTSMILGLAMHGRGEDALSLFAGMQRAGVTPNEVTLLGVLTACCHAGLVEEGLRQLNAMPEPRIEHYGCVVDMLGRAGRLDEAEELIAAMPVHSDALIWGSLLAACRAHGDVERAERVMRRRVADADAGDYVLMSNTYASNGRHGEAVKVRGQMRRNEIDKVPGCSLIEIDGVVHEFKAIPANSIR >OGLUM06G00910.1 pep chromosome:ALNU02000000:6:627371:628486:-1 gene:OGLUM06G00910 transcript:OGLUM06G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARALSAAAAAAGRRMAGQTGFPLLASCRIGDQAHQNYSISAQAQPEEEQKAIHDGGGAAGAQVEAALNRKNVEVHPEEETVEDAWVPDHETGVFVPADEAAVSGTENHDHCGAAAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >OGLUM06G00920.1 pep chromosome:ALNU02000000:6:630869:631595:-1 gene:OGLUM06G00920 transcript:OGLUM06G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKYIAAGLVGSFAISYVCDHFIAEKKIFGGTTPHTVSDKEWWQATDKKFQAWPRTAGPPVVMNPISRQNFIVKDLDNNLYFVLVLL >OGLUM06G00930.1 pep chromosome:ALNU02000000:6:633916:643687:1 gene:OGLUM06G00930 transcript:OGLUM06G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLILTVDLLKHNIPDPSFLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMVKKSPKPEAMLKDSETRNKFSRNHQGRAIKPSRVVAAAAVVFFLVYSQEQIETNHQAHLWLPQISRTDQVSDQDQFGYRDHGSQWLRTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPNAIVHDTIARFREQLASTVC >OGLUM06G00930.2 pep chromosome:ALNU02000000:6:633918:643687:1 gene:OGLUM06G00930 transcript:OGLUM06G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLILTVDLLKHNIPDPSFLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMKPEAMLKDSETRNKFSRNHQGRAIKPSRVVAAAAVVFFLVYSQEQIETNHQAHLWLPQISRTDQVSDQDQFGYRDHGSQWLRTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPNAIVHDTIARFREQLASTVC >OGLUM06G00930.3 pep chromosome:ALNU02000000:6:633916:643687:1 gene:OGLUM06G00930 transcript:OGLUM06G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPLAGVGDRGSSSSSSHPPPPPPPKILLAKPPLPPPSSSGADDDGGGGGGAGRSRQATQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPTGVGKSTIMNELYGYDGSSPGMLPPFATQTEEIKAMAKHCTAGIDIRISNERVILLDTQPVFSPSVLIDMMKPDGSSAIPILSGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGINDLSMWDLILTVDLLKHNIPDPSFLTSSTTQDKENKNDNQSGIEDYIADLCFVHARLREQDFSPSKLMVLKRVLEKHFKSSSFSIGSSGATPQVSDSSVPSSMKIEDLSSNQQDIYLLPLRTPDNSTNFEYRTCPSMLGMLRDQILSRPSRSFSKNLTERDWLRSSAKIWDMVKKSPKPEAMLKDSETRNKFSRNHQGRAIKPSRVVAAAAVVFFLVYSQEQIETNHQAHLWLPQISRTDQVWLSTVAALLAETLGCSFIEADDYHSQANKAKMSKGIPLTDGDRIPWLEALRDAVRERLDHGEDVAVSCSALQQKYREILREGDCSFRSGSGSYSSCRVKFVCLEASAEVIADRIRRRSMEGEHFMPASLLQSQLDLLQIDEAEGITVVDATVRPNAIVHDTIARFREQLASTVC >OGLUM06G00930.4 pep chromosome:ALNU02000000:6:640831:643687:1 gene:OGLUM06G00930 transcript:OGLUM06G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIRATLQLVSEGTGCLLQQMQPATQQTHLALGAGTTSGHCRWGPRSPRAHLSVRLTWEGGGRLPRHARDRSVDAVHRGPGVGPSGGVRWTRCRKEGGASASFVVTVRR >OGLUM06G00940.1 pep chromosome:ALNU02000000:6:639907:642323:-1 gene:OGLUM06G00940 transcript:OGLUM06G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVVVVCAVVGFLGVLSAALGFAAEGTRVKVSDVQTSSPGQCIYPRSPALALGLISAVALMVAQSIINTVAGCICCKRHPVPSDTNWSVALISFIVSWATFIIAFLLLLTGAALNDQRGEENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALSSAKSPPNWGPQQNQGIAMGQPVIPPQSSEPVFVHEDTYNRQQFP >OGLUM06G00950.1 pep chromosome:ALNU02000000:6:649618:653228:1 gene:OGLUM06G00950 transcript:OGLUM06G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGGSGGATFMRAGAALVLLSATAQAVAATAARAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIGPFCINILWALQKKSPRGPYRNRPLTRTGREKPRSHRLLLLSRNASPLLSSLLSPAAAAAESDPNQAKMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >OGLUM06G00950.2 pep chromosome:ALNU02000000:6:649618:650988:1 gene:OGLUM06G00950 transcript:OGLUM06G00950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSGMAWAHAVMGWAAVAFALFVLAITTADASSSQGPCAAYGGGSGGATFMRAGAALVLLSATAQAVAATAARAAANGARFLSGFFALVAHFAGAYTAAVLSELVPVVVAAAGGVCAASGYKHMLVAHYVVLDIPLIFGSINQQSAASCRGHFA >OGLUM06G00950.3 pep chromosome:ALNU02000000:6:651178:653228:1 gene:OGLUM06G00950 transcript:OGLUM06G00950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHDCAAKMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWWQATDTKFQAWPRTAGPPVIMNPISRQNFIVKST >OGLUM06G00960.1 pep chromosome:ALNU02000000:6:654647:656572:-1 gene:OGLUM06G00960 transcript:OGLUM06G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHVAPLLLLLTLAAVAAAASEEAAAADTEAAAAVEAGLLVRHEAQLARLEELTESLAKSVQALESALARSVEPDPPPPAAAAAAPGDRRAPQGVAVTKRRPYWSERFHFAAAARLGDGAYAAAATALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEPGVSGEPPVTALLAYLSPRRTDCFLFAGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGLDAAPVLHLEAHHAGRARYVLSCDSGGRIRVFTENGTLYGTAIASSTPLAFVKQRLLFLTEAGAASLDLRSMSVRETPCEGLAEALNGSLPKAYSFDPSERFKAYGFTDAGDLVHVLLLGDIASLKCRVRAIKKAEIDNAVAIQTIKGYLLVASQDKILVYNTSTQYYGRVGAPRPLFATSIKDIKSVFAGSGGVMPASPAGKPLVAADREKLVILGLGDGYIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSILNHSTSDRAFADSSTRAGERGYVDGTTRASDRSYVDATTRATDRAYAEATRGVDLRGGALRGAPRRYVSPTRYTGAAGIPYRPVSTEPVLRTTPELKYRGPGMEPPGFPKKRDTLFSSNQTVVDDHVD >OGLUM06G00970.1 pep chromosome:ALNU02000000:6:659413:660906:-1 gene:OGLUM06G00970 transcript:OGLUM06G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDLPPPSNSKKSTDANENETPSLPWEEFEEFAEKAKTLPDTISNEDKLLLYGLYKQATVGPVTTGRPGIFNLKDRYKWDAWKAVEGKSKEEAMADYITKVKQLLEEASASTS >OGLUM06G00980.1 pep chromosome:ALNU02000000:6:663072:665200:-1 gene:OGLUM06G00980 transcript:OGLUM06G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLVGVGGLSPALFSPSRPLSCSSSTSVSAPFILRAGGGGDARRHGLRRLITPLRGSACRGESTNSRVLQCANEANVVTEDDIVNDGIDDETASDAEMDEDAEANGDESSDTYEDASVSWIEQQPLPYPSDALEPYISKETVEQHWGVHQNIHVERLNGMIGGSEWEGMSLGQMMLSSFNEGREAPHPPFFHAAQIWNHDFYWRSMQPGGGGKPPERLLKFINRDFGSYDGMIRQFMDAASTQFGSGWVWLCYKTSKLPHVKSRSPIPSDNYGRLVISKSPNAINPLVWGHSPLLAIDLWEDRRSDYVSTFLEKLVSWETVESRLKKAVQRAVERDEYVSTKHIRKQLLARAKSRIRAMPQQVNGDAREQTSGQEKSLGV >OGLUM06G00990.1 pep chromosome:ALNU02000000:6:666014:667634:-1 gene:OGLUM06G00990 transcript:OGLUM06G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A474] MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTSGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKFAPTIGISVDHRRKNRSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDDMKAFKAYAKLRVERMNQRHIGARQKRAAEAEKEEKK >OGLUM06G01000.1 pep chromosome:ALNU02000000:6:667638:673875:-1 gene:OGLUM06G01000 transcript:OGLUM06G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLASPFISQLLNNSSLSSLKPWDRFLNPIISMLGAAAATPIEFSIIAFRNISSLSGLGFSSKTPPRLASSSPTLARRHLASASSSASAAASSPPKVR >OGLUM06G01010.1 pep chromosome:ALNU02000000:6:670132:673529:1 gene:OGLUM06G01010 transcript:OGLUM06G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGLSELFRNTSEDMFLKAMMENSMGVAAAAPSMEMMGFQDLVPLIIALDNHLGKIVARLSAEAAGLPNQQYEIAQEHFPTDNLIPQNLAVHSEFTMNHNQQQLKKRYMAMQSNMPPITTETIETANKLRQDLTNTSTVNSAPMSNTPIQTPTFVSPSSSSTSPLDNPHMVAQDTITSVVSMLKDTLERKKLSSHANGDTSSGISFGFYDSQHFQQNILGGTDIFPLVTTSQIQDSVMLPKVERPTEQGSGNFVAPANQVWLGAASREPSQSGSSTAIPAHSTGFEVCDDLPPIGQAMTVCESTRTNAANGNGTSDCRSKGKEFRERILKENLKDDRKKGSLTRMGSISSEQADKGDPTKKRRVERSRKMAEAKERSSTPVIPSDIQVVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLVEEKERLLEEIERIVSDANT >OGLUM06G01020.1 pep chromosome:ALNU02000000:6:673955:674671:-1 gene:OGLUM06G01020 transcript:OGLUM06G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGRFYGSSLPRPRFFSGGDRVEPPVAVTDPLMAWAHEAHWSMGGLSSKRLRLQGRIEGSIDKLRRRARRDARKPAKARAAGIKPRSLAALGSDDDDDSSDEEEEVEAQKQQIVDEPSESESEEENEEEEEEEALATIAAAAKRKRARKLSDEFDRVATMQEGEAKKQKPAATSTPARTSPRRKAAAEAAPTPAPARASPRGKAAAEAATAAPARASPRRKAVARRTSPRMKH >OGLUM06G01030.1 pep chromosome:ALNU02000000:6:675640:677226:-1 gene:OGLUM06G01030 transcript:OGLUM06G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFGSFLNESASPEYFSGHPKVERCPFLRNINGATTFSFSSALPVAARGAKGPIFEDGPGFDSAFKLFHGRDGIVPLSGKSYVPDENNSESVDAKPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPDNLNQSNKKPSNPNQDSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPPGVKLKCPPAVVAVRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKSDADSTTVADMYPNTTGNCSDTEGKAWDPLAMKMMAGRASGGAAAPTPSMCF >OGLUM06G01040.1 pep chromosome:ALNU02000000:6:680899:683046:-1 gene:OGLUM06G01040 transcript:OGLUM06G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEGERKKKNPRRERRRDEAIRSPPSPPPPPSALEAAAAASPGSLGGGGGARATNAQHELKEVYAMRIVSCHHPTLKTQQPNSEEPPSNWEGKQAEINH >OGLUM06G01050.1 pep chromosome:ALNU02000000:6:736967:742836:1 gene:OGLUM06G01050 transcript:OGLUM06G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKECNLYQLMKSRGKPFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKELIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQASVYNSAVDMWAMGAIIAELFSLRPLFPGSNEADEIYKICSVLGTPNQRTWAEGLQLAASIRFQFPQWLCSWDPQRRPTAVEVLQHPFFQPCFYIPPSLRFRSTNGYAATPPSVGAKGAVDQKNARRYSVGPLSNGRPAVNYSYLSANTPARAAGVQRKLELDHQVNMNSCQAPEGNHKLTKAEAMNQPWSRPAAAAVRSNGNYFTKDQGPRAPDIAEKLSQLTVGSNRVPSLASDKFVDMKARTHGNTMKRPLPPVGTRTWHAPADPFRRPYEMPGDRAFLPRKLVS >OGLUM06G01060.1 pep chromosome:ALNU02000000:6:744132:746748:-1 gene:OGLUM06G01060 transcript:OGLUM06G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKAHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCD >OGLUM06G01060.2 pep chromosome:ALNU02000000:6:744132:746748:-1 gene:OGLUM06G01060 transcript:OGLUM06G01060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKAHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPIGD >OGLUM06G01060.3 pep chromosome:ALNU02000000:6:744074:746748:-1 gene:OGLUM06G01060 transcript:OGLUM06G01060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKAHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERPPSRQPSSRSPSRCPDVGPLSVIENFAACGSGVDLYPAFYRC >OGLUM06G01060.4 pep chromosome:ALNU02000000:6:744132:746748:-1 gene:OGLUM06G01060 transcript:OGLUM06G01060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKAHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCGSPIGD >OGLUM06G01060.5 pep chromosome:ALNU02000000:6:744132:746748:-1 gene:OGLUM06G01060 transcript:OGLUM06G01060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPSAAAPGIGGYQPQRGAAVFTAAQWAELEQQALIYKYLVAGVPVPGDLLLPIRPHSSAAATYSFANPAAAPFYHHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTAAPAPQSQPQLSNVTTATHDTDAPLPSLTVGAKAHGLSLGGAGSSQFHVDAPSYGSKYSLGAKADVGELSFFSGASGNTRGFTIDSPTDSSWHSLPSSVPPYPMSKPRDSGLLPGAYSYSHLEPSQELGQVTIASLSQEQERRSFGGGAGGMLGNVKHENQPLRPFFDEWPGRRDSWSEMDEERSNQTSFSTTQLSISIPMPRCD >OGLUM06G01070.1 pep chromosome:ALNU02000000:6:752787:757371:-1 gene:OGLUM06G01070 transcript:OGLUM06G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRTPLYRKYRDALRHVRAPAGAPSSSSSGGGGGGGGGGPVIEMASLLRSNRPYAPLSTDDPSAASSRSAVTVGLPPAWVDVSEEISANMQRARTKMAELAKAHAKALMPSFGDGRDDQRAIEILTHEVTDLLKRSEKRLQKLSMKDSSEDSNVRRNVQRSLATDLQSLSMEFRKKQSTYLKQLRQQKEGQDGVDLEMNMNGSKSTFELGDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVSACMHGGAVTLVFVCAAAKLEGFAWLLRWEPRIKR >OGLUM06G01080.1 pep chromosome:ALNU02000000:6:759342:760633:-1 gene:OGLUM06G01080 transcript:OGLUM06G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERLISSPLLPRPPPRAAFSRPPPSLAAAPPHHRAGGAASGYGSRPPLASLLLSRHHHHQQPPVLAANPAADVAAGEAVPPATATASRRFLQKVASAAAATLLATIALTLIQPAWAPPALASFHSAAKAGGGIFKSELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAAVGALWGCGHDAGQVIFGLLFLSLKDRLHIEVIRTWGTRVVGLTLLVIGALGIREATEVPTPCVALENGGGGGGAHRGPLDALPATRKKITFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVAMGSYTVLIGSCTEALKERVPRITEKLTWAASLVAISMGLGILISQSLGFSLY >OGLUM06G01090.1 pep chromosome:ALNU02000000:6:763584:790004:1 gene:OGLUM06G01090 transcript:OGLUM06G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSTSRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITMGAHDIEDALVWKKKLELLIDQQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGPEDEEEPRPTLLRRTTIGNGPPDSVHDWTKEPDIGLSDQNDTNHAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWFSMLVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDVNEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >OGLUM06G01090.2 pep chromosome:ALNU02000000:6:763584:790004:1 gene:OGLUM06G01090 transcript:OGLUM06G01090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSSTSRREAAAKSSELGRAGGVDPPRPSAAAMARSGELPKVSAAATAAVRHEGWMLRYGRRKIGRSFVRTRYFVLDNKLLAYYKKQPKDNMVPVKALQIDGNCRVEDRGLKTHHGQMVYVLCIYNKKEKENHITQQDTMTAKNRKAFASLDFDMEFGGPLSFSDRDSGQALLIRYMIGPRSLILGCQIRMTPTMLTQERTGGYLDVRMARSCSRAMRAVGVVEATCESIFGLIMSMDVTRYEWDCSFQYGSLVEEVDVVLFRSTEHQNCGPQPGFVRAFIESGGFKISPLKCVNGRPRTQVQHLMQIDLKGWGVNYFSSFQYYSLLQMLNCVAGLREYFSQTDDIHPVPRIPVMSTMATVSKLKKDKKLQETDLKTKQADFGQVDNKNLDMIDEESEEDDDYQVPEANLEEAPTRSDSDAKYTDPIDLSCFSGIIRRDVNEKSRNCWTVPDSKLFKVRSESFPHDKSKVPATKYLMELVAIDWLRDIKRMDHVARRKGCAAQVAAEKGMFTFVVNIQFSLEKYQFSFCDSIRARLLYFGHADQVCLHLQIPGSSHYSLVLYFVTRTLEKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYMRGQEYIEVDVDIGSSAVANGVLGLVFGVVTTLIVDMAFLIQANTYDELPEQLLGAARLSNIEPSSAIVPVLDK >OGLUM06G01100.1 pep chromosome:ALNU02000000:6:788774:789810:-1 gene:OGLUM06G01100 transcript:OGLUM06G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSTMARPTMLACCKLYISESRNAAALRAIEQAACGGGAVVVNRFTDDAYNRVGYTLVAPLTTSPAPPPLRHAVLGMVRAALEAIDFGAHSGTHPRLGAVDHICFHPLAHASLRHVADLAGAVAADIGDELQVPTFLYGAAHREGRTLASIRRQLGYFKPNSSGDQWRGAPETDALPVAPDAGPERSPRSKGVVVVGATGWVDNYNVPVRTGDVEAARRIARAVSERGGGLPSVQAMGLAHGGGVVEVACNLLDPARVGAEQVQGMVERLAXL >OGLUM06G01110.1 pep chromosome:ALNU02000000:6:791138:796041:-1 gene:OGLUM06G01110 transcript:OGLUM06G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gametophytic factor 2 [Source:Projected from Arabidopsis thaliana (AT5G48030) TAIR;Acc:AT5G48030] MRLPGGARLALLLARRRALPSSSPAASASPFHASRAHGARWGDAFRAAAPAWRSPFSSPTSARLFHGTRPVAARDYYDVLGVSRNASQGEIKKAYYALAKKLHPDTNKGDSDAERKFQEVQRAYETLKDDQKRSLYDQVGPDQYEKASAGGGPGGAYEGGFGNPFEDIFGGGGGGGGMNDFFRNIFREREFSGHDAKVALEISFMEAVQGCTKTINFQTAVTCDTCKGAGVPPGTKPETCLACRGSGFIFMQTGPFRMQSTCTQCGGSGKTVKEFCKSCKGRKVVPGTKNIRLNIVPGTDDGDVIKLVRSGGADPDGGSPGDLYVTLKVREDPVFRREKGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPANLTPRQRVLIEEFAKEEQAEEEKDAKAAGASG >OGLUM06G01120.1 pep chromosome:ALNU02000000:6:796851:797576:-1 gene:OGLUM06G01120 transcript:OGLUM06G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGKRTKLPWLGVARYAVTAVLAATVAATVVQAIRMVLRPVELDLSVANGAVSVERPESPSAASLIKYKVTLRAYNPSGRAVVHFGGDNLVRLIYGAAARTELAAFTLPAFVVPQQESHFVTKSAFLNASALPASLAARLYDGETDQVVVQAVASLSFTIGGARGVSAGRRGHNFTFHCWPVSISSYYEVSGGEASCSQDTTEAAVAGLTHDRCIGGPCPEPYKNSGNCSGNNSTGIKG >OGLUM06G01130.1 pep chromosome:ALNU02000000:6:813373:814047:-1 gene:OGLUM06G01130 transcript:OGLUM06G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTTAADGGGSRTLFRCIKTARYVVAVTVMVLIVVVISYAIKMVVRERSLLVKVAGSTVNVQPLPKTSSSDKNLSFSLTIRASNPSGFSKIYYTNITALLIGKINASLPATSSSFNMGPLPDLAVQPRTWMFASTVYHASIDKNNEMNSSYNFTSYLYNGYSIRNAVLRLNGTLSTEVYNYHNHSGTVIYCCSSIFVGDGDDNPAGTPDMPCREQHITGLSCD >OGLUM06G01140.1 pep chromosome:ALNU02000000:6:817286:818002:1 gene:OGLUM06G01140 transcript:OGLUM06G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDEKGRKEEDKLSASSWQARRHLMVLAALGATVAATAVVITVFVILRPAHLEFAVTRATQHNTTKAHDGMDGMYLNLTVAAKTTGGRRRATVEYRSLSVYLVVRTRLTQVVGGSEMNTSTFIQNFFIATLQPPLPVSGTGRNLGLGTPASTTRSTVNASLQVLLGDSQELSSSNILATQLSRNGSVTVVIAAQVVFNIGFVTTRVYVVRARCPHVFFNQQLKAPPYAVTGRETLCS >OGLUM06G01150.1 pep chromosome:ALNU02000000:6:821734:828714:1 gene:OGLUM06G01150 transcript:OGLUM06G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGHPAAIAASTMLLLPGADVAPDVREEEEAAAAATGWSGTVRLRCAQGWTSNRTLTTSRTTVVVASLPTCRWRGRTRCSGRDYMGLRGLMCCYPWMQLDRKICIEDMLGNRKYRGK >OGLUM06G01160.1 pep chromosome:ALNU02000000:6:834036:836609:1 gene:OGLUM06G01160 transcript:OGLUM06G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASYYCNLASIQTSIVAGNLTYADASTEGSMATASVLMFVLAGFFFILNLFGGVSNVDAILSPRVRVLFTSLLSLFLPVMSYLFSEAKNTAKELGQVTNVIGMGRASTPELPFMAGVILTWMLLIELIRQKVDEIAMKGYSGTIHRAGRVVWLGSLVFANIHSAGRKALFGVLWVLCATKVVQRVAFTEVGNRSYACGKNPWIITSYMSSTPAKQPPPAQAAAAASDDGAMATAILKGCRYIVTGEEDVRVEATVDGYKLKKKEEDYSKSSLVTVDKIWGEEQGLPGRSGSGNNNAGGDEELKRQCLSFALFKLLRRRLEQLPLSEPEKSSEEASECRNIIFNGLYKYKSKSESDTDTRAAVAVFEVMGSEVNFLSEYYHSVVPVVLASPLFFVANYFLLPVVVLCVCLMTIVVCGGGDVLYAFRSIKTDNFTMSSGIVDTSLCLLLTARRDAASFFATINFAVTFLLYTIYIYEEVWEWFVFLLSDWFAVSLFSAYVAKARFCDNSAFRAFARCILSVRAWLRVGFVVHPQHRLKLKQFSALNLRWPPLALLAMPKPLFTLLVSTKPVPVPVKDSILDSLISSLASASASTTKSALASFDDLKTACESGSIAEVILICHIATGLLERLNPPPDPEIVITNSERMSCCGCPNKKKKNNRSDDFTIATTLSRYCAYLVAFQPELLPDYHEKAEDLLKAMKTELKDRLGCYQYYFSCGRERADAIISSKNNSKNMEGTVEKGAKMADKLRQRPEYKDRHDLMWKLLAKAWTEIIVYVAPSNEERTIMAHKNVLWQGGEFITVLWALMTHTGITRHRRSLREIAREIPPHDAGTSSSQQKSALAGPDKQHEIDMIVTR >OGLUM06G01170.1 pep chromosome:ALNU02000000:6:838399:838986:1 gene:OGLUM06G01170 transcript:OGLUM06G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIRGHPRRCLLGALVGAMAATALVIAASFVLRPPPLAFSVADARSGATDENKAAFLNLTLVAGNPSGRAAVEYEALDVMLWYGTTDYIETNTSLLLAAADEAALLLQPPRNATAVEVTARTLDDRFVQEIVAGEGRRTGPFNVAVAAQVRFKVAGVVYTRPYNVRVSCSDVYFVVADNKSAAAASSTPIDCRG >OGLUM06G01180.1 pep chromosome:ALNU02000000:6:840094:842382:-1 gene:OGLUM06G01180 transcript:OGLUM06G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFNGTDAHCGGAVGAMGSYVYNLTSSYADQKNEVNIVATSLAMLLLAALLLAFDLLAGAATLRPAARLVLSVSLALFLPVTSYLFSEAKNDVPGAAAADAELPLRARLILAWMLLVELLRKKVEATVTSTKGAGGGGGPASRAGRVAFLGYLVFFNVHGAGRKAVFGVLWVFAAAKLMQRVAIGEFVKRSFAFGKNPQLLAGYMAQTLERRPRRDGELMTSCKYAVTGEENLEREAGPNGYLVDLHKTVAGDDDADDAVVVTVGRVWSLAESDQLLVSNPKLKRLCLSYALFKLLRREFEETPLTAAEAGDCRELIFRGLCNDGGAAADRAATLFQVFDDELGFVTEYYHSVLPVMLASPFFLLVNYIVFPVLVLGLCLMTIVLCGNGDVAFIAGSIKRDNYAVSFGLVRMTRCLLSRVLRSPSALFSSIDLSITFLLFLTILYEEAWELAVFLLSNWLTVSMVSDYAVKPPSRLRRAAIRGVQWVTNRMSRRNLRVKQYSVLWFCRLPLKLPTAAVPEEAKHSIMEYLAAYDGAVAPLSAGRSAVARNALCNASRLISSACESDRVAEVILTWHIATSLLEVRCPPQKEETAAAAARSSTVATRLSRYCAYLVAFRREMLPDDVDCTARVYGAMTTELKRELGLKGYYFSTDASRYGKMMAIAGGQEDDEAAAEETTVVRKGARLGKALMDEAAAGDEAAVWKLVADVWTEIVVYVAPARDAEQVRAHGEALARGGEFVTVLWALVTHTGIARPAAASV >OGLUM06G01190.1 pep chromosome:ALNU02000000:6:843934:844113:1 gene:OGLUM06G01190 transcript:OGLUM06G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFGGEFPVDGQPGEPCQPGELVAAAVVAATTVEAPADVEALVGASASATATAAATR >OGLUM06G01200.1 pep chromosome:ALNU02000000:6:844161:844454:1 gene:OGLUM06G01200 transcript:OGLUM06G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQKCTSTAHAYHMLVTAAPMVLAARVAPDSEGDGGPHGISGCGRDSGRGRGDGGHGRDNAESREGGREGDGGEGGTQYMSALPKDAKNSQSSKLT >OGLUM06G01210.1 pep chromosome:ALNU02000000:6:846216:848474:-1 gene:OGLUM06G01210 transcript:OGLUM06G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPWQTVLEPLEHGEQHRLEEMRQHPVAGPRASSGDAAERRHLPKPAAAANRSSSVTATPPNAATSPSKRPSWQRPRPPTQRNAPATDVGDGLTKLRGVDDGGYMDVVELMHGIDADVVANHRSGGRDTQWAKSKNSDARYLSLFLAADVGTG >OGLUM06G01220.1 pep chromosome:ALNU02000000:6:861281:861747:-1 gene:OGLUM06G01220 transcript:OGLUM06G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRPGRTASMTSRWVPTTSIVRVFLFGCAHADRGSTFNFDVSGTLALGGGAQSFVQQTATQYGRVFSYCIPPSPSSLGFITLGVPPQRAALVPTFVSTPLLSSSSMPPTFYRVLLRAIIVAGRPLPVPPTLCDRLHHRHLAARRSGGR >OGLUM06G01230.1 pep chromosome:ALNU02000000:6:862229:863549:-1 gene:OGLUM06G01230 transcript:OGLUM06G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVDDDQRRADYIQKRLTGATDDKQPMAFDSKTSQYVTSGQYATNGAIGSVPHLKSLSTTATTNSAPDGTSAVTQTVIIDSGSDVSWVQCKPCPLPMCHRQRDPLFDPAMSTTYAAVPCTSAACAQLGPYRRGCSANAQCQFGINYGDGSTATGTYSFDDLTLGPYDVIRGFRFGCAHADRGSAFDYDVAGSLALGGGSQSLVQQTATRYGRVFSYCLPPTASSLGFLVLGVPPERAQLIPSFVSTPLLSSSMAPTFYRVLLRAIIVAGRPLAVPPAVFSASSVIDSSTIISRLPPTAYQALRAAFRSAMTMYRAAPPVSILDTCYDFTGVRSITLPSIALVFDGGATVNLDAAGILLGSCLAFAPTASDRMPGFIGNVQQKTLEVVYDVPAKAMRFRTAAC >OGLUM06G01240.1 pep chromosome:ALNU02000000:6:867517:868161:-1 gene:OGLUM06G01240 transcript:OGLUM06G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEKKEAAEEEEEEEKKFRWLDVVRYAAAGVVALLAVGVLVGAIVVVLRPDALVMKVIHGSMLVNLPPPSMTFTFQLEVDNPSGRDTMSFTDMSVAVLAVSVSGGGEISMANLFDLPNITDLQPGKVMQVVTTQWTANPEAEVGDYFVRRLSRGETMAVTLRVQGILITRLDTLNGDGPVHTSMANVTYTCFNVKLGVDKSLDSTDDVSCTRK >OGLUM06G01250.1 pep chromosome:ALNU02000000:6:869165:869815:1 gene:OGLUM06G01250 transcript:OGLUM06G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTTTKKLQVASSSPNTHKSYWSTKQYILVAVVGTLAATVIVIGISALLSPGEIDFSVTKASRMILPLDGGVELNLTVAAANPGWRAAVEYREFDVKLQYTPFDGKPTLLNEDDASSVRTPFVQPPRNTTAIPVRVFVSGDYWVKNMMRGKTDDIPITAQVTATVRFLIGKACTRSYHIAVSCYLGLDLFKRPTVSFNHNNTADCVAAGPETV >OGLUM06G01260.1 pep chromosome:ALNU02000000:6:879387:881687:1 gene:OGLUM06G01260 transcript:OGLUM06G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVTSDLLQSCSETMISFVHNMTASYADKSNESSVVSTSVVMFILAAVFFNLNLFSGVSDVSAVLNPTVRIFLSSALNLFLPVMSYLFSEAKQAPLGVGDSTTTTRDAHSDDLSLLARVILTWMLLVELLRKKVEAILITTGMHVYSSLISHATSVAWLGNLVFFNLQAAGQKALFGVLWVLCAAKLVQRVAITEIGKRSFAHAKNPRLISSYMAQLPKLLEVDEHVAADGSRMERCNFAVMGEENMVLKAGPHGYELDLGLAAAVVTVGKIWQTKQHPRLKRLCLSFSLFKLLRRRFENLPPATMKETDECRDLILDGMCKDAQATGDVPAEVALFQVLNDEVSFLAEYYHSVLPVVLASPYFFFVNYLCFPVVVFGLCVMTIVLCGNGNVLYAFKSLTNDNYAVSSGILSLTKCLWKNVVRSPLVFFSIVDVSICYLLFIVVVYEEVWEFVVFLLSNWFIVSLLCTFSAKPRRRESPTFRGSVRCILWLRRNLSHYPSLITIKQFTVLSTCCLSPRLPTATLPRHAKLAILERFRGGDPLSNGGAVLTSMGGRHRRFSRLAWACQSGAVAEVILTWHIATSLLETKQQQQLPTSASRSRRTAARLSRYCAYLVAFRPELLPDDREGTERIYKDLKKGIKAALGGARGYYLSSERSQHETIRALRVDASAAADMTVLERGAVLGKQLVEDDEAGDGAVWEMLADVWVELVVYVSPSRAEEHARGHEAALVQGSELVTLLWVLATHTGIARPDHDGEIDQPAAPA >OGLUM06G01270.1 pep chromosome:ALNU02000000:6:891436:892071:1 gene:OGLUM06G01270 transcript:OGLUM06G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPAAGSSSRAAKRYILLGLAATLVAAVVVGLVSAVLSPAAMRFSILKVEHVFLGGSSVGMYMTFTIAADPQGNRTGVRYSKLAVDLVRQEDLTLFIRSYTPAARFVGKMLPKEQRRPGRRWKNRVLLFIGHKNWDNATAGREKLSVQVRATVHFIVGVAYTRAFRIAVLCPLNFSLNTTDPVVLYPNKSSNGTCAEAGLITKYHDPSIQ >OGLUM06G01280.1 pep chromosome:ALNU02000000:6:895657:896322:-1 gene:OGLUM06G01280 transcript:OGLUM06G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQQQQQQEEEESWGNQYTNFKWYDVVLSVVAALVAVLAMAVLVEAALVMRFNQYDLELKVRHGVVKVKLLQPPPWMRMNFTLATTNPITNTAATDVNISLSVTDITVTSGNKSLTEFHVDGGHNVSVGPGHTEYVIWLQNANDSSFFDQLEHNGKVTIELRVRGDIDTRITPLNKDVFNPPSRHVVFDCAGVSLTVVDDLSMIDHGGNKDDDVSCSYV >OGLUM06G01290.1 pep chromosome:ALNU02000000:6:929878:932253:1 gene:OGLUM06G01290 transcript:OGLUM06G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTMDEQVCPQKVVAFVYNMTSPYGGDNSKETSVVAISALTFILAAAFFDLNLFSRLSRVSAVLNPTVRLLLAASLNLFLPVMSYLFSEARKGGAATAAENCKKTAKEVAANELSLLARVILIWMLLVELLRKKLEGALVITRGTQGYSNIVTHAASVVWMGNLVFFTVKAPGKKAMLGILWVLCAAKLVQRVVINEMARRSSGHGKNPRLISSYMAATTPIPTDMAGAAALERCRYAVMGEENMVVKAGPRGYELDLDVAETDEVLTVGKIWRTREHPKLKRLCLSFALFKLLRRRLEDVPPMTKREAQECRTIIFDGLGSNAAAAAAAAAAGGDLAPEVTVFQVLKDELNLFTEYYHSVLPVVLASPYFFFVNYVLYPPVVFVFCLMTIVLCGNGGIIYVIKAIPTDSSFLSVGVGTMAKCLWSAVARSSRAFYTFIDVFICYLLFIAVAYEEATEIVVFLISDWFAVSLLHAYYGKATPPAAARFVLMLSRNLRHYPSRITMKQFSVLGCSSDVSTLPLPTAKLPKHTKRSILERFRDARPPQDGGGGAAVPLSKNGPAALSTEPFSRFAWACQGGGVAEIILVWHIATTLLEAHHGPPHPTEHVAEERRSRKTAARLSRYCAYLVAFKPELLPDNKEGTQLVYGDVMNEQMKVAVGAAQLGYHVYLSSEWGRLDAVRKIADRLTATEIHRDSSAAAYASLTVLEKGAVLGKLLVEEAAVWEMVASVWVELVAYMAPSSVEEHARAHEAGLVKGIEVITMLWALATHTGIARPDDDDDEVPVEGSV >OGLUM06G01300.1 pep chromosome:ALNU02000000:6:933107:936389:-1 gene:OGLUM06G01300 transcript:OGLUM06G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGRRRREKNYRAAHGGEPRLPPPPKQRELDALPSKLRRLIAIQEKHKGGEKGAVAGDSSGKQGESDAAKNKARKDKVKAKGSGRGGKLWIFVSDFIDAGVLSSLLQGGSGAGRRLHVAGVALAAPRGMAFIYGAGAGASSSEMLARRRN >OGLUM06G01310.1 pep chromosome:ALNU02000000:6:936982:937659:-1 gene:OGLUM06G01310 transcript:OGLUM06G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSKLQPTARFRWLNLARCTVASVVTVLAVVVIARAVVVLLRPEKLRLSVAGGRVSVSRMPAMKPLPRVNMSFVLRAFNPSGRASIEYTGITVALRAIDDGDAASPAAAAPIIAQFPFPDVPVAQQVAHEAAARVSLAAAEDVPLRYVKALFDGRGISAAIQVDGFLTTRMEIDGRISRSNGGVATTFYCLPVTIAVGDGDDDESRTRDTWCLDKSDVPAFVG >OGLUM06G01320.1 pep chromosome:ALNU02000000:6:938952:939551:1 gene:OGLUM06G01320 transcript:OGLUM06G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHPFPSWWGAKHYIMAAIAGTLAASAIVIVTSVVLSPTRISFSVTGGGASISPSAGGQAFLLNLTIAADNPSHRAGVQYLAFAVSLQQFTARNRMESVEAAVQDGVPFYQPPASSRNVLVTALLVDKNFFGASHGGGGGGRGPPLTVVVRGQVRFKVWLAYSRPYDVAVECAPVDVSSGAGGGKTRPKSTMVKCIP >OGLUM06G01340.1 pep chromosome:ALNU02000000:6:949216:951627:1 gene:OGLUM06G01340 transcript:OGLUM06G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDGGSSYEYLSCQKTTGMIWNLTSSYTDKSNEASMVSASLIVFALAALFFNLNLFSGISDVGAILDPKVRVILSKALSLFLPVMSYLFSEAKNAGAGAAASAGAGGTGPLELSLRARLILVWMLLVELLRKKVEEIRMEAWHAGTVERAGRVAWLGSLVFFNLRAAGRKAVFGILWTLCAAKLVQRMTYTEVGKRSFAYGKNAKLVISYMAQMLQNDVEVEVEHHPHGGDELLRRCKYLVMGEEGLVIEPINSGYRITGDIDAVTTVGKIWTLAESDHLIASLDKDHRLRRLCLSFALFKLLRRSFERLPAMTEAETRHCRDLLFRGLYAGAGDGGGGGDGGGGGAEALFEVMSDEANFVAEYYHSVVPVVLASPFFLLANYVLLPLVVLVLCLVVVVLCGNGDVLFSLRSIESDNYTMSSGGVATMARCLLRAVATSPAAFFTAIDLSITSLLFLVLVYEEVWEFVVFLLSNWFMVSLLHAYASANTRRRDSAAFRWAIRRILWARSKMLSRHGLRFKQLSVLGSCRLSLTLPAAVSLALPILPTVPVPGQVKQSIAEYLAKSLYDGGDGMSAVAEHPELQPFCASGSGGGGVAEVILTWHIATAILEEKCPPAASQSDDAVVARTLSRYMAYLVAFHPELLPGNQDSTELVFQAMNDELKQVLGFWGYHLPPLLGRTRRLECDMVVMAGDAERRPASKQQQQQPEMTVLQKGAALGRALVGEAGRDGGGGGVWKVVGDVWVELAVEVAPASDEERVVGHRKVLPEGGEFVTVLWALAAHTGISRRLAVALTPPDTMDRV >OGLUM06G01350.1 pep chromosome:ALNU02000000:6:952110:953605:-1 gene:OGLUM06G01350 transcript:OGLUM06G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGRNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVMTFSMKTFLLLLLARAAIWGVQNESNGLLRRPTCSLLFHPVRLPFLRDDAPISPQSRVLASPPSHARPERRQAAAPPRVGRGPGSRLAASPPSTASGRRPSVEVMHCIRPRRHGRAPASASRGGRATPSPLAASSSACHLSGHGGSDSPAFSGDSPRWLAATFGRARGGIGGAVSRQYVSTTGMRTGIFFSACGLN >OGLUM06G01350.2 pep chromosome:ALNU02000000:6:953111:953605:-1 gene:OGLUM06G01350 transcript:OGLUM06G01350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGRNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEDSEATFKQLKQQVWQRGEGQVEVMAWLHKSGMS >OGLUM06G01350.3 pep chromosome:ALNU02000000:6:952110:953605:-1 gene:OGLUM06G01350 transcript:OGLUM06G01350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIINPWLHEPTFLPTSGRNFLLFFKFGVIYIVYINAPQPYRIAARRLRDLSLMQHLVRGDCDRASYNILVDAYEAIWGVQNESNGLLRRPTCSLLFHPVRLPFLRDDAPISPQSRVLASPPSHARPERRQAAAPPRVGRGPGSRLAASPPSTASGRRPSVEVMHCIRPRRHGRAPASASRGGRATPSPLAASSSACHLSGHGGSDSPAFSGDSPRWLAATFGRARGGIGGAVSRQYVSTTGMRTGIFFSACGLN >OGLUM06G01350.4 pep chromosome:ALNU02000000:6:952110:952538:-1 gene:OGLUM06G01350 transcript:OGLUM06G01350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYRSASVEVMHCIRPRRHGRAPASASRGGRATPSPLAASSSACHLSGHGGSDSPAFSGDSPRWLAATFGRARGGIGGAVSRQYVSTTGMRTGIFFSACGLN >OGLUM06G01360.1 pep chromosome:ALNU02000000:6:953767:956233:-1 gene:OGLUM06G01360 transcript:OGLUM06G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSVAPHPPTHGATFLYATASVQHSNRHATPPPSPSPSWSFPSPPIPRLLAAVAAPTPHTPTPVSSTPPQCIADRAVLSLLPRDDGGAAADCKAPDVEDAASRATDVVDSNLK >OGLUM06G01370.1 pep chromosome:ALNU02000000:6:961762:962873:-1 gene:OGLUM06G01370 transcript:OGLUM06G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDDEAGRGTTVPFLVAVRFVLALAVGAITFVVIVMVVATVSRPEEIQLSIDRGYIPVLYDTAATVDVGVAVTSVAVTPAAAEPANMQVQLAVSLTASYSSRRGHQNMINCEDIAIGLVDMTLSPSSWASGQLPAEINDTIDTSHTEATTVDITNTTQSANKMARLYEEDVFKVLVMVHIITPSSTNSPPSPAPPPSRSRPNNDPAHTFNCWPITIGYGYRTLQETDDVGCKSIGSSEVPGIYDWAQATSVMRSFVP >OGLUM06G01380.1 pep chromosome:ALNU02000000:6:966345:966956:1 gene:OGLUM06G01380 transcript:OGLUM06G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIETLALLAVVCAVIVVLRPAHLVFAARVSGFSRGGGGGGEKRIRITIIANNTSKHARVQYRSMKTEVWVDDKQWVPVDFDGKTSAQFSGDPWWQPPDNSTLLTARVHVLEADEKKNQPPPPGKLAGDTSTTTAAGASPSPSDNKEYTVVIKTQVQFSYGPARTRFYNIIVTCPPSANANISSDYDTATYVYSSRNDRCT >OGLUM06G01390.1 pep chromosome:ALNU02000000:6:971914:974886:1 gene:OGLUM06G01390 transcript:OGLUM06G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSANGGDNGTQYCIDMILANYVQNLTSSYANKSNETSIVATSSVMFILASLFFILSLFSRVSDVSAVLNPTVRLFLSTSLSLFLPVMSYLFSEAKNDAAAGSSGQQTELSLRARTILMWMLLVELLRTKVETVLVINTGAKGYSSTIEQASRIAWQGYLIFFNLKSSGQKVVFGFLWLIAASQLFQRVTINEVLKSSYAYGKNAQRLHSYMAHILQHRRDDPDDVAVADHQGGGGGAQLLKNCEYAVMGEEELEMEAGPPEDCELTIQKIIISATATATTETDVITVGKIWSLAEVRDSPLQRDHRLKRLCLSFALHKLLRRRFENFRFTDAEVRDCRHLIFRDLCSDGTDREAIAVALFQVLRDEMHFVCEYYNSVLPVVLSSPFFLLANYLMSPILILAFFLLTFIACNNGDWPYAIYSITTDNLLLRTGINYTVRCLFHYIRTSPPVLYSTVDLAITLLLVLANIYEEIWEFIVCILSNWFMVSLIHLYARNPQRSRLSPTFKAIIRRIIWVRNLMSQPRLQFNQLSMLGGAHRTVATTLSKYCAYLVAFKPELLPSNLDGTQQMYGALKKELKATLGCWRYYFPKEIVGRRVAVEKLMQDESQGKLEGKMPLMCKCAKAGRILFEKATLVDNEAPVWEVLAHIWTELIVFIAPSGDDEVQVKAHRDALGQDAGEFISVLWALTTHTGVTRLCVKPWALIPVENLA >OGLUM06G01400.1 pep chromosome:ALNU02000000:6:975727:976110:1 gene:OGLUM06G01400 transcript:OGLUM06G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKTLEGKAPEEATPIEAAPKVALETTMISNKEVVADQAPEKVVEEATAMAELPPNDDAIVMQDKEEEVEEKIVEEEKPLAPAAEVNNTEVVEETIEVKNTKVDKGTTEVKNSEEEKPIQS >OGLUM06G01410.1 pep chromosome:ALNU02000000:6:988762:993743:-1 gene:OGLUM06G01410 transcript:OGLUM06G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSMLGSAISAAAAAAGEEMSLLMGVQKEIWFMNDELRTMQAFLIAAEAMKKKKDLLLNVWAEQVRSLSYDIEDCLDEFMVHVGNQSLLQQLINLKDRHRIAVKIRNLKSRVEEVSCRNTRYNSIKMDADNTFDDIDSMEDVRNHFPSNIDETKLVGFDTPQKELLDKINIDDEHCRVLCTVGMGGLGKTTLVRNIFESKEDFIKNFPRRAWIVVSQSFSKIEMLKDMISQLLGPDSLRECLKRLEGKARRVDDLGTYLRDRLKELRYFVVFDDLWNTHDWEWIRDFALPSTNHKGSRVIVTTRLDDVANACTTEPFVYHLNLLEKECAIDLLLRKIGKNKEDMKNDAKLQSIVTQLVKKCGCLPLAIVTIGAMFANKHSSKWEEMCKQLPSELESNPSLEAIRRVVTLSYDHLPSHLKPCFLYLSIFPEDFEIKRTQLVNRWIAEGLVRSRVGMTISDVGESYFDELISRSMIQPSRVDMEGRVKSCRVHDIMRDIIVSTSKKENFVYSTGDNIPTVVMEKFRHVSCHHGNYSIVGMDFSRVRSLTVFDGFDQGPMLFGSSICSAKFMMLRVLDLEKAILLVTQKDINNIVLLRHLRYLNMEFRYRASRSFVYELPRSLGKLRNLQVLIITGSDICTLPNDISKLLMLRILHCRKEWIYVDFNPRRPINCLMHTLCMPLMLTPLVGFEERKRNICELHRAYSSHWSETQGVRVPTGISKLKELQVLEVVDLKLTKTKAIEELGELHRLQKLSVSTKGAQDKKRKTLCEAIEKLSSLQSLCVDEYYYFEIGTLEWLGPCNFSPPPLLRKLKLIGRIRVMPDSFTNLKQLRKIYLKNSELDDSGIEILGTLPNLMLLNLYYKSYVGNELAFKKHEFPNLKELRIRELFKLGGIRFDKDALPHMERIEIVDCELRSGIVGIKHLQKIKEISLGERCEVAGLVLLEEEVKAHPNKPALQLHEDRSKINLGSPVVLTEDQGSSDEGKAKESIHDDAGESSGK >OGLUM06G01420.1 pep chromosome:ALNU02000000:6:999537:1002486:1 gene:OGLUM06G01420 transcript:OGLUM06G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRKWSLLSSTVLIWGGAATAGLAGVFLFNAKVYFPMRSLPLHVCLFLFSLDDNSYAVLEKFQKYLSGEGQRLRQQDRAAMGKN >OGLUM06G01430.1 pep chromosome:ALNU02000000:6:1004328:1005854:1 gene:OGLUM06G01430 transcript:OGLUM06G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESRYVKVDSRFFLVDDNSAAASFCAAGGGGGDGDYHYLDACFLCKRDITFNRHIFMYKGNAAFCSDDCRQDQMDMDSALAAVKRRHRTLQRSRDMSSSSSPAAAAAAQCAANEAGLFAVIPRRPTVADLTTHAAPAVSG >OGLUM06G01440.1 pep chromosome:ALNU02000000:6:1006476:1011253:1 gene:OGLUM06G01440 transcript:OGLUM06G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G16420) TAIR;Acc:AT5G16420] MAARRWAWGPPARAFSTTAKATVPLAHLAELPASLPTSRYTVTPPVQPWPRRLTARSLARLLLRLPTPHLAVLAFRHALFHAAPPLPPSLPVFAAVLSRLPAADPALLPPILSALRAANLPAFSDRPFLPLLRSLPPLPSLRLFLSLPSFNSHPSVRSFNALLHSLVSARRLRLAAALFRAAPTKLYITPNLVSCNILLKGLVGIGDLDAALKVLDEMPGLGITPDVVTYTTVLSAYCGKGDLEGAQKLFDDIIASGRRPDVTMYTVLIDGYCQCGKLQDAARIMDEMEAARVQPNEVTYSVVIEACCKEGKPIEARDFMREMLGAGYVPDTALGAKVVDVLCQDGKSEEACQLWRLMEKKNVPPDNMVTSTLIYWLCKNGMVREARNLFDELERGFKPSLLTYNSLISGLCENEELKEAGRVWDDLVERGYEPNAMTYEALIKGLCKTGKPNEGATVFEEMMTRGCSPSRLLFQALVDSLSEPRHEDTIGKILETAALCGRDFLDGDSWEIFIRKVDPNYSSLFDALECKQDQSDVS >OGLUM06G01450.1 pep chromosome:ALNU02000000:6:1008590:1012358:-1 gene:OGLUM06G01450 transcript:OGLUM06G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHQEDREEEEHSHGDGEITAPFLRPSTSRGSPELEEEEENSPIEQVALTVPVSDEPETPVLTFRMWVLGTASCAFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERRFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHISFFVSLLVVLTTQVICMIVLRLVFMSCNAIEVVDDQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEARSKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWICWVFPHSVLAQQLGSGLSGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFFFIMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVLLFHGSEIWQLSKSAFQEKRMDIHTKLMRRYKQVPEWWFVCILIANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPIGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPILVWFAHKAFPNQNWIMLINMPVLIGATGQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGVVVDGCPVYT >OGLUM06G01460.1 pep chromosome:ALNU02000000:6:1013689:1014229:1 gene:OGLUM06G01460 transcript:OGLUM06G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQMSKNHTMSPQETECSWDAGSGTGTLILSFNCSDSKKPRVILHHFMDCNPLINIKRPNGKENVLLTVS >OGLUM06G01470.1 pep chromosome:ALNU02000000:6:1015405:1018685:-1 gene:OGLUM06G01470 transcript:OGLUM06G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSGSSPENGEEENSPVEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAVGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGSEIWLLSKSAFQEKRMDIHTKLMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >OGLUM06G01470.2 pep chromosome:ALNU02000000:6:1015405:1018685:-1 gene:OGLUM06G01470 transcript:OGLUM06G01470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSGSSPENGEEENSPVEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQYHQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAVGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVHALLFHGRTMILVSSEIWLLSKSAFQEKRMDIHTKLMRRYKQVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >OGLUM06G01470.3 pep chromosome:ALNU02000000:6:1015405:1018685:-1 gene:OGLUM06G01470 transcript:OGLUM06G01470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHEASVQQAREEEEELDHHGDPMITSPLLRPSTSGSSPENGEEENSPVEQVALTVPVSDEPETPVLTFRMWVLGTASCAVLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAATLPEHAFFRGRPWEFTLNPGPFNVKEHVLITIFANSGAGTVYAIHVITGVRVFYGKTLSFFISLLVVLTTQMLGFGWAGIFRRYLVEPASMWWPSNLVQVSLFSALHEKEARRKGGLTRNQFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWVFPSSVLAQQLGSGLRGLGVGAVGLDWSSISSYLGSPLASPWFATVNVGVGFFIVMYIITPIAYWFNFYKAQNFPIFSDGLFTSTGQKYNVSSIVDSHFHFDTKAYEKNGPLYLSTSLLVTYGVGFATLAATIVPEWWFICILIANIGTTIFACEYYNEELQLPWWGVLFACSIAFFFTLPIGIIKATTNQTPGLNVITEYIIGYLYPGRPVANMCFKVYGYISMKQALAFLEDFKLGHYMKIPPRTMFMAQVVGTSIAAFVYIGTAWWLMETIPNICNTELLPSDSPWTCPGDHVFYDASVTWGLISPRRIFGDLGTYSALNWFFLCGAIAPLLVWFAHKTFPGQNWILLIKTPVLIGATFQMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLEDISLNWWGNDLDGCPLASCPTAKGIVVKGCAVYT >OGLUM06G01480.1 pep chromosome:ALNU02000000:6:1020541:1024905:1 gene:OGLUM06G01480 transcript:OGLUM06G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08510) TAIR;Acc:AT5G08510] MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFFRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFASSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYAKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMALAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVILSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >OGLUM06G01480.2 pep chromosome:ALNU02000000:6:1020541:1025843:1 gene:OGLUM06G01480 transcript:OGLUM06G01480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08510) TAIR;Acc:AT5G08510] MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFFRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFASSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYAKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMALAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVILSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >OGLUM06G01480.3 pep chromosome:ALNU02000000:6:1020541:1023385:1 gene:OGLUM06G01480 transcript:OGLUM06G01480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08510) TAIR;Acc:AT5G08510] MEEAKQLHARALRRGVRLLQPLLLRVLAAGDHRYAARLLESYPAPPSAPLHNRLLHALASLHRPHPLLLPFFFRLHRLRLLTPLSFTLLFSSSSSSASSSTPFFLCSHSLLIKLGHFASSDPFLSSALVSFYAKSKLLVEARKVFDELTCRDTAVYNALLSAYAKGGLVDSAEKLFEEMPERNVVSWTAMVSGYAQNGRHEEAVETFLEMWERAGVQPNELTVSSVLPACAAVGAMELGRKVEEYARGKGLLRNVYVANALLEMYSKCGSIRQAWQVFQGIGRQQDLCSWNSMIMALAVHGLWREALALFYKLRMAGVKPDGITFVGVILACTHGGLVNEGKLFFDSMEAEFGLKPRIEHYGCMVDLLGRAGLLIESYSLIASMPVEPDAVIWGALLGACSFHGNVELAELAMDKLIHLEPQNTANLVILSNIYASSGKWDGVARVWKLLKEKDHKKSAGYSFIELDGTMHKFLVEDKSHPRFEEVYNTLNSVTMTMKLVGLENLEELKG >OGLUM06G01490.1 pep chromosome:ALNU02000000:6:1026665:1029754:1 gene:OGLUM06G01490 transcript:OGLUM06G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGQPDAMRRITVHYVNPPPIAGAGEAHVDGLDDEVLDYVIGDVLQDQEGLYQSILYGKYGDDMRGARNTALAQSDGLHYYYHGENSSGEATTSRNSEIDQQIEYDLVFARQLQAMDNLTIETPADEDDDISCVPSPSDSETDEPAEGNNEEPATQDDNDDPDNMTYEQRQALVESVGNENRGLSDLLISYLETWKYKSGFFPRKANHDNCPICLSAFRRRETLITLACKHSYHEGCIARWLKIDKACPVCKYEVFGPS >OGLUM06G01500.1 pep chromosome:ALNU02000000:6:1032781:1037759:-1 gene:OGLUM06G01500 transcript:OGLUM06G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAPRSNLGLVPRDMHGSIPISTTNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNADSFMRLPASPMSFSSNNISGSSVIDGPIVQQSPPQEQMQKRRSSSVTSQPVIDAAGALHAQKKSRVDIRQDDILQHNLIQQLLQGQSSLHLQGQQNPQIQALIHQHKLAQIQQQQQHQMLQPFSQIQQSQVGIPRQPQLRPPLAQPGMQLAGPVRTPVENGLCSRRIHGAVTFVIHMGAKDMKSIYEHMHVIHEGQLRIIFTPELKIMSWEFCSRRHDEYITRRFLSPQVAHLLQVAQKYQTVATESGPAGVSNSDAQNICNMFVTASRQLAKNIDHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNKLGPIEGLKSYPKQTAAKLPVQNMHEPKQLMAAAGLPNDQTNLKAMGVKTEMNTHANETHGIGPIGNGPQNAAALNNYQNPIGNGPQNAAALNNYQNILRSSVANQSLLQQEASSMFKGPTAMHNGIQLEASRSFRGPNQVHLAQFQHPASFQQPMPQQSSLQGLGVSPQYQQHVLHQLLQEAKNTNNRVLAQQQQQQQLQHAPANSGLASGGTAITGSAASGDHMNNNGAVKGGTPMVTTGPSSVINNTASILPSRSNSFKSVSSNPQVAAAAGGGIGSGGHAATPKADALHELDDLDNLGNLISTELEESGLFLGDQAGGGYSWNM >OGLUM06G01510.1 pep chromosome:ALNU02000000:6:1041591:1044128:-1 gene:OGLUM06G01510 transcript:OGLUM06G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRMIPPALLLAAAVAAALATAVSGQGRPVTESGAQTAPTPSTFTPKDNFLIDCGSTSPVTTGGKVYKTDAQSNSLLSAKDAIKVATTDADVPSPLYLTARIFRDEAVYSFPLTVPGWHFVRLYLFPLKNSDFDLATATFTVSTDTNVLLHSFTAENKPVMKEFLVNATENHLAVKFYPLKGSAAFINAIEVVNAPDELITDTAMGIAPVGEMTGLAEAAYQVVYRINVGGPAIAPDKDTLGRQWDVDAPYVQSKEAVKDVSVPVGNIKFPDGTSKLVAPAQVYASCAKMADAGVGSPSFNMSWKMEVDPAFGYLVRLFFADIVSKSMNDLYFNVFVNGRKAISGLDLSTVTGELSAAYYKDIVVNSSIATDKLSIQVGPMGEDTGRVDALLSGVEVLKMSNSVGSLDGEFGVDGKKADDGSGSRKAVAAVGFAMMFGAFAGLGAMAVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGSSKSGYTFSSTLGLGRFFSFAEIQAATKNFEESAIIGVGGFGNVYIGEIDDGTKVAVKRGNPQSEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEYMHNGPFRDHIYGKDLPALTWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLETLCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLSKFAEAAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGADKPADHDGAGAAPATSSCSGVSTVPDVSTTAAGEMFAQLADMKGR >OGLUM06G01520.1 pep chromosome:ALNU02000000:6:1047501:1048558:-1 gene:OGLUM06G01520 transcript:OGLUM06G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKSKVRARGARARLRSRVHDPILAPPSPPRAAFYINRTCRCVLRRATAMADVLLGSERRVLISASALPPPETLLGRLDQLDLRLRQLEEQRRAKAGDGDGDGGPAAHHQHSRSLPAAALQHVQAKGSLMDRLNLLESRIRQLSCELDVAAAAGGSSVPAVARPAEDRAWSEPPLPEPCKHQAPVCAAAADGGGSWSGAHFLYKGARQLHRTKPNTSTMKNLKEAKCACEKEKRKAEERWKPARRRWFNVGC >OGLUM06G01530.1 pep chromosome:ALNU02000000:6:1055944:1058474:1 gene:OGLUM06G01530 transcript:OGLUM06G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVASNLIGVYIPLVHGVAVCSLINLTTIIFFSPLLFFLLSSSLLALHPSRLLLPPPQLRDFSFSKPPFFPPQALLCALLARLSRHWCASLSWLQRSDELCDSWGEEEEERRMLGASPKAKKGATVKFGSMKNPPPPPVVGAAAGAAAAAAGGKVPAEEVWEVRPGGMLVQKRGGGADEEPVNVKPVPTIRVKVKHAGITHEIYINSQASFGELKKMVAARTGLHPDDQKVMYKDKERDSKAFLDMAGVKDRSKLVVVEDPEARARRLIEERRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLKLDAVVADGDVKAQRRLQVKRVQKYVETLDAVMAKNAAIVRKSGEKLTSKQHHHPPARQQQQQPPLARQQQQQQQQAYQHHQQPAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPPLPPPANRLDWMLF >OGLUM06G01540.1 pep chromosome:ALNU02000000:6:1066537:1069783:1 gene:OGLUM06G01540 transcript:OGLUM06G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >OGLUM06G01540.2 pep chromosome:ALNU02000000:6:1066537:1069783:1 gene:OGLUM06G01540 transcript:OGLUM06G01540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLESARADLALLILYLNKAEARDKICRAIQYGSKFVSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNALLSTFLFLDQIVWAGRTGIYKNKERAEFLSKIAFYCFLGSNTCTSIIEVAELQRLSKSMKKLEKELKHQELLKNEQYQMKLQKCNERRLALIKSSLDIVVAIGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPAPAKSK >OGLUM06G01550.1 pep chromosome:ALNU02000000:6:1074070:1074784:1 gene:OGLUM06G01550 transcript:OGLUM06G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDEQEEYATVTSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEYQDDMAATPSSYDYAYYGNMDFDQPSYYYDGMGGGEYQSWQMDGDDDGGAGGYGGGDVTLWSY >OGLUM06G01560.1 pep chromosome:ALNU02000000:6:1077808:1087239:-1 gene:OGLUM06G01560 transcript:OGLUM06G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGGGGDAKYNSYKAAGLRGAILEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDMVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEASVHLVMELCAGGELFHRLEERGCFSEHEAAALFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSPSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLAGGYNEAADVWSAGVILYILLSGIPPFWGKTKSKIFECIRSTELRFPSDPWDKVSDSAKALITEMLRRDPRQRLTAKQVLEHSWIQDHADQSQDSCGHCHEINLRGEDPGSCSFSTPLASCSRDVSFNTGGPVACQSMSEEACSPTFACRSSFSAFVAENAPSCALSGFSFGGVCEPCNAVFPSPVASMPSFSFFCGQEPGEPESSPSGDALGEKAHCDATVVALVSSSAPRTAEVLRAAVRANPSRAIGMNSRRNHTIGASEREHLDVAVAESVIRWASCTNLSTTHSLRASLANGADLRGCTIRRCGREGYGVFSTAAEAGATDEVVMVVPLDLAITPMRVLQDPLVGPRCRALFEEGGVDDRLLVTLFLMVERLRPSSLWKPYLDMLPSTFGSSIWFTEDELAELEGTTLHRATVMQRKSLQTLFDNKVKGLVGELLNVDESGSSIEVRFEDFLWANSIFWTRALNIPLPRSYVFPESLDEKWANIGDDCGDSSLSAPQREEHRIGPFLALKIFHTNREILLLKAYSGLKGTGTAITAKNISGNDNPKSSNTESIWVEGLVPGIDFCNHNVKALATWEVDSMGHVTGCPSSMYLVLADKSFVKAETEICINYGNKGNEELLYLYGFVIDNNPDDYLMIHYPVEALRQVQSADIKMKLLEIQNAELRCLLPRSLLENGFFGSCSGENKENKNNTSPFSSYSWSGQRKVPSYIEKIVFSQEFISTLRTIALQEHELEHTTSLLGEIGSNEDREPSSDELRSAIWEPFSFARMTELEEGTGTEASDSQLLEKFDLSDSEDATRSDESNETKSKVNIRSCIVYRRGQKQLTKLFLREAEHLLELSSKEEN >OGLUM06G01570.1 pep chromosome:ALNU02000000:6:1092699:1093190:-1 gene:OGLUM06G01570 transcript:OGLUM06G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDGGGFDADAARDGDGDAESTRDDSSDADLVRGGGGGSVTTCGGNSGSVATCGDGGLMDAARGRGRRADVSRGGGGYAEAARGGGCNADAARGSSGRAVAAHGGGVGCAVAVRDGVSGADVSCNGGVDATRMISSSSTFSIELPRQHVLRHHLRIKSLVG >OGLUM06G01580.1 pep chromosome:ALNU02000000:6:1094599:1104103:1 gene:OGLUM06G01580 transcript:OGLUM06G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRYAAAADAARHHHHHHQQQLPLSAAPAAGMPAPPPSASQVAAAAAAGQPLKRPRPADFSDVPGAPEMAGYYSRDEERPGYRPARDTEALNASYERFLRTGQIQSYGAGAGAGPGAESIRPAAGGNAGYPVEDRPMMAGGGMEARNIGFGGGMPEPPLPPDASNTLFIEGIPTDCARREVSRILKSHAFFCLSLIFHLHKFCWSFTFPQDIFRPFVGFREVRLVSKEARHPGGDPILLCFVDFEIASQAAIAMDALQGYKFDEHDRNSPHLRLQFARFTGPRGVRSSMRLGSPEENSPVEQVALTVPVGDDPATPVLTFRIWVLGTASCVVLSFLNTFFWYRKEPLTVTAISAQIAVVPLGRLMAAALPERVFFRGRPWEFTLNPGPFNVKEHVLITIFANAGAGSVFAINVITAVRVFYGKRISFFVSLLVVLTSQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKERRSKGGMTRTRFFLVAFVCSFAYYIFPGYLFQMLTSLSWICWIFPKSVLAQQLGSGLHGLGIGAIGLDWSSISSYLGSPLASPWFATANIAAGFFIYIYVITPIAYWINLYKAQNFPIFSDGLFTVTGQKYNISTIIDSQFHFDTKAYEKNGPLYISTFFSISYGLGFACLTATVVHVLLFHGRYTDKFVTPVSSEIWQLSRSAFQDKKMDIHTKLMKRYKQVPEWWFISILIASVAITMFTCEYYIEQLQLPWWGVLLACALAIFFTLPIGIVTATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYIGPQQALAFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYLGTAWWLMDTIPNICNTELLPQGSPWTCPYDHLFYDASVIWGLIGPRRIFGDLGTYSAVNWFFLGGAIAPLLVWFAHKAFPGQKWILLVNMPVLIAGISQMPPATSVNYTAWIFVAFLSGYVVYKYRRDWWERHNYLLSGALDAGLAFMAVLLYLCLGLEKISLNWWGNDLDGCPLASCPIAEGITVQGCPVASA >OGLUM06G01590.1 pep chromosome:ALNU02000000:6:1095477:1105676:-1 gene:OGLUM06G01590 transcript:OGLUM06G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRPHEMQPIQPTHISKRPARSGPSSRTRGKPRQAPATSSVAAALHTPPAGALLRRAHPLPSAGSRLWFLNPRRRPSSANRLWLLFVPGGVRPRSLEMKKPAAMLAVANHGLASGLPRYDEMDDQSSPIAPMPRPWRPDPSCCASTDLGKIQQIQEREAKLHTNATKKNRPSFDYGEKGDLHEVGRPPHRRRLHQIPPEYSRPPESQHLQNEAQDKQDC >OGLUM06G01600.1 pep chromosome:ALNU02000000:6:1105688:1107502:-1 gene:OGLUM06G01600 transcript:OGLUM06G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT1G63100) TAIR;Acc:AT1G63100] MSTAQRFDILPCGFSKRGSRGDGAAPRVAGDARSGATTCSFRTHPAPPVTQSVSWGAKPEPGGNGNGAHRAVKRAHDEDAVEEYGPIVRAKRTRMGGDGDEVWFHQSIAGTMQATAAGEGEEAEEEKVFLVPSAAAFPHGMAAAGPSLAAAKKEEYSKSPSDSSSSSGTDGGSSAMMPPPQPPEFDARNGVPAPGQAEREALELVRALTACADSLSAGNHEAANYYLARLGEMASPAGPTPMHRVAAYFTEALALRVVRMWPHMFDIGPPRELTDDAFGGGDDDAMALRILNAITPIPRFLHFTLNERLLREFEGHERVHVIDFDIKQGLQWPGLLQSLAARAVPPAHVRITGVGESRQELQETGARLARVAAALGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAMHRLLRDDAALTDFLGLARSTGATILLLGEHEGGGLNSGRWEARFARALRYYAAAFDAVDAAGLPEASPARAKAEEMFAREIRNAVAFEGPERFERHESFAGWRRRMEDGGGFKNAGIGEREAMQGRMIARMFGPDKYTVQAHGGGGGGGGEALTLRWLDQPLYTVTAWTPAGDGAGGSTVSASTTASHSQQS >OGLUM06G01610.1 pep chromosome:ALNU02000000:6:1129121:1149902:1 gene:OGLUM06G01610 transcript:OGLUM06G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPHGADRYIDDIAAAAGITLGGGGAVRTALDTGCGVASWGAYLLSRDVLTMSFAPKDTHEAQVLFALERGVPAMLGIMATKRLPYPARAFDMAHCSRCLIPWSKYNGLYMIEVDRVLRPGGYWVLSGPPVNWERHFKGWKRTPEDLSSEQSAIEAIAKSLCWTKVQQMGDIAVWQKPINHVSCKASRNELGGLGFCNSNQDPDAGWYVNMEECITPLPEVSGPGDVAGGEVKRWPERLTSPPPRIAGGSLGSSVTVDTFIKDSEMWRRRVDRYKGVSGGLAEKGRYRNLLDMNAGLGGFAAALVDDPVWVMNVVPTAAVANTLGVIYERGLIGTYQDWCEATSTYPRTYDLIHAYSLFTMYKDRCEMEDILLEMDRVLRPEGTVIFRDDVDVLVKIKNIADGMRWESRIVDHEDGPMQREKILVSVKSYWTA >OGLUM06G01620.1 pep chromosome:ALNU02000000:6:1147659:1151513:-1 gene:OGLUM06G01620 transcript:OGLUM06G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >OGLUM06G01620.2 pep chromosome:ALNU02000000:6:1147659:1151513:-1 gene:OGLUM06G01620 transcript:OGLUM06G01620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQCAAYTAPANSQTTWTMRATFPEYVVALATIVGSVLFTEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >OGLUM06G01620.3 pep chromosome:ALNU02000000:6:1147659:1151513:-1 gene:OGLUM06G01620 transcript:OGLUM06G01620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNVALVIVAAVVSVLVLLVSVYLLINYQHPDDANQAYFPKLVVVLGITVALLSILMLPADVANRQACRRAIYSGACSLTLPMKTLWLAVYIADAVLVFLVIPFAMFYYEGDQDKSVGKRLTSALLWVAVSAVVCGLILGILYGLVGKVDFTVRHLSSAVETFPNSFTSFSTGQPCISTSPKQEATELGKKARELKKAAEALHQEERSGKKGRKWRKNVKALGKELVLLEDDMKALEEMYPQGEQAEATWALTVLGYIGKLLFGAVGLIISIAWVAHIVIYLLIDPPLSSFLNEIFVKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >OGLUM06G01630.1 pep chromosome:ALNU02000000:6:1151537:1151998:-1 gene:OGLUM06G01630 transcript:OGLUM06G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTTIIIIIINCLPATLQVCNSKQSRDHVLPGLEPGGPKPPKFPKPTQPNRPSRLLRHPARRRWPQRRRRPNPSRRAGAV >OGLUM06G01640.1 pep chromosome:ALNU02000000:6:1154018:1162790:-1 gene:OGLUM06G01640 transcript:OGLUM06G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSRILAAGHLLRGSRSRYDPSPVAAAAPIFRRPPTVPRPLPSPLLGGFGPNCWVYPGDGKYAPFGRLSCFMSDSTYPPPPRDVRGHAFSTSANAVAVGKSSDDKVKKDISKKDVDDQIADTQILKNLGKYLLLNDSPDFRFRLILSLGLLVGAKVINVQVPFLFKLAVDWLAALAGAETSLASFTEANATLLALFASPAAVLIGYGIARSGVSACTELRNAVFSKVTLRAIRSVSSTVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVEKYDKYLKKYEDAALKTQSSLAYLNFGQNIIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGRIEFENVHFGYVPERKILKGATFTVPAGKSVAIVGTSGSGKSTILRLLFRFFDSSSGSIRIDGQDIREVTLDSLRKCIGVVPQDTVLFNDTIKHNIQYGRLSATDEEVYDVARRAAIHDTIMNFPDKYNTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKTLSVDRTSIFIAHRLTTAMQCDEIIVLENGEVVEQGPHDFLLSKGGRYAELWSQQNNSDAIDAAAVCEIKTYFM >OGLUM06G01650.1 pep chromosome:ALNU02000000:6:1164636:1177105:1 gene:OGLUM06G01650 transcript:OGLUM06G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo sac development arrest 7 [Source:Projected from Arabidopsis thaliana (AT3G56990) TAIR;Acc:AT3G56990] MASNNGAGTLRSTSINGVKLYSITGNRYVAPWRRLDLIHDLRFETATTKIKVTPDEQYVIASGIYPPQVKVYELKELSMKFERHMISEIVDFQVLGDDYSKLAFLCADRSVCLHAKYGRHYSLRIPRMGRDMAYDCWSCDLLCAASSPDVYRINLEQGRFLASLSSQSPAINVVSRSNIHGLIACGGEDGAVECFDMRRKSSVGRINTAVSPEDFNQEVTSLQFDENQGYLMAVGSSTGKVAIYDLRMSSPLRVKDHMYGSPILSIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGTINDVCVFRNSGLMLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKTENIVYEDFKFLTKDEMDRYDLSKYIDQGLVRAHMHGYVMKLQLYKKLLATSAVDPENIQEKVKQKKIEEQRKSRITQVVKIPKVNRQIMDNILKEEEEMDADLENDEKSGIKKKKKKLEMNKALLTDPRFKEMFENKDFEIDEQSREYLALHPQTSLKEPRLIEEHFETVSDDEEQEDASSSDASAESDSDNGMQSSKRIRLYEVKDDRHAEAFLNSTSLANEDALPIGDRVAALERQRNSNALDEVKYGPGGSREISFIARGSRRRNEESDDEEPKDFKRRAVAEEEEEEEEEVVGEEEVVVVEEVEEEVVVVGEEAEAEAEGGAEAVDDEHLWARTVSLLGSKIPNPTVNFPDQIHAKTLSHLNPPLPWIIAAAAAAAAGISLTFPLVGPSFRASQSADPSVVAVVMLSLSRALGRRLFSSSSAAASDATAAAAAVVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMSQRQMLHSENMRFPNPERVSKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINAL >OGLUM06G01660.1 pep chromosome:ALNU02000000:6:1180598:1186248:1 gene:OGLUM06G01660 transcript:OGLUM06G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQTPLMQACRFGHWEVVQTLLVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLITIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEASNSGGSEKGYGSIDPDAGTVVPLYYAPPFAPSAILT >OGLUM06G01660.2 pep chromosome:ALNU02000000:6:1180598:1186248:1 gene:OGLUM06G01660 transcript:OGLUM06G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGCVGLGERLAAAAKDGDAAEAQRLLAANPGLARCTTFGNLNSPLHVAAAKGHHEIAALLLENGADVNARNIYGQVWRVENLSGRTALHMAAAGGHVKCVRLLVADAAGDRDGYVNKAANGGVTALHLAALHGHVECVHLLIDERASLAAQTLPCAAPPMASIGAGSTPLHYAACGGEVKCCQILVSRGADRTAINCNGWLPIDAARIWGCNWLEHVLSPKSHLPIPKFPPSGYLSQPLPSLITIAREQGLNLSSEVSDGFDEGADACAVCLERPCTVAAEGCDHELCVKCAMDLCSVIKSYDSAGIAGEIPCPLCRTGIASFRTTAAPPPPSLAGSPARRSRRNNSGGGGGEHEASNSGGSEKGYGSIDPDAGTVVPLYYAPPFAPSAILT >OGLUM06G01670.1 pep chromosome:ALNU02000000:6:1187051:1187737:-1 gene:OGLUM06G01670 transcript:OGLUM06G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMFRFMSKNGGGDGYGGGGGGGGGGVALEVTVLSAESLRLPPPSYYSLIPRRLRPYVTVSSAASACSTDVAAAASGEHSWNDTLVVPVGAEFLESRGGGGGGGLHVAVYSEPACRLVGGATPLGWCRIPAADVLDGLRPPRALRRLSYSLRCPRTGGPARGVVHLAVRVLGDLVPPPPPQHAPSTPPAQPGWCRVAMGIPVSGTSAAVVGMPAWAAWGGEAAASR >OGLUM06G01680.1 pep chromosome:ALNU02000000:6:1190136:1191314:1 gene:OGLUM06G01680 transcript:OGLUM06G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLLLPVPVPLSLLYAVAALALAAVAHLLHLPSLLLYALHTYIHPDAVPSSTPRAVLRPPGAAAGSGNPKQQRGGGGGGKAAASPFDEGSNSAQLYRLRLSHATLATRPRFADFHLALLLPLALLPPALLLPASAAGAAAPLAPLPPVVFLFVALLRLVMLPSPRPAYLAAALGALLVATLLSSSPFAGALASLAALPATRFARSFWLGTDQPRSGLAVLASSAPARLLLYLAVLVSSAASILQCCGFLDSPELEVKLLAAAAGLQLLASRAAVQMYLNEAVFCWYQRLHVSRSPDTEYGRAKVFLHNHHLCAVATQLVAPPLLVLSLLALWRVQGKDYFEGVEELNWLVGWSVAMKEAALLAARWIVAVWSTVTVGTLVFYKRGWLFVL >OGLUM06G01690.1 pep chromosome:ALNU02000000:6:1198361:1201352:1 gene:OGLUM06G01690 transcript:OGLUM06G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLRYLAGTAGASGFGSRATAEDATAACSDLRHITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAAEARARLLAECPAAAGDVVVMPLDLSSLASVRRFAARFLALGLPLNLLINNAGKFADRFALSDDGVEMTFATNYLGHFLLTKLLMEKMAETAAATGVEGRIVNVSSTIHSWFAGDDAVGYIDAVTRRKIPYDPTRAYALSKLANVLHTRALADRLKEMKANVTANCVHPGIVRTRLIRERDGLVTNTVFFLASKLLKTIPQYFADCNEASPSRLGSNADEAAKLWRFSDEVAAEEKEESVHAGSFRLQVQSSNADRGLAFA >OGLUM06G01700.1 pep chromosome:ALNU02000000:6:1203745:1205737:-1 gene:OGLUM06G01700 transcript:OGLUM06G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPRPKNEPISISPTKSLTLFPPPSTRQPHAPTSPSSSSLRRAVDLPPLPGRRRRGVLHAACCSCLLPRPPLFFKRWPSVGRAAREVDAASSASRRSVGDGAVDGYKFGDQTTSDVRVCFKWADDQAEWFCCHSSVLSGNSKYFADWLSRNDIGSNNCIEVDCISADYEHYVKVLKLIYLPAESIIDSFESVRSAVGVLRASTFLKCELITRSCIEYLEAASWDEKEEEEILEVAQSLGSEEAVALLARLQAPNVSAVKNVFISAIRFATSMESPSPPFLDDLKTSAQEQIDFMLHEDDDTALVTMDEDVRSVVREGLKKLFSTLKIGLDLLTSEYEQLPEQAEQRVLCSLADIDWMANVLTKIEMMNEFVSGWSEISGYVLSVVQDKKYSSGLWLVKAKLIEVTGKAFDAVGYGSVVFPASSRVHFLRMWLPFMQTTKRLLDEKSKDDAIPQMDADLFQNIEGAIVSLVLALPSGDQADILGEWMKNAEQFRYPDLTEAFEVWCYRSKTAKRRLVGGLNGSGNPTVSL >OGLUM06G01710.1 pep chromosome:ALNU02000000:6:1206936:1214621:-1 gene:OGLUM06G01710 transcript:OGLUM06G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQVEEHIRHIEMWGVPTIANWACLCERKLSHTRTNENSVRRAVRITDMGSKSVWLHSRRGAEADGLANTSKESC >OGLUM06G01710.2 pep chromosome:ALNU02000000:6:1206936:1210093:-1 gene:OGLUM06G01710 transcript:OGLUM06G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEVVVAAAAAAGGGAGAESGAEGSSSAGGSGGGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQIEMWGVPTIANWACLCERKLSHTRTNENSVRRAVRITDMGSKSVWLHSRRGAEADGLANTSKESC >OGLUM06G01710.3 pep chromosome:ALNU02000000:6:1206936:1210093:-1 gene:OGLUM06G01710 transcript:OGLUM06G01710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKEVVVAAAAAAGGGAGAESGAEGSSSAGGSGGGGELAEALARRRLYREVTLALRTGLRDAKADFSFLRARGLRSLLGFLRSTASATDDSQLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIFGVEPMKITSPPTDNEIALALRVLEGCCLLYSRCTALAHKYKAVKVILNILANRGPAEQGVCLDALISLMLDSPPNQMDFEEFSGLEKVAELLKDVQVEEHIRHIEMWGVPTIANWACLCERKLSHTRTNENSVRRAVRITDMGSKSVWLHSRRGAEADGLANTSKESC >OGLUM06G01710.4 pep chromosome:ALNU02000000:6:1210229:1214622:-1 gene:OGLUM06G01710 transcript:OGLUM06G01710.4 gene_biotype:protein_coding transcript_biotype:protein_coding SQIGAERLCSQPQPQPQVSSYHRCNTYAKIERTGGDEPEGGGGGGWRGRGCGIGSGGIFLGGRQRRRRLYRERTFKEFRKGNHSEERVWIGFWARRILTIFWTSAQLSTFWAWA >OGLUM06G01720.1 pep chromosome:ALNU02000000:6:1213960:1220276:1 gene:OGLUM06G01720 transcript:OGLUM06G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQVQQGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGALASRIEKLGKQRAILAEQPDISAIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLADLQERQGSYLSIYDQPSTALKDPIIDMINSSVDKLTRSTNFLRFLGQHALIVGEESPSTAEEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFRPLIFSSIVLFLGNVCYAMAYDMKSLTVLIIGRLLCGMGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLWISFKEPNRATGVNGTQQNPASVQRADIEQLENGLAQPLLRDSSKKDEDDDEEVDDSEEGTHDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFNWNTSAVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKITSTYSVVQYVVSALVTFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGIGKLLNVTLLPSLVICAASIASTFLTYNSLF >OGLUM06G01730.1 pep chromosome:ALNU02000000:6:1214780:1224229:-1 gene:OGLUM06G01730 transcript:OGLUM06G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLFGLMQAKCLTSDKMYSDYNDDHSDNIASTTTRRENTIAAMVEMHSCTAKYWGVHHTSIAK >OGLUM06G01740.1 pep chromosome:ALNU02000000:6:1224614:1225262:1 gene:OGLUM06G01740 transcript:OGLUM06G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAHAAAVVVGVLLYCCLCLFVGVVAGEHGGGGGDIKRQYKAMFSFGDSLTDTGNICVNMSAVNRTELTMAQPPYGITFFGHPTCRCSDGRLVVDFLAEGLGLPLLPPSKVIGGDFRRGANMAIVGGTALDFDFFESIGVGFPFWNYGSMNVQLRWFRDLLPSICATAAPQGMYVVSCV >OGLUM06G01750.1 pep chromosome:ALNU02000000:6:1244596:1247874:1 gene:OGLUM06G01750 transcript:OGLUM06G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFGFTIDQARNYTPKIVDQIASGVEKLIAMGAVDIIVPGVMPFGCFALYLTELKSSNKSDYDDYGCLKPLNELAIHHNSLLQTSLAAVQARHRRSPSSSPSSPSPAAAVRIMYADYYAVVAEMMQAPARLGFRSGIAACCGAGGGEYNWEYVARCGMRGAAACANPSSAVCWDGAHTTEAANRVIAGGWLRGPYCHPPILH >OGLUM06G01760.1 pep chromosome:ALNU02000000:6:1248442:1250911:-1 gene:OGLUM06G01760 transcript:OGLUM06G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 14 [Source:Projected from Arabidopsis thaliana (AT4G11980) TAIR;Acc:AT4G11980] MGRSGPRRIADGGGLDWTGPGWVRGISLLGEMAAAAARQCLLGFRRAAPPPLPLLSTRAPSPAPSRRRGARMASSGDHAPQLSTAVAVPGAGAPVRVVAAPGLTEADFTSAVESSLFRQWLKNLQEEKGVLTYGRLNLRQILIQGVDMFGKRVGFVKFKADIIDEETKAKIPGIVFARGPAVAVLILLESKGQTYAVLTEQVRVPVGKFILELPAGMLDDEKGDFVGTAVREVEEETGIKLNLEDMIDLTALLNPDTGCRMLPSPGGCDEEIGLFLYRGHADEDTLRALQGKETGLRDHGELIKLRVVPYSQLWRATADAKALSAIALYEMAKREGLLPSSPTTSRRRGSSSSANL >OGLUM06G01770.1 pep chromosome:ALNU02000000:6:1259180:1264926:1 gene:OGLUM06G01770 transcript:OGLUM06G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKGQRRREKNYRAAHGGESRLPPPPKQRELDALPSKLRRLIAIQEKHKGGEKGAVAGDSSGKQGESDAAKNKARKDKKTKKQNLEPTADSKAAEISGKDGPVGDENASVDENRRKRKRGKAVDLRFKELDEAVAVSKKQKRKKYLDEKKKKRKGDKMETLPEFPGREKVKFGEVVEAPPKLSFPKVKNALDATREMLRKEAIENYRNIKGWTSRPGLQLPTLAENKSL >OGLUM06G01780.1 pep chromosome:ALNU02000000:6:1261279:1264694:-1 gene:OGLUM06G01780 transcript:OGLUM06G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQLTSLAASAAVCLASALAIALLSIALYIIGVVASFAVLCAKEFAERAHDRPPLVGTVFRQLKNFDRMFDEHVNYATAHRTSRIVYPGHCEVFTSDPAVVEHVLKNSFSKYSKGDFLTTAMKDLFGDGIFATDGDMWRHQRKLASYEFSTKVLRDFSSDTFRRNAAKLAEKISCAAANRISINIQDLLMRATMDSIFKVGFGFELNTLSGSDESGIQFSKAFDEANSLVYYRFVDIMWKLKRYLNIGSEAKLKRNIQIIDSFVMKLIHQKREQMKIAADYKTKEDILSRFVLASEQDPGTMDDRYLRDIVLNFLIAGKDTTGNTLTWFFYLLCKNPIVQDKVALEIREFVEWSKEDNTIESFTKRLDEGAISKMHYLQATISETLRLYPAVPVDAKMADEDDVLPNGYRVVKGDGINYMIYAMGRMTYLWGEDAQEFRPERWLVNGVYQQESPFKFVSFNAGPRICLGKEFAHRQMKIMAATLIHFFKFRLEDESKEPIYKTMFTLHIDNGLHLLANPREISP >OGLUM06G01790.1 pep chromosome:ALNU02000000:6:1267101:1284809:1 gene:OGLUM06G01790 transcript:OGLUM06G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >OGLUM06G01790.2 pep chromosome:ALNU02000000:6:1267101:1274792:1 gene:OGLUM06G01790 transcript:OGLUM06G01790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAA-type ATPase family protein [Source:Projected from Arabidopsis thaliana (AT2G45500) TAIR;Acc:AT2G45500] MSFLRALADSLSSLLYSAAAKGGDMDGAAAAPSPAAVVGERVAVKLRGYFELAKEEIDKAVRAEEWGLPDDAAAHYRNALRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGAAAPVPKKVVTNNPVNRNDRAASTSFHRPTSQPSPTFNRGGQASSHQKSSSGGAKPVQRAGANYDDKLVEMINTTIVDRSPAVKWEDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAVDRQPSVIFMDEIDSVMSARLANENDASRRLKSEFLIQFDGVTSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKTQLKGQSFKLSSHDLERLAADTEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKKAMTVIRPSLQKSKWDELEKWNEEFGSS >OGLUM06G01800.1 pep chromosome:ALNU02000000:6:1269848:1287447:-1 gene:OGLUM06G01800 transcript:OGLUM06G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSRAPYGLSSKPSSRDEERKIMKAMRSQDEYSAMCTLRNAVALQQLYADASRTLICARSQVPSISNRPTHEKRNILIQVTWPTHQWSTDNWALTTGPTPQHKTGSSEPFTIATAIPQDYSNLRTFGSDSDATALASIVFPFPGGPKRRSPKGRNWA >OGLUM06G01810.1 pep chromosome:ALNU02000000:6:1287888:1294995:1 gene:OGLUM06G01810 transcript:OGLUM06G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPWLWWWVVVVVGVAVAEAASGGGGGGDGEGKALMGMKAGFGNAANALVDWDGGADHCAWRGVTCDNASFAVLALNLSNLNLGGEISPAIGEFKNLQFVDLKGNKLTGQIPDEIGDCISLKYLDLSGNLLYGDIPFSISKLKQLEELILKNNQLTGPIPSTLSQIPNLKTLDLAQNQLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPESIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNRLTGKIPDVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLYLHGNKLTGVIPPELGNMSKLSYLQLNDNELVGTIPAELGKLEELFELNLANNNLQGPIPANISSCTALNKFNVYGNKLNGSIPAGFQKLESLTYLNLSSNNFKGNIPSELGHIINLDTLDLSYNEFSGPVPATIGDLEHLLELNLSKNHLDGPVPAEFGNLRSVQVIDMSNNNLSGSLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLNTLNLSYNNLSGHVPMAKNFSKFPMESFLGNPLLHVYCQDSSCGHSHGQRVNISKTAIACIILGFIILLCVLLLAIYKTNQPQPLVKGSDKPVQGPPKLVVLQMDMAIHTYEDIMRLTENLSEKYIIGYGASSTVYKCELKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLNWDTRLRIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCVPSAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDSEVSVTCTDMGLVRKAFQLALLCTKRHPSDRPTMHEVARVLLSLLPASAMTTPKTVDYSRLLASTTAADMRGHDVTDIGDNSSSDEQWFVRFGEVISKHTM >OGLUM06G01820.1 pep chromosome:ALNU02000000:6:1295707:1296260:-1 gene:OGLUM06G01820 transcript:OGLUM06G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDLYRETNPDAAWDQNGSGISCQQKLAAAAAVAVAACWHVASTGAAWLKHGRDICSQICH >OGLUM06G01830.1 pep chromosome:ALNU02000000:6:1296287:1302541:-1 gene:OGLUM06G01830 transcript:OGLUM06G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDEDMRDVSSTSSSSCGGGEGAGFEEGMGEGVMVMEVRWFEVDLEYEFDAPRWFDLAEEESPVEAAAAQLWFASAPSYPPSPLIAKMLAEDLGLQSLRSTVDIDAAHCSKSSHECSNGAEQTIHRPHIPNEGRIPCHQVSANERKHGVRTIGKGTIPKGSTLMKPTASQLARQNRQIEVKNSTQSKKSVGVRSDRSTMSSNDCTYQAAKRQRLERGHLNKNVMTSSSDHAIAVTKLKITIPREPELATKLRAERSRILRAVPTNSKQLNKQVAQSISMTQASSIRKVVQPSGRNDHQHASVPHRGIGSNVPVCTANRPRHLDNICKTPDECRDDLFKFKARPVDKKILGSKGDIGVFQNAKRSTTVPKEFKLSTGRKGKQAPLSELFNKLTLTTEARRALDHRTADLPNYITTKIPFLHLNKGTDQGTVPAIPGNLPSPLPCS >OGLUM06G01840.1 pep chromosome:ALNU02000000:6:1312113:1316420:1 gene:OGLUM06G01840 transcript:OGLUM06G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGDGGAAKKKKKRSASAASERRPRADGGMRIVVPLQGVVQGRGGLVLGSLIPCALFYFFQLYIKRNRASPPPPPGSPTAASAAAVSPIHRSLSRGLLAPRAALPAISARGASVRDDDSLYYAGLRRCAADPYHPATNPSGIIQLGLAENYLSLDLVGRWMEEHAAEAASMAGGEDEDERELSIRGLAAYQPYDGILALKMALAGFMRQIMQGSVSFDPSQMVITSGATPAMEILSFCLADPGNAFLVPSPYYPGWDRDIKWRTGIELIPVPCRSTDNFNISITALEIAYNQAKKRGIKVRGVLISNPNNPTGSFVPKQTLHDLLEFAAEKNIHLISDEVFAGSTYGSGKFVSVAEVVDDLEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVAMLSDQKFISDYLKVNRERLRKMYHLFVDALDQVGIECYKSSGGFYCWADMSKFIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTTLSEHDIPVLVQRLRTITDSHKPNR >OGLUM06G01850.1 pep chromosome:ALNU02000000:6:1317318:1318279:1 gene:OGLUM06G01850 transcript:OGLUM06G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQPNPPNRLPQRLETSLRFSSPPKRSNPSPRRRRRHVGDAAHEPRGHQVRGVLRPGAADGGELPGAVRERLLRRHHLPPQHQGVHDPGRRPDGHGEGGHLDLGEEVRRRVQGVAQAQRPRGDVDGEQRAQHQREPVLHHLRQAASPQRPLHRVRQGHPWIRGARPHGEGADGARRPPPRRDQAQPRHHPRQPSRQLILSTPSSLKSLEF >OGLUM06G01860.1 pep chromosome:ALNU02000000:6:1319109:1321336:-1 gene:OGLUM06G01860 transcript:OGLUM06G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLGHRENGRQRPDQYKGLHTQWMMPQTQRHLKDHQSMNLLALMNDRDNAIRERDHALAEKKAAIAERDMAFTQRDAAMAERNAAVVERDNALAALELARTNGLNMNNGNGFPQGSLSGSKNIHHHDQLSHAQSSPLQLADSPYDHAREMHISEAYPISTAPGSAGKAKRPKKNSSQASPLKRPSGVLRKTKKPSGDWKNVGMSGCGDDSAHASVMKNEWKDQNLGLNQVAFDESTMPAPACSCTGKLRQCYKWGNGGWQSSCCTMNISMYPLPVMPNKRHARMGGRKMSGGAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >OGLUM06G01870.1 pep chromosome:ALNU02000000:6:1325161:1325973:-1 gene:OGLUM06G01870 transcript:OGLUM06G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATEEVAAAAAAAGEAPPPPPPAVVEEVKEAVEAPKPEEAPKAEEGEEKKAEGEKEEKAKKERKPRARKPRSAGPHHPPYFEMIKEAIMALDGNGKAGSSPYAIAKYMGEQHMGVLPANYRKVLAVQLRNFAAKGRLVKVKASFKLSAAEEKKATAAKAARSKAAKGVVGGAKRKRTPRPSAAAAKKPASSAEAKKAVPPARPARAKRARKAAPAKPMQPPKSIRSAISKKANKASA >OGLUM06G01880.1 pep chromosome:ALNU02000000:6:1327889:1328407:-1 gene:OGLUM06G01880 transcript:OGLUM06G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4I2] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM06G01890.1 pep chromosome:ALNU02000000:6:1330699:1336595:1 gene:OGLUM06G01890 transcript:OGLUM06G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTHLKQKRATRNLITLDKKLVSENSLVTLFMIDLMVYFLGTCCKGPNIMAIAGQESSQVELWDIDNAIKVMCLPETGSANLADHPTKQRGSSPQLLLRKKQELDDKLGAALDYAWLCKLSSLVVQLIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >OGLUM06G01890.2 pep chromosome:ALNU02000000:6:1330699:1336595:1 gene:OGLUM06G01890 transcript:OGLUM06G01890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRFRGMQIQFLGFFQEGPIYNQNKYIPFLQNHLKQKRATRNLITLDKKLVSENSLVTLFMIDLMVYFLGTCCKGPNIMAIAGQESSQVELWDIDNAIKVMCLPETGSANLADHPTKQRGSSPQLLLRKKQELDDKLGAALDYAWLCKLSSLVVQLIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >OGLUM06G01890.3 pep chromosome:ALNU02000000:6:1330699:1336595:1 gene:OGLUM06G01890 transcript:OGLUM06G01890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGPNIMAIAGQESSQVELWDIDNAIKVMCLPETGSANLADHPTKQRGSSPQLLLRKKQELDDKLGAALDYAWLCKLSSLVVQLIYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >OGLUM06G01890.4 pep chromosome:ALNU02000000:6:1330699:1336595:1 gene:OGLUM06G01890 transcript:OGLUM06G01890.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29860) TAIR;Acc:AT4G29860] MALAEAENPPRRRRPAPDPVAVLRGHRAAVNDVCFHPSLPLIFSGAADGELRVWDTASHRTVSSVWAHGGSAGVYSVAASTRLGNKIISQGRDGSCKCWEIEEAGLSRRALYTIRTSTYHFCKMSLVKSTCSTCCTQSGLISATGDIESQSTVTEERELGPNIMAIAGQESSQVELWDIDNAIKVMCLPETGSANLADHPTKQRGLCMAVQAFFPCGAAYVNILSSYEDGSTLLWDVRNPGLPVSSVKYHSESALSIAIDGLCNGGISGGADDKIVMFGLDHQKGAFILRKEIKLERPGIAGTAIRPDNKIAATAGWDHRIRVYSYSKGNALAVLKYHSASCNAVTFSSDSKLLASCSADTTVALWELYPPKTDSQVGLKTRDEISQ >OGLUM06G01900.1 pep chromosome:ALNU02000000:6:1339792:1339971:1 gene:OGLUM06G01900 transcript:OGLUM06G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAATAAVTVSAINVGDGEERPLCRNGSSCGGPTATHRPRWPWCQWPSESRMKRELIV >OGLUM06G01910.1 pep chromosome:ALNU02000000:6:1344562:1349156:-1 gene:OGLUM06G01910 transcript:OGLUM06G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4I8] MPALAVDAAAPVAHAFACDAARFPAPLLGPAAAAAAVAEKPDAAAWSADLSSALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRSGGGLGLPLPLLVRFPDVLRHRVEALNAAFDYAVRSTGYGGRYQGVYPVKCNQDRHVVEDIVEFGEPFRFGLEAGSKPELLLAMSCLAARGNPDALLICNGYKDDEYVSLALIARTMGLNTVIVLEQEEELDIVVDASRRLGVRPVVGMRAKLRTKHAGHFGSTSGEKGKFGLNAAQILSVVAKLKTLGMLDCLQLLHFHIGSQIPTTALLGDGVGEAAQIYCELARLGAAMRVIDVGGGLGIDYDGSHSAQTDMSVAYSLEEYAAAVVAAVGRVCDRKGVAHPIICSESGRALVSHHSVLVFEAFSASAPGRIDPATGYLLDELTDDCHADYRNLMAAAVRGDFDTCALYADQLKRRCADQFKDGVLGLEHLAAVDSLCEIVARGMGAAEPPRTYHINLSVFTSLPDMWAIGQMFPIIPIQRLGCMYPVWFV >OGLUM06G01920.1 pep chromosome:ALNU02000000:6:1356931:1357323:-1 gene:OGLUM06G01920 transcript:OGLUM06G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGAYQEALGGLHNLFGGPSVVRVSQSDGPHCFAVTRAAAGPSCADVLRSMQHEPEVMFEVLKQRTDGATAAALARAFGAMPYLSFDPEAAAMASGESSGMSSDSEGSAAGAAEEDDDEWEFMRGLTV >OGLUM06G01930.1 pep chromosome:ALNU02000000:6:1361849:1364554:1 gene:OGLUM06G01930 transcript:OGLUM06G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNTQGDHLRKIKIVAQNFDNDSKSYGRRMERNTQVAKFVEHVQYRFLSTRGRYVWFNISSQKLRIVQIYMQRTALFTNPFSKSFKQLDLSSHRMSHLQKADYSDSISSAANAYPQNQSASDLPHNLGGKESNNPSNPSSLTKLTRFSNDVTADGHPYDRRED >OGLUM06G01940.1 pep chromosome:ALNU02000000:6:1386667:1389365:1 gene:OGLUM06G01940 transcript:OGLUM06G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISNVVTNTCCASPTPLLRRRATAMALRVQLVLAVVAVVVPALGVVAAGGATLGVNYGQVADNLPPPQEAAMLLRALNATKVKLYDADARVLSAFAGSGADFTVGLPDRLVPRLAADPSAAAAWVRANILPHIPATSITAVTVGNEVLTGNDSAMLRSLLPAMQSLHAALAACNLTSRVAVTTAHSLAVLSSSFPPSSAAFRRELLPYMAPLLAFLAKTGSPFLINAYPYFAYKGDPEHVDLNYVLFEANAGVGDPATGLRYNNMLHAQVDAVRAAICRANYGKAVEIRVSETGWPSRGDDDEAGATPENAARYNGNLMRLVAQGKGTPAAPGEALQVYVFALFNEDMKPGPASERHYGLFKPDGTPAYDVGVKAPTIGGSWKGRANGTSGGGAGGLVVAEGPGGADGAGQGTGFYTVSAAAHKVKRWRCWESLFATVVLVMASGLCWS >OGLUM06G01950.1 pep chromosome:ALNU02000000:6:1413165:1415667:-1 gene:OGLUM06G01950 transcript:OGLUM06G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQERCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSSSNRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPSSASASVNLPSYYSSSSSSSSPMHGVAGDQGAQEEGWVICRVFKKKNLVHHGGGAAAASHHAAAKLAAAAMEGSPSNCSTVTVSDHVKAQMLHSSASDDALDHILQYMGRSGCKQETKPAAMSASSAAAAAALEQHLSTPQYGKFMKLPPLEHVAGGVGLLAAAGGGGEYCSAADASGIADWDTLDRLAASYELNGALSDVASGKNMAGFFDVVDQPAGAAAFSSGDGDLWSLARSVSSSLHADLTTMNNV >OGLUM06G01960.1 pep chromosome:ALNU02000000:6:1428707:1430524:1 gene:OGLUM06G01960 transcript:OGLUM06G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCAGLPGVAYEIMRANGLTRAWQSAKKGWGFSTCNPTEWASDSMASKWQLPSAQAYVQAHETVQFAALSSDQLQPTVQMQRMGKQACLANKCKSRQHHQGIRLRLITKVIHGEDACNLALAKQEHDGKGKREPIAGLTFVRVTNMTKPTGNS >OGLUM06G01970.1 pep chromosome:ALNU02000000:6:1430783:1434962:-1 gene:OGLUM06G01970 transcript:OGLUM06G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT5G42090) TAIR;Acc:AT5G42090] MAAASPLAAAAALALLLLLLAAPAAAEIRETVIRSDPRSIIPLDEFGFSHSGVLELNVSGIAFDPPASSELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQADLVKLAYSFDRLRPPSNPAGVEVARSSSFSTAFPVSEPGQYTLVFANCLGGGLKVSMDVRSAMYNVDPPTGERSYLSAGATALPTIFGFFGVAYAALAAGWIAILLRKRAAVFRIHYFMLAVLVLKAVNLLAEAEDKSYIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADREKKVLMVVIPLQVVANIAQVVIDESGPYARAWVTWKQVLLLVDVICCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALMTITSYRYQWTSYVAKELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKSLPNGGSTEL >OGLUM06G01980.1 pep chromosome:ALNU02000000:6:1439239:1440219:-1 gene:OGLUM06G01980 transcript:OGLUM06G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-protoporphyrin IX methyltransferase [Source:Projected from Arabidopsis thaliana (AT4G25080) TAIR;Acc:AT4G25080] MARAAVSTAPLSRVHSPPPLIPRHPHSHSRVGLLHPQRKALTTAAALPPAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATDGVNRVQLDIREGHARTVAATLSMLRDSPVPLAGATVCDAGCGTGSLAIPLASQGASVLASDISAAMVSEAQRQAEAAAMAASDTFRMPRFEVRDLESLEGKYDIVVCLDVLIHYPREEAKQMIRHLASLAEKRVLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHSERDIEDALRDAGWRVANRGFISTQFYFAKLFEAVPIAAASQ >OGLUM06G01990.1 pep chromosome:ALNU02000000:6:1442494:1447674:-1 gene:OGLUM06G01990 transcript:OGLUM06G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIATTACVWQQEKAAAMGAGAGDVAASWRVAAVALLGAVNCVVSFVVFSFLDLLDMVLCVVYKVVDYAVEAEWKACYCSAAARDGAAAAIFVPPASASAAPGPKVVRLSPSSAKMQLEDVSDTLYVRPSLLSDATKKSGPAAPSLTVSPAITELIRGKIGRAAPRPPRHAAPCWSDCDCKVCHSWSASSRSSHLYVHVQSPTTASGVETEDVVFVHGFISSSVFWTETVFPAFSEAAKGRYRMFAVDLLGFGRSPKPADSLYTLREHVEMIERSVLQRYRLRKFHVVAHSLGSVLALALAVKYPDAVQSLTLLAPPYFPVPEEEAGAATQYVMRRVAPRRVWPPIAFGASMACWMRTFLIEAFMCHTHNAAWHTLHNIICGSAGKMDSYLDVVAGQLACEVAVFHGRDDELLPVECTLAVGARVPRARVTVYDHKDHITIIVGQEKLFATELEDIWRRSAAAAAAGDGE >OGLUM06G02000.1 pep chromosome:ALNU02000000:6:1457974:1465912:-1 gene:OGLUM06G02000 transcript:OGLUM06G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGQ >OGLUM06G02000.2 pep chromosome:ALNU02000000:6:1457880:1465912:-1 gene:OGLUM06G02000 transcript:OGLUM06G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:damaged DNA binding;exodeoxyribonuclease IIIs [Source:Projected from Arabidopsis thaliana (AT4G17760) TAIR;Acc:AT4G17760] MSSSTSARDDEAPDLVCQIDCVHGMVDALSCVRWKRHQDAVLELSEHGIVLIVEESGCLQAKVYLKRELFMEYEYAAEGRPRFGLSLGLLVDCLNTFSAPGHSSPVEIRYPGPDMQLLLKSVGSPDSCMYAEIRTRIPDTISWDYHFEHAGNTPVTFTVKSAVLKESIEDLEWPGSSIQIQMQPDPPSVLFKGEGHGDLQIEFPYYANTDLLIAFQCDHETSYRYKYKFLRATTSNFPNSIVKENRGSKVTIGRGGMLKIQHLVSVARPGMQNFRNVAGGAQQPSRIAYIEFFVKPEEYEINDAGKRCVVS >OGLUM06G02010.1 pep chromosome:ALNU02000000:6:1467092:1467343:1 gene:OGLUM06G02010 transcript:OGLUM06G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSPVATAAHHHHVHAAGDGVVISPTASSATAIKHDLTDPYWLPPFQVGGSVGPAATKSTERMGRSSGRRSNSRSAPPRQS >OGLUM06G02020.1 pep chromosome:ALNU02000000:6:1469264:1474035:1 gene:OGLUM06G02020 transcript:OGLUM06G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4K1] MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSARATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTARMSDKFQAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMVRNCMNQDLSWKGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >OGLUM06G02020.2 pep chromosome:ALNU02000000:6:1470013:1474035:1 gene:OGLUM06G02020 transcript:OGLUM06G02020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4K1] MSALTTSQLATSATGFGIADRSAPSSLLRHGFQGLKPRSPAGGDATSLSVTTSARATPKQQRSVQRGSRRFPSVVVYATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVAEIKVADRYERVRFFHCYKRGVDRVFIDHPSFLEKVWGKTGEKIYGPDTGVDYKDNQMRFSLLCQAALEAPRILNLNNNPYFKGTYGEDVVFVCNDWHTGPLASYLKNNYQPNGIYRNAKVAFCIHNISYQGRFAFEDYPELNLSERFRSSFDFIDGYDTPVEGRKINWMKAGILEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYITAKYDATTARMSDKFQAIEAKALNKEALQAEAGLPVDRKIPLIAFIGRLEEQKGPDVMAAAIPELMQEDVQIVLLGTGKKKFEKLLKSMEEKYPGKVRAVVKFNAPLAHLIMAGADVLAVPSRFEPCGLIQLQGMRYGTPCACASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPSDVKKVAATLKRAIKVVGTPAYEEMVRNCMNQDLSWKGPAKNWENVLLGLGVAGSAPGIEGDEIAPLAKENVAAP >OGLUM06G02030.1 pep chromosome:ALNU02000000:6:1472925:1473785:-1 gene:OGLUM06G02030 transcript:OGLUM06G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTISSYAGVPTTLMARFRVAATFFTSLGSTTLHCQKNHITSNHAYVDAETAHVETSLAFDDRVHEPTGGRASTGLEKSTRKIIMHLHCIAQR >OGLUM06G02030.2 pep chromosome:ALNU02000000:6:1472651:1473785:-1 gene:OGLUM06G02030 transcript:OGLUM06G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLTISSYAGVPTTLMARFRVAATFFTSLGSTTLHCQKNHITSNHLDESTGLEAAGDGEHVGSGHDEMSKRRVELHHGPHLARILLLHALEQLLELLLSSTLKHTRIKIIHIICH >OGLUM06G02040.1 pep chromosome:ALNU02000000:6:1480064:1480360:1 gene:OGLUM06G02040 transcript:OGLUM06G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIAMSVVGAGPGNVFGPGMSAGALESFVPRRQAGATDNAAASAAAAAEGTGRGATRPAEQATAAAEGRRAGGDGVARFDPARDGLLYCFETISPH >OGLUM06G02050.1 pep chromosome:ALNU02000000:6:1480520:1481851:-1 gene:OGLUM06G02050 transcript:OGLUM06G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKNDEYSTRSAYQIQFLGGITDKRHRFINHLGGKGRGEMQIFHVAHCSKKNPNYGQK >OGLUM06G02060.1 pep chromosome:ALNU02000000:6:1483765:1484043:1 gene:OGLUM06G02060 transcript:OGLUM06G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLTSLVFCECEAPGMDVFAYAAGTSLTAAVNKVSTASPGGRVVGPAVSAAAPSSEAKKDAAGKAPRRLLQAAYSPAFDGLNSFETIVMH >OGLUM06G02070.1 pep chromosome:ALNU02000000:6:1486829:1487101:1 gene:OGLUM06G02070 transcript:OGLUM06G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKILAFSILSSSPAEIAAPGYYTRFSWRTTSAGKQQKAAAEKAPTRQQEGEKQQAGRSSPAAAERKPVARPRFAPEFDGINCFETIVPF >OGLUM06G02080.1 pep chromosome:ALNU02000000:6:1487936:1488208:-1 gene:OGLUM06G02080 transcript:OGLUM06G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVLAFSILSASPADIAAGGYWARLSWRRKADDQAVDGRRQPSEQQQRGEGSSPSQREERRRPREAPPLPPRFAPEFDGIDCFETIVMH >OGLUM06G02090.1 pep chromosome:ALNU02000000:6:1489150:1489560:-1 gene:OGLUM06G02090 transcript:OGLUM06G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLGDVLAPLPIALNLEYAATIAMPPDPALLGRRYHLRRRAATRQDDEEEGHGDAGTDQHPCRRLVQRSDADPISPSAGLAIVDSSTAGTASAPAGRRHTAAHAPPPAEGEEGERKREKGEREEEGGLTCGSHS >OGLUM06G02100.1 pep chromosome:ALNU02000000:6:1489945:1491138:-1 gene:OGLUM06G02100 transcript:OGLUM06G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRRLAIVGGRGERRRRRPNMLLVILALAVTGAVSDGSRVTGPHLHQLHRRRGTCPAAAPSTCAVHHRRGGRGSSSPLSRGSSWIVRTGGSRRRGVSENGSGTMATTEEEAGSRRDLGGSSAMVPQFQRFSEVERVSCERIASFLTCADSRSTGNHVEEIDRRGGFGEEWFLVAIELRLCYVAELGEGVRRVVRRVVAFSRGEAVGEGDGDDVDDVEGGEGEGAPDCHLAGGEDDGHSGEGDEEERHVAVEVHLVNGDPARAESSEKPAARTTATATRETRRKDASR >OGLUM06G02110.1 pep chromosome:ALNU02000000:6:1494659:1495711:1 gene:OGLUM06G02110 transcript:OGLUM06G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 3 [Source:Projected from Arabidopsis thaliana (AT5G51550) TAIR;Acc:AT5G51550] MAMAMAMHRFSLCCVVLLVLAPLAAVAWRPWPPRNGSGEVEGIGASKKFEGSSDFVKLQYHMGPVLAADITVHPIWYGRWPAEQKRTIRAFLRSLSPPGSGSGDGGIPSPSVAAWWRTVRLYTDQTSANVSGVVRLGREKCDARASRGGRLTRLDIQSVVRDAVTARTRPLPVDSSGVYLVLTSPEVVVENFCGQVCGFHYFTFPSVVGYTLPYAWVGNSAARCPEVCAYPFAIPSYVGGGRRAEAPPNGDVGVDGMVSVIAHELAELASNPLANAWYAGEDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDGRSGASYNVNGVGGRKFLVQWVWNPILSYCSGPNALDQ >OGLUM06G02120.1 pep chromosome:ALNU02000000:6:1506018:1514029:-1 gene:OGLUM06G02120 transcript:OGLUM06G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLCPKLGIMKGEGCLHWSKCMWLEKKLKQDRQAIHIVFFFKKAAEDDEAREEAYCGGSGGDGARRCRRRELRWQRKRARAGDLTGEKAASLRALLRRRWLLIAPPWGAAVGRNITALHRCPVSTMD >OGLUM06G02130.1 pep chromosome:ALNU02000000:6:1509260:1512238:1 gene:OGLUM06G02130 transcript:OGLUM06G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRRRRSARRLAAFSPVRSPARALFLCQRSSRRRQRRAPSPPLPPHLGTSTAADPSYAQELQEPDALLSGELLSSTALKELITASTISSPQTVRRDGIDASTPSGSSPGSSILVRRPPGWYFVFYIRMDPGGRLHMYPDVGNGPYRSLPEVDDAINQHLHNLRIPEMGEELDRLPLIESMIRQDMYWPDGKRKHFNLVGGYEKDNRCLVQALVEKYNDDNNLLGDFAYELKEFLQIGVMYEDQRYYYHINFTTKIKGAHKSGCAMDNLFFAELSHMQGKDEWVVSCCCVIKPTANGHCYGCRNNGKSGLKHPNNSDAYSGGHLDGCLPFGLNDSRSKYDGLNPEDEEAMLRSMYKGMDEPSYLEGLFA >OGLUM06G02140.1 pep chromosome:ALNU02000000:6:1514094:1517159:-1 gene:OGLUM06G02140 transcript:OGLUM06G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHSVAAAHATIAARAGAAAPAPAPPERLGFRLSALAGRGLRSPLPPRRGAPSASASRRRHNNRVRAAAVETLEGQAATGALLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDAVLEEDLKQFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYCILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHTIWVKNGNDGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKANSYSVHGSALGTKEVEATRENLGWPYEPFFVPEDVKSHWSRHVPQGAAFEADWNAKFAEYEKKYPEDAATLKSIVSGELPAGWADALPKYTPESPADATRNLSQQCLNALAKVVPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKIAVLNRKRPSVLALSRQKLAQLPGTSIEGVEKGGYIVSDNSTGNKPDFIVMSTGSELEIVAKAADELRKEGKTVRVVSFVCWELFDEQSAEYKESVLPEAVTARVSLEAGSTLGWQKYVGSKGKAIGIDKFGASAPAGKIYQEYGITAENVIATAKSL >OGLUM06G02150.1 pep chromosome:ALNU02000000:6:1520989:1527175:1 gene:OGLUM06G02150 transcript:OGLUM06G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4L5] MAATMASNAAAAAVAAVSLDRASAAFSSRKQLRLPAAARGGMRVQARARGRREVVVASSSSVAAPAAKAEEIVLQPIREISGAVQLPGSKSLSNRILLLSALSEGTTVVDNLLNSEDVHYMLEALKALGLSVEADKVAKRAVVVGCGGKFPVEMDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVEMTLRLMERFGVKAEHSDSWDRFYIKGGQKYKSPGNAYVEGDASSASYFLAGAAITGGTVTVQGCGTTSLQGDVKFAEVLEMMGAKVTWTDTSVTVTGPPREPYGKKHLKAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNITAIDTYDDHRMAMAFSLAACADVPVTIRDPGCTRKTFPNYFDVLSTFVRN >OGLUM06G02160.1 pep chromosome:ALNU02000000:6:1525385:1527039:-1 gene:OGLUM06G02160 transcript:OGLUM06G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADVAYAPPMKSGKIGFESSQEVQHRIRITLSSKSVKNLEKVCGDLVKGAKDKSLKVKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRVHKRVIDLVSSADVVKQITSITIEPGVEVEVTISDQ >OGLUM06G02170.1 pep chromosome:ALNU02000000:6:1528001:1530430:-1 gene:OGLUM06G02170 transcript:OGLUM06G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAASPLRAALLLFLSSSPSPRLALPMNPSSSSRGGAAYHSKAAAFASPQPRGGGGGRRGGGGRGEGGRGGDGSDRIDALGRLLTRILRHMASELNLEMRTDGYVRVRDLLKLNLQTFAKIPLKSHTVEEIREAVRRDNKQRFGLLEEDGELLIRANQGHTVTTVTSESLLKPILSTDEVSVCVHGTYRKNLDSILHQGLKRMARLHVHFSSGLPTDGGVISGMRQSVNILIYLDVSKALQDGMKLYISDNKVILTEGFDGVVPVKYFEKIETWPGRAPIPFQK >OGLUM06G02180.1 pep chromosome:ALNU02000000:6:1532273:1534882:-1 gene:OGLUM06G02180 transcript:OGLUM06G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGELGTEPGGGRGESRMHVALRVLQEIGIAAEDPGLEGMRYKIYNWKMINKEDVKFKEIAEEIGIKARVMAAGFKISSNFRTTKPGEIYSYEPNKREKNEDGVTRSHCVLVVGFGRREGQEYLVFQNSAGIEFGEEGFGRVYLKDVLRMATLKVI >OGLUM06G02190.1 pep chromosome:ALNU02000000:6:1537860:1543485:1 gene:OGLUM06G02190 transcript:OGLUM06G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKQWCPSDEKGKDKISSFRFRWPPTRTHTTQATENIYAKTTSKFLKSISPIRRSPRRRTAGGGGSGTACLLLRMEKNKYIGGGYLLSEEEALGGGQLIDEAKEMARKKDLELEAECFVSASTSRMLLVEVFSGELVHMDGELALAVALNNVNYFIIGSGFRGLGNNIASSVS >OGLUM06G02190.2 pep chromosome:ALNU02000000:6:1537860:1542334:1 gene:OGLUM06G02190 transcript:OGLUM06G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKQWCPSDEKGKDKISSFRFRWPPTRTHTTQATENIYAKTTSKFLKSISPIRRSPRRRTAGGGGSGTACLLLRMEKNKYIGGGYLLSEEEALGGGQLIDEAKEMARKKDLELEAECFVSASTSRMLLVEVFSGELVHMDGELALAVALNNVLSWAVGLCPNLITAAFSCFCMYKKKKECAMRLARRQLAVSNIFSRQISFCFPFVTCYVKVIWFL >OGLUM06G02200.1 pep chromosome:ALNU02000000:6:1544055:1547866:-1 gene:OGLUM06G02200 transcript:OGLUM06G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPLHVELLFMDRQILVSRNSRGYFALGYSLPGEPFPSLHELLGAIYDHYHPQRESRDPAPPPLLPRCEEHVEGNAQLSSEQTLEELEVATMEESPEPTTLGDPFTATTSSLPSAPHGTSEVSPSSRLRHHTSPESTIWTRDPADWPWIYHIRMDRGGSFHTYPALDGPFVNLYEAEDAINRHLESLKCPMFKEQDGVSPVERMIQKSLYWPDGTRKKYSRSQASQNVDKRRRQMVQVLLDKYNDDHDLVEDLAYELQDVVHYQLIVEGIKWFNHFNFTAKTSGADIDNLFFAEVMSSQGEEDWVVTCCCLIKSADNGICYGCKNDRNLDMKHPDDSDTYVGGHKDIVMPFETENWTESESDDDEDEEEEVKASRIRRMIEGLDDSDEPEDIFDPVFKP >OGLUM06G02210.1 pep chromosome:ALNU02000000:6:1550130:1564760:-1 gene:OGLUM06G02210 transcript:OGLUM06G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRVPCADAVFRPRFTDEDARACSDSRGHFASGRDPAPPPLPAQCEDHVGGTSGLADVSSLGEFPGATTLKESTASGPISSLQAVPDDTIDAPRSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFTEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMFQSAPPPAGDAPTAGGDDPILPWPLHQGAARPGEHGGSGTRVRVRMWCSDPSSRMRPYKCAAILVGISPQDAIQPRRRCRHSVRVMWEVHLVLDLHMHCKSQIPSSFPQAVSKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIRMDRSGSFHTYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYVDRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKVILDMYNDDQNFSEDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRDHLFFAEAMSLEGQKDYVVTCCSLISSNDNGNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQVYGKSFLVEVIMGRAQFWRGGFRPNSHLCWPLRLAPFRFQQALVPATPPSAGDAPAAGRRPEAPSPSMAPPPRTACAGVVFRSPFTDEEARVYSDSRGYFASGRDVHVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRVPLPPHRQYQKTTLMLYHQGAAFPHPLGQSFGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPALKFEERDGESIVDMMVHKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLYNCKLQNVNLKHPNDTNQYVGGHEYLCGIYDTEEMSESEDAYAMLHCQWHTDMLLLLLSQEEKEEQRIRKFYEDLEEPGLHEKLFGDVEESASKQAATPHFFRKTVGRWR >OGLUM06G02210.2 pep chromosome:ALNU02000000:6:1550130:1564760:-1 gene:OGLUM06G02210 transcript:OGLUM06G02210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRVPCADAVFRPRFTDEDARACSDSRGHFASGRDPAPPPLPAQCEDHVGGTSGLADVSSLGEFPGATTLKESTASGPISSLQAVPDDTIDAPRSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFTEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMFQSAPPPAGDAPTAGGDDPILPWPLHQGAARPGEHGGSGTRVRVRMWCSDPSSRMRPYKCAAILVGISPQDAIQPRRRCRHSVRVMWEVHLVLDLHMHCKSQIPSSFPQAVSKDTINSSPSVRCLSSPGSIIWVREPPEDRLGVYHIRMDRSGSFHTYPDLGGPFQSLNEAQDAISSHLNRIYPPVKFQERPGESYVDRMIREKLYWPDGTRKKCSKAQAFENVKNMMNQLAKVILDMYNDDQNFSEDLSYELKEVVSFEPIFESHRWFDHINFTANTKGSKGLDRDHLFFAEAMSLEGQKDYVVTCCSLISSNDNGNCYTCKFGNRSMKHPNDVNSYVGGHCYITGIYDTEVSSDSEEDEDAEEQRLRKMYQVYGKSFLVEVIMGRAQFWRGGFRPNSHLCWPLRLAPFRFQQALVPATPPSAGDAPAAGRRPEAPSPSMAPPPRTACAGVVFRSPFTDEEARVYSDSRGYFASGRDVHVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRVPLPPHRQYQKTTLMLYHQGAAFPHPLGQSFGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPALKFEERDGESIVDMMVHKILYFPDGTRRRYSKSQVTQDVHNDMRQLARGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLYNCKLQNVNLKHPNDTNQYVGGHEYLCGIYDTEEMSESEDEEKEEQRIRKFYEDLEEPGLHEKLFGDVEESASKQAATPHFFRKTVGRWR >OGLUM06G02210.3 pep chromosome:ALNU02000000:6:1550130:1564760:-1 gene:OGLUM06G02210 transcript:OGLUM06G02210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRVPCADAVFRPRFTDEDARACSDSRGHFASGRDPAPPPLPAQCEDHVGGTSGLADVSSLGEFPGATTLKESTASGPISSLQAVPDDTIDAPRSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFTEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMFQDEDAEEQRLRKMYQVYGKSFLVEVIMGRAQFWRGGFRPNSHLCWPLRLAPFRFQQALVPATPPSAGDAPAAGRRPEAPSPSMAPPPRTACAGVVFRSPFTDEEARVYSDSRGYFASGRDVHVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRVPLPPHRQYQKTTLMLYHQGAAFPHPLGQSFGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPALKFEERDGESIVDMMVHKILYFPDGTRRRYSKSQVTQDVHNDMRQLARVILDMYNDDHSLSGGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLDKRIILSLVAAHLNLMTMYNCKLQNVNLKHPNDTNQYVGGHEYLCGIYDTEEMSESEDEEKEEQRIRKFYEDLEEPGLHEKLFGDVEESASKQAATPHFFRKTVGRWR >OGLUM06G02210.4 pep chromosome:ALNU02000000:6:1550130:1564760:-1 gene:OGLUM06G02210 transcript:OGLUM06G02210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRVPCADAVFRPRFTDEDARACSDSRGHFASGRDPAPPPLPAQCEDHVGGTSGLADVSSLGEFPGATTLKESTASGPISSLQAVPDDTIDAPRSGHCLSSSLGSIIWVQKPPHGRPGVYHIRKDRLGSFHTYPDLGGPFQSLNEAEDVISSHINKLYPPVKFEERAGESYVDMIIRKALYWPDGTRKKCSKAEAFQNVNNNMNQLAKVILDMYNDDHNLLEDHAFELKGVINYEPIIESRRWFDHINFTATTKGLNGLDSDHLFFTEAMSLKGEKDYVVTCCSLISSDDNGNCYTCKIGNKSMKHPSDVNSYVGGHCYIKGIYDTIVSSDSEEDEDAEEQRLRKMYQVYGKSFLVEVIMGRAQFWRGGFRPNSHLCWPLRLAPFRFQQALVPATPPSAGDAPAAGRRPEAPSPSMAPPPRTACAGVVFRSPFTDEEARVYSDSRGYFASGRDVHVGPFPTSDELYAALDHHYHLRSKPCDPAPLPTQCEGLLRVPLPPHRQYQKTTLMLYHQGAAFPHPLGQSFGLFHTYPDMGGPFLSLNEAQDAFTSHLNILYPALKFEERDGESIVDMMVHKILYFPDGTRRRYSKSQVTQDVHNDMRQLARVILDMYNDDHSLSGGLAYELKDVIHFQPIMESCTWFDHLNFTALTKGDNELDYQIHLFFAELMCLDKRIILSLVAAHLNLMTMYNCKLQNVNLKHPNDTNQYVGGHEYLCGIYDTEEMSESEDEEKEEQRIRKFYEDLEEPGLHEKLFGDVEESASKQAATPHFFRKTVGRWR >OGLUM06G02220.1 pep chromosome:ALNU02000000:6:1566433:1570187:1 gene:OGLUM06G02220 transcript:OGLUM06G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQLLLLLLLAVLAAASSRNDEEARALMALKESLDPAGRVLGSWGRSGEPCGGSFVGVTCDSGGRVTAISLQGRGLSGTLPPAIAGLRRLTGLYLHYNGIKGAIPREIGSLSELTDLYLDVNHLTGPLPVEIAAMENLQVLQLGYNQLTGSIPPQLGKLNKLAVLALQSNQLTGAIPATLGDLTLLARLDLSFNSLFGSIPSKIAEVPLLEVFDVRNNSLSGSVPAGLRRLNGGFQYVNNKGLCGVGFSLLDLCLSSEDGLKPSKPEPFGPDGTVKTRQVPQSANTDNHCEGSGCSKSSNASVGVLVVGVVAVVIGAAFCGIFAFSYYRRQKQKIGSSLEVSDSRLSTDHYQQKEVCRRSASPLISVEYSNGWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSEVNLLGKSGFAATYKGILRDESVVAVKSLNKTSCKQEESDFLRGLKMLTVLRHENLVGLRGFCCSRGRGECFLVYDYMVNGCLSRYLDVKEDSGANVLDWPTRVSIIRGIAKGVEYMHSKKTNKPSVVHQNISAEKILLDHHLTPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTEKSDVFAFGIVVLQVITGRRAVSQLKVSTVANDLDSLIDENLNGVFSRTEAAKLAAIAALCTSETASQRPTMEAVVQQLSNCH >OGLUM06G02230.1 pep chromosome:ALNU02000000:6:1574581:1577505:-1 gene:OGLUM06G02230 transcript:OGLUM06G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage T-protein family [Source:Projected from Arabidopsis thaliana (AT4G12130) TAIR;Acc:AT4G12130] MTPLARRLLHASSPAAAAAAGGEPGVLACRLASRAVVRFAGPEAGRFLRSLLTNDLLLSSSSQQRYAPTPNAPARAPPPAYAALLTPQGRFLYDLFLYRPPPPSQLLDRTGSAPLTGERPKGNQEDEGEDEPGEVLADVDAAEVDELLACFKRYRLRSKVEIDNVSKEFLCWQRFGRNVEHTGPSTQEPEAQSIGWGQGVDHAAESAAQGNGHGWEWFKDPRLDCLGYRGIFPANTIPPLVESDKEADECHYLLWRIENGVAEGSTEIPKGEAIPLEYNFAGLNAISFEKGCYIGQELIARTHHRGVIRKRLMPLIFEDENGQELKQAVAPGSEVVDKESGKKIGTVNTALGSRGMGLLRLEEALKQNSSLAIKDNRDVRVKAIKPDWWPVEWTQMLEQQSAVA >OGLUM06G02240.1 pep chromosome:ALNU02000000:6:1578584:1581957:-1 gene:OGLUM06G02240 transcript:OGLUM06G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKSRRVEARREASFVFVFLPPRHVTLPTPRIKAAPHHHQTRTNPPPPRPRRLIPTRLPPPARAQFPPTHPACAPASRRFRGRNGRDDFFGGRDPFAGFGGFGRQRSLISGVFGGRDPFDDPFFNQPFGSGMHGPSLFGPMGGPFGDIRNDGFLEQAPPRGNGRKPIITELDEEEGENSGRQRQANREPYVQEPDDEMQGGQLQPRRDFNRANEGQPQARTFTYQSSSVTYGGVNGAYYTASKTRRTGSDGITVEESKEADTTTKEATHRISRGIHDKGHSLTRKLKSDGNVDTTQILHNLHEDELAGFEESWKGNARHHLAGLNQNAGTSNNNEPGNRGTSGRGRQSAWGWALPGREQGRDQRRNGERPKSRVIPIS >OGLUM06G02250.1 pep chromosome:ALNU02000000:6:1582303:1582894:1 gene:OGLUM06G02250 transcript:OGLUM06G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFLFCVLTASVSVLKACAYAAMAALVPSKIRSKTRSSMVAKLQRKRVGGRAVEERHGWSRAENGELDVPAAEPGLNEDAANNLDGGESLGGDGLVVEVEGVRVVVLVGLGALDGSHEGLGDGGLVGVGGGRGGGGGDRGEPIEGNDGAKVEGLELDAWGRCCAGEGVAKEGRCDRPRREEMRWKKVF >OGLUM06G02260.1 pep chromosome:ALNU02000000:6:1586110:1591642:-1 gene:OGLUM06G02260 transcript:OGLUM06G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTSRRLAAPSLFLVLYDDADGGRPTTTLYDVANGVHRPCDVDDELLRTKRSWATSHGSWVLTWDPATLATFLWNPQAAAAAGEVTSVALPSFGQAPPAIKACCAISTGEPTGAGGGFTVVMIERGSNVLWYCHAGGGAASGVGVVGEARRTICSFTPCGGKLYYLIKPGGSSYSVLEFSPEHHRPVFTAVRVRPTHLLATADLLVYSVFPVDVNGELHLVFIFRGENCNTVADVAVYRVDMERRKHVRIGSIGDRAILVGGRRNDMGAAGWCRARRHGLLPNSIYWMDWDGRLRL >OGLUM06G02270.1 pep chromosome:ALNU02000000:6:1593657:1594544:1 gene:OGLUM06G02270 transcript:OGLUM06G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTPPPLPCLVVDNGDTAATLYGVSDGEHRPCEAEELRRNRCWATSHGWVLCCNPATLSTFLWNPTGVDDDGGGGKITLPPFTQPPPPPNSQCALSREPTDAGAGRFTVVLVEPSGSYVLWYCHVVVGGGGSSSSSSPSPAAAGWMRHEYDVGGTNVRVVGGHRFVRRSVAGLTACRGRFYYFHTAADYGVLDFSPAPVFGTVPMAAVDMAEKVTAGEAMAKASVHTLEIGGELYMAYIFFHSDDGSRVVDVGVYRMDFRRRRAVRVRSVGDRAIIAGSNVGGWCPAGGETGL >OGLUM06G02280.1 pep chromosome:ALNU02000000:6:1595216:1602223:-1 gene:OGLUM06G02280 transcript:OGLUM06G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory 1A [Source:Projected from Arabidopsis thaliana (AT1G02010) TAIR;Acc:AT1G02010] MSMSGSDFGAPCDDPKIFRNICRERILKDLLQPDKDKETKSSWKVLIMDKFTVRIMAYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKEKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFAIDMQEFPCVRYRAPKGTDPMTTPKFDMVPKWLATAVWDIVSKYKSTIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGQKYIYEVSKAGSEPERKEALLEDHDPLWVELRHIHIADASERLYDKMNNFVSKNKAAQLHSRDGGEISTKDLQKIVQALPQYGEQVEKLTLHIEIAGKINKFIREYGLRDIGQVEQDLVFGDAAAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDEMDAINSLRYLVGSDTKKTSRPGGFSLKFDAQKELIEKLSKGALPLNEYPSMSEPSSTEQGSTQSAAATKPAQAQPMSRRSRRTPTWAKSRNSDDSQSSDSSVLRHGSSDFKRLGNRIFVFMIGGATRSELRTVHKLTMKLKREIVLGSSSIDDPPQFISM >OGLUM06G02290.1 pep chromosome:ALNU02000000:6:1603557:1606523:-1 gene:OGLUM06G02290 transcript:OGLUM06G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPFH/Band 7/PHB domain-containing membrane-associated protein family [Source:Projected from Arabidopsis thaliana (AT5G51570) TAIR;Acc:AT5G51570] MVSAFFLLCGCVDQASVAVVEKWGRFLRLAEPGLHFFNPFAGEFVAGTLSTRVQSLDVRVETKTKDNVFVQLICTIQYRVVKEHADDAFYELQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLQELEKVMGDYGYSIEHILMVDIIPDAAVRRAMNEINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKHLSGVGIARQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRNGMMQASCSNV >OGLUM06G02300.1 pep chromosome:ALNU02000000:6:1611037:1612044:1 gene:OGLUM06G02300 transcript:OGLUM06G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTSRRPAAPSPFLVLYDDADGGRPATTTLYNVADGVHRPCDIDDQRTKRSWVTSHGGWVLTWDSATLATFLWNPYAAAAGETTNVALPSFGRAPPDIEACCALSTGEPAAAAGGLFTVVMVEVDSNVLWYCHAGATSSSSPAWAKHEYDIGDERTISGFTPCGGKLYYLIQPGMSYGVLEFSPDHQPVFTTVRAKPIRLFATAEDCMLVFSVFPVDVNGELHLVFIFKGEDCKAVVDVAVYRVDLEKRKHVRIRSIGDRAILVGGSNGFGGWCRASRHGLLPNSIYWVSPFDNRLHVYDLGEKTEEIRDPCKGVDDPSLYNTYAMITYEDGF >OGLUM06G02310.1 pep chromosome:ALNU02000000:6:1614181:1616331:-1 gene:OGLUM06G02310 transcript:OGLUM06G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGCFHMYPDVGCGPYQSLSEVDDAINQHLHDLRIPEMGEELDRLPPMEKMIRQTMYWPDGRRKRCKSAGYFEKDKCHLIQALVDKYNDDHNLLGDSAYELKDFLQHGVIYEDERWYQHLNFTVKLKGANGFDCGMDNLFFAEISHMQGEVDWMISCCCEIKPNANGHCYGCRNNGYVGMKHPNNDAYSGGHLDGYLPFGVNSYARNNDEELSVKDEEDMLRRMYKGLDKPGGFKRPIPKFATRIVWKTEEEAGAEAG >OGLUM06G02320.1 pep chromosome:ALNU02000000:6:1620729:1622101:1 gene:OGLUM06G02320 transcript:OGLUM06G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAVQAMSLSHGAAAAAAMVAAGQRRRLTRLLLNVTVEQSLWPVHVVLGADCTVADLVRAAVDAYVREGRRPPLPSGGAGDAAAGFELHFSKYSLEKHEAMESA >OGLUM06G02330.1 pep chromosome:ALNU02000000:6:1621920:1626528:-1 gene:OGLUM06G02330 transcript:OGLUM06G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMSATTRKNAVSHTKTISPWPSTGGGGSLWGRWRWRWGVGVGLAGTLTEITVAPPTAAAGEAQTRGGAKTQPAAYVRASSTNRTFGKEEKKKKKKNRFSLSTALTAAG >OGLUM06G02340.1 pep chromosome:ALNU02000000:6:1622835:1623275:1 gene:OGLUM06G02340 transcript:OGLUM06G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWPEEEEEEMYMIVLGHGDVLDDAGDATPPSSRGGGGETERMWATSQDGWVITYDTETLATALCGGGGAGQRKMVVVLPSFGRTPPADGSSCTLSGDPTDGGGFTVVIIEPPEGSALWYCHVGSSSAAPAKWDNVEYDVETLLG >OGLUM06G02350.1 pep chromosome:ALNU02000000:6:1626205:1629949:1 gene:OGLUM06G02350 transcript:OGLUM06G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWMIWTVLLEEDE >OGLUM06G02350.2 pep chromosome:ALNU02000000:6:1626205:1629949:1 gene:OGLUM06G02350 transcript:OGLUM06G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVIPEFVLQAALSVLFLLSGHWAMFLLSAPMVYYNYTLYQRRQHLVDVTEIFNHLGREKKRRLFKIVGLIILLFLSLFWSSGEARQVKNIVPQKYCWLVELFFLRFIVKLLLLSHVTCKLGATGHQFSWGQRD >OGLUM06G02360.1 pep chromosome:ALNU02000000:6:1630561:1635293:-1 gene:OGLUM06G02360 transcript:OGLUM06G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTIQSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVSNVNTIIGPALIGKDPTEQVDIDNFMVQQLDGTSNNWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIAKAGYTGKVVIGMDVAASEFYSEKDKTYDLNFKEDNNDGSHKISGDSLKDVYKSFVSEYPIVSIEDPFDQDDWATYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGDAAVYAGEKFRAPVEPY >OGLUM06G02370.1 pep chromosome:ALNU02000000:6:1636749:1647994:1 gene:OGLUM06G02370 transcript:OGLUM06G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSAPVRLRVAPTDPGVGVGAASLLTTAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFFDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAATCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSEVQAAATRIGSVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKVELALPYSHFIDRNEVYRKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >OGLUM06G02370.2 pep chromosome:ALNU02000000:6:1636749:1647994:1 gene:OGLUM06G02370 transcript:OGLUM06G02370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSAPVRLRVAPTDPGVGVGAASLLTTAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVHEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFFDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAATCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQDLLFNRRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >OGLUM06G02370.3 pep chromosome:ALNU02000000:6:1636749:1647994:1 gene:OGLUM06G02370 transcript:OGLUM06G02370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSAPVRLRVAPTDPGVGVGAASLLTTAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFFDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAATCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSENTGVSGAHPATQGDMKFALGKLGLGDHALDLLEQDLLFNRRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >OGLUM06G02370.4 pep chromosome:ALNU02000000:6:1636749:1647994:1 gene:OGLUM06G02370 transcript:OGLUM06G02370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLEQQEKLRRHVDEWRFRCRAAVAEIGPRSASTSVSSAPVRLRVAPTDPGVGVGAASLLTTAAAAEDNVDVSKFVAVLSHSCLEISRLSDAASSNLYRQLLLFGHTAEGPNEALLEGEPQKTFAHSIPLLLEVYEIINGLVMILGNLLRQLDAICSVRDKNVRPLNSFKGLDLTTVFGSLGEGLMVFLLVDEILRHNGNTRSYLSLFSRMLDKVKSEVDVFSMSFEDVDFLDQVVHNLQKLFDIGFFQRLVQEDSPLCSSITLVRSNKKLLDTFYSFFSESSSEIIQRIGSLKELPIDRRTILHLLGLFLFFTTTTGEAPDKKSMNLLVEIFQLVPVVYVEGGKRIVLSDLIRFHCSPSLSLLPPIKEACEAFGIMKNSYLARLNEMHSRDIQAINDSLSCWSVSFQSAIHPSSQMLTEEWVRHLQKQILQGVVLADRIHMLVLSMLDLHMHLEVPLRREKAKSLCQMIVSLKAIGDLFHMKGSSLVRSLPHIINIIQSDIEQLIISLKTKLQNEIAKGSQAVKTGFLSSLIRGGTDTETRLIDSLSLVLMSLQLLEGGGSSQRQLTLSITMDILHSLGYLDIELVGVRKLISKFSILSNFWSLIDERTNCSFLYWRKEMLVTWLSMVYGDACKLSWLQNIIDAFFDGMSLLTLGNVGTVTLQHYEEEIENALRKEVVAPLCRDIETDLRLHVHSTHLKGSVFVNPTKTGVRNLSWYLRMKPLRLPSKFVDIKLLVENHLNSAFYTYSVMSNYDNRMYAEMHQLGELKYGVELEDFHLTVDTADQDFDLKQSMENLDSFSEAYSYNIVKQMFIENDLGGQGRKNLRVLCVDHIASSAATCNLQRISAYIDSIFVFLNRMFVDLHALLQSNIEIDLLRDFKQSEVQAAATRIGSVLGLMMVLTAGRTRYLNNMSRYVRKPKFDLRYTTSCKLLGWDDDIVEIGKVLDMGTRNNDPSDDRIQPFSILATNFSKKLQSNKLHEMKDFFQIVPSVIAHMMECRLLLKDKLLRRGHEDKRYTHTYDGFLLGVAFVLKVLEQDNSFDELNWFASTKAKLEGEAKDRDDKKTDRNTSGASFVSLKLWRSSPPVRTEQQKGGVDKGTRYMQEIELIECLFRLARTVLR >OGLUM06G02380.1 pep chromosome:ALNU02000000:6:1648331:1652430:1 gene:OGLUM06G02380 transcript:OGLUM06G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease proteolytic subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G12410) TAIR;Acc:AT1G12410] MALSAAAPANSSCFHPRAAAASAPSSLSVGTKVFVGLKAQTKLGSSESSCPNVTAGFYTAVNRRISLGLSNKRATRARISMMPVGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDSIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLSEHTGHPVDKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTAQKKDLRNLGLG >OGLUM06G02390.1 pep chromosome:ALNU02000000:6:1661535:1662521:-1 gene:OGLUM06G02390 transcript:OGLUM06G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein 16 [Source:Projected from Arabidopsis thaliana (AT2G42940) UniProtKB/Swiss-Prot;Acc:Q9SJG4] MDPVTAAAAHGGGHHHHHHFGAPPVAAFHHHPFHHGGGAHYPAAFQQFQEEQQQLVAAAAAAGGMAKQELVDESNNTINSGGSNGSGGEEQRQQSGEEQHQQGAAAPVVIRRPRGRPAGSKNKPKPPVIITRDSASALRAHVLEVASGCDLVDSVATFARRRQVGVCVLSATGAVTNVSVRQPGAGPGAVVNLTGRFDILSLSGSFLPPPAPPSATGLTVYVSGGQGQVVGGTVAGPLVAVGPVVIMAASFGNAAYERLPLEDDEPPQHMAGGGQSSPPPPQLPLPPHQQPILPDQLPHNLMNGIHLPGDAAYGWTSGGGGGGRAAPY >OGLUM06G02400.1 pep chromosome:ALNU02000000:6:1672602:1681813:-1 gene:OGLUM06G02400 transcript:OGLUM06G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4P8] MASGVRNGGGGGVGGRMSGKVANATPKAAAGKPRLSAAGGGAYRRTSSGPLPSAGGGGGRASSESGVSSRVRVAVRLRPRNADELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKIHQQSPKKLIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQVLHWKKMEAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >OGLUM06G02400.2 pep chromosome:ALNU02000000:6:1672602:1681813:-1 gene:OGLUM06G02400 transcript:OGLUM06G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4P8] MASGVRNGGGGGVGGRMSGKVANATPKAAAGKPRLSAAGGGAYRRTSSGPLPSAGGGGGRASSESGVSSRVRVAVRLRPRNADELAADADFGDCVELQPELKRLKLRKNNWESETYEFDEVLTEFASQKRVYEVVAKPVVESVLEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDTVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRIGEAHRVAANTKLNTESSRSHALLMVNVRRAVKGKHEMDVSISGENGHSSSMVGSLRPPIVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEIERITAEAQLRVTEAEREYKISLENEKAKYHQEYLDSIKILEEKWKIHQQSPKKLIKETEPTSSEVGEVQNLLQNEKVLRQSAEDEANDLKNQAAATAEVVKLRKMLDTEASQKEKLDEEIAVLKSQLLQLSLDADETRRSLDRGDGSGKIFPGFDSLMSHSRNSQPREQSNGPKPPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLMLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVSLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVKCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAYRTLTSSPTLQSEMRRLRIEC >OGLUM06G02410.1 pep chromosome:ALNU02000000:6:1686417:1688052:-1 gene:OGLUM06G02410 transcript:OGLUM06G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANDTTAPAKPAGAGPQLKLLVDKRSRRVLYAKARKDAVDFLIGLLHADADVSLEEFGDSLCPPIPCAGELLTLPDSNFAVVTDRPLLYVQVTRLRCGGFVLSTQICHNLVDAAGITQFLQAVGELAEGAERPAAREPLDTRHPPRSAYDHPEYEPASDEASDKLRLGDELVHRRFLFGPDDVTALRDQLPTRLGPDEWN >OGLUM06G02420.1 pep chromosome:ALNU02000000:6:1688552:1692792:1 gene:OGLUM06G02420 transcript:OGLUM06G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAENTPHPLSPPLTLWAGPIQNPTHTLQVYSPTYPCKRATHAASSGPANLGPLAHRTDPTQPSSSSRRLAFFPTRPDTDRPSPRRAPRPAPMPQASSSSSPATAAAPPPPQPAADPSPSAVPASEEALDPQTPAPPPQAQPEAVLTAAQKALRSKPTRPPEDSDKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKAVSVQGQQFGHG >OGLUM06G02420.2 pep chromosome:ALNU02000000:6:1688552:1692792:1 gene:OGLUM06G02420 transcript:OGLUM06G02420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTAENTPHPLSPPLTLWAGPIQNPTHTLQVYSPTYPCKRATHAASSGPANLGPLAHRTDPTQPSSSSRRLAFFPTRPDTDRPSPRRAPRPAPMPQASSSSSPATAAAPPPPQPAADPSPSAVPASEEALDPQTPAPPPQAQPEAVLTAAQKALRSKPTRPPEDSDKKNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSATNEDLSVIVKRVVFQLHPSFTNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEDTGPQSTKKPVVVETYDEIVFPEPTEAFFQRVQNHPAATVPRLPPGITLPPPGPMELVPHEKKRGDTKDHPLSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLSMIDGMPQQSKAVSVQGQQFGHG >OGLUM06G02430.1 pep chromosome:ALNU02000000:6:1699380:1699742:1 gene:OGLUM06G02430 transcript:OGLUM06G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKKGGAAAAGLKQILKRCSSLGRRQQEQKQVSEWEEEEASGLPSDVPRGHFAVYVGERRRRFVVPLALLDRPEFRSLLRRAEEEFGFAGAGAGGLLVLPCEEVAFRSLTSSLHYSCTR >OGLUM06G02440.1 pep chromosome:ALNU02000000:6:1701418:1708014:-1 gene:OGLUM06G02440 transcript:OGLUM06G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPAGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQDAQRAPPEKQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRYFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCCPQDVSSNLLPYKGRYHPTAMGLHLIVPALLFIQELIIAGTMFFKAAIATSDAMTAPGSSYLRGWLADSCLLLGTAATHGLWVPSYPSKAICFCAYVRAGRGVRHRGGLVTSAAVQRHMACSLPWPCHVICSSLVQWF >OGLUM06G02440.2 pep chromosome:ALNU02000000:6:1701546:1708014:-1 gene:OGLUM06G02440 transcript:OGLUM06G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT4G01560) TAIR;Acc:AT4G01560] MAKERKRRQPADAPPAGDDDEGRDHRREKKPRKERPDPVLPSQIKNKDKRKEVHAKLKREKKAQKRKLARERGQDAQRAPPEKQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQQTTPKVLITTCRFNSGRGPAFIEELMQVIPNSCYVKRGTYELKKIVEYANNRYFTSLVVVHTNRREPDALLIINLPAGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFEMKENKIASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKNGEYEWVHKPDMDTSRRRFFFPNMSCCPQDVSSNLLPYKGRYHPTAMGLHLIVPALLFIQELIIAGTMFFKAAIATSDAMTAPGSSYLRGWLADSCLLLGTAATHGLWVPSYPSKAICFCAYVRAGRGVRHRGGLVTSAAVQRHMACSLPWPCHVICSSLVQWHLHASY >OGLUM06G02450.1 pep chromosome:ALNU02000000:6:1709195:1720524:1 gene:OGLUM06G02450 transcript:OGLUM06G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHSNGGAGDNGEAAPPSVPPSLAPPRKVALVTGITGQDGSYLTELLLGKGYEVHGLIRRSSNFNTQRLDHIYHDPHSQPSTPRPPMRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLANKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >OGLUM06G02450.2 pep chromosome:ALNU02000000:6:1709195:1716337:1 gene:OGLUM06G02450 transcript:OGLUM06G02450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRSLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >OGLUM06G02450.3 pep chromosome:ALNU02000000:6:1709195:1720524:1 gene:OGLUM06G02450 transcript:OGLUM06G02450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATQHSNGGAGDNGEAAPPSVPPSLAPPRKVALVTGITGQDGSYLTELLLGKGYEVHGLIRRSSNFNTQRLDHIYHDPHSQPSTPRPPMRLHYADLSDSSSLRRALDHILPDEVYNLAAQSHVAVSFEVPDYTADVTATGALRLLEAVRLANKRIRYYQAGSSEMFGSTPPPQSESSPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLSAARDWGFAGDYVEAMWRMLQQDKPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLQGDATKARKELGWKPKVGFQQLVEMMVDNDIELAKKEKVLVDAGYRDPKQQP >OGLUM06G02450.4 pep chromosome:ALNU02000000:6:1709195:1714912:1 gene:OGLUM06G02450 transcript:OGLUM06G02450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTCRSLVSPPLPRRLAVASPLAPARPPPSSASSLRVVRCMAKERRVRMVAKQIQRELADMLTRDPVLQRAVLPEAALGADQYLSSLTTIADVELSNDLQVCKVYVSVFGDERGKKVAIAGLKAKTKYVRSQIGKRMKLRLTPEIRFIEDESMERGSRILAILDKLKEEREQQEGNEEEEEDGEGANLSEEEEGDWDADEPDEEDIIYVK >OGLUM06G02460.1 pep chromosome:ALNU02000000:6:1720654:1732480:1 gene:OGLUM06G02460 transcript:OGLUM06G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSASAGGEADAMALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQAEESPSSPPPRIDQIEPSGWESDPATAHPQHLPFEPSGWDSDPPQPPPEQEQQKQKPQPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGVQLTREQRELRRFRNVVRRKDFMCFERVNGRLVNILAGLELHCGVFSAAEQKRIVDYVYDLQEMGKRGELGATNFFGNYSTKSLDLPTTSSRLAFVISSRKINMDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIIPLETAVQETGRSSDEGKGKQPDVQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMNSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDE >OGLUM06G02460.2 pep chromosome:ALNU02000000:6:1720654:1732480:1 gene:OGLUM06G02460 transcript:OGLUM06G02460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSSASAGGEADAMALVQGYNADELAIAGEFLTTWLPFLSAGLCASCADSLRSRVSSLLPPQAEESPSSPPPRIDQIEPSGWESDPATAHPQHLPFEPSGWDSDPPQPPPEQEQQKQKPQPAEKPRMSWADMAQEDELAAAAEEDAAAAAADDGEEGSEAGRPGVQLTREQRELRRFRNVVRRKDFMCFERVNGRLVNILAGLELHCGVFSAAEQKRIVDYVYDLQEMGKRGELGDRTYTEPQRWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIASDPMPSLFKIMIKRLVRWHVLPTTCIPDSCIVNIYDPGDCIPPHIDSHDFVRPFCTVSFLSECNILFGSTLKIAGPGEFTGSLPIPLPVGSVLILNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFNFRDDPELLNIIPLETAVQETGRSSDEGKGKQPDVQIRNPSKAHRNKKSKVRTSPGKGGRGGILGDGPPQYAQAQVTGISSQQNFHGQPTISGSSAERERRPVGPLRESRYQQDAPGMQSNMDGIRERANWLAQERMHGNSMNSIDDGTESQERRQRMEHRQILMINRTINDDMDSLSIGSHESDQTRVSVRTLYNKPRRTRVNLDE >OGLUM06G02470.1 pep chromosome:ALNU02000000:6:1721285:1725707:-1 gene:OGLUM06G02470 transcript:OGLUM06G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCVLPEATLACRSNASLTKNFNLQRVVSLCTRIGSMCHKSNMRANSGKREEGKDHLAHNRAKLAH >OGLUM06G02480.1 pep chromosome:ALNU02000000:6:1725783:1727710:-1 gene:OGLUM06G02480 transcript:OGLUM06G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase family protein [Source:Projected from Arabidopsis thaliana (AT2G18030) TAIR;Acc:AT2G18030] MARGSASASAAASIAGVVWVLLLLVGVASGARLPGGTGGNRGREPRGGAAAAVATETAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKARPEYRNLGDHAECVKVEYDPQLIQYKKLLEIFWASHDPREVFGQGPDVGNQYRSIIFTNGSMEARLAGLSKEKEQAKDRRSVITTQIQPIGAFYPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCSPNTQNRINSKIDEIAKKGWPILRDI >OGLUM06G02490.1 pep chromosome:ALNU02000000:6:1734321:1735337:1 gene:OGLUM06G02490 transcript:OGLUM06G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMFPGDVGPVMLAPPPPLPFFPIAAVQSGLGFMHMSAPPEIVTARSKLNSDAPSFSPRSAAAAAAAGGDEVEVRDVWAANLEEEMRSIGALLPTYPVVSMDTEFPGTVHDVATPRHLRTPRESYAVVKRNVDELHLLQLGLALSGPAGRCPVAWQFNFAGFDARRDPHSASSVAMLAAHGVDFAALRRHGIDHGDFARAFGRSKLACGRLTWAAFSGSYDFAYLVKVLTGGRPLPSTLEGFMAKVGKIFGPAVLDVKHLAKFCGGGGGGIRGGLEHVAAALGVQRAAGRAHNAGSDSLLTSDVLHAMVDRFFPNSGVLNHAGAIDGLVKCSNLYYKY >OGLUM06G02500.1 pep chromosome:ALNU02000000:6:1737953:1739098:-1 gene:OGLUM06G02500 transcript:OGLUM06G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLNVPAPRRGGGRRISCHCTPSLLNLLMFLALLSTNALALLAFFSSSSPAAVHDPTASAAASTISDHVAAIAREIDTSSSSHLPHRADGLPPELLLFLSPHALPLGRDARTGLTHMPASVAHSCFRSPATLSLLAAFMSYDPHAACPRNATLQQHRLLSKACEPLPRRRCLSGGPRAPLPASNMGVDGRRWVRPRHDYEFLLDDVLRLGATRIRIGLDVAGGAANFAARMRDRGVTVVTTMLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTNALDEGGAPSMGNSGTEEALEFFMFDVDRVLRVGGLLWIDSYLCQSEERRQLVVNLIKRFGYKKLKWMVGEKAGMGSTKTALYLSALLQKPARG >OGLUM06G02510.1 pep chromosome:ALNU02000000:6:1750600:1753464:1 gene:OGLUM06G02510 transcript:OGLUM06G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAMDRIAGRLAAVDGLYYPTTFLLADPPPSAPDRKAALLALVSRDAPLFLERYGGSLSRDELAAFDALAGDYEVGWHLRHLRAASEGGPSASRVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPTGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGAEEAMEEQEEEEEEEEEEEEEESEEEQGMDMEEKGRGADNSSENPSVTEVVDSNKTAGASKQTLSAEDMEDQLEQFTSLMQQKFLSGEDSEHMDYSQIDNDEMLDDHWSKEANYDAEEKYFEED >OGLUM06G02520.1 pep chromosome:ALNU02000000:6:1755015:1759489:1 gene:OGLUM06G02520 transcript:OGLUM06G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNPARLLLRVSLLFRFEKFFFFCSPSPSLAAKTPEPPLAARLFHAAAPRRPTSSSSAPPISDAADPGGRSSFAPFIAMTRHAGARRRGGAGVVVVVSLLLLLLLSAAAIAAEGVEAAVFDDAHLLPRPLVIELPTTTTSSSAAAAEEGEGDAVPAEVRCASWRLAGEANNLAPWKSLPEECAAYVREYLTGVAYRSDLEVVAREASAYARTTRVGDDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHREFDKWVERGEAPAIPSSLKLYNEVRDLGFKTFLLTGRSEGHHGVTVDNLKKQGFHDWDKLILRAPADRKKTATIYKSEKRKEMEEEGYRILGNSGDQWSDLLGFSTSARSFKLPNPMYYIP >OGLUM06G02530.1 pep chromosome:ALNU02000000:6:1758004:1761058:-1 gene:OGLUM06G02530 transcript:OGLUM06G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4R8] MDASLMGSPSLAAGDAPTSGEHRMGTTIVGVCYDGGVVLAADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIVGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYGLLDHEWKEGMSQEEAEKFVVKVVSLAIARDGASGGVVRTVTINADGVSRKFHPGDKLPLWNEEMEPQNSLLDILAAGNPDPMVQ >OGLUM06G02540.1 pep chromosome:ALNU02000000:6:1762506:1764842:-1 gene:OGLUM06G02540 transcript:OGLUM06G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWNLSAASDWLDSLNTLASLEVLHLFSTNLPPTNLNSQSQSNLTVLNEIDLSGNNFSSRFPYWLANIHTLSSIYLNKCGLHGPIPEVVRNLTSLVDLYLSDNSLAGPIPISMSRLCNLRILDLSNNNLVGDIGYLGKAMTRCMKGLSMIKLRNNNLSRSLSRWIGSFPNLFSVDLSQNSLSGHVHTNISQLTNLYDLDLSDNLLEGILSEQHLANLSKLSRLDLSYNSLIISVGASWLPPFQLFGLWLCSFPLESQFPQWLQTQIGIETLDLHKTGILGHLPDWLWTSLSSLVSLDLSNNRITGMLPLSLVHMKSLQFLGLSSNQLEGQIPNMPESLELLDLSNNSLSRSLPNSVGGNKTRYILLSSNRLNRSIPTYFCNMPWLSAIDLSNNSLSGELPNCWKNSTRLYVVDFSNNKLEGQIPSSLGTLTHLGSLHLNNNRLSGSLPSSLRSCRLLNFLDLGDNNLEGSIPRWIGDNLEFLMILRLRSNHFTGNIPSELSQLQGLKVLDLANNKLSGPLPQGIGNFSAMASQMSMPIPPILVFGTTIGGTLNYNESIYISIKGEDRLYSRILYLMRSIDLSGNYLTGGIPTEIGALVELKNLNLSKNLLSGHIPETIGNMSKLESLDLSWNRLSGIIPQSMTSLHLLSHLNMSYNNLSGMVPQGSQLQTLGDEDPYIYAGNKYLCIHLASGSCFEQKDNHVDQAEDNDGHDIWLYIFSGLGFGVGFSSVWWLLVCSKAVGKRYFQFVDSTCEKVIRWMILLEKKVNKKTVGKRSVL >OGLUM06G02550.1 pep chromosome:ALNU02000000:6:1770602:1771476:-1 gene:OGLUM06G02550 transcript:OGLUM06G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLWQSVTTVAILPPAPSAVATHSDSNNIVTMHTQREECISKIQQQSLQDYRISTSRADKARKSRRLNSSAATAVNVLSIILQCGGQHQPCISCCSNSDVWQVINRRVAPTVRRSRSFQLPRGLMFALGTSSVKVLFLWELQLITNKRTSQPCQNHNG >OGLUM06G02560.1 pep chromosome:ALNU02000000:6:1776362:1789043:-1 gene:OGLUM06G02560 transcript:OGLUM06G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMRGRMQGVWLAALISLLCHSIANAGKEAAAAVCIASERDALLAFKAGLCADSAGELPSWQGHDCCSWGSVSCNKRTGHVIGLDIGQYALSFTGEINSSLAALTHLRYLNLSGNDFGGVAIPDFIGSFSKLRHLDLSHAGFAGLVPPQLGNLSMLSHLALNSSTIRMDNFHWVSRLRALRYLDLGRLYLVACSDWLQAISSLPLLQVLRLNDAFLPATSLNSVSYVNFTALTVLDLSNNELNSTLPRWIWSLHSLSYLDLSSCQLSGSVPDNIGNLSSLSFLQLLDNHLEGEIPQHMSRLCSLNIIDMSRNNLSGNITAEKNLFSCMKELQVLKVGFNNLTGNLSGWLEHLTGLTTLDLSRNSFTGQIPEDIGKLSQLIYLDLSYNAFGGRLSEVHLGNLSRLDFLSLASNKLKIVIEPNWMPTFQLTGLGLHGCHVGPHIPAWLRSQTKIKMIDLGSTKITGTLPDWLWNFSSSITTLDISSNSITGHLPTSLVHMKMLSTFNMRSNVLEGGIPGLPASVKVLDLSKNFLSGSLPQSLGAKYAYYIKLSDNQLNGTIPAYLCEMDSMELVDLSNNLFSGVLPDCWKKSSRLHTIDFSNNNLHGEIPSTMGFITSLAILSLRENSLSGTLPSSLQSCNVLIILDLGSNSLSGSLPSWLGDSLGSLITLSLRSNQFSGEIPESLPQLHALQNLDLASNKLSGPVPQFLGNLTSMCVDHGYAVMIPSAKFATVYTDGRTYLAIHVYTDKLESYSSTYDYLLNFIDLSRNQFTGEIPREIGAISFLLALNLSGNHILGSIPDEIGNLSHLEALDLSSNDLSGSIPPSITDLINLSVLNLSYNDLSGVIPCSSQFSTFTDEPYLGNADLCGNCGASLSRICSQHTTTRKHQNRIDRGTYLCTLLGFAYGLSVVSAILIFSKTARNAYFQFTDKTLDEFRAIVQIKLNRIKAGRRQSMEIYRLGSQNSITCYELEFGSTTEDRRSKFSDRPAGMDAAAAAAAGGGGGGGGSVAARSSPAAVQATNDDAAASKLSCVNKGYMKDDYVHFFVRRTTKRAPIINRGYYARWSVLRKLLHQFLSAGNGSNDQNRKQILSLGAGFDTTFFQLQDEGIAPYLYVELDFKEVTSKKAAIINHYSQMKEKLGPEASISIEKGEVRSAHYKLFSADIRDIPKLDSVIQMAEMDPTLPTFIIAECVLIYLDPASTSAIVSWASDKFSTAIFFLYEQIHPDDAFGEQMIINLESRGCPLLGINATPTLSHKENLFLDHGWQRAVAWDMLKIYNDFIDSEERRRIERLELFDEFEEWHMMQEHYCVAYGINDAKGLFDDFGIMCDSASEITAATSCIGKERDALFDLKATLKDPGGVLSSWVGLNCCNWYGVTCNNKTGHIIKLVLSNYTTHKENALTGDISPSLVHLTHLMYLDLSWNDFGAARIPEFIGSLKNLRHLDLSSAGFGGKIPPQLGNLSKLNYPDISLPYYNFSSFTSSSSVDNLLWVSQLTSLVYCNAPIFVRD >OGLUM06G02570.1 pep chromosome:ALNU02000000:6:1792064:1794817:-1 gene:OGLUM06G02570 transcript:OGLUM06G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGIMLAALLVLCQLITNAGKITDAACISSERDALLAFKAGFADPAGGALHFWQGQDCCAWSGVSCSKKIGSVVSLDIGHYDLTFRGEINSSLAVLTRLVYLNLSGNDFGGVAIPDFIGSFEKLRYLDLSHAGFGGTVPPRLGNLSMLSHLDLSSPSHTVTVKSFDWVSRLTSLVYLDLSWLYLAASSDWLQATNTLPLLKVLCLNHAFLPATDLNALSHTNFTAIRVLDLKSNNFSSRMPDWISKLSSLAYLDLSSCELSGSLPRNLGNLTSLSFFQLRANNLEGEIPGSMSRLCNLRHIDLSGNHFSGDITRLANTLFPCMNQLKILDLALNNLTGSLSGWVRHIASVTTLDLSENSLSGRVSDDIGKLSNLTYLDLSANSFQGTLSELHFANLSKLDMLILESISVRIVTEADWVPPFQLRVLVLYGCQVGPHFPAWLKSQTKIEMIELSRAQIKSKLPDWLWNFSSTISALDVSGNMINGELPKSLKHMKALELLDMSSNQLEGCIPDLPSSVKVLDLSSNHLYGPLPQSLGAKEMYYLSLKDNFLSGSIPTYLCEMVWMEQVLLSLNNFSGVLPNCWRKDSALRVIDFSNNNIHGEISSTMGCLTSLGSLLLHRNKLSGPLPTSLKLCNRLIFLDLSENNLSGTIPTWIGDSLQSLILLSLRSNNFSGKIPELLSQLHALQILDIADNNLSGPVPKSLGNLAAMQLGRHMIQQQFSTISDIHFMVYGASGAVLYRLYAYLYLNSLLAGKLQYNGTAFYIDLSGNQLSGEIPIEIGFLSGLTGLNLSGNHIRGSIPEELGNLRSLEVLDLSRNDLSGPIPQCFLSLSGLSHLNLSYNDLSGAIPFGNELATFAESTYFGNANLCGPPLSRSCLYHKRKHQLNFDTGTYLSALLGFAFGFCIMFVILIPYMVA >OGLUM06G02580.1 pep chromosome:ALNU02000000:6:1795702:1795899:-1 gene:OGLUM06G02580 transcript:OGLUM06G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAGGGGSAAAKSSTISSSRSSRLLLPFHVVTGARSDRPGRNGGGSGSGGGRRRRRW >OGLUM06G02590.1 pep chromosome:ALNU02000000:6:1804916:1806671:1 gene:OGLUM06G02590 transcript:OGLUM06G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQGLDLGLSLGLGLTTAATWPAAGFCLNSGMAEQEVIRRDDVVAAAAAEDERFACSPGSPVSSGSGKRGSGSGSGDEVDDAGCDVGGGARKKLRLSKDQAAVLEECFKTHHTLTPKQKVALAKSLNLRPRQVEVWFQNRRARTKLKQTEVDCEHLKRWCDQLADDNRRLHKELAELRALKATPTPPAAAPPLTTLTMCLSCKRVANAGVPSPAAAMFPGHPQFLCGFRDHAGAASSSYGGASSGLAKAVRAAR >OGLUM06G02600.1 pep chromosome:ALNU02000000:6:1817582:1818089:1 gene:OGLUM06G02600 transcript:OGLUM06G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKVLLLGICVTARSSEERDAADELLQSMNWSAVRSNILVDDSNAKHSLPPQTVPGQQRRSAV >OGLUM06G02610.1 pep chromosome:ALNU02000000:6:1818984:1820776:1 gene:OGLUM06G02610 transcript:OGLUM06G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGLSLGLGLASQGSLTSSTTTTSSPGAGSSSPWAAALNSIVGDVRRDQAAAHAAAAVGVGVGGEEMYQGRASTSPDSAAALSSASGKRERELERSGSGVDDDDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSPASASAMQPSSSAAATLTMCPSCRRVATAGAPHQPNHQQCHPKSNTTISSSTAAAAVAVAGGNVLPSHCQFFPAAAAAADRTSQSTWNAAAPLVTRELF >OGLUM06G02620.1 pep chromosome:ALNU02000000:6:1821958:1833521:-1 gene:OGLUM06G02620 transcript:OGLUM06G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEAGGAGEDELEMEAPSVSTVAIAVNGSRNSKHALKWALDKFVPEGKVLFQILHVRPTIKMVPTPMGNFIPITQVREDVATAYKKEVEWQANNMLLPYKKMCAQRKVEAEAVLLESDDVPTAISEEISKFSVCKLVLGSSSSIFRRKNKGSKTATKICECIPSFCTAYVVSKGKLSSVHSATSDAIGTPESISSSTVSSPSSRSFSSSVPSEWGDTYRSANVSIHQPSLSSQRDQAIANMNKLSNRRASPSGSGGSEISNHDDTVLTSSHSINSETRFSSSSSGNSIYKSFNRDRSFDNSDQASMSDMATNLKHSHDQEYLKLEIERLRVKLQHLQKLNELAQKESLDANQKLHKLGIQDIEDEIKLKETELTEEKVRRLIRKKEREEQEVARREDQLRNENAESEATKQSNGNQEGDENKTGERIFVRCFDEYNRYTWEEIKASTSSLSEDLMIGRGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLLMLGACPEHGCLVYEYMENGSLDDMLQRRNNTPPLTWFDRFRIAWEVATALMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMDQYLSTMIKNTAPVGTFCYIDPEYQRSGVVSMKSDVYALGIVILQLLTAKSPMGIAHVVETALEDGHFVDILDAAAGQWPLNEAQELAFLALKCAEMRRRDRPDLSDHVLPALERLKDVATKAREMAFNGHQTAPPSHFICPILQEVMADPYVASDGYTYDRKAIELWLSMNDKSPMTNLRLPHKSLIPNHSLRSAIIDWRTKS >OGLUM06G02630.1 pep chromosome:ALNU02000000:6:1839555:1839953:1 gene:OGLUM06G02630 transcript:OGLUM06G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKQLNYSLQDADQSIIVQTMTVAESRRSRRLKTSPNVGGRPPRRLRSQATTSMIRCSSCTSLTILLTGATSSVNGSNQMPTSKISMIDRSIGFSLSSSSSDPVSSWILAFLLAMIMMNSNSNKNLQFC >OGLUM06G02640.1 pep chromosome:ALNU02000000:6:1842499:1844144:-1 gene:OGLUM06G02640 transcript:OGLUM06G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVVAGVESQERRGGGAGAGRVTAFVVLSCVTAAMGGVIFGYDIGIAGGVSSMEPFLRKFFPEVHRRMEGDVRVSNYCKFDSQLLTAFTSSLYVAGLLTTFAASRVTAGRGRRPSMLLGGAAFLAGAAVGGASVDIYMVILGRVLLGVGLGFANQAVPLYLSEMAPSRWRGAFSNGFQLSVGVGALAANVINYGTEKIRGGWGWRVSLALAAVPAGLLTLGALFLPETPNSLIQQGKVERCDVEQLLKKIRGADDVADELDTIVAANSATAGVGGGGLRMLLTQRRYRPQLAMAVMIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATLLSMFAVDRFGRRTLFLAGGAQMLASQVLIGSIMAAKLGDYGGVSRAWAAALILLIAAYVAGFGWSWGPLGWLVPSEVFPLEVRSAGQSVTVATSFVFTVFVAQAFLAMLCRMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEEVAGVWRGHWFWSRVVGGDGEEEERNNGGKL >OGLUM06G02650.1 pep chromosome:ALNU02000000:6:1845338:1847971:-1 gene:OGLUM06G02650 transcript:OGLUM06G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 19 [Source:Projected from Arabidopsis thaliana (AT5G20070) TAIR;Acc:AT5G20070] MSIHLRAHAFAASPLRGLDAPTAPLDPAAAADDAAHPHPHPHLSKILPFRRGRPLARSYDSPPPPAAAAAAPPPPPAWRLAWLPPARVPDVPSDAFVFLGAHGEEEGKEAAAYWAVDVSERDGEGAGDGSAFVDLRTLMVATDWRDKDAMGDLAIAGHARALLEWHSTAKFCGACGSRAVPAEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIQVGEVIYHSSQPWPVGPSTMPCQLMVGFFAYAKSLEIHVDKKELEDAQWHSREDVKKALTFAEYEKAQRTNALKVNQICKGVEKRQSISADLKIESEEPAPMFVPGPYAIAHHLISSWAFEGAPKAPSSFSNL >OGLUM06G02660.1 pep chromosome:ALNU02000000:6:1851043:1851725:-1 gene:OGLUM06G02660 transcript:OGLUM06G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQRKPGDWNCKSCQHLNFSRRDYCQRCHTPRQDLPLGDGYVPGGVLTSLDIRPGDWYCNCGYHNFASRASCFKCGAIVKDLPAGQGGGVANGDFARALDSSAVRAGWKAGDWICTRPGCNVHNFASRIECYRCNAPREADTCSLI >OGLUM06G02670.1 pep chromosome:ALNU02000000:6:1858565:1859344:-1 gene:OGLUM06G02670 transcript:OGLUM06G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKATDSFQLIEQKFAMAKQSSHEAAIAGLKAAAVTAVCTAIPTFASVRMSKWAKANLGNPVAKTVIITYAAGMAYFIAGEKKVVELSRKHSLEAAKARAKSEYTLTKSN >OGLUM06G02680.1 pep chromosome:ALNU02000000:6:1868935:1871632:1 gene:OGLUM06G02680 transcript:OGLUM06G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLEFSVLLLKYGLIALRAKRKGSPCQQDGDSQGAADIEIPDLPEDIWRLIHSLMPMRAAARAACVSRSFLSSWRCHPNLNFSSEAFGLNRNACGKEELAGLFYSKVDHILKRHSGIGVKKLTIKVYSDYSGKGSSYLNNWLQIGVKPGIEELIISLTQFQAKYNFPCSLLSNGSGDSIQYLHLSNCSFHPTVTLGGLRSLTRLYLCCVRITENELSCFLSHSLALEQLEIRYCDRIVCLKVPCLLQRLISLKVFGCDNLKLIENEAPNVSIFAFQGDKTQLKLGETLQMKSLCMVRSGYVYHARAELPSIMPNLESLAIKSFKETAFAPKLCSKFLCLRHLSIALIGYFPAYDYLSLASYIHAAPSLETFYLNVMQRYVQNVSIFAHPADLRSIREEQHHSLKSVRVTSFISVKSLVELTCHILESTTSLECLTLDASQTGFRCDTPGSKIGKCPPLDRDIIMEGHRGVLAIRRYIQPRVPSTVKLNVLEPCSCHSTEL >OGLUM06G02690.1 pep chromosome:ALNU02000000:6:1870729:1887050:-1 gene:OGLUM06G02690 transcript:OGLUM06G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVTRAHLEQRLALAKRCSREANLAGVKAAAVATIASAVPTLQGSKTFSFTVEGRKSPEKVQHEYKKPGTNSHRLGNIQ >OGLUM06G02700.1 pep chromosome:ALNU02000000:6:1899481:1900008:-1 gene:OGLUM06G02700 transcript:OGLUM06G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTRAYLDQKLALAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARKHSFEGAPEHLKNTSFQGTGRPHPAFFRP >OGLUM06G02710.1 pep chromosome:ALNU02000000:6:1902514:1903158:-1 gene:OGLUM06G02710 transcript:OGLUM06G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >OGLUM06G02710.2 pep chromosome:ALNU02000000:6:1902514:1905008:-1 gene:OGLUM06G02710 transcript:OGLUM06G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >OGLUM06G02710.3 pep chromosome:ALNU02000000:6:1902514:1905008:-1 gene:OGLUM06G02710 transcript:OGLUM06G02710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTRAHLEQRLALAKRCSREANIAGVKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIICTAAGMAYFVAADKKILSLARRHSFENAPEHLKNTSFQGTGRPHPAFFRP >OGLUM06G02720.1 pep chromosome:ALNU02000000:6:1911149:1915775:1 gene:OGLUM06G02720 transcript:OGLUM06G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYIGGIHRMVTGVSEGQGEYIAYMACSLLSGKKLVVVASSLMPLIVQQSSASDWSGRSWQPLPDCPDKCGNVTIPYPFGIRDGCFRPEGNFNISCDQEEAYTGQVRVTELDVAQGEARILTDSSTVAWKYKAQTQNGGLLLGDFHMVSSAKNRFTAIGCSTVASIFGWNKKHGKEKTDRFISLCGSFCAVDDGPECSGMGCCQVSIPTNLRQFSFGFHNMSATDVTDNFSPFSYAFVAEKDQFKFKSSYAKANNFMEELVGGIPIILEWIAGKETCEEAAPKESYACVANNSECVNVTEAPGYRCSCTQGYEGNPYLKDGCRGICISIIILIICIFALLIEIQRMKLEKEKKRFYDQNGGHILYQKITSGQVNTVEIFTEEVLKNATDNFDSSRELGTGGHGTVYKGILRDNNVVAVKRSRIINVTHGEEFVQEIIMLSQINHRNVVRLIGCCLEVEVPILVYEFISNGTLFHLIHGSSSSHVRWSVSLKLRLRIAQESAEALAYLHLSTNRSIIHGDVKSLNIMLDDSYTVKVTDFGASRWLPKEAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELITGKTAIYRHNSNDEKDKSLAASFLRAMEEERVESILDASLTGASMEALPLLQEVAKLGSMCLSARGEERPSMAEVADMLKAVRVVWRDLLVSSEYDMMEGFWDSSEAPPSGNPSPSMLWTPGMVSLEVETLR >OGLUM06G02730.1 pep chromosome:ALNU02000000:6:1914759:1915160:-1 gene:OGLUM06G02730 transcript:OGLUM06G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPVGRQVQVEESAIGDELVDQYGHFNFQAAADEPDHVPVVDLRQHDDLLHEFLAVCHVDDPGALHGDDIVVPENALVDSPMTTSAKLSAAVEVVGRIL >OGLUM06G02740.1 pep chromosome:ALNU02000000:6:1917513:1921989:-1 gene:OGLUM06G02740 transcript:OGLUM06G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGGGGGGGGKEAKGKVMGPLFPRLHVNDAAKGGGPRAPPRNKMALYEQFTVPSHRFSGGGGGGGVGGSPAHSTSAASQSQSQSQVYGRDSSLFQPFNVPSNRPGHSTEKINSDKINKKISGSRKELGMLSSQTKGMDIYASRSTAEAAQRRAENTIKSSSGKRLADDDEFMVPSVFNSRFPQYSTQENAGVQDQSTPLVAANPDKSPSTVSKSSTKCYNTVSKKLERIHVSDVKSRTPLKDKEMEAAQTSKNVEVENSSSFHASKDMFDSRHAKVYPKMDKTGIINDSDEPHGGNSGHQATSRNGGSMKFQNPPMRRNEISSNPSSENTDRHYNLPQGGIEETGTKRKRLLEQHDAEKSDDVSRLLEQHDAENIDDVSDSSVECITGWEISPDKIVGAIGTKHFWKARRAIMNQQRVFAVQVFELHKLVKVQKLIAASPHVLIEGDPCLGNALLGSKNKLVEENLKAQPLLVATIDDVEPSLQQPEVSKENTEDSPPSPHDTGLGSGQRDQAATNGVSKSNRRATPVASDNKQNNWGVQLQPPQNQWLVPVMSPSEGLVYKPYSGPCPPAGSILAPFYANCTPLSLPSTAGDFMNSAYGIPMPHQPQHMGAPGPPSMPMNYFPPFSIPVMNPTAPAPVVEQGRHPSMPQPYGNFEQQSWISCNMSHPSGIWRFHASRDSEAQASSASSPFDRFQCSGSGPVSAFPTASAQNNQPQPSYGSRDNQTNVIKVVPHNSRTASESAARIFRSIQMERQRDD >OGLUM06G02750.1 pep chromosome:ALNU02000000:6:1923093:1924341:-1 gene:OGLUM06G02750 transcript:OGLUM06G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVWGSEKNSPNPCWVHAGVHGNECVEQVFMVFDLARATTLGSFYNEDDGLLFDLIYACPTVVMSTSHPRGQ >OGLUM06G02760.1 pep chromosome:ALNU02000000:6:1924457:1925842:-1 gene:OGLUM06G02760 transcript:OGLUM06G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20050) TAIR;Acc:AT5G20050] MESKTAKIVAGAAAAALLVLELALYLRFRLSRPFFLSTAVIVSAALSAAAAALLHHAVGERRRAARMARRLPSMEDERIRVEYSYFRKVAGLPRKLTLESLAAATDGFQYAVGRGSSGTVYKGILDDGTAVAVKRIDGGADHADKEFKSEVSAIASAQHAHLVRLVGFCLVPRGPRFLVYEYMEHGSLDRWIFSPHSGDRRRRRCLPWAARYQVAVDVARALAYLHHDCRSKVLHLDVKPENILLDDGFRGVLSDFGLSKLVGKEQSRVVTTVRGTTGYLAPEWLLGVGITEKSDVYSYGLVLLEMVGGRRNLMQAENGDDGSSASPRWTYFPKIAGDMAREGRVMEVLDRRVVESGEAVEEAAVRRLVHVALWCAQEKAGARPTMARVVEMLEGRGAAAEAVEAPPPSDMIVVDLLALDPAARGPGPFGLPLPAAASDGGMQVTSSGISNSFALSYLSGR >OGLUM06G02770.1 pep chromosome:ALNU02000000:6:1926815:1929298:-1 gene:OGLUM06G02770 transcript:OGLUM06G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRATSVLLHHRSALTRRSPAIGGGVLPRALFFSTLDAAQARTRVEDVMPIATGLEREEIAAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQYFSLEVIGGGGDPDGHDDDDDHHHH >OGLUM06G02780.1 pep chromosome:ALNU02000000:6:1930704:1936913:1 gene:OGLUM06G02780 transcript:OGLUM06G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 10 [Source:Projected from Arabidopsis thaliana (AT1G04870) TAIR;Acc:AT1G04870] MASLPNGAASASSAAGGGGPAVVDKEVDFANYFCTYSYLYHQKEMLCDRVRMDAYHSAVFRNAHHFRGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANDVADIVEVIQGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRSDLAENKMEDLEIAMHDWNLFVEDTESYYGVNMNVLTKAYRAEHEKYYLKSAIWNNLHPNQVIGQAAVIKEIDCLTATVDEIREVRAQVTMPIKLDMTRLAALAGWFDVHFRGSKQNPATQEVELSTAPDVNGGTHWGQQVFLLTPPLKVNEGDNVKVSFTMVRSKENHRLMDMEFTYELHESSGKQLPAITTKIYLE >OGLUM06G02790.1 pep chromosome:ALNU02000000:6:1934323:1939481:-1 gene:OGLUM06G02790 transcript:OGLUM06G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MSRAQRSTPRPKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPAFGRHSLRRMGARYLHSPPAVNLICEESTFVRSTSCCLHSCLCLRCKTSNLKPCRTLVFNMPSRRFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMLRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >OGLUM06G02790.2 pep chromosome:ALNU02000000:6:1934323:1939481:-1 gene:OGLUM06G02790 transcript:OGLUM06G02790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate synthase 3 [Source:Projected from Arabidopsis thaliana (AT5G11380) TAIR;Acc:AT5G11380] MSRAQRSTPRPKSQTGARVAAAATREQQRPLTLSRLRLPRRRSCACGGGGWPRFNRRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKVELKQLAGEVRSEISFIMLRKCQPCNTGHSVVELAIAIHYVFNAPMDKILWDAGQHTYAHKILTGRRSLFHTIKQRKGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDLGGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSRHTLLPKADSQSKMSINALSSALSKVQSSKGFRKFREAAKGLSKWFGKGMHEFAAKIDEYARGMIGPHGATLFEELGLYYIGPIDGNNIDDLICVLKEVSTLDSTGPVLVHVITENEKDSGGEFNSEITPDEEGPPDSSQDILKFLENGLSRTYNDCFVESLIAEAENDKRIVVVHGGMGIDRSIQLFQSRFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITSAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELIDMVATAAMVEDRPICFRYPKGAIVGTSGTLAYGNPLEIGKGEILAEGKEIAFLGYGEVVQRCLIARSLLFNFGIQATVANARFCKPLDIDLIRMLCQQHDFLITVEEGTVGGFGSHVSQFISLDGLLDGKIKWRPIVLPDRYIEHASLTEQLDMAGLTAHHIAATALTLLGRHRDALLLMK >OGLUM06G02800.1 pep chromosome:ALNU02000000:6:1939691:1943246:1 gene:OGLUM06G02800 transcript:OGLUM06G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G23310) TAIR;Acc:AT5G23310] MAAFASALRVLPSPLAAVPRRLRSLVILYGGCSAFVSNFSSPSFLRTGLYRWWVYVVSYNLIVVRQDRFSMLLVFTTDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDINNSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >OGLUM06G02800.2 pep chromosome:ALNU02000000:6:1939691:1943949:1 gene:OGLUM06G02800 transcript:OGLUM06G02800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fe superoxide dismutase 3 [Source:Projected from Arabidopsis thaliana (AT5G23310) TAIR;Acc:AT5G23310] MAAFASALRVLPSPLAAVPRRLRSLVILYGGCSAFVSNFSSPSFLRTGLYRWWVYVVSYNLIVVRQDRFSMLLVFTTDALEPYISKRTVELHWGKHQQDYVDSLNKQLATSMFYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGSPGRGVLQQIEKDFGSFTNFREEFIRSALSLLGSGWVWLVLKRKERKFSVVHTQNAISPLALGDINNSIPSINLCDDIPCPLLLQPLINLDLWEHAYYLDYKDDRRMYVTNFIDHLVSWDTVTLRMMRAEAFVNLGEPNIPVA >OGLUM06G02810.1 pep chromosome:ALNU02000000:6:1944751:1946720:-1 gene:OGLUM06G02810 transcript:OGLUM06G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQDMSYYDHCTKRHEEKGCLYACWAELCLFQPIRTDHSNMSLLFQRKSQKQRDKMYNAPMAQDMSYYEHVQRRHEEKGCLYACIFTALCCFCCYETCECCLDCLCCCCN >OGLUM06G02810.2 pep chromosome:ALNU02000000:6:1941264:1946720:-1 gene:OGLUM06G02810 transcript:OGLUM06G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPPAQQDMSYYDHCTKRHEEKGCLYACWAELCLFQPIRTDHSNMSLLFQRKSQKQRDKMYNAPMAQDMSYYEHVQRRHEEKGCLYAWTPRPGDPPPSGCIDSQKK >OGLUM06G02820.1 pep chromosome:ALNU02000000:6:1957510:1962342:1 gene:OGLUM06G02820 transcript:OGLUM06G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4V2] MAGSLAASAFFPVPGSSPPASARSSKNTTGELPENLSVRGIVAKPNPSPGAMQVKAQAQALPKVNGTKVNLKTTSPDKEDIIPYTAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLADTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVERYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGQTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRSAISEEQGEKLPKPGTTFDGAATKQFTRKGLTPKWSDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSVLQSLTAVSGECDDGNTESSIQCDHLLQLESGADIVKAHTEWRPKRAQGEGNMGFFPAESA >OGLUM06G02830.1 pep chromosome:ALNU02000000:6:1965409:1968681:1 gene:OGLUM06G02830 transcript:OGLUM06G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRCAARRAPALAAAAAEALERSCCSSDAAAVVSGNNRLMAEHLRAGRLEAAREVFDGMPRRDVVSWNTIMAVQARAGSHGRAVGAFLEMRRQGFRPDHTSFSTALSACARLEALEMGRCVHGLVFKSCSSGNVFVGASLITMYANCGVVSCLEQVLDGVESPNVALWNALISGLVMNHRVGDARKAFDRMPVRNVVSWTAMIKGHFTVHEVDMAFQLFKLMPVKNSVSWCVMIGGFVTHEKFSEAVELFNSLMMNGEEVTNVILVKIVNAFAGMKSIRGGRCIHGLAVKSGFAYDLVLEASLVLMYCKSLDITEARLEFDKMEGNHVGSWNAMLCGYIYSDKIDEARKLFDSMNNRDKISWNSMINGYINDGRIADATELYSKMTEKSLEAATALMSWFIDNGMLDKARDMFYNMPQIDVMSCTTLLFGYVKGGHMDDALDLFHMMQKRTVVTYNVMISGLFHQGKITEAYKLFNESPTRDSVTWSCLVAGLATNGLIHEALQFYKKMLLSNIRPSESVVSSLISCLSNYSMMVHGQQFHATTIKIGLDSHLLIQNSLISLYCKCGEMIIAQSIFDLMAKRDKVTWNTIIHGYALNNLGQNAVEMFESMTKAQVDPDDITFLGEAEGLVKSMPFEPDSAIWTSLLSGCRLTGNDKLAEHAASQLIAIDPCTKMPYLHLISVHGLTNKSTVIDSLRSQIKSTATEKDKTSNKQIVYELVDPGMLMVNSAIRLYL >OGLUM06G02840.1 pep chromosome:ALNU02000000:6:1969149:1972345:-1 gene:OGLUM06G02840 transcript:OGLUM06G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAHPWAYIIDIVHSEQKRFVFTSDVRGLEPHYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >OGLUM06G02840.2 pep chromosome:ALNU02000000:6:1969149:1972345:-1 gene:OGLUM06G02840 transcript:OGLUM06G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAHPWAYIIDIYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >OGLUM06G02840.3 pep chromosome:ALNU02000000:6:1969149:1972345:-1 gene:OGLUM06G02840 transcript:OGLUM06G02840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQCPLVTQAHPWAYIIDIYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >OGLUM06G02840.4 pep chromosome:ALNU02000000:6:1969149:1972345:-1 gene:OGLUM06G02840 transcript:OGLUM06G02840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >OGLUM06G02840.5 pep chromosome:ALNU02000000:6:1969149:1972345:-1 gene:OGLUM06G02840 transcript:OGLUM06G02840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQSSGRPIDVLMEKVLSVNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAVGFLYLRYVAEPKTLWSWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTGHLEKMKLPTKQSGITGDSSRLGSDDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRKTLPSARDRERSSDGERARSSPRRRRSRSRERDHDSERDRSDRDRGRHKDREHDRHAREDRDRDYRRSSYSSRNVDRQGRERRDRDSDRHGRSSARRSRSRSRSPNRGRTEGENHRSSPFGRPPEPSNLAKLKDLYGDATNTKDDTGDDKARRDSGTEEVIRLGGARWR >OGLUM06G02850.1 pep chromosome:ALNU02000000:6:1975298:1979019:1 gene:OGLUM06G02850 transcript:OGLUM06G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIACEYHRDKISDKHLLQLCKCIEATRVDRCNSCKFIEFSVPYSEEQRHFKAEDLLFSEENH >OGLUM06G02860.1 pep chromosome:ALNU02000000:6:1975826:1981513:-1 gene:OGLUM06G02860 transcript:OGLUM06G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter 3;4 [Source:Projected from Arabidopsis thaliana (AT3G15990) TAIR;Acc:AT3G15990] MVVNNKVDSLSYDVEAPPAQAPTTPAVVSAPPTPRGEAPAMTTTAAVELHKVSVPERRSTAKALRQRLAEVFFPDDPLHQFKNQSSARRLVLALQYFFPIFHWGSDYSLRLLRSDVGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLRQAVSPDQEPILYLQLAFTSTFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSQMGFVQVMHSVFKHHDEWAWQTILMGVAFLAVLLTTRHISARNPKLFWVSAAAPLTSVIISTIISFVSKAHGISVIGDLPKGLNPPSANMLTFSGSYVGLALNTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGVMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMASAVLVTLLFLMPLFHYTPNVILSAIIITAVIGLIDVRGAARLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGVVPGTASYRSMAQYREAMRVPSFLVVGVESAIYFANSMYLGERIMRFLREEDERAAKCNQCPVRCIILDMSAVAAIDTSGLDALAELKKVLEKRNIELVLANPVGSVTERLYNSVVGKTFGSDRVFFSVAEAVAAAPHKTQP >OGLUM06G02870.1 pep chromosome:ALNU02000000:6:1981726:2000161:-1 gene:OGLUM06G02870 transcript:OGLUM06G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPCNSSPEVTGMGSLSQREREPVDPALGRLASNAGTRIKHMPDARDQGLERSRRAGVQDDIGPASWKCGTMAVPKRSRTSILRDRGCAGPVLRYIAHAHSHRAGPTRFRTFRTFGLRDRADPAHPVCGIAFPRCHAALSSCSRVPNTRRRVCASKFQMPFALPLTWTHMCTRGTKLACHVSNSLPYAYKNQTQLKRHMCPKLRIFAAQ >OGLUM06G02880.1 pep chromosome:ALNU02000000:6:2003672:2012053:-1 gene:OGLUM06G02880 transcript:OGLUM06G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4W3] MDLVLSFLPPSSSLPRRARDPIRFASASASASPRRRCRGGSLGFSPPRAARLPRSAHAVAATMPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSAN >OGLUM06G02880.2 pep chromosome:ALNU02000000:6:2004100:2012053:-1 gene:OGLUM06G02880 transcript:OGLUM06G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4W3] MDLVLSFLPPSSSLPRRARDPIRFASASASASPRRRCRGGSLGFSPPRAARLPRSAHAVAATMPLRLEIKRKFAQRSERVKILSSLYSGSVCIWDYQSQTMVKSFEVSELPVRSAKFISRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEVTDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEFIIYTALAWRNRSFGSALEFVWSSEGEYAIRESTSRIKIFSKSFQEKKTIRPTFSAERIFGGILLAMCSSDFICFYDWADCRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLESGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDIERANDILPSIPKAQYNNVAHFLESRGMLEEALEIATDADYRFDLAVQLGKLEVAKAIAMEAQSESKWKQLGELAMSTGKLDMAEECLVQAKDLSGLLLLYSSLGDAEGIEKLASQAKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLSKVNPKAAESLADPSEYPNLFEDWQVALTVEKNVASRRVHYPPADEYLNHAEKSDMTLVEAFKRMQVIEDEETEDALDENGEPDEEVLEENKVEESTDEAVEVDADEPEETVLVNGKEGEEQWGTNNEGTSSA >OGLUM06G02890.1 pep chromosome:ALNU02000000:6:2012218:2016887:1 gene:OGLUM06G02890 transcript:OGLUM06G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRCT domain-containing DNA repair protein [Source:Projected from Arabidopsis thaliana (AT1G80420) TAIR;Acc:AT1G80420] MPESSSDPNNGRGKSSKRNLPSWMGSKDGEENPGKKKHTATHEKDGVVFVLSGFVNPERGTLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVESDNGTIVSKDWILESHSQRKLVDIEPYLMHVGKPWRKNKELVESDEDQKKPHKEHQKQVDRSHIKTSPSAGIEAKHSDVTSKQFSPTKIKQWARNDLAQTISWLESQEEKPEPNELKAIAAEGVITCLQDAIESLKQGNDVKGVAEQWSFVPHVVNELAELDGRRKEGSLSKEQLSQLAIKCKKIYQAEFAHMHDNDKKHQSKPRSDDAQYDSDDTIEMTEEEIDLACRQLPGVCGRQ >OGLUM06G02900.1 pep chromosome:ALNU02000000:6:2016847:2018580:-1 gene:OGLUM06G02900 transcript:OGLUM06G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSFAMDDGEAYLRDMTAITELLDGDMTAITESLDGDMTAIAELLDQARSYSFADLQSHDPPPAAAAVNDDDDNVSGLMLAMMKTVDAPAGGGDGGDCPICLNNGGGEEWKETACGHRFHARCVARWARVGRKGMSCPMCRRDMMSPAVDLLVRDIRALYGDEELSDVRELLEDD >OGLUM06G02910.1 pep chromosome:ALNU02000000:6:2018869:2023637:-1 gene:OGLUM06G02910 transcript:OGLUM06G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4W6] MHMLATHRVRAKDIIMMMQMQRGEEFSMTIAWIFISKERPPPSRGPAASAFSSTSSPSSPWSPRLRRGGVLGEEAHNATADEAHWERRAEEARSFNRAAYVSDPVAALNRFNADMLRATTRRRSLARYTGPCMATNPIDRCWRCRGDWATDRKRLARCVCGFGHRTVGGAAGKIYVVTDASDDEMIIPRKGTLRYGVIQDRPTWIVFARDMIIQLRQELIVNHNKTIDGRGAQVHITGAQITLQGVQHVIIHSVHIHHSVPHGGGMIRDSKRHYGLRTRSDGDGISIMSSSNIWIDHVSMSNCSDGLIDAVSGSTAITISNGHFTKHDHVMLFGASNSDAQDEVMQITVAFNHFGKGLVQRMPRCRFGFFHVVNNDYTHWLMYAIGGNMNPTIISQGNRFIAPDDVNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGALNCHVGMPC >OGLUM06G02910.2 pep chromosome:ALNU02000000:6:2023640:2025074:-1 gene:OGLUM06G02910 transcript:OGLUM06G02910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A4W6] MAGSVVTRSPLCSILFYVLATAAAATATATLVGNITADEEYWAKRSEVARSFNRAAYVSDPVAVLNRFNEDVLNTTTATAAAARRSLMRRYRRRGPCTVTNPIDRCWRCRKNWASRRKRLAKCAMGFGRKATGGLAGKIYIVTDAGDEHLVIPRRGTLRHAVIQERPLWIVFARSMVIRLAKELIVTSDKTIDGRGAQVHVTGAQITVQAVSNVIIHNLHIHNSVPRSGGLIRDSKHHFGIRGESDGDGISVMGSSNIWIDHVSMSNCSDGLIDITDGSTAITISNSHFTKHDHVMLFGARDDSPKDKIMQVTLAFNHFGKGLVQRMPRCRFGFFHMVNNDYTHWLMYAIGGNMNPTIISQGNRFRASDDMKLKEVTKREYTSYDEYKEWVWKSQDDLFLNGAFFNESGGRNELRYNRLDLIQARNGRYVGRMTRFAGTLPCRVGKKC >OGLUM06G02920.1 pep chromosome:ALNU02000000:6:2025175:2048329:-1 gene:OGLUM06G02920 transcript:OGLUM06G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGISAVGQLFQDSVDRTVVTTPGCYGAQPLGFGADKPGYNVAYGANPANPAGEREKKGPTHLPSIHRSSHPMAAFEHAVAELFQILVQQSIKPQQSQCIAQMSVFQKVAILQHFMVVAMFISAIFICLVRYRLKAAGELSTLNLRLRLKLRILMFAAVAMSLTNGTLTTTKPTSGHHAATRDGDTLYRNPRRTHAHLGGRLTSLRRPARSLMLPPPASRGKRSQKP >OGLUM06G02930.1 pep chromosome:ALNU02000000:6:2026125:2031656:1 gene:OGLUM06G02930 transcript:OGLUM06G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT5G39900) TAIR;Acc:AT5G39900] MAGAAALRRSARRVVRPGAYALYRALQHPERLLSSQASPDRGGVLGSELGLYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIKKGHGQPQYLDKLQVERERGITVKAQTATMFYRHANNQLPASDQLDAPSYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKAQLKRLFDIDPSEALLTSAKTGQGLSQVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALHKGDKIASAATGRTYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPENILSGFKPARHMVFSGLYPADGSDFDALSHAIEKLTCNDASVSVTKETSTALGMGFSQRALLKYRLPLREIIVDFYNELKSITSGYATFDYEDSEYQQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVDKLKKFIERQMFEITIQAAVGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >OGLUM06G02940.1 pep chromosome:ALNU02000000:6:2031706:2039388:1 gene:OGLUM06G02940 transcript:OGLUM06G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase SOL1 [Source:Projected from Arabidopsis thaliana (AT1G71696) UniProtKB/Swiss-Prot;Acc:Q9M9H7] MAISRRLLLSLFLLRAFSSLPAQAAARGASHPSGTSGNYGSFLRNLLQDNPMITEELVRGYMSNSELEIAVHAIGSRCPNISRIYSIGKSVNGVALWVIEISDKPGQKEAEPAFKYVGNVHGDEPVGREVLIKLANWLCDNYLKDPLATLIVKNMHLHILPTMNPDGFALRRRGNANNVDLNRDFPDQFFPNNDEINYRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGSRDQSKQYYGCPDDKTFRYMASVYSQSHYNMSLSKEFKGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDVKWPKAAELPVIWEHNRMSMLNLAASLVKTGVHGRIFAADTGHPIPGSLTIKGIGSEIRASRTYGDYHRMLAPGENYKVIASMEGFRTKATRIVVEEKAVSLDFILDRDGANGLIRNDLGCPCDDDKLFHVQGARLELYLFVLLIIIALYVLFKRKTTSKFTIHRHSPKRPIAV >OGLUM06G02950.1 pep chromosome:ALNU02000000:6:2064831:2065532:1 gene:OGLUM06G02950 transcript:OGLUM06G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQRRRTFLLSAGEIQSLKQRISESETGGELLHNRLSTYVAISSLAWTSIVRAKCGALDASADDVYFMVSADCRRRLRPPADEGYFGNCIAIAIARASAGELLDDGGLAGLARAAAAIQAAIRDELELEDPVGGAERWAERLAAIPMGRLTAAGSSHRFMAYETDFGWGAPSRVELVTVYGNELVAMLGGAADGGVQVSVVLGRALMDAFADNFRRQVVACPNSTVSRSRHH >OGLUM06G02960.1 pep chromosome:ALNU02000000:6:2068436:2084309:1 gene:OGLUM06G02960 transcript:OGLUM06G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSVTGSRRLCILFYLLTVVATVVTAASAHTAHNATADEEYWEKRAEEARSFNRAAYVSDPVAALNRFNADVLRATTRRRSLARYTGPCMATNPIDRCWRCRGDWATDRKRLARCVCGFGHRTVGGAAGKIYVVTDASDDEMIIPRKGTLRYGVIQDRPTWIVFARDMIIQLRQELIVNHNKTIDGRGAQGNRFIAPDDVNAKEVTKREYTPYDEYKEWVWKSQGDVMMNGAFFNESGGQNERSYDQLDFIPAKHGKYVGQLTKFAGALNCHVGMPC >OGLUM06G02970.1 pep chromosome:ALNU02000000:6:2087338:2096768:1 gene:OGLUM06G02970 transcript:OGLUM06G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDYIGGGSGGGGEALIGMKTVEAPDDGSNCLICLDGGGGGGEKTTTEAWVETPCAHRFHSRCLESWAQVKLGTCPMCRRELTAAAAAATTTAGEDVHVLVADPMVADPLLARWNLFVQRSTDGSRSMSSLVRVLAVSHVLPDEVAAGGAWPPPPPHVVELSFLDNLQVSKAAIQRLFFYDGGSLPPFESVVRSLQSSLAAVLAVFLPLAGKLAYLPEPGDVVIDYSPDAVSPGVKFVEAEYSGSVDDMRRLASDDEHHTEAFLQLVPELEVSMLPAPLLAVQVTRPRDDHAGGGGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAGQGLVPPTSVRVVSVTHVQPEQTAGEMPPAAGESGDDGDDVVRLSFYDVMFVSMMPIQRLFFYEGAALPPFPSLVGSLRSSLAAALAAFLPLAGKLTFRAALGDVVLDYSAAAVPPGVKFVEAEYGSSDEISAFDAMRRLAGDVEHNVEAFMELVPELEVEQLPAPVLAVQVTRPAFRNDDGDDAVGVVAVGVSVHHAVADGQSLWQFMKAWSAAAMVGSPAAPGLLPPTFDRALIRHPRSEELASKFLQLSSPTLPEVKLSRVAADVIKGQRTRTFLLRADQIRSLKRRISRRIAAGEPPRETASPVTAYVAIASLVWTSVVRAKPHDAADEAYLMVTADCRRRLRPPIDPGYFGNCVAACYARANVGTLRRGGGDNGGDDEGLARAAAAIGAAIREQLEDPLGGDIEGWLQFHLSLPAARLTAVGSSHRFMAYETDLGWGAPSRVELVSPFARELVMLLGAAGGGVQVSVSLDEAHMDAFETSWFQTAAGDVTV >OGLUM06G02970.2 pep chromosome:ALNU02000000:6:2087338:2096768:1 gene:OGLUM06G02970 transcript:OGLUM06G02970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDDYIGGGSGGGGEALIGMKTVEAPDDGSNCLICLDGGGGGGEKTTTEAWVETPCAHRFHSRCLESWAQVKLGTCPMCRRELTAAAAAATTTAGEDVHVLVADPMVADPLLARWNLFVQRSTDGSRSMSSLVRVLAVSHVLPDEVAAGGAWPPPPPHVVELSFLDNLQVSKAAIQRLFFYDGGSLPPFESVVRSLQSSLAAVLAVFLPLAGKLAYLPEPGDVVIDYSPDAVSPGVKFVEAEYSGSVDDMRRLASDDEHHTEAFLQLVPELEVSMLPAPLLAVQVTRPRDDHAGGGGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAGQGLVKLSRVAADVIKGQRTRTFLLRADQIRSLKRRISRRIAAGEPPRETASPVTAYVAIASLVWTSVVRAKPHDAADEAYLMVTADCRRRLRPPIDPGYFGNCVAACYARANVGTLRRGGGDNGGDDEGLARAAAAIGAAIREQLEDPLGGDIEGWLQFHLSLPAARLTAVGSSHRFMAYETDLGWGAPSRVELVSPFARELVMLLGAAGGGVQVSVSLDEAHMDAFETSWFQTAAGDVTV >OGLUM06G02970.3 pep chromosome:ALNU02000000:6:2085396:2087885:1 gene:OGLUM06G02970 transcript:OGLUM06G02970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQSVRVVNVTHVLPGGASAAAPPHAGGGDVDVIELSFLDTMFFALTPLKRLFFYEAAEPSFPAMVSSLQSSLAATLAVFAPLAGKLAYRPSHDDVVIDCSVAAVSPGVVFIEAEYHDDDNAVVDMRRLAGDEEHHTEAFKRLVPEMDVGRLPAPLLSVQVTRPAAAGGGGSGGVVAVGVSIHHVVGDGQAVWQFMRAWSTASQEGSPAAAAATIPVVFDRKPVLRHPNDEEIARVFLRVFAPALPLVDCSLFPEPDVTRQWRKTYLLRPHQIQSLKQRMLAKTKEQLILMAAPTTHVAVLSLYWTSLVRAKFTSTGGGGAGDGDVYFMIPGDLRRRLRPPVGDGYFGNCVKPCYASAAVGDLRGGDGLVHAAAAFQSAIRGSLECDDPLADDVERWSELERKVPKERIAQASASHRFMAYETDFGWGAPRRVELVSVYRMDVVALVAAPPAAGGGVQVSVALDRAHMEAFDSYFLQNSDTKSDSPSI >OGLUM06G02980.1 pep chromosome:ALNU02000000:6:2097959:2098699:1 gene:OGLUM06G02980 transcript:OGLUM06G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVRVLAVSHVHPDEAAVGAAWPPPNTVELSFLDSFQVARGAIQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLAGKLAYLPESGDVVIDYSPDAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFLQLVPELEVSMLPAPLLAVQVTRPRDDNVGGGGAGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAAGPGLVPPTFDRSMIRHPKVDGHQLSRTFLHKMSPALPVVI >OGLUM06G02990.1 pep chromosome:ALNU02000000:6:2116564:2121470:-1 gene:OGLUM06G02990 transcript:OGLUM06G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIMVGYTLDKDYRLYPSSDPPKTLANHIVVGLVNDSGAQTNCFNLDCDGFHLQNSSFALGSSWSDSLSQHGGERYGVTLSIHRVSQINLVLLHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPPSSSSAAGTPHHHGGGMVVGADRVLAPAQSYPISAAADDDVAGCWRPLPSPSSSTTTALSLLLRSSMFQELVARQPVVEGDDGQLAVVSGDDADADADVKEPPPESEYGEVFASDDAAAAAAYGCSMYELDDSFALIDDSVWNCLI >OGLUM06G03000.1 pep chromosome:ALNU02000000:6:2121494:2125864:-1 gene:OGLUM06G03000 transcript:OGLUM06G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYGLVKDELLHGIGGGQGRLYCEVKPTAAPAVITAAGGGAKSVKRRKREPSAAAMSAVTVAGNGKEAGGSNAANKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEDAAARAYDLAALKYWGPTTYTNFPVADYEKELKLMQGVSKEEYLASIRRKSNGFSRGVSKYRGVARCPAGTVPIRRPSANADPAAAGELARRAFSFGHPHYKPSISNDGWWLVLTAQMGRTMVLGQMYQTGR >OGLUM06G03010.1 pep chromosome:ALNU02000000:6:2128600:2146970:1 gene:OGLUM06G03010 transcript:OGLUM06G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTRYQTAHYVDEAELVGFDGPKKEILKMISVNENVEVQTIWIVGAGGLGKTTLAKKVYESSNITSIFPCRAWITVSQSFDVMDLLKDMIKQLLGKESLDNLFKKYKEVKVKENNLTDHLKEWLRNKRYFLVLDDLWSTKAWDCLKPTLWGNNREGSRLVVTTRNRDLAEGSSSPLVYPLQTLHREDATKLLLAKTNKSLCDINKDGMKETFEKILKKCGGLPLAIVTIGGLLAAKDVKEWDELYAQIPSELENNPSFEVMRQVLALSYKYLPSHLKPCFLYLSIFPEDFEIQRKRLVYRWIAEGFIRARDGVSIVDVAIKYFNDLINRSLIQPSRVNMEGTIKSCRVHDIIRDIMISISREEKFVCWIDNKETCAVEENIRHVAYYNSNSSKIAMDLNQVRSLTVFGDRPKELTPLLCSPQVRMLRVMDVQGVRFGMTQKEMDHIGSMLHLKYINIRCFGNFPNFNGYSKIYRIPRSIGKLQGLRVLDISDTYITSLPTEICELRSLCVLRCTRKGSYNIFDPTEPIQCLFALSCIPVTMSLADSDQRRQVTAELHMACSSRWFSTYGVRVPKGIGNLKQLQELGYVDIRLTSSKAVKELGQLSQLKKFKLQTYGATQQKCKVLCEAIEKLSSLQSLHIIAFGLGDSSLRSLEWLHSISSPPPFLKSLTLVGCIKEIDWLRELTHLVKIHLWGSELKEGKTIEILGELPNLMVLRLRRNAYVGEKLLFQAEAFPKLRKLEIKSLQELREMRFEEHTSPQMETIEISNCRLESGIIGIKHLPKLKEISLGWYCEVARLGQLQEEVEANPNRPVLRLYDHPSKHDLGDTQGSATQVEANEPLPRNVGESSQSNQGDDDGQQQPITSTAIMPADADPAVSS >OGLUM06G03020.1 pep chromosome:ALNU02000000:6:2147366:2159085:-1 gene:OGLUM06G03020 transcript:OGLUM06G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAEGGSEPYHEAPPAAGDQHLSSSSTAWSGGASPEYAAARSSSGLRPNSGSQSPMRASLPPPIRPKEMQRRENYPAEKLPGIITGYKCKPYPSP >OGLUM06G03030.1 pep chromosome:ALNU02000000:6:2156273:2161821:1 gene:OGLUM06G03030 transcript:OGLUM06G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEMIKGDSKQLASMYMKEEMIKGERQLGTHEDRLKDEVIKDGDKISDGNFFKSLQNISSTKEEVCSPGPIERSCMDSSLLNMKAQNKGQDDKLESTRAEMGEVREENERLKTLLSRISHDYRSLQTHFYDVLQQGRAKKLPDSPATDIEEPEFVSLRLGTSTSKCKKEDKSTTSSEVKGSTEDFLKIKGGLSLGLSDCRVDANNSEKVQPDVMTLSPEGSFEDARDDTAETTEQWPPSKMLKNLRSVGAEAEDDIAPQPQVKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHALSVSATAMASTTSAAASMLISGSSSTSLAAYPAAAASPALAFDASSKPPLIGGRPFFLPTAAAAAITSTPSYPTITLDLTSPAAAATSSHAAFSLSNRFSHTRYPSTGFTFSGSGPSSAPWPGYLSYGASLSAHPYKAGGGKSSSSFEAALSSINGSRQQGGGGGSGSAPLYQMQQKAAAAAPPPPSVITDTIAKAITADPSFHTALAAAITSYVGKKGSPPASGGEDSKVGLKWGEHLGLGLTHSSPSTATAAAAASSSSQMFLQPSLGLSGSTTSASTSPVTNREQAH >OGLUM06G03040.1 pep chromosome:ALNU02000000:6:2162399:2166272:-1 gene:OGLUM06G03040 transcript:OGLUM06G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MAMMLLIQRHTSRPRLPSPAAKAMATSSPPCACAAPLLSRRRLPAPPHALSPAPPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASAEEAESAAAAGDGAAEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRQYPGKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSEEAPSLMNNVMGVFSSMSRFMRVISK >OGLUM06G03040.2 pep chromosome:ALNU02000000:6:2162399:2165990:-1 gene:OGLUM06G03040 transcript:OGLUM06G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF1995) [Source:Projected from Arabidopsis thaliana (AT5G27560) TAIR;Acc:AT5G27560] MATSSPPCACAAPLLSRRRLPAPPHALSPAPPPRLRLPLRRSPPPARAKFGKFEASDAAPTEASAEEAESAAAAGDGAAEQKAEEDDSCLPSDLEGAIWQSGKASADFVNSGGMRAIAELLIPQLEFLNEEGAQAEVWALSRIFLDTLVKETGQKVKAIFPDAGAAALLKYQWTDAEFKCASLSDRKPVDVEDEVVVMIIPDHQMVESVERIASQLSDDPIRPLVMWNPRLVSGDVGVGFNVRNLRRNFLSTFTTVYSMRPLPTGAVFRQYPGKWKVFYDDPKRPNRYLLARELVSRPDATDIEIIFGGGDEQSEEAPSLMNNVMGVFSSMSRFMRVISK >OGLUM06G03050.1 pep chromosome:ALNU02000000:6:2166459:2168225:-1 gene:OGLUM06G03050 transcript:OGLUM06G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast-localized ISCA-like protein [Source:Projected from Arabidopsis thaliana (AT1G10500) TAIR;Acc:AT1G10500] MALASGTSCALPGAARPHLAVSPSPPASSIRFCRGGSRGGRAVVSLRASVPPVAAAAATSGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFEDRSNASPDDSVVEYDGFAIVCDPKSLLFMFGMELDYSDALIGGGFAFQNPNATKTCGCGKSFATGKETESTATACNN >OGLUM06G03060.1 pep chromosome:ALNU02000000:6:2181420:2181782:-1 gene:OGLUM06G03060 transcript:OGLUM06G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERNDAAAARLVRAGLLVLTLTSGAAIYRAAGDAGAIAFVATGYATLLLLFRYLRLYELAAAAEREGIRRKVWYLCTILTALFAWKVAGVMPPAAAAAVWLLAAATSAGGFVVLFHRRP >OGLUM06G03070.1 pep chromosome:ALNU02000000:6:2190655:2201778:-1 gene:OGLUM06G03070 transcript:OGLUM06G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIQPIDTMARFYMICLCHPTQLQTQLSMVKLAQCDVDVCELSMVLLLFLDLLQNQCLIHAAGADKKSQPTNLQNSLDQMLQPLLNHAKSN >OGLUM06G03070.2 pep chromosome:ALNU02000000:6:2190653:2202196:-1 gene:OGLUM06G03070 transcript:OGLUM06G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAQCDVDVCELSMVLLLFLDLLQNQCLIHAAGADKKSQPTNLQNSLDQMLQPLLNHAKSN >OGLUM06G03080.1 pep chromosome:ALNU02000000:6:2203282:2203833:1 gene:OGLUM06G03080 transcript:OGLUM06G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSPPPPSSSPPSRSPPPSSPPSSPWSPAMDSASLWWQVTDPASPRWPRIRPPHGGRPRIRSATAIANAAATATAIADAVVAIPDAAAVAIVDATASAALAEGAAIAEDERERERAMRGGEDEREREKCGRRRRRISVGGAGWRERHFIFVGWAIFMCGSLNMLIFACGSVKWPIHEN >OGLUM06G03090.1 pep chromosome:ALNU02000000:6:2226697:2229705:-1 gene:OGLUM06G03090 transcript:OGLUM06G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRASCSLLPPLALIPRSAPSILRRRRPSSGRSALAACWFMPQDPSSRVEAPSYAVRCPLVAVGLAMSNPGRGASLPSPSKDGGEAAGAQSICTGLREALLGSSIQHSLGRPPLAGIFQAAYRSSGNKLLLSYLCFDES >OGLUM06G03100.1 pep chromosome:ALNU02000000:6:2252380:2252736:-1 gene:OGLUM06G03100 transcript:OGLUM06G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNDRHSSLLTKVGFGALTCNSIFAIYRSQGDLASVAFVVAAYAALLLLFYFLGKFERARPEERGKVKAAVWSLTTLLTAMFASRVAPLMPPLVAAGVWIMAAATVVGGFWAFFLHP >OGLUM06G03110.1 pep chromosome:ALNU02000000:6:2254347:2254541:1 gene:OGLUM06G03110 transcript:OGLUM06G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYETDLGWGAPSRVELVSPFARELVMLLGAAGGGVQVSVSLDEAHMDAFETSWFQTAAGDVTV >OGLUM06G03120.1 pep chromosome:ALNU02000000:6:2255668:2258606:1 gene:OGLUM06G03120 transcript:OGLUM06G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVRVLAVSHVHPDEAAVGAAWPPPNTVELSFLDSFQVARGAIQRLFFYEGDDLPPFQSIVGALQSSLAAALPVFLPLAGKLAYLPESGDVVIDYSPDAVSPGVRFVEAEYSGSVDDMRRLAGDDEHQIEAFLQLVPELEVSMLPAPLLAVQVTRPRDDNVGGGGAGGAVAVGVAIHHGVADGQSVWQFIKAWAAAARGGSPAAGPGLVPPTFDRSMIRHPKVDGHQLSRTFLHKMSPALPVVTPLPMGVDMSQQRRRTFLLNAGEIESLKQRISESDAGREQLRNRLSTYVAISSLAWTSIVRAKSLDAAGKVYFMVSADCRRRLRPPADEGYFGNCVTTCVAKAISGDLSAGSDDGLAGLARAAAAIQRAIREGLEVPFGNSERWLDGATATTPPVRSFTRSGSSHRYMAYETDFGWGAPSWPPCTATRW >OGLUM06G03130.1 pep chromosome:ALNU02000000:6:2266435:2267646:1 gene:OGLUM06G03130 transcript:OGLUM06G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKHVLVLDNFQYFLPKCPALEWLEILMCSQLHNLHVSEPLLRLEFLRVQGCAINKIELHAPKLTTFEYRGCFKVIIALHKCLKLKTASIASHIEDNLEYVFTGLPNGLPHVERLHVKVFVRTQIPGFTQLPLKFINLRHLIMRITFGSAKRFGKNAVLQLAYLLEAAPLLVDLHLDVSYYAICTFILFVVLNTL >OGLUM06G03140.1 pep chromosome:ALNU02000000:6:2276466:2279658:1 gene:OGLUM06G03140 transcript:OGLUM06G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVAPPPPSNHFPLFLDLERQQVGGGKGGKWEIDVSSCSVHPCRRHNSMARWLKLEKDDKRRLCQWWWRHWNAILVVILDMGIVKARRRWPTFTPCQNWINNDGNSSAGP >OGLUM06G03150.1 pep chromosome:ALNU02000000:6:2291731:2292090:-1 gene:OGLUM06G03150 transcript:OGLUM06G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNGRSAWISRAGLGILTVNSGLAIYRSRGDAAAVAFVLGSYAALLLLFSCLAAFEREPPGSPARGRLKRAVWALSTLVTAMFAWKVAALMPPPVAAVVWALAVATSLGGFLAFFVYT >OGLUM06G03160.1 pep chromosome:ALNU02000000:6:2295151:2295595:1 gene:OGLUM06G03160 transcript:OGLUM06G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEGRRSQPSIHPSFGHSNTAHQPAVVRWFDSSPPLLAEVGLESGSGKTKFRNRDAQVARSG >OGLUM06G03170.1 pep chromosome:ALNU02000000:6:2296115:2300518:1 gene:OGLUM06G03170 transcript:OGLUM06G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLAYVGFGFLTLNSLLAIYRSHGDIAGIAFVATSYLSLLLLFWCLQQYERAPANSPAKSRSKAGVWFSSSLLTVVFSWRVSALMPWPVAAAVWLMAASTVVGGFYTLFLWSGRHICRRLYNISDVQTTSLFTPIRFMASEAATTCIGFILLTASSIAAIHRSHGEITETSFIVVSYLSLVLLFVFLRRFEAAPRNSPARGGAKAGVWVVTALLAAVFSWRVSALMPWPVDAIIWVMAASTVLGGFYALFLHHPGVD >OGLUM06G03180.1 pep chromosome:ALNU02000000:6:2306745:2307378:1 gene:OGLUM06G03180 transcript:OGLUM06G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNHDPISSICRRLYNISDVQTTSLFTPIRFMASEAATTCIGFILLTASSIAAIHRSHGEITETSFIVVSYLSLVLLFVFLRRFEAAPRNSPARGGAKAGVWVVTALLAAVFSWRVSALMPWPVDAIIWVMAASTVLGGFYALFLHHPGVD >OGLUM06G03190.1 pep chromosome:ALNU02000000:6:2311307:2311729:-1 gene:OGLUM06G03190 transcript:OGLUM06G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTSDPLLNPPAPASNRGNGGVRRVPWASLIGFVALAINFALCIYRAEGDRGAIAFVTFAYLNLLLLFWCIRQFDQAPHGSAARGRIRAAVWILATLLTAVFTWKVAALIPLPVAAVAWVMAAATVVGGFYGFFIHEDK >OGLUM06G03200.1 pep chromosome:ALNU02000000:6:2316448:2316870:-1 gene:OGLUM06G03200 transcript:OGLUM06G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAGDGRGWLLPQIVMTVASAAALALALWRQQPGGRGGGGGPAAILLAAAPYALLLLLLWCLRAFERAAGAGDAAAQGRLRLAVWLLSSALTVTFAARVAPLMHGAAAVLVWAMSAATICGGFYMLDLFPLHRRLDRNN >OGLUM06G03210.1 pep chromosome:ALNU02000000:6:2317938:2321506:-1 gene:OGLUM06G03210 transcript:OGLUM06G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPGKLALPSHESTIGKFLTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQENIRRQIAQELKISLSTQCQYVVACCQCFYVNGVISIVLEYMDSGSLSDFLKTVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSMYDDLNIDLASYFTTDGSPLATFNTSNRYDDR >OGLUM06G03210.2 pep chromosome:ALNU02000000:6:2317938:2321628:-1 gene:OGLUM06G03210 transcript:OGLUM06G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDSSPFRVSSSSSSGHLTLPASSEREASATAAAAAVAARWGSRGSWRCPRTSQPSANSCDLPTQSGTFKDGDLLVNKDGLRIVSQSEEGEAPPIEPLDHNQLSLDDLDAIKVIGKGSSGIVQLVRHKWTGQFFALKVIQLNIQENIRRQIAQELKISLSTQCQYVVACCQCFYVNGVISIVLEYMDSGSLSDFLKTVLKGLMYLHHEKHIIHRDLKPSNILINHMGEVKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKHGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFVSACIQKNASDRSSAQILLNHPFLSMYDDLNIDLASYFTTDGSPLATFNTSNRYDDR >OGLUM06G03220.1 pep chromosome:ALNU02000000:6:2324590:2327757:-1 gene:OGLUM06G03220 transcript:OGLUM06G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDAEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVAHLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCALTAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRITLH >OGLUM06G03220.2 pep chromosome:ALNU02000000:6:2325905:2327757:-1 gene:OGLUM06G03220 transcript:OGLUM06G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRAYAPPSSLGRDPQGDFPRHPPSEGSYYASRMAALHGTSDILRHDVPLQPRAYGLDGAAGASHPALAGLGGLAAGTTARGPSPLEDPALVRRSSSLGKTASIPDAEHPRPLLNLDGPREDESNILFVDGLPTDCTRREVARILPT >OGLUM06G03220.3 pep chromosome:ALNU02000000:6:2324590:2325840:-1 gene:OGLUM06G03220 transcript:OGLUM06G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLFRPFVGFKDIRLVHKEPRHSSDRAYVLCFVEFSDAKCALTAMEALQEYRFDERKPDAAVLNIKFARFPFRPAAAPHDDRRRITLH >OGLUM06G03230.1 pep chromosome:ALNU02000000:6:2329026:2329607:1 gene:OGLUM06G03230 transcript:OGLUM06G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGHRASAVRRYPPGCGRDHRAAHPPGQPGPSTTATNLLRPPPNASARAATKSPKLARQPLLAVATEGPDRGEGNGLVAGIEVPAATTEVVLVRRASAVRRYPPGCGRGAAASKPSKAQSAPRNGEAESIAGDQKVEMDAGSNGWMDCGGDAGGVRQEEGGGRPWDLTGLMLPPFLPWARHGRRSQRQKLL >OGLUM06G03240.1 pep chromosome:ALNU02000000:6:2334243:2336663:1 gene:OGLUM06G03240 transcript:OGLUM06G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKCLLLLALFLLLGTHGGEAQPLVPAVMTFGDSSVDVGNNDYLKTIIKANFPPYGRDFKNQVPTGRFCNGKLATDITAETLGFESYAPAYLSPDASGKNLLIGANFASAGSGYYDHTALLYHAIPLSQQLEYFKEYQSKLAAVAGSSQAQSIINGSLYIISAGASDFVQNYYINPFLYKTQTADQFSDRLVGIFKNTVAQLYSMGARRIGVTSLPPLGCLPAAITLFGYGSSGCVSRLNSDAQNFNGKMNVTVDSLSKTYSDLKIAVFDIYTPLYDLVTSPQSQGFTEARRGCCGTGTVETTVLLCNPKSIGTCPNATTYVFWDAVHPSEAANQVLADSLLAEGINLVT >OGLUM06G03250.1 pep chromosome:ALNU02000000:6:2338470:2350930:1 gene:OGLUM06G03250 transcript:OGLUM06G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSVAARSPPLPWLTLLHGTFLSISDGEIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISHDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRFLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFGGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALTGDGEGALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMIVPDDACCHGSLDNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIYYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISRTRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKGVEYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHRSKAFPAGESGGAQEDCIYFMCDYPPPEFAVDPLRDSGVYNMTGIAAELPHRVGQSRPTWLFPTDTMRRIPSELTRSSSSSPSPEIYLAPAYFGGCYSAPLPLLRRALPPTSPISSSSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLACIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAIFHRLVGRGPTWPKSPCTEVHHRGRRTLDELTPSSSSPAVVYLAPLRRSLHGYRRQFSPPQLGGGYGGPPLSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPAPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGEPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >OGLUM06G03250.2 pep chromosome:ALNU02000000:6:2338470:2350930:1 gene:OGLUM06G03250 transcript:OGLUM06G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSVAARSPPLPWLTLLHGTFLSISDGEIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISHDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFGGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALTGDGEGALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMIVPDDACCHGSLDNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIYYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISRTRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKGVEYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHRSKAFPAGESGGAQEDCIYFMCDYPPPEFAVDPLRDSGVYNMTGIAAELPHRVGQSRPTWLFPTDTMRRIPSELTRSSSSSPSPEIYLAPAYFGGCYSAPLPLLRRALPPTSPISSSSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLACIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAIFHRLVGRGPTWPKSPCTEVHHRGRRTLDELTPSSSSPAVVYLAPLRRSLHGYRRQFSPPQLGGGYGGPPLSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPAPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGEPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >OGLUM06G03250.3 pep chromosome:ALNU02000000:6:2338470:2350930:1 gene:OGLUM06G03250 transcript:OGLUM06G03250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSVAARSPPLPWLTLLHGTFLSISDGEIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISHDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRFLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFGGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALTGDGEGALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMIVPDDACCHGSLDNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIYYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISRTRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKGVEYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHRSKAFPAGESGGAQEDCIYFMCDYPPPEFAVDPLRDSGVYNMTGIAAELPHRVGQSRPTWLFPTDTMRRIPSELTRSSSSSPSPEIYLAPAYFGGCYSAPLPLLRRALPPTSPISSSSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLACIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAIFHRLVGRGPTWPKSPCTEVHHRGRRTLDELTPSSSSPAVVYLAPLRRSLHGYRRQFSPPQLGGGYGGPPLSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPAPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGEPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >OGLUM06G03250.4 pep chromosome:ALNU02000000:6:2338470:2350930:1 gene:OGLUM06G03250 transcript:OGLUM06G03250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSVAARSPPLPWLTLLHGTFLSISDGEIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISHDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRFLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFGGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALTGDGEGALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPYSSSWADFQPELLGLVLRRLPSHADRVRLRAVCRPWRSNAEMQFVPPPHPWRSNGQMQPFPPPLPWLGLLDGTFLDIASCAIHRMIVPDDACCHGSLDNWLFLMKSDGGCSLMNPFSRAKLKLPKLATCNGVYIFKPHLHKLVAPSPLDSSPDSLVAVLTIYYCNLSTIFICQPPVTTDSSKGKKPLEYIADVAFFDGKLYAISRTRNLLILEITGSSGKKPTILAVDSLINSTDHISARPKTLLKGVEYICREYLVECRGRLLMVTRYIPSVAHPTGPDYYEHYRTAGFEVFEADLTNIPGRWRRVHNLGGQALFVGKHRSKAFPAGESGGAQEDCIYFMCDYPPPEFAVDPLRDSGVYNMTGIAAELPHRVGQSRPTWLFPTDTMRRIPSELTRSSSSSPSPEIYLAPAYFGGCYSAPLPLLRRALPPTSPISSSSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLACIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAIFHRLVGRGPTWPKSPCTEVHHRGRRTLDELTPSSSSPAVVYLAPLRRSLHGYRRQFSPPQLGGGYGGPPLDDSTTEPQSFPEDYLIMLRYYLVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGEPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >OGLUM06G03250.5 pep chromosome:ALNU02000000:6:2338470:2350930:1 gene:OGLUM06G03250 transcript:OGLUM06G03250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCSVAARSPPLPWLTLLHGTFLSISDGEIHRMPLPNDASCHGSIDNWLFLRDSNGGCSLMNLFSKATLHLPKLASIWHDKMERAYRGSGILLCNEYTLLSYKFAVPLPLDSSPVPLVAVLINDPLHVSAACICYQPIATDSFISHDFSLENKTYDIAFCGGKLYALSASGKLYILEISEGHEGKPEVSCINSMVDLAEEPVTESHFQPCRESHPRMLWRYLVESGGRFLQVIRLFGYPFPLSHDDVLEDPRTLSFVVYEADLSNGSRMWRRVESLGGQALFGGTHGSKSVPAVECGAQEDCIYFISDYNRPYSANPLGDSGIYNMRNGMITPLVRLVSGNRHSGVYYQWRHPRWVVRHLFPLLFAVPTKRPRHDRALTGDGEGALPERTKARGRRPSTLSSPGYLLWRKPPRTAHCLDTSGCCLLVDLRRRVPRPRRIPSELTRSSSSSPSPEIYLAPAYFGGCYSAPLPLLRRALPPTSPISSSSRRPAASRRSRVSPAVSKSCMMAATQSSSWADLQMDILGLVLRRLPSLADRVRLRAVCRPWCSNAQLLTLPPPFPWLNLLDGTFLSISDGEIHRMPLPDDASCYGSIDNWLFLTDSDDGCSLMNPFSKATLQLPKLACIWHHERGNAYNACTRLFYKLAVPLPLDLSSDSLVAVLINDPLRHSVVCIVHRSIATDSFRFHDRPFKNNFYDIAFCGGKLYALSCGKLFTVEMSEVHIEKPKVPHVECIVEDFPIESHSQPCPENHICVTWPYLVESGGRLLNVIRLVGVPFPPEDDDDIFKDSLTFSFEVYEADLNTGSRMWRRVESLGDQALFVGRHCSKSLSAAEYVGAQEDCIYFMCDDYFRSDEDPLCDAGIYNMRSGVITPLLQENTAPRLHPTGEGHPTWFFPADGAIFHRLVGRGPTWPKSPCTEVHHRGRRTLDELTPSSSSPAVVYLAPLRRSLHGYRRQFSPPQLGGGYGGPPLSCMMAAVQSSSWADLQPELLGLVLTRLPSLADRVRLRAVCRPWRSNARLQPLPPPLPWLTLLNGTFLSISDGEIHCMPLPDDASCHCSIDNWLFLSHDDGGFSLMNPFSKATLQLPKLDTIWCHHLWYAAPKFPLFYKLAVPAPLDFSPTSLVVALIMNRSHQKALCICQPPVATESFRVEGSTMEGMQDFTFLDGKLYVLNNFNKLFILEIDESHIGNPKISSIECIIDSQDDSTTEPQSFPEDYLIMLRYYLVESGGGLLMVTRYVGIVLPLAEPNSFKHSRTLSFKVFEADLTTGSRMWRRSLPAAECGVPQEDCIYFMCDYWRPYAGEPLCDSGVYNMRNGVITPLLQDATAPRLHPTGRGDPAWFFPADVAT >OGLUM06G03260.1 pep chromosome:ALNU02000000:6:2351923:2353116:1 gene:OGLUM06G03260 transcript:OGLUM06G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRPCNGGSWPDLPSELLGLVLLRLPSHGDRVRLRAVCRPWRSSARLERKLLPPPLPWLFLPDGAFLTLPDGAAHRRLAIPGDVAHLVPTGSGLLLAHNDGMFSLMNPSSSATTPLPDLAAVFHGEIKCKYPDTAFQLGQRRITPIIKAVVSEHFIAFYFNSSKVIITSGQPHTVVKWSPPDSSYILDIALFQGKLYCLTFDIENCQEELYILEVGDEEPMVSDVKCIHSTPRDVGDEDEAWFNPHSTDRYTVHRYLVADGGRLLMVARWINLNLPLMLPRDSSIKRTRRFEVFEAVDLSSGHGRWIKVDTLMGHSLFVSESCSESLTAGAEEDCIYFMNDGITNRIPKDPFSDSGMYNMRDGMIAPLMPETAVTEHLAAHDGPWFSTWLFPTET >OGLUM06G03270.1 pep chromosome:ALNU02000000:6:2354523:2355782:1 gene:OGLUM06G03270 transcript:OGLUM06G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSPQLGETMPSKKRKVELHADDQPPPTQAIMATPEPCSGRPWPDLPSELLGLVLLRLPSHADRVRLRAVCRPWRSSARVELDLLPPPLPWLLLRGGAFITLPDGAAHRLPAVPGDATHLASTGSGLLIVHGDGMLSLMNPSSLATTPLAALAAVLPKYIRYKYLAADRQRLVPLINKAVVSDNFTALLIGNRTWKVIVTIGFSPPLAHFPSSIVDIASFQGKLYYLTSDVRKRQEELYIFGVDNAKQIGIRCISSTLKDIGEESWFDPCSTERYVTEQYLVASNDRLLMVRRWINLPPIYPSDSGIVKRTRRFEVFEAADLSSGCGRWIKVDTLMGHALFVSKGCSKSLSAGAEEDCIYFMHEDIKNGKPEDPFLDSGVYNMRDGTVAPLLTETVVAEPLAVHGGPWCPTWLFPSET >OGLUM06G03280.1 pep chromosome:ALNU02000000:6:2363452:2365963:1 gene:OGLUM06G03280 transcript:OGLUM06G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPPASLNSPPDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISDIAFCHGKLYVANPDFMLYKFDLTVSSGGNRYSSMKKMTVLREELQIWPQDVPLSKEDYHSIRRYLVECDGRLLLVRRWMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEAR >OGLUM06G03280.2 pep chromosome:ALNU02000000:6:2363452:2365963:1 gene:OGLUM06G03280 transcript:OGLUM06G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPPASLNSPPDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISDIAFCHGKLYVANPDFMLYKFDLTVSSGGNRYSSMKKMTVLREELQIWPQDVPLSKEDYHSIRRYLVECDGRLLLVRRWMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEAR >OGLUM06G03280.3 pep chromosome:ALNU02000000:6:2363452:2365603:1 gene:OGLUM06G03280 transcript:OGLUM06G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPDNACCHGSCDNWLFVVHDNGLCSLMNPFTKASVQLPSLPKVAPHNELLSDAKFHMTVVPPASLNSPPDLLAAVLIRDFRDTLFSFCQPLINSGSFNGCRQGMLISDIAFCHGKLYVANPDFMLYKFDLTVSSGGNRYSSMKKMTVLREELQIWPQDVPLSKEDYHSIRRYLVECDGRLLLVRRWMQIRPFAKCDDLLETACTCWFDVFEADFTVQPCQWRRLNTLGRRALFIGKYCSKSVSSEECEEVKEDSIYFMCDYVKSDQSVDPLRDSGVFNMKTGAITPLLSRTNAALPRHFGRWCLSWFFPSEASCIKLVQNKFAPGIEGRERFIIVVINK >OGLUM06G03290.1 pep chromosome:ALNU02000000:6:2367790:2372968:1 gene:OGLUM06G03290 transcript:OGLUM06G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLMLLAMSLMILSPAMDGGGGGTVQAQIVPAVISFGDSTVDVGNNNYLPGAVFKANYVPYGVNFGSRRKPTGRFSDGKIVTDITAETLGFESYAPPYLSPQSKGDNLLLGANFASAASSYHDDTAAMYQLKHYKEYQSKLAALVGQKNATAILSDALYIVSTGTGDFIQNYYHNASLSSRYNVNSYCDLLISIFSGFANELYRLGARRIGVTSLPPLGCLPATIRLYGKGRSGCVERLNGDAETFNNKLNITVEALAKKHSDLKIAIFDIYTPLRNMSESPASQGFLEARKTCCQTGTRKTRVYLCNPATVGLCRNASDFVYFDGVHPSEAANLVIAESTISAGISLVT >OGLUM06G03300.1 pep chromosome:ALNU02000000:6:2368069:2370091:-1 gene:OGLUM06G03300 transcript:OGLUM06G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLAASEGCTPSKYTKSLALRHNPTVAGLQSLLLKVSASPLSLSTHPLRPLPYSLIVAGRQPSGGRDLAGTCYLCTHIHGGRVVMVAGRRGGKVGAKEKVIPLRLRAKVALAQLWMARNPTFKMVRATCADTTAV >OGLUM06G03300.2 pep chromosome:ALNU02000000:6:2368069:2369784:-1 gene:OGLUM06G03300 transcript:OGLUM06G03300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRSECFFASASTVMFSLLLKVSASPLSLSTHPLRPLPYSLIVAGRQPSGGRDLAGTCYLCTHIHGGRVVMVAGRRGGKVGAKEKVIPLRLRAKVALAQLWMARNPTFKMVRATCADTTAV >OGLUM06G03310.1 pep chromosome:ALNU02000000:6:2372326:2376237:-1 gene:OGLUM06G03310 transcript:OGLUM06G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPHEAIPRLLADLARRRSHPPPGGGRSGDSLAASVSSLAAALNPQGGASSSSSGTRVLDAVLSLMCFDPMEVDRARVDCLVRTTVSALSASVSCRVDRIDGAEMLIVGSSVAPGDCRELVHSCAALLEKLGDPDVADHSYDLLYAVVKAALLSPRYRCLFPLPYYREDEDSTCDMGTISSVLTRHPTYQVLPNDYTIPLRGLGAVLELQTAVVSSVLDVLFEPMAWGISMELGQKLPFSYDYFPHQHIDLLAILTGPLSCRKFVDLTSYIDSQSHASKGSVKYNSSWSMIVNFPLWFNFATALLFHREGSHDYLSEALSMEIISESIRDVNLAHRAAMYLSWVLCPSNEDQRQILAGNILELSHSWARNNKKGPSHVHHTSTVNHRRKLRIPTVGDTEKLHLSTNPVSSLIKEFDDRCVKFCSKTANSQVQDEELSDLPIHFNFLHLWIPLGILLVSSSFVNDQDCDMLLHYSSTGQVLESNEVPRKTKDHICNDSFSASCKGFTETWASAGASLVFRWLDLIINMSAVIFEREDICDRFVSQLKSKTNPYLLKCLYSLLEVLDEASQRDFLVDLHDRLLNWNKKGQSFDGFEAFEDIILRMNKKFHFRT >OGLUM06G03320.1 pep chromosome:ALNU02000000:6:2377549:2379354:-1 gene:OGLUM06G03320 transcript:OGLUM06G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGFGSTGSIPLRRLHCKVSSSRRLSPATAPPSLHGDLLPAKHCLSRSLSSPPTNNTMEVRPMVALRAALVGGVAAFAKIGAAMKAAGGAKVGAAAAAMTAAATAAVSSKDTNKDNPKTETK >OGLUM06G03330.1 pep chromosome:ALNU02000000:6:2379525:2384097:1 gene:OGLUM06G03330 transcript:OGLUM06G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDKENLDLSDLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHTDVLEALSPNVRKRVEYLREIQGQHDEIELKFFEERAALEAKYQKLYEPLYTKRYNIVNGVVEVDGGNDEPASENAAEGKDADAKGVPDFWLTAMKTNEVLSEEIQERDEPALKYLKDIKWARIDDPKGFKLDFFFDTNPFFKNSVLTKTYHMVDEDEPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFSPPQVPDDDEDIDEDTADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQAEDFDDMEDDEEDDEDDDEDEEEEEDEDEDEDDEEEKSKPKKKSAGKPKLPSKGGAQGGADQPADCKQQ >OGLUM06G03340.1 pep chromosome:ALNU02000000:6:2385557:2388165:1 gene:OGLUM06G03340 transcript:OGLUM06G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGTDAEEEPFAIA >OGLUM06G03340.2 pep chromosome:ALNU02000000:6:2385353:2388165:1 gene:OGLUM06G03340 transcript:OGLUM06G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRGIGAKLGKVNHEKVTSALLLGSFVVLGWRSWEQQHEIDELEARKASLRAANTAMSSAMWAWREELFALAAAPSPPISASRLRVIYGEEQPPASPASKKPGTDAEEEPFAIA >OGLUM06G03350.1 pep chromosome:ALNU02000000:6:2388695:2391474:1 gene:OGLUM06G03350 transcript:OGLUM06G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGRRGIPSLLNSSSSDEHIATDITQLVGWTPLIELNRIVRKDGVNARIVGKLESYQPLCSVKDRSALRMIEDAEEKGLISPGVTTLVEPTSGNLGIGVAYNALLKGYRFIAVMPAEYSLDKQMLLTYLGAEVILTDPTLGFQGQLDKVEQIKNDMPNVHHLDQFKNAANPEAHFVWTGPEIWKDTAGKVDIFVAGSGTGGTISGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGMGPGFVPKNLDISIVDEIITVTAQDAMANAKRLAREEGLLVGISSGANLAACLKVASRKEYEGKMIVTIFPSGGERYMNSDLFAQAREECSAMTF >OGLUM06G03360.1 pep chromosome:ALNU02000000:6:2393509:2396493:1 gene:OGLUM06G03360 transcript:OGLUM06G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEGIGRRGLPSLLGSSSSESGGIGQEHIASDITQLIGWTPLIELKRIASKDGIDARIVGKVEAYQPLCSVKDRSALRMIEDAEEKGLITPGVTTLVEPTSGNLGLGLVLVALRKGYRFVAVMPGQYSFDKQILLKYMGAELFLSDPTLGFQGLVDKVEQLKKELPNVHVLNQFSNPANQEAHMRLTGPEIWKDTAGKVDIFVTGSGSGGTVSGVGKYLKLQNPAVKIICVEPAESPVISGGEPGKHKIQGIGPGLIPDMLDTSVIDEVVTVNTDEAMVNARRLAMEEGLLMGISSGANLAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECNAMTF >OGLUM06G03370.1 pep chromosome:ALNU02000000:6:2397489:2400940:1 gene:OGLUM06G03370 transcript:OGLUM06G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPREGGGGNGGDGEAREERVSSGYYSSSAAARQHGSEQPPPTQQMERRSSVAAAAEEGVGVVLVGSGDPGRIPAAVFERDTSESNKDWSMMSTESVFALQVAPSSDFTGFFLAHPELMDIATPPRSSSSSAAAAAAAGEAVGHAHSAQFESVPELGEATMRIQGQYSFAFPNLVEVKRHSAKNPQEDQPMSATMATAATAETTAPAPVRAETSSKPEEAPAKAATKGGWLPCFPCC >OGLUM06G03380.1 pep chromosome:ALNU02000000:6:2399019:2400824:-1 gene:OGLUM06G03380 transcript:OGLUM06G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTPHRGGSPSPRFTLQPSRLPPEDILFCVDVDLETRSEMRIAPGPAAAAAAASPGAAGASSGAAAASRQAARPPVKRMDAVKQALLLFVHSKLTMCPDHRFAFASLGDTVSLVKKDFSSDAGSAVEAIQSLDASETRYAMADLTQLFKIAYQEGKRAELQGRLLRVVLIYCRSSTKPQHQWPIKQKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARILFRQTCILLSHPLQRCIQDDLDIPKPLAKKNMVTEAAQNEDGMPVSTQ >OGLUM06G03390.1 pep chromosome:ALNU02000000:6:2402846:2403520:-1 gene:OGLUM06G03390 transcript:OGLUM06G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPPPSPPDGEHSFGHEAIALSFFVACVAATVVMASSMCSACGRKPKADDPAPAAAAAAADVNAESHGDGGEEGEEEEKAPVVTLSPELATHGPIAGVAPPPSAAAKRRMSMTMSLSKNLSMNIPDKMRLSRRERRDKVEPEDTLWKKAIILGEKCKIPGEREGEADADADDLAAGSFRRSSYSRPMSRSISLAVHQSHVDAPPATTAAAAATAGASSAGSS >OGLUM06G03400.1 pep chromosome:ALNU02000000:6:2403945:2406936:-1 gene:OGLUM06G03400 transcript:OGLUM06G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Saposin B (InterPro:IPR008139); Has 137 Blast hits to 137 proteins in 50 species: Archae - 2; Bact /.../ 0; Metazoa - 41; Fungi - 10; Plants - 36; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G29520) TAIR;Acc:AT4G29520] MARGGGVAVAMAVAVAAVVLLLHPAASAAAAGPKKVATAARKEDIPYIRCQVCERIAREISAQVAKKQQALPATKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACVVDPPPIPKDRVPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMKNNFGVDGDDDDDDEDEDDDFPKNLGNVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKMKFSVYT >OGLUM06G03400.2 pep chromosome:ALNU02000000:6:2404102:2406936:-1 gene:OGLUM06G03400 transcript:OGLUM06G03400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Saposin B (InterPro:IPR008139); Has 137 Blast hits to 137 proteins in 50 species: Archae - 2; Bact /.../ 0; Metazoa - 41; Fungi - 10; Plants - 36; Viruses - 0; Other Eukaryotes - 48 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G29520) TAIR;Acc:AT4G29520] MARGGGVAVAMAVAVAAVVLLLHPAASAAAAGPKKVATAARKEDIPYIRCQVCERIAREISAQVAKKQQALPATKKVPEIEIIEIAENVCNLKKQEADWMLKIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKKKPSADQLVKFLCKDLSEACVVDPPPIPKDRVPGEPFAAKPSKDAEMDRILKSMEGIPGAPSMKMYSRDDLMKNNFGVDGDDDDDDEDEDDDFPKNLGNVFKDKGSPKKDLKQQVVKQIKDTGKKLKGHVNKVSKMVKKWWQGKKKPSKSSKTEL >OGLUM06G03410.1 pep chromosome:ALNU02000000:6:2408873:2410297:1 gene:OGLUM06G03410 transcript:OGLUM06G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRFDLEIASRELVRASRPPLGFPPVLAVSNLDLILGPFPIYLVSVYAPPPGGVAAVVSAVRAALPAYLSHFFPFAGRVVRDPATNIPEVACNNAGAELVVADAAVPLAAVDFAQVDRSIGLMRVAFDASLPLSLQLVRFACGGFSLTVATNHLLADGRAFIVLLNALGEMVREGRLTSEPLLDRSLLMPRSPPRFSPSLDEEFSRFTPATMINPLMAAAIQRRLYRIEAADLERLREEASAGGGGGRRATRFVALCAHVWKLLARAVGDSDTHCRMAWIIDGRKRLEPPSVGGGEGGALDRYMGNVVTYTSREASVEEVLGAPLHAVAGMVRAAITAAMTRDRFQQLVDWMETKKAAAFKDGGKWTEAVNLGLGSPAMVISGLLPFAIDGDMGFGKPRLVMPWLQHGRLGSASATVVPSPAGDGSWFFAGTRLWPRLLEVVEAAGPDCLLKPATAASLGLAYPAGAHGSRL >OGLUM06G03420.1 pep chromosome:ALNU02000000:6:2413501:2413854:1 gene:OGLUM06G03420 transcript:OGLUM06G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVTITDCTEVGEEATTEAPTYIDAYNGEILIGLLMEASHSKNTPLLAKRDYFELVEPYLEAHVIVSETIVIVSRRLPLPGHGLGAD >OGLUM06G03430.1 pep chromosome:ALNU02000000:6:2415741:2416655:1 gene:OGLUM06G03430 transcript:OGLUM06G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVVVLPTVVSRSCRLTVVVEPFDKVVEIKQKVESCYGIPVTAQRLLYWNRELADDHDIEYYPIFDGSHVLLLLHWQVAARFCWIHGLAKWSGGDTTHDMVHVTAYLPPASWGRKVTVFARREESVAALKRRIHGVQKMAMPLPKCMWLGVNDFVCGGLMVMMDHWPLGAYVEFDSGVVEVTIVDCNKMVEAGSSSGSNRNTNVDANDNKIVIGLLMEGSRSQHMDFLLEASPADMVATLREQLNDNFEGSPEMPLLAEGDYHFELNGVAMNEELSLEAHGVVESGETIMIIFGRLPAPGRE >OGLUM06G03440.1 pep chromosome:ALNU02000000:6:2421677:2422185:-1 gene:OGLUM06G03440 transcript:OGLUM06G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTGREVEEVKGTEYSEGGGEGVGEVVGSQGQCGGGCGRWCWRMGLSSTVFDMVSAVLAIDFVAHAGMHTRLSVVDYICFPPSPRPPSATSPSSAKLRPSTSETSSKVSAAPRSSCSSILPPRKMVDSNAHCRNADVSVWLDAPGGEKASIRWRFGYFKPNTFGDQ >OGLUM06G03450.1 pep chromosome:ALNU02000000:6:2424595:2426031:-1 gene:OGLUM06G03450 transcript:OGLUM06G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLGRFGVEVTARTLVRASDPPPGFPAVLPVSNLDLILGSFNVSLIVVYPAPARGFAAVAAAVRAALPAFLSRFFPFAGRVVADAATGIPEVACDNAGAELVLADAGVALADVDFADADRSLGTIQLPYEQGVALSLQLVRFKCGGFSMSWGTNHLLVDGHGLTALPTAWAEMLRTGGLSWEPHHDRRSLFRPRSPPRHGASLDAEFTRYAPGSLVNPLLAAALVRRNYVVGADDLDRLRAAASTASRRATRLEALSAHVWKLLAAATHGSDTRCRLAWLVDGRRRLDPAKYDPTLVSSYLGNVVTYASRESPVEAITSSPLADVAAMAGAAIGEVFRQERYEELVDWMELRKAAAFKNGEKWTETVGIGTGSPAVVVSAFVPFRVDGDFGFGSPALVMPWVRPGRLGSAAMTVARSPREDGSWVVSARLWPRLADAIEADPDAVLKPATAARLGLARRAPAAADVARHASRL >OGLUM06G03460.1 pep chromosome:ALNU02000000:6:2427138:2431979:-1 gene:OGLUM06G03460 transcript:OGLUM06G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G13700) TAIR;Acc:AT3G13700] MSLSHHLPPPPGDPYYVYAPHPYPDPQRQGVLTLFVAGLPDDVKPREIHNLFSSRPGFDHCLLEYTGRGNQVVAFVSFVNHQAALSAMSALNGTVFDPDTGDRLHIELAKSSSRKRHGDGGVYRVVDKRLKRKERAADHENAGDGGNDDDAWGEDDNGGNDGDGGSDEPLDTENDDSDEKNELPAERSSGQPGLKQHRGQSLSDDQPDKLSSDIPPCSTLFVANLGHSCTEEELKEVLSKQPGFHLLKMRRRGGIPVAFADFTDIESSTAAMDALQGTVRKVKNEEKLAH >OGLUM06G03470.1 pep chromosome:ALNU02000000:6:2433386:2436074:-1 gene:OGLUM06G03470 transcript:OGLUM06G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLRCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >OGLUM06G03470.2 pep chromosome:ALNU02000000:6:2433388:2435081:-1 gene:OGLUM06G03470 transcript:OGLUM06G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAIYSLFIINKSGGLIYYKDYGSAGRTDTNDSLRLASLWHSMHAISQQLSPTHGCEGIDLLQAHNFDLRCFQSLTGTKFFAVCETGAQNIETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVTLLGR >OGLUM06G03480.1 pep chromosome:ALNU02000000:6:2439748:2451360:1 gene:OGLUM06G03480 transcript:OGLUM06G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTLLTVANGGTNSHSHRSIGRPLYLYPSRRRRSSAVSSMATTSDIAGRSFRCSCTQRAAVRATISNASSCLAVGSAGRLSSNLPSSPSSMIGSEKATKLVTSPSSFRSMKIGLLPVSISSITTPKLYTSLLRV >OGLUM06G03480.2 pep chromosome:ALNU02000000:6:2439748:2451360:1 gene:OGLUM06G03480 transcript:OGLUM06G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >OGLUM06G03480.3 pep chromosome:ALNU02000000:6:2439748:2451360:1 gene:OGLUM06G03480 transcript:OGLUM06G03480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRREMLISSVVAISEKSFRFNRRGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTVFRPQG >OGLUM06G03480.4 pep chromosome:ALNU02000000:6:2439748:2450361:1 gene:OGLUM06G03480 transcript:OGLUM06G03480.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MPHPSAAAMLPSPSSLLRLLRRPHPRLLPPPPPLLTRFLSSSSPGDAAGWASYDPLTDSLAPPAAAAAASDSEAPAEGEAWGVFDAVTGRIVMKEHPPYSQPPPPGPDEERSKVGRRRSAGVKDEARWSSVAAVGKARGKAGKERASYVCGNCGEGFSQWWGTCRHCEAMGTLTKYVPGSDPGASVGSHHAFRSWIPQKSKEMVPQSLQQVTKGVDQSEWRIPLSGNFGMEIARVLGGGVVPGSLILVGGDPGVGKSSLILQLASIMSENIGAGESSAVVYVSGEESIEQIGNRADRMSIKSRNLYLYSSTDIEDILDKIQPLSPRALIIDSIQTVYLRGFAGSAGNMTQVKECTSALLRFAKLTNIPVILIGHVTKTGDIAGPRLLEHIVDVVLYMEGERCLSHRLLRSVKNRFGSTDELGVFEMSGYGLQPVLNPTEMFLTEHDSDSEILAGLAVAVVLDGSRTFAIEVQALCVSGSPRNGEVVGIPRNRADIIISVLMKQAGLKLQDNAVFLNVVSGFMLTETAGDLAIAASICSSFLEYPIPNDIAFIGEVGLGGELRTVPRMDKRVLAIAKLGYKKCVVPKTSEKLLRPLNLELEILPCSNLKEVINTMMLVLT >OGLUM06G03480.5 pep chromosome:ALNU02000000:6:2444070:2451013:1 gene:OGLUM06G03480 transcript:OGLUM06G03480.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent peptidases;nucleotide binding;serine-type endopeptidases;DNA helicases;ATP binding;damaged DNA binding;nucleoside-triphosphatases [Source:Projected from Arabidopsis thaliana (AT5G50340) TAIR;Acc:AT5G50340] MKFACKLQGVRGVAHRSHTIFFLHENRRNSKWWDELTFTSEYRTTALPLPIAKEEIKRSLEYGHDFRYRRSLLPLQLHAARRRPRHHFQRLELPRRWLRRPPVQQPPQLAVFNDWKRKGYQVGDLALLLPIDENWPLAREHLQHHDAEAVHVAAPRLIHCAVQLRVDVSGRAVHDGARTLHAVDHRRAEVRQMRHPARVDEDVGQLDVPVDDRRRGGAVVQVLDAPRHAEQHLDAHLPRRHHRRRRRRRRQVAMQLIGE >OGLUM06G03490.1 pep chromosome:ALNU02000000:6:2449310:2451001:-1 gene:OGLUM06G03490 transcript:OGLUM06G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWGGLGQAATVAQLVGADVGGLISSIIQAAATARQNKRECDQLARRVVMIADLLPHLQDPEVMRRPEVRRPLAELGDTLREAHELVASCQGRSAAYRFVMAGRLADRFRDVQSKIDSYLIVFPFIAHIDITRRLDQIYRILAPNDTAAASSSSSAGSSQSDQIYNILVSNDTTAASSPSSAGSLQSPDALEFARISQGDGGEEFTVKELVAATNNFANEIGRGSSGSVYKGRLRDGREVAIKSMVKTSPDHGREESLMRGLAILSRLRHDHIVRLLGFCVVREKKRESTLLLSFRKKKKKAAERQAGELLLVYDYMENGSLADQLHGHLSSSSSSSPVMASWKMRIKMLLGVSRGIEYLHHGATTTPIIHGDIKLSNILVDSSWVPHLTDFGAAVINGMERPSTVVHGTAGYIDPELYSTMNQTRSSDVYSFGVVMLEMLTGKRPIFIDRKEEGEVTNLVAFSLPIIEDGELGRLLDRRPAEPTARQLEALEMVARTAARCVQLQRKERPAISEVVAILETALDLLLRDG >OGLUM06G03500.1 pep chromosome:ALNU02000000:6:2453109:2463755:1 gene:OGLUM06G03500 transcript:OGLUM06G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLRSSLLAPLRTPTQLNLKRICRLKWQLLRIMQGREKGESAMAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLSRGIDHLVIPTRDYLFAPSLVDISRAVDFIHRNASCGRMTYIHCKAGRGRSTTIVLCYLVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVVQDFSKKNAEAELPTVTSHSAAASSAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTMPMTNMLSCLFPSLK >OGLUM06G03500.2 pep chromosome:ALNU02000000:6:2453109:2463755:1 gene:OGLUM06G03500 transcript:OGLUM06G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLRSSLLAPLRTPTQLNLKRICRLKWQLLRIMQGREKGESAMAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLVPSSIYVQLEVSYDTECSSAEIFSLVGLITLLFLQEITCLLPHLWILVELLISYIVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVVQDFSKKNAEAELPTVTSHSAAASSAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTMPMTNMLSCLFPSLK >OGLUM06G03500.3 pep chromosome:ALNU02000000:6:2453109:2463755:1 gene:OGLUM06G03500 transcript:OGLUM06G03500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFLRSSLLAPLRTPTQLNLKRICRLKWQLLRIMQGREKGESAMAVVEAAGGRRGVAAGERRKAKAKEAAVGAMARALFYPTLLYNVVRSKVQAEFRWWDEVDQFILLGAVPFRRDVPRLQKLGVYGVITLNEPFETLVKYKNMTPSTAFEHVRSKRARVLLTRSQWRVVQDFSKKNAEAELPTVTSHSAAASSAGNVVSVTEADLESSEVTAANIPDITEHASLSSHKTTPTMPMTNMLSCLFPSLK >OGLUM06G03510.1 pep chromosome:ALNU02000000:6:2453677:2458356:-1 gene:OGLUM06G03510 transcript:OGLUM06G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A550] MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIVCGLYDMYGVSRGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKALK >OGLUM06G03510.2 pep chromosome:ALNU02000000:6:2453677:2458356:-1 gene:OGLUM06G03510 transcript:OGLUM06G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A550] MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVVKQYFVNPDDTVCQKAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKALK >OGLUM06G03510.3 pep chromosome:ALNU02000000:6:2453677:2458356:-1 gene:OGLUM06G03510 transcript:OGLUM06G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A550] MASPPTASASASEAAESGRRSAPGPIDVPSPRDHLHHLLDRRDTPRVVHVEGTTMQRQRGEAAGDAAAAKPEVKLVTGDGGYVLEDVPHVCDYLPDLPTYSNPLQDNPAYSVAIVHKDGPRGNHFRRAGPRQRVFFESDEVHACIVTCGGLCPGLNTVIREIGGYRGFYACNTIDLSPKSVNDIHKRGGTVLGTSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAGSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYLEKRLKENGHMVIVVAEGAGQKLINETKESMGKDASGNSILLDVGLWLSQKIKEHFKKIKTTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGAMAGYTGFTVGQRITEKQNKVSITDRMWARLLSSTNQPSFLSKKDVEDAKMEEERASKFFDGPPPNPKVEDKVASNGKALK >OGLUM06G03520.1 pep chromosome:ALNU02000000:6:2464365:2467405:1 gene:OGLUM06G03520 transcript:OGLUM06G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0A553] MSWQAYVDDHLMCEIDGNHLTAAAIVGHDGSVWAQSPNFPQYKPEEITGIMKDFDEPGSLAPTGLFLGGTKYMVIQGEPGVVIRGKKGTGGICVKKTGLSLILGIYDEPMTPGQCNMIVERLGDYLIEQGC >OGLUM06G03530.1 pep chromosome:ALNU02000000:6:2469353:2473583:1 gene:OGLUM06G03530 transcript:OGLUM06G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-box zinc finger protein 22 [Source:Projected from Arabidopsis thaliana (AT1G78600) UniProtKB/Swiss-Prot;Acc:Q9SYM2] MKIQCNACGAAEARVLCCADEAALCTACDEEVHAANKLAGKHQRVPLLSDDGGAAPAAAAPAVPKCDICQEASGYFFCLEDRALLCRDCDVSIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPVADKHVKSAGGSVDSATKHLQRNPTDLSGENSASLPSQNVINGNYSRQSSVTMAKTGQVNWTMSNNTIRSIDLPPKYSSEESPALLLASHTNTMAAYSNQISKDSDRIYNLPFTGGNGSDSLHDWHVDEFFSNSEFGFAEHGSSKGDNAKPGSAGGSPQCRLAEGLFVEGLLGQVPDNPWTVPEVPSPPTASGLYWQNNLLCPSYDSTMFVPEISSLENSQNNFTVSAGLKRRRRQF >OGLUM06G03540.1 pep chromosome:ALNU02000000:6:2474305:2479588:1 gene:OGLUM06G03540 transcript:OGLUM06G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0A555] MLRRVAPSLRRAILTTSAHGRAGAQLTEPGLSPPHALLPQWRLCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSCKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >OGLUM06G03540.2 pep chromosome:ALNU02000000:6:2474305:2479588:1 gene:OGLUM06G03540 transcript:OGLUM06G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0A555] MLRRVAPSLRRAILTTSAHGRAGAQLTEPGLSPPHALLPQWRLCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSCKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTGACSSVMGKPH >OGLUM06G03540.3 pep chromosome:ALNU02000000:6:2474305:2479588:1 gene:OGLUM06G03540 transcript:OGLUM06G03540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0A555] MLRRVAPSLRRAILTTSAHGRAGAQLTEPGLSPPHALLPQWRLCSSAASTNSPPPPPPPPQGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSCKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAASDPSTASIEYFCTTAEDLVKEHKQFDAVISLEVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >OGLUM06G03540.4 pep chromosome:ALNU02000000:6:2474376:2479588:1 gene:OGLUM06G03540 transcript:OGLUM06G03540.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0A555] MAARARNSRSLGTPRPAGGSTVSSLNPAEVAKFAAIAETWWDSEGPFKPLHLMNPTRLSFIRSTLCRHFRRDPNSCKPLEGLKVIDVGCGGGILSEPLARMGATVTGIDAVDKNIKIARVHAVIEHVANPSGFCESLSALTVPNGATVISTINRSMRAYATAIVAAEYILNWLPKGTHQWSKLVTPEELVLILERASISVQEMAGFMYNPLRGEWSLSDDLTVNYIAYGMKKVETPSESN >OGLUM06G03550.1 pep chromosome:ALNU02000000:6:2480673:2484568:1 gene:OGLUM06G03550 transcript:OGLUM06G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCLPPCLRRALPASSSSTSAARRGVRLAEHGQSPPQSAPPPPSPATQKDGAVRTPGGGSTASSLNPAEVAHFASFAETWRDPNSSKPLEGLKIIDVGCAAGMLSEPLARMGATVTGIDAADESIKIARVHAASDPLTASIEYLCTTAGCRHHLQDSEVQRFLVIEHVDNPSEFCGSLSALTVPNGAFVISTINRSIRAFATMIVALEYIFHWIPKGTHHWSKLVTPDELVQMLEKASIYVQEMAGIGYNPWRGDFSMSKDTSVDYFAYGIKKVETPSVVPQTQA >OGLUM06G03560.1 pep chromosome:ALNU02000000:6:2485232:2495610:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAVAAAAAAAGGGGSHRSVHHAHLAALLNPSPRSPPHPHPLRLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASFPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSSMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCRKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGIKDYKMLKYACTYTPRELLISTNGGTEDKNEQWNND >OGLUM06G03560.2 pep chromosome:ALNU02000000:6:2485232:2496646:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAVAAAAAAAGGGGSHRSVHHAHLAALLNPSPRSPPHPHPLRLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASFPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSSMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCRKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGIKDYKMLKYACTYTPRELLISTNGGTEDKNEQWNND >OGLUM06G03560.3 pep chromosome:ALNU02000000:6:2485232:2491473:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAVAAAAAAAGGGGSHRSVHHAHLAALLNPSPRSPPHPHPLRLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASFPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSSMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCRKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGIKDYKMLKYACTYTPRELLISTNGGTEDKNEQWNND >OGLUM06G03560.4 pep chromosome:ALNU02000000:6:2488997:2495046:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAEEEPKKNEGEMALLHARVGSRLHAVVRLGVAAMAAVLARPVLLRRVVVRRRVAVLLRRSRVPGHRGGREHEHGKRQRHQQPLQQSRHGWTRRAFQSLPSEAGLHFMSSPRMRATPGLPFQNANGSRSGHRTRALSRRTASSVAGHAPMFTSGHPQNDQSGNTTSSVVASLQYRYTGGASAGAAAKSAARTRPRSSQANMMSTSGTRPA >OGLUM06G03560.5 pep chromosome:ALNU02000000:6:2488997:2496780:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAEEEPKKNEGEMALLHARVGSRLHAVVRLGVAAMAAVLARPVLLRRVVVRRRVAVLLRRSRVPGHRGGREHEHGKRQRHQQPLQQSRHGWTMLTASRPTHLCTTMSNARKTTPTMTEPTPFILITDDGHRRRPAGAPPLSSAAGGGGGGVSAALSSSPAASRLKKLELLLLIGSCVMHVHGATALKSEVKRN >OGLUM06G03560.6 pep chromosome:ALNU02000000:6:2485232:2491473:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAVAAAAAAAGGGGSHRSVHHAHLAALLNPSPRSPPHPHPLRLHRRHLPLSLPAARRLAAAFPPLPLLLSLLAALRLLPSPPPPRPFDALIRSYASFPRPSLAAAALAFAASAGYAPSVPAYNAVLLALSDASLPSARRFLSSMLRHGVAPNVYTYNILVRALCARGRLEEAVGVVGDMRGAGCAPNAVTYNTLVAAFCRAGELDGAERVVSSMREEGNAKPNLVTFNSMVNGLCKAGRMEGARKVFDEMVREGLAPDVVSYNTLLSGYCKVGCLHESLAVFSEMTQRGLVPDVVTFTSLIHATCKAGNLEQAVALVAQMRERGLRMNEVTFTALIDGFCKKGFLDDALLAVEEMRKCGIQPSVVCYNALINGYCKLGRMDLARELIREMEAKRVKPDVVTYSTIISGYCKVGNLDSAFQLNQKMLKKGVLPDAITYSSLIRGLCEEKRLNDACELFENMLQLGVQPDEFTYTTLIDGHCKEGNVEKALSLHDEMIRKGVLPDVVTYSVLINGLSKSARTKEAHRLLFKLYHEDPVPDNIKYDALMLCCRKAEFKSVVALLKGFCMKGLMKEADKVYQSMLDRNWKLDGSVYSILIHGHCRGGNVRKALSFHKQMLRSGFSPNSTSTISLVRGLFEEGMVVEADNAIQDLLTCCPLADAEASKALIDLNRKEGMDYFQAQGEIWYSESSPSVEYWNYMMTICHFGIKDYKMLKYACTYTPRELLISTNGGTEDKNEQWNND >OGLUM06G03560.7 pep chromosome:ALNU02000000:6:2495303:2496780:1 gene:OGLUM06G03560 transcript:OGLUM06G03560.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G39710) TAIR;Acc:AT5G39710] MAASWGTSPAPPCRCRRRRAAVSSAAAWRCCGGDAIVMLTASRPTHLCTTMSNARKTTPTMTEPTPFILITDDGHRRRPAGAPPLSSAAGGGGGGVSAALSSSPAASRLKKLELLLLIGSCVMHVHGATALKSEVKRN >OGLUM06G03570.1 pep chromosome:ALNU02000000:6:2493363:2496731:-1 gene:OGLUM06G03570 transcript:OGLUM06G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEPMSRSSSSFFRRDAAGDEERAALTPPPPPPAADDKGGAPAGRRRWPSSVMRMKGVGSVMVGVVFLALLIVVHRWVGLDASFLRDSSMVSTSTRQWHPHHNISTPPLMTLPPFSCGNGTAAPATCPTTPPSPPPMSKPAGRGEPAPSCPDYFRYIHDDLRPWRGAGITREAVERGRRHAYFRLVVVSGRAYVETYRRSYQTRDAFTQWGVAQLLRRYAGRVPDVDIMFACDDRGRVRAADFAAAPADAPPVYRYCRDATTLDVVFPDWSFWGWPEVNIGAWPATLEAVRRESARVRWPEREPFAFWKGNPGVARIRGELMKCNPASDGRDWNARLFSQDWNHAIHNGFRDSSIPKQCLHRYKIYIEGEAWSVSEKYIMACDSPVLFVTTPYQDILSRGLVAGEHYWPINRTRMCESIKTAVDWGNAHPAAARRIGEQGSRFVREQMAMDYVYDYMFHLITEYAKLLRYKPTVPANAVEICAESMACGAAAGRERECMDESVEGFVAGFDPCSLPPPFTEEEKREIAAREEEVLRKVAKLEEENM >OGLUM06G03580.1 pep chromosome:ALNU02000000:6:2499985:2502026:-1 gene:OGLUM06G03580 transcript:OGLUM06G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADDLARRLAAFIPLPQPPQKEQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDGLDVAFAQRHRRNGGARIAAAAAVDARVSKDSGGGGVAGQHDPGSMNLSATYDSRTSDVESSVVARGDLWRAEASHSSAAAAAPPLFMVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHRRWFFMSMICLNPFTCIGRSKLNGSAASSGLVLRVDAPLHSFGRPWFSIQMNSGLEF >OGLUM06G03590.1 pep chromosome:ALNU02000000:6:2508490:2508795:1 gene:OGLUM06G03590 transcript:OGLUM06G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLCCGGRVAAAAVLLALLVAVVAPPATTRADAARVLLGGELAAAAAATRVEEQDVKTTTTQAAAAAPPPPPSFARWRTAAGNAAAARFLGSVPSPGIGH >OGLUM06G03600.1 pep chromosome:ALNU02000000:6:2527967:2529665:1 gene:OGLUM06G03600 transcript:OGLUM06G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSVKSEMYPVWTVSLFTLFGCIDPVTAYNGLDYKGPLSKMVYRICIHCGYVLLMSIPTISSGVGNTAIGILTAISFIKGFHTSLALV >OGLUM06G03610.1 pep chromosome:ALNU02000000:6:2529682:2533863:1 gene:OGLUM06G03610 transcript:OGLUM06G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLQDYGETSVLRNDPVNAAPEGIDYNWAMKVIEIELAFVYEVFVGIGFIHWNMFCRVIAIPGTMSSHRTAYSPSGSTNVVDTMTADLLMHLIRCWTSNWARLAVACAYARNRKKLTRPYKTPYWRARWMRLKVFVATSTNWFEKYLWQDKIGQYSVLPEGRLTWRKKVEGRLISIVDHLYQECVRLVKMLGLDYIWEVLWDLLGNDDNKRAAVRLDDDLKASIIDFLGQIEDDRLEGNWLSFTKHQQEGEEKQKEREREKKRNQHVANALSKYCAYLLASAPELLPGPAPQSKRAYDNFVVRARETLEKDRDMLLGSMSDPEIRKNQHHFYHFDRVPLSSFVDGLVLANILLDHNRALLDATRRCELWETLALVWVRLLVHAAPYGNVEAHMQHLSRGGEFITHLWALLYHLDIREWKFPNKVRTIVTFNDFDRIGDDLAVDDGRSFLTQDDGRSEFATASRMENGVGFYQTSSRHVADRFLIDTEAGPALIWLNQKEDTFALYNGKFRAHDIARFVSANKLALAKRRAQSSGNEGQTEDGIEEEIQPQA >OGLUM06G03620.1 pep chromosome:ALNU02000000:6:2548058:2554643:1 gene:OGLUM06G03620 transcript:OGLUM06G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide/sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G06470) TAIR;Acc:AT1G06470] MHSKPEGDAAAAAAAEGGSPRSGYFRQRSMYAADPDGVGAATPRKAFDVENPPGGAGGLRPSESVTKLESLERAERAALAPAVVLKTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKIIMLFQTKGVENAVEMGWKDYFMRVVPTALGTALDINLSNASLVFISVTFATMCKSASPIFLLMFAFAFRLESPSIKLLGIIVVISTGVLLTVSKETEFDFWGFIFVTLAAVMSGFRWSMTQILLQVLTEYVLVSATSAITVTIAGVVAVFYFHDEFTWLKGLGLATIMVGVSLFNWYKYEKYKKGHINEDEVNSPSFDGDAKYIILDDLEDQDEFQDEDT >OGLUM06G03630.1 pep chromosome:ALNU02000000:6:2554654:2556897:-1 gene:OGLUM06G03630 transcript:OGLUM06G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHREQLPPGADHERLLAEEVLYLHSLWRRAAPAPIPPRGSGSVATLRRVDRRRRRRLERRAQEQQREESGPEWPLAPSPPASPTTWHDNKAASSPAQRPPQQKQPSPGSLSQRAALRAAEEFFSNRGSDDDDEEDDDEEEEEGSESEGDTAAGFFMGLFERDAALRGHYERGWEGGEFVCMACVGRKGKARRFAGCVGLVQHARAATRCGRPRAHRALAAAICRVLGWDIDRMPSVVIDPRGTLGQALAAAEAAGAVAAQENNVDAAEKGISSQDDATKNNVDDAEKGISSQDQDAAKNNVDDAEKGISSQDQDAAKEDVNPGKSDGSLNNADAMKLYLQDNAIVGKCSSSTNDNNGDVHEKGSGEASQKS >OGLUM06G03640.1 pep chromosome:ALNU02000000:6:2558111:2560728:-1 gene:OGLUM06G03640 transcript:OGLUM06G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0A574] MKLDVSAMENNFAAHAAGGEDDGGLFGAGADLPAMELPTCPADFDGFQKETKEMLKHKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLMGSRFSVGSGSLYAYGILDEGYRFVMPVEEAAELARRAIYQATFRDGASGGCVSVYHVGPNGWTKLSGDDVGELHYKYYPVEATPVEQEMADAPAA >OGLUM06G03650.1 pep chromosome:ALNU02000000:6:2562160:2565583:-1 gene:OGLUM06G03650 transcript:OGLUM06G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVVLASPERFVVGLDISSTAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFLPGSSL >OGLUM06G03650.2 pep chromosome:ALNU02000000:6:2562160:2565583:-1 gene:OGLUM06G03650 transcript:OGLUM06G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGGGRDPSNNPAVGRLRELVQRGDAAAVEKAKQWSSSLPNADCFTFLADDFFKWKPSEQFDLIFDYTFFCALDPSLRLAWAETVSGLLKPHGELITLIYLISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFLPGSSL >OGLUM06G03650.3 pep chromosome:ALNU02000000:6:2562160:2565583:-1 gene:OGLUM06G03650 transcript:OGLUM06G03650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGGGRDPSNNPAVGRLRELVQRGDAADGWEKSWEAAVTPWDLGKPTPIIEHLVKSGTLPKGRALGYDVVVLASPERFVVGLDISSTAVEKAKQISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFLPGSSL >OGLUM06G03650.4 pep chromosome:ALNU02000000:6:2562160:2565583:-1 gene:OGLUM06G03650 transcript:OGLUM06G03650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHALPRSRLGFGFFASMSSSAARVGGGGGGGRDPSNNPAVGRLRELVQRGDAAAVEKAKQISDQEGGPPFNNTVTDYQKVLEPLGFKAILMEDNELAIKPRKGQEKLGRWKRFLPGSSL >OGLUM06G03660.1 pep chromosome:ALNU02000000:6:2566345:2570408:1 gene:OGLUM06G03660 transcript:OGLUM06G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42620) TAIR;Acc:AT2G42620] MAEEEEEEEVEEGRSSSSAILDLPEQLLLHILSFLTDVRSRHRAALACGRMRAAERATRSELSLRGDPRSPGFLFLSHAFRFPALDHLDLSLVSPWGHPLLSSVPPCDGGGGGGGGAPSASSSSGMNVYHPEAISEQNAFIAARLAGCFPAVTSLAVYCRDPTTLANLTPHWQASLRRVKLVRWHQRPPTLPDGADLEPLLETCAALRELDLSEFYCWTEDVVRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLALGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFTLRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTTECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIDD >OGLUM06G03660.2 pep chromosome:ALNU02000000:6:2566345:2570408:1 gene:OGLUM06G03660 transcript:OGLUM06G03660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42620) TAIR;Acc:AT2G42620] MAEEEEEEEVEEGRSSSSAILDLPEQLLLHILSFLTDVRSRHRAALACGRMRAAERATRSELSLRGDPRSPGFLFLSHAFRFPALDHLDLSLVSPWGHPLLSSVPPCDGGGGGGGGAPSASSSSGMNVYHPEAISEQNAFIAARLAGCFPAVTSLAVYCRDPTTLANLTPHWQASLRRVKLVRWHQRPPTLPDGADLEPLLETCAALRELDLSEFYCWTEDVVRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLALGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFTLRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTTECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIDD >OGLUM06G03660.3 pep chromosome:ALNU02000000:6:2566355:2568535:1 gene:OGLUM06G03660 transcript:OGLUM06G03660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42620) TAIR;Acc:AT2G42620] MAEEEEEEEVEEGRSSSSAILDLPEQLLLHILSFLTDVRSRHRAALACGRMRAAERATRALTTHPSATAALTHLDLGLAAATDGFKSSELGPIAASCPNLRKLVAPCLFNPRFSDCVGDDALLSLATSCPRLTVLRLSEPFEAAANIQREEAAITVAGLVAFFAALPALEDFTMDLQHNVLEAAPAMEALARRCPRIKFLALGSFQGLCKASWLHLDGVAVCGGLESLYMKNCQDLTDASLAAIGRGCRRLAKFGIHGCDLVTSAGIRRLAFTLRPTLKEVTVLHCRLLHTAECLTALSPIRDRIESLEINCVWNTTEQPCSVANGTTTECDPEDDELGEVYESAAKKCRYMEFDDLGSWEMLRSLSLWFSAGQLLSPLISAGLDSCPVLEEISIKVEGDCRTCPRPAPRTIFGLSDLAGFPVLAKMKLDLSEAVGYALTAPTGQMDLSLWERFYLHGIESLQTLYELDYWPPQDKDVHHRSLTLPAVGLIQRCVGLRKLFIHGTTHEHFMTFFLSIPNLRDMQLREDYYPAPENDLMFTEMRAESWLRFEVQLNSRQIDD >OGLUM06G03670.1 pep chromosome:ALNU02000000:6:2578767:2581419:-1 gene:OGLUM06G03670 transcript:OGLUM06G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTAGRRRRWRGGGGGIGGGVGGYNRRSGGGGKERKAWTPSRPRAATEEDDAAAAAAAAAWAAVGHVRRRRGRRV >OGLUM06G03680.1 pep chromosome:ALNU02000000:6:2580629:2585137:1 gene:OGLUM06G03680 transcript:OGLUM06G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPTPPPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFISALATFKNRILYANVSYDHMVGWRTSSIRREKDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >OGLUM06G03680.2 pep chromosome:ALNU02000000:6:2580629:2585137:1 gene:OGLUM06G03680 transcript:OGLUM06G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPTPPPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFISALATFKNRILYANVSYDHMVGWRTSSIRREKDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFHVYNH >OGLUM06G03680.3 pep chromosome:ALNU02000000:6:2580629:2585137:1 gene:OGLUM06G03680 transcript:OGLUM06G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPTPPPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFISALATFKNRILYANVSYDRILPYS >OGLUM06G03680.4 pep chromosome:ALNU02000000:6:2580629:2584449:1 gene:OGLUM06G03680 transcript:OGLUM06G03680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPTPPPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFISALATFKNRILYANVSYDHMVGWRTSSIRREKDLTKPSHRSLDGYKHIVNMEYCSPISSDGPHFPLQAARAKEAAQSRPNKENTKEYHQMMEEEMIHGLQRVGWKKVDVNFHTALWPYFAHNNIHVKNEWLHNAGAGVIAHVADSIKQQESRKYFRANL >OGLUM06G03680.5 pep chromosome:ALNU02000000:6:2580629:2585137:1 gene:OGLUM06G03680 transcript:OGLUM06G03680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydrolase-like protein family [Source:Projected from Arabidopsis thaliana (AT1G29120) TAIR;Acc:AT1G29120] MSRFIPAVRGESDGGRGGAGRGQRPPTPPPPPPPQRHPPRLRRGDGMASTLSSPCHHHRSSYYSHQLRRRCLRLRPSTAASGRPSGLDTGRELYSHPTFIAGGSRSRVSRSSFMEKSQRKRGPDHLLILVHGIIASPSDWTYGEAVLKKRLGDNFFIYASSSNIYTKTFDGIDVAGRRLANEVLDVIQKMAGLRKISFLAHSLGGLFARYAISILYSTAMKDASQSAACIAPTTGGSEKLECTSGLGAIAGLEPINFITLATPHLGVRGKNQLPFLQGLSILEKIAAPLAPLVVGRTGAQLFLTDGEPSKPPLLLQMASDHEDKKFM >OGLUM06G03690.1 pep chromosome:ALNU02000000:6:2585417:2591224:-1 gene:OGLUM06G03690 transcript:OGLUM06G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A588] MDAGAQPPDTEMAEAGGGGGGQQPPAAAASAAGAGAGMMENIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVCSALNSETGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQRNSFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVHPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSSPFSFDFEQHALSEEQMKDLIYQEGLAFNPDYQ >OGLUM06G03700.1 pep chromosome:ALNU02000000:6:2594232:2597535:-1 gene:OGLUM06G03700 transcript:OGLUM06G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A589] MGSVHLPVDSTPILLLATPPASGGAACRGVLLLPSPRWFSHRTAPRRAVVLLLLPFPSASDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRIASNALLKFPQISTIRVKVGKPHVAVRGIVDYLGVEILRHRKDVGGDRYYRLWIINNEWDPMCSIHRKEFLSIAFTVTFTGG >OGLUM06G03700.2 pep chromosome:ALNU02000000:6:2594004:2597535:-1 gene:OGLUM06G03700 transcript:OGLUM06G03700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A589] MGSVHLPVDSTPILLLATPPASGGAACRGVLLLPSPRWFSHRTAPRRAVVLLLLPFPSASDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRIASNALLKFPQISTIRVKVGKPHVAVRGIVDYLGVEILRHRKDVGGDRV >OGLUM06G03700.3 pep chromosome:ALNU02000000:6:2594232:2596820:-1 gene:OGLUM06G03700 transcript:OGLUM06G03700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A589] MAERELIDRDKLVLIDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRIASNALLKFPQISTIRVKVGKPHVAVRGIVDYLGVEILRHRKDVGGDRYYRLWIINNEWDPMCSIHRKEFLSIAFTVTFTGG >OGLUM06G03700.4 pep chromosome:ALNU02000000:6:2594004:2596820:-1 gene:OGLUM06G03700 transcript:OGLUM06G03700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A589] MAERELIDRDKLVLIDLQFHGFHGVKSEEKTLGQKFVVDVDAWMDLSVAGETDSISDTVSYTDIYGIAKDVVEGPSRNLLEAVAHRIASNALLKFPQISTIRVKVGKPHVAVRGIVDYLGVEILRHRKDVGGDRV >OGLUM06G03700.5 pep chromosome:ALNU02000000:6:2596900:2597535:-1 gene:OGLUM06G03700 transcript:OGLUM06G03700.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A589] MGSVHLPVDSTPILLLATPPASGGAACRGVLLLPSPRWFSHRTAPRRAVVLLLLPFPSASGEHHHHR >OGLUM06G03710.1 pep chromosome:ALNU02000000:6:2598265:2600557:1 gene:OGLUM06G03710 transcript:OGLUM06G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASRPDRLPSLSLSLAQSPPPPPSAPRTLPSPLLSLAHPPPTLSPPLSHTPAAGAAGGVDPSSIPIFRSTPPAPPHAASTHAARTLSPRLIDLRQRRRAPPPISAARTTSLRLTDLLRRYSASINLQINKWRLRRPPSTTDNDNATPLPPQDPPLPIRTHATVPPPLKGSGHPSPPFATPTPSLICLLSPDKYP >OGLUM06G03720.1 pep chromosome:ALNU02000000:6:2602695:2606852:1 gene:OGLUM06G03720 transcript:OGLUM06G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVGGRRRRRPAVEERYTRPQGLYPHPDIDLKKLRRLIVEAKLAPCFPGSDDPRADLEECPICFLFYPSLNRSKCCAKGICTECFLQMKTPTSCRPTQCPYCKMASYAVEYRGVKTKEEKGNEQIEEQRVIEAQIRMRQQELQDDAERMKKKQAAALTDVVTTAQVEHCDTGGASTTVKSSGQGSDMLSSQVQHAELLLKTSERLKQMRNNNFDMDPDEVMLVEALWLSLQDQEASGNPTCGNTVSSVHPPRSFEGSMTIPAEAASSSSAFACAVAALAEQQQMYGEASSTATCHTSRCDILSRSDRSFTEDLSINGSGSSGARSEEPSSNKMHQTREGMEYSNERWSEMAEASSSFTGSDLTTEAGAANSGGSDTGAGSIPDSFEEQMMLAMALSLADARAKASSPGLTWR >OGLUM06G03730.1 pep chromosome:ALNU02000000:6:2606761:2612100:-1 gene:OGLUM06G03730 transcript:OGLUM06G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A596] MAGYVTIILLLLLPAAVSGAASGGGGCIGAMVDDTSRAGKEEKLAMEMAMEDFTVSGVDVGSPAVAVVLCTMASNGDPVRAASAALSLINERGARALVGLHSWQEAAFVAEIGRQAMVPVLSFAAAAAPSTSRRWPFLVRVARGQHAQMRAVAAVVGSWQWRRVAVLYEDADYGGGAGVFPHLADALRAVGSEVDRRVPVPASPSGDALRRSLGDLMGGQCRVFVVHTSAKVAVALFAEASRMGMMATGYVWIVTDAIAGAIDSLDAAAVSTMQGVIGVRNHISMDTNSKNTRDRLIARLRKRFRSQYPGDDDDGGGGGGGGGGDNDKTRGPHYPALLAYDTIVAVASAMRKTNATAPTRATDPNPSSPDSGETIKIAVSSNGTELLREVKSLRFRGVSGEFGFVDGEFSPPVRFQLINVAAPRYHELGFWSPEHGFSKSAGGCSHRGGDGGGECEPSMRFLGPVIWPGKPWDVPRGWAPPANGSPFTVAVPEKAAFPDFVKVTRHHGRGGDDDDEPSFEGFSIDVFKAAVEHLPYNFHYKFVSFNGTYDSLMQHDYMKSYDILIGDTSISSGRYKFVEFSQPYTESGLVMVVPFSADTWDRSWIFLRPFSPAMWLLIAAVGLYNSVAIWLMERRHNGDYRGGVWKQVTIVLWLSLAALLSPGEEERRLRSSLSKASMAVWLLVAVVLATNYTASLSSLMTAQRLGREAAVTAESLKSAAGALVGCTEGSVVGRYLEEVLMFPGHRVRRLAGDEEHHRALVSGEVKAAFLRVSHAKLLLAKYCNELMTTGPVYHVAGLGFVFPKGSPLLADISQAILEVFENGTIQRLETAMLSAYNCTAAAAAAMDGGAGDLYRLGPENCWGLFLMTLFASTASLAAYGVFFHHGTGGGAAVTGGGHRKQGDGRKDSATVDPGGSSHGDEAPSSASVTAGHGGKDTEMVVISME >OGLUM06G03740.1 pep chromosome:ALNU02000000:6:2616021:2616266:-1 gene:OGLUM06G03740 transcript:OGLUM06G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRFLAMKPMGWCGIRRRWKKLSGGRRDGGSEDGSPVEIDANQAGIEVDRRLGKELEGDNGESVWHEGSKDGEDEDRHDS >OGLUM06G03750.1 pep chromosome:ALNU02000000:6:2619182:2619772:-1 gene:OGLUM06G03750 transcript:OGLUM06G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASAAAFTDNPAATATVAARHGVDGGGSRGVVPLFLVTVSLFFVTYQLFGVAAAAGAMVLVCAVALAALNVTVTRARRFPFLRLSLGGEEGHGGGGGAGGGGVAPAAAGMDAAAIMALPAAFVYKREQTAAAAGGWAQCSICLGLARVGEAVRRLPSCGHLFHAGCVDEWLRAHATCPLCRAAVCAAGPEPELPV >OGLUM06G03760.1 pep chromosome:ALNU02000000:6:2625568:2630215:1 gene:OGLUM06G03760 transcript:OGLUM06G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) TAIR;Acc:AT3G15050] MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQEKKQQQLEVPSLSV >OGLUM06G03760.2 pep chromosome:ALNU02000000:6:2626043:2630215:1 gene:OGLUM06G03760 transcript:OGLUM06G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ-domain 10 [Source:Projected from Arabidopsis thaliana (AT3G15050) TAIR;Acc:AT3G15050] MGSGDWFKTIISKKKSKRGKSKHAKVAGQVPNGPNSTNQKSNNGPSSSSDPEDNAALEEWAATRIQNAFRCYKAKKTLRCLKGVKRLHIIGQTNPVNKQTAATLNYIQSWNKLQAEIRNRRAFMVTEGRNRKKKQENQMKLEAKLQNLQVEWNGGSDTMEEILGRIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFNYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKGQAKKENASTNPSALKLQGSISLSNNINDRKAPKKKSSPSPPDQKKPVAASPPDQKKPVALSPPDHKKTVTPSPPDQKKPVARVQKAKAAGPPKAKPKDMKGGQEKKQQQLEVPSLSV >OGLUM06G03770.1 pep chromosome:ALNU02000000:6:2630301:2668506:-1 gene:OGLUM06G03770 transcript:OGLUM06G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGEDDNEVDSWVKKESEWEEAGDGEEDDYSDETEYDDDDESSDEDDDYFSMENRDVRFATEEKWESQIMEQMKFSVSTADPFGIMTIWKGSLHVDGPCQSLDPNLLSMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVSSPDNAIQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSLYEELERFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSMIKGMYLVFDGKVSVGKLLPFTVCINREMHLKLFVYGYSSSQIGHGDCSPDGVVSDYDNDGFFSAIEDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVTEEMVLLVSSDQEEMVLLVSSDQEEMALPVSSDQEEMDDNEVDSWVKKESEWEEAGDGEEEAGDGEEDDYSDETEYDDDEESSDEDDDYFSMENRDVRFASEEKWESQIMEQMKFSVSTADPFGIMTIWKGSLHVDGPCQSLDPNLISMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVSSPDNAIQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSLYEELERFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSMIKGMYLVFDGKVSVGKLLPFTVCINRRMHLKLFVYGYSSSQIGHGDCSPDGVVTDYDNDGFFLEGDDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVTVAWSSLY >OGLUM06G03770.2 pep chromosome:ALNU02000000:6:2630301:2668506:-1 gene:OGLUM06G03770 transcript:OGLUM06G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGGRGEDDNEVDSWVKKESEWEEAGDGEEEAGDGEEDDYSDETEYDDDEESSDEDDDYFSMENRDVRFASEEKWESQIMEQMKFSVSTADPFGIMTIWKGSLHVDGPCQSLDPNLISMNNFMPQLPLRRKKKNNEEPCRRAIQVFGLTVSSPDNAIQEIYGMFAFRDIRNSQERNFIFEYPRDRPFTLKPGSDKVQPLIQPPRGIYAIGPVVMEYHLMIKGQEEQEDRVLVDGYSIYCPSLYEELERFHWHIDTGHCGAIDLKMAAVPNAVLATVEIEVIRLGGTHYDSLAIVVALSMIKGMYLVFDGKVSVGKLLPFTVCINRRMHLKLFVYGYSSSQIGHGDCSPDGVVTDYDNDGFFLEGDDVYYDVLNFIPQFGTYKKMSHNLEDMDVSVTVAWSSLY >OGLUM06G03780.1 pep chromosome:ALNU02000000:6:2661190:2661849:1 gene:OGLUM06G03780 transcript:OGLUM06G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILFIKCDSYPESSFREVKERIINLPANLQSSIGIVLVNGVTYVLQRGTELPCIAELVLRTFTSSPSIQVIEGDLPVFSDSHIVGSHVRMNLEPSQGSKNIKVMVCANALRLYAEVYQIGNESTTKQREGQHAFRLDDKLFRKHSEMCTKYNDTFQKLKELKVEMAEKQYSNLSDIDLICGTTQNPLTLKSKY >OGLUM06G03790.1 pep chromosome:ALNU02000000:6:2668719:2670186:-1 gene:OGLUM06G03790 transcript:OGLUM06G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT1G28680) TAIR;Acc:AT1G28680] MESSPPPPPQMRVRVMETVHLRPPPADDAASFALSGLDTDRNVLDVTFRTLRFFPPPLLELDPLAVLPRAFAAALGMFVPLAGRIGDGGRVVWSAADAVPLVLASADDVSVADVDTDSPGSDLLERLVPGDGDGDGVAGSPALALQVTRFACGGVALGMRVAHALCDGAGATKFLSAAARFARGAQEPAAVAPVWEREDRLGPRRPPRVVKPFERVLLLDDAAAAVYGPYGAAGDAQGQIARECFHVSDARVEELRAQLAGEAGIKLTTFEFLAAFIWRARTKARRTSPDEVVKMVYSMNISKLLTPPLPDGYWGNVCVPVYVGELVAQPLADTAAMVKKSKQEVDDEYVRSYIDFHELHRGGGVTAGRGVSAFTDWRRLGHSEVDFGWSSPAAVLPLSWRLLGSTEPCFFLPYGAADERRRRGFKVFVAVPAMALRWFREEMQELSLQRHCLRSKEKL >OGLUM06G03800.1 pep chromosome:ALNU02000000:6:2670391:2674837:1 gene:OGLUM06G03800 transcript:OGLUM06G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73170) TAIR;Acc:AT1G73170] MHLGVSLVPPLPLHSPRRAPYGFRSAAPRRIAVCPLLSVGRRRRLGAPPRAARGGGEGPEEEMRRLLELLPGELRRRVEGHPELPALVEVVMDLGRPPLARFPSGDFLLSQSPISFDDLRHATSQVGDFGADNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYRKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLIMNPSLDMLVGGVQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKIELRVHRSLEDTVDSLLAGKMPNVEIRKVGSKGPVQEVYVQKERLDLGPSEGATQLDTDSLSNARRSLDSAFNLDPAEGHIGRSTEAEPDLNLFAYGISESTALQAIKQLELEDIVTLTYNISEADAVIALQSKLKKNTQIQAVVKSQDIPVFFTKTNSLVQIRRALRALVDDHTDGLMDFEDTEEVRSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFKLKWESIGQDPNACLRILPQFVGVEEGGKSVKQEAATELTDSDNSDDMDYKQNGVSRLPFLPE >OGLUM06G03810.1 pep chromosome:ALNU02000000:6:2677157:2677447:1 gene:OGLUM06G03810 transcript:OGLUM06G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSSSTLRSPLVRAAVVLMLLLVVMSAAVSRGEPDHDHVQLQLAVITGRRMLVVAGSNTATMISSQTAAAAAAAAMPYSESKRSSPGGPDPQHH >OGLUM06G03820.1 pep chromosome:ALNU02000000:6:2681384:2684093:1 gene:OGLUM06G03820 transcript:OGLUM06G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCFFPIAIPIRRAAGANKLRRKGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDYYQSESEFSADPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTISIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFAFRDGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGYSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEVLDLGENCFDSLTVVAGYCVQWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFTYNSPSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >OGLUM06G03820.2 pep chromosome:ALNU02000000:6:2681358:2684093:1 gene:OGLUM06G03820 transcript:OGLUM06G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGFSKIDGNSQQEEEEKEADDLDRDLGMMREELEREVLNTWLWGKDMDSILNEESEWQGTLPGWACPREDYYQSESEFSADPDECDSFDGYWYEFRYEDGNPYYAAEREERWENQVMEQMKFTISIAKQRQNDFFCPIFEGSLHVEGPCHLDPDILSTEHLLPQLPKWKNRWVNGYNHRNEPCRRAIQVYDLNVSSPHDEPMEIYGIFAFRDGSNKIRPLIWPPRGIYAVGPMLIEYYLVIKGQERKDDKVLIDGYSMYAPSFYSELHRYRWHIDTGHCGTVALEMVALDKAVLGTLELEVLDLGENCFDSLTVVAGYCVQWGQFMIFDGKLSVGKLPPVTLCVDGDGILLLRFFTYNSPSNDKSFSHGGGVNDLLEHVTEDVLFGSMSFVPQNEGSSTASGGCSSCMDGLEISATAKWSPLFEQSD >OGLUM06G03830.1 pep chromosome:ALNU02000000:6:2684446:2684845:1 gene:OGLUM06G03830 transcript:OGLUM06G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGDGLTSDLMAPATSSTQVVENSEEVVDTMITSLTTAPSTQPFPLAAFAMEQEVAPSPRAKMAADLWKKQETTNLTKVIVTRHQQPLPWIRVANGQVQEALNLDVGPGNDIVVGDGDAG >OGLUM06G03840.1 pep chromosome:ALNU02000000:6:2685356:2686280:-1 gene:OGLUM06G03840 transcript:OGLUM06G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEGGGGGRGWGDAVVALPSTSAAAMEGRERALTPMIAYKGEEDLRLPGRPGFGSTGREVVVTTNHFHVGVENATIYQYNVSMNPEPKSRAKKGEVLSEMVELHEEMTHDCKVLA >OGLUM06G03850.1 pep chromosome:ALNU02000000:6:2705327:2706632:-1 gene:OGLUM06G03850 transcript:OGLUM06G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSIVLFGDSITEEAFGEGRWGAHLANHYSRSADVVLRGYSGYNTRWAAMVAARAVVAGAAGASAPPAAVTVCFGANDASLPGRASALQHVPLHEYRDNLRSICALLAAAWPSVVVILITPPPVHDAARVRYQYGDDCAGLPERTNESAGAYARACVEVAAECGPRVIDIWSKMQRFPDWESSFLRDGLHLTPRGNRVVFEEVVFALKDAGLCLEALPADLPLFCDMDPNNPVKSDE >OGLUM06G03860.1 pep chromosome:ALNU02000000:6:2717106:2719906:1 gene:OGLUM06G03860 transcript:OGLUM06G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVVAFAVASAMFVAVSGQKFNAIFSFGDSMSDTGNLCVNGPPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKFGLPLLPPSKRGGSDFRRGANMAIIGATTMDSGFFQSLGIGDKIWNNGPLNTQIQWFQQLMPSICGSSCKTYLSKSLFVLGEFGGNDYNAQLFGGYTPEQAAGQSGTIVDGIGKGVEQLIGLGAMYVVVPGVLPVGCFPIYLTLYGTSNAGDYDQYGCLTRFNTLSSRHNSLLQAKVSSLQSKYPWARIMYADFYSHVYDMVKSPSNYGFSTNLRACCGAGGGKYNYQNGARCGMSGAYACSNPSSSLSWDGIHLTEAAYKQIADGWVNGPYCHPPIMS >OGLUM06G03870.1 pep chromosome:ALNU02000000:6:2722691:2724495:1 gene:OGLUM06G03870 transcript:OGLUM06G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGALAAAAVDVVGSVLVVCCLCWCAVQPALAGGVGGGGGDGGMRCKYNAMFVFGDSLADTGNICVNKSAAATLLLTFAQPPYGMTYFGHPTCRCSDGRLVVDFLAQELGLPLLPPSKRSGGGGDFRRGANMAIVGATALDFDFLKSIGLGYPIWNNGAMNVQLQWFHHLLPSICATQPQGCRAYLSKSLFLFGSLGGNDYNAMLFFGFTVDQARNYTPKIVDTIITGKLIAMGAAEIVVPGVMPVGCFPLYLTMLRSSNESDYDEHGCLRPLNDLAIHHNALLQARLAGLQARYRSAAAAAPAPVRIMYADYYTMVAQMLHTPARFGFRSGMTACCGAGGGEYNYEFEARCGMKGAAACRDPSRHVCWDGVHTTEAANRLVAGGWLRGPYCHPPILHH >OGLUM06G03880.1 pep chromosome:ALNU02000000:6:2724704:2725030:1 gene:OGLUM06G03880 transcript:OGLUM06G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5B4] MGKRKSRVSKMLATAKKAAPKLETAFSCPFCDHGGAVECSIDIKHMIAEASCFVCQARYSTTAHALTEPIDVYSEWIDQCELAKAAAAAGDDDDDDHHHHHRKTKRRS >OGLUM06G03890.1 pep chromosome:ALNU02000000:6:2725956:2734706:1 gene:OGLUM06G03890 transcript:OGLUM06G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5B5] MPSSPKVFSSATTSRRAALRRILSTPAFSAACLLFGLAGFLAAALSFSWSPGSAPRARCPDSSRPLSVSVAWDRRPGDASAGAVAAAGAAVDLPASHATGSRGRHKVMAFVGIFTGFGSVGRRRALRRTWLPADRQGLLRLEEATGLAFRFVIGKSNDKSKMAALEREVQEYDDFVLLDLEEEYSKLPYKTLAYFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHTQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCSPECTESSIAVWDIPKCSGLCHPEVKMLELHRRKECTGGPSAVSESDDR >OGLUM06G03900.1 pep chromosome:ALNU02000000:6:2732195:2734306:-1 gene:OGLUM06G03900 transcript:OGLUM06G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSSSAARRVVVVVCAAMVVAAAAAQKYNAVYNFGDSITDTGNLCTNGRPSQITFTQPPYGETYFGSPTCRCCDGRVVVDFLASKFGLPFLPPSKSTSADFKKGANMAITGATAMDANFFRSLGLSDKIWNNGPISFQIQWFQQISSSVCGQNCKSYLANSLFVFGEFGGNDYNAMLFGGYSADQASTYTSQIVDTISSGVEKLIAMGAVDVVVPGVLPIGCFPIYLTIYGTSSSSDYDSLGCLKKFNDLSTNHNNQLKTKISALQSKYKSARIMYADFYSGVYDMDLARCSRRAAGQAAASSTTTTTRGVGCQAHQRAPTRRRILAGTASTSPRQLTSRSLTAGSTARTVARPSSTAKAKCLERTSH >OGLUM06G03910.1 pep chromosome:ALNU02000000:6:2756819:2767539:1 gene:OGLUM06G03910 transcript:OGLUM06G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein [Source:UniProtKB/TrEMBL;Acc:C0SSD1] MKMLASMAGSGRDRDPLVVGRVVGDVLDAFVRSTNLKVTYGSKTVSNGCELKPSMVTHQPRVEVGGNDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVIDIPGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYP >OGLUM06G03910.2 pep chromosome:ALNU02000000:6:2755082:2756914:1 gene:OGLUM06G03910 transcript:OGLUM06G03910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein [Source:UniProtKB/TrEMBL;Acc:C0SSD1] MAGSGRDDPLVVGRIVGDVLDPFVRITNLSVSYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGTIFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYP >OGLUM06G03910.3 pep chromosome:ALNU02000000:6:2755066:2767539:1 gene:OGLUM06G03910 transcript:OGLUM06G03910.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein [Source:UniProtKB/TrEMBL;Acc:C0SSD1] MAGSGRDDPLVVGRIVGDVLDPFVRITNLSVSYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGTIFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYP >OGLUM06G03910.4 pep chromosome:ALNU02000000:6:2755066:2756914:1 gene:OGLUM06G03910 transcript:OGLUM06G03910.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein [Source:UniProtKB/TrEMBL;Acc:C0SSD1] MAGSGRDDPLVVGRIVGDVLDPFVRITNLSVSYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPGTTGTIFGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYP >OGLUM06G03910.5 pep chromosome:ALNU02000000:6:2755066:2756914:1 gene:OGLUM06G03910 transcript:OGLUM06G03910.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FT-like protein [Source:UniProtKB/TrEMBL;Acc:C0SSD1] MAGSGRDDPLVVGRIVGDVLDPFVRITNLSVSYGARIVSNGCELKPSMVTQQPRVVVGGNDMRTFYTLTRMLRAQATLTLGSIYTGQEVMCYESPRPTMGIHRLVFVLFQQLGRQTVYAPGWRQNFNTKDFAELYNLGSPVAAVYFNCQREAGSGGRRIYP >OGLUM06G03920.1 pep chromosome:ALNU02000000:6:2770645:2771019:1 gene:OGLUM06G03920 transcript:OGLUM06G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSHLRRAFAPALSHRRAHPATFLSPLAFLSTSASPDQAAAAAKKGEAAAGGAGKGAAAGEEEEEKKKGGDAGGARKEGEDGGGGGGGGEYVNKDTGEIGGPRGPEPTRYGDWERGGRCSDF >OGLUM06G03930.1 pep chromosome:ALNU02000000:6:2772647:2773356:1 gene:OGLUM06G03930 transcript:OGLUM06G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5C3] MSISMTSTAAAVAVALLVVAGGAGLAAAGTSDLCGLAETAFGECTAYVAGGEPAVSRRCCRALGDIRDLAATAAERRAVCACILSEMLAAGDGRVDSGRAAGLPAACNVRVGFIPTNPNFNCFRVR >OGLUM06G03940.1 pep chromosome:ALNU02000000:6:2774760:2783574:1 gene:OGLUM06G03940 transcript:OGLUM06G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHLVESEKAAENAGPTYRNVLAKDAGLLRPPPGVESCWDVFRNSVEKYPDSPMLGRRRVVDDGKAGEYVWMTYKEVYDVVMKLAASISKSGISKGESCGIYGANCPEWIISMEACNALGVSCVPLYDSLGAGAVEFIVCHAEIQIAFVEERKIAELLKTCHATSKYLKTIISFGGVTNDQKEESKNHGMSIFSWEEFLIMGGDHHFDLPEKKKSDICTIMYTSGTTGDPKGVMISNESLLVNITGADCVTRSIGEPFDHDDVYMSYLPLAHIFDRIFEELFISHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTGKISSGGILKKALFNIAYKLKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRFIVSGGAPLSVAVEEFLRVVTCASVVQGYGLTETGAASFVAIPNDFSMVGTVGPPVQHLDARLESVPEMGYDALSSIPRGEVCVKGSVLFSGYYKREDLTQEVMIDGWFHTDDDYMPNTMYNTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWIYGNSFESFLVAVINPNQQVLEHWAEQNGISGSLSELCENSRAKEYILSELTKIAKEKKLKGYEFIRAVHLDPLPFDMERDLITPTYKKKRPQLLKHYQGTIDALYKMAK >OGLUM06G03950.1 pep chromosome:ALNU02000000:6:2784655:2787893:1 gene:OGLUM06G03950 transcript:OGLUM06G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDIHLLLSILADGEEQARQLGEPAAAADDEYHSGGRGEEYYRGVARQLRGTLARAMGVARAIEAAAFAGGGGGGGASGSRGTTGDRSDSPRSADESSGRTARDAAVAQQERHHDTIKRRKGLPRWTEKFRVPDASLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRNAQGCPATKQVQRSDADLAVFDVTYQGAHTCHQKQRRAAAAGDQPPPPPPQADPSVELLVNFRHGLKVETNGLAPPPPPPPTTTTNFHDDQHFCFPSMPPFHAGVGPPPPPDDALGGGGCNNFSSPPFVSPAGSAAGENYFSMEHSYEPRGGGGHFVMSRGDSSELHEVVSAAASSSAVVDPAAAGGGFDYPLYHGEVDPHLPFPPLFGHASMYGQYRDA >OGLUM06G03960.1 pep chromosome:ALNU02000000:6:2790748:2800480:1 gene:OGLUM06G03960 transcript:OGLUM06G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWSSVRRRANLDTFRSDDIHGGSLSNLPARLNLVMNRGGDSTKARLSSDKLCSFSSRVWMERERRGPGNLLIDSRNAFQFSSTPRKRTLSFVRFCSRPISRSTGDLSLTTIWTSDCRATMFLTTPVATSKPEDCLKNFTLAVLVSCTLCPANKCLRQLRLPALTGSFLISVALMSSVSRCFRFPRRCGNLDTLVFLRLRYFSWKNLHIQCKVSLLKQLQPCKSSTLNNRSCGKKNGTSSTEADIVKERA >OGLUM06G03970.1 pep chromosome:ALNU02000000:6:2798525:2803738:-1 gene:OGLUM06G03970 transcript:OGLUM06G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAVFSLTEGAVRSLLCKLGCLLTEETWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFRGLISMFRKLASRQRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHSSLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIVSQTFNIRALFQHMVRELIQEPHKAMALAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSEMTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPVSAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESMSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGKLERLPPWISSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCRGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >OGLUM06G03970.2 pep chromosome:ALNU02000000:6:2798525:2803738:-1 gene:OGLUM06G03970 transcript:OGLUM06G03970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGAVFSLTEGAVRSLLCKLGCLLTEETWLVQGVHGEIQYIKDELECMNAFLRNLTISQIHDDQVRIWMKQVREIAYDSEDCIDEFIHNLGESSEMGFFRGLISMFRKLASRQRIALQLQELKARAQDVGERRSRYGVELAKATHEEAHPRLTRHSSLHIDPQLHALFAEEAQLVGIDEPRNELVSWLMEEDLRLRVLAIVGFGGLGKTTLARMVCGSPVVKSADFQCCPLFIVSQTFNIRALFQHMVRELIQEPHKAMALAGCKHGLITDDYLEGMERWEVAALTKNLRRYFQDKRYIVILDDIWTVSAWESIRCALPDNLKGSRIIVTTRNADVANTCCSRPQDRIYNIQRLSEMTSRELFFKKIFGFADDKSPTDEFEEVSNSVLKKCGGLPLAIVNIGSLLASKTNRTKEEWQKVCNNLGSELENNPTLEGVKQVLTLSYNDLPYHLKACFLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGQSMEQLAESYFDEFVARSIVQPVRTDWTGKVRSCRVHDLMLDVIVSRSIEENFASFLCDNGSTLASHDKIRRLSIHSSYNSSQKTSANVSHARSFTMSASVEEVPFFFPQLRLLRVLDLQGCSCLSNETLHCMCRFFQLKYLSLRNTNVSKLPHLLGNLKHLETLDIRATLIKKLPVSAGNLSCLKHLFAGHKVQLTRTASVKFLRQSSGLEVATGVVKNMVALQSLVHIVVKDKSPVLREIGLLQNLTKLNVLLRGVEENWNAFLESMSKLPGPLRSLSIHTLDEKEHSLSLDNLAFVESPPLFITKFSLAGKLERLPPWISSLRNVSRFALRRTELHADAIGVLGDLPNLLCLKLYHKSYADNCIVFCRGKFVKLKLLIIDNLERIEKMQFDAGSVPNLERLTLSFLREPKYGISGLENLTKLKEIEFFGDIILSVVTKVASCVKAHPNHPRVIGDKWNIVTEYA >OGLUM06G03980.1 pep chromosome:ALNU02000000:6:2803904:2814151:-1 gene:OGLUM06G03980 transcript:OGLUM06G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVAEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWVMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELEEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVRIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNICKLPRLIGNLKYLETLDIRATRIKRLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLVKLTGSLRSLSIHILDEKEHSSSLEYLACIAESPPLFIRNFSLKGKLQRLPPWIPSLRNVSRITFRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFLREPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEKSMEGAIVSLTEGAVRGLLRKLAGVLAQESSPAQRVHGEVQYIKDELESMNAFLRSVSTSPEDAAAAAAAGHDDQVRVWMKQVREIAYDAEDCIDVFVRSRSHPAAAAAGVEGRLVASLRRFVRLLAGALGVGGGGLRELKARARDAGERRTRYGVSLAAAAVRGGGGSSSSGRLDPRLHALFTEEAQLVGIEGPREELVGWVMEEEPRLRVLAVVGFGGLGKTTLARMVCGSPRVKGAADFQCSPPLVVVSQTFSITALFQHLLRELIQRPRKAMAAVAAAAGGGGDRVAYDALQGMERYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVAYTCCCRPQDRIYKIQRLSDAASRELFLKRIFGMADAGAPDDDELKQVSDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVCDNLGSELGSNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPLVRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCKVHDIMLEVIVSKSLEENFASFFCDNGTELVSHDKIRRLSIRSSSYSSAQRTSNSVAHVRTFRMSPSIDNIPFFFPQLRLLRVLDMQGSRCMSNKNLDCICRFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLEMSHVMVRNMARLQSLVHVEIKEHPSVFQEIALLQNLRKLSVLFHGIEVNWKPFLELLNKLSGSVRSLSIDIFDTQGNISISSLEMLSSLVSPPIFITSFSMTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFQRGGFARVKLLIVDNLVNLEKLHFDEGSVPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIISSVVSKVVSCVKDHPNHPRVVGDKWNIVTSRSCRLQPSAPAMAAAEDVPGRDSGRMRMCRRFKGSG >OGLUM06G03980.2 pep chromosome:ALNU02000000:6:2803904:2814151:-1 gene:OGLUM06G03980 transcript:OGLUM06G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIFSVAEGTVRSLLSKLSSLLSQESWFVRGVHGDIQYIKDELESMNAFLRYLTVLEDHDTQVRIWMKQVREIAYDAEDCIDQFTHHLGESSGIGFLYQLIYILGKLCCCRRIAMQLQELKARAQDVSERRSRYGVMLPKTTLQGAGPRLTRHASRHLDPQLHALFTEEAQLVGLDEPRDKLVRWVMEADPCRRVLAIVGFGGLGKTTLARMVCENPMVKGADFHCCPLFIVSQTFNIRTLFQYMIRELIQRPNKAMAVAGGKHGHTMDGNMDGMERWEVAVLAEKVRQYLLDKRYIVIFDDIWTISAWESIRCALPDNKKGSRVIITTRNEDVANTCCSGPQDQVYKMQRLSDAASRELFFKRIFGSADISSNEELEEVSNSILKKCGGLPLAIVSIGSLVASKTNRTKEEWQKICDNLGSELETNPTLEVAKQVLTLSYNDLPYHLKACFLYLSIFPENYVIRRGPLVRRWIAEGFVNQRHGLSMEEVAESYFDEFVARSIVQPVRIDWSGKVRTCRVHDMMLEVIISKSLEENFASFLCDNGHPLVCHDKIRRLSIHNSHNSVQRTRVSVSHVRSFTMSASVEEVPMFFPQMRLLRVLDLQGSSCLNNSTLNYICKFYQLKYLTLRKTNICKLPRLIGNLKYLETLDIRATRIKRLPASASNLSCLKHLLVGHKVQLTRTTSVKCFRPDSGLEMTAGVVKNMMALQSLAHIVVKERPAVLSEIGQLQKLQKLNVLFRGVEENWNAFLQSLVKLTGSLRSLSIHILDEKEHSSSLEYLACIAESPPLFIRNFSLKGKLQRLPPWIPSLRNVSRITFRDTGLHAEAIGVLGDLPNLLCLKLYQRSYADDHIFFAHGNFLKLRMLVIDNMENIRNVHFEKGSVPNLEWLTIAFLREPKDGITGLENLLKLKEIEFFGDIILSMVTKVASCMKAHPNRPRVIGDKWNNVTEKSMEGAIVSLTEGAVRGLLRKLAGVLAQESSPAQRVHGEVQYIKDELESMNAFLRSVSTSPEDAAAAAAAGHDDQVRVWMKQVREIAYDAEDCIDVFVRSRSHPAAAAAGVEGRLVASLRRFVRLLAGALGVGGGGLRELKARARDAGERRTRYGVSLAAAAVRGGGGSSSSGRLDPRLHALFTEEAQLVGIEGPREELVGWVMEEEPRLRVLAVVGFGGLGKTTLARMVCGSPRVKGAADFQCSPPLVVVSQTFSITALFQHLLRELIQRPRKAMAAVAAAAGGGGDRVAYDALQGMERYIVILDDIWSSSAWESIKCAFPDNKKGSRIIVTTRNEDVAYTCCCRPQDRIYKIQRLSDAASRELFLKRIFGMADAGAPDDDELKQVSDSILKKCGGLPLAIVSIGSLLASKPNRSKEEWQKVCDNLGSELGSNPTLEGTKQVLTLSYNDLPYHLKACFLYLSIFPENHVIKRGPLVRMWIAEGFVTQRHGLSMEQVGERYFDEFVSRSMVHPVRIDWSGKVRSCKVHDIMLEVIVSKSLEENFASFFCDNGTELVSHDKIRRLSIRSSSYSSAQRTSNSVAHVRTFRMSPSIDNIPFFFPQLRLLRVLDMQGSRCMSNKNLDCICRFFQLKYLSLRNTSVSILPRLIGNLNHLETLDIRETLIKKLPSSAANLTCLKHLLAGHKEQLTRTSSVKFLRPSSGLEMSHVMVRNMARLQSLVHVEIKEHPSVFQEIALLQNLRKLSVLFHGIEVNWKPFLELLNKLSGSVRSLSIDIFDTQGNISISSLEMLSSLVSPPIFITSFSMTGKLGSLPPWVASLRSVSRLTLRRSQLRADAIHVLGGLQNLLCLKLYHKSYADDRLVFQRGGFARVKLLIVDNLVNLEKLHFDEGSVPNLERLTLSFLREPKDGISGLNNLLKLKEVEFFGNIISSVVSKVVSCVKDHPNHPRVVGDKWNIVTSRSCRLQPSAPAMAAAEDVPGRDSGRMRMCRRFKGSG >OGLUM06G03990.1 pep chromosome:ALNU02000000:6:2814294:2815885:-1 gene:OGLUM06G03990 transcript:OGLUM06G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQGRRDSATPSLGAEGGESFTSPAATRLCGVRFKCSMKCLTESGHGDSFAGEGIIELITPMVAKMEMLALISLIFEEKIKCLLRTGND >OGLUM06G03990.2 pep chromosome:ALNU02000000:6:2814294:2815994:-1 gene:OGLUM06G03990 transcript:OGLUM06G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIAQRERRLQFPSYPKRRRTGVPRLGEPAGEGTRDDRSRAARLGDSFPWSGGRRVFHFAGGNPPLRCEGIIELITPMVAKMEMLALISLIFEEKIKCLLRTGND >OGLUM06G03990.3 pep chromosome:ALNU02000000:6:2814294:2815885:-1 gene:OGLUM06G03990 transcript:OGLUM06G03990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGQGRRDSATPSLGAEGGESFTSPAATRLCGVRFKCSMKCLTESGHGDSFAGEVTDMTACRCSLLRTGND >OGLUM06G04000.1 pep chromosome:ALNU02000000:6:2817466:2820067:-1 gene:OGLUM06G04000 transcript:OGLUM06G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKGPKFAAVKKIITKKTIQKYKEDVLNPKKKDNEKEKLGRNVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITRHRYSIERLPEATIGGGNVFCAGGSLSNPSSMLIISYL >OGLUM06G04010.1 pep chromosome:ALNU02000000:6:2818449:2823833:1 gene:OGLUM06G04010 transcript:OGLUM06G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREIWASGPSDLREVLCASLSDGGFGLEGERRRRRWGMAAAAAAAMATLRWVLQMHRDVPRAARFYSEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNLASQRIYSSMLSFTVPDINSTVTKLLSLGAELDGPIKYEIHGKPYDASMGTCLAFSNQREEPTLQFRLVAD >OGLUM06G04020.1 pep chromosome:ALNU02000000:6:2824052:2824978:1 gene:OGLUM06G04020 transcript:OGLUM06G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASSSSSLLLLMFLAFLDHGAAVANLSSIEAAVRDRAFQLFRRTSEIVAVDVPAVLAGAGVEASATRVRSSALWADGVNATVPGLAVAVPPRVVPAPFARRVAIVFVRFLGDASSWLFDAPPGYALAAPVVALLAFDASGPNGGVALRALGAPVRVEFRDISPASGFNATAARCLTFSSGGGKAVAAHAVAMEPGPSCVVSGTATGHYGVAVRVETPPPPPPPRPPPVRERWWVWKVGATAGGVAAASFLAVTVVGAVRWRRRRRREEMERRAMCGEELGRMAVRGSRMPSAKMVRTRPELEEELS >OGLUM06G04030.1 pep chromosome:ALNU02000000:6:2826489:2833937:-1 gene:OGLUM06G04030 transcript:OGLUM06G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGSPICSEQDVVSCAMKETLDSSTCVNHLVVISIVAVLTVALLLQLLMKIPKSRASARQLVAFNSLLQLAAVVFTGCLGLLNLGLGLWMVGISFNQDTSIYRPHWWLVILAQGFSLILTSFSFSIRPRFLGATFVRFWSLLLTICAAFICCCSVVYMVGEKEITIKACLDVLLLPGALILLLYAIRHSRDEEGYETTENALYMPLNTERDHGTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYAKPLEEKDMPLLGSTDRAQNQYLMFLEMMNRKKQLQSHATPSVFWTIVSCHKSGILISGFFALLKVVTLSSGPLLLKALINVSLGEGTFKYEGIVLTVTMFVCKFCESLAQRQWYFRTRRLGLQVRSFLSAAVYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQIWTTSVQLCIALAILYNAVGLATVSSLVVIIITVLCNAPLAKLQHKYQSKLMEAQDVRLKAMSESLVHMKVLKLYAWESHFKKVIEGLREVEYKWLSAFNLRKAYNSFLFWSSPVLVSAATFLTCYLLRVPLNASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQCRKKYIAGTEYPIGLNSCSFSWDENPSKHTLRNINLVVKSGEKVAICGEVGSGKSTLLASVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQENILFGSLMDEQRYKETLEKCSLEKDLAMLPHGDSTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGKIIRSAPYQDLLEYCQEFQDLVNAHKDTIGISDLNNMPLHREKEISTEETDDIHGSRYRESVKPSPADQLIKKEEREIGDTGLKPYILYLRQNKGFLYLSLCVISHIIFISGQISQNSWMAANVQNPSVSTLKLIVVYIAIGVCTLFFLLSRSLSIVVLGMQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFFFMFSISASLNAYSNLGVLAVITWQVLFISVPMIVLVIRLQRYYLASAKELMRINGTTKSSLANHLGESISGAITIRAFEEENRFFAKNLELVDKNAGPCFYNFAATEWLIQRLELMSAAVLSFSALVMVILPPGTFSPGFVGMALSYGLSLNMSLVFSIQNQCNLANQIISVERVNQYMDITSEAAEVIKENRPGPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCHILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKVVEYDKPTKLMETEGSLFRELVKEYWSYASSGNI >OGLUM06G04040.1 pep chromosome:ALNU02000000:6:2842466:2849995:-1 gene:OGLUM06G04040 transcript:OGLUM06G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALLSDGGVRDARERRMKIHPARQTRREDEDENENENATTARIDIGRRQYRTIDSCTPYLNE >OGLUM06G04050.1 pep chromosome:ALNU02000000:6:2850545:2863313:-1 gene:OGLUM06G04050 transcript:OGLUM06G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYQFLCVMSIVEPFPDMLSFIGVSIRCETRGVHAWVPDLVHVEVGFGALDREGDVAGVEGGGAGVVLDGALVAPLVVAGLLLALRLFLLPPHLLVRLRRTTPVGVGGSHGVDVLHAGGESTATAAAAAGSGGEGWGPQQRVKAQPSEDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGDVPGVEVGGGGVVGGGPLVQLLAVGLVAGVLLALRRLLPLLRPHRSPAAAAAAGDDGSHGWMDGGQRAARLEKPKLPALHMDGPDISFLAHT >OGLUM06G04060.1 pep chromosome:ALNU02000000:6:2851147:2862423:1 gene:OGLUM06G04060 transcript:OGLUM06G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSRGGGGGGRAMGAKERKEAAQREKDAGDEAYGEELYKRAAAHYAAAADLDPGDISCLIKRAKANFSMNKCEECVADCDEALGRRRRRDARCGCDEKLAADALFLKALALLNLAVCAADHEPAITALEGSLELRPGSKETRAKLEMAKRNRDAFAEQERLDQEAAKTHRDKGLELLRKKKYKEAEMQFTEAIKRNPRYPKNFSDRARCLIELNSLPKALEDANRCIELDDTLGMGYLRKGLVQIAMAKYEDAIATLVDGLKHDPQNLSIHNGLRECAARIKMAKDSDAIASDAIAKDLTKHQRKIECLHKQLNEGENKASKERSRRMKSEKLVKTLSSQVEQLRSANERNANLERKLSECRERFEQLQSIQNRILQHFTCPISHEVMNDPLMAADGHTYEAKFIRDWFRRGHNTSPITNVELEHKKLLPNHANEQMRRKKEKAQREKEAGDDEGRYKRAVEHYARAAALDPGDISLPIKCAKSYFDMDQYEECVRRCDEAVERGRELRSKKSLVAQALFWKGTALLNLADCASDCKAAIRALKQSLHEHYNKATEANLDRAENAMEELEELEKEAAKHHRDKGKELLSQKKYKEAAIQFTKAIKKNALNPRNFSDRAKCRIELNALAEGLEDAFKKSSSSQVEKLMMQRDVVTVELKSAKEHNANLEQQLSEQIGRIERLLSIQNSEPPHFICPISQEVMSDPHFAADGHTYEAEHIRKWLNDGHDTSPMTNERLQHKKLTPNHALRSAIREWHQQRNMRHTSPSLLF >OGLUM06G04070.1 pep chromosome:ALNU02000000:6:2863360:2869810:-1 gene:OGLUM06G04070 transcript:OGLUM06G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G65360) TAIR;Acc:AT5G65360] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM06G04080.1 pep chromosome:ALNU02000000:6:2870353:2870792:1 gene:OGLUM06G04080 transcript:OGLUM06G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:A0A0D9ZKN6] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM06G04090.1 pep chromosome:ALNU02000000:6:2871673:2873444:-1 gene:OGLUM06G04090 transcript:OGLUM06G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01130) TAIR;Acc:AT4G01130] MSRRLPPIADRSLRAICSSLSTMRRITWLATAAAAAAMCWLVAAASAAGQCRFPAVFNFGDSNSDTGGFWAAFPAQQAPFGMTYFCRPAGRASDGRLVSVGSGFRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKDLRNKVLTSNGNNGQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSAVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAKVRKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIAASLMSGSYSYPPFDLSKLCHLQPIA >OGLUM06G04090.2 pep chromosome:ALNU02000000:6:2871673:2873030:-1 gene:OGLUM06G04090 transcript:OGLUM06G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G01130) TAIR;Acc:AT4G01130] MGLPLLSPYLQSVGSGFRHGANFATLASTALQPNTSLFVTGISPFFLAVQLNQMKDLRNKVLTSNGNNGQLPAPDVLHNALYTIDIGQNDLTSNLGSQSIETVKQSLPSVVSKISSAVQELYNIGARNIMVFNMAPIGCYPAFLTKLPHTSNDMDGYGCMKTYNSAVTYYNELLNNSLAKVRKKLQDASIVYLDKHAVTLELFRHPKAHGLKYGTKACCGYGDGAYNFNPDVYCGSSKLLNGQTVTAKACADPQNYVSWDGIHATEAANKIIAASLMSGSYSYPPFDLSKLCHLQPIA >OGLUM06G04100.1 pep chromosome:ALNU02000000:6:2873621:2877850:-1 gene:OGLUM06G04100 transcript:OGLUM06G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSTSPLQFSPTRNRVLLHPSRRSDPPAARRGASALTRGAQPHRLGRARAARMDYDYRGRPGSGSYGGGGGGGGSSSLYPRVGQPSHGVANAPPPQPPRAAPYHHHGPPTVSAAPHPVPASSSTSMGIQVVIKPAYRITPPPQLPPQLTEIPRSTFNFDFEYERKILAEAEKENPNWSKESAITTTTAAKRPKTHNSPYFCGYSRGSNSGQVKEFVKSYNALHEMGFTSSNVPELLAIHDNDPDKRMSFASLDSNNEHEQEQTPQSISISISPP >OGLUM06G04110.1 pep chromosome:ALNU02000000:6:2881797:2882846:1 gene:OGLUM06G04110 transcript:OGLUM06G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAVVEKKARRIVRGRWHVEASNEAAAAAPAVVAAPAPRVVRILYRDHDATDSSGDDDGEDDAPRRARLLVHEIHVARQPVAMSPAAAASSSQRRRVGPMKRRTEAAVDATAAAEVAPERKFRGVRKRPWGKYGAEIRVSQQSARVWLGTFDTAEEAARVYDHAALRLRGPSATTNFPVTPTAPAPSPPPSRDTNAGAASGYDESSDESQLVGSPVSVLRPMPARATAKKEAKEEDDSAPDILGISAGDGLIFPFTGDVMNFPPPDEDMFGGGISFGEPTPPPMVFDDDCMARLGHVPNDDVDDEHPVTSSYFLDDDLGDLPSWTEVDGFFSDVGGDDLFAAEPFPAL >OGLUM06G04120.1 pep chromosome:ALNU02000000:6:2886809:2890195:-1 gene:OGLUM06G04120 transcript:OGLUM06G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAAGMLGSAAYTRMRAENPSEFMPRRVLLSHAGLENEIDRGNSFWVKAALVYESVTGDHVDDHTRHLSRDLLLNLAAYCCPRIHPDPSPRRPQALPEDEDKKKAEDDQLAKRTQHPDEGTEENKQVIDAIFLVVGFLPRLTKAAATGGGKGAAAAAARRDAVDESFKATHMQDIVTDVIKLENQLPIKHLLAVADLAEAAVHAAAAGIPGLRDDVAKALRDYKLGFARANFDGVIRSFCSYYSPFFSKDEQAKKPDGDAFSGELTLLDCLHASLVPPSSEAGGGGVKGGKTSRIPTAKELHRSGVRLEAGVEDGRAVVQFKEDAATLRLPALVFDFKLATVARNLLARELEEQSKPVTRYFQLMNELVEEVADVRILRRAGVVRGGSRGAGEVHELIKKIDGYATYPSVFMAMDVQVEKVKVFHEKRMNNFFVRYRPAIVAASSVVAASVVAIVATRKKRG >OGLUM06G04130.1 pep chromosome:ALNU02000000:6:2892170:2898982:-1 gene:OGLUM06G04130 transcript:OGLUM06G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5E8] MATAAGMGIGAACLVAPQVRPGRRLRLQRVRRRCVAELSRDGGSAQRPLAPAPLVKQPVMPTFLVPTSTPPAPAQSPSPAPTPPPLPDSGVGEIEPDLEGLTEDSIDKTIFVASEQESEIMDVKEQAQAKVTRSVVFVTGEASPYAKSGGLGDVCGSLPIALALRGHRVMVVMPRYMNGALNKNFANAFYTEKHIKIPCFGGEHEVTFFHEYRDSVDWVFVDHPSYHRPGNLYGDNFGAFGDNQFRYTLLCYAACEAPLILELGGYIYGQKCMFVVNDWHASLVPVLLAAKYRPYGVYRDARSVLVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSQGYSWEVTTAEGGQGLNELLSSRKSVLNGIVNGIDINDWNPSTDKFLPYHYSVDDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLAIPDLMRDNIQFVMLGSGDPGFEGWMRSTESGYRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHGTGGLRDTVENFNPFAEKGEQGTGWAFSPLTIEKMLWALRMAISTYREHKSSWEGLMKRGMSSDFTWDHAASQYEQIFEWAFMDQPYVM >OGLUM06G04140.1 pep chromosome:ALNU02000000:6:2898618:2899082:1 gene:OGLUM06G04140 transcript:OGLUM06G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGSGIDTGGEEDQHETSRVPSRSGSISPTPESGRGGGVGAGDGDCAGAGGVDVGTRKVGMTGCFTSGAGASGRCADPPSLLSSATHRLRTRWSRNLLPGLTCGATRHAAPIPIPAAVAMIPPSSADPAAAVSGGPRLAGGGGGGGGERGVG >OGLUM06G04150.1 pep chromosome:ALNU02000000:6:2901102:2901461:-1 gene:OGLUM06G04150 transcript:OGLUM06G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQAMEMRLTWISELIASNKKSIAGNKAYILALIDAIDNDRCPYTAAELSDKIRELREDRETVILPAQAVIKTMIDSVRAATPAAGGDGGTRRRGADDNSGAIGCGPTHQSRMISRM >OGLUM06G04160.1 pep chromosome:ALNU02000000:6:2928418:2928861:-1 gene:OGLUM06G04160 transcript:OGLUM06G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAELPQQEVKLFSRWSFEDVQVKDMSLADYLAVNSTKHAAYLPHTAGSRYSAKRFRKKAQCPIVELLMTNSLMMLRPQQRREELSWPCASSSTPWRSSTSSPTPTPYRPLSTPSSTAALVIRMRPVLGQACCQDQLLSVYPISVC >OGLUM06G04170.1 pep chromosome:ALNU02000000:6:2934983:2935369:-1 gene:OGLUM06G04170 transcript:OGLUM06G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREASAAKSSVQLSELPDPETSRICMEETKQLVADMQGIIEALLVFVDAGCSPVPVDEIHEMIRHMREVGCPAVRRSLDQIRRDTDALLAAAAALDLDNGGSGAGGGDEGIGLRQQQNAAQPQPRL >OGLUM06G04180.1 pep chromosome:ALNU02000000:6:2935727:2936182:1 gene:OGLUM06G04180 transcript:OGLUM06G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLLAKLSHSPIGSFKAGWILERVERTRRLLAKAEAVYETLLGFVDSGCSPFTVDELNGIIREVDLVTDTVISPSVQQIDADLAALLASPPVDDDDHTSVPQGQLMIIIVATATATGGDGDGLRRREATPDEEVIGGAGGTQVQIMSRT >OGLUM06G04190.1 pep chromosome:ALNU02000000:6:2938360:2938755:-1 gene:OGLUM06G04190 transcript:OGLUM06G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESFAKSLQAKLSDSPSGSLLLRCNLLHLATTRQLIASLRAIYDTLEEFVDAGFIPLHSDDFLEMIRDIRDAGETLAADSLDQIDASLAALFASLPPEDDDNGGGAGEEGIGGEGTNHGTQLQISCRL >OGLUM06G04200.1 pep chromosome:ALNU02000000:6:2941588:2942023:-1 gene:OGLUM06G04200 transcript:OGLUM06G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERGDGQVTAGEAVALADRFVQGGRGGFWSASIERTRRLLAKAETVYETLLGFVDSGCSPFTVDELNGIIREIDTDLAALLASPPPVADDDDGDHASVPQSQLMIIIVAAATATGCGGERQLQMRKS >OGLUM06G04210.1 pep chromosome:ALNU02000000:6:2942977:2943366:-1 gene:OGLUM06G04210 transcript:OGLUM06G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARESLAKSLEAQVSDSTCGALLLRGNQLHIAATKQLIASLVAINDTLEELVDAGFKPLPVDEFLKMIRDIGDAGETMAAESMEQIDASLKLLLASLPQEDDDNGGCGGAGGEEGIGGDGTQLQISRL >OGLUM06G04220.1 pep chromosome:ALNU02000000:6:2945291:2951297:1 gene:OGLUM06G04220 transcript:OGLUM06G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFQDRHHVWLRSREHGLYLHADLADGSSVYLHPYRATARAAWAVHVLHHFDGRMLMLHSAANGRYLAASTSPWAATAARFGLGGGNRVTLRHLDRLPMFAAGWFPIVSASGDVLLGHASDRFLRAIDRGDGNGVTVEVSDSRRPNTPWVVEAIPPIESIPRLPHLVGIGHIARAIQFVRAERASTDGTFPHVAWACFEFTGRSLFNLRTELARRLNFAVVSDVIMCVRAGLFGRLTPLITDLPPNNVTMEIIVVTAGTIDANELRFPNFVFCCCRCLSSPAMADARDAAAATAARHGVGQNPSRRWASARHRAHAAAAAAVGASTASPHTRLLLDVTGKAHRSSMAFTGAVVVDDDAGRRETKRRAASASASAARRPERQDTKSPAAAASAARRREAMEQFHDGHHVWLRSRAQGTYLRADDDGRGVSMGQGRASVHAAWTVHTHHLDAGDVDILMLHSAANGRYLATGLGWTRRRLLSGNRASIILRDLDQEVFPPACWFAIRSGWGDDVLLRHCSWRFLRADDRKWNWNRNGTGVIADMIDGRRLARWQWVVEAIPPRNSIPRPPNPSPSFGFFARRICFRRLTHNDLIWVWIWFTGRSALHLWNQLSRRMGFEPDPNSTMCVRAGTFGRLTPLVTDLPRNNATMVIFVLPPESLAGLGLTCPNVHAA >OGLUM06G04230.1 pep chromosome:ALNU02000000:6:2952693:2954804:1 gene:OGLUM06G04230 transcript:OGLUM06G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFHDGHHVWLRSRVHGTYLRAGEDGSGVSLHEGRASVHAAWAVHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVNLHDLNQLPSFTVGWFAVTAGSGDYVMLRHSSSGLFLRADGGNLLCNSVGVVVDMFDFRRREIRQWVVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNRLASQLRIRESSDAILCVRAGSTGRVTPLVTDLPRNTLVIDIVVITAGTNAALWLRYPNVHAA >OGLUM06G04240.1 pep chromosome:ALNU02000000:6:2955066:2956343:-1 gene:OGLUM06G04240 transcript:OGLUM06G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETDKGSSVQVSELADDPEPRCMSLEETKQLIGYMNTIVDSLLKIVDSGYSPYPVEEIHEIIRDIREEGCAAVRRSLDQIRRDLDADDGGGGGENCSATGVEEDVGGVRQQPNTTSLGQQLANGSKESLTGYENELADVFRLEYNNIVLYKKMINQITEKTMENYSKCQNA >OGLUM06G04250.1 pep chromosome:ALNU02000000:6:2958202:2961306:1 gene:OGLUM06G04250 transcript:OGLUM06G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFHDGHHVWLRSRANGLYLCADDDRSGVSLQQDRASVHAAWAVHILHFNGGDVLMLHSAANGRYLAAYRAEGSWNVERRDLNRLPSLTFSWYALGSRYGDDVLLRHFKSMFFLRSLFRRDRITNSGGVGLCAMDRGTRTMQWVVEAIPPRESVPTLPDPLPPSSLSGVYRIWYVRANPDGIISPNDWRLFLFYGRSVRNLSALLSIELGIRRPSDAILCVRAGFFGRLTPLVTNLPHNNMLLNLDIVVITAGTSGEISFYSDRFTYLHR >OGLUM06G04260.1 pep chromosome:ALNU02000000:6:2961649:2969959:1 gene:OGLUM06G04260 transcript:OGLUM06G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16330) TAIR;Acc:AT4G16330] METAAAPPRVQALADAGVSLLPAQYVQPPELRPDPTSTHRRAPTAASLSVPVVDLSSPGAGDAVRRACAEWGAFHVVGHGVPPGLLDAMRGAGLAFFRSPMEEKLRFACDQARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPESRRDPTRWPNFVPGYRDTVVKYSDSMKDLAQKLLRIVSESLNLPPSYIEEAVGEVYQNITVSYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGLWIPVPSLPDGILVILADQTEIITNGRYKSAVHRAIVNADRARLSVATFYDPSKSRKICTAPQLVCKEHPQKYQDVIYGDYVSSWYSKGPEGKRNIDALLIEQ >OGLUM06G04270.1 pep chromosome:ALNU02000000:6:2964227:2974487:-1 gene:OGLUM06G04270 transcript:OGLUM06G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLEGLLGDLQQFTAPKAENSFDDITGKVVADFGCGCGTLSVASSLLDAEHVVGIDIDPQSLELAQENAADLECKLLMASSCQIALWKVVCLNQLSATTDIHYSVGLLVDTVVMNPPFGTSRKGADMEFISMGMKVATRAVYSLHKTSTREHIKKVALRNCNAISAEVLCELRYNLPRTYKFHKQNEVDIAVDFWRFVPRARDEDKPMDGTCLPTCIQQAVQVLTLKRVVQSSVTGWNKQPLMQELTKALKSVSSDLLDRFIDSVYKFSEQPYLNEGNFGPVNEIGDEVFIDDLNGEVPKDFPEGVYIRNGPNPLNASQTAAESIFGPTSYMYYEGHGMLHAIYFSKSNLGEWRISYKNKYVDTDTFELERKKNKIAFLPSAEGKPYATLVAFLLNTVRFGKPVKDSANTSIFEHAGRAFAATENHLPYEIDINNLRTLGPYNINGAWDQPFTSHPKKICGSGELVTMGTNIEKPHYVLGVISSDGERLLHKVDLKFEEGKLIHDIGVTAQFIQNDMGGISRIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDGNEVVIRGCRTLDSVLSSASHDDDKSKCSGRAFLQPDKNSEGFDPSVDGTLFSRPYEWRLNLKSGTTKEGYLTDEKVAMDFPVINEDFVGVKNNYGYAQVVDSVATSEIGLFKYNRIAKVHFDRQDKENKQLKSVEYHVLKEKTFCSGVQFVAKENGIDEDDGWIITYVHDELTNVSQVYIIDAKRFAEEPVLKITLPQRVPYGFHGNFFYK >OGLUM06G04280.1 pep chromosome:ALNU02000000:6:2974738:2979302:1 gene:OGLUM06G04280 transcript:OGLUM06G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLIRRKRASGKSLKKANVVKGQWTLEEDRKLVKLVEQFGLRKWSHIAQILPGRVGKQCRERWHNHLRPNIKKDTWSEEEDIVLIQTHKEVGNKWAEIAKHLPGRTENSIKNHWNATKRRQFARRRSRASSKNPKSGTLLQNYIKSLGIGPIKSSVRQAPSEPTAVSSSSPASTQKLAEVNGKIRPDSNPSNQMDTQGILTMDENSYIQTNSCEELLVSTYDDLCLDMCDHFFETKDEAPYQGYNIDDDVDMNYIFNHIDYANKIGNEIDMEMAWDDDVLQDDESAGSSPLETPAGLAQINTVHVKEEMDLIEMVTRTQSCG >OGLUM06G04290.1 pep chromosome:ALNU02000000:6:2979308:2985294:-1 gene:OGLUM06G04290 transcript:OGLUM06G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSTRGRLFEFSTSSCMYKTLERYRSCNYNLNSCEASAALETELSNYQEYLKLKTRVEFLQTTQRNLLGEDLVPLSLKELEQLENQIERSLMNIRSSKNQQLLDQVFELKRKEQQLLDANKDLKRKIQETSGENMLHISCQDVGPSSHASEDNQEFLHHAICDPSLHIGYQAYMDHLNQ >OGLUM06G04300.1 pep chromosome:ALNU02000000:6:2990560:2991086:-1 gene:OGLUM06G04300 transcript:OGLUM06G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPAGCRKLDDGLMVIGSGIQEQAGAQWAMYSIFEDKVMQEAGVEAYVLSDSEKPDLA >OGLUM06G04310.1 pep chromosome:ALNU02000000:6:2991326:2996397:-1 gene:OGLUM06G04310 transcript:OGLUM06G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDEREAEARREKEAGNAAYRKLYLETAVHHYTRGALLDPRDISFLTNRAAAYLLMSKYKECVRDCDEAVEKGRELRADNKLVARALARKASALLKLAACAADYDPAIRALQQSLAEHYSEETLAKLGEAEEARKEIEERERLHQEAADHHRDRGNDFFKQKRYQEAAMHYTEAMKKNPKDPRVFSNRAQCHIYLGALSEGLEDADKCIELDPTFLKGYLRKAKVQLLMGNYEIALATYVEGLKCDPNNLEVLDGLRRCAACIKRANGGDARAEDLREILGDLHLNDDLCNKLQKSMDEAAVLKKEASDERLKWIESERLARTLEDLYLSQVQQRKETEESLSRVQQEFEQLKIQQDEVTVELQKVNEQNENLLGQLSDSREHFEWLLSEHDQLLRERDNAVREVEELRQKRGQMLSVLVTAMHCEFSSSEVESATENFSNSLKIGEGGFGCVYKGILRNMTVAIKVLRPDSLQGQSQFEQEVSILSRVRHPHLVTLLGACSESSTLVYEFLPNGSLEDFLMCSDKRQTLTWQARIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLGVNLVSKLSDFGISRLLIQSSTNNTTLYRTMHPVGTPLYMDPEFLSTGELTPQSDVYSFGIVVLRLLTGKPPVGIKKIVEDAMEKGDLNSVIDTSVGGWPHLLIEQLAYLALRCTELSRRCRPDLSGEVWAIVEAIRDAALSSPSSSRSAQDQNSPPSYFICPISQDIMDDPHIAADGFTYEAEAIRSWLCNGHDTSPMTNLRLEHEELVPNRALRSAIQEWLQQHSMSLSGEVVV >OGLUM06G04320.1 pep chromosome:ALNU02000000:6:2998882:3004450:1 gene:OGLUM06G04320 transcript:OGLUM06G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25770) TAIR;Acc:AT5G25770] MEQRVYRYTSRPVDSVVRLHRIFCSGIKSAVHTLPAGRGHQLVFRWLCMHAHADLARRGSMMPRRAMAVALTLALTAMWPPPRLAAPATGGHVTVTARKPRGRRLSGKSTTTTTTASLGCGSKPNNIRGATAAAGGGSKMEAVAASGLRASFLDVLLSRRRNLQVPLTVEPGSPVKHPLYQGRPPMGRCVAMESCPRKGVVNSKEKLVEENFYLITESGEQGRVPVLLLKLNDTTPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYISVAIDSRYHGERANNNSTYIDALKSAWRNGDAMPFILDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAVVDTRYSVVVPIIGVQGFRWAIDNNKWQARVDSIKPLFEEARIDLGKSEIDTEVVEKVWDKIAPGLDSQFDAPFSLPVIAPRPLLLLNGAEDPRCPVLGLQEPVSRAAKAYEEVGSADKFMFIAEPGIGHRMTANMVKEASDWFDRFL >OGLUM06G04330.1 pep chromosome:ALNU02000000:6:3008061:3026621:1 gene:OGLUM06G04330 transcript:OGLUM06G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SITE-1 protease [Source:Projected from Arabidopsis thaliana (AT5G19660) TAIR;Acc:AT5G19660] MALERRLAYAALIPFLLLALPILPSDSPSGGGGGGAGGGGGGGETLDPPAAKYVVRFVEYRPADEHREYLEDGLRGAARPPPAASWRWVERRNPAAAFPTDFAVLEIRDACRAAVVDAVSALGRVRDVHADASYSRGVLSADRPRQQGKLFTAMSFEGEEGGGDREVGCSTDSNNSSSAGWRRKLLVQHDKVITCVLLQRSQVTSLFGAERLWGRGFTGRKVKMAIFDTGIRADHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPDEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASSYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKIAQDNSRSADTQNTPDKSKLSFILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGKELICHQDSRFEVWGMKGYGTQPTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEEIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >OGLUM06G04330.2 pep chromosome:ALNU02000000:6:3008061:3026621:1 gene:OGLUM06G04330 transcript:OGLUM06G04330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SITE-1 protease [Source:Projected from Arabidopsis thaliana (AT5G19660) TAIR;Acc:AT5G19660] MDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPDEHRKSILNPATMKQALVEGASRLSGPNMYEQGAGKIDLWQSYEILKSYQPRASIFPNMLDFTDCPYFWPFCRQPLYAGAMPVVFNATILNGMGVIGYVKDPPVWQPSEDVGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGKVTLSIYSPAAHGESSPRSSSCVLYLKVKVVPTPVRSRRILWDQFHNIKYPSGFVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASSYGTLLMVDLEDEYFSEEIQKLKDDVVHKGLGVVVFAEWYHVDTMVKMTFFDENTRSWWTPITGGANVPALNELLAPFGIAFGDKVLSGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQDNSKIAQDNSRSADTQNTPDKSKLSFILGMMEAGKGRVAVYGDSNCLDSSHMVTNCYWLLRKLVEFTGNRIKDPVLFSESAQLKFPVFESIHQLSRRPDVNFSTYSTVVGKELICHQDSRFEVWGMKGYGTQPTGTTRKLPEYQKSEAYNISTPIASDSTPDEAGLQRNISTPIASKFDKRMDYFGFLGHEEIDIGMLVASQWMVPCFAATACLMLYLSCRVQQKRRRRKKGSTAARLSSMDRMV >OGLUM06G04340.1 pep chromosome:ALNU02000000:6:3017111:3030505:-1 gene:OGLUM06G04340 transcript:OGLUM06G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MAVAVVAIRSAFPTAAAAPPLRPAILGVARAAASSRRRRILLPAWPVAAMSSSSSSSAAAAAHKAGAWYAVPDLSLRDHRFAVPLDHSSPSPSAPTITVFAREVVAAGKEDLPFPYLLFLQGGPGFESPRPTEASGWMKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYERYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLQLKLPIYRDDRNPSLSDIWSKKVEAHTEKARPMEKGREAQASFKAVRVSMFGLVPPRLSREMCGRSAGIKRGGGRTFEGIFALGAMTQLMRLYRGASIAG >OGLUM06G04340.2 pep chromosome:ALNU02000000:6:3026430:3030505:-1 gene:OGLUM06G04340 transcript:OGLUM06G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MAVAVVAIRSAFPTAAAAPPLRPAILGVARAAASSRRRRILLPAWPVAAMSSSSSSSAAAAAHKAGAWYAVPDLSLRDHRFAVPLDHSSPSPSAPTITVFAREVVAAGKEDLPFPYLLFLQGGPGFESPRPTEASGWMKKACEEYRVVLLDQRGTGLSTPLTTSSLSQITSAAEQVEYLKHFRADSIVKDAEFIRLHLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGSACTADTVYRACFKQVQQQNEKYYERYPQDIQVIHELVRYLNESEGGGVSLPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLFERVWDPILVPGAKKTISYYFLKEFERWLGFDQNPLYALLHESIYCQGSPSKWSAHKIGSECESLFDPIKAIKEGRPVYFTGEMVFPCIFDEIHALRPLKETAHMLAHKEDWPPLYDVNVLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWITNEYMHSGIRDGGSHVFDHLMGLLNGKKPLF >OGLUM06G04340.3 pep chromosome:ALNU02000000:6:3017183:3024594:-1 gene:OGLUM06G04340 transcript:OGLUM06G04340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61540) TAIR;Acc:AT3G61540] MGLDHTLKGIQNSEKMPASSGVLSEAIGVEILMGSARRRNGMSAAYASRLSSAIRDQAAARRGGDRPRPRPFCLAGNEKERSKTWKPTRRKRGPWRRDEKPRRVLRRTTSAVEGDVREVCRYKEGRGANV >OGLUM06G04350.1 pep chromosome:ALNU02000000:6:3031885:3042458:-1 gene:OGLUM06G04350 transcript:OGLUM06G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVLAKLTAFLGDEHKHAKGVRDDLVFLQSELSAMNVVLHKLADVDQLDELSKDWRDRVQDLAYDIEDCIDLSVHRLGDASKSGIRAKVARMAKKIVSFRRIDRQIQQLKARVMEVSDRRNRYTLPGLVSTSLDAASSSKVDFRLCALWKETEHLVGIDGPRDDVIGRLMGQEESAAQHDVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNVKELLLNISTQVGKSTNTSDDVANLIDNLREHLKLRRYIVIVDDIWSLEPWRFIGQALIKTSPGSVIMVTTRVEEVAISSSSSHGGFVCQMKHLDDTHSKRLFYKRIFDSEEKCPPEFEQASKEILDRCDGIPLAIISISSFLADRVEQSLYHWNEVKKVMSSPLPGNEHLETLKSVLALSYYNLPHNVRNCLLYLSAFPEDCEIEKDHLISRWIAEGFINANPGESLYKAGLRYFNVLMNRSLIQPWYEHYGEVLTCRVHDVILNFIVSKSVEENSMTLLDTSDLVPLQHSNCCKVRRRLSLQGSFCQEETALMMKSIKPHVRSLACSMDCTGLHPLSEFKVVRVLDLQGCRSLINNHLANIEKLVHLRYLSIRRTGVSLLPINIGHVQHLETLDIRDSEVKELPQSIVQLQQLVRLFVNSDVKFPAKGVSKMQALEQLTGFSPLIQPVSIFKELGELTKLRVLLVYWKPRHIGDSDEVQAEYEKSYEKLFTSSLNALGRHNLHSLSLVLSENANCFSFDSWYPALKNIRRLYVPDITWRIRTFPGWIRLAAKLEQLELSEVYVKQDDLQMLGDLTALESLALPYSNTYGSWLTVSNHGFRSLKVAHIPNLLFMTDSMPNLKYILIEIRLEEVGENDLVFQHLPSTLCKVHAYIVGNPPLRDAVSKLEKKILNIANTHPNRPSVTTKTFIKDH >OGLUM06G04360.1 pep chromosome:ALNU02000000:6:3054203:3054379:1 gene:OGLUM06G04360 transcript:OGLUM06G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDKRALLAAENYHGGGGPVAVSFNTNAIVLLALLVCGLGAVALHVVLQCALRVTP >OGLUM06G04370.1 pep chromosome:ALNU02000000:6:3055995:3059795:-1 gene:OGLUM06G04370 transcript:OGLUM06G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAATGAMNSVLAKLAAFLGDEYKHARGVRDDLAFLQSELTTMNKALHALADADQLDELSKDWRDRVRDLAYDIEDCIDLSVHRLRGAGESGLAAKMARVAKKIGSFRQIASQIQQLKARVVEVSERRNRYTLHGLVPTSSDASSSTTKVDARLCALWTETKHLVGIDGPRDDIISRLEQQDDDVRMVSIVGCAGLGKTTLARQVYDKIKAEFECKAFVSVSQRPNIKELLLNISTQVGKPTNTWDDVANLVDNLREYLKQKRYIIVVDDIWSPEPWNFIGEALVKTSHGSIIILTTRVKEVAISSSSSHGGFVYQMKHLDGAHSERLFYKRIFDCEEKCPPKFELASEEILKRCDGIPLAIISISSFLADHESLYHWNEVKKIISSPLPGNEYLETMQSVLALSYYNLPHDIRSCLLYLSAFPEDCEIAKSSLVSRWIAEGFINARPGENVYEAGLRYFNVLINRSLIQPWNEHYGEVLTCRVHDVILNFIVSKSVEENFLFLLDPSGLVPLQHSNYCKVRRLSLQGNYCQEEFASRMMPIKPHVRSLICSVDYTGFHPLSEFKVARVLDLDGCQSLTNNHLANIEKLVHLQYLRIRGRVTVLPANIGRLQHLETLDIRGSEVKELPPSIVLLQRLARLSVSQDVKFPAEGVSKMQALEELTGLTLFCQPGSFLKELGELTKLRVLFVYWKAYHARDSDEAQAEHKKSCKKIFTSSLNALDRHSLHSLDFVVFMERFLFDPWFLALQNLKRFGVESTSRMINIPSWIRLAAKLEKLELRKAYVTQDDLEMLGDLKALEYLALPCSDTQGSWLTISNHGFRCLKFAFLCNVLFMPDSMPNLKDLQIDIVLDEVGENDSVFEHLPSTLCRVNVDIIGNPPSTPRDVASELEEKILNVAKTHPNRPTLTTRTLDRDILVD >OGLUM06G04380.1 pep chromosome:ALNU02000000:6:3068576:3073967:-1 gene:OGLUM06G04380 transcript:OGLUM06G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAAIGVMNSVLAKLTAFLGEEYKHAKGVRDDLVFLRSELSTMNIVLQKLADVDQLDELSRDWRDRVRDLAYDIEDCIDLSVYRLRGGSSAGESGLVAKVARMAKKIGSFRQIASQIQKLKARVVEVSERRNRYTLPGLVSTSLDVASSSKVDSRLCALWTETKQLVGIDGPRDDIISRLEQDSLSAAATQHDVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKELLLNISTQVGKSTNTSDDIANLVDNLRDYLKQKSSSSSVVPNSPSPDPAAALDATTAQLRDGAAALRERRNEGAVVRWSSSSAGAPLPCSMAAACAGGGTSGHDGGEQRRSNSAARRLKYIVVVDDIWKPEPWNFIGEALVKTSPGSIIILTTRVKEVAMSSSSSHGGFVYPMKHLDDAHSKRWIAEGFINANPGESVYEAGLRYFNVLINWSLIQPWDECYGEVLTCRVHDVILSFLVSKSVEENFMTLLDSSGLVPLQHSNCCKVRRMSLQGSYYKEEFASRMKSNKPNVRETGVTVLPANIGRLQHLETLDIRDSGVTELPPSIVLLQRFALLFIHHDVKFPAEGVSKMQALEQLICLVPFNQPVSFLKELGELTKLRVLVVVWEPHHIVDSDEAQAEHEKSYEKIFTSSLNALDRHSLHTLFFFVHKNVSHFLFDSWFPTLQNLRRLSIINALRRTTIPSWIRHAAKLELLELENVYVTQDNLEMLGDLKALESLALPDSNTRGSWLTISNHGFRSLKFAHIANVLFMPDSMPNLKDLHIEIRLEQTGENDSIFQHLPSTLRRVDAKIVGNPHLRDAVSKLEEKILNVANTHPNRPSLTTGTYIY >OGLUM06G04380.2 pep chromosome:ALNU02000000:6:3068576:3073967:-1 gene:OGLUM06G04380 transcript:OGLUM06G04380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLVSAAIGVMNSVLAKLTAFLGEEYKHAKGVRDDLVFLRSELSTMNIVLQKLADVDQLDELSRDWRDRVRDLAYDIEDCIDLSVYRLRGGSSAGESGLVAKVARMAKKIGSFRQIASQIQKLKARVVEVSERRNRYTLPGLVSTSLDVASSSKVDSRLCALWTETKQLVGIDGPRDDIISRLEQDSLSAAATQHDVRMVSIVGCAGLGKTTLAKQVYDKIKGEFECKAFVSVSQKPNIKELLLNISTQVGKSTNTSDDIANLVDNLRDYLKQKRYIVVVDDIWKPEPWNFIGEALVKTSPGSIIILTTRVKEVAMSSSSSHGGFVYPMKHLDDAHSKRWIAEGFINANPGESVYEAGLRYFNVLINWSLIQPWDECYGEVLTCRVHDVILSFLVSKSVEENFMTLLDSSGLVPLQHSNCCKVRRMSLQGSYYKEEFASRMKSNKPNVRSLTCSVDYTGFHPLSEFKVARVLDLEGETGVTVLPANIGRLQHLETLDIRDSGVTELPPSIVLLQRFALLFIHHDVKFPAEGVSKMQALEQLICLVPFNQPVSFLKELGELTKLRVLVVVWEPHHIVDSDEAQAEHEKSYEKIFTSSLNALDRHSLHTLFFFVHKNVSHFLFDSWFPTLQNLRRLSIINALRRTTIPSWIRHAAKLELLELENVYVTQDNLEMLGDLKALESLALPDSNTRGSWLTISNHGFRSLKFAHIANVLFMPDSMPNLKDLHIEIRLEQTGENDSIFQHLPSTLRRVDAKIVGNPHLRDAVSKLEEKILNVANTHPNRPSLTTGTYIY >OGLUM06G04390.1 pep chromosome:ALNU02000000:6:3081224:3091674:-1 gene:OGLUM06G04390 transcript:OGLUM06G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVANEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGQFCTPVSQPDNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAADPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQCFDYDNYMEMNLRKPTWRCPFCNTPSNFTDLRIDQKMVKILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQQSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGSASRGNNERGNVTSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTCSDIVPSQPRIDPQLRLEIARPPIPRNVTREPTGIQALPVQPQSLPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRTTQNYHQGQFIGLTAPQNFMGTRPPPGVPGQAIGANAHGASPAQQSHHVHRLVSNLMNQLGQATVAQASTAPQVLPSQPGGTSAVNPQIRGYLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPTTARPPNTPPPIGTSDDLQELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSQVTSQARPAGPDARR >OGLUM06G04390.2 pep chromosome:ALNU02000000:6:3081224:3091674:-1 gene:OGLUM06G04390 transcript:OGLUM06G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAPLPPTPPPSQQQQPQPQGKEQQQQMAVAMNARRLVMIGDRLRTHFRGGGGTVLEPPDLAHLVYAFARGIDFALSSGDVPTVANEIPSILKKVYLVGKDQFLQSSVMVLMISCKNACSEKWFQPTDCTEILRMANELSGQFCTPVSQPDNDSTVIQIISTIMPRYYPQLKFERLVTSLEAKVGYDVLMADFFIHKNVPREEKINLIVVQKEDLDASSCIANPPHVSFLVNGKGVDKRTNVSMETGPQFPTDITRMLKYGANIIQAIGYFNANYIIAVAFLNKLESFDAPNLNDYAQPVAADPPDSDLLEGPSRVSLKCPISFRRIKTPIKGRLCKHYQILQETGEDTIDVLVFADGSWKAISTNDERSDRHSSDVIQQSRDTMDTDATADDVIDLINEDNDGDVPMSFTSASEDVKPFLNCQDLSVADYLSDLPMNTVSQAEDLYAGSASRGNNERGNVTSTSGQNSSLPSTGGLGSSSFGTLESILPHNILHPVITDAVSPSLDTSNSVVPRQHVAQGTCSDIVPSQPRIDPQLRLEIARPPIPRNVTREPTGIQALPVQPQSLPVQPQRVRPNIYNCPPPFPQSSPASAYQVHQVTNADSVITAMSTGIGSLSRAPDAAPLLQHQSTQQEIRTTQNYHQGQFIGLTAPQNFMGTRPPPGVPGQAIGANAHGASPAQQSHHVHRLVSNLMNQLGQATVAQASTAPQVLPSQPGGTSAVNPQIRGYLFPAQQRSQAMRPQAVPRPTISQAPPRAQSPFLPTTARPPNTPPPIGTSDDLQELPVDESWRPTGQMRGSLTGEAYSVAIGRYNPSVNIAGQQTSQVTSQARPAGPDARR >OGLUM06G04400.1 pep chromosome:ALNU02000000:6:3094967:3097591:-1 gene:OGLUM06G04400 transcript:OGLUM06G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5I0] MDAAALDDLIRRLLDARGGRTARPAQLADAEIRKLCAAAKDVFLSQPNLLELEAPIKICECVCIMLTIILPTYSLTGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKEIEGWGENDRGVSYTFGADKVAEFLQTHDLDLICRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSDKKGKAGTGNMSKPGTPPRKIKINII >OGLUM06G04410.1 pep chromosome:ALNU02000000:6:3100143:3101112:1 gene:OGLUM06G04410 transcript:OGLUM06G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVHACMRWRRDENVGAPLDGARTPSLSLPSHVSAVRRTGEQVAVRCHVRTKGLVMLPRRNTPPQHRERRPRSHDAALAGVAMPNASPTVFGGVVIFLTPWRRRSTGHPRLAGGDFHLTASSSAHVVILLTRAVVESGHTILHLVKICWVNPEKAISVPPCRSMLRIIRTAGNVVKLPSLPSSWCRGVFKPCCSGPPIVIGTGRRRLSGRS >OGLUM06G04420.1 pep chromosome:ALNU02000000:6:3101189:3101475:1 gene:OGLUM06G04420 transcript:OGLUM06G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAHAIPLEVAGKPSSLRRSPLMPSLWSAAPRGHVIHFVDNALTLVKPPVTPSCHHRRTTAKLRACLVIETPLTGDAFTLVAVPEALPMFT >OGLUM06G04430.1 pep chromosome:ALNU02000000:6:3103162:3107476:1 gene:OGLUM06G04430 transcript:OGLUM06G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRGRGGFDELVLLHQQQEQRRRREQQQEEEEEEEVRRQMFGAVVGGLAAFPAAAAALGQQQVDCGGELGGFCDSEAGGSSEPEAAAGARPRGGSGSKRSRAAEVHNLSEKRRRSKINEKMKALQSLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGVYLNPSYLSGALEPAQASQMFAALGGNNVTVAHPGTVMPPVNQSSGAHHLFDPLNSPPQNQPQSLILPSVPSTAIPEPPFHLESSQSHLRQFQLPGSSEFHKILFLHVLLSVKDGVSWRDNAKAPPIITSRKSARKRDELHQERIIHVEHQ >OGLUM06G04440.1 pep chromosome:ALNU02000000:6:3108298:3109407:1 gene:OGLUM06G04440 transcript:OGLUM06G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPTTPLLLLLTHHLTAAAAALLVLLDPPSPSARKRRRLDVEELDPVPPPSLQPEPEPLPLPPTSPDHYPLAFRLVAGASSRVLSLAAGFRGDRTDLEVLKLSSLYQELEQGKVLDHGQYLAGDGDGYPLLPWLMVPFRGPAVPGSPEAEFNAAHDAMCRKARRTVRSLKGWGAIARLRDEESPRAAVACIGTCAMLHNVLLAREDHSALAPEETDLPPAVQRRADDGDAAGVEDLEIHRRASAFRGALAATMRRR >OGLUM06G04450.1 pep chromosome:ALNU02000000:6:3112306:3116046:-1 gene:OGLUM06G04450 transcript:OGLUM06G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGSGVPQIRREGGGRRSSPSPGALLLRGPWRSSRGILIIWADPSVISFLLMLPTAVAKDQKSFLTRRSSISTQDDTTAILVSPNDDFSCGFYKVATNAFTFSIWFSRSSEKTDAWTANRDAPVNGKGSRLTFQNDGTLALLDYNGKVVWSTNTTATQANRAELLNNGNLVVMDLQGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGLDTASIYWPNPSVHLPWKNGRTTYDSRRYGVLNQTGRFVSSDLFKFEDSDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGFEVIDPSDWSKGCKRKADMTVIWDKGNRTNTNNTISRDFSFRKNTGTDFWGYDMDYVESVPFSNCRNMCLANAKCQAFGYRRGTGLCYPKYTLFNGRSFPDPYNDIYLKVPKGVPFTKESDSRLTHSCGVTEKLAYPSSQMLEDVPSKFVFANSEDSATRSYTRQPIVFRKSGNGGSGVVYKGVLDDERQVAVKKLNDVIYGEQELRSELSVIGRIYHMNLVRVWGFCAEKTSRLLVSEYIENGSLDRLVFDHQNLFPLLKWNQQYNIALGVAKGLAYLHDECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKQGTAQMLSRVHGTRGYIAPEWVLNLPITGKADVFSYGVVLLELVKGIRVSRWMVEGEKVELGIKRTADILKEKLVNEDQSWLLEFVDGRLEGEFNYSQAVKMLKIAVSCVEEERSQRPSMSQVVQNLL >OGLUM06G04460.1 pep chromosome:ALNU02000000:6:3115860:3116905:1 gene:OGLUM06G04460 transcript:OGLUM06G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNIPLGSAQMMRMPLELRHGPRSSSAPGDGEERRPPPSRRIWGTPLPPLPMAAAVPVPWSPESEFDGAEEAPSGARCRKESPHAAAALITVQMMPEWKDSRFDERIGAAPTMRTWW >OGLUM06G04470.1 pep chromosome:ALNU02000000:6:3117069:3119523:-1 gene:OGLUM06G04470 transcript:OGLUM06G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVYIFTTIFFLLMPTIALANHRKPFLARRSSISTQAFIARRSSISTQDDTTTILVSPNSDFSCGFYRVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSRLTFQKDGTLALLDYNGKVVWSTNTTATRADRAELLNNGNLVVMDPEGQHLWRSFDSPTDTLLPLQPITRNVKLVSASARGLLYSGFYNFLFDSNNILTLVYNGPDTASIYWPNPSFDQPWKNGRTTYDSLRYGVLNQTGYFVSSDLFKFEASDLGDHVMRRLTLDYDGNLRLYSLNETSGNWSVSWMAFSRVCQMHGVCGTNAVCNYIPELHCSCLQGFEVIDPIDWSKGCKRKVDITAIWDKGNRHNITNNSTSQDFSIRKITATDFWGYDTAYTQLIPYSNCRNMCLTANNCQAFGYRKGTVVRKWETRPEITDEGYAIISSQFRRFSYKELQKATNCFQEELGSGGSGVVYKGVLDDERKVAVKILNDVIYGEQELRSELSVIGRIYHMNLVRIWGFCVEKTKRLLVSEYSENGSLDRLLFDYHNLFPVLKWSQRYNIALGVAKGLAYLHHECLEWIVHCDIKPENILLDKDFEPKIADFGLVKLLKPEAAQMPSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGSRVSRWVVDGKEEVGLAVKRNVDTLREKLASEDQSWLLEFVDSRLDGEFNYSQAATVLKIAVLCLEEDRRMRPSMDTVVEVLLSLVE >OGLUM06G04480.1 pep chromosome:ALNU02000000:6:3124742:3127153:-1 gene:OGLUM06G04480 transcript:OGLUM06G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5I8] MRGVSIFTTSISFLLMLTIALAEDQRSSLARGSSISSQDDTTTILVSPNGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSKLTFRKDGSLALVDYNGAAVWSTNTTATGASRAELDDSGNLVVMDPADHRLWKSFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNILSIIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRLCEIHGLCGINSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANNSTEQKFIFVEIPKTDFYGYDFNYTPSVALPVCKQICLIDDGCEAFAYRKGKGECFPKALLINGKKFPDPSNDIYLKFSKEASSSQLLASKPSHICKVIEKDAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILVTVGCWATYKWGRRPEIRDEGYTIISSQFRRFSYKELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSVFPALPWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKIADFGLVKLLNRGPSTNILSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEEVELAVKRTVDILKEKLASGDQSWLLDFVDCRLNGEFNYSQAALVLNTAVSCLDEDRRKRPSMNSVVEILLSLME >OGLUM06G04490.1 pep chromosome:ALNU02000000:6:3154960:3174959:1 gene:OGLUM06G04490 transcript:OGLUM06G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVWGFHTAQLREESPLTLVATVLKLCTASTSGRGVGAPYNRAVVINKGQAPVLPEGELGALAVHRSITVGCPRHRLLRRSGEPDGEGPAMTTLNALMTVLEDEDESDKCESTVTEA >OGLUM06G04500.1 pep chromosome:ALNU02000000:6:3155788:3158199:-1 gene:OGLUM06G04500 transcript:OGLUM06G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5J0] MRGVSIFTTSISFLLMLTIALAEDQRSSLARGSSISSQDDTTTILVSPNGHFSCGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNGKGSKLTFRKDGSLALVDYNGAAVWSTNTTATGASRAELDDSGNLVVMDPADHRLWKSFDSPTDTLLPLQPMTRDTKLVSASARGLPYSGLYTFFFDSNNILSIIYNGPETSSIYWPNPYERSWENGRTTYNSSQYGILNQEGMFLASDKLQFEASDLGDKDVMRRLTLDYDGNLRLYSLNATNGKWSVSWLAFPRLCEIHGLCGINSFCTYMPSLQCSCLEGFEMTEPSDWSQGCRRKENITVKGDHNANNSTEQKFIFVEIPKTDFYGYDFNYTPSVALPVCKQICLIDDGCEAFAYRKGKGECFPKALLINGKKFPDPSNDIYLKFSKEASSSQLLASKPSHICKVIEKDAYPSSQMFEGSNSKFKFGYFLSSALTLLVVEVILVTVGCWATYKWGRRPEIRDEGYTIISSQFRRFSYKELEKATGFFQEELGSGGSGAVYKGILDDNRKVAVKKLNDVIHGEQEFRSELSIIGRVYHMNLVRIWGFCAEKTHKLLVSEFVENGSLDRVLSNHQSVFPALPWSQRYNIALGVAKGLAYLHHECLEWIVHCDVKPENILLDKDFEPKITDFGLVKLLSRGSNTHILSRVHGTRGYIAPEWALNLPITGKADVYSYGVVLLELVKGNRVSRWVVDGEEEVDLAVKHTVDILKEKLASEDQSWLLEFVDCRLDGEFNYSQAATVLKIAVSCVEDERRRRPSMSSVVEILLSLVE >OGLUM06G04510.1 pep chromosome:ALNU02000000:6:3172275:3173526:-1 gene:OGLUM06G04510 transcript:OGLUM06G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVHIFTALISFLLMLTIAVAEDQKKYLARGSSISTQDDTKTMLVSPNGDFACGFYKVATNAFTFSIWFSRSSEKTVAWTANRDAPVNSKGSKLTFRKDGGLALVDYNGTVVWSTNTTATGASRAELQNSGNLIVMDSEGQCLWESFDSPTDTLLPLQLMTRDTKLVSASARGLPYSGLYTFFFDSNNLLSLIYNGPETSSIYWPNPAFLSWDNGRTTYYSSRHGVLDSDGWFIATDQLNFEASDHGQKDVMRLTLDYDGNLRLYSLNMTTGKWSVTWMAFRRVCEIHGVCGKNSLCIYKPDPQFSCLEGFEMVKPGDWSQGCSYKANATLIWNNNSNSKSNHRFIFKKIPHTDFYGYDLNYSNPVTLWQCKRMCLDNADCQAFEYHKGNPKITIMISI >OGLUM06G04520.1 pep chromosome:ALNU02000000:6:3177586:3179121:1 gene:OGLUM06G04520 transcript:OGLUM06G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34050) TAIR;Acc:AT4G34050] MAEAASAAAAATTEQANGSSGGEQKTRHSEVGHKSLLKSDDLYQYILETSVYPREHECMKELREVTANHPWNLMTTSADEGQFLNLLLKLIGAKKTMEIGVYTGYSLLATALAIPDDGTILAMDINRENYELGLPSIEKAGVAHKIDFREGPALPVLDQLVEEEGNHGSFDFVFVDADKDNYLNYHERLMKLVKVGGLVGYDNTLWNGSVVLPADAPMRKYIRYYRDFVLELNKALAADHRVEICQLPVGDGITLCRRVK >OGLUM06G04530.1 pep chromosome:ALNU02000000:6:3181388:3185281:-1 gene:OGLUM06G04530 transcript:OGLUM06G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MVMRPRPQRAAKFQAMGARHVASSSREPWRPRPWSKYKQSRPPPFSPPRRARGEATEAKRAMAGVVIGVAPPPATPPEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAINLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQNKPNHFDVGH >OGLUM06G04530.2 pep chromosome:ALNU02000000:6:3182976:3185281:-1 gene:OGLUM06G04530 transcript:OGLUM06G04530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MVMRPRPQRAAKFQAMGARHVASSSREPWRPRPWSKYKQSRPPPFSPPRRARGEATEAKRAMAGVVIGVAPPPATPPEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAINLRDLDICWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKERSNIFERDHLKMGIES >OGLUM06G04530.3 pep chromosome:ALNU02000000:6:3182210:3185281:-1 gene:OGLUM06G04530 transcript:OGLUM06G04530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MVMRPRPQRAAKFQAMGARHVASSSREPWRPRPWSKYKQSRPPPFSPPRRARGEATEAKRAMAGVVIGVAPPPATPPEEPEPHVERLPADLLAHVLSLLPSFHDLAMAGGVSRRWRRAVERSLAGRRRMSFAGQRTGDDSTARFVRAAINLRDLDISRSCWGCQITDQGLIRISTADCVKNLTSISLWGLAGITDNGVIQLVSRAHSLQHLNIGGTFITDESLYAVAKSCINLKSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQVS >OGLUM06G04530.4 pep chromosome:ALNU02000000:6:3181388:3182416:-1 gene:OGLUM06G04530 transcript:OGLUM06G04530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67140) TAIR;Acc:AT5G67140] MQSIIVWSCRHVTEAGLVALVGGCRRLECINVGGMRVPPESFAGLLAIRPALQIRSIPQILNANVQNKPNHFDVGH >OGLUM06G04550.1 pep chromosome:ALNU02000000:6:3191624:3194909:1 gene:OGLUM06G04550 transcript:OGLUM06G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-like zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G52010) TAIR;Acc:AT5G52010] MEAEWHIPSSPPNPKSASRLLPSLSITTPRPTPNQTPCGRDLAALAMPPPLSFLHLAANPTPLHASPLRRARLRHRLSLRTDHPSRRAVASASASAGENPSTPGGPIADVEMVRGKDGVWTARPPTVVVLWDLDNKPPRGPPFPAATALRDAASLLGRVVSVSAFANRHAFSHVPAWVADERRERRAMDRAERAGAASPPVPYSCAVCGRRFPTRPDLTRHFRQLHQRERNKKLSRLRSLKGKKRQKFRERFISGNTKYDDAARELLTPKVGYGLAAELRRAGVHVRTVSDKPQAADHALKRQVKHSVACGVDWLVLVSDDSDFTDTVRKARAADLRTVVVGDGCRALGSVADIWLPWDRVENGEVDEDMLRNGTHMGFRDEEEDEQDDDEFIVDWDTSDLDGVVDDIVATRTKFFGATTMSAFADEEIMDGILGVGINGGDMLWSSDDEDEDGLVLYCYSANQKASSHRHKHS >OGLUM06G04560.1 pep chromosome:ALNU02000000:6:3203601:3204155:1 gene:OGLUM06G04560 transcript:OGLUM06G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREATVFLPPPPPPLTQPQPPQQPAAAVRAPVGGRGGGGGRQYRGVRMRKWGKWVAEIREPNKRSRIWLGSYSTAVAAARAYDTAVFYLRGRSARLNFPDQLDGAGGGGGSGGGAEDHRELTAAAIRKKAAEVGARVDAQHSVVGAAAPVPLQPPQPPPPQRRRTKNPDLNREPTPDTSDDE >OGLUM06G04570.1 pep chromosome:ALNU02000000:6:3210573:3211775:-1 gene:OGLUM06G04570 transcript:OGLUM06G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5J9] MELELGLRLALPSPATATAAGSELDLLNSAPGSCRKRGFEEALGGFKIDDDDDDGNCRGGDGDSDGEMGNKRRKLVGWPPVKCLHRRRDGGCGGGYVKVKMEGLAIGRKLDLSILGSYAELLDTLHLMFPSTNQEDGHDRRRRHPYAVTYEDGEGDWMLVGDVPWEAFAKSVKRLKILV >OGLUM06G04580.1 pep chromosome:ALNU02000000:6:3224273:3227628:1 gene:OGLUM06G04580 transcript:OGLUM06G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKLSRFAHRVLCCGRKGSGEDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGEGPFGSVYWGQVWDGSQIAVKKLKCAKNGTETEFASDVEILGRVRHKNLLSFRGYCADGPERVLVYDFMPNSSLYAHLHGTHSTECLLDWRRRTFIAIGAARALAYLHHHATPQITHGSVKATNVLLDSNFQAHLGDFGLIRFIPDGVDHDKIISENQRGYLAPEYIMFGKPTIGCDVYSFGIILLELSSGRRPVERSGSAKMCGVRNWVLPLAKDGRYDEIVDSKLNDKYSESELKRVVLVGLACTHREPEKRPTMLEVVSMLKGESKEMLSRLENDELFRPDSTVSSHGMSTPEGSSDCVPKNDQELAAA >OGLUM06G04590.1 pep chromosome:ALNU02000000:6:3227858:3237702:-1 gene:OGLUM06G04590 transcript:OGLUM06G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDEEEDSAVHEWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGVYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERRTTEEDEDEDFCLPDGVEPLLKGTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWYKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPTMPNEFLTYADTKVETRHPIRLYSRYIDKVHIMFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSFTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLTDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICIADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNTPWNFNFMGVKHDPLMKYNMKLGTPRDFYHEDHRPTHFLEFSNIDEGEVAEGDREDTFTWFACATTLQQPTRLFTSPLYEHMPTGGVGV >OGLUM06G04600.1 pep chromosome:ALNU02000000:6:3240623:3251184:1 gene:OGLUM06G04600 transcript:OGLUM06G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRILRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGISLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDALQMIMIFTVAMLACSPNENTAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >OGLUM06G04600.2 pep chromosome:ALNU02000000:6:3240623:3251184:1 gene:OGLUM06G04600 transcript:OGLUM06G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRILRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGISLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >OGLUM06G04600.3 pep chromosome:ALNU02000000:6:3240623:3251184:1 gene:OGLUM06G04600 transcript:OGLUM06G04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRILRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGISLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDAYPPITAFQSAALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >OGLUM06G04600.4 pep chromosome:ALNU02000000:6:3240623:3251184:1 gene:OGLUM06G04600 transcript:OGLUM06G04600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAINPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLSPEVERLLQSREPNTKKKAALCSIRILRKVPDLAENFMGSAVSLLKEKHHGVLISAVQLCAELCKASKEALEYLRKNCLDGLVRILRDVSNSSYAPEYDIAGITDPFLHIRVLKLMRILGQGDADCSEFVNDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAMEVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDANAKSLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFKVLSLAGNYVKDDVWHALIVLISNASELQGYSVRSLYKALLACGEQESLVRVAVWCIGEYGEMLVNNVGMLDIEEPITVTESDAVDAVEVSLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFNSIIQRHQSIKSSLLERMPVIDEASYLAKRAASTQATISSDKLAAAATPGSSLKLPNGVAKPPPAPLADLLDLSSDDAPATTSAPTTAPNDFLQDLLGISLTDTSTAGGAPSASTDILMDLLSIGSSPVQNGPPTVSNFSLPGQAETKVAPVTPQVVDLLDALKITFNFKKQSGKPQETTIHASFTNLTSNTFTDFIFQAAVPKFIQLRLDPASSNTLPASGNDSVTQSLSVTNNQHGQKPLAMRIRITYKVNGEDRLEQGQINNFPAGL >OGLUM06G04610.1 pep chromosome:ALNU02000000:6:3253399:3254493:-1 gene:OGLUM06G04610 transcript:OGLUM06G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAGVIRSNQDGGGGGGTVSGAARISPAVVFVLVILAVVLFVSGLLHLLVRFLLRGGRGRDGGGGGDAEAAEGVEESALQRQLQQLFHLHDAGLDQDVIDALPVFMYREVVVGVGGGGGGAGGGAKEPFDCAVCLCEFAGDDRLRLLPVCGHAFHIDCIDTWLLSNSTCPLCRAALGADAAALFDAAFDEMADEEDRKQQEDAVFPVRLGKFKNLSRAVGPVHDRDAAAAAAAGVGVGGGIITREEGESSSSSLDARRCFSMGSYQYVLAEASLQVSVHRRHGDGNGRARLRGLAGANPAGNDAAAAADGKKIGAGSKGDSFSVSKIWQWPRQGKGKLPVLASDDSPAVDGRLPWPRRSPGAS >OGLUM06G04620.1 pep chromosome:ALNU02000000:6:3260770:3264180:1 gene:OGLUM06G04620 transcript:OGLUM06G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5K7] MLGSLTSYSPSVDSKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLNEPVRVAAEKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDAVSVANNGVHSDISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >OGLUM06G04620.2 pep chromosome:ALNU02000000:6:3262543:3264180:1 gene:OGLUM06G04620 transcript:OGLUM06G04620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5K7] MLGSLTSYSPSVDSKTENPDELIATGVLASLQNFIRKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRVGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGNLDMLNEPVRVAAEKLMASTAENTGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDAVSVANNGVHSDISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPYIEQSRNLAKKQL >OGLUM06G04630.1 pep chromosome:ALNU02000000:6:3264382:3273058:1 gene:OGLUM06G04630 transcript:OGLUM06G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTAPLALLLVSLAIAAAQPPSPPGRTNTFDAAALHAVFKQWNLQRDPAPPAYDPCTKRVWHNSSAMEASINCICSSNDNDCRITHLDVTGFRNITSIPDELFHLTELVSLDLSNNNLSGPITPNIANLTKLEIWWMFDNNIDGKVPEFIADFTNLKDLMIGDLDGDNFPFDFIGDWANISVLDLRSNNLTGPIKQVLQDNRRVQHLDVTYNPLLNGSLSNIFSDGSEEVSLLNCLDMKECNQTVNNTVPFAVNCGGKEYTSDPLQTVFHDDSTDLRSAGFHIDSSNSWVVSHVGADPFSNSTGIVNTTKNISGTDMLELYRTARTSTGSLWYYVVGLTRGRYTVQLFFAEIVIESESGRRLFNIDIQDQNIRADFDIFKEAGGFNKSTNINYTANVTTSVLKIHLYWNGRGTCCIPRNGTYGPLISAIRVFPYTEAQASPPPAPHTSRRDEKRRGAVAGIAALSIAAAVISSSVVYLWWKWVSLVKHRKA >OGLUM06G04640.1 pep chromosome:ALNU02000000:6:3273692:3274444:1 gene:OGLUM06G04640 transcript:OGLUM06G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5L0] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >OGLUM06G04650.1 pep chromosome:ALNU02000000:6:3278391:3278699:-1 gene:OGLUM06G04650 transcript:OGLUM06G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAIHYLLRATSQKRRHQALAVGVGRHRRRLLLLDSGRVLMLLAVVILVHLLTPGAAVQGAEPWVLLAAFLLWLLGAAFAVLSLAAGQFPVLAATIAAAT >OGLUM06G04660.1 pep chromosome:ALNU02000000:6:3279925:3284325:1 gene:OGLUM06G04660 transcript:OGLUM06G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:metaxin-related [Source:Projected from Arabidopsis thaliana (AT2G19080) TAIR;Acc:AT2G19080] MASAAAAAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHIDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPIGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFENSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGSDSSELDDEDGVDYED >OGLUM06G04660.2 pep chromosome:ALNU02000000:6:3279925:3284325:1 gene:OGLUM06G04660 transcript:OGLUM06G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:metaxin-related [Source:Projected from Arabidopsis thaliana (AT2G19080) TAIR;Acc:AT2G19080] MASAAAAAAEWEEAERKVLVARKAAFGLPTACPTCLPVLLYLRMCNVPFDIHIDSSFPDADHIPYVEFGECVAFNNEKGGVIEYLKEEKIVDLNSKHPSISYSDVLSTKAMVLTWLSDALQYELWLASDGSIPHDIYFSDLSWPVGKILYWKKTREVKQQLGITKLNAAEKEEEIYQKANAAYDALSTRLGDQIFLFENSPTDVDALFLGHALFVLNVLPDTSVLRSCLQKYDNLVNFTKHLKVQLLEADSDSSATGLGSTDPSSSSTPRKRASSGRSYKPKPRAKKERTEEEKKFRRKAKYFLATQLVAVLLFLSLMGGSDSSELDDEDGVDYED >OGLUM06G04670.1 pep chromosome:ALNU02000000:6:3284517:3285876:-1 gene:OGLUM06G04670 transcript:OGLUM06G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGYAPSPSGKAGVTLPYSQKQLTDVVDGMGEIYARVKNLLALADDLLAAMDAGEPVAGQLVRLQEMNAVAAAMEEQAGVHMPTYFAMKRAFLQAKAQASSMEDAGQPAADAAAPMAALPPVAQASTADVGVAGDSNHGDGASGVGSKTSLLALTDDLLAAIDAGEPVSGQLARLEEMNAVAAAAVERDHWAVTPAVLVRS >OGLUM06G04680.1 pep chromosome:ALNU02000000:6:3289559:3293141:-1 gene:OGLUM06G04680 transcript:OGLUM06G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSASPTTGAASSSKLRARPTITDENASPSCSTTRAPPRPPAAASSSSSSKLRGCTPRIEKMASSSRSTPPRRLDPELVQFLYLNCEKDQLVIYLDDFLDDLDDRACHAIRRSAMLHLPRSIESIGFESRGSHQRRAEIVRQLARDSRSAAPQPAGDLTSVPQPLEANSAEGSAEIVRQLAGNSSFAAPMTVPDLTFNSSHQVMPTADGSERETFQQGGKITWSSIPTKKPKNRLPVSNAAENENNKYPTTGTVKQEAAVRVSAAGEVLGEHPSIGLDAAENGVLDAEQKRYDIQSALHQMEGKINLLEQRFRDPDLDKEAKKSLIQQIRLPGQSWQTPRRSCVTLKSRTPASRSS >OGLUM06G04690.1 pep chromosome:ALNU02000000:6:3294015:3297111:1 gene:OGLUM06G04690 transcript:OGLUM06G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEARLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDAEYALEINSGKRSRDIKIKTEQHEQDHSAKSSGRKHKSKSRKRSFEER >OGLUM06G04690.2 pep chromosome:ALNU02000000:6:3294015:3297111:1 gene:OGLUM06G04690 transcript:OGLUM06G04690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEARLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDAEYALEINSGKRSRDIKIKTEQHEQDHSAKSSGRKHKSKSRKRIDSWELRLLPVNSHCRDTLLQLK >OGLUM06G04690.3 pep chromosome:ALNU02000000:6:3294015:3297111:1 gene:OGLUM06G04690 transcript:OGLUM06G04690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEARLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRSPEKSRFDTMALKSNKKQSGKGQGISKLKPSSTNKITVRRVLEENTSPSPERGVLRKDDTVDSWELRLLPVNSHCRDTLLQLK >OGLUM06G04690.4 pep chromosome:ALNU02000000:6:3294015:3297249:1 gene:OGLUM06G04690 transcript:OGLUM06G04690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDALREAEARLTVYVHPSNAADVRRAVARQLSSLLFSYEDRFDGVLLAHEATVESEQGKILNGLVPYFGVPVHANLLLYSPKPDMMLEGKVEMLGKESIHAIVLGVFSAAIMSDDIHEKFKFKRKKYGGKFVSRSDKQHVIKKGSMIRFSVKRVDAEMNCHVTGSLIPPHTGSMLWLSVHDAEYALEINSGKRSRDIKIKTEQHEQDHSAKSSGRKHKSKSRKRSFEER >OGLUM06G04700.1 pep chromosome:ALNU02000000:6:3299216:3302116:-1 gene:OGLUM06G04700 transcript:OGLUM06G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLTDSFELSKVDQAPANVDIELGLQGGMSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEQLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >OGLUM06G04700.2 pep chromosome:ALNU02000000:6:3299216:3301407:-1 gene:OGLUM06G04700 transcript:OGLUM06G04700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAQPGFEGFFEQVREIEKLLETLTKLLKDLQNSNEESKIVTKASAMKEIKKRMEKDVNEVTKTARLAKSKVEQLNKDNAANREKPGFGKGSGVDRSRTTTTVSLTKRLRERISEFQTLREAIQKEYRDVVERRVFTVTGERADEETIDRLIETGDSEQIFQRAIQEQGRGRVLDTLQEIQERHDAVKEIEQKLLELQQIFLDMSVLVEAQGEILDNIESQVSGAAEHIQTGTNLLQKARFLQKNTRKWTCIGIVILLIIILIVVLSLKPWSK >OGLUM06G04710.1 pep chromosome:ALNU02000000:6:3303482:3308638:-1 gene:OGLUM06G04710 transcript:OGLUM06G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5M2] MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYGHFNERSGLNAPLVAHDIYEVIMKNASRLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKDDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNQEWSLFCPNEAPGLADCWGDEFEKLYTKYEREGKAKKIVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREKGVPIESHPSKLVGSSDSKNRYFDFDKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYFHSLKASAELAGKEGPYETYEGSPVSKGILQPDMWNVVPSDRWNWPSLRSTISKVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPALKNKIIYEDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKENGTKAAEEEDVEAKMAQMVCSLNNREECLACGRNH >OGLUM06G04720.1 pep chromosome:ALNU02000000:6:3310224:3310985:-1 gene:OGLUM06G04720 transcript:OGLUM06G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALATVMSFLLVVLVSVAHGWNKDCPPPGSGGGGHHGKPPGSGSGGGGGHHGKPPEHHHHHKPPPSPRCPSCHPPYTPPTPRPPPTPPYVPSPPYVPPYIPPPYVPPYIPPPTPPYVPPYIPPPTPPYVPPYVPPPTPPSPPPYVPSPTPPYVPPPSPPATKTCPIDALKLNACVDVLGGLIHLVIGQKARAKCCPLVQGIADLDAALCLCTTIRARLLNINIYLPVALELLITCGKHPPPGFKCPPLYGA >OGLUM06G04730.1 pep chromosome:ALNU02000000:6:3315121:3319461:-1 gene:OGLUM06G04730 transcript:OGLUM06G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRREERGCGCWAAVARGLRGACFRPAGVAAAASGADEKGAAGGSAKGSHVHDAAGCFWVRCSSILFFSITAETRYLNASNRELGDHFQTNLDDENGVNASTEKKLLQFTFQELKSATVNFRPDSILGEGGFGYVFKGWIDPNSTSPAKPGTGLTVAVKSLKQDALQGHREWVAEVDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPCRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLSDRRRLYQLVDPRLGLNYSVRGVQKVAQICYHCLSRDTKSRPTMDEVVKHLTPLQDLNDMASASYRPRSSQRDLLHIREKRMNCLYSQLERDE >OGLUM06G04740.1 pep chromosome:ALNU02000000:6:3321321:3323677:-1 gene:OGLUM06G04740 transcript:OGLUM06G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRMQVWVGASPEPVDIANKSSSAAAAESPWWQQQQELEPSPNAGPRRLLHHRGDVPRSAPPRRPWPPGERGGRQASSNVLLTSSLRAKVGNFGFARWASGRVTPSSSSTASRRSAHHSDGLVAGNWAEFFSRAHHQRGHATVAAFSIGRRPPCSGQCRRPGRTRRGRRSAERGRRRRRRLRPGTSTLAPSQNPPPFSLQCVINSDI >OGLUM06G04740.2 pep chromosome:ALNU02000000:6:3319775:3323677:-1 gene:OGLUM06G04740 transcript:OGLUM06G04740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRMQVWVGASPEPVDIANKSSSAAAAESPWWQQQQELEPSPNAGPRRLLHHRGDVPRSAPPRRPWPPGERGGRQASSNVLLTSSLRAKVGNFGFARWASGRVTPSSSSTASRRSAHHSDGLVAGNWAEFFSRAHHQRGHATVAAFSIGRRPPCSGQCRRPGRTRRGRRSAERGRRRRRRLRPGTSTLAPSQNPPPFSLQCVKSYYYYYRLSTTTDAVIMTAATTTIHTGSRTGGGRGGGDAPLPLTDPPGRARAKLS >OGLUM06G04750.1 pep chromosome:ALNU02000000:6:3322437:3324364:1 gene:OGLUM06G04750 transcript:OGLUM06G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGEHCAARPPGGGGGDGVRRWERARALAAAATMVIPLLLRCCSCWLCPLAQRRADGAFCVFKPDQSPAAMQKAIDYACWRGADCTQIMQSGACYQPSTIGGGGEILRRRSEQTAHDGAERTARGGAEMLAAMAGWSTRRTTTEEAGPGANAVVVGPSSCKQRPRHRALAAAPLPPNAAVASTEKKKGGERCY >OGLUM06G04760.1 pep chromosome:ALNU02000000:6:3325055:3326806:1 gene:OGLUM06G04760 transcript:OGLUM06G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQLVKIGTWGGNGGGRVDLSVLPRSLKSVTIRSGAAIDAIAFTYIGTDGKEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFTNVVTNLKIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRAGALVDSIGVYVHI >OGLUM06G04770.1 pep chromosome:ALNU02000000:6:3327616:3328328:-1 gene:OGLUM06G04770 transcript:OGLUM06G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSRHDNWQQMLVVICRGGDGVATGGLESKNPCHHIQTDENAGVLEEVADLASRCLEMIGNNRPSMRDVADKLG >OGLUM06G04780.1 pep chromosome:ALNU02000000:6:3331649:3332484:1 gene:OGLUM06G04780 transcript:OGLUM06G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTKAICITVICQLAGATGFSMGTLLISKIREEYPDRMMLTFSEKFSPHQRFLIHYGGAAHYLSISLLRLENAIECMVIMIGDLNHLISATMSGVTCCLLFPAGTA >OGLUM06G04790.1 pep chromosome:ALNU02000000:6:3337343:3339127:1 gene:OGLUM06G04790 transcript:OGLUM06G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLVKIGPWGGNGGGSVDISVPPNSLKNVTIRSGAAIDAIAFTYVGTDGNEHLAGPWGGGGGNPTTITLGSQEFVKGISGTFNNVVTNLQIVTNVTTYNFGQGGGTAFSLPLQSGSVVGFFGRSGALVDSIGVYVHI >OGLUM06G04800.1 pep chromosome:ALNU02000000:6:3341073:3342654:-1 gene:OGLUM06G04800 transcript:OGLUM06G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIXSWDWY >OGLUM06G04820.1 pep chromosome:ALNU02000000:6:3349647:3358352:-1 gene:OGLUM06G04820 transcript:OGLUM06G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEDDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPIRKLAHRNNQDHQCSSRLKPLLSSRLPRTEGLNQPANLFCSWLPLRLPRLPLSMLLPRARDYRVSLHSTAAAAAAATATAKRAMASSGGGIHRGRKSGAREDQRGGHVDSARRRPRRRHQRRARVTLQFHAKAVALPGSSCLKKCGDVGIDYPFGIGTDCAKKGFELNCNKTEDGRSKMAFFGNMQVLNISLQKGQVRMMKYISYMCYNKSSTATLDLEGTPFTSASKENSFIVIGVNTLAYMLGSTYVTGCKSQCSPHSNLTVIAQDGVCTGAGCCQSSLTGNMSYHYVYFNKEYNTSEFYNNTSATDRAEYCGYAVMMETASFTFRTVYLNTTAFLDENKGRVPVILNWVVGNETCDVAKKKSSSYACRSNNSRCIDSTSGPDYLCNCTEGYHGNPYLPGGCKDIDECTVNDPPPCPGHCKNTPGNFSCPSEKPPSSSHSAALILAVGLSLGAVILVIIITCTYLICERKKLANIKKYFQQHGGMLLLQEIGLKQGTAFTVFTAAVLMEATNKYDEKNILGRGGHGTVYKGMLKDGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFQFIHFNDGSCNIPLFTRLQIAHESAQALDYLHSWASPPILHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLELLTGKMAFNLEGPENERSLSLSFLCAVKEGRLMDIIDYHINTDENAGVLEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVQGILESGR >OGLUM06G04820.2 pep chromosome:ALNU02000000:6:3349647:3354500:-1 gene:OGLUM06G04820 transcript:OGLUM06G04820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPRARDYRVSLHSTAAAAAAATATAKRAMASSGGGIHRGRKSGAREDQRGGHVDSARRRPRRRHQRRARVTLQFHAKAVALPGSSCLKKCGDVGIDYPFGIGTDCAKKGFELNCNKTEDGRSKMAFFGNMQVLNISLQKGQVRMMKYISYMCYNKSSTATLDLEGTPFTSASKENSFIVIGVNTLAYMLGSTYVTGCKSQCSPHSNLTVIAQDGVCTGAGCCQSSLTGNMSYHYVYFNKEYNTSEFYNNTSATDRAEYCGYAVMMETASFTFRTVYLNTTAFLDENKGRVPVILNWVVGNETCDVAKKKSSSYACRSNNSRCIDSTSGPDYLCNCTEGYHGNPYLPGGCKDIDECTVNDPPPCPGHCKNTPGNFSCPSEKPPSSSHSAALILAVGLSLGAVILVIIITCTYLICERKKLANIKKYFQQHGGMLLLQEIGLKQGTAFTVFTAAVLMEATNKYDEKNILGRGGHGTVYKGMLKDGQPIAIKRCVSMTDEQHKKEFGKEMFILSQINHKNIVKLLGCCLEVEVPMLVYEFVPNGTLFQFIHFNDGSCNIPLFTRLQIAHESAQALDYLHSWASPPILHGDVKTSNILLDENYAAKISDFGASILVPTDEAQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVILLELLTGKMAFNLEGPENERSLSLSFLCAVKEGRLMDIIDYHINTDENAGVLEEVADLASQCLEMIGDNRPSMRDVADKLGRLRKVMQHPWAQHDPEEMESLLGESSVAGLEMVSTGNFSMEGGAVQGILESGR >OGLUM06G04820.3 pep chromosome:ALNU02000000:6:3355379:3358352:-1 gene:OGLUM06G04820 transcript:OGLUM06G04820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRKARAGIDYDSSHGKARPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLGKAGEMPSLTPPDDESIRTLYIGGLNNRITEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGIRLKLMWGKPQAPKPEDDEAGRQGHVAHGGMLPRAVISQQQSGDQPQPPGTEGQQQAPSGSYYFNIPAPPGAERTLYPSMDPQRMGALVKSQEGDGKPGPQQAAQAQASSSSGQSYPMPPPYYHGQYPPYYPPYGGYMPPPRMPYPPPPQYPPYQPMLAPPAQSQASSSQQPGPSMQQQAQAPPQQQTTQN >OGLUM06G04830.1 pep chromosome:ALNU02000000:6:3358690:3360573:1 gene:OGLUM06G04830 transcript:OGLUM06G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSAANGRYLAAPRTGWSWNSVSLRDLNQLPSFAVGWFAVTAGSGDYVMLRHSSSGLFLRADGGNLLCNSVGVVVDMFDFRRREIRQWMVEAIPPRDSMPILPNPSPTAFSWCRIWYVRASPQGNFRREDWRSLLFHGRSVFHLRNTDLPLVTDLPRNTLVIAIVVITAGTNAALWLRYPNVHAA >OGLUM06G04840.1 pep chromosome:ALNU02000000:6:3359966:3367998:-1 gene:OGLUM06G04840 transcript:OGLUM06G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHILHLDGGDILMLHSAANGRYLAAPRTGWSWNSVNLRDLNQLPSFTVGWFAVTVGSGFRQILMGLSAQPTGGCSYSTGAMGRFHDRHHLWLRSREHGTYLQADLDDGSTLSLHPCRAAVRTAWTVHTIGRMLALHSAANGRYLAATAARFGLGGNRVILRDLDMFAAGWFPDMSASGDSIMLRHSSDQFLRAIDRGDGNGVTVEVSASRRANAHWVVEAIPSTDSIPRLPHIEMDARRH >OGLUM06G04850.1 pep chromosome:ALNU02000000:6:3362631:3365509:1 gene:OGLUM06G04850 transcript:OGLUM06G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPFADRWARSSVPSDKWAHMLAVADVMLPLPAACTRSQPKHFNEHLMLCPLPRRRRCPFLTGRSVVVDGAWNGPNPPTSAVRWRTRAPRASGCGRFRRWIGFQFRTDVLCRGGRRQDGEAHNTNRTHLLCLSPTIRRTGAMDVGERVQPETGSSS >OGLUM06G04860.1 pep chromosome:ALNU02000000:6:3368087:3369601:1 gene:OGLUM06G04860 transcript:OGLUM06G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMMNQPGHGGDLPVAKRRRCVEPTGAAAAGIPEDVIEQILLRLPVKSILRFRSVCKSWRSMVADPHFVRLQLHHSTTAARRRPPSMLVLSGWRILQERMGTICFFRYPGHGALADLAYEMTCSSAVATADEDDTADWDAVDDGDAVDDGDDATDWDTDDDGDDATDWDTDDDGDDATDWDTDDDGDDATDWDTDDDGDGADRYINNGAIRFGLPLHCNGLVLLSTMENSSMMLVCNPATREFAELPACTPDYLRIQRVGFYADQPTGKTKVVRYFIRHCNETYTDYSVGCEVLSLGSPAWRPLADPPYLVLNKTSPCILGGIYWIAILPSPPTGSCTTPGKMLRFDVCSEEFTSFPSPPFMERQEICDVNGTLTELAGKLCYMHTPADGKVELWTASAADEGGGGPRWSLHCTVVLPHPFQTIYPFDHDYQGSIFFNVDCAVICRYDVERGVAERVVDMIDEMTYINSSKLYRGPGYLKYRTIQYSESLVSVQAN >OGLUM06G04870.1 pep chromosome:ALNU02000000:6:3372079:3372537:1 gene:OGLUM06G04870 transcript:OGLUM06G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANDTTPAPGPGRSSSCWRVRTQWTSSSASSAFVSFVEADADVSLEEFGDSLCPPIPCAGELLTLPESNSAVVTDRPLLYVHVTRLRCGGFVFGTQICHNLVDAAGIT >OGLUM06G04880.1 pep chromosome:ALNU02000000:6:3394235:3395677:1 gene:OGLUM06G04880 transcript:OGLUM06G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACASAGKRDMIMNQPRHGGDVPVAKRRRRVEPTGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWRAMVADPRFVRLQLHHSTTAARHRPLSMLVLADWCVPEQRRGTIDFFSYPGHGVAADFAHRITWSSNSKSTVAAAADGYAAADWDAVDDGADAADDGAAADNLDDAAVDWDDDDDWDIDVGAIGWGLHLHCNGLVLLRSTMKYSTQMLVCNPATKELAELPDCAPDYFGVQAVSFYADQSTGKTKVVHCFIRHCNKTYTDYSVGCEVLSLGSPAWRPVADPPYLVKTKTSPCILGGIYWIAALPSPSTGSCTTPGIVRFDVCSEEFASFPCPPFMERQKMSDVACGELTELGGKLCYVHAPADDRVELWTASAADGGGSRPRWSLQCTVVLPHSFDTFFQFTYDYQGGIFFYVDYAMIYRYDVERRVVERVVDMLEEMTYFDSSRCKLDRCDGDWMHHAIQYSESLVSIQAN >OGLUM06G04890.1 pep chromosome:ALNU02000000:6:3396630:3397907:-1 gene:OGLUM06G04890 transcript:OGLUM06G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHDDDVPVAKRRRRLCVQVQPAGAGAAAAGIPEDIVEEILLRLPVKSILRFRSVCKSWRAMVADPCFARLQLRHSTAAERRRHPPSMLVLPWWGWRPQRQQMQGTIGFFRYPGHGAAAELAHVRAWWSPTSHAAAAGWDDGADWELPLQCNGLVLVFSMEKSLSSSLMFVCNPATKKLAVVPPGTPDAHGNQSVGFGADESTGKIDMKVVRCFVRSDESVGCEVFSLGSPAWRPVADSPCPVRAGAASPCILGAIYWITTVAPTPGMLRFDVRREVFDDFPSPPCVHHDGTSPTTATLTELAGNKLCYAHVAAGHTVELWTTAAASAAADGPRWSRHCAVELWRPTQLVVPFADDRHGGIFFNLDLAVIDRYDTQRQVVERVVDMNKEMTYFHSRDKQYYISGFKWMHHVIQYRESLVSVKAN >OGLUM06G04900.1 pep chromosome:ALNU02000000:6:3400272:3402724:1 gene:OGLUM06G04900 transcript:OGLUM06G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKKTGSKKKPTPAVMRIRSPPPDPHRRARAAPRRCIPPSASDSESSASSPTTSPAAAATAAAAAAALPGIAQARHEFFAKHKVYHYGAPPLLNEMSSGRAREDARACAAEFAANPPTALPPRRGEDISAASSSRLADRLRHPNVNAA >OGLUM06G04910.1 pep chromosome:ALNU02000000:6:3403162:3410635:-1 gene:OGLUM06G04910 transcript:OGLUM06G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLGGGGGGRRLPRPLAVLHHHHLSASDPSPTTPAPLLPSPPRLPPPLVPFAAVPARSFSWYSRSPPPAGPAAAEKAPEEEDVRAEGEGVYLHDAGGDDFGEVVATSAAGAADAAGVAAAGDGGSSSGFAVSSLIDILDGFDNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGELLPELPPPFPPPLSGRSFRDQFSLYQKKRRELGCPSFLWNWAYFSIQFPCFILWMSTIRTMCLSNHPGLDNGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIERDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKNCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >OGLUM06G04910.2 pep chromosome:ALNU02000000:6:3403162:3410635:-1 gene:OGLUM06G04910 transcript:OGLUM06G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLRLLGRLGGGGGGRRLPRPLAVLHHHHLSASDPSPTTPAPLLPSPPRLPPPLVPFAAVPARSFSWYSRSPPPAGPAAAEKAPEEEDVRAEGEGVYLHDAGGDDFGEVVATSAAGAADAAGVAAAGDGGSSSGFAVSSLIDILDGFDNLTGIPWWITISLSTVAMRLLILPVLITQIKKAAKIGELLPEFPVLYTVDVNNKDYGGILWFHNLTEFPHGSSGLVFPTLVAGLHYLNIQIAFHGTHTKHYPGIFGVLAKYYRVYLEILTIPLFLIGYVIPQGSLVYWTTNGLITVAQQLSLKNDAVKKVLGLPDTRAHQKFPRVGHKMMQERPLEDAHMHTNLTSTNNETANNIMEGKVSASSSPEELLEQALQHLETGNQDQAIPLIRTAIERDSSLYVALIGMGQTLFSNRLFPEATVCFEHAIPKIEEQDPLLVLACYGAGLSRMRQGDSKMAIENLQRLAELKEPEKPINKNCYYQGLVALGSILINEGRKSEAVKFVQRAVAYDPNCEIYLKECDDTTEDKPKSAEH >OGLUM06G04920.1 pep chromosome:ALNU02000000:6:3410791:3413069:1 gene:OGLUM06G04920 transcript:OGLUM06G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASGGGRNAWAKEMTIRRRIASIFNKTREHFPSLKDYNDYLEEVEDMTFNLIEGIDVEVIEAKIAKYQQENAEQIYLSRAKRAEDLAAALKASRMNPGKAGANDTAAGSSHGISSGAGVQEGQYAPAAVPGGLAQPRPTGMAPQPIGGSSVPLQGDDEETMRLRAERGARAGGWSIEMCKRRALQEAFSTIFV >OGLUM06G04930.1 pep chromosome:ALNU02000000:6:3413404:3419898:-1 gene:OGLUM06G04930 transcript:OGLUM06G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRRRSPLPAALALAVLPSRSLQDDAADAERDAAMGSSSSGGGDAAVAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSKKDTADPALNNLNDSDEAEHMEPTNGNVSSDGAFLSLNNDKVNLKGSANASSPGCLHGSPDISETCDTCLPPDALLPYMENTANSGVVKKCLSHMPSTDSTSSTIGLLSVTDSSNSVVVDTVIVGRRFHDNIELQEGASIALLRDPQNAKDPDAIKALNVNRCLDTYLESWLKFWLLYWTDTILNARDVWLACLNNNLIMFPSSLSKYTDENETYDDLKHPQFLWGNFIGAVGNGNLLQPSSTRYQTNFRLMITDVMANHSHLFSDKEKSFLGPWFRMSSISYREIYLGQAAMELKCYIDMISCMDDLSNYDFKEVIDVLSVLEMKEILKELQKNNVSCTRRHELLSTLLSSYHNGTCTILPKRILKWTGTCIRTSDVADELMWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERSDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAESPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNCSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTKNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTAPLDLETNEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >OGLUM06G04930.2 pep chromosome:ALNU02000000:6:3413404:3419898:-1 gene:OGLUM06G04930 transcript:OGLUM06G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides [Source:Projected from Arabidopsis thaliana (AT1G48360) TAIR;Acc:AT1G48360] MLTGRESLVRLIGRRRRSPLPAALALAVLPSRSLQDDAADAERDAAMGSSSSGGGDAAVAAGWVACPVCGESIRGTDYCVNTHLDICLTRGTKRKLTQSTLLDFSFSKKDTADPALNNLNDSDEAEHMEPTNGNVSSDGAFLSLNNDKVNLKGSANASSPGCLHGSPDISETCDTCLPPDALLPYMENTANSGVVKKCLSHMPSTDSTSSTIGLLSVTDSSNSVVVDTVIVGRRFHDNIELQEGASIALLRDPQNAKDPDAIKVLYAGSECEQMLGYLPRELAKVLAPLLDRHYIECEGCVVGVPEQQLDHVPIQLKCQKYTDENETYDDLKHPQFLWGNFIGAVGNGNLLQPSSTRYQTNFRLMITDVMANHSHLFSDKEKSFLGPWFRMSSISYREIYLGQAAMELKCYIDMISCMDDLSNYDFKEVIDVLSVLEMKEILKELQKNNVSCTRRHELLSTLLSSYHNGTWLYACCLCGYHGLLRKFTPGTCSTILPKRILKWTGTCIRTSDVADELMWRVQRLFFLNGDQDLSSFLLVDLGLVRFPVYACTISHRVFQERSDLLQYEEAIQVAQVMDQSLDNSNMEMVTRCIELSENRLSTAPKEENATRAESPPSFFSRFSASSVYSKILTLGVSVYERDRRYTDAIRVLKRLLSTVASDRKRGYWALRLSVDLEHMNCSNESLSIAEAGVIDPWVRAGSKIALQRRVVRLSKPPRRWKVPSYANAVTKNIKEVNIEGRPLNCETGAKNVFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDVIFSDVPDVFQTKFQTAPLDLETNEFYRSRKDLIESQLKKIQDGIAEEILISSWELHQGTSCRGVNWDRHSLTDLRAAVVCTGGHRLASLLRHLALDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVSKRR >OGLUM06G04940.1 pep chromosome:ALNU02000000:6:3422658:3429974:1 gene:OGLUM06G04940 transcript:OGLUM06G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRDGAAAVGYMAEDDPDGAASEDGDMDVEVGGEESQARDGDRRDGGDGDDEYALLTRITDTSAAEARAGKDIQGIPWERLQITRSDYRKARLVQYKNYENFPQSGELMDKICKQVDKISKYYEFHYNTRLVKPSILHFQLRNLLWATSKHDVYFMSNSTVGHWSSLSHNLSEVLDFSGHVAPAQKHPGSLLEGFSGVQVSTLSVNEGLLVAGGFQGELICKVVGDRDVKFCTRTTLSDNAITNAIDIHRSASGSLRVTVSNNDCGVREFDMETFQLLNHFSYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFASAWHPDGRTFATGNQDKTCRVWDIRNLSTSLSVLRGNIGAIRCIRYSSDGQFMLFSEPADFVHVYSAAADYKKRQEIDFFGEISGISLSPDDESLFVGVCDRVYASLLHYRMVHSFGYLDSFM >OGLUM06G04950.1 pep chromosome:ALNU02000000:6:3430426:3436867:1 gene:OGLUM06G04950 transcript:OGLUM06G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MATAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARAMGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >OGLUM06G04950.2 pep chromosome:ALNU02000000:6:3430426:3436867:1 gene:OGLUM06G04950 transcript:OGLUM06G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MATAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARAMGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >OGLUM06G04950.3 pep chromosome:ALNU02000000:6:3430426:3434252:1 gene:OGLUM06G04950 transcript:OGLUM06G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48730) TAIR;Acc:AT5G48730] MATAPVASPFPALPSSSSSTTIAASTAARRCHDALLPPPAAAGREPARAMGSSSSLAGAAGERRRRRGEEDGGEVEAEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHERITALRWDSALKVFDLMRDQVWYRPYVGIYVKLITMLGKCKQPERAHELFQAMVDEGCAPNLESYTALVSAYSRSGRFDRAFSLLEQMKATPGCRPDVQTYSILIKSCLHAYDFERVKYLMEDMARAGIRPNVVTYNTLIDAYGKAGRFAEMESTLLEMLTENCKPDVWTMNSTLRAFGGSGQIETMESCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAGDVKKIKTVLRIVENSDITLDIVFFNCLVDAFGRVGCLAEMWDVLDIMKLQRCKPDKVTCTTMIKWFLIKGIDDHRVQYLRDLKDGRSKDNI >OGLUM06G04960.1 pep chromosome:ALNU02000000:6:3440026:3440736:1 gene:OGLUM06G04960 transcript:OGLUM06G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLFLLGGLCALFSLASSSPATKKCGDAKKRREEEGEEVVVVAKKRPEEEPRRPDPDADLGIVFSTFDHDGDGFITAAELEESLKRLGIAVSSAAEAAALVARVDANSDGLIDIHEFRELYDSIPKRRKSHQQHPLPSTAAADEEAAAADEEYEAEEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGLRQHGGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >OGLUM06G04970.1 pep chromosome:ALNU02000000:6:3443548:3444654:1 gene:OGLUM06G04970 transcript:OGLUM06G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRQPRRRCHRLRADAPAAIRFGMKQPRAAGIVRRDSANDGHEHAKRLDEEGLMQELASGMQEPGMDDAAEAAGKEKQGTPSMRRRRRRRTLATAPRRDPAGTRGTTTPTRGEDLVGRSGARGTPTPTTTMPATSASSQPRRRLRRPPRHRCLLPTEAVAASSLLLLLLLLLPAFAFRHRGRPPPLPARAVPPQHVPPPPTLGPARRHGPLQAPPRGSRARRRGPQRSPATAAAARAPVLPVGGVAEGLPPLLPGVPCARAREREGKERER >OGLUM06G04980.1 pep chromosome:ALNU02000000:6:3446409:3447878:1 gene:OGLUM06G04980 transcript:OGLUM06G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S30 [Source:UniProtKB/TrEMBL;Acc:A0A0D9YZT3] MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >OGLUM06G04990.1 pep chromosome:ALNU02000000:6:3451587:3452986:-1 gene:OGLUM06G04990 transcript:OGLUM06G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRQRRAVLLLVVVVLVAGAVDLSGNRLTGGVSPEFSRLASLTTLNLDRNGFNGTLPGNLMLPKLAQFNVSYNGQLGGAVPASLTGMPASAFLGTALCGGPLAPCANPSPPSPGGSKGVREEEEDRREICHEKCNCRMRPEETQSCRAKFQNRLAAFNRHHMN >OGLUM06G05000.1 pep chromosome:ALNU02000000:6:3465789:3470368:-1 gene:OGLUM06G05000 transcript:OGLUM06G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G20250) TAIR;Acc:AT5G20250] MSVPVARRLLMISTTRATPPASSSPLLPRPRTIYTFPSRAFPPIASAPLPSSSRRCQRRSRCAASSSEMTVTSSVKVAGGELSVHGRTVLSGVPEAVRASSAAAAGPVDGVFLGGEFAEPASRHVVSLGAMRGVRFMACFRFKLWWMAQRMGEKGGDVPHETQFLLVESKAGVDGGGGDASYLVFLPLVEGAFRASLQGGGAGGDELQLCVESGDAGTRAASFDRALFVGAADSDPFAAIAGAVAAAKSCLKTFRIRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLTAGGAPPKFVIIDDGWQSVGTDHQNPDDTGADAKDKQPLLARLTGIKENSKFQDGDDPAAGIKTVVRAAKEKYGLKYVYVWHAITGYWGGVRPGVAGMEGYHSNMQFPNVSPGVVENEPGMKTDVLTTQGLGLVHPRAVYRFYDELHAYLAAAGVDGVKVDVQCILETLGAGHGGRVSLTRQFHQALDASIAKNFPENGIIACMSHHTDALYCAKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHPAGDYHGSARAISGGPVYVSDAPGKHNFELLKKMVLPDGSVLRAWLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHKTGAEALSCGVKGSDVHLIADAATDSEWNGDCAVYRHASADLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGAAVEGLTYHRLDGVKSLSNGSASTLPELQSLSSQAIGLVCMEVRGCGKFGAYSSVRPRKCMLGSAQVEFTYDSSSGLVILDLETMPKERVHKIVVELCLAGGNTNNSVTGDNEGSRKGRTVNEEGGGGVAELVDAER >OGLUM06G05010.1 pep chromosome:ALNU02000000:6:3473065:3479452:1 gene:OGLUM06G05010 transcript:OGLUM06G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAPPSVERQVAEIAAEPDRASAYARLLHLQRACADDPSAAADLAAASPSILLPLLLRDAGDRDEAVAASALKCLGFTLYHPVLVSTVSGQMAQSVLDTLIQLIMTTQMKAICNLGVWCISVQELEAVIVDHRATSLLTAIVHAIDNPFCSLSTTFEAAQAIMKLTSQNPEKMRELSSIWVPPIYRRLLSVDKAERDMAERCLIKVSSVVLPPQSLLSKAIASDLEHSLLSCMLNMIHDPVKKVQAVKSWGWYISLLGLHVVDNRHLLNKILKVPEQLFIDSDTQVQIATMVAWRNLVNAFLPQASETLVPKTKISPIESRADTNAQLKKIRLIMMPLGRILSRSHSIALSSSCLSTWHHLLYKLGDLINQLPILEAAFGPVLKIVFSIGPDIQNKPLYSFCVNLFHEYISTKVRDMASHGEYFPIPLNQNLLSQSCIHLKTLMDGQCIRWLPWDVTCFDFHLDILVSIVNPELLRKMTLESVVTVMDSATHIFRLLVQGVQVDCKAKCANGNAQICVAKVCKFVKKVFMDLVGKQNNNNCSVLLQYAFQFVKVILEELDHCLLASGICVIGLDIEHIKEMEYADCSPKLSYPGMKSYSYMEMVSPEVYMIVLSLSIVAEFTGELSHGDAEQLAIIICLSNFQDNFHAAVSFMYKQIMLLTDNRLRMRWLMVWNKIANRLNGQITPNLKKIICGAGVHDVLYQFFCYPFFAFLLPGRKSTLCGSESSSESYLSLTHDLEVEVAIEVYRSICANSNHGPEADHKVFLESFCGFIVSIIDENISLFQANIEYCSEKKFKNCAILSTLGELVSGLLENGHILNYAIKEPTEASEESAGYSQPSILLCCLRLVSRFMGLSTIVIKANPTTQHQIMSRVFSSLSAFAGYLLLKKDVLLFFEIIGEQLTECLSLSGTLYREMQHGETINQIEKLWLKIIMCLKTSKLINDCSFLQKQQMLLQAAVSHPHRPISVATTPAWRASRSDISTLQHSSFSLSKLDKLPMERRKDLTDPCTPHNAIALEEIDILRKFKHPISESEKNDESIKISVGLGRKRLKIMKYSMKPREPGKSTVPPGNFSSKKDTDADAFSSHYMESKACRKPELILEMLKRKR >OGLUM06G05020.1 pep chromosome:ALNU02000000:6:3481371:3486040:1 gene:OGLUM06G05020 transcript:OGLUM06G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGAPPPAAMAVDDAEDDQLASMSTEDIVRASRLLDNEIRDELQRTNLELESFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVIHEDFNEGIIQVQAKKKSSLNYYA >OGLUM06G05030.1 pep chromosome:ALNU02000000:6:3487729:3504585:-1 gene:OGLUM06G05030 transcript:OGLUM06G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGGAAMEEGAVAVNGGDGEVVNPDNADDDAVSDWDACVRRGDWRNISRYFVRSKTPEQISMYADNYFHMMEIAAAMEADGGDDDDGHHEINNNNNNLGGGQLHAVVGAVGHGPGAGHIAPATPSNNNAAATAVNNNVDTPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATPKEEGAADKQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDDDDAAAAMDGTNSYSNNNFGGWQSLAFAGGHLEPVSGGAAPGQGWTASEIEEARSLITSPNNGGEGGDGEGNKQKHCGHIVMELHEWFPWKTIGQVIGLYMKLNAGKPMVMHSLNKSDANNSIGEVDHVSALANGNPVRLEEHRPMLNNVGLVFDYPLEEMEMENQTDQELKMVVEEEVQPKEGLVIKEKEAGVSKIHTNSQHVTPSIKRRVIWTEEEHRLFMVGLRVFGRGDWKNISKHLVTTRTAAQVSSHAQKFFLKMEARGEAVPPPAKRRRRRITGDQQAAAAEHAAALRRRMPVPPPPFNPFLLPSLVAPVMHRLLPPGSQAAGAAASGSGGQGASLPQMPWINGANGMGR >OGLUM06G05040.1 pep chromosome:ALNU02000000:6:3504600:3522382:-1 gene:OGLUM06G05040 transcript:OGLUM06G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTAAEMDEARSIVARLTNAYDSGSLVAGAGNGDTRHDRIVRELQAWLPWRTMDQLIGLYIELMTEEPAAAQPQYFDAGAVIDPTFDFFNDHNNFLGMPPPPVQQADDHNMNNVVADAGMNYYYGGGGAGGAMVFGGAPMGETVEQAAPPAPAPVPVVPVVMNRDDDEVNNQGGGRHLAAPTNTTRRFWTTEEHRQFLRGLRVYGRGEWKSISMNFVRSKTPVQVSSHAQKYFRRVESAAADKQRYSINDVGLNDDDDDDSAMDGTNIYSNNKFNNNFGGWQSLAFAGGHLEPVSGGAAPGQVIAPASSSAAAMNSAAQFWAPMLFNPQIQQQFMQMQAQTQQAWNDQHMMMAAAPMEGAIDTNFEPAGMEWTAAEMHEARSIIARLTNAYDSSALVAGAGNGDTRHDRIVRELQAWFPWRTMDQLIGLYIELMAEEPAAAQPQYFDAGAVVDPTFDFFNDHNNFLGMPPPPVQQADDHAMNNAAPPVPAPVAVAPVVMNRDDDEVNNQGGGRHLVFALSALLAGLALAIDEGFGMEWTAAELAEARSVIARVSDAYNSGVGSSSSACDTKHDRIMRELQARFPSRTMVQVIDLYVNLTVETAAQPQDAGSAGDAAPSSTLLLPAACPL >OGLUM06G05050.1 pep chromosome:ALNU02000000:6:3547574:3549459:-1 gene:OGLUM06G05050 transcript:OGLUM06G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSHCFAVAFALSALLAGLALAMDDATFGMEWTAAELVEARSVIARVSNAYDSGAGSSNSAGDTKHDRIMRELQARFPSRTMVQVIDLYLNLTAETAAQAGANDNFGMPVANNNDDGVDAGMVFGGAPMEEGAVAVNGGDGEVVNPDNADDDVLWTDYEHRLFLTGMRVYRRGDWRNIARYFVRSKTPEQVSMYADNYFHMMEIAAAMEADGDDDDDHHENNNNNNLGGGQLHAVVGAVEHHENYNNNNLGGGQLNAVVGAVGHGPGAGHIAPATSSNNNVAAAAANNNVDAPFWVPLLYNPEIEQRMMEMQAQSQKAWDDQQMKMAEAATDPKEGAADK >OGLUM06G05060.1 pep chromosome:ALNU02000000:6:3552357:3558466:1 gene:OGLUM06G05060 transcript:OGLUM06G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTTVELGEARSVIARVSNAYNSGAASSNSTGDTKHCRIMRELQARFPSRTMVEVIDLYINLTVETTAQLQDAGATAAAVVHPTFGLANNNLGMPVVNNNNGMVFGGAPMKEGVVAMNSGDGEVVNQDIVYANYS >OGLUM06G05070.1 pep chromosome:ALNU02000000:6:3560200:3563348:1 gene:OGLUM06G05070 transcript:OGLUM06G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSLDLVKTMAASGFLLRGPAAPSAVPLWGRSGRGGGGGLAFSASSSNGAAVPSSLSDSEKKGPVVMEIPLDKIRRPLMRTRANDPAKVQELMDSIRVIGLQIDVLDVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRPSLED >OGLUM06G05080.1 pep chromosome:ALNU02000000:6:3563585:3573419:1 gene:OGLUM06G05080 transcript:OGLUM06G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEEEGRWEPTAAAAEEEEMGVEAEEAMEDGKVEGEVGQQQQEEEGNGDAGKSEEHGGAGGRMVWKKKHHHHHQPRTPVAAESRATWRGGKGGGKGRGGGGGGGFHHCPWNLQPDNLNRFNKPGVYGGAIIICNHMTKREFFEKKLFGLPGYAATFIKKIRVGMLLFVFELGERKLYGVFEATSNGALDILPNAFTSLRKPRPAQVLFRRIWFCKPLAETEFSSAIKGNCLYPQMSFFGISYQQVLNLVHLFASKRIELQPYQKPKSRVIYDYKISLAHLGREFSPRTHNKTFSSHSSSTFCNNRFSLPRSSYLYTKQNAKHDACKYESPLHSPLKSVIFKAPDVKGESLEPNPDYIPLELDDSKSDSDADPSDSLETVSFYPTLEGCISYEDQDLKPFNGKFNGDDGHHSHVLIRGLNSECETDRNSVFSRNVKERQSSLAKGGKGCKRKAIVEFDEQSSPRRGCTMKRVSFSFSGEEISVTSEKSLHRPTAFAELRNTRESSAEEGKQEVGCVVQKARSKGEDVSAKIKLMGLSLPEALRTNRVHSCSSNSQSLVTQTDQKRAKGGGEETTKKQSHQELLLLLPSIISPPHCTIRPAAASIALWIPMNFRMGKAQSKEGSTYDGAIFLCNRLTRRECFEKKLFGLYAHCADFIQKVKVGATLFLYDTDQHKLHGIRFKRIWFCKPLMESELHDAIQNNFTSKNKLRYGLSHQQVVRLLHLFSSRNRLQPRQNQNLQDELPKESEMSSLVNQTDIQSSSNSSSHGSFKSPCQTCSSSTHGERAATLSHKLADPMPLMHRGLKPYTSGAVKSKDSSRFPLHIGANTDIVTVPVSQEAMDDRSSDDYIPLPQEENTLEGIDDLSDLLEDESYSSESQGTIDSEEHGTFHQACAIKEDECYPPVVNFKLRSDSEGRSSVFSRLLGKPRTLGPRKKSSAKAFPSMSSGHLPQRKKQWRKKQSKPFPCDRDGVLGTHQANKLRRIPALDYSFVWDDGSRSTNSFGRKPSDIQTSLELCEHGNKWDMCTKEHSRSNEFKRLFVPEAIRKLIRPYDKELNIPPVFPGVHDGNEVNSKEVNDSSLDLKRRGKDDQDFGDENDNVEEATRKKRRLADASFSQEEYLSGGVLVPKGTEDMDMLAISDGNCKDKSICLSPRDTCAEMARACLQTKVVLQDEQQKNIQDCCEEVAGVTSLILEHSESMDLLPKRNCRNMKTCLNIETKSQVASGNLETRSSLEDTQKQSVRSCHGVINGDKILLMENFETMDVLPNHDEDCLAKSTFVRNDSNHLETEMPMQEKQSPSVQNCCEALHGDNMLLQEKSENMLYKIDADSDCGKKKSVSFDKAYSNVAGSSLETHVPMQEPLRIASSCCEIVNADQVCAGEVGKNSFSLDENGGYVTCPCNTTWALENAMAMDTVESIHGDIGNNSNNAAACRSMGSDYMEEVHQLVTNCSEISAAIPESSGTLNNFAKCSGDSANKNSLLDQTSENVSTDHQEVSMLPQDQHYHSCSGDTSSALEYSDTNAGDGDSEHRNSFDQKGGESMYPVTGVLLQAEQHQKLQGEPESSSSHEISNSGSFVVCAEDSRSKSGLSADRMVTDLETNSESRTGFFNTSSSECGENFSASASSSENAQQKLSGSAVSAEVARLQHDPGE >OGLUM06G05090.1 pep chromosome:ALNU02000000:6:3575350:3576935:-1 gene:OGLUM06G05090 transcript:OGLUM06G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYAVVARGTVVLAEHSAAATNAGAVARQVLERLPGGGADSHVSYTQDRYVFHAKRTDGITALCMADEAAGRRIPFAFLEDIHGRFVKTYGRAALTSLAYGMNDEFSRVLSQQMDYYSNDPNADRINRMRGEISQVRTVMIDNIDKVLERGDRLDMLVDKTANMQGNTIRFKRQARRFRNTTWWRNVKLTYVIFL >OGLUM06G05100.1 pep chromosome:ALNU02000000:6:3579880:3583800:1 gene:OGLUM06G05100 transcript:OGLUM06G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5R7] MAISKAWISLLLALAVVLSAPAARAEEAAAAEEGGDAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSCTEYTNFIEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDIEASQGAFQYFGLREDQVPLIIIQDGESKKFLKAHVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLATILDEAATTLKSDKDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQAKEKAESAPAEPLKDEL >OGLUM06G05110.1 pep chromosome:ALNU02000000:6:3585952:3589043:-1 gene:OGLUM06G05110 transcript:OGLUM06G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEKLVPDLASLRARLCPSYMDIDVFWKIYFTLLESNLTEHTSEVDENVPGSVHHVNEIESDSAPNVCEIESVKSTQEGYQSPDDRVLIKTRSNQSIDQWVFAKSKSEQSMDQWSEIPSDVESSRDGRRYISGEELSDADSAHIVVMDKYMDSLLSDRRSLHYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFEILDN >OGLUM06G05120.1 pep chromosome:ALNU02000000:6:3595026:3595450:1 gene:OGLUM06G05120 transcript:OGLUM06G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQTTAADEEAVDGSEKAAAPAAQEDGEDVVDSSEKAAASAAPAAQQNGEEAVDGLEKAAAVQEESRAAACTRESSRPRAWAAFHGRAGLGPVPHRNTNSQTRP >OGLUM06G05130.1 pep chromosome:ALNU02000000:6:3629227:3635880:-1 gene:OGLUM06G05130 transcript:OGLUM06G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFLRSYNSTSPSYRLRCGKAALPFRALPELSCLFLGPGKILAALNQRRMAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLVACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRIDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLVTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPKEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKVAPAQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWDVNPGVAGAGAAPMMQQPMMHDPFYASSGYAAPHNHLQANPANPFGNPFAAAAAAHHPYGAAAAAGNGYTGLI >OGLUM06G05130.2 pep chromosome:ALNU02000000:6:3629227:3635880:-1 gene:OGLUM06G05130 transcript:OGLUM06G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQFLRSYNSTSPSYRLRCGKAALPFRALPELSCLFLGPGKILAALNQRRMAGVGTQPTSLRKYLGALKDTTTVSLAKVNSDYKELDIAIVKATNHVERPSKEKYIREIFYSISASRPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNLAYFKDDSSAGAWDFSAWIRTYALYLEERLECFRVLKYDVETDPPKTRDLETGDLLDHLPALQQLLFRLVACQPQGASSYNVIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRIDAVRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLVTMEEYVTEAPTVAQKDKVLAIEYKKEAEEEEKPASPPPAPEPEPEQEPEPEPEPVKEEAPKEEPTDLLGLNEPNPAAAEIEEKNALALAIVPIDDVPKVAPAQNGVTGWELALVTTPSSNETAITSSKKLAGGLDLLTLDSLYDDANRRASQPTSYNPWDVNPGVAGAGAAPMMQQPMMHDPFYASSGYAAPHNVQMAAMAQQQQAFMLQQQMMMAAAAAAAAAAPPPQVFHQQQQQQQHLQANPANPFGNPFAAAAAAHHPYGAAAAAGNGYTGLI >OGLUM06G05140.1 pep chromosome:ALNU02000000:6:3638221:3638427:1 gene:OGLUM06G05140 transcript:OGLUM06G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRDGISVWLIEDNVGEEAAEKEKQQQMRVTQKSELGKASSVHAIDKHQHRAIEQQGAVLFTDILW >OGLUM06G05150.1 pep chromosome:ALNU02000000:6:3641361:3645768:1 gene:OGLUM06G05150 transcript:OGLUM06G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cystathionine beta-lyase [Source:Projected from Arabidopsis thaliana (AT3G57050) TAIR;Acc:AT3G57050] MSAAAAAAAAAIPTSLGRLFHLRPTPNPSRNPSGISAQPLLRLSYRPRLTLSRRMEAPAAAIADSHGGGDLSASAVGAEELGAIAAPDFDVEMKEPSVATILTSFENSFDEFGSMSTPLYQTATFKQPSATDNGPYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLLKSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRIDTTKISEVTSAIGPLTKLVWLESPTNPRLQITDIKKIAEIAHYHGALVLVDNSIMSPVLSRPLELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKKVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFNVTVSFGSVKSLISLPCFMSHASIPSAVREERGLTDDLVRISVGIEDADDLIADLDHALRSGPA >OGLUM06G05160.1 pep chromosome:ALNU02000000:6:3646413:3648676:1 gene:OGLUM06G05160 transcript:OGLUM06G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPVRMKAVVYALSPFQQKVMPGLWKDITTKIHHKVSENWISATLLLAPIVGTYEYAMYYKEQEKLSHRY >OGLUM06G05170.1 pep chromosome:ALNU02000000:6:3649166:3649918:1 gene:OGLUM06G05170 transcript:OGLUM06G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5S5] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGVLAADGVVLVGEKKVTSKLLQTSRSTEKMYKIDSHLACAVAGIMSDANILLNTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYSGWKAAAVGANSQAAQSMLKQDYRDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEAMGKLLAKAGLSQPAPEA >OGLUM06G05180.1 pep chromosome:ALNU02000000:6:3651359:3652735:-1 gene:OGLUM06G05180 transcript:OGLUM06G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSPSRRRPSPSXNIYAVEGRFIEELELMAPPRGGGGGGVRTWDPARAHAFFLPLSVSQMVQLAYRPLSYDLSPLRAIVADYVGVVASRHRFWNRSAGADHFMLSCHDWARSARLYANAIRALCNANTSEGFRPDKDVSIPEINLYDGDMPPELLTPAPPPLSSRPFLAFFAGGRHGHVRDLLLRHWKGRDPAVFPVYEYDLPSIPVSVSFSGDGGDTDDAGNPYYWYMRRSRFCLCPSGHEVASPRVVEAIHAGCVPVVVADGYAPPFADVLRWEAFSVAVAVADVPRLRELLERIPAPEVERLRDGVRLVRRHFMLHQPPERMDMFHMILHSVWLRRLNLRLNSH >OGLUM06G05190.1 pep chromosome:ALNU02000000:6:3656488:3674007:1 gene:OGLUM06G05190 transcript:OGLUM06G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSTLLVQEFAGMGSKAVPRQYVVQREDHLAIAATAAIPIVDLGRLSQPDDNGDEVVKLQQAMETWGLFLVTNHGIEGALMDDMMNVSREFFHQPLEEKQKYTNLIDGKHFQPEGYGNDQVKSDTQILDWLDRLYLKVDPADERDLSVWPKHPESFRDVLDEFIIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTVARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHNLLINLGDHMEIMSNGIFKSPVHRVITNPEKERISVVMFYLLNLEKEIEPALELIDEKHPARYKKVKIMDYLAGLFEHFLQGTRYLSYIRMEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQAMESWGLFMVTNHGIEDALMDNMMNVSREFFQQPLGEKQKYTNLIDGKHFQLERYGNDQGGGGGRGDRHQIAMETLASAMRRENRRFKPPSSSSASAAAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >OGLUM06G05190.2 pep chromosome:ALNU02000000:6:3656488:3673234:1 gene:OGLUM06G05190 transcript:OGLUM06G05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSTLLVQEFAGMGSKAVPRQYVVQREDHLAIAATAAIPIVDLGRLSQPDDNGDEVVKLQQAMETWGLFLVTNHGIEGALMDDMMNVSREFFHQPLEEKQKYTNLIDGKHFQPEGYGNDQVKSDTQILDWLDRLYLKVDPADERDLSVWPKHPESFRDVLDEFIIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTVARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHNLLINLGDHMEIMSNGIFKSPVHRVITNPEKERISVVMFYLLNLEKEIEPALELIDEKHPARYKKVKIMDYLAGLFEHFLQGTRYLSYIRMEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQAMESWGLFMVTNHGIEDALMDNMMNVSREFFQQPLGEKQKYTNLIDGKHFQLERYGNDQGGGGGRGDRHQIAMETLASAMRRENRRFKPPSSSSASAAAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVSEEYVLPFPSSVGANNGMNLNGGSLEIQKRIFAMLLSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQGKSSAS >OGLUM06G05190.3 pep chromosome:ALNU02000000:6:3656488:3674166:1 gene:OGLUM06G05190 transcript:OGLUM06G05190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSTLLVQEFAGMGSKAVPRQYVVQREDHLAIAATAAIPIVDLGRLSQPDDNGDEVVKLQQAMETWGLFLVTNHGIEGALMDDMMNVSREFFHQPLEEKQKYTNLIDGKHFQPEGYGNDQVKSDTQILDWLDRLYLKVDPADERDLSVWPKHPESFRDVLDEFIIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTVARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHNLLINLGDHMEIMSNGIFKSPVHRVITNPEKERISVVMFYLLNLEKEIEPALELIDEKHPARYKKVKIMDYLAGLFEHFLQGTRYLSYIRMEGSMEDVRSTLLVQELAGMRSKAVPRQYIVQQEDQPTIAATASVPIVDLGRLSQPDGDANEAVKLRQAMESWGLFMVTNHGIEDALMDNMMNVSREFFQQPLGEKQKYTNLIDGKHFQLERYGNDQGGGGGRGDRHQIAMETLASAMRRENRRFKPPSSSSASAAAALSSGRVPLVMAFLSCLAWLYVAGRLWQDAQTRMILSGLLEKSSGNLPKVLSVEDKLRNLGCIGIGRKIAEAEMDLTKAKSEGYLWGNGTATGSSDKKKLLAVIGVYTGFGSRLKRNTFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENRRTKDFLILESHEEAAEELPSKVKFFFSAAIEAWDAEFYVKVDDNINLDLAGLIEMLEARRGSQGLYMGCMKSGGVVSEEGQQWYEPEWWKFGDSKTASLQSYAHDDISVGSWMMGLNTTYVDDDRLCCGSSRQEKVCSHA >OGLUM06G05190.4 pep chromosome:ALNU02000000:6:3656488:3658205:1 gene:OGLUM06G05190 transcript:OGLUM06G05190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVRSTLLVQEFAGMGSKAVPRQYVVQREDHLAIAATAAIPIVDLGRLSQPDDNGDEVVKLQQAMETWGLFLVTNHGIEGALMDDMMNVSREFFHQPLEEKQKYTNLIDGKHFQPEGYGNDQVKSDTQILDWLDRLYLKVDPADERDLSVWPKHPESFRDVLDEFIIKCDGVKNSLLPSMAKLLKLDEDYFVRQFSDRPTTVARFNYYPQCPRPDLVYGIKPHSDATILTILMVDNDVGGLQVLKDGVWYDVPTKPHNLLINLGDHMEIMSNGIFKSPVHRVITNPEKERISVVMFYLLNLEKEIEPALELIDEKHPARYKKVKIMDYLAGLFEHFLQGTRVIDTLKI >OGLUM06G05200.1 pep chromosome:ALNU02000000:6:3666176:3668837:-1 gene:OGLUM06G05200 transcript:OGLUM06G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLRRRIHRGHKTLLPRAFSSSSSSFSGEGKFPSPTSDPASADQRTTKLSSHFAEIRGHLNPTPPSSPPRRIPASPPPDDVRRSLHLFRNPHPSSAAAANPSPSFADVFRARPAPPTGRATGADAFPFSALRESLNKNLGTNPTASAVPLPGAAAANPTDWSSILSSRQRHDGMPLPESVFGREMRGNARRGRDGKVEEQQFIRLYSCNELGKRLSELRPPVGKDGKEWFSVEELSRRLKKLREMDREERALQSGLGTDVLRDAIVTLQTKDLKTNNFAIGECLMKLMDNLFVTDDAVVTFGLLVAAASALMAFGSQATPAYLLGKPQQELVERYFHPDHMSSAEKMKQELQSVRDEFKMSENDCGSARVQVAQLTTKIKHLSTTLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKPPDYKSKRSSSSKTKAKRKIKRKMKA >OGLUM06G05210.1 pep chromosome:ALNU02000000:6:3674836:3688310:1 gene:OGLUM06G05210 transcript:OGLUM06G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMVQDLAAGGELGAPPSRYVLREKDRPVSAAGAVPAAQRELAAIPTIDVSRLAAESGDDVVDDDGGEAAKLRAALQSWGLFAVTGHGMPEPFLDEILAATREFFHLPPEEKERYSNVVAADDGDAGGERFQPEGYGIDRVDTDEQILDWCDRLYLQVQPEEERRLEFWPEQPAALRGLLEEYTRRSERVFRRVLAATARSLGFGEEFFGDKVGERVATYARFTYYPPCPQPELVYGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDISVLTHELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKDLVPSEELVGEERPAMYKKLKAKAFGDGFWDAFAAGERTIDFLKVKVEHQHQQPEAAAAAAAAPASSSSFWRSEGVKGEVKLGQARGRAVENRSFSGVDFAGSRAQSGERDCASGVHRGIVFFGRQRRRRRPLSPAPHRRSYGGDRSLLLRRGGGVSIILATMADGHEWKIVKIPPIVQQLAANVPEPPSQYVVDEQDRPAITGSDMPEPIPVIDLSRLSASDDDDDDSAGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGYGNDMVVSEKQILDWCDRLDVLHEYTVRCREITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDVPVVPNALLINMGDGMEIMSNGLLKSPVHRVVTNAEREQVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTGKNIQQVPMADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFRDILHEYTLKIKTVKNDILLALAKLLELDEDCLLNQFSDRAITTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLTDKEVGGLQVLRDGTWYSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >OGLUM06G05210.2 pep chromosome:ALNU02000000:6:3674836:3688310:1 gene:OGLUM06G05210 transcript:OGLUM06G05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAQGQMVQDLAAGGELGAPPSRYVLREKDRPVSAAGAVPAAQRELAAIPTIDVSRLAAESGDDVVDDDGGEAAKLRAALQSWGLFAVTGHGMPEPFLDEILAATREFFHLPPEEKERYSNVVAADDGDAGGERFQPEGYGIDRVDTDEQILDWCDRLYLQVQPEEERRLEFWPEQPAALRGLLEEYTRRSERVFRRVLAATARSLGFGEEFFGDKVGERVATYARFTYYPPCPQPELVYGLKPHTDNSVLTVLLLDKHVGGLQLLKDGRWLDISVLTHELLVVAGDEIEIMSNGVFMAPVHRVVTSERERMSVVMFYQPEPHKDLVPSEELVGEERPAMYKKLKAKAFGDGFWDAFAAGERTIDFLKVKVEHQHQQPEAAAAAAAAPASSSSFWRSEGVKGEVKLGQARGRAVENRSFSGVDFAGSRAQSGERDCASGVHRGIVFFGRQRRRRRPLSPAPHRRSYGGDRSLLLRRGGGVSIILATMADGHEWKIVKIPPIVQQLAANVPEPPSQYVVDEQDRPAITGSDMPEPIPVIDLSRLSASDDDDDDSAGELAKLRSALENWGLFLAVGHGIEPSFLSEVMKVTRGFYELPLEEKQKYSNLANGNEFKHEGDVLHEYTVRCREITSLVLARLARLLGLREGYFVDMFDEDATTYARFNYYPRCLRPEDVLGLKPHSDGSVITVVSVDDTVSGLQVLRQGVWYDVPVVPNALLINMGDGMEIMSNGLLKSPVHRVVTNAEREQVSVVMFYALDPEKELEPAPELVDDEKRPRQYAKMKIKDYLSGFYETFARGTRVIDTGKNIQQVPMADEPWRLPNIVQELAAGVQEPPSRYLQDLAGGDQLAGAEIPEPIPTIDLGRLSGSDGADEAAKLRSALQNWGLFLVSNHGVETSLIDAVIEAAREFFRQPVEEKKKLSNLIDGKRFQIEGYGNDPVQTKDQILDWSDRLHLKVEPECDRNLAFWPTHPKSFRDILHEYTLKIKTVKNDILLALAKLLELDEDCLLNQFSDRAITTARFNYYSPCPRPDLVLGLKPHSDLCALTVLLTDKEVGGLQVLRDGTWYSVPAVRDYSLLINIGVTLEIMTNGTFRAPLHRVVTNAERERMSVAMFYAVDGEKEIEPVAELLGLKQQSARYRGIKGKDLLIGHYEHFSRGGRVVDSLKI >OGLUM06G05220.1 pep chromosome:ALNU02000000:6:3697177:3702213:1 gene:OGLUM06G05220 transcript:OGLUM06G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSALGTEVFIPVAAAVGVAFAVAQWLLVARVKVNPAHAAAAAASGGSKNGGYGDYLIEEEEGLNDHNVVVKCHEIQTAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKDKYCKPALFNALFSTASFLLGAITSLVSGYLGMKIATFANARTTLEARKGVGKAFIIAFRSGAVMGFLLASSGLVVLYIAINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVTNWGLFFCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKWF >OGLUM06G05230.1 pep chromosome:ALNU02000000:6:3702852:3705551:-1 gene:OGLUM06G05230 transcript:OGLUM06G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRFLGGLCFLALLRLGGAAEAVVGVAGVDGRRAIAATDEDFVCATMDWWPPDKCDYGTCSWGLASLLNLVENFSLQILSKFFSPPPPDLSNKILLNAIRGSVQQLLSCSCFFSGDVIGVYLVFFFLAAFSPLKLRLGGSLQDKLVYGTGDGGGPCAPFVKNTSEMFGFTQGCLPLHRWDELNAFFQKSGARIVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTASKGYKIHGWELGNELSGSGVGTKVGADQYAADVIALKSLVDTIYQGNPSKPLVLAPGGFFDAGWFTEVIVKTRPNLLNVVTHHIYNLGPGVDTHLIEKILNPSYLDGMVSTFSNLQGILKSAGTSAVAWVGESGGAYNSGRHLVTDSFVFSFWFLDQLGMSAKYETKSYCRQSLIGGNYGLLNKETFQPNPDYYSALLWHRLMGTKVLSATFNGTNMIRAYAHCAKDSPGITLLLINLSGNTTSQVSVTSEGAHANTLKKHSRKTRHLAAGSMREEYHLTAKDGSLQSQVMLLNGRALVADENGEIPRLEPVKVDAAQPIAVAPYSIVFAHIHNFPAPACS >OGLUM06G05240.1 pep chromosome:ALNU02000000:6:3709959:3710598:1 gene:OGLUM06G05240 transcript:OGLUM06G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLCRAGLHPDVAFEYARLFARNELAADDLRHLDHGLLATMGVPIAKHRLEILKLARRESPPSSSSSSSLAAVRLPWRATRLLAAAARRAALSLAARPPRARAPPPPSATRNGGRKMALLRHLSKPMLTNHSSGGGGGGKRTRTTNGAATTYKAAAPAAASAAAAAITGCFAANPDAYSYSDDEFDLYDDGEDMRWESMFQDLKPT >OGLUM06G05250.1 pep chromosome:ALNU02000000:6:3712161:3714959:-1 gene:OGLUM06G05250 transcript:OGLUM06G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAVAVKAGSRPPWVGLGAAVWVQVAGGASSTFALYSHALKVALAADQRRLALLGVACDVGENLGLLPGVLCNRLHPALLLLIGAAACLLGYGSTWLAVSASGPALPYWLIWFALCLAANSGAWLGTAVLVTNMRNFPLSRGAVAGILKGYAGLSAAVYTVIYTGVLHDSASNFLLFVTLGVPVVCLVTMYFVRPCEPSLVENSSEQVHFLFTQLSSVLLGVYLVAATILDHFVTLTDAVNYVLLVIMVLVLFVPLTVPLKMTLFPSNRRKGQSDSSECSSSSADHDHTESLLPSSSASNLGNIEDDDSMDIDILLAEGEGAIKQKRRRPKRGEDFRFREALLKADFWLLFAVYFIGVGSGVTVLNNLAQVGIAAGVADTTISLALFSFGNFFGRLGGGAVSEYLVRSRTLPRTTLITCTQVMMIIIYLLFALGHHATLHVSVALLGICYGAQFSVMVSTSSELFGLKHFGKIFNFISLGNPLGALLFNSLAGYVYDQEVERQHATTMDTDIACHGPNCFRLTFCVLAGVASLGTLLSIVLTVRIRPVYQMLYAGGSFSQPRSSAH >OGLUM06G05260.1 pep chromosome:ALNU02000000:6:3719340:3721100:1 gene:OGLUM06G05260 transcript:OGLUM06G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDHHPGGRDGAPLVFDELRWVIQIRRSLQEDGGDDDDDNGIPVSVFNVPKQLQAHKPEAYVPQFIALGPYHHWRPELYEMERYKLAAARRAQRHLREGVKLEHLVEQFARAERKVRAHYHRYLDFSGETLAWMMVVDGAFLLEFLQIFAAAEAAAGGGGGKPELRRVSSRMAHLVDFAGRKSAHNLILRDMLMLENQIPLFLLRKLLEPQCSSAEEAGELLGRMVTGLMKELCPFKMMDNFPAIDVAKHAHLLELLYHLLVPKPSDDAAAAADGHDEGYDIEEQPVDGGGGGGEEKQQSAGCEYVKQLLAAVWGIVSSLKSGPMQYVAKPISFAVKAPWKMLTVVPGFSAMKHPVESFFMSGGGGDPSSSSTAAGQDHHHAISRPPLIEEIMIPSVTELAAAGVQFAPTNGDATTVSFDAKTATLHLPVVTLDGSTTEVVLRNLVAYEASAATGPLVLARYTELMNGIIDTGEDVAALRRRGVVLNRMKSDGEAARLWNGMSRSVRLTKVAAMDAAVEGVNRYHGARWRVKARRFMRRYVFGSWQLLTFLAAVLMLLLTTLQAFCSVYTCSRWFGAVAVAPPP >OGLUM06G05270.1 pep chromosome:ALNU02000000:6:3724087:3724480:-1 gene:OGLUM06G05270 transcript:OGLUM06G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLPLVAAVLALFLMAATVQSIRLDAESHSAFSKQTVNNTSGDNVVAVVVEKTDGEPSGEMEKAISEQKSRVGHELPEIHVDYYGPRGHNPRHH >OGLUM06G05280.1 pep chromosome:ALNU02000000:6:3725570:3729236:-1 gene:OGLUM06G05280 transcript:OGLUM06G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEESEGAERLDFGEPAAAAAAAAADAGKSKSKSPDELPSPRMERVCENTTAADFKQNKSGNFVPNIRSGDWSDIGGRQYMEDTHVCIADLAKNFGYQSVDNEAISFYGVFDGHGGKDAAHFVRDNLPRIIVEDADFPLELEKVVRRSFVHADNQFAKTTLSSGTTALTAMIFGRTLLIANAGDCRAVLSRCGTAIEMSVDHRPCSLSEKLRVESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEAGNPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNVVDFARRRLQEHNDVKSCCREIVEEAIKRGATDNLTAVLVSFHLEAPPQIRVSRPGRVARSISAEGLNSLRTLLRNQ >OGLUM06G05290.1 pep chromosome:ALNU02000000:6:3744315:3747387:-1 gene:OGLUM06G05290 transcript:OGLUM06G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERKHLMDICDAFLWPAGNPPDWSSRDCCRWERVTCSSITGRVTALDLDAAYPSWYGLLNCSMFLPFRELQNLSLGNAGIAGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSLFLGGNAIKNDFIVQRLSKMKLDILDLSWNGIFGNISRAVCNMTSLRELHLNGNFFFGVLPSCIRNLIFLRVLDLSNNLLTARFPTISFANMTLLEQLSLSHNQLEGLLLLNSFSNHLQLKYLRLSSNSASFQVQTENPEANISSQLQVLELSNCNLNANSGVVPSFLSHQHGLYLIDVSNNNLSGHFPTWLLENNIYLSYLSVKHNSFVGSLILPSTVNQNLSWLDASYNRLSGDLPVDINITFPNLSYLNLSKNFFQGIFPSAVSHLENLSTLDLSYNNISGEITASFPTTMSMNHLVLNDNNISGEIPTSICTNVNLGVVDFSNNKLTGSIPNCIASNHLLFILNLRGNHLTGSIPTGLSSFLNLQFLDLSKNHLSGPVPSLPNLTYLHLSENELNGTFPLVWPFGANLKTMDLR >OGLUM06G05290.2 pep chromosome:ALNU02000000:6:3746149:3747387:-1 gene:OGLUM06G05290 transcript:OGLUM06G05290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPCIWCCLVLLTLVVCDSCLHEERKHLMDICDAFLWPAGNPPDWSSRDCCRWERVTCSSITGRVTALDLDAAYPSWYGLLNCSMFLPFRELQNLSLGNAGIAGCMPGAGFEVWSNLRQLEILDLSENELNDSSIMPLVGLASLRSLFLGGNAIKNDFIVQRLSKMKLDILDLSWNGIFGNISRGMASHLKFL >OGLUM06G05300.1 pep chromosome:ALNU02000000:6:3747482:3748962:-1 gene:OGLUM06G05300 transcript:OGLUM06G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRPLHDGPSQRHPYADADADADAALVAGGGGIDRDPSPSAPTSSIPSSMASKANSWLPAAGAPRCPWPASTLPVGADFFNSFHDGVDASLLPWSKHAGSPLIQDSKSKPHSLAGIKKSQASLLLRDNAVITVAISDLLDATMGSVESAGSGN >OGLUM06G05300.2 pep chromosome:ALNU02000000:6:3747586:3748962:-1 gene:OGLUM06G05300 transcript:OGLUM06G05300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRPLHDGPSQRHPYADADADADAALVAGGGGIDRDPSPSAPTSSIPSSMASKANSWLPAAGAPRCPWPASTLPVGADFFNSFHDGVDASLLPWSKHAGSPLIQDSKSKPHSLAGIKKSQASLLLRDNVRGLASFTVDAESRGRC >OGLUM06G05310.1 pep chromosome:ALNU02000000:6:3749195:3751531:-1 gene:OGLUM06G05310 transcript:OGLUM06G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G13100) TAIR;Acc:AT2G13100] MEAAAPASHAAAARGRLRSRQYAVLGLTFAAYASFHASRKPPSIVKAVLSADWAPFSGPRGPHRLGELDVAFLSAYAAAMFAAGHLADRADLRRLLATAMLASGATSAALGAAYFLGVHSLAFFLAAQVASGVVQSAGWPCVVAVVGNWFGHASSRGTIMGVWNSHTSVGNIAGSVLSAAVLEFGWGWSFLVPAFVIAALGVVVLVFLIAHPMDAGLDIEAIEVEMNGGSGEEVELLGEDKKEDEDVLEVEAVAELPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRHNAVAGQFLSHKASGILSVVFDIGGVLGGISAGLLSDKIGARAVTSALFLFLSIPALILYRTYGSISMHHNIGLMFLAGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLALVFLIRLAKDEIVSKISARH >OGLUM06G05320.1 pep chromosome:ALNU02000000:6:3753399:3756400:-1 gene:OGLUM06G05320 transcript:OGLUM06G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCRCSRGALPLLLISLSAAYLTYTALLSSRSLLPLPTASFPGATASRRLASGRPTAAAAFHTAVTASGSLYNTWQCRVMYYWFKRAREAGGGGGAEMGGFTRILHSGKPDAFVDEIPTFVADPLPAGTDQGYVVLNRPWAFVQWLQKADIQEEYILMAEPDHLIVKPIPNLSRDGRSAAFPFFYIEPKKYENVLRKFFPEHEGPITKIDPIGNSPVIARKESLARIAPTWMNISIAMKKDPETDKAFGWVLEMYAYAVASALHGVGNILHKEFMIQPPWDLEIGDAFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDSKPPPRNLPLPPNGVPQSVVTLVKMVNEATANIPNWDSYAAA >OGLUM06G05330.1 pep chromosome:ALNU02000000:6:3762566:3762938:-1 gene:OGLUM06G05330 transcript:OGLUM06G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRALLAWLLVAALLMAGSLTCSSSSSSRLLGGADGGDSKAWAGAGDVVREYHGGGEKAAATARRSLGLRATKPMPPAPIPNRMKANAMPVSPPARIG >OGLUM06G05340.1 pep chromosome:ALNU02000000:6:3764692:3765042:-1 gene:OGLUM06G05340 transcript:OGLUM06G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRMQLAWLLVATLLLASSACSWSRPLAAGSDGVGGEKLVSAARRSLGSRTPPAPPAPLPNKTKSYVMPVPGSPPAV >OGLUM06G05350.1 pep chromosome:ALNU02000000:6:3768823:3769379:-1 gene:OGLUM06G05350 transcript:OGLUM06G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPILVAFLLLGLYAVVSVDSSRPIEGGVETIWTAAAADQGDGGVAPPPRHHCKGGGGGAAAAVARSSLPERSMIAVMPRRQPVRAPPSPKPSMAMTSYMPPCSGGVPGCRTPRMG >OGLUM06G05360.1 pep chromosome:ALNU02000000:6:3770515:3771202:-1 gene:OGLUM06G05360 transcript:OGLUM06G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVAFLLLSSYAVLSVCSSRPIAGGVEVIWSTGAATTTMEVDGGQSHRHRGGGTSIVVRYLVARRTVIGMEVPSRESTMMRRLPDREPVVYLQRHLHAKHWLLPKSYNTIQLRDVIPT >OGLUM06G05370.1 pep chromosome:ALNU02000000:6:3773584:3774089:-1 gene:OGLUM06G05370 transcript:OGLUM06G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLVALFLLSSYTVVLDEEYDLRSDNEVDQTNWSAAEVATATVKADGGHRRGVVARYLVAERSIGGMVASREPATMVRRSPWKPPSPIGHVPVAWEKGKPPCLGVGCSQIKG >OGLUM06G05380.1 pep chromosome:ALNU02000000:6:3778291:3779400:-1 gene:OGLUM06G05380 transcript:OGLUM06G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYSYHPLLLLLHLLPQMAADHAAFPALARFLARKRTRTAIAMVIMAAMLPGVECARRRRLRQGGGAGADAAAAGGGTRRSSFCVHAAGHGGGQKCGGAAANHSGKQRSSVMELIHGWSLDSNAREAKERLDQKLRSQRESVIKRHHSTGSIKLNRGASGGGGGGGRSTATAAMGVQREVYSRKGVMRRLMRWSRLRWDAAEQAECAVCLDEFAAGDVLAHLPCGHRFHWACALPWLEAGAAPRSCPFCRAAVDTPPPPPPPACSS >OGLUM06G05390.1 pep chromosome:ALNU02000000:6:3787338:3788022:-1 gene:OGLUM06G05390 transcript:OGLUM06G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDKAFGAHGRDASFGSWWKKRFGAKARAEERARVRAGESVTWRTQPDGLRLPEVAVGSSVFASRGVLLIIIILRVWWLSQPRPFLETWRRGANG >OGLUM06G05400.1 pep chromosome:ALNU02000000:6:3790508:3796156:1 gene:OGLUM06G05400 transcript:OGLUM06G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRGVGMGVGDPSSPSARAGAAAEEEAGAGKVKLLCSFGGRIAPRQGDGALRYVGGQMRLISVPRPASFGELMRKVEAVDDAGGAGGGGGGGVLIKYQLPGEDLDSLISVSCTEDYENMMEEYEKLAAAAPDGSAKLRVFLFPASGSEAAAAGASGSGSHLAAAVDESGQRYIDAINCVSAESVAAMRRKESVASAGSSAHNSEASEHGGAVEGMLPQAAVPPPSLPPEYLYSGGNKYHGAFPDSLGFSAVTASSPAMGIQAQNHIMVRTEPLPPQPHQVASYAPSHQPPQVASYAPHQQPQVASYAPQQQQQQQQQPQVASYIPQMAQSFREPQQVQYINAQQLGVHGVPQSVNFVPVQMSPYMPSIPVTNSMPTSAAQVGTMKPASPASEPVLENVHNTRPMQATGDQSYRVLQPLSQLPPLPPVHLQTSDAHRYGVQTVMTSSASTPLVTTSGTIPMVISSATMPALRYDDCTMCQKALPHAHSDNMIQEQGTPHGVNNPDAAPVFYSLHQENVTKQHIPGATAGTPANYIVEPRSEVTAGMMQTEPNFAANNHVLQPTSFPDASGLVPNTRVTSRLAFAGNRPQPRTEDPVMYQHQQQNSYSMQPSLIPVNGVISNPQGIDASAFKNSNNQVPDPFREYGHDLPHDYVRAINAQMQGVHLGPIAPPESSVQGKPASPHGAIGDGKLEKPSHVNIGGGSIYKSQAGGYHLGITNAFSAPAEDNLVRHTEQSSSAFDSQHLHSEIGHQLNVLQNVPVSNNLGVPAKPHISNERFLARPASAGVQVPVEHSPLRPAEMLNHVVSAPPNGNSQIPLQATAGIDSVEATRDPAYTDSLFSNQDPWNAVGNASVAPPRLNKLAKEPAVSGDPYVEGHGLAINSSNAATLLEEGNLPLIQDRTFKDIYPEPSPMSKGYGEETIKRQLQAVAEGVAASVLQSPFPEKPTVFSGDHTDKQGAVIDPKLEDAVNNQSDKTSQGVKVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEADKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHEKNIVHFDLKSDNLLVNLRDPQHPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPPVPESCDPRWRSLMEQCWSSEPSERPSFTEVGKRLRAMATPSTKAQPQK >OGLUM06G05410.1 pep chromosome:ALNU02000000:6:3798477:3802062:1 gene:OGLUM06G05410 transcript:OGLUM06G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERIVGDGAIETWRAADEVTRAKYRLLAGEQRAREIEGKLGETIPQGNQISTPEVHKVMDALKSSCANLHCVVEDPLPAAKAAADEVLAARMDKAVDLNAGEVSNQPTACDIAGPSAPADNLDAPRKGTAASLMDWNPTARTFQWEDSPDPDGSRSPIHRPQLPSPRRTTFSPLQPADNKAKRRKARKWCALEEETLRKGVEQYGNGNWKDILTNNPDVFIGRKAMDLKDKWRNMMR >OGLUM06G05420.1 pep chromosome:ALNU02000000:6:3802362:3805397:1 gene:OGLUM06G05420 transcript:OGLUM06G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPFYGRVLNSGKSVWICCVARSKRMEPLGQMELAKMRPLSGEGNSLGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >OGLUM06G05420.2 pep chromosome:ALNU02000000:6:3802362:3805397:1 gene:OGLUM06G05420 transcript:OGLUM06G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >OGLUM06G05420.3 pep chromosome:ALNU02000000:6:3802362:3805662:1 gene:OGLUM06G05420 transcript:OGLUM06G05420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPGIIRPPTSEKTADILLESSLQSCSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKASMKP >OGLUM06G05420.4 pep chromosome:ALNU02000000:6:3802362:3805715:1 gene:OGLUM06G05420 transcript:OGLUM06G05420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT2G22650) TAIR;Acc:AT2G22650] MPPPPSSLPPRVLPNLVSCSRRAVTSAATAAAAAYSTAAAAGGGGGGGRPLRYAVLGAGFAGLSVAWHLLKHSPKGSRVRVDIYDESGIGGGASGVSGGLLHPYSPKGELYGRLQARKLLTYCWSLQVLDSDEAQCLIPGLCVPLNFAVYMPLALNINPKKYLQVALFFACQNMSDEASLSSSEQKECKLYNEHVDDLQQLAGDYDSVIICLGARASSLPELTNKLPLRTCRGVIAEFQLPSDTIETYGSQSPSILSDAWLAFQGPRTVSIGSTWQWKSENYSSSVSDDEALNAMEELLPKASAVYPGITKWKFVQARAGIRAMPPLTANGSLPLLGCLNDVIGKRSNCSFWLVGGLGARGLLFHGLAGKLTAKAVISCDENLIPPEFTCWKEP >OGLUM06G05430.1 pep chromosome:ALNU02000000:6:3810091:3812787:-1 gene:OGLUM06G05430 transcript:OGLUM06G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5W0] MASSLSLDDINDDSVDLSKAPVAEVFQKLKCDRKGLTGAEGESRLRLYGPNKLEEKKESKLLKFLGFMWNPLSWVMEIAAIMAIVLANGGGRPPDWQDFVGIVSLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVRTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIGAGMAVEVLVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLDDPKEARAGIQEVHFLPFNPVDKRTAITYIDGNGDWHRVSKGAPEQIIELCNMAADAEKKVHALIDSYADRGLRSLGVSYQQVPEKSKDSGGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSTTLLGDKNSQVNGLPIDELIERADGFAGVFPEHKYEIVKRLQEMSHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLIAIIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLNEIFLTGVVLGTYMALVTVLFFYLAHDTNFFTDVFGVTSIRESERELMAALYLQVSIISQALIFVTRSRSWSFVERPGFLLLFAFFAAQMVATAIAVYARWDFCRIQGIGWRWGGAVWQFSVVTYLPLDVLKFIIRYALTGGKAGDSAQKKASSPPPTSQP >OGLUM06G05440.1 pep chromosome:ALNU02000000:6:3816422:3816756:-1 gene:OGLUM06G05440 transcript:OGLUM06G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OGLUM06G05450.1 pep chromosome:ALNU02000000:6:3823460:3824457:1 gene:OGLUM06G05450 transcript:OGLUM06G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLTTLITSTSLPPQPRAHSSLSRRERALSLAATTRNPSPSSRSSAMARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPHDVADEAAPPPPPHSAAAASSSFLSAALVAKLHRFNLASVQAAQRGNSNDDDSTTSSSAAASSRAVIPSLPAAAGALGNAAATAEWSGGFLEEQYVDQMIEELLDSNFSMEISC >OGLUM06G05460.1 pep chromosome:ALNU02000000:6:3833760:3834812:1 gene:OGLUM06G05460 transcript:OGLUM06G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLACFAARGGGGAGASSSSSPAPAASATSVYWTHLGTVTLTWSRGQLGLVLAAELHLAGEGAAPALRFLLRPLLPWRRRGCKRFAGGGHAVTFTWDMSRARLAGRRPEPVARYSLHVCVDGELVLAAGDLALLAPSAGFLLTRRENAVAAAGGEAYATTVAVAGGRHEVSIAVEDAVMWVAIDGEKALQVRRLRWKFRGSERLDLPRGRVRVSWDLHGWLFAADAAAVFVLRFETADVADTSKIDMERDAGMLALRQSSFNRKHHHHGGGGAAAESWCSSDSDRRGWRRGPFRSGSDSSPAVSVASTSAASSAGSVATVADWATAEEAAMNDGGGFSLVVHLWKTKKRR >OGLUM06G05470.1 pep chromosome:ALNU02000000:6:3844787:3845680:-1 gene:OGLUM06G05470 transcript:OGLUM06G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFSSSSSPSPAPAPALAPASSSSTASTPRAPRQQLPRGASSASSSSSPVYLNVYDVTPANGYARWLGLGVYHSGVQGMYALLSSPSILYAYGAHDGAGSGIFEVAPRRCPGYAFREAILVGTTELTRAEVRAVMADLAADFPGDAYNLVSRNCNHFCDAACRRLVRARIPRWVNRLAKIGVVFTCVIPGNGAAVRRKGDPPATATAPGGKASIRSRSARQGADAAAPPRPKTFFRSLSVGGGGGGGKNVTPRPLSTSPSPTPPAPPAFTTAT >OGLUM06G05480.1 pep chromosome:ALNU02000000:6:3849460:3867858:-1 gene:OGLUM06G05480 transcript:OGLUM06G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQVGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEIRTLGMLRSRFHSLPGAFNTFLVPSDKRRNRRFSLSKRFAEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >OGLUM06G05480.2 pep chromosome:ALNU02000000:6:3849460:3867858:-1 gene:OGLUM06G05480 transcript:OGLUM06G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQVGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKESGKSKHGKTPHSAWCNYDDLNEYFWTTDCFSLGWPMRDDGDFFKSVHDSRPVTTAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >OGLUM06G05480.3 pep chromosome:ALNU02000000:6:3849460:3867858:-1 gene:OGLUM06G05480 transcript:OGLUM06G05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:callose synthase 5 [Source:Projected from Arabidopsis thaliana (AT2G13680) TAIR;Acc:AT2G13680] MTGPRGGGGEDPPRTSSGAAASASASSGVPNEPLTPTSMLMSGQQVGLNRRGSRSAAMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPERPRVAYLCRFYAFEKAHRLDQNSVGRGVRQFKTALLQRLEKDNSPSLAKRVKKTDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIVRLHRDVQEKKDIYTPFNILPLDAASASQSIMQMEEIKAAVAALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILLLANLDDRAVDEVMAKLFSNYRKWCNFLSRKHSLRSPQGAQPQEIQQRNILFLGLYLLIWGEAANIRFMPECLCYIFHNMAYELNGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKAGSSSQKGSTKSTGKMNFVETRTFWHIFRSFDRMWTFYLLALQAMLIFAWSDYTLSQILQKDLLYSLSSIFVTAAFLQFLQSILDFVLNFPGHHKCKFLDAMRNILKIIASAAWAVILPFFYISTASKVNLPIKDLDKWFQYVKGVPPLYILAVAVYLIPNILSAALFLLPCFRRWIENSDWRIVRLLLWWSQIKPLIKPTKDIMNVHNIHYEWHEFFPNASYNVGAVMSLWAPVLLVYLMDTQIWYAIFSTISGGVSGALGRLGEVSPSKRTEAAKFAQLWNEVICSFREEDLISDKEMDLLVVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNLLVIGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPVLCKKFVELVSALKERDASKFDNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKDSVPRRQLFAGTGTKPAIVFPPPISAQWDEQIKRLYLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSRNDLDLENEDGVSIIFYLQKIFPDEWNNFLERIGCQRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYKRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAIADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGEKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKLGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFHENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLELAIMKQARMRGNTALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYKATGRGFVVRHVKFPENYRMYSRSHFVKGLELMLLLVVYQMYGDVATDSTAYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPANKAWESWWEEEQEHLQSTGFFGRLSEIILSLRFFIFQYGIMYHLNISAGNKSISVYGLSWLVIVAVVMVLKVVSMGRKKFSADFQLMFRLLKLFLFIGSIGTLAILFTLLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGILIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQS >OGLUM06G05490.1 pep chromosome:ALNU02000000:6:3849488:3849685:1 gene:OGLUM06G05490 transcript:OGLUM06G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIWRPRLKAWLKSSLVWNSETKGNHASTATGTKMRIPIRYSYPLERALTDPHSPKAFTTGLLA >OGLUM06G05500.1 pep chromosome:ALNU02000000:6:3868207:3877925:-1 gene:OGLUM06G05500 transcript:OGLUM06G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLRHQAGITSGGKIERGGHTLRVSIVGKGTKCQCPPPPPPPASVLKLRGENEGWGSDHLITASPAPLPRTGPPAIRHRPLLDHHQQQQQHRKPDNETKASYDRRRPTSPAATEEGARSIPTSGVVRPPCPYARALGRRRRRAGLAWGGGFGVGLCGIWESVSPPRCGFALLFVARQEEAGDPLPRNTAGKLGGCSGGTRRRTDGPPPSPRETTKRDTKPAPGGERELTRRSKRRGVVLTPAERTGDLVVAVERYYIRPSKMNNAI >OGLUM06G05510.1 pep chromosome:ALNU02000000:6:3872524:3876595:1 gene:OGLUM06G05510 transcript:OGLUM06G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAKRIYDAATNAPKEHAVILLAHNGPTGLGSRMEDICGRDWVAGGGDHGDPDLEQAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANRTIYLNGAVVPRVNHAQSSRQPAISTSEKTGLEGLTGLMVPTSRAFTIVDLFEGAVEKISEVWVTVGDARTELEQELVLYKQPREHI >OGLUM06G05520.1 pep chromosome:ALNU02000000:6:3877281:3885053:1 gene:OGLUM06G05520 transcript:OGLUM06G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DA1 [Source:Projected from Arabidopsis thaliana (AT1G19270) UniProtKB/Swiss-Prot;Acc:P0C7Q8] MGWLTKFFRGSTHKISEGQYHSKPAEETIWNGPSNSAVVTDVPSEFDNEDIARAISLSLLEEEQRKAKAIEKDMHLEEDEQLARAIQESLNVESPPRARENGNANGGNMYQPLPFMFSSGFRTCAGCHSEIGHGRFLSCMGAVWHPECFRCHACNQPIYDYEFSMSGNHPYHKTCYKERFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKTGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKVMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYRTLSPDVEEGICQVLAHMWIESEIIAGSGSNGASTSSSSSASTSSKKGGRSQFERKLGDFFKHQIESDTSMAYGDGFRAGNRAVLQYGLKRTLEHIRLTGTFPF >OGLUM06G05530.1 pep chromosome:ALNU02000000:6:3907913:3909877:-1 gene:OGLUM06G05530 transcript:OGLUM06G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVDEVGGDSCSGQGRRQRHTEATSTIDKDEGSGGRSGQRRQRRRTRTCATMAARPWTWTLRRRQRRVARLVNRKSAISKLIGSDRGLIGAPMCVIVPVRIRHK >OGLUM06G05540.1 pep chromosome:ALNU02000000:6:3923326:3928856:1 gene:OGLUM06G05540 transcript:OGLUM06G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGALRMEERKGLMGRERDQFPVGMRVLAVDDDPVCLKVLETLLRRCQYHVTSTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKTVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFGNRERNNLDFSKECNKPQSADTDHGPYQPTCGSSDQNGRSSRKRKELHGEDDDEGDDNDYQENDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGLQSYQPFAPSAALPSFNPHGLLTRTSAAAAFGLQELAAPSSTIQTATGNVTIGHCLEENQQANLAQGLTAAIGQPQLQQNWIHQEGNGLSDVFSGSSLTNTLSSTLQRVPSSSLPPQELLECKQAKVSMPPSIRIPPSSSALLERTLGVSTNLGDSSISQQGALPIDGGFSADRLPLHSSFDGAVATKLDTSLAASQREIGQQGKFSVSMLVSPSDNLALAKNAKTGASSSGSTIILPLDTARHSDYLQFGGASNSLQKMDGQKQDHIQSSNIIWSSMPSTQLPSDTQIHNTQNQRLDSGSFNHNIGAHLADQTNASASILPQMKFDTRISEEKMKQKNTYDLGSSKLQGGFNSSGCNFDGLLNSIIKVEKDDLPFMDNELGCDLFPLGACI >OGLUM06G05550.1 pep chromosome:ALNU02000000:6:3928954:3937111:-1 gene:OGLUM06G05550 transcript:OGLUM06G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVEEVSKWRRRCCYFWILFPLAVIATCMTITVVTFCSTTMYMTEVMGEATKGAMDSALMHIAGNMRPLLEANRSVFTIANTLHVQGNMASFSHVGPKLFLSFSMQPLLAQISYAAVDGAAFAYYRAGGGDGEARAMFARPNGTWFTQAVDPATGRPVGNATAAAPHQQLPPNVTRLLLDGGGGGASLADGWARPGVRMLFLSAPVGGGGGAVSAAVAVDDVVLRGAAGLRQLRDLGMYYAVAGNGGATAAPPAPEPAAYRSLLGDGAAAEEMALFSSVKCTASAIDAPPKLDVHGVKSDKYRFACTNFDISGVQMGFRVVLRKSAMVGVFRRGGVTMVAVACAAAAAATVACVLMARALRRAVAREAALGADLARHRDALRQAERKSMNKSNAFASASHDIRSALAAVAGLVEVSRPEANPNIVDNLNQMELCTNKLLDILNSILDTTKVESGKVQLEEVEFNMADVLEESVDMANVVGINKGIEVMWDPCDFSVMKCDNIIGDSKRFKQILDNLLGNAMKFTQEGHVILRAWANRPIARGSIGAPSRFAYRSLENNFFSFFFGAKEDRVSQSSFNRLQNDPNSVEFYFEVVDTGIGIPKEKRESVFENYVQVKEGHGGTGLGLGIVQSFVRLMGGEISIKEKEPGERGTCFGFNVLLKTSGNQAAEEDIEEGPSTVSELDIRASVFRETNCFKGWHCILFVHGDETRRVLQAWMESIGMKVWMVPGVESISSTLEKARSSRDDCDVDRCFSSKEMVSQVLPTTLRNNNIMARNLGEHHPLGMLLIVDVSNGQLENIQRQARDFTQMRSQVPCKFVCLTDLRTSYKDFRRFEEMSCDLILRKPVHGSRLYSLLMTLRDVQSSPMHRSSLVGHENYVTRHQDSANIVALAEVGRLDQGLKTEEDRPLDGMHVLLVEDTLVLQTIQRKMLNQLGAIVELAGDGAKAVDMFRDAIERASVSEEHSVPLPYDVIFMDCQMPRMDGYEATRRIREEESRYGIRTPIIALTAHSMEDDLQKAIDVGMDLHMTKPIERRRIVEAVHGVCKGKN >OGLUM06G05560.1 pep chromosome:ALNU02000000:6:3938397:3939134:-1 gene:OGLUM06G05560 transcript:OGLUM06G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLEAICSVFTIANTLHVEGNMASFSHHYQKGDGKNKRTRISESGAYTSSSNQDTEEESSNKKKHSNEHKKAKERLKGKGKAQNYI >OGLUM06G05570.1 pep chromosome:ALNU02000000:6:3944245:3945717:1 gene:OGLUM06G05570 transcript:OGLUM06G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMHARFEVSDVVGGDGSSSGGSSPASVSGPSDSDGSSCSSSSAADELFPDARELPSTSASVPVLAGVGFHPISPTSLSVLSDIDVHMQRMARLLPAFASPAAAPRAQALGRWLAGFDVGWVLEMDAGGGGGGGRLPRREVGRRVRVWAQALSTMDRVFRLRHREARNPANEAAAAQLAALGELASASAGAMLRLATAVAALGASPSALLAALDVYVPVSEAYPGLARMFSWSTAAADAALAALVDAARRCVRGLPASIRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRVSLYLVLAGGDGGETATTPALAPGGEGGLVADLISRLEAVLEEKSGELAFPGLRQVFMLNNTHAIVRRAVRSDLAMFLPPGWARAREERMEGYVKSYLDASWAPVVSRLAAAATKPAAVSVLRRRRDPLAAFNSALENACSAQRCWKVPSPVLRRGLRRTVSEHVVPAYRRCLEAAETPAAARTVEELERQLSELFEG >OGLUM06G05580.1 pep chromosome:ALNU02000000:6:3946140:3949867:-1 gene:OGLUM06G05580 transcript:OGLUM06G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30993) TAIR;Acc:AT4G30993] MEVEAARRSKRPPWSRTVAVQVALCVAMYAAFSLGEPRLHRNRGRRGGGGVEASLGRGGRGGVSFLSVAGGARPAAEQARLLRQMESIAKAYKVKFVVDVAQLGEEDPLWQNGSLYFQALKIPWYSTTSSHGQIIGNFLKRVMMPYDQSLEIIGMDTGSLQEPIHDGKIRASSREQIKWLEQSIAATSSNWKIVVGYDPFFVCAEAHTLETTKLYEPLQHIFAKYGVNAYISTGGHCGYFRQDNSMLYIGNPSPDDLTSSDGFLLHIVNLLEMESLLINLEGKVVERFVVNHHRLEAL >OGLUM06G05590.1 pep chromosome:ALNU02000000:6:3950501:3966501:-1 gene:OGLUM06G05590 transcript:OGLUM06G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVERLRVRSEKRPLYTLDESDDDLPPRGGGGKGRDRHSDGPTERIEREDAKEDACQKCGENDNLVSPLTEMEKILDCEETKPDASEETSSSESGSKKKPVKRYLIKWKGISHLHCTWVSESEYLETAKIYPRLKTRLNNFHKQMDSTDKSDDDYSAIRPEWTTVDRILATRKSSTGEREYYVKWKELTYDECTWENDSDIAVFQPQIERFNEIQSRRKKSTDKCKSVTREIRQYKESPKFLSGGTLHPYQLEGLNFLRYSWYHNKRVILGDEMGLGKTIQSIAFLGSLFVDKLGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGSAASREIIRKYEFYYPKEKPKKLKKKKSSPSNEDKKQSRIKFDVLLTSYEMINMDSTVLKTIEWECMIVDEGHRLKNKDSKLFGQLKEYHTKHRVLLTGTPVQNNLDELFMLMHFLEGDSFGSIADLQEEFKDINQDKQVEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLTRRSGGHVSLINVVMELRKLCCHAFMTDEPEEPANSEEALRRLLESSGKMELLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAKNSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMVLEHLVVGRLTKGTNIVQEELDDIIRHGSKELFDDENDEAGKSCQIHYDDAAIDRLLDRDQADGEEPVEDEEEDEFLKGFKVANFEYIDEAKALAAKEEEARKKAEAEAANSDRANFWDKLLKDRYDVQKVEEHTTMGKGKRSRKQMAAADEDDITGLHDMSSEDDDYSYDDDVSDNDTSLQSGLAGRRGPYSKKKQRSNVDSLPFMEGEGRALRVYGFNQIQRTQFLQTLMRYGFQNYDWKEFTPRLKGKSVEEIQRYAELVMIHLLEDINDSGYYADGVPKEMRTDETLVRLANISLVEEKVAAMEQGKITKLFPSYLLYEFPSLVGGRVWKAEQDLLLLKALIKHGYARWQYISDDRDNGIFEAARQELRLPTANELISSHSNNETNGNLESTQEGQSNPTSMIHYRDTQRKIVEFIRKRYHLLERCLNLEYAVIKTKTPVPDDLAEQDFPGGHRPAVPDYSEMLRELPVLEPISKEVAPEGTTDQSQVSHLYNKMCFVLEDSAVPALHSHFGDKAASSGLANSLHKFEAVCEDVSRILRSHENGTTPKEEVMLDASSKETTSPKDPATEVPSSASKEATPPVQDPVIEAVKEEPPTVKAEDKMEIDS >OGLUM06G05600.1 pep chromosome:ALNU02000000:6:3972337:3973389:-1 gene:OGLUM06G05600 transcript:OGLUM06G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRATITRAVVLRLDDLSLPPRRLTVPSRLPVSHLVRALPQPLLESSSFYLTADGRPLPLSAPVASLPPSGSVQLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLFNKEALVEALLHKRLPKALSHIRGLKDMIPIHLHPKPDADAAGEEVRFQCPVTGLDFNGKYQFLALRKCGHVLSVKALKEVKTSACLVCHKEFDEADKMPLNGTEDEVAALRLRMEEERGKVKEKKEKKVGNGLSGSKHAAAAVMAGGAEKLENGKKGEAPSSKRFKAGDHAPAYANKEVYASIFTSSKKSDFKETYSCRSLPLGRN >OGLUM06G05610.1 pep chromosome:ALNU02000000:6:3975296:3977511:-1 gene:OGLUM06G05610 transcript:OGLUM06G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSRDTVAGGGGEGTQDDFFDQMLSTLPSAWADLGGGGGGAAGKSPWEVDPAAAAAAASQVFDESALLASRLRHHQIGGAGGGGGEKPVMLQLSELHRQAGGGEEDGSGAFSPLPLFTDRTNVPPREEMEGGFKSPNAAAGGEHALFNGFGVHGGGGGGAGQPPFGQGGSMSGQSFGGPAASGGTAPVTSSGGGGTAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGMAPLVASMSSEGNSNGSSNGGGGKASKGGTGGEGGGGGGGGGGGGTGGGMRVTEQQVAKMMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSASLLSRPSIRHAGAPPQTMLDAAGPTSPAAMSNGDDPRHAKADGGAGGTQ >OGLUM06G05620.1 pep chromosome:ALNU02000000:6:3990657:3992530:-1 gene:OGLUM06G05620 transcript:OGLUM06G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDTKDMLKNVDWKTVGGSVTTDPSQPVVKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLERTVPLSGKWANDLIATSEEFSLLLCSAAKAASQK >OGLUM06G05630.1 pep chromosome:ALNU02000000:6:3995108:3996576:-1 gene:OGLUM06G05630 transcript:OGLUM06G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALPLPPPPRLAAVSSPSPAASIRAHLARAAGAGGGVESCQSPRSLLSRILQRGGDGGGGGGGKFGCRVRLPRRYSSTSAAGAGAGAGGEAKDTASEQDAPARVKVVGRAPDLSLDTPRSSCTLDEGRNGKKKQEEEIMSMNLGLGASLVLLLSKGAVELNKMVELRAQMEALVSEIRKETQSKHKDSAAAATAAARSSSQESDGRSTTAVKDPIARAAVSDDAMSNCSGGGGGGGGRAAVVMHRMEAELQVELSRLQCGVVAAAHGEKRGAPPTMHGLEVKTTTKSNVSDSPPRSCVVDDDDDVAEGGNGGEVVEEDDDDEEDEEYDEEGEEEEEEEYGGGGGGDKSPPHGGVSARALERRLYELLQKRQQERIVELEAALDATQRRLHEKEREVVWWRDAAKLVTHRRDESRRFARS >OGLUM06G05640.1 pep chromosome:ALNU02000000:6:4003086:4007899:-1 gene:OGLUM06G05640 transcript:OGLUM06G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGMAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSGMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREVSSSDRSASLTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPVSSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRGRKRAYDSDSPKNNNQKQNKQDVVGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >OGLUM06G05640.2 pep chromosome:ALNU02000000:6:4003086:4007899:-1 gene:OGLUM06G05640 transcript:OGLUM06G05640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGMAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSGMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPVSSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTIEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVVGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >OGLUM06G05640.3 pep chromosome:ALNU02000000:6:4003086:4007899:-1 gene:OGLUM06G05640 transcript:OGLUM06G05640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVAAAAAEGVLHRRIEFHLARRSHSVVAVGGGGFRMETLNPDAGDRAAAGAAQGVGMAAGGEGEARRAEKGEVVGGGLDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHKSSCRTAGFCALCALQNHVKTALQSTGKIVTPSQIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCTQCSHCSNKFDPFLDLSLDIGKATSLVRALQNFTAEELLDGGEKQYQCQRCRKKVVAKKKFTIDKAPYVLTIHLKRFSPFNPREKIDKKVDFQPMLDLKPFISDSKGADFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLRQKAYMLFYVRDRVGNPTPRKDNITANMPAKRTIPEKISGLSGMIQSGVIEAKLNGSSSPYGDKRLHGISNGNSIKTSREHYLKKDGKTEAPKASENNGLASTQKASAPQIDGATLSAQSKQITSTGHREVSSSDRSASLTHVIVNQAVAMVPSQELQPKVDGLTDTSSLGNGNAILSERNKQTSQHQNPFSMPASHGKDTGAGLAAQTFPTKDAIVSNGVVPSSRDPVSSEKVCGLQKSIKQDDKTVKELPISENNIVSGLEQVNARKQTSSEVSMKVAAADSCNSNTPKRVDLKSKKLVRYPVMNMWLGPRQVMLGSLKVQKKKKCNRTRRRSVVCEDMANATCSGNNTIEQQASTSTTTSSETVQCTPRGRKRAYDSDSPKNNNQKQNKQDVVGADTGSGELNMDKRNVISETAASAELPKLGPGSSANQEHSRNNVHAKLGVPRHFTVLTRDLAEVTVPCWDDVAVSNAEARESKHSESKSIGYVLDEWDEEYDRGKTKKIRNSKEDYGGPNPFQEEANYISQRNMKQRTYQPKSWKKHAHVRR >OGLUM06G05650.1 pep chromosome:ALNU02000000:6:4012642:4016381:1 gene:OGLUM06G05650 transcript:OGLUM06G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFHRRPPQGLVEISDNIFVFNHCFSTDFFEEDELKPYIGGILKQLIGRYSIDSFMVFNFEGSKKDNQIACIFSDFDMSVMGYPRNYEGCPLLTMEMIHHFVRSSESWLSLGQDNFLLIHSEQGGWPVLAFALAALLLYLRRYNNERKALEMVYKQAPPGLVEHFSPLNPAPSQMRYLKYVSRRHMSPEQWPPADRMINLNCVIIRGVPNFDGKGGCRPILQIYGPDPFAPNDKSTKVLFSTPKTSDSVQLYTQEDSEIIKFNARCPVQGDVVMECISLDENFEHEVMVFRVMFNMAFIEDNLLLLDRDQIDILWDTKLRFPVDFRVEVIFSEMDTITSLNSSQLSSEDKENFSRVEDAFSHLDWSTKSDDVTTNATEQNGSNNEHDGFDAVSLQETESSNSTSEHSLLGTRSVQVIQMETEHNHSSAPTFDIVKDPVADTHSLPETYSLALKSQEHKLFEDSSPRELPKLDTTKDNANSDLPSANSRDSEAAGDAAVAEWSDTNTDTFLSDTPSSSSPSSPQKFDEDSMEAGIVEIQTQTAEPQRC >OGLUM06G05660.1 pep chromosome:ALNU02000000:6:4017446:4021346:-1 gene:OGLUM06G05660 transcript:OGLUM06G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQTDGGGDSRYVLSELPSDIVIHVEEARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVYIHDIPGGVKIFEICAKFCYGMVVTLNAYNVVAARCAAEFLGMTEDVDKSNLVFKIEVFLNSGIFRSWKDSIIALQTTDALLPWSEQLKLAARCIDSIASKATSNPCNVVWSYTYNRKSASSDEIVEARKNSQPVPKDWWVEDLCELDVDLYKRVMVAVKSRGRITSDVVGEALKAYASRWLPECFDAAAIDDDAYSMAYNHLLETIVWLLPSDKGSSCCSCRFFLKLLKVAVLIGSGEMLKEELMDRVILQLHKASVCDLLIPARPPALTTYDIQLVLTLVGRFMRRAGVTEDGIFLNNLDQEMFETNVDDESLLALSKIVDGYLAEVASDPNLSVSSFVAVATSMPDAARATHDGLYTAIDVFLKLHPNLPKAEKRKISSLMDVKKLSKEACIHAAQNDRLPLRVVVQVLFFEQLRAAAGGNNPPAAAASGGIARRLVEEEDDDDDDVGGGGGGDWSKSSALPTPTPSLLKKQLGSLKLAAAGDEGGGGDDGRRLARVSSVANQSSRLSLSSRSRRMFDRLWAGGKPPGGEVVSKSSDTSGSSQSPRSSAKPPASKSSSSSSRNRRYSVSRV >OGLUM06G05670.1 pep chromosome:ALNU02000000:6:4036346:4053696:1 gene:OGLUM06G05670 transcript:OGLUM06G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MDFVCPGDPAAWDGRRFTPRNARRTENVRMGFLEKLFVFGVPGFASCLSFLEIVMLIKNKIEGKDVANYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEMPHLQYKLTVLKVVLYFKEIISFSMAIVFGLFVVVSTVVDQPRNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLQLPVDLRAASSYEKLLSSWTVEHQHHHADSSLLRAISNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKTFMSVDSDRTINLCNSLHDAWRISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLACLLFPDKTRKYLDAWCVYFWATTPTLFSLCTFSIFAIMGHSLDAATVFTCVALFNTLISPLNSFPWVINGMIDAVISSRRLSKYLSSPEKRSSAIPASADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILENAIMGPQMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSVAFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGLESPHSGCWPTEGNIEFEHVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLLDDKFSIFSRFAKASNM >OGLUM06G05670.2 pep chromosome:ALNU02000000:6:4036525:4053696:1 gene:OGLUM06G05670 transcript:OGLUM06G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MWLRLVLPLCSSSPGGTRGELRMGFLEKLFVFGVPGFASCLSFLEIVMLIKNKIEGKDVANYESFFRSSQFLVWMVVGLVSVHGPWFVFYNPIMCFCWILKILLEMPHLQYKLTVLKVVLYFKEIISFSMAIVFGLFVVVSTVVDQPRNKREMNSIEDPLVPDDEKAEAEVTNLENNQSIWELLTFKFVNPMMDIGITRQLDFTDLLQLPVDLRAASSYEKLLSSWTVEHQHHHADSSLLRAISNAYGWTYLRLGLLKVINDSIGFVSPLLLNKFIKFLQQGSGGADGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIIYRKTFMSVDSDRTINLCNSLHDAWRISCAGELLAHIRTVKMYSWERLFTQRLVERRELEVKHLACLLFPDKTRKYLDAWCVYFWATTPTLFSLCTFSIFAIMGHSLDAATAVISSRRLSKYLSSPEKRSSAIPASADLLKHCNTETNVNAMAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILENAIMGPQMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSVAFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEYVGIPQEELHGLESPHSGCWPTEGNIEFEHVTLRYKEDLPPALNDVSFFISSGMQVGIIGRTGAGKSSILNALLRLAPICNGRILVDDFDVAKLAVRDLRGHFAVVPQSPFLFDGSLRENLDPFNRTTDLRIWEALDKCHMKTEIESIGGLDIHVKESGASFSVGQRQLLCLARAILKSSKILCLDECTANVDNQTASLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLLDDKFSIFSRFAKASNM >OGLUM06G05670.3 pep chromosome:ALNU02000000:6:4036481:4053696:1 gene:OGLUM06G05670 transcript:OGLUM06G05670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:multidrug resistance-associated protein 11 [Source:Projected from Arabidopsis thaliana (AT2G07680) TAIR;Acc:AT2G07680] MAVILRNVCCSWSSSSIVESSMILRDVSLELQKGIFVAIIGEVGCGKSSLLNSIIGEIHVTSGSITSYGSIAYVPQVPWILSGSLRDNILLGEEFDPRRYEEVIHACTLDVDISAMVGGDMSHIGEKGLNLSGGQRARLALARALYHDSDVYLFDDVLSSVDSQVASYILENAIMGPQMKRKTRILSTHNLQAISAADMIVVMANGLVKWFGTLDSFLATPYSTLSKPESSRVISSFSEKNKGVSVAHESETNGLIDNDSVVDHEEQREQNSVEARKEGMVELSVYKKYAAFAGWSVAFLICLSAFLMQASRNGNDLWLTYWVDTSTGSSRTIFYLTILAAFGALNSFFTLGRAFSFAYGGLCAAIQIHADLLNNLIGAPVSFFDQNPSGRILNRLSSDLYAIDDSLPFILNIFVANFFSLLGTLVVISYSQVSFLLILVPLWLIYRNVQFYYRSTSREVRRLDSVARSPIYSSFTETLDGSSTIRAFQKEGFFLERFIQHVTLYQKTSYCELVAGLWLSLRLQLLAGFIILFIAIMAIVGFNSKSVINFGTPGLVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVVEVKVEPELNSSVLSSFALIIQCC >OGLUM06G05680.1 pep chromosome:ALNU02000000:6:4050026:4050376:-1 gene:OGLUM06G05680 transcript:OGLUM06G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCRCLEILCAILLPPLGVCLRHGCCTMEFWISVLLTILGYLPGVLYAVYVIVSVDPDRERRRRVDPDEYIYVA >OGLUM06G05690.1 pep chromosome:ALNU02000000:6:4052262:4053431:-1 gene:OGLUM06G05690 transcript:OGLUM06G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25210) TAIR;Acc:AT3G25210] MALLAAAAAAARRFSRSLRRFSSYAASGGEEAGGVPAGVVEPESPVRAPPDEQFAAWVARLRPGFTAGDLAEAISSERDPDLALALFRWAALRPGFRHAPASYLAALTAASSGRRPAAAENLVYDVLAGACGPDLHLFNACLRFCCARRRLFPLAFDMFNKMRSLPASAACRPDVETYTLLLTAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCLEVDDALKVFREMPLYGCEANEFTYGYIVKAMFQKGRTDKGMVYFREAREKGFVPTGGVYMTAVSALAMEWRFEESRNVLIDMLDCKRKPDMITYRTLMEEMCRAGRAEDAFELLKELKERKRGPLDQRMYSELLDGLHWISQPHQDMVSPCDKRSDD >OGLUM06G05700.1 pep chromosome:ALNU02000000:6:4054463:4055791:1 gene:OGLUM06G05700 transcript:OGLUM06G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVESSMVTAGEATPEHRIWLSNLDLLVAGSHTPTVYVYRRTGPDSDAAFFSPDVLKAALSKVLVPFYPLAGRLAQDSAGRPEISCTSEGVLFVTARSDATVDDLGDLAPSDELRRMLVPAADVAAAGILAMFQVTFFRCGGVCLGAAIHHTAADGLAALDFVNTWAAIARDVAGDGEAAAAAVQRPWLDRTLLRARSPPAVRFDHAEYSRRRGGGSKLPFDSAILTLSKNQLNALKGAGAGKRLSTFTAVVAHVWRCACKARGLAVAGTEAATRLYMTADARTRLHPPLPRGYLGNAIFRASAVAKVSDIVAAGPLGAVAEKVSAATARLDDGYVRSLLDHLEQTAAASGGAAGLRKGEWVMPESDLWVISWQGLPLYDADFGWGRPAFMGRACLQFSGLVYLVPGHGGGDGRLDVVVSMEPESLVKFKDVFYEGLKC >OGLUM06G05710.1 pep chromosome:ALNU02000000:6:4062563:4063414:1 gene:OGLUM06G05710 transcript:OGLUM06G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWNSLVRNPQVPPPSIGINGSSPAAPGHRPLHRRVAIVTGGAGGIGAAVTAHLVSLGARVVVGYVGDPAPAEKLVASLNDSAPAPRAVAVAADVSDHAQVSRLFDAAREAFGPDLHVLVAAAGVQDDAYPRIADTSPEQWDRAFAVNARGTFLCCREAARRLARGGGGRVVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPVATPMFYAGKSEERVAAVAGECPMGRIGEPMDVAPVVGFLCTDAAGWINGQVIRVNGGYI >OGLUM06G05720.1 pep chromosome:ALNU02000000:6:4063624:4063824:1 gene:OGLUM06G05720 transcript:OGLUM06G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADADFGWGSPAFMGPAIMYYSGFVYVMNAPGKDGAVALALSLEPESMPEFRKVFAEEVARLGL >OGLUM06G05730.1 pep chromosome:ALNU02000000:6:4079663:4080610:1 gene:OGLUM06G05730 transcript:OGLUM06G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVLTSEVVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRRNGDDEAAFFAADAVRDGLARALVPFYPLAGRLGLAGGGEDGRVQIDCTGEGAVFVTARSGHYALDDLMNEFVPCDEMRDLFVPPTPAPNPPCALLLVQVTHLRCGGVVLGMALHHSVVDARSAAHFAETWASIVRGAPAGDAPVPPCFDHKLLAARPARAVLYDHPEYKPEPAPAAPPAHAATASTYASAIITLTKQQVGALKAEAVPHGVGREEPRLAVVPVEEHRRRVAAARGEVEVGQPDGARRRLAGGDDNLRRQHVHHRHGFFTS >OGLUM06G05740.1 pep chromosome:ALNU02000000:6:4103238:4105268:1 gene:OGLUM06G05740 transcript:OGLUM06G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03380) TAIR;Acc:AT2G03380] MHPPAAHSVEHLARASAPRDASALLLLLRLVPACGTLRSLRALHGRLLLLTSGLLRGIRARTKLLSCYAALGDLASARGVLDGTPRPDAYAYRVMLGWLVGAGSHADAVALHRDMRRRCPAAAQADVVLSLALKACVRSADFRYGRRLHCDVVKAGGVDGFVMNSLVGMYAKSGDLENARKVFDRVPERNVVSWTSMLSGSIQNGFAEEGLVLFNEMRKDNVHPSEYTMVSVLAACAMLGGLHQGRWIHGSVIKHGLSTNSFISASLLDMYAKCEKVEDARRVFDELEFVDIVLWTAMIVGYTQNKSPLDALQLFIHKKFVSIVPNSVTIATVISASAQLRHLPLGRSIHAIGVKLGTMESDVVRNALVDMYAKCQALLEANSIFGRILIKDVVAWNSMMAGYSENGMANESLVLFNRMRMQGISPDAISVVNALSAIVCLADLHIGKGFHTYAIKYAFMSNIYVNTALLNLYSKCADLPSAQRVFNDMTDRNSVTWSAMIGGYGMQGDSAGSINLFSEMLKDNIHPNEVVFTSILSACSHTGMVTAGKEYFDSMARHFNITPSMKHYACMVDVMARAGNLEEALEFIQNMPIKAGISVWGSFLHGCKLHSRLEFGEEAIKKMAALHPETPDFYVLMSNLYTSYGWWDKSQTIRRWMQEQGLVKLPGCSSVGHENG >OGLUM06G05750.1 pep chromosome:ALNU02000000:6:4106791:4109157:-1 gene:OGLUM06G05750 transcript:OGLUM06G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30700) TAIR;Acc:AT4G30700] MPPPPRRRPPSAADAAALRRPYLRLVALSSTLRHLDQILAVSLASGHYPLDPAPATSLLLRYASLRAPHGHLLRLFRGFPRPDRFLRNALLRSLPSLRPRLLFPCPDSFSFAFAATSLAASCSRGGGAASSSAARALHALAVAAGYAADTFVASALAKLYFVLSRVDHARKVFDMVPSRDTVLWNTLLAGLSGSEAVESFARMVSDGSVRPDATTLASVLPAAAEVADVTMGRCVHSFAEKCGLAEHEHVLTGLISLYSKCGDVESARCLFDMMEKPDLVAYNALISGYSVNGMVGSSVNLFTELMTLGLWPNSSTLVALIPVHSPFGHDLLAQCLHGFVLKSGFTANSPVSTAITTLYCRLNDMESARKAFDAMPEMTMESWNAMISGYAQNGLTEMAVALFEQMLVLNVRPNPITVSSTLSACAQLGALSMGKWVHRVIAEEDLEPNVYVMTALIDMYAKCGSISEARRIFNTMDNKNVVSWNAMIAGYGLHGQGAEALKLYKDMLDAHLLPTSATFLSVLYACSHGGLVEEGRKVFRSMTDDYAINPGIEHCTCMVDLLGRAGQLKEAFELISEFPKSAVGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENSGYYVLLSNLHTSKKQYCEAAVVRQEAKSRKLVKTPGYTLIEIGNKPHVFMAGDRAHPQSEAIYSYLEKLAAKMIEAGYRPETEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >OGLUM06G05760.1 pep chromosome:ALNU02000000:6:4112193:4121349:1 gene:OGLUM06G05760 transcript:OGLUM06G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSMPSLVFLYLSHNHFSSSDGNTNLVPFFSSLVNCTGLLELGVASAGVGGEIPAIIGNVSSANLSSLFLSGNEIAGKIPPAIGNLLNLTELCLFGNMLEGPIPPEILRPPRLALLDLSNNRIVGEIPRSVGESRRLETINLSQNKLQGTLPESLTNRTQLDHLVLHHNMLSGTIPPGLNCSLMLDLSYNKLTGQIPSEITVLGNFHVYLDLSNNLLDGHVPLQIGNMEMTEALDLSMNNLSGAIPATIAGCVALEYINLSGNSLQGSLPTSIGKLPNLHVLDVSSNGLTGVLPPSLRASPELRYANFSYNKFSGEVSGEGAFANLTDDSFVGNPGLCGTIAGMARCDRRRHVRRCLLLCIVIVAVAVAVVAGVSAMALTWLKKLTTTSVSPHLSSGGAMDERNSEHPRISHRELADATGGFSEASLIGKGGYGDVYRGVLHGGTVVAVKVLRAGDDVVVAGSFERECRVLRSIRHRNLIRVVTACSTPEFKAVVLPFMANGSLDGLIHPPPPPHGGKPAAKAHRRLDLELLLTIAGNVADGMAYLHHHAPFRVVHCDLKPSNVLLDDDMTAIVSDFGVSKVVAQQEAKDPDAIDDDDDDASSTPHPRSSITRLLQGSVGYIAPEYGLGRKPSTQGDVYSFGVLLMEMITGKRPTEVIAKGGHSLHEWVKRRLSSDDDVVAAVELSSSTATSVMTPRHETRVVVELLELGVACSRIVPAMRPTMDDVAQEIARLKDGAWRKCCCEDDNDHS >OGLUM06G05760.2 pep chromosome:ALNU02000000:6:4117433:4121349:1 gene:OGLUM06G05760 transcript:OGLUM06G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHILVTPLTMPRFLAILTAIAVVAISGHAPPAASTAAADRVALLSFSSGVHGNLSDWGSPAAAMCNWTGVRCDNRSWRVTGLLLSNSNLAGVISPAIANLSMLERLYLDGGVPPELGALPRLRELSLHYNLLGGRIPEALGRLTSVTYLTLDGNGLAGDIPEARQLPHRRHPLRPRCRGLPALRQLSLFGNALSGVIPPALSNCTALRWLLLQDNSLSGELPPEMFGSMPSLVFLYLSHNHFSSSDGNTNLVPFFSSLVNCTGLLELGVASAGVGGEIPAIIGNVSSANLSSLFLSGNEIAGKIPPAIGNLLNLTELCLFGNMLEGPIPPEILRPPRLALLDLSNNRIVGEIPRSVGESRRLETINLSQNKLQGTLPESLTNRTQLDHLVLHHNMLSGTIPPGLNCSLMLDLSYNKLTGQIPSEITVLGNFHVYLDLSNNLLDGHVPLQIGNMEMTEALDLSMNNLSGAIPATIAGCVALEYINLSGNSLQGSLPTSIGKLPNLHVLDVSSNGLTGVLPPSLRASPELRYANFSYNKFSGEVSGEGAFANLTDDSFVGNPGLCGTIAGMARCDRRRHVRRCLLLCIVIVAVAVAVVAGVSAMALTWLKKLTTTSVSPHLSSGGAMDERNSEHPRISHRELADATGGFSEASLIGKGGYGDVYRGVLHGGTVVAVKVLRAGDDVVVAGSFERECRVLRSIRHRNLIRVVTACSTPEFKAVVLPFMANGSLDGLIHPPPPPHGGKPAAKAHRRLDLELLLTIAGNVADGMAYLHHHAPFRVVHCDLKPSNVLLDDDMTAIVSDFGVSKVVAQQEAKDPDAIDDDDDDASSTPHPRSSITRLLQGSVGYIAPEYGLGRKPSTQGDVYSFGVLLMEMITGKRPTEVIAKGGHSLHEWVKRRLSSDDDVVAAVELSSSTATSVMTPRHETRVVVELLELGVACSRIVPAMRPTMDDVAQEIARLKDGAWRKCCCEDDNDHS >OGLUM06G05770.1 pep chromosome:ALNU02000000:6:4122118:4125441:-1 gene:OGLUM06G05770 transcript:OGLUM06G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPISVFVFVFIFIFFLLDGTIPTLGSNDHSALMSFKSGVSNDPNGALTNWGSPNVCNWTGVSCDASRRRVVKLMLRDQKLSGEVSPALGNLSHLNILNLSGNLFAGRVPLELGNLFRLTLLDISSNTFVGRVPAELGNLSSLNTLDLSRNLFTGEVPPELGDLSKLQQLSLGNNLLEGKIPVELTRMSNLSYLNLGENNLSGRIPPAIFCNFSSLQYIDLSSNSLDGEIPTDCPLPNLMFLVLWANNLVGEIPRSLSNSTKLKWLLLESNYLSGELPADMFGGMRNLELLYLSFNYLKSPENNTNLEPFFASLTNCTRLKELGVAGNELAGVIPPIAGRLGPGLTQLHLEYNSIFGAIPANLSNLTNLTALNLSHNLINGSIPPAAIAGMRRLERLYLSDNMLSGEIPPSLGEVPRLGLVDLSRNRLAGGIPAAALSNLTQLRWLVLHHNHLAGVIPPGIAQCVNLQNLDLSHNMLRGKIPDDLSELSGLLYLNLSSNLLEGMIPATIGRMAMLQVLNLSSNRLSGDIPPQIGGCVALEYVNVSGNALEGGLPDAVAALPFLQVLDVSYNGLSGALPPSLGAAASLRRVNFSYNGFSGEVPGDGAFASFPDDAFLGDDGLCGVRPGMARCGGRRGEKRRVLHDRRVLLPIVVTVVGFTLAILGVVACRAAVRAEVVRRDARRSMLLAGSAGDEPGERDHPRISHRELAEATGGFEQASLIGAGRFGRVYEGTLRDGTRVAVKVLDPKSGGEVSRSFKRECEVLRRTRHRNLVRVVTTCSQPDFHALVLPLMRNGSLEGRLYPRDGRAGRGLGLAQLVAVAADVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAKLVKNADGDVTTNSGSIAAASSDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVARSWLTDAAAVAAAAADGAAVGYDVVAELIDVGLACTQHSPPARPTMVEVCHEIALLKEDLAKHGHGGSGGDVVAAATVMAAAAPPSVAMTASERSCSTSDSSLSY >OGLUM06G05780.1 pep chromosome:ALNU02000000:6:4129936:4133379:-1 gene:OGLUM06G05780 transcript:OGLUM06G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLIPIIICYLVPIAVAVDAPPPVMAAAAVADDRSALLAFLSNVSADSGGVALADWGRSPEFCNWTGVVCGGGERRRVTQLVLAGRGLRGVVSPALGRLEFVTVLDLSNNGYSGEIPAELASLSRLTQLSLTGNRLEGAIPAGIGLLRRLYFLDLSGNRLSGGIPATLFCNCTALQYVDLANNSLAGDIPYSGECRLPSLRYLLLWSNDLSGPIPPALSNSSLLEWVDFESNYLAGELPPQVFDRLPRLQYLYLSYNNLSSHGGNTDLAPFFRSLTNCTRLQELELAGNDLGGELPAFVGELSREFRQIHLEDNAITGAIPPSIAGLVNLTYLNLSNNMLNGSIPPEMSRMRRLERLYLSNNLLAGEIPRSIGEMPHLGLVDLSGNRLAGTIPDTFSNLTQLRRLMLHHNHLSGDVPASLGDCLNLEILDLSYNGLQGRIPPRVAAMSGLKLYLNLSNNHLEGPLPLELGKMDMVLALDLSENALAGAVPAQLGGCVALEYLNLSGNALRGALPAPVAALPFLQVLDVSRNRLSGELPVSSLQASTSLRDANFSCNNFSGAVPRGAGVLANLSAAAFRGNPGLCGYVPGIAACGAATARRARHRRAVLPAVVSIVAAVCAMLCAVVCRSMAAARAKRQSVRLVDVEDYQAAAEREHPRISYRELAEATGGFVQSSLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTATFHALVLPLMPHGSLEGHLYPPERGGAGGGDGLDFGRLMSVVSDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMRAVISDFGIAKLISAAAAAVGDGGASSTSDESAPCNSITGLLQGSVGYIAPEYGLGGHPSRQGDVYSFGVMILELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVVAHAPWRREAPSPAAADVAAVELIELGLVCTQHSPALRPSMVDVCHEITLLNEAIRRHAAAADEDDDDDDGRSLSTTKDDSLFSN >OGLUM06G05790.1 pep chromosome:ALNU02000000:6:4138080:4143929:-1 gene:OGLUM06G05790 transcript:OGLUM06G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 29 [Source:Projected from Arabidopsis thaliana (AT4G30810) TAIR;Acc:AT4G30810] MTTTTTTSAIGSLLVLLLVVVVGGVCSASVGGGGGGGEAWRAEQERDRVARVPGQDFDVGFAQYAGYVAVSEERGASLFYWFFEAADDPASKPLVLWLNGGPGCSSIAYGVAEEVGPFHVNADGQGVHLNPYSWNQVANILFLDSPVGVGYSYSNASDDILNNGDARTANDSLTFLTKWIERFPQYKGREFYVTGESYAGHYVPQLAQAIKRHHEATGDKSINLKGYMVGNALFDDFHDHLGIFQFMWTNGLISDQTYRLLNVFCDYESFVHTSSQCNKILDIASDEAGNIDSYSIFTPTCHASFASSRNKVMKRLHSVGKMEERYDPCTEKHSTVYFNLAEVQKALHVSPIINKSKWETCSDVVNTNWKDCERSVLHIYHELIQYGLRIWVFSGDTDAVLPVTSTRYSINALKLPTVTPWNAWYDDDGEVGGWTQGYKGLNFVTVRGAGHEVPLHRPKQALILIKSFLAGSPMPSVQDFYSDV >OGLUM06G05800.1 pep chromosome:ALNU02000000:6:4153188:4167285:1 gene:OGLUM06G05800 transcript:OGLUM06G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLAQQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSEFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEVREQGAIDDRDTLLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESALPADRKRCINELVSSDLSKPTHILGLVNRTVVSHVVKAVRHPNSWQSIGSMPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >OGLUM06G05800.2 pep chromosome:ALNU02000000:6:4153188:4167285:1 gene:OGLUM06G05800 transcript:OGLUM06G05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLAQQQAQFDLLAGQASTLIQGRRARVTAMSAVSGQLISLDEQLSSRNLEMNAVLGRITATTQELAHYHSGDDESIYLAYSDFHPYVVGDLACTKELNRWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNGLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKSQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSEFISHLANQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHCTSLIQAATEVREQGAIDDRDTLLHAVRDLLCVHSNVQATMPTYMSAHALVQQISALQSDLLSLQSELESALPADRKRCINELVSSDLSKPTHILGLVNRTVVSHVVKAVRHPNSWQSIGSMPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQVFVDFFSNPERLKNQVRELTSRVKALQE >OGLUM06G05810.1 pep chromosome:ALNU02000000:6:4161838:4166719:-1 gene:OGLUM06G05810 transcript:OGLUM06G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSSGKRPAEPAMAAASAAGATVKLEADEMLHGGEEDGGPLSKRAKAGVQMPAPPPPPPPPQQQDMYHNVLDEPSPLGLRLRKSPSLLDLIQMRLSQANSSAGQSSADDCSSEPPKKKDLKSGTSTAGERLKASNFPANILRIGTWEYISRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKASCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMNRRHFLQCPSSLLSKNFEKLLQCDQRLNQLSQQPDIILDSPVFEPRCSIFEDPVESKCQGFTNLKDEHELSGFSGSLSPCAGSSMSAKIEVNDSIATQAGFLLQPGNPGPSAVNVQGVSRNVNGAPELNIPSWWSQLKVPGLRPSMSVDDLVNHLGNCISEQITSVNPTLPSNEVPTKETLEEIAQYLLGDAHGPPASTSDERSLMARVDSLCCLIQKDTPPVAQPKPEPNDSDSIGGDGTEGSDEEFSSAASRVKTTGPAQPPAMSRKDSFGDLLMNLPRIASLPQFLFKIPEDSEN >OGLUM06G05820.1 pep chromosome:ALNU02000000:6:4170524:4173450:-1 gene:OGLUM06G05820 transcript:OGLUM06G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAADDLPAEEEGKGGRSSSRQEEANVLLAVDAAEGGGAWSPVGWFRMLGRELHWSFVAGVVATYGASQGLGGGVMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDRNAGLSFSEGLIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQMDNVELTSLTVDGKSSTGSLHQECKNQDVVENDDDEASLLANRS >OGLUM06G05820.2 pep chromosome:ALNU02000000:6:4170524:4173450:-1 gene:OGLUM06G05820 transcript:OGLUM06G05820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAAADDLPAEEEGKGGRSSSRQEEANVLLAVDAAEGGGAWSPVGWFRMLGRELHWSFVAGVVATYGASQGLGGGVMRVASDYYWKDVQRVQPSAAQVYQGVTSIPWMVKPLWGLLTDVLPIAGYRRRPYFVIAGFMGVVAMLVLSLHSKLHVLFALLALMAGSASVAIADVTIDACVAENSIVHPHLAADMISLNGFCASVGGLIGFSISGFLVHAIGSQINLVFLKGALGMLAIPSALVILAGMMIKDVHMPNFPYELAHMKFVEASRTMMATLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDRNAGLSFSEGLIGFIFAVGSVGSLIGVILYQNILKDHSFRSVLCLSQLLLSLSGMLDLILVLRLNLKLGIPDYYFAVIDEGVSKMINRIKWMPLLVLSSKLCPPGIEGTFYALLMSIDNVGLLSGSWAGGLILHVLNITRTEFKNLWAAILIRNAMRLLPLALLFLVPRSDQNSNLLPADLLPEDDGAQYQMDNVELTSLTVDGKSSTGSLHQECKNQDVVENDDDEASLLANRS >OGLUM06G05830.1 pep chromosome:ALNU02000000:6:4174399:4174707:1 gene:OGLUM06G05830 transcript:OGLUM06G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTESVAHFDSTLSSLVSSPQGGGDEMAAIGDLIGRLGSICNHGSASANNSCYSTPRTRARSCPRSPEPETMATRRQRRRISWSQPQRLHPCEDEEGTSRR >OGLUM06G05840.1 pep chromosome:ALNU02000000:6:4178396:4181543:-1 gene:OGLUM06G05840 transcript:OGLUM06G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A610] MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSLEARGSSEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPVSAAAAASSSAPSGVAAAGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEAEGDDAMQS >OGLUM06G05850.1 pep chromosome:ALNU02000000:6:4182701:4183021:1 gene:OGLUM06G05850 transcript:OGLUM06G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVHGPRHNDEAGMRRCGGGKGGLEEKRLATGNGAHSRLRDPFHSTSLLTMAFLLINIHREHKTLILCALSSFGDSKLLGPCRATSPPNQPRQGSSLATQEDSRR >OGLUM06G05860.1 pep chromosome:ALNU02000000:6:4183300:4193618:1 gene:OGLUM06G05860 transcript:OGLUM06G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPVAAPAVVQMDLSTPSKPTPRRNPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYCTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIAGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPSDDVTFALKESPDIPWLSKYL >OGLUM06G05860.2 pep chromosome:ALNU02000000:6:4183300:4190346:1 gene:OGLUM06G05860 transcript:OGLUM06G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSATPSRSKSGLRSSPRKPVAAPAVVQMDLSTPSKPTPRRNPKAPPVAAPMSPVTPSSVRRSSRLLETPTKVTSETPVKPTPTPKRKRAAPSPSPKTPTQSEPKRQRQRQRQRQQPKKPKKRAYYRKVVYDGGEFAAGDDVYVKRRDGAESDAEDPEAEECRVCFRAGAAVMVECDVCLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAIERPKPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGIFWAKVRWYIIPEETAAGRQPHNLRRELYCTNDLADIEMETILRHCYVMSPKEFKDASDQGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPGDEPYNAGNDYVSDSDEDSEYDEEEEPTKCSSARTHQSHALAANLRKGRTYGLQKIGIRKIPEHVRCHQKTNLEKAKATLLLATLPKSLPCRDKEMEEISAFVKDAICNDQCLGRCLYIHGVPGTGKTMSVLAVMRRLRSELDSGNLRPYSFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGGTKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTRPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYRQLQEIITSRLKGIDAFEDQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSGHTSVNRGKNVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKIILVAMVHELYRSGLGEVMFDKLAATVLSWCHVNRELLPGYDTLLKICCKLGESKIILCEEGTKHKLQKLQLNYPRNPQIFHGCPSTCEVYTCSGCTFPAFHSSTPGVGEQRSQLLALDQQSLKAI >OGLUM06G05870.1 pep chromosome:ALNU02000000:6:4194538:4196018:-1 gene:OGLUM06G05870 transcript:OGLUM06G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVDEEEAEVMYPSTPGKVKLEQRSSAAMSRQVHRCFASTGTMFLWALFLVAMTATYLSFRSLAGDAAASSSRYFPAASWGGLHWERQIRASASPRRPPGSAEGAGLSVLVTGAAGFVGAHCSLALRKRGDGVVGIDNYNSYYDPSLKKARRALLGSHGVFVVDGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPSSYVRSNVAGLVSLLESCKDADPQPAVVWASSSSVYGLNDAVPFSEAHRTDKPASLYAATKKAGEAITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRNILQGKPVTVYRGRDHVDISRDFTYIDDIVRGCLAALDTAGRSTGGGGRKRGAAPYRIFNLGNTSPVTVPALVAMLERCLMVKARRHVVEMPGNGDVPFTHANISLAREQLGYKPTTTLEMGLKKFVRWYLSYYGYNRGTHAFRNHL >OGLUM06G05880.1 pep chromosome:ALNU02000000:6:4203997:4205331:1 gene:OGLUM06G05880 transcript:OGLUM06G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSASTSPPPPPRSVLSTIEDKMSPGVLLIIAILAVVFFLFGLLNLLIQNLLRMRRARRRRRRVGDGGVGMGSPTAFQGQLQQLFHLHDAGVDQTFIDALPVFVYRAVVGAGIRKDDPFDCAVCLCEFAGDDKLRLLPTCGHAFHVPCIDAWLLSHSTCPICRGSVLAAAAAADDDDDDSSASTPVARRVLDSESLGEAFANNGGGDSEGSSPKAAAAEEEEVVEVKLGKLKCIDGNGNAGDLAVVKGTTTSNYADIGGGGRGDLGQRRCFSMGSYEYVMDEHAALRVAVRTPKRRPARSRSRRRLALSECDFAGSASKKGAWEAAVTEAASATAAARLNKDSFSVSKIWMMSATKKEDGRTAAELAGGGRRAASFRWPAMAEASKKHGGINDERRDVEAGGNGDSSLADERPSLARTALQYIVGAGAGGGGRQQNSRVGSHS >OGLUM06G05890.1 pep chromosome:ALNU02000000:6:4211133:4211556:-1 gene:OGLUM06G05890 transcript:OGLUM06G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDEPDHANYVSFGTLAHGRHGVVDSGHAFLWAIGRSGGDGKIVPQRAFVTHAGWNSVLESLAAGRPTLTWPVMAEQVENAKHVADILDAGVRVDRLLRG >OGLUM06G05900.1 pep chromosome:ALNU02000000:6:4214032:4215573:-1 gene:OGLUM06G05900 transcript:OGLUM06G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A617] MPASAAAAATRPAAEGVGGNDGATLAGRDHVVVFPLMSKGHMIPLLHFAAALAAHHGDHLRVTLVTTPANLAFARRRLPSSPSVRVVAIPFPAHPQIPPGVESTDALPSQSLFPAFLRATALLREPFAEFLASLPSPPPLVLVSDFFLGFTQRVADDAGVRRLTFNGMSPFSLALCFTLASRRPHVGVEGGAEFHVPGFPDDVRITADEVPDAVIQGGNPDDPVTQFLHDEVRDWDHRSWGVLVNSFAALDGDYAAILESFYHPGSRTWLVGPLFLAAGETPETKKEEDDDPEGCVAWLDERAARPGSVVYVSFGTQAHLPDAQLDELAHGLVDSGHAFLWAIGRSGGEWSPPVDAGGDGKIVRGWVPQRRVLSHPAVGAFVTHAGWNSVLESLAAGRPMLAWPVMAEQAANAKLVADIIGAGVRVVHGGGVVVGRAEVAGKVGRLMDSGEEGRAIRARAEEIREAARAAVGEGGASREALWRLVDELRSSYVVAGDGTAAAASSENGGRGKC >OGLUM06G05910.1 pep chromosome:ALNU02000000:6:4222333:4226132:1 gene:OGLUM06G05910 transcript:OGLUM06G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTYIISSHLFPVFSNSPSRDLAFSPTHHSTPLLSVRRRREVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYRRSRSIGLHCLNDSADYGYQLYLRGCVLRSSFYVRPRLLHANVIQPH >OGLUM06G05910.2 pep chromosome:ALNU02000000:6:4222333:4226132:1 gene:OGLUM06G05910 transcript:OGLUM06G05910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTYIISSHLFPVFSNSPSRDLAFSPTHHSTPLLSVRRRREVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYRRSRSIGLHCLNDSADYGYQLYLRGCVLRSSFYVRPRLLHANPEVLLSMIFLLHSLSLTQPTLRAAV >OGLUM06G05910.3 pep chromosome:ALNU02000000:6:4222333:4225164:1 gene:OGLUM06G05910 transcript:OGLUM06G05910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRAQRPRPKPRAVSLHKPNPTYIISSHLFPVFSNSPSRDLAFSPTHHSTPLLSVRRRREVAMARVYVGNLDPRVTARELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRDIDGKNGWRVELSRNASSGRGGRDRYGSSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSYSPAGRSPRRRSVSPARARSYSRSPQYNRGRDESPAYDNGYEQCPTPKIIINSGL >OGLUM06G05920.1 pep chromosome:ALNU02000000:6:4228581:4233902:1 gene:OGLUM06G05920 transcript:OGLUM06G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRRVKDEMELRKQRTVRFHEERAKPTIPTHQKQAGLATSKLGLGILEKNKIFLAGNELWYKKIIDPSSDFILTWNYVLRIACFVALFMDPLYFYVPKIYYGTPNSCIGRDTRLAIIVTVFRSITDLFYVLQITIKFRTAYINPSSTLGVFGRGDLVKDPGNIAKQYLRSSFVVDLVASLPLPQIIIWSVIPSVKYSLSEHDDDILLLIALFQYVLRLYLVFSLNSKIVEVTGAFSKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQTACWEKYCSKEAGCQNRYLACDAQPNSNWKINTTIFNSCDASNKKIDFDFGMFTPLLSNQAPDQGFLKKFFYCLWWGLQNLSCYGQTLTVSTYIGETLYAIFLAVLGLILFAHLIGNVQTYLQSITARVEEWRIKQRDTEEWMRHRQLPQKLRERVRRFVHYKWLATRGVDEESILKALPADLRRDIKRHLCLYLVRRMDGQLLDAICERLVSSLSTVGTYIVREGDPVTEMLFIIRGKLESSTTDGGRTGFFNSITLKTGDFCGEELLGWALVPKPTVNLPSSTRTVKTIVEVEAFALRAEDLKFVASQFRRLHSRKLQHTFRYYSHHWRTWAACFIQAAWRRYKRRRLAKDLSIRESFSSRRSFEDDGSPEHSLVLNAVRKGAHIIKELPKFRKPSEPDFSAEHDD >OGLUM06G05930.1 pep chromosome:ALNU02000000:6:4234867:4237938:-1 gene:OGLUM06G05930 transcript:OGLUM06G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylglucosamine (UAA) transporter family [Source:Projected from Arabidopsis thaliana (AT4G31600) TAIR;Acc:AT4G31600] MGAETGEPSSFLSLSAAFSYGIASMAMVFVNKAILMQYAHSMTLLTLQQIATALIIHFGQILGVSKRKDFSMATGRKLLPLSIFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLVAGCLRGKGKPPTQVSLSVICTAAGVLIAALGDFSFDLYGYSMALTSVFFQTMYLILVEKSGAEDGLSSVELMFYNSVLSLPFLFFIIIATGEFPYSLSVLSEKTASLTFSAILLVSLVMGIVLNFTMFWCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHTLNVTGLVINTFGGVWYSYAKYMQKRKMPKRIAPDVEAHPHK >OGLUM06G05940.1 pep chromosome:ALNU02000000:6:4240313:4242195:-1 gene:OGLUM06G05940 transcript:OGLUM06G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELVGLAVTNGTKKLLNPKTQRRNSIESKDKCSKSSCGSGSEKSNKTLRIAVPRKYGFQNFLNITDLPNGKIIATGFSIEVFENAMKKLDHPPCYMFCLFEGSYDDLVDSVSSGMFNATVGDVSITAERERLVDFTMPYTQSVVILVQSYTASLSSMLTTSRLWPSVVDLDQLRHNNDYVGYQNKSFVHSLLNQTFKEDRLRPYANGKEYAEALRRGKVSAIVDEIPYIRSFMSDQNNSNEFWVFPQTYNILGFAFGFPIGSPLVHNLSVAILDMTRITNKTDSHLTDDHGNHSTPLTLENFSGLFVIVGSVSTLMLLISIVRLVVSRCTETANTNAPSIDDDNGDEESNPQQNDTEEPLLEARDNDYRSADQNGSFAADQEPSEMQSGTSNGHVPAQAQHIQIEMMSPA >OGLUM06G05940.2 pep chromosome:ALNU02000000:6:4240313:4242195:-1 gene:OGLUM06G05940 transcript:OGLUM06G05940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELVGLAVTNGTKKLLNPKTQRRNSIESKDKCSKSSCGSGSEKSNKTLRIAVPRKYGFQNFLNITDLPNGKIIATGFSIEVFENAMKKLDHPPCYMFCLFEGSYDDLVDSVSSGMFNATVGDVSITAERERLVDFTMPYTQSGLSILVLAEKFSKPRIQWIFLKPLTWQLWLSAVSSFLYIAFVVWMIERPRNQEYQGSSSRQISTSLYFAFSTMTFSHVVILVQSYTASLSSMLTTSRLWPSVVDLDQLRHNNDYVGYQNKSFVHSLLNQTFKEDRLRPYANGKEYAEALRRGKVSAIVDEIPYIRSFMSDQNNSNEFWVFPQTYNILGFAFGFPIGSPLVHNLSVAILDMTRITNKTDSHLTDDHGNHSTPLTLENFSGLFVIVGSVSTLMLLISIVRLVVSRCTETANTNAPSIDDDNGDEESNPQQNDTEEPLLEARDNDYRSADQNGSFAADQEPSEMQSGTSNGHVPAQAQHIQIEMMSPA >OGLUM06G05950.1 pep chromosome:ALNU02000000:6:4242329:4243724:-1 gene:OGLUM06G05950 transcript:OGLUM06G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRAPSLPPPPPPQGPGAALVGIVLRLAAPAVSSLASAAPKSLSPPTDLNPDPMGCAAKYLTKNDMAFVISREPQRLNKEVNFAAFLDNPNIDDVHNQSILLWSYNVIPATATSTEICRLFSSVVQTIERELGQGGVLVARRTHADSADTISFNQLA >OGLUM06G05960.1 pep chromosome:ALNU02000000:6:4246074:4246346:-1 gene:OGLUM06G05960 transcript:OGLUM06G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTHIISRFTPLARCCLLLVLLLAPSSSSSSSRNAAPVMVGVVVDLQSEAGRKSRTCIDMALEDPRMSSTTLHVWDSRGELTEAAHAGK >OGLUM06G05980.1 pep chromosome:ALNU02000000:6:4257913:4267289:-1 gene:OGLUM06G05980 transcript:OGLUM06G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQILSDRTGLYGKPEPGPSFLALIGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMAKTMAECAREVIRAWEARAAAGERRVQVEVGQKFQELTADVISHTAFGSSYREGKEVFVAQRELQSIAFSTIYSIRFPGSECIATKTNLRRRHLAKKVQAIIVTTEAHTAVVARLRRRHRVPIPAFPISGGAPPPSSHHPPHHAAATAPPPFADHTSTKAVLTGILTAIFSSARRAAGSPPHGRRYGAGAPRTTGRRLDRRRLAGRRSSSSSSGEVLRIAVPRKTGFQAFVDVRIDPDTKRQNITGYCIDVFNAAMARVRPRRKYVFHAFDGSYDDLVRNVSSGKFSAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKKLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRFYKTPGFGFVFPLGSPLVHDLSTAILNLTGGTEGSKIEEKWFGSSEQSTGGDANPSSSSSSSSDSNPLTLQSFSGLFIISGCISALMLLISVANRVICAKCAKEARVHDVEHGGSTSISSTEQSRPLQIVIDSNPEPDQVVQEDGNDGFQGVQPMQGSVGDERPNPVQNCRHNGTVPEHDAQMEMNTG >OGLUM06G05990.1 pep chromosome:ALNU02000000:6:4267293:4301931:-1 gene:OGLUM06G05990 transcript:OGLUM06G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGCSLPRFATDASHIWRRGAAVADGAFSRSESEEEWKRIAADGNKIPLMWAPPVILSPPLFPLSLPHHPDLWRCCSTSGQHQKQPATDSPKTLTPAGSIRRRFHYSVEWRRMEARKDFAQWKSFLDLESEIRVPHINHINQTIMWYRLQGRNPLSVTKGSKWQHKPSSPEQPQKLKHLALDHYLAKGTCISLQAWRSLSHRRGRPSPSPSPPRSFWTSGGLDVAVDGAGAAGVEAVRRGEGVRPAGDPRAGVPALRRQRRRGERDARGDVLDLRCHDIVPRVLPHYRAWMSRYGKVFVSWSGATPALCVGD >OGLUM06G06000.1 pep chromosome:ALNU02000000:6:4276560:4278485:1 gene:OGLUM06G06000 transcript:OGLUM06G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRQIGRMITSRSICPPRLVLVIVRPASSSTSPDADGAASAAASPDADSSTDEPDDQHCYAIGAFGRIRFPRTSFIPMQEFLSKHPPLEPRAWMPVSAAYKDGNVGIVAGINVIGFLQLKIQKKSELSLPMPSERYPVTYEGFSNFMFDQIVEAQGLKVAARLPGGLQGVRAYAADPSVDPRLIIYHPEFMPLHLISRLYQEVFRILRRELPALGEHAVAHATMVEIDTNLGGNVQKLCQNDKVLSTWLGVHAPKGSGLLGLGHSLSSVPAASIKGSLTQSEWNKLKSLLKKCYLSHGLLMRQPPVPVASAVSGAGQLPPPAQTALSFVTKPPLTPASSTSGHVLAPLPQSRPSSAQVMPTIHSAILAHGQQVVHSTSPGPGSFSTVQNLPKNPQEAIVIDIHRHASVHLIEEGTIRTLMQLLPNSAASFKARPINWKDGTQLLLHKVDKYPHISCNAFMKNQLWERLGLVDIFEIPKVLCIPCDG >OGLUM06G06010.1 pep chromosome:ALNU02000000:6:4302019:4304344:-1 gene:OGLUM06G06010 transcript:OGLUM06G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAARWIYMALIDAVGRLMALGSDDSPSRWTDRHRIRRARQIQKDGDACVGNVGGGRDASAASGAIGMGGGGCEDVVGGGWRERREERWTSTAFQGGSDEVRVAMTAEGSDARPDADVVADCVHVRTPLAMASSIWRIKGHGRMRD >OGLUM06G06020.1 pep chromosome:ALNU02000000:6:4304355:4316667:-1 gene:OGLUM06G06020 transcript:OGLUM06G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDETTSGSKPPVPAPTTIRLVNFISEDQVDEAKWTRGQRAGDDTTQSQSEKPLFQYHRCPFCDGCWDHKELPPQSPLTTLSTSELGRPVLMTGQLGLRCTPDQAPPASAHCGRESPLGIIGRVSHAAGSKAPVGE >OGLUM06G06030.1 pep chromosome:ALNU02000000:6:4328698:4329292:1 gene:OGLUM06G06030 transcript:OGLUM06G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDRRYDSFKTWSGKLERQLTHLASAGDGVIGGAGASVGQNVAVPALFPVSAFGICLGMSSQEILWKTVATSTPMRFLHVTTKVNRVLWCAFCRAHVRHRGHLRLQGGLLLQGHPPMARSY >OGLUM06G06040.1 pep chromosome:ALNU02000000:6:4334974:4336606:-1 gene:OGLUM06G06040 transcript:OGLUM06G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTSPPVPLPLPPEGWDSGTEPWLPSPACTCASHLLIWSEMTLAAAISMHGVSDSFWSSSS >OGLUM06G06050.1 pep chromosome:ALNU02000000:6:4337799:4349396:1 gene:OGLUM06G06050 transcript:OGLUM06G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLVVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGNGNGLKKMMEKICLPSPIFNLGAPGERIHMFPALERRAFSLDQSGRGLLLEADTSRLVVMPNLHKPKLEPIALYIPGAEIDLDDLDGGGGGTLFIMDRIAKPQEADYLFEALVYRMFCSSYLSKSWDCQLLPPPPPYVVKCGVDFLKIISYGLVKGGSEICISIDGVGTYCFDTVKHTWIEVGKWMLPFQGKFEYVHELKLWFGFTPNDGHFAVADLSAMDEYLQPQIRHCWNELDESLIQGWKQIRDPQLVNLGSAKFCIARFFHTGDFGDGSSGQNVSVLTGVEFTHANVDHENIGLIKHKSRCHKSSCGEETITAGLSLRVTLHSGDPDILFTDCSSGPKSLRCIDLTLARYKLFNTTTPAALTLNGKISESERPQDSTPWAGADNNEKEAAATLKIRTIQLPTPIMNFRSSAEYLSWYINCIPLAGRKVLCTDQSGRACLFDADTCKVDTLPSLHKPKSTLTSFTKSWQCQRLPPPPFVCDPKYKHASPHKITSYAVVDGGSHICISVDGAGTYCLDTVKHTWIQIGEWTLPFIGKVEYVPELKLWFGICANDWKQFGAADLSTILSTMDSQPQLVGSWKELEAPQEWTEMQHPHLVNLGSGRFCVARFYHSWTPTAGLFGSDLGQQQAERRRRRESGVSATLHD >OGLUM06G06050.2 pep chromosome:ALNU02000000:6:4337799:4349396:1 gene:OGLUM06G06050 transcript:OGLUM06G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLVVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGNGNGLKKMMEKICLPSPIFNLGAPGERIHMFPALERRAFSLDQSGRGLLLEADTSRLVVMPNLHKPKLEPIALYIPGAEIDLDDLDGGGGGTLFIMDRIAKPQEADYLFEALVYRMFCSSYLSKSWDCQLLPPPPPYVVKCGVDFLKIISYGLVKGGSEICISIDGVGTYCFDTVKHTWIEVGKWMLPFQGKFEYVHELKLWFGFTPNDGHFAVADLSAMDEYLQPQIRHCWNELDESLIQGWKQIRDPQLVNLGSAKFCIARFFHTGDFGDGSSGQNVSVLTGVEFTHANVDHENIGLIKHKSRCHKSSCGEETITAGLSLRVTLHSGDPDILFTDCSSGPKSLRCIDLTLARYKLFNTTTPAALTLNGKISESERPQDSTPWAGADNNEKEAAATLKIRTIQLPTPIMNFRSSAEYLSWYINCIPLAGRKVLCTDQSGRACLFDADTCKVDTLPSLHKPKCLPYSIFIPSADDKDDHDDNSNGGGSVYIMDTCLNHIPRDNIQLSSQFEAFVYRRSTLTSFTKSWQCQRLPPPPFVCDPKYKHASPHKITSYAVVDGGSHICISVDGAGTYCLDTVKHTWIQIGEWTLPFIGKVEYVPELKLWFGICANDWKQFGAADLSTILSTMDSQPQLVGSWKELEAPQEWTEMQHPHLVNLGSGRFCVARFYHSWTPTAGLFGSDLGELFFTVLTGTDVVQCVVHDGNGTGNASSNNSCNNPYGSNGKVELRMIKHNSKCHMSYGTDGQQQAERRRRRESGVSATLHD >OGLUM06G06050.3 pep chromosome:ALNU02000000:6:4337799:4345140:1 gene:OGLUM06G06050 transcript:OGLUM06G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLVVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGNGNGLKKMMEKICLPSPIFNLGAPGERIHMFPALERRAFSLDQSGRGLLLEADTSRLVVMPNLHKPKLEPIALYIPGAEIDLDDLDGGGGGTLFIMDRIAKPQEADYLFEALVYRMFCSSYLSKSWDCQLLPPPPPYVVKCGVDFLKIISYGLVKGGSEICISIDGVGTYCFDTVKHTWIEVGKWMLPFQGKFEYVHELKLWFGFTPNDGHFAVADLSAMDEYLQPQIRHCWNELDESLIQGWKQIRDPQLVNLGSAKFCIARFFHTGDFGDGSSGQNVSVLTGVEFTHANVDHENIGLIKHKSRCHKSSCGEETITAGLSLRVTLHSGDPDILFTDCSSGHRRD >OGLUM06G06050.4 pep chromosome:ALNU02000000:6:4345195:4349396:1 gene:OGLUM06G06050 transcript:OGLUM06G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFLNLIVDNRIPGAKSLRCIDLTLARYKLFNTTTPAALTLNGKISESERPQDSTPWAGADNNEKEAAATLKIRTIQLPTPIMNFRSSAEYLSWYINCIPLAGRKVLCTDQSGRACLFDADTCKVDTLPSLHKPKCLPYSIFIPSADDKDDHDDNSNGGGSVYIMDTCLNHIPRDNIQLSSQFEAFVYRRSTLTSFTKSWQCQRLPPPPFVCDPKYKHASPHKITSYAVVDGGSHICISVDGAGTYCLDTVKHTWIQIGEWTLPFIGKVEYVPELKLWFGICANDWKQFGAADLSTILSTMDSQPQLVGSWKELEAPQEWTEMQHPHLVNLGSGRFCVARFYHSWTPTAGLFGSDLGELFFTVLTGTDVVQCVVHDGNGTGNASSNNSCNNPYGSNGKVELRMIKHNSKCHMSYGTDGQQQAERRRRRESGVSATLHD >OGLUM06G06060.1 pep chromosome:ALNU02000000:6:4367288:4379553:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRLAMAAAFVILLTVRSSPAMAMAAAATELKLVKMPLNPIPKFLIPWSTLIPALPAATMAAGGSGSGGEQEHGGREAREHVRGPSSAVAEGRRLARGAGSEGGTTTRHTYMPLPPPSRPCAVPYLALWYETERINAGVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVSDQGVTGYCIDLFEAAVNRLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIIFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVSYTASFSSILTVKRFQPSVTDLDQLLNNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKSGGVSAIVDEIPYLTAIVSDPRYQKEFQMLKRIYKTPGFGFVSLLLYCFDEFTHHPLRVFPPGFPLVHNLSTAMFSMSILANYTQIRDSDVQSPDVGGRNDAHEESNQAQNSMGGFVADIHLHEV >OGLUM06G06060.2 pep chromosome:ALNU02000000:6:4359602:4379553:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRLAMAAAFVILLTVRSSPAMAMAAAATELKLVKMPLNPIPKFLIPWSTLIPALPAATMAAGGSGSGGEQEHGGREAREHVRGPSSAVAEGRRLARGAGSEGGTTTRHTYMPLPPPSRPCAVPYLALWYETERINAGVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVSDQGVTGYCIDLFEAAVNRLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIIFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLNNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKSGGVSAIVDEIPYLTAIVSDPRYQKEFQMLKRIYKTPGFGFVSLLLYCFDEFTHHPLRVFPPGFPLVHNLSTAIGDEGSRMEVKWFGAEAVSPSNAIPNTDSTPLTLQSFSGLFIIYEFMSALMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADRPLREIRIDDSSQDIHGSVERADGEEPRPIQNGSVPANSTQTV >OGLUM06G06060.3 pep chromosome:ALNU02000000:6:4358481:4379553:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRLAMAAAFVILLTVRSSPAMAMAAAATEVHSLGLNRNETERINAGVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVSDQGVTGYCIDLFEAAVNRLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIIFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLNNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKSGGVSAIVDEIPYLTAIVSDPRYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDVTSGDEGSRMEVKWFGAEAVSPSNAIPNTDSTPLTLQSFSGLFIIYEFMSALMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADRPLREIRIDDSSQDIHGSVERADGEEPRPIQNGSVPANSTQTIVS >OGLUM06G06060.4 pep chromosome:ALNU02000000:6:4367186:4379553:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRLAMAAAFVILLTVRSSPAMAMAAAATELKLVKMPLNPIPKFLIPWSTLIPALPAATMAAGGSGSGGEQEHGGREAREHVRGPSSAVAEGRRLARGAGSEGGTTTRHTYMPLPPPSRPCAVPYLALWYETERINAGVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVSDQGVTGYCIDLFEAAVNRLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIIFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLNNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKSGGVSAIVDEIPYLTAIVSDPRYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMLDMWVVETMHMKNLIKHRTAWVALWLIYTSMKFRIDSSEDIHGSVERADGEEPRPIQNGSVPANST >OGLUM06G06060.5 pep chromosome:ALNU02000000:6:4367288:4379553:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHCRLAMAAAFVILLTVRSSPAMAMAAAATELKLVKMPLNPIPKFLIPWSTLIPALPAATMAAGGSGSGGEQEHGGREAREHVRGPSSAVAEGRRLARGAGSEGGTTTRHTYMPLPPPSRPCAVPYLALWYETERINAGVRRNLGGLPEVYHKKLKIAVPLKHGFRAFVNVSDQGVTGYCIDLFEAAVNRLPYRLIYEFVVFDRSYDELVQSVSSGINDAAVGDITIIADRASHVEFTMPYTESGVSMLVLAENESESKIEWVFLKPLTKELWFATVIIFLFTALVIWIIEHPRNMEYQGSNTRQLSTALYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLVLVQSYTASFSSILTVKRFQPSVTDLDQLLNNGDYVGYQEGSFVNSFLTRRGFSERRLRSYTKKQEYAEALRKGSKSGGVSAIVDEIPYLTAIVSDPRYQKEFQMLKRIYKTPGFGFVFPPGFPLVHNLSTAMFSMSILANYTQIRDSDVQSPDVGGRNDAHEESNQAQNSMGGFVADIHLHEV >OGLUM06G06060.6 pep chromosome:ALNU02000000:6:4359602:4367264:-1 gene:OGLUM06G06060 transcript:OGLUM06G06060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNVLMAKSLDQFRMALCLQIPPRQYELHAAVATASHGQIRRVAAGSGLPSCTAAAATIIINDAAVGDITITADRASQVEFTMPYTESGVSMLVLAENESESTIKWVFLKPLTKELWFATMIFFLFTALVISMIERPRNMEFQGSRTRQFCTALYFAFSTLTFSHGQIIKSPLSKIVVSYGASLASILTVKRFQPSVTDLDQLLSNGDYVGYQEGSFVHSFLTRRGFSEGRLRSYSKKQEYAESLRKGSKNGGVSAIVDEIPFLTAIVSDPHYENEFQMLKRFPLVHNLSTAMLDVTSGDEGSRMEVKWFGAEAVSPSNAIPNTDSTPLTLQSFSGLFIIYEFMSALMLMISISMSVLAQYTKIRVSDVQSPGVDDGNGAHEGSNQAQNSMGNGFVADRPLREIRIDDSSQDIHGSVERADGEEPRPIQNGSVPANSTQTV >OGLUM06G06070.1 pep chromosome:ALNU02000000:6:4381515:4385765:-1 gene:OGLUM06G06070 transcript:OGLUM06G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQRLYTAYRRRCLPRGAPPPRRCRRNCSTPRAPLASDRADVIADDGGVPCREDPVAAETEHIKAVLLNDQENSEATLLELLQHLRQLELTVDTLTVTEIGKAVSSYRKHNSKKIRHLVQLLIEPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISPSKKYPTKVLFAS >OGLUM06G06070.2 pep chromosome:ALNU02000000:6:4381515:4385765:-1 gene:OGLUM06G06070 transcript:OGLUM06G06070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQRLYTAYRRRCLPRGAPPPRRCRRNCSTPRAPLASDRADVIADDGGVPCREDPVAAETEHIKAVLLNDQENSEATLLELLQHLRQLELTVDTLTVTEIGKAVSSYRKHNSKKIRHLVQLLIEPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSVMNRSTLLHIHCKKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISPSKKYPTKVLFAS >OGLUM06G06070.3 pep chromosome:ALNU02000000:6:4381515:4385352:-1 gene:OGLUM06G06070 transcript:OGLUM06G06070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSEATLLELLQHLRQLELTVDTLTVTEIGKAVSSYRKHNSKKIRHLVQLLIEPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISPSKKYPTKVLFAS >OGLUM06G06070.4 pep chromosome:ALNU02000000:6:4381515:4385352:-1 gene:OGLUM06G06070 transcript:OGLUM06G06070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSEATLLELLQHLRQLELTVDTLTVTEIGKAVSSYRKHNSKKIRHLVQLLIEPAISLLSTTNCFSPKHRWPEDVAWHPDGELIFAMYSADNGDSQVSVMNRSTLLHIHCKKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAAGRAGSKISPSKKYPTKVLFAS >OGLUM06G06070.5 pep chromosome:ALNU02000000:6:4385365:4385765:-1 gene:OGLUM06G06070 transcript:OGLUM06G06070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLGFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQRLYTAYRRRCLPRGAPPPRRCRRNCSTPRAPLASDRADVIADDGGVPCREDPVAAETEHIKAVLLNDQENVILLSFSLLHAASASAAY >OGLUM06G06080.1 pep chromosome:ALNU02000000:6:4388550:4402352:-1 gene:OGLUM06G06080 transcript:OGLUM06G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLFGSRREAASSAATCSRRRSLNVVFFFFFPLLIVAAAAGNTTAAARVAVDVGVILDLATALGKKSMLSMEMALEDVYAAHPEFATRVALRARDSRGDVVAAASAAIDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKAYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPLGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSMNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVSYTASLSSMLTAERLQPSVTDLKQLLANGDSVGYQNGSFVHSILKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYRREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVRWLAMAAAAVVAVLLAVWSSPAAAAAAAGGDVSVALEAYRLDPNGSGSRTGAITAAHGDDRWPVAATTSSAFTPSGDAAACAGALQWRRAAAAAPPYQASQSQGHGPIHPETKRINAGVRRNLGALPRGYEKELKIAVPWKPGFKAFLNVTDGSVGGYCIDVFEAAVKKLPHHLSYNFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTIHADFTMPYTESGVSMLVLTENDSKSAIEWVFLKPLTRELWFATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHGHIIKSPLSKIVVSYTASLSSILTAKKLRPSVTDLEQILFDGDYVGYQQGSFVESFLIKQGFSKRRLRPYKKKQEYAEALRKGSMNGGVSAIVDEIPYLTSFLSDRRYEKEFQMLSRIYKTPGFGFVFPPGFPLVHNLSTAILDVTGGDEGSRMEAKWFGTTAFLPITPELEILKCEVPMRMVEMEVAKNVTQHKTSWVMAMWMIDLTMKSELTVPRISMGGSNVLTAKSLDQFRMALCLQIPARPDEY >OGLUM06G06080.2 pep chromosome:ALNU02000000:6:4388550:4402352:-1 gene:OGLUM06G06080 transcript:OGLUM06G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRGLFGSRREAASSAATCSRRRSLNVVFFFFFPLLIVAAAAGNTTAAARVAVDVGVILDLATALGKKSMLSMEMALEDVYAAHPEFATRVALRARDSRGDVVAAASAAIDLIRNENVAIVIGPQSTLQAEFVTYLANKTKVPVITFSATGDAVTRYHVPYFIRACSKDSYQVASIAAFVKAYEWRNVVLVYEDNNYGVGILPSITDALQGVGVNVINRSAFPAYSPNNHIDVELYKLMTMQTRVFIVHMLPARASRLFARAKALGMMTKGYVWIVTDSIGIVLDVLPQHSIESMEGIVGFRPYIADSTRITDFSSRFTTLFRTKYHPNTDIRMAKPTIFQLWAYDVAWAVATATEKVHRTRSLNPTFHPLGNIGKNLVDDLPALPAGPELLNSILQGEFDGLAGQFRLIDRHLQVPTYEIVNVIGEKTRVIRFWSPDSGLTMSMNSTTIHGDAKFSTSSSELKNIIWPGDSTTVPKGWDFPVNAKILRIGVPLRHDFKTFVNVEINPNTNRSTVSGYSIDMFEAAVKKLPYALRYEYIPYDCAGSYDQLVSQVFFKKFDAAVGDVTIIANRTRYVDFTMPYTESGVSMLVLSKSDDEPTTWIFLQPLAKDLWIATMIFIFFTGLVVWVIERPINRDFQGSKWKQCITAFYFAFSTLTFSHGQKIQSIQSKIVVSYTASLSSMLTAERLQPSVTDLKQLLANGDSVGYQNGSFVHSILKKLKFDDHKIKVYSTQEEYAKALRMGSKHGGVSAIFDEIPYLNSFCSKYRREFQMVGPIDRTSGFGFVLPKGSPLVPDLSEAILSLTEEPERLKIEKTWFMDSSLDYYGSHSKGSSRISFQSFQGLFIIVGCLLGAVLLINFSKFLYDKCKEMRGFGSDRVHRGERVVRWLAMAAAAVVAVLLAVWSSPAAAAAAAGGDVSVALEAYRLDPNGSGSRTGAITAAHGDDRWPVAATTSSAFTPSGDAAACAGALQWRRAAAAAPPYQASQSQGHGPIHPETKRINAGVRRNLGALPRGYEKELKIAVPWKPGFKAFLNVTDGSVGGYCIDVFEAAVKKLPHHLSYNFVVFNGSYDELVQRVSSGNYDAAVGDVTITAERTIHADFTMPYTESGVSMLVLTENDSKSAIEWVFLKPLTRELWFATVIFFLFTGIVIWMIERPRNLEYQGSSSRQFSTALYFSFSTLTFSHGHIIKSPLSKIVVVIWCFVVLVLVQSYTASLSSILTAKKLRPSVTDLEQILFDGDYVGYQQGSFVESFLIKQGFSKRRLRPYKKKQEYAEALRKGSMNGGVSAIVDEIPYLTSFLSDRRYEKEFQMLSRIYKTPGFGFVFPPGFPLVHNLSTAILDVTGGDEGSRMEAKWFGTTAFLPITPELEILKCEVPMRMVEMEVAKNVTQHKTSWVMAMWMIDLTMKSELTVPRISMGGSNVLTAKSLDQFRMALCLQIPARPDEY >OGLUM06G06090.1 pep chromosome:ALNU02000000:6:4417515:4424279:1 gene:OGLUM06G06090 transcript:OGLUM06G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDMPGRGARRRPDLTLPMPQRDAPTSLAVPLPLPPAATTTTSAPPAGGAMHPPASAGVAPPPPLEELERVRRVGSGAGGTVWMVRHRGTGKEYALKVLYGNHDDAVRRQIAREIAILRTAEHPAVVRCHGMYERGGELQILLEYMDGGSLDGRRIADERFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGKFPFGENLGKQGDWAALMCAICYSDPPEPPAAVSPEFRSFVGYCLQKNPAKRPSAAQLMQHPFVAGPQPQPLAAPPPSS >OGLUM06G06100.1 pep chromosome:ALNU02000000:6:4433755:4433916:1 gene:OGLUM06G06100 transcript:OGLUM06G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDLHKVWEIHALKRKPDEPAARALLDRVAKQVQPIMRRRKWRVKVLSEFS >OGLUM06G06110.1 pep chromosome:ALNU02000000:6:4455597:4456523:1 gene:OGLUM06G06110 transcript:OGLUM06G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPNPPPVRRPRWCRDLTVRTPRADVAMSLAAPPPPPPPPSLDTTVEPPLAEMELVCLVASGEAGEVWLVRHRGTRREYALKVLYERWAAAAGGDADDDDHSSLVRCHGATRRSGGGGEEHRIVLLEHMRGGSLSGRRVADKRALAGVARQALSGIAHLHRRGVVHGDIRPSNLFVDSSGRVKIAGFGADRAIDRTANGGPCRASLSPAAYMSLDHAGGGGGYASDIWSFGLTILELYTGSFPLVEQGQSIPLTCYSDGPPEAPATASPEFRSFVGCCLQMNPAKRPSAVQLMDHPFVTSSVFSQE >OGLUM06G06120.1 pep chromosome:ALNU02000000:6:4497999:4498874:-1 gene:OGLUM06G06120 transcript:OGLUM06G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSSSRSQGSASVLLQAAMQRPCPSSQAQAPALLQAAMQPPSSSLQASASALGRPHIDHRLLDLGPTALCAPHRRLAVVSVCLILNLPTPM >OGLUM06G06130.1 pep chromosome:ALNU02000000:6:4498550:4499140:1 gene:OGLUM06G06130 transcript:OGLUM06G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPAHRSREVEDEADRDDGEAAVRGAEGRRPQIEEAVVDVRAPPRTTPMPTPARKRTAAASPLGATPAPAPERKGMAAASLPGATPTPTPATERKRTTAASPRGTQSTTPARKGLAVASPPGKPLPTPGRKRNFVAGDWRGGREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >OGLUM06G06140.1 pep chromosome:ALNU02000000:6:4499999:4501855:1 gene:OGLUM06G06140 transcript:OGLUM06G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDASTGPAVIVAVAVVVVVVSTLLWTAMAQLVWTPYAVGRALGRQGVRGPAYRLLVGNIGEANAMRAAASGGVLDWRCHDVVPRVLPHYRAWMSRYGKVFVSWTGPFPALCVGDYAMAKEILADRTGLYAKPDPGASILALFGNGLAFVNGDDWARHRRVVHPAFAMDKLKMMAKTMAECARKVIQAWEARAAAAADGERMVQVEVGEQFQELTADVISHTAFGSSYRQGKEVFVAQRELQSIAMSALNSVRIPGSQYIPTKANIRRRQLAKKVRGTLMAIIRERQATAAAAKEDRGYGNDLLGLMLEANAAAGGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECCGGGGGDTEALPNGDALYKLKLVKSSTKLKAGAVIAGDEQHMTMVLYETLRLYGPVSMLVRTATADAELGGVRVPKGTMTMMPVAILHRDADVWGADAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDFLTLQPKCGLPMVLKLLDQ >OGLUM06G06150.1 pep chromosome:ALNU02000000:6:4505630:4506626:-1 gene:OGLUM06G06150 transcript:OGLUM06G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHPSTRQRELQSIAFSTINSTRFPGSQYIPTKTNLRRRHLAKKVRGTLMAIIRERQDAAAAKEDRGYGNDLLGLMLEANAAAGGGEKSMTMDEIVDECKTFFFAGHDTTSHLLTWAMFLLGTHPEWQQRLREEVLRECCGGGGGDTEALPNGDALNKLKLMTMVLYETLRLYGPVSLMARTATADAELGGVRVPKGTMTMIPMAILHRDADVWGAGAGEFDPLRFRGGVNKAAAHAGALLAFSLGQRSCIGQDFAMMEAKTTLAMILRRFAFEVSPEYVHAPLDYLTLQPQCDLACFLKLLD >OGLUM06G06160.1 pep chromosome:ALNU02000000:6:4510210:4514141:-1 gene:OGLUM06G06160 transcript:OGLUM06G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYHDGKDLDTSSYPLVAVCIDKDKNSQNALKWAIDTLVQKGQIIVLVHDASGFKQPTDPHMRDLYLPFRCFCTRKDIQCKDVLLDDHDVAKSITEFCAVAAIDKLVVGATARGGFRFKADIPTTISKGAPDFCTVYVINKGKVSSVRNSTRQAPRVSPLRSQIQNMAAAAAKPEPATAMAPTPQKWSSSSRGHDHLETPKVDSYIRSPFARGPMGGATRKSYADLSHLSMPDSADISFVSSGRRSVEHNPVPARLSAASAESYDHSFETSRTPWGGDSFGGNDHTSFSQSSTSSFCSIGMDDVETEMKRLRLELKQTMDMYSTACKEALNAKQKAMELQRWKAEEEQRTHDARLTEESAMALIEREKAKAKAAMDAAEASQRIAELEVQKRITAEKKLLKEAEDRKNRGGGGGGMSHEIRYRRYSIEEIEHATDRFNDARKIGEGGYGPVYKGHLDHTAVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFRRGGGGGGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPNVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIITAKPPMGLTHHVGRAMERGALADMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGSAVLPELNRLRALGEDNMQFCGAIRGGVGGGLSSWAIHNTQDRCSAQGRVNHRCFREDQTFDSSTVEKSRSICSEIYSFFVPRWHCCCGHCDLQVRVIRAALALMR >OGLUM06G06170.1 pep chromosome:ALNU02000000:6:4521319:4523127:1 gene:OGLUM06G06170 transcript:OGLUM06G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A659] MAHVAVVTFPFSSHAAVLLSFARALAAASPASTFSFLSTAASFAHLRKTAAAGDLLPGNMRFVEVADGAPPPDAPPPRRMAVFMEAAEAGGVVEAGLEAARAAAGGVAVSCVVGDAFVWMAADAAAKVGARWVPVWTGASSALVAHLRTDALRDDVGDEAASRADELLTSHPGLESYRIRDLPDGVVSGDLNYVINLLLHRMAQRLPRAATAVALNTFPGLDPPTVTAALTAVLPTCLPLGPYHLLATAPANDDDPNGCLAWLDRHAPRTVAYVSFGTVASPRPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLERTKQHAAAGLVVPWAPQVGVLRHASVGAFVTHAGWASVMEGASSGVPMACRPFFGDQRTNARSVSHVWGFGTAFDGAMTRGGVATAVASLVGGEDGRRMRARAQELQAKVASAFVEPDGSCRKNFAKFVEIICAS >OGLUM06G06180.1 pep chromosome:ALNU02000000:6:4522933:4523545:-1 gene:OGLUM06G06180 transcript:OGLUM06G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRAFGDDAVPVHLPIDWLVLNGFLKRERGEHGVGGGGREGVSSAVAGAAVADAESHLDDLTSQVAHLRRDNAHVATALSLTTQGLLAVDVENAVLRTQAAELAARLASLNDILCSHRLTAEAAMAKTNNKKKKIYLPLGAILSFPNFAN >OGLUM06G06190.1 pep chromosome:ALNU02000000:6:4524276:4524826:1 gene:OGLUM06G06190 transcript:OGLUM06G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLASDEEVTMPEQRSAAVVPVPPDRRGFIPLADWIRDLGAAFPRINLDSLVPPAPQPHPLVGGAAVAVAGGGADGGGGEEGVAGDTDGDSAGEASGTGTVPYAEFLRLCCDASGAESGASVTCALDESGSVIVLGKTVFLRPEMVWRIVAHHMFFCCTVFL >OGLUM06G06200.1 pep chromosome:ALNU02000000:6:4526999:4528986:-1 gene:OGLUM06G06200 transcript:OGLUM06G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A662] MSYLQKPSYYTISLVVVLLLPFTILFASFLLPFSAYLRGPPPIAAGSVVAGGCRHGTADGGGGGGGGGGVRPEISILVGVHTMAKKHSRRHLVRMAYAVQQTAALRGAARVDVRFALCARPMPPEHRAFVALEARAYGDVMLIDCDESPDKGKTYDYFAGLPAMLSSGGGGGEGRPYDYVMKVDDDTYLRLDALAETLRRAPREDMYYGAGLPFLDKESPPFMLGMGYVLSWDLVEFVVLEYVIVLDSFLWDRGSSAEDVTTGTWLNMGNKAKNRVNIFPRMYDFKGVKPEDFLEDTIGVHQLKQDLRWAQTLEHFNVTWLDPSSKMTNSLLS >OGLUM06G06210.1 pep chromosome:ALNU02000000:6:4532798:4544391:1 gene:OGLUM06G06210 transcript:OGLUM06G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MGLKAREGGGLLDFLHAPPLAHITSDGCVLRPSHRRRPSGGHSTNGPYSPFPIFPSPPSLHERDGKTAARGGGETHRAAASRRGSDHHGRAGARYEVKRRLRRSSSYSSPSPDLQAEAKALRRKEGASGLRLASDRPAATVAGFRRARDSAAAASDMPSFPSSGASPQKQQHNARRRQLIRQQRKSLPIASVEKRLIEEVRKNDTLIVVGETGSGKTTQLPQFLYDAGFCQDGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRSIYANKNGKILPDIQDQSQYFTLKACQGTKIDPLKLIIMSASLDAKCFSDYFGSAKAVHIQGRQYPVDILYTYQPESDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLDRLIQERARQLPPQRSKIWTTPIYSSLPSEQQMNAFKPAPAGTRKVVLATNIAETSVTIPGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCYRLFQESEFDKLVDSTVPEIKRCNLANVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGRQMARLPLDPMYSKALIVASEFKCLEEMLIVVSMLSVESIFFSPREKLEEARAARKSFESSEGDHITLVNVYRAAKECLEKSKNANAKEKTMEKALNRWCRENFINYRSLRHACDVHSQIQGHVQQMGLNVSSCGDDMVLFRRCLTAAFFLNAAMRQPDGSYRALATSQSVQIHPSSVLFQKKPDCAIFNELVRTSQNYVKNLTRIDPVWLAELAPQYYATED >OGLUM06G06210.2 pep chromosome:ALNU02000000:6:4533087:4544391:1 gene:OGLUM06G06210 transcript:OGLUM06G06210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G26370) TAIR;Acc:AT1G26370] MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTNIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OGLUM06G06220.1 pep chromosome:ALNU02000000:6:4546265:4547063:-1 gene:OGLUM06G06220 transcript:OGLUM06G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPLTSSEIRNAIAREPFPISVAVTTTNRGLVRLSRRERGGSRDERRWVLYAADASLLMGKSQIWRDETRQAGHRDEAASRRRGRQQPVIRREMPGLLRHVSRSVAPRTNTGMSCHWQRPGIAAGRAEKKKGERKAACRVRGWD >OGLUM06G06230.1 pep chromosome:ALNU02000000:6:4552102:4553049:1 gene:OGLUM06G06230 transcript:OGLUM06G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSSSSGGGSGPLVVSNGVLLAAVIFLFMVVVFVFLLYLYAKRYLGANPLLAPVSPSSRFLFLDASPFPRRGLPAAALRALPVTVYAKPAAARGEEALECAVCLSEVADGEKVRMLPKCDHGFHVECIDMWFHSHDTCPLCRAPVGPDAGGEGLPRVPREEPAAMDFPMFPTNVLFWGTAHDDVANAGDHHPFHPPPPPPPLMAAPSTSSSASARRKESLVIDIPSRSAAAAAADSVTSSSASTPLPASRMADDMRSPVSARLRSLRRLLSRGKQAVVGPSFSPRGGGDIEQGLAGAPPKTPKTPKTPPPAN >OGLUM06G06240.1 pep chromosome:ALNU02000000:6:4559470:4562701:1 gene:OGLUM06G06240 transcript:OGLUM06G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >OGLUM06G06240.2 pep chromosome:ALNU02000000:6:4559391:4562701:1 gene:OGLUM06G06240 transcript:OGLUM06G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33140) TAIR;Acc:AT4G33140] MLARGAVRSLFDRLRPPPHHRRRRSSPAAIMPAAAAAHRGCFCSLSHSNLCGEGSVIQRKGFLDLGMGRRFAPGSALGSKGCLNWQDRGRSKRGVDGGEAVRIKAQVLAPQRQLLHDPEVLPLEEVAAKSLNGNGACRRGKPLGFPEHAAPTKMVVAVDVDEVLGSFLAALNKFIADRYSLNHSVSEYHVYEFFKIWNCSRERANFLVHEFFTTHYFQDGIHPIPGARDALQNLSSFCSLSVVTSRQDAIKNHTLDWIDKYYPGLFEQIHFGNHFALQGQSKPKSEICRSFGAQVLIDDNPRYALDCAEDGMRVLLFDYHNSYPWCKTGVDESHPLVTKVHNWQEVEEKLLSWVVPEF >OGLUM06G06250.1 pep chromosome:ALNU02000000:6:4563544:4567397:-1 gene:OGLUM06G06250 transcript:OGLUM06G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGCLKRLQKEYHSLCKEPPPQIVARPLPNDILEWHFVLEGSAGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIRSTEGEKRRLAKASLAYNCESKNCPHFRKMFPEYVEKYNQQKQMEQTVAEPETQENPAPAPSPAVQQQAAVVANKAKPAAEAAGEQKQKKRVPFWMMLVMFSVFGAVMALPLMQL >OGLUM06G06260.1 pep chromosome:ALNU02000000:6:4572986:4575840:1 gene:OGLUM06G06260 transcript:OGLUM06G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant invertase/pectin methylesterase inhibitor superfamily [Source:Projected from Arabidopsis thaliana (AT2G26440) TAIR;Acc:AT2G26440] MAAISPRLVVFVAVLLLLLLATATTTQCHRHGKRHRHHAVAAKGAAAAAATPGVTDVHAVCRTTPHPDSCLASTAAHLDAVSAEVAAAASAISVQLLPPNILSVALASLRGALAAVSSLSPALSSAFSPPSSGASPLRRGAAQDCLELHAATLASLSRSASLLASPGEGLPAVRAHLAAALANKATCLDGLDGAAPSSGLLALGGGGSAASFAAAVANIIHHNRRLLDDDDNDDYNGGNDDDDNSNNSGENTVVITVAKDGSGNYRTVGEAVAAAPNNSAARTVIRVRAGTYEENVEVPPYKTNIALVGDGRGATVITGSRSAADGWTTFRSATFGVSGEGFMARDVTFRNTAGAAKGQAVALRVSADMAAAYRCGVEGHQDALYAHSFRQFYRECAVSGTVDLVFGDAAAVLQACELVAGAPVAGQSNVLTAQARGDPNEDTGFSVHNCTVVASPELLASGVSTRTFLGRPWRPYARAVVMDSYLGPLVDRAGWVEWPGAEPGRAETVYFGEYGNGGPGAAMDGRVGWAGFHDMGYDEAAQFSVDNLISGDQWLAATSFPYDDDV >OGLUM06G06270.1 pep chromosome:ALNU02000000:6:4576921:4577586:-1 gene:OGLUM06G06270 transcript:OGLUM06G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSSFHPSSSMASSPRLIGTRKAALRFRAPAHGGTSSSQLSIAAATANKKVFEDQLRGIVCYRDDKGEMVCEGYDEGPRLGMRLPEKACFPWPMEVQITDFIDLATFRVFEDADVLQIKNDQKRQI >OGLUM06G06280.1 pep chromosome:ALNU02000000:6:4585117:4590584:-1 gene:OGLUM06G06280 transcript:OGLUM06G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGAPEIPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMTAAAAIPPEK >OGLUM06G06280.2 pep chromosome:ALNU02000000:6:4585117:4590584:-1 gene:OGLUM06G06280 transcript:OGLUM06G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSNNLRSNSIPPGVLQCNSTSGTFDAKLDTPAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGAPEIPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMTAAAAIPPEK >OGLUM06G06280.3 pep chromosome:ALNU02000000:6:4585117:4590584:-1 gene:OGLUM06G06280 transcript:OGLUM06G06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSNNLRSNSIPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGAPEIPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMTAAAAIPPEK >OGLUM06G06280.4 pep chromosome:ALNU02000000:6:4585117:4590584:-1 gene:OGLUM06G06280 transcript:OGLUM06G06280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAGSYMWPGNSGSENYNFVDGSSESYAEEGSLPPSGYFMGAGSDRSLKITENERNPTMLANGCLPYNTQAHPLSGQILPKGELPNNLLDLQQLQNSNNLRSNSIPPGVLQCNSTSGTFDAKLDTPGLAELPHALSSSIDSNGSDISAFLADVHAVSSAPTLCSAFQNVSSFMEPVNLDAFGFQGAQNVAMLNKTSLPNGNPSLFDNAAIASLHDSKEFLNGGSIPSFGTVLQALGAGGLKAAQQEQNIRNIPLPTFTSGSHLAVTDAQGPPLPSKIPPLIHDHNSEYPINHSSDVEPQANSAPGNSANAKPRTRARRGQATDPHSIAERLRREKISERMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLRESQTECHSNPSLSASTISQGAPEIPDSEDSSAFEQEVVKLMETSITSAMQYLQNKGLCLMPIALASAISNQKGMTAAAAIPPEK >OGLUM06G06290.1 pep chromosome:ALNU02000000:6:4596375:4597234:-1 gene:OGLUM06G06290 transcript:OGLUM06G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLVGCTQRKARANPSQGSARGTRRGWTGGCIAIRKGKETGEREIAVMAGEAEDGDGERLRLFVGQVPCSMAEEEILAVDRAALLPTTPPSSATALPCSRFEGAFGSPDDNLDGPATAAEPRGGHGVVHGDGQRRPVGRKAAGPRRAGRRHRC >OGLUM06G06300.1 pep chromosome:ALNU02000000:6:4607017:4609619:1 gene:OGLUM06G06300 transcript:OGLUM06G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAILSDLIPPPRRVTAGDLWLEKTKKQQQQQKKNKGARRLPLRQEEEDDFEADFEEFEVDSGEWEVESDADEAKPLAAPRSGFAKGGLKNTTVAGADGPAARSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDGAPVASQRSHAEPSSMNMPAFSIEEKPAVMSAGNNTMYNTNAYAYPAVEYTLQEPFVQIQNVSFVPAMNAIEDTFVNLSSDQGSNSFGCSDFSQENDIKTPDITSMLAPTMTGVDDSAFLQNNASDAMVPPVMGNASIDLADLEPYMKFLIDGGSDESIDTLLSSDGSQDVASSMDLWSFDDVPVSAEFY >OGLUM06G06310.1 pep chromosome:ALNU02000000:6:4618604:4619812:-1 gene:OGLUM06G06310 transcript:OGLUM06G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRARTMYTYHGELAVQGCRPTEEPHGEQRDGTMYTSTSCVCKVSVAQLVKFLVAEPVHPESSPTLDTGSIPVNLQHSNEPSSIFPMSTSHNTRNDENKRSIFPIPHNTRNDEKIGNGITFELGSVDR >OGLUM06G06320.1 pep chromosome:ALNU02000000:6:4643349:4643813:-1 gene:OGLUM06G06320 transcript:OGLUM06G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGETLSWAEPECGDEFALCFLDEAACAAVSGAISTVTASRTGSPSCAWRGRRVPPPEAGGDDEQRLRDDGNDDGSGGVTTTNAGGGGAAAAATRLLATATTSGGCASTATTTGAAARRRRLRNHGDDDHG >OGLUM06G06330.1 pep chromosome:ALNU02000000:6:4659854:4662559:1 gene:OGLUM06G06330 transcript:OGLUM06G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAESQMAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARAPPGAGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLSNRVYATDEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRAKFKVYIIRASSYYETDD >OGLUM06G06330.2 pep chromosome:ALNU02000000:6:4659854:4661792:1 gene:OGLUM06G06330 transcript:OGLUM06G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAESQMAEAASYEEQRRRQVEANKRKLEELRLHHLSAAVRESAAKPSPVKQRKRKARAPPGAGEDAPLRRSGRVANLPEKPKYRDEFQDFEKRIRRSYGGKRRDLSNRVYATDEQRDYAINAAQELEEELGSDYPIFVKPMLQSHVTGGFWLSLPTHFSRKYLPKRDETIRLVDEEDDEFDTLYLANKRGLSGGWRGFSIAHKLVDGDCLVFQLIQRAKFKVYIIRASSYYETDD >OGLUM06G06340.1 pep chromosome:ALNU02000000:6:4683287:4687656:-1 gene:OGLUM06G06340 transcript:OGLUM06G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A682] MAAKLARLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALIEADKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGHTNSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNHKGTTMMLNDRIQSLRGLQSSLRKAEEYLMGIPQDTPYSEFNHRFQELGLEKGWGDCAKRVLDTIHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRVEKVIGTEHTDILRVPFRSENGILRKWISRFDVWPFLETYTEDVANEIMREMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYFPYTEADKRLTAFHPEIEELLYSEVENDEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNAHLRDLANLVIVCGDHGNQSKDREEQAEFKKMYGLIDQYKLKGHIRWISAQMNRVRNGELYRYICDTKGVFVQPAFYEAFGLTVIEAMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFEKCKQDSTYWDNISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYIEMFYALKYRSLASAVPLAVDGESTSK >OGLUM06G06350.1 pep chromosome:ALNU02000000:6:4696218:4709765:1 gene:OGLUM06G06350 transcript:OGLUM06G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGVDGDNDGEELVLPSTNHMLPYVLIIMSTKDLVFGILIIDSTYVGGCKWGTATADHTVGEVRALDGPEEDFTNSTCRTSTCHLLPARWATAAPPAAGQRQRAAAEQTQGQQQHLGFGTQLGMNAANGFAGGVGNTLARESIHHVTNYAVRSISSHHHRDGQPPEDYDGNAAGVAETIAYNHAETGGSWEHV >OGLUM06G06350.2 pep chromosome:ALNU02000000:6:4696218:4709765:1 gene:OGLUM06G06350 transcript:OGLUM06G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSGVDGDNDGENYRQHVRRWVQMGNSNSGPHSGRGASARWTRGGLHQLHLPHFHLPSPRSRHDGLQLLLLPLDNVNTQGQQQHLGFGTQLGMNAANGFAGGVGNTLARESIHHVTNYAVRSISSHHHRDGQPPEDYDGNAAGVAETIAYNHAETGGSWEHV >OGLUM06G06360.1 pep chromosome:ALNU02000000:6:4708198:4708581:-1 gene:OGLUM06G06360 transcript:OGLUM06G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPWRTRWMSWERLTSIGLRTHLGVLLYSPLHDGDLVCDVYDGEAVKTATLISIFGSVAGSGSYRGRSG >OGLUM06G06370.1 pep chromosome:ALNU02000000:6:4711159:4711631:1 gene:OGLUM06G06370 transcript:OGLUM06G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDNAPQCGKCSRMMENYDYTNANSRDCTCDKCHFLLAGYSCPYASCKYMIHKSQDSLTSIYDYTNSTCSKCYCDIDNCGLLLAGYIVYGCLPCRYAVHKVCPKGAGQQQQPPPLRNEVINGAVRGTVSGVIGCIFSGLLAASGASSN >OGLUM06G06380.1 pep chromosome:ALNU02000000:6:4718015:4727331:-1 gene:OGLUM06G06380 transcript:OGLUM06G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAEAEVEVEVEAGGCLLQSFELYEAESKFYILGTNTDKTSWKLLKIDRIEPSELNIDESSTVYSHSGYLDLLKVLDEDHRSTGGVKFVTKCFGIIGFIKFLGPYYMLIITEQRKIGAIFGHPVYQVTRTAMIELSNSESRAKFLNSKDEDRYKKLLQTIDLRKDFFFSHSYNIMRSFQKNFNDPKEGWDLYDTMFVWNEFLTRGVRNILKSTIWTVALVYGFFKQDKLAISGKDIMLTLVARRSRHYAGTRYLKRGVNDEGSVANDVETEQIIFEDMLGPKQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRIRYGNPIIILNLIKKRERRPRESILRSEFDKAIKIINNDLPGENHLRFLHWDLHKNSQRKSTNALQMLLKVAFEALNLTEFFYYQVPPARRAESSFNLHAPLKNGFGPHECDDSNNDDITDCIDNIDDMSQEDTCGSSDTSGNGTAEDIAEGNGSISVKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELDLDSPLAHHLMHFYERMGDTLAVQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFQPCEGEPALWELEPVAGEGVLGENASKLMKRARSDGSILRKSNASMSSNGRNGMLKSSFIDSKSELQSPNSSSDAINEISSAPDNTVTVSKSRYTPTEPHVKHVSCELDYCNGSGDSNFLDIDWLSSSDNERPTTISTPDVNASADSVSAGVSSRRTEDHAAEIQAQGLSEHFVQWIDQGETFWF >OGLUM06G06390.1 pep chromosome:ALNU02000000:6:4735926:4736568:-1 gene:OGLUM06G06390 transcript:OGLUM06G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFPRSSTYAAYTIPPPPATPGRPDDDNDHYHEDEGKPLLPPVSAAVAGTRSRRRRRTWVRRRRRSGGGSWCRRRTWLVMPVFRRLLEKGRKRSRSSGEFEYSDGELTMSIPCDTDDFKYIVVMDTHQKGPRRRR >OGLUM06G06400.1 pep chromosome:ALNU02000000:6:4740758:4743263:1 gene:OGLUM06G06400 transcript:OGLUM06G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAGGGADADLYAVLGLSRECTDADLRLAYRKLAMIWHPDRCSVAGGSASAAGVDEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDGNDGDDDDDEADLSGMGDFLGEMAQMMSQATPAVSNTKHLDRPFRLEIRAHRLFFESFEELQQLFVDMFQDDIDAGLCQSTPPPPSWPSPPAAANARSPAAAATSRKGVNKRCSPAAMDMESGLSGLLGISGFCFEAPWTSQDASTAAGGGGGKRRKQRPPAASHNV >OGLUM06G06400.2 pep chromosome:ALNU02000000:6:4740758:4743263:1 gene:OGLUM06G06400 transcript:OGLUM06G06400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGAGGGADADLYAVLGLSRECTDADLRLAYRKLAMIWHPDRCSVAGGSASAAGVDEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDGNDGDDDDDEADLSGMGDFLGEMAQMMSQATPAESFEELQQLFVDMFQDDIDAGLCQSTPPPPSWPSPPAAANARSPAAAATSRKGVNKRCSPAAMDMESGLSGLLGISGFCFEAPWTSQDASTAAGGGGGKRRKQRPPAASHNV >OGLUM06G06410.1 pep chromosome:ALNU02000000:6:4744330:4746360:-1 gene:OGLUM06G06410 transcript:OGLUM06G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A691] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYSISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKAEAMKTLGHGGEANEEGVLLTMSTLTEEGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVAMPKPRDNKERPACIPQAVLDARASAAAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILDGHNVADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTNRMGRQLSSMGFDPSAAMDRACSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPSEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >OGLUM06G06420.1 pep chromosome:ALNU02000000:6:4746371:4747919:-1 gene:OGLUM06G06420 transcript:OGLUM06G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGAALLPSTTAAKWEDDETESVAAVESGSLLRGDAAAAAADHLQEARHRIPVLIHLLPLASAAVSRGVVI >OGLUM06G06430.1 pep chromosome:ALNU02000000:6:4747765:4750169:1 gene:OGLUM06G06430 transcript:OGLUM06G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSWASRPGKSRPTSKLPDSTAATLSVSSSSHFAAVVEGRRAAPPPLPIGHRKPAAAMLSRISQLGARIPRENRAGTCLLPPWQENCQAVQPVTIGVNSAGI >OGLUM06G06430.2 pep chromosome:ALNU02000000:6:4747765:4750169:1 gene:OGLUM06G06430 transcript:OGLUM06G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSWASRPGKSRPTSKLPDSTAATLSVSSSSHFAAVVEGRRAAPPPLPIGHRKQENCQAVQPVTIGVNSAGI >OGLUM06G06430.3 pep chromosome:ALNU02000000:6:4747765:4750169:1 gene:OGLUM06G06430 transcript:OGLUM06G06430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSWASRPGKSRPTSKLPDSTAATLSVSSSSHFAAVVEGRRAAPPPLPIGHRKQENCQAVQPVTIGKNILFSTATTSSDHHEGSQDKEKMAWSRLSVSMLECPMLEVAHENDIELEGYRFIITTVLRFEIFYSFFADNNI >OGLUM06G06430.4 pep chromosome:ALNU02000000:6:4747765:4750169:1 gene:OGLUM06G06430 transcript:OGLUM06G06430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSWASRPGKSRPTSKLPDSTAATLSVSSSSHFAAVVEGRRAAPPPLPIGHRKKNILFSTATTSSDHHEGSQDKEKMAWSRLSVSMLECPMLEVAHENDIELEGYRFIITTVLRFEIFYSFFADNNI >OGLUM06G06430.5 pep chromosome:ALNU02000000:6:4747765:4748268:1 gene:OGLUM06G06430 transcript:OGLUM06G06430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLSWASRPGKSRPTSKLPDSTAATLSVSSSSHFAAVVEGRRAAPPPLPIGHRKPAAAMLSRISQLGARIPRENRAGTCLLPPWVCLGKFLAAAASSKSPFIRSSLNSPAFYLDSENL >OGLUM06G06440.1 pep chromosome:ALNU02000000:6:4752335:4752628:1 gene:OGLUM06G06440 transcript:OGLUM06G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSLEEEGGGYRIPAAGELLRAVGERSPPRSSSPCAAAITKVIAVCRQHLQGVGELPASGSGEPTSGSGELIRAATETRPWTSVDGQRRGHDDG >OGLUM06G06450.1 pep chromosome:ALNU02000000:6:4755086:4755709:-1 gene:OGLUM06G06450 transcript:OGLUM06G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLEQPLEAVAFRLYSLPEAAAPAGAAAWTCLAAVLAAAAAAAAGIWRLRAAAPVVAAMGGASSREDGLEPESSPATAASEQARSSSERQPKPASSPSPKETYTAYFHDSCCIGCCDMDDDDDDGEEVLEEEEEEDDEPSETTPFEWEIVRSLPLNPTAAAEVRRYRDSAPLGGSVVRLWNHVAGGGVTAASPRRRGLAGGFVSVF >OGLUM06G06460.1 pep chromosome:ALNU02000000:6:4757350:4759180:-1 gene:OGLUM06G06460 transcript:OGLUM06G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26060) TAIR;Acc:AT3G26060] MAFAASTACRPSLLLPPRQRSSRPLLCTPSTAAFRRGALSATTTPTPARAAPPSTTGRNRIVCGKVTTTNNYPSSCRSRRSTMMHAAAWLLLQQLSPAAARVARAADDDSSVQVSKGSAAPNFTLRDQDGRAVSLSKFKGRPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVIGISGDDAASHKEFKKKYKLPFTLLSDEGNKVRKEWGVPADLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >OGLUM06G06470.1 pep chromosome:ALNU02000000:6:4760860:4764265:-1 gene:OGLUM06G06470 transcript:OGLUM06G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGDDDLWAKAAELERQFEGYKRRVAERRSSSAAAAADRHDGDGDGGAVEEVEVVAVGKGRRYDAYVRRRDEKLRQGWRARMERKEAEMKALWARLDVDRRRDGDLAAGNGKQQKPGNLEARPAASPATPRSSSATKATLSRPRTTPRTATPSPAGAAASPRLSSSNPDARRRAPPQPEPPSTPRKENRVPSAAAASTAAATATPRLRALSRSRSSLKESASSVRDSPRRAPPPPRRSHDGDAGDRPKQQPEPVHAATTTADDAVAPAARSCQSQQQVVLAEIKAAAAFRLRRSGNGAAQGRQPAASPRPVITRQLDGRRKPSDRNSDVEAKNFNLDEGIGEDDDDDTAQSSVEIGSLKITGDSDTEPSYVYITKDIDDEAMNTSQPQPLAASDSNAEEPESLAPHQSEKETRHLEETAMAASSEATAKERPATDREDDSPQSSDQSFYSNVDSFSHRSELELAASATDSPLHGSPSSTGPSTEQLLEADAAMLRKKREEEEEEEEEDEAAAGEINSLLIPSTTTSSSSSVACPVTVQSPMEAVAGFKRFLTFGKKNAAAAAAAVAPPADDSGVRQRICSSDAASDDSDNSYVIPAHVTEMVMCSSILAKLCALFSCKACTTEGAHFIGKIPTSTSFVLLVLIVQVQRVLMLAIGDAVSRDNRC >OGLUM06G06480.1 pep chromosome:ALNU02000000:6:4766195:4768822:-1 gene:OGLUM06G06480 transcript:OGLUM06G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6A2] MGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASNFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGLALGSKSMDKIEKTRAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADVMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDQDRDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLADAGVAPEEVNYINAHATSTLAGDLAEVNAIRQVFKDPSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWVHPSINQFNPEPAVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >OGLUM06G06490.1 pep chromosome:ALNU02000000:6:4780782:4787851:1 gene:OGLUM06G06490 transcript:OGLUM06G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6A3] MKDQGSSGVSPAPGEGEKKAINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDNIPGYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRRATRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPVRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDEENEVESAFKRAMPWLADDFALKDVQSALFPGLSLVQWMAMQQNPQMLTAASQTVQSPYLNSNALAMQDVMGSSNEDPTKRLNTQAQNMVLPNLQVGSKVDHPVMSQHQQQPHQLSQQQQVQPSQQNSVVLQQHQAQLLQQNAIHLQQQQEHLQRQQSQPAQQLKAASSLHSVEQHKLKEQTSGGQVASQAQMLNQIFPSSSSQLQQLGLPKSPTHRQGLTGLPIAGSLQQPTLTQTSQVQQAAEYQQALLQSQQQQQQLQLQQLSQPEVQLQLLQKIQQQNMLSQLNPQHQSQLIQQLSQKSQEILQQQILQHQFGGSDSIGQLKQSPLQQAPLNHMTGSLTPQQLVRSHSALAESGDPSSSTAPSASRISPINSLSRANQGSRNLTDMVATPQIDNLLQEIQSKPDNRIKNDIQSKETVPIHNRHPVSDQLDASSATSFCLDESPREGFSFPPVCLDNNVQVDPRDNFLIAENVDALMPDALLSRGMASGKGMCTLTSGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVTDAGMPSQGLWNNQTQRMRTFTKVQKRGSVGRSIDITRYRDYDELRHDLACMFGIQGQLEDPYRMDWKLVYVDHENDILLVGDDPWEEFVGCVKSIKILSAAEVQQMSLDGDLGGVPPQTQACSASDDANAWRG >OGLUM06G06500.1 pep chromosome:ALNU02000000:6:4788550:4793946:1 gene:OGLUM06G06500 transcript:OGLUM06G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQLSGAGVAAVAFTKNGASSFDGLRLAPPSVRVCSSRRPSRSLVVKAATVVTPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQSKPQGGEVVAVGEGRTIGDKKVEVSLQIGAEVVYSKYAGTEVQFNDTKHLILKEDDIIGVLETDDVKDMKPLNDRVLIKVAEAEDKTAGGLILTETTKEKPSIGTVVAVGPGPLDDEGKRQPLSVSAGSTVMYSKYAGSEFKGADGTNYIVLRVSDVMAVLS >OGLUM06G06510.1 pep chromosome:ALNU02000000:6:4794488:4794892:1 gene:OGLUM06G06510 transcript:OGLUM06G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSVVGIVCTASYSRGARRPMVTRWVARREKLVGQLRGVGSEEVHRLWELVEDFGSVAHGKRILSAATHAGRTSLAPALLGGEAHRGLRTRGEARRRSHTWDGLGWLHARFQSFLPLLSHPSPSLRCPSPFR >OGLUM06G06520.1 pep chromosome:ALNU02000000:6:4799658:4800296:1 gene:OGLUM06G06520 transcript:OGLUM06G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSATARKYRGVRLRKWGKWVSEIRLPNSRERIWLGSYDTPEEAARAFDAAFVCLRGGGAGGEAAGNGINFPDSPPAVARTSDPQEVYAAAVSHANNRPPPPPSARATSSALPWEEAPVVAAQEAAADMAPDVVVLPSSPVNVLAAAGSFEYWSQQPLYSPTAASLDLQRWMTAAAAAEESIMEDDDDEGTSDGLWSFHYSPTRSKW >OGLUM06G06530.1 pep chromosome:ALNU02000000:6:4806104:4806432:-1 gene:OGLUM06G06530 transcript:OGLUM06G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTSTSTTKDRRRRVPDEGQVHVRWLQMCSYVDTAPQRDELDFEFLGNQTGESYSYIIQTNVYSNMQGRERG >OGLUM06G06540.1 pep chromosome:ALNU02000000:6:4822863:4823414:1 gene:OGLUM06G06540 transcript:OGLUM06G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANGTLPAPEKVMRKYTGVRLRQWGKWVAEIRLPNSRRRIWLGSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPTVVARTSDPREVLAYATSHANRLSSDATIAQEEAALLEEETAEQFSDVVRANAAPPPPPVQVAGGSFDWSQLPLYSPLTTPTAEHWEEDNVEATTSDNLWSFDF >OGLUM06G06550.1 pep chromosome:ALNU02000000:6:4834481:4835414:1 gene:OGLUM06G06550 transcript:OGLUM06G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANTTQPAPEKERRHKGVRLRQWGKWVAEIRLPNSRKRIWLGSYDSPEKAARAFDAAFICLRGGEAIAGLNFPESPPAVVARTTDPREVLAFATSHANQLLLLDAAIAQEEEAHSFKKEEEAQVEEKTAEESSDVVRANAAPPPPVQVAGGSFDWSQLPLYSPTTTPAAEHWEEDNVEGDIPVCSEMSVETSSNSRYIGVVFQMHSYG >OGLUM06G06560.1 pep chromosome:ALNU02000000:6:4850482:4851136:-1 gene:OGLUM06G06560 transcript:OGLUM06G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHATTASLLREPSDNVHDEDDYQEEVPPTRRRLDDVHDERQTTPPPRCARRGIVTCSLLPRSPPPPARRRRGRARHCQGDEDKAIVVAPANLPVAGEGDAVDVAGPPWVGLDLALDHVAEPDRHVKGEVIYHGS >OGLUM06G06570.1 pep chromosome:ALNU02000000:6:4858540:4859779:-1 gene:OGLUM06G06570 transcript:OGLUM06G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEEASTDWSTLRSKATLLLVVDVITGKYHKAVVVHTSRRLTLPPGQVGWTPRLHRTTSIFTIESYLHP >OGLUM06G06580.1 pep chromosome:ALNU02000000:6:4860021:4860644:1 gene:OGLUM06G06580 transcript:OGLUM06G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVSFMQKSAAAAADGGSAAQAAAERRKYKGVRLRQWGKWAAEIRLPSSRERIWLGSYDTPEKAARAFDAAFICLRGVQAIAGLNFPESPPPPTAARTGDLREVYAFAVSHANQPSAEAAPADIVVPAQVATEESDGVVRGNAAPPPVQVAAGSLDWSQFMANPPPMYSPTATAGSQAMWPVTAPAAEADGEDDELATTCRWSFDA >OGLUM06G06590.1 pep chromosome:ALNU02000000:6:4866538:4872509:-1 gene:OGLUM06G06590 transcript:OGLUM06G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNQVINIFPSPEQSINPFRPREQDQSIDAEAGKKKEREGERALSLALTFLTRSRTWSSICSAETRRNTKVAAKDMVAGGGRAARRGAASRRGGAACRGVAWGGGGRVGFVLVSARAGFTRSWTNPDRWFLAQRRGWTAQMSPKNLYWRRSRREREREREREEEEEEESMAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQFKMTVVGPDLRHGQKPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDSELHYSFVGVTCAPAFQIEDDEMATRESMKALAPKAEAFINYLKAEQRREESMALTSAEKKTAAEIVAMLDLQRHPDGGFYLETFRDPSISLPKSALPPRYKVDRSVSSAIYFLLPAGEIAKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLSPKAEAFINYLVPSD >OGLUM06G06590.2 pep chromosome:ALNU02000000:6:4866538:4872509:-1 gene:OGLUM06G06590 transcript:OGLUM06G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNQVINIFPSPEQSINPFRPREQDQSIDAEAGKKKEREGERALSLALTFLTRSRTWSSICSAETRRNTKVAAKDMVAGGGRAARRGAASRRGGAACRGVAWGGGGRVGFVLVSARAGFTRSWTNPDRWFLAQRRGWTAQMSPKNLYWRRSRREREREREREEEEEEESMAATSSEKKTAAEVVAMLDLQRHPDGGFILETFRDPSISLPKSALPPRYKVDRAVSNAIYFLLPAGEIVKLHRIPCAETWHYYMGEPLTVFEVHDDGQIKMTVVGPDLRHGQRPQYTVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPELHYSFVGVTCAPAFQFEDNEMATRETMKTLSPKAEAFINYLVPSD >OGLUM06G06600.1 pep chromosome:ALNU02000000:6:4869327:4875621:1 gene:OGLUM06G06600 transcript:OGLUM06G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRKVSRMNPPSGWRCRSSMATTSAAVFFSELVAAMDSSSSSSSLSLSLSLSLLLRLQYRFFGLIWAVHPRRCARNQRSGFVHERVNPARAETNTNPTRPPPPHATPRHAAPPRRLAAPRRAARPPPATMSLAATFVFLLVSALQMLDQVLDLVKKRGSITDDQLKLRLEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKLQQQDIKGKQSLYNQYGRVMLFSKVLIYGLLILWFWSAPVTTVPKHLLQPFGRMFSWRGVDAATGRVVVLAIPPVHTAHHPA >OGLUM06G06610.1 pep chromosome:ALNU02000000:6:4876793:4880904:-1 gene:OGLUM06G06610 transcript:OGLUM06G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMKPPFKPPEEAVQVLNKKSEAYQKKKKRSEEDGTVGLSKHPRPPGSGDSAAAISSALPAGDGHRVASPFNRLDPFLFFRYFGFALVSGFLDKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM06G06610.2 pep chromosome:ALNU02000000:6:4876793:4880904:-1 gene:OGLUM06G06610 transcript:OGLUM06G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMKPPFKPPEEAVQVLNKKSEAYQKKKKRSEEDGTVGLSKHPRPPGSGDSAAAISSALPAGDGHRVASPFNRLDPFLFFRYFGFALVSGFLDKMVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLLFKNLSKGQHEASRMSIETGPYYFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLRNEFERVNGSQIETAARPTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVTEMSTRLTSDTRIYADKAKDLNRQALIRKYAPVAIVIGVVLMLFWLKNKIW >OGLUM06G06620.1 pep chromosome:ALNU02000000:6:4882104:4884185:1 gene:OGLUM06G06620 transcript:OGLUM06G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPLLLLLLAAAAAAAVAVVAVAQTNMADAEALMQLKKSFTNSSSLSSWLITSTDGDKSPCAPGSHEWHGVVCSRGKVTGLRLNGLRLGGTVDVGALVGFHNLRSVSFAGNNFSGPLPAVDRLTSIKSMFFSDNQFTGVLPDDFFSKLSHLKKLWLDHNELSGAIPASIAQATSLLELHLAHNAFSGELPPLPPPALKVFDISWNDLEGVVPEAFRKFDAGRFGGNQYLCYVPTSDRPCKRVQAAAASSSKRSPMAFVTLLVSVVVVALVLCLCCNRSSRVHDFDPAHRGGDGLDERPPVYMVKQFSTTGKRSASWLGKRTGSSLRGHRRAASAAKADELGGGAGDLVIVNNCKGVFGLTDLMKAAAEVIGSGGHGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMKRLGAMSHANLLPPLAYHYRRDEKLLVYEYIPKGSLLYVLHGDRGMDYAGLDWPTRLKVAVGVARGTAFLHGELAGHEVPHGNLKSANILLAPDFEPLLVDFGYSGLINHMQSPNSMIARRAPECAAGHPVGAKADVYCLGIVLLELLTGKFPSLYLQNAKGGTDLVMWATSAIADGYERDLFDKAITSAWKFALPDMARLMRVAVDCVETDADKRPDMKVAAARVEEVVAAAMATVRERHQAAGGESSRSSSHAQYVRDGSMQRITSVGERSSRRGSNDYSS >OGLUM06G06630.1 pep chromosome:ALNU02000000:6:4887120:4887917:1 gene:OGLUM06G06630 transcript:OGLUM06G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKFVALSFVVLLSIGLSNALPRKYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQASGPSGYASGGGGGGGGGGGGGNGGSGYGSGSGSGYGQAGGYGPYGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQASGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGSGYGQAGGYGPYGGGYAQGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGWHP >OGLUM06G06640.1 pep chromosome:ALNU02000000:6:4888669:4899373:-1 gene:OGLUM06G06640 transcript:OGLUM06G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18110) TAIR;Acc:AT3G18110] MPLMAVASQPFLSTSTSTSSRHIRRATVATAAAAAPDDFDYPLADPSDALAEDVFLRFAREGATVQVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRHHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTYCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTQEGDVRERCGYKEGRWANV >OGLUM06G06640.2 pep chromosome:ALNU02000000:6:4888669:4899373:-1 gene:OGLUM06G06640 transcript:OGLUM06G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18110) TAIR;Acc:AT3G18110] MPLMAVASQPFLSTSTSTSSRHIRRATVATAAAAAPDDFDYPLADPSVFNAMMGVYARSGRFDDARQLLDAMRDQDIEPDLVSFNTLINARAKSGCLAAGVALELLHEVRQAGLRPDAITYNTLISACSQGSNLDDAVAVFEEMIASECRPDLWTYNAMVSVHGRCGKAQEAELMFKELVEKGFQPDAVTYNSLLYAFAKEGDVERVERVCEELVKAGFRKDGITYNTMIHMYGKMGRLDLALGLYDEMRAIGCTPDAVTYTVLVDSLGKMDRISEAGKVLEEMADAGLKPTLVTFSALICAYAKSGRQDDAERTFDRMVESGVKPDRLAYLVMLDVFARSDETRKLMVLYRAMIKDGYKPDDGLYQVLLAALAKGNEHDEIEGVIQDMEAVFEMNPLVISSILIKAECISQGASLLKRACLQGYEPDGKSLLSILDAYEKMGKHEKGLSLLEWIRHHVPNSHNLISECSIMLLCKNGKIVDAIQEYSRKQMLKRGSFGQDCDLYEYLITYLEEAELFPEACQVFCDMQFLGIVPSQKIYQSIIYTYCRLGFPETAYQLMDDAARSDISLNILSCRVAMIEAYGKLKLWQQAENFVKGLKQESGVDRRIWNALIHAYAESGLYEHARAIFDIMIKKGPLPTVESVNGMMRALIVDGRLDELYVVVQELQDLDIKISKSTVLLMLEAFAKAGDVFEVMKIYNGMKAAGYLPNMHLYRIMISLLCHNKRFRDVELMVAEMEGAGFKPDLVVLNTLLLMYTGTGNFDRTIEVYHSILEAGLEPDEDTYNTLIVMYSRNFRPEEGFTLLYEMGKRGLTPKLESYKILLAASGKAKLWEQADLLFEEMRTKGYRLNRSIYHMMMKIYRNARNHSKAEHLLSAMKEDGIEPTIATMHILMTSYGTSGHPDEAEKVLNSLKSSNLEISTLPYSTVLDAYLRNRDYSLGITKLLEMKRDGVEPDHQVWTSFIRAASLCEQTDDAILLLKSLQDCGFDLPIRLLTERTSSLFTEVDSFLEKLGTLEDSASLNFVNALEDLLWAFERRATASWIFQLAVKRSIYHHNIFRVEEKDWGADLRKLSAGAALVALTLWLDQMQDASLQGAPESPKSIVLVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRSRSGRFVVKAYSLKMWLKDSPFCLDLELKDAPALPKTNSMKLTEGYFMRAGLVPVFKDIHERLGEVWPKKFSRLALLSEESRDEVIKADIKGRKEKLEKMKKQGLAIAKRSKRGPRRGKFVKQQSTQEGDVRERCGYKEGRWANV >OGLUM06G06650.1 pep chromosome:ALNU02000000:6:4900362:4904789:-1 gene:OGLUM06G06650 transcript:OGLUM06G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPAIYHYQLIDRRKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDILDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADVLSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >OGLUM06G06650.2 pep chromosome:ALNU02000000:6:4900362:4903328:-1 gene:OGLUM06G06650 transcript:OGLUM06G06650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLNKVVSNGDTRSMLPNKVTALNPNAAEFVPSCIRPSFESSAVSDVSKADLRASGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSFEKIEQEPEELSLAGLSLNAPPFYGTTASRFSREHDLSSQANKSLELGLTSLLYEDNSQASFPTMGSSNWEQNFVGDLHFTNGNQGLHYDSESAAGFSDSFASDYAAATDDILDPLAYLASQFPDFSSESLAELYYANGCDFNHTIEILTQLEMQVDATSNPTLTPRTPNFSTGDFPALPTVEDQNGFSKGNADVLSIFNGRSSPSVSTGTGDFVSAVRKLASQNSGHWKYKKGPEYGNGVSTVSVPKQYSSTTKTSSGNKFQSVSSARAAPWLETGDAVESRGEARDFARIRNACFEQARQAYLIGNKALAKELSMKGQTYNTQMKASHEKAREAIYRQRNPSSQRGSDRLIDLHGLHVNEAIHILKVELGALKSTARATGERMQVMICVGTGHHTKGSRTARLPIAVEQFLLEEGLHYTQAQPGLLRVVVF >OGLUM06G06660.1 pep chromosome:ALNU02000000:6:4909517:4909906:-1 gene:OGLUM06G06660 transcript:OGLUM06G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARCECCGFTEECTPRYIAAVREEYMGRWVCGLCAEAVGDEIRRAGAGEATITTAEALDRHVAFARSAAPRAGGAAAEDDLVAAVARLLRRCLDSPPASPAAPPPPPQGRKVAAGSGCPGGGDDD >OGLUM06G06670.1 pep chromosome:ALNU02000000:6:4917016:4918341:-1 gene:OGLUM06G06670 transcript:OGLUM06G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSESVQETLGLDFPHPSKPRVLLAASGSVAAIKFESLCRSFSEWAEVRAVATKASLHFIDRTSLPSNIILYTDDDEWSTWKKIGDEVLHIELRKWADIMVIAPLSANTLAKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWNNPFTSRHLETINLLGCSFILFFLCSMRILEGELVWCANAALSCLDTQPLKLGHDGLPESFVSIYVSLSLMTGDLSHGSLQAGAGTN >OGLUM06G06680.1 pep chromosome:ALNU02000000:6:4919947:4920333:-1 gene:OGLUM06G06680 transcript:OGLUM06G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDVVEVARRAMLFRMPRRRRAASASASAATATAGRMRRKKVAVVRLGGGGGGGGGTKRRLFGALRRLRVRWLAAMYRRALRRLRVCYANAVRDLIDGAALAGALRAPVGIEYSHAAAFGPAATVGF >OGLUM06G06690.1 pep chromosome:ALNU02000000:6:4922927:4927324:1 gene:OGLUM06G06690 transcript:OGLUM06G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein-coupled receptor 1 [Source:Projected from Arabidopsis thaliana (AT1G48270) TAIR;Acc:AT1G48270] MAASAAAAAAVSQALRDRDVLDAVGTSAAALSLAGSSFIVLCYLLFRELRKFSFKLVYFLAVSDMFCSLFTIMGGPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGLALGNLLSSIVGCHSLQWVNRMINNATRMAVGISDRSIQSDVRADKKAFNRWGYYPLILIGSWAFATINRVHDFANPGHKIFWLSILDVGFAGLMGLFNSIAYGLNSSVRRAIAERLDMYLPERFKRSLPTLTRFKSQQENELTSLIVDASNT >OGLUM06G06700.1 pep chromosome:ALNU02000000:6:4928570:4929529:1 gene:OGLUM06G06700 transcript:OGLUM06G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPKAVEAIREAVAQPGVAGGGERHGRQCRRFGTAAGSVLRRTVARLMDRGGPRDYEEDYSLLDSGRRWCVTARRRVHEAADGGNGGGGAPARGGLPGSCWRKEKEGGSPTRRLDGVGDGGGSVRPARGCGDGAAVPVETERTSVVWGVSRREVSIYRRGRSEKQARAVVSPLVRTGEADGRHGVGGLGGNRGGRLGTQPSQRLFAEGWQRRSGSSCRVVALGREGGVDWPRQSGDWLGRHRAEVGQRAAALDWPRRLRCSAPGRGRGGVGFVQPWHSGNRGQRVDWSSWRR >OGLUM06G06710.1 pep chromosome:ALNU02000000:6:4930472:4930789:1 gene:OGLUM06G06710 transcript:OGLUM06G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPEVAIVALCAAEGCARLLKPILDFLANGMSPRSAAADAAIVAALLALVFAYLACVFLVYLSVTTPSAAAAAVKLILATAFTLVFARPAIASVVVVAAGGGQ >OGLUM06G06720.1 pep chromosome:ALNU02000000:6:4934682:4934900:1 gene:OGLUM06G06720 transcript:OGLUM06G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVDLAVFLLCVAVGCAFTVAPITDALDEFAFTGTRAAAAALLRLALPAAYFVAIILVYHRVMSTRRRPL >OGLUM06G06730.1 pep chromosome:ALNU02000000:6:4934925:4935897:1 gene:OGLUM06G06730 transcript:OGLUM06G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAFLRPRFGRRARIIKSSALSSRDSVCSDLPVTRNLGTENIAVFEIDKRPPREESKELDLATCKS >OGLUM06G06740.1 pep chromosome:ALNU02000000:6:4940328:4940702:1 gene:OGLUM06G06740 transcript:OGLUM06G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVAQIVLLAFSVAFSSLRSLESFLAAPSETTSPSLARGGARPVIAGALLFAILIAFELACLLLFAHVRGLGGGGGGGSAAVHGGFLAMATRRLAAAAVAVLLAGAVLLPGVSPPARAESSS >OGLUM06G06750.1 pep chromosome:ALNU02000000:6:4948446:4948790:1 gene:OGLUM06G06750 transcript:OGLUM06G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAILVAVTFAPILEILITGRFAPAAAAAAEGYDDNDHPAVLRMAVSVLSITVPATFYLGVMQLYVRVAPPVAPAPLRRRLAVLAWSMAWTTVVVGLPPLAVLLLELDLTGHHA >OGLUM06G06760.1 pep chromosome:ALNU02000000:6:4952718:4953663:-1 gene:OGLUM06G06760 transcript:OGLUM06G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLAIEYANMVLSPISLSGWILSNFQCQLKQEDKWAKFMSNFLRFEMKKKCYTYYVVLISETKANEPIGGST >OGLUM06G06770.1 pep chromosome:ALNU02000000:6:4985047:4985823:1 gene:OGLUM06G06770 transcript:OGLUM06G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPMIIVLAMGGGALGGPEALRLLLTFAGRSPLDDIGIVVFVIAALTAPALGTMLLACFYRTPRGARGAAAAAAADLLAKMTLAVSMAVALLVSASLLVLPLFQSGDVDPLLLLLAFAVAAFVVCASAGCVRCVAHLRRARPRNASGAATDAGRTAMTTLMMSLAAACYLLGSCVAVGGIDAHHLFTPFAWKNPLNHAPTGVAIATVIGTTLLFLFFRKARNAAAAAAAATAPLPAKERAAKIISDGANPGGGCT >OGLUM06G06780.1 pep chromosome:ALNU02000000:6:4997337:4998101:1 gene:OGLUM06G06780 transcript:OGLUM06G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVPMIIVLAMGGGALGGPEALRLLLTFAGRSPLVDIGIVVFVIAALAAPALGTMLLACFYRTPRAARGGPIGAARADLLAKMTLAVSLVVALLVSASLLVLPVFQSGNVVVGPLRHLLALAVAAFVARVRGVVHLRRARRNASGAAPDAGRTAMTTLTVSLAAVCILLGSCVAVGGLDAHHLFTSFALKNPIIHAPTGVATAAVVGTTLLALFFRKAQNAAAAAAAAAPLPATERAAKIISDGANPGGGCT >OGLUM06G06790.1 pep chromosome:ALNU02000000:6:4999758:5000102:1 gene:OGLUM06G06790 transcript:OGLUM06G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIVTAIVFALAAAVLGGPEALRLLQDVAGKNPAADVAIIVGAICAVTSAVLGAMLLVRFIRVAGDAPDRATERFARVTLTVAFAAIFLVAGCLLAAPAASVPAADKFASSA >OGLUM06G06800.1 pep chromosome:ALNU02000000:6:5007026:5008126:1 gene:OGLUM06G06800 transcript:OGLUM06G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVRYTIFMAMGAGALGGPDALRLLPACAGRSPLVDILIAVFVIAAVTAPALGTRLLARFFRKARAAGGGGTGAAAADPFAKMMLAVSLAVAVLVSATLILLPLFQSGHLGALAFAGAALAVGACAARVRGVLLPNSHGAAPATEGFAKATLMVSLAAVCFLLVPCIAVGILDAPEQGLLAFAHKNPLATVPVGVATVIGTMAIPFFFRKTQNEDAAAPTTAMALFHNHKMILALPCVPFFIPMASDLVMAIVLSLGCAVAGGPEALRILLGLSGRSPVADIAICAFLVCAATAPALGNVLLVRYFRVAGKANGVGGATAPAAVDPFARVTVAVALAVVFIVATCLLVVPSAGGRDPGCGAMHA >OGLUM06G06810.1 pep chromosome:ALNU02000000:6:5013262:5013652:1 gene:OGLUM06G06810 transcript:OGLUM06G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMIHTRLAFSSSGIVGKGIKRNKKEEIDLLVSDDACVLGDLEERNARIFQSIASTPASVIAKIKEEGRAWVKAGATKLQEFGILGDIT >OGLUM06G06820.1 pep chromosome:ALNU02000000:6:5020227:5022714:-1 gene:OGLUM06G06820 transcript:OGLUM06G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLLTAQAPEKGEGRSPARGEKDAATGDAAAGDVHRRLPSTALPLTPTLGSICVAGRCALHRRPNSVAGPLWSAPSSPAVDPVASPGLHPIHLLLWGSKETRWCAKNLLTWSWLAVECGRIIFYVNHMIPGRYHLIPVRYQDLKHHKHHPPRGKNRMIPDRISFVKPDDTRHDTYEDHDTSQVSHDPPKVSPMKPGETGHDTKEDHDTSQIYDPTRVSLDTSQLSSSMWYQTIPITYQVILVRYHVIPIRYQELGVEVSRHRRRWPRPPPSTLHTEPKPRRRQPHPPPSMVHIGTCRRRPRPLSGARHRQPRLSSTPQLAAASSTLELVSGATAITTQDPPPSTPHAGARHRHRLCHHADPPPSMRPCPTPELAGGRVLHAGARRRQPHPPRRRSSAPAASAPPALAASVLLPRWPALSRLREVDRERSRWDTIPTGYRCAMW >OGLUM06G06830.1 pep chromosome:ALNU02000000:6:5024585:5025457:-1 gene:OGLUM06G06830 transcript:OGLUM06G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKGVTITKIILWLWKSAMAIAVGAAAAASAFFALRKNKVTTVAPMMVAVATQTGTVAGGFLKAKARRRCAGAMVPRAGAVTAAMTKTTTRMSTRGLRPANPSKSLSASGPPSAPAPMATTMVFRRIAAMGDGAQQLRTLSPSVLTQAQLPIDLLRGSALLAVAEPLDRLNL >OGLUM06G06840.1 pep chromosome:ALNU02000000:6:5033208:5034023:1 gene:OGLUM06G06840 transcript:OGLUM06G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVIRNIIVVAMGAGALGGPDALRLLLAFAGKSPLVDILIAVFVIAAVTAPALGTMLLARFFRKPRAVPGAARAGRGAAGAAVADDPFAQMTLVVSLAVEFLVSASLLVLPLFQSRHLVPLAFTGVALVVGACASRVRGVLLPNAHGGAGAGATPAATATERGIKPTLSVSLAAICLVLVAPWRLAVGILDAPSQRLLAPLATVPVVGVAAATVVGTTILVLRFRKAKHAAATPDAATTPATERSHKMVMVTALAVAFFLAVPLVVVVDA >OGLUM06G06850.1 pep chromosome:ALNU02000000:6:5048629:5049198:1 gene:OGLUM06G06850 transcript:OGLUM06G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPTAAAAGFGLLVAMGAIGLVSYLDQFLGALMSSARALLPLDVVVEVVMAVSATCALNTAMASIYFRVYNGRAAAANRRMLDGACFAVCASASVLLHLIFFLQPGAMDGADQDLLPLAAAVVRALLPASAAVTFFASIMLIYAHLRSGGAGTGTSVKLLTKVTNSAALFTIVLSLVVAAIVVFYSE >OGLUM06G06860.1 pep chromosome:ALNU02000000:6:5051255:5051756:-1 gene:OGLUM06G06860 transcript:OGLUM06G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKIFKTNNFRIQLDPPKTHPDRCVLCDQHDESIDHILVASPKSRQLWWLALSAVGQQRCLQMNESYFYLWLCDSRKKVDKRTWPEVAKAMVAEATLRHLAGTLVPVLGP >OGLUM06G06870.1 pep chromosome:ALNU02000000:6:5055409:5056029:1 gene:OGLUM06G06870 transcript:OGLUM06G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSPSVTAFFGLLVSLYATGFFAYLDLRQSGGESSCVVRAPLPLDAAVHTMMLASAAAAAMVGFNTAVALIYARLGGGGGGAVDRRIPRVVYLILFASSGVLHVFLVPQPGAIDGGQDLLPLAAVAVACVLRPAAAAATFFLSMTLIYAHVRAVGGGEAAAAAGNVPIATTTVELLAKLVLAAALVTVVLTLTATVLAASYAD >OGLUM06G06880.1 pep chromosome:ALNU02000000:6:5059287:5065852:-1 gene:OGLUM06G06880 transcript:OGLUM06G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSSEESGCQLGEQLDDRRTGMENNLIHRTKRLKQCHQQPFKQSRIKVQFADLPEDLLCTIFSKMPLKDVVRTSVLSRNWRHMWTCCTKLRFDGVTISSNNINAVLHQHSGKIVEEFEVKFEFDSKLVDHLNGLVDFAVSSQTKKMAFDLVPTNPVGLLDRYRFPFERLDNGSISRLQHIQLSFASLELPPHFSVFLNLRNLDLHLLRRIFKICYHNALILNVRCHIGGEIKVTQPLPRLLYLRVTYCDITKLQLNAINLKAFMYDGMRHPIDLGHALVLKEASLHFFGSVHLEDALTTLPSMLPCVQSLSLDAYVPLTTLPSVPPCVQPLCLDVYVSLEVSSLLKNTCKFSHLKYLQLKLRLYYHDSGNILSLASFLRASPCIEKLEIHPIRRLPQGEYGYLKNMHITGFVASTGELEFLLHVVESASILEVLTIEAAGMLGKDIDYEGRLKVEELTRRYLDGIICELWLPSWLASIVSMNALEAIVLPPCVALAIRRPRSGVWDYIWVNVSELAVEELTPTVVLELDFEPFNASFPCLFMSKSIGDGVQFLNRHLSSKLFQDKESLYPLLNFLEDHDHCGHGQNLPNAFVLHIFSCLNCLLLVL >OGLUM06G06880.2 pep chromosome:ALNU02000000:6:5059287:5063778:-1 gene:OGLUM06G06880 transcript:OGLUM06G06880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWSVRRFARHQPPSQSSEESGCQLGEQLDDRRTGMENNLIHRTKRLKQCHQQPFKQSRIKVQFADLPEDLLCTIFSKMPLKDVVRTSVLSRNWRHMWTCCTKLRFDGVTISSNNINAVLHQHSGKIVEEFEVKFEFDSKLVDHLNGLVDFAVSSQTKKMAFDLVPTNPVGLLDRYRFPFERLDNGSISRLQHIQLSFASLELPPHFSVFLNLRNLDLHLLRRIFKICYHNALILNVRCHIGGEIKVTQPLPRLLYLRVTYCDITKLQLNAINLKAFMYDGMRHPIDLGHALVLKEASLHFFGSVHLEDALTTLPSMLPCVQSLSLDAYVPLTTLPSVPPCVQPLCLDVYVSLEVSSLLKNTCKFSHLKYLQLKLRLYYHDSGNILSLASFLRASPCIEKLEIHPIRRLPQGEYGYLKNMHITGFVASTGELEFLLHVVESASILEVLTIEAAGMLGKDIDYEGRLKVEELTRRYLDGIICELWLPSWLASIVSMNALEAIVLPPCVALAIRRPRSGVWDYIWVNVSELAVEELTPTVVLELDFEPFNASFPCLFMSKSIGDGVQFLNRHLSSKLFQDKESLYPLLNFLEDHDHCGHGQNLPNAFVLHIFSCLNCLLLVL >OGLUM06G06880.3 pep chromosome:ALNU02000000:6:5065255:5065852:-1 gene:OGLUM06G06880 transcript:OGLUM06G06880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVQESPLSESNSWT >OGLUM06G06890.1 pep chromosome:ALNU02000000:6:5074878:5077182:-1 gene:OGLUM06G06890 transcript:OGLUM06G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLFACFGRGGEEAEEEAGKRPALRRRRTVNLRSLSLEDLSRTLAKTNLHAFTLDELKAATKNFSTSNFLGEGGFGPVYKGFVDGELRPGALESQHVAVKYLDSDGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNQDDHRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDKDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTARSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRIMDPSLELQYSARAAHAAAKVAHQCLQSVPKSRPCMRDVVDALEPLLAVDDDVPMGPFVFTVGGEEAAAAAAGSSAAGDAGDDEPARGSRRGKKHVTSAVHAESPLRDGRYASRVKRPESPPSVI >OGLUM06G06900.1 pep chromosome:ALNU02000000:6:5085746:5088970:-1 gene:OGLUM06G06900 transcript:OGLUM06G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKKRVAIVGAGASGLTACKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPVDVSGAEVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLAAEYAGVPDEEAAAWDRWSGNGEAFGDGSGEWLLTIHRFDFLILCTGRFSGVAHTPTFPPNRGPEVFHGQVLHSMDYSNMGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVRKPGEGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDAGERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSVVRMEASAAEWDEHLVTRRHGEGGGGGDKPCLGAVSTWYNDELCRDMGYEPRRKKGILAEWLQPYGPADYAEHCSCIYISAWKIVGEKAKRRKSRGPKVPVARAMA >OGLUM06G06900.2 pep chromosome:ALNU02000000:6:5085732:5088970:-1 gene:OGLUM06G06900 transcript:OGLUM06G06900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATKKRVAIVGAGASGLTACKHALAKGFRPVVFEAAGDGVGGVWRRTLASTRLQTPAFAYRFSDFPWPVDVSGAEVFPRHDQVVEYLAAYARRHGVTECVRFGCKVLAAEYAGVPDEEAAAWDRWSGNGEAFGDGSGEWLLTIHRFDFLILCTGRFSGVAHTPTFPPNRGPEVFHGQVLHSMDYSNMGHAAADELIRGKRVAVVGSGKSAFDTVAECAAANGGRYPCAMICRSGRWMVNGGFVWGVSLGHLFCNRLAELTVRKPGEGLALALLAILLTPLRWLLSKLAETYFKMQIPMEKHGMVPEESFAGSMSGCRLGVLPDKFYDRVEEGSILIKRARSFSFCTDGLVLDDDDAGERVDADVVVLATGFRGDQKLTDMFVSATFKQQIVAAPLYRQCVHPRIPQMAVIGYTENLTSIYTFEMMAKWVAHLLAGAFRLPSVVRMEASAAEWDEHLVTRRHGEGGGGGDKPCLGAVSTWYNDELCRDMGYEPRRKKGILAEWLQPYGPADYAEDRGREGEETKIKRTKGPRGKSDGVIERR >OGLUM06G06910.1 pep chromosome:ALNU02000000:6:5088989:5092874:-1 gene:OGLUM06G06910 transcript:OGLUM06G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6F2] MEASKAKRSVAIVGAGASGLAACKHLLARGFRPVVFESGEAAGGVWRRTLATTRLQTPAQAYRFSDFPWPAAAAAGTEEEFPRHDEVAAYLDAYARRFGVLERVRFGSKVVSAEYAGVPEEEAAAWEQWSGNGEAFGDGRGEWLLTVQHRESENLQLYKFDFVILCIGRYGVASVPTFPPKGGPEAFHGQVLHSMDYSSMDHAAAAELIRGKRVAVVGSGKSAFDTVAQCADANGSKYPCTMVYRSPQWMVDAGLVWGVNLQHLTTSRLAELMVHKPGEGLFLSLLTTMLTPLRWLPSKLTETFYKWHTPMRRHGMVPGYSFSQSILACRLGILPKRFYDRVDDGSIVLRRCDPYFSFCVGGLVLDGATGDHIVDADVVILATGFQADRHLRDIFVPPWFSKIVAESSDATVPLYRWCVHRHIPQMATIDYAESASNIYLYEMMAKWVAHLLDGAFQLPSIARMEKSVVEWVGWAHDMRRCSGNYFRKPCIGTIITCYAVIWDKPKKKERDSCGAG >OGLUM06G06920.1 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMDDPDVLTEKKDINENMLGGKKVVLEVEKELSAAILTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLQINISPEFVLFSIVLRKKWKGAFWGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.2 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMDDPDVLTEKKDINENMLGGKKVKIIFVLASMNRTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVTLVTYFQLLQINISPEFVLFSIVLRKKWKGAFWGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.3 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAMDDPDVLTEKKDINENMLGGKKVKIIFVLASMNRTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.4 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVVLEVEKELSAAILTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.5 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVKIIFVLASMNRTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.6 pep chromosome:ALNU02000000:6:5095391:5099250:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVVLEVEKELSAAILTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIDATKPAPEVFEDVKAIFTHMA >OGLUM06G06920.7 pep chromosome:ALNU02000000:6:5095391:5098638:1 gene:OGLUM06G06920 transcript:OGLUM06G06920.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLVASARLLPRPLVRWFLQRRAQQDINENMLGGKKVVLEVEKELSAAILTMIDTIIKEGKIVPSEITIKLLQEAIIKGGNDKYIIDGFPRNEENRVVFESVEEMERRLLGRNQGRSDDNIETIRKRLKVFVESSLPVIEYYESKGMVKKIIFLLK >OGLUM06G06930.1 pep chromosome:ALNU02000000:6:5101352:5105545:1 gene:OGLUM06G06930 transcript:OGLUM06G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADRLILSNLDHDLGYLHKFPSDFPMSHDLGLSLFTHAGTMVGSSLRQHRQICSSGNLIVQEAFDRLNKFARAFCYWLSRVSNPKNLRRLMSMEGPSSRACQSHINHLSSRMQNLAVLQFGYLVREEHAVQLLLANFASTTLGRLWNDFQQQHACNVLTLAGAMAIVPPLENISLKTLAESMALGNIKDYVSRPMDKPYLEDKCIKSRSVAVPSTIFQGDAIEPKTGIKFPAFLEDDSSPSTTILVGMGFKGVKVMRVKNLDLYAFGLYLQPNTISEKLGPKYASVPTINLKDNPDFYDDLLRENLPMRVRLVLHYNGLSIGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSYFNEDIPIPAGTKIDFCQTSDGQLITEIDGRQIGAVKSKDLCRALFGMYIGDSPVSLQAKKDIAQNVAGLIGKC >OGLUM06G06940.1 pep chromosome:ALNU02000000:6:5111551:5114291:1 gene:OGLUM06G06940 transcript:OGLUM06G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAMESGRGHGGGGGDLFGLGGALRPAAASAAASSAGWGSDSRVGMVHCEDAAAAGEEEESDGEVESSYRGPLDTMDALQQALPRSRRRRGTEFDNSKSSFLVSAKDDVLSSQHTKPEVPSPKKRKGLLPSSVDKNKSQSKELSPVDDATSSPTNSTSSPTNCRKALYPAVVDSSPGKNRGYDERECCKNRPCHCLQTKSINVMDAFASPPIALLPELTSVQTKLVAISLNEVAELTDVISPSEKRRKN >OGLUM06G06950.1 pep chromosome:ALNU02000000:6:5112513:5120274:-1 gene:OGLUM06G06950 transcript:OGLUM06G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRGGKEARGAAPGCDVRSRLPPQFAPHHMLASFLLVGHRRPSTARGSPRSIRAKRGSPPPYQQLVQLDGEAADPAVAFDPAASIRIGLLEISSERKKSSGGITSVLSDDGELAFTYNKYNGVIALEIGNGKAVQFPDHIVKT >OGLUM06G06960.1 pep chromosome:ALNU02000000:6:5122268:5129144:-1 gene:OGLUM06G06960 transcript:OGLUM06G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAPAAASYRALVALLLVAVAVADDGSTLLEIKKSFRNVDNVLYDWAGGDYCSWRGVLCDNVTFAVAALNLSGLNLGGEISPAVGRLKGIVSIDLKSNGLSGQIPDEIGDCSSLKTLLDGDIPFSVSKLKHIESLILKNNQLIGVIPSTLSQLPNLKILDLAQNKLSGEIPRLIYWNEVLQYLGLRSNNLEGSISPDICQLTGLWYFDVKNNSLTGPIPETIGNCTSFQVLSLQGNMFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNKLTGPIPPELGNMSTLHYLELNDNQLSGFIPPEFGKLTGLFDLNLANNNFEGPIPDNISSCVNLNSLNLSSNFLSGSIPIELSRINNLDTFNLSNNGLVGFIPAEIGNLRSIMEIDMSNNHLGGLIPQELGMLQNLMLLNLKNNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFLGNPGLCGYWLGSSCRSSGHQQKPLISKAAILGIAVGGLVILLMILVAVCRPHSPPVFKDVSVSKPVSNVPPKLVILHMNLSLLVYEDIMTMTENLSEKYIIGYGASSTVYKCVSKNRKPVAVKKLYAHYPQSFKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMENGSLWDVLHEGPTKKKKLDWETRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTANNAVMETVDPDIADTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVRPDLPPKSAQQLAMPQPPAVPSYINEYVSLRGTSVLSCTNSSCTSDAELFLKFGEVISQNTE >OGLUM06G06970.1 pep chromosome:ALNU02000000:6:5131052:5131726:1 gene:OGLUM06G06970 transcript:OGLUM06G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTVTMAAVAPEPSRADGVEGGGGGSRALSRGWRRGDEGGDAGGGPRALPSQMASRTATTVVAAAPEPSRHGWGRGQRWRWLPSPLLQMASRMAVPEPPVVNSVQDGVGSRALPRRMARRRWLPNPPARMVSREAAAAPEPSLADGIEDEGGDAGGGPRALPSQMASRTATTVAVAAPEPSRHGWGRGQRWRWLPSPLLQMASRMAAPEPPVVNSVQDGVVS >OGLUM06G06980.1 pep chromosome:ALNU02000000:6:5141435:5143508:-1 gene:OGLUM06G06980 transcript:OGLUM06G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNILHMSVGEKWSGVYGSADGEVFRRTESSAVTMEWAMAELLRCPDAIATATDEHRVVGRARWVAESDLPDLPYVDAVVKEALRLHPVGPLLVPHHAMEDTVAAGNDVPVGTHVLVNVWAIARNPTSWPDRLDVFLPERFLPGGGAGGVTVVFL >OGLUM06G06990.1 pep chromosome:ALNU02000000:6:5154303:5163618:-1 gene:OGLUM06G06990 transcript:OGLUM06G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILAETFVGLRDRCQPPLQPKKAPLSRDVHSKVESERPAHAHGQPRGNRFDSNIPIAAITDPPLPIFSASAISPPPPPPSSPLLPADASPDQELPADERASEPRGRMGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFMFLVVSALLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPIGLKTGMCMSLVFLPFAIANALTAKINAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >OGLUM06G06990.2 pep chromosome:ALNU02000000:6:5154303:5163618:-1 gene:OGLUM06G06990 transcript:OGLUM06G06990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILAETFVGLRDRCQPPLQPKKAPLSRDVHSKVESERPAHAHGQPRGNRFDSNIPIAAITDPPLPIFSASAISPPPPPPSSPLLPADASPDQELPADERASEPRGRMGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCVTLFPLWMPKEPFSPCLVNPQVGGIGRDLFGDDYTSDVRSFTNLRTVFHNITLTGFMFLVVSALLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPIGLKTGMCMSLVFLPFAIANALTAKINAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >OGLUM06G06990.3 pep chromosome:ALNU02000000:6:5154303:5163618:-1 gene:OGLUM06G06990 transcript:OGLUM06G06990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILAETFVGLRDRCQPPLQPKKAPLSRDVHSKVESERPAHAHGQPRGNRFDSNIPIAAITDPPLPIFSASAISPPPPPPSSPLLPADASPDQELPADERASEPRGRMGYIGAHGVETLKRYRYSGQDHSVVAKYVLQPFWSRCITLTGFMFLVVSALLGYIYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGRFTFCFWVIAAVPFYLATWEHFFTNTLILPLINGPTEGLMLIYLSHSFTFLTGAEWWAQDFRKSIPLLSWVPLPFIPDIPLYIIVMILMILFAVIPTIGSNVSNVQKVVEARKGSMVLALAMLLPFIALLTGVAVWSYLSPSDIMRNQPHLLVIGTGFAFGYLVGRMILAHLCDEPIGLKTGMCMSLVFLPFAIANALTAKINAGIPLVDELLVLFLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >OGLUM06G07000.1 pep chromosome:ALNU02000000:6:5164958:5175433:1 gene:OGLUM06G07000 transcript:OGLUM06G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDEIPTRFGGFSWGTFCPLENCKRKAAMNWFALVLRFIGSVLRTSRGCSRLRALLAADTSEGKSTGKENKSINTGRFDRVNNIVGGLHDVFSGLADAVDCVGGIGAITSLVRHVYDAQIAAAQWNGKELIDVPEDITPELAIEIWQIFLEHAAGDVIDKAPSLSVKVGQQIILREKIKAASMKLQSKKAAIEVLESHIKCTEEIVKAKIGKERKNYEKEFSFARGLGGHIPYEAMFVWYCYYLEFGGLHMKRERAFNQSAQPSSAAWSVSHDPHPRWTCKCNPSRVSFSVSNKNPCTLHQARLQNLIQYFQCPMTQIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFKLLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDHPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHQFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEAIRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAAHELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGIRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVANIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >OGLUM06G07000.2 pep chromosome:ALNU02000000:6:5165719:5175433:1 gene:OGLUM06G07000 transcript:OGLUM06G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFALVLRFIGSVLRTSRGCSRLRALLAADTSEGKSTGKENKSINTGRFDRVNNIVGGLHDVFSGLADAVDCVGGIGAITSLVRHVYDAQIAAAQWNGKELIDVPEDITPELAIEIWQIFLEHAAGDVIDKAPSLSVKVGQQIILREKIKAASMKLQSKKAAIEVLESHIKCTEEIVKAKIGKERKNYEKEFSFARGLGGHIPYEAMFLNPRRRRGQCPMIPIRDGPANAILIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFKLLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDHPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHQFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEAIRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAAHELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGIRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVANIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >OGLUM06G07000.3 pep chromosome:ALNU02000000:6:5165719:5175433:1 gene:OGLUM06G07000 transcript:OGLUM06G07000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFALVLRFIGSVLRTSRGCSRLRALLAADTSEGKSTGKENKSINTGRFDRVNNIVGGLHDVFSGLADAVDCVGGIGAITSLVRHVYDAQIAAAQWNGKELIDVPEDITPELAIEIWQIFLEHAAGDVIDKAPSLSVKVGQQIILREKIKAASMKLQSKKAAIEVLESHIKCTEEIVKAKIGKERKNYEKEFSFARGLGGHIPYEAMFVWYCYYLEFGGLHMKRERAFNQSAQPSSAAWSVSHDPHPRWTCKCNPSRVSFSVSNKNPCTLHQARLQNLIQYFQCPMTQIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFKLLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDHPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHQFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEAIRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAAHELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGIRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVANIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >OGLUM06G07000.4 pep chromosome:ALNU02000000:6:5164958:5175433:1 gene:OGLUM06G07000 transcript:OGLUM06G07000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIRDGPANAILIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFKLLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDHPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHQFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEAIRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAAHELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGIRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVANIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >OGLUM06G07000.5 pep chromosome:ALNU02000000:6:5164958:5175433:1 gene:OGLUM06G07000 transcript:OGLUM06G07000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIRDGPANAILIGQRKKNRNRQTCTKGMDAAGRRIVRALIRANDAMEPVTDTIASAAALVGLVYGAMEVVRDIRVVVGGMLRVARGSGDGGQAEAAPAVDLAGACGLGTSTSSTGMENELTCRRTTSDHCHQKLNHGKGRNVQFDDLPEDVICLIFSKLQLKDLVSTSVLSSKWKHMWTICPTLRFDSSTLCGSNMCSAEQFTQKFIDNVNAVLQQHRGKLVEALEIKIDFNSRLANHLNNWVSFAVSSKTKSLAFYLPQNYTSNVFYIFPFKLLDVKTLSRLQHIQLGFVSLIKLPFQFSGFPNLKKLDLLLLRTTREDLEDMIANCPNLEWLSLNRCHIYDELKFDHPFTRLIYLQVIHCQITKIEIDAVNLKAFVYKGFKLRVDLSEAKGLETVDIEFFGIALDYLLTAIPSALPSVQNLTIEARILQESPWFVETSCKFSQLKCLRMLLHHQFSDNRNTLGLASFLKAAPLIEQLELDFNDDGYISDVETEAIRSLPKCSYDHLKNVSIIGYVGCIGHVELLVHIVENAPALEALTIDRTQRRGRPLHEYSARLAGIAARGYLDGKILPTTKLDLYERQAGCYAISTVRFHISGPSPTAFLAMLARLRAASDAAHELDVCVRHGDDDDDYVVLHGCDRRRQHYGHGRSCLHGCSGIRAAGGLVRIVSGARHVRSAVGRYLAAADDDEEHDELSVGDKVLIAADVVVGAYDVVVGGIDVVVGVCDLAEYARGALARLRRMCPGVGIPVRFRIGKKKEDCGPKRIRKPGTKEVRFEDLSEDMQNMIFSKLPLKETVRTSVLSSKWRHLWKISPKLRFDGSTMRGEYMLEKLVGNVNATLKQQRGRMAEALEVKLEFQSRLVAFTGEKSILVDHLNNWVGSAASSCTTSLALDLAPKEFRDRHDRYMFPFELLDGKAASCLQQIQLSFVSLKPPTQFSGFPKLKKLSLHLVQVIAKDLQGLLSSCSNLEWLSIVRCNLNDDELKVDCALSRLLYLRIANCEISKIEMYAPKLKTFIYEGAQLPVDPIQAQELEVANIVFKGDITFQYALTVLPVVFPSVQNLTVHANFGLQFPWLLSTKSKFIQLKYLKLLLPQCSGDMDNIVYLASFLKAAPLLEVLEIHFNVPGYEDAGIPVLRSLPKCPYKNLKSIYITGFRGLKGQAEFLVHAVENAPALEVLTIDTATKIGVRSAQHIESAGGYVARSCLASIVSPKTKFQIVDTAR >OGLUM06G07010.1 pep chromosome:ALNU02000000:6:5177579:5178917:1 gene:OGLUM06G07010 transcript:OGLUM06G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGGGSGRCLFTATQWQELEHQALIYKYMAAGAPVPPDLLLHLRHRAAAAAAADVDTVPSLAFPPHHLGWGCYGAAAAQYGRRVEDPEPGRCRRTDGKKWRCSREAYGESKYCEKHMHRGKNRSRKPVEMPPPAAASVYRPSALSISPPPHDADAPSYGAGAGAPLQLHLDSFHASTSPPPSYHRYAHTSSAPLFPSSAAGYGGGWSLSKEHCLTLGGAAADLSLDEPADHHHDATSATTEKPLRRFFDEWPRSDDGRTPWDGTQLSISIPTAAAASPDLAIAGAASRYHSNGDHLRTSE >OGLUM06G07020.1 pep chromosome:ALNU02000000:6:5187102:5188276:1 gene:OGLUM06G07020 transcript:OGLUM06G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGASCWWRMECAKEWKAGRERDQMKAGASVEAMTSERSGKIGGGRSGDDATSLVSEKTRVRFGAAAQRGMSGVTFWRAEGASAPSVSSPPPPLWRRGRRGVGFGGKGKGGDGRKSERIEAVTVLPSSRVVVFPSCSCRRRAPAAVVLLATVAAPPLSSLPPRLPASRRFASPRKREEEKEGDGVDYADMWGPRGSHADSAAT >OGLUM06G07040.1 pep chromosome:ALNU02000000:6:5218046:5219330:1 gene:OGLUM06G07040 transcript:OGLUM06G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVPIASAQVSWALSSSTFQPGPFISSNCPSRLVFLVVLQVCFHLSSISEFLPPAARRRSEVRGAPPLAGAGNVVFPPAVYPNRESSPNPGGLRVSSRNLARTVRPGLLPPIGSARKIHRSQFLPPVRI >OGLUM06G07050.1 pep chromosome:ALNU02000000:6:5228455:5228829:-1 gene:OGLUM06G07050 transcript:OGLUM06G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMPPMLLLGQPGVEGRWMEEGVAASASQNLNRRRDALTPVTMSFLDDKDDKAFLLALAPTKEAAVNSSDSKSRRLSMTLSPTSTSPSLAAVLQGSYLIHVERRYLASLGPASAPSSERVGET >OGLUM06G07060.1 pep chromosome:ALNU02000000:6:5231569:5234683:-1 gene:OGLUM06G07060 transcript:OGLUM06G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSAELLVLVLFLALLVVSLVVRPLKGAGFWGLEIWKWCVMVICVFSGHLVSHWVVTLVVFLVERNFLLRNKVLYFVFGLKKSVQVCLWIGLVLIAWSQLFDRDVGRSAKTARILNYVSRFLASVLIGSVIWLVKTFLMKVVASTFHRKAFFDRILENVFDQYVLQTLSGPPVMELAENVGREGSGLGRVSFTKPKEEKGSPGVIDVMKLRKMSQEKVSAWTMKGLMAAIGSSRLSTISNTIESFDDVDGMEQKDKEINNEWEAKAAASAIFKNVARPGYKHIEEVDLLRFFNKEEVDLVLQRFEGAFETRKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLIRVLVIIIIIIITLLLMGIATTKILLVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGIQMVVEEMNILTTIFLKNDNEKVYYPNSVLSTKAISNFYRSPNMYDTINFAIDVSTSIERIGALKSRIKGYIDSKPTHWCPIHTVNLKDILDVNKINMSLCVQHTMNFQNIRERNLRRSELVMELKKLFEEMSITYNLLPQKVELSFVGPNPIPIALPQSR >OGLUM06G07060.2 pep chromosome:ALNU02000000:6:5230723:5231567:-1 gene:OGLUM06G07060 transcript:OGLUM06G07060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLTTDDRKVGWRAVGPSVHQRTTLAPGNSNAVAGDGGSSAVRIRRRRPARASLSGSGSGHHRFTTSAAIATGDLLSSPTGWDDELRADLARTQRPYSSPLPGTARSRRELGGADVASPVSFFPPLSLSPDMGGCDSYV >OGLUM06G07070.1 pep chromosome:ALNU02000000:6:5241630:5244462:1 gene:OGLUM06G07070 transcript:OGLUM06G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSIPPPQPNATKYKVALLQLPVSPRKEENIARARARLDAAAASGATLVEIWNCPYSMETLPSHGEDIDGGASPSVSMLSEVAARRRITIVGGSIPERSSGRLFNTCCVIGPDGQIKAKHRKLHLFEIDIPGDITFRESDTFTAGQEPTIVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGQLLWDLMQKSRAVDNQLFVVTCSPARDPNAESDYMIWGHSSLIGPFGEVIATAGHEEATVVGEIDHSMIQTIRENLPLEMQRREDLYSTHWLMSGENLQATRHAPLDQMHNCNEVKMALQPWK >OGLUM06G07080.1 pep chromosome:ALNU02000000:6:5244678:5252685:1 gene:OGLUM06G07080 transcript:OGLUM06G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVPSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDAITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMNSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >OGLUM06G07080.2 pep chromosome:ALNU02000000:6:5244678:5252685:1 gene:OGLUM06G07080 transcript:OGLUM06G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRAGTEKGAAAGRGQTAIQSTIQSIKEVVGGHSDADIYAALRECNMDPNETTQKLLNQDPFHEVKRKRDKKRESAGQKTVPDASAQAEHNSQWTKPRTQRVENDQRRAYSHGQASGPNREFRVVRDNRHGVVENRPELGHKGSPNVKVSDRSVPVVQTGRNHPPATTSEGQITQGAKHSYNSDVHQVKRQAQGTAQKYVKPHLKNSQDEQHPPTSDPAHTRPNLKAAEGAVGSVRRHVGVVNVQRQPSGRSSSHLHVPSGGSHVNNQRGNFVPGGPSGRHFMSKNMQSVHRTALDSVHRGRSGGRSFVASSSKYQQGPASNQKVTQPAKEWKPKSTKKSSNIDTDNNNGTDAITTSASNTENSNVLDENALCEKTSQACIHEVEHVIIPEHLRVPEYEQTGLRFGSFSPGSGADQVSSSESPSESEEQEHVQEPVQLVVEDDSLRAGHDEVDVEARSSQLNLSTLTAEISLPPSEDSVEMNGEEVENDDGLGLVQSDTPLAPVDGQNMQSASNLTAFSTYGHEDPNMHPSNEAQLYRLVEPNVHPQVMASPSQGYPSENPEADNAVQVFRIPESNAISSQIVSSSPVAISQQLQHMSQPQQAAQLYPPVHMQHYPNFMPYRHHIYSPVYVPPMAMPNFPTNIPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGSPSGYGNYTHPAGFTFSSPGVGVIGGAVGVDDVNRIKYKDNNLYAPSPQVETSDIWIQTPREMPTLQCPPYFNLSGQATSGAFVPNPGNASFNATAQSSHAQFPGLYHAQQPSSIVSPHPMVHQQVQSAIGPNGGVGVATPAPQVGAYQQPQLGHWRPGF >OGLUM06G07090.1 pep chromosome:ALNU02000000:6:5254810:5261650:1 gene:OGLUM06G07090 transcript:OGLUM06G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLRSGAHLHRDPTMNPGLGSARCPRCLSLLTPNSSGEGDWAITSVLHDATAVAGSGAGAMLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLLFSGTSALFGAYALPTFAQLTVTSYYAASSASHYAVSQITRQIERSHLSDTNGNSR >OGLUM06G07090.2 pep chromosome:ALNU02000000:6:5260076:5262030:1 gene:OGLUM06G07090 transcript:OGLUM06G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAREKGRAAAGRGGVRGCGGGEAAVGRLRLRRGARRSDGRPAMRRRRHGVGGRAAAPWPQLGGNRSWFKLPMLSSQQASYVSEDQQHEEDDGDGDEVLIPGLPARFTYAELEANREFFKPLTMAADRFLHFASLVSVVVLAAGSRSPGGVAALPRRGQLVDGGDNDKNKCVYMLYYMGTGWIWKAGTDAAIGVELTAADGSGFAVRDLERWGGLMGAEHDYYERATAARGCPILLRTHRTTSTSQTSLATLTQPSRLASTSYHLLPLVPLATDLALTSSSLPPLACLAPNRDTRSR >OGLUM06G07100.1 pep chromosome:ALNU02000000:6:5266195:5266704:-1 gene:OGLUM06G07100 transcript:OGLUM06G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRRRRRCDLAAQPGRGCDDGICPFAAAACGHKMATLDGRVRGRGDPFFSLTLSLSNPTTWMEMKRNGGRDSSFMADRRRGVGAVAARRRGFVGGSARSDMHSLERESRTGESLAFGLATATPAGAVFPLGHCCIFFPLLVGSSGENHILS >OGLUM06G07110.1 pep chromosome:ALNU02000000:6:5272919:5273704:1 gene:OGLUM06G07110 transcript:OGLUM06G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHGMHGVAAAAPDATIRLFGRDVVSNDDAVVVVVDGQLPKEEAEEEAGGGAAAAAGETRRFECHYCRRNFPTSQALGGHQNAHKRERQHARRAHLEASLAAAHYLGPSAHLVYGGAALFGYGGHAAAVSPQYGPVWASSAVAPPGLYATSMGMARPAAYGAGVDVSALWRASSSSSSSPPMMGSGGGGAFGTVAGGGRHGEAAAAALVGCRAGKDENVVMSVVTSLPSLPSWQLPAPEKMGRSELGQEAGVVSLELRL >OGLUM06G07120.1 pep chromosome:ALNU02000000:6:5277646:5291224:1 gene:OGLUM06G07120 transcript:OGLUM06G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6I7] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPLHALSLIAAGEELRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQAIQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKDCNVKLQSRLEQGEEAKAALLERIEHLTELILVSAKASRTTKSSHCPRRRHSFGEEELAYLPYERQDIILDNESNMLFVPIEGFGEKFKSSPKEETENQKGNLNWLKLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVQANLLCVGHGEFPSDSLPVQETPLVSRKNSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYDPARRGDMSALEAMLKEKDQRQAELHTKIEESKQKEAFLERELANMWTVLANLKKTGGIDQEDFDSKYNGSWA >OGLUM06G07120.2 pep chromosome:ALNU02000000:6:5284026:5291224:1 gene:OGLUM06G07120 transcript:OGLUM06G07120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6I7] MSTGKLPRLWKLRHVGSTNFNLLSSRSHTIFTLTIESSPRGQSNEAEAVTLSQLNLIDLAGSESSRVETAGVHQKEGSYINKSLLTLGKVISKLTDEKATHIPFRDSKLTRLLKSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQAIQNKIMDARSLIKKYQNEIRQLKEELEQLRRSIRTGTPIEDTMQKKHHLLETKDCNVKLQSRLEQGEEAKAALLERIEHLTELILVSAKASRTTKSSHCPRRRHSFGEEELAYLPYERQDIILDNESNMLFVPIEGFGEKFKSSPKEETENQKGNLNWLKLRKCDSGSTNLTSSDGENPSSTKSLPALSTPLGIGFFNVTSEQRMSDYMLAENVQANLLCVGHGEFPSDSLPVQETPLVSRKNSDHVDILREQFNILSGEVALHQSVLKRLSEEAGKNAMNEQIEMEMKVVNDEVKLNKQKIASLERRISNSMSDSRGMHDNLELSLPYIEIPEQLNEKAFQLEASECQEFLLSERTTFQHNTGIVQETGSQAHKGKPLPSDVSDEFLKKASQAEIDELKQRVSELTEAKSQLDSCNHKLLEESTYAKGLASVTSVELKALSVKVTKLMKQNERLSSELASGRNQRRGSHGPRGARRESHTKRYDPARRGDMSALEAMLKEKDQRQAELHTKIEESKQKEAFLERELANMWTVLANLKKTGGIDQEDFDSKYNGSWA >OGLUM06G07120.3 pep chromosome:ALNU02000000:6:5277646:5281947:1 gene:OGLUM06G07120 transcript:OGLUM06G07120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6I7] MASRHRRGAFPAGAKAAPEAEAAKESVAVAVRFRPLSPREVRRGEKIAWYADGETVARSEQSNLAYAYDRVFGPTTTTRHIYDAVAQYVVNGAMKGINGTIFAYGVTSSGKTHTMHGDQISPGVIPLAVKDIFNIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDLQGTIVEGIKEEAVLSPLHALSLIAAGEDTN >OGLUM06G07130.1 pep chromosome:ALNU02000000:6:5293600:5294112:1 gene:OGLUM06G07130 transcript:OGLUM06G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGGYYSYYNGHQPAPYYYGYAQPARVAGGGGSQRPSAHALLLVATLLLVAVTTLYARCEEAVESLLDQLRVLLILSPLLLIVAVQVWAASAAAAADRRGAGGGLMYLLAQLMGMGDGGGSPYGRWHGGGGGASSSPWGVALVLVLVLFLVSYQSSFQSWWFPLLSRR >OGLUM06G07140.1 pep chromosome:ALNU02000000:6:5301372:5307840:1 gene:OGLUM06G07140 transcript:OGLUM06G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLRVDLSGAEIRVDPAGGAAADDGGSPPVFLPRQPAAPPLLALDIGGTLIKLVYTASCGGGGAELRFAKFERRRMQECFDFVRAQGLVHRNGSTMGSSKENIALKASGGGAYKYTEDFREKLGVCLDKVDEMDSVVSGANFLLQSVPGAAFTHMNGKKSSVDISPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSTSTLASSFGKVITSKKKLTDYRPEDLASTLLSAFTYNIAQISFLVASILRLRRVFFGGSYIRGHKSTMQNISYAIDFWSQSKMQAVFLQHEGYLGALGALMSYGDSGDKNMNLEEMKEEENIHESATPIDETSADEHNDGNIFPYLLVNIGSGVSMIEVTGNGKFERIIGSHLGGGTILGLARLLTGCSSYDEFLELSQRGNNLAVDLTVGDIYGEHGYPKIGLPASTTAASFGKVSSSRLSEYKVEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYICGHEKTMDKISHSLKSKGQVQTTFLCHEGFLGTLGAFWSYENMGIDGLAAHDVIREVLLGAPYTGQLPSLPLTHQQDNGEDTTFEGEVERLRHDNAVLKAELERLQRENTELKAKLVKSGKPNTFYHQT >OGLUM06G07150.1 pep chromosome:ALNU02000000:6:5312873:5313178:1 gene:OGLUM06G07150 transcript:OGLUM06G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMAEAAASMSPELAAALAKVAVFAVVQALVYLILRKSSGVFSPDRTAAAGARSLSFRPMRSMSVRRFLAALSDVPVGVPEDGGSPAPGPPHRGPADLAE >OGLUM06G07160.1 pep chromosome:ALNU02000000:6:5328852:5332781:1 gene:OGLUM06G07160 transcript:OGLUM06G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLWKQSGLAGMACAADVGAAGAGRRARLAVYVLAVAFAAFTAYVAVSSSSPPPAGEGASWFGGVYASTAPYRAQVSGFFSSIFPTGSSTPSPEQQPPPPRRGEGGGQVSSHGIDEHARVRSGAAHSVPVDPAASTKHSGSGGGGGAASNNGGGGSAPPPGNLAGSGTPPAKGSGGDGGGAPANNSTSGGAPANSAVEQSSPAGDGGGSPSTASSSAGKSSSANTGEESVDKSNKQSGSGGEAPSNGDAVSDKKNSTAKADTEVAVKASSDNSTGTGSSAKGESNVGSNSSAGSGNGVASSVSSAAVNSTAVKTDAKDVVVATSTDSAGSVSDVKADLSNRSDAPPASGSGHSNHTSDVTVSPAKGNAKDGGADTNKASGNVASTSNQTASTAMVAKKAGGSPSKNQTSVASTNSKNQNQTSAGVASGGSSGTTSKQEETTSQGSVGSSKDHPAQAINSKTSNYSEVLVKGNGSSTKQASQKQPDKKVDWIKEMASCDMFHGNWVRDESYPLYPEGSCPHIDEPFDCYLNGRPDRAYQKLRWQPSSCNIPRLNPTDMLERLRGKRLVFVGDSLNRNMWESLVCILRNSVKDKRKVFEASGRHEFKTEGSYSFLFTDYNCSVEFFRSPFLVQEWEMKVSNGKKKETLRLDIVEQSSPKYKDADFLIFNTGHWWTHEKTSLGKDYYQEGNHVYSELNVVDAFHKALVTWSRWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKETEPIRNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKRNLTEDERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMLQQ >OGLUM06G07170.1 pep chromosome:ALNU02000000:6:5337331:5338780:1 gene:OGLUM06G07170 transcript:OGLUM06G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRRRPQCVSLQSSDPSTSTAATQNAAARSREGGGGDGVGRRLHQLHHHGNVDLGKKSSGVARRRLALLQQENGVDCSDSKGPGEEHGGAGDAHRSVPLPCTGGEVGSKSEPAAAVAPAVIVDVKEEEKSVGNGGGGGGAKKRRGGGAPAVLMEGSRCSRVNGRGWRCSQPTLVGYALCEHHLGKGRMRSVTGGGGGRGGASQLGRTEHRPPATARNPAAAAAPPPKADEPGPNHIAHH >OGLUM06G07180.1 pep chromosome:ALNU02000000:6:5340939:5350792:-1 gene:OGLUM06G07180 transcript:OGLUM06G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVGGWLAGCWVPCKEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >OGLUM06G07180.2 pep chromosome:ALNU02000000:6:5340939:5351451:-1 gene:OGLUM06G07180 transcript:OGLUM06G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVGRLAARGHPRAATSPPPSLSLSSQVPTRAKRRARGESEVKLLRGARASSSSLPSRGPGSAGRRRSHPPRFVAPRRINAEMSGQERDDVPMLELQRFPTRSVSMCIPVRDDIYEDSIISHSGPIFTPAPTQYTSVAIPSGNRDMLDKLPRPKVKSKPHVVTPEEVGISNWPYDQHVPKNKHLMMYSEPLGLCDNPDCVDCPRACKNKRHFQRSLAPFDNKFHNILYGYGDRWKKKAGHYLSYIPIMKPHDKAVHRWNQFFVISCLLAIFNDPLFFFLLSVDKDYKCIVFNWNFAIALAVGRSVTDAIYFLHMLLQFRLAYVAPESRVVGTGDLVDEPMKIAMRYLRGFFVLDLFVVLPLPQVMILLVIPKYVGLSSANYAKNLLRATVLLQYVPRIIRFVPLLGGQSTNGFIFESAWSTFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDSCAASNISKALCNNCTDCGITGINRTNWLNNSDLTGCFDTKSGNFPYGIYQQAVLLTTEPGLKRYIYSLFWGFQQISTLAGNLIPSYFVWEVIFTMAIIGLGLLLFALLIGSMQNFLQALGKRRLEMQLRRRDVEQWMSHRRLPEDLRRRVRSAERFSWVATRGVNEEELLSNLPEDIQRGIRRHFFGFLKKVRLFNLMDNATWDAICDKLRQNLYITGSDILYQGGPVEKMVFIVRGRLESISADGNKSPLQEGDVCGEELLSWYLEQSSVNRDGGKIKLHGMRLVAIRTVRCLTNVEAFVLRARDLEEVTSQFSRFLRNPLVLGTIRYESPYWKNLAANRIQVAWRYRKRRLKRAEMQRLQ >OGLUM06G07190.1 pep chromosome:ALNU02000000:6:5365684:5366849:1 gene:OGLUM06G07190 transcript:OGLUM06G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPQPPARRVVAPRSSSKLTQPRNGQVGGSRTSDLTAHAVESLAYGSHFVGPHISDSTVQQLSQRIPFTLA >OGLUM06G07200.1 pep chromosome:ALNU02000000:6:5375889:5378966:-1 gene:OGLUM06G07200 transcript:OGLUM06G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGDEPEGSDSQRRRKRYHRHTPRQIQQLEAMFKECPHPDENQRAQLSRELGLEPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENIAIREALKNVICPTCGGPPVGEDYFDEQKLRMENARLKEELDRVSNLTSKYLGRPFTQLPPATPPMTVSSLDLSVGGMGGPSLDLDLLSGGSSGIPFQLPAPVSDMERPMMAEMATRAMDELIRLAQAGDHIWSKSPGGGVSGGDARETLNVDTYDSIFSKPGGSYRAPSINVEGSRESGLVLMSAVALADVFMDTNKWMEFFPSIVSKAHTIDVLVNGMGGRSESLILMYEELHIMTPAVPTREVNFVRYCRQIEQGLWAIADVSVDLQRDAHFGAPPPRSRRLPSGCLIADMANGYSKVYAMDNVPHAMVFWFRLADDVAHARVTWVEHMEVEEKSPINVLYRDLVLSGAAFGAHRWLAALQRACERYASLVALGVPHHIAGVTPEGKRSMMKLSQRMVNSFCSSLGASQMHQWTTLSGSNEVSVRVTMHRSTDPGQPNGVVLSAATSIWLPVPCDHVFAFVRDENTRSQVSHPLSPPLMSLTHSLCPPLLLLQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNASQNSMLILQESCTDASGSLVVYSPIDIPAANVVMSGEDPSSIPLLPSGFTILPDGRPGSAAGASTSSAGPLAAARGGGGGGAGGGSVVTVAFQILVSSLPSSKLNAESVATVNGLITTTVEQIKAALNCSAHGHHP >OGLUM06G07210.1 pep chromosome:ALNU02000000:6:5379875:5393004:-1 gene:OGLUM06G07210 transcript:OGLUM06G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGAGRGGRPPAAGEGKVLVKVSAAGVNRADTVQHQGRYPAPPSAFPYSGLECSGTILGLGPNVCALLSGGKYAEKVVVLAGQLLLVPEGVSLTDAAGLLEDVAEIAQVMMLNYVLNTTATPESLLARRRSDPRRPPRERGRKEKGAARREKEQEGGERKRDDGEGESPMCHVNATWNEDQSWHRGLVSRGESMLHPPVEPHKLRATSWAFTLPKLELQAHATEPGELLGTVAHEAPRVQVLDDMRDAHGDFVNLFSVVDEEPRIQVLDDMRDAHSDFVNLKSFGDVYRGRFDQPNPIAAKYAVYLCHVGRAE >OGLUM06G07220.1 pep chromosome:ALNU02000000:6:5406843:5407949:1 gene:OGLUM06G07220 transcript:OGLUM06G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAARFMDKVEAAAAAAEEEGDVGGEEVEALPEPPDDAGPVAWPMPEFCPLTIDGLVKESFMEALRKDAAEQALRDSEAVEAARSPESRPSSSKRQRAATASPQQQQQPSSSSSSRSPYRNILQVFQQCKQDVT >OGLUM06G07230.1 pep chromosome:ALNU02000000:6:5408380:5414966:-1 gene:OGLUM06G07230 transcript:OGLUM06G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRDEEEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMIGHERETAICLMQKFIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDAWVRMKLGIYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKDSGEYFEMIDGLMFKDGFLYKTVSIKSISTQNIQPSFDELEKFRKPGDDMNGDMSSLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVVSGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVETEAFQVLKGVPDRPEVVLVKLREIKSKIDRRTSAKDRSNNMISSKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSAGGRRYGYVRSKAWCFEISSKHFAITGKAAPKRTSYELFGGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGVLVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYPLGGETPMHPSRTPLHPYQTPMRDPGATPIHDGMRTPMRRGWAPMSPPRDNWEEGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSYNDAPTPRESNYGNAPSPYVPSTPVGQPMTPNSASYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGNWLLPDVLVNVLRAGDDGPGVVREVLADGSCRVALGSSGNGEIVTVLPTELEVIRPKKSDKIKIMNGNFRGYSGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAS >OGLUM06G07240.1 pep chromosome:ALNU02000000:6:5418554:5419127:-1 gene:OGLUM06G07240 transcript:OGLUM06G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRDWMDVRRRHGDRVPDACARVVVGVLLTGEAGVQELHAGQPHGRPHEGVAVSGGGHGDPAEQAAQPRLGRLGRWGLLQRLGYVTRVAYPLASLLLTVYCVLPAVCLLTGKSTFPGDVNYYDGILLILLLFSVATSVVLELRWSCVPLRAWWSDEKL >OGLUM06G07250.1 pep chromosome:ALNU02000000:6:5439039:5443371:1 gene:OGLUM06G07250 transcript:OGLUM06G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVMPKQRAMEAEQREEAMEMSGLELWKHEKPASMVVFLPPPPPPLVPAAAAAAAAACGEEATLVPPLNFAMVDDGIFRSGFPAAANFRFLKSLNLRSIVYLCPEPYPETNAEFLAKNGIKLHQFGIEGRKEPFVNIPDDKIREALKVVLDVRNQPLLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYQRFAAAKARSTDQRFMELFDISSLKHLTASHC >OGLUM06G07260.1 pep chromosome:ALNU02000000:6:5444020:5447414:1 gene:OGLUM06G07260 transcript:OGLUM06G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWPAAEAAGALVVAILAAAAGGAAGKTTIEPCAGADTCAALLGYTLYADMKVSEVAALFGADPRAVLAANALDFASPGAANRILPAGIPLHVPTRCACSDGVRKSVAVRYSARPADTLASVADIVFAGLASADQIRTANGLSAEDPDAPLDAGATLVVPLPCACFNSTDNNLPAVYLSYVVRVGDTVQSIAATHATTVTDISNVNAMGSPIVAPGDILAIPLPACASMFPNSASDYGLLVANGTYALTAGNCVQCSCGPGDLKLYCTPASLTASCSSMQCPNSNLMLGNVTAQSTSGGCNVSSCSYAGLVNGTIATSLSSGLQPTCPGPHQFPPLRATPIAVNQGSYLAPSPAPGAGEAGGDIPGFPGSSNVSPANGPSGSVSQAASVNRPHQIVALILSVALYFQM >OGLUM06G07270.1 pep chromosome:ALNU02000000:6:5451983:5458320:-1 gene:OGLUM06G07270 transcript:OGLUM06G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGSRRRVAGRPSVLLPTLPAKTPDQTQPRPHQLRCTTTPRGQTVRLDRIRTPPAARSSATPSNTTPPRAAIQVVRRVACRKPSSNQPTTMPPPPPNSSSSLPLAAAPMSNPSAPSSSSSSSLPPNPLAAASSFLHHHLSRLASRFAAPPRPALAAVTASAAPPGPQGASASLSLALAPDEVARALTGTPVFTVCNSSNEFVLVSDPATGLRSLGLLCFRSEDADALLTHVRMRQPVVGRGAKVVPITLDQDQLCIGRVMEHLAALA >OGLUM06G07280.1 pep chromosome:ALNU02000000:6:5479627:5481861:-1 gene:OGLUM06G07280 transcript:OGLUM06G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNLTSGLLPSLGVPAGLLGFFLARVWIRALDVVGVSHLPFTRQENTLIQTAVVSCSTIAFSGGFGTYILGMSGKSATEGHIGSHGRNVEEPNIGRLIAFLFLINFSGLFIIVPLRKMMIIRHRLTFPSGTATAHLINSFHTPHGAKQARQQVVTLFKSLGATVLWPIFQWFFAGGKNCGFQIFPTFGMAAYRRGFYFDFSTTNVGIGMICLPMITASMLAGSIVSWGILWPYIETKAGRWLPENLDANDLGGIMGYRVFVGVSMILADGLFTIVSALVRTACAMRKRRRGASTASAAPPPFHCLSAMERTMQSFDDRRRAQVFLRDRFPTWVAVASYAALAALSVVAVPLL >OGLUM06G07290.1 pep chromosome:ALNU02000000:6:5494955:5496319:1 gene:OGLUM06G07290 transcript:OGLUM06G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQLVTLLVLIALPARAASAPGAGAGGVVVARVTHADAGRGLAMPEIVRRMAHRARARRRLLSAAEAAPVRARVRAGLGAGGGIVTNEYLVHVSVGTPPRPVALTLDTGSDLVWTQCAPCLDCFEQGAAPVLDPAASSTHAALPCDAPLCRALPFTSCGGRSWGDRSCVYVYHYGDRSLTVGQLATDSFTFGGDDNAGGLAARRVTFGCGHINKGIFQANETGIAGFGRGRWSLPSQLNVTSFSYCFTSMFDTKSSSVVTLGAAAAELLHTHHAAHTGDVRTTRLIKNPSQPSLYFVPLRGISVGGARVAVPESRLRSSTIIDSGASITTLPEDVYEAVKAEFLSQVGLPAAAAGSAALDLCFALPVAALWRRPAVPALTLHLDGGADWELPRGNYVFEDYAARVLCVVLDAAAGEQVVIGNYQQQNTHVVYDLENDVLSFAPARCDKLAASL >OGLUM06G07300.1 pep chromosome:ALNU02000000:6:5497568:5498849:1 gene:OGLUM06G07300 transcript:OGLUM06G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRPAMGAGDMEHRQSHGDGGWWWIGTKEATWLSPETPSTLTAREGKYGIFENSVVARLEKEDRGKERRSIGWGLPGCWNEKHMEKNKARWAIGRHKEKEWKSFKRCQRLVGEYAVHFTIFVGR >OGLUM06G07310.1 pep chromosome:ALNU02000000:6:5501114:5510144:-1 gene:OGLUM06G07310 transcript:OGLUM06G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDRDAEKAATTTRGPVTDTVGPTRQWTHLSFSLPLTLADLNSQKNRDGQSGETLAPPHRPPGGFPTPTAVAPRRRAPPPPPPPPADADAASSSRGPGDDEGSGRAGASQEAGGGGCGVAPYEQARKALALRSPFDGDEAVGRDALLPARVARWAAVGDVRKKHKKAQQPEAAAAAAAAAAAAAVEQQPKPSSGSKEFWDLMEPYFREINWDDFEALMQAPLLGFNGPLDPCFLVPFVGSGKEFGENYDPSCVVVEDESSHLNSTLGKDSDELESSIVRSKQDSHGSSDFVGGNMDPVINNGANDEHGEQDMQEVVLQEEQPMEIEQDHGRSDAIALPPDTEESDVSLNWLLGARDRFVLTSERPNKKRKLLGADAGLERLVQLPPLEGEAGTTCDVCCLGECGTSSNRMLHCSSCKVSVHQKCYGVHVVPDQFWLCAWCKSIRSARRQTRSDAGRTVLMPCVLCPKEKGALKPVKRDSGQTADGGNLKFVHLFCSLWTPEVVVEDLNSMEPVTNVGDIQENRTKLVCSLCKVMHGACIRCSHGACRACFHPICARESKHQMEIWGKTGNTNVEMRAFCLKHSTVQETISIQNDRICAEEDTSQIELDDASLATQKIQQLRLTRNNKDKFTSSTIASSCSSSLKQTTELATSPSTARSVESQETQITDMAVDRPIGDRCLVSNSGDVSTALRKLIDQGMVNVGDIESELGVSSESLEAALVPETSTFSPGLKLKIIKLLQNTIRVPSVQEKCSKEGSLALQGESKSLTDTQICSELEEGISSFDHCCPEGDNTNKDWADSVENGFHNCGEDCISGKCFLNQDGSRCYVHPFIERKLRILWDHIFKQNKHPIHCHEQSTCDPHDQIAGSSSTKLEQLADIAVADQVSKAKSSGILEHSPHDEIEGELLHLQSRLLDDVGGAKQRYEDLVLKIVQSLSHDLDSFNKRKWDHIIANQFLRDLREAKKRGNTERRHKEAQAIMAAAAPCILPTSRNAPVRKVAECDVLSAKQESVPVAVPAKQEVHSPKQESIPKFNTGSSRVSQLISVQQANDSSPNSKVSADANIGSFDLAKFSKKNALPCDICMRSETVLNRIFVCSSCKAAVHLDCYRSVTNPTGPWKCELCQEMPSDVVAGSQSDCDGSKPCLAQCDLCHGTSGAFRKTIKGRCIHAFCAEWLLESTFTRGQCNAVDGMESLPKDKDTCTICHRNVGSCLKCSTVDCQITFHPTCARDAGFYMDTKTIGSTLEHKAYCGKHGIEQRKADLLQLHGPEEVKNMKQMRVDLEVLRLICERVVKREKLKKDLVVCGHDTLAARRNSIAYSTRTSYCGSGPGASSESATTSVNNSYSGLMQRTDDVAVDSIISRKPTVRFSLNNSDADRNTADSSTSSISYKQKLDDRESLADKNLPKKPATAMQISEEGETKSSDKKNQRPPKSIVYTRRSALSKKRQLSQNVEGPGG >OGLUM06G07320.1 pep chromosome:ALNU02000000:6:5511304:5511594:-1 gene:OGLUM06G07320 transcript:OGLUM06G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGSRGFDPARLDGVLALFGGEARAALAAAEEEHEAAARGTEAAVEAARGHLDDVMDAAVGKYRGSSGDADALSAATAAMDVAFKATTSNTRRS >OGLUM06G07330.1 pep chromosome:ALNU02000000:6:5513572:5514090:1 gene:OGLUM06G07330 transcript:OGLUM06G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALFESLRGSISSHIDQAKVYYKLKRNKSKFLHAPPQATTTTPHDRRVRALSAELWGSELAPPAVEGDADAAEAADERDAEEGYIGGNLHVSVRLPVVSEVLGDYWRKNGRVLSGVSLERGLALVGPEEGRMAEAKWKRQLEVETQTQGRRHDLAKEVCAMLIDAVRGLGP >OGLUM06G07340.1 pep chromosome:ALNU02000000:6:5522302:5522784:1 gene:OGLUM06G07340 transcript:OGLUM06G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPAPSTHYPARDRELLAGSSGAGAREEEPSADATADEEVEREGAALAASLAWSTTSMYLASSSRRRRKRPPATSSDVAWTVEDVTVSDELQRGTWMAKDTPVGDELRRGMWMTKDAATGNELRGVDGGGCGRRRRAPAWGVDDGGRGRR >OGLUM06G07350.1 pep chromosome:ALNU02000000:6:5528080:5530307:1 gene:OGLUM06G07350 transcript:OGLUM06G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPGDARPRCTALQNLPLTLLVSDLALLHCVPLLPPPPLRSPLTRSPDGRRRPRWCPPSLRRSRRRRRLCGCLCHLENDSSRWIRMFGEVHKSDYWLSSKAL >OGLUM06G07360.1 pep chromosome:ALNU02000000:6:5536406:5539452:1 gene:OGLUM06G07360 transcript:OGLUM06G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6L4] MGVGRALSDAKPYVAMVLLQVGFAGMYIVSVASLKRGMNHFVLVVYRNLVATVLMAPFALLLERGVRPKMTVRIFLKIMGLAILEPVLDQNLYYMGAKLTSAGFASALVNILPAVTFLLAVLLRMEKVRLRSLHSQAKIAGTVFTVAGAVLMIMYHGPVVQFPWSSSASGGAGHHVDGAAAAAAAAATASSASSWLNGTVMLVGSCVCWSGFFILQNNTLQSYPAELSLTALICVLGSAMSGAVALVAERRDMSVWVIGFDTRLFTAVYSGIVCSGVAYYVQGLVTRARGPVFVTAFQPLCMIITAVLGSTILKEEITLGSVIGAVIIVVGLYALIWGKGGDHADNGKPPAAAAAAPEKGLPLTTLQANGDGDGKLAVLVADVEMPAVKDVY >OGLUM06G07370.1 pep chromosome:ALNU02000000:6:5546688:5553554:1 gene:OGLUM06G07370 transcript:OGLUM06G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6L7] MKKNEAESLKPHSNLTMPPLRRRHRRRLLAAVVFLLLSAVVAHPAEGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLPSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSRLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >OGLUM06G07370.2 pep chromosome:ALNU02000000:6:5546688:5553607:1 gene:OGLUM06G07370 transcript:OGLUM06G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6L7] MKKNEAESLKPHSNLTMPPLRRRHRRRLLAAVVFLLLSAVVAHPAEGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLPSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGLVWRLIVKATGAPGHGSRLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKLMQKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >OGLUM06G07370.3 pep chromosome:ALNU02000000:6:5546688:5553607:1 gene:OGLUM06G07370 transcript:OGLUM06G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6L7] MKKNEAESLKPHSNLTMPPLRRRHRRRLLAAVVFLLLSAVVAHPAEGAFTELESAQIGRFQDYLRIRTAHPSPDYAGAAAFLLPYAASLGLRAATLHFTPCKSKPLLLLTWPGTDPSLPSLLLNSHLDSVPAEPQQWLHPPFAAHRDAATGRVYARGAQDDKCLPIQYLEAIRGLRDAGFAPTRTLHISLVPDEEIGGADGFEKFAQSEEFRDLNVGFMLDEGQASLTDEFRVFYGDRLVWRLIVKATGAPGHGSRLFDGAAVENLMDCVETIAGFREAQFGMVKSGKRGPGEVVSVNPVYMKAGTPSPTGFVMNMQPSEAEVGFDFRLPPTEDVEHIIRRIKEEWAPAHKNLTYKLMQKGPTRDLAGRPMVTPTNASNPWWSVFEQAIISAGGKLAKPEILSSTTDSRFIRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHIIRALSSFKG >OGLUM06G07380.1 pep chromosome:ALNU02000000:6:5556330:5558142:1 gene:OGLUM06G07380 transcript:OGLUM06G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHIEVSNTPHTHTEQQQQQHQTARHNERSLAFQAIKRFDMVKTAASNGAAAARRVGGGADGKRAAYKGVRMRSWGSWVSEIRAPSQKTRIWLGSYSTAEAAARAYDAALLCLKGSAAADLNFPVRLPFDLPAAAMSPKSIQRVAAAAAANANANASSSCSAAVFAGVDDDSGGASASEASTPACSSSDGAASPSPVSSPETVISDVDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFAPPPPPAMAMEEECGWEEEGDIALWSFSSLD >OGLUM06G07390.1 pep chromosome:ALNU02000000:6:5559758:5561725:-1 gene:OGLUM06G07390 transcript:OGLUM06G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAKVLHGGALQLTNDSNRLVGHAFHAAPVRFLDDGAGGGGGGVVSSFSTAFVLDIITVGSGGGHGLAFVVAPSITLPGASPEIYLGILGPHTNGNASDHVFAVEFDTVMDLEMNDTNGNHVGVDVNSLVSVVSEPVAYYAGDGSTKVPVQLESAQQILAWIDYDGGSSILNVTVAPATVTERPRRPLISTKLDLLPIFKENMYVGFSSATGKLASSHYILAWSFRTNGVARSIDLRRLPKVPRQSSPPPRLLIIKFAAVACAGTLTLIAAAMVAVLWLRRRAALADTLEEWELEHPQRIPYKELYKATKGFKESELLGAGGFGQVYRGVLRRRSGEAVAIKRISNGTRQGMREFVAEVASLGRMRHRNLVELRGWCKHDQDLLLVYEFMPGGSLDARLFGTAAAAAAAVKAPPPPLLTWAQRFAILKGVAHGLLYLHEEWEHVVVHRDVKANNVLLGAGDTGAARLGDFGLARLYEHGATPATTRVAGTLGYMAPELTFTSRATTATDVFSFGALLLEVACGRRPIEPAAGEADGDVLLVRWVRDRALDGDGGGDVLRAVDPRLEGCYDEEEARLVLWLGLMCSQARPEARPSMRQVCRYLDGEEMLQEDATPAAIFSGADSSDLFGGSFVVSMTSSSAGGTMSASSLQGGR >OGLUM06G07400.1 pep chromosome:ALNU02000000:6:5563023:5567813:1 gene:OGLUM06G07400 transcript:OGLUM06G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSDRSRESLLPSFLYSTAGARPYTAGGGAAFRIPAAAAPGGVGGGAPIEIQAPREKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSITSGFGILAKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYTQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLGDGLPKFIKSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEQIYKHAVPVPKSECSKSFQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPATSDAGLKAVSA >OGLUM06G07410.1 pep chromosome:ALNU02000000:6:5563062:5564051:-1 gene:OGLUM06G07410 transcript:OGLUM06G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGNGGGVRRRRWNLYWCYVCRRALRVVVPSATSDVYCPRCFGRFLHEIDLPVPRVSPPAEDQFFQPPFLPYDGPRRWVLYTGGGGGGDYGGADVTARRRRLPSPPPAPGTRRQDGAGDGDPPPPAPAIDPGEYFAGPDLNALIDALTQDDRPGPPPAPESAIESLPTVHISPDHLPADGGSECPVCKEEFELGEAARELPCKHAYHSDCIVPWLRLHNSCPVCRQEVPPPPEPDGESPGIDGGGDDGGGGGGEPAEPPRPAMAGWDPIALLAIALRPDLNGWENSHGRSESEADDDEVAGGGVSTTAMIHSFFVVAACFLFISFLV >OGLUM06G07420.1 pep chromosome:ALNU02000000:6:5568341:5568829:1 gene:OGLUM06G07420 transcript:OGLUM06G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMAMDMLSQEQLMHIISQLDSALASSPSPSTSPSASPPRQSPAAHVPVPPGLLNATTISTSRAQAVPPALHPAAAATAVQSSSPGMLYTTTRHAIGVIDAAEEEAAAAPRPRRRNARVSSEPQSVAARLRRERVSQRXAAAAQRAGVERAAERGGEAAA >OGLUM06G07430.1 pep chromosome:ALNU02000000:6:5568846:5569055:1 gene:OGLUM06G07430 transcript:OGLUM06G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALQRLVPGGARLDTASMLEEAIRYVKFLKGHVQSLERAAAALHMHGGHAAAAGFAGDAVYSCPSYYA >OGLUM06G07440.1 pep chromosome:ALNU02000000:6:5571831:5575701:-1 gene:OGLUM06G07440 transcript:OGLUM06G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLKADRPPLSHCHLRRSNHAPRLLLVATPLEEGRRAREKGSSSPLLQRPPSRPPSGALATAPQEGVGEADDNSRGRGVGDAVCGGGQGGGRRRVGADSIRDNNLK >OGLUM06G07450.1 pep chromosome:ALNU02000000:6:5575654:5576288:1 gene:OGLUM06G07450 transcript:OGLUM06G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQWRPVGLEVARHGGAWGEAGVEDTVDRVEEEVERRDVQRILAISEIYSGDACMTITGEMNQELI >OGLUM06G07460.1 pep chromosome:ALNU02000000:6:5579605:5583036:1 gene:OGLUM06G07460 transcript:OGLUM06G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDCRRRDVTLGDEFMVLQPENGGARSLADLLRSCKVGENKAVRCGRPGAEVAPPWHRWIIAVSLLAQMLLRSSKGVMAKVGRAVEYWMNFVSENGNVLGLIRNALHGKVKTPDRNSPNYRSFIGLLDTRIDLDEKIKPGDSNYHAALCIMAAKLAYENELVIKDAVEKNWKMTFLEFFNCWNDFQNDYTTQAFMFADKPEDAELVVVAFRGTQPFDMEQWSTDVDISWYEIPGVGKVHGGFMKALGLQNNAAAGKKPSWPAEIAPPSAAKNSEKTKSFAYYAIRARLRAFLAANPRARLVVTGHSLGGALAALFPVVLALHGGEADAAALGRLDGVYTYGQPRVGDAALGEWVAAASALEGKHLRFVYCNDVVPRVPYDDAAFLFRHFGRCVYFDAAYRARAMAEEPNKNYFSPAFALAKHANAAWELARGLAIGRVAGGEYAEGWAMRAARVVGLVFPGLPPHAPQDYVNATRLAGASLRKLLD >OGLUM06G07470.1 pep chromosome:ALNU02000000:6:5585792:5587252:1 gene:OGLUM06G07470 transcript:OGLUM06G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATPTRLAPPRHTSCNRVRPADVPGAFDPVGGHTALMVATHGAVASVVVTPSYAAAPSSSRRRRSWSSRWTSPRRAWLTEATTRQDPPGAQLLPPRAAAPPGAARAPPPRGARAGRGRYDGIVMNTFVKMEPDRSSSSPATRWPLERKYLCITSTISPAARGKSAAIDADECIQWLDSKDPSSVIYVSFGSIARTDPKQLIELGLGLEASAHPFIWMVKNAELYGDTAREFFPRFEISVLARARGTHRRPWHGHQGVGTVNADPVAHHGRWLRDALRVNSIMETVATRLPMVTWPHSVDQLLNQKMAVEVLGIGVGVSLDESVTEGHCGGEGGGGEGNREEERKEKRGGGGGRRSSWRKRVRQCKRADRYVTICLISSSTF >OGLUM06G07480.1 pep chromosome:ALNU02000000:6:5587900:5588883:-1 gene:OGLUM06G07480 transcript:OGLUM06G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTRFVALSFIVLLSIGLSNAARVARYASAGGGGGGGGGGGGSGNGSGWGSGSGSGYGQSSGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGAYAQGGGGGGGGGGGQNGGSGYGSGFGSGYGQAGGYGPYGGNAYAQGGGQGGGGGGGQNGGSGSGSGSGSGYGQAGGVVPDLVPVPVLAMVKLGDMVLTMVLMVVVVHMLKVEAKVEVVAVDKMVDLVRARGLVLDMVKLVDMGPTMADIGLTVVDMLRQVVKVVVAVVGKAFQAVVVLGVAQEAVLDPPVCATHRTFIGTNAHNAYKNATENANVLCI >OGLUM06G07490.1 pep chromosome:ALNU02000000:6:5596577:5597396:1 gene:OGLUM06G07490 transcript:OGLUM06G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAGVDDDSGGASASEASTPACSSSDGAASPSPVSSPETVISDVDVDYSLLADIEAFFQSPKCMEYAMMDPCSAFFAPPPPPAMAMEEECGWEEEGDIALWSFSSLD >OGLUM06G07500.1 pep chromosome:ALNU02000000:6:5599305:5604107:1 gene:OGLUM06G07500 transcript:OGLUM06G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADESALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGDDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVGVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPCLLDGRMLASITH >OGLUM06G07500.2 pep chromosome:ALNU02000000:6:5599305:5604757:1 gene:OGLUM06G07500 transcript:OGLUM06G07500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPADESALARQGSIYSLTFDEFQSALGSAGKDFGSMNMDELLRNIWTAEESQAIAPAAAAASAAAVVGDAQQQQQPIQRQGSLTLPRTLSQKTVDEVWRDIMGLGGDDDEDPAAAAAAAAPAQRQPTLGEMTLEEFLVRAGVVREDMGQTIVLPPQAQALFPGSNVVAPAMQLANGMLPGVVGVAPGAAAAMTVAAPATPVVLNGLGKVEGGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQKAELQKKQVEMIQKQKDEVMERITQQLGPKAKRFCLRRTLTGPW >OGLUM06G07510.1 pep chromosome:ALNU02000000:6:5607082:5608988:1 gene:OGLUM06G07510 transcript:OGLUM06G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSLKSAQLLEQMRLHMATDAGKDIAKKVGLVYQFNIAPKKIGVDEEIFVVDLKKGEVTKGPYEGKPDATFSFTDSDFLSIATGKMNPQIAFIRGAIKIKGSISAAQKFTPDIFPKPSKL >OGLUM06G07520.1 pep chromosome:ALNU02000000:6:5618699:5622662:1 gene:OGLUM06G07520 transcript:OGLUM06G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERESSTPASSWLGIDEAAPFAGKKATKAAAAEDAFVRRWPKVVNATLVAFIMTMPPLLILLGGGRPGAPAVWIKSTVASLAARGEPKKDVLLGGLLLPGFDEQSCVSRYQSVYYRKNMTRPPSPHLLRRLRQQEALQRRCGPGTEPYRRASERLRSGQNAGDDFVATVDGCGYLVLISYRGLGNRILAITSAFLYAMLTGRVLLLDPGKTMADLFCEPFQATSWMLPGDFPLEHFRDLGEDAPESYGNVAVNRSGSVAGLRFVYAHLDHAATPANRLLYCDDHRQFLHRVQWLILRTDSYIAPGIFLNPAYKEELDMMFPNKDSVFYLLSRYLLHPTNDVWGMVTRFYHSYLKNADERLGIQIRVFDGDEPFQHILDQILACTSQEHLLPGVVVSGGGGGGVAPPPIARSKAVLTTGLNSWYHDSIRDMYWRSPSATGEVVSVHQPSHELHQHFFRSTHDMKALAEMYLLSLTDKIVTSGWSTFGYVGAGLGGLTPYIMIKPENHTVPNPPCVRAMSMEPCDHGPPYFECTKKEIDKIIDTGNLVPHVRSCEDVPWGRKLADPIS >OGLUM06G07530.1 pep chromosome:ALNU02000000:6:5623061:5636008:-1 gene:OGLUM06G07530 transcript:OGLUM06G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGAAAAAAAAPLRKEKQRSAAGRWPEVEDGVVPATAKRHVRRAWRCGVNVVLAAFVMVVPPMVILLDARGAGAPAVWISSVNAFRRGDGSILQWPAAAAARDKFLGGLLADGLDDGSCHSRYQSAMYRRNAGREPSPHLVSKLRRHEELQRRCGPGTAAYSDAVERLRSGKSGGIVSPSPEAECRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMDELFCEPFPGATWLLPPGFPLANYTSFSVDTAESYGNMVKNKVITTDAGDAAPTTAQLPAFAYIHLDHTSTVEDKFFFCDEDQRAIRNIPWLVMRTDSYIVPGLFLVTGFQDELDSLFPETDAVFHHLGRYLFHPTNHVWGLVTRYYDAYLATAQQRVGIQVRVFGAQLESPKLLDQITTCTQKEKLLPEVIAAGEPPVVDVAPANSKSKAVLVTSLKSWYYEKMKSMYWEHATATGEAVSVHQPSHEEYQRFGARSHDGKAWAEMYLLSLSDALVTSGWSTFGYVAQGLAGLTPWVMHKPANDTVAAAGDDPPCRRDVSMEPCFHAPPFYDCRLKRGADTGKMVPHVRHCDDVQWGLKLALQAAGGKPWRPGKMSTVLAAVLMTMPPLVVIFSGRIGDQAMWIKTAVDGIRGGTDDDVSFMKHPTTSHDKLLGGLLVDGFDQESCHSRYQSAAYRRNAGRRPSEHLVSKLRRHEDLQRRCGPGTAAYSAAVEQLKSGKSPAAAEASPEACRYLVSISYRGLGNRILAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFAGTTWLLPPEFPLVGYQGFYLHTAERYGKMREDRVLRPDGGEAAAAAAFAYIHLDYNQTDYDKLFFCDEDQRLLSSIQWLVMRTDSYIVPGLFLVDAFQDELAALFPERDAVFHHLGRYLFHPTNHVWGLVTRYYRGHLAWARRRVGIQVRVSSWEWESPEILRTITSCTQDEGLLPRVLDDTDQEPPATAAPRRGLRPSAVVITSLKSWYYEKMKGMYWERATESGEVVVFDQPSHEEQQMYGVRAHERKAWAEMYLLSTTDVLVTTGTSTFGYVAQGLGGLTPWVLPRREVNGTAPPCRRDMSMEPCFHVAPLYDCKRWEDAGKIVPHVRHCDDMPAGLKLPAAAMATRGKKLGGGGGAAARVVGVVCAMAVPLFALLVLGGWASASTVWQSAARLTAVTAGFRNASKPSATGDAATGADELFGGLLAAGGFDRGACLSRHESPRYYKRSPFSPSPYLLQKLRDYEARHRRCGPGTPGYAKSVEQLRSGHSSEVMECNYLVGLPYNGLGNRMLSLVASFLYALLTDRVFLVHFPDDFADHFCEPFPGGETATTWVLPPDFPVADLWRLGVHSNQSYGNLLAAKKITGDPARETPVSVPPYVYLHLAHDLRGDDERFYCNDDQLVLAKVNWLLLQSDLYFVPSLYAIPEFQDELRWMFPAKESVTHLLARYLLHPSNSVWGMVMRYHHSYLAPAAEMIGVQIRMFSWASIPVDDMYKQVMACSSQERILPDTDGGDAPAPARTNASGGGATTAILVTSLQAEYYERLKGRYYEHAATASGAGGGLRWVGVFQPSHEEKQEMGKRAHNQKALAEIYLLSFSDVLLTSGMSTFGYMSSALAGLRPAMLLTAFNHKVPRTPCVRAVSMEPCFHKPPPAAATCQGKLAVSENVTRHIKRCEDLAGGIKLFD >OGLUM06G07540.1 pep chromosome:ALNU02000000:6:5637066:5649161:1 gene:OGLUM06G07540 transcript:OGLUM06G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKRRRRGLHISRYVTCGPYRLGWKGNESANPARVVPHVQSCHDVPWGVKLLILEVGANLSISYTSTGKPPEQVSCCCSAIIATLPTELVSSPMRRHEATIGGDGAAAPWKEQQLASHRWPEAQEGAPAFPPSTMRRLVYSAANAALVVFIMTVPPMVVLYGARSSSPAVWISSANVGGRGSSSDESILLLHRPAAAHDKLLGGLLADGFDEGSCHSRYQSAMYRRNNAGKEPSPHLVSRLRRHEELQRRCGPGTAAYSNAVESLRSGKSGGIGSPPQTECRYLVSISYRGLGNRMLAAASAFLYAMLTDRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLTNFTSFSVDTAESYGNMLKNKVITTDAAAGDVPTPHQQLPAFAYIHLDHDYTFHDKFFFCDDDQSVLRNVPWLVMRTDSYIVPGLFLVTGFQAELDSLFPETDAVFHHLARYLFHPNNHIWGLVTRYYDAYLATARQRVGVQVRVFGARQESPKVLEQITACAHMENLLPDVITTGEPAATTRRRLKPKAVLVTSLTSWYYEKLKGMYWERATATGEAVGVHQPSHEEYQRFGSGSHDAKACAEIYLLSLSDALVTSGWSTFGYVAQGLAGLTPWVMHKPANDTVAAAGLLSLSDALVTSGWSTFGYVAQGLAGLTPRVMYKPANESSAVPDPPCRRDVSMEPCFLTPPYNNCRMKRSAHSGKVVAHVKNCHDVPWGLKLGGIGAGITNRPSQQCSAAAAEDGDDYERPCPWIPGKEKKKKITCLAICLIASPILILLVSRRGSPFPSMSGWASPSRMYASKASKRDVLMGGLLVPGLDERTCASRYSSAMYRKNTARSPCRHLVKRLREQEALQRRCGPGTAAYWRAAERLGSRRNGTGGAAGEGCKYLVLVPYRGLGNRMLAMASAFLYAMLTGRALLVDRGESLADLFCEPFPGTSWLLPPEFPIKNLQDLTGEAPESYRNLVQSDRPATSVSELPYVFVDLDHGCTYHDKLFYCDDERHFLHRAPWLLMRTDGYFPPALFLNPAYQDELDRLFPRKDSVFYLLAHYLLHPTNKVWGLITRFYDSYLRDSDERLGIQVRVFDGDTPFKHILDQITACTSQERLLPEVVEQEPSSFPAPAAAATAARSKAVLMTGLNSWYYDNIRSRYWQSPTATGEVVRVHQPSHEEHQLSGSTTHDMKAMAEMYLLSMTDAIVTSGWSTFGYVGHGLGGLSPWVMFKPENLTTPDPPCRRAVSMEPCLHGPPFYDCRVKRGADTGKLVPHVRHCEDMSWGLKLVHPE >OGLUM06G07550.1 pep chromosome:ALNU02000000:6:5649611:5651257:-1 gene:OGLUM06G07550 transcript:OGLUM06G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDKLGEAAAGNPPEAEKRRGVAAPGAATVLVLVALPLMLVSYFFGDLAADTVVRLHRFKESSSSSSSPAAAADRLLGGLLSPEFDEASCLSRYEASSRWKPSPFLVSPYLVERLRRYEANHRRCGPGTARYRDAVARLRSGDGDGDAECRYVVWLPIQGLGNRMLSLVSTFLYALLTGRVVLVHEPPEMEGLFCEPFPGTSWLLPPDFPYKGGFSAASNESYVNMLKNGVVRHDDDGGGALPPYVYLHLEQIHLRLQNHTFCEEDHRVLDRFSWMVLRSDSYFAVALFLVPAYRAELDRMFPAKGSVFHHLGRYLFHPGNRAWGIVERFYDGYLAGADERLGIQVRIVPQMAVPFDVMYEQILRCTREHGLLPQVTSTSEPAGGRPPPPPTAKTKVKAVLVVSLKREYYDKLHGAYYTNATASGEAVAVYQPSHDGDQHTEARAHNERALAEIYLLSFSDAVVTTAWSTFGYVAHALAGVRPWQLAPLDWGKMRADVACARPASVEPCLHSPPPLVCRARRDRDPAAHLPFLRHCEDVPAGLKLFD >OGLUM06G07560.1 pep chromosome:ALNU02000000:6:5658684:5660434:-1 gene:OGLUM06G07560 transcript:OGLUM06G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLLLSDLLLLLLIAIAFLPLAAVAGAAAAIAIPRAAPAFGVETGWPPEHCLRCFAPPDAPFILGAAAAIHLGNTNSCIAGYDDDDAPLGAKRSYYQFCIPSWVALAHDNGTVISGEAAMNRAALSPSTAVSAFMRLLHRRQFPLPSPKFVLGLPDQLGVEDDVVKREIELVPYKFTKMLGWVSVQLDTDAEFSVDHLAGILISHLKHTAEAHLGRHINNAVITLPSRLSYSADGRQVLSSAAKEYSGFRAVKVVDEHIAAAAAYGHHTKQGDRKAILVFHLGGRTSHATIFKFVDGTARLIATRAHHFLGGKIDQCPASFLNTSDDFTARIVDHMVEHIKEQHGRDVRQEEKAMVRLRVACEHAKKALSEQQETLVQMDSLLDDGAVFSATLTRAKFEELNHDLLDRAMALVKEVVVTTGGVEVVDEVLVVGGSARIPKVRQLVRDYFNGNGRHPNSRGCKGPVDVEPEPGDAVLHGAALLSRPLPVSQGPAAAAAEARSIDFDHWFRRRHLISLV >OGLUM06G07570.1 pep chromosome:ALNU02000000:6:5698818:5701044:-1 gene:OGLUM06G07570 transcript:OGLUM06G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKVFTIFAFLAQEDIERELTKASKTSRGSALSKQIMVGSLEDVLKKMEMNERNSGWDDLIFIPPGKSLNQHINEVSA >OGLUM06G07580.1 pep chromosome:ALNU02000000:6:5707603:5709781:-1 gene:OGLUM06G07580 transcript:OGLUM06G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVISYCRPPLAGNEEARTRGTITLTMRAMAMARARAAASWLLLLVLLHMRIGASSSQLECKLEYTSLALLSCQETTPTTPTPSCCDALLYSLDIWPVNQREKGLCCLCVYVLARQPSFDLATTYITCRGSYAASVAQWTQQLIREPCGVDTGDHPPPLPSGKKNKTRRKKQRQQLGAGVIIAIVVCSLAAAGLLGYCLYHIFFSPAAKARRWVGNVVWASLVSPILHTL >OGLUM06G07590.1 pep chromosome:ALNU02000000:6:5710023:5711843:1 gene:OGLUM06G07590 transcript:OGLUM06G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILEQLQLLLLLLLLVAAAAATGATAADDELECPSSIFDHAVNSQGAIQFPVFHKKHQCLRPWSVRATQASSTGASGAGKGGGLNNLQEEEITSSSSTKIDVIEDSSINDFLFLMAVSLGKPPVVNLVAIDTGSTLSWVQCQPCAVHCHTQSAKAGPIFDPGRSYTSRRVRCSSVKCGELRYDLRLQQANCMEKEDSCTYSVTYGNGWAYSVGKMVTDTLRIGDSFMDLMFGCSMDVKYSEFEAGIFGFGSSSFSFFEQLAGYPDILSYKAFSYCLPTDETKPGYMILGRYDRAAMDGGYTPLFRSINRPTYSLTMEMLIANGQRLVTSSSEMIVDSGAQRTSLWPSTFALLDKTITQAMSSIGHHRTSRARQESYICYLSEHDYSGWNGTITPFSNWSALPLLEIGFAGGAALALPPRNVFYNDPHRGLCMTFAQNPALRSQILGNRVTRSFGTTFDIQGKQFGFKYAAC >OGLUM06G07600.1 pep chromosome:ALNU02000000:6:5716689:5716988:-1 gene:OGLUM06G07600 transcript:OGLUM06G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRYRALPQGEVTVEEFRAWLGQFDADGDGRISRDELQRALRSLNLWFAWWKARAGVRAADANRDGAVAGDDEVATLFAFAQRHLNVKIAELGASYY >OGLUM06G07610.1 pep chromosome:ALNU02000000:6:5720695:5726088:-1 gene:OGLUM06G07610 transcript:OGLUM06G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGKCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNESLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSSNGRPTLASARQLGPFNPINAGSTCVRRFPLNSIRWRFS >OGLUM06G07610.2 pep chromosome:ALNU02000000:6:5721777:5726088:-1 gene:OGLUM06G07610 transcript:OGLUM06G07610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCGGRFYWAPAPPSPSAAGARGVVVVFGWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKAASLASGVISELVKEFKVKPLPTVFASFSGGSKGCMYKVIQLLDGKCEGDATMKDYRLVRNCICGQIYDSGPVDFFSDVGTQFLQNPMIGNSSRPSMLLSWMTKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLMLCSEDDDLAPCHVVCGFARRLVELGTDVKVIKWSDSPHVGHYMLHEAEYRSAVNDTLRKALVTFCHRSQLNATSDQEYKIAHSVCSLHNVAANSNESLRRVANGPSDHFFLPSSKDHNESRDPDSLIDEQRRQLSYPPSMEPQGVLGQILFDVCVPKNVEGWDIKPTVSSNGRPTLASARQLGPFNPIKYFRRSRL >OGLUM06G07620.1 pep chromosome:ALNU02000000:6:5736085:5738980:-1 gene:OGLUM06G07620 transcript:OGLUM06G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEPAASAGMFVLHHPGTSFHGNLEGELETENVGPSKARGIKVKEKAIRGSRRPIGGFDKATQRSKKKKSDSNTSKCPVQAEVVTPSLPYTMMQGRSEIPTNYNHMQMPDYYHVEGASLLQSSGYFRTSEQSQGMQHEETAQTFDTYPYSMFAHLN >OGLUM06G07630.1 pep chromosome:ALNU02000000:6:5739272:5746013:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRTCGLEIYEPEVIPASEFFYPCIQVSAILCRRVDIWLLDVDQRDICMLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEVAQKEENGRNKTFASMQELIASYENGVIDCADVKLAFEKAIKNILQPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07630.10 pep chromosome:ALNU02000000:6:5739272:5746365:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.10 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRWTYGSSMSINEIYAC >OGLUM06G07630.11 pep chromosome:ALNU02000000:6:5739347:5746011:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.11 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRWTYGSSMSINEIYAC >OGLUM06G07630.2 pep chromosome:ALNU02000000:6:5739331:5746011:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRTCGLEIYEPEVIPASEFFYPCIQVSAILCRRVDIWLLDVDQRDICMLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEVAQKEENGRNKTFASMQELIASYENGVIDCADVKLAFEKAIKNILQPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07630.3 pep chromosome:ALNU02000000:6:5739272:5746013:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRTCGLEIYEPEVIPASEFFYPCIQVSAILCRRVDIWLLDVDQRDICMLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07630.4 pep chromosome:ALNU02000000:6:5739272:5746366:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRWTYGSSMSINEIYAC >OGLUM06G07630.5 pep chromosome:ALNU02000000:6:5739331:5746011:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEVAQKEENGRNKTFASMQELIASYENGVIDCADVKLAFEKAIKNILQPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07630.6 pep chromosome:ALNU02000000:6:5739331:5746011:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRTCGLEIYEPEVIPASEFFYPCIQVSAILCRRVDIWLLDVDQRDICMLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07630.7 pep chromosome:ALNU02000000:6:5739272:5746366:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAERFTVLSSIGGECMCEEGLLQLLKRKATPICCIWFQPTPEMKIEQGIMKTIHVNRMIKAGFQVKILMADWFAQRKSKIDTLDKARTIGLYNIEMWKAAGMDLHKVELLWLSDELNHHAPDYWPIAMDVARKTTMDEMIRWTYGSSMSINEIYAC >OGLUM06G07630.8 pep chromosome:ALNU02000000:6:5739272:5746365:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEVAQKEENGRNNLSMTTSMAMMKLELLLTPLSNAGSDEDELNQRAGHFGISSRLSFMAVVIIKFIIIIICLYYSK >OGLUM06G07630.9 pep chromosome:ALNU02000000:6:5739347:5746011:1 gene:OGLUM06G07630 transcript:OGLUM06G07630.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLAMDYCKDIKGENKPTILLHNTLPSLLKDPDFRNKANRGRTIFMEDEGEFLELKIRSAFCPPKVAGNPCLAYIEYVIFPWFGKFEVAQKEENGRNKTFASMQELIASYENGVIDCADVKLAFEKAIKNILQPVHDYFNGNDEARALINALKGQMKMN >OGLUM06G07640.1 pep chromosome:ALNU02000000:6:5746505:5750663:-1 gene:OGLUM06G07640 transcript:OGLUM06G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGHPNLFLLVPEGRSAGAAFRLRLPWERPERRGRHGGGEASAAQARPDAGELAEGSQQSVSRDPPEQVLLIAAKGDRVIAAVKLYPIAKKQEVAGDNLIISVLPEKNEAVASSYKLKGFQIPTKTVKVRKVLLKAIITDSSMPHVGGTTCGTKFYCAPELDGPLKRSTKMMKLEGHEERGSSDEDSD >OGLUM06G07650.1 pep chromosome:ALNU02000000:6:5751783:5752124:1 gene:OGLUM06G07650 transcript:OGLUM06G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLTGGGGGGIAGSRRPLGFLKNAAKHKHGFVQLLLMGSVLMMSLRTLGQKHRRRDLIYDNADLRREHENLTLRMRDVQDALRREADADESGALASHLRRIFAAHPASTDDK >OGLUM06G07660.1 pep chromosome:ALNU02000000:6:5756006:5759820:1 gene:OGLUM06G07660 transcript:OGLUM06G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGLGPAAAAALGGRSAARWCTYRRVTVAVCLGNLVAVLLVVRSLYSAPGYFASAPRRVAVKYSEEQIRLVEESIRIRRAAVPVELVEAVKKLEKVFAREEKRRKELPLELKQKVSYEILERMRDLGENSNTTEQREALESWRLEKLKDIRSASAQNLSMSDLSNEESRMLKRALELNWRMLMEDIGLWIPVSVWHTEHDDKPENEPEEEEIIAGPPLPPECNAQVHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRARPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSESYRDAHPSAPVVVPWMSGVITISLDG >OGLUM06G07670.1 pep chromosome:ALNU02000000:6:5761503:5761873:1 gene:OGLUM06G07670 transcript:OGLUM06G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAATIHHEVQQQRLAVVTQEAITVWHVEAESAANGVGLIKLMGWSAGHIALHTMLISRDVDCCLIPVEDLTS >OGLUM06G07680.1 pep chromosome:ALNU02000000:6:5762436:5763404:1 gene:OGLUM06G07680 transcript:OGLUM06G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTDADADAVAVELLPFIRVYVSGRVERLLGTDTVAASLDEPTGVASKDVTVDPATNLSVRLYLPPAVAAGERLPILVYFHGGGFMVESATSPTYHRYLNALASRARVVAVSVEYRLAPEHPLPAAYDDSWAALAWAVATAAAPGAVDPEPWLAAHGDASRVFIAGDSAGANIAHNVAMRAAAAPLPGGAGITGVLLMHPYFWDASNTMGPALEDRIRREWRFMCGSPDVRVDDPRLSPTVQQGAPSLAALPCRRVMVAVAGDDFLAGKGRAYHAALVASRWHGEAELVDTPGEDHVFHLTRPGTAAAAKMMDLVVDFVTR >OGLUM06G07690.1 pep chromosome:ALNU02000000:6:5781096:5782085:1 gene:OGLUM06G07690 transcript:OGLUM06G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAGADEEVDFEFFPIIRRYKGGRVERFMNIPPLPAGTDPATGVTSKDVVVDPAVGLWARLFLPPGGGAPQGKLPVVVYYHGGAYVVGSAADPFTHSYLNGLVAEAGILAVALEYRLAPEHHLPAAYDDSWEGLRWVASHANGGGGVEPWLLEHGDFSRLFLAGASAGGNIAHYVAARAGEHGGLGLGIRGLLVVHPYFSGAADICAEGTTGKAEKAKADEFWRFIYPGSPGLDDPLSNPFSDAAGGISAARVAADRVLVCVAEKDSLRDRGVWYYESLKASGYAGEVDLLESMGEGHVFYCMDPRCERAREMQARILSFLRK >OGLUM06G07700.1 pep chromosome:ALNU02000000:6:5782980:5789182:-1 gene:OGLUM06G07700 transcript:OGLUM06G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGLPSRLFRLMASGSSGDGGFSRPTSTDEGPMPIYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPNDKKPQVMLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSVQFVKDSVKLLRPSDHFRRDSGGSCTARLVLALGAEAKIDVVPGSAEYAIPFTTLDDALKVESQLKMLERRRFGKNSPDIQVAIVGLGYSGVELAATISERLKNKGIVQAINVQTTICPSAPPGNRDAALKVLESRNIQLFLGYFVNCIREASASEDSSSMVTDAKEVNGDHKKLLLELQPAQRGIQSQVLEADMVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRSDAVITASFIEGLTLEGPLGHAARKIVYCLRMPTDEHRVKVGISWFTKTAVDSLASLQNAVANSFPSPDPATNRSPPAMDPDSEVAFDFQPYLCQYKSGRVFRPGGDPTVPAGTDPVTGVVSRDIHAGAARARVYLPPGAAVSTEKLPVVVYFHGGGFVTGSPARPSTHAYLNDLVARAGAIGVSVYYRLAPENPLPAAYEDAWAAVRWAATRGDGADPWLLDHADLSRLFLAGCSAGANIAHNMAVRCGGGGALPDGVTLRGLVVVHPYFTGKEAVGAEAAFGPDVREFFDRTWRFVFPETSGLDDPRVNPFVDDATRAAAAAIPCERVQVCVAEQDVLLKERGLWYHRELKASGYGGEVELFESKGVGHAFHFVGMAGSDQAVELLERNVAFIKK >OGLUM06G07710.1 pep chromosome:ALNU02000000:6:5793710:5794960:1 gene:OGLUM06G07710 transcript:OGLUM06G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVRPASPPPRHHDFRFDSPAASPYATALSSPRGRLATATFLTAPPSPDPFEAIMAAQQQPETPRLTRANPFDLFQHFSSAPASPRRAAAIYAHFAEGSNGGGRDDGEDEEEEEEDDDDEGFRPRASYTVNTSSVPFDWEERPGTPKAGLGGGGGGAAWDTDFEFGTVVDKAAPEENLTTADELFEKGKIRPLKAPLPKTADELFDKGKVRPLKPPPGLLDGGSVASSPRSPMSRGGGMWSPRRRSRVGSGVDFDPFAAALLEATKAPSPSPSPLGVAASGSPAKKADQFTTRPASKSAGWRRWRLSDLLLFRSSSEHGRVTKDPIFKSSPARHPDSPVKKASARPTTTPGKANGKADTASKPRKHAGDKNAAAAAEGILGSVRLSPLQRLARGLRGSSWYHGHGGMAKLGTKG >OGLUM06G07720.1 pep chromosome:ALNU02000000:6:5802695:5806338:-1 gene:OGLUM06G07720 transcript:OGLUM06G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSLESSEVVIKMITNSNYP >OGLUM06G07720.2 pep chromosome:ALNU02000000:6:5803070:5806338:-1 gene:OGLUM06G07720 transcript:OGLUM06G07720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G49590) TAIR;Acc:AT1G49590] MTEYWVSQGNKWCDFCKIYIANNPLSIRTHEIGKRHKDNVTKRLATMQKEGAAKEKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWEFDSTSGYYYDKSTGLYFDSNSGFYYSDGLGKWVTQEEAYAWAKTSQANAGQSSSSQTKPTASVATVPTIKGGQAPGLVVKKPLNPMRTVKGAPSAIAVNKRKREDGKPKVISKEEEAALKAREAARKRMEDREKPLMGLYRSY >OGLUM06G07730.1 pep chromosome:ALNU02000000:6:5807741:5821541:-1 gene:OGLUM06G07730 transcript:OGLUM06G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSFIPKEAFAYLLFWLGLRPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQSTKTGVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFITDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >OGLUM06G07730.2 pep chromosome:ALNU02000000:6:5807739:5821541:-1 gene:OGLUM06G07730 transcript:OGLUM06G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGYGRKFRERLLGQWGVVDVNDCCSCATFLVETGRVDAQRLCVTGESAGGFTTLACLAFRQIFKAGNRKAYFERSPINFVDRFSCPIILFQGLEDTVVSPVQATTIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGHFKVADGITPIKIDNFDEPSFIPKEAFAYLLFWLGLRPVAAPYGSWRSPITADVVSGADKRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEDDKPVDIIPQEFAARTLAQEYGGGAFAVKDNVVVFSNYKDQRLYKQSTKTGVPVPLTPDYGGPDVSYADGVFDPHFSRYVTVIEEPKVLISGNDFYAFPRIDHNNKRMAWIEWSHPNMPWDKSELWVGYFSESGDLTKRVCVAGSNPMLVESPTEPKWSPKGELFFITDRGSGFWNIYKWVEHTNEVISVYTLDAEFTRPLWVFGISSYGFLGESNHIVFSYRQHGRSYLGVLDSDIGSVSLLDTPFTDLSNVVTGNDYFYIEGASATVPMSIAKVALNEDRTKVVSFSIIWSSSSDVVQYSSFFSAPEFVEFSTSSTGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRGILDLSVQYWTSRGWAYLDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >OGLUM06G07730.3 pep chromosome:ALNU02000000:6:5807739:5821541:-1 gene:OGLUM06G07730 transcript:OGLUM06G07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQASAAAEKAVVAPYGSWESPISAAAVSAAGRTVEGLAVAGDGRLLWVETRPEEGGRAVLVKEAAEPGGDAVDVTPEGFAVRSLAQEYGGGAFAVQGDVVVFSNYSDQRLYKQTIGDNSAQPLTPDYTGSVLRYADGVFDPHFCRYVTIMEDHRKDSSNPVTTIAAVTISDRDANEPTVLVSGNDFYAFPRIDPIKRRMAWIEWSNPNMSWDKAQLWVGYFSEKGEVHNKICIAGGDPTLVESPTEPKWTSKGELFFITDRESGFWNIYKWDEESNLIVQLYSLDAEFSKPMWIFGVSSYGFLGKDDTSNKINGRSCAGVLDHDSGSFSELDIPFSSVTNIVSGDGFFYVEGASATLPVSIAKVTLDEKRKTATNFSIVWSSSEDVMQYASYFSLPEFMEFPTVVPGQKAYAYFYAPHNHIFQGSSDEKPPLLVRTHGGPTDEARGVLDLGVQYWTSRGWAFVDVNYGGSTGFGREYRERLLGKWGIVDVDDCCSCARVLVESGKVDERRLCITGRSAGGYTTLASLAFRDTFKAGASLYGIGDLSLLRAETHKFESHYTDNLVGNENAYYERSPINFVDKFTCPVILFQGLDDKVVPPDQARKIYKALKEKGLPVALVEYEGEQHGFRKAENIKFTLEQQMVFFARLVGNFKVADDITPIKIENFD >OGLUM06G07740.1 pep chromosome:ALNU02000000:6:5824087:5833783:-1 gene:OGLUM06G07740 transcript:OGLUM06G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLVKRSSEASRGEMVNQAAMPLLTPYKQGGGKLDLSHRVVVSPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNEHGNPTDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRADEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDFMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFHDDDDDSNAPSA >OGLUM06G07740.2 pep chromosome:ALNU02000000:6:5824087:5833640:-1 gene:OGLUM06G07740 transcript:OGLUM06G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMGCCQACCEASRGEMVNQAAMPLLTPYKQGGGKLDLSHRVVVSPMTRCRSYGNVPQPHAALYYTQRATRGGLLITEATGVSDTAQGYPETPGVWTREHVEAWKPIVDAVHRKGALFICQLWHVGRVSTNEHGNPTDYQPNGQAPISSSDIQITPDGSGIVYSKPRRLRVDEIPQIVDDFRLAARNAIEAGFDGVEIHGANGYLLEQFMKDSSNDRADEYGGSLENRCRFAVEVIDAVVGEIGAHRVGIRLSPFLDFMDCVDSDPEALGSYMVEQLNKHEDFLYCHMVEPRMAIVDGRRQIQHGLLPFRKQFNGTFIAAGGYDREEGNKAVADGYADLVAYGRLFLANPDLPKRFELDAPMNNYDRNTFYTQDPVVGYTDYPFHDDDDDSNAPSA >OGLUM06G07750.1 pep chromosome:ALNU02000000:6:5833809:5835088:-1 gene:OGLUM06G07750 transcript:OGLUM06G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAEKDAAAAAAAEIPLLTPYRMGRFELSHRVVLAPLTRNRSYGNVPRPHAVLYYTQRATSGGLLVTEATGVSATAQGYPDTPGIWTQQQVEAWKPIVDAVHRKGALFFCQLWHVGRVSTNEYQPDGQAPISSTDRQITPDDSGIVYSKPRRLRTEEIPQTIDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGGLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFIDYMDCVDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVIADGYADLVAYGRHFLANPDLPKRFAINAPLNKYNRSTFYIQDPVVGYTDYPFLDEKDEGAATYA >OGLUM06G07760.1 pep chromosome:ALNU02000000:6:5835100:5874615:-1 gene:OGLUM06G07760 transcript:OGLUM06G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFSTQDPVVGYTDYPFLEEIDEDAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKKLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNLARLPPVSPAAATRVDLVALPLPRVQGLPDGTECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMAGWATRSSEPAGASIFHVLGAVEERREGVPRYEWGQKASFFIDHGASGMSVAKRCSLAMERCTLAAMRSCPEWEPDAFQQVAAGLKNKPLIPLGLVPPSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDLSDVLPPGYQERTKSHGHVAMGWVPQITILAHAAVRRDDMDGSFDRHGVAAAVRAVMVEEETRRVFVANAIRLQEIVVDKELHGRIKLGVVNMVQAQAATEAAIPLMAPYKMGRFELFHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLISEATGVSATGEGYPEIPGVWTRQQVEAWKPIVDAVHHKGALFICQLAHVGRASTNDFQPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADRVGVRLSPYIDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATRPHSHTNQS >OGLUM06G07760.2 pep chromosome:ALNU02000000:6:5835100:5874615:-1 gene:OGLUM06G07760 transcript:OGLUM06G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSGMVYSKPRRLRTDEIPQIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSANDRTDEYGGSLENRCRFAVEVIDAVVAEVGAHRVGIRLSPFVDFMDCFDSDPVALGSYMVQQLNKHPGFLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIAAGGYDREEGNKVVADGYADLVAYGRLFLANPDLPRRFEINAPLNKYDRSTFSTQDPVVGYTDYPFLEEIDEDAAVGDVTITADRENLVEFTMPYTSSGVSLLVPEENDSKPIQWIFVKPLTRDLWLATIGFFFYTGFVVWMIEQPRNPEYQGSSVRQLSTASYFAFSTLTFSHGQIIKSPLSKIVVVIWCFVVLILVQSYTASLSSMLTAKKLRPSVKSLDQLLLTGDYVGYQNGSFVGSLLKKRGFMPSRLRSYGTQKEYAEALRKGSMNGGVSAIVDEIPYLTSFLSNPQYQKEFQMVNRLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNLARLPPVSPAAATRVDLVALPLPRVQGLPDGTECTNDVPSGKFELLWKAFDALAAPFAEFLGAACDAAGERPDWIIADTFHHWAPLVALQHKVPCAMLLPSASMMAGWATRSSEPAGASIFHVLGAVEERREGVPRYEWGQKASFFIDHGASGMSVAKRCSLAMERCTLAAMRSCPEWEPDAFQQVAAGLKNKPLIPLGLVPPSPDGGRRRAGMTDNSTMRWLDVQPAKSVVYVALGSEVPLPLEQVHELALGLELAGTRFLWALRKPHGVDLSDVLPPGYQERTKSHGHVAMGWVPQITILAHAAVGAFLTHCGRNSLVEGLLFGNPLVMLPIFGDQGPNARLMESNKVGSQVRRDDMDGSFDRHGVAAAVRAVMVEEETRRVFVANAIRLQEIVVDKELHGRIKLGVVNMVQAQAATEAAIPLMAPYKMGRFELFHRVVLAPLTRCRSYGHVPQPHAAVYYSQRATNGGLLISEATGVSATGEGYPEIPGVWTRQQVEAWKPIVDAVHHKGALFICQLAHVGRASTNDFQPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADRVGVRLSPYIDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATRPHSHTNQS >OGLUM06G07760.3 pep chromosome:ALNU02000000:6:5835100:5874615:-1 gene:OGLUM06G07760 transcript:OGLUM06G07760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAPAKEAAAAIPLLKPYKMGQQELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLIAEATDISPTAQGYPETPGIYTQQQIEAWKPIVDAVHRKGSLFFLQIWHVGRVSTTDFQPNGQAPISSTDKQITPDDSHMVYSKPRRLRTDEIPQIVDDFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDSANDRTDQYGGSLENRCRFAVEVIDAVVAEVGADRVGVRLSPYIDFMDCFDSDPEALGSYMVQRLNKYPGLLYCHMVEPRMAIVEGRRKITHGLLPFRKQFNGTFIASGGYDREEGNKVVDDGYADLVAYGRLFLGNPDLPRRFELNAPLNKYDRSTFYTHNSVVGYTDYPFLEEKKEDSATRPHSHTNQS >OGLUM06G07770.1 pep chromosome:ALNU02000000:6:5876998:5880551:-1 gene:OGLUM06G07770 transcript:OGLUM06G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLNIKDTNRVMFLASLLLMAMVYSCAAQDDWCHAIVPCDSVTCPEYCQKRGYVYPYTTYCKPGQYYPICCCRQLTKPPNGDLRVLPNARAALIRAVADAPGRREEQALHCLACKLGLAGDAVLATALLTRYARRGLLDPARRLFDEMPRRDAVAFNAMLAALVASRRMADARELFERMPDRTPASWNTMVTCYCKAGDLGSAREVFEASLGATASNVVSWNTMIDGYCKAGRMDAAQELFDCMGCSFSSSPSPDVVTWNTMMAGYLRRGDPATAIAMFRRLMRQTVQQQTLMMPTTVTIATVVTACTQAGDFAFGRRVHHYIRQLGTRIDAVLSNALIDMYFKCGSVDRALDVFATMPDGPNLFCWNTVIAGLGMNGRGEDAVRAFHDMVGRSRTCRGIIRPDGVTFVALLSACSHSGLVAEGRRFFAEMVPVHGVEPREEHYGCMVDLLCRAGLLGDAVRVVRAMPVRPNAKILGCLLLHARRLSSASEEDGVRVGEWVADRISELDLDDGAAYGLSNMYASLQRWDHVEMHRIKVNAAVRHKQPGQSSCMI >OGLUM06G07780.1 pep chromosome:ALNU02000000:6:5882232:5882986:-1 gene:OGLUM06G07780 transcript:OGLUM06G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLENDKDYCEPTEPCNNITCPQLCGKNARAYCKPGESVSSCCCHKQSNGDVRRLLLSK >OGLUM06G07790.1 pep chromosome:ALNU02000000:6:5883067:5884313:-1 gene:OGLUM06G07790 transcript:OGLUM06G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRLGRSGGPSTNINKMKKGKKKEKREEEPFLATPLPGKSSTRGTASPIHPPPLRVQSHKGAGRRSPRSRQRRCCCSPSRARATPARPPTPAALDACGGSPALPLAHTSRSQVVSSTVRLHV >OGLUM06G07800.1 pep chromosome:ALNU02000000:6:5885766:5886881:-1 gene:OGLUM06G07800 transcript:OGLUM06G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRISSATLVVAAVLAMLVLVPAAARAERFVVGDAARWTWVFMYDPPNATTHAHSVYMMRNAADYQSCNLKAAKLVANVMQGAGSGYEFVLRKRKPHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >OGLUM06G07810.1 pep chromosome:ALNU02000000:6:5888602:5894398:-1 gene:OGLUM06G07810 transcript:OGLUM06G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGEGAEPAAVASAAAAEVEVKNPRCFMDVSIGGEIEGRIVIELYASVVPRTAENFRALCTGEKGVGAVTGKHLHYKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGLKFEDENFVLKHERKGMLSMANSGPNTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSVEHAPVGEADRPTSDVEIVDCGELPEGADDGVVNFFNDGDTYPDWPNDLDEKPMEVSWWMDAVESAKAFGNNNFKKQDYKAALRKYRKALRYLDACKLKLGDLKGALLDADFALRESEGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPSDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKDNEGITRESAQLTQKTLTPYLDTRKKNTFPRECLGGVSVTRR >OGLUM06G07820.1 pep chromosome:ALNU02000000:6:5901570:5904142:-1 gene:OGLUM06G07820 transcript:OGLUM06G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPLTGGGGGRRKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRIGYASHLKSFYYGMFKVGENWAWVSN >OGLUM06G07820.2 pep chromosome:ALNU02000000:6:5901439:5904142:-1 gene:OGLUM06G07820 transcript:OGLUM06G07820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPLTGGGGGRRKMTGMEYVLSDVMEPHLFVIRKQRRESPEKSNAMLAYYILDGSIYQAPQLCSVFASRISRAMHHISKAFTTACSKLEKIGHGITNGPEFI >OGLUM06G07830.1 pep chromosome:ALNU02000000:6:5911520:5929963:-1 gene:OGLUM06G07830 transcript:OGLUM06G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMNEIIDKYTTHSKNLGKTDKQPSIDLNLEHSKCSSLNEQLAEASLQLRQMRGEELEGLSVEELQQMEKNLEAGLQRVLCTKDQQFMQEISELQRKGIQLAEENMRLRDQMPQVPTAGLAVPDTENVLTEDGQSSESVMTALNSGSSQDNDDGSDISLKLGLP >OGLUM06G07840.1 pep chromosome:ALNU02000000:6:5964573:5965099:-1 gene:OGLUM06G07840 transcript:OGLUM06G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQRKLQPAPPPPPFPEGYVPSEQEKASDDLLASEALPPQVDPIIDQGPAKRPRFQ >OGLUM06G07850.1 pep chromosome:ALNU02000000:6:5969986:5985806:-1 gene:OGLUM06G07850 transcript:OGLUM06G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYSVAIFAYGQSRSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKVGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >OGLUM06G07850.2 pep chromosome:ALNU02000000:6:5969986:5985806:-1 gene:OGLUM06G07850 transcript:OGLUM06G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADTRGRWAWDVPGFEPPQPVVGAAAGMPLAPPTAMPRAPPTAMVARAAGADGAVVPVADRLDQLADSVQDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPLVQSALDGYSVAIFAYGQSRSGKTHTLVEGSSHDRGLYLRSFEELFDLSNSDTTSTSHFNFYITACELYNDQVRDLLSDSISPVPKVRMGVQESFVELVQEKVENPLEFSNSLKAALENRSANSLKAMVSHLIVTIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDANRDNVTDFLHVSKSLSALGDALASLSAKKEPVLSGNSRITQILADSLGSSSKTLLIVHVSPSASNLSRTLSTLSFSARAKNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKLSLKEANDQCTLLFNEVQKAWRVSSTLQADLKSENLMLAEKHRIEKEQNNQLRDQISRLLEVEQEQKIKMHERDLTIQSLQAKLKSIESQLNEALNSSDARSTIGSESASVISTPKMMESTADSSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSSPQAPSPSNKQTNAQGRDIGRSDSTKSQSSDVFPLPVSQDKVGNSGAIVKSSNELTKTTPAGEYLTSALMDFDPNQFEGVAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDTMLVSRVKILYIRSLLARSPELQSIKVSPVERFLEKSHTSRSRSSSRGSSPGRSPVHHHHDHGSRTSLIDEHVHGFKVNIKPERKSKFSSIVLKLRGIEEETWRQHVTGGKLREITEEAKAFAIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDVAGASGQLELLSTAIMDGWMAGLGTARPPSTDALGQLLSEYTKRVYTSQLHHLKDIAGTLATEVADDPAHVSKLRSALESVDHKRRKIMQQMRTDTVLLTKEEGGSPIRNPPTAAEDARLASLISLDNIIKQVKEVMRQSSARPLRKSKKKALLESLDDLLAQMPSLLDVDHPCAQKQIMEARKVVESLQEDPDEPATDLNSNTLGESEVSQWNVLQFNTGTSAPFIIKCGANSSCELVIKADQKIQEPKGDEIIRVVPKPSVLAEMSFEEIKGVFEELPEAISLLALARTADGTRARYSRLYRTLANKVPALKDIVAEMEKGGVFKDVRS >OGLUM06G07860.1 pep chromosome:ALNU02000000:6:5994649:5996989:-1 gene:OGLUM06G07860 transcript:OGLUM06G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALVCTNAFATAIAAGRFPLPRGRSPPYAAAAVPALRSRRCLPTRGLLRLRCARGVDWTDPSFVAVAEKPDAGAEAWKALASAGGGGIEEEEEDGPFEAINGDGGYSVEESVVLPPFEQSLVAAVADSVGDDALSQALSSKLDFKETSTFVMYGSGAFIAGWILSAVVSAIDSMPLFPKILQIVGLGYTIWFSTRYLLFKENRDELFVKVDDLKRKITGYGDE >OGLUM06G07870.1 pep chromosome:ALNU02000000:6:6006419:6008750:1 gene:OGLUM06G07870 transcript:OGLUM06G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFDFAASILLCAEDNTAILDLGEESEEISWVVGVDASLGDLSMDFPLQSDDCIEALLGREEQQHIPMEGYLQRLLLQPDGLDLVAVRSDAIDWIWKVHELYKFGPLTAVLSVNYLDRFLSVFDLPVCDAKYVFETRTIKRMELAVLNALKWRMQAVTACSFIDYYLHKFNDDDTPSTSALSRSVDLILSTCKVAEFLVFRPSEIAASVALVALEEHETSMFERVATCYKNLKKERVLRCYEMIQDKIIMRNIMRQSAGSVFSIPKSPIGVLDAAACISQQSEDTFVGSPATNYESSASSKRRRICR >OGLUM06G07880.1 pep chromosome:ALNU02000000:6:6014697:6016334:1 gene:OGLUM06G07880 transcript:OGLUM06G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLPDPSHGGGCGGAPPSHDHTEDEWFKERYGGGGGGGDAPRSSRAVNPVPPYGRRSALAPRRKEDFGDGGAFPEVHVAQYPLDMGRRGGDGDGEQRGSSGGVLSLTVDGSGGRVEFDAVVRQGENAGKTVYSSPGDVLPKINAAAADADDDEQAAVEETTARTSAALRAIVKKRLSAVQPSNTLASNHDPEFIKYTPARQTSAFNSGAAERIIRMGETQQDPLEPPKFKHKRVPAPAGSPPVPVLRSPPRPPSQKDHDDWKVPPSISSWKNPKGYSIPLDKRAALDGRGLHDVQVSDAFAALAEALYTAEQKAREAVETRAKVHTEMKMREKEKAEQHLLQLATKARAEMLGAAPPAPSERSKAAAERDAIREERRRERRLEARAAAAAESKKSAATRDRDRDVSKRIALGMANTGGGGGGEVTYDQRLFNQEKGMGSGFAGDDQYNVYSGRLFAAQPALSTLYKPSKHGEEDPDAYGDTDEHLGKIAKTRRFVPDKAFTGAPASVAAGKRERPVEFDGPEMEEDPFHLDQFLTQMKKGKHQ >OGLUM06G07890.1 pep chromosome:ALNU02000000:6:6019318:6022745:1 gene:OGLUM06G07890 transcript:OGLUM06G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPAEIPPAAAAAAEAPAVPRSDDPPAASDPSSPPPPPPPVAVAAATADPPPPAQPQGQKTVTWSEKLTSESPTYVAAATAEAAESSQYVSRGPASSSSKGNAYRRRWGAVEAMKETLSRWGKSVGETTKMVESLSRDTWQHFKTGPSFTEAAMGRLAQGTKVLAEGGYEKIFRQTFEVLPEEQLKISYACYLSTSAGPVMGVMYISTAKIAFCSDNPLSYKAGNKTEWSYYKARIVHFVVDSMQCL >OGLUM06G07900.1 pep chromosome:ALNU02000000:6:6024366:6033187:-1 gene:OGLUM06G07900 transcript:OGLUM06G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEANAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSIHPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGSILAIQFMYQRLDIVVDVEPYLEVASDPVFVRDGADIHVDKKISFTQAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >OGLUM06G07900.2 pep chromosome:ALNU02000000:6:6024366:6033187:-1 gene:OGLUM06G07900 transcript:OGLUM06G07900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock family protein [Source:Projected from Arabidopsis thaliana (AT1G28210) TAIR;Acc:AT1G28210] MGRLGWLRLASRSLALRSGEVAAQGSKWIRHSTRQHSSPEKDYYKILGVPKDASQEEIKRAFHSLAKRYHPDTNRGNTAAKRTFQEIRDAYEANAHANDIEVEVNLSFRDAVKGCMKQVSFSAKNLCDSCDGRGYLANAKMYVCPSCRGAGRVSIHPFTSICTSCRGFGKVIKDYCLTCKGSGVVDGMKYGSILAIQFMYQRLDIVVDVEPYLEAMLGGKVEVPTLDGTAEVKIPKGVQPGQVIVLRGKGLPNQAGYLGDQHVRFRIHFPSMVNERQRALLEEFAVEEATKEQSSFSAGNWWELVENMKGQTFLLGLGFLVLVHLLLTKTVN >OGLUM06G07910.1 pep chromosome:ALNU02000000:6:6041284:6042630:-1 gene:OGLUM06G07910 transcript:OGLUM06G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPRPRHRHHALPLALALAAPLLLLAAADGQPNESRDKNNGGGGGGFMAPSGGGGGMGSQSPSFSAPMVVLLVALIAAFFFIGFFSIYIRRCGGEASTGPTIPAAALAALSRQEQRSRRQRGLDPAVVESFPTMKYAEARELRDGGKDAVLECAVCLSEFDDDEELRLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLAPDAAAAEANVVSGEVDGEQQQQQQQQQEMVVAIDVDREGEEEDEERRREAMELERIGSQRRAVRSRSGRPLPLPRSHSTGHSLATTRLGDAGDLERFTLRLPEHVRREMVTAAGEESLRRTAVREGRVGGGARSARIGRSDRWPSFIARTFSSRVPFWSASRRVLDAAEAGADAAATTTTTTPTSTARTKRDKTAAAADGSVSSAKGSVRFDCLGGGGGGGGGPSNRVVAFANDDEEDDDEKPIARQV >OGLUM06G07920.1 pep chromosome:ALNU02000000:6:6067478:6068977:1 gene:OGLUM06G07920 transcript:OGLUM06G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6U3] MAAAAVAADQKVVTMTSLREGCACAAPPAASAPPVPKMAAAQRVVAELREACATPAARLAEVAAAMAGEMEAGLAVEGGSSEMKMIVSYVDSLPTGGEEGSFYALDLGGTNFRVLRVRLAGGGVAERVAREVPIPSGLMSGGGATSERLFGFIASALAEFVGEEEEEGGLDGGERELGFTFSFPVHQTSIASGTLIRWTKAFAVDDAIGEDVVAALQAAMSERGLDMRVSALINDTVGTLAAGSYYDEDVVAAVILGTGTNAAYVEDATAIAKLHPSQLPASNTMVINTEWGSFASPCLPLTEFDEALDQESLNPGEQTYEKLISGMYLGEIVRRVLLKVSSRCPSLLGGAGELATPFVLRTPDVSAMHHDETPELSIVGEKLERTLGIRGTSPEARRMVVEVCDIVATRAARLAAAGIVGILKKIGRVDGGEGRRRRSVVAVDGGLFEHYGKFRRCMESAVREMLGEEAAAERVVVKLASDGSGLGAALVAAAHSQRA >OGLUM06G07930.1 pep chromosome:ALNU02000000:6:6073632:6075644:-1 gene:OGLUM06G07930 transcript:OGLUM06G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASFSLQIFLLFSSGFRKRHSSRVLSVLLWLAYLSADPVAVYVLGRLSLRASGSSDPRNQQQLVLFWAPFLLLHLGGQETMTAFSMEDNTLWKRHLLSLTTQMVTAIYVVSKQLQGNSRLVAPMVLVFVFGTAKYAERIWALRRAGSVAPGTSSSTANLVSRASSNAVWDTQGYYSQLCYVIERKLERNFEFILAVANEGFRLSLGFFMDMTPSISLLPEDISEIKNSVEVFKSSEDIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIVPVCNVFHLIIKIALISVALALFMRARAGQKAHDVVDVIISYILLVGAIVLEICSVFMSFISSCWAYKTIITLSLTCPLCQKFPGVIAALLSLVRHLHPDSRGEWSGKLAQYNMIEGCIQEKQAGAGLLRRARRYIGIDDSKAIKHIGVSPEVKKLVLDKLLEIASTSRVLEWDLGVGKFRGQWAQWVVEAKEDHLRSAAQQVLQVSNIQGLEFVSSVLLWHIITDICLLVDEDEDGGTELRGPIRDLSEYTMYLIADCGVMAGSEGHFVLRKGRREVLSWLREKGESGGDRRKVIEEIRSEDSSFFADNYYPVLDRARRVSSDLLVLEEPGDRWELIAAVWLEMICHISYNCGAGFHAKQLTTGGEFVTHVKMLLFMLGVPFLRDVKEPLFYRAGNLYS >OGLUM06G07940.1 pep chromosome:ALNU02000000:6:6078881:6079258:-1 gene:OGLUM06G07940 transcript:OGLUM06G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGPNPSEACLWHGKDNVAVCERRRLHHGASKDGVVCSGKKGWHCGVCAARGIGSGATVTGYGVPDMGPSGGVVWGGGAARGATMTRPGRPGVPMEGSSVERGWATRATSGRPTGLAMAGQGG >OGLUM06G07950.1 pep chromosome:ALNU02000000:6:6088159:6089169:-1 gene:OGLUM06G07950 transcript:OGLUM06G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGARARGGGALHGAAAGAPACLGRSSQRQTRVGEGIGWRQGGGDHGDGGSSGTGDGSRRIEFRMSSQHPIRTHKHQLRVA >OGLUM06G07960.1 pep chromosome:ALNU02000000:6:6100045:6100663:1 gene:OGLUM06G07960 transcript:OGLUM06G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVPLLPETSPKSRRGRRGGRRSPRRGPRTRRRRCHLRLRHRSMKRERVEREREMGGVVEMDKDEPRIRMSRDERGGADERSQSGPPLWRLKLPWPNQQIKAALCRSDSDSEEKECRSCGVVAARKLNNQHNLFARETVELRAVYAEK >OGLUM06G07970.1 pep chromosome:ALNU02000000:6:6111479:6113472:-1 gene:OGLUM06G07970 transcript:OGLUM06G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSCFVWLTDRARKAR >OGLUM06G07980.1 pep chromosome:ALNU02000000:6:6132823:6153403:1 gene:OGLUM06G07980 transcript:OGLUM06G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSDVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILEHASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >OGLUM06G07980.2 pep chromosome:ALNU02000000:6:6133309:6153403:1 gene:OGLUM06G07980 transcript:OGLUM06G07980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MIGIESKASSSSTSPTASWEAGRRLRPNPRSDTHEATTTPRALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSDVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILEHASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKGRGPGPRRRGGAVIGDAPARQGYKLDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >OGLUM06G07980.3 pep chromosome:ALNU02000000:6:6133309:6153403:1 gene:OGLUM06G07980 transcript:OGLUM06G07980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MIGIESKASSSSTSPTASWEAGRRLRPNPRSDTHEATTTPRALSGKIIQNAFLAQHRPQARHSTRYPSDFCALDTRSVHSFQERRLRLAGTSAKWLNTISTAWISSSKQAYISCNAAQGSSDVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILEHASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKEKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >OGLUM06G07980.4 pep chromosome:ALNU02000000:6:6132823:6153403:1 gene:OGLUM06G07980 transcript:OGLUM06G07980.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAAAQGSSDVSSSAKVDFLKLQNGSDIRGVAVGGVEGEPVNLTEPVTEAIASAFAAWLLNKKKADGWRLTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILEHASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKGRGPGPRRRGGAVIGDAPARQGYKLDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >OGLUM06G07980.5 pep chromosome:ALNU02000000:6:6132823:6153403:1 gene:OGLUM06G07980 transcript:OGLUM06G07980.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G17530) TAIR;Acc:AT5G17530] MAAAQGSSDVSSSAKVDFLKLQNGSDIRGVAVGGVEVTLGITTAGHDVLQFGLASTPAMFNSTLTEDEINHLPVDGAIMITASHLPYNRNGLKFFTSAGGLNKADIKDILEHASRIYEDSSHGSTQELEQASKGEVSNVDYMSIYASDLVKAVRKSAGNKGRGPGPRRRGGAVIGDAPARQGYKLDKVLKPLGAITTGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVADNKADLGIIFDTDVDRSAAVDSSGRELNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAIRLNTIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARILNPNVGSKVLTDLVEGLEEASVTVEIRLKIDQNHADLKGGSFRDYGEAVLKHLENSISKDEHLCKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSKDDAIKLGLAVLTAVSEFPALDITALNKFLQQ >OGLUM06G07990.1 pep chromosome:ALNU02000000:6:6158201:6160115:1 gene:OGLUM06G07990 transcript:OGLUM06G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEVDVSTLEAGGARDYIDPPPAPLVDVDELGKWSLYRALIAEFVATLLFLYVTVATVIGYKHQTDAAVNGADAACGGVGVLGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYMAAQCLGAICGVALVKGFQSSLYDRYGGGANELAAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNNKAWSDQWIFWVGPFIGAAIAALYHQIILRASARGYGSFRSNA >OGLUM06G08000.1 pep chromosome:ALNU02000000:6:6167722:6168290:1 gene:OGLUM06G08000 transcript:OGLUM06G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEWWPVVVEAKALIRASLLDVGPRATTDGCSTSATLTEQASLIFAHRALCGWSQRIGWSPPVMEIVCYFSFARRLYDMNENMMDVCYCATSSPSLYHAATSFHYSIVSYHSPPGYPLHYLS >OGLUM06G08010.1 pep chromosome:ALNU02000000:6:6169926:6170280:-1 gene:OGLUM06G08010 transcript:OGLUM06G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDFSSFKIRHFVDTVWMEENCYLISSGALRDARFVDARACSL >OGLUM06G08020.1 pep chromosome:ALNU02000000:6:6184826:6189608:1 gene:OGLUM06G08020 transcript:OGLUM06G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLALVALLLVSCAAVAAAATKYTVGDTSGWAMGADYTTWASDKKFKMGDTLVFNYAGGAHSVDEVSAADYAACTASNALQSDSSGTTTVTLKTAGKHYFICGIAGHCSNGMKLVVDVAAASPAPAPKAPSTTPTTPSTTPATPASPGTSSGLTPTTPATVLAPPAKQSAGAAGLRAGSWAMLGLAGLAAVQLGLF >OGLUM06G08030.1 pep chromosome:ALNU02000000:6:6188845:6197172:-1 gene:OGLUM06G08030 transcript:OGLUM06G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 9 [Source:Projected from Arabidopsis thaliana (AT2G14050) TAIR;Acc:AT2G14050] MPPPAEEFAVDDLDEFESRLDSFLNRFHADDLRRILLPDPDGKLHFPLVIDFAELLEFNPEVAHQLYDYPKDVLELFDAAAQRALDKFDAAARRADKTLDSQRKAGDETMEKKFVHVRVNTSGSALECPEASPSIGKVRVKHRGTLLTLKGTVIRSGGVKMIEGERKYQCRKCKCRFTVHPELEAGNRITLPASCKSKSAKGCGGANFQLIEDSITCHDYQEIKIQENIQLLGVGSIPRSMPIILMDDLVDIVKAGDDVVVTGRLSAKWSPDIKDVRSNLDPMLIANFVRRTNELKSDLDIPVEIINKFEEFWAASRATPLKGRNSILKGICPQIYGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTISIAKAGLVTTLNTRTTVFGATNPKGQYDPNESLSVNTTLSGPLLSRFDIVLVLLDTKNKKWDKIVSSHILAENTEEKKGKTSDPEVMWTLSMLRRYIHYVKQHFKPVLTKEAERVISSYYQRQRQSGTRNAAHARLMFRNDVTKLDAIAAILCIESSMTTSAIVDTAGNALHSNFTENPDQECILKCDSIAYLSKNIKYLTDEISN >OGLUM06G08040.1 pep chromosome:ALNU02000000:6:6200781:6201326:1 gene:OGLUM06G08040 transcript:OGLUM06G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGKSKEADAARCRRHPKHRHAAGVCPFCLRDRLSRLSAEVASAASPSPSSASSSGSSSSLCSSTGEGSYSAASATQAPPVGRRARLGMLMRQEEQRETTATAATVLGAAGHDKKEVPPAEEEKKTARRSGFWARLQQQLHHGSWHRKADGCSLAHSKAVSEKAAAAAAAPAKRPPALF >OGLUM06G08050.1 pep chromosome:ALNU02000000:6:6202310:6208566:-1 gene:OGLUM06G08050 transcript:OGLUM06G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAFYLLSLPLTVGMVVATLRYFAGPAVPLHVLATVGYAWLCSLSFIVLVPADISTTITGSQEGDVGFFWSWTYWSTFFLSWSIVPTLQGYEDAGDFTVKERLKTSIHKNLVYYKIIGSIGLVGVILIITMRHDWAGGIMGFAMACSNTFGLVTGAFLLGFGLSEIPKNIWKTADWTRRQKFLYHRIANMAGKFDNAHQEYCHAIAVVQATSKQMTKREPLRPFMDIIDDMLAQMLRDDPLFKPSGGKLGEDDMDYDTDENTMASLRRQLRRANEEYYRCKSKYTSYVMEALELEDTIKNYEQRDANEWKYVSGLRESRSCTLGSFLDFIEFIWRCILRKQLLKVLAVILGCISAAILLAEATLLPSDVDLSLFSVLTNVVGKQEVLVQVVAFIPLMYMCICTYYSLFRIGMMVVYSLTPRQTSSVSLLMICSMVARYAAPISYNFLNLIHLGGNSKTTFEKRMGNIDDVVPFFGRSFNRIYPLIMVVYTLLVAGNFFGYVLEFFGSWKRFRFWTEQEEDHTDGFDPSGVLILQKERCWIEQGQKVGELVAPLARNFTGIYKDVESGNVQQDEETAGMKATTLPSKKEGRLQSKYASNVALKYSSIREQNSSHQAVKQAQTETQSTSVVPETGNSETPSSVSKEPDSSAGIASRWTLMKTGFQNFKANMSSKKFLPLSLSSTQSSSSGSLDEIFEGLKRHSSNASVDYLDDDDSI >OGLUM06G08060.1 pep chromosome:ALNU02000000:6:6217398:6218559:-1 gene:OGLUM06G08060 transcript:OGLUM06G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQSAIMRVPRMPVNRTDTETHPPALEFRRSCTIEFALAFVRGLDLFNLEHAYLCPGIKIYCTGEHLAIEMYILIWSSRLPMMYLGLDHY >OGLUM06G08070.1 pep chromosome:ALNU02000000:6:6222345:6226923:1 gene:OGLUM06G08070 transcript:OGLUM06G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MPLRRKVRGAAAAGRRAAVRWWLLSLAATGATVTAAAALLAVALHVSSSSAASGPASSSGVPYRLSKQPREAGELRWEQEFAPPQLASPQSRKLDGAADDAGEKRWWLPAPSRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGISDMVAVAHVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >OGLUM06G08070.2 pep chromosome:ALNU02000000:6:6222345:6226923:1 gene:OGLUM06G08070 transcript:OGLUM06G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22460) TAIR;Acc:AT1G22460] MPLRRKVRGAAAAGRRAAVRWWLLSLAATGATVTAAAALLAVALHVSSSSAASGPASSSGVPYRLSKLDGAADDAGEKRWWLPAPSRGFTPCVAPSPAYKSPGPSRGYLLVLTNGGLNQMRAGPLFNISNFSDVFDEEYFIHSLANDVKVEKKLPKDLVKAPKFVRYFKSWSGIDYYHDEIYPLWEHRQVIRAAKSDSRLANNYLPPDIQKLRCRAFFQALRFAPPIEALGNLLVERMRSFGPYIALHLRYEKDMLAFSGCTHGLSQTESEELAMIRENTSYWKVKDIDPLDQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHVVDLLSRFPIMMNKEKLASAEELRPFRQYASQMAALDYIVSVESDVFIPSYSGNMARAVGGHRRFLGHRKTIIPDRKALVRLFDKVDGGLLNEGERLSRRIIDIHRKRQGSPRKRKGPVSGTKGSDRFRSEEAFYENPLPDCLCQPESPASDASVVSI >OGLUM06G08080.1 pep chromosome:ALNU02000000:6:6228033:6228916:-1 gene:OGLUM06G08080 transcript:OGLUM06G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLRQLLAADAPPAATGRPVAAAPAASGKPVTAPAAATATNAASRRLYNTEGAPLRRYDVVDESGTDSGDEYDATDDGRRLTVPFLFSASDVLDPFGAPTSLGRLLALMEDAAVATAAAPGTNGLATAAARRGGWWVAKEDDDAVHLKVSMPGLGKEHVKVWAEQNSLVIKGEGEKDPEDDADAAPPRYTRRIELPADAFKMDKIKAEMKNGVLRVAVPKLKEEERKDVFQVNVE >OGLUM06G08090.1 pep chromosome:ALNU02000000:6:6231226:6235560:1 gene:OGLUM06G08090 transcript:OGLUM06G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHEVYGQEIPLDGEDVDMGAPGDEAAKMQELDEMKRRLKEMEEEANALREMQTKVAKEMQGLDPNASSSESKEEMDARSVYVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEAVKLNESELHGRQIKVAPKRTNVPGMKQPRGGRGFGGHPYMRPYGAPFYNPYGYGYPRFRRPRRPYF >OGLUM06G08100.1 pep chromosome:ALNU02000000:6:6235261:6238654:-1 gene:OGLUM06G08100 transcript:OGLUM06G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSEHRCWDELLPDALGLIFRKLSLKDVLTVVPRVCKSWGRVVAGPYCWQEIDIQEWSQQQSKPDQLKRMVRMLVARSGGSFHRISVSGLPGDPLFTFIGDHARSLKTMELPRSDISDSLVENVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLIGLRRVMHPTDVVGRASQHDEARAIACNMPKLRHLEIGYMLIATKAVVEIASQCHDLKFLDLRGCWNVDDKLLQESYPGLKVVGPYVDDCYENSFWEECSDDSDDSIYWELMDDDYYAAGSDDEGIWDDGQGLEGLEVRLHEDSFKSMACVDGGIWGICNAKRANWA >OGLUM06G08110.1 pep chromosome:ALNU02000000:6:6250699:6255431:-1 gene:OGLUM06G08110 transcript:OGLUM06G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphotyrosyl phosphatase activator (PTPA) family protein [Source:Projected from Arabidopsis thaliana (AT4G08960) TAIR;Acc:AT4G08960] MSNPESNPPPPSATSCPPPGSAAHAGHAPLCRSCGAPTTTPAPPPWSASSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPSPPSPVVSALLELISALSAFVASTPPLPHNSRYGNPAFRLWHEKLSDSANQLIAPIMATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEGGLKQYPKPLCFEANVQNTHTHHHVLQR >OGLUM06G08110.2 pep chromosome:ALNU02000000:6:6251238:6255431:-1 gene:OGLUM06G08110 transcript:OGLUM06G08110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphotyrosyl phosphatase activator (PTPA) family protein [Source:Projected from Arabidopsis thaliana (AT4G08960) TAIR;Acc:AT4G08960] MSNPESNPPPPSATSCPPPGSAAHAGHAPLCRSCGAPTTTPAPPPWSASSDSPPPAYRPIRMPAINAPTNTAAIVLSPVPQPLPVPPASPPFAFQVPAKRITSPDDIARFHASVHGRHFLGFVAALSASVHGRKLSDPLPSPPSPVVSALLELISALSAFVASTPPLPHNSRYGNPAFRLWHEKLSDSANQLIAPIMATAGSPDLAGAEAELAPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEADYPAVVLRVFAAYLDLMRTLQDTYLLEPAGSHGVWGLDDYHFLPFIFGAAQLIDHKYMKPKSIHNPDILDNFSKEYMYLACVAYVKKVKKGPFAEHSPMLDDISGVPHWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >OGLUM06G08120.1 pep chromosome:ALNU02000000:6:6257319:6258263:-1 gene:OGLUM06G08120 transcript:OGLUM06G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVTKALMRPLLCYIIVVAVAPAGCAAFNPRMLFLVKPDPIVLKDHHGVVLSGNVTVNVLYYGRFTPAQRAVVADFVRSASAAQHPRVPSVAAWWSTTSLYRGGGARLRLGMQVMDERMSLGRSLSLDNVTALARAAGHHRGAVTAVLTAPDVLVAPFCMSRCGVHGHGGGVGAHGRARYAYLWAGNPAQQCPGQCAWPFHQPVYGPQAPPLVPPNGDVGVDGMVISLAALLAGTVTNPFGDGYYQGDAGAGMEAATACAGVFGSGAFPGYPGKLLKDPVTGASYNAVGLAGRKYLLPALWDPTTSQCKTLV >OGLUM06G08130.1 pep chromosome:ALNU02000000:6:6259618:6260598:-1 gene:OGLUM06G08130 transcript:OGLUM06G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQSLLLAMMLVVAGLAVSAMADRKLMSLVKPQPNQLTYHNGAVLSGDIPVSILWYGRFTPAQKAVVTDFVLSLAAPLQAAPAPSVSQWWGSIHRLYLSKAVAVGKNGGAHGGGGGGGGRAKNARVVLSGQVSDEGCSLGKSLKLSQLPTLAARARPGKGGVALVLTAQDVAVEGFCMSRCGTHGPVSRAGAAYAWVGNSATQCPGQCAWPFHQPVYGPQAAPLVPPSGDVGMDGMVINVASMVAGAVTNPFGDGFYQGERGAALEAATACTGVYGKGAYPGYAGALLVDKATGASYNAHGAHGRKYLLPALFDPDTSACSTLV >OGLUM06G08140.1 pep chromosome:ALNU02000000:6:6270492:6279433:1 gene:OGLUM06G08140 transcript:OGLUM06G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMMIPIIVLILVSMAANALADDRRQLQLMQDPAAGDVLSYHGGAVLSGDIPVSIVWYGKFAPSQKDIVVDFVQSLTSTSSSSQRAATPSAAQWWSTLATVYLSNATTGGGGKPAAATRVVLSGQVSDEEYSLGKTLTLVQVFQLAAGAAPKRGAVVLVLTDPDVVVEGFCSVRCGVHGSDAGAGYAYAWVGNAERQCPGQCAWPFAAPPYGPQGSPLGAPNGDVGTDGMVVTLASTLAGAVTNPFGDAYYQGDKDAALEACTACAGVYGSGSYPGYAGKVLVDEANGGSYNAIGGGGKRFLLPAIYNPATTGYCSTTVVAMVAALVVMSLAGVSMAARRVPALLKSHVGDGISYHGGAVLGGDIPVTLVWYGKFKPAQKAIVVDFLLSLTATPPNATTPSAAQWWGAIAAGYLSSNATNVTTAARVVLANQTSDEEYSLGKSLTLVEVFQLAAGVVPDRGDLVVVLTDRDVAVEGFCSARCGVHGSDAGAGYAYAWAGDAERQCPGQCAWPFAKPPYGPKGEAALVPPNGDVGADGVVATLAGVLAGAVTNPFGDGYYLGDKDAALEACSACAGAYGSDSYPGYAGKVLVDETTGGSYNAVGAHGRKYLLPAVYDPATSRCTTLV >OGLUM06G08150.1 pep chromosome:ALNU02000000:6:6282241:6289252:1 gene:OGLUM06G08150 transcript:OGLUM06G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPATLPAAAARRPHALLVPFPSSGFINPMFHFARLLRSAGFVVTFVNTERNHALMLSRGRRRDGDGIRYEAIPDGLSPPERAGAQDYYGFGLLHAVRANGPGHLRGLIARLNTGRGGGAGDSLPPPVTCVVASELMSFALDVAAELGVAAYMMWGTSACGLSCGLAHPRTPVPMCDSPSSSSCSSLSLALAMGERMRRAAHAMLFPFPCSGHINPTLKLAELLHSRRVPVTFVNTEHNHERLLRRRGGGGALRGREGFRFEAVPDGLRDDERAAPDSTVRLYLSLRRSCGAPLVEVARRVASGGGVPPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGVPTVRLGDVSSFVRTLDPTSFALRVEEDEANSCARAQGLILNTFDDLESDVLDALRDEFPRVYTVGPLAADRANGGLSLWEEDAACMAWLDVQPAGSVLYVSFGSLTVMSPEELAELAWGLADTRRPFLWVIRPGLIAGAGAGDHDVVTNALPDGFVAETKGRCFIAEWCAQEEVLRHRAVGGFLTHSGWNSTTESICAGVPMICWPGFADQYINSRYVRDEWGIGLRLDEELRREQVAAHVEELMGGGGDRGKEMRRNAARWKAAAEAATAKGGSSYGGLDKLVEQLRLGQ >OGLUM06G08160.1 pep chromosome:ALNU02000000:6:6291226:6295709:-1 gene:OGLUM06G08160 transcript:OGLUM06G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPSSSTSGSPGAAAAAARPTMPYRSRFGDTTLTKVFVGGLAWETPSEGLRRHFEQYGEILEAVVIADRLTGRSKGYGFVTFREAEAARRAVQDPNPMIAGRRANCNIASLGPPRPAQPPRGRASPGAQFQAPPPAFQGPPYIARGTPPPPAQMMPQPQHHGPPATIYHPSQSWYWYPPDYQYQQGLMNSQVLQSYYAAALQAQAQAQLYGMAASPTAPSPYQYLGYMPAPAPAVTPTAVLPPAQQQITGPPPPFVQQPAQHVTAPPPFVHHPTAAAQIQGSFVPLPSLPHNFRLQLPPNAMSILPPTPTGM >OGLUM06G08170.1 pep chromosome:ALNU02000000:6:6303698:6306566:1 gene:OGLUM06G08170 transcript:OGLUM06G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWPALPLLLLAVAVAGAGDAAPVCTRPSAAEAIVGSPEACRSPLRRPLGVTEGDDAILARAVNLLHANKEDFAAVLFYASWCPFSQECRLRFEKLACIFPTIRHLAIEESTVRLRTRYRYGIHGYPTLFLINSTVRVRYHGPRTVKSLAAFYNDVSGINPSMDPAVGDDNIEPKRDCEQEKCLFWSARTPENILQPDTYLTLAASFVILQLLYLFYPKITAFVKRTWSRRTLFTCLEQGKHKFNRVYPSKQGNLHDGARHATAWASKSLASVSIGEPSTS >OGLUM06G08180.1 pep chromosome:ALNU02000000:6:6314264:6314533:1 gene:OGLUM06G08180 transcript:OGLUM06G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEETVLQRPFPAAGGDGEAATTVFLAAATATEEGRRRPVDPMIWGDERRMKRKLVAWAKAVASMAMAANGGAGSSPSTTPPPSPSMR >OGLUM06G08190.1 pep chromosome:ALNU02000000:6:6318347:6318719:1 gene:OGLUM06G08190 transcript:OGLUM06G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSMHKVSILVWSNTQKSESPVLTWGKFLHTWTRLVREIRRNTLDDISKDLGRFGLEMEPTGKIVVESLSFQAVGSP >OGLUM06G08200.1 pep chromosome:ALNU02000000:6:6320683:6321806:1 gene:OGLUM06G08200 transcript:OGLUM06G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDENGLKKGPWTPEEDEKLMSYIQKHGHASWRVLPELAGLNRCGKSCRLRWTNYLKPDIKRGNFSREEEQTILQLHSILGNKWSAIAKHLPGRTDNEIKNFWNTHLRKKLIKMGIDPMTHRPRTDFFQSLPQLIALANLRQIIERQPWDGHIEGLQTVAVQAAKLEYMQSLLHSAVSIVTSPTTTSSSLNTFTTELEQTNHLCPPQVPSSSVPELAVQVPHSQMPSTSFDQEIGKTNLFSNNIVNGNEWCSMEADNSSQKSLLVPENSIPPLIDMPVQNFCNTISTPNCDGGNSIPLPSWSEILLDEELMGEFA >OGLUM06G08210.1 pep chromosome:ALNU02000000:6:6324575:6328091:-1 gene:OGLUM06G08210 transcript:OGLUM06G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT4G39870) TAIR;Acc:AT4G39870] MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >OGLUM06G08210.2 pep chromosome:ALNU02000000:6:6324575:6328091:-1 gene:OGLUM06G08210 transcript:OGLUM06G08210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT4G39870) TAIR;Acc:AT4G39870] MGYLPSLGGKAAHLVSDLATVILNPVSERESQRHPSHLPEATEVQENIYEDDDDDDSVKNSEIPNGPDTSSFRAFLMSFMSSSNSSSDSIEIIPEHNMNMEYPTLTPVGKGSNGRKGLFSRGKHSIGKIINKAGRIGGFRQKPSYSIDGETVQTEYDAPGLELKGSKESASHDKLPAMSEPSMLLSETMRTVLYTSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSMLCAGYSLLIVGDRKGAVFGGLVEAPLQPLIKKKYQGTNNCFVFTNIAGRPVIYRPTGANNYFTFCSTDYLAMGGGGHFALYLDGDLANCHLSLCVTTHIYLCSLNGSSSTSETFNNPCLSRSREFEVKDVELWGFVNASKYDEMLTICRTEKQGIWNL >OGLUM06G08220.1 pep chromosome:ALNU02000000:6:6336430:6337616:1 gene:OGLUM06G08220 transcript:OGLUM06G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLKDTLFPDDPFRGLGGMPPAQRAWRVASYFVPALDWGAGYSAASFWYDLLADVHRFVLPAAVGVRGDGELKEPRGGAGGHVVAAGGVHHRRQGEGFRRPTAVHVARLHVGLLHRCPAGHSWIPQAGDPDGFHVTAGDHRVHGRHGGRDHAAAAQGHPRHDALTTKKVSAFVVGAITLIVAPFAVPSASFTRDIGRAVAECAEHDGGHGRGEAEAAREVGDLRGRSGTRGGSEDAEVEEEEEREHREGGAAY >OGLUM06G08230.1 pep chromosome:ALNU02000000:6:6353923:6356168:-1 gene:OGLUM06G08230 transcript:OGLUM06G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6Y1] MTNQDVVMPDIAAAAAMPGSSGRAPLFACRGAAAVSASSMLGGGGAAYQAAVVAHVAPVPAIRPCASWVVEAMRASSPTRHSAAAAAAVDAEYDAWTQRKHPSALGSFEQVAAAASGKRVVVFLDYDGTLSPIVADPDMAFMSDEMRAAVRDVAEHFPAAIVTGRCVDKVQSFVGLPELYYAGSHGMDIKGPSSNEEEDTKILLQPAREFLPVINKAYKALMEKTKSTPGARVENNKFCLSVHFRCVDEKRWNPLAEQVKAVLRDYPELKLTQGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDDRRDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCAKETDASYSLQDPAEVMEFLVRLVQWKLRRSSSAMRPRV >OGLUM06G08240.1 pep chromosome:ALNU02000000:6:6371308:6374338:1 gene:OGLUM06G08240 transcript:OGLUM06G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGACGGDSRSAVGGGGEDEVVDIEVKVPAGWERRLDLMSGKTFLTPRLQGVHVGHQDLNLPPLPSSAAAAASTSAAVEEVEEAVVDGASAAGGVGEASSDEVGREEGGWGSGASAGEVIGV >OGLUM06G08250.1 pep chromosome:ALNU02000000:6:6376553:6379936:-1 gene:OGLUM06G08250 transcript:OGLUM06G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDFTEAPWDESDAFHLYKCPSWEIDWDSILSLTRLKCKKKTKMEGKKYRERQEEAELTASEEAGWRWRRRRDRVLELPTGNRHGVPSLLHEREKGSKAPHRGSSAAVISCRCHQVREASDGAGVGETRGEDAAISKDWLPHDSQLPYFHFLTRRRPICDFVSSHIDTGSEATVAAALGFLGRLLSASIA >OGLUM06G08260.1 pep chromosome:ALNU02000000:6:6380486:6380999:-1 gene:OGLUM06G08260 transcript:OGLUM06G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDLYKYQLSSSSSSSSSDQELMKALEPFIRSASPTSTSTSTSTPLFYSSSSISTTTTTPFSYSSPLPQESYYLPASSSYAAIVPPPTTTTNTTTSFSELPPLPPSSSSFASPANAAAVGLAHLGPEQIQQIQVQFLMQQQLQQRGMAASASASAAAAASP >OGLUM06G08270.1 pep chromosome:ALNU02000000:6:6399905:6400174:-1 gene:OGLUM06G08270 transcript:OGLUM06G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRVLEEEIVTLLPVERGIATMRFVLGLLRTDMILHAGVVYRDTLEKEATHEDLLIPNTGNFVETPYDVECMERMPTNTSVLTASPKI >OGLUM06G08280.1 pep chromosome:ALNU02000000:6:6410008:6412193:-1 gene:OGLUM06G08280 transcript:OGLUM06G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWPSPWLPLLLFGALLAFEDWLATPTCSGGSTAPAPSDLRIMMVSDLMLLGSDATYADRFFRNHVMSKLFAKSIETLRPDMIVVLGDISAMGFQLKESKWIDVIDQFKGIVGQYSDLPLHIALGDKDVGGCANLDDSFVHHMTKHLPGLDSSGCGTFEIGNVSFVSLNSVALLCGNNPLRFSVEKVIEKENNHFQQKMMNEAGHFSLGSIEREGFNWRQNSMESGSGPVVLLHFPLYKFSEGTISEPPVSSSLKERGADGRRSDQLHALPANSTQYVLQALKPRIVFSAHDGSFSDYTHYDGTREVAVPAMTWKTTGVPGFVISTFGRKGIMTVRIFSILVPNSSICKTVTLDVK >OGLUM06G08290.1 pep chromosome:ALNU02000000:6:6416895:6419871:1 gene:OGLUM06G08290 transcript:OGLUM06G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0A6Y7] MATLTVPSAVPPVADDCDQLRKAFQGWGTNEALIISILAHRDAAQRRAIRRTYADTYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEVARKWHPGSGSRVLVEIACARGPAQLFAVRQAYHERFKRSLEEDVAAHATGDFRKLLVPLISAYRYEGPEVNTKLAHSEAKILHEKIQHKAYGDDEIIRILTTRSKAQLIATFNRYNDEYGHPINKDLKADPKDEFLSTLRAIIRCFCCPDRYFEKVIRLAIAGMGTDENSLTRIITTRAEVDLKLITEAYQKRNSVPLERAVAGDTSGDYERMLLALLGQEQ >OGLUM06G08300.1 pep chromosome:ALNU02000000:6:6420094:6420609:-1 gene:OGLUM06G08300 transcript:OGLUM06G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIPIFFFLLALAAGVQGETGGVGGGGGNVEYNCVYTVFVRTGSAWKGGTDSTIGVEFAGADGRGVRIADLERWGGLMGAGHDYYERGNLDVFSGRAPCLPAAPCWMNLTSDGAGAHHGWYCNYVEVTATGPHRGCAQRRFDVEQWLATDASPYRLTAVRDQCRGHAAA >OGLUM06G08310.1 pep chromosome:ALNU02000000:6:6427858:6433649:-1 gene:OGLUM06G08310 transcript:OGLUM06G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGSESRRRANHPRRFSPSIHGNLSERGRSNGRGVGGNGTPNLHASSCCRTPDRRRQESACIMSSNMFGKKGWDSNDINYINQRARLKSASLNCVGSPPRKNNNATQYRMFVATWNVGGRTPNKRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPAARWLALIHQALNMPQEPADGDEPSPLTPPPSSSTTTSESSNGVRTRRRDAVSRSASGNLFFHTPSLKMLSNSYRVDSALVKTCNCSPEHSSVRRRAAEVRESVYLADAPAPAGETAAPAADDDDAPTTEAQCEAGCGGGGGMSYCLIASKQMVGLFLSVWVRKELVEHVGHLRVDCVGRGIMGWLGNKGCIAISMTLHHTSLCFVCSHLASGEKEGDELRRNADVAEILKSAHFPRACRPAPAAARRVPERILDHDYDETRTLLEDNDWDALLEKDQLLIEREAGRVFRGWNEGKICFAPTYKYTHNSDAYAGETAKSKKKRRTPAWCDRILWQGDGIEQLQYLRGESRFSDHRPVCGVFAVEVDGGGGGDGDGDGGGAAGKIMKGYYSLNARIGGDRSQCHQGDVS >OGLUM06G08320.1 pep chromosome:ALNU02000000:6:6433794:6434633:1 gene:OGLUM06G08320 transcript:OGLUM06G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLLRDMEAGVLQPAAPPAQEVAHGVFQLNTKVEALRYMAGALGTPRDTPSLRGRLRGTRAGIKRLATSTSQALRQAAAAADDDESVSSCSKLAMDFEAAVNEYQKIERRIAAVERQETAAAARRSPPPPPPGFNHINNNGDHTFPEQKQTQLAVLRDINLLDSEIELHEAIIAEREQGILEVQQEIADIHEIFRDLAVLVHDQGECIEIVTANIEMAEAATSQAEVQFSKAAGIRGEEKEELLTGAGTEDNSPSKCLLLAVLGLFLFVVGLVLIS >OGLUM06G08330.1 pep chromosome:ALNU02000000:6:6436913:6437896:1 gene:OGLUM06G08330 transcript:OGLUM06G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKNTAAPAPEKKYKGVRLRQWGKWVAEIRLPNSRERVWLGSYDTPEKAARAFDAAFVCLRGAGAADAAGLNFPDSPLPVVARTRDLREVYAFAVSHANRPPPVAGETAATALAAMAALEHNEVRENIAPSSPLSAVQVAALPAGSFDWSQLMANSPPLYSPIVIGSHAYDDLAVWPTTQPVEEFSEEDNENEELKVYILRQK >OGLUM06G08340.1 pep chromosome:ALNU02000000:6:6440799:6443232:-1 gene:OGLUM06G08340 transcript:OGLUM06G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSHSAIVHTQSHRWSARNSRFEKQTSNLNLVSAGNMYPIRQQFGFGFVCASRSSVVEPVQLHSNGNSDHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICSIPIDVVPQLTSGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRKLAQYRQKLDSMVYD >OGLUM06G08340.2 pep chromosome:ALNU02000000:6:6441050:6443232:-1 gene:OGLUM06G08340 transcript:OGLUM06G08340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSHSAIVHTQSHRWSARNSRFEKQTSNLNLVSAGNMYPIRQQFGFGFVCASRSSVVEPVQLHSNGNSDHTPKKSSESSLILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICSIPIDVVPQLTSGKHVMIAAHGNSLRSIIMQLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRKLAQYRQKLDSMV >OGLUM06G08350.1 pep chromosome:ALNU02000000:6:6444276:6448351:1 gene:OGLUM06G08350 transcript:OGLUM06G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENIPNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGTIQNREYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITGNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >OGLUM06G08350.2 pep chromosome:ALNU02000000:6:6444276:6448351:1 gene:OGLUM06G08350 transcript:OGLUM06G08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLQIKRIENIPNRQVTFSKRRNGLIKKAYELSVLCDIDIALLMFSPSGRLSHFSGRRRIEDVLTRYINLPESDRGGYYLINMLTQLKCESDVTEDLTNTSSKAPVNSNIEELQQEIRRCQHQMQLTEEQLRMFEPDPARSASMEDVEASEKFIAGILSRVEERKRYLLCSMGSFDVTASTSAMQHLYLPQQHQHGDITGNGFGSDEVASWVSEGMPPTTSSVASIFAGTSDSMMSFRDQAVYDTMRQDACVDQTVVPEMGMCHVDQQNQSDDWQAYTSAEFLNALIPPTPFPLDDEDTMGPMLASSPLLMPGIHDQQPPEEDMATAGCSQAPANDGNGLYAAEDIAPVNVG >OGLUM06G08360.1 pep chromosome:ALNU02000000:6:6484593:6486009:-1 gene:OGLUM06G08360 transcript:OGLUM06G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLGKRHRNNSSMRRTTSMSGFAVAEEEEQQGRQPPARAARGGGGGGSAAPSPGWGAMQRRHSGDFAVAETAAFLKACGICNRRLGPGRDTFIYMGEVAFCSHECRQQQMNLDELNEKKCFQRESGGGSDKSGNSGAVAAA >OGLUM06G08370.1 pep chromosome:ALNU02000000:6:6489797:6500858:-1 gene:OGLUM06G08370 transcript:OGLUM06G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G22125) TAIR;Acc:AT2G22125] MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFVLCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGSALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRYSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWRDIGYEDFKIRVDMGSSCASDIKQENSSYGWKGATHGGTTGNVNP >OGLUM06G08370.2 pep chromosome:ALNU02000000:6:6490619:6500858:-1 gene:OGLUM06G08370 transcript:OGLUM06G08370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G22125) TAIR;Acc:AT2G22125] MAAALAWRFNGTNGGADLEHKVQESEPPTPVSVMRMVKNRANVEDEETLSSVAQCIEQLRQGSSSTQEKENSLKQLLDLLETRDTTFGAVGSHAQAVPILVSLLRSGSSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIYAVSQGGIRDHVGSKIFSTENVVPVLWEQLKVSLKNESLVDGLLTGALKNLSKNTDGFWSATVQCGGVDILIKLVASGQANTLANACNLLGALMMEDSSVCSKVLSGETTKQLLKLLGPGNETYIRAEAAGALKSLSAQSKEARRQIANSNGIPALINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNSESISASDPLVVEKTLMKQFKPKAPFLVQERVIEALASLYSNPVLCRTLADSDAKRLLVGLITMAGTEVQDDLTKSLFVLCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSATILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPLNDILHEGSAANDAVETMIKILNSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLIDVQTDKILMAASSCLAAIFLSIKQNKDVAAIGRDALAPLVSLANSTVLEVAEQATRALANLFLDHELSLQVSFEEIIFPITHVLREGSIDGRTHAAAAIARLLQCRPINQPLSDTINRSGAVLALAGLLEAANGEAAATSEVVDALVLLSKPKVSSGHTKAPWTVLAENPHTILPLVSCVADAAPSLQDKAIEVLSRLCSDQHDIVGGLVSEIPGCISSVARRVIGSNMLKVKVGGSALLVCAAKEHCQKQIEILSDSSLYIQLIHSLVSMIHMTNLPSENGSGENISDIKISRYSKENNNSDETVCRTAVISGNMIPLWLLAVFARHDSKTRAEILEAGAVEMLMEKISQNAFLYVGEEDSTAWVCALLLALLFQEREINRSNAALHSIPVLSNLLRSDEQAYRYFAAQALASLVCNGSRGTLLAVANSGAATGLISLLGCAEVDIADLLELSEEFMLVPNPDQITLERLFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAIDCPPNMMLMAEAGILEALTKYLSLSPQDATEEATTDLLGILFSCAEIRHNEAALGTVNQLVAVLRLGGRNSRYSAAKALESLFIADHVRNSESARQAIQPLVEILSTGMEREQHAATSALVRLLSDNPSRALTVADVEMNAVDVLCRILSSDSSAELKGDAAELCCVLFANTRIRSTSAAARCVEPLVALLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVIPLVGLLFGKNYTLHEAVARALVKLGKDRPGCKLEMVKAGVIESILDILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADIGPEGQYSTLQVLVNILEHPECRADYNLTPRQTIEPVISLLNSSPPAVQQLAAELLSHLILEENLQKDTITELAIPPLIQVLSSGLPNLQQRAIKALANLALAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEEAAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWGACAAEIFKAQSVAASEAIPLLQYLIQSGPPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNPPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >OGLUM06G08380.1 pep chromosome:ALNU02000000:6:6508509:6509285:-1 gene:OGLUM06G08380 transcript:OGLUM06G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSAARLGDGLVGLQTLIAPDAAAAASRPASGYVATRTLKASPRAVGCPGGGEGDGEREEEEEGEEGGCWVMYGWRRRLRRLPPTIPSLRRAGNAPWALARARTADGRLVISTEPAPPRGRVVATKAEGRLVLDLVERGDSPPPPPPRRRSCFSIAHQEPVSPAAAAAACDDDDYGVEEASAAERASARRVIPIIAGAPAPAMLSAVGYAFSPPLSLHPAVAPLPPLVCSEGCYEDVIRASSSLPKMPLILPRMVH >OGLUM06G08390.1 pep chromosome:ALNU02000000:6:6512113:6519269:1 gene:OGLUM06G08390 transcript:OGLUM06G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVVITNESIEDCHMLLDHVILDHGDASINTCHVEFVNYFNHEKYKANAWIFHALLVCNVKELKILVRFDDEFLKDDQSVRRVLPWLQHQKQPCRCVAVVGSTRSSMTPSSAPSPTITAPMAGVCPAPVVHVQRYALVKQGSEGHEYAAEQLTCVLSQMWRYIWKFMPNVVITNESIEDSHMTDKVGDLTVYIRICVTNLVSLSPLRFEGWTPLFESMPYLLSVAMIFKDAFMYSNCWDCGKEAREGCYAIGINKNGFLLLNHLSHTSHLSIAICHILLKTTSVSAIAVVAAMIAGAI >OGLUM06G08400.1 pep chromosome:ALNU02000000:6:6526039:6529938:1 gene:OGLUM06G08400 transcript:OGLUM06G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEVRFRLDDGSDIGPSMHDQATTVTALKEFVLARWPQGKEIAPRTVNDVTIINAGQVLENNRTLAESRNLAAESPEGPITMHVVVRRSRPERRGTIFFLLFVIMFVDDIRVSQIEIITVCPSFSYPDILKQPPKARPPERIGCGCTIL >OGLUM06G08400.2 pep chromosome:ALNU02000000:6:6526039:6529938:1 gene:OGLUM06G08400 transcript:OGLUM06G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEVRFRLDDGSDIGPSMHDQATTVTALKEFVLARWPQGKEIAPRTVNDVLENNRTLAESRNLAAESPEGPITMHVVVRRSRPERRVKQPPKARPPERIGCGCTIL >OGLUM06G08410.1 pep chromosome:ALNU02000000:6:6530810:6537564:-1 gene:OGLUM06G08410 transcript:OGLUM06G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron carriers;protein disulfide oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G08550) TAIR;Acc:AT4G08550] MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLTEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSQTMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWNLNI >OGLUM06G08410.2 pep chromosome:ALNU02000000:6:6530812:6536046:-1 gene:OGLUM06G08410 transcript:OGLUM06G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron carriers;protein disulfide oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G08550) TAIR;Acc:AT4G08550] MENGDKDEKNVAVTEGSTNSEEKDQDEDLLRRTEMLNAKEAINSSNENSGNDSEAQIHVRDDSQKEFNEKMNKEGSSDPMEPTDSSQTEEDILAEDKSEEPVFDGTEVAEMEDLRRSSNQSAELDSDAHGSVLNERATAIKNFVKEKGAIAVSTFIRRLSGKKDENEFSVEDEKNEGSESISSGNIGSDAEPKSKEVQPKSEERTTWNPLNLIKIGRDFDTFMTGEAGHENVPDLTEQPTGKGRIIIYTKLGCEDCKMVRSFMRQKMLKYVEINIDIFPSRKMELENNTGSSTVPKVYFNDLLIGGLTELKKMEESGILDDRTDALFKDEPSSAAPLPPLPGEDDESGSGKIDELATIVRKMRESITLKDRFYKMRRFSSCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFYRHVLDEDVFEDGNHLYRFLDNDPIIMSQCYNIPKGIIDVEPKPIVEVASRLRKLSQTMFEAYVSEDGKHVDYRSIQGCEEFKRYVRTTEELQRVETHELSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRRKFFGDFKYVIGGCAYSMSAIQNGILRGNQRPPYNLAKPFGQKDQRSKVALPYAEPLVHFALVCGTKSGPALRCYSPGNIDKELVEAARDFLRNGGIVVDPEAKVASVSKILRWYSTDFGKNETEVLKHAANYLEPAESEQFLELLANTQLKVLYQPYDWNLNI >OGLUM06G08420.1 pep chromosome:ALNU02000000:6:6543433:6552676:1 gene:OGLUM06G08420 transcript:OGLUM06G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMCGMLLTANDKSSCDQPSFLKNSNFLASFSCPRIPKIMTALVNTRVPCLAPNSLASTTASSRCLVGLLITRDANAYPAFLEYGEVGLDDRLNVGAKDAIVGLDNLEAPVEGAKHGEQEGEPWGERLAAEEAADHRDEARYLGAGQAEVGELGDAGGQRLVHPGADEERVVDDHGGDVRAGEAEAGEEGEDGVGVGGGPEAGEEGEDGVGLGGGPEVGELPGDLLRAGSRQAAGLHEVVLDGEGFGGAGEEAAEVEGEEGIGVRGGGEARWPEEARKDAPPEVNHGVTAVAGGGAPPLETGASLASDFRERRPTAWISQCWFSP >OGLUM06G08430.1 pep chromosome:ALNU02000000:6:6558268:6560491:-1 gene:OGLUM06G08430 transcript:OGLUM06G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRERILSLLLQPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSQDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQRTKFKALLMLIPPVVLGKQMEFVHWSYNLGTTLRLGAHQEQEVG >OGLUM06G08430.2 pep chromosome:ALNU02000000:6:6558268:6560491:-1 gene:OGLUM06G08430 transcript:OGLUM06G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRERILSLLLQPASPRPIAGIFPPPCPLRRLLSTTAPVSPKPFAVDEYLVATCGLTRAQAAKASEKLSNLRSPSNPDAVLAFLSDLGLSRPDGIAAAVAADPRLLCADVGSSLARRVDELGGLGLSRSQIARLLPLAGRCFRSSSLATRLAFWHPVFGSFENILKALKMNAALLGSDLDKVAKPNLAFLAQCGINASDVTRTTLSLYSCRLFTVNPRFLQDAVARVEELGVARGWRTFHRVLSTVAFLSRETIASKMQLLDDLGFSQDDFLVIVRRAPQVLRLSDGRIRRSVEFLIRDVGLEQSYIAQRPTLLAYSLERRLLPRHCLLKVLKAKGLLNCDLSYYCIAAMSEEKFVQRFVDPFKDKIQGLADAYTSSCSGEANGVRAHQEQEVG >OGLUM06G08440.1 pep chromosome:ALNU02000000:6:6565239:6566375:-1 gene:OGLUM06G08440 transcript:OGLUM06G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLRNQILLLRVRSSSTSLSPLSPLHRLFSSSTAAASIAAEPFAVEDYLVTTCGLTGDQARKAAKTLSRLRSPSKPDAAVAFLSGLGLSRSGIAAAVAADPRLLCADVEKNLAKRVAELGELGISRSQIARLIPLARQSFRSSSLATNLGFWLPVLGSFENVLMALKANGAILGSDVEKVVKPNLALLQQCGIHVCDFPHTRLPTVLCRPPNHVQEAVARIDEFGVPQYSPVFRNALVPFAYQNKEKLAAKIGVLEMFGWSEDDLSMTMRKGPVVMNMSVERLRKNVEFLTRDVKLETRYIARRPIMISYSLERRLLPRHRLLRFLSAKGLLDGELDFYSAVALTEKKFLDKFVHSCKCSIADPANAYASSFVGDVL >OGLUM06G08450.1 pep chromosome:ALNU02000000:6:6567990:6571459:1 gene:OGLUM06G08450 transcript:OGLUM06G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLDWFYEVLASIGLWQKEAKILFLGLDNAGKTTLFYMLSQENLAVHQPTQHPTSEELSIGRIRFKAFDLGGHRIARRVWRDYYAQVDAVVYVVDAADRCRFAESKMELDALLSDDALAGVPFLVLGNKIDIPYAVPEQELCYYLGLTGLTTGKGNVNLAGTGVRPVEVFMCSVVRRMGYGDGFRWMSQYIK >OGLUM06G08460.1 pep chromosome:ALNU02000000:6:6572129:6573343:-1 gene:OGLUM06G08460 transcript:OGLUM06G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIQKHLLLLSLPPCSTASTLLSLRHHGLFSLTRFSAAAAAAKSAGHFAVEEYLVATCHLTPDQATKASKSISHLKSPSRPDAVVAFLAGLGLSAADIAAAVAYDPRLLCAEVDRTLAPRLAELAGLGLSPSQIARLVLVDPTRFRRPTVISKLQYYVPLFGSFETLLQALKNNSYLLSSDLEKVVKPNVALLRECGLGACDIAKLCIPLPRLLTTSPERVRDMVAQAENVGVRRGSKMFRHAILAVAYISEEKIAAKMQFSMKTLKWSDAEARIAVSKLPVVLRSSEDKLSRVSEFLISEVGLEPAYIAYRPAMLTYSLERRLMPRHGVLKYLKDNGLIESDKSYYSAVQVTEEVFVEKYISPYEDTAPHLAEDYAAVSSGKIPTRSRFKGPKAGHASAQTA >OGLUM06G08470.1 pep chromosome:ALNU02000000:6:6574990:6576168:1 gene:OGLUM06G08470 transcript:OGLUM06G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHLRRHMISLLLQPAYPHPTAAISPLSSLRRLLLFSTTAAPVSPEPFAVEDYLVATWGLTGAQAHKASKKLSHLRSPSKPDAVLAFLSDLGLPPRKIAAVAAADPRFLCADVESNLARRVDELGSLGLSRSQIARLVPLALTCFRSSSVGTNLGFWLQIVGSFDKILKALRMNSSLLGSDLEKVVKPNLELLKQCGMSDVASFPLNTSRLFTANPNYLRDAVARVEELGLDRSSRMFRHGLIAVASTSKESVARKIQLMEELGFSRDELLMIIRKAPQLVASSEEKIRQAAEFLKRDVGLEGRYIAHRPVLFLYSLERRLLPRHHLLKVLRTKGLLDCELDYYNTAAMSEKKFVRKFVDPYKCHIPGLADAYTSSCAGETANGVASLLGV >OGLUM06G08480.1 pep chromosome:ALNU02000000:6:6576977:6581244:-1 gene:OGLUM06G08480 transcript:OGLUM06G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRRLLLLLALLLCRLAAVLPTSEVEALQGFMAGFAGSNAAFQSWDASAPNPCTWFHVTCGPGNQVIRLDLGNQSLSGELKPDIWQLQALQSFRELYGNSISGKIPSELGRLASLQTLDLYLNNFTGEIPYELGNLSKLSNLDLSHNNLSGIIPTNGSFSHFTPISFSNNPRTFANSSDSPSNNSGAAVPSGRSSASSIGTIAGGAAAGSAMLFAAPIVVFAWWWRRKPHVQFFDLLEEETPEVHLGQLRRFTLRELQVATDNFSQTNLLGRGGFGKVYKGRLLDGSLIAIKRLNEDRIGTGERQFLMEVEIISMAVHQNLLRLQGYCMTPTERLLVYPYMENKSLETRLRECSDSQQPLDWPTRRKIALGSARGISYLHEGCDPKIIHRDVKAANILLDEKLEAVVGDFGLARIMDYKVSHVVTGVMGTLGHIPMEYLTAGRTSDKTDVFGYGIMLFELISGKRGFDLVGLANEENARVHDWVKKLLEEGRLEVLIDPNLLEIYNGGEQGVREEVRLLVQIALLCTQESAPSRPRMSTVVTMLEDGIAEHWDAWQRNTIVQASLQGGQGVSEARNDSVANLPPDTLSGPR >OGLUM06G08490.1 pep chromosome:ALNU02000000:6:6582701:6586013:-1 gene:OGLUM06G08490 transcript:OGLUM06G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSLSTRAKLSLQRKMPSALPPRHTQAPAPPAAASASACLLRRRRGRLRVSLCYCRASTEPLVFASPSSPSLLRSSVAVPVPSSSRHANRGPGDGGGLLVVTVAASAVVLSACFVFLSAMRSMLECKKAAESLEKSFGSAREKLPETMASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNRRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >OGLUM06G08490.2 pep chromosome:ALNU02000000:6:6582701:6586792:-1 gene:OGLUM06G08490 transcript:OGLUM06G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEAAALPTTINGTIHSLLAMRSMLECKKAAESLEKSFGSAREKLPETMASVKLVGREICDLAVDLSNLSQELRKGVQSSMSVVHAADAQLHQLTTSAPQGNRRVTSNRKRAAGEPLLASTVRELRELIAELHSGFGVAVSIAGLLTWASNFVSKRPKNRS >OGLUM06G08490.3 pep chromosome:ALNU02000000:6:6586015:6586792:-1 gene:OGLUM06G08490 transcript:OGLUM06G08490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGEAAALPTTINGTIHSLLDKKEPHEASGCEAGEEIRRPTTWWPARPTLAPPELLQCRPSPTTAALSWPPPSRCRRCDLPRRRRRRLRGSLWRPRTHRVGERRFRQDAKLGTSPAFSKTEVVVDEGVGGERGGPGMGETATAEHRPERDARASLASDEADAMADCAEEAMGRPGRGGGAILMSRRSRLLPSRRPACPENREEKSVIKRGGRERDDVTA >OGLUM06G08500.1 pep chromosome:ALNU02000000:6:6586012:6586755:1 gene:OGLUM06G08500 transcript:OGLUM06G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHVISLPSSSLYHTLLFSVLWASRPAAGEEAATTRHEDCSSTAAWSPHSLLSTVCHGIGLVGGERGARIPLRSVLRCCSLSHAWAAALSSDAFIDHYLRLAKRRRGPKLCILPESAFADTVSAWSPETPAKAATTSSGKIAAAASGRRWPGKSCRCWAWAALEELGWRQRRSRRPPRRRPPDLLSRLAPAGLVWLFLIYFGKQTVGEDAVRRDSANKEDEWMQHRREGGNRSWRTHQGGSGWCR >OGLUM06G08510.1 pep chromosome:ALNU02000000:6:6588331:6590885:-1 gene:OGLUM06G08510 transcript:OGLUM06G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGLAMQSRAAVGVGAGPGVGRRGRAVIRLGKRPTAASLRVGGPAGPAAAKPLAPLYCLKASRGHDSLHNSVDEALLLKRKSEEVIFYLNGRCIYLVGMMGSGKSTVAKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKEHSEAFFRDNEAMKYMKKGLSVWLDVPLDALARRIAQVGTASRPLLDQPSSDPYTAAFSKLSMLAEQRGDAYANADARVSLEEIADKQGHDDVSKLTPTDIAIEALLKIENFVTEHSTSSGPVGDLIVDSQNRRTKAL >OGLUM06G08520.1 pep chromosome:ALNU02000000:6:6598193:6608284:-1 gene:OGLUM06G08520 transcript:OGLUM06G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRAMRLRGLLRPQLLRTHETGGALVVGLGVPGGSAVARRPPPLPFGDGRRRPSSRFYCSKGGVGSAEAAVGSGGGGSSSSSSEQEHARLGERDQKEWLSGERFVTGCRRRESPFLTKRERFRDQFLRRVVPWEKATLSWRSFPYYVDEDARQLLSDCVAAHLRHKDVALEYGSRLQSSGGRILLQSLSGTELYRERLVKALAHELRVPLLVLDSSVLAPYDFGEDCSESEEEDDHAESEDEGSVSEVEDEGDDDEEKSGESDDDDAIKSVEDLKKLVPCTLEEFAKRVASAQGSSSTSESSDTAESPEDGKRPLQKGDRVKYVGASVLVEADHRINLGQIPTQEGGTNAYTSINGRTLSNGQRGEVYEINGDQAAVIFDPSEDKLSDDKKDEASKEHLAKPAVCWVDTQDIELDHDMQAEDWHIAIEALREVLPSLQPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEVFDQLTGSLVLICGQNITEAAPKEKEPKTLVFHNLARLSPLTSSLKRLVGGLKARKPSKSNDISKLFRNKFFIPLPKDDEQLRVFNNQIEEDRKIIISRHNLVEMHKVLEEHELSCEDLLHVKSEGIILTKQRAEKVIGWARSHYLSSVTCPSIKGDRLIIPRESLDLAIGRLKAQEASSRKSSEKIKILAKDEFERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSNLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDSQNRMKILKILLAKENLESDFRFDELANATEGYSGSDLKNLCIAAAYRPVHELLEEEKGGVSGTKTSLRPLKLEDFVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >OGLUM06G08530.1 pep chromosome:ALNU02000000:6:6612274:6613425:1 gene:OGLUM06G08530 transcript:OGLUM06G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVKIGQWGGYGGSAQDITVTPIKLTGMTIRSGNAIDSISFSYSGIDGQEHVVGPWGGNGGHATTIMLGPTEHVIEVSGTHGKFGPVADVVTYLKIVTDITTYEFGVRSGTDFSVPLQGGAHVVGFFGRFGELMDAIGIYTRP >OGLUM06G08540.1 pep chromosome:ALNU02000000:6:6620138:6626350:1 gene:OGLUM06G08540 transcript:OGLUM06G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKGSKHALHGGVPAAAAAAERRMCSSRRSVAVRSAAVSFAAGVGDGVMLVKGGGGGGVGYTSATVGQEKRCRRAPPRTPTKTPLRAPEEINVWELMAGLDYDEEEEEEEEVVVVDGHGGERQVKSAPGSPAFDPDVLPAFRKAVEELPPESPPRDAAAAAADDDDKKGEIQKFPGVVRARIILFQKEIDAKLAKKEPPPPPPPPESARRVVVYLTSLRGIRQTYEDCCATASILRSYGVRVDERDLSLHAGYKDELRAALGDGAGGGGGVPGQGRPLPQVFVDGCHRSVTLSGGRASLLLVQCAGAVGL >OGLUM06G08550.1 pep chromosome:ALNU02000000:6:6626455:6628952:-1 gene:OGLUM06G08550 transcript:OGLUM06G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPKAGDLPPHPTPERAQAGTAADSGGDGWRGGRCGRQAVGDGTGVVAARRAAGSTAVGGWEGALPSARGEAAASLLVGS >OGLUM06G08560.1 pep chromosome:ALNU02000000:6:6640604:6642104:-1 gene:OGLUM06G08560 transcript:OGLUM06G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEMTARQRPQEGHDASSVIVAGPVEAGLGFHPPLTTCESTTDAAKLHHRPTSADMWDHCTGVPCRPAFVRRRPHRTHRQLLLLLEPCPPPSPLVAPPRAIPASTAGRTNLAIACSLRLAGDDGRSLKELVGGGNDVEVVNGEDERRTAKHDDRVEWEAVGVGSSMGKGRRVG >OGLUM06G08570.1 pep chromosome:ALNU02000000:6:6645704:6646812:1 gene:OGLUM06G08570 transcript:OGLUM06G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSSRSSVSEEEINELISKLQSLLPNSRRRGANQSLHREVDDLSDRLSDLMAGMDHNSPGAEIIRSLLR >OGLUM06G08580.1 pep chromosome:ALNU02000000:6:6649728:6652678:-1 gene:OGLUM06G08580 transcript:OGLUM06G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A724] MGSGSFLKLLANNFDVLAGPLVSLAYPLYASVRAIETKSPVDDQQWLTYWVLYSFITLFELTFAPVIEWLPFWSYAKLFFNCWLVLPCFHGAAYVYDHFVRPMFVNRQIVNVWYVPRKENLSKLDDVLSAAERYIEQNGPEAFEKLISKSTRPSTSKRSTKRSILEEVESEHMARAERESWGENPFYDKNYRY >OGLUM06G08590.1 pep chromosome:ALNU02000000:6:6661050:6662249:1 gene:OGLUM06G08590 transcript:OGLUM06G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTEDGGGGRRPNFPLQLLGKKEEQTCSTSQSAGAGGGGGGGVVGANGSEAAAQGELQVRTKAAAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSLSIPSHLRLAGLAGPRFGGGARAADAWDRVVGLGFGGAADAPSSATSSSSPLLLSFHSGSVGLDVSPPSASTSPAAADLSRKRRWEQEMQQQQQYQQQMAGYTQSQIPAGTVWMVPSSNAQAAGGGAPPGGGGESIWTFPQSGSGGGGGAATVYRGVPSGLHFMNFPATPMALLPGGQQLGLAGAGGGGEGHPGILAALNAYRAQAAQPDAGAAAQNAAQGSSQHRQHQHHGGGGGGDERHESMSASDS >OGLUM06G08600.1 pep chromosome:ALNU02000000:6:6673003:6674550:1 gene:OGLUM06G08600 transcript:OGLUM06G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMHSPARNSPLSYLLRCLARKPNKELKEIKVVFESPEDEDENEVFHVKRSAETNEGIKLSSLSDIDQEVAAGKGEESGVGPGDTADGDVAHGCRPT >OGLUM06G08610.1 pep chromosome:ALNU02000000:6:6676814:6678148:-1 gene:OGLUM06G08610 transcript:OGLUM06G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVSDELLGTFVPIAVYWLYSGLYIVLDAMGMDDYRLHPKGEEATKNVVSKWTVVKGVLVQQSFQIAVSLLLFTIIGDESGTVRKQPPALVIALQFIVAMFVMDTWQYFMHRYMHVNKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLIAGMTPKTAIFFFSFATIKTVDDHCGLWLPGNILHVFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLENRKGGGFEARPIKLNVAEQSKTD >OGLUM06G08620.1 pep chromosome:ALNU02000000:6:6678181:6678691:-1 gene:OGLUM06G08620 transcript:OGLUM06G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRRALLSFHTDSSEVKTATDRRSGRLAFSPLLSEPVFLPSSSASRLRPKKEQIFVRVAGLAALLRPRPPATATALAIAPPPHCFAISTRRSGRPAPYPLTSEQQHKNQTKESLLAFFASSSCV >OGLUM06G08630.1 pep chromosome:ALNU02000000:6:6682771:6689435:-1 gene:OGLUM06G08630 transcript:OGLUM06G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPNVAAKVKAAAAGGADGGSSKGSPSTPASLRVTKLVKGKAKVKAKASREKAAAPAAAAGKEAASLGDAGGNADASPAALALRPAAVADADGASKGSPSTPASVKKTSRLNKVKAKAKAAAAAAASGSPSVGAAGGNADASPAAPAPRSATVADGSAARVISTPAAATAEASTPKRRLKLKPKLAEANANANAVVATKNGVGADNNDGDAIKKRKREIAGERTSNVKERRKEEEGSKKEERLDSKGGGLIFMCNAQTKPECFQSRLFGYPRGKIGIVEKIRPGMRLFLYDFDLKLLYGVYKAVSKGGLDLVRDAFSGKFPAQVKFKIDKDCLPLPESRFKDAIRENYSAKSKFNPELNSRQVHRLIALFESVSVPQPAPQKPLEEMHHYEGKSQPHQYEERRSSLPVMHVLPPKDLYRATRFDPHPVDYRIDHSLSNAHDGPHIHYQQTLVARESQRVPLDIEPRLVPHALEPRHGPSIPEIQHVPHAYYRHLAPSDVPYYRSQVDPLPDRVAARTVADPFLSRDYTAVPATRSDGAARVEELRRIGDIASLGARVEELYRPGELAARGSRVEELYRPGEIVARGSRVEELYRPGEVAAHSARMEDLYRPGEIPIRGARVEDLYRPGEISARAVRVEDLYRSDQRITHAVDLPLHAPYPTAHYEVPNPAYSDISQRYASTRLNAPVLSEVTYVWTYGRAVRLAVTAVPF >OGLUM06G08640.1 pep chromosome:ALNU02000000:6:6690884:6691524:1 gene:OGLUM06G08640 transcript:OGLUM06G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLNNKMKGPISFHIFFVLLKILTSHFLAKESQHDQIHISHGQTAAGESTKGEFEEEIEKIGKIRKTR >OGLUM06G08650.1 pep chromosome:ALNU02000000:6:6692708:6695284:-1 gene:OGLUM06G08650 transcript:OGLUM06G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A731] MAGGRAFRPSAPRRAAFAALLTLLLLATLSFLLSSPPPTHASHRSSYLGASPPSRLAAIRRHAADHAAVLAAYAAHARRLKEASAAQSLSFATMSSDLSALSSRLASHLSLPEDAVKPLEKEARDRIKLARLLAADAKEGFDTQSKIQKLSDTVFAVGEHLARARRAGRMSSRIAAGSTPKSLHCLAMRLLEARLAKPSAFADDPDPSPEFDDPSLYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFARRPPPLGVHVQLLAYSDFPFLNETSSPVLRQIEAGKRDVALLDYLRFYLPDMFPALQRVVLLEDDVVVQKDLAGLWHLDLDGKVNGAVEMCFGGFRRYSKYLNFTQAIVQERFDPGACAWAYGVNVYDLEAWRRDGCTELFHQYMEMNEDGVLWDPTSVLPAGLMTFYGNTKPLDKSWHVMGLGYNPSISPEVIAGAAVIHFNGNMKPWLDVALNQYKALWTKYVDTEMEFLTLCNFGL >OGLUM06G08660.1 pep chromosome:ALNU02000000:6:6718398:6719177:1 gene:OGLUM06G08660 transcript:OGLUM06G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTTAAVAAAAQPKEVKLYGAWGSAHAAMARNALELKGVRYEYVEEDLERKSETLLLRLNPAHAGKVPVLVVVDDDGGGGGCPLAESLVILEYVDEVWPQAPRLLPPPSSPRARAAARFWARFFHGEVSPLSRAAAVLAPTPEERAEAVREMKARMAVMEAGFERDFPSSVVGGPFVHGATPGLLDVILGSCAAGTRAISAMAGEEVVEPDALPHVHASMAAFDERVAGFGTSVPHVLLLARLLEREERRRAAASASA >OGLUM06G08670.1 pep chromosome:ALNU02000000:6:6733036:6734728:-1 gene:OGLUM06G08670 transcript:OGLUM06G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARKVFDGIPRPDLFMHNAMLRGYAHAGAPGDAFAVYRRMALAARLRPDAFTFCYLLRACAGLPGSRAGRQVHGAVVKLGFLKDAYVRNALINMFAKCGDLRVASVLLDEAGKADVVAWSAVIAGHAARGDMDAARKMFDECTYKDIVCWNVMLGAYAKHGEMEKARELFDSAPEKDVVSWNTIITGYAAQGMLKHALEVFDEMRIAGWTPDEATIVSLLSCCANTGSLNAGRMIHHQLHLERRPWISIVVGNALVSMLANHVETMIGAPSQPLDVDMCTVHVMT >OGLUM06G08680.1 pep chromosome:ALNU02000000:6:6751998:6753485:1 gene:OGLUM06G08680 transcript:OGLUM06G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFQEEEAPPRQQQQPASAAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPHGTKSRFVVCDIKKNHLKVGLKGQPPIIDVTHFL >OGLUM06G08690.1 pep chromosome:ALNU02000000:6:6765938:6766255:1 gene:OGLUM06G08690 transcript:OGLUM06G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETDEEEEADSPSSVRTGGGGLSVSGGNGDEGEELEIPLRKVLETAGSSRLGTTLAEELEPILMPRDIVVAPPGRGGRQSGEEESAAVATLMKRRGGRSGGVRR >OGLUM06G08700.1 pep chromosome:ALNU02000000:6:6771062:6775023:-1 gene:OGLUM06G08700 transcript:OGLUM06G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAPSRTNSRVNYSNEIHDLSTVQSVSAVPSVYYPEKSFADIFPPNLLKKVISEVVATFLLVFVTCGAASIYGEDMKRISQLGQSVVGGLIVTVMIYATGHISGAHMNPAVTLSFAFFRHFPWIQVPFYWAAQFTGAMCAAFVLRAVLYPIEVLGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVVGTLSGAWVYTYIRFEEAPAAGGAAPQKLSSFKLRRLQSQSMAADEFDNV >OGLUM06G08710.1 pep chromosome:ALNU02000000:6:6820490:6823748:1 gene:OGLUM06G08710 transcript:OGLUM06G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSMPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIAVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFSSFHRIAGGTRQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARKLSSSIAIYTTLINPFTKFALLITPIAEAIEGVLGLGTATTGGKPAQYRAAAVSVSVRTALVVSTTAVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRAYGKLGVLEIVACVGIIVLGLGVIAIGTYSSLKQIVQSF >OGLUM06G08710.2 pep chromosome:ALNU02000000:6:6820709:6823748:1 gene:OGLUM06G08710 transcript:OGLUM06G08710.2 gene_biotype:protein_coding transcript_biotype:protein_coding METNTPPKSGTSFLKTCFNGVNALSGVGILSMPYALSQGGWLSLAIFITIAAICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGRIAVAAFMYLELYLVAIDFLILEGDNLEKLFPNASFFSSFHRIAGGTRQGFVLLFALLVLPTTWFRSLDLLAYVSLGGVLASAILVASVLWVGAADGVGFREGGVAVRWGGVPTAMSLYAFCFSGHAVFPMIYTGMRNRRMFPHVLLICFIICTLAYGVMGVIGYLMYGGSLRSQVTLNLPARKLSSSIAIYTTLINPFTKFALLITPIAEAIEGVLGLGTATTGGKPAQYRAAAVSVSVRTALVVSTTAVALAVPFFAYVVALTGSFLSATATMLLPCACYLRISSRAYGKLGVLEIVACVGIIVLGLGVIAIGTYSSLKQIVQSF >OGLUM06G08720.1 pep chromosome:ALNU02000000:6:6825339:6828606:-1 gene:OGLUM06G08720 transcript:OGLUM06G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDLELGRPLSAAAAAYPPPLRRSINDDDVDDDGKPKRTGTEWTASAHIVTAVVGSGVLSLAWSTAQLGWVAGPATLVVFAVITYYTSVLLADCYRAGGDQVSGKRNYTYMDAVESYLGGRQVWFCGLCQYVNLVGTAIGYTITASISAAAVYKSNCFHKNGHSADCSVFTTSYMVVFGVVQVFFSQLQSLHEVAWLSVLAAVMSFSYSAIAVGLSLAQTISDVDLSHKIWQALQALGNIAFAYSFSLVLIEIQDTIRSPPAESKTMRKANALAMPVITAFYTLCGCLGYAAFGNAAPGNMLTGFGFYDPYWLVGLANACIVVHLVGAYQVMSQPVFTAVESWASSRWPRCGFFVTGGGGTRLISVNAFRLAWRTAYVVACTAVAAVVPFFNDVLGLLGAVGFWPLTVYFPVEMYIRRRKLERSSKRWVALQSLNAVCFVVTLASAVASVQGIAESMAHYVLFKSKL >OGLUM06G08730.1 pep chromosome:ALNU02000000:6:6829356:6830093:-1 gene:OGLUM06G08730 transcript:OGLUM06G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAHDGSCCNQREVRGCGGADARKEAASAHGSPGRGSGDGKWEPEQRERWQRQRPGGRLAWSGGAQVGGAVVAAACGRASGGSDGGGSGNEADLEMVQRRGGSGDRSDSVEARQHWIYRLRWCSASGKERTTVAVLLLILNGKDSGRRWWLSVSSKERTEAAALLLLAPNREDGDAVEGCGAVMLLLFDPNEEDDDERWRGAHRPGHGKSSPVWAWEFGRLAYPP >OGLUM06G08740.1 pep chromosome:ALNU02000000:6:6837694:6840684:-1 gene:OGLUM06G08740 transcript:OGLUM06G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTSSQKHGNDDVDTGAEAAMDQLAGRSSSSPAPEKTKRRPEKSGTVWTATAHIVALLIGSSVLAVAWTFAQLGWVAGPAVVVALSVVTYYSSSLLADCYRDDDPDHLGGGAVHGEYIAAVRSYLGPKSVTFCGIIQYGVLWAAMVGYTITSSSSMSAVRRVNRFHRNWLAAGDGDGGGGATGVRYMVVFGAFQLLLSQLPSLENVAWLSVIAVATSFGYSSICLGLCAAKWASHRGGVRGTLAGAAAGSPGEKVFNVLLAVGNIAISYIYSPVLFEIQDTVRTPPSESKTMKRASLYGLAMSAVFYLVLGASGYAAFGDDAPSNILTGAAFHEPFWLVDVANACVVVHFLGAYQVIAQPVFARLEAYVGGRWPESRLVTASYELRLRVPAWTSAPPTAVTLSPARMALRAAVIVATTAVAAMMPFFNAVLGFIAALGFWPLAVYLPVSMHIARVKIRRGEARWWALQGASAALLVVAVGMGVASVRDMVQRLNEAAPFKTTG >OGLUM06G08750.1 pep chromosome:ALNU02000000:6:6842256:6846836:1 gene:OGLUM06G08750 transcript:OGLUM06G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 9 [Source:Projected from Arabidopsis thaliana (AT1G62260) TAIR;Acc:AT1G62260] MRHNRSLAALLRAGRYGAARRLFDALPARSVVTWNSLLAGLARRPDARAAREFFDAMPVRDAVSWNTLLAAYSASPHPDHLAAARRLFDEMPQRDVVTWNTLLGAYARRGLMDEARRLFDEMPQRNAASWNTMVTGFFAAGQVVKALDVFDAMPAKDSASLSTMVSGFTKNGMLHEAEELLTKRLSVTDMDKAVDAYNTLIVAYGQAGRFSDAKRLFDMIPKGQYQHNMLKRKGFERNVVSWNSMMICYIKAGDVCSARALFNEMPDKDLVSWNTMISGYTQASDMKEAEKLFWEMPDPDTVSWNLIIQGFMQKGEAEHARGFFDRMPERGTISWNTMISGYEKNGNYISSVKLFSKMLEVGEIPDRHTFSSVLAACASIPMLGLGAQIHQLVEKSFVPDTAISNALITMYSRCGALNDAEAIFKQMHTKKDLVSWNALIGCYEHHGRATKALQLFKEMRRAKVMPTHITFVSLLSACVNAGLVSEGRMVFDTMVHEYGIVARIEHYAALVNLIGRHGQLDDALEVINSMPMAPDRSVWGAFLGACTAKKNEPLAQMAAKELSTINPDSSAPYVLIHNLHAHEGKWGSAAVVREEMERQGVYKQPGYSWIDLEGKMHVFISGDTWHPNAQEIFSVLEGVDRTCRDWS >OGLUM06G08760.1 pep chromosome:ALNU02000000:6:6847092:6850336:-1 gene:OGLUM06G08760 transcript:OGLUM06G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FTSH protease 6 [Source:Projected from Arabidopsis thaliana (AT5G15250) TAIR;Acc:AT5G15250] MLLDLLVNFGFPLLFVASLLWRSPTMNNPGGGPSLPFGLGKSKAKFQMEPNTGVTFDDVAGVDEAKQDFQEIVQFLKFPEKFTAVGARTPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFDRAKASAPCLVFIDEIDAVGRQRGAGIGGGNDEREQTLNQLLTEMDGFGGGDGGVVVIAATNRPEILDAALLRPGRFDRRVSVGLPDVRGREEILLVHGANKRLDPGVSLAVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVSEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTAGHDEVQKVTLIPRGQARGLTWFLPGEEDPALVSRQQIFAGIVGGLGGRAAEEVVFGEPEVTTGAAGDLQQVTRVARRMVTVFGMSEIGPWALAEPAAQGGDVVLRMLARSSMSERLAADIDAAVRTIVDEAYEVAKAHVRRNRAAIDQLVDVLMEKETLGGDEFRAILSEHVDIGKERRETAARTEQLATA >OGLUM06G08770.1 pep chromosome:ALNU02000000:6:6858618:6859432:-1 gene:OGLUM06G08770 transcript:OGLUM06G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFLSLSPNAYAHEVEAGAGKTAETASSTCPVTKGDLRADDLVPNHALRRVIQAWCVANNLPPRRLPASPLPVPQYCSSPAPETASPVDREELKASPAAACSLLATTFTNPVDFRCKSCLLHLSFAAASESSDSSASSVLLNNVLAVLVLVMPLDEEAIVAIGSSITTSVALLANVAKHGDLQRRLQAVVVIMEIVCVVLVLLTQRRRYHRH >OGLUM06G08780.1 pep chromosome:ALNU02000000:6:6862243:6867625:1 gene:OGLUM06G08780 transcript:OGLUM06G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWHGGFVIVSLFIILMLRYVILDSPLAEKSLQYVFQQNNTTPLHWLDVPNPPAVQNPQNISQVISTELLASNLSITRNFSDRELQSLHSWNHLKDLVSHAHILPDGVEAIKKAGVAWRELNAALEYDESAVSVNGSTHKKSKEKQCPYSIRRMNATRSGDRFVLKIPCGLIQGSSITIIGTPGGLLGSFKIELTGATVPGEPDPPIVLHYNVRLLGDKLTEDPVIVQNTWTIADDWGSEDRCPSPGSDAKDIAKVDELEKCGSMVGNDQKQAWATKLKSNVSSIQPSWKKNTEPKKYFPFRQGYLAIAILRVGAHGIHMTVDGKHVTSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVTDLEILKAPPVPMDKPLDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSGKAAVRFFVGLTNVLSAKYVMKTDDDAFVRVDEILLSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSQDIAKEVYRKHKRGELKQLHAVTVVSANGTAIMEYSKLTSAGFCLYALGILKFDSYYPDVVLQMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEEGYVVAHYQEPRDMMCLWDKFLKTTRGTCCKE >OGLUM06G08790.1 pep chromosome:ALNU02000000:6:6871323:6880474:1 gene:OGLUM06G08790 transcript:OGLUM06G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTTTSDLVLDNDNIGSNAGSAQEPLTTNGKISGVRNRYKQTVKRGRKGSQISPSKTYPLRSSHSNVRVLRSASKKKNETPIVPTNDNTAVQRVAKKRKRSKPLRPAPSRVLRSTSEKKNKAHNELLNDGAGVQPAEKKRKVGRPPKGGTPKDDYLMIRKRVRYVLNRMNYEQSLIQAYASEGWKGQSLEKIRPEKELERAKVEILRCKSRIREAFRNLDSLLSEGKLDESMFDSAGEISSEDGDEGWLCPACDCKIDCIDVLNELQGVKLSIHDSWEKVFPEAASFLNGSKQIDASDLPSDDSADNDYDPTLAQGHKVDEEKSSGEDGGEGLDSDDSSSEDSESSEKEKSKTSQNGRTVDDLGLPSEDSEDGDFDPAGPDSDKEQNDESNSDQLDESDFTSDSDDFCAEIAKSCGQDEISGPSSSQIRTVDRTDGSGFDGEPNAENSNLAFMETELEQDMVLPISSKRQVERLDYKKLYNEAYGKASSDSSDDEEWYGNSTPEKGNLEDSETDSLAESPQGGKGFSRRAPVRYHNNEHTPQNVRPGGSVSDQQTEVLCSNSNGSTAKNRHFGPAINQKLKAHFKEDPYPSRATKENLAQELGLTFNQVTKWFSSTRHYARVAATKKENNIENHTAENNNNTNTVDSIQLRGSNDIVSVDRNDMVSEERTGQSNLNEGTPLRSDTSCGQSVAVTPMVHPENQGNDSSSNVRTPNAKSAEKLIPGLENSDEARRKAVQRELRKMKTGR >OGLUM06G08800.1 pep chromosome:ALNU02000000:6:6882008:6884090:1 gene:OGLUM06G08800 transcript:OGLUM06G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74460) TAIR;Acc:AT1G74460] MACKKQKSKLMAFSLAMVVVVVLLLGRCRGDVVQFIFGDSLSDVGNNDYLTKSLARAALPWYGIDFDTGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDENVILKRGVNFASGGGGILNETSSLFIQRFSLYKQIELFQGTQEFMRRKVGKAAADKLFGEAYYVVAMGANDFINNYLLPVYSDSWTYNGDAFVRYMVTTLEAQLRLLHSLGARRLTFFGLGPMGCIPLQRILTSTGACQEPTNALARSFNEQAGAAVARLSSSLANATFRFGEAYDYFQDIIDRPAAHGFNNSRAPCCSLGRVRPTLTCTPLSTLCKDRSQYVFWDEYHPTDRANELIALETLRKLNITVSANNSTST >OGLUM06G08820.1 pep chromosome:ALNU02000000:6:6889679:6890987:1 gene:OGLUM06G08820 transcript:OGLUM06G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPPRRVLGLLRRRLAAAIGSRQGRPGLPPLQTIQIPGLPPLPPLPTIQIPCLPPLQPLPTIQIPGLPQLQPLPTIQIPELPPLPPLLPSVSITPGSPGAPVQVPNSSQSALAVAAPITPQPTECLSSLMALMPCVEYATKTDVPAPPSVCCDGFKSLVEMAPICLCHGINGNIGKFMPAPIDLTRMMSLPATCGVTPPVEALTKCFTGPVPPLMPAPTPAAAPSPSPEPSA >OGLUM06G08830.1 pep chromosome:ALNU02000000:6:6894230:6899271:1 gene:OGLUM06G08830 transcript:OGLUM06G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0A749] MSSAVVASSTTFLVALASSASRGGPRRGRVVGVAAPPALLYDGRAGRLALRAPPPPRPRPRRRDAGVVRRADDGENEAAVERAGEDDDDEEEFSSGAWQPPRSRRGGVGKVLKRRGTVPPVGRYGSGGDAARVRGAAAPAPAPTQDAASSKNGALLSGRDDDTPASRNGSVVTGADKPAAATPPVTITKLPAPDSPVILPSVDKPQPEFVIPDATAPAPPPPGSNPRSSAPLPKPDNSEFAEDKSAKVVESAPKPKATRSSPIPAVEEETWDFKKYFDLNEPDAAEDGDDDDDWADSDASDSEIDQDDDSGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEAQDVGIRKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQDDIYGGNRQEIMKRMILFCKAAVEVPWHVPCGGVPYGDGNLVFLANDWHTALLPVYLKAYYRDNGMMQYTRSVLVIHNIAYQGRGPVDEFPYMELPEHYLDHFKLYDPVGGEHANIFGAGLKMADRVVTVSPGYLWELKTTEGGWGLHDIIRENDWKMNGIVNGIDYREWNPEVDVHLQSDGYANYTVASLDSGKPRCKAALQRELGLEVRDDVPLIGFIGRLDGQKGVDIIGDAMPWIAGQDVQLVLLGSGRRDLEVMLQRFEAQHNSKVRGWVGFSVKMAHRITAGADVLVMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVSAFDPFEDTGLGWTFDRAEPHKLIEALGHCLETYRKYKESWRGLQVRGMSQDLSWDHAAELYEEVLVKAKYQW >OGLUM06G08840.1 pep chromosome:ALNU02000000:6:6899378:6920294:-1 gene:OGLUM06G08840 transcript:OGLUM06G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITRRSKMKCKGETDTGSPACRRCVSATSAASFARSTRPASHYPWTRTSRTAAPGRRGSSSTRTFDDLESDVFDVLRDEFPRVYTIGPLGADRANSLVGGGAAGLSLWEEDAACMAWLDEQPTGSEKGQKPIVTARTTVHGAGCRAAGSSSGNAKAAKQCGRGGRWRRRADKTATAKPSIGASVAVATDWRLPMHAAKKCPKPFSKGLDVPACPARAFFAQAVLHLFSIFRPSISTPRTSGVPFPACNVPPLLHLAAGTSDYKHSWCGGTVELLQICTPTLCGGPQKLLIQKELQCYGTR >OGLUM06G08850.1 pep chromosome:ALNU02000000:6:6906769:6911565:1 gene:OGLUM06G08850 transcript:OGLUM06G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLADAVLMAAATFARRRPDQRYRATPLGDGDDAGEDDDEEAGRVAYPMVLVQIPMYNEREVYKLSIGAACGLSWPSDRLIVQVLDDSTDPTVKGLVELECKSWGNKGKNVKYEVRNTRKGYKAGALKEGLLRDYVQQCNYVAIFDADFQPESDFLLRTIPYLVRNPQIGLVQAHWEFVNTSECLMTRIQKMTLHYHFKVEQEGGSSTFAFFGFNGTAGVWRISALEEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLADVKVKSELPSNLKTYRHQQHRWTCGAANLFRKVGAEILFTKEVPFWWKFYLLYSFFFVRKVVAHVVPFMLYCVVIPFSVLIPEVTVPVWGVVYVPTTITLLHAIRNTSSIHFIPFWILFENVMSFHRTKAMFIGLLELGGVNEWVVTEKLGNGSNTKPAPQILERPPCRFWDRWTMSEILFSIFLFFCATYNLAYGGDYYFVYIYLQAIAFLVVGIGFCGTISSNS >OGLUM06G08860.1 pep chromosome:ALNU02000000:6:6925575:6935106:1 gene:OGLUM06G08860 transcript:OGLUM06G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A752] MEGPEEHGETSKAPLSRGASKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLVLSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLEEEAPPRQQQQPASAAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPHGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >OGLUM06G08860.2 pep chromosome:ALNU02000000:6:6925575:6935106:1 gene:OGLUM06G08860 transcript:OGLUM06G08860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A752] MEGPEEHGETSKAPLSRGASKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLVLSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLQQQPASAAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPHGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >OGLUM06G08860.3 pep chromosome:ALNU02000000:6:6929933:6935106:1 gene:OGLUM06G08860 transcript:OGLUM06G08860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A752] MAIISDFQEEEAPPRQQQQPASAAAAAAGSGDEVLAAELERRGGAIPFLQAAIDVARRRSDLFRDPSAVSRVTSMASAARAVVEAEERKAREAKRKAEEAERKAAEAERKAKAPAEPKPESSAGKDSMEVDKKEEGNVRKPNAGNGLDLEKYSWIQQLPEVTITVPVPHGTKSRFVVCDIKKNHLKVGLKGQPPIIDGELFKPVKVDDCFWSIEDGKSLSILLTKQNQMEWWKSVVKGDPEVDTQKVEPENSKLADLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQDMLKKFMAQHPEMDFSNAKIA >OGLUM06G08860.4 pep chromosome:ALNU02000000:6:6925575:6929980:1 gene:OGLUM06G08860 transcript:OGLUM06G08860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A752] MEGPEEHGETSKAPLSRGASKGVSILDVILRFVAIIGTLASAIAMGTTNQTLPFFTQFIRFKAQYSDLPTLTFFVVANSIVCAYLVLSLPLSIVHVIRSRAKYSRLILIFFDAAMLALVTAGASAAAAIVYLAHKGNARANWLAICQQFDSFCERISGSLIGSFAAMVVLACQQFFLKIS >OGLUM06G08870.1 pep chromosome:ALNU02000000:6:6927814:6929223:-1 gene:OGLUM06G08870 transcript:OGLUM06G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYARKVFDGIPRPDLFMHNAMLRGYAHAGAPGDAFAVYRRMALAARLRPDAFTFCYLLRACAGLPGSRAGRQVHGAVVKLGFLKDAYVRNALINMFAKCGDLRVASVLLDEAGKADVVAWSAVIAGHAARGDMDAARKMFDECTYKDIVCWNVMLGAYAKHGEMEKARELFDSAPEKDVVSWNTIITGYAAQGMLKHALEVFDEMRIAGWTPDEATIVSLLSCCANTGSLNAGRMIHHQLHLERRPWISIVVGNALVSMYAKCGDLHTAVEVFNTMKDTDVWTWNSVIGGLAFHGQAEQSVRFFKKMLEKRIHPNEISFLCVLGACSHAGLVEDGQKFFYLMKDRYGIEPNARHYSCIVDMLGRAGLLDEAYAIVSNMRCEPSAVVWRTMLGACRTHGNMTLGKLTREKLLDMNEDASGDYVLLSGIYASSGEWLGVETERRSMDRRGIRKVAGYAQIDRKPAGLSAQ >OGLUM06G08880.1 pep chromosome:ALNU02000000:6:6932965:6934773:-1 gene:OGLUM06G08880 transcript:OGLUM06G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06540) TAIR;Acc:AT5G06540] MSATTTAPSPTPIPITTITELRQHHSQLVRLGLASHPPHARRLLAFLARDPAHLPYAARLLAHHPDPRPALLNPLFASLPPRAAASLLALMLSLPLLPDHFTFPRLLPAAPPPLAAQLHALLLKLNLHSHAHSLNALLAAYLAAARPDLARVLFRTSGGGALDVVSWTTMVGGLCRLGLVDDAREVFDAMPERNLVSWNSMISGYVKADRFLDALEVFDEMRALGVEGNGFVATSALVACTGAGALGRGREIHRWVEQSGIEMDAKLATAVVDMYCKCGCVDEAWRVFDSLPARGLTTWNCMIGGFAVHGRCDDALELFHQMEAAGVAPDDVTLLNVLTACAHAGEVGEGRRYLDHIVSHHGIEPKAEHYGCMVDLFGRAGQLDEAKKVIDEMPMDPDLAVLGALLGACKIHGDVDLGVAIGWRVIDLDPDNSGRYVLLANLLAGAGRWDEVAKVRRLMDERNVSKEAGRSVIEVDGEACEFRCGSLCHPQAREIYAMAVDMVSRIRAEGYVPDTGEALHDVGEEDKEAALLCHSEKLAIAFGLLRARPHETLRITKNLRVCRDCHEATKYVSRVFGREIVVRDRSRFHHFKDGMCSCKDYW >OGLUM06G08890.1 pep chromosome:ALNU02000000:6:6938772:6939101:-1 gene:OGLUM06G08890 transcript:OGLUM06G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYCAALWAAELVGSTAGIFFPDSGAVALLLTVAVVFFLAVALLQLQVAATGGDDDDAPPSVCSHNHRENVAVRRLAVVIFTATAGAYIIFVLFMVGYVFFLHHEVHA >OGLUM06G08900.1 pep chromosome:ALNU02000000:6:6945456:6947350:-1 gene:OGLUM06G08900 transcript:OGLUM06G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPAAAMMRAEEEENRRRAATRLPGLLRGVVSGVLTGIFAVAGGLTGAVTGALAGRASDGGVLRGAGLGAFAGAVLSIEILEASRAYWRQDRSSSPGSLSMGDFVKQLIHARFVQEQNEASGHITYRWQVGIADVVNGAVHEILGDVPSGEGLSQYSLMKLPYHVVTDHNNGSIGENLSCPICLQDVVAGETVRRLPKCSHTFHQPCVDKWLVGHGSCPVCRQDV >OGLUM06G08910.1 pep chromosome:ALNU02000000:6:6950345:6950827:1 gene:OGLUM06G08910 transcript:OGLUM06G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAVAASRLVRCCGPCWLDVAVESSGAAPEEICRARSRLHFQDRARFQGKRRNITKLRGMTVGDDGLAVDGTHGRVARRQRQAKAVGMEAGSESAPVAWAKQAYG >OGLUM06G08920.1 pep chromosome:ALNU02000000:6:6952313:6955257:-1 gene:OGLUM06G08920 transcript:OGLUM06G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGYYRGGGGHADEADDFDEYDPTPYGGGYDLFITFGRPLPPSDETCYPCSAPSTSYDAPHYSADEPSPYARHSKPQPAYGFRPQHEQQQQPSYASSGYRPQHEQQQSYGSSGYGSKPQPAYGFRPQAEEENTYGSGYGSGYGGGRKQQEEESYGSGYGRKPQVEESYGSGYGTKPQQEESYGSGYGSGYGTKPQQEESYGSGYGRKPQQEESYGSGYGRKPQQEESYGSEYGSGYGRKPQAESYGSGYGSRPQQGGEEYGSGGYGRKAQEESYGSAGYGGYGRKNEEESYGGGSGYGYGKKAQEESEGTYGSGGYPKPKPYGQEETQGSYGYGYGEKPAYESGGYNKPSYGESGGYNKPSYGGGDEYQGGYGRKKHDDNDSDDEKKQRYQKHHHHRRQEYDD >OGLUM06G08930.1 pep chromosome:ALNU02000000:6:6960439:6969882:-1 gene:OGLUM06G08930 transcript:OGLUM06G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTARQYCDSSSFLSLCSSLLFHSPPHPKPCWIHRPTTTSIGSGGGGDGGGSGMKNFLRKLHIGDSAGDGASSLAPPPPVSKKGGGGGGGGAQHEHKHGSGISSWLSSVTGRPQTQPSPSPSPSPSPPFAADAVVEAEAAALASSVEVRRLEVEEEEEKARRESREESVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVTGDEPTLPTIPSLTELHQQPFSHASKTEAVLVNRAQDTKLVQLEQKAFIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESILSKYQNVSSSLRASIRSVVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGREYIVDLMSDPGTLIPSDGAGLGREFEDSLFADSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTCTSENLPVIHESRNTDHTMSTKSKDKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAERIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSQNITGNSHMYEPSAPPQEDPLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIPKGRHDFWDNQLEIDHGQTSVPEQEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKMRPSFSEIMSSLKPLLKNTPANQPQRQRVQRANG >OGLUM06G08930.2 pep chromosome:ALNU02000000:6:6960439:6969882:-1 gene:OGLUM06G08930 transcript:OGLUM06G08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGTARQYCDSSSFLSLCSSLLFHSPPHPKPCWIHRPTTTSIGSGGGGDGGGSGMKNFLRKLHIGDSAGDGASSLAPPPPVSKKGGGGGGGGAQHEHKHGSGISSWLSSVTGRPQTQPSPSPSPSPSPPFAADAVVEAEAAALASSVEVRRLEVEEEEEKARRESREESVRKREMEKEKQEAELEEYHMQLALEMSAREDPEATQIEVAKQISLGSCPLQSSPAEVVAFRYWSFSALSYDDKILDGFYDIFVTGDEPTLPTIPSLTELHQQPFSHASKTEAVLVNRAQDTKLVQLEQKAFIMAVEVRSKTPEFVGHNLVQRLATLVSDYMGGPVIDPESILSKYQNVSSSLRASIRSVVMPLGELTIGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDDGALSIVKFNDGSHHVNKDDCNTQLGSSFSEVSSSMYGSFENESLEKVSTPSNFGHSDPYGITTGQTGSQGSAVSGSFGELSISTCTSENLPVIHESRNTDHTMSTKSKDKSSAANNSSSSSPSSSEVGGAPAVRRMKVKDVSEYMISAAKENPQIAERIHAVLLENGVVPPPDLFSEESREQPKDLIVYDTSLFQTKDEMIKRMNELESTTNADFCHGPSVPHPPGHELQTKAVPYRIPLDLKPIQGLGTYHPSDSQNITGNSHMYEPSAPPQEDPLQLIKQMPVAAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLEPGCQLLSLPSSSGANELIPKGRHDFWDNQLEIDHGQTSVPEQEKDLVEVPQEAERVSDKSVGTESSRSDIALDGVAEFEIQWEEITLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALDEFRTEFQIMKRLRHPNVVLFMGAVTRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCSPVVVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELFTLLQPWEGMNPMQVVGAVGFQQRRLDIPAHVDPTIAEIIRRCWQTDPKMRPSFSEIMSSLKPLLKNTPANQPQRQRVQRANG >OGLUM06G08940.1 pep chromosome:ALNU02000000:6:6991651:6997851:1 gene:OGLUM06G08940 transcript:OGLUM06G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G66430) TAIR;Acc:AT1G66430] MALHAAPPPPPPCTAGRLPTTSRRPCFSAGRIFRCSLPAAAARPRNAAFLAPLRTSSAVCTKAVSNSDGTPGTSSSPHVVCFGELLIDFVPTVNGVSLAEASAFKKAPGGAPANVAVGIARLGGSSAFIGKVGDDEFGYMLADILKENNVNNQGLLFDAHARTALAFVTLRNDGEREFMFYRNPSADMLLEETELDLDLIRKAKIFHHGSISLITEPCKTAHIAAAKAAKDAGVLISYDPNLRLPLWSSADDARDGILSIWETADVIKISEEEVSFLTKGEDPYDDSVIKKLMHPNLKLLLVTEGPEGCRYYSKEFNGRVGGLKVNAVDTTGAGDAFVAGILSQLSVDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVVDALTKVVA >OGLUM06G08950.1 pep chromosome:ALNU02000000:6:7030522:7033805:1 gene:OGLUM06G08950 transcript:OGLUM06G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0A765] MPTPSHLPHPHWLPRLPPLLHPTPPRRTLNPASPPHTTHRRREEVVVFLGCKMITGADFYHVMTAMVPLYVAMILAYGSVKWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTLWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDADVVSLDGRRDMIETEAEVKEDGKIHVTVRRSNASRSDVYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAAAPNKAGSKYGQYPAPNPAMAAPPKPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGAEYNDAAAVKEVRMAVASPRKADGVERDDFSFGNRGVAERDAEAGDEKSVAAAVSGEHGKPGLTPAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYSVHPDILSTAVIFGMLIALPITLVYYILLGL >OGLUM06G08960.1 pep chromosome:ALNU02000000:6:7045145:7045685:1 gene:OGLUM06G08960 transcript:OGLUM06G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFNFLPVRFHYGGEFMVSMGQLHYVGERTAISNIELDRLSLSEIIGFLGDHMPVSGLLHLHWLCPGKQLSNGLRFLLDDNACKEMADHISNGQVADIYVEGVTIEEGKEDNQIDDWGYDIAEADDEAKSDSEVSKRSDCSQEEHHLRFQRSRYIVWQRMSYCLKI >OGLUM06G08970.1 pep chromosome:ALNU02000000:6:7086900:7089445:-1 gene:OGLUM06G08970 transcript:OGLUM06G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydroascorbate reductase 1 [Source:Projected from Arabidopsis thaliana (AT5G16710) TAIR;Acc:AT5G16710] MAVLLRTTTSATTATSGGSSSATALLATTFRRGGRRLLLLPATRGSAPRRAALLTVRASAEPLEVCAKASLTVPDRLGDCPFTQRVLLTIEEKHLPYDIKLVDLANKPDWFLKISPEGKVPIVKLEEQWVADSDVITQAIEEKYPEPSLATPPEKASVILTIGNNFLYSGSKIFSTFIGFLKSKDPNDGTEQALLSELTSFDSYLKDNGPFINGETISAADLSLAPKLYHMEIALGHYKNWSVPDSLSHVKKYMKTIFSMDSFVKTIALQEDVIAGWRPKVMG >OGLUM06G08980.1 pep chromosome:ALNU02000000:6:7092842:7098434:1 gene:OGLUM06G08980 transcript:OGLUM06G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAHRNYHLKQLEAARRVIVDAEASIRLLDAGIVVTGEEHKRAFSRIRSSTNTIMRVLTYRANVNSVPPLAVPQHRPQAPVPGGQTSSSTGLSGLYQQGSTQAATSQHIAPLPKGFVRPSDVFAGVGPPMQGTCAPRPHVPLGVSIGNEFIPGGSTGVTNHSDLRRRFSYCHTHVELHLLITACLWGLDAGTDLARTKVCVGWGRRRKWRRQWDTGLARSRWEDSDGNHWLRTAAATAGSASLRRRRRLRLHELEGKTVAVAAAAAPRGKRRGIRVRE >OGLUM06G08990.1 pep chromosome:ALNU02000000:6:7095475:7098528:-1 gene:OGLUM06G08990 transcript:OGLUM06G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGLQIFLEAQTKGSRMYVMFADYYLSLQIHIHHLDVDTHSVLLNMVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYSFYITDAHPVASGNTLVPTSFPNLNLLQTRALAGVSSPCMIHVRV >OGLUM06G08990.2 pep chromosome:ALNU02000000:6:7095475:7098528:-1 gene:OGLUM06G08990 transcript:OGLUM06G08990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGLQIFLEAQTKGSRCIRQAKSIWAVMAHFLGKGFMSSTAKSSLSVHIPVASGNTLVPTSFPNLNLLQTRALAGVSSPCMIHVRV >OGLUM06G08990.3 pep chromosome:ALNU02000000:6:7095475:7098528:-1 gene:OGLUM06G08990 transcript:OGLUM06G08990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGLQIFLEAQTKGSRMYVMFADYYLSLQIHIHHLDVDTHSVLLNMVHQTSKKYMGSDGTFLREGIYEFNGKILSISPYMASGNTLVPTSFPNLNLLQTRALAGVSSPCMIHVRV >OGLUM06G08990.4 pep chromosome:ALNU02000000:6:7095475:7098528:-1 gene:OGLUM06G08990 transcript:OGLUM06G08990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGNQKVKLDVGVTVAKSPPADTNPQIDCSLLFNSPLSHCSLSYQTRQPLLDFSVCSAWSFRPADIFGSTNERITYGASDKQKPVASGNTLVPTSFPNLNLLQTRALAGVSSPCMIHVRV >OGLUM06G08990.5 pep chromosome:ALNU02000000:6:7095475:7098528:-1 gene:OGLUM06G08990 transcript:OGLUM06G08990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVAGYMYTVRFGGLIRSETFVPPSSPSSQKLLSNPNPSPLPTRSRRRRHRHRLPLELVKPEPPPSSQARGASRRRRRAEPVVTVAVFPSTSCESGVPLSPPFPSPSPSHADFGSCKICARIEPPQARGLQIFLEAQTKGSRIGIQPVASGNTLVPTSFPNLNLLQTRALAGVSSPCMIHVRV >OGLUM06G09000.1 pep chromosome:ALNU02000000:6:7109968:7110603:1 gene:OGLUM06G09000 transcript:OGLUM06G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGHGGAMAVSAAAAGMDEADAAFFSRRGNRCCCFWGPWASSSYSRAGGPAAAAEEEWWHRVGGGGGERRRWWRRGVDALMKVREWSELVAGPRWKTFIRRFRRSPRHHHHGGGGGGGGGERKLNYDPLSYALNFDEGHGGACSPEGDYAGYRDFSTRFVAPPPPAAASVKSSMDFGGRDAPPLFHHPPPQQPHPHPHPPSPSAARG >OGLUM06G09010.1 pep chromosome:ALNU02000000:6:7117708:7119018:-1 gene:OGLUM06G09010 transcript:OGLUM06G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSRTERVGGGGGAMVEVAFASSAGSSAAAPRRLRGELVVRDAIPYAGVTPPPPALPLPQPQLQPQVQATTSGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRLLMKKQHRRGGGGGAAAGVSRSAAGDDAGGGGDAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLHCIDTWLLSNSTCPLCRGVLFVPGLTENNPMFDFDEGLEEGRLSEDCDNGFGYPGHKATEGMQTPGTEKRVFPVRLGKFKNVGTQGAVEGGGIGNANGAVLRREEGESSSSSLDARRCFSMGTYQYVLGTSELRVSLQPDRIRNGGGGVTRARPTGLSSVNAEIMEGKKICARNKGESFSVSKIWQWSNLKGKLPTGSDECSEAGSLPWMKRGGIGDTSNM >OGLUM06G09020.1 pep chromosome:ALNU02000000:6:7123195:7126668:-1 gene:OGLUM06G09020 transcript:OGLUM06G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Defective-in-cullin neddylation protein (InterPro:IPR014764), Protein of unknown function DUF298 (InterPro:IPR005176), UBA-like (InterPro:IPR009060); BEST Arabidopsis thaliana protein match is: Domain of unknown function /.../8) (TAIR:AT1G15860.2); Has 857 Blast hits to 855 proteins in 202 species: Archae - 0; Bacteria - 0; Metazoa - 482; Fungi - 154; Plants - 139; Viruses - 0; Other Eukaryotes - 82 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12760) TAIR;Acc:AT3G12760] MHKLGRGSRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISLTNSRHLEDLYNRYKEPDVDMIMVEGVSLFCTDLQVDPQDIVMLVISWHMKAATMCEFTHQEFIGGLQSIGVDSIEKLREKLPSLRAEIKDDHKFREIYNFAFAWAREKGQKSLALETALGMWQLLFAERHWPLIDHWCQFLQVRHNKAISRDTWSQLLEFVKTIDPQLSNYDEEGAWPYLIDEFVEYLTENGFVQLRK >OGLUM06G09030.1 pep chromosome:ALNU02000000:6:7129658:7130168:1 gene:OGLUM06G09030 transcript:OGLUM06G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADELLHLRQGRLDQHLHLPRRHQLPRGLLPADEWRDGRRRRRCAWPRPPAAELPDLCIRGDGHGPPPAAVHGLKRSILAEVYAAAHAEPPPPELGQPASLACSAAHLPVRSREGERERGEGTGKKRGVRGQE >OGLUM06G09040.1 pep chromosome:ALNU02000000:6:7131199:7132674:-1 gene:OGLUM06G09040 transcript:OGLUM06G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKTGVKTTEERKGNDFVSKGEELSIKVVGHNLGALLAVLAANELHACLATDTERDITDHHRLPLPIAVVSFNDPNIDNRVFIDHLQNKRGVNMLCVVNAGDMAMCVPGESHVIVREGHVHVGTELRLDSRNSLCLHPDV >OGLUM06G09050.1 pep chromosome:ALNU02000000:6:7132673:7134365:1 gene:OGLUM06G09050 transcript:OGLUM06G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRQRGARRQLGAAARLLLGDGRAARSHALRVEAVGIRRRPEAGEDGGGLPPAPLPADLPRRPASARGEEEDGLWLVAAVQLWGMPSCCRPTTFDVNKVPTMQGPSAPAARRTEDDEGWRRHAARGEGRPCVGGVRRTA >OGLUM06G09060.1 pep chromosome:ALNU02000000:6:7136469:7138760:-1 gene:OGLUM06G09060 transcript:OGLUM06G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQLSPVAVTHLLQHTLRSLCTSGDDSQWVYAVFWRILPRNYPPPKWDLPGGAYDRTRGNRRNWILAWEDGFCNFAATSAACGDGAAAAYAAAECEETKQVGVAGGGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQEQEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSYVVALRRKFGYLESIPGVLLPHPSSAAAAFPGGTPDAAGWPAGMMVSPPVPPELYVDPYGGAAAGAGPPPSMQIMPSMSSLEALLSKLPSVVPAAAAPSPPPGSSSMPPTGAAAASSAPPKEEAAEDDYVHCHGMDMATSSTNGGGESTGGAPLPSSYFVNVGVKPSEGGY >OGLUM06G09070.1 pep chromosome:ALNU02000000:6:7146489:7146903:-1 gene:OGLUM06G09070 transcript:OGLUM06G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIFGKGEGLYNIFGAHELLIFGAVLASNLDQNEGLMTEARSMGHGTKGPIPKGISGKKESSPLHWKILPV >OGLUM06G09080.1 pep chromosome:ALNU02000000:6:7162629:7169350:1 gene:OGLUM06G09080 transcript:OGLUM06G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFSFGTDLVARARPDPHLRNTDRANPNPFPPAAAAAAASSDGHHHPSSPLAAAVSASDSPDPASSPAPRGRGRKSRRGRPEPPSDAGAAPHAPPSPPRRGAKKGAAANAKKAAAEVPVVEPLRWEQVAKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWKGVSPLLFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDVENIGYVIPTPVINHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLQPSDIILSFDGIDIANDGTVPFRHGERIGFSYLISQKYTGEKAHVKILRNSKVLEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFMVVSVPYLRSEYGKDYEYDAPVKLLDKHLHAMAQSPDEQLVVVSQVLVADINIGYEEIVNIQVLSFNGKPVKNLKHLATMVEDCNEEYLKFDMDYDQLVVLEAKTAKAATQDILTTHCIPSAMSEDLRT >OGLUM06G09090.1 pep chromosome:ALNU02000000:6:7173688:7180273:1 gene:OGLUM06G09090 transcript:OGLUM06G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLARSRRASSGRSFVVEVEMLRRR >OGLUM06G09090.2 pep chromosome:ALNU02000000:6:7173079:7180273:1 gene:OGLUM06G09090 transcript:OGLUM06G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLARSRRASSGRSFVVEVEMLRRR >OGLUM06G09090.3 pep chromosome:ALNU02000000:6:7173688:7177363:1 gene:OGLUM06G09090 transcript:OGLUM06G09090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLVGTKLDLREDKHYLLDHPSLVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIKPPTKQRDRKKKKTRRGCSFFCKGVMSRRRLVCFK >OGLUM06G09090.4 pep chromosome:ALNU02000000:6:7179656:7180273:1 gene:OGLUM06G09090 transcript:OGLUM06G09090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYDEDHKEIASLYGGSQQEGQLWTQLCGGSGNAATEIESQRQEIRSDRSSCQAPPSSIPHASC >OGLUM06G09100.1 pep chromosome:ALNU02000000:6:7178074:7179438:-1 gene:OGLUM06G09100 transcript:OGLUM06G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMGKWERCIGGRSKPMSRQRTQEALRRAPGDSEVDRKEKPWAARWKASLIATCLVALPALVFLAVGGGMPSAVTVLVWGTTPTSAGQGGGAGAARAMAECDVSRGRWVREPRGPSYTNVTCSTVADYVNCQKFGKDPGYLYWRWRPDGCELPRFSPVTFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAETPTDMHAGAFVDAFRRWRFPEHDFMLMAVWTEFLVHAVPVVAGRRTGPFDVHLDRINADWTRRLPELDYAVISNGNWFFRANYLWEGGRRVGCVDCGEPGLAHFPMAYAVGRVVGAALDAIAGCADCKRELVARVRTYTPDHFEHGSWFSGGYCNRTRPLEEEEVSSGAIAWELRAAQIEEVRKAREKATTTMRTRRRFGVVDVTPAMMARADGHPGEHHRRWRGRNANDCLHLCLPGPIDMWNDVLLRRLAELSPPSDAR >OGLUM06G09110.1 pep chromosome:ALNU02000000:6:7183171:7188958:-1 gene:OGLUM06G09110 transcript:OGLUM06G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCHGTGKWMLQQQRSEAVEEEKKMQPWAVGKNAALFAFFVVVLPTVMILAGVSHTPAAAATRLGWTMLGTFTAQGAVQKRADCDTSVGRWVRDPSGPAYTAATCPTLPASKNCHKYGKDPGHLYWRWRPDGGCDDLPRSSPARFLAAVRGKRLAFIGDSLARNHMESLLCLLSQAEAPTKVSADDDGVREWRFPAHGFTLMAITTRFLARAEEVLGGDGRPTASFDVHLDAPDPVWASRRLRELDYAVFSTGNWFFRVNYFSEGGRRVACSGCSGDADAGELADFGVAHAVRRVVRAALEATARCGDGDCKRGLVAFVRTYTPSHFEHGSWFDGGYCNRTRPLEEDEAPSWDQSIGWDVRRAQIEEVTSARKTTPATTTRFEVLDVTKAMMLRADGHPGRHYDKRSAGGGANDCLHWCIPGPIDMWNDVLLHKIA >OGLUM06G09120.1 pep chromosome:ALNU02000000:6:7189023:7191505:-1 gene:OGLUM06G09120 transcript:OGLUM06G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAGGMAPLPPSSSPPSCKAGGGGGSPRWSLLHGGGGEWGVVVRRNVVKSSLLLLLVFSTFFVFSVLRSSQTSLVPPPPAAAAGPGEPALAQSGHDVAGDDGGEHVAVAVNNVAAETQSTPDDISLPSTNSSAAAVPTTTNKAEQQQTGANNMEEKCDMSMGKWVREPKGPVYTNTTCPTLPDFKNCQKHGKDPGHLYWRWQPHGCDLPRFSPDRFLAAAETPTEVYRDAHDKFQTWRFAAHEFTLMVMWTEFYVHAEPVVGADGKPTPSFDIHLDRLSANWTRRLPELDYAVISGGNWFNRPNYLWEGGRRVGCVKCGGAANLTDVGVPYAVRRVVRAAVEGIARCTGCKAGLVAFLRTFSPDHFEHGAWFSGGYCNRTRPLEEDEVSPDSAAWELRRVQREEVMRVKETAAAAAAASGNARRFEVLDVTKAMMLRADGHPGAAIDKRWQKNIVSDCLHWCMPGPVDMWNEMLLQRLTEISTLDQDASIFEAP >OGLUM06G09130.1 pep chromosome:ALNU02000000:6:7191523:7196620:-1 gene:OGLUM06G09130 transcript:OGLUM06G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPTHFNQQGLVIGRASPVVGRQEERGSEAVASCSGAAPVGLSRRPTRLRWCWSSPRSSSPASAPTANSCVFGSPHLSLPALSPYRRSPRRKLAGSGGSNNSGSSSSKRQRQQQLAAAAAAVAAPIEKAVRSTHAQILQKAGCGCRHFIVVIVHTYGVE >OGLUM06G09140.1 pep chromosome:ALNU02000000:6:7202991:7219941:-1 gene:OGLUM06G09140 transcript:OGLUM06G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPPRHGHGATRLSCPTPATTTTTSSSNLCSFLNRAASAWLVCAVLSLFLFNLLWFYPVDAPWNAALRSVAATSGEGKHPSMAMAGAGGGEGARCDYSEGRWVAAPGRARRYNGTACNVKESERCVGNGRPDTGYLDWRWQPASCELPAFDAAAFVGAARGKHVAFVGDSMARNQAESLVCLLATAFPYTLVYRDPHPRERKFWRWAFPAHNVTVSVYWAPFLARSTGKTDDYRKPRNDVYLGALAERWSADADTMDVVVISQGHWFWIPTIYHDAATGEVVGMHNVTGLKNTGDIGLFAPYRRTLRMALDRLVGSGAGNRTRTVVVATFSPSHFEKAWDDPTTCARTRPYDDGEKEVGANERELRSIAMEEVAAAAARRGAAAGGGESRVEVLDVTKLATMRPDGHPGVYMHRDPFARGVPKRLQVDCLHFCLPGPVDTFNEILLQLLINKRRDIFTSLIYVALCLALLYLLCLTPRGSPENAVSALLRHVNIASSGEGRGGGGGGGGCDYSEGRWVAAAGHARRYNGTACDVKGSEDCARNGRPDTGYLDWRWRPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLVCLLAAAAFPYRLVYRDREPGTRKFWRWAFPTHGVTVSVYWAPFLAMAAGRPENFSVQHNLVYLDTLAERWSADADTMDVAVISTGHWFWNPTVYYHHNGGEVLGVHNLPELNHTEIGFFSPYREAIRMSLERLLGSAAAGRRGRTVVVTTFSPAHFEKEWDDPATCARTRPYEDGEKEVGGIEGELRSIAIEEAAAAARSRVEVLDVTRLATMRPDGHPGVYMHRDPFARGVPERLSSTSACPGRWTPYQPLQHHHGGAAAGYFLPRTAVTWLAAACLSLALLHLLCCSPPGGHQAVFSPLLQYFNGNGTYSSNISSSGVEERSSAAASCDYSVGRWVRAPGHARRYNGTACNVKPEQDCVGNGRPETGYLDWRWQPASCELPAFDAAAFLAAARGRHVAFVGDSMARNQAESLHCLLAAAFPHELVAQDAERYKRQFTRWSFPSHGVTLSTYWAPFLVRSGGKPFNYTMPYNLVYLDELGNRWDADAGTMDVVVLTAGHWFWNPAVYHRRGEVVGVHAHPELNATEIGFTSPYREAFRRALERLGSDGRRRTVVLGTFAPPHFDGKPIFDPTACTRTEPYRDGEKEVGSIEREMRSIVFEEAAAAAAAAATMRVEVEDVTRLATMRPDGHPGVYMHRDPFAGGGARPERMQTDCLHSCLPGPVDTFNEILLQILSRQRIISTMAAAEVEHSGSSLPKKLVTFALCAIFTLSLIYFSSPPLIISSTTNLLSQFQTRARARTTDLSTHLPGVAVWKQCDYSDGKWVWDGDHGGAAAGGGSRYDSENCDMKMTYKCVINGKPDGGYLHWRWQPASCNLPALDPAAFLRLLRGKRLAFVGDSTARNQAEALVCHLATAARPVTVRRDEERLGRKFWRWAFPSPHDVNVSTYWSPFLVRSEGHSEDYGMAHEVVVLDALTEPWASDLAAMDVMRPSTTTTARSSACTAGRT >OGLUM06G09150.1 pep chromosome:ALNU02000000:6:7245777:7247442:-1 gene:OGLUM06G09150 transcript:OGLUM06G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTNFVQNHHLQNSQFFLLPKRQLVTYALYALIPLALLHYLLFNPVATAKKPVVVVVQATDDASVIASSHHEHVKVNAKQLPVPPSDQGDEVFKKNAIAGEVAAAAPPPPACDYSDGEWVPDARPPLYNGTSCATIKDGQNCMAHGRPDTGYLHWRWRPRRCDLPAFSPEAFLGWLRGKHLAFVGDSLARNQAESLVCLLASRSAPELVHRDGEESRFRRWAFREHDATVSIFWSPFLVKAAEKAERAGVRHNNVFLDAFDERWMSGLGGLDAVVLSIGHWFLIPGIYHDAGEVVGCHDCAEFNHTETPFFAVFRQAVHRTLAEITRRHVLAAGAGTSKSKNDKVVAFTTFSPAHFEGEWDKAGACNKTRPYKNGEKEAGYTEAEMRKTVVEEVAAADAAAAAGGAGLRFAALDVTTLANLRPDGHPGPYMRRDPFAAGGARVQNDCVHWCLPGAIDTFNEILLQTITR >OGLUM06G09160.1 pep chromosome:ALNU02000000:6:7248884:7258325:1 gene:OGLUM06G09160 transcript:OGLUM06G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDHGDLDDVGSGWLEVKKKHRSSSKFTLQRSSGGSNDNKISNSSSQSQTNFGSDSAKWCDRLQCSPQITKVNVCVNEPGSVVTMVVHGEECAHADASNLKSELSVSASDHAIEKPKKLLVTEEISEPPNVGKIDCADSPTPHQSSNCSSGLAKSSGFYDHVKGPPMTDSIGVLSNTSVRFGDFDEVPGLALPADACRNNSSSQKHIHIGDATEFINECKDESELKTEPNSCKTIVETSPVIIQGAETPTEDESKVLDICEITENRLDVSGSPSLDDTVSLSCANNDLEVPVKSSSVASTESQTVLHAPTSADFGGETAGSKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSTALPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELARKQAGITTESFASSSSGEVSGSSSKLTTASATVGSISLKVESQVKLSDTEKKIAGERQSRDTIKSGRSPPQNMPSSSAKSRKGSLEPISEVEKHNFRKDKELPENKFDKLRSTDTAKRTTVHLEKEKQNATPRKSLDAWKEKRNWEDILKSPVRSSRVSHSPGVGRKVPERARVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRSESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLHDSEMRRAEKLLVIKTKQKEDIAREEAVLERRKILEAEKMQRLAEIQRKKEEAIIRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYYLEQIRERASMDFRDQPSPFQRRFPSKDNQNRSSSANSGEDSQIISSANTAESGVKSFNSTQMKRRIKKIRQRLMALKHDFVEPLIGENTGIVHRSALGTAKAKLSRWLQDLQRLRQARKEGAASIGLIVSDMTKYLEGKDLELHASRQVGLLDFIASALPASHTSRPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSVSLENYIKVAASNSGSSNLQSSKTSTEYMESVGEVLDGFFWTVTVIVGHVYLNDQQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSILFGLNLLAVLTSKSGNFSTIDWESCKCRTLAGNLVQEYEYLCSQDIGMGNQLMISDQSGDVKLPSTKSDLLKCDECDPSELIKENKSLDHHKFNIPGDNMSVYEASKDSGSMPEMQSSDTLEVHSVIPCQGDAADGTLERKKGSTTCLHDSPGKDNEINLNQPVVLVLSAMAETGLVSLPSLLTAVLLQANNRSSSEQASAILPSNFEEVATGVLKVLNNMACLDITLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPILATALIAVCYGCDQNRSVVQQEISTEMLRSLIKSCKTPGLAASDSILLDGWGTNSSSDNTQILLDTRNPQGDISIRSNRKSARPVLGKGVSGVIRLSRNKGQRDGRGARIGDDGPLKQRAGETSSNFMLHRKIPASFLDKAEEFFCSENDTAANITN >OGLUM06G09170.1 pep chromosome:ALNU02000000:6:7261798:7262146:-1 gene:OGLUM06G09170 transcript:OGLUM06G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPFKSIAASCRLLDGQKKQANVSPDSPVYLSLRPDSRVRGSDVGYPPWQAFVAQLPPVKGMWSDLLNGMDGRVSPWASNRPWRAGS >OGLUM06G09180.1 pep chromosome:ALNU02000000:6:7263216:7265199:-1 gene:OGLUM06G09180 transcript:OGLUM06G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLLLRLASLPGCPVPTAIRVLRRHLQFDPAHAEHFIAFLVSAGRWREAADHLAAAVNDDCFVSAKGRTKRQLLLDLCHLLAQHPEEVAGLPVDAILRGSVRRFPDEAGALWTCLAGHYARVGLHGKARDVFEEGVATATTVKDFRLVFEAYLHFENAMIDVELGEHGDAEENTLGQGCWLADRDDGDMALARLERLLERRPELLNRVQLRQNPHDVQAWHARAKLFDEDPARKVATYVEAKTVDPAKETGKPPPHTLWLAFAKMYEDRGLLDSAREVLRRATQASFKAADHLAAVWCEWAEMELRQHNANRAIELIRQATSEPSLEVRRQVAAGAGEPVVKTKLHRSLKLWCFYADLMETHGSPESTCAVYDRMHELGIITPLLVLRHASLLQEHKRFEDAFRVYERGVRTFKYPHGEAIWAGVPDKKKAAVYMQYARFEEDFGLAKRVLKVYEEAAAAVPGRDKLAVYEAYVARATALFGVLKAREIYHQAILHGGGLPDADARVLCLQFADLEIGLGEAHRARALYVYASGFTDPTAHSDFWRRWNDFEVRHGDECTFREMLRVKRTVAAANAGAGAVAQLAEQVLADDAMEQMDAAVAAPKRPLLACAAQQADHASGFDEQCKRRRLV >OGLUM06G09190.1 pep chromosome:ALNU02000000:6:7270624:7271925:1 gene:OGLUM06G09190 transcript:OGLUM06G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRRRPCGLWRPSARAAALRAPASSVLSTTPPRQTPRRQDPDEGLKHEEESEG >OGLUM06G09200.1 pep chromosome:ALNU02000000:6:7274859:7276076:-1 gene:OGLUM06G09200 transcript:OGLUM06G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGFSSGDAERGLRGRGGWRERTSARVRGTGGDSAAVADGDGNRHRGVAGKGGGSLYEGRKGLERLRECEVGTQGVGRCGPIQAVSQGVGAAAFPARHRLRVEGSRWQRDWKVLEAAARVLAIPRAEAGAVDAVLNCWAGRFARGNFPLLIRVRASSHAL >OGLUM06G09210.1 pep chromosome:ALNU02000000:6:7277744:7280572:-1 gene:OGLUM06G09210 transcript:OGLUM06G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAAGASGEPQKKLPSWPSMVRDFATDESHGERGMSVLKLRLDGVRSAYDAAAAELETAKRAREDAEQELGGNQVQVAIAVVSIHGLEATISHLQEEISQVRSDLDALKGKGDIERDEFISQMDQLNTKIRKFQQMVSVELNGKKCSELSSGEGQQVTDWSEVVELEGSFEELNGNVSNADSERSLFEEQYEKDDHDKVTGKECHRKGFTFLRSTGKFESSDEGSTFSDDETILELLPLGPSTSRILVASTSVNNGQNIYASDQPLSTLPPLPDLEIPQRKGPLCIDGDANESDNDDTATTSSQGSYIELHTIQDEYVIGGPSWEAMIAPITGLALEEEEELMIEGQILLANDQKANRLITLFDEEERAMVRMLAALGQPLLTMGTFPTSRSFLLNLPLIFVCKEKDTECSICKSTLATKYRCHVHASLVNTYSICNAY >OGLUM06G09220.1 pep chromosome:ALNU02000000:6:7286189:7286993:-1 gene:OGLUM06G09220 transcript:OGLUM06G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFSCPYLIYCSKLERSHSSTVSRLLQNCKGLFGWAGNGWDQGPISMDHPGEETISAHVKLYVRLSPSVNFSPAHHGVSLCCPPGKKSPTLQRSGKIPREERRRNEDPDATAGAARSGGAQLSPPAATFSPGPAVWSCSGADADADRVFSSGSDLGGLGLGLGGFGFGGALSLSGSGGGAGTREGSWTPERRGEGGGEGSWFLARSISVCEI >OGLUM06G09230.1 pep chromosome:ALNU02000000:6:7292067:7294496:1 gene:OGLUM06G09230 transcript:OGLUM06G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVVQHPGGRVERLYWATTAAEVMRANPGHYVALVTLRVAEEKRPPPPPPPPPARAERRGTGTGTVRVTRVKLLKPRDTLLLGQAYRLITVDEVTRALQAKKEEKSRRAAAQHHHLESKPAAAAAAAGVRINSGGDDHTQLDENLDQHDRDGQRSSSATHSRHRQWRPSLHSIAEMQLVVRQVLEICQVRCQHLNSSSPAMNKVW >OGLUM06G09240.1 pep chromosome:ALNU02000000:6:7301093:7304951:-1 gene:OGLUM06G09240 transcript:OGLUM06G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYKHVGDDARGSSAGVVCCVDVDDDDVDALLCGEDAGELEREGEPAQGSSPSSSLSCAAAAAAAADDDDEDEHEHGVHGEVVQVTPGGEEHCYDYDYDVDVPVGAELVMPACSPPRTAVHRPGWTESVSWILKVRSVHGFQPATAYLAVSYMDRFMSSRSLPDHGWASQLLCVACLSLAAKMEESSAPPLLDLQIEGTRFIFEPRTIQRMELIVLVELDWRLRSVTPFAFVDFFACKVGSSGRSSRILALRACQIILSAIHELEFLNHCASSMAAAAVLFAVNESPAAMSHRSSVSSESAASWCIGLTEERISSCYQLLQRALNATARKRKRHPMILEACSSVTSSSSRSKRRKLDGHFGED >OGLUM06G09250.1 pep chromosome:ALNU02000000:6:7316887:7321479:1 gene:OGLUM06G09250 transcript:OGLUM06G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase [Source:Projected from Arabidopsis thaliana (AT5G24840) TAIR;Acc:AT5G24840] MASGDGANGGGGGGQGKLPRKRFYRARAHSNPLSDSHFPIPISPDEVDLSQHYPRYFPSGEGEARQGDAAVPRIRFADVGCGFGGLLVGLSTLFPDTLMIGMELRDKVTEYVKERILALRASNPGKYDNISVVRTNSMKYIPNYFRKAQLSKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEAIPEEETKADPVVKLLSTATEEGQKVARNGGQTFQAIFRRISLQE >OGLUM06G09260.1 pep chromosome:ALNU02000000:6:7319280:7321015:-1 gene:OGLUM06G09260 transcript:OGLUM06G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G40160) TAIR;Acc:AT5G40160] MGMGQRPRPSGRRPPGGGAASPRSLPPRCSPGSAPRGGKSSEKKRSFEELLLTPEEMAILDQNETPDITKISSPKWHPLHSYALALQIPLMDRLLDSGVDINLLDKDGFTPLHKAVIGKKEAVISHLLRRGANPHVRDRDGATPLHYAVQVGALQTVKLLIKNRVDVNVADNDGWTPLHLAIQSRNRDIAKILLVNGADKTRRTKDGRTALDISLCFGRDFKSYDLAKLVKLVPANRKM >OGLUM06G09270.1 pep chromosome:ALNU02000000:6:7323738:7329111:1 gene:OGLUM06G09270 transcript:OGLUM06G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative thiol-disulphide oxidoreductase DCC [Source:Projected from Arabidopsis thaliana (AT1G24095) TAIR;Acc:AT1G24095] MLTRTLAGRVRPLLAAAGLRGYAPRAATDLVVVGDEEPLPRTASSASAAATVSIAATAPTVLQPRVLIYDGVCHLCHRGVKWVIKADKHAKIRFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSALRSLLIIPAPLRDAIYDYIAKNRYDWFGKDDECIVTKNKELLERFIDREEMLGGGPSNSF >OGLUM06G09280.1 pep chromosome:ALNU02000000:6:7326544:7337912:-1 gene:OGLUM06G09280 transcript:OGLUM06G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAWGGTTQKCDSCGRTVYPVEELAADGRVYHRPCFRCTHCKATLQFSNYSSVEGVLYCKPHYDQILKSTGSLEKSFEGTSKSAKAEKSNGNKGQPNRFSSMFVGTQDKCVVCNKTVYPLEKVNLNGSSYHKSCFRCTHGGCTLSPSNNVTHEGKLYCKTHHSQLFMVKGNFSNFEDSTPNAKVDIEKQPEHEDATKNPGGPGQGDGLTEKPLESELTPEKPSQDDIVAEKQSQSSVDVPKQSESSTTVQRSEEGERVTKGESNSHVVSKKPLESSVEKPFQSSAVNLQPSGSSAAVRKPWQRNLPTDKPLLSNTTTEKSTPSSAAIEESLPSNGVDAKQPESSTASTVVKRPWQRRVATENLPQSISPSDKPSSTSADDVKPSENSKLIRKPWQRAVAAEAQIQNSGPTEKSSLTNDTKPSESTTSIKRPWERKVVNEKPLQNNIDTEKPLQNNTDTEKSSSSAIDVKLAETSTTPTVPQEHSGITEKPSQTSADDVKPSESTAAVVKKQWQRNIGFQKQPQSSVTDAKTPESRGMGKRLWQRNVPTEKQSQSGASVVTPSQVSVASTNLLQSSVVVKKPWQRSVSREKEPEKDISSNKPLQNRVLAEEAEKTNVTADNKSQIIQDKKNNGATTENSSQISESAKKLPLTANKSQADTSTEKLSESDILAVASSQITEPSKKPSENTAENEKPSQTDIATDELPLTQSVEAMSEPSPSDAAHQEISEREILTDKLPESTMAVEKLSQTATLTEKPSKEDAAEKLPQTNEPSEQPHESEETAEKPLQNEANAESTTKQSDLSLEKPPQVDANVGNPTEPESDATSGVNSSDAQIRPTAEQLVGPQGIVSAEKTSDQILEANTDPAADQSSESQDVAPAKVATEQPLENQKAAASEQPLEPQHEAYEENPQEHNSDATAKESSEPERDTASDQLAEQPSESRTAGEKATLRESDVVTEDPAEPQIDAAAERSAEVLSESQTGVETPVLHQSDRTNEVPSEPQTDEASDKSTEQPLEPENDASVEDEKPPEIESDDVHDKPSESTPDTEILPHNTPKSSISISEAADGNVSEEAPPQSSASIETPSESAIAVEEPKHDDEASIKPSEDSSALEEPPQDDSASVEPSENTITLEKASEEDEGSVKPSEGNDALEKTLEEDEASAKLPEDSTTFEKPSEKDDAGTKQSEDPVTLEKEPEEDKGSLEPTQDNAGLEKPLEEDEASVKPSEDSVVLDKPSVEDDDSAKPSEDSMDLEKPEAHKPSEEEEDGATKSSPEDAAVEEAPLQVSEEGRRREFSMAPKRKPPARAAAAAAAKLDPDGMFRGVSAFVVPHAVQSRRLEVAAPPSNPSQPPPTLSPVLRVSWLSSSVQVWKQRLAQMGGRVQEKLAAKGGGGAVTHVLAADAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGERLPEHKFAINYEEEFKPKKEGGAAGSGVLQSAKRSKISSDGPENRKETAGGNRESRDAIAHPNEDSDVVKGPSTCTSSQSASGDSKETIASQNAFKAEEASSGESSTYAPPDLNRNITEIFGKLINIYRALGDDRRSFSYYKAIPVIEKLPFKIESADQVKDLPAIGKSLKDHINEIVNTGKLSKLEHFENDEKVRTVSLFGEVWGVGPATALKLYDKGHRTLDDLQKDDSLTSAQRIGLKFFDDIKQRIPRHEVSEMEKLLQEVGTDILPGVIIVCGGSYRRGKSSCGDMDIIITHPDGESHVGFLPKFVQRLKDINFLREDLIFSIHSIEGTDCGVDTYFGLCTYPGRELRHRIDLKKVYPRNRHAFGLLAWTGNDVLNRRLRILADSKGYILDDTGLYLATPGSGGKRGGRSDAIINCDTEKDVFDTLGFPWLEPHERNL >OGLUM06G09290.1 pep chromosome:ALNU02000000:6:7342635:7344131:-1 gene:OGLUM06G09290 transcript:OGLUM06G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7A6] MVFQVQPPWLLLLHLIAIAVLLLAVDSVPPAVATVFDDNYVATYGGDGYHLVNQGTQISLTLDKSSGAGFRSKLMYGSGFFHMRIKVPAGYTAGVVTAYYLASEPDRDVQDEVDFEFLGDKDGNPITLQTNVFVGGHGDREQRLRLWFDPAADFHDYSILWNPFHLVIFVDETPVRVLKNLTSRGPEFEFPAKPMRPRGSVWDASDWATDGGRTKVDWARAPFTAAFQGFAVDACAAAAGGGVSSDDCGSPDTWWWNGGEYRRLTAAQQAAYDGVRGNLTYDYCTDKSKKRPVPPPECSFT >OGLUM06G09300.1 pep chromosome:ALNU02000000:6:7347006:7353338:-1 gene:OGLUM06G09300 transcript:OGLUM06G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) TAIR;Acc:AT1G10510] MESSLLLKPFVLIPSAPRRRHHHHLSLPTPPHRRLPSSSSSSRHASPASSSFAVTRAARELFDGSADRPPGGVGRGGARRREYRVEQGEAPPAAAAAAAVRGVSPYVVPAAAALALSFVIWRVVQNLLPGKTKDQSSGESTPSGIMWSFAAGSNLSTSTSFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKCLSDILVENVGIQKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSAHKGKITVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSLKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIFF >OGLUM06G09300.2 pep chromosome:ALNU02000000:6:7347006:7353338:-1 gene:OGLUM06G09300 transcript:OGLUM06G09300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G10510) TAIR;Acc:AT1G10510] MESSLLLKPFVLIPSAPRRRHHHHLSLPTPPHRRLPSSSSSSRHASPASSSFAVTRAARELFDGSADRPPGGVGRGGARRREYRVEQGEAPPAAAAAAAVRGVSPYVVPAAAALALSFVIWRVVQNLLPGKTKDQSSGESTPSGIMWSFAAGSNLSTSTSFNAEKESRKNLNKFYKEIRTLKNVNMAGRQFGDEGLFFLAESLAYNKSAEEVDFSGNGITAVGIEAFDGILQINTALKSLNLSGNAIGDEGAKKLLLNSTNIGDEGAKAISDMLKKNKTIRTLQLSNNTIEYSGFASIAEALLENNVLRSLFVNGNYGGPLGASSLAKGILGNKTLRELHLHGNGFGNEGVRALMSALSAHKGKITVLDIGNNNITSEGSLHVAEFIKRTKSLLWLSLYMNDISDEGAEKVADALKQNKTISTVDLGGNNIHSKGVSAIAETLKDNSVVTTLELSYNPIGPEGVKALCDVLKFNGKIQTLKLGWCQIGVSGAEFVADCLKYNTTLSTLDLRANGLGDDGAICLARSLKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLANNFFTKFGQVALSEARDHVYEMSEKEIDIFF >OGLUM06G09320.1 pep chromosome:ALNU02000000:6:7358381:7361961:-1 gene:OGLUM06G09320 transcript:OGLUM06G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAATAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEAIEADSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSRYTQEMQAIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEENSQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPKKKWLNIPFKIDRRKPC >OGLUM06G09320.2 pep chromosome:ALNU02000000:6:7358381:7361961:-1 gene:OGLUM06G09320 transcript:OGLUM06G09320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRFGSFKSEKGDPAATAAQRRDPYEVLGVGRNATDQEIKSAFRRMALKYHPDKNGDDPVASDMFQEVTFSYNILSDPDKRRQYDTSGFEVSSCMNCKLGVPIKTTVSATVLEEALNGSVMVSQLQLGNSVHRKVEKQSAHFYSVDITEKEAKMGLVCRVKSTDRSKFKLLYFELEENGGLSLALQEDSVKTGKVTSAGMYFLGFPVYRFEQNNLAAAAKDPDSAFFKRLDSFQPCDINELKPGTHFFAVYGDNFFRSVNYTIEVVCGESFPAEKEKLQSVEAKILTKRAELSKFETEYREVLAKFTEMTSRYTQEMQAIDNLLKERNEIHASYTNNSPLKRSSSRSKAKSPSKFSKGEEENSQRKEKKVKDQPTGGCRSADEDSNEKKTKERFPKKKWLNIPFKIDRRKPC >OGLUM06G09330.1 pep chromosome:ALNU02000000:6:7368263:7370218:-1 gene:OGLUM06G09330 transcript:OGLUM06G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47830) TAIR;Acc:AT3G47830] MDRAWADALAHGPARYGPLQEPCLIVAGPGRDVRPICSPIDGHTPTPHLVAGNRHLHGAAGQAAQASEMTRKPKRKPPPSPEPYHDHPSPCPAQCLAVRDALLAFHGFPDEFAPFRRRRRRRLGLDTSPDPEEDTDGDPSPPPTVLDGLVTTLLSQNTTDAISRRAFAALKAAFPTWDQVVDEEEGKRLEDAIRCGGLAATKAARIRAMLRGVRERRGKICLEYLRDLSVDEVKTELSRFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITNAIGWVPATASRERAYLHLNSKIPDDLKFDLNCLFVTHGKLCQSCSKKLGGQKTTGSNSMCPLASYCCTEEKMKQ >OGLUM06G09340.1 pep chromosome:ALNU02000000:6:7379256:7381418:1 gene:OGLUM06G09340 transcript:OGLUM06G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7B2] MATAWPRWRPSSQPMPSSPSSSPSSSFTADPPAEFLCPISGTLMADPVVVPPGQTFERACIQACAALAFSPPAVAADLSSLPPSASSPLVLVPNAPPPPPPASSVRTRSYYSDDLVQQQQEARREGGSTEERIMAMLGAGPAEQEAAMALLRKTARENREMRRELCTPRLLAALRPMLLSGDAGVQVNAAAALVNLSLEAENKVRIVRSGAVSPLVEVLRSGHPEARDHAAGAVYSLAVEDENRAAIGVLGAIPPLLELFACAGAAHLARREAGMALYHVSLSGMNRSKIARTPGVVRTLLAAVEAARDDRANEADAAALRRIAVMILANLAGCPDGRTALMDSGAVAAVVRLMSSGSAAPGSAEEEYCISSLYGMSRGSLRFRGLARAAGVEAALTPVAEGAGGVGRDMARRTLRAMRGEDDEAAVTATGLLGRQWDDGSVVSEGLVSIRRPPPRRSSYGAGASGSNTTQF >OGLUM06G09350.1 pep chromosome:ALNU02000000:6:7382648:7395611:-1 gene:OGLUM06G09350 transcript:OGLUM06G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTSHTMEHKLLKAVATGDADLLAQALGIWPTATAEQGDVDQSCCLKGVTAEGSSVLHIAASRGHLKLVVMICTHDISLIKSRNNQLDTPLICAARAGHVDVVDYLVRAASAMQEPERSVLRAWNSGGATAMHEAVRNGYAPVLQKLMSSDSGLATMVDDKGVSPLYLAVVSNRPDMVGILIGKSSDGVRSPASYAGPDGKTALHAAVYIGKEMSESLRRWEPTLAEKVDIDGRTALHYAVLTGETGLVELLLDNSSAAYIPDNDGLFPVHVAAIAGKASVTRMLMEMCLNCDELLDNKQRNVLHCAVEYGRLMVVWYICRNPKFTRLLNAGDCEGNTPLHLAVKHGNAIIISCLMMNTRVNLSIINHGGSTPLDVAFNKSTRYYSLSWLSSTSITMCLQACNAYTSRFLNRADKRFLEDKEESSVYTNVSQSILCISVLIAAGSFAAAFTPPGGYIADGEDAGMPLLKEYAEFSSYVAANSMSFYCSTFATCLLVHDSLTNRRRRRYLSLSAGLVFLAVVGMVLTFLVVTTDLTLDSDNSWDDLIFSITVGVFVFLLMFGRVIFVLSILAIPICWRLPMQLWRGNHLHFWQDILKSPTERSTQTKPRKPVMLPPAHLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKIWPKKHFLLNILKWREPGLAEVILSDAPRLYLLCGEDIARVPRVDLFWRSEESKEEGMVLP >OGLUM06G09350.2 pep chromosome:ALNU02000000:6:7382648:7395611:-1 gene:OGLUM06G09350 transcript:OGLUM06G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHTSHTMEHKLLKAVATGDADLLAQALGIWPTATAEQGDVDQSCCLKGVTAEGSSVLHIAASRGHLKLVVMICTHDISLIKSRNNQLDTPLICAARAGHVDVVDYLVRAASAMQEPERSVLRAWNSGGATAMHEAVRNGYAPVLQKLMSSDSGLATMVDDKGVSPLYLAVVSNRPDMVGILIGKSSDGVRSPASYAGPDGKTALHAAVYIGKGDCEGNTPLHLAVKHGNAIIISCLMMNTRVNLSIINHGGSTPLDVAFNKSTRYYSLSWLSSTSITMCLQACNAYTSRFLNRADKRFLEDKEESSVYTNVSQSILCISVLIAAGSFAAAFTPPGGYIADGEDAGMPLLKEYAEFSSYVAANSMSFYCSTFATCLLVHDSLTNRRRRRYLSLSAGLVFLAVVGMVLTFLVVTTDLTLDSDNSWDDLIFSITVGVFVFLLMFGRVIFVLSILAIPICWRLPMQLWRGNHLHFWQDILKSPTERSTQTKPRKPVMLPPAHLLVVAVGAALLLAFRPPLPALAARPIVAAAGGKPAPTEAAATARWLAAQNTWGVLSTISSDLSGAPFGNVVSYSDGVPGESHGIPYFYLTTLDPTARDALEDERTSFTLSEFPLGTCGKIDPENPTCAKLTLTGKIWPKKHFLLNILKWREPGLAEVILSDAPRLYLLCGEDIARVPRVDLFWRSEESKEEGMVLP >OGLUM06G09360.1 pep chromosome:ALNU02000000:6:7383935:7385349:1 gene:OGLUM06G09360 transcript:OGLUM06G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7B5] MAGAAAAATPPEFVCPISGELMADPVIVPSGETFERGCVEACVALGFTPAALLPSVDLAASPPPALIPNANLRKAISSYCHRVGLPRPLAVSPEEARSIVRRLMAVREPGRAGGVNGERFESSSSSSPEFAALGLTLEEAVLVRLLDDEPSRQEGALEALKQMLRGGENGVRRALCTPRLLDGLRRLMGSGHEGVSVSAAACVVNLSLEPANRVQLVRAELVPVLVGLLAAASPELRDHAAGAVYSLSIEERNRIPIGVLGAVPPLLRLLASAADGDRARRDAGMALYYLSLDEMNRSRLARSAGADAAAAAPWMDDVSVMSEAMAMPQFPRRLVEHAHAHAHGAPPRSNTTALDRLRQAPNG >OGLUM06G09370.1 pep chromosome:ALNU02000000:6:7396845:7397435:1 gene:OGLUM06G09370 transcript:OGLUM06G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQEHLPYNFVAEGRIVVAGTRPNTATADPAVAASQLAFTSVSAAIMIVREIAPEHQWAFPVRIMRGKGVTAERSMHISVCKLKYKLLNSGKENVYKKKVKEADVVHSFSEIAEYKNVTLNDCINHCIYASHLETDQYFNAGHMALACPYHLD >OGLUM06G09380.1 pep chromosome:ALNU02000000:6:7401528:7405299:-1 gene:OGLUM06G09380 transcript:OGLUM06G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSYRLLVCFSEEAIKGSKVHHVGRLLGLIFHLFVWLSNFVEFKGAGYPRYLGIRSTGLWYQSKQSRYQEVDTQALGTYGYQAFALMRATRRRERRHGKHRDEMATLLTN >OGLUM06G09390.1 pep chromosome:ALNU02000000:6:7405821:7407020:-1 gene:OGLUM06G09390 transcript:OGLUM06G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNSEEGIISQTISSSSSSSCHYQCIATLSGNSSYVSGLAVDGDSLYVASSDGHIRLWPLDMAMAMVREESTSSSSQGEVSSSTVAVTGSPVKCLAATGDGLVSSHQDGTIRVWRHAGGRRRLALRAVLPTAADCLRALLLPGGGYVEVRRHKRRAWVHHVDAVTALALSPDGESMYSVSWDRSLKAWRLPGLRCAESVAAAHDDAINAVVAAPDGHVYTASADGTVKAWRRRTGQKKLSLVCVMERHGAAVNALALGGGGRVLYSGACDRSVVAWENSAGAGAGGADVRMVATATLRGHARAVLCLAADGDVVCSGSADRTVRVWRRGAAAAYTCLAVLDGHGGAVKSLALARGGAGCDRCCACHVEESSSCSCAALVCSGSLDCDVKLWRVTVSGL >OGLUM06G09400.1 pep chromosome:ALNU02000000:6:7411212:7411627:1 gene:OGLUM06G09400 transcript:OGLUM06G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLLSEEDATASGRVWRRTCSKRRAASDDLRCEVWMIKAWMVEDLLLVNYIAAHVSSVQRLKWAGKSCLLWCLNYLRPDVRQGNMMEQEQLLILELHGQEGEMVEQD >OGLUM06G09410.1 pep chromosome:ALNU02000000:6:7413874:7414803:-1 gene:OGLUM06G09410 transcript:OGLUM06G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLLEYSAGGLGLLALAALESLPLRPLLLPAAAASHLPRRLGLATPLHLRHLLAGIVSALFLLSALFSARHHLSLPTLAATALFLLYALAPLAPLRAPLPLPLLDLVLAAAFAQELLLFAHRRPSTAAGIENRYFDLFLVPVAVCLVATLLAAHDPEAAPPRLARAAGLALQGTWMVQMGFSFFTSAVAQGCALHAQSRVDYTIKCRTHDDYHRARSAATLQFNGHLALLVLAGAAAYGAAVSRRNQPPSGYRMLSKEVQMEGMPLHSQFTLDSDEEKEDERITNSAMPVANGSGSHHEITVQTSDSK >OGLUM06G09420.1 pep chromosome:ALNU02000000:6:7416455:7419201:1 gene:OGLUM06G09420 transcript:OGLUM06G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50110) TAIR;Acc:AT5G50110] MSLCCFSSSTATAAAAAARRFLLPHLFLGRRRHRHDQVRYTILHHPRTHTTAAPPAAAASTTRLSPSQQRQVSLYVDALLDWNQRMNLTAVTDEGEVMTRHVADSLAVLPPLERAYRGDLGGMRLVDVGSGAGLPGLILAVARPSWKFTLLESMQKRCLFLEHAVEVMGLSNVDVVCDRAENVGQSPDFREAFDIAVARAVAELKVLAEYCLPLVRIDGLFIAAKGHNPHEEIKNAKSAVHKLGASMLEICDVESMGPHGQRTAVVYIKERITPKKYPRHPGTPKMQSLKKFIFA >OGLUM06G09430.1 pep chromosome:ALNU02000000:6:7419710:7420822:1 gene:OGLUM06G09430 transcript:OGLUM06G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSPLVVVLLAVVAAIAVSPVQPAFALPAGLPDIKSLGNPWSAFKNLSGCHFGDERQGLGKLKDYLWHFGYLSYPSSSSSPSFNDLFDADMELAIKTYQGNFGLDVTGDLDAATVSQMMAPRCGVADVVNGTSTMGGGGAVRGRGLYSYFPGSPRWPRSRTTLRYAITATSQTSIDRATLSKVFASAFARWSAATTLNFTEAASAADADITIGFYGGDHGDGEAFDGPLGTLAHAFSPTNGRLHLDASEAWVAGGDVTRASSNAAVDLESVAVHEIGHILGLGHSSAADSIMFPTLTSRTKKVNLATDDVAGIQGLYGNNPNFKGFTPPATSSREMDSAGAGELSRPWRGLLDGAAGLLIWFSLALL >OGLUM06G09440.1 pep chromosome:ALNU02000000:6:7428324:7428602:1 gene:OGLUM06G09440 transcript:OGLUM06G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSYGTSWADQWDYGSDPPPSSSSSGKRSGGGGGKKGGGVEKTKAAAASGLRKVKEGTAHGFQWIKDKYQQKKSGGKKHGDQQSSEIAGY >OGLUM06G09450.1 pep chromosome:ALNU02000000:6:7436368:7467904:-1 gene:OGLUM06G09450 transcript:OGLUM06G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALAVLILSPLATAAMVTTAGRRNCSGACGYLDIPYPFGIGPGCSLPGFNLSCEDEREGRPFAISGWSNMSMFVFGCGIKASLFIPGSGDEIGNCSIGCVDAQIMERLPPGPCFGIKCCAIPIHVNLRAFTLDISRTGGFDPWNQVTAFITNREGAGSSGDVTDVLAFVASAELDWAIPYKPNCKSALEDRSNYACISNNSKCEDSPIGGYLCYCLWGDGNPYVLAGCPDQTPPAPPGVTIGLSSGGGIIVLAALFKRLRKRYFHKNKGILLEQLISSDQDASDGTKIFSVEEVEKATNNFDHARVVGCGGHGTVYKGILTDQRVVAIKRSKLEVSTEIDQFINEVSILSQINHRNVVKLYGCCLKAEVPLLMYEFVSNGTLYNLLHGEQNGELLPLSWEERLRIVTEIAGALTYLHSAASMSILHRDVKCMNVLLNDSYTVKVSDFGASRSIPIDQTHLVTAVQGTFGYLDPEYYYTGQLNEKSDVYSFGVILVELLTRKKPIIQNEYGEKQNLSNYFLWAMKERPLEEILDGHILEEAGEEGIVCVARLAEECLSLTRGERPTMKDVELRLQMLSGRRVAQEVQREAQRGNTLSRPRYEAAQGSQKPGHHGSRQYSLEQECVSSFYVPR >OGLUM06G09450.2 pep chromosome:ALNU02000000:6:7451859:7467904:-1 gene:OGLUM06G09450 transcript:OGLUM06G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALAVLILSPLATAAMVTTAGRRNCSGACGYLDIPYPFGIGPGCSLPGFNLSCEDEREGRPFAISGWSNMSMFVFGCGIKASLFIPGSGDEIGNCSIGCVDAQIMERLPPGPCFGIKCCAIPIHVNLRAFTLDISRTGGFDPWNQVTAFITNREGAGSSGDVTDVLAFVASAELDWAIPYKPNCKSALEDRSNYACISNNSKCEDSPIGGYLCYCLWGDGNPYVLAGCPDQTPPAPPGAQAPDHPETDCPTRCGNVSIPFPFGTKIGCFAKLHLYLACTPGAITNAPHLADGTVVTGISIDEGVLQVHEVSEPDGFLPGSNSDAPPLYALSDESGVVKWAIDHATCEQAKRSSSSGDYP >OGLUM06G09450.3 pep chromosome:ALNU02000000:6:7451859:7467904:-1 gene:OGLUM06G09450 transcript:OGLUM06G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALLALAVLILSPLATAAMVTTAGRRNCSGACGYLDIPYPFGIGPGCSLPGFNLSCEDEREGNVYYGSNLLLGNRTISLDLQQVGIEISYSLKMIRGVRDYSVHWESPGRPFAISGWSNMSMFVFGCGIKASLFIPGSGDEIGNCSIGCVDAQIMERLPPGPCFGIKCCAIPIHVNLRAFTLDISRTGGFDPWNQVTAFITNREGAGSSGDVTDVLAFVASAELDWAIPYKPNCKSALEDRSNYACISNNSKCEDSPIGGYLCYCLWGDGNPYVLAGCPDQTPPAPPGAQAPDHPETDCPTRCGNVSIPFPFGTKIGCFAKLHLYLACTPGAITNAPHLADGTVVTGISIDEGVLQVHEVSEPDGFLPGSNSDAPPLYALSDESGVVKWAIDHATCEQAKRSSSSGDYP >OGLUM06G09450.4 pep chromosome:ALNU02000000:6:7436368:7451824:-1 gene:OGLUM06G09450 transcript:OGLUM06G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGYRCKCSVGFEGNPYLKDGCTGVTIGLSSGGGIIVLAALFKRLRKRYFHKNKGILLEQLISSDQDASDGTKIFSVEEVEKATNNFDHARVVGCGGHGTVYKGILTDQRVVAIKRSKLEVSTEIDQFINEVSILSQINHRNVVKLYGCCLKAEVPLLMYEFVSNGTLYNLLHGEQNGELLPLSWEERLRIVTEIAGALTYLHSAASMSILHRDVKCMNVLLNDSYTVKVSDFGASRSIPIDQTHLVTAVQGTFGYLDPEYYYTGQLNEKSDVYSFGVILVELLTRKKPIIQNEYGEKQNLSNYFLWAMKERPLEEILDGHILEEAGEEGIVCVARLAEECLSLTRGERPTMKDVELRLQMLSGRRVAQEVQREAQRGNTLSRPRYEAAQGSQKPGHHGSRQYSLEQECVSSFYVPR >OGLUM06G09460.1 pep chromosome:ALNU02000000:6:7473199:7475014:-1 gene:OGLUM06G09460 transcript:OGLUM06G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVAFLLLLCLCSHALASSPPTSSSAAKAADELALLSIKSSMRSTSPSSSRLASWNTSIHYCGWPGVVCSRRHPGRVAALRMGSFNLSGTISPSLANLSFLRELDLEENQLTGEIPPELGRLGRLETVNLAANALQGTLPPSLDNCTNLMVLGLRSNQLQGEIPSTIGARMKKLYILDLQQNGFSGGIPLSLAELPSMEFLFLYDNRLSGEIPTALSNLTGLMHLDLDTNMLSGAIPSSLGKLSSLTWLTVANNNLSGTIPSSIWNISSSLWGLNIQHNNFVGLIPSNAFAALPELRTITMDNNRFHGRLPTSLANVSVLWY >OGLUM06G09470.1 pep chromosome:ALNU02000000:6:7486358:7490199:1 gene:OGLUM06G09470 transcript:OGLUM06G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKKPTAAPPTARLSRACVMIIVVASVERFAYKGVASNLVTYLTEVVEMSTSAAAKSVSAWSGVTSMLPLLTAVLTDSYWDRYSTITASSLLYVVGLIGLTLWALLHTRMPCSTLFFPLYLISIGQGGYNPSLQAFGADQLDIGDDDDGGDNGATAATEEQRSKVKSLFFQWWYFGICSGSLLGNTTMSYVQDTVGWGLGFAVPAAVMAVSVAAFFCCTPLYKQRQPRAVHRKPCRDSVLKALKSLLASVTGARKITLPSRDGDDDTDIVSELELQEKPLKLADQKQEAAMGEAAAPSVAKIIVRLLPIWTMLLMFAVIFQQPMTFFTKQGMLMDHRVGAVFVIPPAMLQSSITVSIILLMPLYDTVVVPLAGLVAGHGKGITVLQRIGVGMVLSIVAMAVAALVEARRLRAAASSSSGGRLSIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPSAMRTVGIALYLSVFGVGSFVGAFLITALEMATAGGGGGHDHGWFSDDPREARLDKYYWFLALLSCVSFVVFTHLCKYY >OGLUM06G09480.1 pep chromosome:ALNU02000000:6:7492408:7497485:1 gene:OGLUM06G09480 transcript:OGLUM06G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSSSSSAAAALPLLPSSHAAAGVGAGAAAATSVLRGRRRRRGLRRPRGLLGWGALVAFFFVMNWWMFSRLQDPAARPHFRLRRRHSPAANASLSTLEEVSGAGKGKRPHQVMLTRLLALAAHALAEAETRPEPQDLWKEPINATMWRPCSDKRTWEPSEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKELPVELQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLKNRVVHLVGFGNRLSFDPIPFELQRLRCRCNFHALRFVHKIQETGALLVERLHGHRPHPSPLEDNLLGHFASKSVLKGNKNETSKYLAVHLRFEIDMVAYSMCYFGGGKDEEEELEMYRQIHFPALTELRKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKHSTNVYIAAADAFAMTDPGSQFSSLVQGYRMYYGGGDLPTIRPNKRRLASILLKNATMEWNEFETRSFDESSGN >OGLUM06G09490.1 pep chromosome:ALNU02000000:6:7498450:7502521:1 gene:OGLUM06G09490 transcript:OGLUM06G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRLAAKEGAYFLQESKHAAGRLAEKLPASAPAPAPAPGSTSPSPDVLPEILRHAVPIKATPPPGEPSLSASSRWAVPRGGAEAAGLSPDAFNPLRSYVSLPQATFGPKRWQLPNEQPNYSASTANERRRDRHPPPMDPEKLKAVIAGYSQIGKAFIAATILVFGGSTAVLLYTADKLQLHSVDDVRTKGRDAVQPRADMIKEQIAPLRSWAEEMSRKWHFEGDKDAKEKSIIRELSRALGSRTPPT >OGLUM06G09500.1 pep chromosome:ALNU02000000:6:7504985:7506129:-1 gene:OGLUM06G09500 transcript:OGLUM06G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARCSAATVAVSPRSAPPRPNLEGGRRWWSWQLAVSAGDGGGGHGGGVGAVAGCFGGGGRRGSGCIGGGDDLRRRRLALATSDNDSSDGDRLAVAMTEEATTMSMDATVAGDVVQTVAGDSKWRRGRRWLPGESPLLALLSPNGRRRRFSVASFLEDVILAYPRWGDLVCVPLLV >OGLUM06G09510.1 pep chromosome:ALNU02000000:6:7506169:7508481:1 gene:OGLUM06G09510 transcript:OGLUM06G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASTAAAYSRAPRPPPAATIATNEDVGLSPHQIRPRGEGPDSPPTRLDPARRRQPHHHLHPRSAISIPTADGPQHPTQLAITVAVATSATVAFLATSRHPARSGRSGADLAGTAAAASVAEAALHLGLTGAPPRFSSEERRPRHHRPCRRAALPVAARAAARWRGGGGGAVGARLAETLVMNMKV >OGLUM06G09520.1 pep chromosome:ALNU02000000:6:7512017:7512208:1 gene:OGLUM06G09520 transcript:OGLUM06G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRHRLEEGRKHSVEGRESGAPGGEEGRRWRTEKKTAHPPLDCARERPRQAWAARAREEQR >OGLUM06G09530.1 pep chromosome:ALNU02000000:6:7516255:7521189:1 gene:OGLUM06G09530 transcript:OGLUM06G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAGRPAAGAAKRWRGGGREGGDGGRRQRLNWPVAVVAGEDGRTTGSSLEGAIANPAEVKAYWEATLPNTPMSQAVLDMLGPLQAQHTAIAKPFFSLNAFAQGQPNDKDDQNMGKFYVYNKAQTNNYADQRMRKFYLYNKGNANYGHDRKMKLYLYNKGHTINGIDQKMEKFYLYNKGHANEGDDQTMEKFYLYSKDQAKDRDDQMMEKFYLYNKDQANDWDDQKMEKFYLYHEGKTNDRDDQKRKNIYLYNEGHANEGDDQTMEKFYLYNKGQAKDGDDQKMGKFYLYNKDQANDWVDQKMERFYLYNKGHANEGDDQTMEKFYLYNKGHANEEDDQTMEKFYLYNKGQAKDGDDQKMEKIYLYNKDQANDWDDQKMEKFYLYHEGKANYRDDQNMEKFYLYKKGQANDEVDRRMDRFYLYNKDQANDWNDEKRGEFYLYNKDQTNGEDDHKIGEEHKYIHSHGHGHVHFPEGAKDLYFFEDNLAPGSVLITRILSARQSSIFLHRNNSKHIPFSMKNITDILTMFSPVSATMADGIAATLQACEHTGMVHGEKAKCATSIESLLDVVVSSLGTKLVRALTPGAPMEGVPSLKYIVASAAPVPNSQSMLACHDMLYPYKVFFCHTAKQTRLYQVSLVSGESGRPLIDGLLAVCHQNTSDWDTGHPFFHFIDVKPGETTACHFFGRGSIIWVPVPSVKEATQ >OGLUM06G09540.1 pep chromosome:ALNU02000000:6:7544463:7545701:-1 gene:OGLUM06G09540 transcript:OGLUM06G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHAEQELSTPDMLQGHIELHHHLFGYLKSMALRCAADLGVPSAIHRRGGAATISDIAADTGVHLAKLPHLRRIMRVLTVAGIFAANDEPSSSADQDGDAAGETVYTLTPPSRLLVGDRATCNMAPLMRFLARPEVAAMFFGLDTWLRDGDTGAATLYQAAHGGVPAWEMTKRDSSYNRALNEACAVDTSFVMDIAVREGGDVFRGLSSLVDVGGGHGAAAMAIARAFPHIKCSVLDLPQAIIEAPADGTVNFVAGNMFEYIPPANAVFLKYVLHCWGEEDCIKILQQCKKAIPARGDGGKVIIINAVVGSGEPQDNALKETQVLFDVYMMGIGGGFSDYKIKPILGFISVIEVYP >OGLUM06G09550.1 pep chromosome:ALNU02000000:6:7549877:7568930:1 gene:OGLUM06G09550 transcript:OGLUM06G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGIIPYHAGFDMSEFYLETKAIHGIKGIPSEGFRIIELYHQHTHYSLRSRRIQAEAAGRSHRTISTRISPKPNKHKKTRGGGGGCGGRVRWRKRLRRLREVEAAAPVAARGVGDGSGGLSGCIRTSILNRETNEDRTAHINSITGTSFGLRFMFLGSLKGMMSNRARPEGSIAESYIVKECSTFCSMYLHGIETRFNRAEHNYDGERPPLGRYSVFSTRFRAFGHKDSVILTQDQQHKQIIYVYVRWTYVQVSIIFFSMIYVPRSET >OGLUM06G09560.1 pep chromosome:ALNU02000000:6:7554556:7555187:-1 gene:OGLUM06G09560 transcript:OGLUM06G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTYRSCCPAFHAFCPWVNNTPIKVSNIRTIVTNETKPVIEKATIEVYKALLPYMDVS >OGLUM06G09570.1 pep chromosome:ALNU02000000:6:7563473:7563841:-1 gene:OGLUM06G09570 transcript:OGLUM06G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPGEQGKLESFNLPTYGPSVDEVKEIVTKSHMFELDHIKLFEANWDPYDDSEGDVVLDGANSSLNISNLIRSVLESLIASHFGGNILDALFQEFRSLVAQHLKREKTKFALIVMSLKKIY >OGLUM06G09580.1 pep chromosome:ALNU02000000:6:7567483:7567989:-1 gene:OGLUM06G09580 transcript:OGLUM06G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFVTKALESLVGKGLLSKEKLESFNLPTYGPSVDEVKEIVTKSHMFDLDHIKLFEANWDPYDDSEGDVVLDGANSSLNISNLIRSVLESLIASHFRGNILDALFQEFRSLVAQHLKRQKTKFALIVMSLKKIY >OGLUM06G09590.1 pep chromosome:ALNU02000000:6:7570742:7571582:1 gene:OGLUM06G09590 transcript:OGLUM06G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDMVDLQFFLNDLPGNDFNHLFRILNTFTFKGASNHKGDILPAYHIYGAPGSYYTRLFPPQAVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHVVKLFQEQFIKDFSLFLKLRHEELVDGGRMVLTIYGRKSEDPYSGDVNDIFGLLGKSLQSLVAEHNFSLK >OGLUM06G09600.1 pep chromosome:ALNU02000000:6:7606050:7608723:1 gene:OGLUM06G09600 transcript:OGLUM06G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSSSLSRAQPHRTSRRSPPARRKDPSSPPKVHRSHKLLLEIEALMEVELEGGNALGGRHRWRKQIMTGGASPEPHQHGSSGREERTEAAALTGVNHDGRSLSPVSAAADGEWTNLADNNFQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLDSLDLLEHIFKQFQVPATIGDLEHLLELNLSKTILMDQFLLSDGLMGQFLLRLET >OGLUM06G09600.2 pep chromosome:ALNU02000000:6:7606050:7611168:1 gene:OGLUM06G09600 transcript:OGLUM06G09600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSSSLSRAQPHRTSRRSPPARRKDPSSPPKVHRSHKLLLEIEALMEVELEGGNALGGRHRWRKQIMTGGASPEPHQHGSSGREERTEAAALTGVNHDGRSLSPVSAAADGEWTNLADNNFQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLDSLDLLEHIFKQFQVPATIGDLEHLLELNLSKTILMDQFLLSDGLMGQFLLRLET >OGLUM06G09600.3 pep chromosome:ALNU02000000:6:7606050:7611275:1 gene:OGLUM06G09600 transcript:OGLUM06G09600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSSSLSRAQPHRTSRRSPPARRKDPSSPPKVHRSHKLLLEIEALMEVELEGGNALGGRHRWRKQIMTGGASPEPHQHGSSGREERTEAAALTGVNHDGRSLSPVSAAADGEWTNLADNNFQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLDSLDLLEHIFKQFQVPATIGDLEHLLELNLSKTILMDQFLLSDGLMGQFLLRLET >OGLUM06G09600.4 pep chromosome:ALNU02000000:6:7606050:7609450:1 gene:OGLUM06G09600 transcript:OGLUM06G09600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDSSSLSRAQPHRTSRRSPPARRKDPSSPPKVHRSHKLLLEIEALMEVELEGGNALGGRHRWRKQIMTGGASPEPHQHGSSGREERTEAAALTGVNHDGRSLSPVSAAADGEWTNLADNNFQGPIPANISSCTALNKFNVYGNELNGSIPAGFQKLDSLDLLEHIFKQFQVPATIGDLEHLLELNLSKTILMDQFLLSDGLMGQFLLRLET >OGLUM06G09610.1 pep chromosome:ALNU02000000:6:7615056:7617777:-1 gene:OGLUM06G09610 transcript:OGLUM06G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNVEHDFHMVGGEGEISYAKNSRVQAKAMIEAKFVLDKAIRELYATLLANTMVVADLGCSSGQNTLHFVSEVINIFTKHQNNLGQSDMVDLQFFLNDIPGNDFNHLFRILNTFTFKGASNHKGDILPAYHIYGAPGSYYTRLFPPQAVHLFHSSLSLHWRSQVPEQLNGKQKSYLNEENIYITKTTPLHVVKLFQEQFIKDFSLFLKLRHEELVDGGRMVLTIYGRKSEDPYSGDVNDIFGLLGKSLQSLVAEGLVEKEKLDSFNLPVYGPSVGELEEIVNRVNLFDMDHMHLFECNWDPYDDSQGDIVHDSALSGINVANCVRAVTEPLIASHFGEGILSALFTDYAHRVASHLEKEKTKFAWIVISLKKRC >OGLUM06G09620.1 pep chromosome:ALNU02000000:6:7654250:7656554:-1 gene:OGLUM06G09620 transcript:OGLUM06G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTERDFHMVGGEGEISYARNSRVQNKAMMETKSILDKVTQEVYTGLLPRNMVIADLGCSSGPNTLRFVSEVINIITKCQNKLGQIDLMDLQFFLNDLPGNDFNHLFRTLETFKKANETNHEGEIVPAYYICGVPGSYYTRFFPQQTIHLFHSSISLHWLSQVPEELNGRKKVYLNEENIYITKTTPQSVVKLFQEQFYKDFSLFLTLRHEELVLGGQMVLTFCGRKDEDACSGSELNNLFGLLALSLQSLVAEGLVEKENLESFNLPLYGPSVGEVDEIVKNVNLFEMDHIDLFECNWDPYDDSQGDIVHDSALSGMNVAKCIRAALQPLIASYFGEDILNALFEEYAHRVAKHLEKDKGKFAFIVVSLKKRCYIKIGS >OGLUM06G09630.1 pep chromosome:ALNU02000000:6:7676749:7677615:-1 gene:OGLUM06G09630 transcript:OGLUM06G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKNLAAVTARQERQTARRRTCSDGCCIALFLLVAAAQCLVLLAGAPGAAEPRTRQGDYLDRLRGSPSSRVSLAVVSAEDRSAPSLSDVWHAAPTTAPAALGSQEADRMVMLLGQPNSMGFAQYAGYVMVDEVTGRALFYYLAKADGGSATSSKGPLLLWLNDGLVCSSLGYGTIEELGPFRVKSDGEMLSARMRWPPVSSHHVALTSPHCSSEVTMPFPTMSTGRGKEGRRRRKRS >OGLUM06G09640.1 pep chromosome:ALNU02000000:6:7679183:7679860:-1 gene:OGLUM06G09640 transcript:OGLUM06G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDGAGGAWEQGGGPRGEADGGSTTSSKAPLLLWLNGGLVCSSLGYGAMEELGPFRVKSDGETLSARMRWPPVSSHHVVLTSPHCSLRPPCRSRRCQREGGKEGRRRRKRRKEKGQSGYLENYLTSFIPGNNKIMRPVFYGQLYAFLVSISCVTFSSVF >OGLUM06G09650.1 pep chromosome:ALNU02000000:6:7686902:7687228:-1 gene:OGLUM06G09650 transcript:OGLUM06G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLPILIRRAAMSSSCGRCLPSLFRCSQGGPAGGARRGGIGGGLAAGRGSAGQQIAVGAEEAAEAALGTTEAWRAATTVEAETYAAITESSSSAAQASVEDGIEVL >OGLUM06G09660.1 pep chromosome:ALNU02000000:6:7712184:7712604:-1 gene:OGLUM06G09660 transcript:OGLUM06G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLLASGAASAVDPASVACKIESVMDQTSVACEVASVAVGKQRRRLLARIQRRWQAGQHLRVGGKRDGVHGGSGIGGMRGGIAGVRGNVSGGRGDVNGRSHNISSIICVGGGRDS >OGLUM06G09670.1 pep chromosome:ALNU02000000:6:7715952:7719770:1 gene:OGLUM06G09670 transcript:OGLUM06G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPISLVPRFVLGRRTSSKTTHDDDVDRHDDAPTPQRRRRHTSDDTLVVHAGERRRAGAIGGVAAEATGGSIATPIVSSTAHWFRDSADLVAFREGRRRRHSFEYGRYGNPTVKVLEDKISAMEKAEATIVTSSGMNAIVATLLAVVPPGGHVVATTDCYSETTFVDLDDMEALQSVLDHGNVTMFYADSLTNPHLKCVDVRRVAELCHQRGALVCIDSTLASPINQKPLTLGADVVLHSITKYIAGHHDVIAGCVSGSEELISRMRVWHHDLGGAISPVIGAGWKTPWVTDFTTGMGVGFLNWHPNAAYMIIRGLI >OGLUM06G09670.2 pep chromosome:ALNU02000000:6:7715952:7719770:1 gene:OGLUM06G09670 transcript:OGLUM06G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPISLVPRFVLGRRTSSKTTHDDDVDRHDDAPTPQRRRRHTSDDTLVVHAGERRRAGAIGGVAAEATGGSIATPIVSSTAHWFRDSADLVAFREGRRRRHSFEYGRYGNPTVKVLEDKISAMEKAEATIVTSSGMNAIVATLLAVVPPGGHVVATTDCYSETTFVDLDDMEALQSVLDHGNVTMFYADSLTNPHLKCVDVRRVAELCHQRGALVCIDSTLASPINQKPLTLGADVVLHSITKYIAGHHDVIAGCVSGSEELISRMRVWHHDLGGAISPNAAYMIIRGLI >OGLUM06G09670.3 pep chromosome:ALNU02000000:6:7715952:7718868:1 gene:OGLUM06G09670 transcript:OGLUM06G09670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELPISLVPRFVLGRRTSSKTTHDDDVDRHDDAPTPQRRRRHTSDDTLVVHAGERRRAGAIGGVAAEATGGSIATPIVSSTAHWFRDSADLVAFREGRRRRHSFEYGRYGNPTVKVLEDKISAMEKAEATIVTSSGMNAIVATLLAVVPPGGHVVATTDCYSETTFVDLDDMEALQSVLDHGNVTMFYADSLTNPHLKCVDVRRVAELCHQRGALVCIDSTLASPINQKPLTLGADVVLHSITKYIAGHHDVIAGCVSGSEELISRMRVWHHDLGGAISPNAAYMIIRGLI >OGLUM06G09670.4 pep chromosome:ALNU02000000:6:7718553:7719770:1 gene:OGLUM06G09670 transcript:OGLUM06G09670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVEAQNRTALRMARLLERHPKVERVNYPWLESSPWHGVARRQMTGAGGVISFEVASDMRGAMRFVDALELPFIATSLGGCESLVQQPAIMGKSDAEKAENGIKDNLVRFSFGIEKFEDLKDDILQALEKI >OGLUM06G09680.1 pep chromosome:ALNU02000000:6:7721457:7723646:-1 gene:OGLUM06G09680 transcript:OGLUM06G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQVMISTNSFDHSKRSRPQLRCITREIRFHHTTYTVPEGLEAGGQSLSNKDNIYISSTTSPLVVKLFQEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPVYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >OGLUM06G09680.2 pep chromosome:ALNU02000000:6:7721457:7723646:-1 gene:OGLUM06G09680 transcript:OGLUM06G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQTIVIDETKPVIEKAIIEVYKAILPKTMVIADLGCSAGPNTMFFMSNVINIIADHCSKLDEHDPIELQFFLNDLPGNDFNQLFRSLEKIKTSTTMYHKGDSLPSYYIYGLPKSYYSRLFPRQSVPEGLEAGGQSLSNKDNIYISSTTSPLVVKLFQEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPVYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >OGLUM06G09680.3 pep chromosome:ALNU02000000:6:7721457:7723646:-1 gene:OGLUM06G09680 transcript:OGLUM06G09680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIEGDLHMTSGEGEGSYAKYSRRQVPEGLEAGGQSLSNKDNIYISSTTSPLVVKLFQEQFRKDFSLFLKLRHEELVNDGHMVLIFFGRKDEDVYNGSLSHILGCVAKSLESLVCKGLVNKEKLESFNLPVYGPSDDEVMEIVMESHMFDLVHMKLFEANWDPYDDSVDDVVHDIASSSQNITTGIRSVLESLIASHFGESILDVLFQEFRPLVAQHLEREKTKYAVIVMSLKKI >OGLUM06G09690.1 pep chromosome:ALNU02000000:6:7734266:7741076:-1 gene:OGLUM06G09690 transcript:OGLUM06G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKAIQELYSAVLPRTMLVADMGCSSGPNTLNFIFEVIKATSEYCQRIGHRPVDLQFFMNDLPGNDFNYLFKSLEQLDNLVAKDQNREAAILPKYYVVGLPRSYYTRVFPDKSVHLFHSSYSLHWRSQLRYQELVSGGQMVLSFLARKKDDLYDGNLSVLYGLISQALQSLVMEGLVEKEKLDSFNIPNYEPSIHEVKTVVIRSKLFTINKIYVFESNWDPYDDSSDQGQATNINPIKSGLNVAKCIRAVLEPLIASHFGESILDVLFSRFARNVTKHLEKRKGKHSVIVLSLSKRKN >OGLUM06G09700.1 pep chromosome:ALNU02000000:6:7755720:7757656:-1 gene:OGLUM06G09700 transcript:OGLUM06G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKAIKEVQTALQPMMILVADLGCSSGPNTLMFVSTVIRAIAEYYNRIGQCPVDVQFFLNDLPSNDFNHLFKSLEQIDNFVAKDQNRQATTLPQYYVAGLASSYYRRLFPKNSVHLFHSSYALHWRSKMFEMKNIKEPLNEGNIYISKTTPISTVKLYQELFEKDFSNFLELRSNELISGGQMLLTFLGRKNEDVSDGDQCTLHGLTEKKRLDNFNMPVYKPSTHEVKTIIMRSKLFIINQIQLSESNWDPYDDDLEGEVVLYPAQSGLNVARSLRPVLGGCSLPILGNRFKMFSSQGLPVM >OGLUM06G09710.1 pep chromosome:ALNU02000000:6:7769270:7775218:1 gene:OGLUM06G09710 transcript:OGLUM06G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEAIQEVYTALLPKTILVADMGCSSGPNTLVFISEVTTPVDLQFFLNDLPGNDFNYLFKSLEQLDNLVTKDQDQEAATLPQYYVVGLPRSYYTRVLPDKSVHLFHSSTRAAEDKAPEEVMEVKGEEKAPRSDRRGYGQCCLGQGVVGARTKALVTMFKERCEKEPQNEGNVYIAVTTPEEVIKLYQEQFEKEFLNFLELRSEELISGGQMVLTFLGRKNDNIFDEDKNILYELISQALQSLDLVENEMLDSFNIPLYGPSVNEVRTAIMQQKLFSINHIKILESSWDQQDDEFEGHTVLDPVESGVNVAKSIRAVMERLFATHFGESIMPLLFSRFASNVTEYIEKKKRKGKHTVILLSLSKMQDDNTAEA >OGLUM06G09720.1 pep chromosome:ALNU02000000:6:7781008:7788434:-1 gene:OGLUM06G09720 transcript:OGLUM06G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTFLGRKKEGVLDGDLSHLCALLAEALQALVTEGLVEREKLESFNLPLYGPSIDEVKAVIALNKLFGIDHIQLFESNWDPYDDMENDGMCSSPQHGVNVAKSIRAVFEPLLASHFGECILDELFQRYARNVERHLAEDNTKYSMELDFHMAIGEAEANYANNSRLQRKALIKTKPVLEKVMRQVYMALLPPTMVVADLGCSVGINTLLFVSKVTSTVADAQCHNELGCHFMELQFFLNDLPRNDFNQVFQSLQQFTKSIAAGHPKGVALPPFYISGLPGSYYNRLFPCQSVHLFHSSYCLHWQSQKREAITVSLLTVLKICVFSISLFHKISMPDKILLSYLPIWNNIQLFIVTQLIKDMNKKMAYLNGENIYIAKSTPQSMVELYQDQFQKDMSLFLKLRHQELVPGGKMLLTFLGRKKDDVLDGDLSHLFGLLAQALQSLFTEGIVEKGKLESFNLPIYGPSIDEVKTVITRNKLFCIDHIELFESNWDPYDALEHDGMHISPHRGMNVAKCIRAVSEPLLASHFGEYILDKLFQRFAQIVERHLAKENAKYSAIVLSLNRRD >OGLUM06G09730.1 pep chromosome:ALNU02000000:6:7786712:7787641:1 gene:OGLUM06G09730 transcript:OGLUM06G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKLDDDDDKSRPNFSRSGNLRGHGAANHATVSLTSRSRLFANRGPPPPPPPLSRSPPSRTQLRAPAARDAAGVIPTLLAGGGSRCPEPFEETPASSIIVCADE >OGLUM06G09740.1 pep chromosome:ALNU02000000:6:7788462:7790463:-1 gene:OGLUM06G09740 transcript:OGLUM06G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLDCSVGINTLLFVSMVISTVADAQHHNELGCHSMEFQLNDLPRNDFNRLFYSLQQLEHDFHMAIGEAEDNYANNSRLQRKALLKTKPVLDKAVRQVFKSVKQFTKSIAASHPKGVALPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLHWRSQMIKDMDEKMSDINGGNIYIAKSTPPSVVKMFQDQFQKDMSLFLKL >OGLUM06G09740.2 pep chromosome:ALNU02000000:6:7788462:7790463:-1 gene:OGLUM06G09740 transcript:OGLUM06G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADLDCSVGINTLLFVSMVISTVADAQHHNELGCHSMEFQLNDLPRNDFNRLFYSLQQLEHDFHMAIGEAEDNYANNSRLQRKALLKTKPVLDKAVRQVCMALHPRAMIVADLGCSVGANTLLFVSNVINTVADAQHHDELRCHPMELQFFLNDLSGNDFNQVFKSVKQFTKSIAASHPKGVALPPFYISGLPGSYYTRLFPCQSVHLFHSSYCLHWRSQMIKDMDEKMSDINGGNIYIAKSTPPSVVKMFQDQFQKDMSLFLKL >OGLUM06G09750.1 pep chromosome:ALNU02000000:6:7794998:7803802:1 gene:OGLUM06G09750 transcript:OGLUM06G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPSPSPVVPVKREPDGATAAVSDAYTPRPPLRKRRRLPATPTQPLLLTPQAMSSTRDSFAGERSGLAPASVPTSVKRELGADGDGDGDGDRDARGKAVSVAEGNLQPRKTALAELPTLLANRRRLDRLLHELVRSHRWGDAAGVISALVSGTRHPESFDEMRSVFAVGMEIHRRLAENSGIQQNTRSRYYLRTQKLYDVWMRRLMWLPTCERKYMVKLELALFYLSQGCIDSAYNTTKTLIAKDGLKTPIVNLIHGLISYDNWYSGLPEDMQLEEFDVYCESRTVSMATHHCDENGQQDTSDDNCSIDADSSSPGCSSKSSINNWNIDKQRKFPEKPGFVHSAREDDSVGSQVDEKMVDTDFRSVFFNTANSPTCGLEKSLLPLRLKHSDGTPNACFDSYWKYKSTPNAFYEDAEKCLRVALYSTPPIMAALLPLIQILLLGDKLKDALAELEKICHSSTTALPFRLRGRLLEYFDQNQVSIISSCYAEALRRDPTCTYSMERLTRLHRKGYYNTIELLEAIALHLDSVNGKPCIWEELVSCFLRLFSEWTTDYGDCMSCNVQGDATFTASSKFSCVFFEQNTRETWKVRCTWWMNRHFSQSICTSETLTGDCKLLASKAACACHLFGPEFEYVEAVESYLSGQKADDEIAFLSRNMQNSVRLLQTLEGLTS >OGLUM06G09760.1 pep chromosome:ALNU02000000:6:7807385:7809570:-1 gene:OGLUM06G09760 transcript:OGLUM06G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAAAGAGGEPQKQLLSIIRDFAAEKSHGERRVTDLKRRLDDLRAASDAAAAELEAAKRAREGAEQELRGGQVQVAIAAASIQALEATISHLQEEISKAGSDLDALKGKGDIERDEFISQMDQLNTKIRQFQQTVSVEFKRQKCSELPSGEGQHVRDMSEIEESEGILKDLIDKVNNADAELHVLEEEYKKDLLHHDEVRRELADTQAKRALMEAVMGETKQLQELGEYPFLGFVQKFSNSLHLVLFPVQIHQAFCKRRFFNGKQAGSRNGESARFACGGVAEAVRVPRLWSQQHGWVGGGGGGQLKMALAGWLLYIDKA >OGLUM06G09770.1 pep chromosome:ALNU02000000:6:7826551:7827429:1 gene:OGLUM06G09770 transcript:OGLUM06G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSGWPNCSTGWPNVASLLHWLKPRADNSDGGVNGAEGHGGLVPVAGDLGHALRAHGVLDPPGIGGLLGVARVVLGEQVVVEGDEDAGEHVSGARLWWRGWDEIEEVGRVDMGVLEAHEEGDELGELVAEEGRGGRGGRGGGATTAGSRRGRGRSRRAPRRARPGGVGIRGEQDGLLEVIHGGGGGGGGIWAGAEGGKEERGRGGRVGGPPLPRGTASPPCSHPCSCSCSGKGGENLEEQRVEADTRVPLPYTQNREDKWRGCWSK >OGLUM06G09780.1 pep chromosome:ALNU02000000:6:7838644:7843550:1 gene:OGLUM06G09780 transcript:OGLUM06G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAPKAEPMKQRVNRCLLRLSDRDTEAMAAAELDGIARGLEADELPAFLAAVSDARPTDRTPLRRHSLRLLALLAAAHPRDADQCAQLASALAAAAAVEASAPSADLAAYLQALLPRLLKLLRSSAFKAKPALISLIGAASAASGGGAAATAVPSLRDALTGEDWAARKAAAEALALLALEHGDNLVEQKPSCIAVFEAKRFDKVKIVRESMNRMIEAWKEIPDMDEEVCSSDVPPSPQSQTRSSSTDSASDGRYPADSLGSNSVQSVRRRNLSPTKKSPPREALHNVSNRRTSSSSIGNKKNSPPSRHNSGQAKNFECKVNVTDAPDATPIKTVTEEKLLKDGNVRARLEARRVLFQKNGEERYNKVPGLKSGSRVVPYNGDDDSEEIAESEDVHEEFQSGHKEEDLSKIRMQLVQIENQQTSLLNLLQKFMGSSQNGIRSLETRVNGLEMALDEISRDLAASSGRMPSSEPDMNCCILSPKFWRRHDGSRYSSKYSISDIANYSEESRTSYKWERQKFGVQGVVTNPLAEPNASFAGNVVVAQEARRQNSAQYKSRHSIFIERGGAMVTTRGLRGQRVAGGNG >OGLUM06G09790.1 pep chromosome:ALNU02000000:6:7850618:7851230:1 gene:OGLUM06G09790 transcript:OGLUM06G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSDGSSSSSRRCSASPVSYRVGPLDYQPAVMCRCRCPAKATWWISWSIDNPGRRYYKCQNAWEGGCDFWVWCDGPTTSFIKELLNDLRDAVIGLRRENEHLRRENKDLQRDAEENRAKRTEEIRILKARNQKLEKERNVVVVFMLSGMFVLFVLLFGKN >OGLUM06G09800.1 pep chromosome:ALNU02000000:6:7851823:7854869:-1 gene:OGLUM06G09800 transcript:OGLUM06G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDCIGELEVAEPLEGMSAWPQDDREPLNAPGYIKMPDWPKTARRREMHEPPKPTKMSRFGSVMRCTRCHQVGHNKSSCAKNNAPAAGTSSAQPMETQSQQMVLSNTPRSSAQSKKRKAATVTTTSTTIQSRSKKSKNKAPNETQELVRVNASAKVSTEHGGSARVDLHAIVPHSQVSTTASVKVTSGRAFVSVSAQEPSNSKAKKKSGGALLLMPPWQSDKL >OGLUM06G09810.1 pep chromosome:ALNU02000000:6:7861178:7864002:-1 gene:OGLUM06G09810 transcript:OGLUM06G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSAMCPVFFKQISHILRQC >OGLUM06G09810.2 pep chromosome:ALNU02000000:6:7861178:7863960:-1 gene:OGLUM06G09810 transcript:OGLUM06G09810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLNAKLYHRYTALKKRKLLDEGLDQKRAADINELRQAMKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSAMCPVFFKQISHILRQC >OGLUM06G09810.3 pep chromosome:ALNU02000000:6:7861178:7863819:-1 gene:OGLUM06G09810 transcript:OGLUM06G09810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWVAELQSENERLVAKLTQKEQQLVEAQTLLLDETRKTKELNSEILKLQCLLAEKNDANHIATGSPDTTAEMTIENQTPISPAKKTPKSNSRERNIRSIEKAIVPRNGFQEEGRDLDSCRRHMSISGSATEESSSTCMFHLLAESMVGMKFSVKNETEGFSLSVSHEASGYNFTLTWVDQPGGSEWSYQYSSLGTLDRIAMGWMKEDIKFSSAMCPVFFKQISHILRQC >OGLUM06G09820.1 pep chromosome:ALNU02000000:6:7871132:7876648:-1 gene:OGLUM06G09820 transcript:OGLUM06G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi alpha-mannosidase II [Source:Projected from Arabidopsis thaliana (AT5G14950) TAIR;Acc:AT5G14950] MPFFSGGGGGRSGALLPTTSKPKGHHHLRSKGLSSPAASRRRGAHSASSSASSSSRRRVLYVAAAAFAALFLLAFFRLGLPSSRPAATSPARLRPRLTRRPAFRRDSAAAEAAAAAVAARIGREAHVDITTKDLYDRIQFLDVDGGAWKQGWEVSYKGDEWDGEKLKVFVAPHSHNDPGWRLTVEEYYEKQSRHILDTIIESLSKDSRRKFLWEEMSYLERWWRDAPRKKQEAFTKLVRDGQLEIVSGGWVMNDEMMEGNMWLNDTIGVVPKNSWSIDPFGYSATMAYLLRRMGFHNMLIQRTHYELKKELAMNKNLEYLWRQNWDIDETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRSFSYESCPWRFDPVETNANNVQERAMKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSTEEAEAQFRNYEKLFDYINSNPHLKAEVKFGTLEDYFSTLRDEAERINYTRPGELVSSELPGFPTLSGDFFTYADRNQDYWSGYYVSRPYFKAVDRVLEQTLRASEILSSFVLGYCQKLQCVKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDIHDRSDPTLLSHFEPVQERSKYDVQPVYKVLNPHGGKAHSVVLFNPLEQTRDEIVMVVVSNPDVSVLNSNGSCLRSQISPEWQYVSGEKVSTGQHRLYWRASVPALGLETYYVATGYDDCAKATPAVVKAFTTAGQFPCPEPYVCSKLEGKTVEMKNSYHSLSFDVRHGLLQTVTRNKDGEHTDVGEEIGMYRSHGSGAYLFKPIGEAQSIVEEGGYFILSEGPLVQEAHSLPKTQWHKSPISHSTRIYSCGDSIQDMLIEKEYHVELVGHVFNDKELIVKFKTDIDNQGVFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKNGWLEIMLDRRLTQDDGRGLGQGVVDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFVSKKAQEKSFRLAQQTFAPLTSPLPCDVHVVNLKAPQPLKFHHAEAVEARFALLLQRRGWDASFCRRGGLNCTTIGEEPVNLFYMFKDLAVLDVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMDIQAYKLDLQPPSSQEE >OGLUM06G09830.1 pep chromosome:ALNU02000000:6:7880474:7887589:1 gene:OGLUM06G09830 transcript:OGLUM06G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDSVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKNRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVVEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >OGLUM06G09830.2 pep chromosome:ALNU02000000:6:7880473:7887589:1 gene:OGLUM06G09830 transcript:OGLUM06G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDSVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKNRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVVEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >OGLUM06G09830.3 pep chromosome:ALNU02000000:6:7880473:7887589:1 gene:OGLUM06G09830 transcript:OGLUM06G09830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanyl-tRNA synthetase, class IIc [Source:Projected from Arabidopsis thaliana (AT5G22800) TAIR;Acc:AT5G22800] MEAAALLSPTATSRSPLPLLSTAPAAHRLHVLLPLSGRRRRLCLRSSPRPRGSLGCAGDSVVRSMGSSRERGVLVKTSSSSASVESATQEVGAASSGEWSGDAIRRRFLDFYAARGHKILPSSSLVPDDPTVFLTIAGMLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAITWAWELTTKEFGLPPERLWISVFQDDDEAFSIWHNEVGVPKERIKRLGEDDNFWTSGATGPCGPCSEIYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASMALVSYTTADDAMKTNLKIIGDHMRAVVYLISDGVIPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNSEGAFLPSLAEVAISLSTEIDPDVESRRKSILGELQREELRFVQTLERGEKLLDELLDEALSSAGNNGGKPCLSGKDVFLLYDTYGFPVEITAEIAGERGVIVDMKGFDMEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVKGLLVNGNSVNVVSEGSDVEIFLDRTPFYAESGGQVGDNGFLYVYGEEDAKQKAVVEINDVQKSLGNIFVHKGTIKQGSVEVGKEIDAAVDAKLRQGAKAHHTATHLLQSALKSVIGSETSQAGSLVAFDRLRFDFNFHRPLSEEELMKIESLVNQWVSSATHLETKVMDLQDAKNAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGVRRIEAVAGDAFVEYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRTTRNEVSSLRSKIAVLKAASLANKATTIDNTRVVVENMGDVDADGLKSAAEYLVDTLEDPAAVILGSSPGDGKVSLVAAFSPGVVKMGIQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLPGALEKARDEIVAAISSKSS >OGLUM06G09840.1 pep chromosome:ALNU02000000:6:7890372:7896155:1 gene:OGLUM06G09840 transcript:OGLUM06G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAPPPPPEVAPPAPAPAPAPAPYQPPRLAVADGAGGGGGGGGKPCRHHAYSRKQKSLGLLCTNFVALYDREDVESVGLDDAARRLGVERRRIYDIVNVLESIGMLVRRAKNRYTWIGFGGVPAALAELKEMSLRAVSSVASPSLDETSAANVSDDEDDDKLDDAEGDAESEKLSLSQSIDNPSDKPDAPPCKLRSEHRKEKSLGLLTQNFVKLFLTMEIETISLDEAAKRLLGEGHAANNMRTKVRRLYDIANVLSSLNLIEKTQQADSRKPAFRWLGQAKRNEGVTVALPPTKTLPNKRAFGTDLTNIDNKRGKLDSTMENRGKPTQDGGSLFNNLQRQLGQENRSDFVYGPFHPAGARKQEHGNRTVQEKERKSIQDWENLASSFRPQYQNPGLNDLFGHYMEAWRSWYSDLRRDRAS >OGLUM06G09850.1 pep chromosome:ALNU02000000:6:7897456:7898792:-1 gene:OGLUM06G09850 transcript:OGLUM06G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B12D protein [Source:Projected from Arabidopsis thaliana (AT3G48140) TAIR;Acc:AT3G48140] MASSRWVRPEVYPLFAAMGVAVGICGFQLFRNITGNPEVRVNKVGRAAGVLENHEEGRRYAEHGLRNYVRDKTPEIMPAINKFFTEPTK >OGLUM06G09860.1 pep chromosome:ALNU02000000:6:7899350:7903292:-1 gene:OGLUM06G09860 transcript:OGLUM06G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAWFPFVPMLPQAPPSEQEEDSPLENSGSMKGEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGAFGICKEQEQTTEEARALKGEETPTVPEVERVGPTDGDGEGRGNVRGRRERRRRRGWGRQTATKEADKAATERAGDTDGNGGGGQGGDAGGGIATRLRATESDLMRCDVESDLMRSNRRK >OGLUM06G09870.1 pep chromosome:ALNU02000000:6:7908251:7911649:1 gene:OGLUM06G09870 transcript:OGLUM06G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7I2] MAAAVVLLRRLRGVTAAPRRAAAALPLTTSVRGVSDSTEPLTIETSVPYKSHIVDPPPREVATTARELATFFRDMSAMRRAEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAATARADAIITAYRDHCAYLARGGDLAALFAELMGRSGGCSRGKGGSMHLYKKDANFYGGHGIVGAQVPLGCGLAFAQRYRKEAAVTFDLYGDGAANQGQLFEALNMAALWKLPVVLVCENNHYGMGTAEWRASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKQHALENGPIILEMDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLLLAHDFATTQELKDMEKEIRKQVDTAIAKAKESPMPDPSELFRNVYVNDCGLET >OGLUM06G09890.1 pep chromosome:ALNU02000000:6:7924567:7925682:1 gene:OGLUM06G09890 transcript:OGLUM06G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7I3] MPARAAAAAAAVALLLAVCAQAAALPRFAEAPEYRNGEGCPAAATAAAGVCDAGLVHISMTLDAHYLRGSMAAVYSLLKHASCPESLFFHFLAEEEEVGGGGDLRRAVAASFPSLRFEIYAFRAEAVAGLISASVRAALESPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPGLGRRVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRHRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVRGSCRPLHDGPVSLMHWSGKGKPWDRLDAGNPCPLDHTWKSYDLYVAGDDGAAASSPASRPALSSTSTTWPALVFSW >OGLUM06G09900.1 pep chromosome:ALNU02000000:6:7932877:7933950:1 gene:OGLUM06G09900 transcript:OGLUM06G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGTFCAFCHPSLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVYEMEGAIRILSRELEVFLVAPRLASISGRSGVNTTGLDANATRWKIQPYTHKGESRVMPSITEVTLRLVTVDEAPPCDEWHDVPVIVYSNGGYCSN >OGLUM06G09910.1 pep chromosome:ALNU02000000:6:7937545:7942513:-1 gene:OGLUM06G09910 transcript:OGLUM06G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7I5] MAAAAVAANGGGDGAQASNAPAPTRLASVYSEVQTSRLKHALPLPSVLRSPFALADGPASSAAGNPGEIAKLFPNLFGQPSVSLVPSPEPASTRPLKVGVVLSGGQAPGGHNVICGIFDYLQEYAKGSVMYGFKGGPAGVMKCKYVELTADYVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTVNKLDLDGLVVIGGDDSNTNACLLAEYFRGKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNVALIGEEVAAKKETLKSVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLQPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYPAHFRGQSHFFGYEGRCGLPTIFDSNYCYALGYGSGALLQCGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKYKPVIKKAMVELDGAPFKKFASLRDEWSLKNHYISPGPIQFSGPGSNDANHTLMLELGAEA >OGLUM06G09920.1 pep chromosome:ALNU02000000:6:7942845:7945358:1 gene:OGLUM06G09920 transcript:OGLUM06G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLFPSPSLLLTLLAPSQAFSSIVVGPSMAVRPTSIAIGPASIDSIISTVCELELEMWYFPISCESFEPSGSQLLKRPGRIRLHRQALLLFRQAYLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRICIMCFLQRDNVLGVCKEHDYLGGSFQHSLGP >OGLUM06G09920.2 pep chromosome:ALNU02000000:6:7942845:7945293:1 gene:OGLUM06G09920 transcript:OGLUM06G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLFPSPSLLLTLLAPSQAFSSIVVGPSMAVRPTSIAIGPASIDSIISTVCELELEMWYFPISCESFEPSGSQLLKRPGRIRLHRQALLLFRQAYLPSLLSSYIVQVVIHYFDKQSAEFINSAAGAITVSYVMQLLTGRICIMCFLQRDNVLGVCKEHDYLGGSFQQ >OGLUM06G09930.1 pep chromosome:ALNU02000000:6:7943037:7960759:-1 gene:OGLUM06G09930 transcript:OGLUM06G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGLTSAASYHHGTQENGRCRNHQQRNGCDARSGIPRPAEAVELVAAASPVGDAYTRRLRWRWRWPPRPEAVTMAHSSPKLVPQMDQVVAMARASANLGGKLRSKRPRIQLESLHGVSVDLGGELQISLSEQQQRLTMETDTPWTLQELGSGRLKGFA >OGLUM06G09940.1 pep chromosome:ALNU02000000:6:7963072:7971484:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >OGLUM06G09940.2 pep chromosome:ALNU02000000:6:7963072:7971274:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRQAH >OGLUM06G09940.3 pep chromosome:ALNU02000000:6:7962868:7971490:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >OGLUM06G09940.4 pep chromosome:ALNU02000000:6:7963072:7971490:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >OGLUM06G09940.5 pep chromosome:ALNU02000000:6:7962736:7971490:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTAPPLKLVDLPGIDQRAVDDSMINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRASSPQTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >OGLUM06G09940.6 pep chromosome:ALNU02000000:6:7962868:7971490:1 gene:OGLUM06G09940 transcript:OGLUM06G09940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEELAQLSEAMRQAASLLADDDPSDDSAPRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQRDPGLSSKSIVLQIDSKSQQVSASSLRHSLQDRLSKGASSGSSRGRVEGINLKLRTSTGSSASNLAILANLFLPLINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDADGTRTVGVISKVDQAEGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQAAGSENSLETAWNAEAETLRSILTGAPKSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKVVASFEGKFPERIKQLPLDRHFDLSNVKRIVLEADGYQPYLISPEKGLRSLIKIVLDMAKEPSRLCVEEVHRVLLDIVNASANATPGLGRYPPFKREVIAIASNALDSFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKPQDADQPMGKRTGSEKDTKDKSAKDKDKDKSGQQDKDAKEGSSVQVAGSSGEITAGYLLKKSAKTNGWSRRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPPKSLKDSKKANGPEKGPSLVFKITNRVAYKTVLKAHSAVVLKAESTADKVEWVNKIRAVIQSKGGSFKGPNTDGGSMRQSNSDGALDTMARRPADPEEELRWMSHEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAASYANDISEAESPRTPNRPGEDWRSAFDSASNGPSSGSESRSRSADGRRGRYENGDVTSGANSGSRRTPNRLPPAPPKY >OGLUM06G09950.1 pep chromosome:ALNU02000000:6:7972776:7975846:-1 gene:OGLUM06G09950 transcript:OGLUM06G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7J5] MRWRRVVAVAAVVAATALSMGAAAAARHDYEEALRKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVAAAGELAHALDAIKWGTDYFIKAHTKPHELWAELILLHGFDNVGDGDTDHYCWQRPEDMTTSRQAYKVDRRRPGSDVAGETAAAMAAASIVFRQSNPHYSHLLLHHAQQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAAYLDYAVDNADEFGGTGWAITEFSWDVKYAGVQILAARLLMRGEHEERHRGTLERYREKAEHYVCACMGRNAAGGADANVERSPGGMLYVRQWNNMQYVTNAAFLLSAYSDYLAGAGDGDGDGGGGVATCAGGGGAGAGEVFAAAREQVDYVLGSNPRGMSYLVGYGERFPARVHHRAASIVPYKDSKEFIGCAQGFDDWFGRRGANPNVVVGAIVGGPDRRDRFRDDRENYMQTEACTYNTAPMVGMFAMLNRLSRQESPSTTTTTTATTSSPEMGLSVNR >OGLUM06G09960.1 pep chromosome:ALNU02000000:6:7976187:7997511:-1 gene:OGLUM06G09960 transcript:OGLUM06G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRNARAEREMDGSRASGPAHLSRRSSFPHSESGSDSESNCGGGGVSRARTPTPRRLRIGPFLSRNFGPERTLKNIQLDVGKLINQKEEAIYPLTTRSKVRVPPCQPPGRSIT >OGLUM06G09970.1 pep chromosome:ALNU02000000:6:7997591:7998253:1 gene:OGLUM06G09970 transcript:OGLUM06G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNCRCSRVVYVGNIAFHASEAELRDACELIGPVRSLRLAAADPATSKRKGYAFVEYADDETARSALRNLHGHLLRGRELRVGLAARPSIRRRGGGGGGEREPVGMEDAVHAASLVVSGRPLASVTRYRYLAARSRQEVREMVAALEVTEQLKIPGLGTAMEQAQRLLEMFAADEEEVARKKLKRASESPPNEEHAKQSKVVGVDGVVKASSRIVPCF >OGLUM06G09980.1 pep chromosome:ALNU02000000:6:7997749:8000690:-1 gene:OGLUM06G09980 transcript:OGLUM06G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQKPERRGNSLTDLNDDVLSEIFFRIPPGDPGVLVRLSVVCKSWRRLLTDRDFLRGYRAFHRAPPILGFFCAEFGRTTFVPTTAFRPIIPSADWLLCDSRHGRALFDAYGLPVRILVSDPMTGAERLLDAPERWRNIHWSAPEWMYTQWSAAVLCAADVCDHLDCHGGDAFRVALVGTDVVGTTHAALYSSATDTWSGPASIDHHPDAFVQARRPSVLVGNALYFLCDNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKSMDQWEHLEDVRDLKTLLPRGSISMMNNVLIGFADGGVRVVVVRSYHGPFIVELGSTGPARVALRRSGIYAVFPYTSFCTPARIDQKQGTMREEALTTPSTPTTLLCFACSSFGGDSLARFSFFLATSSSSAANISSSRCACSMAVPSPGIFSCSVTSSAATISRTSCLDRAARLRRALRAVSSSAYSTKA >OGLUM06G09990.1 pep chromosome:ALNU02000000:6:8002217:8007758:1 gene:OGLUM06G09990 transcript:OGLUM06G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7J9] MDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPENKITREEMVKKIIIIVGEQLLLDSLTKLNYNPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSAARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKISKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHATFGLSRYNLFWLPPASVTAFLLQRVIEQED >OGLUM06G09990.2 pep chromosome:ALNU02000000:6:8002993:8007758:1 gene:OGLUM06G09990 transcript:OGLUM06G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7J9] MDSLQKSHDLCLKRKLVDDCLSKDFKYRRVEEDNVSSNVESRPLPGSPSQSCCIQPNLAKDCVNYLKSGLPSRIAFYKQGAWCDFPQKIMESLVEGFKADKSSAVVVMDDQPLLVDFLSMTMVNLKTRKQRSVSWLDGTGKWFFPSAFFDEGVDESRKLNMGSEGSGQGLTEGMVPKAPNELVKQAVVETSSPVLQNSCIPDILRKKIVPVERGSESFQFVQNLFLSGMGSFASPKNLLHIHRYSAEDVTAQCRLESFARQMRLTRKKIGYADVRYGWLGSRKQDIVGVLINGFISTGQTSHSSDMRTGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRAILGNMGTIKPGSQDEFLSIYDSGVDNCSNPSYYVIWPSKLGTHISLEYLISFRLTPEIQDYLLHLKGLWLCPPPKEVEVDLSTLQPENKITREEMVKKIIIIVGEQLLLDSLTKLNYNPSEWYKSCSEVNCHPLSIKLDPISNSRININSASGRASNDSQAPSVQPQKCGPVDSTVVSMALKREQFSIPSMCSESFSSHCTKSQDSVVRMRPDDTLVRRALISDSVNGCDSVGPTVESHCHSLLSQNFDSEGHASHVVSMFGNSAARLHSSAPCMTTEAQVFVAPSRAYENSSSLNAEGSDAVISSIAPQVHAPSIPPQNCPRTSVAPHLCLPRSMAPHLRVLRKISKVHSTNMPEAHYSSAATMVPVICKPPLFGITQKGHGVHTSSMMCTTPNVVLHGPDHPAKLADTERNAPSLINGALRREVQVQRPNQGVDASSIITQAADTLVALSAHATFGLSRYNLFWLPPASVTAFLLQRVIEQED >OGLUM06G10000.1 pep chromosome:ALNU02000000:6:8012998:8014347:1 gene:OGLUM06G10000 transcript:OGLUM06G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7K1] MSRATRVAGRLMPEISLLRRGRKSAARGGDDAEVEVSVPANFVCPISLEMMRDPVTAPTGITYDRESVEGWLARGHDTCPVTGRPVRLADLVPNHATRRMIQDWCVANRARGVERVPTPRVPVGEDDAEEVVAGVSAAARRGDAAACGAAAATARALGRESERNRRCLAGAGAAHALSSAFGLLAGEEPVVEGAVAGALGEILAALTVFFPLDEECRSCIASPPSLKSLASLLSHGGELAARVSAAVVLRELASSGDRHALEAIARAHGMCDALVGLVTSPVSSQATKAALVTAYYLVSSGDRAAARFAELGVVPAAVELLVDADKGTSEKALAVLDAALCADAGVESARAHALTVPVLVKKMFRVSDMATDFAVSALWRLCRAGAGAAPCRAEALRVGAFQKLLLLLQVGCAGVTKERASELLKILNGSRGSVECIETVDFKGLKRPF >OGLUM06G10010.1 pep chromosome:ALNU02000000:6:8028321:8028602:-1 gene:OGLUM06G10010 transcript:OGLUM06G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAAAAMLMLSLLLLGSSRSWPPVAAAARPLLQGDGGEVVAPPAGGGVGVLVLPSSSPSLRHWLPVLEMKQGASCQTNDPNNVNCPPKPPK >OGLUM06G10020.1 pep chromosome:ALNU02000000:6:8036161:8036397:-1 gene:OGLUM06G10020 transcript:OGLUM06G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRQPRIVVLVILAAIMAFLVASSSARPLGGGGGGGGGVVSGESILQLLRRVYLQQLGSGASCGTNSSNGGCPPPSGS >OGLUM06G10030.1 pep chromosome:ALNU02000000:6:8041187:8047311:1 gene:OGLUM06G10030 transcript:OGLUM06G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAGGVGELLLFPPVALASAESAEPTAEAWSRRFPLIAPVVAVGSVGCGGGMEKRKTIVQKGMLGKPEPSKKARGVLQRAKKRSIGDDHAYDIEQPPPKRSRSKQESSRASPMKLIKLYPHMSGEQKRLIEGAGFHGLVDLKCSKLRPDLCSRLMEHCNPATNQLVFLGRGAIDVNEELVKSVLSIPMGDKDVSYEMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLADDKYLRMWIIYAISSVLAPTTATTVSPRCYPSVVDAGNVKNLNWCKFVISILQKAAKAGKNTNSACLLYMMILYLDSLSFKNINVLVEGYRATIWTNELINQTILADTSADGSFGALPLRSSFQHEGTNLFGSNVDFSTFINSNVPNTMQQQEKDRVVIAVQNLCEGFSSLVTKFVRQISGLDFVDPRGSQPRKMRINQKKLAQRPERVQQDEDLDVTSSDDEDFVADEEEVEDTEEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDDDGAETGRSGEQADAATNVIGCKGDDTNDGIGSRGKGADDVIGKGKQVDEGIGFGDKEKHEEKQAPNAIAQNVPKSEKQPVQKVEKYPFLTTTIDSHEVPNFNLSFDSSQEVVQTPKGQEAAGTSRGKEFPGIITNEDYGSFTTEDYEKEPIACEVEEETPVPHEYNKRVVKPAKFKRSPFIDYENKKQFVVSRVINEVYDDICKNGGRTKSRRNRTNFTSQRTERSLIHENIIFILAILRTLSNLWAHWTITHGYLLDSQLDRNELKKHFDQTRANRLDHKELAFFPILQQLGNGNDKAGHYFVVYLNLKAKRFEVYDLLRGEDDEELISASHLVVASIKTMWDRFYMRSSKKTIQNYPLIFIDGPKQDNIQTYPCFVFANKNSRDCGFYMLKFVELWDGKQLPAFEPSDIPNIKKLLTHKMLLFQGNRVQWMQVLWGKEPDPTLKVK >OGLUM06G10050.1 pep chromosome:ALNU02000000:6:8059836:8060075:-1 gene:OGLUM06G10050 transcript:OGLUM06G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVIRRRLAAVVAAVLLIGVAFLAVSGEAARPLGGEPAAVSAGGVVQLLLRQMYLQRLAAGPSCGTNSSNGGCPHRP >OGLUM06G10060.1 pep chromosome:ALNU02000000:6:8068079:8068348:-1 gene:OGLUM06G10060 transcript:OGLUM06G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRMMIVRRWAPVIAVAAALVVLSVLGTAAEAARPLVDGGVDGWVAAAGGGGAAASIVETLRRLYLQQLGGPGASCGTNSPNNGCPP >OGLUM06G10070.1 pep chromosome:ALNU02000000:6:8069962:8074524:-1 gene:OGLUM06G10070 transcript:OGLUM06G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGSASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVPGCPPNAVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGQLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWQLDALNTAKIQVPIMEVKIRKWKPSILEMKLE >OGLUM06G10070.2 pep chromosome:ALNU02000000:6:8069731:8074524:-1 gene:OGLUM06G10070 transcript:OGLUM06G10070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGSASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVPGCPPNAVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGQLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKRIGGENSEMEAVHPGNEAGMRWSTKMLKIVALPATCSPSPSQIVQPCSTGSIEIHGGQAEIELYKSQ >OGLUM06G10070.3 pep chromosome:ALNU02000000:6:8071545:8074524:-1 gene:OGLUM06G10070 transcript:OGLUM06G10070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAARRLAPALRRRRRRSLCSSSSAGSPAAAAPTAASLLAELLSDPAPSASALALLRDTPSLSAPLYSLLAAPSHELTRASLALLLALPARHRVPPPSAPLLSAILSKLLARFSSPEPAARFLAASLAAGAPAPDVFAFNSILAALARARDVPGMARIYALMQGSASVRPDVVTYGILVNGLCKAGRVGDALRVLDGMSRQDSDIRPDVVTLNTVVDGLCKSGRVQEALAFVEQRMSSVPGCPPNAVTYNCLIDAFCRVGNISMAYELVEKMENEGVPQNIVTLNTIVGGLCRAGRTGAALEFFREKRTVWPEGKGNAVTYSTLVGALLHTNNVGMAMELFHEKMSEGHSPDAIMYFTMISGLTQAGQLEDACSMASSMKEAGFKLDTKAYNILIAGFCRKKRLHEAYELLQEMKEVGLRPDVCTYNTLLSGSCKAGDFAAVDELLGKMIDDGCQPSVITFGTLVHGYCKVGKIDEALRILRSMDESGIHPNNVIYNTLIDFLCKRGDVDLAIELFDEMKEKSVPANVTTFNALLKGLRDKNMPEKAFELMDQMREERCFPDYVTVDVLMEWLPVIGETDRLKRFMQQGEHTASKRIVSDRTSAYSTICHHSVCKELQEKERRC >OGLUM06G10080.1 pep chromosome:ALNU02000000:6:8076921:8078083:1 gene:OGLUM06G10080 transcript:OGLUM06G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPPPPQQTESRPPPELRDDVLAEIFSRIPPDDPAILVRVSAVCKPWRRLLSGRIFLSRYHALHRAPPILGFFCEEKALTGPFSSFVRTTSFRPIIPDRGGGGGDGWLIPCDSRHGRALFITQPPLQLLVLDPITGMERPLAAVLCAVDGCGHHDCHGRAYRVALVGTDVAGGATHAAVYSSETYAWSDPTSIDHHPNARVQARRPSVLVGNARLYFLCDNNTSIVEFDMATMTLSVIPSPPLAGPGHEEGGGLGFAAILKQSRTLHQWSKEEATNQWKHLEHVRDLEQLLPYTVGVHLHDPFSRMSNLLIGFADGVIVVRTHDGVFTVELGSSRPPKKVSRRSAIVAAFPYLSFCTPGTSS >OGLUM06G10090.1 pep chromosome:ALNU02000000:6:8080160:8082060:1 gene:OGLUM06G10090 transcript:OGLUM06G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAINLDDTFDFETMYTAGDAGSLQAHNVANDEMQVFEANDEMQVFEGDDEMQLYNPK >OGLUM06G10100.1 pep chromosome:ALNU02000000:6:8084755:8085381:-1 gene:OGLUM06G10100 transcript:OGLUM06G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAAVRPSPPPQLSRPIGSGAGGGKACPAVPCEVARYHEHAVGAGQCCSTVVQAIAAPADAVWSVVRRFDRPQAYKKFIKSCRLVDGDGGEVGSVREVRVVSGLPATSSRERLEVLDDDRRVLSFRIVGGEHRLANYRSVTTVHEAAAPAMAVVVESYVVDVPPGNTWEETRVFVDTIVRCNLQSLARTVERLAPEAPRANGSIDHA >OGLUM06G10110.1 pep chromosome:ALNU02000000:6:8089406:8093208:-1 gene:OGLUM06G10110 transcript:OGLUM06G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTRETSLREFSMILEASFSPTMFLSRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >OGLUM06G10110.2 pep chromosome:ALNU02000000:6:8088330:8093208:-1 gene:OGLUM06G10110 transcript:OGLUM06G10110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDGDQPKVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >OGLUM06G10110.3 pep chromosome:ALNU02000000:6:8089965:8093208:-1 gene:OGLUM06G10110 transcript:OGLUM06G10110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTRETSLSQMVEDLPSRTASHPG >OGLUM06G10110.4 pep chromosome:ALNU02000000:6:8088330:8089288:-1 gene:OGLUM06G10110 transcript:OGLUM06G10110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEERRSTTRSRMVTVTRWLHCQAVAVERMSATRIFAPVCKPAPRSLPGCGDWFVDSYEVVAES >OGLUM06G10110.5 pep chromosome:ALNU02000000:6:8089406:8093208:-1 gene:OGLUM06G10110 transcript:OGLUM06G10110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASMEDTLQALNDLISQFLCLNRPLWSSSDDADAFLEAVDELTSTIHSLESMSADHILLESFDHLLERCSLRLGEELQHLIDASGFDTNCSYPNTRKSHDDDDDRHTLVAQPVSNFDIIVDALPEGVIFEANRIAKRMVAAGFGDSCAETYASSRLNFIDESIARLGVHAHMAEMFKSASWEELEIQIMCWIPAIRVVFHILIPSERHLCDSIFEGFTSYSDVAFVTACHPFLQLLSFGNFIAAAGKNPECLFRIVDMYDAVRDILPVLDDAFNPEVAALRECLGLSIKAILMALENLVRRDPSESCPLDGGVHPMTRYVMNYLVTACVSRHTLEEVMLLEFGSSDPSGNCPIEPDRPTSSLAIHLAWIVDVLTGNLVSKSKVYSHAPLSCVFLVNNGIYIIKKVNGCELKVLLGEDWIKVIHSKVNQWILEYRRATWGKAIMILEMDKRFCSNVNVITEKLSRFNNFVEAICQVQSRWVLVDKQQGVDFSILVEELVIPAYRDMAEMLKATGSAGESYMRLEDVRSRIQQLFKAMTREFSMILEASFSPTMFLSRRRYSLKRFTCFDIGRVLDKRDVWSSNPEPADAKFTPVMMSLLDRSFSRNGGENSLSCSFSLRRSGTSRPLENLPVGFLPGKFLP >OGLUM06G10120.1 pep chromosome:ALNU02000000:6:8089233:8090700:1 gene:OGLUM06G10120 transcript:OGLUM06G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRLRNIVGEKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMDIGEYQDSVLRIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACADEQNRDAQAYNSKLEKLLPALQGSLHGSKIVYLDAYQAFKEILDNPAKYGMLSPKFAMITGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTERVYRITTDYILKNAIPQFS >OGLUM06G10120.2 pep chromosome:ALNU02000000:6:8089233:8090720:1 gene:OGLUM06G10120 transcript:OGLUM06G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTILLLVVLLLSSTTTISTSKRTQPKFSAIFYFGDSVLDTGNNNYIPTLAVGNHAPYGRNFPGRKPTGRFSNGRLVPDLLNEKLQLKEFSPPFLEKDLSNNDIMTGVNFASAGSGFEDQTSRLSNTLPMSKQVNLFKEYLLRLRNIVGEKEASRIIENSLIFISSGTNDFTRYYRSLKRKKMDIGEYQDSVLRIAQASVKELFSLGGRQFCLAGLPPFGCTPIQITLSGDPDRACADEQNRDAQAYNSKLEKLLPALQGSLHGSKIVYLDAYQAFKEILDNPAKYGFIEITRGCCGTGLREVGLLCNALSPICRNESSFVFYDAVHPTERVYRITTDYILKNAIPQFS >OGLUM06G10130.1 pep chromosome:ALNU02000000:6:8093233:8096918:-1 gene:OGLUM06G10130 transcript:OGLUM06G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQI >OGLUM06G10130.2 pep chromosome:ALNU02000000:6:8093269:8096918:-1 gene:OGLUM06G10130 transcript:OGLUM06G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGEEKLLATVQHIVKTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVAAAGGAGAGEHSVPASPPMPPPPAVPPAVAAMPAVTSLERTVRTLDRQISQFVTMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDVAPPAPGGFASDESEEEDYDADDGYGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYAAARRGFIDESVARLGIRARTIDEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQVLQLISFGDAVSAASRAPERLFRVIDMYEAVRDLLPDLDPVFADPYSAALRAEVSAVCNTLGSSIKGIFMELENLIRRDPARVSVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGGAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGVLLGDEWMKQMMSRVRRWSLEYQRGAWAKVMSVLQTGGPGIGSLPAKALLQKLRMFNGYLEEICAIQSEWVIADEQLREDVRAAITDSVKSAYMGLISRLKSSPEAAQDLFIKHSPEDVEARIQHLFEGVSKIEVSGGKMLLGETVWSSQIFGLDCTILFHGLH >OGLUM06G10140.1 pep chromosome:ALNU02000000:6:8097808:8098476:1 gene:OGLUM06G10140 transcript:OGLUM06G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7M2] MASAAAPTPQPLLPVTNPAAAGGSAPSSGSALTDAPLATPAFRLFVSRFSDTARRSLADRRPWTELVDRSAISKPDSLSEATSRLRRNLAYFRVNYAAVVAFSLAASLLAHPFSLLVLLAILGGWCFLYVFRAADQPVVLFGRTFTDRETLLGLVVASVLAFFMTSVASLIISGLLVGGAIVAVHGAFRVPEDLFLDDPSVGSNGNTTSRLLSFLGAPGSGV >OGLUM06G10150.1 pep chromosome:ALNU02000000:6:8101341:8103522:-1 gene:OGLUM06G10150 transcript:OGLUM06G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSRLLFLLACSVLALLAGAEVHHHEFIVQETPVKRLCKTHNVITVNGQLPGPTLEVREGDTVVINVVNHAQYNVTIHWHGIRQFRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPAREVPLILGEWWDADPIQVIREAQRTGAAPNISDAYTINGQPGDLYNCSKEGRKSLTLVCVFYRPVCVRQCVANLQRHDNMHAETTAVPVKPGETALLRFINAALNQELFVSIAQHKMTVVGVDASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYLAARAYDSAQGVAFDNTTTTAVIEYDCGCATDFGPSIPPAFPVLPAFNDTNTATAFAAGIRSPHEVKIPGPVDENLFFTVGVGLFNCEPGQQCGGPNNTRFTASMNNISFVFPQTTSLLHAHYYGIPGVFTTDFPAYPPVQFDYTAQNVPRYLWQPVPATKLYKLKFGSVVQIVLQDTSIVSPENHPIHIHGYDFYILAEGFGNFDPKKDAKKFNYVDPPQRNTVAVPTNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGYGKLETLEAPPVDLPMC >OGLUM06G10160.1 pep chromosome:ALNU02000000:6:8106168:8119726:1 gene:OGLUM06G10160 transcript:OGLUM06G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADAESSAAVDAGEDHGDLALDSSSAASTDPLLHPPPSPSSTPSSPTAIADHDAFIEEDGEDDSAPHVPSASDEAAPEFVQITVSEPKKHVEPAAGAAGVIPGSGSYFSYLITTRAADGGLFRVRRRFRDVVALADRLAAAYRGLFVPARPDKSIVEGQVMQRHEFVNQRCAALQRYLGRLAAHPTIGRSAELHDFLTEPSGIPTSAGESPRSDPALSAAMSAAAVTAPTAPAKPGRDIFGMFKDLKQTVANGLVAVRPPPVEEETDAKFVMHKAKLEYFEQHLTTASQQVEALLKAYDDLKATTGQLGMTFIKLAKFEKEQDTCNSQRKRAVDMSNFANAVINMSRSQTKLNAKIEIHLGTIYEYLETMTSVRNAFTDRANALLRVQSLSGDLFLLHTQAAKLESVSSRGMGQERLRYQKIEELKETIRKTEDAKGNARQEYELIKENNMNEIIRFNKEKRHGLVEMLKGFVRNQLHGGVKQVQPFLFHSTCSFYSFPVKKFSWVISGIGLSELQNCAPRQRGSARGNGRLRVCFTLPQRPQAAPCSLSGLAPFPLAAGASAPRLRLRRMLPPAPTRNPGACRFIPLFPPKPLLSPAAAAASSRGGLCVAAASRRDFLLLVPSIAAASTVLQSLPLSASAADDEKQAASPAPGPAAAPAPTSAGEPEAEALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRVLELEVVRKLAARCAEAGRSISLALEAFPCNLQEQLNQFMDRRIDGNNLRLYTSHWAPERWQEYEPLLNYCRDNGVKLVACGTPLEVSRTVQAEGIRGLSKAQRKLYAPPAGSGFISGFTSISGRSLIDKISAIHGSPFGPSSYLSAQARVVDDYTMSQKIMKEITNGDPSGMLVVVTGSSHVIYGSRGIGVPARISKKMQKKKQVVVLLNPERQGIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLIAQYEKRKGRFLEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSLGDNGSGESLELLKGLLGSLPDNAFQKGIMGQSWNTNQRFASVLMGGIKLAGVGFISSIGAGVASDVLYAARRVLRPSTSVETARRRTPIWKSATVYSCFLGTSANLRYQVIAGLVEHRLGEYLMAYYNQPLLANLLSFVSRTINSYWGTQQWIDLARATGLQTSKKELPSPEISNLPDMPLLECGTTEVQNMDDSNKQQPMK >OGLUM06G10170.1 pep chromosome:ALNU02000000:6:8126919:8127437:1 gene:OGLUM06G10170 transcript:OGLUM06G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDVVRIVHLSGHVDEFSCPVTAGAVLAAHPNHTLTTTWSSAGVGCPTKKLVIVSPDSELKRGRIYFLIPSATLPADRRKKSRQSSNKKSKQPSHHHHKSNGAATAASTAEQDNYLRELLSEKTAASGGQRRRRSGSRVGVWRPQLESIVEEQSDY >OGLUM06G10180.1 pep chromosome:ALNU02000000:6:8129861:8137193:1 gene:OGLUM06G10180 transcript:OGLUM06G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYLRQCFLGKVVGDSCCRRHLGGEVSICTFSEVSLAKWWGIPAVDGISAVKCRSAISDWICVFDSVFVVEICFWLISLMPRVDKRKKAAKAVVISSDESHYDDSESSENFFDGVSKIAKKMKKKFISSSSDVFQKHKAKKAKGVVKSDGSFSRFSAKYFRRVVSSLSPHQMFVIEKDGFKNLLLFDSGGVPKKFAAWISSKVDLKTSEIILKDRVIPITVESFRDILGLPFGGLSFGKDLNLLSSYDWCKFVYDWCMNRIKKFQKSKNLGGCLYYWAVSYLDNVDFGERNVPIGFPRMSVWKEGMIKRYSDFDKIDDDTFGLRPPRVICSTSNSQPAAALDEALSFRLKLNSVIGEALSNYLKDMICTILKDHCQSIQVHNSQSLQDFVISLLKLLHDESVGSPIQSDENAENNQTYSATAMESDHAEVHNSTDHIDVGDDHVLDANAHNQFASGAVQNEQQTSPCCNHDVDSYVPLENDLPDNVPLAGNFDNAGDFNCASGYLPSMNTGNASTSVVDDGIAESFGQALVTPDVGYAKNFKNSSDERFSASAIATAAAVVKHVAIKFKSRLPQFNGSENVDRAVDMFKPSYKNLFPQDNVCDSVANKSFDMDKETDGNVTPSSSQAGISFHSVEDTPEELIQIKHNREGTARTPNSGIIKKRVFEDLVNSPDLIIVGESKFHDRCNNMTAQSDLIYNASILPTSTAHHEQLVVDPSFADAEKVQKSFEGAAKARRLDLCDMLFFPIHYQQHWFLFIVDVKDRMFVFLDSKHEEHSEFYENLKTFVVDNFQNLWNKFVGSSLDFSVFKTVFPLVPRQDYESDSGVFVMKFMEIWSPRILLPNEFSKQNINNIQVKYVNQIFFHAKNKMLHTEIEDAVLNWFNPEKFARQ >OGLUM06G10190.1 pep chromosome:ALNU02000000:6:8137534:8144108:-1 gene:OGLUM06G10190 transcript:OGLUM06G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIKGTETNQLHQSEEQINQMDLKGTSEVSKEQKISKISKGSTSAPESAKRKITTVAGQDKALIESYNKEIVDKHNTSNESDIVQGQGSIITRTPKAFQEQTTMESLNNGPEQNIEKGKDSRQHVEEIKNSK >OGLUM06G10200.1 pep chromosome:ALNU02000000:6:8146323:8148497:-1 gene:OGLUM06G10200 transcript:OGLUM06G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSSGSVETSANSRPGTFSFASASFTDLLGGNAGAGGGGVSRYKAMTPPSLPLSPPPVSPSSFFNSPIGMNQADFLGSPVLLTSSIFPSPTTGAFESQHFDWRPEVAAAQSADQGSKDEQRNSYSDFSFQTAPASEEAARTTTFQPPVPPAPLGDEAYRSQQQQQPWGYQQQPAGMDAGANAASFGAAPFQATSSEMAPQVQGGGGYSQPQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLEGQITEIVYKGTHNHAKPQNTRRNSGSSAAQVLQSGGDMSEHSFGGMSGTAATPENSSASFGDDEIGVGSPRAGNGGGDEFDDDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAALYRPAPPAAAATSSHPYLPNQPPPMSYQPTGPQPYALRPDGFGGQGPFGGVVGGSSFGAFSGFDDARGSYMSQHQQQQRQNDAMHASRAKEEPGDDMFFQNSLY >OGLUM06G10210.1 pep chromosome:ALNU02000000:6:8153589:8155821:-1 gene:OGLUM06G10210 transcript:OGLUM06G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSARVLSKRGGDVGEGGPQRRRIASRRHGELRVEARAREREKSRGGAEEEVDGHTCCKSTQAAGVHPGGTKRQAVAGTTVTPRPRARARMRGAPASALPEAMTPRRTPDRRGWQARAAQQGEASSRATLLRVGRPRRRSAVVVLLGRRRRRRRPLPLGQLRLRSAFFRERERERERERERRGEAKNMRVYCHVTCATE >OGLUM06G10220.1 pep chromosome:ALNU02000000:6:8159430:8159872:-1 gene:OGLUM06G10220 transcript:OGLUM06G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05365) TAIR;Acc:AT5G05365] MAVVELKVGMHCDRCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTPDEVVKALQKIGKTATNWGED >OGLUM06G10230.1 pep chromosome:ALNU02000000:6:8160918:8164367:1 gene:OGLUM06G10230 transcript:OGLUM06G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAVMLNTQKGATQSSRNMAVWTYMLPNPSRSMFQPNTQKVHSDERQHAAGSSPQSRRPPAHTGSTTTVLVPGSSASIMCCCCCLASSPEASSSVSLPRGLYTVYAPATTGATTPRTKKKLSANSDRDLLR >OGLUM06G10240.1 pep chromosome:ALNU02000000:6:8165193:8171880:1 gene:OGLUM06G10240 transcript:OGLUM06G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCTGAGAGEVEEPLLLEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLQELFGAQWWTARELVILVTAIVVLLPLVLRRRVVPINKDIIASSDCCTRTTRLAQLSATRPPVRTKLKTLSSFETSIAAAAAAAGDSGAAETSLLPERYAGGGTPAAAASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPTVALVVGVALLANAAVDFMLRYTRGPSSYAALMGDAFGRAGAALLNVFVAFNAIGTLTVYLIIIGDVMSGTTSDGKVHDGVLTEWFGQQWWTGREAVLVAAAVLLLPLVLRKRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSNTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGR >OGLUM06G10240.2 pep chromosome:ALNU02000000:6:8165193:8171880:1 gene:OGLUM06G10240 transcript:OGLUM06G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPASTGKCTGAGAGEVEEPLLLEFSGGGHGASVSGAVFNVSTSIIGAGIMSIPAAMRVLGVLPALLLIATVAALSDASVEFMLRYTGWDSGPPSYAGIMGDAFGRAGAKALNVCIAFTTTGTLVVYLIIIGDVLSGSAGAGDEHAGVLQELFGAQWWTARELVILVTAIVVLLPLVLRRRVDSLKFTSAVSILLAVVFMFISLGIAVYALFTGTAKMPRMLPDFSRLSSPFELFTAVPIVVVAFTFHFNVHPIRTELSNTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLHFSLRVNVDELLFPGRRPLATDTRRFVALTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVCISDAHGIAKTKDKALAATMIALAVITSSIAIASNVMSSISGKVEGGHAAGR >OGLUM06G10250.1 pep chromosome:ALNU02000000:6:8175911:8178918:-1 gene:OGLUM06G10250 transcript:OGLUM06G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMELSSSSSSTTVASSPASSPPLGRCVLRFRLPPAWTPEEDAVLECLAMEHGSRHWRRVAAQMPRRRSPAQCRDRWRDHLARDVFHRPFTAADDAELARLCLRLDDDAGFAAGRRWKDVSRAVYGRSSCAVKRRWRELRRSDAFLGALWRPRTTTTAPPANAAITTTRDRLT >OGLUM06G10260.1 pep chromosome:ALNU02000000:6:8178986:8179285:-1 gene:OGLUM06G10260 transcript:OGLUM06G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVNCRCSRVVYVGNIAFHASDAELRDACEHIGPIRSLRLAAADPATNKRKGYAFVEYADDETARSALRNLHGHLLRGRXSRTTNPSRTWPLQVRGYK >OGLUM06G10270.1 pep chromosome:ALNU02000000:6:8182842:8183528:1 gene:OGLUM06G10270 transcript:OGLUM06G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPQQPERRGNSLTDLNDDLLSEIFFRIPPGDPAALVRLSVVCKSWRRLITDRDFLRGYRAFHRAPPILGFFCDEVGLTTFVPTTAFRPIIPSANWLLCDSRHGRALFDAFGSPMRLLVSDPMTGAERLLDAPERWRNIDWTLRYPWTNIQWSAAVLCAVDGCDHLDCHGGGSFRVALVGTDAAGTTHAALYTSQTAAWSGPASIDHHPDSRVQARSPGVLVGNAL >OGLUM06G10280.1 pep chromosome:ALNU02000000:6:8183568:8195426:1 gene:OGLUM06G10280 transcript:OGLUM06G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKPMDEWEHLQDVRDLKTLLPRGSISMMNNLLIGFADGGVRVVVVRTYHGPYVVELGSTEPARVVSRRIGINVVFPYTSFCTPGTSSAFFLFSDIVLCICGVATIASIGCKSSLYLWSYAHYFSARRRRRNSSRPLTIVAAPALTSRGEGVLPLIVVVSRPTAAAPVIVPPHHYGEAKRERRAEAIRIGKRRAQPERRGNSLTDLNDDLLSEIFFRIPPGDPAALVRLSVVCKSWRRLITDRDFLRGYRAFHRAPPILGFFCDEVGLTTFVPTTAFRPIIPSANWLLCDSRHGRALFDAFGSPMRLLVSDPMTGAERLLDAPERWRNIDWTLRYPWTNIQWSAAVLCAVDGCDHLDCHGGGSFRVALVGTDAAGTTHAALYTSQTAAWSGPASIDHHPDSRVQARSPGVLVGNALYFLCDNNTSIVEFDMATMTLSVIPSPPLPEDVHGALLMTAEGGGLGFAAVLERSNLHLWSKPMDEREHLQDVRDLKTLLPWGSISMMNNLLIGFADGGVRVVVVRTYHGPYVVELGSTEPARVVSRRIGIKVSD >OGLUM06G10290.1 pep chromosome:ALNU02000000:6:8202995:8203522:1 gene:OGLUM06G10290 transcript:OGLUM06G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILHFGTGFGAVAGSAEATVGAEVEWAGNGPRLLWRAALAPLVGPVVLKVATIANGGVGCESSSYLRSYAHYFSAPRCRRNNSRPLTAVAASALTSHGEGVPPLVVVISCPIAAALVVVPPHRYGKAGRERRAEAIRMGKRRAVVRTSEARRLRDQDLNGAWPSSEAAGKAGV >OGLUM06G10300.1 pep chromosome:ALNU02000000:6:8206381:8213411:-1 gene:OGLUM06G10300 transcript:OGLUM06G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIGSKRRVEDDDGENMPGRKKEEEEEEEEDDDGEEEYEVDVVRDRIGSSRGSRLALFGSDLRLGRFRPRRRRRRRVAPVDGDDGIFQDFVIDPDNKWYRLWTRFILVWAVYSSFFTPLEFGFFRGLPRNLFFLDIAGQIAFLIDIVLRFFVAYRDPDTYRMVHNPTSIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRAMKVTEFFRSMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYSHFREIDLTKRYMTSLYFAIVTMATVGYGDIHAVNVREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKDIREQIKGHLRLQYESSYTEASVLQDIPVSIRAKISQTLYKPYIESIPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGEDGQEETILMLEPESSFGEIAVLCNIPQPFTVRVCELCRLLRLDKQSFTNILEIFFVDGRRILSNLSESSEYGSRIKQLESDITFHIGKQEAELTLRVNNAAFYGDMHQLKSLIRAGADPKNTDYDGRSPLHLAACKGFEDVVQFLLHEGVDIDLSDKFGNTPLLEAVKQGHDRVATLLFSKGAKLSLENAGSHLCTAVARGDTDFVRRALAYGGDPNARDYDHRAPLHIAAAEGLYLMAKLLVDAGASVFATDRWGTTPLDEGRRCGSRTMVQLLEAAKSGELSRFPERGEEVRDKMHPRRCSVFPHYPWDGGERRREGVVVWIPHTIEGLVSSAQEKLGLAGSGEGLRLLGEDGARVLDVDMVHDGQKLYLVGGGGGDDGGTEARQ >OGLUM06G10310.1 pep chromosome:ALNU02000000:6:8233840:8238642:1 gene:OGLUM06G10310 transcript:OGLUM06G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37530) TAIR;Acc:AT5G37530] MGERARQWLLVAGAGAAVGALSTAAVMRILSRSKRREGYVRSLLESNGVASGGAGSSVGTRVVATSDLLDDEVVSEQLTRNIQFFGMESQKKVTGSFVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIEAKVQLYDPSCEDEILSGQPDFVLDCIDNIDTKVALLAACVRRGLRVLSAMGAGARADPTRIRVADLRESSNDPLSRAVRYRLKKDHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAKLDFQTEPIVNLDLDHYRILHHRLLEHEELIYGSAEQVLVDAEEVMYIVKELWRGRSARDQNLKDTGRKMWRSVNELMLVRWDKSKPAGVSNLILLKFNEADAHESTTLDQIKEEEPEFYNMVSRVLKQAEAEFAL >OGLUM06G10320.1 pep chromosome:ALNU02000000:6:8243440:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWARDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPVAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPIISLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVGSKLHVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIDGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASTAAAAAEKEQQKKAAARLNVDSGVFAGGPPAPVGAQRLHKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPGP >OGLUM06G10320.2 pep chromosome:ALNU02000000:6:8243440:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWARDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPVAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPIISLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIDGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASTAAAAAEKEQQKKAAARLNVDSGVFAGGPPAPVGAQRLHKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPGP >OGLUM06G10320.3 pep chromosome:ALNU02000000:6:8243440:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWARDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPVAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPIISLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVGSKLHVNSSQARNQILFTLLTS >OGLUM06G10320.4 pep chromosome:ALNU02000000:6:8243440:8252065:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWARDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPVAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVPEAFNVFDAQMTRQRIEGMTAPMSCKEGVTVIYSKRGGDTAASNHSEWLPTVPLMPDAINFKLVPIISLLKGVAGVGFLSHAINLYLRYKPPVAELRYFLDFQHHRLWAPVLSDLPLGLCSNRQGTNPALHFSLVGSKLHVNSSQARNQILFTLLTS >OGLUM06G10320.5 pep chromosome:ALNU02000000:6:8243440:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSMEEMEIAGGGMAAAAVQRAVRCLGRGVDMAGDLRLKHCKDEGGCLVARSGEKAAAVAVPGVGVVAGVPADVKFGKGDRIRFKSDVLEFNKMSELFNHRSSLPGKIPSGLFNSCFDFGSDSWARDAGDTRCLAFDGYFISLLDLRLDCRPLALAGHVVADVPVAWDPSAIASFIEKYGTHIIVGLSMGGQDVVYVKQDKSSPLSPSVIKEHLDKLGDQLFTGTCTLPPSHCKSRDHKFKVKKKNNNCTLKHVKTETEFSYLMISIVDLQ >OGLUM06G10320.6 pep chromosome:ALNU02000000:6:8246609:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIDGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASTAAAAAEKEQQKKAAARLNVDSGVFAGGPPAPVGAQRLHKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPGP >OGLUM06G10320.7 pep chromosome:ALNU02000000:6:8246609:8250620:1 gene:OGLUM06G10320 transcript:OGLUM06G10320.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIVPKLPITGMRLHLEGKKNNRLGIHLQHLSTTPTFIDGGWSGRPPAWRGSEAIADERYYEPVQRRMFAHVCTVPVKHDPRWLAAGDGGGGRPAAYVVSGAQLHVKAHESTSVLHLRLLYTELPGHSVVQSRWAHGGGGGGAARMSGVKGSFLSMSFASTAAAAAEKEQQKKAAARLNVDSGVFAGGPPAPVGAQRLHKFVETSQVTMGPQDCPGYWLVTGAKLDVDKGRISLHVKFSLLAPGP >OGLUM06G10330.1 pep chromosome:ALNU02000000:6:8250841:8251899:-1 gene:OGLUM06G10330 transcript:OGLUM06G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKNLTNTISAVHLLKINGYSVTRALGCSEYISSRRLAAGGYDWEVLYYPRYYEHGVYWIALRLMFMSKECKHEVKAALKCQLVHEAQIYLPSGSKSVSSKYTGQRDCGPALLLVKQDDLPGSNYFIGDSFVVECTITVLREPQEAVTNVSPNVSNPCCDLQMHLGELLLSEKGADVTFVVAGKSFLAHKIILAARSPVFMAEFFGPMKESSSQCVEIKDMEASVFKAMLHFIYTGTSPELDQQHVVSDSEQDITTMTQHLLVAADRYGLDRLKLICQDRLHDDINVETVATTLAFAEQHSCTQLKDRCIEFIISSRANLDAVMATEGYKLVIASCPSVLSTLLRAAVGR >OGLUM06G10340.1 pep chromosome:ALNU02000000:6:8257641:8262388:-1 gene:OGLUM06G10340 transcript:OGLUM06G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGVEEGNAVAPRGPARRRGTVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQTEIRALRLSERAREKAVEELTDELEKMFEKLKLTESLLDSKNLEIKKINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKQKEAALLDAERTVEIAMAKAAMVDDLQNKNQELMKQIEICHEENKILDKLQRQKVAEVKKLSLTVKELEEAVLRGGATANVVRDYQRQVQEVNDQKKTLECELARAKVTANRVAVVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSTRLPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLARRSPSFHSRSSLSSSSSLVLKHAKGTSKSFDGGTRSLDRSKINGNGAHLLNRSTDAVRDCETNDSWKGNADEGTIENTNSNTDESNKETANNKSAEMKEVISLRKACHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVDKEQEVKARRLGSSKGTGSSQVLSGSRSSSRSGLTRNYQ >OGLUM06G10350.1 pep chromosome:ALNU02000000:6:8262508:8275008:-1 gene:OGLUM06G10350 transcript:OGLUM06G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHPDMWGPRGTLTQLPQKLHAFACLGLPVSQHQTAPKNRKKNKRKRREGDIIPQKKEKPADPVIIAL >OGLUM06G10360.1 pep chromosome:ALNU02000000:6:8275246:8276532:-1 gene:OGLUM06G10360 transcript:OGLUM06G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASPPLLASFFLSPPHPTSAVAAACCSRRNTSCAHPPSPGGLEAAVAEVKAAPDPVPALISLQWFPCSIRRGGPPAIDYIDRRCFLQSISNVSSISMDRVIEASRGRQAANANAMLSRVDLLCEIFRSENLCVLVLICLHCFLNCLTVRRGWSEYVQQPVLPANLANVLVCFNVALAPGALMTTFLIHQGEMTRMVTIADKARLVNGVCGVGRREAVGDWRVQRLVGGGAHRQVPATAVVRSEPERDGRRRLPHILPCRAPRRLVDDRQ >OGLUM06G10370.1 pep chromosome:ALNU02000000:6:8284997:8285608:1 gene:OGLUM06G10370 transcript:OGLUM06G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPIKKQQQLLPAAVVAPPPPPPPAKTTLKTLLDAFADMEEEEEEKRQRLPPGAVAVSRTSSSSVASSVRRPKKPTTLLDAYEVDCIRRELEGLILRHNAAAAAKKEAAEAESDDAKSEYRRRHHHHHHKTTSAAKNANPAAAPPRSPAKKAPSGGAGVRMLGRHAVAVCGVSVPVPVSVAGAAGGRRRRRGGGHRREVEKV >OGLUM06G10380.1 pep chromosome:ALNU02000000:6:8292446:8295316:-1 gene:OGLUM06G10380 transcript:OGLUM06G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X-like 1 [Source:Projected from Arabidopsis thaliana (AT1G10170) TAIR;Acc:AT1G10170] MVRRSAPVWSCGSCFSIFHLPCIRKWARSPASAADASDPDSSWRCPGCQSVHAVPARELAYTCFCGRRREPPNDLFLTPHSCGEPCSKPLEKADPAVKADDAAATRCPHVCVLQCHPGPCPPCKAFAPDRLCPCGKQTIVRRCADRTTPVTCGQRCDRLLPCRRHRCEKVCHTGPCGDCNVLISARCFCGKKTETLLCGEMELKGNLSEKDGVFSCSEACSHMLSCGNHACQDICHPGPCGECELMPGKVTACHCGKTRLLEKRASCLDPIPTCDKVCDKKLPCGVHRCKVTCHEGDCPPCVVRVEQRCRCGSSGQMVECYKVLEEEFRCNKPCGRKKNCGRHRCSECCCPLSKPLARLEGGNWDPHLCQIPCGKKLRCGQHGCQLLCHSGHCPPCLETIFNDLTCACGRTSIPPPLPCGTPTPSCPHQCLVSQPCGHPATHQCHFGDCPPCVVPVMRECIGGHVVLRNIPCGSKDIRCNQPCGKNRQCGMHACNRSCHPSPCDPPPANGDASSSTGGRASCGQVCGAPRRECKHTCTAPCHPSSPCPDLRCEFPMTIACSCGRITATVPCGAGGTANGDNMFEVSIIQKLPMPLQPVESDGRRVPLGQRKLSCDEDCAKMERKRVLAEAFDITPPNLDALHFGENSNASDLLSDLFRREPKWVMAIEERCKFLVLGKTRGNSSGNLKVHVFCHMTKDKRDAIRVIADRWKLSVQAAGWEPKRFITIHPTPKSKAPARILGSKPGVSVAASHPFFDPLVDMDPRLVVAMLDLPRDADVSALVLRFGGECELVWLNDKNAVAVFNDPARAATALRRLDYGSAYQGAAVFLPSSSAQPGNVWVAGQKDGVVATKSSANPWKKATASEPDPSSGDWTGVLGQAPGSVWRRGGDTVAQVMGTSNRWNALESDAATSSRPVEESKPAPRTDAVSSAGPSTAPPVSKMQPEVEVDDWEEACE >OGLUM06G10390.1 pep chromosome:ALNU02000000:6:8298382:8299950:-1 gene:OGLUM06G10390 transcript:OGLUM06G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCKNGSYLCNVISTTTGHPVFYLASPCGRLETGSKWFEIYVSGVIVAVFYCFLKQLAEFSDTDHQTVRDQDARNNETEPILPRKRVVFSYGATEEQPESSMCSSEDMCSENVCKICYDAPRSCFFIPCGHGFACFTCARRIAEDKNQACPICRRLIHRVRRLVEPLGSSCGLKDASD >OGLUM06G10400.1 pep chromosome:ALNU02000000:6:8302077:8302859:-1 gene:OGLUM06G10400 transcript:OGLUM06G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTEMRHSQCRLSIQSFKALTILDHEHFKPVNSRFRIQSGMIRLGNTSIDQSFWTGRAQTLRHQERRVVVGEELGRRSTRRSSARRRQVNVASGAAFDRVAAAWRRSDGEASGVATRGGASAGGSRAVVVGEGDERVLVPEAPPAPGNEAGPGGGGGGGEAEEDEEEDVVGERAEAVLPSTADHRVVVVATGGGGELHALGGGDLAVADLRARGPGDEHVAVDVDVVHGGGRRRARWRRRVSEREEWRHGRRARSEAVI >OGLUM06G10410.1 pep chromosome:ALNU02000000:6:8305581:8315135:1 gene:OGLUM06G10410 transcript:OGLUM06G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGISSATVGPVPFDDVDGELLSSERLAPEGLDHLADMVSSLPSKMEVNLPLKLRLYHGFWLAEIHVPAAVALRRRFVPRPDDVIVASLPKCGTTWLIALTFATMARHVHRPPAPASASSHPLHRLNPHQCLSFLEGLFTSGEEAKLDTLPSPRLMNTHMPLAMLPSPTLATTSANSSGNRGGCKVIYICWEPKDMIVSMWHYTRHLMPAVSFVETVESYCDNHSAKIYGPFWDHILGYWHASNEMPDHVLFLRYEELLRDPAGNVRKLARFIGLPFSEAEEEAGIVEAIVELCSLDRMRGFEANRTGYVDAQRKIPRETLFRKGVVGDWVNHMTPEMACRIWRSQGNASKQEFGISLERIYIWRFEGFKGDLSLGWGQWYGIEFNAVGPVPFKDIDVDGDQQATLFPEQPPKELADLADMVSSLPTKMDVCPPVRIFSYQRVWLTENWVAAAIALQRRFVHRPDDVIVASLPKCGTTWLNALAFATMARRAHPPTTARHPLRRLNPHQCLPFLEGLFMSRGEAVLDALPSPWLMNTHMPHVAERRHHRIRPPPRLQNDPVPDMTFAQVFESFCNGARLYGPFWDHILGYWHASAARRDNVLFLRYEDLLRDPAGNVRKLARFVGLPFSKAEEEAGVVDSIVELCSLNNMRNIEANKTGYMDPRLKIPRDALFRKGIIGDWANYMTPDMARRLDDIVADKLGSAGLTF >OGLUM06G10420.1 pep chromosome:ALNU02000000:6:8339113:8339437:1 gene:OGLUM06G10420 transcript:OGLUM06G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFEVNKTGYVVVAQRKISREISPLLEGHDRVTGQTT >OGLUM06G10430.1 pep chromosome:ALNU02000000:6:8344356:8344796:-1 gene:OGLUM06G10430 transcript:OGLUM06G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37670) TAIR;Acc:AT5G37670] MADLFFGGPFRRLLYGRPFPPDWASASATAAMDWVETPTSHVLRINVPGLGKDDVKVQVEDGNVLTVRGAAPPAAAEKEREREKEVVWHVAERGRPEFAREVALPAEARVEQIRASVDNGVLTVVVPKEPAPARPRPRPIAVSSKL >OGLUM06G10440.1 pep chromosome:ALNU02000000:6:8355360:8357615:-1 gene:OGLUM06G10440 transcript:OGLUM06G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPNSIVSISFLVFLLFHVLIFSHEAATAAAAVGGDSDHFRYDGFAGAPLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAPLSFVAPPPKPNATATASAAAVRSFSTTFVFAIAAEYVTVSGNGLAFFVAPSKNLSAALPSQFLGLFNSENNGNASNRVFAVELDTIRNPEFGDINGNHVGVDVNGLASVASMPAGYYADDTGEFENLTLFSGAAMQVWVDYDGAAAAIDVTLAPVEVPRPRRPLLSVAVDLSPVVAAADAAAYVGLSSSTGPHKTRHYVLGWSFAMDGPAPPLDYAKLPKLPRASTKRRSMVLKVLVPVAAPLLALTVVVAVASVLLWRRRRRRHAEVREDWEVEFGPHRFAYKDLVRATRGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKVVSLSHDAEQGMRQFVAEVASVGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHGQSAPPLGWAQRVRAIRGVAAGLLYLHEGWEQVVVHRDVKASNVLLDGEMDARLGDFGLARLYGRGAADPRTTRVVGTLGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGGAGDDDDDGDGEFVLADWVLDRWHKGDIAGAADARLRGDYDRKEAALVLKLGLLCTHPAPAARPPMRQVVQVLDGDAPLPELSPTYRSFTTLAIVQNADGDDSGAVSCPSSSTVTTTSVDDGAFSVHSGDRMEKMETCSLILPPFHSHSSISDIHIDINECRHIYH >OGLUM06G10450.1 pep chromosome:ALNU02000000:6:8368110:8371374:-1 gene:OGLUM06G10450 transcript:OGLUM06G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLAAAAAAAALLALCACATRARGADDYTAFVYAGCSQARYDAGTQYAADVDTALSALTNSAGYTAYANYTSPSAASSTGLVGVYQCRSDLPAAICGGCVRSAATKLASLCNSAAGAAVQLRACFVRYGNDSFLGRQDTTVLFKKCGGEGGGDTGVIAMRDAALGALVAAAAPAGDGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGTGDNNGGGVSGGGGSIGGGGNGINGGGGAVGGGNGYAYGFVPHTYSDHDESGKTLAIIIGLVAAVALVIVFLSFVRRAGGVGGKS >OGLUM06G10460.1 pep chromosome:ALNU02000000:6:8381230:8381436:1 gene:OGLUM06G10460 transcript:OGLUM06G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPRGSLRRRRGSAARVASPSGGSSSPHGEELAATATERDNLATSVKVQERVLALSRPRPTPHHQQ >OGLUM06G10470.1 pep chromosome:ALNU02000000:6:8383357:8385132:1 gene:OGLUM06G10470 transcript:OGLUM06G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSELLRPAFGEASPSLGRFVINPHSCSYRWWHMFLIMLVLYSAWASPFELSMEKAASIALVVTDLVVDVFFAIDIALSFFVAYHDTSTGLLITDCRKITMRYLKRPCFALDVASTIPLQIIYQLVTGKRQGLWGLLNLLRLWRLRRVSKLFARVEKDIRFNYLWTRLIKLLCVTLFALHFAACIYLWMAFNYKIKELTWIGSQIHSFEDRSVWFCYTCAVYWSITTLATVGYGDLHATNIGEMLFSIAFMLFNMGLTSYIIGNITNLVVRETSNTFKMRDMVQRVSEFVSMNRLPEAMREQMLASVQLRFRTDEQLQQEMLSELPKAVRSGVMKHLFKSAVESCYLFQGVSDSLIVQLVAEMKAEFFPPKANVILENETSTDCYIIISGEVEALTTLADGTEKHVKRIGPQGMAGEIGVMFSIPQPFTIRSRRLTQVVRISHIHLLQAVQPNTADGYIVFSNFIQYLESLKVQTKDVAFVSDHLWNGNSMVLERATEVAVDESKEAAHKMLPCKEPKRVVIHEQLPNATSTALHPSPGKLVLLPDSMQELMKLSEKKFGKAVRGILTVEGAEVEDIEVIRDGDHLFFS >OGLUM06G10480.1 pep chromosome:ALNU02000000:6:8384058:8384363:-1 gene:OGLUM06G10480 transcript:OGLUM06G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPDRTALGSSDNISCCNCSSVLNLSCTLANICSLIASGSRFMLTNSDTRCTMSLILKVLEVSRTTRSP >OGLUM06G10490.1 pep chromosome:ALNU02000000:6:8384401:8392222:-1 gene:OGLUM06G10490 transcript:OGLUM06G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSSAAAGNLVLALLFLWVLGWRHVTAEIDIGNMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFAAPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTDNISLGGKEFCFHLRDQLHNEAIGDSLE >OGLUM06G10490.2 pep chromosome:ALNU02000000:6:8384401:8392222:-1 gene:OGLUM06G10490 transcript:OGLUM06G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRPSSAAAGNLVLALLFLWVLGWRHVTAEIDIGNMTALQKHVSFFDRNKDGIITPSETIEGIVAIGCDYAFARDFAAPVHAGLGPKTSPKDAPLPHLSIYIKNIYKGMHGSDTGALDAKGRFVPAKFEEIFSKHAKNRPDALTSLEVKEMILANRDPDDPQSWAAPIQEWGLIYGLASDKNGYFHKDSVRGIYDGSVFVKLEEERASSQSTVCRSLSFRSTRLKVLNKIGEHDVPICGVRLDSLEQMDVAYADNLGEPPAPDGEWLRNAEHHPDFPCHALRSYSLHMLLCPICKGCQCLHFAGDDDITVRRGLILQDNISLGGKEFCFHLRDQLHNEAIGDSLE >OGLUM06G10500.1 pep chromosome:ALNU02000000:6:8423901:8436874:-1 gene:OGLUM06G10500 transcript:OGLUM06G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVVAAFPLGRCLCELSCPLYWISLGENYVLAPQGGAILRDDEGSTTIVGSCWQSGGHVTAEIDIANMTALQKHVSFFDRNKDGVITPSETIEGVVAIGCDFAFARDLAASVHAGLGPKTSPKDAPLPHLSIYINNIYRGMHRSDTGALDAKGRAAAIKEWGLIYGLASDNNGYFHKDSVRGIYDGSVFVKLEKERESSQSTVCRSSV >OGLUM06G10510.1 pep chromosome:ALNU02000000:6:8436890:8437296:-1 gene:OGLUM06G10510 transcript:OGLUM06G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRMGVLRRQPVTGFDLDASLLVVGPCSHKMATLGRLVRGRGDPFFSLTLSLSNLIACVDGFGARRISSSCLMVGRWRGAGVVAAYQRQPQHRRVERLRAKT >OGLUM06G10520.1 pep chromosome:ALNU02000000:6:8439260:8441713:-1 gene:OGLUM06G10520 transcript:OGLUM06G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSSSSSSAYYGGANKSVAFLVATVVTVPLCALLLGGGGVAVVRDNNNNAGGGEAEVYRSELTPLQKHVAFFDRNKDGIIYPSETYQGFRAIGAGVVLSAVGAVFINGGLGPKTIPENTKTGLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTDKELKELLQSNREPKDFKGWLGGFTEWKVLYYLCKDKDGFLHKDTIRAVYDGSLFAKMEQEKQSAKKK >OGLUM06G10530.1 pep chromosome:ALNU02000000:6:8473640:8485957:-1 gene:OGLUM06G10530 transcript:OGLUM06G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRIALVKAFDETRTGVRGLVESGVSAVPDIFRHPDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAARDWGFFYLVNHHALVPSGFTAGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHATAVARAVMALLCEGLSLRGETLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMKSHEEARVSSAIFYNPGKRSDSVFYGPLPDLISSGNPPNYNFSGSTQKTGLFERGVLILGEAAAELPQTGP >OGLUM06G10530.2 pep chromosome:ALNU02000000:6:8482890:8485957:-1 gene:OGLUM06G10530 transcript:OGLUM06G10530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAAAAATDRIALVKAFDETRTGVRGLVESGVSAVPDIFRHPDPYASVPLAPPGVSIPVVDLSLPAPLAAEAAAGAARDWGFFYLVNHHALVPSGFTAGLLAAVRAFNELPAAERAAHYGRSVDGGVSYSSNVDLYRSGAASWRDTIQVVLGPSRPDAERIPAACRAEVVGWDAHATAVARAVMALLCEGLSLRGETLEEASCLEGKLMVCHYYPVCPEPERTMGIVPHTDPGVLTVLAQDGVGGLQVKHTNEDGESYWVDAKPVPGALVINVGDLLQIMSNDKYKSVEHRVVMKSHEEARVSSAIFYNPGKRSDSVFYGPLPDLISSGNPPKYRNFTMSEFLGAFFKRDLASKALIEHFKI >OGLUM06G10540.1 pep chromosome:ALNU02000000:6:8487532:8511754:1 gene:OGLUM06G10540 transcript:OGLUM06G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQQVELEAAKLLQKLIQESKDEPAKLATKLYVICQHMKLSGKEQSLPYQVISRAMETVVSQHGIDMDALRSSRIPLAGGPQAGDSSGAMPKDKEIIGSQPPMVGTDASQSSAHAGLWNFPSGSADMARHSASISGRVPAGPNRSDVAGADIHQGSMSQKSGRSSGMESPASLQIEDTRSMNSHDSLKSDEKTSKKSSSKRKRVDPKAAGDLHSEDNSKSDAMSTGQNIRKGKQPGKAGTQGQLSRTVEHDPSHTLQVGNAQVPPLPSGAPFFRAHQEGPSASSARTIDKTKPSNPFTMAQISNFAEGLASGNIPAELQKSILGGANLLNASFGWNQNAQGPVMKNTQGSVPNLMRPGVNVEGKVNLGSQGTFNSMSASQMDYPTVPPYVSSSFGGGPQYLDKGKDLTSGNTGSELNSSKAGAQLGIMHMHFDTQMRYNFQGSPMQERHGIVRAPQRAGSSQMSQTSPSIPFKEQQLKQLRAQCLVFLAFRNNLQPRKVHLEIALGVGPPASEGGSAGQRGSESRMADGSGKENGNSQENPAIFGRQSDISRLQSTSTGSVADVDSASKDPEIVKKKIKIAEHEKSFEAENIQQTVPIQGTDSEMHSQETISPMPSGQLHYFQGDTRKTTPEIYKADAENLNRNLGWVGGQGPSPLGGNRHTSMEVGLLAKDEVSKEPFAVLRPHHMPVDGSNHNLSGKDQTPETAGNEIDNGSHMGEMIFERSADEGDEDLSEQDDLPLSPPKYTMTDKWILDHQKRRYEENKRKALELQKAHRRISASYEKLKENVSSSEDLSAKTKSVIELKKLQLLQLQRRVRSEFLQDFFKPNTTDLDRIKSVKKHRHGRRVKQLEKIEQKMKEERQKRIRERQKEFFADIEAHREKLEDSFKVKRERLKGFNRYVKEFHKRKERIHREKLDRIQREKINLLKNNDVEGYLRMVQDAKSDRVKQLLRETEKYLQKLGAKLQGSKSMDGRVSYASDSTANDIEDESYQPQHYLESNEKYYQLAHRYQMNGLRWLVSLYNNNLNGILADEMGLGKTVQVISLLCYLMETKNDRGPFLVVVPSSVLPGWESELNFWAPSINKIAYAGPPEERRKLFKEMIVHQKFNVLLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYRSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDSSTEEVENELPEKIERLVRCWPSAYQKLLIKRVEENLGGIGAVKIEGYLPRHYLPSILRLCGKLEMLDRLLPKLKATGHRVLLFSTMTRLLDVMEDYLVWKKYKYLRLDGHTSGQERGALIDKFNNPNSQAFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKEVLVLRLETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRGGKKEEAAPVLDDDALNDLLARSEDEIDIFESIDKQRREEEMATWLTVVQNSSTSGLDPSVMPSRLVTDDDLKSFCHAMKIYESSNIKSVKVVRRKGELGGLDTQHYGRGKRAREVRSYEDQWTEEEFEKLCQVDSPDSPQPGGISRDADVPKVVMLEVPPESSKEPEQAKKEPASSVGDSPPAKRRRGRPRRSDASLSPVTAPPNTGKQEAGTIIDGSSSAPTTTIHSVAPDVTIDSTALSATGNPDVGTEIKGTTPDGTIKPVICTDNKGTASIAVLEGSNAKEVGIPAHSVHEPVSSSAPHPPTPATSRGRKTQAGETPRRRGRKPKSLAASAGDVILSPVVAVGSGEAYASSVVSSYPQGNVSSSHANAMAGLQKDTIISKPAALLPEGVKGTPTPSGGDKDEMVKTPLAGDIYAGTVTTSGNANSQLPMIAHNENAGLVQGGTDQNLSVATPTIPVVSEGSTKISEVVVVDKPAEKQGARRRRKKTSGTGSEDTGVSTRQRAASRRLYGTGTADIAGTDMSTGEKIGIVKEIDGSCQDTSKGLPNIISPSYEKSGYDSQPSTPIAVPINEATLPSGFSEAHATHSEIHPARESISSVGHEKLAGAHLEAPPSVSFQAPVQHETGKDYVGVHSEVATTHPETITTHSSVNPVIDHKLANVQFESHASLHTSGKDITTMPSEVDSGAPSKAPGRRRKGSAREPRTRSNSATAASERRARLAGSKQPDDIKMAEMSGNPSTAVCSSTQQQEDDTLKAAHATGSVGEEQNNAENRVREVSMPAGILEAKLELTKQTDQAGHNSEQEQEMVSAAKSASANDEEHKVHEVHQIIADHNALPSSAQYTLQDKIDSSADAGLVPCDKIASVVIAVDDQDPSNASDKDAPASTEDDENGLQSECVHVDLVLAKQDNTEVEDTQESNQPAEQGESLEMTGSKFRSETRLEKTEETVDKSGGDNLPTIEKNDDSPIERSSPSADKIAQVAYGGEAGTETTTVEAVSAMNSDGLQDVRNALSTHGLSTNDITVASEEHRDPESHLSGEVSMSCGSSELKLESLNQSESACQSGEVTLEDTHATLDIQIPALIESEEKKSPGGDVHGSEEQHMHEVLHNTVDGSISPSNREQDKLQVHIDTNTDVDIPSSDKDHSTDIVLAGCQAPCDASGKDKLSTCDASGKDMAAPTDDDLNCLQSEDTVIPVADAKDETMLVKAIQNDEMSMGSSHGLPATIQSTDSDRLAEEGESAEITGSKFSCGMEQEKMEEPLDKSVTDNQTSSQINDGSNNMDSQKVDSSLQAADGGDLLVSRGTSVETTTAINTDASDESISVSTQSVKEASTVEIGASTNDIAPACELRKDFESHVSGCVSKPVGLSELRLEELSQTKSVSQSIVANAEETSTRSDIETPAMDASESKSPESDVHELSERVEFMGPTPSANEKSNELHSQELVKMISAAETASTEGHREKDTCEVDHKIDCTIFSPIGDQDTRDRIDGDTDCGVPSCQRNAAFDSENEVSAEINLTGSQAPCDAPNKATPAPTEDDHNGQESEDTVIGAEQVTVEVEAMQIDGISKSYSSDSHATLQSSDSNQLVNSDSKFESSKKHDKTDETSNESRGDNPTHSCTNDDSHDKNLVGCSPSEDLNEDNSAQLADGDDLVGSKDTTAAHASGLKELTSGNYVASSSSHVVQDVTSISKMESVQVGSEEIYHGYSDETIHSARIKPVVGTEITENASVASAPVITIQPDIETEAGVTASLTVLEGSIAEEVDTQVESGHDLVTSTAPLSPAPLPGESHACTDVSCPVAVEVSETKLESANHTASQLGAACTETPNALLNTLIPALPESEETKLSGSDTDGKTIMAELASSSDEHDKVHEVGNETGDDNKLPSSVAEDAVQGEIGGSADMDSQIIAGSSEAEINKSTVATIADSQIPFDPSDTLAEDGNGLQREGTTVDVTGSKEDDMEAEEKQMDDSHLPAALQSTESSQPTEHAAPTQDDGNGLQCEGTTVDVSGSKEDIMEVEEKLIDDISGSPSSHLPVALKSTESNQPAEHAVPAEDDGDGLQSEGTAVDVVDSKKDDMEVEEKQIDISRGSSSFLPGALESAELNQPAEHTAPTEDHGNCLQSEGTAVDVPCSKEDNMEVEDQFDGISRGPSSFSPDTLESAELNQAAEHAAPTEDDGNGPQSDGTSVDVAGSKEDNIEVEEKIDDISRGSSSHLPDVLQSTPNQRAEQECLDNSDDVNTSVVSSHAPLSGPKFTCVKELEKADETLETSDAQVADEVCLQTNDGAHNMASGSCSTLEDKNEDSSAQIADCEDLLLRKGTTVDDLDGCVEGHSGLSTHSNDEVRNLVEIVKGMNDTTAGSEVHVDPESHVSDEVSMPVAPSELKVELKNQSEPACQFGAVIVEESNVSLGIQTPALAESEEMTSGGFMHDDHDMHEVDKEIVHCTISSPIGDQENLQGNIDGKMDVGLAACQTESDFVSGNDHSRETDLAGSQAPYDASDKEDTAADLIGPKQATLEIEKMQIDGIPEGPSSVPAVLQLTDSNQPAEQERLENSDSKFASTKDQGRVDGTSNLSGGDNAKCSLTNDDSQTVNLVGYSPSEDSNDDDSVQAADSDGVLGNKEGTDDVISAACTDDVSMLKTESIDRHGSDEVDHSTAPSAAIKQESGTEVTCDASVPVSESSISKEIGTSPECDDDQVATAAPHPPTPLSDATDVSADVQIPAGISEAKLEQPNETTSPSGAATEENNTVVSTQIPTLAESEDRTPADTAIQGTEVDSAEPASVSDDENKVTAADDSALPSTGPEDTADDKIDSSADASEK >OGLUM06G10550.1 pep chromosome:ALNU02000000:6:8513554:8514060:-1 gene:OGLUM06G10550 transcript:OGLUM06G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVMVARQGRELQRYSDNTGGRMVVGCIPYRVRGDGGGVEVLVISSQKKGAAAGDVVMFPKGGWELDESVDEAARREALEEAGVLGEIGASLGRWCYRSRRYDATYEGFVFPLRVTDELDRWPEMAARRRSWVSPQQAMDRCPHWWMREALQRFADLFPQPTPLSLL >OGLUM06G10560.1 pep chromosome:ALNU02000000:6:8552662:8559864:1 gene:OGLUM06G10560 transcript:OGLUM06G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQENRTHHPGRFDGGTNLGKRKRKNHQNQATVDSNLDLQQNDVPSQSYRTMIEEEKPVKESEGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRMLQ >OGLUM06G10560.2 pep chromosome:ALNU02000000:6:8552662:8559864:1 gene:OGLUM06G10560 transcript:OGLUM06G10560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCPQSKNIETRAEWSSIAYKKKEISRLVLVLRSLRRRRDEGRAGRRSPSGEQSSGGEGILASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQENRTHHPGRFDGGTNLGKRKRKNHQNQATVDSNLDLQQNDVPSQSYRTMIEEEKPVKESEGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRMLQ >OGLUM06G10560.3 pep chromosome:ALNU02000000:6:8554696:8559864:1 gene:OGLUM06G10560 transcript:OGLUM06G10560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRRQRKASSEANANVFMPGGPNDISFPASNRDHDWGYGGVGKEWEASYARKLQLMNFLSSLHQRTANPLVTTRMDANMDTPLEQKQKDSSAIIVLDSDDEDGYTEGCEQLTSENNKQQAPSGLTSPYTTWIVSSAKDQVNGTLHVDGVQSTQIVPYYGQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVAASHAEKIAETQENRTHHPGRFDGGTNLGKRKRKNHQNQATVDSNLDLQQNDVPSQSYRTMIEEEKPVKESEGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADEIDTGAVKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRMLQ >OGLUM06G10560.4 pep chromosome:ALNU02000000:6:8552662:8553962:1 gene:OGLUM06G10560 transcript:OGLUM06G10560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRNCPQSKNIETRAEWSSIAYKKKEISRLVLVLRSLRRRRDEGRAGRRSPSGEQSSGGEGILEQQPGS >OGLUM06G10580.1 pep chromosome:ALNU02000000:6:8577216:8579536:1 gene:OGLUM06G10580 transcript:OGLUM06G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALSTASTVCPHCHRLVPSLCNAPPKTVSSIANQLVHGKVVLVQSLAFGLLVMVLILAMGKQFAMIFLDDRHLHKAVDDAPLNNIEKKEIKEKETEEKNIQIGEEFKEDVNCSDLLYQSSSECSTINWDRICIIHRRAMQRWKSANRNRN >OGLUM06G10590.1 pep chromosome:ALNU02000000:6:8589191:8590689:1 gene:OGLUM06G10590 transcript:OGLUM06G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVALSPPSTSYLFLHSDIPHREDGNGGDAAAAPRRLPTVPAHLAPLRRSLPDPLREMGSQHPPPNTAYGDNGPSCRRRRHDANPTLTV >OGLUM06G10600.1 pep chromosome:ALNU02000000:6:8596638:8600305:1 gene:OGLUM06G10600 transcript:OGLUM06G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQSPVIGNPGISDDSAAEPGRRMLEAAEEAVNRWVSREAAADGGYGVSDFPVLAAAVKDLISLGSHGGAYSQRAKLALESAMGHLEDDFRQVLISGTYFDPPDNLQASLYDSIALPVRSFSFSSITNLEAASLSSFTISSSDDRRTYCTGHSRDYFSLEKVHLYLIDPEASTLLKEIAELMMLAGHESNLSHAYGEIRNSTLMQCLCLFGVQIDLNRAPSESGFNMLLDLDGQKMKIWIQALRVIIGTVLPEERQACTQIFGSDSKVEEDCFARATTRFIQQLFAFGSLIANVKDKQYEKVPLLIQMLEEFLKLKPSIEALRYGDAKDAISQEADMLLEKLREEAVHLLLKFSEAQINHESYDNETIVLNGSVLSFPQYTMDIIKLLVGYSDMLNIILPVEVGGVGTVTTSPWKSYVLTLLTRLQLNIEEKSKSYKDKCLRNVFLMNNAMYVLEKARSPDLKILLGDNWVTKQLVQVEQHATAYLRASWTEPLFQLGISYIERRLILTKRIKNFNSIFGEISKVQTTWKVPNPQLRQHLRLVILQQVIPAYRAFLGRFGNLVNLKFIKYTPEDIENNVLDLFEG >OGLUM06G10610.1 pep chromosome:ALNU02000000:6:8604714:8612890:1 gene:OGLUM06G10610 transcript:OGLUM06G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37630) TAIR;Acc:AT5G37630] MAPAAAAPAGAGETRRLAGEVARVLDECRASLAVHPRKLRELAALRSSSPAAAGRFLPAFCAALTPLFDLAKRSAGAERVARFAAAFASASSAAAGCGGGDGFLEGFLRFLLAGSAATHRPARLRSCQIISEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDKIPGIRAFAVRALSRFASDGEDSDIVDLFLETFEKEQNVEVRKAIILSLPPSNATLETVIESTLDVSESVRRAAYCVLSTKFPLQSLSIKQRTSLLHRGLSDRSASVNSECLKMLKDEWLMKYCSGDVITLLRFLDVETYEEVGETVMGVLMKDGSVRVQDGQTIRQYFTANTEDEAEKVSNIQLMDAEVALYWKIMCKHLQAEAQIKGSEAATTTGTEAAVYASEASDKNDLLDGVLPSTISDYVDLVKAHLSAGPNYHFASRQLLLLGEMLDFSDTMNRKVASSFLHELLTRPLEHEVDEDGNKMAIGDGVSLGGDKEWAKAVAELAKRVHASVGEFEMVVATVVEELARPCRERTADFMHWMHCLAVTGLLLENASSLRSLQGKAIEPLELLQSLLLPATKQNHVDVQRVALRCLCLFGFLENRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDQTIGIESSDATNEKSQFTTVDISNMNDDDLNIGVLDILFSGFLKDDWEFNLEGDNHDNVPTILGEGFAKILLLSENYARISADLHPVILARLRCVSSAFVPVMRAMWPGLYGNVGGGAHAVSKRRKYAAQAARFMVQMVQTPLFSTETTEQASSSPESQSTKPDMSNNFDISEEGLAIRIAVEVANCPDKKTAAAKAYCLALCKVAVLLRFRQSEQKAIKCMRGLINALAASASSDKDLMKELSQMASRLRSLDEHPEEELPQDEAEEIFKKLGLDAGFRLETNSVVPPTPAPRSVRPPPSRRRARRSPSSSDDSDIDGQEDNLHATSVSRVAATPVVMTAARSQRASKTAAMSKMSAKPTVAASSDDESDDQSGVTSGDDSSDEDSS >OGLUM06G10620.1 pep chromosome:ALNU02000000:6:8610890:8615627:-1 gene:OGLUM06G10620 transcript:OGLUM06G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLDMSLDDMIKNNRNSRGRGRGRSQGGGRGRGDGQRFSYGSGRGRGAGTFRGRGVGVPSRRPLGSFNKTKDIVWRQDLFEDSMVAAGLSVTESSTKLYISNLHYGVTKEDIQELFSEMGHLKHCAVHYDNNRRPTGSAEVIFTRRSEAVAALKRYNNVRLDGKPMKIEVIGADLGMAAPSAPRVSVVPGARGRGQREVVMMPGGSGFGRGAAGSSNFIPGWKRNNFAQRGGQGRGRGRGRNNFGRGRGRGYVRKGPVEKSTEQLDKELDSYHSGAMNVD >OGLUM06G10630.1 pep chromosome:ALNU02000000:6:8619532:8624081:1 gene:OGLUM06G10630 transcript:OGLUM06G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSEAWPAAAPGEFEPVPRICRVILAIYEDDLSNPTKFAPPGRGYAGVDLAGVVKRATYEHVGNTCPPYIVYVDHRHKEVVLAIRGLNLTRNADYKVVLMDNKLGMQMFDGGYVHHGLLKAAQFILERETKALQELLQQNGPDYKLIFAGHSLGSGIAALMTVLVVNNRKMFGNIPRSQIRCYALAPARCMSLNLAVKYADVINSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFIMCLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHILMKENEKPTTPPAQQKMERLQSFEEEHKNALERAKTLDVPHAVDLSEAEIQEDASPTPPSDNHETTSEARSAGKTSWDELMHKLFTRDEGGKLVVKEDIKARNIVIE >OGLUM06G10640.1 pep chromosome:ALNU02000000:6:8626701:8634248:1 gene:OGLUM06G10640 transcript:OGLUM06G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7T3] MGWWTCGCGSELHSANPSQPTVVAVMASSALICDTEQWKGLQAHVGAIQKTHLRDLMDDAERCKAMTAEYEGIFLDYSRQRATGETMEKLFKLAEAAKLKEKIEKMFSGDKINSTENRSVLHVALRAPRDEVIKSDGVNVVPEVWGVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHAALQTDPEAAESAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIVSSLGPDAVAKHMIAVSTNLELVEKFGIDPKNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQKFLEGAASIDKHFRSSSFEKNIPAILPYSQALEKFAPHIQQLSMESNGKGVSIDGVQLSFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGEIVSNHDELMSNFFAQPDALAYGKTPEQLHSEKVPEHLISHKTFQGNRPSLSLLLPSLSAYEIGQLLSIYEHRIAVQGFLWGINSFDQWGVELGKSLASQVRKSLHASRMEGKPVQGFNSSTASLLTRYLAVEQSTPYNTTTMPKV >OGLUM06G10650.1 pep chromosome:ALNU02000000:6:8658016:8658493:1 gene:OGLUM06G10650 transcript:OGLUM06G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDADMIPSSPSADYSSPSSSDLDTESTGSFFPDRSTTLGTLMGVSAFGGGGQRRAARTPARAERERAPLAARPAGEEEEGRRAGGWRRRXGKRKRKRRKKKGMEMGG >OGLUM06G10660.1 pep chromosome:ALNU02000000:6:8670824:8671126:1 gene:OGLUM06G10660 transcript:OGLUM06G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLAGADFLCDGVGGGGSEREAAAAAAAAAAVSATALFEDGRVRPPQPQQPAAEERGRWRLQRATEGSSSSSSAGAAASSSLARLPVLLTGICSGGAG >OGLUM06G10670.1 pep chromosome:ALNU02000000:6:8673049:8678126:-1 gene:OGLUM06G10670 transcript:OGLUM06G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7T6] MAAAGGAVLLLVLVTATSVSGQHDYSDALHKSILFFEGQRSGRLPPDQRLRWRRDSALNDGATAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHAAEAREAVRWATDYLMKATATPNTVYVQVGDAFRDHSCWERPEDMDTPRTVYKVDPSHPGSDVAAETAAALAAASIVFRDADPDYSNRLLDRAIQVFEFADKYRGPYSSSLHAAVCPCYCDYSGYKDELLWGAAWLHKASRRREYRDYIKRNEVVLGASEAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFICTLLPGISNHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHANVRVSCGTSSASPVQLRRVAKRQVDYILGDNPLRMSYMVGYGSRYPLRIHHRGSSLPSVAAHPARIGCKAGATYYASAAPNPNLLVGAVVGGPSNTSDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPNLAQSDLLHD >OGLUM06G10680.1 pep chromosome:ALNU02000000:6:8685331:8685534:1 gene:OGLUM06G10680 transcript:OGLUM06G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTEEERDEGEESVELVGRLSSSESIGTGRASLPLLKIDGREWEGARTPRKRELDEGSDGSGAWGE >OGLUM06G10690.1 pep chromosome:ALNU02000000:6:8692025:8694600:-1 gene:OGLUM06G10690 transcript:OGLUM06G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9/SRP14 subunit [Source:Projected from Arabidopsis thaliana (AT3G49100) TAIR;Acc:AT3G49100] MVYFDSWDEFVSKSVELFRNHPDTTRYVVKYRHCEGKLVLKVTDNHECLKFKTDQAQDAKKMEKLNTIFFTLMTHGPDADISDVSGKEQAEQQQSKKGRGRRQ >OGLUM06G10700.1 pep chromosome:ALNU02000000:6:8735999:8739235:1 gene:OGLUM06G10700 transcript:OGLUM06G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDALAGLAIFAVLLLVLLLATLIACRDDDGPELRLRPHGESEAWRAVYAAMMAEMEARRAGAPAPAPTTATTLPYFPYAHGEASSETSTQTLVCAICLEQLRHGELCSEVPACRHLFHRDCLGAWIKSSNSCPMCRVEVTPGSNLVRAPLAARDTTGIPAHSLGERPAGEIATAHEAGISRPNPRGNPRERNPTSRGQEIFLSRSAAVSLAQKRRPRAGAAVPPPPARRATAGLFLRREGHRSAAVTRRRAGSTGAQHRRRTGGDPRRRDAPTEEALRRRSKAAKDLLS >OGLUM06G10700.2 pep chromosome:ALNU02000000:6:8735999:8739235:1 gene:OGLUM06G10700 transcript:OGLUM06G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQDALAGLAIFAVLLLVLLLATLIACRDDDGPELRLRPHGESEAWRAVYAAMMAEMEARRAGAPAPAPTTATTLPYFPYAHGEASSETSTQTLVCAICLEQLRHGELCSEVPACRHLFHRDCLGAWIKSSNSCPMCRVEVTPGSNLVRAPLAARDTTGIPAHSLGERPAGEIATGIISGPFGSPRGRDFPAQSAGKPQGKEPDLSRSGNFPLPIRRCLSRAKAATKSGRRRASSSGETRHRRTLPPARRKRGGDAAARRKHGRTASAEDRGRSKAARRTDGGGVEAQIQGSKGFGLFLDLLS >OGLUM06G10710.1 pep chromosome:ALNU02000000:6:8740284:8740757:1 gene:OGLUM06G10710 transcript:OGLUM06G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLGEVAMLVVDVAFVLCLIVAIMCCCDDDRRRPRSSSQRDAQVGGRVVMLRVVEAPPGQQRVAPVAAKAALPYFPYAQAQGRTSSSETQTLVCAVCLEELRHGELCSEVPACRHIFHRGCVGSWMKKSDSCPLCRVKIVPARDGSKQLPVADMV >OGLUM06G10720.1 pep chromosome:ALNU02000000:6:8760551:8760985:1 gene:OGLUM06G10720 transcript:OGLUM06G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIWRSSPEFIMMMLLGILIIWCSCCQRRPVGDQAGQRRAAGGDADEGGIRADRAQLPYFPYAPRSGGRASEKSSTERLVCAICLEQLQRGELCSEVPACRHVFHRDCVGSWMKKSDSCPLCRVKISSWIAGPTESPTAADAV >OGLUM06G10730.1 pep chromosome:ALNU02000000:6:8761874:8763342:-1 gene:OGLUM06G10730 transcript:OGLUM06G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRLHHPVCQSFTRRQSQPLQPSYGGDDEYGSTPGSRSPGRKQMVISYGDDDADRFYKLLVEI >OGLUM06G10740.1 pep chromosome:ALNU02000000:6:8765159:8766402:1 gene:OGLUM06G10740 transcript:OGLUM06G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAAVAVLVVAMVTGGGGAVAAVVTSKKPVIYIFGDSMSDVGNNNYLILSLAKSDYPWYGIDYETGFPTGRFTNGRTIGDIMAAKFGVPPPPPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEEIKNAMIAKIGKKAAEEVVNGAIFQVGLGSNDYINNFLRPFMADGIVYTHEEFIGLLMDTMDRQLTRLYDLGARNVWFSGLAPLGCIPSQRVLSDDGGCLDDVNAYAVQFNAAARNLLERLNAKLPGASMSLADXTSFLIDNMLKI >OGLUM06G10750.1 pep chromosome:ALNU02000000:6:8772542:8774532:1 gene:OGLUM06G10750 transcript:OGLUM06G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTAAAKAWCSVPFILAALAALSCVFLVHSLYGLIELFGSPEAMLLIFAQCFLLLAAAVATTNTSVIGYRRALLPRKAAVMMPTTTAEEKAAVGMAGVEGELPVVADEAVAARRMDMQTTQDYPGSGANSRWRLAVVARGLCAHRDRSRDRNLQLLSPRTRTRRGAAAGASGEDAGRPQAQAQVAAVEVRSYFPFPYAAGPFRPSAGGGGGVSLGTHQADQPPAPEVVAAQQQLPHFPYAPRGGGSASALSSVECAICLERLRRGELCSELPECRHVFHRDCVALWIKSKSTCPLCRARISPWFSGSIGAPPPVADMV >OGLUM06G10760.1 pep chromosome:ALNU02000000:6:8776222:8776602:1 gene:OGLUM06G10760 transcript:OGLUM06G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFSPFTNIRSGTFPDQWVPALPVASCPLSGVELQKSPATNRPRELARPRVNTSRGRAGRAETQEETVPRGTVQKRTRRGGSHKSRSHERDAGSRGSYGVLRHCVVGDSWLVGVHGMGCSTCHG >OGLUM06G10780.1 pep chromosome:ALNU02000000:6:8798027:8808678:1 gene:OGLUM06G10780 transcript:OGLUM06G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKRSPVQCRDRWRDHLARDVFHRPYTAADDDELTRLVLRPGGGGDRWKDISRAVHGRSSRSVKRRWMEIGTSDELLRKLAPPPSSSSNAVADDQCASAVTPSSAPPSGRCCVVLRIRLPPAWTPEEDAALERLAVENGSRHWRRVAAQMPRKRSPAQCRDRWRDHLARDVFHRPFTAADDDELTRLVLRPGGGGGDRWKDISRAVHGRSSRSVKRRWMEIGTSDELLRKLWHPRSSMLSPATVVDALPVGTLRLLWGRSRFTIPDVGPLAKPKPKVEVLLLAPHASMHIVVGASLFPRPPQHAAQLALGLCVILATPPPLTVVGVAYLGFIRVGARQLSSSSSAASVFASSSATSPPLGRCVVRIRLPPAWTPEEDAVLERLAMEHGSRHWRRVAAQMPRHRRRRSPAQCRDRWRDHLARDVFHRPFTADDDAELARLCLRLDGGDRWKDISRAVYGRSSRAVKQRWRELRKSDAFLGKLWRRPLSH >OGLUM06G10790.1 pep chromosome:ALNU02000000:6:8827331:8833623:-1 gene:OGLUM06G10790 transcript:OGLUM06G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLPQDHIIVLEKPSTIAATSTPDHSMPSENVEKKVHANGLDVAPVYKLMKTSKSGIYRESFIAWKNKSSWTKGPKMYVTLKALQPNDMLHDSF >OGLUM06G10800.1 pep chromosome:ALNU02000000:6:8857072:8872951:1 gene:OGLUM06G10800 transcript:OGLUM06G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ketose-bisphosphate aldolase class-II family protein [Source:Projected from Arabidopsis thaliana (AT1G18270) TAIR;Acc:AT1G18270] MASGKVVSFVGADELGVSLAASFVRSGAIVRCFVAPGGDGSATALAELGGVRCASPAEAARDAELVIVLSDTDGVDELFFGPEGIVKGLCSGAVVLIRSTMLPSHLEKLNQKLADEKKNALLDGYIFSGLSDELKQKIVVVASGRHDVTERTGQFFSGLDTAVYFVEGEFGSSSKIKLVNDLLESIHFIASIEAMFLGVRAGIHPSIIYDIISNAAGSSRIFVEIVPKLLREDSLLIDYLESSKTNAGYVMDMAKAVIFPLPLVAVSYQQLIHGCSSANGDALVSPLKVWEQSFGVNIIDAASQQIYDASKLADQLVMACKTAKTIGFIGLGAMGFGMASHLLKSGFSVIAYDVYKPTLARFTDLGGLTKDSPEEVSKDVEILVIMVANEVQAENVLYGNAGAVSVMAAGTSIILSSTVSPGFVIKLKERLEAECRDIKLVDAPVSGGVKRAAEGTLTTNMQKLVHDCSIFYVTALSEKLYVIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFGARLNLRTRRLFEIIQHARGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSHESSNARIPLHVSSIAHQLFLSGSASGWGRFDDAAVVKVYETLTGVKVEGRPPMLNKEDVLSSLPAEWPEDPMDDLVSSASHNSKKILVVLDDDPTGTQTVHDIEVLTECAISLTWKLNCRPVEALAEQFQKLPACFFILTNSRSMTAEKATLLVKDICRNLEAAAKSVPGVSYTVVLRGDSTLRGHFPEEADAVVSVLGEMDAWIICPFFLQGGRYTIDDIHYVADSDRLIPAGETEFAKDAAFGYKSSNLRQWVEEKTKGRISENQVSTISVNLLRKEGPNAVCQHLCSLKKGSACIVNAASERDMSVFAAGMIQAELKGKRFLCRTAASFVSARIAIKPKPPIRPTDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCEQSLRIIEVSVEMISMKSAEDRDHEISRVIELGNAYIQSRKDTLVITSRQLITGKTPEESLEINYKVSSALVEIVRGIGSRPRYILAKGGITSSDLATKALEARRAKVMGQALAGVPLWQLGPESRHPGVPYIVFPGNVGDNSALAKVVQNWACPSRSSAKELLLNAENGGYAIGAFNVYNLEGIDAVVSAAEAEKSPAILQVHPSALKQGGVPLVSCCIAAAEHASVPITVHYDHGTSKSDLLQALEMGFDSIMVDGSHLPLGKNILYTRSISSLAHSKGMLVEAELGRLSGTEDGLTVEEYEARFTDVAQALEFIDETGIDSLAVCIGNVHGKYPPSGPNLRFDLLEDLRALTMKKGVSLVLHGASGLPHELVKECIALGVRKFNVNTEVRNSYLESLKRPEKDLIHVMASAKEAMKAVVAEKMRLFGSSGKA >OGLUM06G10810.1 pep chromosome:ALNU02000000:6:8873545:8881098:-1 gene:OGLUM06G10810 transcript:OGLUM06G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCGGGGGGERCDLGFRPINGGRGAAMEQRGDGTDGPSVSPPERVPTPSSSRYAGWRRLSSPGPLRCSTRSVGYEDGDDSERYFSPHSEFSQDTSDTDSVSTSISRMYTFRLGTSSPVDSPVRRLGLGDTSPSSRRSCHSPVYPLNSVHGSEDVDYSSFVDSPVCGDEQQNNTSIPIDFESNRLIWYPPPPQDEGDDFENGFFEYDDDDYDGNDVGDTNTFTRVNHDHGGEDDSLGIKGKHNIAHKEFLRNALHGHFRALVSQLLQGHGVDPVDVWSDIISSLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSTFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKASNKLASINSILEQEKEYLKIAVAKIEAQRPHVLLVEKSVPLYAQQLLAKDISLVLNVKRSLLERISRCTGAQIASSIENVTSVRLGQCQTFWIERVSESSSPKNANKKSAKTLMFFDGCPRRLGCTILLRGTSHEELRRVKLALQFALFAAYHLSLETSYLADEGATLPKIPSDISALPLENHVDGGNCSSSYCLQDFNDFQIVGQKTSDNGCNMPANCLNDSENPLSADKSFLGPNLNQAEYIGVTNGIYPRSPRSSLDKGCVPPSDIIVQTSKSSPMGPRFHRVESDLDNGWQNTSDEEHAGLAVRDHNENHIEYFPTSDNPQSILVSLSIACPQRGVVCKQSQLFRIKFYGNFDKPLGRYFREDLFNQISCCESCKEPAESHVRCYTHRQGSLTISVRNLASVRLPGENDGKIWMWHRCLRCKPKDGIPPATQRVVMSDAARGLSFGKFLELSFSNHTTANRVASCGHSLQRDCLRFYGYGSMVAVFRYSPVDILSVNLPPAVLDFTYPMAQDWIIKDAADVASRKEYFYKEIFDKLDSIENIVSAQNMSMKTGLPKHVIDLKDLIKVEWKKYDVLSRFASTENLNTLELAIDILELNRLRRELIVDAHIWDRRLYMMQALTKENCHTVPTDVQCLDKIPESFVEKSKVEIPGTHENWENSLEHTQSSSLTVAANSIKPLIRGDQIDTIVTHFGLKTDIKGEVPPQSAEDYSSSVVPGPSERPNDGILTDELEKTFERSRSSATNLSDRIDLAWTGSSQLANDPSKCSIEALPVVPAALVDDPSYQRVIAPIRINSFDSAINFKNRLSPVDGSDGIIRRAYSQKPPKALERTGRGLSPTFKTELSVPDIMHGEGRLLLQNAGDVVVPIYDDEPSSMIAHAMTVPDYHKFMLPLLDQQNDLGRFSVGNSLDQDSSSRSSLDVSTWSYGSDQPRTGNNDSKDIHLTVSFEDDDSISVDKAKFSVTCYFAKQFDAIRRKCCPDELYYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAAEYFKYLTESVSSGSPTCLAKVLGLYQVAARNLRDGKELKLDVMIMENLFYKKKISRIYDLKGSLRSRYNPDTSGNNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEESKELVMGIIDYLRQYTWDKQLETWVKASGFLGGSRDVLPTIISPDQYKKRFRKAMSRYFLTVPDQWSS >OGLUM06G10820.1 pep chromosome:ALNU02000000:6:8881349:8890430:-1 gene:OGLUM06G10820 transcript:OGLUM06G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGSDDDGFTGPLTQITYKYIYLDSKKSFLLPPMGGASPSPSPTSTSTRRKPAAAADFPFSDASRVAQLVLDWGVLSDAALLRPTCSTECLGCADAKNR >OGLUM06G10830.1 pep chromosome:ALNU02000000:6:8925429:8925698:1 gene:OGLUM06G10830 transcript:OGLUM06G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHDALRLGQLYFVLPVSALHRPFSDQDMDAPPSRPSRRSGPLPLPPPPAATAAVSACRRGARTRVPPANSGSRRRLEWRRYDEDQRR >OGLUM06G10840.1 pep chromosome:ALNU02000000:6:8928321:8932103:-1 gene:OGLUM06G10840 transcript:OGLUM06G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAPLRPGRLYFLVALPRPTAPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAAVGTAPPSPMSTASEPGGGPVRLRMRLPKAQVEKLMGESRDSAEAAAKIMQLCAAANANGGASGAATPERGILRTPERSPRFIPTPDWGVAGGFARTPEVSPRFAATPEWGTGFMMPTPERSGLLRTPERWPTLPRTPEYSSRDKRTRFVAMPDEIIA >OGLUM06G10850.1 pep chromosome:ALNU02000000:6:8950770:8953263:1 gene:OGLUM06G10850 transcript:OGLUM06G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAQVNYVTAGERMRSCALSSLQVRLRRGPHLPVASQRRRSHPLHLLVLVLSGSPIRTHSTTPLPASPVSWLGGSGWALALRDASWGRRVWRQG >OGLUM06G10860.1 pep chromosome:ALNU02000000:6:8966648:8968126:-1 gene:OGLUM06G10860 transcript:OGLUM06G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7V5] MSSSSSSAQLKRLKPLYQHVVNNFLVVMAAPLAVAAIVNAARVGPDELLRMARALRPVHVFLAAFVPAAAATLYLMLRPRPVYLVDYACFRTKPNCRVPFATFLEHAKLVTYVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHFIPPYRNLEASRAEVEVVIFNAIDDLLAKTGISPAAIDILVVNCSLFAPIPSFTDMIINKYKMRSDIRNVHLSGMGCSAGLISVGLARNFLQVAPHGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRAKARFRLSRVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDSLKANITAIGPLVLPASEQLLFALSFIARRVLNRRVKPYLPDFRMAFEHFCIHAGGRAVIDELQRSLGLSDEHVEASRMALHRFGNTSSSSVWYELAYIEAKGRMRPGDRVWMIGFGSGFKCNSAAWECISPARNADGPWADSICRYPVDIPEVLKH >OGLUM06G10870.1 pep chromosome:ALNU02000000:6:8973025:8974855:1 gene:OGLUM06G10870 transcript:OGLUM06G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVHITVEKKDAQHVTPSVSIPRTSLSTFRGGRQGDVIHATVGKNMIDNHNTKIKESSVFAYSVNST >OGLUM06G10880.1 pep chromosome:ALNU02000000:6:9016940:9018361:-1 gene:OGLUM06G10880 transcript:OGLUM06G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7V7] MGNVLVAVPAAAAALVTVALLAPEAESTMVAIGRLRELIRPAHLFLAAFLPGAAATVYLVMRPRAVYLVDYACFRTAPNCRVPFATFLEHARQVPTLTERSVRFMTRLLERSGLGEETCLPPAHHYIPTYKYCTLEAARAEVDLVVFSAVDELFAKTGVSPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMHLSGMGCSASLISIGLARNLLQVAPHGARALVVSTETITPNYYVGNERAMLLPNCLFRMGGAAALLSTSPAKARFRLKHVVRTLTGAEDSAHHCVFQEEDEHGSIGINLSKDLMTIAGNALKANITAIAPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >OGLUM06G10890.1 pep chromosome:ALNU02000000:6:9022400:9027455:-1 gene:OGLUM06G10890 transcript:OGLUM06G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWQLTVVAGEQCAPKRWTTTSQRLRQKRCFGPHCRMPGNAFTNKEELFTWAKNNNRDCSTPTFAHRAPCIWPQRLGWNQPVTEFCTLPCKSTPPALLRRLVAFYSLLCSLMSMNRMWRRQRGWSLTLDGGWPSSVLRIYMLMIVLYMEIVDG >OGLUM06G10900.1 pep chromosome:ALNU02000000:6:9062378:9063892:-1 gene:OGLUM06G10900 transcript:OGLUM06G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7V9] MTMSSPPPRASKHLKSAYRLAAIAVPVVAAAVVVIVVLLRVAQLGPGELLAGRLGAARHVHLFLAALVVVPSAVATLLRLVRRPRSVYLVDYACFRPQPSNRLPFATFAEHFRLSPHIDDGSFRFVTRMMERSGLGERTYVPRGNLYLPPRTGMEEARDEAEMVVFAAVGDLLARTRIRPEEIDVLVTNCSVFSPTPSFADMVVNRFKLRGDVRAVHLSGMGCSAGLIAVEVARNLLQAAAPRGAHALVVSTETTSFSHYAGTSRSMLLPTALFRMGGVAMLLSTSRSSATTTTSRFRLAHIVRTLNAAEDRAYRCAYHEEDGDGNLGVNLSKDIVPVAGETLKANIATVGSRVLPLSEKLLYALSLLARKVAGSRRRKAIKLHVPDFRTAFKHFCIHAGGRSVIDAVQSGLGLADEDVEASRMALHRFGNTSSSSVWYELAYVEAKGRMRRGDRVWMICFGSGFKCNSAAWECISPPARDADGPWADSIHQYPVAITTTTKMC >OGLUM06G10910.1 pep chromosome:ALNU02000000:6:9089077:9090022:-1 gene:OGLUM06G10910 transcript:OGLUM06G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHSLLHTLAAGGVLVVGLGVAGGSAVARRPPPLLFGDGRRWPLSRAGGVRLGIAIVTTAAVFAPCHGRVSAARRCCRSSDAELSRRELAMANGLWGALGQALRVAQLVGVDALGLVSMVVQAALAAHRWDACWRLGQHVELVGGLLWELELAELMWRDATRRPLERLHGAHPPMTRARSCCSPPAEGKKGRERERRERGRRKKEED >OGLUM06G10920.1 pep chromosome:ALNU02000000:6:9104298:9106401:1 gene:OGLUM06G10920 transcript:OGLUM06G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAKAAAAGAVGAKSARACDGCLRRRARWYCAADDAFLCQGCDTSVHSANPLARRHERLRLRPSSPPPLVPPSGSGRRDEAVPAAWFKRKARTPRSHAAKSAAAVGQLLSRRLVVVPEAAAGSGGDSPEERKDEGEIVEEQEQLLYRVPIFDPALSEFCSPPPLEDAAAAVSCCNEDGAVENPTKPSMTTTTATTPPLQFFPDGQANFGRTDAELREFAADMEALLGRGLDDGNDEDSFCMETLGLIEPVDDDAGRVKVEADGDAGMTLAWCHELDTETSSGEMLDIDFDCGSPQAATTPDEKVGSSGPAAAAAADDDAQLQQSNLALSLNYEAIIESWGTSPWTDGERPHVKLDDSWPRDYSGVWMAAAGVFGHGGEEQALTPRLGMDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRAAAAATAAVATACVA >OGLUM06G10930.1 pep chromosome:ALNU02000000:6:9138699:9144777:1 gene:OGLUM06G10930 transcript:OGLUM06G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFVKTLKGTNFEIEASPEASVAEVKRIIESTQGQNVYPADQQMLIHQGKILKDDTTLEGNKVAENSFLVIMLSKAKASSSGASTASKAPVSQTQPATPVASVARTPPPQAPVVSPEPAPPSAQPPVASATPAAAVTASSDADVYSQAASNLVSGSNLEQTIQQILDMGGGTWERDMVVRALRAAYNNPERAIDYLYSGIPENVEPPQPVARAPAAVQQGNPQVPSQAQSAPPPPVQPAGGASGPNANPLNLFPQGIPSAGSNPGAAAGAGAGAGALDALRQLPQFQALLALVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPDSGAAGGNILGQLAAAMPQALTVTPEEREAIQRLEAMGFNRELVLEVFFACNKDEELAANYLLDHGHEFEDQQ >OGLUM06G10940.1 pep chromosome:ALNU02000000:6:9156038:9157516:-1 gene:OGLUM06G10940 transcript:OGLUM06G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIVLNPPFFLILLFFASSSAMAASTVSIAGALMCSDWSVLASSLSHFRKEDQTEVAVRVRMTRLYRLIQMKSSNIDR >OGLUM06G10950.1 pep chromosome:ALNU02000000:6:9157375:9162286:1 gene:OGLUM06G10950 transcript:OGLUM06G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68570) TAIR;Acc:AT1G68570] METVEAAMAEEEAKKSKMRKKGGFRTMPFIFANEVAEKLAVLGFTTNMLMYLTRQLHMPLAKAATTLTNFGGVSAMTPLIGAFLADSLVGRFWTIAAASLIYQVVRIHIHARTHARTPPRRAELTGAFVFAWQGMLLLTVSAAMPVFRPPPCSGAGGAGACEEAAPWQLAVLYAALLLNALGAGGYRPCVVAFGADQFDESEAAERARTWGFFNWYYFCNGASQLVAVTAVVYVQDNVGWGWGLGVPTFCMAVSVVAFVAGYPLYRRLHPSGSPFTRLAQVVVAAVRKRRVPTDADDAAALYENDDMDAPISLYGKLVHTEQLSFFDRAAIVTDGDLTTDTSNGKPSSSPIPKPWRLSTVHRVEELKSLLRMGPIWAAGILVITAYSQQHTFALQQASTMDRRLAPGLSSFQIPAGSMTVFTLLAMLTTLLAYDRVLVPLARRVTGLDRGISYLHRMGVGFAISVAATLVAGFVERHRRESAAAAGTTDAGTSPLSAYWLVPQYALHGMAEAFNSVGHLEFMYDQSPESMRSMATALFWLSISLGSYVSTMLISAVHRWSAGADGSNWLPDNINRGRLDYFYWIVALLQVLNLAYYAICARCYLFKPLQLREVDHDAKPQIELQEKASPLSH >OGLUM06G10960.1 pep chromosome:ALNU02000000:6:9162351:9162704:-1 gene:OGLUM06G10960 transcript:OGLUM06G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7W5] MATPAADATSSSPTPSPPPQETPARKAVRVVVKGRVQGVGFRDWTAETAESLGLAGWVRNRRDGTVEALLSGDPAKVDEMVSRHLPVGSPASAVTAVVPSPADPIHPSLGFEINFTV >OGLUM06G10970.1 pep chromosome:ALNU02000000:6:9163581:9163970:-1 gene:OGLUM06G10970 transcript:OGLUM06G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A7W6] MFPSATAPRLALTPRSVAAARRAMASAATPQPSPPSPKAVRVVVKGRVQGVFFRDWTVETARALGLAGWVRNRRDGTVEALLSGDPARVDDMVSRHLPVGPRAAAVTAVLPFPADPVDPAEGFTRKPTA >OGLUM06G10980.1 pep chromosome:ALNU02000000:6:9168335:9169179:-1 gene:OGLUM06G10980 transcript:OGLUM06G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAYTVALLGATGARVPAAPRSAALLPRRGGVLQPLRLQDAPRLSLLRVRAASDDTSTSASGDELVADLKAKWEAIEDKPTFLLYSGGAVVALWLTTVVVGAINSVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >OGLUM06G10990.1 pep chromosome:ALNU02000000:6:9170206:9174162:-1 gene:OGLUM06G10990 transcript:OGLUM06G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MASAAPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAVSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSETQTIGVIMANPLQLPITRSFLALLITCGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >OGLUM06G10990.2 pep chromosome:ALNU02000000:6:9170206:9174162:-1 gene:OGLUM06G10990 transcript:OGLUM06G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MASAAPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAVSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKGRTGDAKDGCATFWKSKGLHLLEEDSIDFSEYNLRNNVAQIFVFELNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >OGLUM06G10990.3 pep chromosome:ALNU02000000:6:9170206:9174162:-1 gene:OGLUM06G10990 transcript:OGLUM06G10990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18500) TAIR;Acc:AT3G18500] MASAAPRARLTPPTPAMHPHRKRARSPPASGSLVRCSSSGNGAPRDHRRRWQSPAAVSPGRVYQRHRAQQYGVPSRRWVLAEEASTSDGDACTIMSYNILADNNAHYHPDLYLDVPWDAMRWDSRRRLIIREIRHWDPDLEVDRFRDIATEMENRGYQSRFKLNRAQKLVVGNIHVLFNPKRGDVKLGQIRMLLEKANALAEKWGGIPIVLAGDFNSTPDLDISLHDRRQLSGLDSSEFALYDLCSSLKYQWSGEEVRNATGSSNVMVAKHPLNICSSYAMLKGNSNNRGHHGEPLATSYHKKFLGTVDYLWYTAGLECSRVLDTLPVGFLRRTRGLPTREIGSDHLPIVAEFVFTESAASTDSDQEDESDEDKESEEEATRGQHIYFSSDSDSSDEVG >OGLUM06G11000.1 pep chromosome:ALNU02000000:6:9176365:9182635:-1 gene:OGLUM06G11000 transcript:OGLUM06G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGIHCYQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKANLKSHKFQTASDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFISFPPGHLYSSKTGAIIKRLMTDVPFGVLLSGGLDSSLVASVVSRHLAEAKVAAQWGNKLHTFCIGLKGSPDLRAAKEVADYLGTVHHELHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKNFINVAMDIDPEWKMIKRDLGRIEKWVLRNAFDDEEKPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANEHVSDSMMMNASFVYPENTPNAARLTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTLQKSPASANPVLDNGFGPALGESMVKTVASATAV >OGLUM06G11010.1 pep chromosome:ALNU02000000:6:9184385:9185421:-1 gene:OGLUM06G11010 transcript:OGLUM06G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVFPSSDLKSCGWISQGGRSSRCQTSISSALATPDCGKFSYSAGGRKSSSFDSLSLRWIWMASTDFRGPFVHLILGAVPFLSDVLSRVVSGEGGLTHAQIVVVFGGFGGAELAELLKQFVLADSSHASIKNIVSTDSISINLLLCLNQLSKQQHKSWASKEHAMNPLHHTGNQQATQQGKAGLGNSVHAGNEVSFSILTHGIHLKFVRLYHRHHLASLKLKVSWVLGASSISFLCSSIHVEIIVRVEHRLSVRLWSAGMLLLFLRFDDDFHGNHWLSPVKPPTYLQLNSKPQLVPNPWRQPEGSLVGQAGSTFEEALGCNRRGNAADLRRLYSLLLY >OGLUM06G11020.1 pep chromosome:ALNU02000000:6:9189403:9189654:1 gene:OGLUM06G11020 transcript:OGLUM06G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLVAVRLIEVDRHHHRHKDRFQFRSHAAKAALRCTALSTRLPVLMLMLSSLDWPRQCLTSPTLCLQRIPAAVVATSRFKM >OGLUM06G11030.1 pep chromosome:ALNU02000000:6:9189672:9190433:1 gene:OGLUM06G11030 transcript:OGLUM06G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPLDLKNLNNPMDLAAMRCRQFEMKVQPTLTLSLRGILLDRIHGVYLRAISRIPMEDFRGRYHHGLLKAGYCYGPLNPMFPAPDQALKFLYPTDELTQDALKMA >OGLUM06G11040.1 pep chromosome:ALNU02000000:6:9210683:9212825:-1 gene:OGLUM06G11040 transcript:OGLUM06G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G68640) TAIR;Acc:AT1G68640] MAAHQGMAAATAADRFCLPRMAAAAAAASQVENWGDSGVVVSSPFTDDTSTDLDDSADKHHLHALMGGGGDGGGDDAGEQRGADSSAVSKERRGDQKMQRRLAQNREAARKSRMRKKAYIQQLESSRSKLMHLEQELQRARQQGIFIATGGSGDHGHSIGGNGGTLAFDLEYARWLDEHQRHINDLRVALNAQMSDDELRELVDAVMMHYDQVFRLKSFATKSDVFHVLSGMWMSPAERFFMWLGGFRSSELLKVLASHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLVSAAATVVGGGGGADNVTNYMGQMAIAMAKLTTLENFLRQADLLRHQTLQQMHRILTTRQAARALLVISDYFSRLRALSSLWLARPRD >OGLUM06G11050.1 pep chromosome:ALNU02000000:6:9223003:9223443:1 gene:OGLUM06G11050 transcript:OGLUM06G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASGERPASNWDWSDCDAAFTEEADGAIAALQDTGGDGLATKQLLGRYHTILAQWYFARADQQKATNHLRRAAWMAPCCLHIAFALAFVLIEMGSFDEADMVCAHNLLVPDLTNPAHNFISPKEQVDAIISSKAPEYRLGHGAI >OGLUM06G11060.1 pep chromosome:ALNU02000000:6:9231726:9236396:1 gene:OGLUM06G11060 transcript:OGLUM06G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G31290) TAIR;Acc:AT2G31290] MQGPFLQSYSPLSQANLSRPTLRRGSLHSHTPSLLPPPPSPERRRMARWSSPKDPALEAALRRNRRWIVNNQIKRLLLRFPSRTAPVRLLQSRFKTLDLLGRAANWLRKYPSCFDLFHGGAGGDGDGGEEACFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPARTDLFRLANPYPHRRNAAELELLRWVPSLAVSSVEAAAASAADSSAPRFTCSLPPSWAKSHAKMEEFNSTPYISPYSERWAAIGTDADAEKRAVAVVHELLSLTLWKKMSVLKLEHFRREFGLPEDTARMLHRHPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDRLGELMQEGLHEYNQRRRSENLEKKRRRGEIEIKEEEEEDDEEAARLDSAEKREERRKFYKVLFNDDNR >OGLUM06G11070.1 pep chromosome:ALNU02000000:6:9247201:9248812:-1 gene:OGLUM06G11070 transcript:OGLUM06G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTKIPFLLAVLLLLSVAFPADGGDGARGRRASWRVLRRMRTEWRALSSRESKSMKGRKEVEWTKWQRLR >OGLUM06G11080.1 pep chromosome:ALNU02000000:6:9250427:9250753:1 gene:OGLUM06G11080 transcript:OGLUM06G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFGAQTAVVGRQWLCFWLACDCGRERRGSEEGNMGSGRWAWGKVLQLGGWDDEYWWPVAAAVYCYYNCNPLLPCRFVIRARELRDKSMVSSLPTLSQVSGRDWVGL >OGLUM06G11090.1 pep chromosome:ALNU02000000:6:9251004:9252050:1 gene:OGLUM06G11090 transcript:OGLUM06G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLVLTAPAGAPLPAHPTRAPSLLASPAERRHRPAAFXWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGSDGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGFELDFHTLQVEEFEAAKRAASGGGVRMMLMDTTEAMIRRADAHPSRYRGWTRRKEWMKEYFTISNDCVHWCVPGAIDAWNDMLSHMLLTSQS >OGLUM06G11100.1 pep chromosome:ALNU02000000:6:9254132:9254841:-1 gene:OGLUM06G11100 transcript:OGLUM06G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEWSVKLFDCFGDSGTCCLTCWCPCITFGRIAEIVDKGSTSCCMHGTLYVLLATIGCQWLYACTKRSSMRAQYNLQQSPCLDCCVHFFCDSCALCQEYKELEKRGFNMSKGWEGSNKMVGCVQGMKPPRKQRM >OGLUM06G11110.1 pep chromosome:ALNU02000000:6:9256227:9257090:-1 gene:OGLUM06G11110 transcript:OGLUM06G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAARRGRGSASGGGVVLLCLVAAALLMEAVPAAEAGGKTYYVGDAAGWGRNLDWWLAGKTFYAGDVLVFKYNKEYHDVAVVGGKGYRRCKVPRNKDTVVLRTGYDQVTLRRGNNYFICGMPGHCDAGMKVAVKAW >OGLUM06G11120.1 pep chromosome:ALNU02000000:6:9266841:9270655:1 gene:OGLUM06G11120 transcript:OGLUM06G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRRALAPRVLLGAARRGRLRRAVPAPRMAPRGRRWDAGCAHVDAEHPSFVLRLRNTMLSTLVRYGVSIDASRSDYSGGQKPEAGARGDERKKEQMKNIMRRLKGIILAAARWTRPPSSTRPLGTSSCSRWRSRSSVCVAQATKCATGRPDVDNDLAQRLTGTRMEVSAVLACWEDLGSDSGEGIGGMQRWGHVRRSEEARRRSLRAGENRAWWAGPVGRLRAGLVLPYSGPLIQPTYEKLEME >OGLUM06G11130.1 pep chromosome:ALNU02000000:6:9292645:9294310:-1 gene:OGLUM06G11130 transcript:OGLUM06G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQLDSGLLMGFLFLATCLVVAVRSYLRSGGADGGRGGAAIPSPPALPVIGNLHQLGRGRHHRALRELARRHGPLFQLRLGSVRALVVSSAPMAEAVLRHQDHVFCGRPQQHTARGTLYGCRDVAFSPYGERWRRLRRVAVVHLLSARRVDSFRALREEEVASFVNRIRAASGGGGGVVNLTELIVGLTHAVVSRAAFGKKLGGVEPAKVRETVGELADLLGTIAVSDMFPRLRWVDWATGLDARTKRTAAKLDEVLEMVLRDHEQSRGDDDDDEARDLMDDLLSMANGGGGGDDHGYKLDRIDVKGLILDMFAAGTDTVYKSMEWTMAELIKNPAEMAKVQAEVRHVVAAAHGEEGDEDAIVIVKEEQLGKMTLLRAAMKEAMRLHPPLPLLIPREAIQDTVLHGHRVAAGTRVMINAWAIGRDEAAWEDAGKFRPGRFADGGDDAGVEYYGGGGDFRFMPFGAGRRGCPGMAFATRLAELAVANMACWFEWELPDGQDVESFEVVESSGLSPGFINPLVLTAKPL >OGLUM06G11140.1 pep chromosome:ALNU02000000:6:9296245:9301292:1 gene:OGLUM06G11140 transcript:OGLUM06G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25580) TAIR;Acc:AT1G25580] MDAVQPSNAQYKPRAPGLCAGVCVVSSPPPSPVRRLDLALVGSLVGRGGLRLPAGGAGAAMTGTSWIIDSHRIASKIKNASGSVDASKHKWVSNPTKACPRCNHIIDNSDVVHQWPGLPRGVKFDPTDQELLWHLLAKHGKVGAKAHPFIDEFIPTVEEDDGICYTHPQKLPGVKQDGSISHFFHRTFKAYNTGIRKRRKINTGDLADVRWHKTGKTKPVVVDGKHLGCKKIMVLYMSTMKGGKPEKTNWVMHQYHLGTGEDEVEGQYVVSKLFFQQQFKPGEKNAQDLTSADALESIVAEDLPNIPPLPLEEHVFTNQELEVLEKSETITDQGKETSEINNEDNAVEDVAHMATEKPEDRDNPSSQDPKWWEGESQFLLDSQQLAENLAICDEFLQSQSQTSCGGGDDEPDKIKPRLAVYAQLPVEDLKKDLEECQRLDPSDGTNLELENASEFRLSQIEFSQDSFTTAWAGGKVID >OGLUM06G11150.1 pep chromosome:ALNU02000000:6:9306652:9319501:1 gene:OGLUM06G11150 transcript:OGLUM06G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPTNSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAEAPDCKYFSCLLAASLFKLHSKSASNSPATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >OGLUM06G11150.2 pep chromosome:ALNU02000000:6:9306652:9319501:1 gene:OGLUM06G11150 transcript:OGLUM06G11150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPTNSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAEAPDCKYFSCLLAASLFKLHSKSASNSPATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQLCTVSDATSKTFIL >OGLUM06G11150.3 pep chromosome:ALNU02000000:6:9306652:9319499:1 gene:OGLUM06G11150 transcript:OGLUM06G11150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESIDQFFECFEELMNSQTNLGNSGIWDWTCSVFNAITFVSTLASGSDQIPKEPPIEKTLRASIAEISVVLLFSDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPTNSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAEAPDCKYFSCLLAASLFKLHSKSASNSPATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >OGLUM06G11150.4 pep chromosome:ALNU02000000:6:9306652:9319501:1 gene:OGLUM06G11150 transcript:OGLUM06G11150.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 2 [Source:Projected from Arabidopsis thaliana (AT3G19190) TAIR;Acc:AT3G19190] MNFFSGVWVRTMMKRLCKSLLKKRLGDLILGDLDLDQFDLQLTRGTLHLSDIALNADFVNRKLSGSAIMMKEGSIKSLLVRIPLLLNMRDCCEIVVEDLELVLAASVSSEDPSGDTECSVSGSNTDDTQKSVQAKRNESDGNQCSTSASRDVDKGVQRIANAVTCFLTNFNIKLKNSYVVFDPQNILDNKVPEFNRSLVFRIKETEFGTNLSTDGIIKLHNFVTFHEAVIEFLKMDDVDAHALLQDDLDRGPADISSGHSTTAVLTGPIGGFSGKLNLSIPWNGLNFKKLDADISLNSLELRLQLSSIQWLMDVWDSLQRRNLVHEQSYAHNTADISRSASSYVSSSSKSGSGSVIASREHLTEDTFSQLRQEKTQEPSLTMPYLIPDWIPVLIHEDHGDPDSVCDESDEMDAGNSSVPISQFDDMRNSEMFSSCLSFAHFEQSMISPAPAASLNMHHVEAKCQNIHLSLETYPENLSLKGSIAAIKLDEYYGSKNNDSDHPNLGAAFLNNNFCREVQASLPQSVFAYQDYHEETSRRHTNNSNDLTKVELLNTFGECVFHYDVSSSGQDGNPVSSTSLSVCLAPLVCWVHFHTIYMLLNFISKIESDVLHGEDKIHRKNDEKNVNLSMKSNVSSGGSQKVQIALSPARVIFCFPSESWDLSCPSMLDKFLVIDHTPSLKSGADSSPHQNEMPNDVNAITPSTLVHLATGNFDIYLVRPVNDELNARTCSLSRQTFSSLKIFSVTGSNCHETGITMLWKKYPLKDPEMVSKTWSLPNLHEQKIAQNKNGKWVGVSSSTTSEDLEESSSSIRRELLRSTEFLLHIKLSCVSVHLSKKDCGILNHLLKNILDGLSDGATGNFENDSDNCMPIHDTASQTSVIFECSILDICTELDETVEVGPLLQTELEGSWNCLKLSISKFSLLSSSNVGGVNNANFLWVNHGEGELWGSITGTDDKSYEESKDVLLVVCKDSASRRGDGEGSNILSFGTAGCSVTHIMNPKFQKNYTSINVRSATAVAPGGRMDWISAICLLFSSASDGTEQPTNSSTMNDSQGGEPFSSLFFLELVDVAVSYEPHFRSSALSAEAPDCKYFSCLLAASLFKLHSKSASNSPATDFDIELRDLGVLICGSSSFKNVSCGYGADYLRRMGYAKIVQNTFIEAVLRIDTSFWKLELSDSQFDIGTCHDTTHGVIRLCSQLQQLYAPDMRDALDHLQSRWNSVQQANKQNMGTDVSEKSESSIDNLTDSEECKSDGLLDDIIENAFCTDQDFASYSLSGSEMDEEFGLSKAIPEANDACISLESLLVTPEASTSDDLIIESYYMPPSSSSTLYNEDQGNCAPRTVECDEGEWYNNFPTIDENHVQRNKPREEQIFQQKVKPAIFILNSDESCSLKGKVLIHDIDVKWRMYEGNDWKLAQKDTISRPCSNGRDKRSYLEFIMSALNIQFNMYPDGDIFVSKLSISAKDINICDQSTHAPWKMVLGCYNSKDYPRESCSSAFMLELESVRPEPQAPLEDYRLHLEILPLQLHLDQGQLNFLISFFQNDLCNNPNLACENENIDAQSTMYRSDTIADEALLPFFQKFDVKPLVLHINYIPRHFDPVALSKGNYAELLNIFPWKGIDLKLKQVSAMGVYGFNNICEIVAAEWLEDISKNQVHKLLKGLPPIKSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLLKTESALTAIPPPLASREAKRTKDNVRANQPESAQEGLKKAYESLTDGFGRTASALIGNPIKVYNRGAGAGSALATAICGAPGAAVAPLSASFRAAHYTLLGIRNSLDPERKKESMYKYHGPPQL >OGLUM06G11160.1 pep chromosome:ALNU02000000:6:9345514:9349697:1 gene:OGLUM06G11160 transcript:OGLUM06G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTISTTYRVMLARYYVIPVRYQTISTMYRVILVRYRMIPSKYQVIPTRYSWASRWGRSTSTRAVGLLQPSPVNEDGDGGGRGRAQQRWGMATAASEQESRCGGGAIPLEGRGTRRRFWILLLPPHFKRHLPRPPRRRSSCRRSCEAIERTVEDDEAGLASEAVTKP >OGLUM06G11170.1 pep chromosome:ALNU02000000:6:9364245:9371681:1 gene:OGLUM06G11170 transcript:OGLUM06G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSQKSNRAGPIREKKPKPAPVNIPNPPRSSSPPLLANLSIISSTSSESESESTASPEMEHASSPRAHGVVVIAVAPDHHDDSGKKGWRLAAAAFGDEAGFGVEEEEGEVRWRERRVASLWRVAGALLVVAALAVAGHYCLYHDPAAFSREEGRSSFLLPLYPKSGGGGAGAGAAGESAGGVKPDSAGAETRENSSAVLPIRGNVFPDGQYYTSMYIGNPPRPYFLDVDTGSDLTWIQCDAPCTNCAKGPHPLYKPEKPNVVPPRDSYCQELQGNQNYGDTSKQCDYEITYADRSSSMGILARDNMQLITADGERENLDFVFGCGYDQQGNLLSSPANTDGILGLSNAAISLPTQLASQGIISNVFGHCIAADPSNGGYMFLGDDYVPRWGMTWMPIRNGPENLYSTEVQKVNYGDQQLNVRRKAGKLTQVIFDSGSSYTYLPHEDYANLIASLKSLSPSLLQDESDRTLPFCMKPDFPVRSMDDVKHLFKPLSLVFKKRLFILPRTFVIPPEDYLIISDKNNICLGVLDGTEIGHDSAIVIGDVSLRGKLVVYNNDEKQIGWVQSDCAKPQKQSGFPFLFKRVLQNQLL >OGLUM06G11180.1 pep chromosome:ALNU02000000:6:9368713:9373156:-1 gene:OGLUM06G11180 transcript:OGLUM06G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSAGSSPPPQPGQLGTLWSTLEDQRGGAREELWALARADPRKAVFAAKVGLALALISLLVFLREPRDIVSHSVWAILTVVVVFEFSIGATFSKGFNRGLGTLTAGGLALAVAELSKHLGKLEEVILIISIFIVAFFTTLTKLHPKMKAYEYGFRVFLLTFCYVMVSGYNTGKFTDTAVSRFILIAIGAAVSLGINVGIYPIWAGQDLHNLVAKNFIGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASTQEETLLGFAIWEPPHGAYKMMKYPWRNFTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFSAEIHRVGIEGAKVLRELGDKVKTMTKLSSSDILAEVHLAAEQLQKRIDEKSYLLVNTERWDTSKQAEGIKEVLNGTGITGKENKIEVKEPTIVEQTTAHHSKSFAVNSFLSRHDSSSTVDNFKLLSWPARRSFHPNLPLEDEETKTYESASALSLATFASLLIEFVARLQNVVNAFQELSDKANFKEPVQEPVAVSTSDGGFLHKICKFVGIKS >OGLUM06G11190.1 pep chromosome:ALNU02000000:6:9376853:9377378:-1 gene:OGLUM06G11190 transcript:OGLUM06G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARAPPPAQLGSLWSALEDQRGGAQEEEEVPFLLSSASWGLPGEAREKEGRAGAAVARAWGAARAAAEELWALARGDPRKAVFAAKVGLALALISLLVFVREPRDIVSHSVWAILTVVVVFEFSIGLF >OGLUM06G11200.1 pep chromosome:ALNU02000000:6:9379342:9387221:-1 gene:OGLUM06G11200 transcript:OGLUM06G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQTPGEGDVAEKRWRAEGTIAGGQSRTLVQALEVSLMSMKDRHVSGRLLRDKLDDERMYMTYVPKTMVTRLSRTTLLAFRGTNEATFRHAARARRRAVVQGAAGGSSGSGAADSRIPGGTDFHLPLSSAAFFFFVFDGGGGDRLESFDGEPELEAAAKGARRRRRLVGEGAAAGRRRGRERRGGASRRDGRHGRGGFGSFERNISPVGKVLEGWRPRPAAGRKAASWRRRAAYDWPLSQIGPIWTMEWIKIPLTLGVKVRDEQYHDFEERV >OGLUM06G11210.1 pep chromosome:ALNU02000000:6:9383024:9388322:1 gene:OGLUM06G11210 transcript:OGLUM06G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKLPNPPRPWRPSLLEAPPLRSLPRLLPAAAPSPTRRRRLLAPLAAASNSGSPSKDSNRSPPPPSKTKKKKAADESGRWKSVPPGMRESAAPDPDEPPAAPCTTARRRARAAWRKVASLVPRKARSVVLLNLVTIVFASNISVVKEAETMLDPDLFNVLRFTISAIPFVPLLLKALNDVQVFIRGVELGIWVAIGYLAQAIGLVTADAGRTAFISSLTVIIVPFLDGILGAEIPAYTWIGALLSLIGVGILELSGSPPCVGDLLNLLSAFCFAIHMLRTEHISRNMKKENFPALVGCQVLVVAFVSAVSFFIKCFTKNVHQWTSQLQSPMKLFGVMIQFPWMSILYTGIFSTTFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGATFIIAGSFMVQILGSFPDVSRGDS >OGLUM06G11220.1 pep chromosome:ALNU02000000:6:9387398:9391106:-1 gene:OGLUM06G11220 transcript:OGLUM06G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRRRSRAAAVLVAALLLAASAATASAASSYPAKVVTGLLSSTASAVVKQLWSLKSTATRTGSAAAGRSMVKYEGGYAVETVFDGSKLGIEPHDVEVTPSGELLVLDSMNSNVYRVQLPLSRYSRPKLVAGSPEGLSGHVDGRLREAKMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSMRGGHLDGPSDDAKFSTDFEIRYISSSCSLLVIDRGNQAIREIALHNDDCEYQYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMVSTADEPQTPPRPSIASIPPYQKPLKPSLRPPLIPTEDQAGKHEAEEGFFTSIGKLIGGAKSSAVEIFSRKKRPTHQYHHHLQQQRANLWPVQESYAIPHDETPPPLDMRAATPRKNYAFMTKEPEKVHHVHHGRPYFNGWDMQHAPQQQPEQQMYHQQHLQQHRQYSAGPQTFYEQSCETTNEIVFGAVQEVDTKRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNNSSNGNNNNNYY >OGLUM06G11230.1 pep chromosome:ALNU02000000:6:9392313:9392712:1 gene:OGLUM06G11230 transcript:OGLUM06G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAEPNIAIGSSLDFAGLDPHIRVPRPHRTQPRSSTAVAAPCDPPSSLHHRAPAPVLDPPTDGHEMRGLGWEAKACAPQTSSELAFVGAVALVGAHLNLA >OGLUM06G11240.1 pep chromosome:ALNU02000000:6:9395402:9395853:1 gene:OGLUM06G11240 transcript:OGLUM06G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAQWHNKRPPAATGLRLDFDDGGSEHVSTTTTSSALSLLSDQLATQFDRYKNEMARMFQDHVRIVDVVDRVDSLLAAGDGEAAPDCQREKEAEASNAARHGAELEERVARLRAEAAAWHPAVKPPCPSL >OGLUM06G11250.1 pep chromosome:ALNU02000000:6:9398703:9398915:1 gene:OGLUM06G11250 transcript:OGLUM06G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRELSRARTGDDVALFVELPLLWSSAARSSEDNEVRSSNLSPPLPDFLRRRHLPFFSLCHHHQLPSPP >OGLUM06G11260.1 pep chromosome:ALNU02000000:6:9405521:9408425:1 gene:OGLUM06G11260 transcript:OGLUM06G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAGAGRWAAAWGRAVGWRAVEDAAAPRLHACQRVSDLLIVASFLSFPFELFYFATCADLSEVKCAVLHFCAFIILCGATNLLAAFTHALPHSAPLLRALTTAKVLAVAASSAVTVSLPTFIPKLLYFKVRESLLRDKASWLHYRDLGLGLVRHHEEATSRVVRELTGQIRGSPPDPHAILRTTALQLADALGLHACAVWMPAAGQPHDLVLMHNLTTWPDAADLLLEVGDACTVTTDDPDMVDVTEASYTILVLLPPHDTAGGWSSHDLEIVQAVARVSSASVVD >OGLUM06G11270.1 pep chromosome:ALNU02000000:6:9405695:9406426:-1 gene:OGLUM06G11270 transcript:OGLUM06G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQHEVVRLAGGGHPDGAGVESESVSELERGGAEDGMRVGRGAADLARELPHDAGGGLLMVADEAKAEIAVVEPAGLVTEQRLPDLEVEQLGDEGGERDRDCRRCGHGEHLGGGERPEQRRGVREGVGERGEEVGGATEDDEGAEVEHRALDLRQVGAGGEVEELEREGEEGRHDEQVTHALALHQRLLGSMQLAAKVRWETHGVQPWRGGILHRPPAHRPSPRRRPPPCPCALPVTKRGRGV >OGLUM06G11280.1 pep chromosome:ALNU02000000:6:9420756:9424251:-1 gene:OGLUM06G11280 transcript:OGLUM06G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0A802] MVIGDGVLTPAVSVFSAVSGLELSMDKDQHKYILLPITCVILVCLFALQHYGTHRVGFLFAPIVCLWLLCISIIGVYNIIHWNPHVYQALSPYYMYKFLRKTQTGGWMSLGGILLCVTGSEAMYADLGHFTQNSIKMAFTLLVYPALVLAYMGQAAYISRHHNFEDGSHIGFYVSVPEKIRWPVLGIAILASVVGSQAIITGTFSIIKQCSSLNCFPRVKIVHTSSTVHGQIYIPEINWILMILCLSVTIGFRDTKHLTNAQGLAVITVMLVTTCLMSLVILLCWNKSIVYALSFLLFFGAIEVIYFAASLVKFHEGAWVPVTLSFIFMMVMCVWHYGTKKKYEFDVQNKVSISWLLNIGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGPKKYRIYRVIVRYGYRDVQKDDVEFEKDLVSSIAEFIRCADSNQNGFMDGASHSCEGLSFISKGLPLEEEEGEFDGSDSTGSSAHKEINPNTTAPKPKRVRFALPKDTKIDREVRDELQELMEAREAGMSFITGRSHMKAKSGSGLIKQIVINFGYEFLRRNSRGPAFAVNLPHVSTVEVGMICLV >OGLUM06G11290.1 pep chromosome:ALNU02000000:6:9439207:9440764:-1 gene:OGLUM06G11290 transcript:OGLUM06G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGGGAAARRKGGWWWWREEAVLAYQSLGVVYGEVAAAPLYVYRSAFAGGDIEHSAGNEEIYGALSLVFWTLTLVPLAKYVLLVLRADDAGEGGTFALYSLICRRVRAGLLPPCAAGEELDAAGAAAAPVSAVRAALERHRVXLDAIDRAGEKSG >OGLUM06G11300.1 pep chromosome:ALNU02000000:6:9447599:9452384:1 gene:OGLUM06G11300 transcript:OGLUM06G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNGFTPYHCYYCSTRTIPDLCIFCLQDGTQGLLPGILQRFSTAAVAEEPISPPVQVNYTQLLIDGKFVDSASGKTFPTLDPRTGELIAHVAEGDAEDINRAVHAARKAFDEGPWPKMTAYERSRILLQFADLIEKHNDEIAALETWDNGKPYAQAANIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKTAEQTPLSALFASKLLHEAGLPDGVVNVVSGFGPTAGAALASHMDVDKIAFTGSTDTGKVVLELAARSNLKSVTLELGGKSPFIIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKAKARALKRVVGDPFKNGVEQGPQIDDEQFNKILRYIKYGVDSGANLVTGGDRLGDKGYYIQPTIFSDVQDNMRIAQEEIFGPVQSILKFNDLNEVIKRANASQYGLAAGVFTNNLNTANTLTRALRVGTVWVNCFDVFDAAIPFGGYKQSGIGREKGIDSLKNYLQVKAVVTPIKNAAWL >OGLUM06G11310.1 pep chromosome:ALNU02000000:6:9455098:9456054:1 gene:OGLUM06G11310 transcript:OGLUM06G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKSKHEHHRMDSFTQIKQHAQSQFIYLARAFSLSHPTQICIGDGEDGRRWGSTAPRVVPRCGVRRAKAPGLVLLLCRRPAEPRPPSHPFIHLVGPRAASHGVFPGTGRSGWGAAGTKLPPNSPSISSFHSSPTSSRLAGIGGLIDPHCILSPARISPINLDDSMSPLPLPLPPPPVMPTAETVVVLAETAAVVAPLVVASKEADSAGDKALDLRLFLRGRDGRCVVMELDFGVLCDNSTFFAASVVRRRPPPLGQIWERREEGMGRQGWRRRFLPLTSPSRRTRSPPLVTAAVAGGGVEREEERNEEGMWREEELI >OGLUM06G11320.1 pep chromosome:ALNU02000000:6:9458241:9459623:1 gene:OGLUM06G11320 transcript:OGLUM06G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGHAMPLLHLTRLLLARGLVSKVTFFTTPRDAPFIRASLAGAGAAAVVELPFPTDDGLNDGAAPPQSMDDELASPSQLADVVAASAALRPAFAAAFARLEPRPDVLVHDGFLPWAERAAADAGGVPRLVSYGMSAFATYVAGAVDGLAGLRLTRAYLNPPFDEPEPTGPLWDLVCKTKASMDSSEGIIVNSFVELEALCFDGWSRMSPVKLWPVGPLCLAFEPGRNMDRDVSDWLDSRLAMNRPVLYVAFGSQAELSWTQLEEIALGLDQSGLDFLWVVRSKWFDSDNRFENRFGDKGKVYQGFIDQFGVLSHKSIEGFFSHCGWNLVLESISMGVPILAFPMAAEQKLNAKFVVDVLRVGLRVWPKKREDDMENGLVAREEVQVMVRELIFGEEGKRASTRVSELAVLSKKAMEIGGSSYTKLEEMVHEISELTRDKSM >OGLUM06G11330.1 pep chromosome:ALNU02000000:6:9461286:9462844:-1 gene:OGLUM06G11330 transcript:OGLUM06G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTRVRRCRFSLYQDHVIAHPVLVVLVFLIADHHHLWASHIVGHVQTSSFDAVLCTVNVQHLQSPEKVCPPLLPLLHSLRPSASSTFCGRMGGEVDDRTWGAGAATWRRRAHRVWMRRCWAVVDARWQVVTDDEGLSPGPYKYLRSFSSVEDAGYDWIKEGCEGQTHRLQSSCGK >OGLUM06G11340.1 pep chromosome:ALNU02000000:6:9463638:9471354:1 gene:OGLUM06G11340 transcript:OGLUM06G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRLQQLLLAAVVLVHAAAVGCRAFQIEEATVDDIQLGFRNGSLTSRRLVLFYLDRIARLNPLLHAVIEVNPDALAQAARADAERRRSPSRCGGPLHGVPVLLKDNIATHDRLNTTAGSLALLGSVARRDAGVVRRLRRAGAVVLGKANLDEWANFRTIQGTGGWSARGGQGRNPYVLSAAPCGSSTGSAIAAAANMAAATLGTETDGSILCPSSLNSVVGIKPTVGLTSRAGVVPISPRQDTIGPICRTVADAVQVLDAIVGYDSRDAKATRAASKYIPPGGYVQFLKPDGLKGKRIGIPNGFFNFPSGTVQQIVYQQLLDTVRKQGAVVIENLDIANLTVIQDVLNNGQQIVLPAEFKSSLNTYLSDLSYSPVRSLAEIIAFNDAHPIEERVKDFGQLIFLVAENTTGIGALERAIIHQLNKLSADGLEKLMKDEQLDAIITPNDSVSTVLAIGGMPAITVPAGYGKRGVPFGICFGGLKGYEPRLIEMAYAFEQATKVRKPPKFLRGTF >OGLUM06G11350.1 pep chromosome:ALNU02000000:6:9478231:9478755:-1 gene:OGLUM06G11350 transcript:OGLUM06G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSVISIFLLVAGVALMLVVHIIVVFWALRRGRGASRGEEEEAGRAAEGNGGGDRRGGGGKGLSADEIGALPCHDVVKGGGGGDCAVCLEALEAGDRCRRLPRCEHSFHAPCVDSWLRKSRWCPVCRADVVGRAPEGERKMAAAAGPAVETTVAGRSSSPATVEIVAER >OGLUM06G11360.1 pep chromosome:ALNU02000000:6:9485079:9486104:-1 gene:OGLUM06G11360 transcript:OGLUM06G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVGDSLARNHMQSLMCLLSKVEYPKDVSKTRDPGFRTMHYESHNFTIAVFWSPYLVTANQSSDPAAGGLWDLYLDEPDAAWAAAVSGFDYAVVSAANWFTRPSMFHERGRLVGCHYCLVPGVPDLTLRYSLRAAFRTALRALAAGVFNGTAIVRTLSPTSHFEGGEWNKGGDCRRTRPSTANETRMSGLDLDFHTAQVEEFRRAEAAAAMASGRSAARLLLMDTTAAMVARADGHPSRYGHWAHEKXL >OGLUM06G11370.1 pep chromosome:ALNU02000000:6:9487960:9491345:-1 gene:OGLUM06G11370 transcript:OGLUM06G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLPVWISIALLIIAASIVASASSLDPSKSNGSDTDLAALLALKAHFSDPDNILAGNWTAGTPFCQWVGVSCSRHRQRVTALELPGIPLQGELGPHLGNISFLSILNLTDTGLTGSVPDDIGRLRRLKLIDLGHNALSGGIPATIGNLTRLQLLHLPSNQLSGPIPIELQALRRLRSIDLIGNYLTGSIPDSLFNNTPLLAYLSIGNNSLSGPIPSCIGSLPMLEVLELQYNNLTGLVPQAIFNMSRLTVVDLGFNSLTGSIPGNTSFSLPVLQLFSISHNRFTGQIPPGLAACPYLQVLRVGNNLFEGAFPSWLAKSTNLSVVSLSRNHLDAGPIPAALSNLTMLTRLRLEMCNLIGAIPVGIGQLGQLSVLDLTTNQLTGPIPACLGNLSALTTLSLAENQLDASVPATIGNMNSLSQLIIARNSLQGDIGYFLSILSNCINLSTLYIYSNHFTGSLAGSVGNLSSQLRVFSAFENSFTGELPAMISNLTGLQQLDLGGNQLHGKIPESIMMMTNLQVLNMEANSLSGTIPLNTGMLNNVELIYIGINKFSGLQLDPSNLTKLEHLALGHNQLSSTVPPSLFHLDRLILLDLSQNFFSGELPVDIGNIKQINYMDISMNRFVGSLPDSIGHLQMLEYLNLSVNEFHDSIPDSFGNLSGLQILDISHNNISGTIPKYLANFTSLANLNLSFNKLEGQIPEGGVFSNITLQSLAGNSGLCGVVRLGFSPCRTTSPKRNRHILKYILPPGMIIVVAAVTCCLYGITRKKVKHQNISSGMLDMISHQLLSYHELVRATNDFSDDNMLGSGSFGKVFKGQLNSGLVVAIKVIHHHLEHAMRSFDTECRVLRMARHRNLIKILNTCSNLEFRALVLQYMPQGSLEALLHSDERMQLGFLERLDIMLDVSMAMEYLHHEHYEVVVHCDLKPSNVLFDDEMTAHVADFGIARLLLGDDNSMISASMPGTVGYMAPEYGVLGKASRKSDVFSYGIMLLEVFTRKRPTDAMFVGDLSIRQWVHRAFPIDLVHVVDGQLVQDTSCSTSSIDGFLKPVFELGLLCSADSPEQRMEMRDVVVTLKKIRKDYVKSTAKAGSTAQQ >OGLUM06G11380.1 pep chromosome:ALNU02000000:6:9492073:9502152:-1 gene:OGLUM06G11380 transcript:OGLUM06G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLQVVRPRVVSPLPALAAAAAVAVLLLLLTAAGRPSFLGRYEAITISSVASLPSGYSSESARRAPAVVVARVPSDCDIFRGEWVPDDGGDGGGGAAPYYTNASCPLIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFMEVVRGRSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTKDPEFRTVRYESHNFTVAAFRSPYLVTANQSSDPAGGTWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHEAGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTAGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAAASGGAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHQPGAVSILGFLPVPMTPLNNLCNFRVKKQYYNPRCLIPAVSLLLLVVILTKAADEACNIFRGEWVPDPDAPYYTNDTCSVIHEHYDCMKYGKPDLGFVQWRWRPDSCDLPRLDPARFLSSMRGKTLAFIGDSLARNHMNSLICLLTRVAEPTTSWPSSEHTVYHYGGGYNFTVLSFWAPFLVRNELVDADGPAHTGLWNLYLDEPDAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGGGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGVELDFHTLQVEEFEAAKRAVTASGGSGGAVRMMLMDTTEAMIVRADAHPSRSMMKFHEVIKLPSIAHYGLRYVLPAAAVAACVLVLAAVSLPGRVPLPPLLAPEVTKNTADGVGGDRSGCDIFKGEWVPDMSGEPPPYTGESCPVIHGHYDCMRYGRPDLGYVRWRWRPDGGCEMRRFDAARFLAAMRGRSVAFVGDSLARNQMHSLVCLLSRAERPAPWTNGSYAYRFERHGLTVAAFWSPFLVRAVETDPDGPTGSGAGLWSLHLDEPDAGWAAHVGAFDHVVVSAGSWFYRPSMFYDRRGRLVGCNTCLSPNVTDLTLRYSLRMAFRSALRAAATGGARRRGGRAARRTVIVRTISPSHYENGTWNGHGDCVRTRPARRGEWELNAMEKDMHRIQVEEFAAAARKRGKGAARMMLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIGEHIFTLSHKTDLTAV >OGLUM06G11380.2 pep chromosome:ALNU02000000:6:9492073:9502152:-1 gene:OGLUM06G11380 transcript:OGLUM06G11380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKKLQVVRPRVVSPLPALAAAAAVAVLLLLLTAAGRPSFLGRYEAITISSVASLPSGYSSESARRAPAVVVARVPSDCDIFRGEWVPDDGGDGGGGAAPYYTNASCPLIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFMEVVRGRSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTKDPEFRTVRYESHNFTVAAFRSPYLVTANQSSDPAGGTWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHEAGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTAGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAAASGGAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLLHQPGAVSKAADEACNIFRGEWVPDPDAPYYTNDTCSVIHEHYDCMKYGKPDLGFVQWRWRPDSCDLPRLDPARFLSSMRGKTLAFIGDSLARNHMNSLICLLTRVAEPTTSWPSSEHTVYHYGGGYNFTVLSFWAPFLVRNELVDADGPAHTGLWNLYLDEPDAVWAPHVPAFDYAVVSASSWFYRPSMLYEAGRLVGCHHCLLPNVTDLTLRYALRMATRAALRAVVGGGGGVTAVLRTVSPSQYEGGEWNKDGNCVRTRPYRRGEKTLQGVELDFHTLQVEEFEAAKRAVTASGGSGGAVRMMLMDTTEAMIVRADAHPSRSMMKFHEVIKLPSIAHYGLRYVLPAAAVAACVLVLAAVSLPGRVPLPPLLAPEVTKNTADGVGGDRSGCDIFKGEWVPDMSGEPPPYTGESCPVIHGHYDCMRYGRPDLGYVRWRWRPDGGCEMRRFDAARFLAAMRGRSVAFVGDSLARNQMHSLVCLLSRAERPAPWTNGSYAYRFERHGLTVAAFWSPFLVRAVETDPDGPTGSGAGLWSLHLDEPDAGWAAHVGAFDHVVVSAGSWFYRPSMFYDRRGRLVGCNTCLSPNVTDLTLRYSLRMAFRSALRAAATGGARRRGGRAARRTVIVRTISPSHYENGTWNGHGDCVRTRPARRGEWELNAMEKDMHRIQVEEFAAAARKRGKGAARMMLMDATEAMAQRPDAHPSKYRLWQPDKFKVSRDCVHWCLPGAMDACNDMLFHMLIGEHIFTLSHKTDLTAV >OGLUM06G11390.1 pep chromosome:ALNU02000000:6:9516917:9518762:1 gene:OGLUM06G11390 transcript:OGLUM06G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLFASRAALSLTAALAVAVVVLLTASSRSRRPSFLRRYEPTVVATSLPSAAPPAYSRSAPPSPTPTAVVARVPRDCDIFRGEWVPAADGDDDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFMEVVRGRSMAFVGDSLARNHMQSLMCLLSKVENPKDVSTTKDPEFRTVRYESHNFTVAAFRSPYLVTANQSSDPAGGTWDLYLDEPDAAWATAVAGFDYVVVSTANWFNRPTMFHEAGRLVGCHHCLVPGVADLKRTYSLRAALRTALRALTAGAGGAGFDGTVIVRTLSPTSHFEGGEWNKGGDCRRTRPTAARMAGLDLDFHTVQVEEFRRAEAAAAAASGGAARLLLMDTTAAMVARADGHPSRYGHWAHEKVTLYNDCVHWCLPGPIDVWNEMLLQMLLHHHRSGEFSV >OGLUM06G11400.1 pep chromosome:ALNU02000000:6:9529465:9538183:1 gene:OGLUM06G11400 transcript:OGLUM06G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLQRVNPTAAASLTALAVVVLLTASASRPSFLRRFEPSIASLPRAARRAAPADCDIFRGEWVPATAADDGAAPYYTNATCGEIQEHQNCMKYGRPDLGFLRWRWRPERCELPRFDAAAFLDLVRGKSMAFVGDSLSRNHMQSLLCLLSKVENPREVPKTADPEFRAVRYESHNFTVAVFRSPYLVTANQSDPAGGMWDLYLDEPDAAWATAVAGFDYVVVSTGIWFNRPTMFYERGRLVGCYSCRLPGVPDLTLRYSLPLAFRTALRALTAASVFNGTVIVRTLSPTSHFEGGEWDKGGDCRRTRPTAASEARMSGLDVDFHAAQVEEFRRAEAAAAASGSAVRMLLMDATAAMVARADGHPSRYGHWAHENVTLYNDCVHWCLPGPVDVWNEMLLQMLLRHQSRQQPYLFPEALNLIRMYARALTCSPRPDPSTTSPPLSPAVDFHICVSGARSIGDLPLLTRRHGDATGEASSVTVMKRLSIRGLLAGARRTRHAVAAKATTSVPALVALLFFFAAATFSVFSLGSFRSPGAAAGDGAAACDAALARGRGEWVRDAGAAPYYTNATCGFIQDYQNCMKHGRPSMEFLRWRWRPGAGGEGCEPLGLFDAARFFRLVRGRSMLFVGDSLASSHVTSLVCALSQVEAPARSRDAAAGLERWRFPAHGFAVAYFWTPFQVRWRLTRGPPEAVGPERQGEVFAGPSDLHLDEPDERWTSAAKSHDYVVLSASHWFARPAVYYQHGRVVGCHDCGGDDNATAAAIVKKPEHAQRAAFRAVLGALARLDGFNGTAILRTVAPTHYENGGWFDGGECTATRPVNESEDGAAAPEMAATEAEFYRAQVEEFAAAAAARRGNGGGARARLRLMDVTRMMLLRPDGHPDRHGHGGGEHDGFEIDCLHWCLPGAIDVWNDLLLHIIVSS >OGLUM06G11410.1 pep chromosome:ALNU02000000:6:9533187:9536596:-1 gene:OGLUM06G11410 transcript:OGLUM06G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSTIFGGIGSYTRPGLLDCHWEVLDEGVHSAMRWHSVPTIHVRYSSPDACVAFPI >OGLUM06G11420.1 pep chromosome:ALNU02000000:6:9548249:9551600:-1 gene:OGLUM06G11420 transcript:OGLUM06G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:A0A0E0A817] MGFIGDTIESIRSMQVRQVLAQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQESAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIIMTEKPIIKYLLIGALGLLVITSKE >OGLUM06G11430.1 pep chromosome:ALNU02000000:6:9551627:9554873:-1 gene:OGLUM06G11430 transcript:OGLUM06G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGGFRKWACGTWAKKAPLNPTPLSQIDPPNLAPCERLAIVATTAKQAATTTSVAGARSGSNNLWSDDGEELNTSPLSAREDPIAAVMARSIFLRLLPARVSLSSSSPSPVVAGRGVLKLALDT >OGLUM06G11440.1 pep chromosome:ALNU02000000:6:9554812:9556428:1 gene:OGLUM06G11440 transcript:OGLUM06G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor binding protein [Source:Projected from Arabidopsis thaliana (AT4G15802) TAIR;Acc:AT4G15802] MAAPGSGGIPIKADQDSDGSAQSTADMTAFVQNLLMQMCSLDEMGARIDELEQSINDLKVEMGTEGVTPTKPKDEESKPAGSSAE >OGLUM06G11450.1 pep chromosome:ALNU02000000:6:9555269:9572362:-1 gene:OGLUM06G11450 transcript:OGLUM06G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPAVRAMIPSLSPLLAAMQPSPMLPLPPLLYRAEAVDGGRVSLAASLVPSTLLPRSSLQPHAVAATQSISSTYVSSNLALSTWLLRYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVISKEICEQYLCSAAHAIASDVPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGELSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNATECLAAFISGGRQELLLWGGGQGRTLKMLLDAASRLLDPALESSISLFVGSYILQLIIHLPSHLSPHFPELIAAIVRRMQSSSITGLKSSLVVIVARLGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRRPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKNRQHEET >OGLUM06G11450.2 pep chromosome:ALNU02000000:6:9555269:9572362:-1 gene:OGLUM06G11450 transcript:OGLUM06G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTEVHQYPFLHARAFSILSKFSSVISKEICEQYLCSAAHAIASDVPPPVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGELSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNVWQHLYRVGGKSCFSGVVDKDGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRRPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKNRQHEET >OGLUM06G11450.3 pep chromosome:ALNU02000000:6:9555269:9572362:-1 gene:OGLUM06G11450 transcript:OGLUM06G11450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26170) TAIR;Acc:AT1G26170] MAAGAGDGDQRWLVECLTATLDTARDVRAFAEESLRQASLLPGYGAALTKFIKQHWQEDEENFMPPVVSASEKVIIRQLLLTSLDDSHGKIRTAIGMAVAAIGQQDWPEDWPELLPYLLKLISDQSNGCGVRGALRCLALLSDDLDDTCIPKLVPELFPSLYSIISSPHLYENSLRAKALAIVHSCISMLGSMTGVYKRETVSLISSMLDPLMEQFSAILNSPVLSHNPDDWNMQMETFVSSFKVYQLSMIQASEDVDSVGYDSDGSERSLESFGIQLQNWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAYDEYGIESVLEASQMRFHESRELKKAGSTDWWRLHEASFFALGSLSEQLCEAQDSGYNVRDLLEQMVTDTVGTVKVGACRALAQLLPESNQSLNVPNIMGILSSLVDLLGKASDETLHLVLETLQSAIKSCGELSTLIEPVISPVILDVWAQHIADPFISIDAVEVLEAIKNAPGCLEPLVSRILPTIGSILEKPKIQQNGLAAGSLDLLTMILKNAPTTVVKAIFDTCFTSIIQIVLESDDHGEMQNVWQHLYRVGGKSCFSGVVDKDGYNNSLAYIMSEWSQLQSEIQGAYQIKVTTTALALLISTRRPELSRIEVQGHIIKTSAGITTRSKARVAPDHWTKIPLPAKIFSLLADTLAEIQEQAVGDEDDCEEDSDWEEIQNGDSSIPHDMIYSASVPSNAKPSVEHLNAMAKVFDEDDDGSYDDDLAKADSLNEVKLSDFLTNIFVKLWESDRPLFEYLCQALTDSQRTAVDKNRQHEET >OGLUM06G11460.1 pep chromosome:ALNU02000000:6:9574132:9576514:1 gene:OGLUM06G11460 transcript:OGLUM06G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDTESEKKKTPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGQKGLCIIAGNISPIDVITHVPILCEEANIPYVYVPSKEDLATAGITKRPTCCVLVLTKPAKGELEEDVKEKLKTDYDQVMSEVAEVTSSMF >OGLUM06G11470.1 pep chromosome:ALNU02000000:6:9582868:9585110:1 gene:OGLUM06G11470 transcript:OGLUM06G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPFFFFLLLLLVSSSPSTARLSSYGVNTEGQLAIVSAPIPAFVQALIEIKNLLEDPHGVLKSWDQNSVDPCSWALITCSPDSLVTTLEAPGQHLSGLLAPSIGDLTNLETVLLQNNNISGPIPAEIGKLANLKRLDLSSNQFRGEIPSSVGHLESLQYLRLNNNTVSGPIPSASANLSHLVFLDLSYNNLSGPIPASLARRYNVVGNPLICEQDCYRMAPMAMFH >OGLUM06G11470.2 pep chromosome:ALNU02000000:6:9582834:9585110:1 gene:OGLUM06G11470 transcript:OGLUM06G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMAMFH >OGLUM06G11480.1 pep chromosome:ALNU02000000:6:9592593:9593527:-1 gene:OGLUM06G11480 transcript:OGLUM06G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVHIDTGHLKHRRDPRALTTDRLNHEHGRTLLLNYRKAPRPGGGGQKKGGAQRSERSHGRHEEDVRAEVRGNKDHVVFHDSSEELRLLQDISYDCSNHHQLYHQCREGDTGVSRRATKERWREEGRKGCGEGEEGNGGGAGFNSYRRRVAGGRETAQRGTEKGGGHQGRRKGWLQGRPPQMPATGRGGRRPDSPTPSESPGGPTTKADWRRYAATRTSTIRCPRTGARTTARVCRLARLRKPPLRRPRRLTSRQTPQRSPPATPPPLRAAPRHRRRRRTAQIR >OGLUM06G11490.1 pep chromosome:ALNU02000000:6:9594480:9602040:1 gene:OGLUM06G11490 transcript:OGLUM06G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFFFLLLLLLLVVSSSSPSAALLSAKGVNNEVQALIVIKNLLKDPHGVLKSWDQNSVDPCSWAMITCSPDFLVTGLEAPSQHLSGLLSPSIGNLTNLETVLLQNNNITGPIPAEIGRLENLKTLDLSSNSFYGEIPSSVGHLESLQYLRLNNNTLSGPFPSASANLSHLVFLDLSYNNLSGPIPGSLARTYNIVGNPLICDANREQDCYGTAPMPMSYSLNGSRGGALPPAARDRGHKFAVAFGSTAGCMGLLLLAAGFLFWWRHRRNRQILFDVDEQQIENVNLGNVKRFSFRELQAATEGFSGKNILGKGGFGNVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPFMSNGSVASRLKAKPALEWGTRRRIAVGAARGLVYLHEQCDPKIIHRDVKAANVLLDEACEAVVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSDRTDVFGFGILLLELVTGQTALEFGKSSNQKGAMLDWVKKMQSEKKVEVLVDKGLGGGYDRVEVEEMVQVALLCTQYLPAHRPRMSDVVRMLEGDGLADRWEKASGHSTAAADSLSHSHRTSDPAPPAADFAAAFGRCFSDLTDDSSLLVQAVELSGPR >OGLUM06G11500.1 pep chromosome:ALNU02000000:6:9596318:9597547:-1 gene:OGLUM06G11500 transcript:OGLUM06G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLNPSVAACSSLKLNLFTFPRLFWKKIEVTIDFEEGTSRICRLRRWRHQKRKPAARRRRPMQPAVEPNATANLCPLSLAAGGSAPPREPFRL >OGLUM06G11510.1 pep chromosome:ALNU02000000:6:9602285:9602842:-1 gene:OGLUM06G11510 transcript:OGLUM06G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTSEHSDGLARSMTAFSVTGVIISRFAQRTRRLSYPLRQLRALHAKTGRLHLLVGAPDLVPLRFATSLSFLLAHDNTDLTTIVDSFLADPLILMIPDALASLHSLLCP >OGLUM06G11530.1 pep chromosome:ALNU02000000:6:9611846:9621953:-1 gene:OGLUM06G11530 transcript:OGLUM06G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAQRRSSTLEPPPRQSPTAATDDHHQSTTAVRGGERAGGVHNDVKYRRRSDAWCGNAVELRPADSPLAGGLVFEVAHARLRSPPRRSSIASSSAPEKSQHRLQFRRGSDW >OGLUM06G11540.1 pep chromosome:ALNU02000000:6:9613552:9616407:1 gene:OGLUM06G11540 transcript:OGLUM06G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFGGNVFDQEVGVGGEGGGGGEGSGCPWARPCDGCRAAPSVVYCRADAAYLCASCDARVHSANRVASRHERVRVCEACERAPAALACRADAAALCVACDVQVHSANPLARRHQRVPVAPLPAVAIPATSVLAEAVVATATVLGDKDEEVDSWLLLSKDPDNNNNNNNNNNNNSNSSNNGMYFGQVDEYFDPVGYNSYYDNRIENNQDQQYGMHEQQEQQQQQQEMQKEFAEKEGSECVVPSQITMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSISFSSMEVGIVPDSTVIDMPNSSILTPAGAINLFSGPSLQMSLHFSSMDREARVLRYREKKKARKFEKTIRYETRKAYAEARPRIKGRFAKRSDVQIEVDQMFSTAALSDGSYGTVPWF >OGLUM06G11540.2 pep chromosome:ALNU02000000:6:9613552:9615665:1 gene:OGLUM06G11540 transcript:OGLUM06G11540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNYNFGGNVFDQEVGVGGEGGGGGEGSGCPWARPCDGCRAAPSVVYCRADAAYLCASCDARVHSANRVASRHERVRVCEACERAPAALACRADAAALCVACDVQVHSANPLARRHQRVPVAPLPAVAIPATSVLAEAVVATATVLGDKDEEVDSWLLLSKDPDNNNNNNNNNNNNSNSSNNGMYFGQVDEYFDPVGYNSYYDNRIENNQDQQYGMHEQQEQQQQQQEMQKEFAEKEGSECVVPSQITMLSEQQHSGYGVVGADQAASMTAGVSAYTDSISNSMSLHFSSMDREARVLRYREKKKARKFEKTIRYETRKAYAEARPRIKGRFAKRSDVQIEVDQMFSTAALSDGSYGTVPWF >OGLUM06G11550.1 pep chromosome:ALNU02000000:6:9628770:9638146:1 gene:OGLUM06G11550 transcript:OGLUM06G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23380) TAIR;Acc:AT2G23380] MEPGQLPMVAGRCIRHQQSTSRLMSVAWSAMWPALRPMSLLVLSPQPMFCEEGSSESGYVLCVIDSLKKKITSDRFVYIQKRVEENSIKLSPITLHSHNLSKNRQTSTSNSTDLVSNLLTKRKEDALCAVNSRESSPDESEGANCQDECSSTVIVGGNLSARNSVRPIRLPEVATLPPYTTWIFLDRNQRMQEDQSVLGRRRIYYDTNCGEALICSDSEDEAVEDEEEKKEFKDSEDCIIRMTIQECGMSDAVLETLARDIERAPDDIKARYEILQGEKPEGSSKKVSELNVKMEDVYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPIEKQAPLCSSDEGTPCGIHCYKLVSKPDAIMEIDSHLLVDVEEPTSDNAKDQIGSNKKKLGSSGQKTKSQQSESSSTARVSSESSESEVQLLSNKSPQHSPGLSKNKLGAKGGIKKSTNRRIAERILMSVKKGQQEMSPDSNSIVNGCLWPRDMKLRSDTRSGIKDSVASSQCNSPSTRSFRKKGTLQMENNSSFVDAQSDSMEDTNNEHSATDGCDSSRKEECVDESICRQEAHGRSWKVIEQGLLLKGLEIFGKNSCLIARNLLGGMKTCTDVFQYMNYIENSSASGALSGVDSLVKGYMKGNELRTRSRFVRRRGRVRRLKYTWKTAGYHFIRKRITERKDQPCRQYTPCGCQSACGKQCPCLTNGTCCEKYCGCPKMCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEYVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERISAGEELFYDYRYEPDRAPAWARKPEGPGAKDDAQPSTGRAKKLAH >OGLUM06G11560.1 pep chromosome:ALNU02000000:6:9639746:9646415:1 gene:OGLUM06G11560 transcript:OGLUM06G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHGQALSFHGGAMFLQEAQIASPAAANNALISMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >OGLUM06G11560.2 pep chromosome:ALNU02000000:6:9639746:9646415:1 gene:OGLUM06G11560 transcript:OGLUM06G11560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHGQALSFHGGAMFLQEAQIASPAAANNALISMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >OGLUM06G11560.3 pep chromosome:ALNU02000000:6:9640659:9646415:1 gene:OGLUM06G11560 transcript:OGLUM06G11560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKDATDLEERSEASEHGQALSFHGGAMFLQEAQIASPAAANNALISMANPFPIPPGLWNPPSHNMGLGETSFSSLLGMLSAGAPPPFVATPGFVDSTAGFPCYNGGNLGAMINHPFPGIHQPLGDFQNGVEPCREIEDIEIEGSKNVSQTGEKQQGDGETTHAVDSSSKELSMPGRNGGAGHDEGTRVSCSKKRKRSGQDGGVKHAEGGEQLATVGSAQKNEDDEKGEPKRSSVASGKSSGKQIKDNAGSPKEDYIHVRREKISERMKYLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLASVNPTLDFNIERILSKDIFQCRGTTASSAFGFFPDIVHPRLHPPKYTQVGMPSIVNPTDAFGRVIHAPLGTNSAFKEPKHQMPNNLNGEFQDVIEMPFTHDHHGSNDQP >OGLUM06G11570.1 pep chromosome:ALNU02000000:6:9644519:9648802:-1 gene:OGLUM06G11570 transcript:OGLUM06G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A836] MQRSGAQARKASLVESIEAAISELMPPEAAADAEHENFMDVGSHLYHAPLATMELQRAQNSTVNVMHVMEYLAANVDLAKDLVMRCSAVARELKNDDLLGMTEDLDSVIKNIGHELSRIPASTFGSSRFPDGRADANLQVAGHRPRYCDQNSRDGYSEADMSIIPANSRPRRRTLHNSDMPRLVDFLQGMYHESHDIGAHSFNSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESGVTYDRKAIEEYFEKFADGSEPVVCPVTKMSMQSKALRSNVPLKSTIAEWIMRNEATRVRIARTALSMASTEAMLLEAIQELKLLAKIRRKNREQMHKIGITKFLPRLLEHKDGLIRCDSLDLLCLLAEDETGKEVIANTRAITRTIKLLSSNSSDERHAAISFLLELSKSELLLENIGSTAGSILMLTTMKFNDSDDPVAAEKAGEVLKNLENCPKNIKYMAESGYLDPLQRHLVEGSEDVQMEMVSYLGELVQKQEMTINIAGSASEILIKMVHSGNTVIRKAALDVLVQISSDGPNSKTLVDAGAVPVMVEELFIRKIDDEPMGSKTEAAAVLANIVESGLDPDTIVVNKEGHVITSKYSVYNFTHMLKCSMPDDLNLSIIRVLLALTALPKPLMTVVSVMKEQDSSLTVIEFMGSKTEALGISATRLLIALSPQMGHTIAEKLCKAPGQPGRLVKSIGQPGRVTERHAVAATLLARLPYQNITLNLALLEQGAVPTLLAKIEEMQRGEMRVSRHAKTYMEGLVGALVRMTTTLYDPDVLLAAMDHNFTAVLTDLLVRSAGSDEVQRLAAVGLENLSHQSVNLSQPPSEEQRRPKKKNILRRLRDAHTGRVHDNNRKPPPAAQQGRLCPVHRGVCSPATTFCLVEAGAVEALVGVLESNENGRVVDAVLGALCTLMDDAVDVERGVAALAEHDAARHVLRALRQHRDVSAVGGGGGDTGGVVSRRCFWAVERFLAHGGERCVRDVTADRALPSALVSAFHKGDAATKQVAESVLRSLHRMPDYSATYVSVEL >OGLUM06G11580.1 pep chromosome:ALNU02000000:6:9671444:9674786:1 gene:OGLUM06G11580 transcript:OGLUM06G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKRDGEFAGASFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPNLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSPMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >OGLUM06G11580.2 pep chromosome:ALNU02000000:6:9671430:9674786:1 gene:OGLUM06G11580 transcript:OGLUM06G11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVTERLPEGSSEPLLPTKRDGEFAGASFAGAVFNLSTTIVGAGIMALPATMKVLGLAPGLVAIVLAALLTDASIELLVRSSRAAGAPSYGAVMGDAFGWWGRRLLQVCVVVNNIGVMIVYMIIIGDVLSGTSSGGEHHYGVLEGWFGPQWWNGRFFVLLVTTLVVFTPLACLKRVDSLSYTSAISVALAVVFVIITAGIAIVKLIKGQIPMPKLFPDVPNLASVWELFTAVPVLVTAYVCHYNVHPIHNELKDPSQIKPIVHTSLVLCSTVYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSPMLNDAVRVSYAVHLMLVFPMIFHALRLNLDGLLFSSSSPLSSDNRRFSVMTAVLLLVIFLSANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPHSIAKKWDKILSIFMIVLAIVSNVVAVYSDAYSMFHRKSSPSIA >OGLUM06G11590.1 pep chromosome:ALNU02000000:6:9676039:9683279:1 gene:OGLUM06G11590 transcript:OGLUM06G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G38840) TAIR;Acc:AT5G38840] MDPSMPPPPPRNPNPSSASMPPPPPPPKFSLAAAEVEPASKPESANPTSSMPPPPPPRPVEGASTSSSMPPPPLPRPTAPPQPEVEGAPGADVSAEAEVDEAGNSGRGSGDVEMAEAAAPPPSQQQQQPRPRAPYAIPEWSAAPGHPFFLEVLKDGTIVDKLDVSRKGAYMFGRIDLCDFVLEHPTISRFHAVLQFRNDGEVFLYDLGSTHGSFINKTQVKKKIYVEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDARVQQDMLDREASLLRAKNQAALAEGISWGMSEDAIEDSAEDEADEITWQTYKGQLTDRQEKTRSKIIKRLEKITNMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRTSQLMEELENLEETLNDSIRESLGARTGNSNRGSHKASLEEEDDILSDEDDFYDRTKKKSSSHKSSEQQVETADSLLDKKDTITSDIESKKKLVEEEKNKLAKSENADVGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQTELGRVVYLLKIADPMGEAARKRDLKPRETKSPASNDSLRPESRKQNKVAQNKASTEEKLKESCAEKTQVDKPAEEEKGISTNQENGSKPAFSIPKPQWLGDKRTVEPEENCIKEESANEEETDNFVDYKDRKTILSGSASGKDLEEAAPGLILRKRKSDQSAANEVESSSVESEASAADAVALLLKHKRGLQTSEDMEDENEPQASKGKSKKSKQKRVLGPARPDFLDAGPDHETWVPPEGQTGDGRTSLNDRLGY >OGLUM06G11600.1 pep chromosome:ALNU02000000:6:9716168:9718644:1 gene:OGLUM06G11600 transcript:OGLUM06G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDGSKLASILIRWKTNTAQTTPRSEQSNEVRDDSDCVEIFSSPDNEKKFTSKKRIDDSQESKPSSNQAKYQSLMSVLSRMGKNELISGLCNYIKSIDCAETSQKVWVQTSKPYSIRLTLQKLQGILKEGEPMDHDCFNIIKIIDFGRHPDYPKKLNVEQLVDSVCNGHGIDYNISKCKLILIPTDYCGTFVLIILDQETRTLYILDPTPLNPIYENNPNARYTKKLLCIGEYLAKAMVKACPRSRWNEDINLWRQIILPNVPIRNRELSGYYVSLFMQTYYCQIFRMATNYGSIFWHSYWRSKTTNVKAICLMVYETC >OGLUM06G11610.1 pep chromosome:ALNU02000000:6:9719317:9720487:-1 gene:OGLUM06G11610 transcript:OGLUM06G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A841] MAPLPLLVPPPRPPATDPTPPSSLAMDRPSLSLPTTDPPPPSSVPAVVGFGAERIGAALAFRRREWSKTGRLCESWMPDPDLVQWTNVRLDVPLVLLLVVQSALEAWLRAAEFESSPAPFLPVTDVLDSPYMELAHRVDEALGFMSADGLTMDHPIMTIKFWTSHGCLLLPYEQALPENMRVKLPHLIRAVHVAVQIVTWVTDPMHGNTMKAPCGLKTRSFATFQYLLVPITSQ >OGLUM06G11620.1 pep chromosome:ALNU02000000:6:9727280:9728024:-1 gene:OGLUM06G11620 transcript:OGLUM06G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFMAGGRVRRARCRPKPAEDAGEAWYKVGTRSVASSSSAAVPRRRARPVARPPRLRDCSSSSLLGYNAGTMYQGDEMEGRVGEAGEYT >OGLUM06G11630.1 pep chromosome:ALNU02000000:6:9773666:9774091:1 gene:OGLUM06G11630 transcript:OGLUM06G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDRGDVLTEYKSVKHKSLFILEMKWIDAFLVVIHKGLLSEVTTAVDHIVAMFELQLLEKKVYAQFIMQLQLHEAIQDDLSAYKKRNIADRQHASKIRMLRRGNCYLYQETSNTGSWWRWHLTVLCIGLGKSRMLRRGDC >OGLUM06G11640.1 pep chromosome:ALNU02000000:6:9858012:9860547:-1 gene:OGLUM06G11640 transcript:OGLUM06G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLAMIFPSLLSPSDATSTYLRRRQVLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKDHTIQMQPSSQPSGLYGEATRSFTQTWNQNGEKTNEEDVMRATSLTTFGKKTHDRSPHPHSHLAGVTSGHYYGVAYATGDANYYGTKVTINVYPAMYGDDKTRLFIYWTRDAYNETGCYNLACSGFIQTNPQFVIGGSISPVSTYGDTQYEYDYLVWKDPAGGNWWLQVQGNNVGYWPSSIFTLLQTGVADSVEWGGEVDSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSEIEVM >OGLUM06G11640.2 pep chromosome:ALNU02000000:6:9858012:9860547:-1 gene:OGLUM06G11640 transcript:OGLUM06G11640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLAMIFPSLLSPSDATSTYLRRRQVLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKDHTIQMQPSSQPSGLYGEATRSFTQTWNQNGEKTNEEDVMRATSLTTFGKKTHDRSPHPHSHLAGVTSGHYYGVAYATGDANYYGTKVTINVWQPTIATSGFIQTNPQFVIGGSISPVSTYGDTQYEYDYLVWKDPAGGNWWLQVQGNNVGYWPSSIFTLLQTGVADSVEWGGEVDSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSEIEVM >OGLUM06G11640.3 pep chromosome:ALNU02000000:6:9858012:9860547:-1 gene:OGLUM06G11640 transcript:OGLUM06G11640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARTWSRRGLVALLLLAMIFPSLLSPSDATSTYLRRRQVLKRLNKPPLATIQSPDGDIIDCVHISRQPAFDHPLLKDHTIQMQPSSQPSGLYGEATRSFTQTWNQNGEKTNEEDVMRATSLTTFGKKTHDRSPHPHSHLAGVTSGHYYGVAYATGDANYYGTKVTINDPAGGNWWLQVQGNNVGYWPSSIFTLLQTGVADSVEWGGEVDSPQITTPMGSGHFPEEGFGKATYSRAIQVVDSSNNLKPPNGVGLIAPLPSCYNVMTGSSSTTSWGTYIYYGGPGCPQNSEIEVM >OGLUM06G11650.1 pep chromosome:ALNU02000000:6:9933842:9938625:-1 gene:OGLUM06G11650 transcript:OGLUM06G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVALSTTAGDNCGRHVMRSRCGCMLVAPGAQGIDVNIKETNGQTNMKNQQYHIFWARGSIIHYSPYKLEAQMQNVVILASRLISMKASQHFEQLEEEMYHPTLYANWYAMALWSHKHQAIPSMNV >OGLUM06G11660.1 pep chromosome:ALNU02000000:6:10074031:10076115:-1 gene:OGLUM06G11660 transcript:OGLUM06G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLQHEMSTEELLQGHLQVYHHFFSYIKSMALKCAAELGIPAAIHRRGGAATLRDIAADVALRQAKVPHLRRLMRVLTVSGIFAMKQQQPASSGEAVYTLTPASRLFVAGGEHDMSPMLRFLVHPTALTPFFSLHAWFRVDDEEEEEEPVAGGGGGGGAATSLFEMAHGFPRWEMTGRDAAYGAVLNDAMAADSRFVMDVVFREGGGDVFRGIGSLVDVGGGHGAAAAAVAAAFPHVKCSVLDLPQVVRKAPPDAGDVRFVAGDMFEYYVLHCFGDDDCVKILRRCKDAIPTRDAGGKVIIINMVVGSGSQSDIFKETQALFDLYMMYINGVEREEKEWESIISKAGFSAYKIMPILGFLSIIEVYP >OGLUM06G11670.1 pep chromosome:ALNU02000000:6:10078982:10079416:-1 gene:OGLUM06G11670 transcript:OGLUM06G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRWHVDSDLNPFGFFGTVAERRVRQGGDFSPLTAYALVPLGCLRHVHGDGFLGFRALGNAGQVRVLPAIFIWLAPNIDLPNAPPLSLFSKGQPRIHAIKT >OGLUM06G11680.1 pep chromosome:ALNU02000000:6:10082456:10098389:-1 gene:OGLUM06G11680 transcript:OGLUM06G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQDESKSDISKSKKAADSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHWYQSARGQCVFLCSRVRGGVEEALACLACEQLSAAQATIIAAHDNRIVANSIFISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYSLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAIHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPRYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTEPRIRGVTTQLVDRCRKPFCMHHLSWYSHWNLHFEQEVASCCHDHGHGSHQFSFLILLLNIFCSCIEHKKTCQIIHP >OGLUM06G11680.2 pep chromosome:ALNU02000000:6:10082456:10098389:-1 gene:OGLUM06G11680 transcript:OGLUM06G11680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRLIPHRYAGRAASLALPPPPGAPTAMLQFGVSQRCSYNFMPYSLSNNSSRQLSSIGSRLPLQLKSGAFFTTGLMGNPNFVSLRAAYRHGISLRANNIRNSRSFLTLRNTKVTFPIRNKCLFGNPNMRKEDGSVAHSMFHRSEKRKSTLAACGTITDEASTSTSKRSKSGTGTKKTTTRRKSPTSRKKEASEDMKEEKASTKKQRKSVKTSTAATKSRKIGVNQDESKSDISKSKKAADSSKEKKTSSRSKKSSKAKESAASNATAKAEICTMTSVSEQKPLVPLYPPTAKSVLVVESVTKAKVIQKYLGDMYEVLPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIRTALKGAENLILASDPDREGEAIAWHIKEMLEQQDALGSKVTVARVVFHEITEDAVKKALISPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFDPQEYWTVDTDFKTQHSGPSNGLNLQSRIKHLNSKKLDQLSIRSQEEAHNIEKRIYSSQFEVTGIKRSKINKNPPMPYITSSLQQDAANKLHFSAGYTMKVAQKLYEGINLSSEEATGLITYIRTDGFHISDGAAEDILSLVKQRYGEEYASEGIRKYFKKVKNAQEAHEAIRPTSIRRLPSSLVGALDDDSLKLYSLIWKRTMACQMEASRTDMIQVDIGNSEGDMIFHSSASRLDFKGYQAVYDDTEASPSSYNSEVDAIHQDNFEALSKLEVKDLVSPVNVHLSQHFTKPPSRYSESALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLMHHFSEVADLSFTANMETELDNVSAGSTEWKGLLKDFWERFNKYCGDASRLDVRKVERMLEEKFGSILFSDLDNDSRICPRYIARTLSDDDDETEASDETQRTFTPRLLGALPDSDEKVFLKQGPYGHYVQVGEDRKGVSPKRAPLSEVKDIDSITLKDAIELLQYPKILGKHPDDDLPVLITHSKAGFSIRHRRTLASLPKSADPKKITLERALKLLTEPRIRGVTTQLVDRCRKPFCMHHLSWYSHWNLHFEQEVASCCHDHGHGSHQFSFLILLLNIFCSCIEHKKTCQIIHP >OGLUM06G11690.1 pep chromosome:ALNU02000000:6:10098937:10099505:-1 gene:OGLUM06G11690 transcript:OGLUM06G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSCSSGAGLTCRDNRCRGGRGGIGGSYDGRDAGKEAATPRLESSFLLCLSLLLSGQAGRQGLSYNG >OGLUM06G11700.1 pep chromosome:ALNU02000000:6:10114995:10116731:-1 gene:OGLUM06G11700 transcript:OGLUM06G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSNMTAFLSLLIFILLVAIEARDLVGVERVELPNPASMVTAYWQKMLPHSPMPTAILELLNPPTDVNQGVHGNGYDQVYGNGYDGGYINGYSHSYGNGYSNGYFHKANLHFLEDALKPGSIITPYITGIATRAPFLRRDIADSIPMSTKNFADILAMFSPISLVMADGIQSALDTCEHHRPIKGEERACAMSIESVVEFAMSVLGTRDLRAFSPDIPPEGIMPGNMYKVVAVRAVAGLRGDTVTCHTMRFPFAVFYCHAINPTRVYAVVLESEEDGSGSGSGTPEKMEALAVCHLDTSRFDPKTPLFVEHNLRPGDASVCHFVSRDSVIWAPVAAVITHGDEQVSIAE >OGLUM06G11710.1 pep chromosome:ALNU02000000:6:10123504:10126106:-1 gene:OGLUM06G11710 transcript:OGLUM06G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAISAIGRSPSPELRKPNPCATNSALSSYHPPRVRVAREHDIPPTSLHFGHWKPGAPLALFSSSLPAANRREGGATIS >OGLUM06G11720.1 pep chromosome:ALNU02000000:6:10138884:10140329:-1 gene:OGLUM06G11720 transcript:OGLUM06G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAANGGAAAAHVLVVPFPAQGHLIPLLDLAGLLASRGLRLTVVCTPATAPLLAPLLAATHQGAVSALTLPFPSHPALPAGVENAKGSGPALFAKLIVAFAGLLGPLGTWARARADTPDRVVAVLSDFFCGWTQALADELGVPRVVFSSSAVYGTAVLHSMFRLMPKREDEHDDECPVSFPDIPGSPSYPWRQLSLLYRFYKAGDEVSEGVKNNFLSNMGSSCIVSNTFRQLEGRYLERPLADLGFMRVRAVGPLAPEPDASGNRGGETAVAASDLCAWLNQFADGAVVYVSFGSMAVLQPPHAAALAAALERTGTAFVWAAGSHAAAALPEGFEERAAAGGRGKVIRGWTPQVPVLRHRAVGRFVTHCGWNSVLEAVAAGVAMLTWPMTADQFVNARLLVDEHRAAVPVSWGGIAVPPSADEVARVFEATSAAAAASEWSEVGARVKELAGEAAAATREGGSSWREVDELARELRELGG >OGLUM06G11730.1 pep chromosome:ALNU02000000:6:10172999:10173866:1 gene:OGLUM06G11730 transcript:OGLUM06G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTDAAAHVLVVPYPAQGHLIPFIDIVRLLASRGSLRLTVVAVAAGVAMLTWPMVADQFVNARLLVDELRATVPVSWGGVAAPPSADEVARVLAADGGEVGARVEELAVEAAAATREGGSSWVEVDELVRELGGHMQR >OGLUM06G11740.1 pep chromosome:ALNU02000000:6:10179211:10182847:1 gene:OGLUM06G11740 transcript:OGLUM06G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDAAAHVLVVPYPALGHLIRILDLVRLLASRGLRLTVVVTPATAPLLAAAHPGGVVSALTLPCPSHHAVPAGLEVPKEPPGAAPRLLPTRVVAFAGLRGPLGSWARARAGTPDRVVAVLSDFLCGWTQLLAAELGVPHVVFSPSGVYGTAMLHSLFRVMPRPADENDDESPVRFVDIPGSPAYPWRQLTRAYRTYKKGDEIDEGFKSNFLWNVESSSFVSNTFRQLEGRYLESPLADLGFRRVRAIGPLAPEADDDASGNRGGETAVADGRVGPLRVAGPVRRPLRRVRQLREHVAAAAASRGGAGGRAGAYRRGVRLGRRVVTRSGGAPATRGVRGARVEITPAHTGDGGGVFALTLPFPSHPAIPAGVENAKGSPPELFAKLVVAFAGLRGPLGSWARDRADTHHRVVAVLSDFLCGWTQPLAAELGVTHVVFSPAGVYAAAVMHSLYRVMPRPDDENDDECPVTFPDIPGCPAYPWRQITRTYRTYKKSDEIAEGFKSNFLWNLESSSFVSNTFRRLEGQYLERPLADLGFRRVRAIGPLAPESDVSGNRGGEMAVAASELCAWLDQFADRTVVYVSFGSMALLQPPHVAALSAALERTGAAFVWAAGSHTALPEGFEERAATGSRGTVIRGWAPQLSALRHRAVGWFVTHCGWNSILEAVAAGVAMLTWPMVADQFVNARLLVDELRTAVPVSWGGVAAPPTADEVARVLEATVLMAADGGEASDSEWSHVGARVEELAVEAAAATREGGSSWVEVDELARELRGL >OGLUM06G11750.1 pep chromosome:ALNU02000000:6:10191581:10194727:-1 gene:OGLUM06G11750 transcript:OGLUM06G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDKISGTSIGNISTLLGGAEAIHTASYHKFHHVPILFGLGNYKKLIRKGKQLILHEVIPRWACNRREEAGAISSHS >OGLUM06G11760.1 pep chromosome:ALNU02000000:6:10208779:10210245:-1 gene:OGLUM06G11760 transcript:OGLUM06G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTDAAAAAAAAHVLVVPYPVQGHLIPILDLVRLLASRGLRLTVVVTPATAPLLAPLLAAGHPGGGGGVSELTLSFPSHHAVPTGLEVAKGPPGAAPRLFPMHVVAFAGLRGPLEVGTPHRVVAVLSDFLCGWTQPLANELGVPHDVFSPSGVYGTAMLHSLFRAMPRPADENDDESPVRFVDIPGSPAYPWRQLTRAYRTHKKGDEIDEGFKSNFLWNLESSSFVSNTFQRLEGRYLGRPLADLGFRRVRTIGPLAPEADASRNRGGETAVAASDLCAWLDQFADRSVVYVSFGSMSQLQPPHAAALAAALERTGAAFVWAVGSSHATLLIPEGFEERSTASGRGTVIIGWAPLLAALRHRAVGWFVTHCGWNSVVEAVAAGVSMLTWPMMADQFVNARLVVDELRSAVPVSWGGVAAPPTADDVARVLEATVLAADGGGVGARVEELAVEAAAATREGGSSWVEVDELVRELRGHMQS >OGLUM06G11770.1 pep chromosome:ALNU02000000:6:10238538:10239476:-1 gene:OGLUM06G11770 transcript:OGLUM06G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPDRRVDAWAGRTDGPRGRRRLQVESLSGRADTSYVARKAASRCEEERRKLARREEAWPKALVRGDAAKAGLAVLAGAVAAKREVRLVEAEPDEASVRR >OGLUM06G11780.1 pep chromosome:ALNU02000000:6:10248801:10272709:-1 gene:OGLUM06G11780 transcript:OGLUM06G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFERHFPPEGVVVPSQPSKVAAGRKPSLAGALLPPLRPIKGSPDPLLSPHTLPVLLSLSTAAALFSSCGAAVAQFQPPLAGGRNLRGARRCLHLLRRFLLLPVEHKDHRNGDNTDDPKLLVVSPSSPASLSSSRRRVRSVRIVISSSFHFSHSALRRTAGVLLAVDGEPLVSGAAPAPVRR >OGLUM06G11790.1 pep chromosome:ALNU02000000:6:10283488:10288461:-1 gene:OGLUM06G11790 transcript:OGLUM06G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLIAPAPPRPSTAMAPATSGSAAAHVLVIPFPAQGHLIPMLDLVRLLASRGGLRLTVVTTPAMAPLILATAAAVHPGGGGGGAISALILPFPSHPAIPAGVESAKGFPPSLCGKLVVAFAGLRAPLASWARARADTPDRVVAVLSDFFCGWTQLLAAELGVPRVVFSPSGVYGAAVMHSLFRVMPRREDENDDESPVGFPDIPGSPAFPWRQMSRMYRAYKEGDEVSDAVMSNFLLNLQSSSFVSNTFGQLERRYLERPLADMGFRRVRAIGPLAPQHDASGNRGGETAVAATELCAWLDQFADRSVVYVSFGSMAQLQPPHAAALAAALERTRVAFVWAAGSHTPLPEGFEERAAGGRGTVIRGWAPQVAALRHRAVGWFVTHCGWNSTLEAVAAGVTMLAWPMVGEQFVNARLLVDELRAAVPLCWGGVPTPPSADEVARVLEATVAADGGEAGGEWSHVAARVKELAEEAAAATREGGSSWVEVDELARELRELGSEPAR >OGLUM06G11800.1 pep chromosome:ALNU02000000:6:10288527:10289954:-1 gene:OGLUM06G11800 transcript:OGLUM06G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A863] MATTTTTSDPVAAPAPAPHVLLVPYPARGHMQPLLHLASRLAAAGLRLTVVATTSTLHLLSPLLAQHPSSVSPLTFPSFEHDTSGPTSVGVDLHALAALREPLGEWVRARARSGGEGGRVVAVLSDFFCGWTQPLAAEAGVPRLVFVPSGVLATAATHSLFRRMPRPPPAAAGREYAVEFPGLPGAPAFPWRQLSRMYRSYVEGHGGEHAEAIKNNFLWNLESSAFVCNTSRALEGRYLDAQPLEDLAGKRVWAVGPVAPEFTADESAGEVIRWLDAFPDASVAYVSFGSMMALPPPHAASLAAALERSKTPFVWAASTATLPEGFEERAAAASASASAAGLVIRGWAPQTAVLRHRAVGCFVTHCGWNSVVEAAAAGVPMLAWPMAADQFFNARLVVDEARVGAPVSLGGFGHVPDAGELAGVLREVVGEAGGELRARAKELAARMAEAARGDGSSRRDLDGMVRELWDLGSRS >OGLUM06G11810.1 pep chromosome:ALNU02000000:6:10295163:10298842:1 gene:OGLUM06G11810 transcript:OGLUM06G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAGDAPPPAAAAREEEEEEEGVSCGICLTDARRAVRGELDCCAHHFCFVCIMAWARVESRCPFCKARFRTITRPPVPGRFPSQRVVAVPERNQACNPSGNGSSTVDADLYANTSCSVCNLSNDDELLMLCELCDSAVHTYCAGLGTEIPEGDWFCTDCMTAKEEHSRCEIDDDNSSDHGEFKITIEVPIADPVAAPSISDIVDEGHSPNLVQRSSVQSNRPSISDPVPSIYDIVDDDYTTIPIGRVNARSTRLDSRAERLPSQGISVGPQCPESPQERENSRVCSHARSRIESERARTLRNSRNLGSRIRELRENWSALRSGSIGFATQLHNRRRGNGAGTCDIEERHRSTTTFMEVAASSSGHAKKISPKNSSDVHKAWKMLEMAKSSGGKKKPDNPSSLNCSVPFSMGNRSTSYSPIDAILGHKNNKLYDGITQKNNAEQHRSTNMENKPPTMNFGECRKLQEKFHGSAHGRTPSTIMRQESLTGKVSSSSNNEKHNRSINMENRPPTVNFGEHRKLQESASVHGRIPSTVMMQENLNGKVASSSNNEDAGQIFESSRDVSRPEKSKPVVSCPLTFCLLSGQSMVTSSQQLRPGWSQSTEMVSSQEPSATAASIDIGTAGANDKVKGSRPDRLERKRKLGSETHDDKGSKRSMSSCKIRKSDISFLAIRELKLLNIDKTYGSDTFKEVARAATHTVLASCGLEHSPSVALALPRPVCKHTCKTEPLPSPDVLTDFCRECLCNFVKEVISSLLSGRKME >OGLUM06G11820.1 pep chromosome:ALNU02000000:6:10301452:10309852:1 gene:OGLUM06G11820 transcript:OGLUM06G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15730) TAIR;Acc:AT5G15730] MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >OGLUM06G11820.2 pep chromosome:ALNU02000000:6:10301427:10309852:1 gene:OGLUM06G11820 transcript:OGLUM06G11820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15730) TAIR;Acc:AT5G15730] MVDRAADVVIGVTAGVAAAVAAAALVLLAICLYRRRRASASVAAPARSPESSTATLRANGSLNSSVSLSVASDWDHHPPPAKRAAAFWAWRGGANNGSHSPPPVSVSGIPKYHYKDLQKATNNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVDKGQRILIYEFMSNGNLASLLYDDNKRSLSWQERLQIAHDVAHGIEYLHEGAVPPVIHRDLKSANILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTSKFTKKSDVYSFGIILFELITAINPQQGLMEYIDLAAIGGEGKADWDEILDKNLIVGNIAEEVRILADVAYRCVNKNPKKRPWISEVTQAISRIRQLQLMKLDTLNLPRSETRTVLRRIEHQHVELTDLTSMKELTPITA >OGLUM06G11830.1 pep chromosome:ALNU02000000:6:10306150:10308930:-1 gene:OGLUM06G11830 transcript:OGLUM06G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSHLISCPRFRVSQRTADADGRVDGGQHMNPNDEAGERMHPCVQVQRTYNGFGSGYLLKLSLLGFVAAPLCRGASVQDVLCRLMIAAVTSLSHPSTASSAKFDGRRSEERRKKGLIVAAASSALTSIPLSLLAMSRNLESPVQTQMAVSALNRALSSEYPSKSRSEGRASGWKRIFVQTDTGCVLAVQLDRGDNAHTVKRKLQLALNVPTEESSLTFGDRVLKNDLSTIRNDSPLLLTKTFMHRSSSTPCLSPTGKDIQQQRDRGGPIELLVCPSRCSRTKQLVKDVARAIRNGVDPIPVNSGLGGAYYFRNSKGENAAIVKPNDEEPFAPNNPKGFTGKALGQPGLKRSVRVGETGFREVAAYLLDYDNSANVPPTVLVKISHPVFNVNECVSSANMKASKDYPGAVSKIASFQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLTGPGKFGNQTELIPIDHGLCLPECLEDPYFEWIHWPQASIPFSDDELEYIANLDPMKDADMLRMELPMIREACLRVLILSTIFLKEATSFGLCLAEIGEMMSREFTGMEDQPSELEVVCMEARRLAIEREESSTEIDSGDEDATQFELDCEDDHEMLKAQPAYHFELKGGSSRNPLSKLDEAIEEEEDDIEEEESNAEKLGYPKAINKWLPNISKLSTSLNGVRLGDKIQCQLPAAPKIMDPVKIFEGNNNHSGSQVGNWRSANEQLPTSASFVKLADMGSETWALFLEKFQELLPEAFRSRKCGAAGQRARQRLGTSCQF >OGLUM06G11840.1 pep chromosome:ALNU02000000:6:10357291:10360636:-1 gene:OGLUM06G11840 transcript:OGLUM06G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAMVGGKLAGVGGEKLRCAAAPAAAARSRMKLWMVRATTTVLLWTCVVQLTAVGDTWGPRVLKGWPSCITSPDDDAASATLAAARPEPVVDKAVLPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRDEVRILRELPPRVKRRVEHGMYHSMPPISWSDISYYHNQILPLIRKYKVLHLNRTDARLANNGLPMEIQKLRCRVNYASLRFTSQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEADDLTRMRYAYPWWKEKIINSELKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMSALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYNNSSLRWDEFSLMLKAAHANRMGSASKRTVILDRPKEEDYFYANPQECLQDSNLLHTS >OGLUM06G11850.1 pep chromosome:ALNU02000000:6:10370159:10370981:-1 gene:OGLUM06G11850 transcript:OGLUM06G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERRPTSLAELRHLERLRRRDREDGGDGRGADREGMHEEPRIGRRGAGLEDNTEDERVRGREQRRRGTETGEERHHAGEVGHGGMAGGDGGVGNLVLRHSQIWWRPRQAANGAGESGASQRGGDGRSRPAKLASRRFAPPLPAAAPPATETRRSIGATPDAQPRRHPPARPGSSASSSGKSLRRTKATPTPHANGSKVAARLTRLLRRSGALARLRNSKVIARSLRSAAVAVAMLVPTSSPAHMLPPSVAATAGDGAGVPHFVGTAR >OGLUM06G11860.1 pep chromosome:ALNU02000000:6:10375368:10376228:1 gene:OGLUM06G11860 transcript:OGLUM06G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFHPVPGLAGRLFGGAAAAAVAVEEVRCPRCDSSNTKFCYYNNYNLSQPRHFCKACRRYWTKGGLLRNVPVGGGCRKPKRPAPPPSSSFTGGGGGGGCGHRDSKSARSAGGAGDGSGSTASATATPAAAPASSNTLSAAVSQPSSVDALSPPPAPMFADQATAFASLFAPPPPPPSQALPAFASFTAQPKAEEDVADAPALAATEQHRSSSAASFAAHSISPPFAAARSSDGPAAAAAAAAADWAPPTAVLDAGMFDLAGAIGGDTSYWNAASWTDHDGTIYLP >OGLUM06G11870.1 pep chromosome:ALNU02000000:6:10382366:10382629:1 gene:OGLUM06G11870 transcript:OGLUM06G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACSMAVGDFASIYTQLNIELAEQAAPWPDWPASSDMTGEHHGVQSRKNGSEAVISIIKIGHVQSGDKQEEKLTNKRGHYS >OGLUM06G11880.1 pep chromosome:ALNU02000000:6:10404093:10407731:1 gene:OGLUM06G11880 transcript:OGLUM06G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRSLRPPQPQQAAAEAEAGPGCGEGGGNVDRVLFKNLVEMVPLVESLMDRRSNPSYSRRASMVYTPAPAKKGSDLKSVKSPQSVSVKKRRDPGETGKKSTADSNGENGAVAPVGLLGGENKPKDKDEIVLLREQIEELQKTLLEKEEALKSAESLVGEMNTLYSTVDELRRQVADKEGLIKSINSQLHNAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDVSNMEFEIGSLMALFEKISENVSGELQDGSLPSSFELEALQSTSEIDKIEVEKIEQEAVTYAEALAAARENPNEEQLNIAAEARLRLQVLVL >OGLUM06G11890.1 pep chromosome:ALNU02000000:6:10410189:10410575:1 gene:OGLUM06G11890 transcript:OGLUM06G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRATVAILFYILTAAAAISAAAQAPAESPSPKPSKSTAAATPAKAPTVASAPRKAGPAAAPTTTVATSAPAGGDEVSIPPTPFATVVSPVADGPADAADADFSGAGALKRCAAVAGVAAAIATVTFY >OGLUM06G11900.1 pep chromosome:ALNU02000000:6:10414389:10415160:1 gene:OGLUM06G11900 transcript:OGLUM06G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGTLNASTVFFLLLLLLCVSVMSPLFTPASASPIRGASPSTASSSPTTPRRRWRGGGGFTVFCPADDAVAAFIPAFRGLTADAKVALLLYHAVAAHYSEEALKAINGEVNTLATDGGGGGKVLNLTIEEDDDGAGATVKLSSSSGNVARVTKTIQDADPHAVCLIDAVLMPLDVVVNVSSGGGAAAPSPAPVTSPAPAPAQATNPSPSPDSKPDNQPAAEQPPENSASRGGMAAWSLLSVVVPAIASLVLR >OGLUM06G11910.1 pep chromosome:ALNU02000000:6:10428107:10428796:1 gene:OGLUM06G11910 transcript:OGLUM06G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQPPPAAAAVVREQDRLMPIANVIRIMRRVLPPHAKISDDAKEVIQECVSEFISFVTGEANDRCHREHRKTVTAEDLVWAMDRLGFDDYVPPLTAYLRRMREYEGGGSGGGGRGAAAAPAVVPPPPPEDAFRYVQVHHPVYAAPGEPVQGYGYPVAMSSALPAPHVHVGVRGGGQHEVFGGGPAPLAVYYGGAPYGEASSRGGCSAGDEGSSSSSASPARVGPNYE >OGLUM06G11920.1 pep chromosome:ALNU02000000:6:10435713:10437803:1 gene:OGLUM06G11920 transcript:OGLUM06G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPLRLRLAVAFLPLLLAAASASAAAARGGFNVSFDSAALAFSDLTLLGDSFLRNGSVGLTRDTAVPSSSAGSVLCSRAVAFGSGGGSAASFAARFSFVIAEQNAGSTGGDGIAFFISPDRATLGATGGYLGLFNSSSSAAKTNASIVAVEFDTMLNDEFGDPSDNHVGLDLGSPVSVNAVDLAAFGVVLNSGNLTTAWIDYHGADHLLQVSLSYSAAKPAKPVLSVPVDLSPYLRDAMYVGFSASTEGSTQQHTIKEWTFQTFGFPSATNSSSFSNTTGNASAPTVPGEAAAGGAASRKKRFGLALGILGPVALAVSFVFFAWVSIRKLIELTSRKDAGFLPELVKGPRKFSYKELSAATRGFHASRVIGKGAFGTVYKAAMPGTATASAISYAVKRSTQAHQSRNEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDKALYGEPCTLSWPERYTVASGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDGGGKNVNLVDWVWRLHGEDRLIDAADPRLAGGFDRDEMLRLLLVGLSCANPNCDERPAMRRVVQILNREAEPVPVPRKKPLLVFSSSASIKLQEIAFACGDDVRGGLPAAATSPRSEGGDIKR >OGLUM06G11930.1 pep chromosome:ALNU02000000:6:10440049:10440546:-1 gene:OGLUM06G11930 transcript:OGLUM06G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTMCSTKCISPDVEPNLTVDVVVTCATTAMTSVDLVAAEDAIGATYIYNPIQPMVTPAKCLTNCSNPNDIPDLTMVAVVTCTSTSLASMDLEVGEDVACTTEIDGPDCHKETHTKCSMLGLDVKGGADHVGDVFLTMTGVAKAVPISIESIDIFSARLVSDLK >OGLUM06G11940.1 pep chromosome:ALNU02000000:6:10451773:10452169:-1 gene:OGLUM06G11940 transcript:OGLUM06G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLCRLRNPLHPHLLGTMTIDDDNGVVRTRLKLNWIQEEDVRLMSVWLNNSMDPINGNDKKAEKYWGDGEEMEKPKASQGALAQTQHSEGCVPRLLVEG >OGLUM06G11950.1 pep chromosome:ALNU02000000:6:10458326:10459088:-1 gene:OGLUM06G11950 transcript:OGLUM06G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAPEHGGRSSSSSSTDPELDQHNKGILEKMHKSLLLLAILAATVTYNAGLAPPGGVWADDADGHVAGNPVLQARYPVRYSVFFYCNATAFVASLVITMLLLSSTFSFHGYRVRALQAAMALDLIGLLGAFAAGGCRSVRTSAFVLALVAVIAAYLVAHLLLHFFIRSSRCPSHRRELVELLNLHRCHSCCVGAAAAKDDATTVAQAGTEAPAAPKRASSV >OGLUM06G11960.1 pep chromosome:ALNU02000000:6:10467334:10468709:-1 gene:OGLUM06G11960 transcript:OGLUM06G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCQRQSPSSGGRGRAVPVADAAPGELAAPAAINLVPNGKRGMPVLITPSLPQQQGGASAFHGIIVLKEESEDPVALRNKWFREMRGWLMVVATVAASASYQAGLNPPGGFWQDDAPGPGGHSAGNPVLRHTSPARYKTFYYFNATTFVTSLVITVLLMSERFYRSETKVVALMIATFLDLASLVGAYIAGSTRFTSSCIYVVVITGFAFACGDGAMLRVRAEDVAVHAEPGAAPLVPGAEERGGQGGAAGQGRPAHDGPRQQQSESGGAGEQ >OGLUM06G11970.1 pep chromosome:ALNU02000000:6:10471719:10472194:-1 gene:OGLUM06G11970 transcript:OGLUM06G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLMSERFYRTETKVAALVVTTFIDLASLVGAYIAGSTRFMSSCAYVIAITGVAFVSVIAMGEVMGIVCDFFRGRSPCMSSCYPLHGRAEGDGLPIHKAEDEEQGRGAAFHISSVDA >OGLUM06G11980.1 pep chromosome:ALNU02000000:6:10472530:10480119:-1 gene:OGLUM06G11980 transcript:OGLUM06G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELFTATECAPAHARVSLTQQPERTMDCSKRMSSETTRHQHDERSERNAWFKEMRGWLMVLATVAASVTPG >OGLUM06G11990.1 pep chromosome:ALNU02000000:6:10534458:10535705:-1 gene:OGLUM06G11990 transcript:OGLUM06G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLPQDGQARAPGDAEDWNAWFKEMRGWLMVVATVAASAGLNPPGGFWQENLRGRGGHRAGNPVLRDSVAARYQAFYYLNSTSFVTSLVIIVLLMSKRFYETKAKVVALLLTTFVDLAGLVGAYIAGSTRYMSSCIYVIVIAGVAFLCVIYAGLVMEDVCQFFNMNFPDMKKSPCLDSGGLFGDCNRAKPVKAKAGEAEGRQSV >OGLUM06G12000.1 pep chromosome:ALNU02000000:6:10564101:10567165:-1 gene:OGLUM06G12000 transcript:OGLUM06G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIPVDLNLINGQPPSASADAAAGDQALPATGNPVQVLISPIVPVAGVDGDAAALPPAYTGVLYLHHHKKEEEAAAAAALGQLNEPKKKREKWLKEMSGWLMVLASSVTYHAGLNPPGGFWQHNDGEGHVAGTPVLQSNFPQRYTVFFYFNATAFVTSVVIIILLMNESFYHSEAKVVALEIIAVLDMVCLMGAYIAGSTRAAPCSIYVTVLTVVVFLYVVYAAELLRKIWWLIIHAPVHDTAATGGGKLPAVPQHIVEQASPHHRCHADDLKTHGTLLEK >OGLUM06G12010.1 pep chromosome:ALNU02000000:6:10567237:10573154:-1 gene:OGLUM06G12010 transcript:OGLUM06G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAGVVEAWTAGDARAWEGDLVRGGDRDAWWHKWRWWWGVALNEAKPDHQHHHFPATTQISFGAFDFITDSFGKVCQVSPGVIGPVTAYRYPPGIKLSPSAVSTSSPPTPASSSWLRGNSHHRQLHRQHLSVSATSLLPLPRHFKSATSTPAARSRPNPHGARDTTATPRRRPRLLRELKSCWVGFLDESVRPSDSDLGSSNNTRTSSHHPREVFVVFQETDEEKREREEEKRRIQQNPETLQHQ >OGLUM06G12020.1 pep chromosome:ALNU02000000:6:10577194:10578320:1 gene:OGLUM06G12020 transcript:OGLUM06G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAALLFPATVIAAVCVVVLAAGASAAPPGRVFVVGGDGPRGWSQPTGTDETYNHWASRNRFHIGDFLDFKYAKNDSVLVVSRADYKLCSADKPVQRFDDGADVRFRLDRNGNFYFISGAPGHCKAGQRMTLRVMADHASKGASGGDSPAGAPSPDGDGDDEDDSGGSYRTPGYGYSSGSPPTPPHGNTSAAAAVSPSRGGGGGGGYHRVAGVAAAALLIFA >OGLUM06G12030.1 pep chromosome:ALNU02000000:6:10582882:10585471:1 gene:OGLUM06G12030 transcript:OGLUM06G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT2G22260) TAIR;Acc:AT2G22260] MASRSRLRLAAAGENPIPQSKSGGEGGTERKPEEARRREVTDLGGGSEVVHVPRFVAREAAWGWFDYLDKRIPWTRPTIRVFGRSAVQPRDTCYVADEGLTDLRYSGHQPHAHSWDEFPVLKDILKAVHEALPGSHFNSLLLNRYKTGSDYVSWHADDEPLYGPTPEIASVTLGCEREFLLRKKLTKSQASLGSGEVAPKRLKVSAPQQHSFLLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRRVL >OGLUM06G12040.1 pep chromosome:ALNU02000000:6:10586562:10599971:-1 gene:OGLUM06G12040 transcript:OGLUM06G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT5G47690) TAIR;Acc:AT5G47690] MGAAEEQLKELGEKLEAAPPDPADDLAKLLEQAAECLHGVEQSPGPSVMETIQPCLKAVARDEFLKHHDEDVKVLLATCFCEITRITAPEAPYSDDVLRDMFHLIVDTFSGLNDVNGKSFGRRVAILETVARYRACVVMLDLECNDLIADMFRSFLEIISDNHEPNIVNSMQSVMALIIDESEDIEESLLNGVSLPARKLARHVIEHSAGKLEPYIRKILTSSLDGDGTSTNNSIDHHEVIFDLYQCAPKVLKVVVPYITGELLADEVETRSKTVEILGELFSLPGIPILESFKSLFDEFLKRLTDRAVEIRVSVIEHLKKCLMSNHSRPEAQEIIKALCDRLLDYEENVRKQVVAAICDVACHSLGAVPVETIKQVAERVRDKSVSVKCYTMERLADIYKFYCQSGSDSSVNSDDFEWIPGKILRCLYDKDFRPESIESILCGSLFPPEYPTKERVKHWVTAVTHFDKVEMKALEQIFLQKQRLQQEMLKYMSLRQTSQEDTPDMKKKILGCFRSMSRLFNDHTKSEEYLNMLHQIKDANIWNIFTSLLDCSTTFNEAWSLRVDLLTKLGEKHALHDFVSTLSMRCSYLLVNKEYVKEILSEASDQKSTGNTKLMSSCMDLLTAVSSFFPSLLSGLEEDIIELLKEDNELLKEGIAHVLSKAGGNIREQLASSSSITLLLERLCLEGTRKQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQIAMPIFETRGEEIINFITKKILDCNDDSGDVSAHKSEWSDSTQSCLLKIYGIKTLVKSCQPCKDAQAHPGIEKLMGILKNILTYGDISANMISSTIDKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDVPQFKHNIIEVAQICQQVKMRQLSVQAETNVLTAYPEYMISYLVHALSHDPSCPNIEEHEDVEAFGPIYWRLHLLLSILLGEEGLQHSVPGMKKESFTTIVSIFKSIKYSQDVVDVNKTKTLHAICDLGILIGKKLCQEQINISEAQTVSLPSQLYAPVQKDQNENSVESDEQIWPGCENVLAHFEALMTAKSAEVESPKDKMLIDETDEFGNEVPLGKIVKILKSQGAKKAGRKQKTKSGSINMEKDDDVLGLVREINLDNQENLGESEKSKPKKKRMDAKESNDKPVDFSTPKRKRSVSKSRPHSTKGNKNSDELLLQSVDPDETINSFENKVEGAKKRDDSVDTELVTSPASVKTPVSKGKKGAKKPHAEILSSSPKKSDEAGSSKRTVDSGSLNGSIKRQKPKLVSGLAKCTTHDTGSADLIGKRIKVWWPLDKKFYEGVVESFDSSKRRHTVLYDDGDVEVLNLAKEKWEIVASDDPPVKARKKDHSGRNQGRAQDKSITSSKQTPPPEQEKSKKRPSPPKRKGKPKGLPKNKRRKIGGKSSVDAAGDANIDSDSSSSLAHSDSDNDKKSDGRNEKEVVVAKKAKAEKVSGKGDEPKEEEPDDHNLNSKEESDNETLVRKSPVL >OGLUM06G12050.1 pep chromosome:ALNU02000000:6:10613843:10617071:1 gene:OGLUM06G12050 transcript:OGLUM06G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQMKFVPRFQDCWRPQSNASPPTGNGPGLRPAEQGVEEEAGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQDTDSPCKETRTERVESYAPKTNSKKWFCCVTSSPTQS >OGLUM06G12060.1 pep chromosome:ALNU02000000:6:10617592:10632429:1 gene:OGLUM06G12060 transcript:OGLUM06G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGERHRIAVQIRNLKSRIDEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTIARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHSVEGLKEKRYLVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMKNDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMGWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKIFLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWYDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKTLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDICSYVPTSPYLPALLAHASSNHTVTDQLPTAADFCLICHRRPSPSISKMS >OGLUM06G12060.2 pep chromosome:ALNU02000000:6:10617592:10632429:1 gene:OGLUM06G12060 transcript:OGLUM06G12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGERHRIAVQIRNLKSRIDEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTIARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHSVEGLKEKRYLVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMKNDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMGWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKIFLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWYDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKTLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKAMQAFLRAAEVMKKKDELLKMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCIYSLPRSIGKLQGLQTLNMLSTYIAALPSEISKLQCLRTLRCTRVSNNNNFSINHPVKCITNTICLPKVFTPLVSRDDRAEKIAELHMATKSCWSESYGVKSNQRAGAVKQAEEIRCDDKRLNKGKMLDGSLEEMPNWIEQLTHLRKFYLWRSKLKEGKTMLILGALPNLMLLHLYQNAYLGEKLVFKTGAFPNLRTLRIYELDQLREIRFEDGSSPLLEKIDIFTARLESGIFGIIHLPRLKEISLGYRSKVARLAQLEGEVRTHPNRPVLRMVEDRSDNDLADDAEGSPVEVEATDPLPEQEGESSQRRDKHSSSWFYQVMISSSIGISGRPCFCGCAHLAVPRRGADLRRLPLSSIASHALDSSLSGMNCFNLTFSRDMLLVPA >OGLUM06G12060.3 pep chromosome:ALNU02000000:6:10617592:10632155:1 gene:OGLUM06G12060 transcript:OGLUM06G12060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGERHRIAVQIRNLKSRIDEVSNRNTRYSLIKPISSITTEDERDSYLEDARNRSGSNTDESELVGFAKTKDELLKLIDVNTNDGPAKVICVVGMGGLGKTTIARKAYENKEHMKNFSCCAWITVSQSFDRKEILKQMIRQLLGADSLDKLLKEFSEKLLVQVQHLADHSVEGLKEKRYLVVLDDLWTIDAWNWIHDIAFPKINNRGSRIIITTRDAGLAGRCTSESLIYHLEPLHIDDAIHLLLAKTNIRLEDMKNDEDLGSIVTKLVKRCGYLPLAILTIGGILATKKIMGWGKFYRELPSELESNPSLEAMRRMVTLSYNHLPSHLKPCFLYLSIFPEDFEIQRGRLVDRWIAEGFVRATDGVNIEDVGNSHFNELINRSLIQPSKVSTDGVVKRCRIHDIMRDIIVSISREENFVLLTREKITVVAEESIRHLAFHGSKCSKIFLEWNHLRSVTLFGDRPVGRTPALCSPQFRMLRVLDLEDAKFKFTQNDIRNIGLLRHMKYLNFARASTIYTLPRSIGKLQCLQILNMREANISALTTEVTKLQNLRSLRCSRRSGSGYFSIIDNPKECLMITMCLPMVFSTSINFSDRVKLIPEICMSCSTRWYDTKGVRVPRGIDNLKELQILEVVDINRTSRKAIEELGELIQLRKLSVTTKGATNKKYQIFCAAIEKLSSLQSLRVDAEGFSDTGTLEWLNSIACPPPFLKTLKLNGSLADTPNWFGNLKQLVKMCLSRCGLKDGKTMEILGALPNLMVLRLYRNAYADEKMTFRRGTFPNLRCLDIYLLKQLREIRFEEGTSPTMESIEIYGCRLESGIIGIKHLPRLKIISLEYDGKVAKLDVLQEEVNTHPNHTELQMAEDRSHHDLGDCSVQKLPTSLSPCAVVSLLVLSLKGESNESMAETVLSMARSLVGSAISKAASAAANETSLLLGVEKDIWYIKDELKAMQAFLRAAEVWAEQICDLSYDIEDSLDEFKVHIESQNLFRQMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCIYSLPRSIGKLQGLQTLNMLSTYIAALPSEISKLQCLRTLRCTRVSNNNNFSINHPVKCITNTICLPKVFTPLVSRDDRAEKIAELHMATKSCWSESYGVKVPKGIGRLRDLHVLEYVDIRQTSSRAIKELGQLSKLRKLGVMTKGSTKEKCKILYAAIEKLCSLQSLYVDSEGSSSIGTFECLNSISSPPPLLRTLRLDGSLEEMPNWIEQLTHLRKFYLWRSKLKEGKTMLILGALPNLMLLHLYQNAYLGEKLVFKTGAFPNLRTLRIYELDQLREIRFEDGSSPLLEKIDIFTARLESGIFGIIHLPRLKEISLGYRSKVARLAQLEGEVRTHPNRPVLRMVEDRSDNDLADDAEGSPVEVEATDPLPEQEGESSQVIMLTTNNRDKHSSSWFYQVMISSSIGISGRPCFCGCAHLAVPRRGADLRRLPLSSIASHALDSSLSGMNCFNLTFSRDMLLVPA >OGLUM06G12060.4 pep chromosome:ALNU02000000:6:10628918:10632155:1 gene:OGLUM06G12060 transcript:OGLUM06G12060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAFLRAAEVMKKKDELLKVWAEQICDLSYDIEDSLDEFKVHIESQNLFRQMVKLRERHRIAIRIHNLKSRVEEVSSRNTRYSLVKPISSSTEDDMDSYAEDIRNLSARNVDEAELVGFSDSKKRLLEMIDTNANDGPAKVICVVGMGGLGKTALSRKIFESEEDIRKNFPCNAWITVSQSFHRIELLKDMIRQLLGPISLNLLLKELQGKVVVQVHHLSEYLLEELKEKRYFVVLDDLWFLHDWNWINDIAFPKNNKMGSRIVITTRSVDLAEKCATASLVYHLDFLQMNDAITLLLRKTNKKHEDMESNKNMQNMVERIVNKCGRLPLAILTIGAVLATKHVSEWEKFYEQLPSELEINPSLEALRRMVTLGYNHLPSHLKPCFLYLSIFPEDFEIKRNRLVGRWIAEGFVRPQVGMTTKDVGESYFNELISRSMIQRSRVGIAGKIQSCRVHDIIRDITVSISRQENFVLLPMGDGSDLVQENTRHIAFHGSMSCKTGLDWSIIRSLAIFGDRPKSLAHAVCPDQLRMLRVLDLEDVTFLITQKDFDRIALLCHLKYLSIGYSSCIYSLPRSIGKLQGLQTLNMLSTYIAALPSEISKLQCLRTLRCTRVSNNNNFSINHPVKCITNTICLPKVFTPLVSRDDRAEKIAELHMATKSCWSESYGVKVPKGIGRLRDLHVLEYVDIRQTSSRAIKELGQLSKLRKLGVMTKGSTKEKCKILYAAIEKLCSLQSLYVDSEGSSSIGTFECLNSISSPPPLLRTLRLDGSLEEMPNWIEQLTHLRKFYLWRSKLKEGKTMLILGALPNLMLLHLYQNAYLGEKLVFKTGAFPNLRTLRIYELDQLREIRFEDGSSPLLEKIDIFTARLESGIFGIIHLPRLKEISLGYRSKVARLAQLEGEVRTHPNRPVLRMVEDRSDNDLADDAEGSPVEVEATDPLPEQEGESSQVIMLTTNNRDKHSSSWFYQVMISSSIGISGRPCFCGCAHLAVPRRGADLRRLPLSSIASHALDSSLSGMNCFNLTFSRDMLLVPA >OGLUM06G12070.1 pep chromosome:ALNU02000000:6:10653063:10659908:1 gene:OGLUM06G12070 transcript:OGLUM06G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPVQYCLHAESATNLTTHLIGLVNEKSRYFIVAHGFVDRIGILDWSANGEGEESNQSMAETVLSMARSLVGSAISKAHEASLLLGVQKDIWYIKDELKTMQAFLRAAEVWAEQIRDLSYDIEDCLDEFKVYIESQNLFYQMVKLRKRHLIATQIRNLKSRVEEVSSRNSRYNLVKPISSSNEDDMDCYAEDIRNQSTSNVDETELVGFSDSKIRLLELINANVNNGPTKVVCVVGMGGLGKTALSRKIFESKEDIGKNFPCNAWITVSQSFNRIELLKDMIRQFLGSNSLDQVLQELQGKMVVQIPHLSDYLRKKAQGKENNSKGSRIVVTTRDVGLAEKCTTTSLVYHLEHLQMNDAITLLLRKTNKTHEDMGTNKNMQKIVEQIVNKCGRLPLAILTIGAVLATKQVLEWEKFYKQLPSELESNPSLQALRRMVTLGYNDLPSHLKSCFLYLSIFPEDFEIKRSRLVDRWIAEGFVRAKVGMTTKDVGDSYFNELINRSMIQRSRVGIEGKIKSCRVHDIMRDITVSISREENFVLLPVHDGSNLAQENTRHIALHGSMSCKTGLDWSIIRSLAIFGDRPNNLAHTICSNKFRMLRVLDLEDVKFLITQKDFNNIALLRHLKYLSFGRIFSSCIYTLPRSIGKLHGLQTLNMSSTYIATLPTEISKLQCLRTLRCTRVSNNNNFSINHPVKCLTNTMCLPNIFTPSVSSDNRAKQIAELHMATKSCWSESYSVKVPKGIGKLGELQILEHVDIRRTSTSAIQELAQLSKLTKLSVTTKGSTEEKCKILYTAIQRLCSLQSLRVDAEGSSGNGTLKCLDSISYPPLLLKTLKLYGDLEEMPNWIEQLSHLMKFYLLGSKLKEGKTMLILGALPNLMLLCLSLDAYLGENLVFRTGAFQKLRTLWFDKLDQLREIRFENDSLPLLEKIGIRYCRLEIGIIGISNLMRLKEITLGYRVKVGYLGQLEREVGTHPNRPVLRMEEDRSCHDLRRDGKGSAVEMDATEPLPEPES >OGLUM06G12080.1 pep chromosome:ALNU02000000:6:10668799:10675483:1 gene:OGLUM06G12080 transcript:OGLUM06G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKVYHSINIVNNFSCRAWVTVSQSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKRYFVVLDDMWSIDALNWLNESVFPDSNNGGSRIIVTTRDASIIQNCAYPSYLYRLEPLKTDDAKQLLLRKSNKSYEDIKRGKAEKVFDRILERCGGLPLALVAIGAVLRTKCIEDWEKLSLQLSSGLKTKSSLEEMTRVITLSYTHLPSHLKPCFLYLSIFPEDFPIKRRCMVNRWIAEGFVDAKFGMAMEDVGNSYFDELINRSMIQPCRFYSHGVVQSCVLHDIMRDIAISISAEENFVFMTKGFVSGIPPENIRHLSIDGRQDSYLSFDLSHVRSLSFFYNPKEQLASLCSPQLRMLRVLDLEFSLCRVTQNDISNIGSFCHLRYLSVKKGSYIYHIPRSIRKLQGLQTLNLKRSLITKVTAEVTELRSLRSLRCSTLGVYSHFEFTTRDPKKSLVTTMKLPLILPHLISGDKSSEMVAEFRKGLSSCWTHSNGVSVPKGIGSLKELQILELVDIARSNKKAVHELGELTQLKKLGVAGVTERNVNYLCEALQKLSSLCSLRVEAKPFRGLHMLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKEDMHGERPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIVM >OGLUM06G12080.2 pep chromosome:ALNU02000000:6:10669489:10675483:1 gene:OGLUM06G12080 transcript:OGLUM06G12080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLSMARSLVGNAITKAGEAAAAEISLLIGVNKEIWFIKDELKTMQAFLMTAEEMEKKPRLLKAWVEQVRDLSFDIEDCLAEFMVHVGSKSLSQQLMKLKHRHRIAIQIRDLKSRVEEVSDRNSRYSLISPNTDEHDTLRDEFRYWSAKNIDEAELVGFDDAKESILNLIDVHANHGLAKVIFVVGMGGLGKTSLVKKVYHSINIVNNFSCRAWVTVSQSFVRTELLRGLIKQLLGGDSENEHFKGLQSMQRNEKVEDLVEDLKQGLKEKRYFVVLDDMWSIDALNWLNESVFPDSNNGGSRIIVTTRDASIIQNCAYPSYLYRLEPLKTDDAKQLLLRKSNKSYEDIKRGKAEKVFDRILERCGGLPLALVAIGAVLRTKCIEDWEKLSLQLSSGLKTKSSLEEMTRVITLSYTHLPSHLKPCFLYLSIFPEDFPIKRRCMVNRWIAEGFVDAKFGMAMEDVGNSYFDELINRSMIQPCRFYSHGVVQSCVLHDIMRDIAISISAEENFVFMTKGFVSGIPPENIRHLSIDGRQDSYLSFDLSHVRSLSFFYNPKEQLASLCSPQLRMLRVLDLEFSLCRVTQNDISNIGSFCHLRYLSVKKGSYIYHIPRSIRKLQGLQTLNLKRSLITKVTAEVTELRSLRSLRCSTLGVYSHFEFTTRDPKKSLVTTMKLPLILPHLISGDKSSEMVAEFRKGLSSCWTHSNGVSVPKGIGSLKELQILELVDIARSNKKAVHELGELTQLKKLGVAGVTERNVNYLCEALQKLSSLCSLRVEAKPFRGLHMLEQLASPPPFLHTLKLKGSLHEIPSWVGKLEKLVKVQLVFTKLKDTESIQVLGELPGLKCLRLILNAYIGKELVLCHGKFRGLKTLRLDSLEELRKVTFEERTSPKLETITIQDCSSELAVCGTANLQSLEKIKYFAKGKLVKEDMHGERPVVQAGQSQSAHHREDIKAAEIIEKSQTSSLEKGESSQSIPRPDVLRTLPPISATTKLKRSLSCPASTSIVM >OGLUM06G12090.1 pep chromosome:ALNU02000000:6:10675745:10676215:-1 gene:OGLUM06G12090 transcript:OGLUM06G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGAVQRRNGIGTRLLASGMRRLERDSSGGDSKGGAAGAAEQGWVKLEADEEEEERRRRARVLGVATCHRAVRRVAAAPRRRWWWVPDSAPLLPQPRVELSQLRPSRRRCSPVAAGRRKKEKKERKRDGELTCGPKGIFDISRDFSLLLNRKSLF >OGLUM06G12100.1 pep chromosome:ALNU02000000:6:10677659:10682648:1 gene:OGLUM06G12100 transcript:OGLUM06G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVLRIAKSLVGSAVSKGCFGCRRKDGLAAGSAEGDMIYWDHTYAEWYVHVSSQTLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRFNLIENDLTCTTTDERNLFMEDIRNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDVWSTDSWKWINSIAFPRNNNKGSRVIGTTRDVGLAKECTSEWLIYQLKPLEISYAKELLLRKANKTTEDMESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNRLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGIRGEFQSCRVHDIMRDITISISREENFVFLLRGTDYEAVQGNTQHIAFQGSKYCSKISLDWSIIRSLTMFAERPVELEHSVCSSQLRMLRVLDLRDAQFTITQNDVNNIVLLCHLKYLCIARYNNASYIYSLPKSIGRLDGLQTLDLDSTNISTLPTQITKLRSLRNLRCMKQYDFSSFTTCLTNTLCLPVIFTPSVSTSDHAEKIANLHLATKSFRSKSNGVKCLDSVSSLPPLLRTLRLNGSLEELPNCIERLTHLRKFYLLRTKLKEGKTMLILGALPNLMLLHFCHNAYLGEKLVFKTGAFPNLRTLVTFNLDQQRDIRFEDGSSPQLEKIEIGRCRLESGIIGVIHLPRLKEISVEYKGKVAMLAQLEGEVNAHPNRPVLRMAMDRSDHDLAGDAKGSPP >OGLUM06G12100.2 pep chromosome:ALNU02000000:6:10677447:10682648:1 gene:OGLUM06G12100 transcript:OGLUM06G12100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVLRIAKSLVGSAVSKGCFGCRRKDGLAAGSAEGDMIYWDHTYAEWYVHVSSQTLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRFNLIENDLTCTTTDERNLFMEDIRNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDVWSTDSWKWINSIAFPRNNNKGSRVIGTTRDVGLAKECTSEWLIYQLKPLEISYAKELLLRKANKTTEDMESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNRLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGIRGEFQSCRVHDIMRDITISISREENFVFLLRGTDYEAVQGNTQHIAFQGSKYCSKISLDWSIIRSLTMFAERPVELEHSVCSSQLRMLRVLDLRDAQFTITQNDVNNIVLLCHLKYLCIARYNNASYIYSLPKSIGRLDGLQTLDLDSTNISTLPTQITKLRSLRNLRCMKQYDFSSFTTCLTNTLCLPVIFTPSVSTSDHAEKIANLHLATKSFRSKSNGVKCLDSVSSLPPLLRTLRLNGSLEELPNCIERLTHLRKFYLLRTKLKEGKTMLILGALPNLMLLHFCHNAYLGEKLVFKTGAFPNLRTLVTFNLDQQRDIRFEDGSSPQLEKIEIGRCRLESGIIGVIHLPRLKEISVEYKGKVAMLAQLEGEVNAHPNRPVLRMAMDRSDHDLAGDAKGSPP >OGLUM06G12100.3 pep chromosome:ALNU02000000:6:10677659:10682648:1 gene:OGLUM06G12100 transcript:OGLUM06G12100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTVLRIAKSLVGSAVSKGCFGCRRKDGLAAGSAEGDMIYWDHTYAEWYVHVSSQTLSRQLMKLKDRHRIAIQIRNLRTRIEEVSTRNIRFNLIENDLTCTTTDERNLFMEDIRNQSANNIEEADLVGFSGPKRELLDLIDVHANIGPTKVVCVVGMGGLGKTTIARKIYESKEDIAKNFSCCAWITVSQSFVRLELLKDLMMKLFGEEVLKKQMRELEGKVPQVDDLASYLRTELNERRYFVVLDDVWSTDSWKWINSIAFPRNNNKGSRVIGTTRDVGLAKECTSEWLIYQLKPLEISYAKELLLRKANKTTEDMESDKKMSDIITKIVKKCGYLPLAILTIGGVLATKEIREWETFYSQIPSELESNPNLEAMRRIVTLSYNYLPSHLKQCFLYLSIFPEDFEINRNRLVNRWMAEGFIKARANMTIEDVGKSYFKELINRSMIQPSRAGIRGEFQSCRVHDIMRDITISISREENFVFLLRGTDYEAVQGNTQHIAFQGSKYCSKISLDWSIIRSLTMFAERPVELEHSVCSSQLRMLRVLDLRDAQFTITQNDVNNIVLLCHLKYLCIARYNNASYIYSLPKSIGRLDGLQTLDLDSTNISTLPTQITKLRSLRNLRCMKQYDFSSFTTCLTNTLCLPVIFTPSVSTSDHAEKIANLHLATKSFRSKSNGVKCLDSVSSLPPLLRTLRLNGSLEELPNCIERLTHLRKFYLLRTKLKEGKTMLILGALPNLMLLHFCHNAYLGEKLVFKTGAFPNLRTLVTFNLDQQRDIRFEDGSSPQLEKIEIGRCRLESGIIGVIHLPRLKEISVEYKGKVAMLAQLEGEVNAHPNRPVLRMAMDRSDHDLAGDAKGSPP >OGLUM06G12110.1 pep chromosome:ALNU02000000:6:10687419:10688189:-1 gene:OGLUM06G12110 transcript:OGLUM06G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDLGSSLVTLSMQYVLLGFSRVARTTVAAAASPTSGSLCAMLPDSCLPHRPQLGVGCRQIGVRRRRTGGMNGSGAWEEETVASESGRDEDEAAPWRC >OGLUM06G12120.1 pep chromosome:ALNU02000000:6:10699490:10701028:-1 gene:OGLUM06G12120 transcript:OGLUM06G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G31880) TAIR;Acc:AT2G31880] MAFAATKPSKSPLLILLVSGVAFLLLASAVECYGGGRHDVTRSAVARRSGVGSRRQYVRHRLTGGAVDVPHRYMLAEKGSNSTRANHTSPAASNSTPSATTTEAPAAGKHHRSHKHRVRNWIIGFVVGSLAGVVSGLAMSVLFRMALNCVRGRYRSKSDTVIFIPKLIKSKEHLAFLEKDQDGLASLAVIGRGGCGEVYKAQLPPEREGDAPRFIAIKKIKKRSGDGSGGQNNLSDEESRQLDKWTRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEFMKNGSLHNALKATTTDTTTNDYNDNNSGEHPPPQPPPSPALPWPARLRIAVGIAAGLEYLHVSQRPQIIHRDLKPANILLDDDMEARIADFGLAKAMPDAHTHMTTSNVAGTLGYIAPEYHQTLKFTAKCDVYSFGVILAVLGTGKEPTDKFFAQQVVDDVGIVRWLRRVMQEGDPAAQAGVIDAAIAGAGHDEQILLVLRIAVFCTADDPKDRPTAKDVRCMLSQIKN >OGLUM06G12130.1 pep chromosome:ALNU02000000:6:10709092:10710507:1 gene:OGLUM06G12130 transcript:OGLUM06G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8A3] MPSSGDAAGRRPHVVLIPSAGMGHLVPFGRLAVALSSGHGCDVSLVTVLPTVSTAESKHLDALFDAFPAVRRLDFELAPFDASEFPGADPFFLRFEAMRRSAPLLGPLLTGAGASALATDIALTSVVIPVAKEQGLPCHILFTASAAMLSLCAYFPTYLDANAGDGGGVGDVDIPGVYRIPKASIPQALHDPNHLFTRQFVANGRSLTSAAGILVNTFDALEPEAVAALQQGKVASGFPPVFAVGPLLPASNQAKDPQANYMEWLDAQPARSVVYVSFGSRKAISGEQLRELAAGLETSGHRFLWVVKSTVVDRDDAAELGELLGEGFLERVEKRGLVTKAWVDQEEVLKHESVALFVSHCGWNSVTEAAASGVPVLALPRFGDQRVNSGVVARAGLGVWADTWSWEGEAGVIGAEEISEKVKAAMADEALRRKAASLAKAAAKAVAGGGSSHRCLVEFARLCQGGTCRTN >OGLUM06G12140.1 pep chromosome:ALNU02000000:6:10713278:10715348:-1 gene:OGLUM06G12140 transcript:OGLUM06G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFQNYLTITTSW >OGLUM06G12150.1 pep chromosome:ALNU02000000:6:10718262:10719806:1 gene:OGLUM06G12150 transcript:OGLUM06G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSGSRLCWHYLGHQQCIRAGVLFTILPTASLFLSLNFLLPVQNTNQSGGNSGDARGRKHQS >OGLUM06G12160.1 pep chromosome:ALNU02000000:6:10731895:10735678:1 gene:OGLUM06G12160 transcript:OGLUM06G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCSQAAARRLQVAARRPQAAAGFQATGGEEVASGGTGGCVGAACAGTVVAGASEEVTGSGVIVPAGGASLSDELEHSEGEHEHEGMDSSWIPNGMDPSSTYLLDVRIMGKTCRPEFPGGFSFKWPIDVDVTNFKDFDSNEGSTSQASKSDTYLENPFPHYEHSDDSSSESDDSIAKTDNVPEVEGGDDMSITDSDDEEKLKRKSKPKYTSVATENIDPTAMSSQILASLSSSMAIVPLQQVAAPVAKGKGKEKEKEKGKVKGKGKGKKDEKEDKDKKIKRKPSPTVQATTPPAKRRKNNEVPQDSPAMRTRSKKSSPAMGTKSKRRIID >OGLUM06G12170.1 pep chromosome:ALNU02000000:6:10737545:10738580:1 gene:OGLUM06G12170 transcript:OGLUM06G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRLDEGVRRLQRGKKGPSASPPLALVAASTTTTANLGELGGAMAEELRGDGSVAGGLGRASREMDLSLASSWEEDPSPESSGGGRSVAGKLVGGSREVDPSLEILGDATGELRAGRSITCKLEGGRSIADNELRQGMAHALDVALSRQPSISPISAHRRGHVGRLKLCLVSCRC >OGLUM06G12180.1 pep chromosome:ALNU02000000:6:10741069:10742535:1 gene:OGLUM06G12180 transcript:OGLUM06G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8A8] MCSAATPNSGDVRATPGSSRPHVVLLPSAGMGHLVPFTRLAAALCSGHGCDVSLVAAVPTVSSAEARHLAAHFAAFPAVRRLELDLASLDVSEFAGADPFYVRYEAIRRSASLLAPLLAGGASAAASALVADIALASVVIPVAKDLRLPCYVFFTASATMFSFLAYLPTYLDANAGGGHAIGDVDVPGVCRVPTSSVPQALHDPDDIFTRQFIANARSLANADGLVVNAFDALEPEAVAALRQGTVAAGLPPVFAVGPLSPAPIPAKDSGSYLPWLDAQPARSVVYVSFGSRKALPRDQLRELAAGLEASGHRFLWVVKGAVVDRDDAGELTDLLGEAFLRRIHGRGLVTMAWVRQEEVLNHPAVGLFISHCGWNSVTEAAASGVPVVAWPRFADQRVNAGVVARAGIGAWADTWSWEGEEDDGPGVVSAEDIAGKVRSVMADEGVRKAAASVRETAARAVAAGGRSYRSLAELVRRCRDGLVITNGT >OGLUM06G12190.1 pep chromosome:ALNU02000000:6:10748061:10748979:1 gene:OGLUM06G12190 transcript:OGLUM06G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSPSASPPRPDETTPADFTVSVVRGATATTTARGKRGQRPAKPLLVTVRPVCLVNGDGDDVLEHGRGWDAVRVLAWLDAKPAPSVVYVCFGRLTRFPHEQVAELGMGLVDSGVNFVWVVGDKNTLASLFPVERQRVTLLAGESALRLLRSAKSGARRSTVTAKGSASSRLGRSSALLCPPVSPAASAGKASAWTRICLLPLPPAAARYCLSVAGDERGEKRGTKEKEVEG >OGLUM06G12200.1 pep chromosome:ALNU02000000:6:10763139:10763732:-1 gene:OGLUM06G12200 transcript:OGLUM06G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVYVAFGSRCAVSHEQIREIAAGLEASGSRFLWILKTTVVDRDDDAGIRDVLGDGFLERVRGRGVVTKAWVDQDAVLRDPAVGLFLSHSGWNSVIEAATAGVPLLAWPRGGDHRVAATVVASSGVGVWMEQWSWDGEEWLVSGEEIGGKVKEMMADAGVREKAAKVGEEVAKAVAVGGTSHTGILDFVAKLKATT >OGLUM06G12210.1 pep chromosome:ALNU02000000:6:10766133:10780565:-1 gene:OGLUM06G12210 transcript:OGLUM06G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAVLSSGELGARGHTRPHVVFVPSAGMGHLLQFFRFIGALSAHDVDISVITVFPTVSAAEADHFAALFRDYPSVRRLDFDLLPFDASEFPGGDPFLLRWEALRRSLHLLGPVIAGVTPRVTATVTDVTLVSHVNPIAKDLGIQCHVLYVSSAAMMSLASYFPIYLDNKDAGADVGDVDIPGVRRLKRSWLPQPLLDLNKLFTKQFIENGREMVKTDGVLINTFDALEPVALAALRDGKVVRGFPPVFAVGPHSSLASEATKGAAAEAEGSPMAWLRQQPARSVVYVAFGSRFGNWDAFCANANW >OGLUM06G12220.1 pep chromosome:ALNU02000000:6:10780654:10802814:-1 gene:OGLUM06G12220 transcript:OGLUM06G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPDSVFTPRAYPSCQTTSPPNSPQSRVLASLPPRARPERRQATVPPRTNGTTGVQLL >OGLUM06G12230.1 pep chromosome:ALNU02000000:6:10803291:10803717:-1 gene:OGLUM06G12230 transcript:OGLUM06G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPCDSRSCCQRRREAGARGAASGTVREARSVAVTFRHGVRYKVIGGSGAKAELAAVGDRCGRSARSMALKALSHRLGPTAVCGQRGEWVAMWQHAGGWPVGGCRCSFAHVPTEDGRWWSIEAIAVD >OGLUM06G12240.1 pep chromosome:ALNU02000000:6:10806106:10806799:1 gene:OGLUM06G12240 transcript:OGLUM06G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWGEEAAKQQRYCHLLCGLGRSAIDFLVIKHFVGEALLVLSTDYLAYECAIGINLECSSPLEETIYVLPTAAYFEFIPFDMDAGRHAATAEPVDITALRGQQNVRADRRHVPWAVPVPNQ >OGLUM06G12250.1 pep chromosome:ALNU02000000:6:10807430:10816289:1 gene:OGLUM06G12250 transcript:OGLUM06G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTDVDCSLERSNYLCTELPSSSSLAIPLLDLDKLFTKQFIDNCREVVKTDGVLINTFDAFEPVALAALRDGKVIRGFPLVFTVSPYSSLANETKAADADQSSALAWLNQQPARSVVYVAFGNRYHVSDDQLREIAAGLEASGC >OGLUM06G12260.1 pep chromosome:ALNU02000000:6:10816327:10816775:1 gene:OGLUM06G12260 transcript:OGLUM06G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPASATCSATGSCLPGARAGACHCDQGEAVLGHPAVGLFRSHSRWNSVTETVAAGVPLLAWPRAGDHRVAATVVASSGVGVWMEQWSWDGEEWLVRIGGKVKEMIPAAKVGEVAAMAVAEGGTSRTSMLEFVAKLKAT >OGLUM06G12270.1 pep chromosome:ALNU02000000:6:10829112:10830662:1 gene:OGLUM06G12270 transcript:OGLUM06G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8B7] MAPPTVLNSGQPDARRRARPHVVFVPSAGMGHLLPFFRFIGALSAHDVDISVVTVLPTVSAAEADHFARLFHDFPSIRRVDFNLLPLDASEFPGADPFLLRWEALRRSMHLLAPAIAGVAPRATAVVTDITLVSHVNPIAKDLRLQCHVLFISSATMMSLCSYFPIYLDNKDAQADVGDVDVPGVRHLKRSWLPQPLLDLDMLFTKQFIENGREVVKTDGVLINTFDALEPVALAALRDGKVVRGFPPLPGRPESGCRFLWILKTTVVDRDEAAAGGVRDVLGDGFVERVRGRGMVTKEWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVAATVVASSGVGVWMERWSWDGEEWVVSGEEIRGKVREMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLLLSPNETHYYNTQPTARDNRLSRAVGLYGPHGIIYYPVQCNKADRTG >OGLUM06G12280.1 pep chromosome:ALNU02000000:6:10832593:10833192:1 gene:OGLUM06G12280 transcript:OGLUM06G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREAARGMEEREAAVAAMWSEGTEAADGGXRFLWILKTTVVDRDEAAAGGVRDVLGDGFVERVRGRGMVTKEWVDQEAVLGHPAVGLFLSHSGWNSVTEAAAAGVPLLAWPRGGDHRVAATVVASSGVGVWMERWSWDGEEWVVSGEEIRGKVREMMADDAVRERAAKVGEEAAKAVAEGGTSHTSMLEFVAKLKAA >OGLUM06G12290.1 pep chromosome:ALNU02000000:6:10839516:10843789:-1 gene:OGLUM06G12290 transcript:OGLUM06G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFLISVQVSSVRNQRGEGQCRYERAAHNSMAAVTAPHYHHDVHVEMGSVDPVEPFLDDILREKPAWFTPENLRKFTGGYAERSGLVAAASAWCTTASFPTVYRWLSRPCTAHWTAAPGSSYIPHQGTTTKALVYKYLENGSLDCVMFEHGSGGGMVCKAGEHWIMHYNIKLGKVLLIADVLRAQVSGPLLSCTMGSLMGEYCSNAPCKWLLDLGKGAAHDTDTHNLFDRMPSQSEMPKENQRISKPVPINSTMNKKEKWLDKALDRILENSRTISRSIYINEGTAPTVILEHEDGEGKDHMPFIVIKDLPEFTPTMCSMICSSSDTKPDLTVAAVVTCATSVESSMEMVAIGSTIDDTHIDTLDSTKVMPANCSTVGLDVKGGADHTRVTCRTMMGVPEGVLVPDASSKVFSPWLMAEMDLIPLLPSGCSMKCPKDKKLLMGNAKRNSWPPSWLGGVIRRWELQPLHWPGSKLYLEGLPLMPPWPPPAGVSFLAWEPFDIGVLVIGTVILTQEMAGLKPWPPPSLVSSLAWGMEGREVYGLAMQGHHMNSQSMELAHIISKELARIMKERQLSNKELQCIFEGASPGQMCINPKALIHDGSLRSLLSKLQVHSIPNALSFTKQEHIKSLSLSQCSDIMVRFDLTWNLEVHLDSGGVLLQFLNDAALLYHRRVAQGYRSTLKLSICESISMLQVIKSIAANLIWDVEARNRLVVKKQDEDFSGNHWASFQTKMPKGLKVPWDPRGFFHWLGDKPNFKKRGLSGPLLGCTMGSQRMLTSRLAKLCNRDNTHLTMTGMRGTRGYVALELMLVFEILGWWCDLET >OGLUM06G12300.1 pep chromosome:ALNU02000000:6:10845467:10850415:1 gene:OGLUM06G12300 transcript:OGLUM06G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESREEGGGGGVVEDGDEAGGRPGKDGGSGGAGRGRDGNNGISTWEIEEMEDEAGPASLAPPAAAAAADVYVAVGKGGSSMEALSWALRRLASPRSFVYLVHVFPVVISIPTGLGMMPKSQANPEQVETYMNQERSKRRVMLQKYLDHCRNFQVNVDVYLIESDHVADAILELIPVFHVQQLVLGVSKSNLRKFKRGNTIAGQVQKNAPLYCEVKIICDGKEVTTVPTADPTPPISPSPVNNKSNSISPTPLSPAPDHNNRAVADDNEKGTNPNERNKITKYLKCFSF >OGLUM06G12310.1 pep chromosome:ALNU02000000:6:10851121:10854322:-1 gene:OGLUM06G12310 transcript:OGLUM06G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGAPISISRAEKGEGILNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >OGLUM06G12310.2 pep chromosome:ALNU02000000:6:10851121:10853377:-1 gene:OGLUM06G12310 transcript:OGLUM06G12310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAMPRAPPAAPNIQSLKLCSQNDSSLETTSPSKRSALVPGRSAESSKPNSEVVQKEQKSTQHQNESIDLTGSNDPAEVKAEGNLVPKRLADEEKGVVDGIANGSLKSSSALGKEHGIASASGSARLVGRSETGERGFSSSRCRPSTSSDVSDESACSSISSVTKPHKANDSRWEAIQMIRTRDGILGLSHFKLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQRGKYFPEQAVKFYVAEILLAMEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIRSSNPDAEALRKNNQAYCVQPACVEPSCMIQPSCATPTTCFGPRFFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLGCKRGATEIKQHPFFEGVNWALIRCASPPEVPRPVEIERPPKQPVSTSESAAAPSDAAQKSSDSYLEFDFF >OGLUM06G12320.1 pep chromosome:ALNU02000000:6:10864775:10868433:-1 gene:OGLUM06G12320 transcript:OGLUM06G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSNMQPHRGSESIAAADGGHPQSEIVESNSLPTPEPRDDVQMADETADIESQQDSKMVEIKDQDSGNSSSRLASLNSHEARIRIDFEFLWRLRKYLLLLAVLAVSVTYNAGLSPPGGFWTDDSPVHHAGDPLLPSKFFQRYEAFFYCNATAFAASLVLIILLLSRGVANQHLWLRAMQVTMILDLFSLMAAYAAGSCRALKSSAYILVLVLSVFLYVGIHILVFIRVVPKGLKEGVQTFMHKTVQKLQRMLKRVLTICHPPKNQRSNQNEKEEIEEARKFILMLSTFAATITYQAGMSPPGGFWAENIHGYRPATFVLRKHNLRRFNIFTCSNATSFVASLVTIILLLSTELSRHGIRTQALFVCVIAELFGLIFAYAAGSCRDVATSLSVIFIIVVVLICALILVMFFQSRTVTIWIDNALRPRFDLFLEMLSWPRENRLSDGNREGPLSSSRQDTDHGNLGDQSTEDVKSAPTNDLESIKDSIPNMANQLHDQKDNLAIATVHSSSADVPSTKGPLPEQVLSEPISALGDRTVSADVPDTEHNIAKCQRDREEQTQELSGHHDSSEADGEVRKSEDGIVSNNDGTRDKGRISGDSEKNPDDVRLKKSRTYLLLLAILAVSLTYQAGINPPGGFWTSNTPNHSAGDPILEDNYHKRYLAFFYFNAIAFLASLVMLIMLLNRKMSNKVIKRRALQTAMITDLLALLGAFVVGSCREKTKSIYISVVIFFVVVAYTFLHVLASKYAVPEQWKQLFKRRQDVLQEHHVDNDAKDAHEKDLERRRNLLFILAILTATVTYQAGLNPPGGIWPDGSGKPGNPVLQDSHPKRYDVFYYSNALSFVSSVAVIILLVNRESCEHGIKSYALRVCLIAGLLGLLIAYSAGSFRKVKSIGYLIIITAAVLICLLIQVLVLSSTNDALEPPTRSGRWLQKFFGLADSQKSLASPGQSKNESDKSDPLINEKKEKRHKYLMLLAILAASIAYQAGLNPPGGFWSEDSRDGYKAGNPLLKDIHFRRYMVFYVSNSISFMASIAVIMLLLSKSVRKNKVPLQALFLIMILDLLALMTAYAAGSCRKVRTSIFVFLVVCGVVLYLMIVTILLSGIAKWLKERKGC >OGLUM06G12330.1 pep chromosome:ALNU02000000:6:10875275:10878069:-1 gene:OGLUM06G12330 transcript:OGLUM06G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIQMANGTSQDDNIEGNFKLLWSLRKYLILLGTIAVGVTYNAGLTPPGGFWTLNKDKHQAGNPVLPVGYFQRYEVFFYCNAMAFAASLVLIIFLLSKSATKHVLWLRSMQFTMILDLFSLMGAYAAGSCRALKSSIYTWILVFAVFLYVGVHVLVFMRVIPDKLKEMIQKTLWCVHDRQSDRHQDKDVEDARKFILILVTFTATVTYQAGLSPPGGFWAENEYDPLSKLPPAFPPYKHQPATSVLRIILLLSTELSKHGIRSKAVIVCVVADLLCLVGAYAAGCCRDVATSFYVMFIIMVVLIFFALLVGIFAYKPVAIWLQNFKKESLRCVSATGWMLSSSSRSNRFSNADHNHDTEIVGTNDDSEPVANGHIHSNQAAPIQNVNGNQTEEHLNKTRKNLLLLAILAVSLTYQSGLNPPGGFWSGNEFRHADGDHILEEYHHSAGDRILEDTYHSRFIAFFYLNAVAFVASIVMIILLLNKVMIMKVTKQCTLQIVMIVNLLSLTGAFVMGSCREANKSIYISVLLCLVLAYVLVHVLIAIHVLCGMASPASSVSPQNRTEDTKELGRRRNLLLTLSVLAATVTYQAGMNPPGGVWSDDKDVSGKPGNPILQDTHPKSYDVFYYSNSLSFVSSVVTTILLVNKESCEHGIKSHALRVCLVVGLVGLLIAYAAGSCRKAIQSIYLIIIAVAVLISVVIQVFLLSSTNSNTLQTFLSIRDVNQDSSSGLQESTDPQEKKERKRKKYLMLLAVLAASIAYQAGLNPPGGFWPDDGGHKAGNPILHDVNHRRYKTFFCFNAFSFMSSIVVIMLLLSRTIREKDVHIDVLYLIMILDLLGLMTAFAAGSCRRFRTSVYIYGLVICVVVYLLLVTVLSSGIAKYLRSRKVQIPSQDHPENASRADTPNA >OGLUM06G12340.1 pep chromosome:ALNU02000000:6:10878082:10885675:-1 gene:OGLUM06G12340 transcript:OGLUM06G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGRQPKLTIALTNTTDVLIPVNGQSEENNTNGDRAEYGAETGSDRQDSMNGLSSRFLLASSHEPSITVDFELLWRLRKYLVMLAILAVSVTYNAGLTPPGGFRTKNTTNGRDAGEPLLRVYFFPRYEVFFYCNATAFAASLVLIILLLSKSVTRQNLWLRSMQFTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVVAVFIYVGIHILICTRIFPKWLKERIQMLLQKILAKWGAHEENRSNDQKRNIEEARKFILTLATFSATITYQAGLSPPGGFWTEGSSRPATPILRSNYLLRYNFFMSCNATSFVASLVTIMLLLSPELSMHGIRSKAVIVCVVADLLGLIGAYAAGSCRDIAVSFYVMSVSIIVLTCFVVLAGILVYKPVADWLQKIKPDTLTCIGTIGRVFSLDFKRNRSIDREQENSQANHLQTVLEPDAQVKENALQSINIEEGESHAKNQPSGECQSANSEEAVPDSDHPSASYQQSMCSTDVVCNLQGQSIDDQAQPTAEESISNTQDPSEKSQHATNYKDAMHQSADNQQDANTKENSSSINDFKTLKDGISDPEPQSADSHQVTDIKEQSAMTNNLNTIDTKGSMPDHDNRSTDSQHVINKMEQSSSTDEPWTVVTPEKKVSPNVPVEHSEIEAAEDNKIAPHVENGYIDKNEGSPNEDGDRNQTAKHLKKCRTYLLLLAILAVSLTYQSGLNPPGGFWTRHEDYHSSGDHILEDTHHPRYIAFFYLNAIAFVASVVMIIMLLNKRMANKVIKRRALQIAMIVILLSLTGAYVMGSCRKTKNSVYISVMVLLVLAYVGIHVLIAIHVIPEGWKRQVAEKLNRLSCRHLWSPLHGNNQTGHGNEKDWERRRNLLLILSILAATVTYQAGMNPPGGVWSDDKDINRTLTGNPVLQENNLRRYNVFYYSNSVSFVSSVVITILLVNKGSCEHGMKFYALRVCLAAGLVGLLIAYAAGSCRKAKQSIYLIIVAVAVLISLMIQVFIMSSTYDKLGRPLCKCMESLLEWIFQTKDVRQDSGSEIQGNPDPGDKSERKRHKYLMLLAILAASITYQAGLNPPGGFWSDDSSDPPKHKAGDPVLHNIHPHRYKAFFCFNAFAFMSSIVVIMLLLNKSVREKNVPLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYGLVIGVTIYLLLVTILASSIAKCLRSRKISHSASEDDSDTLSLHIHRAVGRRWRPARRGEIRVGGPRLTQADGTKRETPPARLLFDGMPHRPTVQAPASCARQVFEPMPRRGGWGGIPGHRPLQLRSSTRLRTQKLQEFRRARRVLRGGHDHVQACRDEARRPAFLADGLNICRSVEAVCASCPADLDVNAVSLMSNEVTLRRLPDFLLVAAVNERIKNS >OGLUM06G12350.1 pep chromosome:ALNU02000000:6:10879053:10879552:1 gene:OGLUM06G12350 transcript:OGLUM06G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTSTEHSPRSPKLLQLLRSQTRRATELERPVSRGSLDGGSVRHSVEQQTSRRGFAFGPVSLGQSRAADSDLSSPRRPPSPADRPVNVER >OGLUM06G12360.1 pep chromosome:ALNU02000000:6:10910115:10913961:1 gene:OGLUM06G12360 transcript:OGLUM06G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDYIFLWKWRKYLLLLATLVAGVTYDAGLNPPGGVWPDDTGGHATGDPVLPVTFHSRYLAFFYCNATAFVASLVVIMMLLDRRVSGNHVGVTVLRSAMVLDLFALMGAYAAGVSRDVLAVAYVSALFGLVFAYVALHIVVATSALPPVEWLRASAKRLAGKAEELLRKGDDEASASATTRRVEDWQERRKFLLLLATFATPLTYAAGFDPPGGFWDATGGEHTAGVPVLRDGPSRRRYRAFFYCNATSFVALLAIVMLLMSRTLSRRVARSYALLQVCVMVELLGLVGAYAAGSNRKCETTAYVVSLAGAVLVYIALQVVVGMFAMAAIKRWLVRLCRILQCRRSMEPAQHLRADVTHDHRVQYLRRSGDGDGGDADTVEESRSLLLLLATLVATVTYDAGLNPPGGFWPDGGRAELILLDTHPRRQLSSGAVVKRRAMQAAMTLDLLGLMGAYAAGSCRSAAATVYVSVLAVAVFVYSLGNVVAFTTMGRRRETRLMRWVDGMVQKVLERLHLWDGQAEEADDDMERKRKFLLQLAILAATVTYKTGLNPPGGFWSGSGDGRAGDPVLVDHYRNRYMVFFYCNATGFMASVAVILMLVDRRLYKQGIRCNALNACVVVGLLGLMLAYAAGSCRRLRTSAYVIALVAAVVGFLLLQILLFLLAKRVVPATLEHRLPPWLLALFEPLTPPPRKAAAAAAAGGEDDKQQDSGERHTKQYMKRKYLMLLGVLAASVTYQAGLSPPGGTWGGDGAMVAGGGSTSTTYYYAAGDPVLHDTDRARFVVQFEWCIVVM >OGLUM06G12370.1 pep chromosome:ALNU02000000:6:10917633:10922133:-1 gene:OGLUM06G12370 transcript:OGLUM06G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTGTTPPATADQQPPKPPSWEYQLREYLLLLSSVVAIATYSAGLAPPGGVRQKDAGGGQYKAGDPTLQDIAAAAGGGAAHARYLAFYYCNATAFAASLVVNLLLLVLEEASTVGLAMLRTVMVLDVLALMAAYAAGSCRDLPSTVYVSTLVVALSAYLAIRIIYQTGRNPLSTTTTSPAGAGDDDDDNQLRKVLMLLATFATEITYTAGLGPPGGFQDDGAPTLRSAGGGQSARLAAFFYCNTAAFVASLSIVVPLLSSRLQRMHLELYPPILAALLGLMGAYTAGSSRDLRTIAYVVALVAAVLAYILLAMAIALKKKKKKKHDVDLPGGIEDSETARPPRNEKDGQLEGEKGSKNNEPMKDNDFVLLLATLAASITYQAGLDPPGGVWSEDDKLYGRNAGDPILLSTHAKRYKAFFYCNSTAFAASLVVILMVQSKIVKGKALVIATMILDLFGLIGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVVFWPDDCYVSNQKDKEVEKRRERLLLLAILVATIAYQAGLTPPGGFWNKDDGESGHRAGVPVLLDNYPRRYHAFFYCNATAFMASVALIILLVNPKLYKLGIRCYALYVCMMVGMFGLMGAYAAGSARKVRTSIYVFVLVGVVIAFLLVQLVYFNIQAVWKQLLVFLNVKKEPTSNSDSANTTNGSSSDSEQNIASNTEEESKKKEYLMTLAILAASVTYQAGLNPPGSVWQEGGNVGNPVMRDNNYPRYNAFFYCNSTSFMASIIVIILLLQQYQKKYGGFLLYAMNMVIVVDLLGLLGAYAAGSCRDWETSGYVIALAVVVLACIMIHFMLLYHNGRSKGRVGGVQEINTLPVNHS >OGLUM06G12380.1 pep chromosome:ALNU02000000:6:10942216:10951762:-1 gene:OGLUM06G12380 transcript:OGLUM06G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLGGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGGGGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSSFWQDDNDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVVILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDVAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASRHVSEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKTGGNNPQIAPDQLDAESQEPGNGRRMYYPSQNLGQTIISSGAIFLLLQPQQDMSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYATGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLHNKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCREPDDTVYVICLIAAILAYIFLQVAVTQFLKKRIRNDGHTERSISSVKSLIHNEQDLDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDQDGHKGGDPILLTTHPARDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNGHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHNHQAGYPILLDNYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGDKTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMEWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >OGLUM06G12380.2 pep chromosome:ALNU02000000:6:10942216:10951762:-1 gene:OGLUM06G12380 transcript:OGLUM06G12380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDDATEQQPYWEYTLRKYLLLLASLVATVAYGAGFSPPGGAWQVTADGHTAGDPIIRDLYYGRYLVFFYCNATAFASSLLVIVLILLFAVLHEKRNMWVTVMPLRVVMVLDLLSLMGAYAAGTCRDATTTRYTAVLVAAVFVYLVVQMVLASLGGGDDEDEHVVTKERSRKVLLLLATFATSLTYVAGLSTPGGFWADGVAGGGGHRAGEAVMGERHPARLTAFLLCNTTAFVASLLIIVLLLDRKLRDGTVRSWELYGCIVVALVGLVGAYAAGSSRAEHTTVYVLALVGAVLVYIAFHLTVVPCVKQALGNTKLARIYSSMSKRRYCPKQDQEVDQATIDREAEHNRAMEKARSLVLLLATLAATVTFQAALDPPGGYWQDDNGDHKAGNPILLTTNPRRYKAFFYCNSTAFVSSLLAIILVQSRSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSIYVMAIAGAVLVYVVIHVVFFTLDQRGHDEDVLLEKRRKRLLLFAILSATITYQAGLTPPSSFWQDDNDGHRAGEPVLFSNNPYRFKAFFYCNTTSFMSSIALIILLVNPNLYRPAIQSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIFVFALVLIFVVILLVVFVVRQNHGNTTTRQNSQGDQNQRGDNSGSENHTKRKYLMLLGILAASVTYQAGLHPPGGVWQSDDDVAGHAAGNPVLRDNRRRRYRAFFYSNSTSFMASVVVIVLLLPESASRHVSEWLLKAMNTTIVLDMIGLLVAYGAGSSREWETSGYVIAMAVVVLGYIAVHGVLSTFGQGHKTGGNNPQIAPDQLDAESQEPGNGRRMYYPSQNLGQTIISSGAIFLLLQPQQDMSSTNKIDTHNRNGSSKEKKSNPSSSSEYQLKNHLLLLATLVATVTYATGLNLPGGFWQDTQEDHLAGDPILPGNHKEQYIMFYYCNATAFAASLVVCLLLLVLDKENSGCAAALRVVMLFDLLGLMGAYAAGSCRDEFTTIYSSVIMSMVFAYIVPSLFTYAVSKLHNKDKNHGKQNKDSGEPKDTEKQTWYPDEHKREELHEVLMLLATFAVTITYVAGLNPPGGFWGSTQDGHRVSNPVLQDINSRRYKAFFVCNTTAFVASLLIIMLLLDKRVNTEQMSLQFGELYGSIVVVLFGLVGAYAAGSCREPDDTVYVICLIAAILAYIFLQVAVTQFLKKRIRNDGHTERSISSVKSLIHNEQDLDGSRNTKRNVAMEKARSLVMLLATLAASITYQAGLDPPGGLWPDDQDGHKGGDPILLTTHPARYKVFFYSNSVAFVTSLVAIIMVQSNHVLKNHTLEAAMLLDLFALITAYAAGSCRDVSTSIYVVALAGGVLVYVVIHIIFFTLDNMDNGHHDPDEEDKKREVLLLLAILVATLTYQAGLTPPGGFWSEDDNLGHNHQAGYPILLDNYPPQYEAFFYCNATSFMASIALIMLLVNPNLYRPGIKCYALYVCMVAGMFGLMGAYAAGSSRRLRTSIYVLTLVGAVFALVALQVAMFWNKRTSKTGGDKTNSSAQEGGSTDTEASQPAPLIKGMGSTSQATQITDITDAALRNTDKGEGSSEQGGSRINSVTSEPGAHGTGNGASLQEANSEEDKSGSTEKDMREYLMLLGVLAASVTYQAGLKPPGGLWQENSNGHLAGHYILHDINKRRYYAFFYSNSISFMASIVVIALLLPRMLNNLEIPVWPMHTAILLDMLGLLCAYAAGSTMEWETSRNIIALVIPVLVYMAACTALSFFHKEDQKQISASTCQASTNS >OGLUM06G12390.1 pep chromosome:ALNU02000000:6:10946642:10947767:1 gene:OGLUM06G12390 transcript:OGLUM06G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAYLIVDEVQVNLLQIGSCLVTCNQHQPWHLERLTFTQMNLEGDKMTYSGIFHVTVRFYGVPESLRLCSLVDKLIQYTATFSDSLRYKQDKNIIGLVVFGVTRKPPDTILIANSTYKINKICAFRRLLFHNCVLLSRTSLLS >OGLUM06G12400.1 pep chromosome:ALNU02000000:6:10953935:10954486:-1 gene:OGLUM06G12400 transcript:OGLUM06G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAKVKKNDGDRARSLKQHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQHNSNGYTIGDSVMHDNMRHRYHIFFYSNSFSFVASVVVIILLLPEELLEKNRWLTVMNVTVVLDLLGLLLAYVSGSSMRWEPSVFVIVMVVAALGCAAAHKFLLPTGRSQKLRKHTGCCIIVRGINNSNREVV >OGLUM06G12410.1 pep chromosome:ALNU02000000:6:10969376:10969756:-1 gene:OGLUM06G12410 transcript:OGLUM06G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKSAQDPHRPLEYDLRKYLLLLATMVATVTYTAGFNPPGGVWQETEAGHLGGDSIIRDTHYPRYIMFFYCNAAALALSIVVIVLIFILAILHEKNGIWISMFPLRLAMVLNLVGLGGAYAAGTS >OGLUM06G12420.1 pep chromosome:ALNU02000000:6:10974091:10975561:1 gene:OGLUM06G12420 transcript:OGLUM06G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKQLDNIKPQGMKSQAGTNRNCKLHKSMTEEIMKKKEQTGSYQLHDTGKN >OGLUM06G12430.1 pep chromosome:ALNU02000000:6:10986308:10988058:-1 gene:OGLUM06G12430 transcript:OGLUM06G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSFLVECLSWLVVVLFSLYIFQLLRDARRRLPPGPWPPKPLVGDLLDLGEDGKQHRTFLRLADRYGGLMCLRFGMVPHVIVSTPDALRAVFAAGAGGGEGKKVDGIAGLPSLDVLSAMGHRAHTIFALPSQDGKWRALRKFAAAEMLAPRRISSAAAGAQLQTKIVEALRREVSGHAARGDAVVFRHAVLDSILSLLLGVLYSTDLEREERAMFRDLIEEIVGMLGTANVSDVFPPVAALDLQGLRRRMTDLLTIMYRHFDDQVALRRRSRDAGEARKNDVLDTVLDKEESEWKQEGSLLSHDVMRVLLSDLYGAGASTTAALIEWGMVDLIQNPEVMTKVREELTNVLGDKLVMDESDIARLPYLQAVVKETLRLRTVVPLVPRKAEVDIEVNGYRIPKGTNVILNAWAINRSADAWLEPDKFIPERFLGGETRGYLGQDFEMIPFGLGRRICPGMPLAQKLIPLIIGTLLHRFEWELPADAKEGGIDMTEKCGVVLSLVNPLKAIPKEI >OGLUM06G12440.1 pep chromosome:ALNU02000000:6:10990160:10990736:-1 gene:OGLUM06G12440 transcript:OGLUM06G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLGACSRAPVARSRSDAVTARNGVGLGGMEMALKGDDGTEGEQKLGVVDTSWPRNLITASDAANLAATLPPIHHLADMCAQPH >OGLUM06G12450.1 pep chromosome:ALNU02000000:6:11006007:11009101:-1 gene:OGLUM06G12450 transcript:OGLUM06G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPGNVAEEQPWEYTLRKYLLLLATLVATVAYGAGFSPPGGVWSDAKDGVHLAGDPVIRDHYNGRYLLYFYCNATAFVSSLVVIVLILLFAVLHEKRNVRVTVMPLRAVMVLDLVSLMGAYAAGTCRDRTTTTFTVVLVSLVVVYVALQVVLASLPAGEHDGDEHVVKEKSRKVLLLLATFATSLTYVAGLSTPGGFWSDTADGHRAGDAVMGDRHPARLTAFLLCNTTAFVASLLVIVLLLDRKLRDGTVRAWELYGCVLVSLAGLVGAYAAGSSRAAHTTAYVVALIGAILAYIAIHLAVVACAARALSNTGMSEKLAGMYSSVKERRYHLRQPARELAQANDDREKLLNEALEKARSLVLLLATLAATITYQAVLDPPGGYWQDDKDGHKPGDPILLTINARRYKTFFYFNSTAFVASLLAIILVQSKSLLKRHALEAAMILDLFGLMGAYAAGSCRDASTSINVMAIAGAVLVYVVIHIVFFTLDHNDGSTLGEDNALLEKRRKRLLLFAILCATITYQAGLTPPSGCWQDNDEKHGYKAGHPVLFSNHPRRYKAFFYCNTTSFMSSIALIILLINPNLYRPAIHSYALSVCMVAGMFGLMGAYAAGSSQHMRTSIYIFVLLFIFLVLLLVAFVVHRKSQGKQNKRTNEAKVPDTNDIKRKQYTKRKNLMLLGILAASVTYQAGLHPPGGVWQSNDSAGHAAGDPVLHDMQRLRYRAFFYSNSTSFMASIIVIILLLPESLKLNVNEWLLKAMNTTVVLDMIGLLVAYGTGSSRDWDTSGYVIAMAIFVLGYIAIHAMLSKLSQVANHRVASEDPESQVLGNGLHQVGGICVGLHPSINAVQ >OGLUM06G12460.1 pep chromosome:ALNU02000000:6:11010839:11011529:1 gene:OGLUM06G12460 transcript:OGLUM06G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYMIETYNSSTGVRNVGKGSKQANLIRKQQRRLHSDKIRLQRQPRQHVHALSVQYEWINRSGTLMMILW >OGLUM06G12470.1 pep chromosome:ALNU02000000:6:11034795:11044821:1 gene:OGLUM06G12470 transcript:OGLUM06G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPFLHFATAASTLHLLLHYHAAVVGSNRERHQKLQQARQAFSKPPDGNVIDCVPPHLQPAFDHSKKLRGQKPEVEPEPEERPKVDGASAAQGEAAEEKETDVIAVGSGWASQRARPSRRGSRHCQYSSGNGSGLKEGEIADSVTKLAYDSNGSELSEKNIGCDYDHMWRIEGSTAAAAKGRCTCGCAGCRSKFRFHLRMDENSGSHPSERSKDDAEPLEFQLRKYLLLLAIMVATVTYTAGFNPPGGVWQDTEAGHLAGDSIIRDTHYPRYLVFFYCNAAAFAMSIVVIIIIFILALIHDTKKLWISMIPLRMAMVLDLLGLVGAYAAGTSRNVLKTRNVCVLVAIFVYMAVQIVLTSFPGIVLKCKRNASGIQIVFRCIGCKEVPNNQPSQPGDNKEEEKQRHRKLLLLLATFVMSITYLAGLSAPGGYWDSRKEGHEASDPVMREHHSIRLKAFFLLNATAFVMSLLTIMLLLDKRLIIPLLHDKVPSTTRPVRTIVLKAYISIALVGLAGAYATGSSRESDTTIYVGSLVFAVLACIIVLKTIIFHQSDSSDRSSNGTRRRNGDAQANPSSRREQTGTSHGGAKLPTSNGVPPTTRNVGVQTDTSNGGADTNTSNADILEKAQSLVVLLSTLVATVTYQAGLVPPGGVWQDNWNGHEAGDPILLSMQPERYKVFFYCNSMAFAASLVIIILVQYKPMLKRRILQFAMILDLFGLIGAYSAGSCRDVTTSIYVIALAGAVLVYVIIHVLFVTLEDEDIGKKGGDKDRKLEDKRRKRLLLFAVLGATLTYQAGLTPPGGFRLKDDEFGHNAGDPVIFYNYPSRYKAFFYCNSVSFMSSIALIILLVNPILYRPAIRSYALSVCTAVGMFALMCAYAAGSTQHLKTSIYIFGLVALVLFIMILVLICFYHRDVNTGSMSTNEEDLETGSGVKTPVKQEAFTETKSVDEIKEDNTAKSPKTKEDDRTVESSEIKYEGESKQNTTNKSIEQNRTDTDSLRTEEDSKKKHATRKYLMLLAVLAASVTYQAGLNPPGGVWQGNSNGHAAGDPVMHDNRRYRYLIFFYSNSFSFMASIVVIILLLPEKLLRENRSFKVMHLTMVMNLLGLLLAYMAGSRMRSESSGYFMEFVITTLCFAALHKILSSEKEQQNDQPSQVDQQGDSQVS >OGLUM06G12480.1 pep chromosome:ALNU02000000:6:11041105:11042056:-1 gene:OGLUM06G12480 transcript:OGLUM06G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANVYDIYAGQRWKRFLI >OGLUM06G12480.2 pep chromosome:ALNU02000000:6:11041105:11041953:-1 gene:OGLUM06G12480 transcript:OGLUM06G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPARCPASVSCQTPPGGLNPAVYVTVATIMASSKSWGSSDRKEEPQGDRKDQVESFYGSNGYWLFFFTARQVTVVLINTNHLTLNYLVFIPVLYFSMYANVYDIYAGQRWKRFLI >OGLUM06G12490.1 pep chromosome:ALNU02000000:6:11056444:11057485:-1 gene:OGLUM06G12490 transcript:OGLUM06G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPARCPASVFCQTPPGGLNPAAYVTVATIMASSKSWGAMTERRCLKASMTLCQAGSNTVKN >OGLUM06G12500.1 pep chromosome:ALNU02000000:6:11057304:11060360:1 gene:OGLUM06G12500 transcript:OGLUM06G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQLLEESKDEHRPLEYCLRKYLLLLAIMVATVTYAAGFNPPGGVWQNTEAGHLAGESIIQDTYYPRYLVFFYCNAAAFALSIVVIILIFILAVVHEKKGLWISMIPLRVAMVLDLLGLVGAYAAGTSRGVLKAKNACVLVAIFVYMAVQVVLTSFSEKSQLFRCTGDSKKKEAKGQLESADGKGEINKEEEKERRRKLLLLLATFVMSITYLAGLSAPGGYWDSSKEGHIAGDPVMREHHSIRLKAFFTFNAIAFVMSLLIIMLLLDKQLVIPLLKGKNQNKTSPVRTFVLKAYIFIALVGLAGAYATGSSRECDTTIYVGGLVLAVLACIIVLKAIISCQTYSNDRSNNIEEQTSTGNCRAQINTSNGGAESVSSNGGAESVSSNARAQPTTTNGGEETKTSNAGAQKNTRNADFMDQAQSLVVLLSTLVATVAYQAGLVPPGGVWQDNWNGHEAGDSILLSMQPERYRVFFYCNSIAFAASLVIIILVQYKPILKLRVLQFAMILDLFGLIGAYSSGSCRDVTTSIYVIALAGAVLIYVVIHVLFVTLEDEDIRKEGREKDRKLEDKRRKRLLLFAVLCVTLTYQAGLTPPGGFWLMDDEFGHHAGDPVLFYNYPRRYKAFFYCNSMSFMSSIALIILLVNPNLYRPAIRSYALSVCTAVGMFALLCAYAAGSTQHLKTSIYIFGLVVLVFFIMIVLLIYSYWRQKRIMSHNKEDTEKGKSPGTQNEDITKQDSKTVKPTGTKSDVEMEEDDITAKSTKLKENDSTGKSSEIEDEGEAKQNRLEHSIERAKQETATESPKKEDKSKKKHATRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSNDHAAGNPVMHDKKRYRYLIFFYSNSTSFVASIVVIILLLPEKLLREAWSLNVMNITIVLDLLGLLLAYMAGSRMRLQSSGYFVVFVIGALGFAAIHKIWSYLQRKRNDQHRLLDQPR >OGLUM06G12510.1 pep chromosome:ALNU02000000:6:11057610:11058934:-1 gene:OGLUM06G12510 transcript:OGLUM06G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTKDAAKAIELHIARLRLFTTIGCGYNRLEDNNACKNGKNQTAHIDGSVTFSAASGGIGPRKTDKSDKDADRMVFPHDWVTCNVALLAAVPVATRRAQPSQHTPAGPSSIGPHEAKQIQHHGHPQWDHGDP >OGLUM06G12520.1 pep chromosome:ALNU02000000:6:11063955:11066357:1 gene:OGLUM06G12520 transcript:OGLUM06G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >OGLUM06G12520.2 pep chromosome:ALNU02000000:6:11064023:11066357:1 gene:OGLUM06G12520 transcript:OGLUM06G12520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >OGLUM06G12520.3 pep chromosome:ALNU02000000:6:11064023:11066357:1 gene:OGLUM06G12520 transcript:OGLUM06G12520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >OGLUM06G12520.4 pep chromosome:ALNU02000000:6:11064023:11066357:1 gene:OGLUM06G12520 transcript:OGLUM06G12520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWEASTKPLAANNGDDRVHQRLETGGTVVNLGVWLITLQAIGMPHVIPGLMKAVGIVYSEGD >OGLUM06G12530.1 pep chromosome:ALNU02000000:6:11069284:11069694:1 gene:OGLUM06G12530 transcript:OGLUM06G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTDGPTLLATSQSDLSAQAPRYRSYPLFLAFSVIPRFLAPLLASAELISLPSSPIAPGHAFTADFAALATLAVALAWRAAAPWRDAVVAQTPPHQVVALLISTAPSAMEIKATATGDKAVEEHLVILFLSSPSR >OGLUM06G12540.1 pep chromosome:ALNU02000000:6:11073567:11076572:-1 gene:OGLUM06G12540 transcript:OGLUM06G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTKDTAKAIELHENQTANIDGSVTIPAAAGGVGPHKTDKSNNDHNDEQGHDKCSSIKDKECLQADSMVLSHDQVACIVSLLAAVPVATRCTQPSQHGPAGPSCIGPHKAKQIQHHGHPQWKHGDPKVFLLLCDCKAQDEDDDYNGQNECSRIAVEENKVPGVVGIPDNGVTGEVPGLGILPDTPGRIETCGICHCGCHNSQQLNPAAYVTMATIMAISKRSKASMARNG >OGLUM06G12540.2 pep chromosome:ALNU02000000:6:11073567:11076033:-1 gene:OGLUM06G12540 transcript:OGLUM06G12540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMYALRKRHNDEQGHDKCSSIKDKECLQADSMVLSHDQVACIVSLLAAVPVATRCTQPSQHGPAGPSCIGPHKAKQIQHHGHPQWKHGDPKVFLLLCDCKAQDEDDDYNGQNECSRIAVEENKVPGVVGIPDNGVTGEVPGLGILPDTPGRIETCGICHCGCHNSQQLNPAAYVTMATIMAISKRSKASMARNG >OGLUM06G12540.3 pep chromosome:ALNU02000000:6:11073567:11079553:-1 gene:OGLUM06G12540 transcript:OGLUM06G12540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSGPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRLNPAAYVTMATIMAISKRSKASMARNG >OGLUM06G12540.4 pep chromosome:ALNU02000000:6:11077865:11079553:-1 gene:OGLUM06G12540 transcript:OGLUM06G12540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSGPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRRKLSRFDCDKIAQQMLMQCLVQL >OGLUM06G12540.5 pep chromosome:ALNU02000000:6:11078498:11079553:-1 gene:OGLUM06G12540 transcript:OGLUM06G12540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGGGGGSSGPPGTIANKVPLALFDSSPRAPMAGKRAAYALRPGTRSSCSGRLFPLAALAGLAAMHYSNHRRKLSRFDCDKIAQQMLMRIIFGGRGSQNGT >OGLUM06G12550.1 pep chromosome:ALNU02000000:6:11074986:11077929:1 gene:OGLUM06G12550 transcript:OGLUM06G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGHSTEDQEKVEEKPLELLLRKYLLLLAIMAATVTYAAGFNPPGGVWQDTEAGHLAGDSIIRDTYYPRYLVFFYCNAAAFVLSIIVIILILSLAIAQEKKNFWIPMLPLRVAMVLDLLGLVGAYAAGTSRAVLKPRNAWVLAVIFVYMVIQLVLTSLSSCTGDGKKEEAKEQLQTADGKEEKINKLSQSGHKKEEEKERRRKLLLLLATFVMSVSYLAGLSAPGGYWDSSKEGHNAGDLVMREHHAIRLKAFFVFNAAAFVMSLLIIMLLLDKQLVIPLLQDQDQSMTSRVRTRFLKAYIIIALVGLVGAYATGSSRNSDTTIYVGCLVFAVLACILFLKVIISPHPQGSASDSNGRPSNGVKKNASNGGVQTNTSNADILEKAQSLVVLLSTLVTTVTYQAGLIPPGGVWQENWKEHEAGNPILLSIQPERYKVFFYCNSIAFAVSLVIIILVQYKPILKHHILELAMIMDLFGLIGAYSAGSCRDVTTSIYVIALAGVVLFYVVIHVIFITLDEDMGKKDGDKDKKDEGKRRKRLLLFAVLCTTLTYQAGLTPPGGFWLKDDEFGHHAGKPVLSYNYPRRYKAFLYCNSMSFMSSIAVIILLVNPNLYKPAIRSYALSVCTAVGMFAFMCAYAAGSTQHLKTPIYIFGLVALVLFIMIVVLICFVKKEMKSANSQDEEERVVQKSPPAQDEDVIEQETQTLKPSETMSADEIKEDDRTTKSVDIENAGEAKKNTSNETNEKEKQERAATESNEKEDESKKHTKRKYFMLLGVLAASVTYQAGLNPPGGVWQGNSNGRATGNPVMHDNKRYRYLIFFYSNSASFVASVVVIILLLKEKLLREDWLFKVMNITIVLNLLGLLLAYMAGSRMRLESSGYFIAFVIAALGIAAIHKIWSRNRESK >OGLUM06G12560.1 pep chromosome:ALNU02000000:6:11081294:11086370:1 gene:OGLUM06G12560 transcript:OGLUM06G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVDFTTDHAGATRRTTPASARGGLTREAGGWWPGLVRSRPRRWLAEAERAPSLLGRTALLMRALLSLCQDSKDEDEDDDHDGQRECSRVAVEEHKVSAILAIPDDGVTSEVPGLGVLPDTPRRAESCTICHRGHKGGQQKEDYLT >OGLUM06G12570.1 pep chromosome:ALNU02000000:6:11082520:11085223:-1 gene:OGLUM06G12570 transcript:OGLUM06G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEQERTDSPLEYDLRKYLLLLATLVATVTYGAGFSPPGGVWQDTEAGHLAGDSIIRDSQYRRYLMFFYCNATAFALSIVVIILIFILAILHEKGKLQIPMLPLRAAMVLDLLSLMGAYAAGTSRGVLTAGNVSALVATFIYMVAQMVVTLWLDKKQTQDISSGDEKKKRHRKVLMLLATFVASITYIAGLSAPGGYWDNNQEGHHPGDPVLWEHHSRRLRAFFVCNTIAFVASLLIIMLLLDKKQRIFLPLDKIKITITVRTYVLYAYITIALLGLVGAYVAGSCRKPDTTIYVLSLVGAVLLCIGALQAVLFFLPQLSNISCLPIIAKLSCSPGGPSDSSSRKNTSSKTDILEKAQSLVVLLATLVATVTYQAGLVPPGGVWQKNQDGHMAGEPILLSTQAKRYKVFFYCNSTAFAASLVVIVLVRYKPLLRRRILEITMILDLFGLMGAYAAGSCRDITTSVYIIALAGGVLVYVVIHVVFFTLEDNDKEKEVGNTNSVRNGSVVRTQNNSAEGNLAEKDSCIDKRRKRLLLFTVLGATLTYQAGLTPPGGFRVVDDGFGRHAGDPILFYNFPRRYKAFLYCNSVSFMSSLSLIILLVNPNLYRPAIQSYALSVCTAAGLFALLGAYAAGSTQHLKTSIYVFVLVAVVLFIMIILLVCFYQSESKEKRDDISKEPVEKDKDRAKYHAKRKYLMLLGVLAASVTYQAGLNPPGGVWQGNSDGHGVGHSVMHDNKRYRYLTFFYSNSTSFVASIVVIILLLPTELLKKNRWLRVMNITIVLDLLGLLLAYVAGSSMRWEPSGYVIAFVIGALGCAAIHKFLSFVRRSQQQGQGNDQPSRLPEGGSQA >OGLUM06G12580.1 pep chromosome:ALNU02000000:6:11092842:11095712:-1 gene:OGLUM06G12580 transcript:OGLUM06G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADDNKQQPGGGGKATAASHPKSREWQLRKYLLLLAILVATVTYIAGLDPPGGVWLETTDEHLTGDPILPDTRRLRYDLFYYFNATAFVASLVLTILLLPFRVEGPRLMAVRGVMVVDLLCLMVAYIAGSCRGRLTTIFASVLSATIFVYIVVHALVAPSTDTPEKKTVHDSPDKEKAMDMEDGHLHGCSSNPLDMKEAVEDGKLRPKERRKVLMLLSIFMVTITYTAGLSPPGGTWEHAAEEGGAAAAAGGHHRAGDPVLQEGHYWRFVAFFVLNTVAFVASLTVIMLLLSTSMGNNGRRLSALNVAIAFALLGLMGAYASGSCRETETTVYVLSLIGAVLLYISCLAVIKFLSKKTKPQAQTHGCCGWMTATARPGPACRSDCASPTDLGPDPIMPGPCQGEQPTEPSIATGSRRNPASKNREDSTDPVERARSLILLLATLITTVTYQAGLDPPGGVWRDDDNGHSGGGLILPATHAKRYKVFFYCNSAAFVASIIVIIMVQSRSLIGRRALEAAVILDLFGLIGAYSAGSCRDVRTSIYVFALAVAIFVLVVAIYVVVSKLPHDKKGKLEEKSKLEKKQKLLLLLAILVVTITYQAGLTPPGGFWIEHTDEDHRYGDSILADNYPLRYKAFFYCNATSFMASVIAIVCLMSRNLSSIAVGYCNALYACMAAGLVGLMGAYAAGTTRRLWTSIYVFALVGAVLIFAALHIQFFHKILIGCLSFFSSKKQDEVTKNHDQATGSKGSTEKKCTNNHDEETTDEYREKYKMRKYLTLLGILAASVTYQAGLVPPGSVWPTNDGKGHAAGNPILGDTDGRRYHAFFYSNSTSFAASIVAIVLLLQGTLILPELNDPDRFGPMHMVVMLDLLGLLVAYAAGSSRDWGTSGYVIAMAVMVLAYVAIYVFLSLRDRKGSEGRATTEVRSSSSTSQSSRSTLEVARPASRSSGSADNV >OGLUM06G12590.1 pep chromosome:ALNU02000000:6:11110805:11113573:-1 gene:OGLUM06G12590 transcript:OGLUM06G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDNPLHAGSGQATTLEGSEGWEPIIRAGFARTDTDNGGSDVLFCGSEMDHNNLQPAGSGQATTTEAATLVIDDNNRAWQLQKYLMLLTILVATVTYIAGLNPPGGVWLETKDGHLTGNPILPDTQPTRHYVFYYFNATAFVVSLVLIPFLLQPCVKAAAQLKAVRVAMVFDLVLLMVAYIAGSCPDRPTTIFASLLSVVVFGCVVVHWLVAPSSKGQLWLEKPNKVFMLLAIFVVTVTYVAGMRPPGGTWEHAQEAGRSDAGEPIWLERHRGRFMAFLVSNTIALIASLAVVMLVLSSRLRRNMSCLALHAFIITMALLGLLGAYVSGSCTEWIFTVQVGCVAGAIAVILLCVSCLPIVECLFGGFKSQHHSLAGAPGRRVTIQPLVPIVPVGEGEPVMAGTPGLPRMTPTESTMVVHRQHSSEAHANPLNNGRSMILLLATLTATVTYQAGLDPPGGVWRDNEGGHNGGDVILLATHAIQYKVFFYCNSAAFVASIIVVIILQSKDLVNRYALHAAIILDLMGLMGAYAAGSWRDIGASLSIFVLVAAIIVLLVVTYIVSCKSLTRGNNGNVSLAEQKRKRELQKRQKLLLNLAVLAITITYQAGLTPPGGFWIEHADEEHHNGDPVLGDNHRGWYTAFFFCNTTSFMASVVTIVSLVSQSLSEIDMAYCKALYCCVFVVLAGLTGAFACGTSRRMQESMYVLGSASLGLTLAILYIHRSHPMVRNGDGSNYADDDTELVGDVVTNGQHITTHKMCKYLILISILAATITYQAGLTPPGDVWPAADDGEGHAAGDPILRDSDRRHYLAFLYSNSVSFAASVLVIVLLLRGVVVRKLSSFLPLITVVHAVAVVDLLALLAAYATGSSRDRGTSVYVVTVAATVLVYVAIYAGLSSRHCGREQDGNGGVGSRPSSQAARV >OGLUM06G12600.1 pep chromosome:ALNU02000000:6:11136413:11139337:1 gene:OGLUM06G12600 transcript:OGLUM06G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVENPSNGRSNGHAPANASAATANSAQATQQGQTEQNPCDAGSEADLLWKLRKYLVLLAILAAAITFQAGLGPPGGFWQQDQHGYHAGDVVLRYSYPRRYLVFFYCNTTAFGASLIVLILLLVKELSRDAIWLRSLQFAMVLGLLGLMGAYAAGSCREVRTSVYIWALLVGIFAYITLHVVFFRHLAPQWLCEIFYTIRKHWKEILGSIHGDGGTDKTGTPRQTGETGDSEKTKRLEQNRSFLLVLATLAATVTYTAGLNPPGGFWPDDNKPSHLAGDPVLRDHYPRRFKAFLVCNATAFAGSLVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVHTSIYVFALVGAVFLYLCIQWIEHMVPIPCIKSSMEWVGKKKTHLLQKLGSFIMRGTRNPTEESRSTPRAQNPVNNRRSGTSDTAKDDVEKLRTYLLLLGILAATVTYQAGLNPPGGFWQDNNGHTAGDPILEAINPKRYKAFFYCNATAFVASLVIIILLQSQLITVGAMKRHILQTAMALDLFGLMGAYAAGSSRKFSTSVYVFILALVVFTYFTLHVLLSMALKTQLKTKIEHVPNLFHRFTRFCFGRTEGGSDDGRSDSVEQQNEEKDLEKRRKFLMMLAILAASITYQSGLSPPGGFWSDNDRHRAGDPVLHDEFPGRYRIFFYFNATAFMASLAVILLLVNKRLCDKGLKSYALRACVLVDLISLMGAFAAGSCRRVSTSIYVILVVAAVFAYVMIQILVLQVAEQKVDLLKKRRSGFESQRRSMTLTGPTGSTDKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGLWPSDQGNHYAGDPILKVTHPIRYKVFFYCNATAFMASTVMVILLLNNTISKYKRSLLAMKTAMVLDLLGLLGAYAAGSCRKFKTSAYIFALVIAVFIYIVIHVLLSFDEVALLVKEKGKKWMPCLKMWDQIETGASDQPSATQSGAPPV >OGLUM06G12610.1 pep chromosome:ALNU02000000:6:11139435:11146019:-1 gene:OGLUM06G12610 transcript:OGLUM06G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVDVEDNPNNCVFLSCFDAIIGSSGLVVFQLEYPEQQCNHDICFWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSKWQQTSQAQNAP >OGLUM06G12610.2 pep chromosome:ALNU02000000:6:11139435:11146019:-1 gene:OGLUM06G12610 transcript:OGLUM06G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSKWQQTSQAQNAP >OGLUM06G12610.3 pep chromosome:ALNU02000000:6:11142655:11146019:-1 gene:OGLUM06G12610 transcript:OGLUM06G12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWDSILRGGGGGGGRRFIKRKDSDAGEAGRALEELRGSLYNEFHTSEGAKRQQQRFCGPSVALTFNFFVAVGIIMANKMVMGAVGFNFPVALSLIHYIAAWVLMAVLKAFYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVAAEFILFKKKVSLRKVITLAVVSCGVAVATVTDLEFNLFGACVAVAWIIPSAVNKILWSNLQQSGNWTALALMWKTTPITVFFFLVLMPLLDPPGLLSFNWNIQNSSAIMISALFGFLLQWSGALALGATSALAHVVLGQFKTIVIMLSSYLVFNSDPGFTSLCGAIIALGGMSVYTYLGLKESASGGKRAPSTSRQNSHLLKSKVIVDGEKPETRPIDSV >OGLUM06G12620.1 pep chromosome:ALNU02000000:6:11152433:11156015:1 gene:OGLUM06G12620 transcript:OGLUM06G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAKGEPNGGACKDGEVMDLPWSEMFRSASLRLPKQEEPTTTKKPQGKGKASAAEEDIGGLSLEPDARLALYIAMAHAGLATALLVVYGLYRLLADFLRPLQWALLCSIPLRETQRALVAFWEPPLRGGLGAAVLALPLAAVRSCGATLADARAALLRRPLPPSPSFPRLLRWLASSFLFLLLLDRLGTATALVLLALSLAFFAASPKPSSFLSRAASSRIAGRTPSSRCLFLTGGILRHLKTLVAVGLMLGMILGFLSGSVFFSYKIGLEGKDAVMSLKSHVENGNYSEKIGLKKWLDDNDIPGLVDQYSAKLYDTVWEQIDQLAVQYNLTDFTSGFRHFLISQSVDPSGAKGKELITSGPHPYSMKLQVIAKHVKNREWMDIYRELDSFFRELLITREDLVVKAKGLALQGAEIAKSLLSSSTSVLGGSANLMLSIALHIVSGAAEVLNFVSQLMVFLWVLYYLITVEGGGATEQVIDLLPLSKQVKDRCVEVIDHAISSVLLATAKIAIFQGCLTWLLFKLFKVHFVYTSTVFAIISALLPILPPWLSSIFAAGQLLMEGRYVLAIVVTVVHLIIMDYGTTVIQEDIPGYNGYLTGLSIIGGMALFPNALEGAILGPLIMTVVMALKNLYTEFVLADSEETSS >OGLUM06G12630.1 pep chromosome:ALNU02000000:6:11158862:11168354:1 gene:OGLUM06G12630 transcript:OGLUM06G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLHQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >OGLUM06G12630.2 pep chromosome:ALNU02000000:6:11159106:11168354:1 gene:OGLUM06G12630 transcript:OGLUM06G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLHQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQRGSDHGVKAQGNGWLLTVALIDGTNLAATKSSGYSDPYVVFTCNGKTKTSSIKFHTLEPRWNEIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >OGLUM06G12630.3 pep chromosome:ALNU02000000:6:11158862:11168354:1 gene:OGLUM06G12630 transcript:OGLUM06G12630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLHQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQREIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >OGLUM06G12630.4 pep chromosome:ALNU02000000:6:11159106:11168354:1 gene:OGLUM06G12630 transcript:OGLUM06G12630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVQVSEARNLPAIDGGGGLSDPYAKLQLGRQRGKTRVAKRTLSPTWDEEFAFRVVDLKDELVVVVVDEDRYFSDDFLGQVRVPLSAVLDADNRSLGTQWYQLLPKSKKSKIKDYGEIRLTISLSLNYPEETTTLAHCVSDDLASYSDKSTELQKGSSLPNIPIEIPTSVSGGDETEIIKEDRSNGVPSFVNRLHQFFSAKPKDAEASASAPPLTTGDGNSDILEETPSTSSELPDNQDYETGVTMSFDEQLKAFGSCHEGNEIPENLSGGVLIDQVYAVAPSDLNGLLFSPSSDFLQSLAEMQGTTGLEIQQWRLENDGEVLKRVVSYTKAPTALVKAVKATEDVSYLKADGDIYATLADVSTPDVPFGNSFRVEVLTCIMPGPELPDNEKSSRLVVSWRLNFIQSTMMKGMIENGAKQGLKDNYIQFSELLARNIRPVDSKDAAATDKVLSSVQPEQESDWKLAFRIFGNFTVVSSLVAFIYVFSHIILASPSIIQGLEFPGLDLPDSVGEVVVCGVLVLQGQRVLNMIARFIQAKRQREIFEFDAMEDPPSVMKINVYDFDGPFDEVESLGHAEVNFLKSNLSELSDIWIPLKGKLAQACQSKLHLRIILNNSRGTEVMKDYLDKMEKEVGKKIAVRSPHTNSAFQKIFSLPPEEFLINDFTCHLKRKMLTQGRLFLSPRIIGFYTNLFGHKTKFFFLWEDIEDIQVMPATLYSMGSPSLLIILHKGRGMDARHGAKQLDNEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETKDLQNNESDSFLGIEDAKMSEVFSSTKPFDVSTLMSIFEGGPLEHQVMEKIGCMEYSVSPWESVRADAYQRQIHYKFDKRLARHEGEVMSTQQKSPLPDKNGWLVEEVMTLEGIPVGEYFNLHMRYQLEQISSKPKACNVQVSIGIAWLKSCKNRKKIAQEVLSSASSRLKKMFGLLEKELLPAK >OGLUM06G12640.1 pep chromosome:ALNU02000000:6:11170573:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQDYLVYVETSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYGEVLEAGKVYELGISRKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQMNWGARWEGEACSLAAPELG >OGLUM06G12640.2 pep chromosome:ALNU02000000:6:11170573:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKVYRQLEEKKNVFRACTALRCICTVSLQKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQMNWGARWEGEACSLAAPELG >OGLUM06G12640.3 pep chromosome:ALNU02000000:6:11170573:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQGQSWCMHLLMPVSDLVFRSINKAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYGEVLEAGKVYELGISRKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQMNWGARWEGEACSLAAPELG >OGLUM06G12640.4 pep chromosome:ALNU02000000:6:11170573:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKVYRQLEEKKNVFRACTALRCICTVSLQKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQMNWGARWEGEACSLAAPELG >OGLUM06G12640.5 pep chromosome:ALNU02000000:6:11170573:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYGEVLEAGKVYELGISRKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQMNWGARWEGEACSLAAPELG >OGLUM06G12640.6 pep chromosome:ALNU02000000:6:11176014:11177546:-1 gene:OGLUM06G12640 transcript:OGLUM06G12640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERSPLVVPEAAAAAASSPLRRPSPRVRREVPPPPLDPILPYLQAMDELRRGPKFEAAALDWLKICVMECIDKYGDDYQYSTDPRLLKIWILYGEVLEAGKVYELGISRKAEPLDHLKKTHTIFLKHLEKIVEEADAYAQV >OGLUM06G12650.1 pep chromosome:ALNU02000000:6:11179485:11182184:-1 gene:OGLUM06G12650 transcript:OGLUM06G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRRCGEAKRRAATGDVSGGGAGRQSDVRRRATCRAAVRGGKATCGDGRRVGRRCGEAEGRHQRWEAGRSDAGILSSGRCDAVPLSSILSAGEPSTASSGGGCERATTLLLPYGSGRHERREKGRGGDRRRDLGSSPPSTAARALSPSATIIRYRRRHSGSSPPSGLIAATRACPRHPLSLGLRPHSSQPLPAVAAAGSSRAERPSARAWHVGTLFVVREV >OGLUM06G12660.1 pep chromosome:ALNU02000000:6:11183425:11187065:-1 gene:OGLUM06G12660 transcript:OGLUM06G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >OGLUM06G12660.2 pep chromosome:ALNU02000000:6:11183425:11187065:-1 gene:OGLUM06G12660 transcript:OGLUM06G12660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSAAGHKRQTINCYSGCPSSSELSKIWTFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDSSLLDIASATLMSDLGTAGKPKSLIGSSSEAGVNLLPLATDQMAGSVDSTSAKVPYTADQDMFSKDSIYEDFCVDDVDLSFENYEELFGTSHIQTEQLFDDAGIDSYFESKEIPSGNSDEQPKLMQPVTSNAVSADSGMSIPGAKGDSSLCIPVRQARSSISLSFSGLTGESSAGDYQDCGVSPVLLMGEPPWHPPGPEGSFAGATRDDAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLCETRSY >OGLUM06G12670.1 pep chromosome:ALNU02000000:6:11195660:11196141:1 gene:OGLUM06G12670 transcript:OGLUM06G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQRQGYWSLAFATLHVACAEPWHRPNPTLYATFVSSSPVTETAFLEEKERGNGFVEGGGGHVVRARTRAGRSVYEAAVRMGGCEVDKYMYMVMARGMKRLGFEAEVEADFWEWKAKILPSARDMLDEMRAREEQHNNGLTMNLIIV >OGLUM06G12680.1 pep chromosome:ALNU02000000:6:11196631:11205113:1 gene:OGLUM06G12680 transcript:OGLUM06G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13225) TAIR;Acc:AT3G13225] MGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHERGDLTRNEGDAQSDLAGFANVQQELTEADDKKCPGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDMSVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTLIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVIQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKVALYDEPPITVSKHHICSQHWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDHVKRRRAEAKKEAKSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTK >OGLUM06G12680.2 pep chromosome:ALNU02000000:6:11196631:11205113:1 gene:OGLUM06G12680 transcript:OGLUM06G12680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13225) TAIR;Acc:AT3G13225] MGRRKERRLAAKAAAGGRRVKLDLFLDPSPGGTPSKEGERGENHDQQTGVPTSPSSSDKKENPLALLGQYSDDEEEEEAADQPNDETEANPADAGDKITHERGDLTRNEGDAQSDLAGFANVQQELTEADDKKCPGNIAEENVVAIKPTLEDGTATATEAIPDSSGMQIVGDIGGNWKTIMHEQSNQCYYWNTVTGETSWEIPNVLASEIAADSVTSASAPTHVDYSMEAQAHALTHNAVEAYPSDMSVLNGSVAYATLGMGQPTHDAYAYAGAVTSHESMDIDPLQLARYGEELLQRLKPLERLHGSIYNVELLKREIEIRISDCNALSSYGSSLLPLWLHAEVHLKQLEFSVSKLETSYSTTEPRHPEKADTEHKTPNEAEVMMPPSNGEGLKSEVSTDVMMDGNVKNEEPFSTSSIQKSEENDTTTVPSKIESDNDEDMDVDMEVDDDNVEEHKHSNSTLIKEYPPSEQVQSPALLSLDGSAAPLEDSDIPPPPPEDEWIPPPPPENEPAPPPPPEEPAVSSVSTETIPQSYVDQANLVYTVPGMEYYAAAGTEGTHASYYMQTSEPHVIQAHQNGYYAPVSASGISIPVDATSIAPVPVSYYSYPSVTMAATGEAAEPSGYYAASVSATSSSVLDNTTSSSNLAPANSSLHSRESDNIISKEAKLASLSQPVGATSASASIQGSSAQASTSTTSQSKVVRSKKRAVSVATSLRSNKKVSSLVDKWKAAKEELRDEEDEEPESALDALERKRQKDIDEWRKQQIASGEAQENANFVPLGGDWRDHVKRRRAEAKKEAKSETIPAPVSVTDLHKGQPDLAELCKGLPSGWQAYLDESTKQVYYGNSLTSETTWDRPTK >OGLUM06G12690.1 pep chromosome:ALNU02000000:6:11205516:11207592:-1 gene:OGLUM06G12690 transcript:OGLUM06G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: SEC-C motif (InterPro:IPR004027); Has 583 Blast hits to 583 proteins in 248 species: Archae - 0; Bacteria - 488; Metazoa - 0; Fungi - 0; Plants - 34; Viruses - 0; Other Eukaryotes - 61 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G04950) TAIR;Acc:AT3G04950] MASRRSLHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDEATDPSANFTLLQFADSMEKARKLGTFKNFVMGRCSEATVVNAFEKHSAVLRYLGTIDPTGEKLKNSDKIGATKHCNCTIADVEHILAKYTWAKEAQKKIVKLKEEGKPLPKNFNEVKNLMGSTPLDVGRSNLEKSGQISRNAMCPCGSKKRYKNNAHHPATNLTLKYVKHHN >OGLUM06G12700.1 pep chromosome:ALNU02000000:6:11211160:11211657:-1 gene:OGLUM06G12700 transcript:OGLUM06G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVVSVSRVRRRSPSWFTVDGGVAEEPLLLLGAPADGDDGGDQARAALLRVEELEHLLGDVAQRLSRLDAKRGRLEGQIAAASRGRRRGGAHHRRHGSAGGISESEGEGYTRKGAGAVRKMLRAAAGDVKKAREWLEAVAGRLEAALVDARERLALQQMLAAGA >OGLUM06G12710.1 pep chromosome:ALNU02000000:6:11221747:11226124:-1 gene:OGLUM06G12710 transcript:OGLUM06G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGWNHHGWSYLLIRQQPAPLWWFLSLVFLGASYIATVTLRLLAYHTFSLHRRPKDLRSRYGAWAVVTGPTSGMGQAMALELARHGLNLVLVGRDPANLKEISDTVRSLHGVETKTVVFDLSLVATYHGDEPLRQLRETVERLDVGVLINNAGVGEPAMAYLHEADVEAWVGMMRVNLWAVTEVTAAVLPGMVERGRGAVVNIGSASSQAIPSFPLCTIYSATKRHVHATNTHNMYVAHFSRSLHLEYASEGIHVQCQAPFFVATRMVENLVEARRLSPFTVTPEAYARAAVGWIGRGGALCSPTVRHRLXTRAQLCANDAEESCALRPDQMMAIHFYGMFRQDDPAPAPAWWFQSLAFLGAAYVAGVTLRLLAYVALCLGGPKDLRRYGAWAVITGPTSGIGRAMALELARQGLNLVLVGRDPARLREISGTIRSRHGGVQTKAVVFDLSLASTPDGDEPLRRLREAVAGLDVGVVVNNAGEGRPGAVYLHEADAEAWVRMARVNVSAVTEVTAVVLPGMVERGRGGAVVNLGSAASEAIPSFPLYTMYAATKRYVAQFSRSLHVEYANKGIHVQCQTPFFVETTMLAKLEEEVGLSVSPLTVSTDTYARAAVAWIGRGGPLCTPAGGLLHQLMWCVTAAVPESVLDWLLLRFTTWNRGR >OGLUM06G12720.1 pep chromosome:ALNU02000000:6:11228910:11248046:-1 gene:OGLUM06G12720 transcript:OGLUM06G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFMIRQETAPAQWWFLSLAFVGAAYAATVTLRFVAYLALCRCHRPKDDLRCRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILREISGMVRSLHKVKTKTVVFNLSLVWTPDGDEPLRRLREAVEGLDVGVVVNNAGVAKPGAVYLHEADVEAWVRMVRVNMSAVTEVTAVVLPGMVSRGRGAIVNIGSAGSEYIPSLPLYTMYAATKRYVAQFSRSLHAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPAVRHQLLRRMAAAAPDSVHDWILLRLATWNRKQEPGIIMASHVLLIRQDIATPWWFILRAFVGAAYVGFVALRLLAYLWLCLPRMPKGDLRRYGEWAVVTGPTSGIGRAMALELARHGLNLVLVGRDPAILRQISDTIASLSELIVVNNAGVAEPGAVYLHEADVEAWARMVRVNVSAVTEVTAAVLPGMVARGRGGAVVNIGSAASESIPSLPLYTMSSSTKRYVAQFSRSLHVEYASKGIHVQCQAPFFVDTRLMFRFEEAAGGVSLFTVTPDAYARAAVAWIGRGGALCTPGVRHQLLRRMAAAVPDSVHDWILLHLTTWNRKRREKSGATPPAARCPVPTRALHTSPTTRVPIATVTRI >OGLUM06G12730.1 pep chromosome:ALNU02000000:6:11248161:11256542:-1 gene:OGLUM06G12730 transcript:OGLUM06G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHVDLIRWQDEPAPAPWWFLPLAFLGAAYVAAVTLRLLPYLTLCLHQRRPNNDLRRRYGAWAVVTGPTSGIGRAMALELARQGLNLVLVDLDTTNLQEISDTIRSRHGGVETKTVVFDLSLVSTDQGDKPLRWLREAVDGLDVGVLVNNAGVAWPGSVYLHEVEVEAWVRMMRAVTEVTSAVLPGMVARRRGAIVNIGLASSEAIPSFPLFTVYAATKRYVAQFSRGLHVEYAGKGIHVQCQAPFFVATRMVENLVEARRLSPFTATPGAYARAAVGWIGRGGPLCTPSVRHQLLWCAAAAAAPDFVLDWILLRSHLEQRTLLSADQSIEGAISVFAARTRRCQLISGKSSASGTIRGDLAMTAYTRYSSVIVTAVSTRGSGHGWIPDNLNRGHLDYFFWLLTVLNAVNFVVYLWIANCWRLGE >OGLUM06G12740.1 pep chromosome:ALNU02000000:6:11256624:11265931:-1 gene:OGLUM06G12740 transcript:OGLUM06G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEPRRLFFVTTRMVQNLVEERRLSPFTVTPDAYARAAVRWIRRGGALCTPSGRHQLLWCVAAALLDASRKAYIDSPLGPAEKPGYTSILTNSQTLGTKTRLRVLRPAGLGWLLGGSRHVR >OGLUM06G12750.1 pep chromosome:ALNU02000000:6:11266381:11267147:1 gene:OGLUM06G12750 transcript:OGLUM06G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDEPIFIGVWFRGEWDGLVPREEYSSQIRDQLIRPKLADELILGGTSERRERASMLTALLARSSSRDGGGSNDGGGGGLGDSGSRRLGRQRRRWARATSAAVGSATAVAWGSVAADPVVGKAAAADPAMRRVAATDPEAGNSAAGRPRVIPSVVVALLCPLIYNADAVISAVRATQVPDQTKLIDAIKEAGGDHVRR >OGLUM06G12760.1 pep chromosome:ALNU02000000:6:11271960:11272304:1 gene:OGLUM06G12760 transcript:OGLUM06G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLQQDNKRRTLTPPNNIDQIVTKVDQAYRKYPLERANQIFPTQQGCVMEIMKHNGG >OGLUM06G12770.1 pep chromosome:ALNU02000000:6:11277545:11301255:-1 gene:OGLUM06G12770 transcript:OGLUM06G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIWLILLASLGAVHVAADVLRLVATLTFGLRARPAGDLRRRYGSWAVVTGPTSGIGRAMALELAGRGLSVVLVGRDPAKLRDVAGAIARSHSHHGVRTKTVVFDFSLVSTVQGEKAMAALRETVEGLDVGVVVNNAGVAKPGAMFLHEAEVEPLMRMIRVNMLALTKVTAAVLPGMVMRGRGAVVNIGSASAEALPSFPLYSVYAGTKAYVGEFSRGLSVEYKSKGIDVQCQVPCLVETNMISRAMKGIFLSQFVVTPEEYARAAVRSIGHGRMCVPNMAHRVQLLGMRSTPDFVLNWYRLRLHLQQRAIFRSRSKHDFNLIDFTFQFNQNRHGGRRSAQTLALMAARMAWWSAWDGAVAGQAGRGRRGGRVHVGGRGGGAGRRRTEWSAAEAAIACTAEEADREAGGGGKAHPGFGRLRRSSHGRPATEAMLVPHAIESLCSNEGGGPPRHTCRPPFPAATFSFRTTVDVAAFSAWAATAFSTRTTTTTALQEMGGKKERGKGGAAVTTLLVVVRLLRSSISRVAATDAVTDTRASRSQSPPAFPARPPCSSMAEREKRERGGELRQIQHPGGYSIQSAARVRMGGSAAAAAADAVLRQQQPPWPFVALVIVGAIHVAALAFRLASHLCLCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLVGRDPAKLRDVSEAISKLGGGGVETRSVVFDLALASTAEGDEAVQRLREAVAGLDVGVVVNNAGVARPCAVYLHEAEAEAWVRMIRVNLWAVTEVTAAVLPGMVARGRGAVVNIGSGSTEAIPSFPLYSVYAATKRYVAEFSRSLYVEYKSKGIDVQCQAPLFVATNMTSGVAKAGGGGGDDAAAKRSKRRQRRWLSPLFVPTADAYAAAAARWIGHGAVCMPNLCHRLQWCVSRAVPDAVHDRVRLRENLRQRALFQRLRRRPPPPDDQPKAKIDVTPAVCHAGRRHTAVHRSHALASPFEPPKNLN >OGLUM06G12780.1 pep chromosome:ALNU02000000:6:11307026:11311179:-1 gene:OGLUM06G12780 transcript:OGLUM06G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8J0] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQGMVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >OGLUM06G12780.2 pep chromosome:ALNU02000000:6:11307026:11311209:-1 gene:OGLUM06G12780 transcript:OGLUM06G12780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8J0] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >OGLUM06G12780.3 pep chromosome:ALNU02000000:6:11307026:11311179:-1 gene:OGLUM06G12780 transcript:OGLUM06G12780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8J0] MHKTAQAWFTGGPAAPAASAGESQPSLLADWNSYAASRPDASSSSPLPFDIEAAVRSANDTVSGTFSVVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVIMPQKFAICFTLGCGLIIASIFALKGPASQFAHMTSMERLPFTGALIGCMVGTIYVSMFLHSYFLSVIFSVLQVLSLAYYTISYFPGGSSGLKFISSSLLSSVTSCFGR >OGLUM06G12790.1 pep chromosome:ALNU02000000:6:11322405:11323166:-1 gene:OGLUM06G12790 transcript:OGLUM06G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCRQEVRQRRIRPPLCAPCAWIRLRRHRSGAVASGVTADPAPPSSHMDPARVTTADLNVKSVHYTRHQDVSHENIPFNPGPPLTAS >OGLUM06G12800.1 pep chromosome:ALNU02000000:6:11326390:11330925:1 gene:OGLUM06G12800 transcript:OGLUM06G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELATGAVSSLLGVIRNEALLLHGVRDDVQFIKEEMESMRSFLANLGRWAPPGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYRGNPDIHRARGGLRRYIWWVSWSLHKMAAQHRAAFQLRQLKDRARDVGERRLRYGVEIPAAKAAASAAAGDDIYAPEDDEEDHEDELMVVSLHHSGGPRRPRAALCEIGTLDDHVKAKLLEWFDGIPPGAGVTFSIAIMAPNTYQEALDLARDTLAVLPTEDRAGYNRDILVNIPAVHPSSLPLRTKDVLYYILRRLKYAKPSGSQKKGQDEEDEAEGERYQRDEGEEEDSQKQGIDQYEGGEEEEEEEQSEKHCIDQGEVGEEEDEQAGKDENEEDEVGEQSQKQGIDQDEEEEEVEEEEEEEDLDSWQDYYKKLYIYREKKRELCKIKGNIKRMRIYEKLDKIKSDIQARKEKGKGNQQQLLHSDLIQKKGVDVDKLDLDVLLLLLHESATTDLSQQDQVRNKGMYNLPDWDDNIILKIAKKLKEHMEADENTMELNEQSGGEEKEEIAKQGEEEQEKEGGEKQQQQEEEDDKQNEQMDKGEDIKEEEKDEDEEEEDDDDDDDEDDDDDNDDSNDDYDDVDDDEGPICLHEDQYAEILREVFPKTTDIRPLHAEEQATKTTMPTLDEERVKQMIHEAKQEVLKAMQQGKSDKNQATSEPSTLGQIRKTDFEEIMQKIEKLKQKLKEQLKINRIVDKIKRHLKNDCPLIILKVDEMMDGSRWEEIRKALSLLECSADAVIITNTNSTHEAKDYYYPPREPIDYSLVGLYNDKILEFTSQLKNEDSPNTEIFHDILEEYEPYEFCMKIFTHALYANPKRSNEELINLRETLQDSPKSFNVLAKKMFIYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVTEGLTFKEDWPSSVRQAYQCFDALIHRWLIYPADIGATGKVKSCVVGDLVHGFITTIARKQHFVETRLSHHLARHFSVFNDIQLRSSDRIDKFFQRLSESSRVSLLKVLDLEGCQCFGDGMNQKYLKDICNKMLLLKYLSLRRTDITKLPKEINNLHELEVLDIRQTKVPTDATVNILLLKLKYLLAGHIDSSPSNSDSVYSVQIPHRIDKMVKVEVLSNVKACRSDDLKDIGKLWQLRKLGVVIDDKKSHLKNLLQTVSDLHECLRSLSITFPVATSFKDPPSNVELPANIGYRLRHHPKILRSLRICGTTMMGDLLPVITKGGNNKLTKVTLSSTLLSQGGLNILAKLPVLRCLRLRHIACTKGLITFREDEFICLKYLLIEGSGLTNITFESGSALELEKMVLSSTSPGSIVGADRLPKLEELELKDNFCDMLLSSFDNAEQIAKLTLCGTLLKQDVLQILAKKPNIRHLVLSYKSFDGNQITFRKDEFVWLNRLDVDCSAITKIVFTTGSAPRLEKIVWSSFKPLPNIECLSGIDELPRLKELEFNGDPIPKEVKEATEKHKNRPIVKHDEPETQDQAKEEEQEYDDDATGFSLCWKKQV >OGLUM06G12810.1 pep chromosome:ALNU02000000:6:11350593:11358458:-1 gene:OGLUM06G12810 transcript:OGLUM06G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSPARSAPHLRSLLRARGFSSSASPSAATAAEGDDGKIVASVLFERLPVVIPKIHPVVYAFQEFSYSQIHPCPLSVLRVEWLAFRWRQQYRRKYPDDVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNRLYLLLYGKAYGAPDDKPVWHFPEKVYDNEDTLRLCAESALKSVIGGLNNTYFVGNAPMAHMVVDQKEDSSVSSFKVPVGFLSKLWSFVSFLPFFLLLLLLGSIKAVLIGPIAAAIIFLGNSAVIIGLWPAHFIWTYYCVLKYVVAISGSLLGGIGYGVFIPLMATFEAVGEGVADKLTHCFLDGTVSTTAGACTVVRDVTDFCFHSYFSLMDELIRKLGDNETPIDIKLSYLPHSVLAVLIAVPFDVFMISGVALWKSPCMLLKGWQRLCEDLAGREGPFLETVCVPFAGLSIILWPLAVIGAVVASFLSSFFFGIRAGLIAYQICTLAASKLLLTDRPKYRKTDRMNNETGQNNEVRNVTSPLGEKKHHHKTMKALQRSKTFMETIQRLRPIQIWDWLFRSCELNGRILLSEGLISAEDMEECIIKGKCKKLSIKLPAWCILQCLIRSAKHDSHADVVEVTNFNWPKDKVFDWMLGPLLVIKEQMKQLELTEDEELCLRKLIMTNNNDKPSDWDDCGFPSSDNIRRAQLQAIIRRLQGIVVNLSWVPSFRRRFINLVKALYLEAVEVGAIDGSRSVKRKIEADAAPAPGSKFDDEDGDGSSNGAAAVGIDAV >OGLUM06G12820.1 pep chromosome:ALNU02000000:6:11358647:11365854:-1 gene:OGLUM06G12820 transcript:OGLUM06G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTEESNSDNNTIIEPDYKLGGYGGGCCGGQWLSVVVQRRSALTCSLVAGGGAGGGGGGGGGRRDDA >OGLUM06G12830.1 pep chromosome:ALNU02000000:6:11360153:11365882:1 gene:OGLUM06G12830 transcript:OGLUM06G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MEGAAVEDSAAAAPVVAPESAAEGDQRVEGAAGEDSVVPTVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGHVVSQEDGQLQNSFSGETYSELVIRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >OGLUM06G12830.2 pep chromosome:ALNU02000000:6:11360532:11365882:1 gene:OGLUM06G12830 transcript:OGLUM06G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein phosphatase 4 core regulatory subunit R2 (InterPro:IPR015267); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other /.../otes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G17070) TAIR;Acc:AT5G17070] MEGAAVEDSAAAAPVVAPESAAEGDQRVEGAAGEDSVVPTVAPEASVDSDQHIEDAATEDGKHGSTEENFDVSPEEMRSVIEIIADTGKFWHDWSFLKRLLSLQLKQVLAEYSEGHVVSQEDGQLQNSFSGETYSELVIRLNDALLRFEEGPPFTLQRLCEILLDPKGTYTKLPKLALALEKNLLVTSTMTKCTDPYPAAHVSNLEATVMTENTSAVEVEPERLPEHPAAVPNGNVGGDADAEMADAEVEEPSNSHDVEMQEDKPDQISNVNPGATSDAAVTAETVDASEKSSDPQT >OGLUM06G12840.1 pep chromosome:ALNU02000000:6:11370161:11378977:1 gene:OGLUM06G12840 transcript:OGLUM06G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNPNPTPNQPFELNRLFKQHPPASASAAPNPNHHPAPAGIFPGAAAPAPHHGVVVPPPMTSGGGPYSYPPATPPFHRGPYLPPYPNDPHLAFAGNPNPPAAAAAPNPAGARLMQLLGNTAPTHLESAVSMPMPSEFSAAAAVAPPAPLPAMPSAPPARMPSSSSSKMPRGRLLGPGDRAVHDVDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWKIDEGPDEQNKPQITGKIEIAIQIVGDAETYHPRICWHSHKQEILFVGVANCVLKIDTTKVGRGMDFSREEPLKCPLDKLVDGVHLVGKHDADITDLSLSQWMTTRLASASKDGMVKIWDDRKSVPLSVLKPHDGQAVYSVSFLTAPEHPQHINLITAGPLNREVKIWASTNDEGWLLPSDSETWRCTQTLELVSSLEHRFEEAFFNQVAVLPQASLILLANAKKNAIYAVHVEYGPDPASTRLDYIADFTVAMPILSLTGTHESQLDTEQIVQVYCVQTMAIQQYGLELSLCLPPTADNPGFGRDPAISHVYERPPAEVTVVESSKETSLIDSSVVGPTKPASNNQALGNTFETSHPNASINYGTVKFVINISPALFAYAEANVPSQVQSTTPPSSIDLGYLEEGALRRGPSRGPSLGDRDIDPSSLDYSSKKRMNSDGASGQGSFGRKDSFGKEEPRGSQGDGTKTSDPRPMFKVGGNATHLITPSEIISGVLSSPETIATGSSQNVEVDAKHVDGRKSEQSVELEAVKETQIVHEKRERPPKTAEQTVNTISERLVTTDKYSVEDSQSRSADGSVSTLLKHPSGAGDENTVSEAPEKTSDGYASRNLQLTLATKEEKVLHPQVSGQLSPSTSTYNSADSSHEPPSNVNPPIDNVPQVGIQETLQQLMAMHSDLQKQLSTIVSAPIAKEGKRIETSLGRNMEKSIKANIDAMWARFQEENAKHEKAERERMQHITTLITTAVNKDIPVMLEKSLKKEISSVGPAVARTTAPIIEKSLSSSVSDSLQKVLGDKVVNQLDKSLSTKLEATVARQIQTQFHTSAKQALQDALRSSFESTIIPAFEQSCKTMFEQVDGAFQKGMSEHGAAIRQQVATAHTPLAQTLRETIASASSINQGLASELLDGQRKLLALVSSGGSLSHNTSVLQPSNGPVASLPEVDAPLDPVKELSRLISERKFDEAFTMALQRSDVSIVSWLCSQVDLHELCRMNPIPLNQGVLLALFQQLACDIVNDTPRKLEWMTAVAVAISPTDPMIAVHVRPIFEQVYGVLNHQRSLPASSSSEATNIRLIMHVINSVLLTYK >OGLUM06G12850.1 pep chromosome:ALNU02000000:6:11379287:11381810:-1 gene:OGLUM06G12850 transcript:OGLUM06G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTIGSRDSCWRTVAEDPPYPVTDDPEGSPPPPQGFLRFDLQDETFSLVLHDVVSPSDETRLDLVELGGELCLAQYLGTEIVIWKSSPSPSDDSSHQWDHLYTISRCGMAGGVVLGLPVVRDLLPSIIFRAPEKASRKMVKCDIRMDGQAELSAMRALLGLVYNFYIGSVP >OGLUM06G12860.1 pep chromosome:ALNU02000000:6:11382368:11383698:-1 gene:OGLUM06G12860 transcript:OGLUM06G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLGLRRRGKATNLSLGGKLVGWLLRLLLVEALCENIIPSTSGTMDVIATKNNKKAIDRNPQEPMTTLIKTYKRRKRRRVQRHCHPGASSLPDELVYEILLRLPVKTLSRSKSVCRTWRATISNPSFITTHLKQQQQSAVSRHEQKPSFLITRHTPDSMIDDEEP >OGLUM06G12870.1 pep chromosome:ALNU02000000:6:11388233:11392805:-1 gene:OGLUM06G12870 transcript:OGLUM06G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEDCAPYVYLDTDVANPAANVSAWGYFISD >OGLUM06G12870.2 pep chromosome:ALNU02000000:6:11388197:11392838:-1 gene:OGLUM06G12870 transcript:OGLUM06G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >OGLUM06G12870.3 pep chromosome:ALNU02000000:6:11388199:11392838:-1 gene:OGLUM06G12870 transcript:OGLUM06G12870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >OGLUM06G12870.4 pep chromosome:ALNU02000000:6:11388199:11392805:-1 gene:OGLUM06G12870 transcript:OGLUM06G12870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >OGLUM06G12870.5 pep chromosome:ALNU02000000:6:11388197:11392805:-1 gene:OGLUM06G12870 transcript:OGLUM06G12870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLQTYAAFSLMATATAVYYAFSSREQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGTLREAEVERLNEQAWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHARIVSFMLFLLVVDCLFLSNSLRSLIHKREASVAIFFSYMILATSTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFRIRIADYVRYRKITSNMNERFPDATADELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPILPPDNGRTAARPHGVHPGVQPGNGTPGSERAAGENISRRQAKLEAAASAASLYGRSFAYPPANNLNRYSTPPQSTSNGPQSGEASTSNQSPKGHATADPSAPTFYARGAVSSVTTTRELESSLQKAYENAIKSQIEMLQIQLQMFQHGATSSATNNENGEHTKSD >OGLUM06G12880.1 pep chromosome:ALNU02000000:6:11395368:11398990:-1 gene:OGLUM06G12880 transcript:OGLUM06G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAAQLRGPSAAAARRWPAPSCGVLRFAPLATSTVPASIARGSLRGVPAGVVLPKPLIAMCMKAEYTSSPVDPNAVAEHTEDENQQPTTVSPTNAEIDIDQEAVPQHKGAIIHDFCLGIPFGGILFSMGLVGFLFWRSTVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAAVAAAVAWKHFQAYTTTKKLLPWAFYTALSAAMICFYSYVLLAGGNPPPKKKAAVAQ >OGLUM06G12890.1 pep chromosome:ALNU02000000:6:11407143:11407868:1 gene:OGLUM06G12890 transcript:OGLUM06G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSTSGGEVSVEHAASSGDSGSQIPDLAAPPDRGEHHGDAQGHDDDRSSSITELMVSRLAGMPLDKSFYERYSSCSPGGMLPDNLLPVRFSLLSPFSLLRNDDSAGGGALEPVMDRRAVGGRWRQGQSRHFKKFLTSFDPKIIKYYLRCPIANYTIFVVSISRLTFLRCLPANYTFF >OGLUM06G12900.1 pep chromosome:ALNU02000000:6:11412498:11429812:1 gene:OGLUM06G12900 transcript:OGLUM06G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome activating protein 200 [Source:Projected from Arabidopsis thaliana (AT3G13330) TAIR;Acc:AT3G13330] MHLYNEWLPPAVADAAAREPAAFSGAVGEARAAWRPDDPDSAYATLKWISVFDLFINAKSDISPEDVHALVELGLEIFHASQNKFVVQIKWGGLLVRFLKKHAKRISLGVQWRPLYDTLIRTHFKRNMGPEGWKVRQQHFETVTSLVRASRSLFLEGAAAEIWSEFSPLLKNPWHNSAFEGIGFLRLFLPANSRNQDHFTTDWIAECLDIWGSVTNCNFWDIQWAAIVARCIKGSISVDWEKFIPLLFTRYLNMFEVPISSGSGSYPFPLNVPRNTRFLFSSKTRTPSKAIAKSIVYLLKPKSLALDHFEKLVLSSIKWGSVDLLIGAEALDDKHNQFCLGKEERCGFVKVVLKLLDRGQYSKDDSLADTVSIATSILSYVEPSLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRALILSSLCSSQSDDSSTADTLNDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATAGFSDDVPAFLQTSYLSEWLDEFFCRLFSVLQNLESSSPINEGYQSSIMSGTFLVEDSPYYFCMLEIVLGKLSKPLFNQSLKKIAKFVNANILPGATSEVGLLCCACVHSYPEETALYLVKPILMTIMSSFEGTPTTGYVGREVPSKIATKATLSPALETALDYYLRVLAIAISYAGPVLLNYRQEFKNIITSSFQAPSWKVNGAGDHLLRSLLGSLVSYYPIDQYKPFSCQPIANIIEPWGCSKAHQDREVEMLNFTPKWHDPSQDELSFANELLEFHFQSALEDLVSICQRKNHSETGQEKEHLKVTLLRIHSALQGVMSCLPEMRPSYKDGKSKVVEPIIFIAGSAGSTVGNSEMREKAAELVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYEEWSSHFQAWKLESASIIEPPCNFIIPFHSQGKKRPRWALVDKAHLHNTWRSSQSSYHRYRTNADVSPSSLMVNLMNDLLDLSLHNYETVRSYSGRSLTKLLKRWPSLISNCVLTLTDNLRDSKAPEHMVLGSCNILGTQTVLRHLTTDSASLSSFIMGILESSHHESLKCQKAITELFVKYNIRFSGISRRFFKNTECEADKPGFISLVPQINALSFESNSLHWRYNLMANRVLLLLILASRSESDIHSQILAETAGHFLRNLKSQLPHSRMLAISALNTLLQGSPDKASLQDSQQSLDRPEEGSTLSTGEILNNIIREDGFMSETLNSLSHVHIISDNDGSSKASYGASSFQSGSDKAITYFYFDFSASWPRTPSWISLVGGDTFYSSFARIFKRLIQQCGMPVISSLQNALEEFLSSKERSRQCVAAEAMAGMLHSDVTGNLESGNNWLILQLQKIMLSPSVESVPEWAACIRYAVTGKERSGNRSPVLRQKLLDCLCTPVPQSVATSVLAKRYSFLSVALIEISAPKMSPAEEQYHVKVLDELLANMSHPSAQIREAIGVTICIACSNMRLSRLFGHGDSPDVSGDVSMTEQTGSENWSKQLTDGATELSISIQNNISKQLESTPDSVTENGLDKKEEADVKRMETIFHFIIASLKSGRSSVLLDVIIGLIYPVLSLQVREHAAGVLASLMKGIDKDLSKDFRDRSYAQAQRILHTRQRGAKSGHSVATIHGAVLALTASVLSVPYDMPSWLPSHVTLLARFIREPSPIKSTVTKAVAEFKRTHADTWSIQKEAFTEDELEVLRDTSSSSSYFA >OGLUM06G12910.1 pep chromosome:ALNU02000000:6:11431387:11437700:-1 gene:OGLUM06G12910 transcript:OGLUM06G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFLAKLVMVVRVGEGRKLLSAKEMTMMVPDRTADVMAYWKTVHPNSPIPSAVLNLLTPPSGNQKKNLLLTSGSGAKGADEKSSILKLNPKLDNQAKKKFSPYNYNNPADGYDRVYYDGDSDKHMLFEYEALKIKMKNLDMYWYSGANQINKKPELDLANKKLSHHNNNNPSHGHDHILLDKMKLLRYIYGNPADRHVRVDYDSHNDNHMVFNTESTKLKKEFSYLYQFSAVKGIDQKPELNLVKKKFSRYVYGNPADDHLVHYDGRNDKYMVLNHKAKKLKKKNSDLYQHSEANGIDKESKLNMAKKKLSRYIYGNLADGHHHVRLVTKKFSRYIYDNPAVSRHVYYDGHNDKYMVLNYEAMKLKKKTSDLYQYSKVNGIDKKPKLKLAKNKFSRSIYGNLADGHDHVHLAKKKFSHYYTFGNPEDGHEHVNHHGGYDNHIVFNKQAVKLRKGNSNWYYYSGLKEINKRHKPDLVNKKFARCIFSNPENERHFL >OGLUM06G12920.1 pep chromosome:ALNU02000000:6:11462888:11468599:1 gene:OGLUM06G12920 transcript:OGLUM06G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVAKPSPTAMEYWQKIFPETPMPPAILDLLTPLPTARAPEKLREVSVSYGPEDEEMQNKVFPTKYWSNNKYGKYLHKSEAAEGLKEVSVSYGSEGKEEPRKAFPMGRYILDKEREMTSLTDKEGLREVSVSYGSEGEVVTRKAFPRERYVLDKEPKRNLYTNKEELREVSVSYGSKGKLNNLFPTGHGHKKHNHANEARLKEVSVSYGSNDEEKPRKAFLRGGLFLDNEYEKSLHMDKEDLKEVSVSYGSDVKLRNLFPTGYAHQKYILTSGGGLKEVSVSYGGNGEEETRETTPIGGYMVVVDKKSEKSLQAEKEELKEVSVSYGSDVKLGSLFPTEHKKYVYTDEEGLKEVSVSYGSNGEEETCKTFAMGGNMVDKEREKSLQGEKEKHKEVSVSYGSNDLEDSRKTIPMEGYMIDREHEQSLQAEKGENRKYA >OGLUM06G12930.1 pep chromosome:ALNU02000000:6:11510877:11535960:-1 gene:OGLUM06G12930 transcript:OGLUM06G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACFRCRCAPSRLACGNSRTSPLLPAGLRWCSTARPTVCRAVPAQAATPPCATPPLGREREEEDDREGRKRKRKGKRKKEDCLRERKKMREGMEKGSRCWEEEGRGEGAGEGRDWGWAPGEGMRGRESERALGEGRGRECVLGEARERERCQKERGCACGKSGGEDEGEGGASGFDHGGFERWGATADSKEIEGRTRTLLLPMSPTPPDMRYASFGGSWCDGPHRIIGSAPSLPLPPLLILFLFLISSSPLLPILRRLGASGRGGLARRRSGGGGGGLARRTGGGGSLAQQIREGRWPDGGRRHNSDLQLKKKQYGIIGYKPDGLDNASHRTAAANTVAWSTVHMHHIPAREGPYAGSAWDRLLAPKNNTSTTHSSRTQQEEYIHQEPDGEEEGATAFSAATDVSTATAVVGWRGGGRRCLLHHHHYHCRGKPLSPPPGLSDHHRLPSRRQAATATSPPARSVGGEGAAATS >OGLUM06G12940.1 pep chromosome:ALNU02000000:6:11541956:11555008:1 gene:OGLUM06G12940 transcript:OGLUM06G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8L3] MDNTGVPAIVDLAAMRDVMAKLGCDPYQINPLIPVDVVIDHAVRVDVVRSHDALDKNMELEFDRNRERFGFLKWASTAFHRMQVFPPGSGTVHQVNLEYLARVVFNADGIMYPDSVVGTDSHTTMINSLGVAGWGVGGIEAIVAMLGQLSGMLRDGVTTTDLVLTITQMLRKHGVVGKFVEFYGVGVGELSLPTRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSNETVSLIEAYLRANNMFVERHEPHTERVYSSYLELNLTDVEPCISGPKRPHDRVPLKEMKLDWHACLDSRVGFKGFAVPREYQDKVVKFDFQGQPAEIKHGSVVLAAICSSTNTSNPSVIVGAGLVAKKACELGLEVKPWVKTSLTPGSAVAREYLKHSHLQDYLNQQGFHLAASGCATCVGNSGDLDGSVSAAITENDIVAVAVLSANRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGHGKDGSEVYLRDIWPTNEEIEQVVKSSVLPHMFTQTYESIKRCNQRWNELRVPGEAAALYPWDPSSTDIRKPPYLEGMAIRPPSVRDAYCLLNLGDSVTTDHISYSGSITPGSAAAEYLRAAGVADRERLGSYGGRRGNDEVVVRGAFANARIVNKLMNGKVGPKTVHVPTGEELCKYKSEGHNMVIVIAGAEYGSGSSRDSAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDADSLGLTGHERYTIHLPTSIGGIHPGQDVAVTTDNGTCFTCTLRLDTELELAYFNHGAILPYIIRNLAGPQ >OGLUM06G12950.1 pep chromosome:ALNU02000000:6:11563477:11571268:-1 gene:OGLUM06G12950 transcript:OGLUM06G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRTDAELHQDLFLPLGANVDDEHLAANPRKYFVQHAARLPYTAAWSSDNVKVIMKASNEANSMDNAGSSRPQLSVTTGK >OGLUM06G12960.1 pep chromosome:ALNU02000000:6:11572126:11607619:1 gene:OGLUM06G12960 transcript:OGLUM06G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRALGLDFRGSKAAPLAPLSRRPSPSPAIRRSSAVSQRRRTARRHPAPSRGSSLPGWSPRARRTHSSSAPRAVTPTMGGEGAERRSKKKEKGKRQEEKAESGSRGDGEAVKLDLATDFPSNSMGKVAMDVEEAKEVHRRKKRKEKEKEKDHGKEKKNKVIADNIEEACAEAEQAEASGLKVEQHCSEDVQGDMSKCGSEEDKSIKKDNKLMKKKKKKERKVEMAEEGQILAGSTDENAGLLHAEMGRGEKEQGKKSKKSKRKHEDGEPVADGSAGDEIMTNRDKKRRRKEHSVELKEGDQVNISKKAVKIKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSKERNGGRKCEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >OGLUM06G12960.2 pep chromosome:ALNU02000000:6:11572126:11581906:1 gene:OGLUM06G12960 transcript:OGLUM06G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKRALGLDFRGSKAAPLAPLSRRPSPSPAIRRSSAVSQRRRTARRHPAPSRGSSLPGWSPRARRTHSSSAPRAVTPTMGGEGAERRSKKKEKGKRQEEKAESGSRGDGEAVKLDLATDFPSNSMGKVAMDVEEAKEVHRRKKRKEKEKEKDHGKEKKNKVIADNIEEACAEAEQAEASGLKVEQHCSEDVQGDMSKCGSEEDKSIKKDNKLMKKKKKKERKVEMAEEGQILAGSTDENAGLLHAEMGRGEKEQGKKSKKSKRKHEDGEPVADGSAGDEIMTNRDKKRRRKEHSVELKEGDQVNISKKAVKIKGNKKRKNESDKFNPDLSTDALTGEDKVGGDGKNDKRKKKNDTSTRRNEVGRDDKNDKKKKKSKERNGGRKCEKEKAAQSKDKVRRVSFSDAVEVFSINDGEDEDNGKSAESEVVHGKRFTPEENATLMEAIMSYIEMKQLGENGLEMIRACSKHPELKGCWAEIGKSLPHRPLTAIYKRARILLYRSDERKWTPEEYEKIRRHVEKNGTSWISLAQELGKSEIHLKDTWRRIKPKNLKSVARFCISIKWKDSLQSQWTQDEYQNLFDLVNLDLRVKAHQEYDAGNRKLRDNIAWEAISDKLTTRNHKNCCLKWYYQLASPLVQKGIWADTDDYRLVEALQNVDAVCVEDIDWDNLLDHRSGEVCRQRWNEMVRYLGGHKEKPFIEQVEVLSKRYCPEMVDYREGEA >OGLUM06G12970.1 pep chromosome:ALNU02000000:6:11627970:11629238:1 gene:OGLUM06G12970 transcript:OGLUM06G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCSWLCSLVILQAVCSACWQVAGANSLRLAVGQIGGVFVYLQDPSLMSRRARDELVDGSCSGDEDLADHGAWPQPGGGAGGDHEAEADVDVDGDGGSAGDVECQVCHKRFKNDKSMFGHLRSHPNRGYKGATPPLKMSSTPSSSSPVIPAGSPPPQPPPSSSSSLRPVGDSNSSMPTPGISLTTYEKLAACVMLTLRRRYDRDQRQLQAPPKLERAGAGDQLATNMVEGAEGSSRAIVRDEHEARRRKKGKRKLKEPREEERKVKKEKKRHPYMCKHCNEEFSTHQALGGHMAGHHKEKRILLKEKQRERSLVLEKEPERSHHLMEGKHPERGLILEKKQLERSSIVLKEKQPDRSLILEEEQPEVVYQDKIDQTINWQKTERNEGASYLGGGSNTAPIAQEDSRPPFGFDLNVEAPEQE >OGLUM06G12980.1 pep chromosome:ALNU02000000:6:11649827:11655549:1 gene:OGLUM06G12980 transcript:OGLUM06G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >OGLUM06G12980.2 pep chromosome:ALNU02000000:6:11649827:11655549:1 gene:OGLUM06G12980 transcript:OGLUM06G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >OGLUM06G12980.3 pep chromosome:ALNU02000000:6:11649827:11651659:1 gene:OGLUM06G12980 transcript:OGLUM06G12980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain protein [Source:Projected from Arabidopsis thaliana (AT4G13070) TAIR;Acc:AT4G13070] MASLAPCLLRRRPLRSAAAAALVHPSGSWMRPRGAGSSPRPPGASPLFEPPRVVSERWMDGRGWWFRFRQPVRHGSTAVTLDTGGGFARFSVGGDAGTKQGAGRKGQPPAKAAKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYEVPRSPEPVHDPEILTEEEKFYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVICKPCRPGQVYEYAEELTRLSKGTVIDIKPNNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNRGETLETISSEETNVDDLTSSSDTE >OGLUM06G12990.1 pep chromosome:ALNU02000000:6:11654171:11661107:-1 gene:OGLUM06G12990 transcript:OGLUM06G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLLPLLLACSLLFTIATPIRDITDVCASQINDFQHLNSSGLHLTLHHPQSPCSPAPLPSDLPFSAVVTHDDARIAHLASRLANNHPTSPSSSSLLHGHRKKKAGGVGGWQASTSSVPLTPGASVAVGNYVTRLGLGTPATSYVMVVDTGSSLTWLQCSPCSVSCHRQAGPVFDPRASGTYAAVQCSSSECGELQAATLNPSACSVSNVCIYQASYGDSSYSVGYLSKDTVSFGSGSFPGFYYGCGQDNEGLFGRSAGLIGLAKNKLSLLYQLAPSLGYAFSYCLPTSSAAAGYLSIGSYNPGQYSYTPMASSSLDASLYFVTLSGISVAGAPLAVPPSEYRSLPTIIDSGTVITRLPPNVYTALSRAVAAAMAGAAPRAPTYSILDTCFRGSAAGLRVPRVDMAFAGGATLALSPGNVLIDVDDSTTCLAFAPTGGTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >OGLUM06G13000.1 pep chromosome:ALNU02000000:6:11666264:11670079:1 gene:OGLUM06G13000 transcript:OGLUM06G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLVFAGKAVATPVISYMLNKAFTYLVNYWRTEDMESVKAELLKMLPHVQAVFDAVDWDNIKEQSAGLDAWLWQLRDAVEEAEDSLDELDYHRLKEEVKARDEQEASGSVSKLKGKLIRKLTKHVPKNGMLKRLKESVEGLHKAIAGVKDFMGFVNKVGVVNHFMDYELKMKGKQFETSSRSTAIEVFGLEKEKDIMIKWLTEPTGNDPADTNLRIFTIVGHGGFGKTTLAQLIYNEKKVQCCFDICIWVSVSSPFDALTITEGIIEAISKEAPPANTLEALHAILEDRLISKRFLLILDNVWNDNDMDGWEKLLAPLRIGGAGSTILLTTRLKSVGDMAGYALGLKVRHLKLDGLLEKDVLMLFNKHAFSGLNIDCCKNFHSLGEQFVKKISGCPLAAKVLGAHLRDNMKYMYWKKILQEDLQNLQLGMDGVIKVLSLSYHHLPSNLQMCFRYCSIFPQGYRFGKKELVEMWLGSGMILQTTDETESLEDIGGGCLDELARKSFFEFTSEKKEGVVLEEYYAMHDVLHDLAQAVSSGECLRVGVIRSMKIAKSVRHLSVRIINSDHLKELCHLNNLRSLVIEFVGDDSSTNYSIAFDEILKSFKSLRLLSITAKCWFDMPDAVSKLVHLRYISLFSTKRSFLMSMHKLFTLYHLDTLKIMEYSEGKMLKLNGMSNLVSLRNLHVPYGTISSIPRIGKLTCLEYLDAFSVQKKVGYTVSELKNLAQLRHLRLREIQNVDLSEVLDANLKEKKQMRTLSLHWSSHEVVAENTDDLVLDNFQPHTDLEELYIIGFSGTKFPFWMTNSYLENIVLLKIINCCKIEHLPSLASLCSLKNLYLQNLSVLASMGCVLHECDKIPIGCSHSFQRCPSSIDILEGMDDIGSKEFSFPPHLSTLTIRGCPQLMKLPTLPSMLKQLKIEKSGLMLLPKMYQNYNDIEGSLASPNESQLTNVFIDDCLNLTSLLQCFLGQNASHMSLKGLRINQCEKLEHLPPNGFMGLVNLRMLEVLDCSMLKDIEMEVKLLPSSLEQLSIKSCGELENMLIDSLAGLEALTFLELTNCRHLISLPSVKTFETLTVLRILKLSECPELSSLGGLQCLKSLRQLTICGCCNLTKISSLQPPSQCWSCQEDTIENSLKLGTLVIDDHSLLFVEPLRSVRFTRRLSLLDDPIMTSLPEQWLLQNRMALWILWIWNVKSLQCLPSSMIDLCHLKSFTLFNAPLVNSLPDMPASLSDLTISSCNTTLVERCRKGGYDWSKIAHVPLVRINAPV >OGLUM06G13010.1 pep chromosome:ALNU02000000:6:11670184:11673663:1 gene:OGLUM06G13010 transcript:OGLUM06G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVARELHIDQCKKLEHLPPILKDSGMEIKLLPSTVEQLTIKSCGELENMLIGSLAGLQALSNIFLSQCTT >OGLUM06G13020.1 pep chromosome:ALNU02000000:6:11673867:11676651:1 gene:OGLUM06G13020 transcript:OGLUM06G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFAKVCIRNMHFTRELTIWDGHAMTSLPDHWLLPNHLLQNLIILNAKALQCLPSSLVHLCHLQSFTLCNAPLLNSVPDLPASLSNLTLGSCRTILAERCRKGGHDWSKIAHIPLMNAALRTTFSSACKSFEFHPALLFPVDECFKAAKQVEGLSVHACITFTNKTGNQCKPVFAEVLQDILYPYPSIDGVKIFINQISAVTVRVLKANQSMSAIEKL >OGLUM06G13030.1 pep chromosome:ALNU02000000:6:11682385:11692863:1 gene:OGLUM06G13030 transcript:OGLUM06G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoporin 155 [Source:Projected from Arabidopsis thaliana (AT1G14850) TAIR;Acc:AT1G14850] MAWAEDEAIGPDVASAGLHVSERIGRDAAAQPDLEEALEASRYASHPYSSHPKEWPPLVEVAETRQLPPMLVERYNAAAGEGTALCGIFSEIHRAWATVDNSFFIWRFDKWDGQCQEHNADEQVICAVGLARAKPGVFVAAIQYLLVLATPVELILVGVCCSASGDGTDPYAELSLQPLPEYIISTDGVTMTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGLGSLLSRWVLPNAFKFSAVDPIVDMVIDEERNTIYARTEGMKMQLFDLGATGDGPLRKITEEKNLVDPRDAPYGSRRPNAQRAARSPKPSIVCIAPLSAMESKWLHAVAVLSDGKRLFLSTSGGSSSVGLNTGLQRPSCLKIVATRPSPPLGVGGGLTFGAVSAAGRAQPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVFPLPDAAFIMQSLYADVECFASFGKPSEKSSIKLWAKGDLPTQHILPRRRIVVFNTMGLMEVVFNRPVDILRKLFDGNTLRSQLEEFFSRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGSTALSNTRTQAGGFSMGQVVQEAQPIFSGAYEGLCLCSSRLLYPIWELPIMVVRGLVGSNDRGDGVVVCRLSTGAMKVLESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKAGPIIGSGGHSSGKSPYSSRIRDADPTDQSASNKKQRLPYTSAELAAMEVRAIECLRRLLRRSGEALFLLQLICQHNVARLVQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVDEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNHDEKDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDARHHDTITAQREQCYKIVMNALRTLKGVGQSGTQGSDKSSASVTALDPASRGKYIRQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVAFLQSAGRKHHEEDRLSSGEELVGDEDVARALLGACKGLPEPVLAVYDQLLSNGAIVPSLNLKLRLLRSVLAILREWGMTVIAHKLGTTTAGASFFLDGTFSLNQTWSLNQGVRDKISSLANRYMAEVRRLSLPKNQTENVYRGFQELEEKLLSN >OGLUM06G13040.1 pep chromosome:ALNU02000000:6:11695634:11708818:1 gene:OGLUM06G13040 transcript:OGLUM06G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIIMRPEEIATRRCFGLSGKIEDFYSRLGTGGSSDLGGAPLRRRHIVLRSTQPSSPSTSPRLSSSIPSDANTMPLVRRRGVRSAFGGARSDENRGQPTADEVFDRDAVRLRSLFAVPRQLGGVEAGGGAPAPALAAAAGGGVTVTPMVAPISVAPGALEYRVLAGYGAPAQRFPVAFDTNFGVSVLRCKPCVGGAPCDVAFDPSRSSSFAAIPCGSPECAVECTGASCPFTIQFGNVTVANGTLVRDTLTLSPSATFAGFTFGCIEVGADADTFDGAVGLIDLSRSSHSLASRVISNGATTTTTAAFSYCLPSLSSTSSRGFLSIGASRPEYSGGDIKYAPMSSNPNHPNSYFVDLVRISVGGEDLPVPPAVFAAHGTLLEAATEFTFLAPAAYAALRDAFRNDMAPYPVAPPFRVLDTCYNLTGLASVAVPTVALRFAGGTELELDVRQTMYFADPSSVFSSVACLAFAAAPLPAFPVSVIGTLAQRSTEVVYDVRGGRVGMDLGTAYMLKIRLHGHQSRENFTFRTEEVIDSDRTNFRDFIDHIREKYPWGVYEFVTVNYFDPVNRNYPQVCSDQSMLEMFNKNMTTKEISMLIQIHKNNEQAVVLPLPDLPTPKKVVSGAEPNVANIHEVPCTPSLTVPSQATISQPSSSTQPVVDMYLANLFELNEHVGVDDKDMYSDGEIVVAVTNAGQEKEPTDVPEVDSEERNEYSVNLAFWYYGADAFVMYMVMVLYVVRWHFYGALNGASNGKKLPVLHRLNPCSPLNAGGKQSTTSSVDVSHLAGRRLRSLFAAVQSGDDAAPAPAPAASGGVAIPTTGTPEPGAPGFHDYTVVVGYGTPAQQLAMAFDTGLGISLARCAACRPGAPCDGLAFDPSRSSTFAPVPCGSPDCRSGCSSGSTPSCPLTSFPFLSGAVAQDVLTLTPSASVDDFTFGCVEGSRGEPLGAAGLLDLSRDSRSVASRLAAGAGGTFSYCLPLSTTSSHGFLAIGEADVPHNRSARVTAVAPLVYDPAFPNHYVVDLAGVSLGGRDIPIPPHAATASAAMVLDTALPYTYMKPSLYAPFRDAFRRAMARYPRAPAMGDLDTCYNFTGVRHEVLIPLVHLTFRGISGGAGGEGQVLGLGADQMFYMSEPGNFFSVTCLAFAALPSDGDAEAPLAMVMGTLAQSSMEVVHDVPGGKIGFIPGSC >OGLUM06G13050.1 pep chromosome:ALNU02000000:6:11700132:11701054:-1 gene:OGLUM06G13050 transcript:OGLUM06G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYMAPHPPPQAAAAVATAGTTAGATVTAGVGVTASRRSSSSRSHHLKPQQPPPPPPQASADAATGTAATAAATTSRTSSSRHGNRSHDRHANHHGIPSASPCRCSSHLAAAALRYEGARVWG >OGLUM06G13060.1 pep chromosome:ALNU02000000:6:11721245:11725244:1 gene:OGLUM06G13060 transcript:OGLUM06G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHPPLVVCLLVLISCLLSGGVLAGSRRRYLTASLDELRGYNGHQLHSPPLTSPAATSGRKLSIRNSAAEKPAARDIHVRDRARLRTILQRSSSASAAASLAPYASPPTAMPPIPAVSVAPAPAPAVTIPDRSGTYLDTLEFVVAVGLGTPAQPSALIFDTGSDLSWVQCQPCGSSGHCHPQQDPLFDPSKSSTYAAVHCGEPQCAAAGDLCSEDNTTCLYLVRYGDGSSTTGVLSRDTLALTSSRALTGFPFGCGTRNLGDFGRVDGLLGLGRGELSLPSQAAASFGAVFSYCLPSSNSTTGYLTIGATPATDTGAAQYTAMLRKPQFPSFYFVELVSIDIGGYVLPVPPAVFTRGGTLLDSGTVLTYLPAQAYALLRDRFRLTMERYTPAPPNDVLDACYDFAGESEVVVPAVSFRFGDGAVFELDFFGVMIFLDENVGCLAFAAMDTGGLPLSIIGNTQQRSAEVIYDVAAEKIGFVPASC >OGLUM06G13080.1 pep chromosome:ALNU02000000:6:11746472:11749244:1 gene:OGLUM06G13080 transcript:OGLUM06G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYHLLLCILLCSSYYSIVLAVNLNNFAVVPARSFEPEAACSTSSASSDPNRASVPLVHRHGPCAPSAASGGKPSLAERLRRDRARANYIVTKATGGRTAATALSDAAGGGTSIPTFLGDSVDSLEYVVTLGIGTPAVQQTVLIDTGSDLSWVQCKPCGAGECYAQKDPLLDPSSSSSKLAAGAYGHGCTGVSGGAAALCEYGIEYGNRATTTGVYSTETLTLKPGVVVADFGFGCGDHQHGPYEKFDGLLGLGGAPESLVSQTSSQFGGPFSYCLPPTSGGAGSLTLGAPPNSSSSTAASGFSFTPMRRLPSVPTFYIVTLTGISVGGAPLAIPPSAFSSGMVIDSGTVITGLPATAYAALRSAFRSAMSEYRLLPPSNGGVLDTCYDFTGHANVTVPTISLTFSGGATIDLAAPAGVLVDGCLAFAGAGTDNAIGIIGNVNQRTFEVLYDSGKGTVGFRAGAC >OGLUM06G13090.1 pep chromosome:ALNU02000000:6:11752724:11754339:1 gene:OGLUM06G13090 transcript:OGLUM06G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVALLLLCVFVSVVGVVRAFAAQGIDALPTIAYEPAGAAKKDSVGGACTVRETETHRGSCRLVGFQSRVYPQYATPMLLYIIRFQPSLQGCKLKSQLLTTASNSLTPNIIQNPI >OGLUM06G13100.1 pep chromosome:ALNU02000000:6:11771022:11772092:1 gene:OGLUM06G13100 transcript:OGLUM06G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHGHRRRVALPCAVRLRLCLLEAAIDATQRRDGAINRPLFSLYDRRADPRPDTAGVSSTDVTVDASRGLWARVFTPPAPEHEHSSSSSTTTPRPVIVYFHGGGFAMFSAASRPFDTHCRTLCAGVGAVVVSVDYRLAPEHRFPAAYDDGEAVLRYLATTGLRDEHGVPMDLSACFLAGDSAGGNIAHHVAQRWTTTTTTPATPPPPSDNPVHLAGVILLEPYFGGEERTKAERALEGVAPVVNIRRSDRWWRAFLPEGADRNHPAAHVTGDAGPEPELQEAFPPAMVVVGGLDPLQDWDRRYAGMLRQKGKAVRVVEFPEAIHAFYFFPEFAGDIRKLVGEIRAFVEESIMSK >OGLUM06G13110.1 pep chromosome:ALNU02000000:6:11791639:11799599:-1 gene:OGLUM06G13110 transcript:OGLUM06G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGRLRMASKEATRAGGRGRRTVTEGAGETDGDGGSGEGGECGGGGRRRRGWRRRCRRGRRTVTEEAYKVATAGDMEGDRGGEEGGEAGEGDGVGGGGDADGAGGDGGGEGEGWATEAVREMDGEGGGDRGERATEERDGGECLKEEQNQPRQEGAQEAYGDGQMAQLQHQAPAATGTDCDAAAAVLANREAAHGRGGHLAAHLGGYRGCSRRATVPASGSIRVLHGRGPRIAVVDAVVPHHRVQGGGDVAVRLNPDDVPPLPSWLRPAAVATLLRREEEEGKRKVEREEEQYPRIQHAATSGQQLHFTSGLQLLLQILY >OGLUM06G13120.1 pep chromosome:ALNU02000000:6:11799334:11810107:1 gene:OGLUM06G13120 transcript:OGLUM06G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKGFSVYSVLGLSALPKSLKWQTSLAYGSAFSYCLPRVSSEAGFFTLSVPASDTAAAATFSFKPMGYFNDFWTWEIWPLFRQT >OGLUM06G13130.1 pep chromosome:ALNU02000000:6:11800354:11803048:-1 gene:OGLUM06G13130 transcript:OGLUM06G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKNKMILGSLSNHHKEAYINPNVNTTCETKEDSNQCNQSSERLTGPTGRTYKPTTRTDFCDESRGKKDIIRTQTPTKITLGLCYFICAYAHVNGTNFPPFQVRTDTYELRGEKKRKQYNQTSKEISELYIEKEDLTKKNIHKSPSNNVMDAYIQCLRNKEKGAFLEQAIKICLLNVEGAHVESNNPRDKQWIRDMAPEYLPFYMIFLPINIKETHWYLAVLNTKRREVQILDSLAKPISEYRPDLSHVKDMKAFRQDLAGILIKSELNKIKDCPLLQTTI >OGLUM06G13140.1 pep chromosome:ALNU02000000:6:11810883:11819610:-1 gene:OGLUM06G13140 transcript:OGLUM06G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHSRGGCTGEEVCKNQYVPVCVCTHGWSKKGGTGAGGGNMLLIPPNIRVTAPPRSGNGGRREEQRRRSGNGGRREERRRRGLRFGADKWYQSNVRANLHPRDWLTLPAV >OGLUM06G13150.1 pep chromosome:ALNU02000000:6:11847847:11848797:-1 gene:OGLUM06G13150 transcript:OGLUM06G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGCRCPWRPRSSVGSEVGGIELLIYAAFIGRLLAESVFIQVMPLRNAARIHLIIGEGK >OGLUM06G13160.1 pep chromosome:ALNU02000000:6:11882946:11885495:1 gene:OGLUM06G13160 transcript:OGLUM06G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVSTVRTNILFYVSVKYMICVKRSKYGLLQALQSSLAKQKKAQVVTEFKHSVVAHKWRARVQHKIPVIDSHWTSIINDIAYTYGSKD >OGLUM06G13170.1 pep chromosome:ALNU02000000:6:11883836:11899652:-1 gene:OGLUM06G13170 transcript:OGLUM06G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGSSAAEELARGSYSIASRAWTTRKIRGRSPSTSPNCHVCRRSLCRPSSTLSRANPDELPYVTAADPFGLLVHTAASPSCGLNLDDDPPGSFAVLREFLPVRASNPHEATGIAERDPERVGGSVPHISNLKNIGFLTSPGTGGKDYAIAELQIEAGAELAKLIVFRSGTPAWAVSRLIRPDMPERISPAPRAYPDRRPTQITAMSYWKTNCMAFLGDIWENETYKATGLPNQVPILAGIHPSNPDLVYFFLEYHLFGVNLYKKMPIVRSRSLQPLSWRQVQLWKLPPSLHAGSIELSAQHASDLGNLRLKAAQLRRQGHALKRREKMIEMREESVQGLHKSLLAPEEKKDDSRWDKVQIVILAVLISGLTLLFPFLPWLPYEYLSTIVIAFSIVVGCCCIALPCALFGSNKWQTCCGESVARVGFMLFSLFVLYCLYRMALDPTLEMTGHSAPPAPADPGFAWQLVRTYEIIAVVVTSGQVGFWITKRQRNPVQAR >OGLUM06G13180.1 pep chromosome:ALNU02000000:6:11902992:11908337:1 gene:OGLUM06G13180 transcript:OGLUM06G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trigger factor type chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G55220) TAIR;Acc:AT5G55220] MELATANAAAAASTTRAHRPRSSRLAVPTRDSCSLLPSSSARQFAALSTSAASTTSRSRAQRRRLPFASATVELREASSQGGDSVRVTETLQPGSSVKFSVEVPPSICQQCYETTLQEYAKRFKIPGFRPGKVVPENVLINYVGPKHVQDATVEAILRHTLPQALSSVEDRALEDSVRILTKFEDMANSFSLDNVFRYDVSVDVAPEVRWLSEDKYKNLKVVVEIDEIVDAEKAAEIELKRRHKALGLLRIVADRGLQVGDLVVLDIFAESITSDGSKGEKIPSAESKGFHLDTEENNNLVPGFLGSLIGIHPGETRSFPLQFPESFEQESLQGVRAQFTVVCKELFYRELPELDDSLAGKLLPGCTTMDQVRERILQRCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSSQKSVQEYLESERENINRIIKQMLAVGEIFKAENLQFSTEQLVKEVENSIEEFKHYNQDYDEGSIKQQVQDVLEAAKVLEWLKENCIIEYIRP >OGLUM06G13190.1 pep chromosome:ALNU02000000:6:11918855:11921944:-1 gene:OGLUM06G13190 transcript:OGLUM06G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 5 [Source:Projected from Arabidopsis thaliana (AT2G04550) TAIR;Acc:AT2G04550] MRKRERENPCGICGHYHKYELGEVCGVCGHRPPAAAASAGAQAAAGAAQAAAPRQDSAFPSEILKDFLFLGSYDNASRSELLKTIGISHILNTVPLCQNLYRNSFTYHCLQDEKTLQFDDAIQFLEQCERDKARVLVHCMSGKSRSAAFVMAFLMKTRGWRLAQCFQWVKERRPQVQLADAAQRQLIEYEQKLFNSTVGIPAQAFAPTDAFPSLGFGFPKPSGDIQVPIFNQQAPASIFERVSPHNIPSNFTFGAERTTEVKLPDNNSFGVVNSSGGDSMMDSS >OGLUM06G13200.1 pep chromosome:ALNU02000000:6:11927771:11931129:1 gene:OGLUM06G13200 transcript:OGLUM06G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8P1] MGAYRCVSELWRRKQSGVMTFVQRVRCWDHRRQPAIGRPTLPDKARRLGDKAKQVPCPHRFLPMAAAAATRPLLRPTCSVARFNTRRLLSSTSSPPPPNRSSNTNSPVAFDWSDDDDNPSPPPMEAKSPNLPPPYDPFSKKPAVMEPSDPTNLQEVFHRMRTEGLTDYAIKMFDGLSKDGLTHEALELFAIIKDKGAMPDVVAHTAVLEAYANAGPAHWCDVVRTYDRMLASGVTPNAYTLAVLVKGLAASDRFTEAGKYIVEMLDRGMRPNAATYLAVFEAYVRMEKVEEGRVLLETMKSKGFTPSEEAVRSGTVKRGHGYVVLPCPSRRGGRKRPVPKGIVYSKPKHQGITQLKFQRNKRSVAEELLGASWVDSGCSTPTGTPPNFEIILVDVAHSAIRDDLRINWLCKPVHKHGELHGLTSAGNKYRGLRGKGHTHHKARPSSPRATWKRNQTVSLRRYRKSLYMLSCFVIVQSVYFWCLCF >OGLUM06G13210.1 pep chromosome:ALNU02000000:6:11932108:11935272:-1 gene:OGLUM06G13210 transcript:OGLUM06G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDITCGSLLQKLQLIWDEVGESDEDRDKVLYQLDQECLDVYKRKVDQATDSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTSGTIKQQLAAIAPTLEKLNKQKNERVREFVNVQSQIDQICGEIAGTTEVGEKVATPQVNEDDLTLERLEEFRSQLQELEKEKSNRLEKVLDYVSMIHNLCTVLGMDFLSTVTEVHPSLDDSIGDNCKSISNDTLSKLDKTVATLNEDKKSRLSKLQELAGQLYDLWDLMDAPMQERSMFDHVTCNRSASVDKVTAPGALALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHMVIDTAAAHEKILALIEAGNIEPSELIADMESQISKAKEEALSRKEILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEESRGLSFMYDGVPLLAMLDEYVMLRQEREEDKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPVSAKKVPGAKSNGSANGTPPNRRLSVSGHQNGRSGGKDGKRDSAKTASPGNVAAAKEDASSHISGTDPVPSTP >OGLUM06G13220.1 pep chromosome:ALNU02000000:6:11943661:11948509:1 gene:OGLUM06G13220 transcript:OGLUM06G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G26750) TAIR;Acc:AT4G26750] MGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGMRIPQKERTKTTNSLLISLMNQLEKDKKSLTLGSDDHLHVEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQTDVEQKQKYAIWKAAEIRKALKEGRRPEAGPPGGDKDEAPDSTTTNSHLTDMGRSQSFGSGQHGNEASSQHVDQDFSRRDSFSAVQPGNNALRHSTEKFNDHVSAQSPYSPPPPQSQTPPQSQFSSPAQSSYSSPSYQGTDYPSSDVHKPPHGYSSAPYTSTDYPTNEVHKPPSNYSSPPYTRTDYPSSDSYNPQSNDKPDIPTYPHTYHQPPYTIEPQHTSQNYYSTETPAAPYNYSNFQSYPSFQDSSVPSVPTHQSSFYPASDGTSAVSYSPSGSNHPAPTQYHPSADTTTHQVTPPAAAPPASQYKYDSSYQPEVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >OGLUM06G13230.1 pep chromosome:ALNU02000000:6:11949984:11953958:-1 gene:OGLUM06G13230 transcript:OGLUM06G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLEIMRPLVGDVDSVSFLQVMHLFLSVAGVEQSHKVTKFQENLTVYAFLIGGISGTGGGVWAIYPVVFSISTFCGIFHCILTGLLAVFTITSYCLASFKSAGAPADMRWGSYPMVGKNDLENYTFCTYCSKPKPPRAHHCRSCKILGTVWELQITMLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFELKTSLEEFGVCKIALE >OGLUM06G13230.2 pep chromosome:ALNU02000000:6:11949984:11953958:-1 gene:OGLUM06G13230 transcript:OGLUM06G13230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQPLLEVEQCVTSIPEDHEATCWGCGLRLIFASYAPVFKCGWCGAITQSNQISRKPDSVCFSHWRNFRDRLGTVWELQITMLFYAAGMTIYSSYRIWPPLDFENLASTRRSMGYIKMLIEIIGTLASSAFFLSARGFVTVYLAFASLSVNAGIGVLLFQQLSYIYEGNTYLNRLSSPNVMHGERGLQNLIRFFGCPYSSIIGLLKHWQVTGQFELKTSLEEFGVCKIALE >OGLUM06G13240.1 pep chromosome:ALNU02000000:6:11957115:11964983:-1 gene:OGLUM06G13240 transcript:OGLUM06G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAEMVMAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTTGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVRSSSHEGTPQHEKAPGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVETMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCSIKSTSTPT >OGLUM06G13240.2 pep chromosome:ALNU02000000:6:11957115:11964983:-1 gene:OGLUM06G13240 transcript:OGLUM06G13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRERKRRRRGEMGRVAEMVMAAELLARPRELFPREAVRALVEDLGLARGRDPSAMGYRPRRASIAERILLTKRKMEEIKEAPVYPTTNVSQTTATRATTVFQHGASKPTTGLPMNISAVASFPVTTPPTIPSPNILKQTQLNESPSGVKAAGTSSIVSVPSVGPTNIKVEKGVNSPTCTQNGATIGQANKSAHLTATMSNPNIVRSSSHEGTPQHEKAPVIRPITVKNGMTHQSRPGVSFIQRQSTFPNHSAIAKTVQQVLHQPVNHPNWIPPSTEYMHSGLGCQVCKVFIIDIHSMIICDACERGIHLKCLQHDGVNVLPPKAEWYCPTCVARSKGKPLPPKYGKVTRTVVAPKVNLISGVPSQGVSENRTTKDNNQELAADGTVIDKNSSEANRIVHNSDKLALESSKEQSQSGSASAAVDKGRGKPQGVETMENNAISERGNVHELTSNGDLSTKNEIVIGDAKDKTIVCSTDHSIVGWVGDPLRVVENKTYYYSCNIDGIAYNLDDHILVASKDKESAPSKLQSLWEEHDSRSKMALVSPYFFASDIPELISKPCTAEENEVFASCNQRTVTVSAICGQCEVLHVDKFREETKGSQVVSSRLHPIFLCSIKSTSTPT >OGLUM06G13250.1 pep chromosome:ALNU02000000:6:11968443:11973167:-1 gene:OGLUM06G13250 transcript:OGLUM06G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLHLLPLLLLALLSPAGAASGEVHASAVVAEKGLDFAKGVLIGEAVRSLTPLRLPGVEKAVRVPFLGAIRVAATNITLFHLDVGDDSAIHPGDSALVVVASGVSANLSMAWSYYYDSWLFPIEISDRGTASILVQGMEVGITMQIKNYNGSLSLSVLQCGCNVKDLVISLDGGASWFYQGLINAFEDHIRAAVEKAIPENIIDGTSKLDSLLQSLPRSVNLDNISALNMTFVNDPQYGNSSIEFDINGLFSSATAKLSNSQKHPQLSLSCGGASKMLLLSLDEAVFNSALEVYFKAGSMHWVVDKIPDQSLLNTASWKFIIPRLYWSYPNDDMLLNISMASPPVMRIASEKIGATINADMIIDVLHDKETIPVACISVVVSASGVAEAAGNKVYGKVELENFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGHGVILPVVHGFTLKDIYVLTSPEQLTLCSDVAFANASSLATLPILRSPRYY >OGLUM06G13260.1 pep chromosome:ALNU02000000:6:11995798:11999318:-1 gene:OGLUM06G13260 transcript:OGLUM06G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRHERIKKGWSGSAAVWLLLVPLFVLIVLKTDFLPQVARLGDTSFTKVADEMVQKVSSLGLDRARWQQQQTLDVAKLEDSVVGTSDELTGHVDANNEDSNQPNQQILAMSRSKDSRLINSDVAAAKTSHLSCNFSSAHMDTCAMDGDIRIHGRSGVVYVVASSDYRPENATAVIRPYPRKWEQATMERVRQITIRSTAPPGAAVADTDGGGAIIPLRCTVARDMPAVVFSTGGYSVNFFHTMNDILLPLYITAREHGGRVQLLAANYDRRWTAKYQHALAALSMYPVVDLDADAAVRCFPSARVGVESHRVLGIDTPLTGSNGYTMVGFLAFLRSAYSLPRHAVTRTTPRRPRVVMVLRRKSRALTNEAEVVAAVAEAGFEVVAAGPEEAGDVAGFAATVNSCDVMVGVHGAGLTNMVFLPRNGTVVQIIPWGGMKWPCWYDYGEPVPAMGLRYVEYEVAANETTLRERYPMDHPVFADPVSIHRKGFNHLWSTFLNGQNLTLDVNRFKAVMAEVYTSITAAPV >OGLUM06G13270.1 pep chromosome:ALNU02000000:6:12001527:12001806:-1 gene:OGLUM06G13270 transcript:OGLUM06G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSRGEEWPNGFRCQWRSKQTASPLILARLSTTKEERSRWTFLLCKTKTVMALFLQEVRLMHYRRECNCVAHELAQLAK >OGLUM06G13280.1 pep chromosome:ALNU02000000:6:12014422:12017186:1 gene:OGLUM06G13280 transcript:OGLUM06G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8Q2] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGREPAAPGAEAEAAGRCGPAEEMADGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSMCPISNCGYRGFTGRWSGHFLTSHSSDVMRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERHAVV >OGLUM06G13280.2 pep chromosome:ALNU02000000:6:12014422:12018105:1 gene:OGLUM06G13280 transcript:OGLUM06G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8Q2] MAKFSLDDADDDPPVATARDKRKRDDGPADAEDEPPRARGSAVAGREPAAPGAEAEAAGRCGPAEEMADGEVEGGLSVQIDPDVLDCSICFESLRPPLYQCQNGHVACFSCWSKLSNKCHICSRDAKFARNIALEKIVESIKSSCSYAKWGCCKFINYAQRDAHEEACLFAPSMCPISNCGYRGFTGRWSGHFLTSHSSDVMRFNYSQPFEVNIEVSVPFLVFLGEDDHLFLLLNNNLTPFGHAFSVVCLRSGNLNWMFSYQIEATSRKKPENRLQLKASVTNTRQWTGIYPSEAFLLVPFDFCHSSNIVLNISIERHAVV >OGLUM06G13290.1 pep chromosome:ALNU02000000:6:12028073:12032477:1 gene:OGLUM06G13290 transcript:OGLUM06G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSHTSQRATIHHRPTPNAPPSPARGVVSVVVVGGGGGGAMRQERRLYSLLGLLLLLAVVYLTWFPTTHDGVGGGWVKLPVPWLQPRMPFAARRGTHFVDADTGSPLYVNGWNSYWLLPARSTALAAEMLRRGRRMGLSVCRTWAFSDGGPGALQISPGRFSEAVFQVLDYVIYEARRNHIRLILCLVNNLDNLGGKAQYVQWAQAAGANVTNSTDSFYSHPTIKRYYKDYVKAILTRRNSYSGIRYSDEPAIFAWELMNEPRCVSNSSGPYLQAWIAEMAAYVKSLDTNHLVTVGTEGFYGPGIAERLGVNPGEWAASLCSDFIQNSAVEHIDFASVHAYPDSWLPRANLEEKVRYLSNWVDSHLNDSEQILKKPVLFTEVGYLQHSDANSNSTVDRDIILRIVYDKIYDSARKLQAGSGALIWQLMVEGTHMYGDNFSVVARDHPSTYSLITNQSCRLQRLYGEGDPGWQCSIPP >OGLUM06G13300.1 pep chromosome:ALNU02000000:6:12084414:12091059:1 gene:OGLUM06G13300 transcript:OGLUM06G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIERTLHMVGGDGKDSYATNSRLSLMKAIMETKPVLCKAIEGVFASLSSPAPAKIVIADLGCSLGPNTLLVVSGVIGMISTSGYPEMTELQFFLNDLPGNDFNYVFRSLQQLADQKEGLLEPPYYIAGLPGSFYTRLFPCQSVPEELSSGVHLNKGNIYIGKATPSHVVKLFQKKFKEDFSLFLTLRQEELVSGGRMVLTFLGQKSSQMLAHGDVGTMWELLAQALQILVQKGCVKEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSSDSIANCSIRAVIKPLITDHFGESIVDELFQVYVPLVAKHLEKGRAIYPGMINKEKINSFYMPSYCPLNKDDHDKGSFESNKSILIQDLFSGVDISFINPNMMDLGIGAAYEPLIVHHFGSSKEMIDELTRTMERHMISSVGMEVLLAAEADYPFISLHLHMLSFKLVL >OGLUM06G13310.1 pep chromosome:ALNU02000000:6:12101745:12102454:-1 gene:OGLUM06G13310 transcript:OGLUM06G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRKGKALASQRDLKSFWNRGSPSASTHASGNDAVEMEEEEQMEEHVVLQQGREVVEENFEEKESH >OGLUM06G13310.2 pep chromosome:ALNU02000000:6:12100040:12102454:-1 gene:OGLUM06G13310 transcript:OGLUM06G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRKGKALASQRDLKSFWNRGSPSASTHASGNDAVEMEEEEQMEEHVVLQQGREVVEENFEVSLVSLKREVDLEVQTGPVCADVVAAGELGRWRRSYHRWRTPPRDGSETRTWKWHHGSTTVGSS >OGLUM06G13310.3 pep chromosome:ALNU02000000:6:12100040:12101090:-1 gene:OGLUM06G13310 transcript:OGLUM06G13310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPREIAALCLVSLVSLKREVDLEVQTGPVCADVVAAGELGRWRRSYHRWRTPPRDGSETRTWKWHHGSTTVGSS >OGLUM06G13310.4 pep chromosome:ALNU02000000:6:12102043:12102454:-1 gene:OGLUM06G13310 transcript:OGLUM06G13310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKRKGKALASQRDLKSFWNRGSPSASTHASGNDAVEMEEEEQMEEHVVLQQGREVVEENFEGITKFKPEYIISDLGLRIPMDRFAANIRDEVRRAFLS >OGLUM06G13320.1 pep chromosome:ALNU02000000:6:12112293:12122405:-1 gene:OGLUM06G13320 transcript:OGLUM06G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIELGKRKELHPWYDRNALAKIVIADLGCSSGPNTLLVVSGVIGMISTSGYPEKTELQFFLNDLPGNDFNYVFRSLQQLKQLADRKEGLLEPPYYIAGLPGSFYTRLFPCQSVHLFHSSYALMWRSKVPEELSSGVHLNEGNIYIGKATPSHVVKLFQKKFKEDFSLFLALRSEELVSGGHMVLTFLGRKSSQMMAHGDVGTMWELLAEALQILVQKGRVKEEDLTTFNLPYYAPSVDEVTELIEESGLFDVEHTGVFESSWDPHDDSKSNGDAVADCARSADSIANCSIRAVIEPLITDHFGESIVDELFQVYIPVVAKHLEKGRAMYPVIVVSLKGRL >OGLUM06G13330.1 pep chromosome:ALNU02000000:6:12122764:12132138:-1 gene:OGLUM06G13330 transcript:OGLUM06G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSKQSVHMNPGQDLIETSENNLHAQKTAQDRMRTLIEEAVTGLCTGSCPHPKNMVIADLGCSSGPNAITLVSAAVDAIHRYCTQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGEEALAQPAVVITGMAPEDLKKSGIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLFVRRSDKPDTECTQPWTPVVTALSDMASRGVISKEKLDSFYIPLYCPMDSKVNKIIEEEGSFEINKMLMHDPYGGTGGKALLDLKMVALRVRAVFEPIIVQHFALSDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLARAM >OGLUM06G13330.2 pep chromosome:ALNU02000000:6:12122764:12124744:-1 gene:OGLUM06G13330 transcript:OGLUM06G13330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLIEEAVTGLCTGSCPHPKNMVIADLGCSSGPNAITLVSAAVDAIHRYCTQHEQLPPEMCVLLNDLPGNDFNTVAKSLDTLKHSGEEALAQPAVVITGMAPEDLKKSGIPMHDSDEQLRSSRHQIVADSYARQFRKDFMRFLSLRAQEIVPGGRMVVSLFVRRSDKPDTECTQPWTPVVTALSDMASRGVISKEKLDSFYIPLYCPMDSKVNKIIEEEGSFEINKMLMHDPYGGTGGKALLDLKMVALRVRAVFEPIIVQHFALSDEIMDDFVRAVERHLISSGALEARLSGQHSFAFLCLSLARAM >OGLUM06G13340.1 pep chromosome:ALNU02000000:6:12144507:12145226:-1 gene:OGLUM06G13340 transcript:OGLUM06G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPVLLFALMVCLVVVSQGVLAARELVETNKVEVDGMKVELPEGRKGAAAVSGYGGGWPGTYGHYP >OGLUM06G13350.1 pep chromosome:ALNU02000000:6:12146833:12147612:-1 gene:OGLUM06G13350 transcript:OGLUM06G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKRIGTGCLFPCHEQSMRKPLRKGKLPFVLVVGGRSIDSNRRMEVAGYYEPVRRGGACSLFGGMLKRNAMLRPPRHGEGEMSQHGRGR >OGLUM06G13360.1 pep chromosome:ALNU02000000:6:12153289:12153863:-1 gene:OGLUM06G13360 transcript:OGLUM06G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLVLMFVLMVSLVIVSQGVLAARELAEKTKVDVDGMNVDLPEGRKGAVAVSGYGGGWPGSYGGRHYP >OGLUM06G13370.1 pep chromosome:ALNU02000000:6:12195762:12198521:-1 gene:OGLUM06G13370 transcript:OGLUM06G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNLEEPDSPLIASLSAPKFMQNSAEPELTAGTDCENSNLQNRETANFEAAMQEELPEILVGNKSNLQEISTEQKAPISVCATPSEVDNSGLVSASDPHAFSVTSQNDDESTEPSSDSTTIPPSEKNTHSTSQTSDRLKAVVQLQDTPLHNTGDDAFCSYSVKASYETSAATPAKVKEKKPHLMHRFNERQMSLRDMRQKAPAPLNRSNSGKNFRTDNTFVDTTTHIESVKVAASRFGGSVNWKTRITEPEQESGHIVLELDRLKKEISESKRQAEAVEAAKVPLSNEYEKTKRLIEGLEHDLEKAQEEEIIARLGLELFQLIVHEMREGDTSDGGVTGREKLNIIKEQYNAVLANLMLVKDESGKVQENYETLLIERDISIGKAQLAVSMSEGAVRKVEELTVELNRLKVELELAHSTCHDAEKHSKDTSLACDEDSLKWKSDLRQAEEELNQLAKKISSIEELKSTLDTSTGLLLKLKNELAGYVEAKPIDKEAQGNIAQRSLHNEVILSTRELEECLMSVDKVRDEVCALNVAVASLKTELIKEKTALATMKQMEATSSIAAASLRVEIQLALRELEAVQAKEKESRNGMLGLQKIMEDTAKEADESKSIAREAQEKLRKAKEDMDHAKSCLNTMEFRLQAVLKEMEATKESMRLAIDALRPFDSELPVDIEEQGSQIVTIDLDEYQSLIAKSSKAEELVHERTASAIAQAKIAKESESRTLSTLSETHKVLEQRKQALVAATERADRATEGKLAMEQELRKWREENEQRRKAGEASKSQLNPSSTPVIIVERSGDTKSTSKDDSYASVHPLLDMSARSTPNDSALLSNKKKRKKLSFFPRITMFFTRKKSRAAI >OGLUM06G13380.1 pep chromosome:ALNU02000000:6:12200595:12203573:-1 gene:OGLUM06G13380 transcript:OGLUM06G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRHHRFSRFIKNSSSPSKSKRTHAESPDASSTPSSAIPPRVDAVSRLLRATRSAKCLSKLHARLAVTGALREDASVVAGAVERYLFFGKPASAAAVFAGFYRGRAEVYDLNIAVRCFSDHGFHRELLGLYREVCAFGSDNFTFPPVIRACAAVSCLRLGKEVHCRVVRTGHGGNVGVQTALLDMYAKSGQVDLSRRVFDGMKSRDLISWNAMISGYSLNGCLLEAAEALKQMQQDGFRPNASSLVGIVSMVSGLGVRDAGDPLHAFALKSGVLGDESLTPAFISMYAAFGHLSSSLSLFHQSLVDNLVSCNSMISVCTQHGAWEKAFGVFRLMRCKGLVPNLVTVVSILPCCSNFFGINHGESVHGMVIKFGLAEQVSVVSALVSMYSKLGDLDSAVFLFSSVTEKSQLLWNSLISGYLVNNKWNMVMGSVRRMQIEGVDPDALTVISVISKCRHTEDLHVGKSIHAYAVRSRLELNESVMNALLAMYADCGQLSICCKLFHTMEVRTLISWNTIISGFAENGDSVACLRFFCQMRLADMQFDLVTLIALISSLSAIEDITVGESVHSLAIRSGCNLDVSVANALITMYTNCGIIQAGEKLFDSLSSVNTISYNALMTGYRKNNLFEEILPLFYHMIKNDQKPNIITLLNLLPICHSQLQGKTVHSYAIRNFSKLETSLFTSAICMYSRFNNLEYCHNLFCLVGERNNIVWNAILSACVQCKQAGVAFDYFRQIQFLNVKTDAVTMLALTSACSQLGKADLAECVTAIALQKGFDGTIVVLNALIDMHSRCGSISFARKIFDISMEKDSVSWSTMINAYSMHGDGGSALDLFLMMVSSGIKPDDITFVSVLSACSRSGFLEQGRTLFRSMLADHGITPTMEHYACMVDLLGRTGHLDEAYDIVTTMPFRPSKSLLESLLGACRFHGNSKLGESVGKILTESDHGNPRSYVMLSNIYASAGKWSDYERLRSDMEAKGLIKDVGVSLIGGTH >OGLUM06G13390.1 pep chromosome:ALNU02000000:6:12219898:12220452:-1 gene:OGLUM06G13390 transcript:OGLUM06G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLPFLCGGDDPVKNSEVRPPARHPREGPNDGDGGHKPSPPPHAGGAVNGNGGAAPAPTTSPDTEVRAPTYGDKQISPPKEGAAGKPPTVVPAANHPQAPTGDEAKKGHGGGGAVGRRNGISSTVLTALPPVGPMAAPATTVKDAPPAATAAANDVHGDADEQHPGYGDHGEVEDRKPRRRSWL >OGLUM06G13400.1 pep chromosome:ALNU02000000:6:12223692:12227105:-1 gene:OGLUM06G13400 transcript:OGLUM06G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQIVHMNPGQGETSYARNSTIQKTAQDRMKPLIEEAVTAFCGVSVPKSMAIADLGCSSGPNALTLISSTVDAIHRYCMECTQPPPEMCLFLNDLPSNDFNSVAKSLAEFKHSQDVSSHHVVVANMVPGSFYERLFTSDSVHFFCSSISLQWLSKAPEELAKRKIPMYDSDERLRLLNHEIVANAYARQFRKDFTLFLSLRARELVLGGRLIFSLIGRCSSNPASVSTQVWKVVSVALNDMASRGVISKEKFDTFHIPIYAPMENELNGIIEDEGSFQINKAMAHDTFLATDGVLASPNTIAAMKNRKEGPKKSSVQYYLLSTSYIITITIQPKTKSQFKSKSKFSQNHPKYEEIEEMKGQMGGRRCAAIYGAKEPRTTVVRGAADSLDLASSEPDAAPWSRRLAISSEEQGGGSTRRGGKEPTVGGEGGGLAESGKERGSDGTPTRREGADSGGGE >OGLUM06G13410.1 pep chromosome:ALNU02000000:6:12255117:12259209:-1 gene:OGLUM06G13410 transcript:OGLUM06G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILHMNPGQGETSYARNSTIQKTAQDRMKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSAMVNAIHHYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEAPEELVKSKIPMYDSDDKLRLLNHEIVANAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAVALNDMASRLMAFYCKKELHELIIGIKVSFQGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFHATDGMLPSPNTMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >OGLUM06G13410.2 pep chromosome:ALNU02000000:6:12255117:12259209:-1 gene:OGLUM06G13410 transcript:OGLUM06G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQILHMNPGQGETSYARNSTIQKTAQDRMKPLIEDAIKAFCGAALPKSMVIADLGCSSGPNALTLVSAMVNAIHHYCMEHKQPQPEMCIFLNDLPCNDFNTVAKSLGEFKHGQDSSSHHIIVTSMVPGSFYDRLFTSTSVHFFCSSISLHWLSEAPEELVKSKIPMYDSDDKLRLLNHEIVANAYARQFRKDFTLFLSLRAQELVLGGQLIFSLVGRCSSNHASKSTQVWKLLAVALNDMASRGMISKEKFDTFHIPIYAPLDKELDSIIEDEGSFRINKTMVYDAFHATDGMLPSPNTMASMTRAVFEPVIVQHFGFSGETMADFSSAVERLSSSSFLEAEFPLVCLCLSLTRAR >OGLUM06G13420.1 pep chromosome:ALNU02000000:6:12265101:12268931:1 gene:OGLUM06G13420 transcript:OGLUM06G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQHLYTAYRRRCLPRGAPPPRHRRHSCSTPRAPLASNRANVIADDGGVPCHEDPVAAETERIKVVLLNDQEKSEATLLELLRRLQQLELTVDILTVTEIGKAVSSYRKHNFKQIRHLVQLLIESYSSVHASLQLGAAKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAARRAGSKIILQIHAISTCYDCSLIRLFSFFVPYLLC >OGLUM06G13420.2 pep chromosome:ALNU02000000:6:12265101:12268931:1 gene:OGLUM06G13420 transcript:OGLUM06G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQHLYTAYRRRCLPRGAPPPRHRRHSCSTPRAPLASNRANVIADDGGVPCHEDPVAAETERIKVVLLNDQEKSEATLLELLRRLQQLELTVDILTVTEIGKAVSSYRKHNFKQIRHLVQLLIEYGHKKVSFLPVKPHTKEIINNINFMPWSDVCFVTGGSDHAVNKKMIHGTTQKCTRICILLLSWVLLDYSKKNAILSVGSDKRIIPFDLAARRAGSKIILQIHAISTCYDCSLIRLFSFFVPYLLC >OGLUM06G13420.3 pep chromosome:ALNU02000000:6:12264789:12268931:1 gene:OGLUM06G13420 transcript:OGLUM06G13420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQHLYTAYRRRCLPRGAPPPRHRRHSCSTPRAPLASLASVAPTAPMSSPTTAASLAMRTPSPPRPSASRSSSSTTKKRSSSSLSSSSTPPLPPPPTESVPPCCCSRRRRCSSCSGVCSSWSSRWTS >OGLUM06G13420.4 pep chromosome:ALNU02000000:6:12265101:12265501:1 gene:OGLUM06G13420 transcript:OGLUM06G13420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWLVFFRGAGDNIFDAIAVAASDHPAALRSRRDAIAQHLYTAYRRRCLPRGAPPPRHRRHSCSTPRAPLASNRANVIADDGGVPCHEDPVAAETERIKVVLLNDQEKVILLSFFLLHAASTSAAY >OGLUM06G13430.1 pep chromosome:ALNU02000000:6:12273770:12275237:1 gene:OGLUM06G13430 transcript:OGLUM06G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTMVVLFVVAASLLLLSQDVAFAARELADASGEASKGGDKKDDISISIGVTVGGTPVVTINTKPKHHGKTPSYGHSHP >OGLUM06G13440.1 pep chromosome:ALNU02000000:6:12275471:12280603:1 gene:OGLUM06G13440 transcript:OGLUM06G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSAVLSAAAAAAAASRTPPWLHRLHAKGGLSFPSNLHIDDLLYGQHHALPHPPPPPPPPPPQPAKEPPPPTKPKHPKPKQQQHPPPPPPQKPPQGSTNLSLPNPSGSGSGNGNPPPSPQLQLSTVIADVFVTPSSAPPIIAPIKAFRKQNHPRPRPDKASRPSKENKDKASKVKVKKRRRSERAADGDGERCSRTEVTVIDTSTDGWKAAKLLLRRGAVWKVRDKASGVSEPEDPTKMKRRAGLVSKIQRDREKQKQKEKEATSSGNIHASSGDGMKEPDGPIQALKRSRGPEPEPEIIALLH >OGLUM06G13450.1 pep chromosome:ALNU02000000:6:12301268:12302862:1 gene:OGLUM06G13450 transcript:OGLUM06G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLILFGVLLASLLLVSQDVVAARELTEAHESEGKNVKPEVEQNNWGGGYMHGGGYEHGGGYSQPGYGGGYGQPRYGGGYGQPGYGSGYGPGYGGGGSGPGYGGGYGSPGYGGGYGSPGYGGGSGYGGGYGGGYGGGYGGGSGYGGGGGYGGGSGGGGQHGGWH >OGLUM06G13460.1 pep chromosome:ALNU02000000:6:12323068:12323828:-1 gene:OGLUM06G13460 transcript:OGLUM06G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNFLVLGVFLAALLMFSLDDVAHARELTEANESEGKNVKPTGGPGVEDQKWEGGYYPGGGYGYGGGYGGGYGRPGYGGGYGGGYGHPGYGGGYGGGYGRGYGGGYGGSGGGYGGGYGGGYGGGYGGGYGGGYGGGGGYGGGYGGGGWH >OGLUM06G13470.1 pep chromosome:ALNU02000000:6:12333017:12334611:1 gene:OGLUM06G13470 transcript:OGLUM06G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKFFLLGVFLAALLMFSLDGKNVKPTEKPGVDDQKWGGGYYPGGGFGYGGRYGGGYGRPGYGGGYGGGYGYPRYGGGYGGGYGCGYGGGYGGYGGGYGGGYGGGYGGGYSGGGGYGGRYGGGGGGWH >OGLUM06G13480.1 pep chromosome:ALNU02000000:6:12357527:12358476:1 gene:OGLUM06G13480 transcript:OGLUM06G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNLLLLVFLTALLFFFLDVAHARELSEASESEGKNVKPSGTPGVEDQKWGGGYHHGGGYGYGGGYGGGYGRPGYGGGYGGGYGRPGYGGGYGGGYGSGYGGGYGHPGHGGGYGGGYGGGYGGGGGYGGGGGYGGGQGGGWP >OGLUM06G13490.1 pep chromosome:ALNU02000000:6:12364093:12365600:1 gene:OGLUM06G13490 transcript:OGLUM06G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNLLLLGVFLSALLFFFLDVAHARELAEASESEGKNVKPTGGSGVEDQKWGGAHGGGYGYGGGYGGGGYGHPGYGGGYGGGYGHPGYGGGYGGGYGQGYGGGYGHPGHSGGYGGGYGGGYGGGYGGGGGYGGGGGYGGGHGGGWP >OGLUM06G13500.1 pep chromosome:ALNU02000000:6:12371716:12372948:1 gene:OGLUM06G13500 transcript:OGLUM06G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLLLLGVVVASLLLVSQDVAAAREFTEANEAKEKNMEPKVANGPQDEKLAHHGDGYGHEGGYGGGYGSGYGGGNSGGYGSGYGGYDGRYGGGYGGGGGGGGYGGYGGYGGSRYEGYGGGYGGGGGGGYGGGGYPSGGYYGGGGGGGWH >OGLUM06G13510.1 pep chromosome:ALNU02000000:6:12375595:12376563:1 gene:OGLUM06G13510 transcript:OGLUM06G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLFLLGVVLASLLLVAQDVAAARELTEANEAKGKNMKQEVAYGSQNDKLAHHADGYGHGGGYGGGYGSGYGGGNGGGYGGGYGGYGGGYGGGYGGGGGGGGGGGYGGYGGYGGYGGGGYGGYNKGYSGGGGGGYGKGFGGGYGGGGYPGGGYYGGGGGGGWH >OGLUM06G13520.1 pep chromosome:ALNU02000000:6:12379297:12380328:1 gene:OGLUM06G13520 transcript:OGLUM06G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLLLGVVLVSLLLVSQDAAAARDQFINDNEVQLKNMKYENGAGFTDEKLGYGGGGYGGGYGGGFGGGYGGYVPGRGWYGGGYGGYPGYGGGYGHGGGARYAGGYGVGAGYGGGYGGYGGNGGGGGGGGGGWH >OGLUM06G13530.1 pep chromosome:ALNU02000000:6:12398746:12401499:-1 gene:OGLUM06G13530 transcript:OGLUM06G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAGEPSSSSAAAAISCSSSNDDEKPPPSLEGKELRRVAEEEPAAAADPEELTEASSRAAEAEEEEEVVEDEEDDDEEDEGKVAEAIDLGPRVSIKEQLEMDKEDESLRRWKEQLLGSVDLNSVGESLEPDVRITSLCILSPGRPDVLLPLPVEPSNSKEPWFTLKEGSTYRLKFTFSVSSNIVSGLRYTNTVWKAGIRVDKTKEMLGTFSPQLEPYTYVTPEETTPSGVFARGSYSAKTKFVDDDRKCYLEISYTFDIRRDWPCKS >OGLUM06G13540.1 pep chromosome:ALNU02000000:6:12407586:12410554:1 gene:OGLUM06G13540 transcript:OGLUM06G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G04740) TAIR;Acc:AT2G04740] MDRPRQQHEPEHLGGGGIDVEVELDPEDLQPSVPLKKVPAGDLFEAARAGDCARLALLLGGGANVNERDRWDSVALYYACLAGHADAARMLLEAGAVCAERTFDGDRCHYAALNLRLRRLLKAFEARPPPLPPLPAALRATFLACPANRAAFLEMLQWTAGSEAAALAAAAGFGPTENPSSTSLFPPDITFYVDGKPIEAHRVILCARSSFFRRKFNTDWKDRKEVRFSSQKLSFGALYSLVHFFYSDRLEVDVDDMENLARACKVCKCEGLQKILIKEATLQRYAEHKSPRDLDSSQKRFILHGQSLPEEDRLPSALRHILEECLANSRKQECYNDESNEMSRDSGVDAAADLYIKVCDKVFHCHQVILASRSEYFKARLSRNMDFLEVKSGLQSTQSLPFLEEHDMSTEAFEKVLEYMYTDNLEHMDPNQAEELFDIASRYLLFPLKRVVADILLPYLEHVSPAELCHWLMLSDIYDVVKIREYCLDIIACNFEMFADTREFRALLLTLPPPSGDDSLRTTRPSEPGTAGNTDQGNLLDDLREKWLEAEAAELDERDESAKLFDNRLEMLMLVAEQEANDGNV >OGLUM06G13550.1 pep chromosome:ALNU02000000:6:12413288:12416399:-1 gene:OGLUM06G13550 transcript:OGLUM06G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVAPVVDIVNDVTKRTSLEREAMKMNGQRLRVKGHVITIRCKVLLKHDLSLIFEGTKGRELRCCYKANVDGFSATDFHRHWPLGHRRCRRRVMFGGFSLQEYRSTDDYYDTLDAFLFYWPDTDTDAAATAAVVLTKVGGSGATLFDYARAAVRRRRAAHRAAVDRRDGDVHGARLQRRCRRPPQHAVAFQAIVREALHRLHLQLGDVPVDQGARATPPQPRCPHWPAPVTGAPSG >OGLUM06G13560.1 pep chromosome:ALNU02000000:6:12417719:12422401:1 gene:OGLUM06G13560 transcript:OGLUM06G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 [Source:Projected from Arabidopsis thaliana (AT5G55470) TAIR;Acc:AT5G55470] MAWWWWWSEAVVVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLGAVDYLDLILFTTTALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLAEFLDLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSIMSNYMSGSSEKAPITFNHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGSNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >OGLUM06G13560.2 pep chromosome:ALNU02000000:6:12417719:12422401:1 gene:OGLUM06G13560 transcript:OGLUM06G13560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ (sodium hydrogen) exchanger 3 [Source:Projected from Arabidopsis thaliana (AT5G55470) TAIR;Acc:AT5G55470] MAWWWWWSEAVVVGGGTGGGSSGTVVSICVFTAVLCVCLVAGHLLEENKWVNESITALLIGCVVGAIIFLLSEGKNSRILRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFLTIMSFGIFGVFISVAIVSTGCYWLFPKVGFGDLGAVDYLALGAIFSSTDTVCTLQVISQDETPRLYSLVFGEGVVNDATSVVLFNAIKNLDITQLKGGVALKVISDFLYLFFTSTMLGVTIGLSTAYALKALYFGRHSTDREVALMALMAYLSYMLAEFLDLSGILTVFFCGIVMSHYAWHNVTESSRITTRHIFATLSFIAETFIFLYVGMDALDIDKWKTSETSFKTSLGIFGIIISLVLLGRAAFVFPLSIMSNYMSGSSEKAPITFNHQVVIWWAGLMRGAVSIALAYNQFTFSGVTLDPVHATIITSTIIVVFFTTLVFGFLTRPLISAILPHQHRQSTTPGTGGGGRSTGSNSPKDDFIMPFLSPDEEASGSGSGFLQAKRSISMLLERPVHTVHIYWRKFDDRFMRPIFGGPMERDRGNCY >OGLUM06G13570.1 pep chromosome:ALNU02000000:6:12418118:12430540:-1 gene:OGLUM06G13570 transcript:OGLUM06G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWWWRAGDIQVGGIESSRVEGRNLLVAVGGDGAGGQEEIRVEGSSPGASTSRMRARPRLHRPSSFVVIYTAPIFPNIVVLDSDLRNIIHCCVALRTIGLITRSSARLRQAFMGWSMSTTPNFGLPLCHFPWTTMSLLMVTCRCIGLELPLCRLPRAAASPLSLFSSPFMHRHPRHLHLSSSIIKVFFVYFEHHHHISKLSLLLPQASGPADPTWVTDVIVPGHWSHCLVFVYLRLYSPPLLFNRDESGGRLREQLSRWSWPGQWKLPDPLNLNGVAIRQGLRQSALAPVVRDASVSDPLATPTGSWSFLHFSKSRATSQAKKYPLLSGPFPSIPGGFPAAGKGVGGGSKGSSASGSFVLVAFAMDVLVSFFSGEDSLSIIFQLVFGNKYGVKQPLKSESNSRNTAQGITTAGAFVLDREYLWNKQLATRAYGAVELRL >OGLUM06G13580.1 pep chromosome:ALNU02000000:6:12430359:12435925:1 gene:OGLUM06G13580 transcript:OGLUM06G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT3G57680) TAIR;Acc:AT3G57680] MEMVECSLPAARAPRPLPRRLPPLPGGRPALGAAGRSGSRLRVRSERTRRRDSPTMPTAASERDGGGRAALGKAAAGLAAAAVVSLTGLAAEPLSPPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQASFLTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSAS >OGLUM06G13580.2 pep chromosome:ALNU02000000:6:12430359:12435925:1 gene:OGLUM06G13580 transcript:OGLUM06G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S41 family protein [Source:Projected from Arabidopsis thaliana (AT3G57680) TAIR;Acc:AT3G57680] MEMVECSLPAARAPRPLPRRLPPLPGGRPALGAAGRSGSRLRVRSERTRRRDSPTMPTAASERDGGGRAALGKAAAGLAAAAVVSLTGLAAEPLSPPPPARAESLTVAFPVSKAREVNRVQRTLVEAWGLIRETFVDPTFNHQDWDMRLQQTMVEMFPLKSEDAAYGKISGMLSTLGDPFTKIISPKEYQSFRIGSDGSVQGVGVFINKEPSSGRLLVMDCIEGGPADRAGLHGGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKVLDVQLSREVINLSPLSTAIISHRSDDGRECKTGYVRLAAFSQTAAAEMESAIKKMEDEGVQSYILDLRNNPGGLVKAGLDVAQMWLDGNETLVNTVDREGNVLPINMARGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCTPEMLSLPRAPSLKEDDKATNLEMDSCIMVAEQALEIEKSKGSAS >OGLUM06G13590.1 pep chromosome:ALNU02000000:6:12436990:12442896:1 gene:OGLUM06G13590 transcript:OGLUM06G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type/C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G08505) TAIR;Acc:AT3G08505] MSTKRVLCKFFMHGACLKGEYCEFSHDWNDQPNNVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTHASSSLQPLSFGRPHHVGYQTDSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGCLEEVILRHLDADDGSTVIAKNIRLSDFLSRLHL >OGLUM06G13590.2 pep chromosome:ALNU02000000:6:12436990:12442199:1 gene:OGLUM06G13590 transcript:OGLUM06G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type/C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G08505) TAIR;Acc:AT3G08505] MSTKRVLCKFFMHGACLKGEYCEFSHDWNDQPNNVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTHASSSLQPLSFGRPHHVGYQTDSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGCLEEVILRHLDADDGSTVIAKNIRLSDFLSRSQQASWRKQS >OGLUM06G13590.3 pep chromosome:ALNU02000000:6:12436990:12442016:1 gene:OGLUM06G13590 transcript:OGLUM06G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (CCCH-type/C3HC4-type RING finger) family protein [Source:Projected from Arabidopsis thaliana (AT3G08505) TAIR;Acc:AT3G08505] MSTKRVLCKFFMHGACLKGEYCEFSHDWNDQPNNVCTFYQKGSCSYGSRCRYDHVKVSRNPTVAPPPSSSTTTHASSSLQPLSFGRPHHVGYQTDSSNPRQQISMDVLAHSGSKPVWRNDFQHESVLEDGIDWSISPTVQNQTTLSPADLPICSFAAGGNCPYGEECPQMHGDLCTTCGKMCLHPYRPDEREEHTKLCEKNHKRLESLKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRNNSPTSGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKLEIIDNYKAKLKSIDCKYFDFGTGTCPFGSSCFYKHAYRDGCLEEVILRHLDADDGSTVIAKNIRLSDFLSRAGSIL >OGLUM06G13600.1 pep chromosome:ALNU02000000:6:12444462:12444659:-1 gene:OGLUM06G13600 transcript:OGLUM06G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVAVLLMAVASSLLVMASAQEFNAPASSPAPSPMAGAAPGSASPLAVASSALVALLAAALMQ >OGLUM06G13610.1 pep chromosome:ALNU02000000:6:12454649:12455154:1 gene:OGLUM06G13610 transcript:OGLUM06G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKIACAVLVAASATVALAVEAPAPAPTSAAATSAAFPAVGAVIGASVLSFFAYYLHCVIVYQT >OGLUM06G13620.1 pep chromosome:ALNU02000000:6:12473386:12478370:1 gene:OGLUM06G13620 transcript:OGLUM06G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G17980) TAIR;Acc:AT1G17980] MAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILVCTSCIVYFSDDMLNALWWIRYDGRLFASTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIQSDPHGGYQSQERNNVVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPENHVVHDLVKPPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIIVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEVCSLTSELLL >OGLUM06G13620.2 pep chromosome:ALNU02000000:6:12472032:12478370:1 gene:OGLUM06G13620 transcript:OGLUM06G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(A) polymerase 1 [Source:Projected from Arabidopsis thaliana (AT1G17980) TAIR;Acc:AT1G17980] MAKSNNGNGYLGVTEPISLSGPTEKDVVRTQEVEKCLADAGLYESQEEAVSREEVLGKLDQIVKAWIKKATRASGFGDQFVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRTEYFFQALYDMLVDMPEVTELHPVPDAHVPVLKFKLNGVSIDLLYANLTHVVIPEDLDLSHDSILHNVDEQTVRSLNGCRVTDKILRLVPNILVCTSCIVYFSDDMLNALWWIRYDGRLFASTFRTTLRFMRFWAKRRGVYSNVIGFLGGINWALLVARICQLYPNASPSMLISRFFKVYSKWKWPNPVMLCHIEEGSLGLLVWDPRRNFRDRGHHMPIITPAYPSMNSSYNVSISTRHVMVQEFTRASDICQAIDERKADWDALFEPYPFFESYRNYLKIEITARNEDDLRNWKGWVESRLRTLVLKIERFTREMLLSHPNPRDFIDSSRPLHCFYFMGLWKKQISQAQEAEQYDIRAIVNEFKSNIHAYQHWREGMEIEVSHVKRKDIPSFVFPGGIRPSRPSRTVGKEARAVSRSNISANVQERNVPSMAQPMPYKSSEVNKIQSDPHGGYQSQERNNVVVSSLPCEETGHMFNGYANLHTESVELEHLRSYKGSTSVPENHVVHDLVKPPESMPPNSIHVYPSPTNGLGHLLDSSCKKPADIIVNKTTNFSSAVLAVPDELDELDSHQVKVNQKDLTAVDQGLSLEHKVGSNGGKAGTTGSPDNNHLKRKAEEELEVCSLTSELLL >OGLUM06G13630.1 pep chromosome:ALNU02000000:6:12482480:12487374:1 gene:OGLUM06G13630 transcript:OGLUM06G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTIDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKLVEDDE >OGLUM06G13640.1 pep chromosome:ALNU02000000:6:12486182:12486835:-1 gene:OGLUM06G13640 transcript:OGLUM06G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3464) [Source:Projected from Arabidopsis thaliana (AT4G19100) TAIR;Acc:AT4G19100] MELPLGPARAPAAAALPCRFSPTFRPHAPPPAPSNSRAHPPLLARARRNKSSRIDADADAEPKVITIGRPGKKSRRRRGQPPPSKEEGSEEEDEEEEEEEERDVAIPEVVTNRMMRRVGVSVGAPLAVGVAFLPAFYYLKKAAKVDVPTWIPFGVSFLFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGGGGGPSSPPPPRRR >OGLUM06G13650.1 pep chromosome:ALNU02000000:6:12489708:12490610:1 gene:OGLUM06G13650 transcript:OGLUM06G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWACRSLPNCPNGKSSWPELVGKKGSEAMAAILRQRPDITRAILVTQDAVITDDYCCNRVRILVDCADGGGDCGDASVTAVPMIG >OGLUM06G13660.1 pep chromosome:ALNU02000000:6:12491912:12492429:-1 gene:OGLUM06G13660 transcript:OGLUM06G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42850) TAIR;Acc:AT5G42850] MTVEKVDATVADFDAHFDKLFAAGDDAEGKVKLLLFLADRDASSNQTWCPDCNVAEPVIYDRVEAAAKGKEKDVVLLRAYVGDKPTWRDPAHPWRADPRFRLTGVPTLIRWENGAAAARLGDDEAHLADKVDAVVNAAN >OGLUM06G13670.1 pep chromosome:ALNU02000000:6:12494031:12497531:1 gene:OGLUM06G13670 transcript:OGLUM06G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRSLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARLAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNPESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQQELIESAKTLPSTFTKILEVLNSDPVSIATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVESSLGETSKALESNVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVRAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSTVFDGRPVHIIGEINTLLSSSVSQLAG >OGLUM06G13670.2 pep chromosome:ALNU02000000:6:12494031:12497858:1 gene:OGLUM06G13670 transcript:OGLUM06G13670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRSLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARLAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNPESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQQELIESAKTLPSTFTKILEVLNSDPVSIATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVESSLGETSKALESNVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVRAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSTVFDGRPVHIIGEINTLLSSSVSQLAG >OGLUM06G13670.3 pep chromosome:ALNU02000000:6:12494031:12497384:1 gene:OGLUM06G13670 transcript:OGLUM06G13670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQAEIRSLPIDIAFGRLQEWLVDRKRVPQDWRKRLAGIRARLAAAFASLPRDLDPSLLALEPDEIGYLEAKKIYGILLESNPESRNIFGRLTGSAGEWEAIVKAYEKDHVFLGEAAQIMVQNVNYDMYVRCPFLIHGFDYSLTVFVLDLALQWLTLLAVLTGCSPYQRKQMQKTQQQLAELDRREADIKRLAALSATRYAEACQELGLQQELIESAKTLPSTFTKILEVLNSDPVSIATEYYTTFVRDCHTEDKENCKSVLQNLKQLQANPPSLHISVCNEVESSLGETSKALESNVTGAENIDSNISADDIDWDISLDDNGIDWDIGAVEQPVEESGNGFGSYEIIDANVELAGSENYNFGISDDPSVNKSSSSEPGICWDITDVNPEENASIQNAPESGQSQSLAEERSQLLEKEYRNNILDDLLEVRAFLTQRLGEMRNADTSSLQHQVQAVSPFVLQQYAPENLENMLAEVSSAISLLTNQKTLDLIMILNSKRLVSTLEDKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCETTLSTVFDGRPVHIIGEINTLLSSSVSQLAG >OGLUM06G13680.1 pep chromosome:ALNU02000000:6:12497934:12502654:-1 gene:OGLUM06G13680 transcript:OGLUM06G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLWLLLLLLMASSTSSRSEMKAGEVIRRSQFPEDFFFGTASSAYQYEGAVREGGRGPSIWDTFTHNHPEKIANGSNGDIAIDSYHRYKEDVGIMKGLGLNAYRFSVSWPRILPNGKLSGGVNLEGIKYYNNLIDELISKGVEPFVTLFHWDSPQALEQQYGGFLSNLIVEDFRDYADICFREFGDRVKYWITFNEPWSFSIGGYSNGILAPGRCSSQGKSGCSKGDSGREPYIVAHNQLLAHAATVQIYREKYQGGQKGKIGIAIVSNWMIPYEDSKEDKHATKRALDFMFMDPLTKGDYPVSMRTLVGNRLPRFTKEQSKAINGSFDFIGLNYYTARYIQGTKQDSNSHKSYSTDSLTNERVERNGTDIGPKAGSSWLYIYPKGIEELLLYTKRTYNNPTIYITENGVDEVNNENFSLKEALIDTTRIEFYRQHLFHVQRALRQGVDVRGYFAWSLFDNFEWMDGYSVRFGINYIDYKDGLKRYPKRSSQWLQNFLHN >OGLUM06G13690.1 pep chromosome:ALNU02000000:6:12506978:12507415:-1 gene:OGLUM06G13690 transcript:OGLUM06G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGRGRKLTNGRSHEDKGSSGEEVVPARKRRGRPQKRIVDKVVDQAEAKNLAEGDDGDADYQQGEGEDGGAKPKASRTEKSSAGKGNKRNRLPKEEESSNLDLEENSSSTRSSNDESTRSNGFRQNGSRRKSTPRRAAEAGI >OGLUM06G13700.1 pep chromosome:ALNU02000000:6:12507588:12517572:-1 gene:OGLUM06G13700 transcript:OGLUM06G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYNGDEGGNGHDDDVYGHDGCTKDFLVSGPWCARRGGAVGADAAHPPPDSSSGNTSRVRFESLNLNDSDGWPEMATYAGMLQADDDNIEIPPPPIPILFLAAVSQIKLGELTEK >OGLUM06G13710.1 pep chromosome:ALNU02000000:6:12520453:12522434:1 gene:OGLUM06G13710 transcript:OGLUM06G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 1 [Source:Projected from Arabidopsis thaliana (AT3G54890) TAIR;Acc:AT3G54890] MGVGARGYRSQSAAGFGYPPSPGLVSGRSRRAPPLISASDSGVHSLATSFLLHLVGVFEDEEEEEKLAAAAAMATSGLRSCSAVGVPSLLAPSSNRSRLPVCAYATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVVRFLILISAWHGLRRHGRTELNRMLVQPGVLVPEALGLGNWVQAQEWAAEPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLASHLSDPWHNNIGDIIIPRTIYP >OGLUM06G13720.1 pep chromosome:ALNU02000000:6:12525278:12530550:-1 gene:OGLUM06G13720 transcript:OGLUM06G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEAPAPSPSPTAAANSRPRRVLEELSWDDSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGANPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIASYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKEEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >OGLUM06G13720.2 pep chromosome:ALNU02000000:6:12525278:12530550:-1 gene:OGLUM06G13720 transcript:OGLUM06G13720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEAPAPSPSPTAAANSRPRRVLEELSWDDSFVRELPGDPRSDAIPREVLHACYTKVSPSAPVDNPKLVAWSQSVADILDLDHKEFERPDFPQLFSGANPLVGSSPYAQCYGGHQFGSWAGQLGDGRAITLGEVINSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNSKEEPGAIVCRVAPSFLRFGSYQIHATRDKEDLEIVRHLADYTIRHHYPHLENIKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAFLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTSPLTAAELISKEEANYVMERYGTKFMDEYQSIMTRKLGLPKYNKQLIGKLLNNLAVDKVDYTNFFRLLSNVKADHNIPEKELLVPLKAALLDIGPERKEAWISWVQTYIEELVSSGVPDEERKAAMNSVNPKYVLRNYLCQTAIDAAEQGDYDEVRRLLKVMEHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >OGLUM06G13730.1 pep chromosome:ALNU02000000:6:12534818:12537737:-1 gene:OGLUM06G13730 transcript:OGLUM06G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEQSAEQFRGQAKLLFLPIGDTDAPHADRAAAPPDRRCRRPSHAPPGYVFIKENKGKLEIRNGTVPISSRLYFECQQTYSCIMADITNKLLLPNYRRTIDDKLFQRKVPGGIMRGFFLMVLSSRRHFSYAGFEQQPKKFVSPKIRLLNIEQELKYEKENAEIRL >OGLUM06G13740.1 pep chromosome:ALNU02000000:6:12538540:12539631:-1 gene:OGLUM06G13740 transcript:OGLUM06G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTAEGAQQPCESWASTGACTTRSLVAHGGKVKATPMYMRRKETLEATTPVSTCRGAPPQDSDDGGSGALLAGVLNSGWNDGRANSSKKSMADTTSCLASPTRHVAAERAIPASMRPTEVERVLKQHRQPQSQETSTTTVLRWHHGRRQLKLQVDDLVNIFTRESGQLK >OGLUM06G13750.1 pep chromosome:ALNU02000000:6:12561915:12568155:1 gene:OGLUM06G13750 transcript:OGLUM06G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKEILHMNAGHGETSYARNSTVQKTEQDRMKMLINEAITGLCEPTSTILPKSMAIADLGCSSGPNALTLVSAALDAIHHHCAQQQQPPPEVCIFLNDLPSNDFNSVAKSLATLKHSHGDLDDPVVITGIGMIPGSFYERLFPCGSLHFVCSSNSLHWLSKAPDDLKEGKIPMYDMVEHLRVSRRAAVGDAYARQFRKDFTQFLSLRAQELVTGGRMVISLYGRCSENPISRSNQAWQVVAVALNDMASRGIIDKEKLDSFYIPLYAPLENEVNEIIEDEGSFEINKMLVRNPFSGMDDATVSPKMIALSIRAVFESTVVLHFGSSEEIMDEFAKTVEQKLSSGSGWRAVLAAEYPLVLLCLSLTRVI >OGLUM06G13760.1 pep chromosome:ALNU02000000:6:12593262:12597875:1 gene:OGLUM06G13760 transcript:OGLUM06G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAAGAVSSLLGILRNEAQLLQRVGHDVEFIKEEMESMNSFLEHLARTAPPDGSHDEQVQTWMKQVRYLAHDCSNCIDHYLQRGDPAIHRARGGLRGYFWWAYWFVLEKVAQHKAAARLRELKERASDVGKRRMRYGVEIPGKVVPGAGGPASTALLPSSSSSCQAVAALPATQDDDEDRAGEQKAAAAAESSSSYYQQQDLEPPTLDNYFLDKIASWVEVRKSRESIRSIAVVASDNTASDIVSEGLTKAGVQFKHTVRINLPLVHYPSDYLGANEVLCYILRVCTIQKDNKDPNYVDNGIVRFKAWRQREQMIRIVSNKFEEHVPRRIQDLISKIEDMESKINVKTVGSEKTRQTYEEDSMNEGTDGGSKTIEGNAGGGRNAAGEKGGSQRARKREEGEARQLNKEVGAPRPKKKQAVERRLKKHEEKWKQAKDVLALEEQTLINNTAKELKQHMEGDMKTPTIKLLDTQYQAILREVFLTSNPEEATTAASATRIIHLVKQDILEEIQAIKSHGPREQKLGHDQVVSAIQDAKNKITQIGLKIKEQMIIKGMIDRINLYLKDGDTLFIIKCAKGSAVIVTTKNTQKASEFCYPPSEPITYSLVGLYHDIVLKLTQQLVNNEDDNNNSQILRDILDKCHPHEFCMKMFARALYANPNRSNKELGRLRDTLQISENSLDTKAKKIFKFSYRDLHREHKTCLLYLAIFPQGTNIRRSTLIARWLTEGLITKEDWPTAVRHAERCFDALIDRCLVSPGDISAKGEFKSCMVGDLIHGFITKIAKKQHILDARLSHIWAHHFSVFSGLRLRASDSIDMFVKKLYNYSSQLSLLKFLDLQGCQCFDKSSYLKAICNNISLLKYLSLRRTNITHLPSEINNLHDLEILDIPQTKVPEKETKFVLLLKLRRLLAGYTDPSPSFNDKGTNNRTFSCVRIPSKIEKMENMEVLSNVTASWYGYELKDIRKLWQLRKLGVVIKNKDRHLQNLFQAVGDLNECLQSISITITDTRSETTSKDSKILSDELYNRLIHPPKLLESWHQVEKKNLDVLADHPKLRSVRLRHDAYNDPSLTFKKEMFEHLKCLLVDGDNMKYMTDIIFEEGAAVELEKIVLSSTNVRSLRGVGRLPMLKELELEGNKSLFSFHGDVPLSGDGGAHQESNEPVSHSKDGAAPQKNNDVHVSPSEDGAAPHTSTDGQVPPSAEGPAPQIKTEVKITFKKGEFQQLKYFLFEDSKIVDIIIENGAVPELERIILLLTRKESQLTVSGSRAKLKEIEVKGDKSILLSLLKNANTIEKVILCDTSLNRDEAGRLAKKQNICCLVLSENSYEESELTFKKDEFPKLHLLTVRCSKIEKIIFNKGSAPNLEKIICSRPSFAELEPLPGIGNVEKLKELDLDGDNVPKQVKKDIRAHKNKPVLTPKKPQRQDQAPKEEHGDESWLRRGCASYFSKKKDQQ >OGLUM06G13770.1 pep chromosome:ALNU02000000:6:12620488:12622169:1 gene:OGLUM06G13770 transcript:OGLUM06G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQDVKNVFCMKGGQGESSYLKNSKAQLRDLQMMLYALEETLDKIAIPPRGPGKLLLTAADLGCSCGRSSLVVADAIVQHMTNKLCRGKHVDAAAADPEFCFYFSDLPSNDFNTLFSLLPPHAASSGDGSGRRYFAAAVPGSFHDRLFPERSIDVFTSTFSLHWLSQSPIRGRRLTTRGKVFVQGSSEETGAAFRRQFQSDMARFLRCRAAELKPGGAMFLVFVGRPSSASPTDLGRSFNLLGAMFEESWCDLVDEGLIDGGSMDSFNIPSYAATLEEFREAVDADGSFAVNRLEHVMGSRLAVDDDPHDRRAVGRRVANNQRSIFGPLVEAHIGRALTDELFARMERRGEELSNELVDEMGIHYIVCSLSLV >OGLUM06G13780.1 pep chromosome:ALNU02000000:6:12664679:12666222:-1 gene:OGLUM06G13780 transcript:OGLUM06G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRLEATAAATRSSRLVPPPATASTGDDGRPSRRIHRRGGLGPSDLAASDLHGDGLTATAGCRCDKCPSSPLLSPGGLAGGSIGVDVGDRRIWEMRWIWGIVLGDWGIEESMKRIGLNKLAQFEPVEEGLAVPCVDDSAQHASEEEDEPLWTNREQSSEALPKNLISTFSWCKTSKTGSGDLLSRSPVHADEQDGLDYK >OGLUM06G13790.1 pep chromosome:ALNU02000000:6:12691944:12695750:1 gene:OGLUM06G13790 transcript:OGLUM06G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVPIHMLMLLVATAFSWWGTRRKNITSLISPVWRGISKMPMEDVESVGSCSPCNSMIHNESFVHTEDQTQHFQGSPELKTSRGKMTMALLLVSYVLANFAFFGVAVGLVVFLRQVLHQENAEAANSVSMWMGTVYIFSLFCAFLSDSYMGRYITCIMFQFIFIVGLMLLSLLSWLLLVEPPGCGDGGGLRQCAAPSRRGVAVFYLSIYMAAFGNGGYQQSVATFGADQFDDADPGERRRKQAFFCLFYLSLNVGSLFSNSVLVFFEDRGRWVAGFWVSTAAAALALALFLLGTPRYRRVRPAGNPLTRIAQVFVAAYCKRHIVPPPGDHLHEVDGEGSAIRGVGKLAHSDQLRFLDKAATATEEDYHDGNAKNPWRLCTVTQVEEAKCVVSMVPIWICSIVYSVEFTQMSSLFVEQGAAMDTDILGLFNAPAASMSVFDVAGVLATLAFSHYVLVPAAARLTKNPRGVGELKRMGAGLVIALLGMVAAAVVEVHRRRRSGAGGRAMSVLWQAPQYAVMGASEVFVYVGQLEFFNVQSPEGVKSLGSSLCMASISLGNYASMVMVSAISGVASRRRTGGGTAGWILAELDRGHLDRFFITLAVLSAVDLVVFIVFARLFKGIEPEVEGISSSPQDDHIYIV >OGLUM06G13800.1 pep chromosome:ALNU02000000:6:12702720:12703042:-1 gene:OGLUM06G13800 transcript:OGLUM06G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMKAKAEDAASSAKAGVHKAKATAGEKVEKATTGDPMKKREAEERKEDRKLEAESDERVEKEGHADEKSGKHTFTTATG >OGLUM06G13810.1 pep chromosome:ALNU02000000:6:12711436:12711976:-1 gene:OGLUM06G13810 transcript:OGLUM06G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSGVTAGSSGGGSACPGTRQRGAAGSGDGGSAVARPAKEAAQGAAAASCQPGCRCGALNAKWVARWPGNRGAGGWHRSRSGGTVTGIGACPDGSAKGAGGGGSSSWLSVGTLALSGAPPLFCGEFLGWIEEAAHQRGSSGCRSNATQPGFSSGQIWRGGQRVVEWSGPKPALRGGG >OGLUM06G13820.1 pep chromosome:ALNU02000000:6:12717982:12720406:1 gene:OGLUM06G13820 transcript:OGLUM06G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRIRVLAALDQARTQYYHFKAIVIAGMGLFTDSYDLFCISPVMKIFGRVYYAPSGSVDGSGSGPGVTPPAVVSATVGVALLGAVAGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGLSVCRTRRCALASLCFFRFLLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILVSSAVTMAVAAAFDHYTGYPAPLDTPECADLAWRIILMAGAVPAALTYYWRMSMPETARYTALVERDVEKATNDIGRVLADLDLAAVAEEEVAAAALSPPPVTTPPPPRPSYGLFSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPWFPPAAKVNAFQEAFNVAKFQAVIAVASTIPGYFAAMLLIERAGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHAKTAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFRSTCHGLSGAAGKLGALVGSIGFLWASQQKDGAAAGHLPGIGMMYALFVLGGICLLGLALTYAFTPETMTRSLEENESSVQAQSQVGDGGSDAGNGSDGLRFHELNVLMEAATKSTVSMASSHLSMSPILPHRMSL >OGLUM06G13830.1 pep chromosome:ALNU02000000:6:12729524:12729940:-1 gene:OGLUM06G13830 transcript:OGLUM06G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGGLHASPPADSAAVVAVAKDAEYQKGVQKLVDLWSKLNPVAREFIPSSAAVSSLSRKALSEDAPVFDYNSIGSWNRGGKESGVDAYQQHRLGR >OGLUM06G13840.1 pep chromosome:ALNU02000000:6:12743831:12746125:-1 gene:OGLUM06G13840 transcript:OGLUM06G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIGVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKIVGRVYYSDGGARPGVTPPAVVSATVGVALLGAVIGNVVFGALGDRVGRRRVYGACLLLMVCSSVGSGFSVCRTRRCALASLCFFRFFLGVGVGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAATFDHYTGYPAPLDTPECADLAWRIILMAGAVPAVLTYYWRMSMPETARYTALVERDVVKATNDIGRVLADLDLGAVAEEEVAAALSRPPPPPRPSYGLLSRRFVRQHGRDLFACAAAWFLLDIPYYSSTLFQSQIYRPLFPAPGLINAFQEAFNVAKFQAVIAVASTIPGYFVAVLLIDRVGRRRLQMAGFLLMAVFLFALAGPYDGYWRDHGAHAGYIVLYSLTFFSANLGPNTTTFILPAELFPARFXHYNYSLSSENSRENFREYLGHKEYYKIFSANDF >OGLUM06G13850.1 pep chromosome:ALNU02000000:6:12747141:12747635:1 gene:OGLUM06G13850 transcript:OGLUM06G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELIASGHILHRPCHVGARCQRSHPPSSTPHAGAHRRRLHPPPSTPRRSSSPAVASFVIHVPRRSSSPTAASFTVHATSELVAGGRVLRRLDELARYVLVVLRADDGGEGSTFVLYFLICHRVCARLLLPGTGEELAVAGQCVDGVGVGVTAGVAAEELTVAG >OGLUM06G13860.1 pep chromosome:ALNU02000000:6:12750239:12751433:1 gene:OGLUM06G13860 transcript:OGLUM06G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVEEYTERDPKNKFILNTIISEFANRRTRGAFIAAGFGILVSSAVTMAVAAAFDHYAGHPAPLDTPECADLAWRIILMAGAVPAALTYYWRMPMPETARYTALVERDVVKATNDIGRVLADLDLAAVAEEEVARRRR >OGLUM06G13870.1 pep chromosome:ALNU02000000:6:12757972:12763490:1 gene:OGLUM06G13870 transcript:OGLUM06G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPSVPFPLLQAPVESTYRACTIPYRFASDNPRKATPVEIQWIDLFLNSVPSFRQRAENDPTVPDAPAKAEKFAQRYTAMLEELKKNPESNGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVHRNDEIEDDGKRAENLIRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFQNKWTKKSWEKAVIFVDNSGADIILGILPFARELLRHGTKVILAANDMPSINDVTYPELVEIINKLKDENGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAYLANDADLVVLEGMGRAIETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >OGLUM06G13880.1 pep chromosome:ALNU02000000:6:12784753:12786352:-1 gene:OGLUM06G13880 transcript:OGLUM06G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARPFAYLEVCDRSGSGEIQGGDRLDATGSIALRATTPSMSSGGLVADPSPEGPIAGLFGDSSSAYRLAVRSAEAGAFHLVHINCVTFYWWII >OGLUM06G13880.2 pep chromosome:ALNU02000000:6:12784488:12786352:-1 gene:OGLUM06G13880 transcript:OGLUM06G13880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAARPSGSGEIQGGDRLDATGSIALRATTPSMSSGGLVADPSPEGPIAGLFGDSSSAYRLAVRSAEAGAFHLVHIE >OGLUM06G13890.1 pep chromosome:ALNU02000000:6:12789899:12804557:-1 gene:OGLUM06G13890 transcript:OGLUM06G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKYSAVDLAQVRGTPSSGMEFSDREAADGAMAASTASAAPNIAVTLICRRRLVHLANTGAIPARWRTQVVVPLVRHLRIGSPPSPMGRDDLRKREIWGLEREWRRPPCREVAGRAGGRQEGGHRVGRWPAGEEIASQGGDLPGRSAARKEAKLTCSKPDSNMVI >OGLUM06G13900.1 pep chromosome:ALNU02000000:6:12809298:12810696:1 gene:OGLUM06G13900 transcript:OGLUM06G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARIGGGVEAGDQAEIGGGEGAEEIGEEGVASDAGGRDRRGLVDDLMGVEKIILTKKTKKRKKIKCTSDKYIAADF >OGLUM06G13910.1 pep chromosome:ALNU02000000:6:12811757:12813218:-1 gene:OGLUM06G13910 transcript:OGLUM06G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSGSEASAGCLSPSLHTSTRRRPLRDGDLNLEVSFDPTQTQSTVTATGGGEEGEPVEQRWEGEGRVRQRQWRQESKRGGNHLQK >OGLUM06G13920.1 pep chromosome:ALNU02000000:6:12828412:12830037:1 gene:OGLUM06G13920 transcript:OGLUM06G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAFLPDGLISHEHGHESLGAPPQAGTMAWYSLVLMTSLLFPLLVLLVMGCYVTRSGAKLLDKLPWVPGRLPVIGHLHLIGSLPHISLRDLATKHSPDMMLLHLGAVPTLVVSSSRVAQSILRTHDDIFASRPYSPIANILFYGATDVGFSPYNEYWRQIKKITTTHLLTVKKVRSYVSARQREVRVVMARITEAASKHVVVDLTEMLSCYSNNIVCHAVCGKFSQKEGWDQLLRELVKVNTSLLGSFNIEDYFPSFTRLAAVRRLLLSCAKAHNINKRWDQLLEKLIDDHTTQHIRSSSMLNHYDEEAGFIDVLLSIQHEYGLTKDNIKANLAAMLMAGTDTSFIELEYAMAELMQKPHVMGKLQAEVRRVMLKGQDIVTEEQLGCMPYLKAVIKETLRLHPPAPLLMPHLSMSDCNINGYTIPSGTRVIVNVWALARDSNYWENADEFIPERFIVNTSGDYNGNNFHFLPFGSGRRICPGINFAIATIKIMLANLVYRFDWELPADQAAKGGIDMTETFGVAVHRKEKLLLIPHLHLR >OGLUM06G13930.1 pep chromosome:ALNU02000000:6:12835738:12840388:-1 gene:OGLUM06G13930 transcript:OGLUM06G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT hook motif DNA-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G33620) TAIR;Acc:AT2G33620] MSAAATATASEAAAYGGVGMSKSGALQPQPPHGAAAAVRLAYTHDGTAVYKHTPPPPVYQTPAAVAAPSPPVRGNGGAPASAEQHKRKRGRPRKYAVTDVPLAVVPPSPPKAAAAAGAGAAQSPATPTLPPGFSSGLAAYGGAAASQPAPRQAPPASGRVLPHKKRGRPPGSGNKQQQRPQHKKAAAPGSSVIGLKPSVITVQVGEDVVSRVMSFTKNGWAVCVLSANGAVSNMTLRQAGSSGATTVNYEGHFEILSLSGSYLLSESVGLSSRAGGLSVSLAGPDGRVLGGGVAGPLNAATPVQVVIGSFLADVKKGHKQAMPSGAPYPGVSTPTSRGTPSGSSGGPGSPLNRSASGSFNTSNQQALADFPWR >OGLUM06G13940.1 pep chromosome:ALNU02000000:6:12858818:12859489:-1 gene:OGLUM06G13940 transcript:OGLUM06G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAPAAAVVVVLAFMAVMVVVPVFGADGGDGRVQVQSLERPVGGGGGGNGTSYNATSVAGRKDGGGGGGGGGGSSGGSSWSYGWGWGWGTDGGGGGSIGGGGGGEGGGGGGGGGGGSGGRRCWWGCGNGRRRHKGGKEGGGGGEGRKGIRTEREREGDGGGGAGRRHGRRPSYSSSLYRVGEYARCTAATGRCRGALLVCPMQCEGPCFYDCDANCKAHCRF >OGLUM06G13950.1 pep chromosome:ALNU02000000:6:12868937:12875061:1 gene:OGLUM06G13950 transcript:OGLUM06G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8Y6] MGSVAMDSDYGVPRELSALQKARALYRPDLPPCLQGTTVKVEYGDAAIAPDVAGAHVISHAFPHTYGQPLAHFLRKTAAVPDATVITEHPVVRVGVVFSGRQSPGGHNVIWGLHDAIKAHNANSKLIGFLGGTDGLFAQKTLEISDEVLSSYKNQGGYDLLGRTRDQIRTTEQVNAAMTACQALKLDALVIIGGVTSNTDAAQLAETFAESKCSTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFVRLMGRKASHVALECALQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHDKGVSVENISSHLSPWASALFEFLPPFIRKQIETEKLLAQLVEAEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPAASQIGKPAVHMASIDLKGKPYELLRQNSSSFLMEDIYRNPGPLQFEGPGGETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAALSAMASVTEMLTIMSSPSFSGQATI >OGLUM06G13960.1 pep chromosome:ALNU02000000:6:12875327:12878763:1 gene:OGLUM06G13960 transcript:OGLUM06G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAAAAASSSPSSGITSRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKALHRYLEVRGIKHSLHDWLCERVIG >OGLUM06G13960.2 pep chromosome:ALNU02000000:6:12875327:12878710:1 gene:OGLUM06G13960 transcript:OGLUM06G13960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASRAASLLRRTSSALSRRCAHSSSAAAPSRPPVPLPRFRAAAAAASSSPSSGITSRRFLASKSPSSSSPSKASADENLVRVIDSEIECIVQSEEGAASAKQIDLPEDFPFEIIDNPGDQSITLKREIAGETIKATVYTNFDTQDLNEDGDDNENNEESFKPAIQMVVTVEKPEASILEFECHFNDDELAIESMRMLDQNNSDAENLDLDESLQKALHRYLEVRGIKHSLHDWLCEYMMSKDEKEYLVWLKSMKEFVGN >OGLUM06G13970.1 pep chromosome:ALNU02000000:6:12884087:12887042:1 gene:OGLUM06G13970 transcript:OGLUM06G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGNDVVAAAAAGERPMGAARVVAEGGATVFRGADYSLPRTTVALALWLGGIHFNVLLVLASLFLFPLRVAAMVVAFQLLFMLIPLNDKDKLGRKIARNVAPFPPIAENFSHLFLGCWTDREHVPCCRFICRYAMGYFPISLHVEDYKCFDPNRAYVFGFEPHSVLPIGVAALADLVGFMPLPKIKVLASSAVFYTPFLRQIWTWLGLIPATRKNFQSYLGAGYSCIIVPGGVQEILHMDHDSEIAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPKGKLFVKIARAIKFTPIVFWGRYGTPIPFPTPMHVVVGRPIEVEKNSQPTIDEINEVHEQFTVALQDLFDKYKTETGYPGLHLRVL >OGLUM06G13980.1 pep chromosome:ALNU02000000:6:12910235:12911209:1 gene:OGLUM06G13980 transcript:OGLUM06G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDPTGGGGGGGVAAHYLHMLRAQQHQPLSPAGDVKAERSMLSPDESPGADADLGSDHPTSSAMVAAEDSGGGGGSGSGGPMRRPRGRPLGSKNKPKPPIIVTRDSPNAFHSHVLEVAAGTDIVECVCEFARRRGRGVSVLSGGGAVANVALRQPGASPPGSLVATMRGQFEILSLTGTVLPPPAPPSASGLTVFLSGGQGQVVGGSVAGQLIAAGPVFLMAASFANAVYERLPLDGEDPEAEAAAATPPGDAAQPTGPPPPQQQPTASQSSEVTAGDGGGGGGLGMYLGGHVGSYQQQQQQLPGPGDNFGSWSGSIRPPPF >OGLUM06G13990.1 pep chromosome:ALNU02000000:6:12923608:12925835:1 gene:OGLUM06G13990 transcript:OGLUM06G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMESGDVMESAIEYARVSGDAMDSGVCVDAAYQCEEDGEGHRGINLNKDLPDGHRGGRTQGQHHDHLPPGAAHLGRTAVRAPPSSCCLSAEAHHGHKAEALLLFITVDAGVGAHLEQTSTPQPTSSLEYNIDGRNQYLTTVNTIPNLARGGSAPPKAIAPDQQGRGKGAREELRYRICEALVRGYRSYRQGRSIALMLPPTSSAMDPLLSWVQAADPPSLRPLFPSLRSSRP >OGLUM06G14000.1 pep chromosome:ALNU02000000:6:12933073:12937015:-1 gene:OGLUM06G14000 transcript:OGLUM06G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A8Z2] MTRSTRASARSSPITARDASPRQEEEKPLHLGRPPPPPPPPLLRRSRQFAPAAAAASGSATAAAASANGMEEAAAGPLRARVCIIGSGPAAHTAAVYAARAELKPVLFEGFLANDIAAGGQLTTTTDVENFPGFPDGILGADLMDRCRAQSVRFGTRILTETVTAVDLSSRPFRVASGDTVVHADAVVVATGAVARRLHFAGSDAFWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSRVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGADGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQEDKTD >OGLUM06G14010.1 pep chromosome:ALNU02000000:6:12946176:12946673:-1 gene:OGLUM06G14010 transcript:OGLUM06G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYSSSSYARLGRRWWRRPAAARGFRLIPTRRLSVRRLRARLWTLLGILGRCVRSVRLLTRGLVVPSGGGGSTSPSARGKGRRALAVLGGGKDVVAAASGGGKLHADGTAGGGNNKAAARRPPCMRSNSFYARAVAECLEFIKGSNSNAGGGGGATPARDNRVK >OGLUM06G14020.1 pep chromosome:ALNU02000000:6:12997235:12998044:1 gene:OGLUM06G14020 transcript:OGLUM06G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCERLGDLAVDPAAGGEWRAAGRPRIGSISGGSSRADPVSVTTEGSGSSGFDGGGARWSRELGCRCGSGKEDGCHGQRQRRRLVQICRLRWRARASEGTAVEMASHPRVGGEGLAVVGARHCCPPPPHPYELPSSLSSPSSDEEAAAAGWICAASAQPFAVPLPSLRGEGTSSSDVIAADDN >OGLUM06G14030.1 pep chromosome:ALNU02000000:6:13073420:13076082:1 gene:OGLUM06G14030 transcript:OGLUM06G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALHQTLLLLLFVSIAIHRAVAQTTTSTAVHGDGKRFVTYSFPSFANALLHLPANLTVLNNASISQGALQITPDSSNSADGYLVNQTGRVFFSTPFTLWSPAPAGGGNGNGTYVASFNMVFRVNIFRTNTSDPGEGVAFVVASGLDPPPPGSYGGFLGLTNASTDGDDANRFVALELDTVKQGYDPDDNHVGLDVNGVRSVKAVPLAPFGIKLGAANASNFFVWVDYDGTSRHVWMYMARSDDGVPSPEPPSPVLDAPLDLFAFVAEKAYFGFSASTGTRFQLNCLHMWNMTVELLDDGSRSSGGGQTRRKLGLGVGVPCGVAALAAGAVVAFLYIKKRRRRVGDDPESLSSPAAFKFNKSSINLRSLAGTPKEFEYTELRKGTEDFAAKNKLGQGGFGVVYKAVVAGDSDGESVEVAVKQFSAANTKGQEDFLAELSIINRLRHRNLVRLRGWCHQNGVLLLVYDYMPNGSLDKHLFGGAAAAPVLSWEQRYNIVAGVAAALNYLHHEYDQRVIHRDIKPSNIMLDSAFGARLGDFGLARALDSDKTSYTEMVGVPGTMGYIAPECFHTGRATRESDVFGLGAVLLEVACGRRVSFGAGGDGGAIGGCSRLLEWVWRLHGAGRILDAVDPKLAGGAFDADDAERLLLLGLACSHPDPGARPTAKAVVQVLARAVPAPAVPPSKPAFMWPALSGADCDDSDGGGAGEMSSRHSARTTSTEQTSSTYYASSSSYSSHGCTRTQVTSSGDAIADETRYMSIG >OGLUM06G14040.1 pep chromosome:ALNU02000000:6:13101997:13105937:1 gene:OGLUM06G14040 transcript:OGLUM06G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAGGRSKDGGGGGAALLGGGGVTCFDVKSFVASLALLTLIMALWQLHPYQPLVLLPAALSSSPCPLLPRSPTSGIAVSFLSTAAATNSTDTATVPTTTAAARVAATTRPTLPARQRERDPNKRELRPYGTAAALFVQMGAYRGGPRTFAVVGLASKPAHVFSNPYFKCEWLPNAPAGAPPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNADNLGGKLLVHAYYSTASRRYERFVALEEAPGSYDDARFRPPFAYDYLYCGSSLYGNLSAARMREWLAYHARFFGPRSHFVLHDAGGVTPEVRAVLDPWVSAGRVTVQDIRAQEDYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYIYLPDGRALEDVLAQLQPYTQFTIEQNPMSSKLCIDDPTEDYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVYGRTTHKTESLIRYYHYHNSINVMGEPCRKFVPKPANGSKVMFEGIPYVYDDNMKRLAGEIRRFEKQTIGDVHT >OGLUM06G14050.1 pep chromosome:ALNU02000000:6:13106913:13108457:-1 gene:OGLUM06G14050 transcript:OGLUM06G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWIFYSLTLLLCLACSLLLRARASAAAVEVAPLPPGPRTVPVLCPLLFLARRDFDVEPTLRRIAAEHGPVFTFAPLGPSRPTIFVAARGPAHRALVQRGAAFASRPRGVSPASVLLTSGGRNVSSAQHGPIWRALRRCISSGVLNPARLRAFSDARRWVLEALVSHIRGEGGAPLTVMEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRELLSNFLSFEVFAFLPPITKLVFRRRWNKLVSLRRRQEELFAPLIRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDVEIVSLCSEFMSAGTDTTATALQWILANLVKNPAKQDKLREEITAAAVDGEVREEDLQAMPYLKAVVLEGLRRHPPGHFLLPHTVEEETTLDGYRVPANTPVNFAVGEIGLDSEVWTSPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRICPGMALALLHLEYFVANLVREFEWREVAGDEVDLTQKLQFTVVMKRPLKATAVPLRGDRSAAAAITGSA >OGLUM06G14060.1 pep chromosome:ALNU02000000:6:13131376:13133675:1 gene:OGLUM06G14060 transcript:OGLUM06G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDLHSRLSPRPRPFRLSLSSGSPQASSRDHLRAGHGVTSASTSGSVASAATRDSANAEGLRPSWLSASALSHGSVTSAATRNSYAVDVATSRGSPPPPPPEEARFARSTREKLGGKCGIDCFGVKKMMESGPVVAADRVWRDAYGVSTENWTTKVEIKVKNVSEHANHPSKMETLVSSFCDPQAYRFDAAKNEHYVCSFAKSVESIPRSRYLKLKYETADGVCMKSFLVNLEARLYTEAEGDIGAEEPDPEMYKDPDVVREAFEMQARFQRIAAVVEEGKHAGGKKV >OGLUM06G14080.1 pep chromosome:ALNU02000000:6:13146290:13146736:1 gene:OGLUM06G14080 transcript:OGLUM06G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRKITSDEEEAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWASPAPGEKEKQQQQQVQGVVAGGDGEEDDDEYGGGGGDERGGRRWRRQVAVAVVEWGEEKLLLLRRVKKRLALYLIGCHYAGHRAALPFKSSSASCTAAMLASSR >OGLUM06G14090.1 pep chromosome:ALNU02000000:6:13163891:13164454:-1 gene:OGLUM06G14090 transcript:OGLUM06G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMEDNHSNSSQSLWRLSLFYPYLESVIADVQSSVLVDPVYVCVECSEVHRNQQTMAAHCRSHIRSDGMEKGTVRHIKYNPDHTFSLLSHQSSNKIYYQVTVPNYPNNPNSSEIGVVWASDILKKCVDLGYLQHPASVNASSAVFVPAATPTALDLTLRLGPRSTAGSTNRQIVEALFAGSGGSA >OGLUM06G14100.1 pep chromosome:ALNU02000000:6:13174276:13176653:1 gene:OGLUM06G14100 transcript:OGLUM06G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLVFSAAPSKLGKNHGIQTDGTYESRPRGNKLCSKLHSSEKLLIPSKTHLQNAEQNRMRPLIEDAIADLVCSRSMVIADLGCSSGPNALALASIAVDAFRRRRLALRRPPAPPELCVLLNDLPDNDFATVVKSLVEFRRNNGDEPVILTGVVPGSFYGRLFAAESLHLVCSSNSLHWLSEQAPEDLKMNGIPAYDVDANVRRERRAVVVGAYARQFRKDFMAFLKMRAVELVPGGRMVLSLAGRRSVDVASELTHAWESTAMILSDMVTMGVIDKEKFETFYIPIYGPSDEEIRQIIQEEGSFLIREMQVPELTSGAYSALITPARVASMLRAAFDPIIVQHFGSTGCDREGIMDEFMRTAERRWRFEGSLQDELAQNPRGMLHVSLEKKPS >OGLUM06G14110.1 pep chromosome:ALNU02000000:6:13200483:13202293:1 gene:OGLUM06G14110 transcript:OGLUM06G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVFCCLLALVPLLHCLVTLFLHGSRGSNLRLPPGPWRLPLIGSVHHLFGELPHRALRDLARRHGPLMLLAFGDAPVVVVASTAAAAREILRTHDDNFSSRPLSAVVKACTRRGAGITFAPYGEHWRQVRKICRLELLSPRRILAFRAIREEEAARLVRAIGVASPPLVTNLSELLGNYVTDTTVHIVMGERFRERDALLRYVDEAVRLAGSLTMADLFPSSRLARAMSSTTLRRAEAFVESLMEFMDRVIREHLEKKRSCQGGEREEDLIDVLLRLQAEGSLHFELTMGIIRAVIFDLFSGGSETATTTLQWAMAELMRNPGVMSRAQAEVREAYKDKMEVTEEGLTNLTYLQCIIKETLRLHTPGPLALPRECQEQCQILGYDIPKGATVLVNVWAICTDNEFWDESEKFMPERFEGSTIEHKGNNFEFIPFGAGRRICPGMQFGIANIELALANLLFHFDWTLPEGTLHSDLDMTETMGITARRKEDLYVHAIPFVQLP >OGLUM06G14120.1 pep chromosome:ALNU02000000:6:13213548:13215650:1 gene:OGLUM06G14120 transcript:OGLUM06G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGERSAAAREMAMEMMAPDQRQMGSSCSLLGRFISRVFKCRGRQGRMRGERMDYYGATAYPAAQTCYVSPAAPARAVAFATANAMRPEPMQAHAVAAMPMPGATYGAASPRPGGGKRKKKKSKNKRVRFAPAGAEPVPTDAPPPAAHYAPPAAAAASGGGQLHHQQHYPSADAAAEPYSTAAHGHGHGRYAYAPSPLARWEMLGSAGTPRRHEYFSGEYRWCYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >OGLUM06G14120.2 pep chromosome:ALNU02000000:6:13213855:13215650:1 gene:OGLUM06G14120 transcript:OGLUM06G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQMMYAGERSAAAREMAMEMMAPDQRQMGSSCSLLGRFISRVFKCRGRQGRMRGERMDYYGATAYPAAQTCYVSPAAPARAVAFATANAMRPEPMQAHAVAAMPMPGATYGAASPRPGGGKRKKKKSKNKRVRFAPAGAEPVPTDAPPPAAHYAPPAAAAASGGGQLHHQQHYPSADAAAEPYSTAAHGHGHGRYAYAPSPLARWEMLGSAGTPRRHEYFSGEYRWCYPTPVREGIYSLATDANRLTTIFSEENPNACAIV >OGLUM06G14130.1 pep chromosome:ALNU02000000:6:13219197:13219785:-1 gene:OGLUM06G14130 transcript:OGLUM06G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGDDEEEGMSIQLLACQRSIEDALIGEQNINESMKLESWKHDADPCIDLAITTYLLAVGFDKVKDGGVESPRFSPEYPTLAAVKKFVRPPLLC >OGLUM06G14140.1 pep chromosome:ALNU02000000:6:13239979:13251712:1 gene:OGLUM06G14140 transcript:OGLUM06G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein [Source:Projected from Arabidopsis thaliana (AT3G52200) TAIR;Acc:AT3G52200] MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCQGFLGGHWAMRFFFKAFISSVTGQTLQAIGPAWLLWQQLIGLQQQAMGTVSACSSWIRPTAREQGPWFPPHLVVGMPALSPTMNQGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVETDAAKESSIITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGGKFFTELSQNFGDIRRLLL >OGLUM06G14140.2 pep chromosome:ALNU02000000:6:13239979:13251817:1 gene:OGLUM06G14140 transcript:OGLUM06G14140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein [Source:Projected from Arabidopsis thaliana (AT3G52200) TAIR;Acc:AT3G52200] MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCQGFLGGHWAMRFFFKAFISSVTGQTLQGSHGNSLVILNRVSSSSGGWDACIIPYYGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVETDAAKESSIITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGQEKSFLCSSELGCFTSSPYKSNQFIFIFLIRLNRHFQVFFFLINNTGINAPRILQIQCHFVFMWLCNI >OGLUM06G14140.3 pep chromosome:ALNU02000000:6:13239979:13251817:1 gene:OGLUM06G14140 transcript:OGLUM06G14140.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase, long form protein [Source:Projected from Arabidopsis thaliana (AT3G52200) TAIR;Acc:AT3G52200] MGSLNTVRSPDPTRRAEYRVKEKEISRLLLVVSSAPSRAAAAKTSRVAAEQGRAGRRSPSGEQRRGGILILVGTCQGFLGGHWAMRFFFKAFISSVTGQTLQAIGPAWLLWQQLIGLQQQAMGTVSACSSWIRPTAREQGPWFPPHLVVGMPALSPTMNQGNIAKWRKQEGEKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEDLEDIKNIPADASFGGEQKEQSIASEAQKVETDAAKESSIITRISPAAKLLIKEHRLDQSVLNASGPRGTLLKGDVLAALKLGASSSSTKQKNAPAAPSSQPTHDFQAQSVTIPQQNDAYEDIPNSQIRKVIAKRLLESKQTTPHLYLSQDVILDPLLAFRNELKEQHGVKVSVNDIVIKAVALALRNVPEANAYWNNDKEQAQKCVSVDISIAVATEKIKRLYQQYPQSISNLGMYPVDHFCAIINPPQSGILAVGRGNKIIEPVVDSDGTEKATVVTKMSLTLSADHRVFDGQVGQEKSFLCSSELGCFTSSPYKSNQFIFIFLIRLNRHFQVFFFLINNTGINAPRILQIQCHFVFMWLCNI >OGLUM06G14150.1 pep chromosome:ALNU02000000:6:13254826:13255038:-1 gene:OGLUM06G14150 transcript:OGLUM06G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGGSDPMECDGSHGGLGRRRRRRPTRMATQWSAIVAMTYEASGGAAATDEGDDGSNGRGWRLSGVRQ >OGLUM06G14160.1 pep chromosome:ALNU02000000:6:13255501:13259506:1 gene:OGLUM06G14160 transcript:OGLUM06G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPEFDPADVRAGRDLIHRLTADAAGIQRRVLREILSRNSGTEYLRRFLGGAAGDDDDVRDAFKRRVPVSGYEDVKPYVDRVASGGEPSSAPTPSPASAVVGINPSSLPSLTVPSNFHANGGSSGTSGGQQKLLPSTAEELDRKVFFYAVRALVRNMSLHTDHGEDDDGGGGEGMYLMFAFHGDRTLSGLPIQSALTTYYHSRQFQECDIGRFDKCTSPLEAILCPYGEQSMYCQLLCGLLHRCRVDRVGASFAAGLVRGIKFLENHWEEMCSNIRSGQLSDWITHAPLRDAVTGQYLQGSDPALADEIASECARKPWDGIVRRLWPRARYIRTIVTGSMSQHIPILEVYGGGLPYRVGDLFTVSGFYNATHCFTSQDGMIAS >OGLUM06G14170.1 pep chromosome:ALNU02000000:6:13260016:13262731:-1 gene:OGLUM06G14170 transcript:OGLUM06G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQVKQWSSRSLEGSALKRLFSEIRDVKGELESIRAFLQAAERFKDADETTSAFVKQIRRLAFGIEDAVDEFTYQLGEGGGRMPFKRMCKIGTWSRLAANLQDIKVSLKSAAERRIRYDLKGVVVRGVKSVVGSSSNSNWRSDSVHFKRDDDLVGVDKNRDLLMRWVQDQQQRHRIVSVWGMGGIGKTALVANVYNAVKDDFDTCAWITVSQSYDADDLLRTTVQEFRKNDRKKDFPDDEGASSYRRLVETIRSYLENKRYVLVLDDVWSTNVWFDSKDAFGGANIIGRIILTSRNYDVALLAPETNIINFAAIEAFWKNGNRDCPPELLQLAQNFVDKCHGLPIAIVCIGRLLSFQGSTHSDWEKVHKNLEMQLTNNSVMDMMNIILKISLEDLPHNIKNCFLYCSMFPEAFVMKTKSLVRLWVAEGFIDETEQKSPEETAEDYLTELVNRCLLLVMKRNESGCVKEFQMHDVLRVLALSKAREQQFCIAFNHSSTHLIGEARRLSVQRGDIAQIAGHAPHLRSLLLLKNSPTFTSLTTISRSAKLLSVLDLTDSSIDRLPKEVFGLFNLRFLGLRRTKITKLPRSIGRLKNLLVLDAFKGKIVKLPLEITKLHKLTHLIVTSKPVVGSLQFVPSIGVPAPIGICSLTSLRTLLMMEASSELVHHLGALVQLRTFRISKVQSCHCEHLFLAITNMIHLTRLGIQADSSQEVLNLEALRPPPLLQKLYLKGTLSKESLPHFMSLSNLNNLGSLRLVGSRLDRDTFLNLERLPHLVKLQLYDAYDGKNIYFHENSFPKLRELSIRGAPHLNEIEMKRGAVASLTDLKLLVCPNLKQLPYGIEHVRTLEELTLDRAAEELVGRVRQKTETVISHVHRVYVGFSRNGVLAAERIQ >OGLUM06G14180.1 pep chromosome:ALNU02000000:6:13262907:13281128:-1 gene:OGLUM06G14180 transcript:OGLUM06G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSDAGKYDPFEEQEAVNKTRPDQIYELLDTGFSLGNLTGHEVFQTTKGIDEGLAVHRKMDGCPHDLRANPPWLAVLVMEMEGLSFGQGVTIASPLFKKALISVDLGHLTYTCRGYRKLVSLGNCLLTKRRHACAVYLPSDIYMSN >OGLUM06G14190.1 pep chromosome:ALNU02000000:6:13268325:13273994:1 gene:OGLUM06G14190 transcript:OGLUM06G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTAATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLARNEFVYSTVITGFCKSGEIDCALKVWEAMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFREMIDSKCAPNIITYGSMIQGYFKIGDTSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMMVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASGHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEMVVRDVCRRKRGSQLVHTAFGGASAMSRHLLSGPGQH >OGLUM06G14190.2 pep chromosome:ALNU02000000:6:13268325:13274656:1 gene:OGLUM06G14190 transcript:OGLUM06G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGVVAAEAFNPVLRAMLRAGDVKGAAKLFGFMQLKGCVPTAATYNVLVHGLLVCGRAGAAMGVMRRMEREGVVPGVMTYGAVVDGLVRCGRVKDAWKVAEEMERNGLARNEFVYSTVITGFCKSGEIDCALKVWEAMVASPVRPNVVLYSAMIGGLANFGKMTEAELLFREMIDSKCAPNIITYGSMIQGYFKIGDTSRALSVWEEMIGAGCVPNAVSYSILINGLCNVGRLKDAMMVWKHMLDRGCAPDTIAYTSMIKGLCVSGMVDGGLRLFYDMLASGHADPDVISYNVLLDGLLLAKDLPRAMDLLNRMLDQGCDPDTVTCNIFLREFGAGERKGREFLEGLVVRLCDRRRNMAAGEVLMVMLAKYIVPEAPIWEMVVRDVCRRKRGSQLVHTAFGGARLTS >OGLUM06G14200.1 pep chromosome:ALNU02000000:6:13281150:13284653:-1 gene:OGLUM06G14200 transcript:OGLUM06G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQDGSAAAAAAAFTFAVAAAGSDSAFLHGTTARDDAPCFPAPAAADDTRLAARCSRRVHVLISAATACRRLAPPAITLRSLAAAVVAFSLLASSLICEAFPFAGFPFCFGGGGFD >OGLUM06G14210.1 pep chromosome:ALNU02000000:6:13281273:13281695:1 gene:OGLUM06G14210 transcript:OGLUM06G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGASLLHAVAALMSTCTRRLQRAARRVSSAAAGAGKQGASSRAVVPWRKALSLPAAATAKVKAAAAAAAAARREEGDSGGLWRKEILMGERCQPLDFSGVIYYDADGRRLAHPPPPRSPMRSPLPVSGKLAANARAAY >OGLUM06G14220.1 pep chromosome:ALNU02000000:6:13284795:13291499:-1 gene:OGLUM06G14220 transcript:OGLUM06G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAYTLRLSPPPPSPSPRRQQQHHAPLLPQRPRSRRGATARAAAAASWAPTDRGSDDGLGGWWLPVPEQQQQQKQPAERGREVGIGIAGSRRALAVGLGASAAIALVGMMWHLPSSRKCLQQFVHAPLHYVQEKLSTLESKETPEEDAGDREWDNIDVSKTANDERVDTKTDDSSQNHMPAGGVHVLFRAPVDPMHEEAFSILKKLQIIEKDASSSDFCSRREFARWFIKLHSKLERKKMHRIIPNRLTFGSVRSAFDDIDADDPDFLYIQSLGESGIVSSKLSNFLGTSTSGSSSDSGNSNFLPNSYLSRFDLVNWKALVEHPFATELDQKMLSKNVRILDLRAWPDVPSSILIDLMGGEQSIISKVFGNTRCLQPHKPVTKAQAAAALTSGRMEEVIRDELNRLEAENQSQLSVMGEIMEELINRGDIKRYWEDKMKVEEIREVAVDKQLQHVLQELANEKTDREKELAVLLKERTALEHQNQELMNLRSEIDGMYDRLAMESLEVMTEEQNLEKLSFDVNRKHQAVSESKSYLEAEKEALTMLRSWVEEEAARVHERAEVLERAILMLPSSKDAVSPGQLSFTLVYTIPFE >OGLUM06G14230.1 pep chromosome:ALNU02000000:6:13294074:13297122:-1 gene:OGLUM06G14230 transcript:OGLUM06G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57180) TAIR;Acc:AT3G57180] MAKPLLLPATVAAAAAARLPSRLAVGAAPPFRVLPFFLCPPPQSRSLSFSPVSAVSTAGKRGRSPPPPPSPVISEGRDDEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRLSDDEMGEDGSPPLAAEPDGFLGDDEEDGAPSESDLAAELDGLDSDLDEFLEEEDENGEDGAEMKADIDAKIDGFSSDWDSDWDEEMEDEEEKWRKELDGFTPPGVGYGKITEETLERWKKEKLSKSERKRRAREAKKAEAEEDAAVVCARCHSLRNYGHVKNDKAENLIPDFDFDRFISSRLMKRSAGTPVIVMVADCADFDGSFPKRAAKSLFKALEGRGTSKLSETPRLVLVGTKVDLLPWQQMGVRLEKWVRGRAKAFGAPKLDAVFLISVHKDLSVRNLISYVKELAGPRSNVWVIGAQNAGKSTLINAFAKKQGVKITRLTEAAVPGTTLGILRITGVLPAKAKMYDTPGLLHPYIMSMRLNSEERKMVEIRKELRPRSFRVKAGQSVHIGGLTRLDVLKASVQTIYITVWASPSVSLHLGKTENAEELRDKHFGIRLQPPIRPERVAELGHWTERQIDVSGVSWDVNSMDIAISGLGWYSLGLKGNATVAVWTFDGIDVTRRDAMILHRAQFLERPGFWLPIAIANAIGEETRKKNEKRKKAEQRDDLLLEESAEDDVEVLI >OGLUM06G14240.1 pep chromosome:ALNU02000000:6:13319429:13320028:-1 gene:OGLUM06G14240 transcript:OGLUM06G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLAGERRTTSATTAPSSWLAVAARFAVGMVVLDGWQYAWHRWMHTNWFLYRRVHSWHHRLVAPYAFGAQYNHPAEGLLLDTVGGAVAFLASGMSPRASIVFFSPCTAKGVNDHCGLWLPAASPLQRVFRNNAAYHDVHHQRRGGRYNFSQPFFVTWDKVFGTHMPYVVEERPGGGLQVRPVDMSPSSATAAAGAGGK >OGLUM06G14250.1 pep chromosome:ALNU02000000:6:13359977:13360693:1 gene:OGLUM06G14250 transcript:OGLUM06G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRKIEIKRIKNEEARQVCFSKRRPSVFKKASELYTVCGAKVAMLVKSPAGKFFSFGAPSVGFVLSRFHATTTSRKHSSMGVTIQHDNSATIKLHELNQQHIELQNQLQAQNEKMKALQEVAKKESGGKVMGWLNSKVEDICQEDLEEFKMVLESLKCLTRGIINQLFQNYAMFSNMMRVQHCVTALPNQQFLPSSEDVKPMIHHVPSSSYGWNTSIDSKPNSSDAHVVGARRYFPK >OGLUM06G14260.1 pep chromosome:ALNU02000000:6:13418280:13422430:-1 gene:OGLUM06G14260 transcript:OGLUM06G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNNTVTVDGGGRLRLRHCFGPHCRTLTSKEVFTWANRNNQRLLHVGDIDRISKSYICTSCSMWLAAEDRVESAGDGGMASYDVEAFMRVNLLLLNEQMWEAGSK >OGLUM06G14270.1 pep chromosome:ALNU02000000:6:13423908:13426964:1 gene:OGLUM06G14270 transcript:OGLUM06G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISENFNHEEPNHEAGDIGKRTAIWGTMVCACDGDCFSPAALCISFQIL >OGLUM06G14280.1 pep chromosome:ALNU02000000:6:13439267:13443939:1 gene:OGLUM06G14280 transcript:OGLUM06G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAARRSGGRLTEEVNMMVALSGRKRRLQAATMVALCFLSSICVSTAQFKPADNYLVDCGSSKSTTLGTRTFAADGAAPVKVDTSLEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFVRLYFFAFAYQSYDLSTAKFTVSTQEMLLLSDFQQPDKTAPLFKEYSLNITQDKLIISFKPSNGIAFINAIEVVSVPDDLIGDSAPMVNPMQQYSGLSTQPLETVYRVNMGGPKVTADNDTLSRTWVTDKKYLVNPSVTREVNGGKVNYMKGGGSTPLIAPDIVYSTATELAASNTTNALFNMTWQFDVDSGFSYLIRFHFCDIVSKALNQLYFNAYVGSFYAQHDIDLSIQSMNQLATAIYLDVVLSSNDASNKLSISIGPSTLNNALPDGILNGLEVMKMSSGSGSAFTVGSSGSNKNLGVIIGSVLGAVGILIIVLVIVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVLRDDTKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEICIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRVSGSIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSSTVSDVNSMNRIVELPSQVQNIGALESISVTMAEAGASHEPDHDLSDVSMSRVFSQLIKAEGR >OGLUM06G14290.1 pep chromosome:ALNU02000000:6:13445069:13448821:-1 gene:OGLUM06G14290 transcript:OGLUM06G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDK-activating kinase 1AT [Source:Projected from Arabidopsis thaliana (AT4G28980) TAIR;Acc:AT4G28980] MAIGGGGGGGSWSIHGRPDVTSRYEVLGRAGSGAYADVYRGRRRSDGAPVALKEVHDAVSARREADALLAAAPSRHVVALLDHFPGGDHDDDVLVLEWLPLDLSAVVRAAAAARPSALPAAQRKRWMLQVLEGVAACHSAGVVHRDLKPANLLISEDGVLKVADLGQARILQETGTYQGMHPYEQSSGVEPWVSQQRAVLHGVKENHPSHDSETQTGQEPERLTAADYLHEMDQLRAKSTHGDVDKMSLQDGNASCLATCSTADIDDDPFRASYSYDAEEGMLEEESGAFTSCVGTRWFRAPELLYGSTNYGQEVDLWSLGCILAELFNLEPIFPGTSDIDQIGRIISVLGNITEETFPGCSNLPDYNKIFFNKVEKPIGLEACLPDRSASEVSIIKRLLCYDPTKRASAADLLNDPYFAEEPLPVPIEGLQVPESKDEDDDSTEEWANFRGGDSDSDFDEFGSMDVTKTDKGFSIRFS >OGLUM06G14300.1 pep chromosome:ALNU02000000:6:13448904:13451827:-1 gene:OGLUM06G14300 transcript:OGLUM06G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRPAAAVEDSVARWREAKELWDRTHQTRWNHLIERITQDFIEIIEWTYLSAFSVKTSIVVAVDRAAAAVDRKDKTTVTA >OGLUM06G14310.1 pep chromosome:ALNU02000000:6:13453182:13456683:-1 gene:OGLUM06G14310 transcript:OGLUM06G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPLKAFNFGKVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >OGLUM06G14310.2 pep chromosome:ALNU02000000:6:13453182:13456683:-1 gene:OGLUM06G14310 transcript:OGLUM06G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >OGLUM06G14310.3 pep chromosome:ALNU02000000:6:13453182:13456683:-1 gene:OGLUM06G14310 transcript:OGLUM06G14310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >OGLUM06G14310.4 pep chromosome:ALNU02000000:6:13453182:13456683:-1 gene:OGLUM06G14310 transcript:OGLUM06G14310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLAFGSARLRRRLRRLSVDLLRGPVCSIADSACKSAGHRMILTAARSSTLSTRLSFITEGHHNLEKVDKKSYVAFDYRRFPEIVCEIISVHDNYCSSKTGSPLKAFNFGKPTNRVETRVTVASDSELREWCRRKRMDRAATPPHFAVSMCPVIGRFAMAAG >OGLUM06G14320.1 pep chromosome:ALNU02000000:6:13488412:13488911:1 gene:OGLUM06G14320 transcript:OGLUM06G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRRRRIRERLLLLEREKEELPAQQQEEKLPIFIHKTQRVTITERKRIEDVARQLEEVLMKRIKKRKIETRQIVVEEIRNELRINKIIKSEESDIEIEVNTDDEENKAEEYEAWTNREIARTKRDKEEREAMLSLEGEGEDSEG >OGLUM06G14330.1 pep chromosome:ALNU02000000:6:13495522:13496236:-1 gene:OGLUM06G14330 transcript:OGLUM06G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDEKEKKKNKQIITKKMEINRLNSTKTNLPGLVSERESLKNTNWQNKETMKKEQSGTVSTSSISRGXHAVASSAPPSAGHLESSPAADAATSTPPSRRPDSPPPGATDLAMGEPNLCIPASDLDAAVGALP >OGLUM06G14340.1 pep chromosome:ALNU02000000:6:13496901:13497390:-1 gene:OGLUM06G14340 transcript:OGLUM06G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERVPLLAGKLGHDERGRRHGGGLGGAVPGEHLHQPVHHRRRRADPPERGLAEPRFAATLTRIPTRPRPPVTPSSSPAAAHCREVRSERETRKGEKRGKGERRLTCTPDMWAHVGITLTQLPRRLKLG >OGLUM06G14350.1 pep chromosome:ALNU02000000:6:13510774:13514879:1 gene:OGLUM06G14350 transcript:OGLUM06G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A933] MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGADAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKAQVVGWPPIRSYRKNTMAMSQPALKGKDDGEAKQAPASGCLYVKVSMDGAPYLRKVDLKMYKNYKELSLALEKMFSCFTVGHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRN >OGLUM06G14350.2 pep chromosome:ALNU02000000:6:13510774:13514879:1 gene:OGLUM06G14350 transcript:OGLUM06G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A933] MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGADAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKGILPRKIAVFVLRKLEKLSSPHLVGVTVSTVKSHGESNGKSGRDGLSDCRLMDLKNGTELVLTYEDKDEDWMLVGDVPWRMFTDSCRRLRIMKGSDAVGLAPRATDKSKNRN >OGLUM06G14350.3 pep chromosome:ALNU02000000:6:13510774:13514879:1 gene:OGLUM06G14350 transcript:OGLUM06G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A933] MAPPQERDYIGLSPAAAAALATELRLGLPGTAEEAESEGGGGGGADAAPLTLELLPKGGAKRGFADAIVGGPAGQRREAAGGKAAAAAAEAEEEEEKKKAQAPAAKSW >OGLUM06G14360.1 pep chromosome:ALNU02000000:6:13528538:13528884:-1 gene:OGLUM06G14360 transcript:OGLUM06G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGNCAAVIALLVLVALAASAAADQPRCCVDYHSWGGNTGCGADQKDACNTWCQSQCRGGECKPRGDRHFCHCFC >OGLUM06G14370.1 pep chromosome:ALNU02000000:6:13546095:13546339:-1 gene:OGLUM06G14370 transcript:OGLUM06G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDADKFRSSQDSGEGLLAVGRSTALSSGLGSGRRDGDAMAMRRRQEWQRQAVVTAADREVRAIF >OGLUM06G14380.1 pep chromosome:ALNU02000000:6:13546792:13577371:-1 gene:OGLUM06G14380 transcript:OGLUM06G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRGGRGWRRWTSGTVVAGKGHGGHGHGRRQRQSSISCHDVAVAAFPLPDPSADALPRSDPVADSPPVWIHQLWRWEEEDDDNGGDGRMAHGANGSGGWRMRTMTRGFYVADQPRCCVDYHSWGGNTGCSPNQNDACNSWCQLQCRGGECKQRGDHRKGSPRDGRSTASSPAAKQWPVRHGFGC >OGLUM06G14390.1 pep chromosome:ALNU02000000:6:13581367:13585302:1 gene:OGLUM06G14390 transcript:OGLUM06G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A939] MAKALLAVVVVAVAAVLELGLVGANFQDQCDITWEPQNAKMTEGGDHLTLSLVSNSSGCMLRTKKQFIYGSVSTRIQLVKGNSAGTVTTYYTSSIGDKHDEIDFEFLGNSSGLPYTFHTNVFADGVGSREMQFRPWWFVDSIPIRVFRNHEKEGVPFPTKRPMYAFSSIWAAEDWATQGGRVKTDWTKAPFVAEYRDIGLNVCECPGSGSGSSSSSNSTSGDAEDPACAQRCATSDHWYAAEGLCQLSDKQLRQMKAVQLGYTIYDYCADAQAKGRPVPPECSMPQY >OGLUM06G14400.1 pep chromosome:ALNU02000000:6:13602192:13603863:1 gene:OGLUM06G14400 transcript:OGLUM06G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta tonoplast integral protein [Source:Projected from Arabidopsis thaliana (AT3G16240) TAIR;Acc:AT3G16240] MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLTGGAPLDPAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGVFYWVAQLLGAIVGAVLVQFCTGVATPTHGLSGVGAFEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDYTNIWIYWVGPLVGGGLAGLVYRYVYMCGDHAPVASSEF >OGLUM06G14410.1 pep chromosome:ALNU02000000:6:13612688:13612966:1 gene:OGLUM06G14410 transcript:OGLUM06G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVKVVLAIAFIDIVVFVVGGLGGLSPPADGQAAAPAKAPPWGFIYIDSNGDDDGDGHSDGDSGRAVNYTRGDGRNRRANSGSEERGTLMT >OGLUM06G14420.1 pep chromosome:ALNU02000000:6:13613721:13616762:1 gene:OGLUM06G14420 transcript:OGLUM06G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D1 [Source:Projected from Arabidopsis thaliana (AT2G33100) TAIR;Acc:AT2G33100] MSGDYANYTVLMPPTPDNQPSGGAPPAAPSAGGARPGDLPLPPYGSSSSSRLVNRRGGGDDGAKMDRRLSTARVPAPSSNKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDNVYGDDGGGGGAVKMEDLVEKPWKPLSRKVPIPPGILSPYRLLVLVRFVALFLFLVWRVTNPNMDALWLWGISIVCEFWFAFSWLLDQMPKLNPINRAADLAALKEKFESPSPTNPTGRSDLPGLDVFISTADPYKEPTLVTANTLLSILATEYPVEKLFVYISDDGGALLTFESMAEACAFAKVWVPFCRKHSIEPRNPDSYFTQKGDPTKGKKRPDFVKDRRWIKREYDEFKIRVNSLPDLIRRRANALNARERKLARDKQAAGDADALASVKAATWMADGTHWPGTWLDPSPDHAKGDHASIVQVMIKNPHHDVVYGEAGDHPYLDMTDVDMRIPMFAYLSREKRAGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCQAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAIYGFNPPRAIEYRGTYGQTKVPIDPRQGSEAMPGAGGGRSGGGSVGGDHELQALSTAHPDHEAPQKFGKSKMFIESIAVAEYQGRPLQDHPSVLNGRPPGALLMPRPPLDAATVAESVSVISCWYEDNTEWGQRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAVLASRRLKFLQRMAYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLSYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVVAGIEISFTLTAKAAAEDDDDPFAELYLIKWTSLFIPPLAVIGINIIALVVGVSRTVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTIVYVWAGLISITVSLLWITISPPDDSVAQGGIDV >OGLUM06G14430.1 pep chromosome:ALNU02000000:6:13647840:13648019:1 gene:OGLUM06G14430 transcript:OGLUM06G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRCCWDFAKWGKHDEHTSCEARENSAACGACNEWCQSACRGGECKFRRNHQCCHCYC >OGLUM06G14440.1 pep chromosome:ALNU02000000:6:13653891:13656094:1 gene:OGLUM06G14440 transcript:OGLUM06G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMGPPPACFTNIRFPLVYSTQGHNFVHLGTNQISNEKIQFNYVNIQQQVFDKTVSSVITAVLSKVTNLSTRFATGKELLIAYNYKYIIYGLAQCSSELTCLQCQLSLYTKLEVSEAT >OGLUM06G14450.1 pep chromosome:ALNU02000000:6:13660476:13661483:-1 gene:OGLUM06G14450 transcript:OGLUM06G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQTLRAEAWKAIKEEKRPVVYTLDEPEKDDRNNLSLSQGNLANDKARVDLMQNRGKRLEAALTKQLDEKLLKQEPLNNRLIVDAIGVITSSWKEHYAKPCPEDLVKLMSNVGDLVGLFERQLSHVFAIEAEYYERKAKEARTVAVAYPQLVKRNEEMIVNHPVTIDSLSQKVTEQENWRDNAKINIEVAKM >OGLUM06G14460.1 pep chromosome:ALNU02000000:6:13664643:13665240:1 gene:OGLUM06G14460 transcript:OGLUM06G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSSCIHCFCSAVNLVRNRFLGIPAPPGGGAVAAGDITYSGSLCACPLEPSENSSPSTPGSRTSGVSSSRCSWPWSTFAGMGGAGSASGGGGGGDPSSSQQWKLQWQPHAAHLSDVGSAGSPPTTA >OGLUM06G14470.1 pep chromosome:ALNU02000000:6:13666339:13668126:1 gene:OGLUM06G14470 transcript:OGLUM06G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRPSEAMLGCGGAETGGGIPSGWELVVAAMVDWRWPARRRRRASGRRSWSVEKPEGGGCRGWQPASREEEAAARELRGAALARGRSGCVRRRPRSAAREGREGAEAVMAMEKAKVGVDRGGFPSQGKRQRHSGRAGRHGDAVACTWLTPVCSRQSARSKVVDVMDGGSRARMSKSTQGKEYKEGVGDLGARCRREAVTNPAGERNDIATNGKGFETSISLAPKRLAKRKDVDRTTSFRWNDLIVVFRRFKGRTRYCNNLGRLRGQRRDIILRGGSGKTTRFEYFQIL >OGLUM06G14480.1 pep chromosome:ALNU02000000:6:13669751:13670221:-1 gene:OGLUM06G14480 transcript:OGLUM06G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGIITVLITYELLVRRVSHRPHYPDIAGTTFHPRVAGHAARCFLLQLRPPLTSAGRNGQPRCSSLQYPNGLVPPSHSDLVAPVLITAGLIDATKGIGKEENECCSSQGSEPGKKTQIWLRTFESPKMAAVANYVASLRLHGLELRLHFLALIN >OGLUM06G14490.1 pep chromosome:ALNU02000000:6:13672730:13673386:1 gene:OGLUM06G14490 transcript:OGLUM06G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIITAAAAEPNCCVDFHSWAKNTGCSPEQSDDCNTWCQSQCRGGECKPRGGRHFCHCFC >OGLUM06G14500.1 pep chromosome:ALNU02000000:6:13699021:13700452:-1 gene:OGLUM06G14500 transcript:OGLUM06G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGQQLSKSEIWIAHAIDVSQGLGYNEEQYSISIQADRFDFGAHGPHYFQLIPIYEERGWHMIFENTRTRISWQTVELYVNCTPTQVGLSQVNSTIHVRESSRLLNDFGVCLEQSAIHTSAHVAPHVISFLNDSHETVRSSIDRA >OGLUM06G14510.1 pep chromosome:ALNU02000000:6:13701768:13712448:1 gene:OGLUM06G14510 transcript:OGLUM06G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A951] MGHPVVAIAIVFVSAAIAMAASSQYSHHPLDPLTATEITAVRAAVLASPLVPARPLFFHYVGLDEPDKPDVLSYAYGAADAAATSSQMTLPRRAFVIARAGGQSHEFTVDITADNASVLSHAVHRGPGFPMFTDEEQIAAMALPYTYPPFVESVRRRGLDVGEVGCGVLSRGWFGAEQPAYGGARVAKMKCVVVDYNATANIYARPVEGVVMVVDLDQMAIIGYRDRAVFAVPKAEGTDYRADKVGPPFTGPAAPPGVFVQPEGRGFHVYGHLVKWANWEFHVGFDMRAGTVISLASVNDTDAGGLQRRVLYRGFVSEIFVPYMDPEEEWYFHAFTDAGEYGLGALASQLQRGADCPANAIYMDSYYAGSDGKPVKAEDVICLFERYAGDVAWRHTNGIGLGGLFSEVRPDVTLVVRMVVTVGNYDYTLDSEFKTVGSIKIVVSLSGILEMKAINYTHVDQIREDTHGTLITENTIGVYHDHFVTYHLDLDIDGTRNSFIKNNIVPKRNTGVRATGGAPTPRRSYWTVLYEVAETEAEGQVNINSAPADLLFVNPSKKTKIGNEVGYRLIPTGATATSLLADDDYPERRASYTKKQVWVTPYNKSEKWASGLYAEQSTGDDNLAAWSKRYVSMT >OGLUM06G14520.1 pep chromosome:ALNU02000000:6:13732227:13732451:1 gene:OGLUM06G14520 transcript:OGLUM06G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGQLVAAGWRPPSSQPQPQMAPSLLDAALPFLPYGAADRRPLAAATTGRCAASSLHVGALKYCRIYKPYHRI >OGLUM06G14530.1 pep chromosome:ALNU02000000:6:13741382:13745059:1 gene:OGLUM06G14530 transcript:OGLUM06G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A953] MCTLIIHELSQPHFLKRLRIALTMYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPNELAAVRSAVLAFSLVASHPISFHYVGLDEPEKPDVLSHTNAAAATILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGLALTDVGCGVFSMGWFGKNQARRLAKVQCFVVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAEGTDYRAGKAGLPFNGAVPAPGVVVQPEGKGFHIDGHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFVSEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADCPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQIRDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKNSIIPKRNTGIRATGGAPTPRRSYWTVLYEVAETEAEGQVNINGAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVWVTPYNKSEKWVSGLYAEQSTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFERNPLIRTRPPGNSPNCSCSFGRSG >OGLUM06G14530.2 pep chromosome:ALNU02000000:6:13741382:13745059:1 gene:OGLUM06G14530 transcript:OGLUM06G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A953] MCTLIIHELSQPHFLKRLRIALTMYPPLVLVLAIFFAVATAIAALSSPLPHPLDPLSPNELAAVRSAVLAFSLVASHPISFHYVGLDEPEKPDVLSHTNAAAATILPRRAFVIARAGGLSHEFYVDVTNTSAPRVVSHGIHDGPGFPMFTTEEQVAAGQLSREYPPFRESLQRRGLALTDVGCGVFSMGWFGKNQARRLAKVQCFVVAGGTANYYARPLEGVTLLVDVEKLVIVAYRDRAAYSVPKAEGTDYRAGKAGLPFNGAVPAPGVVVQPEGKGFHIDGHIVRWANWEFHVGFDMRAGTVISMASVHDADADLWRRVLYRGFVSEIFVPYMDPEEEWYFHTFMDAGEYGLGVSAVPLQPEADCPANAAYMDGYYVDADGKPVKSENIICVFERYAGDIAWRHTGVAGPIAPITEVRPDVTLVVRMVVTVGNYDYTLDWEFKTVGSIKTVVSLSGILEMKATNYTHVDQIRDDIHGTLIAENTVGVYHDHFITYHLDLDIDGTKNSFIKNSIIPKRNTGIRATGGAPTPRRSYWTVLYEVAETEAEGQVNINGAPADLLFVNPSKKTKVGNEVGYRLIPAGATATSLLANNDYPQRRASYTKKQVWVTPYNKSEKWVSGLYAEQSTGDDNLAAWSKRNRRIKDEDIVLWYTVGLHHVPCQEDFPVMPTISGAFELRPFNFFERNPLIRTRPPGNSPNCSCSFGRSG >OGLUM06G14540.1 pep chromosome:ALNU02000000:6:13777109:13783747:-1 gene:OGLUM06G14540 transcript:OGLUM06G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases;nucleotidyltransferases [Source:Projected from Arabidopsis thaliana (AT5G19485) TAIR;Acc:AT5G19485] MDFQVVVLAGGTSEKLSPLVSKDVPKALLPVANRPVLSYVLDLLEASDLKDIIVVVEGQEAARLVGAWASSAYLDRLLVEVVAVPEDIGTAGALRAISKRLTANDVLVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPISGPSDAASSGGKDKAKKPTRLNIVGLDITRQFLLHIVSGTDVEKDVRVYKRKIRAVGEKSSSSGGEGTTVDETGDATVPSNSHLQCLSQHRILAPSAFKKDLLSSGGTYRCCVYIATKSKYCHRLNSIQAYCDINRDVVGDASHLSGYSFSAQNNIIHPTSVLGSKTTIGPQCMLAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVICNNVQLQERAVLKDCQVGAGYIVTASSEHKAESLSKKIQPYKELRREG >OGLUM06G14550.1 pep chromosome:ALNU02000000:6:13787924:13790647:1 gene:OGLUM06G14550 transcript:OGLUM06G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSRKLSFPLINWAGVSLDPKEAAAIKASQKPRNTTRTIEAQGCTEQVAVSLSRHLLRALLRPTMLAPARAPRPPRRAPSLSIVAALGISNEGRRRRDAVAALPAAAHPAPTPLPPATALVQEAHQVIGRDRRGLDSSNFGWNGSTARKNSGFTTTARSTRSLKELPCMHTDGVMDILGSFYARWIEWWLTGAGGIKDGARRPELEKTMPIDKLAAPLARFLWGFRRGGRRDEDGAVAWP >OGLUM06G14560.1 pep chromosome:ALNU02000000:6:13807152:13812608:1 gene:OGLUM06G14560 transcript:OGLUM06G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGKPMTIVLPVDSKASAQSVPADNMDQEALLGLKSLITSDPSGMLLSWGNGSACTWSGVRCNRHGRVLVLDLQGLNLVRKISPSIGNLSALCGLYLQKNQFSGEIPDQIGWLGQLQTLNASANILTGNIPAALTNCTNLEIIDLSENTIFGTIPESISSFQKLRVLKIAGNQLSGSVPRSIGNLSLLSTLVLSTNNLTGTIPYVFGHLRQLKYLQLSINNLKGTVPKPLYNLSSLSFFAIANNDLHGKIPSDVGFRLPRLLVFHICINSVLVDLMNCTKLQLIAFDENLIEGILPDSIGNLSSSLTRLYVGGNRITGYIPASIGRLSSLTLLNMSYNLLFGSITPEIGLLKELTMLGLARNKLSGIIPGEIGDLAKLTNLEMNHNELIGGIPVEFWRLQRLLSLDISSNNLNGNIPDSIFSHISLSSLLNLSHNLLTGSITDNIGQLDQITTIDLSYNFLNGSIPVLIGKCQSLQSLSLSRNSLSGVIPDTIGNLKGLQTLDLSSNQLSGVIPATLVEMQALRLLNLSMNDLDGLVPNDGIFKDHSVVYLDGNPKLCYSNMPCSDIRSSRRQTMAIAIAVGIAAMAAITILVIISMLLLPRKWLRNRKPKKQGSFIQKSRPHVSYEELNQVTSSFDNRNLIGTGGFGSVYKAVLRSGTAVAIKVLDLHKMGALKSWTAECEALRNVRHRHLIKLVTMCASIDFSGNEFRALVYELMSCGIVEDLIHKGSALDYLHNDCGEQVVHCDIKPSNVLLDEDMTAKVGDFGLARLLSPTSAGQDVSSTHGLKGSIGYIPPEYGYGSKPSAKGDVYSYGVLLLEMITGKRPVDPQFGGDMNLEKWVRDGFPHRAHEVVDICHEGQQQASAEQKRQQLMLNNIILPVMKVALSCALESPDERCTMRDALCRLKRIKEAFFKNHSF >OGLUM06G14570.1 pep chromosome:ALNU02000000:6:13822363:13824544:1 gene:OGLUM06G14570 transcript:OGLUM06G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDRLAIVAFSGAPRGAAANGAGPPQRELVTVAACLVRGYMSGARVAAASGAERLSDGAGARSYATTDDDALEPVNPATRSLASYSGHAIAASSLGLPCAADEENDGLHTRRSRRIVGCDGEYHRRHPVRAISIPPSAYRRYLPSSPARAARRHHQPYQAGVVIARSSPPSPSRSARGHRYLDLAKFHWTLGRKKMM >OGLUM06G14580.1 pep chromosome:ALNU02000000:6:13823378:13832063:-1 gene:OGLUM06G14580 transcript:OGLUM06G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRNMKRKELEAEAEKAEPADWLPAVARAFRMLQEMNEREKREEEELREEREFAAWVAATSAESYASGSDQS >OGLUM06G14590.1 pep chromosome:ALNU02000000:6:13843237:13843584:1 gene:OGLUM06G14590 transcript:OGLUM06G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNRPAGHSDARHGSGEAHRRGWNGEETATATRKKMMLARVDVGDGAPRLPELDEGVAEGEEEMTKPAVASPELGDAKRRLERTPKRHDGDGASVELERHWEWEKEVSGVSFI >OGLUM06G14600.1 pep chromosome:ALNU02000000:6:13845062:13847482:-1 gene:OGLUM06G14600 transcript:OGLUM06G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAQEVSTSSSRCGSSMRMEGDRNGAWPMLSMSSSSARYGNDHQELASAAAAAAFRESPGDPRKLSSGMSSRLLPARSMRSTGAGDDGEVAAAPVAGARSLSFSKLFSFRIANAARCSSSLDFDHPLPSDAASSDHISANEGTTVTKTKTKAKHASDHMNISRSQSVPMSTLSRFSSKGGGGSKRVADSSSLRIPDGGSVRFRVSVIGASPPDGNADAAAAAGEEEDDAGSVEAEEEALVCRICMVALSEDGGGGGGGGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHDRQQLVYEAAAAAAAAAAGDDIAGGNRRGGYSYGRVWRGTTILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTAKMVSRKYVWIYSAVQYLFIVLFTHIFYRYVRLQAVIAIILSTFAGFGVAICTNAILLQIIRWRARRASMSAAQEEEETRRAPTQADLENALPPP >OGLUM06G14600.2 pep chromosome:ALNU02000000:6:13845062:13847482:-1 gene:OGLUM06G14600 transcript:OGLUM06G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQAQEVSTSSSRCGSSMRMEGDRNGAWPMLSMSSSSARYGNDHQELASAAAAAAFRESPGDPRKLSSGMSSRLLPARSMRSTGAGDDGEVAAAPVAGARSLSFSKLFSFRIANAARCSSSLDFDHPLPSDAASSDHISALSTQPAACLLFARFAKNEGTTVTKTKTKAKHASDHMNISRSQSVPMSTLSRFSSKGGGGSKRVADSSSLRIPDGGSVRFRVSVIGASPPDGNADAAAAAGEEEDDAGSVEAEEEALVCRICMVALSEDGGGGGGGGTLKLECRCKGELALAHGDCAVKWFSIKGNATCDVCNHEVLNLPVTLRRVHDRQQLVYEAAAAAAAAAAGDDIAGGNRRGGYSYGRVWRGTTILVIVSMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTAKMVSRKYVWIYSAVQYLFIVLFTHIFYRYVRLQAVIAIILSTFAGFGVAICTNAILLQIIRWRARRASMSAAQEEEETRRAPTQADLENALPPP >OGLUM06G14610.1 pep chromosome:ALNU02000000:6:13857182:13858982:-1 gene:OGLUM06G14610 transcript:OGLUM06G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAIAISPMVEEFALLPICFDGSRSPHCLSGSQLQDSIIIFLAVPGAPPMPMSVLGSESIASVKLRIQRFKGFVVNKQRLVLDGHELARNNCHVKDYGLADGNVLHLVIRLADLRLINIETTSGKKFQFQVDQSRNVKYLKSKLAVEGDEDLGEDHKLECDGKELEDHQLIADISKKDDAVIHLFIRKPAKLRTQQVDKDTVVTVVTPQEKENLQNEAHAVNPAKPGGARPALVEPIIVNHKVKLSLEVMRMISSAIAGLENGYLPVMSAEGSGGVYFMQDASGEKNIAVFKPRDEEPMAKNNPRGLPVSTDGEGMKRGTLVGEGAFREVAAYILDHPIGDHESEERIGFSGVPPTALVRSLHRGKSFKIGSLQMFIQNNGSCEDMGPRAFPVKEVHKIAVLDLRLANADRHAGNILVCKDEEGGNYKLVPIDHGYCLPEKFEDCTFEWLYWPQAREPFSDETIAYIKSLDAEEDIKLLKFHGWELSARCARVLRISTMLLKKGAARGLTPYDIGRILCRETVNRDSEIEDIVQEAEDHVLPGSSEVIFLETVSEIIDRHLDKKFA >OGLUM06G14620.1 pep chromosome:ALNU02000000:6:13863541:13864023:1 gene:OGLUM06G14620 transcript:OGLUM06G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSLTMGLKLEPELVVVVDERECAAWTRAMRMPRQGRAGTTVVSDDGRSAIVLAIGYHRCRCHWETGDKGLGLVWFASAACSPTPLPCPLSLLLHSAAVVATAARPLDLTSPAEVAFLGVELWTAVPCSLWRTRFAATPIALSCRRSHTGAAAVMET >OGLUM06G14630.1 pep chromosome:ALNU02000000:6:13872482:13872998:1 gene:OGLUM06G14630 transcript:OGLUM06G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNVEQSAAALVWGYETGRRNGYCDQWRRRHSTVHCEQQLAADSVADEVLDFSSLSSIGTGGEGGACAVGVGSGEAVCEAEDEERRIITHRGRAT >OGLUM06G14640.1 pep chromosome:ALNU02000000:6:13874472:13878249:1 gene:OGLUM06G14640 transcript:OGLUM06G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPQPQRMEDQLQSQGQGQTEAIKYGHVFAVTGELAGQPIAPRDAAAMRSAEESVPGVPVPQEIGGGYSAGVAMETAAAYNQAVGAVRPGQASDAATNQGIAVTQTSVPGGRIITEFVAGQVVGQYSVADQPVEQDATKITVGEALEATALAAGERPVDRTDVEAIRAAEMAAQGSDVTMPGGLADQAQAAARSNADADRDDDKITVGDVLTDATAKLAGDKVAGAEDAAKVVQAETYSDAAARTRAGGVGAAVSTAARLNQADDDANDDAE >OGLUM06G14650.1 pep chromosome:ALNU02000000:6:13880702:13884532:-1 gene:OGLUM06G14650 transcript:OGLUM06G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPTPVVYPEMAASASSPYVAPLISSRITGRLRLASARRDPLGLSAATVRVRSWRRFAGARCEVALLPAWRISAQPRRRNALREKASPPPPADPQVLEESGSLDVQRLPDLDREPGISGGLDAVTSGNIVSKWNLEGGSDVVGLGVAQTGPGLVGRGGNAEVQGRGGNVEEAMISRAGAMANQFGSGECEVPTLSSSFPFLAVEAKEPLVVDAQFLAVQIDKPRLRDIAWSGFAALCAACVLLAVSKLIWGNGKKYLSRNMFDILRPGMNKGESGKGGIKVLKNVKCPEDLLGRPQLDRRKLMNNIKRAKQSRELFDLSNVFGFCSVATCYDVIITETRRMVTNVHTLLEGILEQSNTKSKHSVLFPHPAATNGQEVSASHGQCSVYRNDVLGCTELPDISISNNIIGETVESSVDFKSSAQVMDNSVKNQNNVRDIEPPVDTPTNDMPTDAKDSIPMVHVVEIEEQIGSPDECIDGLNSISIPSSEFEGQKQFPDISVKNVDGIFGIKSSQISSDTDVIGTNDNSHKFSINVASKTTGDLSSCCSNSTPSESESKEIPVDINRNDLNYFQEIEAQSTFANYDAQTVQYEEISHRVSMITKEACINPAMADILITKSPQRISEEPVDLMRGNAQSMQELEPSRSIRDHKQIVLANQKNNIISRSHNETQASSEIDSIGTNDNASTSSVYDLLEESIHQSAKNSTENTSYNEEPEESIIKREIKLHQEMCNDKDAQTKHKVEGEFGPSNDVCKTETVAKKRSKKTPCDKGLKVPEQDIVQCNSMADKKSSSKNVKRTRKNLKSALHNQGTQTTQEISETALVVNSPDDAPRAENIRPFGGSGSSTETQSPMFSDTFSEARPNGFSISTMRKEKSKHNFQPLESVEAAAVKFKTNRHGDNIMNERAIDFDISNLGVTTTKKMTKRRSLSKRKKPANGLGGATDVPPDV >OGLUM06G14660.1 pep chromosome:ALNU02000000:6:13890453:13894340:1 gene:OGLUM06G14660 transcript:OGLUM06G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPGGAGAGAGWLATVRKVFKPGTSKDPRLAKKRGGDENAAGGGGGGVGQAVEILSMEHFPAAETSPEVTTNEGSGGSVFGRERLHVGRDEAESAWRARRGMAASRAVRNAAARGRAAGREERAAARIQAFYRGYLARRALRALRGLVRLQALVRGHQVRRQVHLTMRCMQALVRAQARVRARRLTSHVALARPTPHAAGLHSCGHRGRFVAPDHQNDDDEEDAGETETTTMPHMVARPRRNSSHIVDECPPLNSGWRDAVPYGEGRRRHDPAPRREMAPIPTSTYGYQQQLQREEQDECTVGWQWLEQCMAGVQPPRHVPEQAPHHVVAAAAAAETSYVTAAATDEVSEKTVEMDAGRKLSPAKDLYPVRPPAVPGYMAATQSARAKARMAPASAHVAPRAAQAHARSRSSSVALGGASTATSGWSTNNNCSGGAGGRAPLHRAGYSPDSSCSGDRTPPPPPQGGGRGRAAYA >OGLUM06G14670.1 pep chromosome:ALNU02000000:6:13897992:13898304:-1 gene:OGLUM06G14670 transcript:OGLUM06G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQSTANDIGWVESLDNQIQLLDGQSKDMVGIKFQLLVNHGHLILGRLNHVDILMGPTRCADHGTAPRTMSPSYDTALTCTATRPL >OGLUM06G14680.1 pep chromosome:ALNU02000000:6:13904298:13905786:1 gene:OGLUM06G14680 transcript:OGLUM06G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVPPLLLLLLVQLALFTIAIVLLPRVVASEHALPGGASCIEAILRSVVIDVHHGADSFVGIAMTSSLPYGPASREV >OGLUM06G14690.1 pep chromosome:ALNU02000000:6:13926358:13930324:1 gene:OGLUM06G14690 transcript:OGLUM06G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSSSPSPLLLVVLCHFLTLLPRYSSQNISEDDRQALLCLKSGLASGDDSTSTTGALSTWRDGGDFCQWCGVSCTTARRAAGIQLASLNLTGRILPCVGNLTSLTTINLAENLITGGIPPEIGRLTELTYLNLSNNALISEIPATLSSCSRLETLSLWRNHLEGEIPRSLARCSSLRELMLSQNNLRGRIPVELSLLPNLSVLYLSSNYLSGTIPPLLGSSPNLTSLSLRNNTLTGGIPGFLVNSSTLAFLRLASNSLTGELPLGLLNSSVLFGIDLSDNQFYGPIPEVSNASLPLQYLILSNNNLSGSIPSSLGNLSSLSYLLLGMNNLQGTIPASLGNITGLQKFSLTYNNLSGTVPPALYRVSSLTFLGLGANRLSGRIPSNIGLTLPNIQTLIMQGNQFDGQIPASLANASHLQFLDLKNNTFSGEIPHLGGMVKVDLSRNNLFGEIPNFLESESFSSLQLLNLSFNNFQGPVPTSGVFGNSSRVFVQGNKNLCSNSPTLQLQPCVASSSKRKRILYVAVTVLLVIIVLALLSTAMAIIYKRKKATTQPTRQSSQVMKKFSYHDLFKATSGFSPSNIIGVGRFGSVYRGIFASEPHIAAIKVFKLDEDGASKSFMAECEALRNTRHRNLVRVISVCSTFDPKGNEFKALVLEHMSNGNLERWLHPEAKKYGIEGALSFGSKVSIAADIAAALGYLHNQCNPPLVHCDLKPSNVLLDNDMCAHVGDFGLTKFLYNSSSSTSLVGPRGSIGYIAPEYGMGSKMCIEGDIYSYGIILLQMLTGKNPTDEMFTGGLSLRRFVELAFPDSIDDILEPSLILWNQREHGSTSSDNENRRTVGMQTCAMQLTALGLKCSSESPKDRPMMDEVYTEVASIKEAFFSTNK >OGLUM06G14700.1 pep chromosome:ALNU02000000:6:13961251:13963431:-1 gene:OGLUM06G14700 transcript:OGLUM06G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESPTSPPTSPPPGSPTNNATPPSPVSALLRATVLFAAFLALQLVLFKSLLTFPSSRFLPAPRRSNSTWANGAVDDAEECKAGLIYVYDLPPEFNHDLLAHCDRLWPWYSFCPYLSNGGLGRPAAEVPALSAVVPNASLPNWYNTDQFPLEVIVHRRLLSHRCRTIDASLATAFYVPFYAGLDVGSHLWGPNSTVADRDRAGARLLRWLRGQPFFAKSGGWDHFITLGRITWDFRRYGADGWGTNLVLMPGMENVTRLVIEGDRLDPLDVGVPYPTGFHPRRAADVRAWQEYVLSLERRNLFGFAGAPRSGFPDDFRDVLLEECEDAGSDRCRAVDCRGTRCNDDGAAVMRLFMGSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDAYRWFLPRGEEGEWSVFIDRRALRVGNVSVRDVLEGYSERRVRRMRERVVEMIPRLVYGSSPDGLGDGMDDALDVALGGVLKRFRHRRWSIGHEGSRGRSVERASD >OGLUM06G14710.1 pep chromosome:ALNU02000000:6:13965068:13969390:-1 gene:OGLUM06G14710 transcript:OGLUM06G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 19 kDa protein [Source:Projected from Arabidopsis thaliana (AT1G48160) UniProtKB/Swiss-Prot;Acc:Q943Z6] MDGGDLRSSIKKWNVIYPVYLNSKKTVAEGRRIASGKACPDPTCVEIADCCSHLKIPHAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQEPAASSTAGTSKGKGGKKKK >OGLUM06G14720.1 pep chromosome:ALNU02000000:6:13979384:13996062:-1 gene:OGLUM06G14720 transcript:OGLUM06G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G53060) TAIR;Acc:AT5G53060] MDRPRSSKRGYHYDQDSPPPRSKPRFDRRGGPNPNNSYHRRGPPGGGGGDRRGGFQLPPDAAPPPPPPPPPSSAAAGGGGPGMTTSFRILCPQSKVYGFPPSFIAKVRDDTNAVVTIHLPYPGDAVRVIETSDGARREADGRPPSFSPAQEALLMVHRRILETEPDDGDEDGEYGPRAKDARDRGKVTTRLIVPRLHVGCLLGKGGKIIEQMRAETKTHIRILPRDQHTPRCVSLSEEVVQVVGEGNCVKKAVAIISDRLKESLHRDRGPFRGRMNSPEHRFPQEDEYYGGAQQMPAYEEPYGRPDQIRNNTSMEPPGYEFDSNGGKINDHTEILFDDIIFRILCPSDKVNSLVGTRDGLLEMLQEDVGVDIRLTDSLDGSDERIIIITSREGPDHELFPAQEALLHLQTHIVDLGPDKDNIITTRLLVPSSEIACFEGRDGSLSDIQRQTSANVQILPRQALPSCALESDELIQIVGEIRAARDALVQITAKLRSYFYREIPGPNQLGNITVHGSISPAKGSPRGPYQGSDIPMPSYQQAQHVPASWKDSGGGANMSFEQGSNINDDMRQSAAKRFAVPLVTRSTLEVVIPKSAVASLTMRAGSKLAQISEMSGATVTLADERPDAIEKVVRISGTPEQADKAQSLLQGFILSSEFLSIGFVPFQRY >OGLUM06G14730.1 pep chromosome:ALNU02000000:6:13983543:13983977:1 gene:OGLUM06G14730 transcript:OGLUM06G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMNDEARLLKAYGELPDTLRLNEGVDVDGPEDGGDHDDYIQFEDEDIDKI >OGLUM06G14740.1 pep chromosome:ALNU02000000:6:14000042:14000416:1 gene:OGLUM06G14740 transcript:OGLUM06G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFPSRSNRQGGGTPGRWQHTLDGAGGTLEAELLDVGSTDAPAWPSGGGGAHETGEQWSVQWEGAALATGAGRGGDVGNRRGVLGRRRRRVRCEGVVAVALDLAVLGHALLSISVSHSARLGA >OGLUM06G14750.1 pep chromosome:ALNU02000000:6:14003704:14016634:1 gene:OGLUM06G14750 transcript:OGLUM06G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRLPPPPPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKRSATGRWRIGEKPKIETASRVAGGRCRRNSLHGHHQLLGTDAISLVAAASCWHLLSLHRLPSPRQGGAGVGADVLEEGDLVEGGLAVGLGGLERRGVDGEAELPAKHWISALAASDYHREREAIGGVRDCLILEMELEHERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCI >OGLUM06G14750.2 pep chromosome:ALNU02000000:6:14003704:14021284:1 gene:OGLUM06G14750 transcript:OGLUM06G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSPSSVRALAFLHRRLPPPPPPPRPHLLQPPTHPAPPRSAMAFSAEPAAEEAEEEALPPVTGPGEVTAEEWRRWGTSSPLPAAVAAVVGELLEMEAEAGQKMRFGGVGSKIKGDFKDMEDKKHRAVYQTLADSDKKLQYFSARQIACRLLGSRGYLCQKDFLRQNNTGKLLWQVFGIQAAPLCLFGIQEHEDIMWDAFQRSGKGKVSFLYPNKSTTPMSVKDLKFDGLNLSCDHPEEDVKDEPFNFVLLDGTWSNSAALYRRLKERWTAIWGEEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAASGLLWELDMRSELGAFELGKQAEAVECSLDVLLDALTARRLRLGRSITRKQRHNRNCIYCDVSKKLKYSKAKALTSFIDIENINNTNATKGKHRNQFTVQHLENTPKLNYTTKHLKLRTTEHLEQTTPKARDLKKTKTGFLDQRSRTINRVSLAQTRLLKTRHSFPSILLQELNASLHLSMGSLFIGKQLSIHLQQTMNLLFLYKIHHM >OGLUM06G14760.1 pep chromosome:ALNU02000000:6:14017180:14020434:-1 gene:OGLUM06G14760 transcript:OGLUM06G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKASTPAGGAVRDGLRRLTQLSLVSKVCSELEAHLGVGDRVLAEFIVDLGRASPSVADFDAKLKAHGADLPDYLARTLHTVIHAIPTHTDDAPAPAPQNPASRGTGARVCGKDKAEERVRDGDPGLYQVCRGKVTGLADAGCFVRLDDARGREGLVHVSEMPGRRIAVKRGQEVFVKIVSVQGRNLGLSMRDVDQDTGKDLLPLQRARGEDDVPRPMANPWTDRAAATGRRTGVSGIVIPEDNQTGTASSRRPIRRMSSPERWEMKQLIASGVLNAKDYPAFDDEDSEGMNYQEEGVEEELEIELNEDEPAFLRGQGRSTIDVSPVRISTNPDGSLSRAAVLQSALIKERRDIRNEEQRALVDSIPKDLNRPWEDPVPEVGGRYLAQELRGVGLSAESMPEWKKEAYGKTVTFGQTSRLSILEQRQSLPIFRLKNELIEAVRDNQVLVVIGETGSGKTTQVTQYLAEAGYITRGKIACTQPRRVAAESVAKRVSEEFGCRLGEEVGYSIRFDDHTGPDTVIKYMTDGMLLREILLDTDLSSYSVVMLDEAHERTIYTDILFALLKKLIRRRTDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTYPVEILYSKQPESDYMHAALLTVSQIHLTEPEGDILLFLTGQEEIDHACQCLYERMKSLGRNVPELLIYAVYSAQPAEMQSKIFEPTPPGKRKVVVATNIAEASITIDGIYYVIDPGFAKLNVYNPKQGLDSLIITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMPPTTTPEIQRINLGETVLNMKAMGINDLLSFDFMDPPAPQSLITAMEQLYNLGALDEEGLLTRVGRRMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRGNFFQPEGDHLTLLTVYQAWKAKQFSGPWCYENFVQLTSLRRAQDVRKQLLEIMDKYKLNVVSAGNDLTKVRKAITAGFFFHAARKDPQGGYRTIADHQQVYIHPASALFQQQPEWVIYHEVVMTTKEYMREVTAIDPRWLVELAPRFYRSADPTKISKRKRQERIEPLYDRYNEPNSWRLSKRRE >OGLUM06G14770.1 pep chromosome:ALNU02000000:6:14039380:14040813:-1 gene:OGLUM06G14770 transcript:OGLUM06G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A980] MAAARRVVLFPSLGVGHLAPMLELAAVCIRHGLAVTVAVPDPATTAPAFSAALRKYASRLPSLSVHPLPPPPHPPASSGADAAGHPLLRMLAVLRAHAPALGDLLRGPHAARALVADMFSVYALDVAAELGVPGYLLFCTGATNLAVFLRLPRFCAGSSGSLRELGDAPVSFPGVRPLPASHLPEEVLDRGTDISAAMLDAFDRMADARGILVNTFDALEGPGVAALRDGRCLSNRATPPVYCVGPLITDGGAEEERHPCLAWLDAQPERSVVFLCFGSRGALSPEQVSEMATGLERSEQRFLWALRAPAGTKPDAAMSLLPDGFLARTADRGVVVTASWVPQVAVLQHASTGAFVTHCGWNSTLEAVAAGVPMVCWPLDAEQWMNKVFIVEEMKIGIEVRGYKPGALVQADIVDAILRRIMESDAQQGVLERVMAMKESAAAAWKEGGSSCTAFAEFLKDMEEGNVAMAHSNQVET >OGLUM06G14780.1 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.2 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLPETKSFWDGELVVDNLAYILLKLAIDSQHEQGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.3 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLPETKSFWDGELVVDNLAYILLKLAIDSQHEQGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.4 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMVSIATCTAMASLFMRLAKFSNLKDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLPETKSFWDGELVVDNLAYILLKLAIDSQHEQGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.5 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.6 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14780.7 pep chromosome:ALNU02000000:6:14046218:14062893:-1 gene:OGLUM06G14780 transcript:OGLUM06G14780.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIHAAAAGDLPLTGMHDLRLRPRLLRRVLAECLPLPDPGADLQPTRSPADLARALSAVRQQGLLAVGGAADPGLLEEWSAAVDAWVDRLVALLASDREHSCWVGTSFLGLTFEECGEDRFANSYSFWFEKIMKKIKVPSSNKMDEATLLAQKVVQPLLRLLDKDGSVAEKATDLLGLIMKLFPSSVYRHFNKVESIIAAKIMSGYTGFELMPLISPGSKPPPLSGGQTTYGDWNFHSAKKFCTFAVPTISALIHCCSMMLTTSYPIQVNIPVPAVVTFIQRVLLTDVTFHKSSLVQQDTPSCHLSFSEILELHSSFLDFLGAIIKGMRSSLLPHAGSVVTLITEYFKGAKLPAVRRKLYTIVRLLMSSMGVGMGMHLLQVVVSNISADLDDNSGNSLFVMSSAPIGGINQSSLERSSSNNQALHGKSSTAGSPEPTCIRKSMSSLCVKIAALETFEVLLTLPETKSFWDGELVVDNLAYILLKLAIDSQHEQGGSFRACYWRTQIDLLLINVARESFCTMGMYELRPLLSGDPTFSDFQLALLKSLLASFLSSPDNCPYLERGLELFNKGRLETGTELAKFCSHALLALDVLVHPSEHCLQYDPKIPLRRAARGDQGSLSIASDNEVLDTGRCKNLHSACKNQATENSGDEVNEWLFSIDDAPIDAFVEDNTAENHEVKEMSRDHLVQKDTVIGEHQEIVLNKFHGELLVPTSSRTDADVAIAGTKGGTYNSPADYMVGYPTHFFNVDSARISITPPDTQDPGGATFSNNKDDQHGRTISGAASSSQNVARHTAPICDASGVSGTEWDSLDPFLDIGNFGTETTFSLDMANLDPGSN >OGLUM06G14800.1 pep chromosome:ALNU02000000:6:14092873:14093214:1 gene:OGLUM06G14800 transcript:OGLUM06G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGERGEAAELLRSGSGQQRRKEGDVQRRRKERDGGVNAEEALRECGVGALHAHCHGILPAEGHAADAGAASERHGERPTPPRRPVPSPQESTATGTHTPGSGGGGHPPWQ >OGLUM06G14810.1 pep chromosome:ALNU02000000:6:14098695:14100507:1 gene:OGLUM06G14810 transcript:OGLUM06G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCSVLGLGGGGGGGGGGRLDGELPPGFRFHPTDEELITYYLLRKVVDGSFNGRAIAEIDLNKCEPWELPEKAKMGEKEWYFYSLRDRKYPTGLRTNRATGAGYWKATGKDREIRSARTGALVGMKKTLVFYRGRAPKGQKTQWVMHEYRLDGTYAYHFLSSSTRDEWVIARIFTKPGVFPVVRKGRLGISGGGGDTSCFSDSTSASVGGGGGTSASSALRAPLAEASLFAAAAAPAQLRRGXXXXXGGGSATATANLVTGLELVPCFSTTAHMDASFGTGQYNPAPLAVEPPPPPPAFFPSLRSLQENLQLPLFLSGGMQAGVSSQPLSGGGAFHWQSGMDVKVEGAVGRAPPQMAVGPGQLDGAFAWGF >OGLUM06G14820.1 pep chromosome:ALNU02000000:6:14138919:14161073:1 gene:OGLUM06G14820 transcript:OGLUM06G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGGKRWRFATPNLAVAAAGERSIQRYLLQLHACLDEHGPRPVIPLSHGDPSSSACFRTAPEAEEAVAAAVRSGDYNGYSSPATSLPARRAVAEYLSCDLPYKLCTDDIFLTSGGTQAIEIVMSVFGQPGANILLPKPGYPKHEAHAVFHRMEVRLYDLVPERGWEINVEAVEALADENTVAIVITNPNNPCGNVYTYEHLSKIADTASKLGLLVIADEVYGHLVYGSTPFVPMGVFGETVPLAHSSSAWPTAMFSIVGGELSSR >OGLUM06G14830.1 pep chromosome:ALNU02000000:6:14161407:14163294:1 gene:OGLUM06G14830 transcript:OGLUM06G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAVTHRRGRSQGWRRRPDLRKKELDLFNDDASARFLWWACYWDLGEDGGPVVRKETHRMDRNGIAMHDGALDVGSDVELGTMCSIHKKERTHDMNGCEDDVLSVMRMTLGSHNGLVPLNFGETRLLLGF >OGLUM06G14840.1 pep chromosome:ALNU02000000:6:14186670:14186915:-1 gene:OGLUM06G14840 transcript:OGLUM06G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMMRTFGLDEELAGLGARHAEVLEGERAAGLPEHRAAHEAGPAGDVVPRGGCRVRLRREGAAERAADPSEDGERGGKRR >OGLUM06G14850.1 pep chromosome:ALNU02000000:6:14188557:14191365:1 gene:OGLUM06G14850 transcript:OGLUM06G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIQYVLPQPMKFDNAGVRTAYPGVPLVVGIDRSQLQPVAGVGLSREASVAGTVLSRAPPVAGAVLCRRRPLTVAGAVLSREPPVAGAGVVCSRCRFFSQRRRLLFSCACMSGQLIRSDLGEEK >OGLUM06G14860.1 pep chromosome:ALNU02000000:6:14198984:14201791:-1 gene:OGLUM06G14860 transcript:OGLUM06G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPIMDLSYVCEDCGFLLDKEDVQNHAKTTWHKRLTLCFNGERLFRCRNCDFSSCYPIELRSHAKETGYKEFLSKEHYDRLELPATETPQKSKIVGSSGSGLRTVKKAAKISAHEQWSPIPFMLLDDEEARELFADDIARNHKEDKVETDSVTYSGVFIVRSLDAMVMFDADKMNELREKYVIAEGVSSRIALERVQLALANWNKGIPFCLFSTGANSYKYDEYLKQVCLTKNPYEVRSPEKSRRMYDMLRVVEHALDSDTVLRLRLISYLYPDLFDEPELDLARKVERISDTINDLCMLLQVTRECLNVYASPNGLISGPLLLFCEGKLLADCSLGGSSGCLIPSEVIKVDAIEMKSKIDFVLVVEKDTIFHFLCDHDFHKRNNCVLITGRGQAGISTRIMLRKLWSVFPGIPFLCLVDSNIGGANIFCTYRFGSEANAHDSLFLTVPELEFIGLDINDIPAEKRTALSDDGKDKDLRDLTNLMSKKYTYDAVTFRTNLKSMNELRSKADIEILMKDKNLEQYILEKIAKRNKGTQAKNEEEIRSAEEELRELKLSR >OGLUM06G14870.1 pep chromosome:ALNU02000000:6:14211816:14212057:1 gene:OGLUM06G14870 transcript:OGLUM06G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPAKTKPKVEVLLLAPHASMRIAFGASLFHRPPQHAAQLALGLCVILVALPPLTVVGVTLPPH >OGLUM06G14880.1 pep chromosome:ALNU02000000:6:14212929:14214213:1 gene:OGLUM06G14880 transcript:OGLUM06G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVLCYLTMWYLCIVVLIFKSSRRRQIYAEQGNIVKFTEAQRGKAEEARDGQATASGRQVQDGARVTDFNEGTPGRTITGSRARTRMAAHEQAPTTHGDGRWLAGAWI >OGLUM06G14890.1 pep chromosome:ALNU02000000:6:14226553:14231094:-1 gene:OGLUM06G14890 transcript:OGLUM06G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSLEERKVEEEDWDQDDDGSVSSIDVGAQLGNGGLILVLFETPTGFALFGYDGIKLLRPNALKDVWGEFVMEFMLQYQPVWLKDFQAFEGKASALNFDTGVSEQLAGMIRKCICPGQTLAVGKPEYVTIIESDLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKYGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEHKLVGNLPELFGDDYSKLVDDAPKYKGIFRKFSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQALMHAQPGVIKQE >OGLUM06G14890.2 pep chromosome:ALNU02000000:6:14226553:14228656:-1 gene:OGLUM06G14890 transcript:OGLUM06G14890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKRRPLGSGYAKKDMQRLPGWRGGSGQPVWLKDFQAFEGKASALNFDTGVSEQLAGMIRKCICPGQTLAVGKPEYVTIIESDLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYNVRKYGESMRYACKHLKETSGINAEDWDLLKLATAIMMLCYPNGEHKLVGNLPELFGDDYSKLVDDAPKYKGIFRKFSCLRAYAEMVRSRRIRSKAARSLDSLVTAAERIYDEAQQALMHAQPGVIKQE >OGLUM06G14890.3 pep chromosome:ALNU02000000:6:14229407:14231094:-1 gene:OGLUM06G14890 transcript:OGLUM06G14890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSLEERKVEEEDWDQDDDGSVSSIDVGAQLGNGGLILVLFETPTGFALFGYDGIKLLRPNALKDVWGEFVMEFMLQYRQWGIGIFQTIKHSIVR >OGLUM06G14900.1 pep chromosome:ALNU02000000:6:14231733:14232146:-1 gene:OGLUM06G14900 transcript:OGLUM06G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTVWRRGRIHRSPEVETIELLKWMIPVIQKTRRANPSAGTSEQPHAAVAALEYAPCVNGKPCARPRVDGEPGDRPLSCVDGEPCARPRVVDGEPGVQPRVGDWGGRPRVGDLGDWRSIDGSRRRLTLGKSFWVNV >OGLUM06G14910.1 pep chromosome:ALNU02000000:6:14233522:14236438:1 gene:OGLUM06G14910 transcript:OGLUM06G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLPRYRHVASPPPPVHAGVAGLGDEQQLEQLARVLSSLGTNEMASAAPLLANSALLAAWPGSITVFAAPDRRAVSGKTGVGQW >OGLUM06G14920.1 pep chromosome:ALNU02000000:6:14239304:14242819:1 gene:OGLUM06G14920 transcript:OGLUM06G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSHIVAAAPSPLLPPLILQLVRQGAETSCGQDHRSKINSSLSFELGAGSVVKCVGSPWSPVGSPPLASPIRLHLRLTGFPPRLHLRDHTAAEAHPRHRCAAIDCQGQHQQCLADLLHARRPPALPTG >OGLUM06G14930.1 pep chromosome:ALNU02000000:6:14244272:14244876:1 gene:OGLUM06G14930 transcript:OGLUM06G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKKSPFRPNRKPARAQDALSLALVRVRRRSLRWIQLRLTLRSAYAGALPRPRPAAAASARPPCPAAVTGGTWRRLAAGPDGVVVQAGSLSPARGGGRAAPAAPHVPISAASTPRWISAFEPASRARTSRPPTSSPARGDTAARLRPGYESLVVEFVENATPFPVPTRCLWKCPAVWDDAES >OGLUM06G14940.1 pep chromosome:ALNU02000000:6:14246153:14247413:1 gene:OGLUM06G14940 transcript:OGLUM06G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPPPEAISKVVFGGQVTEEEFESLNKRKPCSAPLWEMTGSGIFAAEGEVEEDESSNASATPIRTSRRHSPPVLPLMSRRLAVSRQAGSFSDVLRHCGRCYLKLSKACISYLDDANFAVHMGLSSPLLAQYISQFNVQ >OGLUM06G14950.1 pep chromosome:ALNU02000000:6:14269336:14276883:1 gene:OGLUM06G14950 transcript:OGLUM06G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPTLNLSIACPQLTPAASTFPAAASNYCQLDELLTEEEKDLKIKVRQFMENEVAPIISKFWEKAEFPFHLIPKMSTLGIAGGTIKVNR >OGLUM06G14970.1 pep chromosome:ALNU02000000:6:14292966:14297526:1 gene:OGLUM06G14970 transcript:OGLUM06G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVCVYALSEPNHGSDASSLNTTARKVPGGWILNGQKRWPANSSFADIFVVLACNISTNQINGFIVNGGAPGLKISKIDNKMSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKIYSISPHTIGDTDLTDYVAYIQALSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVWLLGWRLYKLHDSGRMTTGQASLGKAWITKKARETVALGRELLGGNGIVTDFHVGKAFCDMESLYTYEGSYEVNALIVARDITGIASIRPASRL >OGLUM06G14980.1 pep chromosome:ALNU02000000:6:14315672:14317470:-1 gene:OGLUM06G14980 transcript:OGLUM06G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9A7] MEVWRRRQLHSHSYRLPEPFRDEVVVMVGCGENGKDIALVLIGVAKEHQTLQLSCLHRFLPKVLNLILRLQEHFRWVNLVLGKYFTSILIFASYYVTIGTLIDRPAKLSLDDIKRGWKRPKYNVTATLQVDFGVLVVYVDSDSWLGVTCF >OGLUM06G14990.1 pep chromosome:ALNU02000000:6:14321213:14322340:-1 gene:OGLUM06G14990 transcript:OGLUM06G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVIQERKEWSSPTCSRPTSSDPAGEPEAVELPSYFKVLQEWLDFVLCLGLSTDDLSSYLLLLACSIRKNTSVVVDLTPIVKSLLRCTLVDRLISTAALHYHHSHQIKAPMPTWLIVKATPQPRDGAKKLAVAAYSALLLSPSVWQKAQDAKKSKAVGVDAALPSSPRITCMGQVKGRPRRCLGACRSDRPAVRVYGWGDQLQKQSSFYPTQGITIDKKTAKKGKQQI >OGLUM06G15000.1 pep chromosome:ALNU02000000:6:14322343:14322579:-1 gene:OGLUM06G15000 transcript:OGLUM06G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHQAAAVLPHRRPSQRRPPPLPPRPVAARDARAFPPPVDARARSFLPIDDGARSTAMGAMEMPLSQSRHRRSTRCR >OGLUM06G15010.1 pep chromosome:ALNU02000000:6:14326064:14341326:1 gene:OGLUM06G15010 transcript:OGLUM06G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSELRSPALKLSIACPKLTPAASTFPAAASNYYQLDELLTEEENDLQMNVRQFMEKEVAPIIPKFWEKAEFPFILFQRWGYGCPGLSGTAHAMCFLEIARVDASIASFFLVQSCLAMLSIAQLGSEAQKEKYLRSLSKMHKALTEPNHGSDASSLSSTARKVPGGWILNGQKRWPANGSFADVFVVLACNTSNNQINGFIVNGGSPGLKISKIENKTSLRVVQNCDILLEDVFVPDDDRLPGANSFQDLVKLATDIGSFSRVIVAWISIGIAAGVYDACLRYLGERKQFGAPLAAFQLNQEKLVRMLGNIQAMWLLGWRLCKLHDSGRMTTGQASLGKAWITKQARETVALGRELLGGNGIVTDFHVGKAFCDMESIYTYEGSYEVNVLVAAREITGIASIRPTSRL >OGLUM06G15020.1 pep chromosome:ALNU02000000:6:14379995:14382939:1 gene:OGLUM06G15020 transcript:OGLUM06G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKKVMSGCHIPAFGAWNYRDDDLPITQCFDLAIQDRLMRRANRRGDGNCKRRLAVPFDAWPPAPRGAAHGKVIRRELAQKQWDNVAEEMMQWRAVGAYGTKRKVGDKAVDEDLCRNR >OGLUM06G15030.1 pep chromosome:ALNU02000000:6:14381860:14382346:-1 gene:OGLUM06G15030 transcript:OGLUM06G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKIACVTVKGGNLHRRTTTSASIPSSPHHRLTRPLETARPQGRRQLGLSHEVMGSESTTRLDPVQRWPWRPWADGWLPVVRRAATSTASAEPKGTTRFCGVDQGDDGEAASPDMASPGQI >OGLUM06G15040.1 pep chromosome:ALNU02000000:6:14395326:14396232:1 gene:OGLUM06G15040 transcript:OGLUM06G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTVISRIENTTSRQVTFSKRRSGLFKKAKELAILCDAQVGVLVFSSTGRLYDYSNSSNSRNLTYDQLLGDTVC >OGLUM06G15050.1 pep chromosome:ALNU02000000:6:14398311:14398810:-1 gene:OGLUM06G15050 transcript:OGLUM06G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPARGGGTGQIHAGRLDLAVGQPAAGLSDAAAVSGSGGSGVENWQRRRKPPDPRLDLVGQQPAAMSGGGDGEGGEVMAARPEVWRSWRRVRGDGGVGYHDKPSSSCATGARRRREVAGGWRDSGGDGRAAAAAVVATVTMVGGGMAILDG >OGLUM06G15060.1 pep chromosome:ALNU02000000:6:14422544:14425390:1 gene:OGLUM06G15060 transcript:OGLUM06G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKGCWSKGEEGQEEKIKIKERTEERKSVSRESSFCTSDTHAIGIFLAHFRTTQFYEVDGFNLCLEFWQAEAERLKQQLHNLEGSQRQLLAHDLSGLEWNDLKSLENQLETSLDNVRLKKDKIMVEQIQELRKKENIMHRENMELHREFNMIRQDSVNFQRKVYGKQDVNGGQGSSVTQNTNTPDDADEIRLELSQPQVPDEKPEAAA >OGLUM06G15070.1 pep chromosome:ALNU02000000:6:14461757:14462980:-1 gene:OGLUM06G15070 transcript:OGLUM06G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRVLIRAKFKDNDSVPRKIVLHNPVRMGGGGESWTISVFLLEGDFINMPLDEDLPPAGPQPNPAADDEDPDGGHIWQMGHPQVRPGDWDDLVQQQNAANEQVEDAWGQDHPMGQIMEENPDGLIDLAAANPGHENVVVPFVPAADKGKKRFLARLEKIAKNEYPRVPYFYPMKGMNEKIDLLCKERNSMHQFLASHPIPAALYEPSPFKTLVLPKKTMFDFSPQVNKLDATWALDFHKSNCPPQPQAHEDMEILEVLPLTVHPPSSPVCQTAAPLMLPKAPVMKRDGKTLLYNPYRRQSARLQHIKGDSELKVDPRMGISKPRGKSARKLKELAGIAKIFDDTCIKETDFNANVYDDIHSDSSPFDCSISLLQKMGVDMCELAPEEVAESSLGG >OGLUM06G15080.1 pep chromosome:ALNU02000000:6:14475830:14480022:1 gene:OGLUM06G15080 transcript:OGLUM06G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription activator GLK2 [Source:Projected from Arabidopsis thaliana (AT5G44190) UniProtKB/Swiss-Prot;Acc:Q9FFH0] MLAVSPAMCPDIEDRAAVAGDAGMEVVGMSSDDMDQFDFSVDDIDFGDFFLRLEDGDVLPDLEVDPAEIFTDFEAIATSGGEGVQDQEVPTVELLAPADDVGVLDPCGDVVVGEENAAFAGAGEEKGGCNQDDDAGEANADDRAAAVEAKSSSPSSTTSSSQEAESRHKSSSKSSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMIAREAEAASWTQRRQIYAAGGGAVAKRPESNAWAVPTIGFPPPPPPPPSPAPMQHFARPLHVWGHPTMDPSRVPVWPPRHLVPRGPAPPWVPPPPPSDPAFWHHPYMRGPAHVPTQGTPCMAMPMPAARFPAPPVPGVVPCPMYRPLTPPALASKNQQDAQLQLQVQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMGELQRQGVANVPPACG >OGLUM06G15090.1 pep chromosome:ALNU02000000:6:14573952:14576229:-1 gene:OGLUM06G15090 transcript:OGLUM06G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGTPSGPSPIFLGKLLWPGEVTITLRWIGHRVKNGWKFRVTAYGPFKHEYYSQDAYFVTASLISIAFFLWYASRLRRTAILLPPGPPGLPVIGNLLSVHQFTHRGLSKLSKIHGGFFHLRVGQADVFVVSSPETVREIIHENDSVFSHRPVTAAMVYVSYDLADMAFAHYGPFWRQMRKLCVLKLFSPRRDVSWRVVRGEVDALVRSVAELRGVAGSVGDLVFKFATNVTFRAAFGAQSREDEKVFVDIILELSEIFMAFNMGDYIPCLGWLDLNGIGKRMAAARHALDVFIDRIIDEHLAKLRNGDVSASDMVDDMIAYLVDAPGGRHKRADGVELGDLHLTRDNIKGLIMARNDIMFGGTKTVASTVEWALSELLRNPDELKRAQDELAGVVGLRRRVNQDDLDNLPHLRCVTKEVLRLHPPLPLLLRESLHDCAIGGYTVPRGSRVWINNWAMCRDEALWGTDAAAFRPSRFADESARVEFKGGDFQYLPFGSGRRSCPGMQLGMFAVELGLAELLHCFDWSLPTGTEPLELDMDDVFGLTAPKAERLCAVPSPRLSCPLL >OGLUM06G15100.1 pep chromosome:ALNU02000000:6:14595418:14595885:-1 gene:OGLUM06G15100 transcript:OGLUM06G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSRRRNNSSLLESSTIITANLIMASSSYLLSKTTSRAAPSSMQSAAPAPARPPQPPPAAVTARAWPTAVSARSVEPAVTRRKVLVAPDDGEDGKVDERADTFIRKFKERTQSDIARMEAEAAAAVAAARPPPALGAAKLAGTAYGYYGTGYYC >OGLUM06G15110.1 pep chromosome:ALNU02000000:6:14635975:14636950:-1 gene:OGLUM06G15110 transcript:OGLUM06G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVNGNGVVTRGYALESMAMATVAPFMNPIMLVVAAVAKGGNWQAPQRRRGADPISLFLSLSPWASH >OGLUM06G15120.1 pep chromosome:ALNU02000000:6:14642914:14643441:1 gene:OGLUM06G15120 transcript:OGLUM06G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSVARAAIVILCLVALTNLAQAQNSPHDFLQPHNAARAEVGVGKLSWDGTLAAYARRYGEMRSHDCTLKHSRGPYGENIYRGSAGRRRTAADAVARWVRESAYYDCGSNTCVPGRRCGHYTQVTWARTTRLGCAAVTCDSGATFVVCSYDPPGNTNGRGPYPGCGDYDVVSE >OGLUM06G15130.1 pep chromosome:ALNU02000000:6:14653239:14653791:-1 gene:OGLUM06G15130 transcript:OGLUM06G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWIYNLRSFICKDYHARFHLWRDGGSNWQREWRLWSAEQNSEWTLVSRKSKKQSTARSKPVKIVSSPKLVNPPRVSSSVKPNITKSLDLSLVRSSKGADSKFHTKNVIPVKSVFARLRFPEITAGPNALPVAN >OGLUM06G15140.1 pep chromosome:ALNU02000000:6:14684610:14684852:1 gene:OGLUM06G15140 transcript:OGLUM06G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGWTAADSGGGRWSGGGLFVSPVAAGCGKGRRRPDLEATAAAGKGQRPAAVKEVVVRGHGIGDEDCDGDGRRSRQRR >OGLUM06G15150.1 pep chromosome:ALNU02000000:6:14694522:14704425:1 gene:OGLUM06G15150 transcript:OGLUM06G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTDNHRIMPIDSRSSISYLWPVVAEEYYTDWLELKKTVLYIQCCGGRRAHIPLVQAERPMASCWEQLQGLCAAGHLRRRCRGRSLVLLQQLQLLGVLVVVVAGVAPGISEAQVRSRFKAIFMFGDSIVDPGNNNGQLTEARADFPPYGQDFPGGVATGRFSNGKVPGDLIASKLGIKELLPAYKDQDLELNDLLTGVAFASGGSGYDPLTSISTAISSSGQLNLFSDYKQKLTSLIGEEAMTRILSEAVFFTVMGANDLLNNYFTLPVRRHQYDIPGYVDFVVSNAVNFTLTMNEMGAKMIGFVGVPPLGCCPSQRTGPSRECEPLRNQASELFNTRMKQEIDRLNVEHNIDGLRVVYFDIYYNLLDLIHNPGYYGFKDTSDGCCGNTVLNAAIFIKYHSACPNVYDYIFWDSFHPTEKAYDIVVDKLIQENKQYLM >OGLUM06G15160.1 pep chromosome:ALNU02000000:6:14719379:14720179:-1 gene:OGLUM06G15160 transcript:OGLUM06G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARFRWRGSIGAAQFPLTYEEQPRPPQAHPHQGASAFVPTCDGHLHPYAPPSLSSSVAILARAPPPLLAGVATVRRNLLSIERCSGSSSQAASRSSPPSTAVGSMSYPPSVAVKCNPSSSPSVLRSTPSRRRLHSASPPSSTPSARSVAASPRQAQCSIAQSVST >OGLUM06G15170.1 pep chromosome:ALNU02000000:6:14721890:14722368:-1 gene:OGLUM06G15170 transcript:OGLUM06G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASAPHLIALKALVQTVSSSTTLKVNFAKSGMIPLNLDEDNALLLASTYGCSLLLASTYGCSLISLPFTYLGIPLSASKPKIGLVGIFFGRVKILQLD >OGLUM06G15180.1 pep chromosome:ALNU02000000:6:14728016:14733462:1 gene:OGLUM06G15180 transcript:OGLUM06G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSNSRHGCCLFVRPMLVLVMVLELTILIPPASCLASPVRNISAIFIFGDSTVDPGNNNNRLTPSKANFPPYGQDFPGGVATGRFSNGKAMGDMIASKLGVKELIPPYLGDGLQLDDLLSGVAFASGGSGYDPLTSKITTAISSSQQLQLFEEYKEKLKSLVGEEDMTQVVAEAVYFTSMGGNDLANNYFLIPFKQHQYDLGSYVDFLVSLAVNFILQLNQMGAKRIGFFGIPPVGCSPSQIILGEHPSEKCDPERNQASELFNSKMKMEIARLNAELNIYGLKLAYMDFYRYLLELAQKPALYGFKVAAEGCCGSTLLDASIFIAYHTTCPNVLDYIYWDGFHPTEKAYSIVVDNMMRVIEEHLM >OGLUM06G15190.1 pep chromosome:ALNU02000000:6:14737387:14745122:-1 gene:OGLUM06G15190 transcript:OGLUM06G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKLMPSECLMKCLKGLMPPWPPPIRLEQCKSWEIRVAITLFVWKKQQDLSAKHPFISYMMAQYFETIEQRRVSVGNSLDINIFQDTWGCKDGIQIILKEVENARDKAKCNQEYNFSMEECFNSNHQIILGN >OGLUM06G15200.1 pep chromosome:ALNU02000000:6:14755300:14755893:-1 gene:OGLUM06G15200 transcript:OGLUM06G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLLPAGGDGGPDDETTRLERTITRAFRSTAELAKHLPTGAVLVFEVLSPVFTNGGKCQDVNRVMTAWLVGLCAAACFFLCFTDSFHDGKGTVRYVVATRAGLWVIDGTAPPPPDVAATYRLRFIDFFHAVLSLIVFLSVAMFDHNVGACFYPVMSYDTRQVLTDVPLAGGLVGTMLFATFPSTRHGIGFPVHVA >OGLUM06G15210.1 pep chromosome:ALNU02000000:6:14764369:14764858:-1 gene:OGLUM06G15210 transcript:OGLUM06G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSWRWTSGGAQARCGGEGEGGGRVEVRMRLMSNSFPLCSDHGICVRGHCIFCEVDNAVQQWVKALPADEFFTAVSSRINVLLTRYKGKFRHYDVNNEMLHGSFYQDKLGKDARAAMFNTASELDPDALLYAPRRPL >OGLUM06G15220.1 pep chromosome:ALNU02000000:6:14797694:14799590:-1 gene:OGLUM06G15220 transcript:OGLUM06G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAADVIVVKAAAVVVVKAADIVEAADIIVVSAGNVPAAGRLLTTIRHHPLRTLPLPLTTPLLGHLLSRCRVREAASIIHWLCCPDSPQRPNSATFTFAIVAGGHNSDDGDRAGVARQRPMRRRRTGSAQI >OGLUM06G15230.1 pep chromosome:ALNU02000000:6:14825617:14825877:1 gene:OGLUM06G15230 transcript:OGLUM06G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGRGADDDSTETMGMGEGGEPVAKAELPRRYLEQGGVRGVRREILVGWVGLANNVSDFLAPASQGGGRPTEGREMVARGDREL >OGLUM06G15240.1 pep chromosome:ALNU02000000:6:14836606:14839143:-1 gene:OGLUM06G15240 transcript:OGLUM06G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKKHSDRGGGGGGGGTELFICFTSRPSTASASSGAPATLRPSSSSKLLSPGRTGGGAGAVAGADSAPVPPLHPSLSRRLRNSGSLKGGQSPMFPSGSSGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKPKHASAAALRSRSRRGGVGGGGSAEASFRRAGDDRDGPAGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRAAGAGERGSLSAADKKRRRPPASGSWLCGAAVARCLLAIQEEEDDEIGKGAAVVPAEDVKASEVGLVMQGWDVEEEESTVVVGEVEVEKKDEILVVGKEEEGRISVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAANVSQVDNVKDENENDDDEEEEEDEEDEDGADTDKECKEQARDSAVSTKEAECRECDGSLHDGCEAGQVDPLETEAVETSECGDLGEEASEYGDCREDEEKIEPEETPIVRKDSVLEVALAGEATGRDIQLPLLEMVETVAEAQEEVSVPGPEKEEREMKGRRSSNCCSPSTALKEDRKLRRLSSRRRVGSSSRASSGSDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMGSRKGQKISSEPESEKDCAVHAAPNSAEETLESHDDGKEEATLDGQEEGTTQETQVDEKGEKVEVGGVEAQDVVEEQKQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGQNRRNRRQQKAGCNAAPAEELKDTDNAEGTSDAKNTEESVAPASANLVSMPPPVVQKSPLKEAAEQKFKIELPLVTGAATYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >OGLUM06G15250.1 pep chromosome:ALNU02000000:6:14851374:14851607:-1 gene:OGLUM06G15250 transcript:OGLUM06G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVELDGDGADSGWRWSSAGISGSDGEVHGTEAGCREPTGDGRHGRDWRRQDVDCMEVDHVLRSHIVSSLRGRYI >OGLUM06G15260.1 pep chromosome:ALNU02000000:6:14852434:14853012:-1 gene:OGLUM06G15260 transcript:OGLUM06G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTSREGLQDGCGARTTKKNPTAEDDQCRQQGCRYAVDDEDDESDNAMTRRGDIGVARCQCSGENSTGGEATLRRAPLSIPTTSGGGPGTATLWPEGVTQRQAGNPKFDGADA >OGLUM06G15270.1 pep chromosome:ALNU02000000:6:14853037:14853452:-1 gene:OGLUM06G15270 transcript:OGLUM06G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALFSVADVAEAKDAREPWSMKPCSLRMMTLKSRCNRTVKDETSHRVCQTPGQLGTNMRTSVANTAQAR >OGLUM06G15280.1 pep chromosome:ALNU02000000:6:14883746:14890857:-1 gene:OGLUM06G15280 transcript:OGLUM06G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTEISEIGLLFGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >OGLUM06G15280.2 pep chromosome:ALNU02000000:6:14883746:14890857:-1 gene:OGLUM06G15280 transcript:OGLUM06G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTEISEIGLLFGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >OGLUM06G15280.3 pep chromosome:ALNU02000000:6:14883746:14890857:-1 gene:OGLUM06G15280 transcript:OGLUM06G15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITWFRILVEQELWKILEATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >OGLUM06G15280.4 pep chromosome:ALNU02000000:6:14883746:14890857:-1 gene:OGLUM06G15280 transcript:OGLUM06G15280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15140) TAIR;Acc:AT3G15140] MALARVSPPAFSSPFLIHSLLRPFSSPSSVLRPRVTRVPHHRGFAIAAALSQASPLPSADGDGAVMEAPPRPSSRRPWKPTCLYYTQGKCTMVGFSFPFSRFTVVWLMWVGYRINRLDSGSGKVEILEFPVVMIDAQSMEFVDSFHRFVHPTAMSEQRIREYIEGKYGKFGVDRVWHDTAIPFMEVLQEFEDWIEHHKFWKKEQGGALNSAAFITCGNWDLKTKATGMMTMMRELQMPIVGSHHLGIDDAKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKNRIR >OGLUM06G15290.1 pep chromosome:ALNU02000000:6:14979888:14988396:-1 gene:OGLUM06G15290 transcript:OGLUM06G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9E1] MDPSYPPSATARRAAAIARHLAGLSPRDAAAVAAALEPSACLSYAPPESSEPAPAFSPLELRSLLDGHHLRERDWAFRAMEESPLFCQRRSGGKVFVSPDYNEGKEGQREATMRRVGYLARRGVFRGWLTEPGPDAELCKLALLECLGMYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLSDTENYVIKGCFSMTELGHGSNVRGIETVATYDIKTREFVINTPCESAQKYWIGGAANHATHTIVFAQLHINGRNEGVHAFVAQIRDEHENVMPNIQIADCGHKIGLNGVDNGRIWFNNIRVPRENLLNLVADVLPDGQYVSTIDDPDQRFAAFLSPLTLGRVNIAVNAVYISKVGVAIAVRYALSRRAFSVTPDGPEMLLLDYPSHQRRLLPLLAKACLMSSAGNFMKRMYVKRTPELNKSIHIYSSALKATLTWQNMTTLQECREACGGQGLKTENRIGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLTAKRKNQPFKGLGLEHLNGPCPVIPDYLTSGTLRSSSFQMDLLCLRERDLLKRFTTEVSNYLAQGESREKALMLSYQLAEDLARAFTERTILQIFLEDEKNIPTGSLKDILGLLRSLYVMVCIDESASFLRYGCLSRENVAAARKEVMTLCSELRPHALAIVSSFGIPDAFLSPLAFDWIEANARSSGNE >OGLUM06G15300.1 pep chromosome:ALNU02000000:6:15006658:15012104:1 gene:OGLUM06G15300 transcript:OGLUM06G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pheophytinase [Source:Projected from Arabidopsis thaliana (AT5G13800) TAIR;Acc:AT5G13800] MEVVSSSHSCLAFNRTPSSAWRFPGNGLGPGHAKLTRPRSAILCVRSGTASNPADSGKVHASHGFYVSDVDAALQGIPKKVGEIEKMIIPSLPEGPESSLISTGFWEWKPKLSVYYEKSGIDNSKAPSVLFLPGFGVGTFHFEKQLKDLGRDYKVWTMDFLGQGMSLPCEDPAPKSTSGELDEDTYWGFGQELQPWAEELVYSIDLWRDQVQHFIEEVIGEPVYIVGNSLGGFVSLYLAASCPHLVKGVTLLNATPFWGFLPNPATSPRLSKIFPWAGTFPLPSFVRKLTETVWQKISDPRSIQGILKQVYADHSTNVDMVFSRIIETTQHPAAAASFASIMCAPKGQISFEEALSRCQRQGIPISLMYGREDPWVRPIWGIKVKQQVPESPYYEISPAGHCPHDEVPEVINYLLRGWLKNVESEGSVGVPFLEEPSYAENGVSRELEFVRGGSKKSVHVRLFGSKISLWSQLRSLLKSNTRV >OGLUM06G15310.1 pep chromosome:ALNU02000000:6:15077629:15078396:1 gene:OGLUM06G15310 transcript:OGLUM06G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNPWTSDVILVMTAWVVTTYNSITSALSKARAMDKAAEILARMLKNVARERNNPTEKLILNMLNH >OGLUM06G15320.1 pep chromosome:ALNU02000000:6:15078830:15084870:-1 gene:OGLUM06G15320 transcript:OGLUM06G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAVMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPEMEKNELLSSPYECAVLQEACVAQMQPSIECPQQALLNCFAWPSIRLLPAHVACLHQTFDSSYVVRDEHTLGGVQNQQMVHLYYLQSDSTELVGIWTPDITLISPCSSAKQEKSALFGDDYSKLVDGAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQDVPAAGLHLRALVFDADIKSVW >OGLUM06G15320.2 pep chromosome:ALNU02000000:6:15078830:15084870:-1 gene:OGLUM06G15320 transcript:OGLUM06G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAVMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPEMEKNELLSSPYECAVLQEACVAQMQPSIECPQQALLNCFAWPSIRLLPAHVYDGVFHMITSYIIISVHLISLHMIIKCLASIYSRSLAYIKPLIQGGVQNQQMVHLYYLQSDSTELVGIWTPDITLISPCSSAKQEKSALFGDDYSKLVDGAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQDVPAAGLHLRALVFDADIKSVW >OGLUM06G15320.3 pep chromosome:ALNU02000000:6:15078830:15084870:-1 gene:OGLUM06G15320 transcript:OGLUM06G15320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPIAKGKHPVWLKEFQAFEDKASALSLDTGVSEQLAVMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDLKPEMVTRSIIEATGLLYETDYHVRKHGESMRYAGKHLKETSGINAEDWDLLKLATAIMMLCYPNGEYKLVGNLPELFGDDYSKLVDGAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQDVPAAGLHLRALVFDADIKSVW >OGLUM06G15330.1 pep chromosome:ALNU02000000:6:15098547:15099440:-1 gene:OGLUM06G15330 transcript:OGLUM06G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASRRAASLLAVAAIAAVFLAGPATAVGKTGQVTVFWGRNKDEGTLREACDSGLYTMVIMSFLNVYGHGKYNLDLSGHPIAGIGDDIKHCQFIGVPVSLSIGGFGNGYSLPSNRSALELFDYLWNAYFGGSKAGVYRPFGDAWLDGVDLFLEHGTPADRYDVLALELAKHNIRGAPGKPLHLTATPRCTFPPSSYLGRAVATGIFERIHIRIYDDDNCEAYWHLAWDKWTAVYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSTQKADNYGGFMIWDRYYDKLSNYTSMVKAYA >OGLUM06G15340.1 pep chromosome:ALNU02000000:6:15177339:15177806:1 gene:OGLUM06G15340 transcript:OGLUM06G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRVQGADRWPRYMAADALAFVAASLGKGGWYGGGGRRRWVAERSSLRRVFGTGTLRDTVAPLLVPCYDLATAASFLLSRAGAVPLLPRRRRREDSFDFNGR >OGLUM06G15350.1 pep chromosome:ALNU02000000:6:15177882:15178270:1 gene:OGLUM06G15350 transcript:OGLUM06G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLIRCGPRTAVARQRCSAPFPLHGAAAKRGGDADGREDDELDNGEGEAAENCGALRHRPARHLPAPWLLLPRPPLLMLPPHLHG >OGLUM06G15360.1 pep chromosome:ALNU02000000:6:15180742:15184422:1 gene:OGLUM06G15360 transcript:OGLUM06G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse II-like 1 [Source:Projected from Arabidopsis thaliana (AT4G15417) TAIR;Acc:AT4G15417] MESPPHATASADEMPSIWKEQHAQDAPPGFVPPMGPGEVAAVESLLGYEFRDKALVEEALTHGSFYYPYRPGVTYERLEYLGDAVLTCVVSREVFLTYGQLQPGPLTRLRAANVDKEKLARVAVVHGLHNFLRHKAPNLDGQITDFIEELSMYPIHSNGLLDPPKVLCDVVESLIGAIYCDSNFNQEIVWQVFQKLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLTVEVLIDGEMVGRATYAQKKEIAQNRAAKAALDKLKGTLGQSQTEPMSAEVSEQFNKIDLTGS >OGLUM06G15370.1 pep chromosome:ALNU02000000:6:15187432:15187997:-1 gene:OGLUM06G15370 transcript:OGLUM06G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPFYSLEGEEELRDGLSTASSPAAKRWPNRHGFGTRGGQRSEDLPRDGGTTKIGVAVVERRRRRGREGWTSSWRLLGPCDMAANMVCGGGREMGGGIYRVWEGWLNGRRDGRRTGLEDDMMVHGARERHDGAWGSSDVMEHGARERRDGARGSRAT >OGLUM06G15380.1 pep chromosome:ALNU02000000:6:15188052:15205642:-1 gene:OGLUM06G15380 transcript:OGLUM06G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVPHTTAPAMLLLPLLIFAQSQHAEKPSVTAPGWLALPYGQRQRAWRGWAQPRRRETGAAAAGKGARGSGDPPISAAAQSEAGASVAAWQRHGQARAASG >OGLUM06G15390.1 pep chromosome:ALNU02000000:6:15234412:15239848:-1 gene:OGLUM06G15390 transcript:OGLUM06G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAEEPSTKLPSRSPLDGPAPPPYHHHHYGTFLPPPPPQQQQQQKPDGAASNHPFPAGYAAQGVVAFPCTVQQLVLVEGVPIREPPLPFCGIGLGWILFLLGFFLAALPWYAGAFILFFVALDHREKPGLIACTIAGIFVLVPFILNGIRMHPFW >OGLUM06G15400.1 pep chromosome:ALNU02000000:6:15308177:15308761:-1 gene:OGLUM06G15400 transcript:OGLUM06G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYWKMRQGVIKQHEFSLFVYTTSKKTMDACMGELENRLNTRFLGGASTSYNNECMYGVSSAYSIPSVPIQQDWPMRSTYNSKFIPTPQYNSDRYDTAGQTGSRGRSNRYNIAGQIGRGGRSNWERNAAQAIAGKSFRIDSYIDRS >OGLUM06G15410.1 pep chromosome:ALNU02000000:6:15311595:15311871:-1 gene:OGLUM06G15410 transcript:OGLUM06G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPEDSDTAKMLKYIEARFFQYHKDTNRKLVLRQKRIFELEDEPWKLNNLHRMKDDYEDVKPIHVVKRLCTGIIFLMEGRFL >OGLUM06G15420.1 pep chromosome:ALNU02000000:6:15312995:15336110:-1 gene:OGLUM06G15420 transcript:OGLUM06G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34460) TAIR;Acc:AT2G34460] MATALHTIAAARPRLPLAAFACLCPGPRPATRKLSLTSTAVSTIDSAPASSSDAKKTTTVFVAGSTGRTGKRVVEKLLERGFGVVAGTTDVGRARRSLPQDPNLRLVRADVMEGTDKLVDAIRGADAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGVTRFILISSILVNGAAMGQLLNPACIVLNLFGLVLVAKLQAEKHIRSSGINYTIIRPGGLTEQPPKGNIVMEPEDTLYEGSISRQQVAEVAVEALLCREESSYKVVEIVTRAEAHNRPLKDLFASIKQS >OGLUM06G15430.1 pep chromosome:ALNU02000000:6:15339139:15339799:-1 gene:OGLUM06G15430 transcript:OGLUM06G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCMALTRFSVRVRAGAVADARQRRGSFLPCVIPICQDHLFLSSFSFVARYVDGIMEQGEGTSSQPKPASKKACERNQLHPEGASSQAAPASKKACARKLTLNLK >OGLUM06G15440.1 pep chromosome:ALNU02000000:6:15340016:15344034:-1 gene:OGLUM06G15440 transcript:OGLUM06G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAAFPSGSPSCSRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGNQKAWSYFWKHKRKDQICTLLPFPANTYTPLGCTYPFCLALHGASVKQKVYGNGTFLREGIYEFNLKIGKQYIYIHRILYEFNIKVIYSHILSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLCGICMLFITVYGMLFMKLSELGCGYSNARGVD >OGLUM06G15440.2 pep chromosome:ALNU02000000:6:15340020:15344034:-1 gene:OGLUM06G15440 transcript:OGLUM06G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAAFPSGSPSCSRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGNQKAWSYFWKHKRKDQICTLLPFPANTYTPLGCTYPFCLALHGASVKQKVYGNGTFLREGIYEFNLKIGKQYIYIHRILYEFNIKVIYSHILSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLCGICMLFITVYGMLFMKQRAGC >OGLUM06G15440.3 pep chromosome:ALNU02000000:6:15340020:15344034:-1 gene:OGLUM06G15440 transcript:OGLUM06G15440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLAAAFPSGSPSCSRCFPLKLAGPAAAATAVRSQWLPSSSSHQASGDCRRLLASSMSTAAAISSPSPSHADLVLQDLCRIEHPQARGLELFLEAQTLSYHNINNVGPYFWWVLHAVIIIKAMKGSRLPAAWQLLFRISLPINCYCLCGICMLFITVYGMLFMKQRAGC >OGLUM06G15450.1 pep chromosome:ALNU02000000:6:15379434:15382625:1 gene:OGLUM06G15450 transcript:OGLUM06G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNVQEYQLTLYLEAYLLWLFGRVIFTGSHGNTVDARLIPLACQIAKAGDQPSLQPHNADYATAIFTGCPLLVMLFSFGRPYMSVAVAHQDDYTDVVDDRPTFGTRSYYGPPQWARIQVHNVYEYFTEAFESLRENEVRWTPYTNEEAILRAPNGICILCYRDEAYWITRKMLVYNIFVEGWDRTSKSQFLLDFCVHQMCTRDQGIQPQHYEQYMAWYSLQNRIRLLPPEDTDKRGPPTIGQIYDMQLAPPAHLTSDIAGELVKEAKTLWEKLRDGIACTNQEVMAVIDYLRRKAQPKRVPPHSSTPITSQWQSGFASFAEGARMVRPVPQMPLARPHMIPQMAPDVATSHWQGGFAPFAGTSQGIPTYPKCLRHLSVKEGLQPTQSVPLHAPTYGTNPWQGQFMDYSEYRVIWTCCNKGLGHTQHRTATYPASVEGRHQYPMGFGLRKLMKRPRRHSPHNQRLAIYKEMTMTHVDLTMSTLSPTVCHSQALDMPQEQGKRLKKASYNI >OGLUM06G15460.1 pep chromosome:ALNU02000000:6:15388686:15390884:-1 gene:OGLUM06G15460 transcript:OGLUM06G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMHERTKTAIIERPNQAKPARCKPRSSCLAPTLCRLASRSRPARAAPHTPHARTSSHEDEQTNECPDDTSSFPLS >OGLUM06G15470.1 pep chromosome:ALNU02000000:6:15391595:15391918:-1 gene:OGLUM06G15470 transcript:OGLUM06G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGWRRCGLAAAAAVGGIGRDGRALPSAAAACGGVGGVRREERQLPGAALPPSDPAGGRAPESRRLTVLHTTTECAGRLDAAACLESVAAHEISRSAASPWDLR >OGLUM06G15480.1 pep chromosome:ALNU02000000:6:15407271:15410236:-1 gene:OGLUM06G15480 transcript:OGLUM06G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDYLVNDSSVAVKIVWFLPLWHQLLLQKLRHLLAAMIYTVTHLLACRLDKGLLPPQQSWFGPSQYRGSINTALSQCDQVISMTKTIAKYPGCYINRSNLSHRNFHWRSRDNSTRSLVLEPVNQSNLSHRNFHWRSRDNSTRSLVLEPVNRSNLSHRNFYWRSRDNSTRWFSNQ >OGLUM06G15480.2 pep chromosome:ALNU02000000:6:15407271:15410236:-1 gene:OGLUM06G15480 transcript:OGLUM06G15480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILDYLVNDSSVAVKIVWFLPLWHQLLLQKLRVTHLLACRLDKGLLPPQQSWFGPSQYRGSINTALSQCDQVISMTKTIAKYPGCYINRSNLSHRNFHWRSRDNSTRSLVLEPVNQSNLSHRNFHWRSRDNSTRSLVLEPVNRSNLSHRNFYWRSRDNSTRWFSNQ >OGLUM06G15480.3 pep chromosome:ALNU02000000:6:15407271:15410496:-1 gene:OGLUM06G15480 transcript:OGLUM06G15480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRESDYLVNDSSVAVKIAPAAPPETKGPSQYRGSINTALSQCDQVISMTKTIAKYPGCYINRSNLSHRNFHWRSRDNSTRSLVLEPVNQSNLSHRNFHWRSRDNSTRSLVLEPVNRSNLSHRNFYWRSRDNSTRWFSNQ >OGLUM06G15490.1 pep chromosome:ALNU02000000:6:15413017:15413313:1 gene:OGLUM06G15490 transcript:OGLUM06G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVDLPPEFCVRGPPTPDWPPPPTESDEERFREDLEQYYNDGYVSTPCPSPPSDLFDSEENLEDGDEEDDNRRHGDVLPKDNQSKDTASPPSKRKKV >OGLUM06G15500.1 pep chromosome:ALNU02000000:6:15413905:15414299:-1 gene:OGLUM06G15500 transcript:OGLUM06G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVFKKFGNYHREFVKCPKMVPCSNDCAFYVMRYMEQYQGNPDKLADDFQPPESCVLRAQMLHQLIFHRFNLAPCIHSAIKDLRPLDNGEGSSH >OGLUM06G15510.1 pep chromosome:ALNU02000000:6:15414339:15418752:-1 gene:OGLUM06G15510 transcript:OGLUM06G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINKMLLPSTGLYISPKDAWIGSDLQKVARINWSKAVFDALRDNVILRHKSKNGPRQQTYIRCCIAFLVMNGILGSCYSHPDYDKEKEPRGDNSSTPFADELVSTVEISFPSMFDTIGPHLSGLQDEHKQRVLDALGEYDHQSKLSADAIAKQIRLVQTCHARVSDHIVSIIRGESRTQPPPDPQPQPASHSQPDNQHGPVASQTSEEAQDHHTHSTPDISPTNSPALQPSRIITPDAALNATPQITSTEPHPHLPGELFPTMDKTATGDETQAHTPQPDVDFQCGGDVGIPLQGIIAITMTSEGTYTTQSHTADGIEGHHDLPDADVEHGIETDISMQGIIQPTAPAVEPALPDFGVPNTLLALTAYVQDETAEHNTQGDSSHDDDDNLSLSLPPDELLTDSQPAAKIDQICIMEGAYHDSIEVTTCFSCPIFHLYAALIIPSDHRALAMCINKEADDGARQHASPVKRCVKRAARYMPPASQSVPKDDNVAVQLLDLILAKATDIAASFKAGSMTKGIFIDAFASLLFKDEMRDNPETFGKKIFIPTSVTGLLNIENVTRVGSKDNFSPRALAEHLSECLMGVNLSKAEQLLLPIINNDHWTLYIVYLNQGSFDILDSNDYDQIGGKESQHHYPLA >OGLUM06G15520.1 pep chromosome:ALNU02000000:6:15421919:15422355:-1 gene:OGLUM06G15520 transcript:OGLUM06G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPRRSPPRRHALWPPTGDHRSSYAPPPDVAEPLTAVPARADHAEPRMPHLCSSCAGVGPTCD >OGLUM06G15530.1 pep chromosome:ALNU02000000:6:15428523:15429024:-1 gene:OGLUM06G15530 transcript:OGLUM06G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVGILCFLTASKPRRCVSPTRLLMRLWPCRPGLRSAAGELPVDMLSRKLSLPSCYKNGINISHRSSCANPID >OGLUM06G15540.1 pep chromosome:ALNU02000000:6:15431346:15431910:1 gene:OGLUM06G15540 transcript:OGLUM06G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSGDVATTRGRRDSWRGAHVSSSGGAKSESGSATSTSPLGLAMALSPLAPSTAAISTSTTIQHGATGGRGIHTSRWRRSCRPHVLVREVGGSTTAASLVVAWSPERKGDRVSALSQLGWVVSSLTYDAKVDLSHILSQGYLV >OGLUM06G15550.1 pep chromosome:ALNU02000000:6:15456591:15459811:1 gene:OGLUM06G15550 transcript:OGLUM06G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAGSLSMATGFVMRKMDLVVMRAHAPFSVTKENTFRKYNAGNTRQPLMGNKKTISIEVQTCCFICMSTDGRCNLKKDGRILRQSTAYASRQFACSFSTRQPTLD >OGLUM06G15550.2 pep chromosome:ALNU02000000:6:15456591:15459387:1 gene:OGLUM06G15550 transcript:OGLUM06G15550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAMHVCGGGCLWVAHACGIVISRASTPAEAVGEGAAAMSGVGVRRGGEWRHRWLKAKSEAHAPFSVTKENTFRKYNAGNTRRKFRDVCFCAQNV >OGLUM06G15550.3 pep chromosome:ALNU02000000:6:15456591:15459719:1 gene:OGLUM06G15550 transcript:OGLUM06G15550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAFIYGNRICDEKNGLGSYENRSWETRKPSVLKFKHAASYACQQMAVAT >OGLUM06G15550.4 pep chromosome:ALNU02000000:6:15456591:15460311:1 gene:OGLUM06G15550 transcript:OGLUM06G15550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTRFFFSPLPTPVPYASHTERRLRFSHTECPRSPSRSSPPPVPSHRRPRPSISVALLLRAFIYGNRICDEKNGLGSYEMAVAT >OGLUM06G15560.1 pep chromosome:ALNU02000000:6:15469495:15469956:1 gene:OGLUM06G15560 transcript:OGLUM06G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCFGPRCRTLGNTLTSKEVFNWANSNNQRLLHVGDIDRTSKSYIYTSCSMWLAAEDRVESAGDGGCLLFFFCSIDMNENVFAFFRAC >OGLUM06G15570.1 pep chromosome:ALNU02000000:6:15472794:15481259:1 gene:OGLUM06G15570 transcript:OGLUM06G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHLHLHFLAILVVVPMLGSPAAGGLCRDSCGGIPVRYPLSIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVVGADYADPHLVVTDPSMWTCERPFTSVRAAPFSLDTSTRFSLSPRNDYLFFDCDEERVIVEPRPAVCDRYPERCDSTCDSAGYLCRNLPGCRGALEENNMSCCAYRPRAAESLRLMLRHCESYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCEDRRRGAGGTCGFDPVTRDFVCICNDARNSTTDCADGPASRYHSSAGVVAASAVFSISAAVGITGLVWYIRKIKSTKVVTCGVQSNENRFF >OGLUM06G15580.1 pep chromosome:ALNU02000000:6:15517540:15520154:-1 gene:OGLUM06G15580 transcript:OGLUM06G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEACLEFFRSSISLKSVMKSDGNTHLYGIWNEYSKQLLKEKAKLQDDVVLRLMKEAILSSQLTQMIISSSPPASSYCMSLTLPPTASQPGSPIKVAIGDDARWLWRTGGMVDNRRHDKGKYGGRQFHRVLASGIHNCCVSCMDVSGTLGFFVLSIVLRY >OGLUM06G15580.2 pep chromosome:ALNU02000000:6:15517540:15520154:-1 gene:OGLUM06G15580 transcript:OGLUM06G15580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEACLEFFRSSISLKSVMKSDGNTHLYGIWNEYSKQLLKEKAKLQDDVVLRLMKEAILSSQLTQMIISSSPPASSYCMSLTLPPTASQPGSPIKVAIGDDARWLWRTGGMVDNRRHDKGKYGGRQFHRVLASGIHNCCVSCMDVSGTLGFFVLSIVLRY >OGLUM06G15580.3 pep chromosome:ALNU02000000:6:15517540:15519899:-1 gene:OGLUM06G15580 transcript:OGLUM06G15580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGMSIVSSFSRRKQNFRMTLFCIRLMKEAILSSQLTQMIISSSPPASSYCMSLTLPPTASQPGSPIKVAIGDDARWLWRTGGMVDNRRHDKGKYGGRQFHRVLASGIHNCCVSCMDVSGTLGFFVLSIVLRY >OGLUM06G15590.1 pep chromosome:ALNU02000000:6:15578300:15578640:-1 gene:OGLUM06G15590 transcript:OGLUM06G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEKDGDDRGLLSRMTVVSPAAVDERKVRGRAGALSLGFSGARVERKERRYDWERSRGGSRRFELERWRKGFTELEQALVAVRWGQD >OGLUM06G15600.1 pep chromosome:ALNU02000000:6:15613859:15614976:-1 gene:OGLUM06G15600 transcript:OGLUM06G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPASSHREQPWLSSVYASPAAIGGSNRFEHKRENVENVLAHPPVVVVRAMVVCSPWNMSYSGGVSRVLTKQRNGGSEVQGVAEMVVVGQRWQNSGIDVAGSTQGANCNFENTGVLGMSHRGCMREESDRNSPDLGVASVVRNGGRSGVGGSGGRRRGRCPPRARQIGPRPKWVRVTFHRREERGTHRGCTTTTAKSPEPGKMAELWAFCAWGVFSWTWNASVGSVLLLPSGGVREREVAGALIVFLGHPLGMGDGGCCEGRVGGCHVGPGGVGPTVSERNSKIALEAKSKRVACQRSLEGIHGGFCAASVNDQIW >OGLUM06G15610.1 pep chromosome:ALNU02000000:6:15614054:15617631:1 gene:OGLUM06G15610 transcript:OGLUM06G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGCPRNTMSAPATSLSLTPPLYSSLFPKPKHRKQQHTPHTRVPSPRKHTPRTECPELGHLPRLRRLSRRRRASPDQSVEHVVGTVLAAGHLNRRRRSGRLRALSRPGDVDAGVLPPLANNHHLRHSLYLAASVSLLEEEYVEEEEGEFVDDQVQEFADEGKPKGMGYRVLTPSIQIFRADMPRSVAPPRLWDVLTLNVV >OGLUM06G15620.1 pep chromosome:ALNU02000000:6:15624759:15625845:-1 gene:OGLUM06G15620 transcript:OGLUM06G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSWNLGEDDGAAVCSEMDEIDGNGGATSGGALDTEDTDELDTRCSIHATGRTKDMNELGIIYRSFFHDYCAAKLTREFWETRLLQSGQGFDGSMCQVPRFNYFLSYHGNLVKLLC >OGLUM06G15630.1 pep chromosome:ALNU02000000:6:15642168:15644600:-1 gene:OGLUM06G15630 transcript:OGLUM06G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSVLCLSYCTHVQREIEIKPFTAAQWALAVPLIERVLHKVQSVCGSRAHATTPGKRRRTPGGFGPRVTNAARLRLVHAYVLWPLYTDLTMDSRISPPSISGCSSPTRHGLGGFASLVVRALYADPPTDSGASPPSLPRHSTPTSPRTQGFRLPRCPGVLCRPATDLGASPPSLSERSAPTPPQARGLRLPRRPGALRRLRHGLGGFASLIVRVPFADLAMDSGALPPSSSRLAPPSRSHTPPPGVPLPA >OGLUM06G15640.1 pep chromosome:ALNU02000000:6:15652979:15685276:1 gene:OGLUM06G15640 transcript:OGLUM06G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYTDDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLWVTEYRVDGFQFHSLPSMLYTHNGFSTFTGATEEYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKVSKEAALLAPIASSGMPTTLQIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQDIMSLDGKERLISGGSPIVHHCDDTSMY >OGLUM06G15640.2 pep chromosome:ALNU02000000:6:15652979:15685276:1 gene:OGLUM06G15640 transcript:OGLUM06G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYTDDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLWVTEYRVDGFQFHSLPSMLYTHNGFSTFTGATEEYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKIISFTRGPFLFVFNFNPDASYQLYSVGVDEAGEYQLILNTDETKYGGRGELTSNQYMKRTSDNRVGGCRNSLELTLPSRSAQDIMSLDGKERLISGGSPIVHHCDDTSMY >OGLUM06G15640.3 pep chromosome:ALNU02000000:6:15652979:15685276:1 gene:OGLUM06G15640 transcript:OGLUM06G15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase family protein [Source:Projected from Arabidopsis thaliana (AT3G20440) TAIR;Acc:AT3G20440] MDSCALFLSTPRPPPPLVPAHRRRPLASRSGLRRREGCPCSCASSSSSSGRAGSQDRPPRPWQQKQRTQRPGRGEAIDPVGFLAKHGISDRAFAQFLRDRYKALKDRRWELHSRLIDLKEASSGFELMGMHRHRQHRVDFMEWAPGARYCSVVGDFNQWSTTENCAREGHLGHDDFGYWTIILEDKLREGQEPDEYYFQEYNYTDDYDKGDNGVDVEELIHRMNEEYWEPGEIKSQKSRLEVVAKLYEQMFGPNGPQTEEELGDIPDAETRYNEWKALQKDDSASSLPCYDIIDNGQEFDIFNVATDRVSFEKFQGKSPPLAYWVEMRKGRKAWLEKYVPAISHKDKYRVYFNTPDGGLERIPAWATYVLPDAEGKQSYAVHWDPPPEEIYKWRFERPKVKGSLRIYECHVGISGSEQKISSFQEFTSNVLPHIKDAGYNAIQLIGIVEHKDYSSVGYKVTNYFSVSSRFGSPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDIDVLHFLLWVTEYRVDGFQFHSLPSMLYTHNGFSTFTGATEEYYNQYVDEDALIYLIIANEMLHELHPDIITIAEDATFYPGLCEPTTQGGLGFDYWVNLSIPEMWLWHLENVPEQEWSMNKIMRVLVNNNSNMLSYVENHNQSISGRKSFAEIILYEGKCSNSSVDNDLIFRASSLLNIIKLITFTTSGGAYLNFIGNEFAHPKRIEFPMSSNDYSFCLANRQWELLDKGVHKHIFNFDKDIMSLDGKERLISGGSPIVHHCDDTSMY >OGLUM06G15650.1 pep chromosome:ALNU02000000:6:15661165:15661347:-1 gene:OGLUM06G15650 transcript:OGLUM06G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEGEGVEQEGWRSMGPGIIALRLCSCGVGVSTELDLANSILFGRRKELGAGGGELVLE >OGLUM06G15660.1 pep chromosome:ALNU02000000:6:15748924:15755107:-1 gene:OGLUM06G15660 transcript:OGLUM06G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLMFTVLTAEAAVAIALLFKTPVRKLAMLGLDRLKRGRGPVMVRTVAATVLVVLASSVHSMNKIRGRAAGELDGAGAGTLTPTDQVLLARHLLEASLMGPGQS >OGLUM06G15670.1 pep chromosome:ALNU02000000:6:15800262:15801072:1 gene:OGLUM06G15670 transcript:OGLUM06G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCFRKQLERMARAEDAATANTSEDPGPSETATDCEGEGNEGRDHPEGFSQKLCVRPPFGNWRNKNKKFVAVKGLPKIKCSFRPIYREMLINDNSYKVIKVAGWMRAHPSRTLEDYDRYQVARREDSTNFWRNFKRSSRLLA >OGLUM06G15680.1 pep chromosome:ALNU02000000:6:15802363:15810507:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMEFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFECRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNTQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >OGLUM06G15680.2 pep chromosome:ALNU02000000:6:15802363:15810507:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMEFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQIIYGYFAALFSISDKVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDHPYFNGLAKVEREPSCQPIPKMEFEFECRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNTQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >OGLUM06G15680.3 pep chromosome:ALNU02000000:6:15802363:15810507:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMEFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAQEACHTNDCFIGPTYLRRKSIFYPSAIALALADPYFNGLAKVEREPSCQPIPKMEFEFECRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNTQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >OGLUM06G15680.4 pep chromosome:ALNU02000000:6:15804518:15810507:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MQTSNFRKKNAAEVDFFMGYGDVNRYEVLEVIGKGSYGLVCSANDIHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKMEFRDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFTDAPTTVFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLIGKPLFPGKNVVHQLDLITDLLGTPSLDAISQVRNDKARKYLTCMRKKQPASFSHKFPKADPLALQLLRKLLAFDPKDRPSAQEACHTNDCFIGPTYLRRKSIFYPSAIALVQNLLLN >OGLUM06G15680.5 pep chromosome:ALNU02000000:6:15802361:15803980:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MEFEFECRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSPYRPMYLTSFFWSFSVVDQFRKQFTQVEENLNGSGAAVSLQRKHSSLPRSTIVHSAAIPAKDYKHVASSSTKLAVDGSWNTQIQGVHANIAGEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >OGLUM06G15680.6 pep chromosome:ALNU02000000:6:15802363:15803980:-1 gene:OGLUM06G15680 transcript:OGLUM06G15680.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9J5] MEFEFECRRATKEDIKELIFQEILEYHPQLLKEHISGTERPNFHHLSVVDQFRKQFTQVEENLNGSEPSTIVRPAVSSERSLAPTLQWQPNMTHFLNHALCYQNTVFSGSLLDATGPAQAIPRTTPYVDSRSGNLDLYQHHVSREDVQSDTATAQAHAASHGPVPAVSYSLPGTYRIT >OGLUM06G15690.1 pep chromosome:ALNU02000000:6:15810595:15810999:-1 gene:OGLUM06G15690 transcript:OGLUM06G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAATHTKLTAPQVEKYPSPLPTPLALASTSPKLRPLPHTTPPTPTRRPLSFRLLIVLLPKSSTQIRSYCRRRLPRCCSKRPHKTPGRGGGRGSDGLRRRHAVHEEEKKGKARLRCRDPEQEPMGKVRLTRA >OGLUM06G15700.1 pep chromosome:ALNU02000000:6:15849175:15850915:1 gene:OGLUM06G15700 transcript:OGLUM06G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYEVKSLIDQGNLPNYNSTQLNSRLTQRPYPATITQIHYHLTSDVGGVLQRRRAAAATSSGVGKRRRRRIRWRSTELRDDVEMTHQRRAADAGVEEERGKRRGRKRGSASGLYQRLRYGRKMVTTTARLPAAARSNGGGGCSRWRWRRLWKKGGKGAVADGRTAQALERRQLRIWRRGLRDLAARPARAQSAVAWAGDTERRRRPGRGGTWAWGLASLGL >OGLUM06G15710.1 pep chromosome:ALNU02000000:6:15862982:15866020:1 gene:OGLUM06G15710 transcript:OGLUM06G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAYTRPSKPPGPAGERRPPRLAKELGRIEPKKLGIGLVAGCCLALLTYISFARLFAIYSPVFESTSLVMKNAPPASTQQNPVLAQQQSKAEDEKDVGEDETDRKVPSFAETTEKNEEEETVTKPCRSFIHTGDEAEATISCDENGVDEGFPYARPPVCELTGDIRISPKEKTMFFVNPSSAGAFDGNGEKKIRPYARKDDFLLPGVVEVIIKSVSSPAIAPACTRTHNVPAVVFSVAGYTDNFFHDNTDVMIPLFLTTSHLAGEVQFLITNFKPWWVHKFTPLLKKLSNYGVINFDKDGEVHCFRRGHLGLYRDRDLIISPHPTRNPRNYSMVDYNRFLRRAFGLPRDSPAVLGDKTGAKPKMLMIERKGTRKLLNLHDVAALCEDLGFAVTVAEAGADVRGFAEKVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMAIHAQGWPALAEIVMKQDVMVNVTRFKPFLLKALDELQE >OGLUM06G15720.1 pep chromosome:ALNU02000000:6:15867044:15867256:1 gene:OGLUM06G15720 transcript:OGLUM06G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSAASCCAAPRRNEVWMSSLPCPRSPSTVANDVDTRPHVDAVCNAAAPHPPYRLLELEPSCSESMPPA >OGLUM06G15730.1 pep chromosome:ALNU02000000:6:15888664:15888924:-1 gene:OGLUM06G15730 transcript:OGLUM06G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGGRQGRRGRRLSESATARRRRPWGRDAGPGEDEDGGFGGGRGGGGRGLWRWRPCGRIGRTRRRRLRRPARPNASAPYSAAMG >OGLUM06G15740.1 pep chromosome:ALNU02000000:6:15898378:15899184:-1 gene:OGLUM06G15740 transcript:OGLUM06G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPEDSDTAKMLKFTEARFAQYRKDTNRKLVLRQKRIFELEDAPWKLNNLHRMKDDYEDVKPIHVVKRLYTGIIFLMEAYGLRNKVSGSPNKIHPDAVVDNTVCHPGKVKSQKLKGRH >OGLUM06G15750.1 pep chromosome:ALNU02000000:6:15899228:15899845:-1 gene:OGLUM06G15750 transcript:OGLUM06G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAHSRGEENQTMVHMTTRLDALYDLHIYYKTQAKIQKNAQ >OGLUM06G15760.1 pep chromosome:ALNU02000000:6:15912975:15913472:-1 gene:OGLUM06G15760 transcript:OGLUM06G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAAVGVGHAATPARGRMKMTVSVGAEAEVGGGCGGGARAAGLAARGGDARAGWLGRTRRRPARRRWGDGGKRWRGLIPMWLQREGSSKEWIRRHCVGTVAKRRAWAAWTRRTPNVWNHDYRRWRAGMRGYALGVAVLARRMSTSAQDGDSGGAAA >OGLUM06G15770.1 pep chromosome:ALNU02000000:6:15922671:15925549:-1 gene:OGLUM06G15770 transcript:OGLUM06G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPECQPKVQLQASFTHLSMCTADVWHCTTQIDLRIITQIDLRFIAWTKCDYRNEGLGTARSGGAGTLTGSRQVEPRGKSMGTAIDSEPTAIDLFKELHCSKTKGFSEPVKKAILAAKTTNVMKEIQVELDAKKLESAVLQEELERLKAQAQENYRHCINDDVLWWSQKLVSDSPSVMTTISSLWVVSDRKQVVIVIM >OGLUM06G15780.1 pep chromosome:ALNU02000000:6:15967620:15988123:1 gene:OGLUM06G15780 transcript:OGLUM06G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHPIQWLRSVHVSLRLASPHGRTHTFTQTQTPLPPSSLSLARYSLHPLSHSPDAAASLLLSLSLSHYLRARSTPRPNLRHALRKHHHCRRRRRRRPSWPPLRAPGCPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVQARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEVPYEGADLVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >OGLUM06G15780.2 pep chromosome:ALNU02000000:6:15967620:15977300:1 gene:OGLUM06G15780 transcript:OGLUM06G15780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHPIQWLRSVHVSLRLASPHGRTHTFTQTQTPLPPSSLSLARYSLHPLSHSPDAAASLLLSLSLSHYLRARSTPRPNLRHALRKHHHCRRRRRRRPSWPPLRAPGCPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVQARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEAKMHVRCNM >OGLUM06G15780.3 pep chromosome:ALNU02000000:6:15967620:15976765:1 gene:OGLUM06G15780 transcript:OGLUM06G15780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHPIQWLRSVHVSLRLASPHGRTHTFTQTQTPLPPSSLSLARYSLHPLSHSPDAAASLLLSLSLSHYLRARSTPRPNLRHALRKHHHCRRRRRRRPSWPPLRAPGCPSHPDERKEGWEENGIMFRMMRCSDHFTLFFSEYEMCGRARCTLSPSQAARAFGFPTTTSVAGGGTGGGCGGGDAPAVPLLRMDRYRPSYNVSPGTYLPVGTVQARPAGYDGGGDMDGEVSVIQCMKWGLVPSFTGKNEKPDHFRMFNARSESIKEKASFRRLIPNNRCLVAVEGFYEWKKDGPKKMPYYIHFQDQRPLVFAALFDTWTNSEGKEKAS >OGLUM06G15780.4 pep chromosome:ALNU02000000:6:15978848:15988123:1 gene:OGLUM06G15780 transcript:OGLUM06G15780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVWYPVTAAIGKISFDGPECIKQVQMRPSEKPISTFFMKKPVKSEKKDQDHAETKAFRAANKEWHESAENQLDKTYQHQVEEEQDASIFNDQPITLEHDVEKAKTMKNDDLIFTDEATQKQDALRLKRKNEDDEVHADKVMEKSGRSPVHVKKKVKGPKPASVGQASLLSYFAKK >OGLUM06G15790.1 pep chromosome:ALNU02000000:6:15981585:15982872:-1 gene:OGLUM06G15790 transcript:OGLUM06G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEARGVSTIHCRVVAQLSALPPMSLGPAHPRSHPVVPRSTTATRIPEASKTSGKGKDVLVTSDPSSRKKNPTGLGGSPGTPQATTRTATAASRAAKAEDATAKVASPGPTRTPKIGVRKTRRSTRVSTQDNPDAAADSARPSGGDAAGEEVGGGNESTGAATNSIWPSKGDVAGAEVGAGDGSMSAAADSAQPSGGNAAGAEAGAGDGVSGRGDSAATGSSPPPHPAHRLSPPPSPQSPPNRRPGKEPTGGEGEATGDEEDAEEIPRCPHALPWTNYVSPLQTFWFQGGREKEALKEGFDDAADKAYATVDVKTRRPGRSA >OGLUM06G15800.1 pep chromosome:ALNU02000000:6:16035190:16035968:-1 gene:OGLUM06G15800 transcript:OGLUM06G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNHSTASALPPAPTTATSNSGGNNIVTKVMNPSLLQFKLGACITRRMHIKGPPKSAPAEQTKPGNRDGSILQQRLQSMSQTSDFNVVVNISHASRAAPTQRSSK >OGLUM06G15810.1 pep chromosome:ALNU02000000:6:16120897:16123051:-1 gene:OGLUM06G15810 transcript:OGLUM06G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine sulfoxide reductase B 1 [Source:Projected from Arabidopsis thaliana (AT1G53670) TAIR;Acc:AT1G53670] MAMRQYAGATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSPSPQGQAQAQAQGKPNYSTSLTDEEWRKRLTKDQYYITRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYQPIGDNVKCKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPRPTGKRYCINSLPTVDPKAHTCIGANYN >OGLUM06G15810.2 pep chromosome:ALNU02000000:6:16121257:16123051:-1 gene:OGLUM06G15810 transcript:OGLUM06G15810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine sulfoxide reductase B 1 [Source:Projected from Arabidopsis thaliana (AT1G53670) TAIR;Acc:AT1G53670] MAMRQYAGATAASSSFRARPRARPSCLPAAALPLAPCCGVAWSRASYRRASVRAMGAASSSSSSSSSSPSPQGQAQAQAQGKPNYSTSLTDEEWRKRLTKDQYYITRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYQPIGDNVKCKLDMSIIFMPRTEVLCAVCDAHLGHVFDDGPRPTGKRYCINRYM >OGLUM06G15820.1 pep chromosome:ALNU02000000:6:16126442:16130088:1 gene:OGLUM06G15820 transcript:OGLUM06G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYGHQSLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKARIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPSSNILSHNELVSLWEKKVGKTFDRVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >OGLUM06G15820.2 pep chromosome:ALNU02000000:6:16126442:16130088:1 gene:OGLUM06G15820 transcript:OGLUM06G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKERSRVLVIGGTGYIGRYIVAASAREGHLTSVLVRDPAPADPAKAAVLQGFRDSGATLVKGDLYGHQSLVAAIKSADVVISAVGYAQLADQTRIISAIKEAGNVKRFFPSEYGNDVDRVHAVEPVKSVYATKARIRRVIEAEGIPYTYVSSNFFAGRFLPSLAQAWIKGLPTDKVIILGDGNVKGVFATEEDVGTYTIKAVDDPRTLNKILYLRPSSNILSHNELVSLWEKKVGKTFDRVYIPEDEVLKKIQESPAPLNVVLSINHSVWVKGDHTNFEIEPSFGVEATELYPDVKYTTVDEYLNRFL >OGLUM06G15830.1 pep chromosome:ALNU02000000:6:16135713:16137641:1 gene:OGLUM06G15830 transcript:OGLUM06G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03880) TAIR;Acc:AT2G03880] MAAASLLCHVIARSAPAGRSRRCLLHSNPHTAHPLLVAFSRLCAHGPLRDALALLPDLAEAGLTADPVAVSRLIKLCVRHGTPSDGRLIHRHVFGGGDVAAPSCSSLFVSNSLVSLYAKFGLLDDALRLFDGMPHKNVVSWTTVVAALANARGRKEDALRLFVAMLRDGVAPNMYTFSSILGACSTPRVLAAMHGSIVKVGLDSDVFVRSSLIDAYMKFGDLDGGRRVFDEMVTRDLIVWNSIIAGFAQSGDGVGAIELFMRMKEARFLSNQGTLTSVLRACTGLVTLEVGRQVHAHVLKYDKDLILHNALLDMYCKCGSLQDADALFGRMPQRDVISWSTMISGLAQNGRSIEALKVFDMMKSEGPRPNHITMVGVLFACSHAGLVEDGWYYFSSMEKLFGIQPEREHCNCMVDLLGRAGKLDDAVKFIHEMNFQPDSVIWRTLLGACRMHKNADLAAYAAKEILRLEPDDQGARILLSNTYADLRQWADAEKSWKMMRDRGVKKDPGRSWIELGKQVHVFIAGDLSHPCSESIIQELSRLFSRVTNLGYTPQTEFVLQDLATEQKEDLLKYHSEKLAIAFGTMNAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGVCSCNDYW >OGLUM06G15840.1 pep chromosome:ALNU02000000:6:16139680:16146731:1 gene:OGLUM06G15840 transcript:OGLUM06G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Uncharacterised conserved protein UCP033271 (InterPro:IPR008322), TIM-barrel signal transduction protein, predicted (InterPro:IPR009215); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396 /.../zoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66420) TAIR;Acc:AT5G66420] MELLCIGTADTKLDELLFLAARLRSTLAATSSAQVQVSIVDVSTTKKVTSQDFKGTTFISRDAVLSCHLGVDQHELPSDRGEAITLMSEALQSFLKRRYESGTLLGAVGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGQTAPYVGTSDLALFPSVVDICGINSVSRVILSNAAAAVAGMVHGILMESNESDETATKPTIGITMFGVTTTCVNMVKERLSKEGYETLVFHATGVGGKAMEELVKGGFIQGVLDITTTEVADHIVGGVMACDDTRFDAIIDNKIPLVLSVGALDMVNFGARDTIPPDFTGRKIHVHNEQVSLMRTTVEENKKIAEFIADKINKSSSKVIVCLPQKGISAIDAPEMPFYDPEATSTLLDELCSRIEKTDNREVKMLPYHINDPEFANVLVDAFLSMDVKASNTISPENSMVQTNQDVNTKEYCSTQRTSDSSIIWRSPVDFPDARPETLQKTKSVLHKLKQQIVEGTPVIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMECFLKQLEAIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHSMGFLTTPYAFNPEEAAAMAKAGAHIIVAHMGLTTAGSIGAKTAVTLDDSVKRVQAIADAALGINPDIIVLCHGGPISGPQEAEFILKRTNRVHGFYGASSMERLPVEQAITNTMREYKRISIK >OGLUM06G15850.1 pep chromosome:ALNU02000000:6:16157854:16161215:-1 gene:OGLUM06G15850 transcript:OGLUM06G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWEVEFARYIPAPRQAGPPAPPGLRRITRGKAAPPPRGRVAPRRVPRGAPRVEPRPPLRGPVLTVSIGDVVYVRTESAPLAPSSFRFCCGHTTLCATLYMSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWPSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPAQG >OGLUM06G15850.2 pep chromosome:ALNU02000000:6:16157648:16161215:-1 gene:OGLUM06G15850 transcript:OGLUM06G15850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWEVEFARYIPAPRQAGPPAPPGLRRITRGKAAPPPRGRVAPRRVPRGAPRVEPRPPLRGPVLTVSIGDVVYVRTESAPLAPSSFRFCCGHTTLCATLYMSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDVVAAKEMTADKVEGAGKEIDITIKRGGRSSACENAQFGVDGGSCCDPRQPGDQRTNELALQHCCGTNRSPLWFSDNIDTIMLPPFCNGHGRAKRGRVPGCVSYLLAHGHRGGNPDRCRHNAGRATPWYAGAGMKPWSAMPTGSPSEGASTHRLPVSDEQECYPASRRRRVLASPIRPCILLRAGELPDDSDGESSSDDSEC >OGLUM06G15850.3 pep chromosome:ALNU02000000:6:16157854:16161215:-1 gene:OGLUM06G15850 transcript:OGLUM06G15850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWEVEFARYIPAPRQAGPPAPPGLRRITRGKAAPPPRGRVAPRRVPRGAPRVEPRPPLRGPVLTVSIGDVVYIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDVVAAKEMTADKVEGAGKEIDITIKRGIMPSIKAGDHLPAKMHSLVLMEEAAVTLANLEIKGQMSWPSSIAVEQTGRLFGSQTTLIPSCCRRSAMVMAEPSAVGSLGVSAICWHTDIVVATRIAAGTTQAVQRRGMPAQG >OGLUM06G15850.4 pep chromosome:ALNU02000000:6:16157648:16161215:-1 gene:OGLUM06G15850 transcript:OGLUM06G15850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAGNQRERGVLLRAAAPRRWEVEFARYIPAPRQAGPPAPPGLRRITRGKAAPPPRGRVAPRRVPRGAPRVEPRPPLRGPVLTVSIGDVVYVRTESAPLAPSSFRFCCGHTTLCATLYMSLLMIEKFAVRFPQPCDAESFLNCVKVYTSGVLQDGKENTMFCLFVSSLLNSIHDLSRMFDTVVAAKEMTADKVEGAGKEIDITIKRGGRSSACENAQFGVDGGSCCDPRQPGDQRTNELALQHCCGTNRSPLWFSDNIDTIMLPPFCNGHGRAKRGRVPGCVSYLLAHGHRGGNPDRCRHNAGRATPWYAGAGMKPWSAMPTGSPSEGASTHRLPVSDEQECYPASRRRRVLASPIRPCILLRAGELPDDSDGESSSDDSEC >OGLUM06G15860.1 pep chromosome:ALNU02000000:6:16163375:16167703:-1 gene:OGLUM06G15860 transcript:OGLUM06G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRTFLLYQSPNLPSSSILPFPPPIPSHPLPAASCDRSIDRSAPPTRPIRPPTQATSNPSAGGSSPPPPPPLQPSPTVGFSPPQSPPLYRRRSTRHQEGSRTKAGAAYRLRRYLIEHRSECNRSAKVYFMDSFQHGMDADKHHIFGERGNMIQLNMCASDGRSVVGQRCQRLGCNNVVEGQTLLCKSHSIGQRCQMLGCPHIVPDGSVLCMSHGGGRPLGEPGSSTVACSKSEISIKYEGESGFRVTQNAGNDLGSAGIYNPDGDVVMCKYQGCSKRAQGNAMYCKIHRGGSKGCMVQGCTKGAHGGTPLCIAHGGGKRCAVTGCPNAACGSSQGLTDRCVRHGGGRRCRFDGCVKGAQGNTDFCIGHGGGRRCKFEGCGKSAQGRSDYCIKHGGGRRCKFQGCATSAKWGMDFCSLHRKSLMSGSNSSHEMLPAPPPKRRAKKTKTAVGPSGLSSDPKSAESVMIKHASNAGHQQQPIHSMKSSPSSGLTASTEGDVAARSHALFGL >OGLUM06G15880.1 pep chromosome:ALNU02000000:6:16183773:16187862:-1 gene:OGLUM06G15880 transcript:OGLUM06G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVRSGALLPARPTPQRRRQKWAVEFARFFRTPRRDPSKPPPPGLRLVARGKLRHHGTWLPAASPAALSISCPSQSFAVPVLTVSIGDVVFVRTDPAPLPRGAFCVHSQFFVASGYMCDTMPNKWEQSGVSLNAFLYGLFTKECSTETMDIRPSGSDYLCEDSSASEYIASSGIHQSFEEPDQPVHRTETPALGYHAEPDEPIHRTEAPALSQRETPSLRHHEAPEEPLLQPLLATNIDTVFSGFPPSFTDMLTQFSCKTEKDAEEPYPVTATDHAPQEVSMLDTSHNGTHSLNLISHLFIWKSLSSFPDQSVFCAVAISTTSANEIDVNRETSDIMTRIKTYISDGAFHDMLFKLERVIDELGGDLSL >OGLUM06G15890.1 pep chromosome:ALNU02000000:6:16197370:16199267:-1 gene:OGLUM06G15890 transcript:OGLUM06G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCFCVCSFVSEILETQHHSAICLSGLSGGGKSILFYQKSKIKPVHVVDVPGHAGLKPKLDEVLPQAAGIVFAVDAQDFLSTMQVVAEYLYDILTKATVVKKRIHVLLFCNKTDKVTAHSKEFIKKQLEKEINKLRESRKAISSADTTDEVKLGNPGETFYFSQCQNRVTVAEGAGLTGNVSAVEQFIREYVKA >OGLUM06G15900.1 pep chromosome:ALNU02000000:6:16213366:16223643:-1 gene:OGLUM06G15900 transcript:OGLUM06G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSD >OGLUM06G15900.2 pep chromosome:ALNU02000000:6:16213366:16223643:-1 gene:OGLUM06G15900 transcript:OGLUM06G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAEMLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSD >OGLUM06G15900.3 pep chromosome:ALNU02000000:6:16215838:16223643:-1 gene:OGLUM06G15900 transcript:OGLUM06G15900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MAGLEELKKKLQPLLFDDPDKGGVSSRVPLPEDTCDSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSTGPEESDSGEKAYRCASHDMHIFGPIGNGASSVVQRAVFIPVHRILALKKINIFEKGAFYMPDSGQISIALEYMDGGSLADVIKIKKSIPEPVLAHMLQKGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLAVLECATGKFPYNVNEGPANLMLQILDDPSPTPPKDSYSSEFCSFINDCLQKDADARPSCEQLLSHPFIKRYENTTVDLVAYVKSIVDPTERLKQIAECPNKLFDWG >OGLUM06G15900.4 pep chromosome:ALNU02000000:6:16213366:16214798:-1 gene:OGLUM06G15900 transcript:OGLUM06G15900.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitogen-activated protein kinase kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G40440) TAIR;Acc:AT5G40440] MLAVHYYLLFNGTDGIWHYMKTFYMEESTFSFSGNVYVGQSDIFDTLSNIRKKLKGDRPREKIVHVVEKLHCRAHGETGIAIRVSGSFIVGNQFLICGEGLQAEGMPSLEELSIDIPSKRLNPIYAVMVPRWDSDGSGLLKVADEDYTIHVSRHLTFSD >OGLUM06G15910.1 pep chromosome:ALNU02000000:6:16250899:16251889:1 gene:OGLUM06G15910 transcript:OGLUM06G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSDKYGWRLLITDVTLSVGSRPFSADLPPQAMASRSAPQKTRGRPMVNPDLNRYREVDSGAADKKRCDG >OGLUM06G15920.1 pep chromosome:ALNU02000000:6:16263121:16272246:-1 gene:OGLUM06G15920 transcript:OGLUM06G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MADPPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNELYKHMDGLTNDEMLAQKEATDEDKIPQENDVAGDDIDAAAESQPIKVET >OGLUM06G15920.2 pep chromosome:ALNU02000000:6:16266301:16272246:-1 gene:OGLUM06G15920 transcript:OGLUM06G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP12 interacting protein 37 [Source:Projected from Arabidopsis thaliana (AT3G54170) TAIR;Acc:AT3G54170] MADPPSPRLDEEDAFGRDFNSSPSPTAPPARSGEKRPFGDLDDDDEDVFASKKGKTKVEESAPGAATGMILSLRERWNGRLPNQKFKSGIQHFRTFQLYLLEPIQSSEESLKEQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKHGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKTRNNELRNQFNANLQIMEINQSGP >OGLUM06G15930.1 pep chromosome:ALNU02000000:6:16277673:16281062:1 gene:OGLUM06G15930 transcript:OGLUM06G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFCFARCRFTRLVVAMQLVMGVLVICISMASLHRFYTTDALLPGGLDDPARCARFHGAVAGGYSGFDIRALADRVDEVLVQLAELQDKLEATALKIGKKTKKRKGKGKLQQQENMTMTEFQRFLEDEVIHPLYGAHIALRLIRIPRPDPDGGAPAVDPLVNFFAAEETRKYVTAKRNREGRPGAYGANRTVSGGLYMEQLAAPWAGQPIAKKPKQAQAEAIHKQQ >OGLUM06G15940.1 pep chromosome:ALNU02000000:6:16301863:16305598:-1 gene:OGLUM06G15940 transcript:OGLUM06G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSFHFVPLLLLLTLLLLISSASSTAALPSMRSSSEDTASNATAAARLRPGKELLKYKRIRALLKKLNKPSLKTIQSPDGDLIDCVPSHLQPAFDHPKLKGQKLLDPPERPKNYNLTIAVSSSSSSRVGEVVVQAWHAAGEECPEGTVAIRRTTEKDLLRASSLRRYGRKPARRNIRRDSTSNGHEHAVGYVNNDNYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTNKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGPGMVVGYWPSYLFTHLAHHGNMVQFGGEVVNTRPSGSHTATQMGSGHFPGEGFDRAAYFRNLQVVDWDNSLIPATNLKLLADHPACYDIQGGSNSYWGSYFYYGGPGRNVKCP >OGLUM06G15950.1 pep chromosome:ALNU02000000:6:16308701:16311813:-1 gene:OGLUM06G15950 transcript:OGLUM06G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFFSLCSSTHYYHLQLRNGSVQYELIRPIKYRPRSIIRCCSTTRGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHPDIAGYKGHDYTLLLNEAYKVLMRNSPRNAGASGRGFTGNGYSCWNGPVRSHALFVDENKCIGCRECVHHAGETFAMDDVLGSAHVEVQFGDQEQKIQVAVESCPVNCIHWVMSEELAVLEFLARPQQKEAHGVFGGGWERPRDVFAAANNFTKRLQREEQQDMARQQRYNNGDACEEGETERQAEARRRAGQELRWKRLRDVWNGLRDWTKPGVDGP >OGLUM06G15960.1 pep chromosome:ALNU02000000:6:16312292:16313419:-1 gene:OGLUM06G15960 transcript:OGLUM06G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMPWPGDEGDQRWEQAWMAIKKVWALKWNERAYFSTRKVKLDHDYLSMTVLVQEIVNADYAFVIYTTNPSSGDSSEIYAEVVKGLGETLVGHVPMDEEDEVVLDYTTDPLITDQGSKNQSSRALHGLVMPLRISMGHHRAQDVEGAVKEGKL >OGLUM06G15960.2 pep chromosome:ALNU02000000:6:16312292:16313419:-1 gene:OGLUM06G15960 transcript:OGLUM06G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGMPWPGDEGDQRWEQAWMAIKKEDEVVLDYTTDPLITDQGSKNQSSRALHGLVMPLRISMGHHRAQDVEGAVKEGKL >OGLUM06G15970.1 pep chromosome:ALNU02000000:6:16344381:16373318:-1 gene:OGLUM06G15970 transcript:OGLUM06G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIDYVDLCDDEEIIVEEPGPHGQVHAADMHVELVDLTTEGDGVEDQNIPGKDDAVLCTTLHSPNFIAAHGQGETAHRIVTLCKQGFIAVVDDAEEAMQSGNRELSAANDGKGEAMQSADQGIVVAGDCTEEVMMSGNQDFASAVADAEETMQSGTQEFVAEGDHSRDAMQFGNAGQASTCSSMSEQGAITYSSMTEQIATASSSMTGQWSREAAAFLCSRPMSIASPFPRQFWKAGEYSVAAQPTINSDQNHLRIHPKFLHSNATSHKWAFGAIAELLDNAVDELNNGATFVKIDKIKCSLIDEYSLVIQDDGGGMSPESLRHCMSFGFSKKSGNSSIGQYGNGFKTSTMRLGADVIVFSCTQDNRRLTRSIGLLSYTFLTKTGCNDILVPVVDYEFDESSHTLKKIMDRGEKHFSSNLSTLLKWSPFTTEDDLLNQFGDMGCHGTKLIVFNLWFNDAWEMELDFASDEEDIMISGAPAMPDGKKTVGRLNHMHVANRFRYSLRLPKHFKVILCGRVVEPHHIVNDLIYCECIKYRPQVGINIEVDVITTIGYLRGAPKLDIHGFNVYHKNRLILPFWCAHPDKSHSKGIAGVLEANFIRPTHDKQDFEKTGLFHRLETRLKEMTLEYWKHHAHLVGYARVTKALPPAHYASTVARDDSLAAQASTVAYDDNSRARESVLFDMSSNGESSKRRNSCSVIHWRAQKRQHINDYTNQPPDVNAVQMQDERIRHLICQKKVLKDECSKLEASEQQLLCKADRLRNELLEWHEMYKKLTDEVKFYDGLYALQRCNHSSFPRYQGSDAGCLTRP >OGLUM06G15980.1 pep chromosome:ALNU02000000:6:16403093:16407927:-1 gene:OGLUM06G15980 transcript:OGLUM06G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQSKTPAPEPSTTKMPSAPPAVKGVAKTLAQHHKAVIGFLLGFFLVLLLYTFLSGQLVSSEDAIVRAVTQQSTPAVHTDQDGRTTSPTSPTSTSSNTTQDNLEGKNTERSSQPAVNDEASDKMEEDLIRQDIDQAGTKNGTNHKPGAPRKPICDLSDPRYDICEISGDARTMGTNRTILYVPPVGERGLADDSHEWSIRDQSRKYLEYINKVTVRSLDAQAAPGCTSRHAVPAVVFAMNGLTSNPWHDFSDVLIPLFITTRVYEGEVQFLVSDLQPWFVDKYRLILTNLSRYDIVDFNQDSGVRCYPKITVGLRSHRDLGIDPARTPRNYTMLDFRLYIREVYSLPPAGVDIPFKESSMQRRPRAMLINRGRTRKFVNFQEIAAAVVAAGFEVVPVEPRRDLSIEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEHPSMVFYGGPAREMRLRDVEYSIAAEESTLYDKYGKGHPAIRDPESIHKQGWQFGMKYYWIEQDIKLNVTRFAPTLQQVLQMLRG >OGLUM06G15990.1 pep chromosome:ALNU02000000:6:16438684:16442599:1 gene:OGLUM06G15990 transcript:OGLUM06G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGEDPGPSDVRSHVPTLGIDRSHLMQGSGVHPHDDVQRGGLQQLGAGDGEEEIQGASQPERIALHERCWLSTGDSTGRRVDGWNIWVGVDNDVTVHDGLDSCLSISRGESIGA >OGLUM06G16000.1 pep chromosome:ALNU02000000:6:16442600:16443025:1 gene:OGLUM06G16000 transcript:OGLUM06G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGADFGKELSKLPDGSPASPSIHGDSSGSHSPERLTPSLVMREVDLPPKFRVRGPPTPDWPPPPTESDEERFQEDLEQYYNDGYVSTPCPSPPSDLCDSEENLEDEVRKMIIGGMGMCCLRLINKGYSIAAEQAQEGLG >OGLUM06G16010.1 pep chromosome:ALNU02000000:6:16443613:16446113:-1 gene:OGLUM06G16010 transcript:OGLUM06G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTIIQMRMLNTAAILTSPRKIFCSSSIIQPYAPAVEPELQEFGVPNTILALTAYVQDETAEHNTQGDSSHDDDDNLSLSLPPDELLTDSQLAAKIDQICILEGASHDSTEVNKEADYAARQHASPVKYCVKSASPMKHCVKRAARYVPPSSQSVPKDDNVAVQLLDLILLDPTQFGRFVPFLPIACTLQIHNCFISILLHLLTQSNILPHSPPLVEVDCYSANATDIAASFKVGSMTEGIFIDAFASFLFKDEMRDSPETFGKKIFIPTSVTGLLNIENVTRVGSKDNFSPRALAEHLSDCLKGVDLSKAEQLLRPIINNDHCTLYIVYLNQGSFDILDSNDYDQIGGKQSQHHYPLAQKVLKRLSDGFQSFMPKVFKKFCNYHREFVKCPKMVPCSNDCAVYVMRYMERYQGNPDKLADDFQPPESRVLRAQILHQLIFHRFNLAPCIHPAIEGLRRLDDGEGSSH >OGLUM06G16020.1 pep chromosome:ALNU02000000:6:16486599:16512270:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGLKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKTPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYSRVVLGSGSPSATASNPAGHLPRFPLRHQQLHVAILGSGHRRRSSPPLPTGLNFLMSTRENWGGSTFAKSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEIHVY >OGLUM06G16020.2 pep chromosome:ALNU02000000:6:16486599:16512270:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGLKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYSRVVLGSGSPSATASNPAGHLPRFPLRHQQLHVAILGSGHRRRSSPPLPTGLNFLMSTRENWGGSTFAKSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEIHVY >OGLUM06G16020.3 pep chromosome:ALNU02000000:6:16487676:16512270:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGLKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKTPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYSRVVLGSGSPSATASNPAGHLPRFPLRHQQLHVAILGSGHRRRSSPPLPTGLNFLMSTRENWGGSTFAKSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEIHVY >OGLUM06G16020.4 pep chromosome:ALNU02000000:6:16486599:16512270:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGLKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVAHPTHYDTPLKGFKANKTPITEIHHYAVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEIHVY >OGLUM06G16020.5 pep chromosome:ALNU02000000:6:16486599:16512270:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIPASPATLQPCKKNVSGSMLIRSPPRPWRRRLPQHVVIADASSSSRGLKAATGGRLETSTDAAAVAGEDGDVIRRLQNGPDVRGVALEGENGRAVDLTPLAVEVIAESFGEWLREELQQLESGRDGGEVRVSVGRDPRLSGARLGAALFAGLARAGCSVFDVGLATTPACFMSTKLPRFSYDASIMMTASHLPYTRNGLKFFMKRGGLTSGEVEGVCDRAARKYVARKMGLGGGRGMPPVVMRVDLMSAYAQHLRNIIKERVIVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGKFPHHMPNPEDTTAMSLTRGAVLDHGADLGVVFDTDVDRSGVVDATGAAINGDRLIALMSAIVLDEHPGTTVVTDARTSDGLTRFIQARGGHHCLYRVGYRNVIDKGVQLNADGVETHLMMETTGHGALKENNFLDDGAYMVVKIIIEMVRMRLVGLEGSVGTLIMDLEEPAESKLMRMNILGEAKYAKQRGTQAVETFRNHIQEGKLNGWVLDDCGDCSVSQGCLVDTNDDPFDVDAYMYRAKFFDEYKGELGWVHIRQSVHNPNIAINMQSSIPGGCKSMAKDLLDRYLLTSGVNEFVDITEIHVY >OGLUM06G16020.6 pep chromosome:ALNU02000000:6:16486923:16488198:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGGAQAPREERVLLREETRGVVACEGAKLVERHERARHWAAWMEADDMEQVGLSYSGAMHGGEEAATELQVGGAVRPWLLILLAHEAALRRLRVAAGAVAPPPRSVIDA >OGLUM06G16020.7 pep chromosome:ALNU02000000:6:16486923:16487374:1 gene:OGLUM06G16020 transcript:OGLUM06G16020.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVRNSALAALEGGVAGNKSVAGAGAAPGGAQAPREERVLLREETRGVVACEGAKLVERHERARHWAAWMEADDMEQVGLSYSGAMHGGEEAATELQVGGAVRPWLLILLAHEAALRRLRVAAGAVAPPPRSVIGEPASCSID >OGLUM06G16030.1 pep chromosome:ALNU02000000:6:16521050:16521409:1 gene:OGLUM06G16030 transcript:OGLUM06G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRAAMARSIGDSAATPARSIGDSATTRGAVATCNPASSLLAYENCINHGRGFRASGRNLEANRPTMAAAHTDPRSTMVSSQ >OGLUM06G16040.1 pep chromosome:ALNU02000000:6:16523673:16524101:1 gene:OGLUM06G16040 transcript:OGLUM06G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQQNDSAKPHVNSPTHNPTADSTPLQVEHESVLLEEFRVSGPPPPSPPPYPMSPSMEDDGMIYTEDLVYMCTPCPSPPSDIDDLNPPEDPNNKIILHPTFVNDVDIDIIQEDIYNFRYDQTPPRDAQSPATRFKHHKRD >OGLUM06G16050.1 pep chromosome:ALNU02000000:6:16576784:16577223:1 gene:OGLUM06G16050 transcript:OGLUM06G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPERATVPQASRCPPLHYPSKIPKNGASRKEIGRRPPQLRSPSPFADSFFSAVAIHLEVLAAVKPLHKPPTPTKLRHPRPTAW >OGLUM06G16060.1 pep chromosome:ALNU02000000:6:16580958:16587742:-1 gene:OGLUM06G16060 transcript:OGLUM06G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSSGLSLPPAPKLTHDTAPIRFARGKATKFCSKDRSGVEQPRHEVPYLSWISLVPPKRLVTPGTRSPSQLLILGAMATRRTETKTSIQQEQVTIFGATSLAETNNLIQKEKTRHKLSIKGRGAQLGKKNRKGWAIRSSARGNGARITRRNGGSDHTLRRCLEDEWESMGNDAGNDELDKTDGDDVDPDETFGWEENESY >OGLUM06G16070.1 pep chromosome:ALNU02000000:6:16589279:16589608:-1 gene:OGLUM06G16070 transcript:OGLUM06G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSNDCAFYVMKYMEAYDGSREPIETLNIPTNSTIVRLSILFQLVSSDHNLADPRHPEIAAFLGPSVGDAFEQAS >OGLUM06G16080.1 pep chromosome:ALNU02000000:6:16591107:16591436:-1 gene:OGLUM06G16080 transcript:OGLUM06G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWRVAGSLEEVQQRVRWSGDGGAAAAALVQRWTAAAAGIRVERGGARRRRGMALVQWCTAAAAGIRAERGGGRIHAEDSSRGRKERWRGIGGIGGDFLQRGGSMDEIR >OGLUM06G16090.1 pep chromosome:ALNU02000000:6:16591196:16600301:1 gene:OGLUM06G16090 transcript:OGLUM06G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRESCHLLAPLESPLLPQCTTGPAPFPAASSLLLSLLESPLPPQSTAGPAPPLPRLHRRTTSLAAAPPRDSPPHATASCPSAPRPALTSLLCSPSQGSVSRSDSRNSLILFGLWELPVHLCKLITLTTIKAR >OGLUM06G16100.1 pep chromosome:ALNU02000000:6:16613279:16614387:-1 gene:OGLUM06G16100 transcript:OGLUM06G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWRQRDELPTMVPCIQRQPRRRRARPSSGSSIHEEADSQRREKIVIHVDADNEMVGDEAPPYKEVRREGGRHPLGSTLELTACGLDTAAWCPLPAHSMFDKMSMRARRSEG >OGLUM06G16100.2 pep chromosome:ALNU02000000:6:16613907:16614301:-1 gene:OGLUM06G16100 transcript:OGLUM06G16100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRSSKWWRGDPSPRRWVIGVSEMSCRQWCPASSGNLDDGVHGQAAEAASTRRPIHSGARKSSSMSMLTTRWSAMRLHRTRRSGVREDGIHWDLHWN >OGLUM06G16110.1 pep chromosome:ALNU02000000:6:16664428:16666485:1 gene:OGLUM06G16110 transcript:OGLUM06G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYEGVFAPKFITSGNSAPLVSVDLPRSNFRHTTSSVALALRAANGDSPADLDVQSLNDRSFSFVSHEKDREWTLVPSKKRSVSASMQRPDRSYAQIVISAIPVKSAFQRLQFSLGSKNSSDVSVDRPVSDSKVRIERDNCDPKLLTVGTVCARAIEPIFVMGLFIVGHAGHAARFCPEKSNNTTRINSVDAVRKSRSNGALVWRVKQKPAAKSDETPRILSSADTMRSVMHRDAYMFPLDFIEWEHLNLATASFGQLTYWLERD >OGLUM06G16120.1 pep chromosome:ALNU02000000:6:16707256:16707602:1 gene:OGLUM06G16120 transcript:OGLUM06G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGRLPTRTRRPPLFLWCLLVIQNMSRYMHDGEAASSDEQEGEVPVLHDDAAEAGEDGVVEGARVHGEDAGHGAIDAATTASLRCEVRVDERQGKR >OGLUM06G16130.1 pep chromosome:ALNU02000000:6:16734607:16735911:1 gene:OGLUM06G16130 transcript:OGLUM06G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G17640) TAIR;Acc:AT3G17640] MALPPPLLCFFIVAVLLSSVAAWVAAATTLHERDAAALRDVRAGLRDLPGSRFFESWDDATDPCDYAGVVCEPDEDDPAALRVSVLTLGTGLTDSPGLAGTLPASLASLTALTDFVLYPGRVAGAIPADIGSGLRRLRLLSMSGNQLTGQIPESLAGLPDLHTLDLGNNHLDGSIPSGLLLPSSQSLKVLILANNGGLSGQIPDQFSSSQLFHVDLSRNSITGSLPPLARTVRYFSVAANSMQGSLDGAFGNGSAPTDLAFLDLSMNNFSGSIPRELFALPSASSLLLSRNNFTGSLAVPAFASERAATPPWSVVDVSHNGITGEVPEELAAVESLYVNNNRMYGEVPEAVARSVFAGRMTTFYAQHNFLTGFPVPPLPLPDSAALCLSYNCMELPSASAANGCPTIGGPMESRPADQCRSSTAANAGSSGGDG >OGLUM06G16140.1 pep chromosome:ALNU02000000:6:16757283:16759951:-1 gene:OGLUM06G16140 transcript:OGLUM06G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDRNKSRILIIGGTGHLGKFIVAASARAGHPTSALVRATAPPPPATGGGGSSSRARLLQSFRDAGVTILQGDIGDHDLLVKAVRAADVVISVVGYHDVGEMMKIIAAIKEAGNIKRFIPSDFGNDADHAHIVEPAKATFDVEAQIRRTVEAEGIPYTFVSCNFFAGYYLPTLVQPGASGLPADKVVILGDGNTKAIFVDEEDIATFTIKGVDDPRMLNKVLHIRPPENALSMNDLVSLWEKKVGRTFERVYLVKSKGVFDYYSVMNNWALEIIGFVIWNLFTKWFWR >OGLUM06G16150.1 pep chromosome:ALNU02000000:6:16763818:16765783:1 gene:OGLUM06G16150 transcript:OGLUM06G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAATSGEKGQRAVVGRRTYGEIAVIINISNSEPPASSLRWRTSHTMHRWPSSSRERFPDRYGRPHGSQAAGGARRRRGAGGDDTGAREQGGGSSLLSVRRRGSRGARRRRQRPLRGAARRHVVRRERRRRREQDGDATVAGEQGVGGGTMGGRSPHSLGRPCASPLGRRRLSLLLAVLTSPTPRARRPTPSHAPCPASSPRTLARSPAALPTRPCSQRRLLPQPLRPRLLPHRHHQPGHAQTPASPRLTATDKPTPTPASPRLAATNKLTRASPLGCRHRHHRRCRGAALILSRSPRLGLQLEQIAVTSARPRARPAGRCSIVGEANGRAAGAGDKEKAWPERRAYSWLLALAKISGMREFLVDLRSQEEPVVADPNGRHGTQIQNKNSHRKHKIICNQDQT >OGLUM06G16160.1 pep chromosome:ALNU02000000:6:16786423:16791992:-1 gene:OGLUM06G16160 transcript:OGLUM06G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9R5] MENNNDGVIGISRRNSNPKVHSSMCSELTMMLDKVSSILPSIETAQPGCKAGIEELCNLYNIVDKGKLIIQNCIECSSLYLAITGEATAMRCERIRDALRRSLFLVQNMVPSSLANQVADVHDDLGDVKFIVDPEEDEAGKAILEMLRQSDATQEHELQTFLFAASKLNLTSPKAILIERRAIKKLLDKINGNDPKKEGILKFFQYLVRKYGKTMKPEGSAKNEGVDVANVTSSTNLIASGTDAPQKCFSPTNSWTGRCEEQNNLSRFSTPPEFCCPLSMKLMYDPVIIASGQTYERENIEKWFSEGYDICPRTQLKLENFTITPNTCMKAVICNWCKDNELEFTSLPEQFHSYSVSSLHNISAPLVAGTKRDYMSDHSSSSVALSGASYVSSPMRETEESRTNSTQFFSNAYYQLYLSFSSFNKEMFLNFFYELSELPMELQVKAVRDFKSVLNREYQIWRSMISNGFLEAFLEFLKNDNGKCTMEAQRTGIQFFLAFLRNSRTRIPSISEDAVRLVASFLDSELKTEALEILHELLQQPSCRKSRLMASVVAPSVFLAWDSADSLCLELVLKIICELSFKNDVQSFLISSGIISKLSPILSQGKSPECCLKILLNLSEGKQAADLIIRTDQCLSSISDYLDTGSSVEREHASGILLALCSRSIDDCVHVMKEGVIPALVDLSVNGTEVAKASSIKLLQLLRDSRQSDQFGNSCSSEVAVNGAAENSPIGTICKQPISKSARYISRKLSIFSKPRSLTLV >OGLUM06G16170.1 pep chromosome:ALNU02000000:6:16797988:16799388:1 gene:OGLUM06G16170 transcript:OGLUM06G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVGGVNIDVGTRSVRTAPRRWRSLEHVRPAQWRRHTRPARQWRWLGARIFATMASSTSCSPSQLVTSAVNAPAKLLPACFFPASFFLAMMVSFVDSTADLNDGSWPQPARPRAARPPCRAGMEESHAFTASHRVTPLIAGSQLHADNLTKEERMEGERGKERETREGVRIAGHVEKGGCGSLAPCRVSNIAVLSRVRDNKYST >OGLUM06G16180.1 pep chromosome:ALNU02000000:6:16802125:16802698:1 gene:OGLUM06G16180 transcript:OGLUM06G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQWFSNMITEAFGQASEVDAVAGEHRPRKAAKTERALYYDTPYYDGAPSEAFKPKQELDAVATPFS >OGLUM06G16190.1 pep chromosome:ALNU02000000:6:16824691:16827971:1 gene:OGLUM06G16190 transcript:OGLUM06G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRGRWAVARQRMMRRGTIRSWKMTSAALIQQVPAAATPMAVAAVRGRGTSSPSDGWCSPRASLKLQLAPPTSISSIQQVPYIH >OGLUM06G16200.1 pep chromosome:ALNU02000000:6:16852006:16853944:1 gene:OGLUM06G16200 transcript:OGLUM06G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPRSLNASTAGSFPFLLVLVVTSSFLSGRCLATTDSHHRRPPAGRHGPPRPVSPPSPPPPPATTFSVLQYGAVGDGDKDDTKASAECRHTHIHSRLILHTLIVMCKFMAFVDAWSAACAVRSSTVVVPAGYRFVVGPVTFTGDSCQPNTVFQLDGTIVANTDSGAWCSGNAVQQWLEFRSCTGLTIQGSGTVDGQGSHWWSGGAPATDIDADRVGTNNRPTALRVYESTNVAVTGITIQNSARFHLTFDTCRAVEVRGVAIRSPGDSPNTDGIHLAGSVGVSIQNATVACGDDCVSIQDGCSRVLVRGVTCGPGHGISIGGLGKGGATAVVSDVTVQDVSLVGTSAGVRIKTWQGGSGSVRGVLFSGVRVSAVKTPIVIDQYYCDHATCANQTAAVAVSGVAYSGITGTYTQRPVYLACSDAAPCAGLRLEDIKLAPVKDGGYGRLYGPFCWKAYGDEVRPVVPPVDCLMAGEP >OGLUM06G16210.1 pep chromosome:ALNU02000000:6:16857705:16858451:1 gene:OGLUM06G16210 transcript:OGLUM06G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVDEEERGGGGLGGVDAALAFGLDARLWAVESEHARVVNPEQRGVAMARRTASTTFATVGGSFYGKEEGTRPDFVVVWSRAVRHSSGRHTGLCGGCGAARHAARPARHFVEQVAGTFSRLAGEERMRNRLQGEREWAGPSEGRGWPREENDRGEGVGLWERKGGPKE >OGLUM06G16220.1 pep chromosome:ALNU02000000:6:16862309:16862888:1 gene:OGLUM06G16220 transcript:OGLUM06G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRTFKPGTQAAIALLLSAARRRCWGPRRATPPPPSAPQCQAAPRPLPNRRLAPLKAHPPCLPSSSA >OGLUM06G16230.1 pep chromosome:ALNU02000000:6:16868550:16872002:-1 gene:OGLUM06G16230 transcript:OGLUM06G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAASTVATGDCGCGIGRREARWLDLYRLAAAGATRGGSTSIADWRRARRRRIRHGTAAGATATGDYG >OGLUM06G16240.1 pep chromosome:ALNU02000000:6:16875216:16876949:1 gene:OGLUM06G16240 transcript:OGLUM06G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELTHPMPLYGTSRYPPYSGAVERAGKRPPADEDGRGSFGPVLVVLAVISFLAVSACIAGRLCGRRPSKLSSSKGEQMRGGGTTAAHADAEKGFGVMQNPAAAAVMRPVPSSRATVHDVDDDVFEIKLCAPVKPPTAAGRQGGSGGDGGGGSGPQPRPPPAVPLGVPRQYAAAAAAAAAAGFRRSPPASGGAAVRLTHPQNCGLFCPWAHCQNKENLFSGSIDRSLSRSRLVLSRAREALRRQAPPPRAERLHPTAERLHPTAPSTAAGHRTLAPPPRPSTAVRPTTERLPRAASYMQSGRPPPGALLSTIILR >OGLUM06G16250.1 pep chromosome:ALNU02000000:6:16912657:16913951:-1 gene:OGLUM06G16250 transcript:OGLUM06G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSMSKVTSICGSPLNANMMPVKSNLPSSRLSLVMLCSSSNTWMVVNTCVFFVCTTVLHGINLAITPAGRLEAEHQRCDIKDDHASSHSYKICQSPRLDSRFVTLRTQDPSKSSSLSDLPAEVLPEASRLYSEVLATPAPLLPSSKSQHEQLPLKIRPLADRLNFPAEQLGSSTMKQRFNLVEVTDPAVVSQGAATKDLRILKSGRRVQMSAQIPPVSQQLKGSFDVDDSQWKEVKANRWWKRPALSPEDTNQL >OGLUM06G16260.1 pep chromosome:ALNU02000000:6:16922050:16925333:-1 gene:OGLUM06G16260 transcript:OGLUM06G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFMQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKEAAEVLTYC >OGLUM06G16260.2 pep chromosome:ALNU02000000:6:16922050:16925333:-1 gene:OGLUM06G16260 transcript:OGLUM06G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAGERPSASVDVWDGGRYYTGYPKDLGPSRIIPFTSERQFMQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKEAAEVLTYC >OGLUM06G16260.3 pep chromosome:ALNU02000000:6:16922402:16925333:-1 gene:OGLUM06G16260 transcript:OGLUM06G16260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAALHRWTSGMADGSFLDRMVSQLRSTCRYYTGYPKDLGPSRIIPFTSERQFMQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >OGLUM06G16260.4 pep chromosome:ALNU02000000:6:16922402:16925333:-1 gene:OGLUM06G16260 transcript:OGLUM06G16260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFPPLSFLLVRRRSPEASAASTWAAAEVSGRRSGGYLPASKALRRRAGGIARQRPTPADQVGREAPPSVPAPVSTRAASPVSCCCYLCCRILAGERPSASVDVWDGGRYYTGYPKDLGPSRIIPFTSERQFMQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFHPHVKFVRVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKVVDPNVTKYSVKVLPFNYDQSMYGFREYFKKHGFKYFETN >OGLUM06G16270.1 pep chromosome:ALNU02000000:6:16946445:16946645:-1 gene:OGLUM06G16270 transcript:OGLUM06G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAGVGWLTPWLDERPKGYLFKRLLPPAGEFHKWVDWELPCYLTVVILGIRLNAKPNLTLQTWPH >OGLUM06G16280.1 pep chromosome:ALNU02000000:6:16946768:16948489:1 gene:OGLUM06G16280 transcript:OGLUM06G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGEITTRVQSKPMWLLIDRQIRCMWMKVDKYSLFPAGNVSMFTYRLCYCFLWETVLHN >OGLUM06G16290.1 pep chromosome:ALNU02000000:6:16954335:16958853:-1 gene:OGLUM06G16290 transcript:OGLUM06G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIMPILNEDGGWGTQVLGPSTMFGSCLNYVTLRLLGQERSIDALTKGRAWIISRGSAAAVPQWGKIWLSVIGLYDWSGNNAIIPELWLVPHFLPIHPGRFWCFCRLVYMPMAYLYGKKFVGAITPTILEIREELYSVPYNEINWKNARNNCAKEDLRYPRSFVQNVIWTGLNKVVEPILSLWPFNTLRHAALNNLLKHIRYEDESTKYIGICPINKALDMICCWIDNPNSDAFKLHLPRIYDYLWLAEDGMKAQVLPMLLFLCKCSSFFLWLLG >OGLUM06G16300.1 pep chromosome:ALNU02000000:6:16961755:16969122:-1 gene:OGLUM06G16300 transcript:OGLUM06G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDKLDLILRRMEEFERRQVEADQRRAEYQSLKAAVESWMPEIQKNAEDLQFLVGDEQSKVTLTTCLTECPNGSSPSRTARSIYDDEGSTPTIILELGDGEDKIHDPYIVAKDSLEVTPTMCSMKCSIPDTESNLTMVAEVTYASTATVSMELVAAQEAIDATYSDTSDHSKVMHTKCLMAVLDAIGDTGQAMVVFQTWTDAFKDVPTSVQFMDFFSSSMMANIKWNTPIPIKYSVQFLGHDMLATNPLDVNSWPPPWSDGVIRGRDLRPSPWPGFNFCGTVEHLMPPWPPPTQPMPLTYPSKFGLVFTIDGVHIDWNLVVAVHKIFWSVMIKSMRHVPSISSELDDIQGKSTMIFIDVTIPEGCNPKKSGSATTETIFNSFSELLDVHLTTIEMLVSKRSQEVRCWQGALELQVSVLFWLLARNINPPKFEVQVLEFLLRVLIGSLSEKYSGNTIDLELSRSLQSGTHILDLYSAEEHISDNFLNVIMWCSVPIKNLHKQWDPGGSGETLHRLGDKPKFKERRLLGTQMGCLWAVNHFQSKAQASKSGAHIDSRSSTREEIEQRTTEPVEGLLGGDGGGGDLEPGLRWWVHKTGASEQV >OGLUM06G16310.1 pep chromosome:ALNU02000000:6:16970758:16972207:-1 gene:OGLUM06G16310 transcript:OGLUM06G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYDGCQSWETAFIVQAYCSTDLVNEFSQTLTKAHEFIKKSQVLENHPDYEAYYRHRSKGSWTLSTADNGWCVSDCTAEALKVNAY >OGLUM06G16320.1 pep chromosome:ALNU02000000:6:16976081:16976713:-1 gene:OGLUM06G16320 transcript:OGLUM06G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSQASAIAFFTSSIVFLKRFAIAFFASSIVFLKRSAIALFASSIVFLKRSLLSSSNHAPVHTVEQRLDLTAHLVLVAEHALGALAIAAELPQRARVSDVPLDELDEMVHDALVEVLAAEDLEYAVVDGYDADVERVAAEVEDEDALLGPLLVDVVGDGAGGRLVDDAVDGEPGDDADILGGLCQQKPLA >OGLUM06G16330.1 pep chromosome:ALNU02000000:6:17016489:17024012:-1 gene:OGLUM06G16330 transcript:OGLUM06G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPTPSTGGPGFRFTQEEVAEMESLLRHLNNGIPDGSLIQSLADRFTASAARAGKVGVRPCVSFPVGNSIAYVLNSDTSIRAGVGGAREVWYWFQNRKYSQRSRNSTKMLPAASGDHKWAFARSSVQKSVKNSLEGGQLEFEAKSVRDGAWKYGFDFLDLELGTTNGLMSVHVCGNVLIHEGKHQALYFDAHVLDAQKRRHDARGCRCRFLVCYDHDDSEEIVPLRKMCRRPETDYRLEILHAAGAANAAKEAVVDSVIA >OGLUM06G16340.1 pep chromosome:ALNU02000000:6:17029721:17030152:1 gene:OGLUM06G16340 transcript:OGLUM06G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVHKNQILEYAGPGAGASTWAAAALGGVALNQGNGGEVVPLGAGAGGAGRRGPAAALGGGAASSGAAALGGGAASAAALGGVELGRWPSLVRRTARVDAALGAHEEAERSIDIEEYFSSFHLTVGPGVKQSTKFENKYKWD >OGLUM06G16350.1 pep chromosome:ALNU02000000:6:17030982:17031338:-1 gene:OGLUM06G16350 transcript:OGLUM06G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTLSRCSVSKWGEGGDGMCDPLSPFCICPSHACTRASPPLHALSLGEERSSIRAFPVSLAREADLHERGQALAAMQATKHWATAPAHASQVRCNQPNTPIETHYAMNQQAQYPL >OGLUM06G16360.1 pep chromosome:ALNU02000000:6:17034301:17037217:1 gene:OGLUM06G16360 transcript:OGLUM06G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFGAWVTVVPLVALAGHRQQRRAQQQLDYDSTSLQTTGAGQQSAEAPGSALDEASSHPISTIATPLPVLPLAPSASRTKSPRLVLDHLAKAYRVLETTAQEAPFELNHLYVEMGSAGDKIAKGYGRGVEPPKFPMRRERNDLRTSTCHVLVCLKLTQHRGDANEGWADDRREDVMAELENAEDSIISIYGE >OGLUM06G16370.1 pep chromosome:ALNU02000000:6:17038759:17039403:1 gene:OGLUM06G16370 transcript:OGLUM06G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLSATFAPAATTTATPLPAPQQQLRHGRRCYRALSLRPPRAASGDDGAGGEVEPAAPAAPAKTATATDGGGDDFEERVLRIKSRVGPKKRGSAAARKRKGGAGASSKSKAAVTLPPVPLREARSSVGVPVEFGFSAYSERLNGALAAVGLAALLLVELGSGKALVKYHQPATLFLQVYTVAAAGAVFVKYEKERISVWPGPPATKPPATGE >OGLUM06G16380.1 pep chromosome:ALNU02000000:6:17043451:17047387:1 gene:OGLUM06G16380 transcript:OGLUM06G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17560) TAIR;Acc:AT5G17560] MQQMRSAAAAPCSLAAMLLRRFAYTSYSSSCGPIRRHAAVALSSTTTTTTRFAAWSPPPPSCGARSRGFAAWASAPGPAGSTDSPAIQALETKIKEQLEADTVTVVDTSGDGRHVCIDVVSKVFEGKSAVNRQRMVYKAIWEELQSTVHAVDQMTTKTPSEAAANQ >OGLUM06G16390.1 pep chromosome:ALNU02000000:6:17060731:17060976:-1 gene:OGLUM06G16390 transcript:OGLUM06G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRSGGGGKRRKGAAAARGGRERRRREAQEGGDDDAFLDLLRRKSIRLTCELFCQLRAVAAVESAGGRGGSGMHRSCSTP >OGLUM06G16400.1 pep chromosome:ALNU02000000:6:17064425:17068969:-1 gene:OGLUM06G16400 transcript:OGLUM06G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLQQLNNAIPHRSVIQALADKFTSSPARSGKVAVQPKQVTIRVFLPPPPSSSPSLAGNSPPHLDPAALAGVELVPEPEVLAPLQELQGPPDADADQDAAHGVRRAQVASLQGYALCLCALRLALRSFAHVTLAIRRKGSLESGQVEFEAKSARDGACLVGLTMTQPIYSVKFKNSMDNSKPPDAPVLLVNIPLQPLIALVSTPVMLQPPLRQLPKKEHQASWYDVAAFLSHRLFESGDPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCESTECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDHSEEIVPLRKVCRRPETDYRLQILHAARAAGMAKEAVVDLVSHNDKSSAEQKPPKQHKMMDVNTDEVTMVSNQDQEEPTGKPAATLPAAPVKTLNDSASASDVQMGEAQAAPKVESSDEVEDKMKEG >OGLUM06G16410.1 pep chromosome:ALNU02000000:6:17125941:17129263:-1 gene:OGLUM06G16410 transcript:OGLUM06G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESDQAPSPSSPSSSSSSSSGNRSNKAPPPEESDNSSSNGSSSSSPTPPSSQSSDSDSGGGSSSPSQGSSSPSQPPPSGSSSESHSSPPPAPPQSSSSSSSSSGGGSKSSPEAPSPPSESSGNGGGGGGGGRSSPPPNWSPPPQQQQQHQSGGSTPSPPPSPSSNQPSPSSGSSASSSEPSPPRSSPPPSPPQSSGGNNGQPPKPSGGQQQAPPQSPPSAANQSVVFIPVPVASNSPPGMLTPPQVIDATPSGAISSTNFPGGRNSTAGSSNTSLSQQQHTTVSSTAQASSSGHIAAAIAGAAVTGLLCAIVAIYLIVSSRRKKKMDGLVYHYDGNNYFVPSSQFGGSSRNHHPPPSAIMLNSGGASADGGGYYNSGTFSGGEGTGPAGSKSRFSYEELTGITSNFSRDNVIGEGGFGCVYKGWLSDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEHHLHGRGMPVMDWPTRLRIAIGAAKGLAYLHEDCHPRIIHRDIKTANILLDYSWEAQVADFGLAKLANDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTQPLGEESLVEWVRPVLADAVETGDLSELVDPRLEGAYNRIEMMTMVEAAAACVRHSAPKRPRMVQVMRVLDVGSMTDLSNGIKVGQSQVFTGGSDAADIQQLRRIAFASEEFTGEFEQRTTNSNSESRPMNRIPE >OGLUM06G16420.1 pep chromosome:ALNU02000000:6:17136876:17137478:-1 gene:OGLUM06G16420 transcript:OGLUM06G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAWQQRSADGWAANGGAQGQAVDGGGGGRRREARVEVGVGARSGDGDGESGLGATVGVEATAATMERDGEGAEGTSAAPPSDACTMQHNCASSSASPLPSSSASAAAALGGAGQEWRGGPNLAVVAAAGVADARIDRNLVHRLQRGGVRRRRAVVACAGVALAALRQWRRRQSRAHGLVSAGAHPLIVLHLLLPVGDLL >OGLUM06G16430.1 pep chromosome:ALNU02000000:6:17140977:17146476:1 gene:OGLUM06G16430 transcript:OGLUM06G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9U5] MAGGRSGSRELPETPTWAVAVVCAVLVLVSVAMEHGLHNLSHLMLLGFISLLLTVAQAPISKICIPKSAANILLPCKAGQDAIEEEAASGRRSLAGAGGGDYCSKFDGKVALMSAKSMHQLHIFIFVLAVFHVTYCIITMGLGRLKMKKWKKWESETNSLEYQFAIGNPSRFRFTHQTSFVKRHLGSFSSTPGLRWIVAFFRQFFGSVTKVDYLTMRQGFINAHLSQNSKFDFHKYIKRSLEDDFKVVVGISLPLWFVGILVLFLDIHGLGTLIWISFVPLIIVLLVGTKLEMVIMEMAQEIQDRATVIQGAPVVEPSNKYFWFNRPDWVLFFIHLTLFHATPGLKKCFHENIWLSIVEVIVGISLQMGSNMKKTIFEEQTMKALMNWRKKAMEKKKVRDADAFLAQMSVDFATPASSRSASPVHLLQDHRARSDDPPSPITAASPPAPEEDMYPVPAAAASRQLLDDPPDRRWMASSSADIADSDFSFSAQR >OGLUM06G16440.1 pep chromosome:ALNU02000000:6:17149675:17160621:-1 gene:OGLUM06G16440 transcript:OGLUM06G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14720) TAIR;Acc:AT5G14720] MPLGHRAATENLAGKTPTPPSLPPSTPLPTLRCLLLLLASLSSASSPSATAAARCSSRRRGLHLLPDLLDRPRFCSFSSAQIRPLAFHPARGTRDPLPPPPSLRSSSPLAGGEPRRPEARCSVYYCRTAKHARRFPTDPKEYKLCEEVGDGVSATVYKALCIPHNVEVAIKVLDLEKCSNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVIMPYMAAGSALHIMKTSFPDGFEEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDTNGAVKLGDFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPSSEKLLKHSFFKHARTAEFLARSILDSLPPLGERFRTLKGKEADLLLSNKLGSESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDNTNGTCHLDGVNSKFKDGLQEANEPENIYQGRANLVASARPEDEIQEVEDLDGALASSFPSRPLEALKSCFDVCGDDDPPTATDLREQPNMESTSPMQQFQQIENHKSANCNGESLERSASVPSNLVNSGSHKFLSGSLIPEHVLSPYRNVGNDPARNECHQKNTCNRNRSGPLFRQMKDPRAHLPVEPEEQSEGKVIQRRGRFQVTSDSIAQKVASSASSSRCSNLSIGVTRSTVHPSTILPTLQFMIQQNTMQKEVISRLISSIEEISDAADASTTGSSQPSGVHFREKELQSYIANLQQSVTELAEEVQRLKLKNTQLEEQINALPKKDERLRREDTRQQ >OGLUM06G16450.1 pep chromosome:ALNU02000000:6:17186186:17187077:-1 gene:OGLUM06G16450 transcript:OGLUM06G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLRAYDGQLLISVRASSKTAQARSPASGGGCFRGLKCSRRLLLDTTFFPCFSEAIFASSDFFW >OGLUM06G16460.1 pep chromosome:ALNU02000000:6:17202932:17215953:1 gene:OGLUM06G16460 transcript:OGLUM06G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9U8] MAITMCCVLLLVPLVAVLVAADQLRVDYYSETCPNVEAIVREEMERIITAAPSLAGPLLRLHFHDCFVRGCDASVLLSSAGGNTAERDAKPNKSLRGFGSVERVKARLETACPGTVSCADVLALMARDAVVLARGPSWPVTLGRRDGRASSAGEAAASLPPADGDIPTLARVFASNGLDLKDLAVLSGAHTLGTAHCPSYAGRLYNFTGKGDADPSLDGEYAGKLRTRCRSLTDDAGMPG >OGLUM06G16470.1 pep chromosome:ALNU02000000:6:17216304:17217584:-1 gene:OGLUM06G16470 transcript:OGLUM06G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRAAGAVWLAVPGHGVASGDGWLRTAGAAGGDGKGPRAAEAVAVARRSGRGEVTRGTTGRDETRETKITRPLASSIKGTRGEEAAGGSGRGREVEGGGGCVDEGGHDEEASGEQWRGRVVVVAKRHGAALVVGRRRETEDIASLREAFAAPVDGEVEDLDVAAARCDFYGVFDGHGCSHVADACRERLHELVAEEMGAGAVPREPVSWTGAMERSFARMDAEVIAGCRAESSNCRCKGRKCDHVGSTTVVAIVEESRVIVANCGDSSVMLRRDGAPVPEVTVGRQ >OGLUM06G16480.1 pep chromosome:ALNU02000000:6:17217051:17223377:1 gene:OGLUM06G16480 transcript:OGLUM06G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILIIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGSDWLNRIMFNEHFADDLWHMGLHDDAF >OGLUM06G16480.2 pep chromosome:ALNU02000000:6:17217051:17223377:1 gene:OGLUM06G16480 transcript:OGLUM06G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGPGGGSNHTHLTERGEILEDAHDKKDELRAEDLELKLNEPFLNFMRLRLIPQWGQGFTSECQLKTAQDQLEWHSQIKPTRLPVLALHIGNKKFARTNECDIFVKFFYHKKSVIFQMSKDKLCRKVDIPFDDITSFCFVFGQQSDILIIEVKSSLIPLSAAKPLPGKFLDWKVDYSKDDEYYFPESKSLWVEAEKGSLEKTYAKLKYTNPHLTCLFTDAGEQGGSDWLNRIMFNEHFADDLWHMGLHDDAF >OGLUM06G16490.1 pep chromosome:ALNU02000000:6:17236567:17236833:1 gene:OGLUM06G16490 transcript:OGLUM06G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPEQSASQSNVQMAAILDELKKINITLQEHSTAIKSLESSKGIMRPLPSPTPHAETHVDARVPRYYKWIFPNTTAWRSGNVDQPL >OGLUM06G16500.1 pep chromosome:ALNU02000000:6:17263053:17263274:1 gene:OGLUM06G16500 transcript:OGLUM06G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPSNAHPGRVVEGGGRKRGGRNSGDKSSASAIREGGGGGLSQSGGKGERGKMESPRRGGVGGARAWLAKLR >OGLUM06G16510.1 pep chromosome:ALNU02000000:6:17270809:17271084:-1 gene:OGLUM06G16510 transcript:OGLUM06G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPRSALAATTPAHRLRDDDEDDNDGRDWICHRLRRRLDPPSGGLRHADPLPCGIERMDPPPIVLGCSDLPWVCSQAAMALIDRGVGRSW >OGLUM06G16520.1 pep chromosome:ALNU02000000:6:17278277:17285109:-1 gene:OGLUM06G16520 transcript:OGLUM06G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSEKPPSVYLYIPNIIGYFRIIINFIAFAVWLALPVYWHFSPNFTGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQYF >OGLUM06G16520.2 pep chromosome:ALNU02000000:6:17278393:17285109:-1 gene:OGLUM06G16520 transcript:OGLUM06G16520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSSEKPPSVYLYIPNIIGYFRIIINFIAFAVSSTFGAVLDMVTDSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQTLTA >OGLUM06G16520.3 pep chromosome:ALNU02000000:6:17278393:17285481:-1 gene:OGLUM06G16520 transcript:OGLUM06G16520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWPPVEDEPAAGIEMATGYFRIIINFIAFAVSSTFGAVLDMVTDSMFLSGKTSHKDVKDTGNWLLKLYYGHRPFMAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQTLTA >OGLUM06G16520.4 pep chromosome:ALNU02000000:6:17278275:17288994:-1 gene:OGLUM06G16520 transcript:OGLUM06G16520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCCVASEVLYIILFLFADEKSTSLLNVCRCFLKGSPLTFFVFISTLVGWALKQVINIIQYF >OGLUM06G16530.1 pep chromosome:ALNU02000000:6:17285065:17287229:1 gene:OGLUM06G16530 transcript:OGLUM06G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATAGSLPPNQRLPIANASLPQLPSPAQRQLHGGSLVGGRATAKVSKILKIVKDCYIML >OGLUM06G16540.1 pep chromosome:ALNU02000000:6:17293357:17294302:-1 gene:OGLUM06G16540 transcript:OGLUM06G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSDVELGTRCSIHEKERTRDMNELGMRSSPERIFDESNTFGRRNEEDNFGETRLLQGGQGFDDSICKVPRFNYVLSYHGNLVKMPKLLR >OGLUM06G16550.1 pep chromosome:ALNU02000000:6:17302537:17308551:-1 gene:OGLUM06G16550 transcript:OGLUM06G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVPYARDLLIPSRTRAPLASFTPPPISSPPQTHETLISSPSASPPPRCAAPPLRSCSPRPHSHLTSTAVLTFVIRRDAPPLLLAIIYSPPLKLTPDGTETLWFALQGGSGSGGQQQLDAVMSHQISECHALYYY >OGLUM06G16560.1 pep chromosome:ALNU02000000:6:17314496:17314833:1 gene:OGLUM06G16560 transcript:OGLUM06G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGRRRRSAILCRHRSTSKVAITVHPSPTHGRSSVSLQEHLVTAARAASGHLRRQDEHHRVRKSLAHFSPFGCDVGLAADVDVKPAGQ >OGLUM06G16570.1 pep chromosome:ALNU02000000:6:17316479:17316691:1 gene:OGLUM06G16570 transcript:OGLUM06G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDVAVQAIGPATSTSSNMIHRQRRRIFLDYASLFSDNYVLLRQFFLYAVLAPRPSRRPSLLVFSDIGV >OGLUM06G16580.1 pep chromosome:ALNU02000000:6:17319442:17321775:1 gene:OGLUM06G16580 transcript:OGLUM06G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAEMAPSSLLALLVVRVRVVTASAGAVTVGGWRGPPPTSTASRPPRAPRLLAPPPPPPLAGHKIQIKSANMKEEMRQEAFDIDRVAFEKHTMEKDIVEYIKKEFDKNHGPTWHCIVGHNFGCRAMDIRPPGPGAQRDWL >OGLUM06G16590.1 pep chromosome:ALNU02000000:6:17326603:17342823:1 gene:OGLUM06G16590 transcript:OGLUM06G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDIWPSNRNRQNTREAKRNPRCAAPQAPPPSTPFSSPLSVAAAAGRGAASLRAAAMDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARRPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDINSTAYWARDKPASDIWPSDRNRQKNTSETLSLIFSHSLRLPQPQAPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >OGLUM06G16590.2 pep chromosome:ALNU02000000:6:17326603:17342823:1 gene:OGLUM06G16590 transcript:OGLUM06G16590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDIWPSNRNRQNTREAKRNPRCAAPQAPPPSTPFSSPLSVAAAAGRGAASLRAAAMDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARRPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDINSTAYWARDKPASDIWPSDRNRQKNTSETLSLIFSHSLRLPQPQAPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >OGLUM06G16590.3 pep chromosome:ALNU02000000:6:17326603:17342823:1 gene:OGLUM06G16590 transcript:OGLUM06G16590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDIWPSNRNRQNTREAKRNPRCAAPQAPPPSTPFSSPLSVAAAAGRGAASLRAAAMDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARRPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDINSTAYWARDKPASDIWPSDRNRQKNTSETLSLIFSHSLRLPQPQAPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >OGLUM06G16590.4 pep chromosome:ALNU02000000:6:17326603:17338454:1 gene:OGLUM06G16590 transcript:OGLUM06G16590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSDIWPSNRNRQNTREAKRNPRCAAPQAPPPSTPFSSPLSVAAAAGRGAASLRAAAMDSMQTNMLHLMGLDETMKMVTKNVFSSLPDAPVSLHAPLAFAAAARRPRDGVDRTGALPVGILCDILSRLPARDAARTSALSTRWRRLWRSVPLVLADAHLKHTGPPPPPVSEIDQVDGLGGVLRRAVDGTRDVASAVSRALAAHPGPFRSVHVTCTRMDAHHAELALWLQLLAAKGVQELVLVHQASKLDAGVRLPATLFRCSSLTCLYIGFLRFPDVATIQRAGAFPHLRELGLCSLVMGVRDLALLLDRCPVLENLEIVGHRELVRLRVASHSLRCVELCESVVEEITVEHAARLERLMFWEICGVGGVIDEDGCSINMCTKIKIGHAPNLRFLGFLVPGMHELNIGNTIIKAGTKVSPKNMVRSVRVLGIQVKLFNHNEVKMLPIFLRCFPNVETLYIQCETTIHKPPGMLNPKFLQQTGPIDCLQKHIKKVIIREFRVHRSELDFVKFIAERGQVLEKIVIVLAQSYSSSADRLRSSMRTFMASVKLANEDCKVIVCESPFPSDGTAWCFQGAFNMSKDPFDINSTAYWARDKPASDIWPSDRNRQKNTSETLSLIFSHSLRLPQPQAPPLLHPPKPSPLPIRHIAAAAEAAMESMETNALQVLGLDDNMRMVTKNVYSSLPDPPVSLHAPLAFAAAAWPPRDGVDRISALPVDILRDILSRLPARDAARTSALSTRWRRLWRSAPLVLADAHLKHTGRAPGPDELDRTGGLLLRAMDGMRDVARMVSSALAAHPGPFRSVHITCTPMDAHRSELALWLQLLAARGVQELVFVNRASKFDTDVPFPATLFRCSSLTRLYIGFLRFPAVATVPRAASFPHLRELGLCSLIMGQRELAFLLDRCPVLENFEIVCHRELLRLRVASHSLRCVEVCMSIVEEITVEHAARLERLMFWETCGTGGVIDNVGGIIDMRTRVKIGHAPNLRVLGFLVPVMHELSIGNTDIRAGTKPTRRTMVPSVQMLGIQLKLFDNNQVRMLPSFLRCFPNVETLYIQSETTLSGNTTGKLNPKLLQETSPIECLQKHIKKVIMREFRMQRSELDFLKFIAERGQVLEKVVVVLTHTCSSSADRLRASLSTFMASTRLANEDCKLIVYESPFPIDATAWCFQGAFNMSKDPFDVSKCFKDGASCRAD >OGLUM06G16600.1 pep chromosome:ALNU02000000:6:17343044:17344619:-1 gene:OGLUM06G16600 transcript:OGLUM06G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRATTLLVLMQIIMVFYTVILSCSFSDARTFPGGEGGLDPNHPVCVGGACPTPGLPYTNPPGPCIYRNRCNPPGRMGDP >OGLUM06G16610.1 pep chromosome:ALNU02000000:6:17364483:17366910:1 gene:OGLUM06G16610 transcript:OGLUM06G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNKKVKKAMETIATNINDLPNEVLQYILSFLSTREVVKTCVLSQRWCNIWKFVPTVHVTNETIQHCQKLLDHVIMQRGDVSIDTCHLEFVKYFRRENRKANKWIFHALSVCKVKELRVYIQFQDFFLTITNQAIISGYLRKLELDSVKLEANSLDFTSCPLLEELQMGYCIIYARKIVSKSLKRLKMETMFFETEDDDGWPCRLHISVPNITLLGFDGWTPLFESMPYLAFAIVTFNNKCYDTCQYSSFWDCGNEDCEGCYAIGDHLNGSVFLHHLSHTTHMELTNDCRMNMNDSISTIFDRDLKWCPLFRNLKTLLLNEWFLENGLRGVLRILQHSPALEKITLKLFMEPKKIVESEESYGTMEQPFVMNHLKKISVKCQKEVMWVKKIIMTLTQFGIPHQRICVKEIPRSSIISEMTYLQTEHNLRMKPYKCVISDLKAKAKR >OGLUM06G16620.1 pep chromosome:ALNU02000000:6:17405016:17410085:1 gene:OGLUM06G16620 transcript:OGLUM06G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATAPPSQCQAPVAAAHWVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTKPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >OGLUM06G16620.2 pep chromosome:ALNU02000000:6:17405728:17410085:1 gene:OGLUM06G16620 transcript:OGLUM06G16620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHRKLASGGGGGGGAVAGEVYETELVDGGAGFADGEAARAFFARLDEQLNKVNRFYERKEAEFVERGESLRRQLQILAELRAAVVAEQQRDGRRRRCGNGGDSSPPDTEDPSVSCSILHGDQSLRGTSEQEQEGQEKLTKDMIARSPDEGDDDQLTIPQELGDSGRLGRPREEAANTKPRTTLPGGRAVTCQGRSVRINIPVTTPTRTVTAIRELLFDDMLSQSRRSGSANGTKCGDKLSINKRKVHQAEKMIRGALIELYKGLGYLKTYRSLNMMAFVKILKKFDKVTAKEAQSIYLKVVESSYFNVSDKVIRLMDDVDELFVRHFAEGDKRKAMKYLKPNQREESHTTTFFIGLFTGGFAALFIGYCIMAHIAGMYTQQSNKVYMATSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFTPTKELKYRDVFLICTTSMTIVIGVMFAHLTLIVKGYSSCAVQAIPGALLLVFLLILVCPFNILYRSCRYHFLTVIRNIILTPFYKVVMVDFFMADQLCSQVPLLRSLEYLACYYITSSYKTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENDNSAGWLSLVVIVSSLATIYQLYWDFVKDWGLLQFNSKNPWLRNDLILKQKYIYFLSMGLNLILRLAWLQTVIHPNIGSLDSRVTLFILAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEED >OGLUM06G16620.3 pep chromosome:ALNU02000000:6:17405016:17405623:1 gene:OGLUM06G16620 transcript:OGLUM06G16620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIETHYHCYSLHQSTKRKVEEEDLQGERRPMVKFSKQFEGQLVPEWKDAFVDYWQLKKDIKRLQAAEAEAAGVAATAPPSQCQAPVAAAHWVMRLPFLHPHGHHHKEHVLKV >OGLUM06G16630.1 pep chromosome:ALNU02000000:6:17417385:17419400:-1 gene:OGLUM06G16630 transcript:OGLUM06G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDHLAALLLRGSGGHHHSHPRAVHGAAVKLGCLASTYLCNNLLLSYQARGHLADARGLFDEMPRRNVVSWSVLIAASSHLGVLGDALSLFAGMLRGGERDRPNSFTVAALVAGCARAKDAVAGEQVHASAVKLGVDEDESVAGTLVDMYAKCGRVGSSWRAFVLTPQRSVLSWTSMIACLVNHGDSGYRDTAIVLFKKMLVLKVWPTNATFSCILKVFDVPELLPSGKQVHSCLVKMGTEVDPALGTALLAMYGRCGGMDEITRLACRIRHDAFSRTSLLTAYARNGCNMEAVRVFRDMLMGHMPIDQSAITSLLQVCSSLGQLRVVKEIHCYALKNFFRLDTLLLNAIVTVYGKCGDIASAEIVFNTLENKDTISWTALLTCYVQNDLSQEALFFFREMVRKGLESSIFCITSVLRACSATSSLSCGWQIHSRVVKLGVDDDTSVENALVTMYAKCGVVQAALKIFNSMRNRGIISWNALITSFSQHGNEVAAIQLFDMMQEEMVCPDDYTFVGLLSSCSRMGLVAEGCEYFKQMKTKYNLEPKMEHYTCMVDLFARAGRFSDAMKFIDAMPCQPDQLVWEALLASCRVHGNLDLGRMAAKKILEIKPEDPSPYIILSSIHASIDMWDEKARNRTLLDFQQLRKDVGSSQLDSQGFSDDIFNALQVGVT >OGLUM06G16640.1 pep chromosome:ALNU02000000:6:17438986:17444728:1 gene:OGLUM06G16640 transcript:OGLUM06G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >OGLUM06G16640.2 pep chromosome:ALNU02000000:6:17438986:17443177:1 gene:OGLUM06G16640 transcript:OGLUM06G16640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWEPVTFEESLCFVKKVKARDYVLYLSLLDVLSRNEQIPLEAYSELSLLFRDHDDLLEELAKFRPLPTPSTVYSHSSVWLLFFLMPLLVLSILLKCFLLQQPVAS >OGLUM06G16650.1 pep chromosome:ALNU02000000:6:17445064:17448801:-1 gene:OGLUM06G16650 transcript:OGLUM06G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-domain-2 5 [Source:Projected from Arabidopsis thaliana (AT4G32300) TAIR;Acc:AT4G32300] MRFLLNSRLSSSCLVGWSGTLNSLCSFLLDVRNSLLREPKHGSSVQINYGSGFEEKNKGSEIKKQTGNLDKTVEELSNVYRGAQETNRKLYQELFPIQIMQMCGWLLKVVRWENLNCVHMEAHGNRRSSPTYLVMLWMISVASLLITCRGSIQKQVLFPGFTATQMDYIDNDGIFLLSNGSVFGFGFVTSNVSDNTFYILAVVHMATTTTVWSANPNSPVTHSDDFFFDKDGNAFLQSGGGSNVWAANISGKGTATSMQLLDSGNLVVLGKDASSPLWQSFSHPTDTLLSGQNFIEGMTLMSKSNTVQNMTYTLQIKSGNMMLYAGFETPQPYWSAQQDSRIIVNKNGDSIYSANLSSASWSFYDQSGSLLSQLVIAQENANATLSAVLGSDGLIAFYMLQGGNGKSKFSITVPADSCDMPAYCSPYTICSSGTGCQCPSALGSFANCNPGVTSACKSNEEFPLVQLDSGVGYVGTNFFPPAAKTNLTGCKSACTGNCSCVAVFFDQSSGNCFLFNQIGSLQHKGGNTTRFASFIKVSSRGKGGSDSGSGKHNTIIIVIILGTLAIIGVLIYIGFWIYKRKRHPPPSQDDAGSSEDDGFLQTISGAPVRFTYRELQDATSNFCNKLGQGGFGSVYLGTLPDGSRIAVKKLEGIGQGKKEFRSEVTIIGSIHHIHLVKLRGFCTEGPHRLLAYEYMANGSLDKWIFHSKEDDHLLDWDTRFNIALGTAKGLAYLHQDCDSKIVHCDIKPENVLLDDNFIAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWLTNYAISEKSDVYSYGMVLLEIIGGRKSYDPSEISEKAHFPSFAFKKLEEGDLQDIFDAKLKYNDKDGRVETAIKVALWCIQDDFYQRPSMSKVVQMLEGVCEVLQPPVSSQIGYRLYANAFKSSSEEGTSSGMSDYNSDALLSAVRLSGPR >OGLUM06G16660.1 pep chromosome:ALNU02000000:6:17479360:17480359:-1 gene:OGLUM06G16660 transcript:OGLUM06G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVRLAAKADPATKTLFFHSLGWSAGADDLLSTFSRFGFVLFRSRRFVLRALRCSHDEKL >OGLUM06G16670.1 pep chromosome:ALNU02000000:6:17486365:17492932:1 gene:OGLUM06G16670 transcript:OGLUM06G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9X9] MAAKGSPEEEALLAGVGGDHQLVESDELAPAAAVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVYAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSMEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRSAHLAVRVVVFMAVLEGLVIGLVLVGVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGMVLTEEPSRSFVCLNFHQVPCCKLFLVDVMSFNNAECLIGSMARHHLRPLGASPATNGNNTMHKLG >OGLUM06G16670.2 pep chromosome:ALNU02000000:6:17486365:17492932:1 gene:OGLUM06G16670 transcript:OGLUM06G16670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9X9] MAAKGSPEEEALLAGVGGDHQLVESDELAPAAAVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLGMASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVVWFYTGDILVAFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVLPVMASAGAAALCHLVVCWALVYAAGMGSKGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSMEAFHDPLSFFRLAIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAISTRVSNELGAGRPRSAHLAVRVVVFMAVLEGLVIGLVLVGVRYIWGHAYSDEEEVVTYVAKMMLVIAVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFVLHVGGMVLTEEPSRSFVCLNFHQVPCCKLFLVDVMSFNNAECLIGSMARHHLRPLGASPATNGNNTMHKLG >OGLUM06G16670.3 pep chromosome:ALNU02000000:6:17486365:17487399:1 gene:OGLUM06G16670 transcript:OGLUM06G16670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9X9] MAAKGSPEEEALLAGVGGDHQLVESDELAPAAAVVREEVKKQLWLAGPLVAGALLQNVIQMISVMFVGHLGELPLAGASMASSFASVTGLSLLLIS >OGLUM06G16680.1 pep chromosome:ALNU02000000:6:17504458:17505117:-1 gene:OGLUM06G16680 transcript:OGLUM06G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVQVEKIPHTYVLHRYSKQAKSDVNFDHRDRPIAGPDGVKESYKTKMLSLDALQRGRRSRVAFERATSVMKGLRKQLEEIPPIVCEVGVNEGSGEHGGGGEDEIDLCGPMLRPRGSAGDRGRTSDPTETVHQGAPGPKKCTRCCSWCGLKDGHYRNTCPKNPANFKRTAAANKRGKAKSGRPRGESCGGGRGRKAVRRTLMDE >OGLUM06G16690.1 pep chromosome:ALNU02000000:6:17514586:17569969:1 gene:OGLUM06G16690 transcript:OGLUM06G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9Y4] MDTSTTSIASEIGEMKTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGLREEVKKQLWLAGPLVAGALLRYVIQMISVMFVGHLGELPLAGASMANSFTTVTGFSLLLGMASALDTLCGQAFGAQQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGDILRLFGQEADIATEAGAYARWMIPALFAYGLLHCQIRFLQTQNVVLPVMAAAGATALCHLLVCWVLVYAAGMGNRGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVWSSSQPKAADVRAINQPQHCFLGVDDPLWPRLCHKSKQEIERDGRWTPILRRPKGVPVSPMSSARGGLTQPV >OGLUM06G16690.2 pep chromosome:ALNU02000000:6:17514586:17569969:1 gene:OGLUM06G16690 transcript:OGLUM06G16690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9Y4] MDTSTTSIASEIGEMKTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGLREEVKKQLWLAGPLVAGALLRYVIQMISVMFVGHLGELPLAGASMANSFTTVTGFSLLLGMASALDTLCGQAFGAQQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGDILRLFGQEADIATEAGAYARWMIPALFAYGLLHCQIRFLQTQNVVLPVMAAAGATALCHLLVCWVLVYAAGMGNRGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVCLEMWSYEILVLLSGRLPNPKLQTSVLSISLNTASLVWMIPFGLGCAISTRVSNELGAGRPHAARLAVRVSVFMAISEGLVIGLVLISVRNIWGHAYSNEEEVVKYVGKVLLVISVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFILHLGGMGLWLGITCGILVQVVLLMAFTLCTNWDKEAANAKHRALNSSLPSDTAT >OGLUM06G16690.3 pep chromosome:ALNU02000000:6:17514586:17569969:1 gene:OGLUM06G16690 transcript:OGLUM06G16690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9Y4] MDTSTTSIASEIGEMKTPPENMVINKASAAEEAPVVVAGDEDDDGEAGLGLREEVKKQLWLAGPLVAGALLRYVIQMISVMFVGHLGELPLAGASMANSFTTVTGFSLLLGMASALDTLCGQAFGAQQYHLLGIYKQRAMLLLTAVSVPLAVVWFYTGDILRLFGQEADIATEAGAYARWMIPALFAYGLLHCQIRFLQTQNVVLPVMAAAGATALCHLLVCWVLVYAAGMGNRGAALSNAVSYWINVAILAVYVRVSSSCKKTWTGFSTEAFRDALGFFRLAVPSALMVCLNTASLVWMIPFGLGCAISTRVSNELGAGRPHAARLAVRVSVFMAISEGLVIGLVLISVRNIWGHAYSNEEEVVKYVGKVLLVISVSNFFDGIQCVLSGVARGCGWQKIGACVNLGAYYIVGIPSAYLIAFILHLGGMGLWLGITCGILVQVVLLMAFTLCTNWDKEAANAKHRALNSSLPSDTAT >OGLUM06G16700.1 pep chromosome:ALNU02000000:6:17594909:17595277:1 gene:OGLUM06G16700 transcript:OGLUM06G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAIPLLFSTATACAPLLLLAAAPCAPTLLRCIQRLHLQDGGFIVTSWGAARFGFHELGGGQLDHELEGAPLHFPLRHRSLSIAPLASTSPWITGYGKLGARSGREVEGDPIYAAAGGPPR >OGLUM06G16710.1 pep chromosome:ALNU02000000:6:17597175:17606726:1 gene:OGLUM06G16710 transcript:OGLUM06G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9Y7] MEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAAVTGFSLLLGLASALDTLCGQAFGARQYHLLGVYKQRAMLLLTAVSVPLAVAWYYTGDILLLFGQDADIAAEAGAYARWMIPALFAYGPLQCHVRFLQTQNVVVPVMAAAGAAALCHLGVCWALVHAAGMGSRGAALGNAVSYWINVGVLAVYVRVSRSCKKTWTGFSMEAFRDPLSFFRLAIPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSITLNTANCLFMIPFGLGAAISTRVSNELGAGRPRAARLAVRVVTLLVTLEGLGMALVLACVRYVWGHAYSDEEEVVAYVAKMMLIGKCSFGCVGVARGCGWQKIGACINLGAFYVVGVPAAYLAAFVLRAGGLGLWMGIICGVAVQTLLFVAITSRTDWQKEAKMAKDRVFSSSLPTDLAT >OGLUM06G16710.2 pep chromosome:ALNU02000000:6:17597175:17597479:1 gene:OGLUM06G16710 transcript:OGLUM06G16710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0A9Y7] MEKASCLEEALLLPESCKEEEITASDEVKRQLRLAGPLIAGSLLQNLIQMISVMFVGHLGELPLAGASMASSFAAVTGFSLLC >OGLUM06G16720.1 pep chromosome:ALNU02000000:6:17609681:17617465:1 gene:OGLUM06G16720 transcript:OGLUM06G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPSTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVELDKGNGLENGSHASKSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLVELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDLVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >OGLUM06G16720.2 pep chromosome:ALNU02000000:6:17609681:17617465:1 gene:OGLUM06G16720 transcript:OGLUM06G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPSTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVELDKGNGLENGSHASKTIRGVKRARVESTEPSVRVIYSNLTRESKRKLVELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVSFWVDSQAKEGVVLDDDLVPLYDREFTLGSTPLGDPSNTESRADKDDSRCFNCGSYSHALKECPKPRDNAAINNARKQHNMKRNQSNVNRGQNRYYQKTPGKFDDLRPGILGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDEDPKEEYEEGELPDQGEPSPPRKKKTVEFPGINGPIPENGDRWLWDSTPPQYSERYHSSDSREQRDRGPPGADRYSRYHSYDYGPASPSHGRSHPDRGWRSSSGYENLPADDGAWTPHAYSSRQYSSQYSTSSETSSRHSRDRHDRHYHHRR >OGLUM06G16720.3 pep chromosome:ALNU02000000:6:17609681:17617465:1 gene:OGLUM06G16720 transcript:OGLUM06G16720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEFISLGEPCEADAKDEEETNLQTIPPNLNEVNPLASEGESGPVDNTKASDGIIDLEGQDQVDGEPSTMDSTKVPDVIIDLEEGQVEDMDLSDDDVVVKHQHLDASIQSSTSVADVQTLHGVSVELDKGNGLENGSHASKSILIDESTIRGVKRARVESTEPSVRVIYSNLTRESKRKLVELMQQWSEWQTRKQNTLTKAGEEVLECGEETYYPALHVGSEKSCAVVSVKCATFTS >OGLUM06G16730.1 pep chromosome:ALNU02000000:6:17645582:17645872:1 gene:OGLUM06G16730 transcript:OGLUM06G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETTWRAYCNGRKCGYAVRRECGAEEWRVLRAVEPVTVGAGVLPDGGGVAGGEGDMMYMRARFERVVGSRDSEAFYMVSPDGNAGPELSIYLLRV >OGLUM06G16740.1 pep chromosome:ALNU02000000:6:17658146:17665888:1 gene:OGLUM06G16740 transcript:OGLUM06G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPLPQFQPGASLPSWNSSPMPSSANGAPASTTTADPGSHNGQSGIQMPPPLPQYQPGASLPSWNSSPMPSSANGAGLTMPPMYWPGFYTPPSGFPHLQQPPFLRPPHGLTIPQALQQPIQYPGLNAPLPPFPRMPEFALPQPGSGNNLTQNLGVSTSMPVPALSSTPATESSANQLPNMLSSVSASVFSLGLTPPSVNPPVSTIESTMSQSQGISPLMNNKPVSLPLDSTVPSASSNKPMNIPVPTYLPSSQPPLANIAASAATVAEPVTLVTPGQLLPTISSTVLSADALETASAMIPSPKAASSMVSSSQAALAASSQVTSSVVSPSEDQFASSPVPSHQQLEQNNEDRHTKKPEWKAKQHSVAPSNKEPLLPAPKPTLQKPVGASSYIQYNNRGRGRGRGRGRGIGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSKGQLNDDPNEYEDDVLEDDISPGKPEDKPVYVKDDFFDSLSCNTIDNGGGNGRIKFSEQRKIDTETFGDSARHRPMGMRGGGRGPRGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >OGLUM06G16750.1 pep chromosome:ALNU02000000:6:17717426:17718905:1 gene:OGLUM06G16750 transcript:OGLUM06G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRRRPRGGRDGAVRRRRRLCPIVRSVPVLLLLRRPPPPPVQHGADPRGRRWQCGCGCVRRRRRLGSRRRRSHLPVLVICAHLRRSRRRGAQRSVVDGHGHGRARRRASPNRLRPDGGDLLPLLLLHLRRLRRQRHGDGDHHHPEERRRLWFAEAGTPAAVAVAGIRAARVLQDEARQQQGDTELSCQEPAGEDQRAAEGAAGAGAERREGGHGDHAGQGHQLRQVHADAAQGAGDRRVLAGVRRRHAGHLPGQGRARRHHPLLVLALAKGFSSSVGLANISTSFRSPKIQITLTCNSGCCIDFVRHASIISRLCYVINYCITSC >OGLUM06G16760.1 pep chromosome:ALNU02000000:6:17738338:17738721:-1 gene:OGLUM06G16760 transcript:OGLUM06G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRGMIAYAVGHRITKWSQPGATRGDATATRATATDRDNGLDRGAGLCPRVTRGRRGGRYHSIPRATREGMGSRGHPWRRSFGAGFWRLGRLRAHAAWRGAVRRTGMPNAAHGSG >OGLUM06G16770.1 pep chromosome:ALNU02000000:6:17738915:17739665:1 gene:OGLUM06G16770 transcript:OGLUM06G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTWWAGAAPPPQGLSAGCVEEVPALLLLLLEGAGSRYAREDERRTPTTVADRRLPLFRIAWTPRSQRPPRFGVCAAVTVPTPAATVPWPSSSWRDLVPIQPRLDFCFYILHVDPRWLNRHWLRSHWSSLCRRRFWDLGAVDRRRAAHRTIAALRRRRRRVSGDAPPLAPPCAEPFLLGPSHRRAPLLCFVASGRKKTTGRKKRTREGEIMTCGPHFISVQ >OGLUM06G16780.1 pep chromosome:ALNU02000000:6:17747222:17750437:1 gene:OGLUM06G16780 transcript:OGLUM06G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVAGERWSGGGGGHHRRLMGASSSSAPAPAGEDDAGKSGSSKDAMKIMVSVLVVVIFCTLLYCVYCWRWRKRNAVRRAQMERLRPMSSSDLPLMDLASIHAATDSFSKANKLGEGGFGPVYRGVLPGGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEFLPNGSLDAFLFNEGKSAQLGWATRHNIIVGIARGLLYLHEDSLLKVVHRDLKASNVLLDDKMSPKISDFGMAKIFEDECNEVNTGRVVGT >OGLUM06G16790.1 pep chromosome:ALNU02000000:6:17758570:17758831:1 gene:OGLUM06G16790 transcript:OGLUM06G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHVNFNDRVAANFNDELFSSRRIRKSAPHHSYSEDNEDYNFLLVINVGISMKAFLVGLRRFCRPLHFPLLQWFPATGSAATA >OGLUM06G16800.1 pep chromosome:ALNU02000000:6:17770004:17774807:1 gene:OGLUM06G16800 transcript:OGLUM06G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAELCCLLALLPLVYCLLTLFHGSRESDLRLPPGPWRLPLIGSLHHLFSRTLPHRALRDLAHLHGPLMLLSFGQAAPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPSAPVNLSKLLSNYMTDATVHIIMGQCFRDRGTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKRKVTEEGLTNLPYLHCIIKETLRLHTPGPFVLPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >OGLUM06G16800.2 pep chromosome:ALNU02000000:6:17770004:17774807:1 gene:OGLUM06G16800 transcript:OGLUM06G16800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTAGSHPNHSSYRSLMETAELCCLLALLPLVYCLLTLFHGSRESDLRLPPGPWRLPLIGSLHHLFSRTLPHRALRDLAHLHGPLMLLSFGQAAPVVIASTAIAAREIMRTHDDNFSTRPLSTVLKVCTRYGAGMTFVPYGEHWRQVRKICSLELLSPRRILKFRSIREEEVARLVLAIASSSTPTPTPSAPVNLSKLLSNYMTDATVHIIMGQCFRDRGTLVRYVDEAVRLASSLTMADLFPSWRLPRVMCATTLHRAEVFVESVMEFMDRVISEHLEKRSCQGGDREEDLIDVLLRLQAEGNLEFELTTSIIKAIIFELLAGGSEAPITTLQWAMAELMRNPDVMSRAQAEVREAYKEKRKVTEEGLTNLPYLHCIIKETLRLHTPGPFVLPRECQEQCQILGYDVPKRATVVVNIWAICRDAEIWDEPEKFMPDRFEGSAIEHKGNHFEFIPFGAGRRICPGMNFALANMELALASLLFYFDWSLPEDVLPGDLDMTETMGLTARRKEDLYVCAIPFVQLP >OGLUM06G16810.1 pep chromosome:ALNU02000000:6:17780247:17791127:1 gene:OGLUM06G16810 transcript:OGLUM06G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLHCALLLLAVAAAAVHHLPPAASLPAMPNPASLEPSMLFPSSSGASFPPAQPGPGAASSTIPAFPEQSEAAAATSVCQLAPSPPLLPAVLASCNAGGGALPPRLRRPACAAPAGRAARRLEKACSRPGLAGCSRCLRALNQNMISKFCVPNGIKVLPSIKQMYGIGVTASTMVIFFCANAIVIRHASHEVGEFREIDLNGGNATKAANASHGGAQAQQQQQQQRQRDCQLMGLTWLLHRNATRHGAAATAVIQALMAADDATGRPATCSLPSDDLPVAVASSEINGAAAAKLAGGGLNIGRLLLRHAVVLAAFAMILSSQYCF >OGLUM06G16820.1 pep chromosome:ALNU02000000:6:17797460:17799285:-1 gene:OGLUM06G16820 transcript:OGLUM06G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSDEWLAADKLQHVLACFLIALAGAALAGRSSRSPLRRRPAALGCAASLAAGAAKEIADEAGFSGSSGASLRDAAADLVGATLAAVALAILRRLRRPRGEGKARDADHRAGISMSSGTLLVLRLCQAMAKLRPPLVPSNEKVEAEMTDLPVLFCKWQSPISGNYIHNADKSYNFFGRYVYL >OGLUM06G16830.1 pep chromosome:ALNU02000000:6:17802446:17802733:-1 gene:OGLUM06G16830 transcript:OGLUM06G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHVVTVDVIESELDAAVAVAEMELDGAAVTVPESELDSAVAACEAIFYDSDEVHVAEDSFAGDTEVPDTQVAVDIVAVDVADSNPYKYWKKTV >OGLUM06G16840.1 pep chromosome:ALNU02000000:6:17804179:17804829:1 gene:OGLUM06G16840 transcript:OGLUM06G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGSDSSSGVSSGSGTAMASPRAFQRRWAPADEISLLEAAASHRQRHGGMPSPGDLAAALRGRLLTEDHHLDARAVSRQLASLRRRYAAAWRRLSSRGVVPVKDHDLRIYRLSKSLWEGSRGCGARKPIARHEARELGELEALYPCLSAEVEAVEASRLCAVPGVFRRSFRRIGDEKAAELEAKAKRLRLAELKVGVRLDMLRKEVAETILDLID >OGLUM06G16850.1 pep chromosome:ALNU02000000:6:17835619:17835948:-1 gene:OGLUM06G16850 transcript:OGLUM06G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHWGHRPARAKHHRVPSLIPEDFAVVDFKEETIVSRGENTTGRQPLREEPQKMTLMEESHNIHNQAKHQCSKELSDEEDSEKGESSGQKNGDDKKDSKKHGSNGEKRV >OGLUM06G16860.1 pep chromosome:ALNU02000000:6:17844085:17846144:1 gene:OGLUM06G16860 transcript:OGLUM06G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AA08] MAGGKIQKKRHGGGGGGGGGGGDGARLQGGISFDKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPARGTSPSAFLQAGVKAVVATPHVYNSVSCFFVLTYMGDHQHWTPMAERQSLLVRPEQAKPSRRHWLELMELFWSDPPTV >OGLUM06G16860.2 pep chromosome:ALNU02000000:6:17844085:17845018:1 gene:OGLUM06G16860 transcript:OGLUM06G16860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AA08] MAGGKIQKKRHGGGGGGGGGGGDGARLQGGISFDKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPARGTSPSAFLQAGVKAVVATPHVARIDLFPFS >OGLUM06G16860.3 pep chromosome:ALNU02000000:6:17844085:17846144:1 gene:OGLUM06G16860 transcript:OGLUM06G16860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AA08] MAGGKIQKKRHGGGGGGGGGGGDGARLQGGISFDKSKGQHILRNPALVDSIVEKAGLKPTDTVLEIGPARGTSPSAFLQAGVKAVVATPHGSRAQPLHGSSSLIGDHQHWTPMAERQSLLVRPEQAKPSRRHWLELMELFWSDPPTGMMRHVLDLRSLIQKPGYYFCCYYYYSSKSRRCYY >OGLUM06G16870.1 pep chromosome:ALNU02000000:6:17846807:17847553:-1 gene:OGLUM06G16870 transcript:OGLUM06G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPITLRGARGTIGWVDLWRGILLCDVLDATPKVRDIPLPFPARANWRAYLNRCPYYSRDITVSESRDTIKYVEMELTRPAIEEEIISGPDDPEEECSYSLVPGRWQATTWTMPIPANSWNDWKYGCTISSDHVKLPDDGTKQSELLRRLVMSRNERKEEVAVAGLCLSLGCLRMAHPTLSIADGDDVIYLLSKGIRGAKMAAVVAVDVRARTLIGVSEIDSEKNINFLRCCLPTGIFKHLNTSAAT >OGLUM06G16880.1 pep chromosome:ALNU02000000:6:17855217:17861583:-1 gene:OGLUM06G16880 transcript:OGLUM06G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYSAVALALRAAKPSSCAHSTYHPHHHHHHGDGGDCHQQELLLPLNPRAAAAPRFMLDGYLLRHSAHLLLLSARLRPPPPPPRCCHRRRRVAARCCCGGGGGSVAGHVSWRLDPPRVCRCSGHGAGRSSDLGAVYRRRLECRCGGGGGRLDLGARCGRRRDAPRLVGRAVRQEVWEYEGGEWPHTSCSMECHTDWDDEEEDCGLARWEVPPTRFRLSRRTSEEDEGDRCRDCHRRKDAESDYYDEDEYSGRQRERRNMNERHGRFTDSDQRRRDQRDYHDDDDYLEFRQWKERRERRDSEFDDAVARRGIEDRRYSDDDRKYDRRRERRDFGYEGAVDVRREAASRYTDNNQRSDWRTEDRDYEVDVRREGKHRRNDDQRYVTRHQQRSDGTEEEDVSLLESYRRHDEEYDYDDRDIAERRYYSGRRTQKSARASALHEDESNRASSSRNIVDTRVARNKENSASRVRWHDNVNKRAEQTSEERDQRYSSSVVQSFDEKKHDHDDAQLISVRDSRIGTRDVRVITEDDANLASSSKNTMISKHHSTVDQKSTTRKDDSRNRSQKIMELSEVRGTNTEHDSRTQSYHQEDRGRYTENRASSLQSSVKTTNDTRTQVDQHDEVDQQVVALTDSRRRSEKLTDIKMDSTSNVSRTSLTQRNSDEMNQTDIDDRSNSVHNITHITRDKKRYVNQQVIHETDIDVQNVTHVDVSKVRASDISVSRNSQKASENRSDMANSQLEQIHASNSSMVRGPQSYLEAALHNRVYSTSATNIVNTTAEKHGQVEASTNNAAIASTSESHIQARIEDSAVNTIGSVQEQIDLTRICASDSTVVSCSHGLDTRSGQVSRTSATNLVDRTREIRDKSDQQITQASSIDRNDHVTSKFYESSQDSRQSLARLKEAGRLMEHNVGLNWQQEESRRVSNDMDIATLEMQSTEDGSSMVPVDVEKRPMIIGSSEQEVRSETTAGSSIPSGSSARQPVNESLLESAARLEKSSTFHVGQFVGEVRKGVSDADTTLTRKNDKSIMEGIARSSSRSRMGGPSDEMWDVQSATSQETFKTADKEEGSSVDGGTTSTSLTPKNETALARKVHKSLWAYVADIVRLGWIQRGESLDSNSNRSVKKSSSSNSQNTEGWISSQERDNEGIQKKTESSKPKDHLMKSHTGESLKKESLPTGSQGLLISESGNVPQIDTSKGDFISRTSKEDARMTGERAKQSKVAASPKQNTVGGFSEDSTPTLVDVAKKHFPEHEASTSSMITTKGFADNDTGEGVIAGTSSMPISTEGVGWTAGSDEWRYDPSGAMTPYRHPHTQVMMPHEDTSAILESAELPTVGSTRFEEKIVVQETPEVIRTDGKDAELKRRKFQRNKQVMKETFDEWEEAYQRDAEQRKTDELFMREALHEAQRAADLWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLAVDTTLYVTLEPCAMCAGAILQARVDTVVWGAPNKLLGADGSWVRLFPGDGHSSTLDSANTNQGAGPVHPFHPKISIRRGILSAECSEIMQQFFHLRRRKKQKPESPPHAHPQGRNHPVKFFSKMHHMFGTIFCL >OGLUM06G16890.1 pep chromosome:ALNU02000000:6:17861667:17871822:-1 gene:OGLUM06G16890 transcript:OGLUM06G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYYSHHRGTTLSPPAMGCGKRRGILGAIELEVYQDFSSKPSILDRGAEKPQVQSIESCAVQPKQHATSQTIH >OGLUM06G16900.1 pep chromosome:ALNU02000000:6:17889949:17900773:1 gene:OGLUM06G16900 transcript:OGLUM06G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23080) TAIR;Acc:AT5G23080] MVIGLQVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEVKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIESRREARKAFLALSGTKTDGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTAGDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDTVKSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLGDNFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLISTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPLREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVERSSIAPQHTSVAGATETEAKGAATNPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGMSRNGKAITCQEIKENESALDKEEIADASADVPSDNVEELGLKYEKQEHRAEKGRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRSKKRKSHSRHRTRRSRSPYADSSDSQHTKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >OGLUM06G16900.2 pep chromosome:ALNU02000000:6:17889949:17900040:1 gene:OGLUM06G16900 transcript:OGLUM06G16900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G23080) TAIR;Acc:AT5G23080] MVIGLQVRDEEGRRRFHGAFTGGFSAGYYNTVGTKEGWTPQTFTSSRKNRAEVKKQSIYSFLDEEDIKDMGGNALETSQQYDTFGFTATEHARKQASKEQKERPSAIPGPIPDELVVPATTSIESRREARKAFLALSGTKTDGQKIQVDSHKSDKDDGATESFEELHASGNTPVYVLHPKQDLHGLGFDPFKHAPEFKGQYAPGFGIGALEELGVEDEDIYASGFAYEQMEVDIEPSKTAGDSNYKLEDRKRGVFLAFKIASSSEYKLERFDPPEIPSDFDGRHKFLTPRQDVNNLSDLAPPEVPAPEDTSLRLLIEGCAAMVARCGKHIEDFYKEKSKTNTQFNFLNEGDGCSYYARKLWEYQQKYIDQQKPDTVKSKSSDKLTAENRGKILGERPLDRSTKSSSSSFPAKEAIQLQSNLGDNFVKPISLDGLPEYEKPFRNDPAKQARFEQFLKDKYQGGLRPANLISTSTMSDADRARERLDFEAAAETIEKGKEKKAMDPLSLLGLSGINEQRFVSSTESERSIPARDEKSIYPLREEFEWRPSPILCKRFDIVDPFMGKPFHVQRPRSKMDSLIFMSESTTRTNEVERSSIAPQHTSVAGATETEAKGAATNPEIESSSVQRPVDLYKAIFSDDSDDDMAEPLANQPVDPVKTSEDANMVLNRLVAEDFLESLGKELGLDVPPEKPTPPNVLFRSETPSTANAIGMSRNGKAITCQEIKENESALDKEEIADASADVPSDNVEELGLKYEKQEHRAEKGRSRSSHRQTQSGSLDSDSTSDQHRSRERRSRHKIRSGTPGSDSSIEHHRSKKRKSHSRHRTRRSRSPYADSSDSQHTKRKHREKRHHRTRNPDTDSSDHEYEERHKSSSRRSSDKDRSRRRSRHHKR >OGLUM06G16910.1 pep chromosome:ALNU02000000:6:17908381:17908797:-1 gene:OGLUM06G16910 transcript:OGLUM06G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGKVGSAATTRGKAGSAASTTGEADGEGSDGGGRVGADPTASVLGRSCTVVKEVGPVVAATTTTTAPVRMMMVATVTMTTTATATPTPSPLLPGDHGMDFGRQRPRRGVATATGGACGYGSRRHHFEFFYPKNCFH >OGLUM06G16920.1 pep chromosome:ALNU02000000:6:17914115:17914378:1 gene:OGLUM06G16920 transcript:OGLUM06G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSEIGEVSKRKVTATARLVLSSLRMEKTGEREAESEGDEDQGTDDKVEVVARCFLRVSSLPYRAPRCSSLLFAGTSSSVDIGYQA >OGLUM06G16930.1 pep chromosome:ALNU02000000:6:17923109:17930889:1 gene:OGLUM06G16930 transcript:OGLUM06G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AA16] MAGGRRSRTSRRLKLKLSALYTFALCIKGSGEDHSSRIGTTGFSRVVYVNEPDRHEEEGFRYQPNEVSTTKYSLVTFIPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALLPLCVVIAATMAKEGIEDWRRKHQDHELNNRTVKVHRGDGDFEEKKWKDIKVGDVIKVEKDNFFPADLVLLSSNYPDGICYVETMNLDGETNLKIKQALDVTLHLEEDNSFVNLRQTIKCEDPNANLYSFIGTMEWKDKQYNLSPQQLLLRDSKLRNTDYIYGAVIFAGHDTKVMQNATDPPSKRSKIEKRMDKIIYVLMSSLLVIALLGSVLFGIWTKEDLMNGEMKRWYLRPDDSTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKILQALFINQDIEMYHEESDKPTHARTSNLNEELGQVDTVLSDKTGTLTCNMMEFIKCSIAGIAYGQGVTEVEKAMALRKGSVLGDGIENIEHTDQKNDGSPHIKGFNFKDPRIMDGNWIHEPNSDMIRDFFRLLAICHTCIPEEDGETHKVSYEAESPDEAAFVIAARELGFEFYHRAQSSIVVRERDPITNIVKDRKYELLNVLEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPTGRKFEEETKRHINEYSDSGLRTLVLAYRFLDENEYMKFSEKFNTARTSVSADRDEKVEAAAESIERDLLLLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEAPDIIALEKNGDKESIARESKQRVMDQIEDGIKQIPPPSQSNTESFALIIDGKSLTYALEDDVKFKFLDLALKCASVICCRSSPKQKALVTRLVKHTNRVTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMASDFAIAQFRFLERLLLIHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVIFTSLPVIALGVFDQDVSQRLCLQYPGLYQEGVQNILFSWRRILGWMANGVINAILIFYFCTTAFGIQAFRQDGQVAGLDALGVLMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLAYGAVDPRFSKSAYMVFIEQVAPALSYWLVTLFAVMATLIPYFCYAAIQIRFFPMFHNKIQWKRHLGKAEDPEVARQLSSRHRTSSHQRMVGISARRDGKAMQVTKETELQVQG >OGLUM06G16940.1 pep chromosome:ALNU02000000:6:17951455:17979246:1 gene:OGLUM06G16940 transcript:OGLUM06G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVAKVLTVSSKVVNDGQKAKRTVMVELRLSLLAQTEAHKVLVATGYLENNNKLKTNWGGNRNTKPEFSRMSGGGSMSEMPGAQDQYLNSWLMEICAYIDVGAGEESGEGNLGK >OGLUM06G16950.1 pep chromosome:ALNU02000000:6:17952899:17963816:-1 gene:OGLUM06G16950 transcript:OGLUM06G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRDRREGLPWPLHGTKVNIIVGSHVWAEDPEIAWVDGEVVKIKGEEAEIQATNGKTITANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMIHEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKQGRISGAAVRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFAKGKEVDSSVLKDDKSKFHLDTTAELLMCDSGALGDALCKRVMVTPEEVIKRSLDPYNATVSRDGLAKTIYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKLNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFSQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMETLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAQEALEGNCDEKVACKRILEKKGLVGFQIGKTKVFLRAGQMAELDARRTEVLGAAAKTIQGKIRTHIMRKKFVNWRKASISVQAIWRGRLACKLFDQMRRVAAAIKVQKNQRMHQARRSYKHLNASVLVVQTALRAMAARNTFRYKKQSKAAVKIQARYRCHTAHVYHKKLKRAAIVAQCRWRGKIARKELRKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRMRTDLEEAKAQELSKLQSSMEALQAKLDETSAKLVKEREVARAIEEAPPVVQQTETSLQSEKQRADDLEKKRSEEQQANEEKQKKMEETDVKMRQFQEYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSILQRNAESVHVSSGDSKAAPESNNISSPKKEFDFDDKPQKSLNEKQQENQDLLIRCIAQHLGFAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLFMLPSIAHIMLTIPQNKSIPFSVDDISKSMEQIDISDIEPPPLIRENSGFVFLLPPPE >OGLUM06G16960.1 pep chromosome:ALNU02000000:6:17968893:17982124:-1 gene:OGLUM06G16960 transcript:OGLUM06G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSATPPPPPARTSAATTTRSPAEAGSGAGVPAPPVSGGLSSGTTAAVVVVVVVAFLGLAGMFACLSQRRRRRQAERYYPGFAVPSYTPQHMSGEAPFLRPPSASGSMNFSTGQSQGVSPMMSSGQAYGQSTSYGQQQRLTSANYSTGSQGGGAARSVAASGELSVGNTKAFTFDELYDITAGFARDKLLGEGGFGCVFKGTLADGKAVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDFVSNDTLHHHLHGHPRIIHRDIKSSNILLDEHFEAQVADFGLARLAENDVTHVSTRVMGTFGYLAPEYASTGKLTEKSDSRPLLNRAIENQEFDELVDPRLDGEYDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRSSMSSRRDF >OGLUM06G16970.1 pep chromosome:ALNU02000000:6:18001495:18014502:1 gene:OGLUM06G16970 transcript:OGLUM06G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSRLETSACIGDPKTSTDNEGILCEEEDSYAADDGKDNGYSRICKDAHTSRKRNGEFSPTFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLQLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPWNAVGVATS >OGLUM06G16970.2 pep chromosome:ALNU02000000:6:18001495:18014502:1 gene:OGLUM06G16970 transcript:OGLUM06G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDGADGVGDDTELRRFSDVELLEKSRRIQGELSGGIERRLKDRGAKFRRLLDAIVREIDRRKAATDDDRCARVVQSGCAESSVKQQAVTISDFRSSFGIDEEAGVDVSRLETSACIGDPKTSTDNEGILCEEEDSCKCKPSTSQKASYVDRSTYMENIDAADDGKDNGYSRICKDAHTSRKRNGEFSPTFSMRLRSRKVVEEVVLLDGDTCISDSAEKTSSAWDTPNSIELSYSDMKCLEPESLLSSPILNFYIMYLMGQMPSTSRLGGKYHIFNTYFFSKLEALTSKVDNDAYFLNLRRWWKGVDIFKKAYIIIPVHAEFLKEEWNYLNKTGSLEDCHLHESVWKNLPRKIKKKAVTVPQQDNEYDCGVFVLYYMRRFIEEAPERLNNKDSSNMFGEGWFQREEASALRKEMQALLLQLFEEAKDNNHMRDPTTPVSATAEHPVEVLSTEPAVPDHPWNAVGVATS >OGLUM06G16980.1 pep chromosome:ALNU02000000:6:18022314:18022814:1 gene:OGLUM06G16980 transcript:OGLUM06G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVERSERAGSSRSMSSAPAPSLRSAVGQTSPPVPLSPGRHCLIDLKKLCWPPTHKVLASTINLLWQVVEGEGLNSGGPGGSSRHEGGDGGRLGGASWHSGDGNRKSLRHCHLCLVRTRIRHLNHPSPPLPPSPSSSSAEGHLGASGHR >OGLUM06G16990.1 pep chromosome:ALNU02000000:6:18022890:18023085:1 gene:OGLUM06G16990 transcript:OGLUM06G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPSFSGGQCRKSPRLLPQWLGLVTEAVMYGDEEAVGGGEVQNSDEGGEGFGNFVGFRVVL >OGLUM06G17000.1 pep chromosome:ALNU02000000:6:18030530:18032043:1 gene:OGLUM06G17000 transcript:OGLUM06G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHVPTMIVHPCSCSLQVQPEEEQKGVHGGGGVAGAQVEAALNRKNVEALPEDETVEGSPEDAWVPDHETCVFVPADKAAVSGTENNDHCEAAAAAGGSPSVLDQAVFVREEDMEDVERPAVDMAAANHKPK >OGLUM06G17010.1 pep chromosome:ALNU02000000:6:18032225:18032782:-1 gene:OGLUM06G17010 transcript:OGLUM06G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLSMHGRGEDALSLFAGMQQAGMTPNEVTLLGVLTACYHAGLVEEGLQQLDAMPEPRIKHYGCVVGMLDRAGRLDEAEELVAAMPAHPDALIWGSLLVACHAHGDVERAERVMLRRTTDADADAGDYVLMSNTYVSNGRHGKAVKVRRQIRRNEIDKVPGCSLIEIDGVVHELKAIPANSIR >OGLUM06G17020.1 pep chromosome:ALNU02000000:6:18036718:18051725:1 gene:OGLUM06G17020 transcript:OGLUM06G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWYKNNGQNFQIQLQASQYQGQGTSTATSSTVVPEDLEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILGITTVALGKTKVHLATNDMEPLILHWALSKENGEWQAPPSSILPSGSSLLDKACETSFSEYELNGLHCQVVEIELDDGGYKQMPFVLRSGETWMKNNGSDFYLDFSTKDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIVGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >OGLUM06G17020.2 pep chromosome:ALNU02000000:6:18036718:18051725:1 gene:OGLUM06G17020 transcript:OGLUM06G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWSVLFGLPILPCFLECRRYQITTLYFGQEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIVGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKMEGTLIQSLRMAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >OGLUM06G17020.3 pep chromosome:ALNU02000000:6:18036718:18051725:1 gene:OGLUM06G17020 transcript:OGLUM06G17020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSAIPTPSHLELRKERYPSGSRKPNPSIHPSHTALTIELFDIRADERILRGSCCGRALVGRFTLDANSELKVTLNPAPQGSVAEINLEATNTSGSLILHWGALRPDRGEWLLPSRKPDGTTVYKNRALRTPFIKSGDNSTLKIEIDDPAVQAIEFLIFDEARNNWSVLFGLPILPCFLECRRYQITTLYFGQEEYEAARTELIEELNKGVSLEKLRAKLTKTPEATDSNAPASESTVTTKVPEELVQVQAYIRWEKAGKPNYAPEKQLVEFEEARKELQSELDKGTSVEQLRNKILKGNIETKVSKQLKDKKYFSVERIQRKKRDIVQLLKKHKPTVMEAQVETPKQPTVLDLFTKSLQEQDNCEVLSRKLFKFGDKEILEKPKFTWQQTIWSHLYFTGRCQKRMESGRQCGPVLMGCFVTVKAPPSSILPSGSSLLDKACETSFSEYELNGLHCQDTGDAGKGTAKALLERIADLEEDAQRSLMHRFNIAADLVDQARDNGLLGIVGIFVWIRFMATRQLIWNKNYNVKPREISKAQDRFTDDLENMYRTYPQYQEILRMIMSAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALLDYIKSDFDIGVYWDTLKKDGITKERLLSYDRPIHSEPNFRSEQKDGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPKLLEFVLDHVEDKSAEPLLEGLLEARAELHPLLLGSPERMKDLIFLDIALDSTFRTAVERSYEELNNVEPEKIMYFISLVLENLALSTDDNEDILYCLKGWNQALEMAKQKNNQWALYAKAFLDRTRLALASKGEQYYNLMQPSAEYLGSLLNIDQWAVNIFTEEIIRGGSAATLSALLNRIDPVLRNVAQLGSWQVISPVEVSGYIVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNCKVLFATCFDPNTLSELQGHDGKVFSFKPTSADITYREIPESELQSGSLNAEAGQAVPSVSLVKKKFLGKYAISAEEFSEEMVGAKSRNVAYLKGKVPSWVGVPTSVAIPFGTFEKVLSDEINKEVAQTIQMLKGKLAQDDFSALGEIRKTVLNLTAPTQLIKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKNDLDSPKVLGFPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDEVILDYTTDPLITDQGFQKSILSSIARAGHAIEELYGSPQDVEGAVKEGKLYVVQTRPQMGKAREDYYQVLGVTVNSTPQEIKEAYRKLQKRHHILILLATRVMHDYTLLLNEAYKVLMRN >OGLUM06G17030.1 pep chromosome:ALNU02000000:6:18053069:18060020:1 gene:OGLUM06G17030 transcript:OGLUM06G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSSKKKKDKVILPPQLPPEVDDDDVVVSDEDVEFFRGNEGHARALATLDRKSIDSYVTRVAHHDEDEVERLYEERERRRKAVEALRPKNHDDDDFEVDRVDALPVKTLQGELVYNNAKKARFDDSSNNVESKSEDKVGNSKQTIQKGERKEKSKSKKGDGKLQNVQAQTEASNGKLQSKVLEEVKEELSAEELFEKKKAQLAEIGMSMLEDPESHIRSLNDMLNICNDKDQKVVKLGLMSLLAVFRDIIPSYRIRQLTEKELTVEVSKDVKKMRYYEYTLLRSYKAYLQKLISLEKQPNFSALAVRCMCTLLDTAPHFNFRESILASVARNLSSPDDAVRKMCCETIRSLFVDEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDDDLGKDDREEEKGKPKKNKRRQNQEVPKQLPVSDNKKAKQELISKAREEVDAELRSVSFTLDPKERRRIQKETLSALFETYFRILKHSMSISNSRGKVINVSPDGSHPLLAPCLEGLGKFSHLIDLDFMGELVACLKKLSGYTDHHSGIVHDNTLSVSERLQCCIVAFKVWRSNLEALNVDLQDFFVQLFNLILEYRPDRDRGEVLADALKTLLWEGKQQDMIRAAAFIKRLATFALSFGSAEAMAALITLKHLLQKNSKCRNMLENDSGGGSLSCLVAKYDPEAKDPYLSGALASVLWELSLLQKHYDSSVSSMASNILSMANLNPTQNPVPISNANPLEAYRDLSMERKLSKPANKLLPLNCRKKRRGKEFVALSPAALEGSDCVAGGDELKEKLKNHFAVLRGISENERLRAELNHTLSSINLYKEYKKQKKSRKSKAVKKKVSRA >OGLUM06G17040.1 pep chromosome:ALNU02000000:6:18066749:18067408:1 gene:OGLUM06G17040 transcript:OGLUM06G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALSLSPNAHAHEAQAGIEVWLLAAGAGKTAATASSMCPVTKGDLRADDLIPNHALRCIIQAWCVANRCRGVERIPTPWVPVTLAQACEVLGLGEVDAAARAGDAARCGAAVREVGRLARESDRDRRCLASSGAASALAAAVTSFAAVSDSSASSVLLNDVQASLVLVMPLDEKAIMAIGSSTASVALLANVAKHDDLQRRLQAVVVIREIVVLSSCC >OGLUM06G17050.1 pep chromosome:ALNU02000000:6:18094294:18096562:-1 gene:OGLUM06G17050 transcript:OGLUM06G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVDPLVVGRVIGDVVDLFVPTTAMSVRFGTKDLTNGCEIKPSVAAAPPAVQIAGRVNELFALVMTDPDAPSPSEPTMREWLHWLVVNIPGGTDPSQGDVVVPYMGPRPPVGIHRYVMVLFQQKARVAAPPPDEDAARARFSTRAFADRHDLGLPVAALYFNAQKEPANRRRRY >OGLUM06G17060.1 pep chromosome:ALNU02000000:6:18130948:18132858:-1 gene:OGLUM06G17060 transcript:OGLUM06G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLQLWNEWEIHLLVLLSFTLQIFLFFAGSLRPRNTNTFVRLSLWFAYLGADFVAVYTLGFFSQQQGNDTGKGTLRETHQLAFFWAPFLLVHLGGQDTITAFAIEDNNLWLRHLLNLTAHVMLASYVFWKSIGWHNLQLLIPGIFMFVSGIIKYGERTYALKCGSLKNTEGLTARGNEIQPPELNRDNMYFGVVGFALRSAPVVRNFFAGCNIVQFMAAHIDYMSTFGELDGRQLLLKIEIGMMYGDLYSKAMVLRKRICLIFRCLSQISALVAFVLFIVSNKQRYSGADIAVTYVLFIGGFLVEFCALAVVIMSPWTWGWLESRKCHKLARMCWFLLSYNIRKLEKRMLWPNSIGQYNILNYVGLDQSWFSRQTKMLIRMTAKMIGAGKERHLMISKLLDSKSIQVDKEIMQCVTLYVDGMRSELNDLSGRPYQQWPNLHPVLEKLRALSLDDFGLVIVFLHALTEVHLRTYYDPPGNMDALVGVCRKLSNYMLYLLVTHPEMLPVTGSVETTLEFFVSKVTEYGDSGKDANLRGTRKLLEDLMDLHIIQPNEDTLQEIRDLWLRLLLYAAGKSRGDTHAAQLAVGGELLTFAWLLMAHLEIGDPWPSRIELGDATGTLGPDIAYVFPKKYST >OGLUM06G17070.1 pep chromosome:ALNU02000000:6:18182263:18182733:1 gene:OGLUM06G17070 transcript:OGLUM06G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSSRSTTRSVTEISRQVGLFILNVQNGGCKLWQWYEPGTTPYLKQVLNDLVSTVREVKTENSEIRASLANSRAVIDGLVTERNVYETKSMGQKEECGSFAEMACRIKMLEGSRRFMLSVIVGFVVVIFALLLLR >OGLUM06G17100.1 pep chromosome:ALNU02000000:6:18273064:18273498:-1 gene:OGLUM06G17100 transcript:OGLUM06G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMNLSRVIHLIPPIKSPFLSAFFPNTFHRAPCDHSLTTARDHRCEAPRSGLEVGGSGGRVVLPPSPLRESRTAGRWEPRVEHFRVSPTASHLCFVCTAPCVAALRRLSILG >OGLUM06G17110.1 pep chromosome:ALNU02000000:6:18298234:18299424:1 gene:OGLUM06G17110 transcript:OGLUM06G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIDGAQEDEAARQGDGCGVDYIADGLRELDMENYDEEDGGFKNLYSGSSDLYYPSNDMDPYLKNKNNGLDEDEDDEEIEDKTVKPTDSMIVTAHSKAHCHGIIQ >OGLUM06G17120.1 pep chromosome:ALNU02000000:6:18300180:18301744:1 gene:OGLUM06G17120 transcript:OGLUM06G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQQEVAAAAKGYRSSPSSPSSMSPTPSPPPAAAVHGGEGRAEEATTIAATATPTARSLAAGDNGTQVSGHGEHAGLSSGRRRGRPKGSGRRQILANLGQYIAVHPRTLAMASGSGVGAGLPLEELGLDGAVLDALWRCAAAATPPPSRLCSTARKKKGKRKEERERERGSRAPGCRRASSPSAVNPGDAPPPPPRCGRRHASAPLRGRRGKEKKREKNNVKGSGLWDISSNQPSAIASLNPKVLRSLKKQPVSFSEGAIFSISFSKDNPFLLAVGGQKGNLKVSTQFHI >OGLUM06G17130.1 pep chromosome:ALNU02000000:6:18307582:18308299:-1 gene:OGLUM06G17130 transcript:OGLUM06G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDAMESGARDALFLCARMNMHFSVGSGSPSCRLDSEPVVTVDSASVEAAAMDHAVITVDAGVGVHLEHPSTTQPKSSLSIVVSCKEHLNIRKSKEKKAGSGSKGTSKWKCQNFTAMLKKFGPRRQIEKVCLSKDNLHCTCNMNT >OGLUM06G17140.1 pep chromosome:ALNU02000000:6:18340152:18341378:-1 gene:OGLUM06G17140 transcript:OGLUM06G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIPLQAVCLPFPAQGHITAMMKPPLAPSPCAHHLDQQDEGCWGKSYPGKRFVYKERRLIGKFPLIPEEVGLILRAMGFDNTTMIYLA >OGLUM06G17150.1 pep chromosome:ALNU02000000:6:18342540:18348349:-1 gene:OGLUM06G17150 transcript:OGLUM06G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEALLRRHRKPAGLWVAVAVLVAGTIWLFSSSLGLVGIQDVDVNKLWRAAYSNGWRASSAPRTYWPPPPSESESNGYLRVRCNGGLSKQHSAICDAVVVARIMNATLVLPELATSSFWHDESGFLDIYDVRHFIKTLKYDVQIVMSIPKISAKGKTKNLRAHQILPPRDAPVAWYRTVAMEKIKKHGAIYLTPFSRRLAEEIDDPELQRLRCRVNYHALRFKPNIMKTSSEIVNKLHSEGHFV >OGLUM06G17160.1 pep chromosome:ALNU02000000:6:18350904:18352418:1 gene:OGLUM06G17160 transcript:OGLUM06G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DGCR14-related [Source:Projected from Arabidopsis thaliana (AT3G07790) TAIR;Acc:AT3G07790] MLRSPGHSPRHLSPSPAPSTPRPSSPTPSSASASASASALAAAATTTTSSKRRRPEVLDEDTYVAAVERIIERDFFPDLPRLRDRLDWLQALRSRDPLVLRDAQLKILERRRRAQRQRQGGPVPTPTPATSTALRSPSFLATPSVAPSVAGDEGADVDDDVEAALSLDDFFRRFTSEDNESFSRILEKVNHRRRERYAHLLEPGEVAKAPQLEDAKRDRITDGYGTSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTDEERAERLKGMTKEIDRSNTRFHGKSSVDDGAKEEEAAAILYAPVAGSTPGGMAYHDPDKAKKYDLEDLRKTPNPFYVESGKNANNGYSFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPEETPGGSGGSDRAHFKIPPPPARDVKAHLLSRDAARKIKERTKMFHKPPLPSPVRGGSASPRTFSPAAQKFVRNAIAKSSRTIDESLRASYRGTTPSATTPKTRFSRDPSLGSRSPSTRQGSTPPW >OGLUM06G17170.1 pep chromosome:ALNU02000000:6:18355650:18356372:1 gene:OGLUM06G17170 transcript:OGLUM06G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPKLRPSSVDRNLGLGRSLVRVSPDASVWDNERERLTVSTLHVPLPPRGAAESRMAVDGLDIRTKEEGHGVDSRWSGQRGGEYATASLAENDVGLPTGEADVTSVSLRRLTVTGTGTACFFILLPARRHNPHHG >OGLUM06G17180.1 pep chromosome:ALNU02000000:6:18361392:18363269:-1 gene:OGLUM06G17180 transcript:OGLUM06G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTTTTTVTEPDNADASPSPSPSPSTPPKKVIMYELAARNIYYAKPAAAAVATTTVASLARLLRPCGAAQPPSPEYILRDVSLTARPGEILAVVGPSGAGKSTLLDILAARTAPTHGRLFLNAAPLRPSSFRRLSAHVPQMDVALPLLTVAETFAFAASLLYPAAAEASAAVAALLADLRLGHAAHTRVSATRLSGGERRRVSIGLALLRDPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTTVVLSIHQPSSRLLSAVDSLLLLSRGAVVHHGSVDSLDAALLSHGLAVPAQLNPLEFALEVLDQMPHPSASSPEPKTTEELAAVTSSKSSSSSTSPCSRIHEVVVLYKRAWKVVYRSKQLLLTNFLESVVVGTLLGSIYINAGDGEGGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETASGLYRLSSHAAAATLVFLPYLLAVALLYSACVYFLVGLCASAAAFAAFVMVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPPYWVFMHYVSPYKYALDALLANEYTCAATRCFGVAGPAAGDCSETGADVLAEKGLTAKERWTGVQVLFGFFLLYRILYWVVLSRRAARAKR >OGLUM06G17190.1 pep chromosome:ALNU02000000:6:18371349:18372541:-1 gene:OGLUM06G17190 transcript:OGLUM06G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVWKSKEKAQMTNLQEGQLHAAQLEDGPLFGPMFVGGPNVDVDELPNASLGALVLEGVHVRGVDVHEVD >OGLUM06G17200.1 pep chromosome:ALNU02000000:6:18375585:18376246:1 gene:OGLUM06G17200 transcript:OGLUM06G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRQNRNPHTTPTPHSARALRAHLTHSKKLSPFSLVASPCSPASAFAAAHGRSGRRGDRPRAAANPGGRPRRGCLGRAAAGRAARRHRRRLAGEGVVATTGAGAGGEEPGVQAARGGHLRAQGSWGR >OGLUM06G17210.1 pep chromosome:ALNU02000000:6:18392507:18393943:-1 gene:OGLUM06G17210 transcript:OGLUM06G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWVEQHVLLLLKPAEEAWQPEDMVPDATALGADGFHTACLELRERAARRARRAPSVPGNMVMEEALPTYQSMANRFESTRDVTGADGTAWARWICRWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLISSGMAMHAPFSDTV >OGLUM06G17220.1 pep chromosome:ALNU02000000:6:18460015:18460272:-1 gene:OGLUM06G17220 transcript:OGLUM06G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGRERSQIPSHHAADLPDMATSGAVDAPRRGGKGPPPTGGGRDRASGGRVRGNSDAGGERGEGAASPVGRGSGAAVGEVVGLP >OGLUM06G17230.1 pep chromosome:ALNU02000000:6:18488731:18489819:1 gene:OGLUM06G17230 transcript:OGLUM06G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAWFPFVPMLPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMALAISREACLLGAPRCHGIGMLVGAFGICKEQGQVREAILEERLMLTTFLLTYSEPHAGKGVLGVQEDIDEYRRKLAAILYNSPSNKFWNHAQAISEEI >OGLUM06G17240.1 pep chromosome:ALNU02000000:6:18490259:18491042:-1 gene:OGLUM06G17240 transcript:OGLUM06G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMELWFTLSGEPTRSMRMHMLLEDSFCPYFSSSIFELRQLYSESRSHQNGLVVGDLASSAIRSPEDADSGAKQTIGTVPGGTCRPQERMILTHHFVLRGIRF >OGLUM06G17250.1 pep chromosome:ALNU02000000:6:18540754:18557818:1 gene:OGLUM06G17250 transcript:OGLUM06G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVAALSYLPVGGATTISGEVSSGMGDRLGQPPAHSPSKAPSFLGAAWTALVVGCSIQGDDGLRDLGRLWDAKRGSGLWDLVEGVRDLTVRLTTIQLHWPAPSSLLAGLHYRLLIVESXPPPLPLPTLPPSGYRRLLPSRQIWWRVGRRPPLPPLLPLPPAAAAASLPPQPTKALREREAEKERRGRPNSPLDLPPSGTEGGRKRVEVRRGRVIVGGSDGLLPHFQGSERPDPPAGDQTLDRGKKVEALRLCQEERRRRWRRLTSLSSGRSDPLVGRLASLVGRCGGLTGERGERGLPAAGRGRGGDVREERRVRRHG >OGLUM06G17260.1 pep chromosome:ALNU02000000:6:18557907:18558690:1 gene:OGLUM06G17260 transcript:OGLUM06G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGLSAWDTWTASTSPNPNRGSPLQVTGPKLQLYNAQQIGGFEKSLMFPEPGRGKGSDQESRRQGGTVSMLPPPKIKRATLISRSIAVSLVCTSAYGLPAHCCAPYLPVQSLKPALAGWPPAAQRLKVRPCIVAPTPAGIVSKSNR >OGLUM06G17270.1 pep chromosome:ALNU02000000:6:18580651:18581124:-1 gene:OGLUM06G17270 transcript:OGLUM06G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPRGRPSKGRQAIEIRRIEDKPRRQVTFTKRRAGLFRKASELSILTGTAVAVLVFSEANRPYALADPSSSLVDDAVLRSRCYAPAPGVHDCEPEALRRAADEAKVEVARLRDVAGRRFWWWWEATNVEALGEAELPEFTRVLGRVRAAVVRRRAL >OGLUM06G17280.1 pep chromosome:ALNU02000000:6:18585873:18598403:-1 gene:OGLUM06G17280 transcript:OGLUM06G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWSGSPSLVAPLPPSSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLAVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVLLADAQGRAQVVPVAEGAAVEGDSARRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVSLPSDLLCKEGEAGMKGCLVGGFFLCGGEWGAHGSENGNVVRSLVLWSTNGGAVVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSIIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHEFHGNSSGKAASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCLVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTKLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKITRHGQELGTFQL >OGLUM06G17280.2 pep chromosome:ALNU02000000:6:18584486:18598403:-1 gene:OGLUM06G17280 transcript:OGLUM06G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWSGSPSLVAPLPPSSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLAVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVLLADAQGRAQVVPVAEGAAVEGDSARRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVSLPSDLLCKEGEAGMKGCLVGGFFLCGGEWGAHGSENGNVVRSLVLWSTNGGAVVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSIIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHEFHGNSSGKAASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCLVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTKLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKITRHGQELVPGTIRSFHEFMIS >OGLUM06G17280.3 pep chromosome:ALNU02000000:6:18585873:18598403:-1 gene:OGLUM06G17280 transcript:OGLUM06G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G11270) TAIR;Acc:AT4G11270] MKCHSVAALWSPSPPSHHITAAAATPAALFTGAADGTILHWPLLPPPSPSPRPSSLLCAHAAAITSLCPLPSSPPCLLASCAAGVLSLFSSSASASASLRCLRRRSLPPWSGSPSLVAPLPPSSSSSAGSSSASVAILCHAPDDGGRHVSAVVVVDARTLAVLRTAFHGALSVAPPRAIAVAVDAGVEDASVSVLLADAQGRAQVVPVAEGAAVEGDSARRLSASSASSVTSAEAVDGRVEAVSLSDDGKVVALVMKNSCLLKCISEGVVLGEVSLPSDLLCKEGEAGMKGCLVGGFFLCGGEWGAHGSENGNVVRSLVLWSTNGGAVVYRVEVGTGSFGCKAVCEIPDIVSERGDGSLVQFCQSGNQLIRVESRPYKIAGSLLWKPFVSIWSMDHLELNIANNIEKPPLSKILGEGGLQGEEFRSDHSHSFCQSNNGVDINSLICSSNSNGLGRHGGTVSSSMVLSEDSYTPYAVVYGFHNGDIEVIRFLNLLPAAKFGSGGIYPHISERFFLGHTGAILCLAAHHMHAQPDSRTFNRVLISGSFDSIIRVWDLDAGTILSVMHHHVAPVKQIMLPPAWTHQPWDDCFLSVGEDGLVALVSLQTMRVERMFPGHPSYPSMVAWDGVKGYIACLCRNLHSCNDSGSVLYIWDLKTGARERIITGTSSQSTFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSLLQSHANKKGLSISSVSTNHHNANTNSVTVSVPAASDVMGKMSATDEAHEFHGNSSGKAASGQCINNRRKHPIKCSCPYPGIASLRFDLTAIMSTQGMANNNSDRQLRDHFYRDNVNDSIQAETCDNTSGMHVIDSPSRESLEGRLLRFSLCFLHLWGVDHELDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKASSEFCAMRSLCLVSLAQRMITLSRSCTNASSALAAFYTRNFAEKVPDIKPPSLQLLVSFWQHPSEHVRMAARSLFHCAAPRSIPKPLHLQKNKVFDSQLPTSDQMDNIITAIQSASVSSYGQLKADNEDVGREDCDTSEISSWLESFENQEWLSWIGGTSQDAVASNIIVAAALVVWYPSIVKPKLAHLVVNQLIKLVMSMNDRYSSTAAELLAEGMESTWKVCLGTDMTHFLSDVLFQIECLSSAPSNNAVYKTAVAVTMREALVGTLLPSLAMADIVGFFGVIQSQIWATSSDSPVHVISLKTLIRVVRGSPKALAPYLDKAISYVLHTMDPSNLIMRKACIINSMMALREIARVFPMVALNESMTKLAVGDAIGEIHNATIRVYDIESVTKIRILDASGPPGLPSLLEGSSNTTATILITALSFSPEGEGLVAFSENGLMIRWWSLGNAWWERLSRSLTPIQCTKLIYVPPWEGFSPNSARLSIISSILGHDKHQNSETKTRELDEADNLKLLLHNLDLSYRLQWVSGKTIKITRHGQELGTFQL >OGLUM06G17290.1 pep chromosome:ALNU02000000:6:18606358:18607125:1 gene:OGLUM06G17290 transcript:OGLUM06G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPRSEELNPPVGGVTAQRASAAPRGRNPSLRRRPSSSLLRASPPPELIAGKARRRAGMAAAGPSSSAPPRPGAGVATMAVDYGACRDAGPCQEPRRHEGGAAPSSMLRL >OGLUM06G17300.1 pep chromosome:ALNU02000000:6:18607155:18607915:1 gene:OGLUM06G17300 transcript:OGLUM06G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATRASRCTSDPRHPGRIWTAGVWRRWLATVVADSGGWTVVAGRGCPWRWRWRWRWWLTVEAGDGGGCGSGGGGCRRWYLWRRWLKAIIRLTVRHRRRRYVEAGKGFGGGEGGVRVRARHRRREQLWAVALAESLKGQWNHGRKPCRAIWPADNGDAVWRRSPPWRRCFSIPLSFSYHILRVKTLLRFRTSGGGDPRRILLGGTALEKPLLLGLDEAF >OGLUM06G17310.1 pep chromosome:ALNU02000000:6:18621149:18621772:-1 gene:OGLUM06G17310 transcript:OGLUM06G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRGRPSLGRQRIEIRRIDNSGRRQVTFSKRRNGLFKKASELSTLCGASVAVVAFSSAGNVFAFGQPTVDAVLRRFDPLHADAEAPAPAAVEDGGDDVVVADPEELDALRRAEEQTKAQVAAEQARMRDVGDKVTQAMAGSPLWWEADVEALGEAELPEFVRALERLRDSVRRHASTLPSTASPAPAPAEQEEEVPELDVSDYSF >OGLUM06G17320.1 pep chromosome:ALNU02000000:6:18623127:18624923:-1 gene:OGLUM06G17320 transcript:OGLUM06G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAICICSMNSSSVCLWYGMDVAGQGRADGKGGWSQLRKGLPVDLAEGILKAICIQAQGQIWKINFSNSLVLLHKFLLLAVELLFDSGSRDEFF >OGLUM06G17330.1 pep chromosome:ALNU02000000:6:18654319:18654918:-1 gene:OGLUM06G17330 transcript:OGLUM06G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSKGKQKIEMCCIDGKEKRQVTFSKRRRGLFKKASEISTLSGASIAIVSFSKAGNVFAFGSPSVDAVLRRHVVAGPSTSTSHAHAGGDVFADDGGDNPEVLNALKRATDEAAAEVAAEDARQSGVEGKITEAMAAGRRRFWWDAANVEALGEAELPVFERALHKLRGAVVRRRSGAKPPPPPPRRSKKKLLN >OGLUM06G17340.1 pep chromosome:ALNU02000000:6:18655545:18656613:-1 gene:OGLUM06G17340 transcript:OGLUM06G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGESLAEPFGRLTMATLFGVVPLLGGVRTPFLSLPYSPGENLASVPNERWRRSTSHPPWGHRFGETSSCKDIIIGLCIGFELQS >OGLUM06G17350.1 pep chromosome:ALNU02000000:6:18677134:18677613:-1 gene:OGLUM06G17350 transcript:OGLUM06G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPASPRETAFPTKPSNANVSSPLRSYHGALAVTVPNSKYTSITIRALLGCTSSSAFAGTCTRRVIVAAAPPRATPAHDAWLLILGLHQTHAWWPQLHLSLHRRGAVAITAAHPPSSLAAACNSLAFVNAQRLVPPQSLPAVAHDSSASIGTQCLVPP >OGLUM06G17360.1 pep chromosome:ALNU02000000:6:18683345:18684505:-1 gene:OGLUM06G17360 transcript:OGLUM06G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDAWWYAGGGGGGGSNNWDLGAVVRFGCGGGRVSPAAALLGEAWEYDDDPFSSFLAPPMTAQQAALPAVWEEGDDGDAAWMAPLPGLQTGGGWGDQAPMVVDELCGALVVAPPPPPKQQEVLQVQQQPPPADNTQPTTDQQGSGGDGESTRAGGSRSARRKYAAAAIYIVSVCFSDSCIMWIRSLADDRGVRHACRKKQTRKEVVRVAASGPAPDSWAWRKYGQKPIKGSPYPRGYYRCSSNKNCAARKQVERCRLDPSFLLLTYTGAHSGHDVPLHRNSLAGTTRHKPPPPPPLPSAADKSPATAAEAATASQSPGLSPTTPLRASSMELHGEDDAEAELQVEEDDMAIDDEDDDDVADETISTVPWGTPISDAIIAASYEWR >OGLUM06G17370.1 pep chromosome:ALNU02000000:6:18694494:18694907:-1 gene:OGLUM06G17370 transcript:OGLUM06G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGWRGHRRRSRGPPPSRTSRSRLRPCPATTRPWWCARRSQKEAEERPNRKSCKQCMDMYMRPFLLNVFFSKRFVHAKVVHRGTSECIIETGAWQRDGQRSEASAEGGDAGTVGRGRQRARERRQSPSMPVHRTFL >OGLUM06G17380.1 pep chromosome:ALNU02000000:6:18704888:18733745:1 gene:OGLUM06G17380 transcript:OGLUM06G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVVVVVVGLLGVALGAKPNTVDSAKDSGRGQRRRWRGEAIAILQLSTVRWRLEENRGGEDSVHQVIRPPPHEKTKRRKEEGNRSQAEQRKEEDTPLKRMPDCGNSKNNQDNHYNHHLAWD >OGLUM06G17390.1 pep chromosome:ALNU02000000:6:18706040:18738441:-1 gene:OGLUM06G17390 transcript:OGLUM06G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G67140) TAIR;Acc:AT1G67140] MAKRGGAAGEPIPLSRFGALVAQLESVVASARQKPPDALLCFDLLSELSSALDEAPKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIERGDAISVYSRASTLQGWLVDGKRTDPMAYAGVAQCLGEIYRLFGHKITAGLIETSNIVAKLMKYHEDFVRQDALLLLENALEGSGGGGSGAAYLEAFRIIMRGGVSDKSFIVRVAAARCLKAFANIGGPGLGMAEIDTSMSCCVKGLEDNVSAVRDSFAEALGSLLALAVNPDAQVKKGVKKQSTSGKKFDDGLQKHLILPFVRVELSSAAQVHSSPTPGGDYSGYLAMIHMKYGTPDSELQNYAVQVTEILQGNASPDPHALACVLYVLRVGVADQMTEPTQREFLVFLGRKLESSNYTALMRVATLRILSYLLRSLGEVPSEFKDILDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGITTLHALTETLSFDKGKIMNLELDSLHGQASVLAALVAISPKLLLGYPARLPKSVLEVSKKMLNGFSRNPVAASAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHVQDWASELRVLSVAIEALTAFIRSFVSPIMTNANGGILLNPVLAYLGGALSLISSLSSKKLPNVNSALNLFTTRTLMAYQSLSNPMVYKSEHQQMLQLCSSPFSDPSGWEESSCLKFLLDKRDNSLGPWIPGRDSFEDELRAFDGGVDGFLPCVWDGEMSNFPQDNTVKIRLLNNLDQCLKSGKKQSWFMTVVTNSCVALLSGLKEFLTLRGAQSLSTDILSMVQSTFKGILLESEITTAQRRAACEGLGLLARIGNDAFTARMARSLLGELITPIDLSYTASVTLSLGCIHRAAGGMALSTLVTPTLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYVDLRQEIGHLINAIVAVIGPELAPEISSSSETATLIESVRFAQQLVLFAPQAVPVHSHVQSLIPTLYSRQPSLRHLAVSTLRHLIERDPAAMINQNIEENLFSMLDEETDSEIAALVRSTIIRLLYTSCPLRPSRWLAVLRNMVLATSIARNTSEGLSSSGHDPVDSNVENDIYYGADEDNMISSSKQEKTNWSANKFSQFPQRNKHLRYRTRVFAAECVSHVPVAVGTEPAHFDLLLARSAVAEGVHLSNDWLILKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASSPLLLEAGLELATKVMTSSVIGGDRVALNRLFLLICRPLNDIEDLFYPSFADWVVLKCYTYQFLRMKENIPDEHQQLAPLLANSSSLLGKYWIGALKDYSSISFGLHSRINHKPFLDGIQSFLVSSKAKEYLDEVWALILQATALDAAPLEFEMDDSEDTLGQTFISGRSMVKLDLTEFKFLWGLSVLVLCHTQPSMSNSAIKINLDRNNEKKIGGLVVCAGLDNPRPCDQMLLVLSSLTSQVFFSMNFLTVDTCQELLQALTYADCSSAPVVCLFSQIIRLCPDNFFEVEEFVFVALEFYSWYLATILQSRCGSSQECLSNSLISELSVATETMACRMKNEHWWKLMMLLVSTSYQSFQQVPSNLCLSNIISFLQNTLPIMKKYLQERAEPGDECANCEVALGALVSLVAYLCTQCSNRISMLDNKISDSYKLLAKILYFCLGEAIALAKLVDEIGYHGENCTSNELMSGSFRHCTQVVQASLCSTTIQVQMLGVHVLKVSAQRELAEGSQTATHSFMVLFVELLADVFSVIQTALKIRWHSLTTLFLQGCSSKDSVSVIDECLKLLFLFHTLAQSKKCPQEATMLLLDALLMVFYSSSATGSQELTEVNNISKKLFSHFIQIPSAAIHIKDIMLSAAPTKRQQLQDMIRASVTQGQTIVPGHISANSEQNAQGGFSQEPGLNATDADEEKNEKQVSDDDWDDDWDNFQSLPAHGTNNGADSATAASPLPEQGSVASPHDEQIPQVNINQEVGDVDVSDGTTEGLSSFDKYLEEPSTSHFSDTAQQVESKSQEFSCKDHEESPKHPKVHCTGSSAHVTKEETDDESQQIHGDQFVSRESKNYDLYLSNEIAGSAGEEENDTSGEIRRAAGDALDENIASVDDSNLNNISDGTEDESNKACDKVLVANEKSETVITDSGEKVSASSDEVKSDLYPENVDTKPESSGGEIAESGS >OGLUM06G17400.1 pep chromosome:ALNU02000000:6:18743136:18748081:1 gene:OGLUM06G17400 transcript:OGLUM06G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT5G60750) TAIR;Acc:AT5G60750] MSSSASCLGPPPLRLPCSSSSSPSPAAAARFGSRRRAVAAAAPAKGWRLVRVSCFRQEDVPTTSDDGPGFEHISRPESSRGAEASGEEGEGEGEGSSGQGERGSGEGDDWFLRAQEIKRNLRERIFRFQTQRWTVPWTGKTIAQVMILWIATFWFVGSWIVPFLAHAAGFSKESLTHRGQALYSLLTDITEGLAGIAILHHCLGRFRPLPPGWFEFNLKGRWYLDVVLGCLLFPLVNFLSHININLIPMSSGPVAGVSSVEQSIVARDPVAMVLYAVVVTVCAPIWEEIVFRGFLLPSLTRYMPLPWSILVSAAAFALAHFNAQRVMPLVFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >OGLUM06G17410.1 pep chromosome:ALNU02000000:6:18750768:18754102:1 gene:OGLUM06G17410 transcript:OGLUM06G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIKTRRGEARRARWWRSRARDFRGADSKFVQVLKFWAERRQRERERGGEAPRRAAAAALLRWWTVCPVRFAAPPLRERERACVPRRGGGGRGGGGGGMAAAWTSLGLAAVAVVVVGIGMPASASAAAPAQPPAPAPSSDGTSIDQGIAYVLMLVALVLTYLIHPLDASSPYKLF >OGLUM06G17420.1 pep chromosome:ALNU02000000:6:18761633:18764524:1 gene:OGLUM06G17420 transcript:OGLUM06G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPASSSWAAGLQERIWDLHDKLSHAILSLSASAHRCCRRRAPEGRVVVKGWRRQGGCGDCGLEQEAAAAATMADARSLHAVRAALEDLEGHLHFLHNIQVRQVAERDAAIARLQQSRILLATRLAEHRWKKHEVIEEALAFVDDALDKSRFVSPEDVRGTHTHSQSVENQCPKIHDSNFLVRFLSCTLAIAKNSLRFERIGGALGNTAMFAVSMLAFLQLQQVAFGKQTPAVQCRRVNYFHSQMSVKNTKEKHLVVLLARG >OGLUM06G17430.1 pep chromosome:ALNU02000000:6:18766399:18776875:1 gene:OGLUM06G17430 transcript:OGLUM06G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYDTKTGVVYLWAKTLRKIVSNSTVKGNNQRDDSTPNLSV >OGLUM06G17430.2 pep chromosome:ALNU02000000:6:18766399:18777001:1 gene:OGLUM06G17430 transcript:OGLUM06G17430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYDTKTGVVYLWAKTLRKIVSNSTVKESWYLLPTGLLLGGYNDRSKGGSSRCQNG >OGLUM06G17430.3 pep chromosome:ALNU02000000:6:18766399:18776875:1 gene:OGLUM06G17430 transcript:OGLUM06G17430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYGNNQRDDSTPNLSV >OGLUM06G17430.4 pep chromosome:ALNU02000000:6:18766399:18777001:1 gene:OGLUM06G17430 transcript:OGLUM06G17430.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53360) TAIR;Acc:AT3G53360] MQNPNGTILQLYHAGRLAAALRAFESLPSSSPASAAAAPAPLTAATYAALVSACSRLRSLPQGRRVHRHLVASSSSSPDAQLAGNTVLGNHLITMYGRCAAPDSARQVFDEMPARNPVSWASVIAAHVQNGRAGDALGLFSSMLRSGTAADQFALGSAVRACTELGDVGTGRQVHAHALKSERGSDLIVQNALVTMYSKNGLVDDGFMLFERIKDKDLISWGSIIAGFAQQGFEMEALQVFREMIVEGSHHPNEFHFGSAFRACGAVGSWEYGEQIHGLSIKYRLDRDLYAGCSLSDMYARCKNLDSARVAFYRIEAPDLVSWNSIVNAYSVEGLLSEALVLFSEMRDSGLRPDGITVRGLLCACVGCDALYHGRLIHSYLVKLGLDGDVSVCNSLLSMYARCSDLPSAMDVFHEIKDQDVVTWNSILTACAQHNHPEEVLKLFSLLNKSEPSLDRISLNNVLSASAELGYFEMVKQVHAYAFKAGLVDDRMLSNTLIDTYAKCGSLDDAMRLFEIMGNNRDVFSWSSLIVGYAQFGYAKEALDLFSRMRSLGIRPNHVTFIGVLTACSRVGFVNEGCYYYSIMEPEYGIVPTREHCSCIVDLLARAGKLTEAANFIDQMPFEPDIIMWKTLLAASKMHNDMEMGKRAAEGILNIDPSHSAAYVLLCNIYAASGNWNEFARLKKAMRTSGVKKSPGKSWVKLKGELKVFIVEDRSHPESEEIYAMLELIGMEMIKAGYVPKHSWKHAIVDHIDSDLFNEEMLAEYESWYLLPTGLLLGGYNDRSKGGSSRCQNG >OGLUM06G17440.1 pep chromosome:ALNU02000000:6:18771548:18777865:-1 gene:OGLUM06G17440 transcript:OGLUM06G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >OGLUM06G17440.2 pep chromosome:ALNU02000000:6:18771548:18774416:-1 gene:OGLUM06G17440 transcript:OGLUM06G17440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSESIKLSLLVLLLGVGIASVTDLKLNLLGSVLSGLAIATTCVGQILLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYTTPVLGFIMLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYILLHDPFNARNILGILIAIFGMGLYSYFSVKEGKKKATNDPLPVSQMPEKETEPLLATKDNSDTKKANGVSHDC >OGLUM06G17440.3 pep chromosome:ALNU02000000:6:18774636:18777865:-1 gene:OGLUM06G17440 transcript:OGLUM06G17440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSSGFQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMMTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQVRCTVISLVVSYKTWNKHTNLSILQMTKLAIIPFTVLLETIFLKKRFRF >OGLUM06G17450.1 pep chromosome:ALNU02000000:6:18781187:18782048:1 gene:OGLUM06G17450 transcript:OGLUM06G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLDRVCLGEGHNCHVHLLLGLQGSAGAAAVVQGALRQCATDEQCGGFGVAIEERMVGEEELARDTYSLMHESQLVLTSAAFRGQCSPATAYCCCSTIAATKSTSLACPNAKAVRKAAVPCGEAHAGPGVEVGGYDSPIPITPPSAFMASIKFRNDKCPLMRAKMPIGVLSLPFQSILSAGGNPHELRFNLSTLF >OGLUM06G17460.1 pep chromosome:ALNU02000000:6:18784362:18786300:-1 gene:OGLUM06G17460 transcript:OGLUM06G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARGWTQKYAMG >OGLUM06G17480.1 pep chromosome:ALNU02000000:6:18822226:18822831:-1 gene:OGLUM06G17480 transcript:OGLUM06G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDQTASSSETTTAVADDTSSQQDAPPHPLQVTSPDSSLPSPSPRQRRCVAAYMDLTREECGRLFPSGRLRSQPLRLAGRPFYLTARCNMDQRDTFRCFGLFLAMEVDDDEEEEEGSPSPAASVTVEYDFAARTRQQSGDEFVSMYKGHYTFAAGKSCGYRNLLGTPWASFMGDGGGDSVFFIDGVLHLRAELCVKEDA >OGLUM06G17490.1 pep chromosome:ALNU02000000:6:18824369:18829534:-1 gene:OGLUM06G17490 transcript:OGLUM06G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDFSRASRGPSFAFAFNSVNFSDRVLRIEIVAGDDAAGAKGAAGEGCSSLADWAHQRKRRREELRREKESGKYTDLETCKVEAEECDTYEENNEEPVAMIEESPPDIGQDGEDGDSCDSSWSMECTQVLRVKSIYISSAILAAESPFFYKLFSNGMKESDQRHATLRITASDSFYLIIVCLSETEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISMAAAVQPLTDTAKAFLANKYKDLTKLQDEAMNIPLAGIEAILWSNDLQVASEDAIYDFVIKWARSQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACNDLDHEQATKCVTEALLYKADAPHRQRTLAADVLTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFHCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWSSFMADDSLFFIEGVLHLRAELTIKQP >OGLUM06G17500.1 pep chromosome:ALNU02000000:6:18830673:18831589:1 gene:OGLUM06G17500 transcript:OGLUM06G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGTIRTLMIESAADDHLCHLMVMAFMARGHSFKLSVFNQLAHLLVFSPLPRQAISNNGEVGLSRHTPSPFLTFPSSTLACNPNDGKARHEHKEDHGDGHWLEVFVGGGLAAAYVEIG >OGLUM06G17510.1 pep chromosome:ALNU02000000:6:18849778:18854107:-1 gene:OGLUM06G17510 transcript:OGLUM06G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPQPPPVAATVPVRGLKCRGGDAAAEPAMAGTPNRGQERGGEEGEEEEKAVLRRGLAAARARRKAGPVTPSPSWKLEASPPRPEEPVADSSAAAAAAGAMGRRSSAVAASARQLGATLWEIRDVIKVAGAGRRIRRRGRRGGVAGDDDEADRPQSSCGGGEHLSTSLMEHDKLHGERCHARQSLSPASYTSSIGAATINIVSPTRSLDRRARFREAGSQLKTSTELLKVLNRIWSLEEQHAADVLAMKGLKSELQHAHARVQELLQERRRYHYEIDSLVRQVSEDKMTQKSKDQEKVKAALRSLQEEIEDERHLRKHSESLHRKLKKELSEMKSAFVKAVKDLEKEKKATHLLENLCDEFAFGIRNYEEEVRLLKQKHIKQYEHKFDKSVVHISEAWLDERMQMQNADPKATLAERISITERLSSEIHSFLNTRRSSKPKDDKLYISNEKQDASLCRQSLESVHLHGATSAPRLAEDDNDNSVASNLHCFELSMHGHTIQNNDLIGTRQRVTSCMYSPMRRLEFSNGVPVEGSRISTMSPCSMKDKARPNGIREQLNASTPEISPCNDAKNAPRCAQDETVMTQVSQRLHDDLLKIKSEAPQHAYLGQKSNDHHSRAGQFRDQCTTSGNVYDLRSPARQLNQRSSLDHEITEASPTHPLEGKSTTLKAKLLQARLEGQHARMRASGYSLTSTRRK >OGLUM06G17520.1 pep chromosome:ALNU02000000:6:18876552:18885312:-1 gene:OGLUM06G17520 transcript:OGLUM06G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPPPKLPVPGRRNILVTSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVIYICGTDEYGTATETKAMEEKCSPKEICDKYHAVHSEVYKWFDIKFDKFGRTSSPQQTEVCQAIFQKLMENNWLTENTMQQLYCDTCQRFLADRLVEGKCPTEGCNYEAARGDQCENCSKLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLSDKLVNYINETSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTVMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSHGIGVFGNDAKDTNIPPEVWRYYLLTNRPEVSDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTNKWVEQYLEAMEKVKLKQGLKSAMGISSDGNAYLQESQFWKLYKEDPAACAVVMKTSVGVVYLLACLLEPFMPSFSNEVLRQLNMTPEESLSFCDDKGEIAKAKRPWDFVSAGHKIGKPSPLFKELKDEEVESFRNKFAGSQAERSSKAQADAEAKKVADKLKDTKLSDGGQKKEQKKQSGGSKSKNAEVDVTVAKLDIRVGLIRKAQKHPDADSLYVEEIDVGEEAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLNAKSKTWEKLSADLHSNGELVACYKDVPFTTSAGVCKVKSIASGEIR >OGLUM06G17530.1 pep chromosome:ALNU02000000:6:18889517:18892301:1 gene:OGLUM06G17530 transcript:OGLUM06G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAILKVFILHLFIMLHGVHGHIYDVTEYGAEPSNVDNKDAFLVAWRAACGSAAGNATLLIPEGTFAVSTVEFSGPCKNGRSPLVVVVDGVLHPCAGSCHGKKSGDDDVWITFSSVSNLLVTGAGTLDGRGGEHGHSNGGGKSKTTTTLELDSVANATVRGLRFLNSRGFHLNLHRSSHVEAERLRIEAPAASRNTDGIHVGLSSHVTVADSLIGTGDDCVSIGPGSSGVVVAGVACGPGHGISVGSLGREEGEDDVRGLVVRNCTVTGTTNGLRIKTWPGSPPSRAFNITFRDIVMSNVSNPIIIDQHYCPHAHCSDIGKLLCSEDRPCSGVRFDRVNLSCGRERCGSKFSNVEGKPTLLVAADEAAAFGPGAVPPPEQDADVVEGGRLSVGGVDVAEVPVKGHQHSGGRSGRPHVP >OGLUM06G17540.1 pep chromosome:ALNU02000000:6:18911791:18912500:-1 gene:OGLUM06G17540 transcript:OGLUM06G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVKSLIVCVLVLGLVLQHEHIQVEAKSCCPSTTARNIYNSCRFTGASRDKCCKISGCKIVDGKCKPPFIHLTLHPDSEESDVLDFCKLGCTSSVCSNMNTFAGNEEGNRAVDRCNEACYRFCTNEAEIVTVAS >OGLUM06G17550.1 pep chromosome:ALNU02000000:6:18952611:18953002:-1 gene:OGLUM06G17550 transcript:OGLUM06G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEPRRLIVASADLVDRGNLDGACEGMQSTVFIHVAMSPTRSAASIRMSSSSPSPHPSHPSLSLSLRTARRIRRARRRSWRSSARDAEAELSSYGIGPTAEVAEQVLRSRVCYSRPKSAVRFFV >OGLUM06G17560.1 pep chromosome:ALNU02000000:6:19032399:19032940:-1 gene:OGLUM06G17560 transcript:OGLUM06G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTASLLTFTIPFTLNLESEVVDFCKLGCASSVCSTMSTLSGNEEANYAVDRCNDACHRFCTKEAETVTVVS >OGLUM06G17570.1 pep chromosome:ALNU02000000:6:19065194:19065722:-1 gene:OGLUM06G17570 transcript:OGLUM06G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSASWDVLLAANVVNGAMEHCEDACDRFCTKEAQTVTVVS >OGLUM06G17580.1 pep chromosome:ALNU02000000:6:19128498:19129193:-1 gene:OGLUM06G17580 transcript:OGLUM06G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMKSLIMCLLVLGLVLQQEKIQVEAKSCCPSTTARNVYNSCRFAEELDVLNFCKLGCTSSVCSIMNTFAGNEEGNRCNDACYRFCTKEAETVTIVS >OGLUM06G17590.1 pep chromosome:ALNU02000000:6:19148373:19157589:-1 gene:OGLUM06G17590 transcript:OGLUM06G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILCECCSSDHRSSSTPMATTSSSAADPAAAAISLTPSHQHASSTVTTLDDRRPAGTSSSAGETEPKAAVEPQEYPRRPGWPDCSYYVEFGSCKFGMGCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRVPQRQVYFPWKACHCHHSEGKSEAEQVKLNFIGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNPGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSKDGFQPAEQIRYTRDQLLQLRETVDVPKDIMKLIQDINVELRGEDESWAPNETNYVPALSYKRFEETDSHDWHSRSSQNPVVVEEEKFRDDTREAKEPYALGWKQKQFNNQDRKSFQSDSKTQASPTLALHKAEDPWSIRRGTTPEKYKVLRTVKGILNLSTPEKFDILKGQLIEAGITRTDILKDVIDLILEKAVAEPTLCPMYAQLCSYLNENLTAFPPKDTHCEQITFKQALSDKCQQAFEIARNVRADIYKLTGRDQEMERRDKERLVKHQILGKICLIRDLLKQKMVPDKIVHQIAQAVTDCENFHFEPLENVDLLNIIFDGVLDSVLAGTGANKIVNAIVGTEKCSIASNDVGIIDKDEPEKS >OGLUM06G17590.2 pep chromosome:ALNU02000000:6:19148373:19157589:-1 gene:OGLUM06G17590 transcript:OGLUM06G17590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQILCECCSSDHRSSSTPMATTSSSAADPAAAAISLTPSHQHASSTVTTLDDRRPAGTSSSAGETEPKAAVEPQEYPRRPGWPDCSYYVEFGSCKFGMGCLYNHPAKHAGGCDKLEHPQRPGEHDCLHYLRFGRCKYGMNCRFNHPPDRVPQRQVYFPWKACHCHHSEGKSEAEQVKLNFIGLPLRPGTGLCSYYMNRGICKFGSNCKFHHPNPGSGHEKWDGSLQTNQISSGVNIYSVLDHGELNEQPVPSKDGFQPAEQIRYTRDQLLQLRETVDVPKDIMKLIQDINVELRGEDESWAPNETNYVPALSYKRFEETDSHDWHSRSSQNPVVVEEEKFRDDTREAKEPYALGWKQKQFNNQDRKSFQSDSKTQASPTLALHKAEDPWSIRRGTTPEKYKVLRTVKGILNLSTPEKFDILKGQLIEAGITRTDILKAVTDCENFHFEPLENVDLLNIIFDGVLDSVLAGTGANKIVNAIVGTEKCSIASNDVGIIDKDEPEKS >OGLUM06G17600.1 pep chromosome:ALNU02000000:6:19176582:19199193:-1 gene:OGLUM06G17600 transcript:OGLUM06G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRQPMSKTMSPTPVQGLGVASSTKDVGSAPDHHEAEYQHDQSSSRRHYLRTQDWHVDFWLEIFQRRCRSSSSAAAPLASVSLSQGGHGTGKLRPSLVGCCSSRLLHRRRWDDDDDDSESAKQCARATAALRRCMEANAEHFKADIRARDEGLDEDQRRRGSPPAPDDDEFIASSLKWR >OGLUM06G17610.1 pep chromosome:ALNU02000000:6:19220254:19221317:1 gene:OGLUM06G17610 transcript:OGLUM06G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALSDNIRCWRQNPTLSIASCVVLPVLLYLDNILPPTDVGLDLVFTPRIHVYTKEIVDWLVSADQEAGGDGTPPFGNLPLRPLESTCYAIKQPGKGKGPMVEAIRAPAYTFPNMSAIIRPHLGGLPNEQRSSLLESIAEYDRQAKESAVEIERHFRIVVDKQHMLCQRVIDAPQANRAAAPQPIVPQPARCQEANRRQSNVQPTGAETNPNEEDEQQQ >OGLUM06G17620.1 pep chromosome:ALNU02000000:6:19221412:19222708:1 gene:OGLUM06G17620 transcript:OGLUM06G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVPYVPPVCQEVADQAQSPVLTQRTPPPTDSAPLTTEEVAAQDKVPDTVEDTLGVEAVRAIGIDPLLAETMPDTDDVNSTPWSLPKRFI >OGLUM06G17630.1 pep chromosome:ALNU02000000:6:19222751:19224022:1 gene:OGLUM06G17630 transcript:OGLUM06G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSDVSLSIQLRHFLLTNGGRMDSVKLLEIDSSVAYGNNVLESFSDGSLTEGLFIDVFSSILFKDDMKYRLDTYGKRIFIPTSISIMIPVLHHYHWSLDAINIAHRLVDIMDTNNYTLIGTLVSDHHGALAKCIVKRLSDALQAVVPKSFCRFGGFRKNMMECPKMQICSIDCAFYIMRFMEAYDGNRESIENLSIPQSPPYICILTHLSFISTLFCTNSRPTCVLQTDSVIVRSSTLHQLMFSEYNQAAPLHSDI >OGLUM06G17640.1 pep chromosome:ALNU02000000:6:19225797:19227567:1 gene:OGLUM06G17640 transcript:OGLUM06G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASHSPFTASTVPHAQWMLKEHNECTSHLSLSLTPPLHSSLFPKPKHQKQQHTPHIAFQVQENTPHAQNAQSSAILPGSGDLAVVAVHPRTNLSSTWWASPSSPPATRTADAAPAAVPHHRSNTKLRRPGDVDAGVLLPLAINHRLRRSLDLAASRKNTSKKKRVSLLTTRFRSLQTKASLALL >OGLUM06G17650.1 pep chromosome:ALNU02000000:6:19232496:19232744:1 gene:OGLUM06G17650 transcript:OGLUM06G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAGWEGSDGDRRVGADPVAALLGLSSTTVKEVDPAAAATMTTMSTAPRTATKITMARLFQPPPLYGLEYRGVGFGRWRPW >OGLUM06G17660.1 pep chromosome:ALNU02000000:6:19237154:19237408:-1 gene:OGLUM06G17660 transcript:OGLUM06G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMIYAEDLGYMSTPCLSPPSDVNDLNPPEDPNNITVLHLAFIDDGDIDIIQEDIYNFRYDQTPPRDAQSPATRFLRHKRD >OGLUM06G17670.1 pep chromosome:ALNU02000000:6:19239446:19240106:-1 gene:OGLUM06G17670 transcript:OGLUM06G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENMKAVKSPIMCVLVLGLVLQQETIKVEAKSCCPTTTARNIYNACRFTHGTRERCSKLSGCKIVDGKCKPPYIHHTLYPESEESDVLDFCMLGCTSSVCSNMNTFADNEEGNGVVERCNEACYHFCNKKADIVTVVS >OGLUM06G17680.1 pep chromosome:ALNU02000000:6:19247030:19247638:-1 gene:OGLUM06G17680 transcript:OGLUM06G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLAAQLQALAAQSAEQAMSLGTMTSLRPRKHVFKRSLVALPRCYPSQTAFSKALFQRMGKRRGFLLRLSASTMMARFPEAWDATAPTLLRAKLSSARQWECLSWGKPRPGVLRLRWSSGDWRRCRYYPHRKGKRRQRFWWSISSVSARFSDEVVAGAMDKAHASRMEAWWPRGLRRAHERWLDKALPWLRRS >OGLUM06G17690.1 pep chromosome:ALNU02000000:6:19250969:19267668:1 gene:OGLUM06G17690 transcript:OGLUM06G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGPFPPSPFGSPPHPFPPLPPHADPAEGRGIFGRQPRGRRPEGRVVSSAVGRHGGNGSGSGRRRRRQRRRVAPLPTHSPPSPWRFGGGKGRLRPATTGTMAGGEGGVSGGGEAFGQRIRRRRWERAADPASGAGDDNGSGGSGLPASGSVAGDRGSDGDGGGGLIRDCGEESG >OGLUM06G17700.1 pep chromosome:ALNU02000000:6:19267907:19273125:1 gene:OGLUM06G17700 transcript:OGLUM06G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKPMDRFETSVSRALQLPVCATAGVALRLSAGVLVVDLEGDEAAAARRCRHCGRARDEKDDAPISPKLTVRCCS >OGLUM06G17710.1 pep chromosome:ALNU02000000:6:19297931:19299326:1 gene:OGLUM06G17710 transcript:OGLUM06G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNTTRDALKIKKGTIDEWLRCNKGVLPYAQDIPSSLNYHFNLTTRGYRALVMRFTIEYANNLTFATVKGGSHVVTTNKPKESFAMGKRWLANKPL >OGLUM06G17720.1 pep chromosome:ALNU02000000:6:19310822:19312932:1 gene:OGLUM06G17720 transcript:OGLUM06G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHHRPTAGQCSLVQPPRLITCLLLLLLLLLSSPLTLPCSASSAVVTHLPGFHGRLPFYLETGYIGVEEKTGTELFYYFVESERNPDTDPLVLWLVGGPRCSGFCGVVYEVGPLNFVLETYNGSSPRLVYNQYSWTQMASIIFLDSPVGSGFSYARDPNGYDVGDTSSSLQVVTFMKEWLNDHPRYCSHNFYVGGASYAGKVVPVITQYISEGSKFDKNFHVPYSHGVGIISDQLYQAAVTHCKGDFVNPTNQLCGNVLSTIHKLMSEVSDGNILENKCVKAAPKPTVDVSASRALLEEYNQLHKPPIRPSIDCLVSLYYPWIHCANLIQERRIF >OGLUM06G17730.1 pep chromosome:ALNU02000000:6:19317523:19323254:-1 gene:OGLUM06G17730 transcript:OGLUM06G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDPPEAPVGQAWTTGLCDCCDDCNSCCLTFFCPCVAFGLIAETLDRGSISCAIAGITYCWMRPSTVLPGMHTMYSWSYRQKLRATFGMAPEPCADCCLQLFCDRCSLSQMYRELKNRGVNPANGWVVNSQKMTSAPIPLQDMRR >OGLUM06G17750.1 pep chromosome:ALNU02000000:6:19378070:19378786:-1 gene:OGLUM06G17750 transcript:OGLUM06G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATACACTTTDGSGDVCLRPSASDGLPTHQACTSKAAPDGETRRRQHQRRRCCVVCLVATIATLVLLGVAVLVLSLTVFRVRDPAARLVSVRVVGISPNLAPPSPQINVTLLLTVAVHNPNRASFTYSSGGGGGLTYRGAHVGDAVVEAGRIPSRGDGTVQMEMTVLSSSFTGDVMAELIRDIEAGAVPFDASARIPGKVAVFGVLKLHAVAYSDCHVVFGVPEMGIRSQECHDRATL >OGLUM06G17770.1 pep chromosome:ALNU02000000:6:19415111:19422047:-1 gene:OGLUM06G17770 transcript:OGLUM06G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAA6] MAFSSRAVVAVASVALLVAALASSAAAEGYPVEYTESYYDNTCPNAQNIVRSVMERSVAANPRMAPAILRLFFHDCFVNGCDGSLLLNSTDSFESEKKETPNASLAGFDVIDDIKSELERSCPATVSCADVLALASRDAVAMLGGPSWGVLLGRKDSRYVTKNATEELPDPRNGHLDVLLGVFGKHGLDERDLTALSGAHTVGKAHSCDNFEDRIDGGEGYDDIDPSYAAELRQTCQRPDNCEEAGVPFDERTPMKFDTLYYQDLLFKRGLLATDQALYTPGSWAGELVLTYSRNQEAFFADFARAMVKMGNIRPDPWTPTEVRIKCSVANGRRRFGCSARRCRGTRLHRSGISKSLRSRGVHGELRRASCSVMERHAAANPHTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAKPNASVAGYGVIEDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLAARMDMANKDLPRPTDSLAELIRMFKENNLDERDLTALSGAHTVGRTHSCEHYEERIYSLVGQGGDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYVDLLARRGLLTSDQELYTQGCETGNLVKTYAMNGDVFFADFARAMVKMGNIRPKHWWTPTEVRLKCSVANTHY >OGLUM06G17780.1 pep chromosome:ALNU02000000:6:19438756:19453319:-1 gene:OGLUM06G17780 transcript:OGLUM06G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAA7] MAFRCKGGVASVALLVAVAAHASAAQGFPNPFGHEDFTESYYDETCPNAQSIVRSVMERHATADPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNASVAGYGVIDDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLVASMDMVKENLPSPTDSLAELIRMFKEHDLDERDLTALSGAHTVGRTHSCEHYKERIYSLVGQGGDSIDPSFAALLRQECEQKHDEAMAPFDERTPTKFDNAYYVNLLARRGLLTSDQELYTQGCDTGDLVKTYAMNGDVFFADFVRAMVKMGNIRPKHWWAPAEVRLKCSVEQSAVGAGAAAADATATRAASGCPAEVAAAVAAAAAPSPPGDRPSAMAFSCKSGVASVALLVAVAALASTAQGFPNPFGHEEFTESYYDDTCPNAQIIVRSVMERHAAADPRTAPAILRLSFHDCFVNGCDASILLNATDSMESEKDAKPNASVAGYGVIEDIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLVASMDMVKENLPSPTDSLAELIRMFKEHDLDERDLTALSGAHTGVVASVALLVAVAALASAAQGFPNPFGHEEFTESYYDETCPNAQSIIRSVMERHAAADPRTAPAILRLFFHDCFVNGCDASILLNATDSMESEKDAEPNATLAGFDVIDGIKSELERSCPATVSCADVLALAARDAVAMLGGPSWGVLLGRKDSLTASIDMANKDLPNPKDSLAELIRMFEKNGLDERDLTALSGAHTVGMAHDCKNYDDRIYSRVGQGDDSIDPSFAAQRRQECEQKHGNATAPFDERTPAKFDNAYYIDLLARRGLLTSDQELYTQGCETGDLVKTYAMNGDVFFADFVRAMVKMGNIRPKHWWTPAEVRLKCSVANTHY >OGLUM06G17800.1 pep chromosome:ALNU02000000:6:19487214:19488888:-1 gene:OGLUM06G17800 transcript:OGLUM06G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLKSSSAIKTPLSSMKFVCRPCCLLALPLPSFIHLGGKEYSKGDSRYVARDPATVTVEAITAVLEGPALLLSVYAIARQKSDSHILQFTVCLGQLYGCLVYLPTWVASTSGLVRSTSGLISLDPTVCGL >OGLUM06G17810.1 pep chromosome:ALNU02000000:6:19495383:19496054:1 gene:OGLUM06G17810 transcript:OGLUM06G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKQPGDVPMSAAASEADLAQLSIAITAGEDLGPLVRRVFTCRCPEPLLASLWAAARDRETEIEELCRAHFHDFICAIDNLRSLLADADALKGSLSGSHAVLLSFAALLLASLESFLVARGLAGNLSSALASSRRRVRLLVLANRANAHLQGGNHNLYLALRAVPLTATSPSAPPHPPPHGAQPRPPRPCPRRHPRRECSGAAAAVVLLQSRRMEEKMGRWD >OGLUM06G17820.1 pep chromosome:ALNU02000000:6:19499235:19502626:1 gene:OGLUM06G17820 transcript:OGLUM06G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVKGINENKLFHRASHFPTWGGDKTSARTDLHFCTAPRPTLPLSIHPSLHHPPQISLFPTTITLPLPPPPQYHHPSIHPIPSHHLIASSRLASPLHPPPLVSPPTRRLFLRRRRRHSHLKQLNYNLGSQDLLHILIH >OGLUM06G17830.1 pep chromosome:ALNU02000000:6:19502632:19504518:1 gene:OGLUM06G17830 transcript:OGLUM06G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQLGFLEFTCTNPRNAEKWTTVSPPVSQPMACSSQLDVLAQDSMHNPLSRGIKRKWVDLSLGLGNSSSSSDSSKQSMGTCCTMSSAKDRDDGSSVDLDMNFQFNLYNEGTSKLDSYDCNGKKVLEKPVDLELSLNFGPCESAVTNVDFSAATKQQAVFLQSCNMSSVPTVDEGSTSARWKSGGKLLPYLYQSRNNTGHFSSKELPGSSNQSQDLAPLPTMIQTPQSPVTSTSGVVSFQQRCNSTKICSQPGCAKGARGSSGRCIAHGGGRRCQREGCKKGAEGKTIFCKAHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCSRDGCRKAARGKSGLCIKHGGGKRCQKLNCTKSAEGQSGFCIAHGGGRRCKHDGCTKGAQGSTNFCKAHGGGKRCTHPNCSKGAEGSTALCKAHGGGKRCSAEGCPKSVHGATEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFTGCIKSAQGSTDFCKAHGGGKRCLWGQSGSGLGDGSGTCERFARGKKGLCVAHNALVEDSRVRGGQTVGTIALPGSTGADSDVSHGTLPGTSFNFGETFAANTKQALHHVQSPVPEGRVHGGNIAAMLANSMDYQKQLNFSTGASTSDRNWL >OGLUM06G17840.1 pep chromosome:ALNU02000000:6:19518874:19519122:-1 gene:OGLUM06G17840 transcript:OGLUM06G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGQQPSTERKETSSAFGGGCCGGGFQMPLHYPRYKKADYEAMPEWRVDCLLREYGLPVDGGVEEKRRFAMGAFLWPDQY >OGLUM06G17850.1 pep chromosome:ALNU02000000:6:19523706:19529072:-1 gene:OGLUM06G17850 transcript:OGLUM06G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41760) TAIR;Acc:AT5G41760] MQWYLVAALLTGILTTLSQSNGKYKYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPFQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRIFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQALPVTSK >OGLUM06G17850.2 pep chromosome:ALNU02000000:6:19523706:19529009:-1 gene:OGLUM06G17850 transcript:OGLUM06G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G41760) TAIR;Acc:AT5G41760] MQWYLVAALLTGILTTLSQSNGKYKYDYATIPFLAELFKLSFSSFFLWKECQSSSPPRMTKEWRSIRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAVVLLAVGTTTSQVKGCGDAPCDSLFSAPFQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFERGPWWQRIFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSVYLFNVRATLQLFLGIVICIISLQMYFMPVNMLVELPQALPVTSK >OGLUM06G17860.1 pep chromosome:ALNU02000000:6:19530214:19532497:-1 gene:OGLUM06G17860 transcript:OGLUM06G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAMFSSISTSQYWRITTLMATTGTPASTAASLEACFSEDAASVECAAARTLHHLDGVRRHLDGLRYHLDGVQRHLRFYPLDGV >OGLUM06G17870.1 pep chromosome:ALNU02000000:6:19550825:19554462:1 gene:OGLUM06G17870 transcript:OGLUM06G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKTPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKMWLMATQFEIRQKNLKAARRILGNAIGMAPKGKIFKRYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPVPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >OGLUM06G17870.2 pep chromosome:ALNU02000000:6:19550825:19554602:1 gene:OGLUM06G17870 transcript:OGLUM06G17870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEVERARRVYERAADLLADDEHAEVLFVAFAEFEERCREVERARAVYKYALDRVPKGQAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKTPLNYDSWFDYIRLEESVGNKDRIREVYERAIANIPPAEEKRYWQRYIYLWINYALYEELDAKDMERTREVYSECLKLIPHKKLTFSKMWLMATQFEIRQKNLKAARRILGNAIGMAPKGKIFKRYIEIELYLGNFERCRTLYEKYIEWSPANCYAWMKYAELEKSLGETDRARSIYELAIAQSALDTPEVLWKEYLQFEIDKNEFHRTCELYERLLDRTKDLKASVGLGGEDSQSEEIKNEVSYQQQQIEQVRRCRAVFERAFEYFRTSAPELKEERAMLLEEWLNKEVSFGHLGDVTLVQKKAPRKVKRKRPVPSEDGSTTAYEEYMDYIFPDEVALAPNLKILEAAYKWKKQKTDDTDDD >OGLUM06G17870.3 pep chromosome:ALNU02000000:6:19550908:19551657:1 gene:OGLUM06G17870 transcript:OGLUM06G17870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIRAAAAGDMAPPSASDPTLGFLTKRDTEVKLPRATRVKNKTPASVQITAEQILREAQSGRSPRSKIADSVELSEYRLRRRKEFEDAIRRARWSVGAWVKYARWEERQGDFARARSVYERALDVAHRDHTLWRGVDMPNAESAYEPNVCDRAVSLLPWVDQDQLWYKYIHMEQVLGAVANARKVFELWMAWRPDAAGWNSYIKFELRYGEIERVRAIFERFVAEHPQPHTFIPHPVRKV >OGLUM06G17880.1 pep chromosome:ALNU02000000:6:19608003:19612041:1 gene:OGLUM06G17880 transcript:OGLUM06G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMCIRNVENDDMMKSVRGRGISDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYHAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAILVNLDGSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESNSSESDSKHTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKRNSIKDVCVANETQSPVDEFDDTLYAQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARVFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNSGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPT >OGLUM06G17880.2 pep chromosome:ALNU02000000:6:19607826:19612041:1 gene:OGLUM06G17880 transcript:OGLUM06G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEGAAAAAARIRLVRCPKCDKFLPELPAYSVYVCGGCGAALQAKKKYSAQGSDNSDNGHVKYLEVLESVAEAPEAMDGATADGRSIPNRISALHSRSVYNHEDNRMARGPSTSTGEATIRNDGREAKYMCIRNVENDDMMKSVRGRGISDISPRSPIDGIPPTSYQAESLVNYQLQSKYRFSNREHANDRDLDGPSRVRGLEKDRAELLRMLDELRDQVQQSCEVTDAPSRSATTNRPADASSSHGAHDQPNQLRHDPSVLHWNGSHHSPSLNVQSPNIPQVHAPLPTRQNLHGYAEPIPHARASSYHAGAGYPCRNVDNFFFGHHDPDPLLSCHHEGLYHQPVCSCFNCYHREFLPVQGTPLGFTDQRAPYLMNSYGAYPVEGPLYGQQRYTSRGTNTSLQRNHLRTNVRKKPAQTCEPIAGGAPFTICYNCYEVLRIPMKHSLLGKEYKLMCGSCSHAILVNLDGSRLNVSEPAPGINLSAALQNGIGDSMRNNGHANADERLLPQYCFSNGSHESQEKDLESNSSESDSKHTPLGTDSENTPQSRDLPSEANVISHVPSLPHHDRCGFSPSEDSGKGSRSTHSEHEKAILFTESCKRNSIKDVCVANETQSPVDEFDDTLYAQDMLNLPQNVGHTRSTKAGDSFLTNLIKRSFKMNNGTRNGRARVFVNGFPISDRAVRKAEKLAGEICPGDYWYDYRAGFWGVMGRPCLGMIPPYIPEFNYPMPKNCGGGNSGIFINGRELHQKDLDLLVSRGLSDSPGRSYIVENSGKVSDEVSGEELYGLGKLAPT >OGLUM06G17890.1 pep chromosome:ALNU02000000:6:19622062:19625632:1 gene:OGLUM06G17890 transcript:OGLUM06G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEPPAPQQRKAAGGGGLKLLLSVLLVGLALRLLVNPSAYLLLSSSSTTTTAAVAPGQGDDAVLAGGGSLPSNGSCDLFHGKWVPDSSGPDYTNNSCRFIETPQNCMTNGRPDSGYLYWRWKPYGCEMSRFEGEKFLEVMRGKHWALIGDSILRNHVQSLLCLLAKVEEPTQVYHDKTFKSRKWHFALHNITVSLIWAPFLVEAEIFEDDDGISTSELQLHLDILDSNWTRQWNSFDYVVISTGQWFPKTAVYWENGAVTGCHYCQDKSVAELTFEYAFRKSLRKTFQFITSSPHKPVVFYRTWSPSHFENGEWSSGGTCKRTVPFKPGETGDRESDMKMWRIEREEFAKAVVHDRHDNAGRLKLLDTFELSLQRPDGHPGPYRTYHPFEKATSAKVQNDCLHWCLPGPIDAWNDIIMQMLAIN >OGLUM06G17900.1 pep chromosome:ALNU02000000:6:19623218:19628744:-1 gene:OGLUM06G17900 transcript:OGLUM06G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEKPTPPPPPPPHPPAPEAVPRVRGFGGGPPGGGGGGCYPNPPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLGWLVKGSNPLPSRAEHHASNAKEEEG >OGLUM06G17910.1 pep chromosome:ALNU02000000:6:19628509:19629586:1 gene:OGLUM06G17910 transcript:OGLUM06G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPQAWEYHLLYCRTANRHCSRSVAASGIAASGGLGWMRRRRRRRGGLLLARHYTPGMIPQILRLGSRASARATGEGSVACGGIGAAESGCPLRRRTAAAAAFFTPPSQHHRPYELIINRSCYELEPQLFDFPTGLFRKPTIPVGILPPTNLVTDDDEPLPTAPRSCNGSMPSLVGEPVD >OGLUM06G17920.1 pep chromosome:ALNU02000000:6:19634149:19636474:-1 gene:OGLUM06G17920 transcript:OGLUM06G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERAKQTREPSALISYGSMKIIFRLNPPLQTLLLTFSIRTPPVASRLVGIFPALRLFHIGLHPGNRRRAEESDEEWRDTASNERRAARTRHGARGQAKRGSNIVSEGFVKSMEQDLKRLVVEKYGGPNQPEQRLPTIYRVPGEMKRRYEEGNGYSYLPVAVQIGLLRYPRQQSRDEDYRVLELYKWRCVRSLIGRHHLLQEPTRTPELLRRCLSAINGFLPRILASYNFDAEALDVGQRHVVLGTMLLDGCFILRRLLKFARIASEEQSGAKASSSSSRSGTGSASSGGQDDDEDRAVLFGRCWVWSFVTCDLLLLENQIPFCVVQKLFHQLRTRTDADDTSDVLVAGALRLFSSLRPRKLYSSPISCRDVHVPYAAAAPDDDPSEHLVPPSELPQWIPCARELEEAGVTFRPRKDATSFLDVRFAGHCGVLEIPELQLYDYSEPLFRNLIAFEQTYPYKRGHVTAYAVFMDCLVTSPEDMRLLHLSGVLVNHMNRDRDPTGFFSWLCSEAHLAADRNYLAGVIGEVNRYRRSRWPRWRAALVRNYFSNPWVATSLAAAVILLALTMMQSFFAAYAYFKPPKQ >OGLUM06G17930.1 pep chromosome:ALNU02000000:6:19641301:19645349:1 gene:OGLUM06G17930 transcript:OGLUM06G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAEAMGSLVPKLTELLKEEYKLQTGVREKINFLRSELEDMHAALRKVGSMHPDLLDEQVRIWASKVRELSYDMEDVIDAFLVRVDGRVPANPGCLKRLVHMMCGLFTKIKISGVVQDINTKLEEVSKARERYIASDLQANLAATQSSTHDPRILLSNTDAAKLVGIDGPRNDIIKILSLEGDNLPLGKMIKVSIVGSGGMGKTTLARAVYDSVKGKFQCSAFVPVGQNQDLKRVFMDVLNDLDKEKFDNIHSTKKDVRLLMNEVYDFLENKRYIIVIDDIWKFDAWDMIAKVLGDSSCGSRVIITTRISEIAEEVGHVYEIKQLSDVDSRRLLHRRILSGEDQCPDDDYDLEEVCDEILRKCEGVPLAIVTTSSLLESKPREDWSELYRSIALGAKDNRHDYLIGKQTLIWRWIGEGFVCKEEGRDLYEVGEGYFNELVKRRMIMPIEAENEDYILGCRVHDMVLGLIRSLSSSENFVTVLGIEQYNTSHQGYGRRIAILSSKNGLPPVVNLGTAQSQVTIRSFSANGLSYIDVLPTPAKFEAVRVLALQNCESLQDLHGLEHIGSLLHLRYLALTYTPLSELPNGIAGRLRFLETLDLWGTGIEQVPSSVGKLTQLLCLQADIKTRVPDWIGNLTSLQELWMWPDAAAAGQFVKELGKLEDLRVLCTQFEGAPATDIIESLGSLRKIQKMHAFDRWAAVGQCVPWEQGFVTPQCLQFLCLRCLTFTSLPPWINPSLLPNLSNLSLSLQVLRQVDMEILGRLRMLRRLDLFAMGNAIIRIGIHDTLLFRKLRFCTMATTSIRFVPPPAASAAVTTPVSVMPCLELLHFSLDVHFFKDRKIAFDIGLEHLPSSLHTVNVFVLCHLAKEEDVKEAEAALLHSVSIHPSRPALQLERLAEDKMLPSHLKDDQ >OGLUM06G17940.1 pep chromosome:ALNU02000000:6:19645814:19647880:-1 gene:OGLUM06G17940 transcript:OGLUM06G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVMHIWPILPGKLTLSQRRGPLNSSSASLARHLSSLSASPVSPHAAGRRRQPQSPLALRRLKDQRFKALRWYGEPGEAHATSASASAPTGAGGRASCARVRTGPPGDGGGGYPNPPDAAIPDAATLREQWRFAVRQYSRWYSHAWGTAILAGAAFFGLHWLVKGSNPLPSRAEPHASNAKEEGLPASHPRV >OGLUM06G17950.1 pep chromosome:ALNU02000000:6:19652108:19652701:-1 gene:OGLUM06G17950 transcript:OGLUM06G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVVEAAAAADGRHHHKAVVAADGGGGGGAGTAALVWDCGSALYDSYELTSFRRQLDAAVLSCGGRSLSMPHLPSSSSTQQQQMPAGRRRRRRRRRLPAMLRRLFGKVLLRLRFPVASRAARGGWYDYGAHGDGRPGSPWSGALTSIPEESASPENGPSSSPLVDDGPSALRKAQSERFVGSKTASSMVQFDVVL >OGLUM06G17960.1 pep chromosome:ALNU02000000:6:19660927:19662107:-1 gene:OGLUM06G17960 transcript:OGLUM06G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGGGVVSIHQSTGAGAGSTREWRWGLQKEERGGGGGLRDWDARDEKLENITAHRIALKSGSGSRSPEYVESGDSSSASENISDDEDDNVAAKNRTSNNAQAKNSKAVHPARRSNRRLKNINTLIDNDKVLDCRWTVYEFLDFIVCSRILYELASVFKDIV >OGLUM06G17970.1 pep chromosome:ALNU02000000:6:19698176:19698643:-1 gene:OGLUM06G17970 transcript:OGLUM06G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGAGGAGGAAVGRMPAGSLQWAQWRLADERCELREEEMEYMRRFHRHEIGSNQCNSFIAKHVRAPLQNFAG >OGLUM06G17980.1 pep chromosome:ALNU02000000:6:19700946:19701796:-1 gene:OGLUM06G17980 transcript:OGLUM06G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDYSSTLIIHLEVIDGQLVTLVIESFVVDIPEGNTKDEICYFTENLLKFNLRTLRV >OGLUM06G17990.1 pep chromosome:ALNU02000000:6:19704827:19708495:1 gene:OGLUM06G17990 transcript:OGLUM06G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDALCDQLLLVDSDGGEFIPHHADADADADDLFTILETWEDCANVVAGGAPATTTTLGSPIAAAACISGVVGGQNHQQLPEPAAAKTVPATNNKRREEEVADRDGHGDDDDGSPQKRHKCCSPESSTTDVAAATTPKTAHIAVERNRRKQMNENLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLHSLEAKKQRKVYTDQVLSPRPPATVAASCCSPRPPPLSPRLPPQLLKSTPPLSPRLAVPISPRTPPTPGSPYRLLRLPPPPPPASGSNYASPAMTPTHHETAAPSLDAIAAELSAYASRQALGGGLLLPDVKVEFAGANLVLKTVSQRSQGQAVKIIAALEGRSLEILHAKISTVDDTAVNSFTVKYIFGQIGIECELSAEELVQVIQQTFT >OGLUM06G18000.1 pep chromosome:ALNU02000000:6:19710029:19710418:-1 gene:OGLUM06G18000 transcript:OGLUM06G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTISAPAPAQPQVLLSLLQPNRTPVLQRLCLAALPGCTELLSYALRGLICRSDDLLQCAADAAASRREAAGGVSSIPKGGAVAKRKTMCDITNLRLTSAAVEQGGTVCAVDAGMEGITRLVKDLISL >OGLUM06G18010.1 pep chromosome:ALNU02000000:6:19711953:19712482:-1 gene:OGLUM06G18010 transcript:OGLUM06G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGERALRLDVVMNSRRQQRLSIWQHAATALYRPSNPGHAFIVGPSHSLSCLLAARHRIVALKAPWWLPLPPESYPGLPLHR >OGLUM06G18020.1 pep chromosome:ALNU02000000:6:19718405:19719146:1 gene:OGLUM06G18020 transcript:OGLUM06G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMNGRRILTKNGRNILDFRSSLKAWKAHRGCKTAIVGARPKRIAHMVRSQSPICHTAIACHLRSASCPCPRLLPRGNQTFTFSDGAETGPLPCPFGSFLVLPWI >OGLUM06G18030.1 pep chromosome:ALNU02000000:6:19719662:19727962:-1 gene:OGLUM06G18030 transcript:OGLUM06G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGCTGGAGGVAAGRLPAVSLQQAQWKLVDERCELREEEMEYVRWFHRYELVATSATPSLPSTSGCPSKLFDQPQIYKPFVRKCVMRGNVETGSVREIIVQSGLPATRSIERLEFLDDNEHILHVKFIGGDHMLKNYSSTLTMHSEVIDSQPGTVVIESFVVDIPEENTKEDICYFVENLLRCNLRTLADVSEESLASPC >OGLUM06G18040.1 pep chromosome:ALNU02000000:6:19736591:19744658:-1 gene:OGLUM06G18040 transcript:OGLUM06G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSYGGRRGNDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSPRGRRGRGGRMSGVSRRGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKDLVKSPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >OGLUM06G18040.2 pep chromosome:ALNU02000000:6:19736591:19744658:-1 gene:OGLUM06G18040 transcript:OGLUM06G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSYGGRRGNDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSPRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKDLVKSPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSYLSQTRFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >OGLUM06G18040.3 pep chromosome:ALNU02000000:6:19736591:19744658:-1 gene:OGLUM06G18040 transcript:OGLUM06G18040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHHHLLGLLRRATASPTPASRRAGPPLPGLLQDPLRNGAAAAGPRFFSSRAGPGAGAAAKSLIEDEAELSDWISDLKTDSFHLGLSSGDEGEASTRGPAASRGGRRGRDSRGPPPRSRFGGGDFGGDRRGFERRGRMVSNNELGDDDDDEDEVGFGSARGRRDRGGRQSEFSYGGRRGNDFDDDGGGFRSTRGQRGRGGRLANVSRRGYDFDDEPGFQSPKGQRGQGGRYSDLDDDEGGFGSPRGRRGRGGRMSGVSRRGGRGSDLDDSEDDEYSRGSSPRGRRGRGGRMSGVSRKGGRGSDLDDSEDDENDSIDSRGRRRDHGTRGRNVGSLGPRRGGRGGDADFSDRRSRGGKMFDFGLSEDDSELGEVDEDDGPSGFEDDLFDDEGGEKDLVKSPAKNSAPFESIKGEPVDQEGVVHTRESGGGDSFDECSLSPLTLKGVKAAGYERMTAVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPAIEVVSKLPPIDRDQKRPPISVVVVCPTRELADQAAAEANKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLKDHMENTPGFATRLMGVKVLILDEADRLLDMGFRTDIERIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHVVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQMGVPTDREQYIHRLGRTGRRGNEGSGILLLAPWEEYFLRSIKDLPITEATLPLIDLDTKRKVEKALAHVEVKDKELAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSLGLNNPPAVPKLVLRKMGLNNIPVYATTLGTLYKVKVRRQNMQEALSIHYL >OGLUM06G18050.1 pep chromosome:ALNU02000000:6:19744905:19758521:-1 gene:OGLUM06G18050 transcript:OGLUM06G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAAHTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLQAAVSASASASAMRRHHLLGLLRRAAASSTSAASSRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSIFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPDIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSKVEY >OGLUM06G18050.2 pep chromosome:ALNU02000000:6:19744905:19758521:-1 gene:OGLUM06G18050 transcript:OGLUM06G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAAHTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLQAAVSASASASAMRRHHLLGLLRRAAASSTSAASSRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSIFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKSTTSISSDLYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPDIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSKVEY >OGLUM06G18050.3 pep chromosome:ALNU02000000:6:19747028:19758521:-1 gene:OGLUM06G18050 transcript:OGLUM06G18050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPPSPPTHRCAAREGRSGGGGLAGALLRRMARAAGLRALVGIEAAGRGRRVAASPSPGGTPAASRGLPGWPGFCGVGCGSSSSSSFAPPRMQARRAAGSAAHTRSPSQSNGWITGGSASEDGRLSWGYSSFKGRRPSMEDRFSIKMTTINEQTVSLFGVFDGHGGSLAAEYLKEHLFENLVNHPELLRDTKLAISQTFLKTDADFLESVSSNPFRDDGSTAVTAILVGNHLYVGNVGDSRVVALKAGKAVPLSEDHKPNRKDEQKRIEDAGGIVVFDDTWRVNGLLAMSRAFGNRALKHYVKAEPDIQEKVVDESLEYLILATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVAHSRLTLDNITCIVLQAAVSASASASAMRRHHLLGLLRRAAASSTSAASSRAGPHPSLHAPGPLRNGGSAPRFFSSRGGAGAASKGLGDDEVELYSLLLGVSIGDEGEASSRGPAASRGGRRGRNSKRQPPRSIFDGDGVGCSKDGKLSWGYSSFQGRRPSMEDRLSIKSTTSISSDLYRDDGSTAVAAILIGNRLYVANVGDSRAVALKAGKAVPLSEDHKPNKKDERKRIEDAGGIVVSDDIWRVDGILAVSRAFGNRLMKRYVKAEPDIQEKVVDEGLEYLVLATDGLWDVMRNEDAVSLLKAQDGPKAAAMKLTEVARSRLTLDNVTCIVLQFHHGKSTNSK >OGLUM06G18060.1 pep chromosome:ALNU02000000:6:19760962:19769571:1 gene:OGLUM06G18060 transcript:OGLUM06G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSALHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINENNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGEKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLQESVPARLLQKPAEPNFAAEEQ >OGLUM06G18060.2 pep chromosome:ALNU02000000:6:19762117:19769571:1 gene:OGLUM06G18060 transcript:OGLUM06G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGREDKYVRFEDWRSEQSVMSPRRHNALSSLKERTAGVFAFLGNLVHSETLKRSALHERKLTTRTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINENNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSLTTFGRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLGGSEVTKAKNILMFIVICQYVPRLIRIRPLYLQITRSAGVITETPWAGAVLNLLIYLLASHVLGALWYLLSIERKDACWRDMCSNNSTVCNQAYLYCGEKENSILRTACLPIDSNDIDPNFGIYVPALNNVSQSTNFLAKLFYCVWWGLQNLSSLGQNLKTSTYAWENLFAVFVSISGLVLFALLIGNVQTYLQSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVPMFENMDDQLLNALCDRLKPVLYTEGSCIIREEDPVNEMLFIMRGNLMSMTTNGGRTGFFNSDVLKGGDFCGEELLTWALDPTSVSSLPSSTRTVKTMSEVEAFALRAEDLKFVATQFRRLHSKQLQHTFRFYSQHWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLQFAIVNDGATTLSFRAAIYASRFAGNMMRILRRNATRKARLQESVPARLLQKPAEPNFAAEEQ >OGLUM06G18070.1 pep chromosome:ALNU02000000:6:19797694:19803351:1 gene:OGLUM06G18070 transcript:OGLUM06G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRLGLSREAKRGTVGTPFRPPLSISTSTALPVPPRTSTTTSTSAVRTPPASQSQPRRGGGPNSSPTPPSNLRAPPPRFEDWRSKQSVMSLRRHNALSSLKERTAGIFAFLGNLVHSETLERSVLHEMKLTTGTLHPQGPFLQSWNKIFVLSCIFAVSVDPLFFYIPVINENNTCWYLDKKLEITASVLRFFTDIFYILHIIFQFRTGYIASSPTTFNRGVLVEDRYAIAKRYLSTYFLIDVFAVLPLPQVVILVVLPNLRSSEVAKAKNILMFIVLCQYVPRLIRIRPLYLQITRSAGVITETPWPGAVLILLIYLLASHVLGALWYLLSIERKDACWRDVCRNNSTGCNQAYLYCGDKENIFLQTACLPINSNNIDPNFGIYVPALNNVSQSTDFLAKLFYCVCWGLQNLSSRGQNLKTSTYAWENLFAVFVSISGLVLFALLIANVQTYLKSAHLREEEMRVKSRDTDQWMSYRLLPENLKERIRRHEKYRWHQTSGVDEELLLMNLPKDLRRAIKRHLCLSLLMRVCSHMLLLQSNLL >OGLUM06G18080.1 pep chromosome:ALNU02000000:6:19830151:19831925:-1 gene:OGLUM06G18080 transcript:OGLUM06G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGAGGAVAGKLPMVSHRRVQWKLADKRCELREEEMEYIRQFHCHEPSSNQCTSFVAKHIKAPLQTVWSLVRRFDQPQLFKPFVRKCVMRENIIATGCVREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTIHSEVIDGQPGTLVVESFVVDIPEGNTKDDICYFIENVLRCNLMTLADVSKERLANP >OGLUM06G18090.1 pep chromosome:ALNU02000000:6:19833023:19842231:-1 gene:OGLUM06G18090 transcript:OGLUM06G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIQVRLPSTHYCHLTVHRCLADPSTTVAICFFELFAGLCRGLKILVTSSGLCLKDMSNAPSAKKYK >OGLUM06G18100.1 pep chromosome:ALNU02000000:6:19847401:19848051:-1 gene:OGLUM06G18100 transcript:OGLUM06G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHTEVTARSSTLLDGSAVGSACEEGPSMHKHNTDEKEVEIKEIFFGRENDKRSSESKGAAGCWQKQLLSMVALLWHSKIKLRNSKPAVKKLSQVKF >OGLUM06G18110.1 pep chromosome:ALNU02000000:6:19852033:19856195:1 gene:OGLUM06G18110 transcript:OGLUM06G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTLRPTCNAPHPGPLDPMWVARGHRMPLLGGRHPAYWVFDEEVELAYFLICSHSLNNMRVEGLQVSETLWTSKEPTLNTNLPNTSSINVFSHYTLSEKRSHKAHQPVSTAPAGSLPATAPPAPLVAPIITVNMEALAMLPHISIKKQLKARTTIFVKWREYLVLIKEKRVRQAIDLVTNKGDIINFSSRREPFEDVTSA >OGLUM06G18120.1 pep chromosome:ALNU02000000:6:19857159:19858916:-1 gene:OGLUM06G18120 transcript:OGLUM06G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVGGAGGAAAGKLPMVSHRRVQWRLADERCELREEEMEYIRRFHRHEPSSNQCTSFAAKHIKAPLHTVWSLVRRFDQPQLFKPFVRNCVMRENIIATGCIREVNVQSGLPATRSTERLELLDDNEHILKVKFIGGDHMLKNYSSILTVHSEVIDGQLGTLVVESFMVDVPEGNTKDDISYFIENVLRCNLRTLADVSEERLANP >OGLUM06G18130.1 pep chromosome:ALNU02000000:6:19863097:19863542:1 gene:OGLUM06G18130 transcript:OGLUM06G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLKKQMATVVEGSARQRCTFEWNTSDIEMAGRWRMLIMLLWRRRRGTRKRKESTWGHYTGRALQTSSQWMRNCAGEVEPFKDRVFSAQLPAFLTPPAMMLAINRVS >OGLUM06G18140.1 pep chromosome:ALNU02000000:6:19867026:19873838:1 gene:OGLUM06G18140 transcript:OGLUM06G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGAKDGSAVAAQTRGSGDDGSHKPLPPCCLKAKAAAAESEAKCHATVVSGWFTEPRSHSGKTSKVQYFNNPMWPGEAHSLKVENILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTEKDECAYQEMVTHLPLCSIPSPKSVLVVGGGDGGVLREIARHASVENIDICEIDQLVIDVCKDFFPQLSVGFKDPRVQLHVGDAVDFLRNAPEGKYDAIIVDSSDPIGPAQELVEKPFFQTIARALKPGGVLCNQAESMWLHTHLIQDMLSICRETFKGAVHYAWTSVPTYPSGVIGFLLCAKEGPAVNFLSPVNPIEKLEGAMEAGREIRFYNSEVHRAAFVLPTFVRRELESHNTCAEKDKSETKPVAKPKKMKIMPNSAIPTAS >OGLUM06G18150.1 pep chromosome:ALNU02000000:6:19872830:19874287:-1 gene:OGLUM06G18150 transcript:OGLUM06G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAF2] MKKKVCVVGGGLVGLAAARELQREGHDVSVLEQRGGVGGQWLYDHTAAIDGADPLGVAGVQSSVYASLRLITPREVTGFSDFPFSPTTVAGGGDARRFPSHAEFLRYLRDFCDAFGLMDVVRLSTRVLRVAADRDGWAVRSRRGEVETEEVFDAVVVAVGSYTQPRLPSIDGMEAWPGRQLHSHSYRVPDSFRGEVVVVVGCGFSGKDIALELRRIAREVHLSVRSTEEAMASPAMSKMLARYGNLHLRPQIARLCEEEDGAVVAFADGSRVAADTVVYCTGYSYSYPFLDTGGKVTVDDDNRVGPLFEHVFPPELAPSLSFLGIPNMVTTRFFEAQARWVAQVLSGRRALPPAGEMLRAAEEHARAMDAAGVARRRAHDVPDLGEEFCERSCGFPRLEEWEKELIWTSITAMRDDLESFRDDFRVTDLVADGLRRHGWILAPAPEPEPLRQEDVVAGDGEGEGVQPVQANQAAVASPLGFINSA >OGLUM06G18160.1 pep chromosome:ALNU02000000:6:19889530:19892738:1 gene:OGLUM06G18160 transcript:OGLUM06G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKLRPNTAFPHETPFPHTDKKVPMANLNSYHLATWADSAKTRNPTGPGSSHENMWLHFLDGKSHTSVSQGKGQGAAAPPQAWACPAMAAWRLRHSDVGGVLWQRRAAAATSSGVGKRRRRRIRWCSTELRDDVEMTHQRRAADAGVEERGKRRGRKRGSASGLYQRLRCGRKTVTMTARLPAATAHGGDGGGFGKKGEKGVVADGIYRGGSS >OGLUM06G18180.1 pep chromosome:ALNU02000000:6:19911918:19919317:1 gene:OGLUM06G18180 transcript:OGLUM06G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSGGGGGAGAFEDEAEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPAGVAGVCTACSLACHDGHEVVELWTKRKFRCDCGNSKFGSHVCKLCPEKDPENPANSYNHNFKGSYCTCGRPYPDPEAEKQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICPKCSPKCYFLKLYPDTIWASNKQSSAPQAETTNSTVMNGNSSLGDIEKSENGALINHLNCEKTSDNENCPKDSVAPEKASLDDSSDGKCKLGMNISSNTPSADSEKKMPFFMSKSWREVICRCETCTDFYAQQGVAYLIDKEDSIEEYEKVAKQKREKKLEQQEGVEANFLNSLDHVQKIEILSGINDMKNELQSFLETFDSSKPVTSEDIRAVFENLAKKKKQRLS >OGLUM06G18190.1 pep chromosome:ALNU02000000:6:19927400:19928044:1 gene:OGLUM06G18190 transcript:OGLUM06G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKPRAKEIKTDTTFITPHIISKPKRNQELGRSRYTQRAMSCRTNPSATAGSWRLWKRRSGHMHRRRP >OGLUM06G18200.1 pep chromosome:ALNU02000000:6:19929098:19930586:1 gene:OGLUM06G18200 transcript:OGLUM06G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSGGGGGAGAFEDEAEPTVTIGEYIEGIEAEELEADLVLGGDDGKECTYGGGYLKRQAVFSCLTCVPAGVAGVCTACSLACHDGHEWFE >OGLUM06G18210.1 pep chromosome:ALNU02000000:6:19943149:19943607:1 gene:OGLUM06G18210 transcript:OGLUM06G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLNPASKARRHGGMPPPEDMDEEEGWCYATPEPASATATATTTIGHLLREEEPAEEEDEEETAASAAAAAAAAAGVKVKVVLKRAELEWLMSQLKTGDRRLEDVLNQMATARALSSALSAAPPPPPHRAGDGWRPRLECILECHELAAT >OGLUM06G18220.1 pep chromosome:ALNU02000000:6:19969653:19978604:1 gene:OGLUM06G18220 transcript:OGLUM06G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein [Source:Projected from Arabidopsis thaliana (AT3G12685) TAIR;Acc:AT3G12685] MAMAQSLLGVPFSRLLPSSSSSSPPTPTTIPPPPLPSSSWSPPSRRRRRAVAAASSLHLAPEDIAELVRNKKVLIAATAASAVGQLSKPFTSSGKDGAAGAFDLRAAVRSGGMPSTHSAAVVAVATSLGLERGFADSIFGMSVVFAAIVMYDAQGVRREVGNHARVLNKLLTLREKITQNPDSNSLSSSTSELHSSKPETVAELVSVAEKLGSSQGSSVNPFPIHSSGTKSSRLNALQSSETEVTEFTQLKEAYTEECDRLSESVGHTELQVAAGGLLGFLVTLVVYATL >OGLUM06G18230.1 pep chromosome:ALNU02000000:6:19981864:19983668:-1 gene:OGLUM06G18230 transcript:OGLUM06G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGVLSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVDVQEDGWVVCRVFMKKSYQRGLNPADMAAVDDDDLLLHHHHPFPPAQLHGGAADHKHDGAGGHHHHHLMQPHHHYDDFPSFDPSMQLPQLMSADQPPPPPPSLLPGRLPLQYLGCEAADLLRFSK >OGLUM06G18230.2 pep chromosome:ALNU02000000:6:19981866:19983668:-1 gene:OGLUM06G18230 transcript:OGLUM06G18230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGGVLSVPPGFRFHPTDEELLYYYLRKKVAYEAIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLANACRIGMRKTLVFYVGRAPHGKKTDWIMHEYRLDQDNVDVQEDGWVVCRVFMKKSYQRGLNPADMAAVDDDDLLLHHHHPFPPAQLHGGAADHKHDGAGGHHHHHLMQPHHHYDDFPSFDPSMQLPQLMSADQPPPPPPSLLPGVPPSAAAALSSLDVECPQNLMKLTSAAAGGGATGLLHAGGDHRFATAATDWSILDKLLASHQNLDQLFQGRVIAGASSPAAMAAPSHHQHLMDQLAGGGGGAASSLQRLPLQYLGCEAADLLRFSK >OGLUM06G18240.1 pep chromosome:ALNU02000000:6:20034727:20036131:-1 gene:OGLUM06G18240 transcript:OGLUM06G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWESRLPAEMDSPRWFAARALTLTVVREHMDLPGPRWKKGKDGKDFASLAAANPMSAIVSEFKASFISSKPVAILSGPGGSAVLGVGPEQAVILNRAAFGHAIENATAQKHWFQLSPEEVFYLCHALNCIRVDSLDNKQMSEIELWDYFRSGSESFPEMYKAYAHLRLKNWVVRSGLQYGADFVAYRHHPALVHSEFAVVVVPEGAEFGNRCGRLEVWSDLLCALRASGSVAKTLLVLTISSSSKCELSSPDCLEQLVVHERTITRWIPQQCREQRCEPSRDEVNREELIIEKESVVFNHWGVILGFTVLSGLLVYRLKFRQ >OGLUM06G18250.1 pep chromosome:ALNU02000000:6:20050821:20052433:1 gene:OGLUM06G18250 transcript:OGLUM06G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNVACTCIVMERRIGVEARVAACRSDLGMGLQEGAPKPRSAAFWDVTPNRHMPLVFAYYYLTFPNSIEG >OGLUM06G18260.1 pep chromosome:ALNU02000000:6:20067679:20073266:1 gene:OGLUM06G18260 transcript:OGLUM06G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFALTRSSAMKTSLCMLLCILVASELVGVPRCAAALGINYGQVGNNLPSPAQVVSLLASLRIGKVRIYDVNPQVLAAFAGTGIELIVTVPNDLVRPMAASPGEALQWVSSSVRPYFPATRVTGIAVGNEVLTDDDEALKAGLVPAMRNLHAALAQLGMDGYVHVSTASSLAVLATSYPPSQGAFTAEVAPLMAQFLRFLAETNAPFWINAYPYFAYKGDPTRVSLDYALSNPYHVGAIDPYTRLQYTSMLYAQVDAVAYATSQLGYNNIPVYVSETGWPSKGDTDEVGATVENARAYNRNLLLRQAAGEGTPLRPRQRLEVYLFALFNENMKPGPTSERNYGLYQPDGRTMVYNVGLVQQSTSAASLSLAASPATRTDAKKNFAGLYFLSSLAILQCPNASSTLRKSFWHKQNGLTIVDQKPLQDQRGDAPFQVGKRKIKGSSCCQEEKLEEATLGCGRHYLGDGTEL >OGLUM06G18270.1 pep chromosome:ALNU02000000:6:20079072:20083649:-1 gene:OGLUM06G18270 transcript:OGLUM06G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVPIASGTEPMEAVITVDVLRRAGADVSVASVDPGSAQVGGAWGVKLAADALLDDLADAEFDLISLPGGMPGSSNLRDCKLLENMVKKHAGKGKLYAAICAAPAVALGSWGLLNGLKATCYPSFMDKLPSEVNAVESRVQIDGNCVTSRGPGTAMEYSVVLVEQLYGKEKADEVAGPMLMLAFNLVLTSFKVMRPQHGVEFSLKELNSTSWNVGETPQILVPIANGTEEMEATMIINILRRAKANVVVASLEETLEIVASRKVKMVADVLLDDALKQQYDLILLPGGLGGAQAYAKSDKLIGLIKKQAEANKLYGAICASPAIALEPHGLLKGKKATSFPGMWNKLSDQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERAVELAKTMVFM >OGLUM06G18280.1 pep chromosome:ALNU02000000:6:20088128:20089455:1 gene:OGLUM06G18280 transcript:OGLUM06G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTALLSRAAGVLVLVVVVAAAAAAPRCDLFQGRWAADESYPLYDASRCPFVPDVFDCRRNGRPDAAYLNLRWFPSSCRLPRFDGVELLRRWRGKTVLFVGDSLSMNQWASLACMLHAAVPADGRVSFTSGEPVSSVRFLDYGVSVVLYYSRFLVDVVDDEPGLGRVLKLDSMRDAAAWLGADVLVFNTWHWWTYRGASQVWDYVQEGNKTYRDMDRLTAFSKGLSTWARWVDANIDASRTKVFYQGISPSHYYTSSSSSSNDDGDGEVAPAPAPSAADGGCYRQTRPLQESTTADGGGGALLPEQVVVRGVVGSMATAVSLLDVTRMSQLRIDAHPSVYGGPGREGMDCTHWCIAGLPDAWNHIMYAMLLTQQRT >OGLUM06G18290.1 pep chromosome:ALNU02000000:6:20093802:20096621:-1 gene:OGLUM06G18290 transcript:OGLUM06G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRRRGGAGAWVAAVLAAALQVLAVSAAGKYRAVFNFGDSLVDAGNLVTDGIPDYLATARPPYGQTYFGYPTGRCSDGRLVVDFIAQEFGLPLLPPSKAKNASFARGANFAITGATALDTDFFERRGLGKTVWNSGSLFTQIQWLRDIKPSFCSSTQDCKDFFAKSLFVVGEFGGNDYNAPLFAGKDLREAYNLMPHVVQGISDGVEQLIAEGARDLIVPGVMPSGCFPVYLTMYKEPKEGYGSRSGCLKRFNTFSWVHNSMLKRALAKLRAKHPGVRIIYGDYFTPVVQFLLQPEKFGFYKQLPRACCGAPGTGPYNFNLTAKCGEPGATACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQNS >OGLUM06G18300.1 pep chromosome:ALNU02000000:6:20096884:20108979:1 gene:OGLUM06G18300 transcript:OGLUM06G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSGVRGVECDAGETEEGGKNGAGPLVAFVRSAARRTCQPAPSKASLPASDASSRLHLRAPAVGKAHENRWRRLSARPACQPILRFSFSQA >OGLUM06G18310.1 pep chromosome:ALNU02000000:6:20114004:20117546:-1 gene:OGLUM06G18310 transcript:OGLUM06G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIASSCRHTQTAAAMAPGSRCSRRQQLLVAVAVVLLLAAAPTGCSAARSKKSYEAIFSFGDSLSDAGNLIADGIPKSLTTARAPYGMTFFGRPTGRCSNGRLVVDFLAEHFGLPLPPASKAHGADFSKGANFAITGATALEYSFFKQHGIDQRIWNTGSINTQIGWLQDMKPSLCKSDQECKDYFGKSLFVVGEFGGNDYNAPLFSGVAFSEVKTYVPLVAKAIANGVEKLIELGAKDLLVPGVLPIGCFPLYLTLYNTSSKADYNARTGCLRRYNRLAFHHNRELKQQLDELQKKYPGTKIMYGDYFKAAMQFVVSPGNFGFSSAMQACCGAGGQGNYNFNLKKKCGEEGASVCSNPSSYVSWDGIHMTEAAYRYVANGWLNGPYAEPPILK >OGLUM06G18320.1 pep chromosome:ALNU02000000:6:20169392:20169739:-1 gene:OGLUM06G18320 transcript:OGLUM06G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAVVMALFLACTLDRAWTPAGAARTMTMMMVQRGEVSAVVAVNGGGGGGGGGGNNGGAQPEQRKEFVGMAAFTRSLAVAPPPPPPSVHGDREVPSGPDPIHHGASPSSASP >OGLUM06G18330.1 pep chromosome:ALNU02000000:6:20173241:20174122:-1 gene:OGLUM06G18330 transcript:OGLUM06G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLSEGPCIAPRARGDTGGNSSSPATTPPHLLLPRLAAAQACTENYTAARAAAAGHSPLSMEASEVRAHDQYQDDRGHDRGGLELARLIEVWPQQCGASLLDLARERPCGSVGPCAMGQLGGAATFGSCDNDTVASGIDGEQGHSHIDWCLAGGGSLPYSEALDVMGSRWRCHIGRRLAAGALGLWRRGIGTMAVGVGGPFGALRRVRIGRRNGTGVVEFMCW >OGLUM06G18340.1 pep chromosome:ALNU02000000:6:20210957:20211178:-1 gene:OGLUM06G18340 transcript:OGLUM06G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAMCFFICAVFLLAVTTPGVPRLAGSVPLGRRWLQDSTVVVSGGRLTPAITAAYNGTKRLSPGGPNPQHH >OGLUM06G18350.1 pep chromosome:ALNU02000000:6:20211675:20212206:-1 gene:OGLUM06G18350 transcript:OGLUM06G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCTSVRLALPTGPLQRMWETTVLSFMDVEEKEKSSTTHMPIGLLIRPNADQPSVVCPSHANTHLRPHRQDITPI >OGLUM06G18360.1 pep chromosome:ALNU02000000:6:20240973:20241245:-1 gene:OGLUM06G18360 transcript:OGLUM06G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLALCFCVVLVLVLASSPAPLSDDRRAAGLLGRRGLQQDAIVVDGSPTAAATATTTTTTAWPRPDTPPDNWYDGTKRLSPGGPNPQHH >OGLUM06G18370.1 pep chromosome:ALNU02000000:6:20260849:20262047:-1 gene:OGLUM06G18370 transcript:OGLUM06G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGEEAESVRAFRTRCPLHQEVGSCVLELGLIERWALALRVRKAEEVEDRKGDGPVVICGIEKMEEWKWKRIISMSTSKRTLAE >OGLUM06G18380.1 pep chromosome:ALNU02000000:6:20272920:20273300:-1 gene:OGLUM06G18380 transcript:OGLUM06G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDHRGDKENVPPQSAAAAAARLHGAVAVKKLKLKRLGKERRRVPLRDITNLFLAATAAADSAEAPPRWQPLEGSSERPEAEFPPPPAPAPATATATATATAQSWLAGGVVLKPGRCSLRKEFR >OGLUM06G18390.1 pep chromosome:ALNU02000000:6:20280237:20281025:1 gene:OGLUM06G18390 transcript:OGLUM06G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSASSTLQYTGIGAFVAIVGIVVLAVIFYTRSSARHAAPGAAPDAVTALQGQQQQRGLGLGPDDVSVLPTFTYHAAATASPGRCGLIGRGDAKAAADCCAVCLDELGEGAVVRMLPSCKHYFHATCVDVWLLSRATCPVCRGSPGQEKVRLGLASLSPPLPQLRRCAPSPPKEAAAAAAADTSRANDDDSSAAASRSPSPIRSSTRFDLAAAAIDAAARSPAMSPSPTRPWTPERVARVSRSPSPVTTTATTDLHVVEV >OGLUM06G18400.1 pep chromosome:ALNU02000000:6:20282517:20282763:-1 gene:OGLUM06G18400 transcript:OGLUM06G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGILAPTPPDTGRGMGALPPVPSIYHRLVQAIDARQSLGRCHTTNHAALNAAWVVGTPSVNVPVAPPPPPAQPPRGS >OGLUM06G18410.1 pep chromosome:ALNU02000000:6:20286564:20287610:1 gene:OGLUM06G18410 transcript:OGLUM06G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPSDPSSGGGDGGSAVPGTASSNFTLLYIIIAVLVGVILYMAIRYGRSVMSEWRQLQAGGGGGEPRAALLGLSSDDIDALPTFTYRARGAAASPLVGGGGRRGGGSGKGKGATTVVVECVVCLQELADGDVVRVLPACRHFFHGGCIDLWLRAHSTCPVCRAHPEPDGVRLSDVVAVSPPLPQLRRCGLSPERPTAASRALADILARSPLRGNTTSTTTTTTTGGPITSTSSKSPSSPVQAAIINYVQASRSPSPTAYHSLNERWPSSPTPVVVVRSKSPSPSSPPIGGLSLQTTTAAAARGVGVVEGVDAGATTSASASAPTQVVALSREGGGSRSKSPSPVPH >OGLUM06G18420.1 pep chromosome:ALNU02000000:6:20305712:20306038:-1 gene:OGLUM06G18420 transcript:OGLUM06G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTSSSGGGRSAALVGQELGIGVAQGRDVSQREGQAATMAEEATVATWVCRHRGRYSAEEGANEGTTVVLAVVTGYCIEEEMDVGCNRTHSPC >OGLUM06G18430.1 pep chromosome:ALNU02000000:6:20306485:20317029:1 gene:OGLUM06G18430 transcript:OGLUM06G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPEYEPEPVHDTSACNSEGEDNATGKRREHNNKKMEEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKVMVFFSSCNSVKFHAQLLNFIQIECYDIHGQLKQHQRTSTFFKFHKAEHGILLCTNVAARGLDIPDVDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGSDDRRQFARF >OGLUM06G18430.2 pep chromosome:ALNU02000000:6:20306485:20317029:1 gene:OGLUM06G18430 transcript:OGLUM06G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPIKLCARSPRPSSKKRKRPAAAAATPPEYEPEPVHDTSACNSEGEDNATGKRREHNNKKMEEEKSKRKKKQGEGKKGSGILTDKLFSDLPISDLTANAIRDMNYTHLTEIQARSIPPLMLGSDVMASAKTGSGKTLAFLIPAIELLCRLRFSPRNGTGVIVLCPTRELAIQTHNVAKELMRYHSQTLGYVIGGIDLRGEAEQLAKGINVLVATPGRLLDHMQKTKSFKYECLKCLIIDEADRILEQNFEEQMKQIFKLLPRQGRQTVLFSATQTEKVEDFAKLTFGSKEERQRTLVYVGVDDHESKATVEGLKQGYCVIPSERRFLVLYAFLKKALSEKTKDYIVQYDPPDETKDYIHRVGRTARGDNGKGSAILFLLPKELQLLIHLKSVAASFCFSEPPKVNLDLESSASKHRKKRNVNTGRRHGIGPSNPYGRKGSDDRRQFARF >OGLUM06G18440.1 pep chromosome:ALNU02000000:6:20319086:20319712:-1 gene:OGLUM06G18440 transcript:OGLUM06G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAPVESPWLTMRAMRRVGGADGGGGQRSALMVASYPVLLLLVVLAAFVKYVWIALALYSALLLLFSCASRRLALAERLPSPLGGGGGGGGVAAVTAAEELQGAAARGGVSGETLASIPAFAYDASAHGGGGEAAAQCAVCLEALRGGETARRLPSCAHTFHVACIDMWLGSHATCPVCRRRVERKHKGGVLPPMPPEPETEPPV >OGLUM06G18450.1 pep chromosome:ALNU02000000:6:20321181:20324237:-1 gene:OGLUM06G18450 transcript:OGLUM06G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAVKAKALAEKKMREKDFAGAKRMINKAQNLSKDVDSNISQMLTVCDIHCASATKVNGEIDWYGILQVPVTADDTLIKKQYRKLALLLHPDKNNFAGAEAAFKLVGEANMTLTDRSKRSVYDMKRNASVRIGSARVPYQQSRRTAPVRPTTTPVNLHNVHQSQQHKPSNPSDSQTFWTICPTCGMRYQYYLSILKKALRCQNCLKPFVALDLNEQAVPSGANQRSAGVWKSSGAPQNFPGSQANVGQQAQNSANPVHANFGSHNAHVETKRGADGNEAGGLKNKRKFAKATGNSSKASSVAGSKKRRKAMFESSESSASDTSTDSEEEIIEDGPAASNVGPDQHPRRSSRQKQEVKYNEDSDGDDTDCHGNGDDGFVSSPSLKRLRKGGLFHGGENNETKLNADTTGPGHDGPTNGVNNYNNTEDIERGSACAEQIKRETMSGGGNSAEKEKLSHSVSNNGLESNSDDAPNEVICADSEFFDFNQLRHVNQFKANQIWACYDSQSCMPRYYARITKVKHVPKFMLNFIWLEFDPKNKAEAAWSSGDLPVSCGRFKHGVSDTAKESSMFSHAIFYEKNKTRNSYEIYPRKGEVWALFKGWDIDWSADAEKHKNYEYEVVQVLSDLTSSTSIIVMPLVKIKGFVSLFIQSKEASPYVIPQDDTLRFSHCVPRHTMIGTEKEGIPEGAIELDPAALPLNFGVAFASVVPESCCSVKVQGSGAEHIGSSSGNNCHKGSVDVGESQHATCANTGFATRTTKAEINEHNARSAVEGTDDDEEPDDFAQAEVLYPESEFFEFSEIRSIHKFQPGQIWALYSDVDKFPNYYACIKSVDVKNNELQVRWLDACPQSEEERRLVREDLTVACGTFKISSFHGIQTYNGTEYLSHPVQAKPGRRNEYEIVPCQGDIWAVFKNWRTGWTAKDYKKCDYELVEIFGHTDSSIQVQLLRKVDGYRAVFMPDRREGAVKTIRKDEYPKFSHQIPCFHLTNERGGKLRGFLELDPLSVPEMFLFTESI >OGLUM06G18460.1 pep chromosome:ALNU02000000:6:20345967:20347743:1 gene:OGLUM06G18460 transcript:OGLUM06G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKMKQLQEALVDIETDAEQLLLARHQEALTTLRKRARTTKTSVPSPFEVIMKEMEGTSGKLLVTEICSTCGNHNPKEDTWLISSRASRLRHQEAIQSFVKEKSFVISEKGALGIVKSLVSLTDSSQQVTIHPPKTKYLKNKAVSDVLPQNMGLIFFSLP >OGLUM06G18470.1 pep chromosome:ALNU02000000:6:20352737:20353073:1 gene:OGLUM06G18470 transcript:OGLUM06G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNPHPLLPLLSLSSLSLQQAIGRSGGAQSRQSAPTNPTSSSIGDGFICEAGVDGLAVHQGVRVGCCAARFHTNESCGRGGKWWAPLLGWSGHPDYINTQPARGRRRDH >OGLUM06G18470.2 pep chromosome:ALNU02000000:6:20349933:20352747:1 gene:OGLUM06G18470 transcript:OGLUM06G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWLDLPFTLLTLLLATRLAYDYYGVVAATFTGSFSLQIFLFYCFARWYRHTIAARAAADADGDGGGGAVADEEAAPPVLIPLLEGRGGGGGGAGAASSLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANILVMVVWLSPDAAADPASAAKSFLRLSDDEDEGSGSGSGHGAAEDKCCVCLAGMREAQALRDLPRCGHRFHAKCIGKWLTAHPTCPVCRATAVPPPAPLPAGGDHADDAITPAWKMTRMLFGQ >OGLUM06G18480.1 pep chromosome:ALNU02000000:6:20352356:20353773:-1 gene:OGLUM06G18480 transcript:OGLUM06G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSGWVGLEEVAPRCKRAMAQRAAWALSVEAMRLQIWGFGVAVVAGFRENGGGGRWALVRSEIGSQRDKELGAANTDALVHSQAVDTGLTDKAIPDAGGGGIGGGALPAYSGRRRSVLSPVAGRERIERGEEEEDEGSPTCGAHAREMTLQDFTDHADGEYLFVPK >OGLUM06G18490.1 pep chromosome:ALNU02000000:6:20374396:20375647:-1 gene:OGLUM06G18490 transcript:OGLUM06G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGVETCCGGGGQAAAASASGPPPRGLIVVSAVFLSIFLATFLTLMSLAFCCCRRWRERDAVSGYVDGEGAVVVVAAGESGGGEPFPVEALPPAYAYVVGSSDDGGATAASGVGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKLAAGAPPAPAQQQVDPTDQLPV >OGLUM06G18500.1 pep chromosome:ALNU02000000:6:20378511:20378933:1 gene:OGLUM06G18500 transcript:OGLUM06G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCHISPSPLSSHSLPFSLSWGDTEGVKKCTQAHGGRPPECCEEVSEHSQRRWQTDDADTETPSPRSRLYVFIRASLLLSVFFLAANANGHSHVLVASVDLFHSSWVRFRAAYVSPPLQLLADACVVRFLVQSADCLV >OGLUM06G18510.1 pep chromosome:ALNU02000000:6:20386359:20397097:1 gene:OGLUM06G18510 transcript:OGLUM06G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIPRAEFNYRALVVVLPAGAVVGVMLYLAGARWVLLLLAAVTVLLCLQLSPRDNAVDGAAAAAAAAAGPAQTADLPLQQPAALPREAPAEGDLRGLGASSSPVFVVAVLPAYAWRKKAAGDGDDGDGECAICLGEVRRGQVVKQLPACTHLFHARCIDKWLITSQGTCPVCRTPVDSAAAALQAVRVADQPPTMCCHSHPDLTGLLLLVSCSLHCRRPGQQLSTMARKLRGQAASSVTQNSNGFSNSSLELPSEHHFLAARAGMNGFAPTGYQQPPPPPAASASPGGWIASTAIFMSIFFSTLLLAMAVSVYCCLLCRDRVRSDDDDTGAAAERALGGARGSIIAPFPVEALPAAYAYAAGSSDDGGATATAAASGGGRECAVCLGAVREGEMVRRLPACEHVYHADCIDRWLAAHRTCPLCRRELDPGKNPPDQLPV >OGLUM06G18520.1 pep chromosome:ALNU02000000:6:20408472:20410706:-1 gene:OGLUM06G18520 transcript:OGLUM06G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVTHLPLIPLPLLSLCLLNGGAAAADGGTAATGLGGGGRRGGRRGGRHGGRRSRGEVAAAADGGAAAVGPGGGGQCGGRCGGRCSHGEVTVAANGGRGGRPGYDTEAGACAARWARQRGGSSGDGGDWPGRGSGRWQPPDPATAVAVVVVEAGTSVVASGGCFLINKVAMPASILAKIKEEVAAWVKESR >OGLUM06G18530.1 pep chromosome:ALNU02000000:6:20410729:20411229:1 gene:OGLUM06G18530 transcript:OGLUM06G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPPVAPDENRPPVVKGPAIFSYTCAGLVTGVALVAVVVFYCNRHVRRRAPVVVAGAGGREGDVRGVAGVAAKIPEFAYTGKASGGDGAAQCSVCLGAVQGGEMVRLLPACKHLYHVECIDMWLASHATCPLCRTEVEPPPGDGGGRPAPAADESSPTEALPPV >OGLUM06G18540.1 pep chromosome:ALNU02000000:6:20421943:20422467:1 gene:OGLUM06G18540 transcript:OGLUM06G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSGSTTTSMPPVPQENQSVGKGTAIFSYTCVGLTGVALVAVVVFYCNRHVRRRAPVVAAEGAGSGGREEDVLRGVADVAAKIPEFAYAGSARHGGGGECSVCLGAVQGGEVVRQLPACKHLYHVECIDMWLASHATCPICRTEVEPPPGDDDGHPAPAADESSPTEALSPV >OGLUM06G18550.1 pep chromosome:ALNU02000000:6:20423688:20427474:-1 gene:OGLUM06G18550 transcript:OGLUM06G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGAARNRSVTLLTTVIEESSTTTAATARSIDHANNPKTDAVARHTTDVVACRFLRGSSHSLVVERLWHHRSPPHILFAAPSSPSITRSEKTSIRSTYRCLQVFNGTNDYPTRRPTDKKIGEFSNCHIVYSGIDFQKLQ >OGLUM06G18560.1 pep chromosome:ALNU02000000:6:20429390:20430153:-1 gene:OGLUM06G18560 transcript:OGLUM06G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVL >OGLUM06G18570.1 pep chromosome:ALNU02000000:6:20431305:20433556:1 gene:OGLUM06G18570 transcript:OGLUM06G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVWPPGLPYPFAPPPPRWPFSPPPWRPPPSTPPSRGHDSSSSSGGIVAGVLISLVAALLALAIMCALCQGHRNSSRASAAAAAAAAQSRPPPQMRSGGADGDGGGGGDERQPRRSSANPSAPPWPAALVPAFTYSRSVRRNVSGDDGEEEETAAAAAAAACSVCLGAFQLGETVRLLPACLHLYHAECIDPWLDAHTTCPLCRSDTGDPSPVDAGRMPPVDGTHWRRMNNKVVVFNGCWIRMKNTYGSGQSDNQLMGKANANQPRTRVHISSDKNKKKNLKQNELGTYTSSSNQDIEKTSPVHLCHLKGQRATKARMEGKGNLLLRHPHKT >OGLUM06G18580.1 pep chromosome:ALNU02000000:6:20436394:20436897:-1 gene:OGLUM06G18580 transcript:OGLUM06G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTWMTPRQTAHSVQLAPLPLCRTSRSYVNAGRPEATAVSRRRSSSSSSSDPPAGAVWWWWWHRRASRRYSEKRQNPAAADAVTEQKKRRLSGDISRRMVMMLMMPGEGELKNSGCWLV >OGLUM06G18590.1 pep chromosome:ALNU02000000:6:20436599:20437057:1 gene:OGLUM06G18590 transcript:OGLUM06G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEMSPDNLLFFCSVTASAAAGFCLFSLYRRLARRCHHHHHTAPAGGSEEEEEEERRRLTAVASGLPAFTYDRLVRHSGKGASWTECAVCLGVIHVGAMVKLLPACAHIYHVDCIDLWLSSHPTCPLCRCRVDHPGQGQETARQLAQLSPA >OGLUM06G18600.1 pep chromosome:ALNU02000000:6:20437065:20440565:-1 gene:OGLUM06G18600 transcript:OGLUM06G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGMGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKSSSRQLNFCFALVTW >OGLUM06G18600.2 pep chromosome:ALNU02000000:6:20437000:20440565:-1 gene:OGLUM06G18600 transcript:OGLUM06G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmodesmata callose-binding protein 5 [Source:Projected from Arabidopsis thaliana (AT3G58100) TAIR;Acc:AT3G58100] MPAASSPLPLPLLLLLLLAVAGAGAAGAGAGMGVSGGGQLWCVAKNNADDAALQAAVDWACGPAGGADCRAIQQGGACYDPPDLLAHASYAFNDYFLRAGGAPAAPAACDFSGAAALTALNPSHGSCVFPSSTSPKNGSFTGTTTYGPAGADLSKNMVNSIYAGESWASCLAVSCPCPG >OGLUM06G18610.1 pep chromosome:ALNU02000000:6:20451147:20458666:1 gene:OGLUM06G18610 transcript:OGLUM06G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAK0] MALNAPVLVLKDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVVTEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTRAGLFEVKKIGDEFFTFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNVIRIMTQLQGKHANGENAWVGIDGSSGDIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIEQEGDADNEQMIPE >OGLUM06G18610.2 pep chromosome:ALNU02000000:6:20451047:20455229:1 gene:OGLUM06G18610 transcript:OGLUM06G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAK0] MLKMLLDASGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKHYHPTVICRAYTKALEDALAVLDKIAMPVDVNDRAAMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMFNKDVVAPGKMRRKIVNPRIILLDCPVEYKKGENQTNAELMKEEDWFC >OGLUM06G18620.1 pep chromosome:ALNU02000000:6:20459298:20461308:-1 gene:OGLUM06G18620 transcript:OGLUM06G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDIVQSSCIIISAYKYHHLSLGGITTSNYIVICNTITKTLVIPGTYMVSDKTWYDGQRFALIGGYEQARWCCMLKIGNQDGELVLVQFDIGGVTIFSLMSLLRKGQIDGDVKVDAEHVGVDSHDVQDSQASDERAA >OGLUM06G18630.1 pep chromosome:ALNU02000000:6:20463627:20472968:1 gene:OGLUM06G18630 transcript:OGLUM06G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLQAYVLPPFQGDDMCILPPEVAAAEQAVRDGSAHRVDWAGLIWGLVEKEMQDLPKRDDGLCYYGAYLQRLIWAQKPELFERTEEGERGGEVVLEVSDMDEEDGEDDTDVKSKSMEELESGDADADAKNSNLEKSEAGGADLRSNCLEELVSGDADVRGTSAEELESHVEDKVSKGLEETRAEDVDANHMDLDESEAVDEDAKGKSFGELEMGFVSVEEVSVTHEVMLPNYEEVATEGDGDTAMAAVENDAGSLAETVVMTHEEFVAVPEDDEEEADGDEENDATGLSLGIGSANDYDSTDGEEDANVENLGEGDSGNEEAEESEEDAFGQYRGEDMNWTMGDEKDHGSDFVNLQFDNLNKGDDEIRNEVSYDDGFSGKMGSLHGMTSTNLLQAMSSIPATYNVSENAPDLSSGEFLAMGADAHKNGLDLGTGSSYFFENNGKRHIGEIEEYNDPMPGHEQFDQRNPNKRMRNSNNSSIPPGSSVFNAHFAEPFQSLMSKASMFYEQKERELQDVLVEKQYLANMLQEKEQIIQSLNSARFEQENKWQAELRRFEHDLNVMAQLVTGYRRALKQNRASFDEYRKKFPCDKPRYCDVAGGGGLVLSVKELEKKRLEEVQQKLAIANEMIENFQHEWFSKLDDWARSIHFIWCRTEELIREINLLREKRKATVTNPATEEAKVTTPATEVAEVTTAATGVEVTTPATEKVEVTTPATEKVDVTTPATEKVEVTTPATEEAKITTAATEEVEVTTPATEEVEVTTPSTKEVEVTIAATEEAEVTTPATEEAEVSTPATEEVEGTTPATEKVEGSDVKMPTGLPTTAMSLWI >OGLUM06G18640.1 pep chromosome:ALNU02000000:6:20472257:20472880:-1 gene:OGLUM06G18640 transcript:OGLUM06G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHVLQGGGGGAVPVPDQSSYGGGGAGGHQADVVVVDGAFLMELLDDTPAAAAATEQQPEGDDADDRLSRVMRSLEAELGGAGAAPAPTSAPPLGSSSGDGGSPASGDGGRLDQLDDVLSLSDFDGGGSSRPGSCSAPPFEYWARAELPPAMGHDMGGGWCVDGDGDGLAAAVAGYEFVREPCYYTYGYGYNESSHVEQPYSPLWE >OGLUM06G18650.1 pep chromosome:ALNU02000000:6:20500406:20503532:-1 gene:OGLUM06G18650 transcript:OGLUM06G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRMLVATTRSSKAFYRRWVEDAGCWWSEIMAGKDSIKGMKRKCKGQDRWDRHYPHEN >OGLUM06G18660.1 pep chromosome:ALNU02000000:6:20508144:20509328:1 gene:OGLUM06G18660 transcript:OGLUM06G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWRLMAVAGERWPAAVEEKALLRASRSDAGQHLNQAIATTSGCSTSATLIEQAIDGLHRFYICTSFSMWLAAEDRVESAGDGVNMLLHGDYEIMGYNERKMWESGSK >OGLUM06G18670.1 pep chromosome:ALNU02000000:6:20510863:20512574:-1 gene:OGLUM06G18670 transcript:OGLUM06G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIRIIMKSFMSQANKVQGVIPYAQKIGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYEIQISFKTRLDKSKLQAALKINNYVGSFETGNVVRTSLSLIQPYLVYNKDQLFELKHNNSIIRNIEHEQGGRRSSHGMNK >OGLUM06G18680.1 pep chromosome:ALNU02000000:6:20515749:20518148:-1 gene:OGLUM06G18680 transcript:OGLUM06G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDRLGCKLTNNSVITYIEQSLGMWNGPTRPVALEELTTLELTGADRTGLILEVFAVLADMDCGVDRDGGRGGRWRWSREAYGDGESKCCEKHMHRGKNRSRKPVEMAPSAAAVYRPSALSISPPAHDDAPSYSGGGDGGAPLQLHLDSFHASTSPPPSYHSTPLFPVSGGYGWSSSKEHCLTLGGAAELSLDKPADHHHHDATSATTTEKPLRDDGRTLWDSTQLSISIPTAAASSPDLAIASAASRYHNNVMHGAAGQSGQDAWRRLTSRMGSPNGLGGRRRPLVRPAVGPPRPDDVLPTAGRPLPPSHWCCALLPYLSLSSRCWRREGGRRDT >OGLUM06G18690.1 pep chromosome:ALNU02000000:6:20525935:20526293:-1 gene:OGLUM06G18690 transcript:OGLUM06G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPFFAPPRVLLHTSASSSSSHRKRRRRRHPWMMFCQRRIWLLKSADPGVQKLALESMMYCSLAEFNR >OGLUM06G18690.2 pep chromosome:ALNU02000000:6:20525935:20526293:-1 gene:OGLUM06G18690 transcript:OGLUM06G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFPFFAPPRVLLHTSASSSSSHRKRRRRRHPWMMFCQRRIWLLKSADPGVQKLALESMMYCSLAEFNR >OGLUM06G18700.1 pep chromosome:ALNU02000000:6:20528873:20529367:-1 gene:OGLUM06G18700 transcript:OGLUM06G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLVEEHRSGAEVHTGHELCERKARALLVELGLPDGLLPLPSLEEVGYNRAAGFVWLRQTQAGGATHTFDTIGKQVWYAGEVTAFVEKGRMHGVAGVKSKELLIWVSISEIVLSPSGTKLVFRTPAGLGRALPFTAFQLNPAPPEPEKKDAAADEADAAATN >OGLUM06G18710.1 pep chromosome:ALNU02000000:6:20529862:20530566:1 gene:OGLUM06G18710 transcript:OGLUM06G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGDDSTRRSWTVEEGANGDERKEILDSQINAGEGGHSDRWGRGGTGGELLSEGSGEQDAHPLELINERDDDRLGSLPRSGEGEATTELPRSRHRSSVLPLARRLSVREEAVRVVVTRGAPMGWSMGAREEAEPHAAAGGRGRRALLILPRVSVLLLLASVDTGVEEADEVDKAHTTNEENVDLTRMTEKCTAMVWL >OGLUM06G18720.1 pep chromosome:ALNU02000000:6:20537219:20537476:-1 gene:OGLUM06G18720 transcript:OGLUM06G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRGAPVPQHRALSGGDNQKGLNAKKNDATRSFPSLSPSSSFVSCLREVGGNSLEDERRRRHPDLPQHLGDEEGDGGWRHTEE >OGLUM06G18730.1 pep chromosome:ALNU02000000:6:20549356:20551146:1 gene:OGLUM06G18730 transcript:OGLUM06G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cationic amino acid transporter 8 [Source:Projected from Arabidopsis thaliana (AT1G17120) TAIR;Acc:AT1G17120] MATAAAAGEGGSGRRYWRWSKEDFFPEPSFASWRSYGGALAATVPRLVDRVGSRSSEAAEAGTLRAVSENPLRRCLSWMDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDIAAFLAAGNILLEAVVGAAGLGRSWTSYLAALLGRDTDSLRIHVPALAEGFNLLDPIAVVVLVSTSAVAMSGARLTSTLNSLASVVGIAIIAFVLAAGFSHFDAGNLAPSFFPFGAAGVFRAAGVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSSITVVYCLMSLALVGMQRYTEIDANAAYSVAFAAAGMRWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPTTGTPIYATVAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYHVAGATTPGQLRTFLAFLALVVLSSIGVSAYYNSRYARRWPGYAAFGCGWAAGAAGLAACAEKQRAPRVYGAPLVPWLPAMSIATNLFLMGSLGTAAYARFGICTAAMLVYYVLFGVHATYDVAHADDAAADNLEHGKIAAAPAPTTPA >OGLUM06G18740.1 pep chromosome:ALNU02000000:6:20552011:20555170:-1 gene:OGLUM06G18740 transcript:OGLUM06G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAL5] MGKNASRLAVAAVVVFALLLSLRQLEAADDVSVSCSDVVADVTPCLGFLQGDDDHPSGECCDGLSGLVAAAATTEDRQAACECLKSAVSGQFTAVEAAPARDLPADCGLSLPYTFSPDESRTQSCIQAAK >OGLUM06G18750.1 pep chromosome:ALNU02000000:6:20563272:20563667:1 gene:OGLUM06G18750 transcript:OGLUM06G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRAALLVAVLLLLLVSSLSVRAEADQVARAPALAPDVAAASWVQQGSQEAAAPGRPGMGMGKNGAARWRRTAGRRGRGGGGGTGAWAFSAMLPRGFVPPSGSSACHNDMPAAAADAEFFVCGGGDGSP >OGLUM06G18760.1 pep chromosome:ALNU02000000:6:20567311:20574339:1 gene:OGLUM06G18760 transcript:OGLUM06G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFVPAGGRCSPTATRSVRSHFAALPSGSSLPASPAVADALSPDTLYFPVVQKTVSDRNAHVWALREEAEKEGAQEEQNGCPPGLSLSHEVGRSKTSKMDYLRCGGSHVLIGIRGPREDTVEVKDDGVLQEHFWFEA >OGLUM06G18760.2 pep chromosome:ALNU02000000:6:20568336:20574339:1 gene:OGLUM06G18760 transcript:OGLUM06G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRCGGSHVLIGIRGPREDTVEFLDHVITRWVIYQPYNILLMEETFKAFRGGRCIRHLRSLEVVATFTNAVLWAGTHNPQMTNFSRPCMISTCTQTTGRRFVLHIAQEFTLREMTGGLCKTCLSGDVRSPWKIEVLSGTIYIIYPICEEPTDINPSGFAVMTNPGVHFVLKISQYILLTFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >OGLUM06G18760.3 pep chromosome:ALNU02000000:6:20568471:20574339:1 gene:OGLUM06G18760 transcript:OGLUM06G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIEHMNQSSSWITKAFRGGRCIRHLRSLEVVATFTNAVLWAGTHNPQMTNFSRPCMISTCTQTTGRRLMTNPGVHFVLKISQYILLTFLEVTVSYGQLAFSSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >OGLUM06G18760.4 pep chromosome:ALNU02000000:6:20568336:20574339:1 gene:OGLUM06G18760 transcript:OGLUM06G18760.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLRCGGSHVLIGIRGPREDTVEFLDHVITRWVIYQPYNILLMEETFKAFRGGRCIRHLRSLEVVATFTNAVLWAGTHNPQMTNFSRPCMISTCTQTTGRRFSGFFSLVYWDHDGDDILQGNHITVGQRRKKETGRKVRGIDIDQEEDSLFLLCCLM >OGLUM06G18760.5 pep chromosome:ALNU02000000:6:20567311:20568233:1 gene:OGLUM06G18760 transcript:OGLUM06G18760.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPATARGARSAASSTPLGAAGGGRRGPLPDPLAHPLPTPLPTSPATPSAPTSGLLHPLAPARRPRAASGAPDRVVHALLLLLLEPAGPKPFVPAGGRCSPTATRSVRSHFAALPSGSSLPASPAVADALSPDTLYFPVVQKTVSDRNAHVWAVHDSIGKEVGDYGCEKRPGSSGLAPQGGGLDDLGSKPHPF >OGLUM06G18770.1 pep chromosome:ALNU02000000:6:20576810:20584750:1 gene:OGLUM06G18770 transcript:OGLUM06G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAAEAPIRADAVPAVAAAAAAAAPDAAPGEGADAAAAVEAEAAGKDGGEEVREYKSDARKLEELFKKLNPSAEEFVPLARRRGGGDGARRLSADAPVFVSPAIDFYSQHPVQQPPPIQVLPVVVGGGGGAGLDSSSDGSTNGQPNRRRRSSFNQGRRRMGGRPRRTDREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPNSVLRFAFIEFADDVGARAALTLGGTVLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKNVPEDAVKSFFEGMCGEVARLRLLGDYVHSTCIAFVEFVQADSAILALSCSGMVLGALPGEPVKDTGPAPFAPCDVALKPGSLV >OGLUM06G18780.1 pep chromosome:ALNU02000000:6:20588958:20592783:1 gene:OGLUM06G18780 transcript:OGLUM06G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHSTHHPTLLLRRHYPNFCALAPLRPTRQLPSLPQQQLQQKQHVGHDPSPSEPTTAAASPATAAAAGALRVGIVGFGNFGQFIAGGIQRQGHAVLATSRSDYSGYCARHGIRFFATADELCEAGPDVLLVCSSILSTEAVVRAIPFRKLRPGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAKEGDQAAKCEQFLSIFEREGCRMVEMSCEVHDRYAAGSQFITHTIGSFDLYYGLFMYNIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIQ >OGLUM06G18780.2 pep chromosome:ALNU02000000:6:20588958:20592783:1 gene:OGLUM06G18780 transcript:OGLUM06G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRHSTHHPTLLLRRHYPNFCALAPLRPTRQLPSLPQQQLQQKQHVGHDPSPSEPTTAAASPATAAAAGALRVGIVGFGNFGQFIAGGIQRQGHAVLATSRSDYSGYCARHGIRFFATADELCEAGPDVLLVCSSILSTEAVVRAIPFRKLRPGTLVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWSGLPFVYDKVRVAKEGDQAAKCEQFLSIFEREGCRMVEMSCEIDNLDRAFEKVKQMLYGRLHNVLRKQIVERVPIQ >OGLUM06G18790.1 pep chromosome:ALNU02000000:6:20593581:20594330:-1 gene:OGLUM06G18790 transcript:OGLUM06G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heavy metal transport/detoxification superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29100) TAIR;Acc:AT1G29100] MTIVEMQMNIDCDGCEDNVRKALQRLQGVDYVDVDRVRGKVTVTGSASQKKLLRAARRSGRIAVLWPSAYDTDHHHHHQAYYAQPAYHHHHHYQQTIKPAAAVAAHAPHHHHQHYSSVQHGRMSGGGKAVSSYNYHVHGYFDSDLHGYSGGHHYGDVVPPAARSYFSDENPHACAVM >OGLUM06G18800.1 pep chromosome:ALNU02000000:6:20605310:20605777:1 gene:OGLUM06G18800 transcript:OGLUM06G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRSPSLDVDGSGAESIFGSGGIGGFAAIVSEPPSACSSLSPPPHSPSTYQHVHGVAATSPPISETRPPRSRRGDDVEAGIDDVTLEAFTAVVYGCDSTAAATQTCCLVCLENYGDADVLCVLPNSSNLFQLTPLVKVTPLSLGIALS >OGLUM06G18810.1 pep chromosome:ALNU02000000:6:20611527:20614036:1 gene:OGLUM06G18810 transcript:OGLUM06G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAGAGPGWPELPMDMLLEILRLLECPDVMRCAAVCTAWRAAYRDLRRRGIAASRQTPCLIYRSAAAGLNAIGMYSLSDQRPYTIPIPDPISEQRWFGSSNGWLITADCRSDIILLNPITGRRIALPPATTMQHVTLVLNEEGILDKYEVSFYDWRDRSKEHSEPDTYSLEEYRRYMYTKLVLSSDPSSDDDCIAMLIHQPYDQLPFAKVGGNSWNWLAVDYTFVDCIYHDGWFYAVTSMGVIHAFNLHGPSVVHKTIFPRIQDNNMHQEYIQRHNQVVRTLGFRVYRVSLDEQKLVRMTGIGEHALFVGHNASVCLSVKDHPTLMPNHVYFTDDDFETVFSFKSSRRDVGVCNIENNTVTKVKRYGDKFSHVDARLAYFIGLVSQPSHVQVKSSSEKREENPPATWTGKWSLPWHAHARGTLRRCRS >OGLUM06G18820.1 pep chromosome:ALNU02000000:6:20615018:20616692:-1 gene:OGLUM06G18820 transcript:OGLUM06G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVLRRPQPQWLPPPADPMFTLLLYCTEAAGASAVGMYSLLERREYTIPLPDPPISNRTWIGSSHGWIVTADDKSNLILLNPITGEQIALPPVTTMEHIKPIFNQDGVLDKYEMSYYNGLIPRVEETPYEFDLPEYREVYYKAMLSSNPSSGDCIVMLIHQPYSQLSFARVGEDHWNWIPIGLFYTDCIYHKGWFYTVSVLGAVDAFNLNGPSVVHKRILKDMLTLGYEQMYIVQSPWGDILTVNRMTIIPRNGNPEIEETELYTSDIVVYKADIGEQKLVKMTGIGDYALFIGHNTSSCLPVKDCHMLMPNHVYITDDEYLGYLSLDISDGMLEFTAWKITLSNVVSPEPWKSWLPPIWMMPNLMKTGFQDQNNGGD >OGLUM06G18830.1 pep chromosome:ALNU02000000:6:20618486:20618815:-1 gene:OGLUM06G18830 transcript:OGLUM06G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPHGHGGSQRRAPGRRVGVSREPANRWRWRIRRQFLEKAAPTCDNIKKAGGGGDLMRRKQGVGEWRQQGGALRRWQGGEAEKERGAKDWNWKGIDLIQTDLSHLNG >OGLUM06G18840.1 pep chromosome:ALNU02000000:6:20650558:20653174:1 gene:OGLUM06G18840 transcript:OGLUM06G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVGKIDGQRRAELGVPPAADVEDGGEGKPRGGGALCAVCLEDVVAGETVRRLPSCGHLFHVDCIDMWLHAHRTCPLCRRDLSPEKVTAKSSAAAAAAATVSSTDVLPPHFVGIWHEINQFKSSEKSLVGMPEAAAAAAEEEGGDGCSTRLGCYGFMAVNVLMGLYCSVAYAVSATVAGAIAVAVALLLLALAGRLAQTTGGGSAAAAASGRRRRLLSCPCACGLMAPGAGGIGVLPAFAYEPGGGGGGGVLCAVCLEDLRGGEMVRRLPACGHLFHEDCVDVWLRVRRTCPLCRRVLPPRKSVAAAAAASAAVLPPV >OGLUM06G18850.1 pep chromosome:ALNU02000000:6:20657365:20662892:1 gene:OGLUM06G18850 transcript:OGLUM06G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLEDKDASICQPVEAVCHDSLIAYIVKSIDDVQDVTPLVCREHPFRIPVVESSTNYTLPTAAVFVVAERDTD >OGLUM06G18860.1 pep chromosome:ALNU02000000:6:20662899:20708635:1 gene:OGLUM06G18860 transcript:OGLUM06G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLEYLVFKLNPMAEEIQDLEDLATVPAPFIYGENQSIAQHHLFKNKINIGVDLQKLILAHNNLKAR >OGLUM06G18870.1 pep chromosome:ALNU02000000:6:20719573:20721821:-1 gene:OGLUM06G18870 transcript:OGLUM06G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKEKEVVEIFEHDHDNKIRSPENSVPPAMVLDLNEGFGEGSEEGGVGEDGDEEDDDEDDDDDDDGGSTSEVAGGGRSSSNNSSTNHNSGSDKDHDMNSSSSKADGGGDRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDHESGHERGAISSVFSPMEFHMRRGDHRFHHHDMFFQRAAAAGVSPFSSRMLHENGGGFFASRNPGLPESSRLYGLFQRRQQAPMQTFDFKNCNNFSRNQEWAFNQQHAAARAAGAVNGHGPAKGLIHEMIFRKEGKPTSHLFDVRDAIASTRVTSATTAAAADHRLDGAGKAGNFDWIGSSSSRPLTRTMSAAAAATMGDHHHLRWRGGAACSGAALGSLLERAKTKATEAMRLETPVKMTAAAVAAEEINGRTPDLQLSLSPNAGDHRSAGKKRKFLSEQEVDSDKQQLPLTLSLSLRGGGDNGGGGGGEAAGRLEEEMTGSSSSKKAALGLSTLDLTMSIKALE >OGLUM06G18880.1 pep chromosome:ALNU02000000:6:20762373:20763905:-1 gene:OGLUM06G18880 transcript:OGLUM06G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAN4] MGTGTGTKPPAMTTERYEFGPLVGEGNFAKVYLGRHRATGEEVAIKVMDKEKLVRLGATELIKREIAVMQRLRHPNVVRIHEVMANKRRICVVMEYVRGGALYRYFRRGPSGGAAGLREHEARRFFQQLVSAVAYCHSRGVFHRDIKLDNLLVDEQGNLKVADFGLSALADMERREAHLQTVCGTPLFLAPEVFKRRGYDGAKADVWACGVVLYVLLTGRKPFPDEHVSRLYRLIGQNQFQCPPSFSPDLARLVRRLLQPDPDRRITIPEIMEMRWFKRGFKEVTYYIDSNDRLRSLDGLDGEPELYDSDTDTMESSSSSESPTPVAGTPRGMHTSVSAPALSELDRMEDSASLPLTLPLPPRPRMPRPKSLNAFDIIASSPSFDLSGLFEERGERMRFVSGAPVADIIAKLQEIAGMVSFTARTKDCQVSIEATRNGQKGALAISAKVFELTRELVMVQVCKKAGDTAEYRRFCDNELKAGLRGLVVDALPPPVEGGGHGGAAAAAEAE >OGLUM06G18890.1 pep chromosome:ALNU02000000:6:20771539:20775003:-1 gene:OGLUM06G18890 transcript:OGLUM06G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVTRSNSQNAIWEDAYQVITQDPEDCLTLIHRSYIYNVNMAIESAKVLHRQIDSIMITTDGLKPSTLTDSAPGQRLAVTDDFESPVTGEGLSEVRATSNGPQLKPVTGIRIINHSSNNWNNMNITRQNSWVKEDLLAMDLSSLCVTGGKPTHVDAGGDDLGTEETKKRRSTYVDGGGGSGVKKGEGGGDRQPWRRGSSRSPGVPDPVVPNHLETGSGAHHLEAITGDHHRSSGTKKRSPELRDEEDPSEISRIRQGWEGRRGGAGAADGGRGGGRGPTTAAVSTARGSAAIDTSSRASASVHASQPPTPPTMEPAMEAASLGPNTVRPSAGARGE >OGLUM06G18900.1 pep chromosome:ALNU02000000:6:20790289:20793512:1 gene:OGLUM06G18900 transcript:OGLUM06G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSFFPLFDASGSLTLASPMPLHSHLLLLLLALSTSVVAGSVSSSPSACAGGGGGGGDAAIVAAAFRGVRNFQLPPCGAVRELRLPSRNLTGAVAWAALANLSGLAVLDLSGNALQGAIPGGFWRAPSLRHVDVSGNQLGGALRVVEASPRLESLNVSGNRFTGVAGAEALAGLRVLDVSANRIRAVPQGLRRLARMSRLDLSRNAMQGRFPGDLPPLAGLRFLNVSYNNFSGVVDGGAVKKFGHSAFVHSGNTSLVFSENSTARRPPPPPSPPPSHPHRSGGKNDTATPARRTRTRSRRKHLSVVTVAVVCGVVSVAMLLCLVGCVACGVLRCRKNRGKEAEEEKRKAHWGGKDEEEVVAVAAAAAKGSSAAPVVLFERPLLELTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDMHVVVRVVDGAMAGVGEDDDPATAATAFRDLARLRHPNILPLLGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMEDDTGGDIWEVAEDKRSISDWPTRHRIALGIARGLAFLHQGWAGSGRPVVHGHLVPTNILLGEDLEPRISDFGHPSDTTPEGDVYSFGVLVLELITGQAGWDEASVSWARGIIRDQKGLDIVDPRVRDEAGGGPETSTVEREMVECLRVGYLCTAQSPEKRPTMQQVVGVLKDIRVAPASSSST >OGLUM06G18910.1 pep chromosome:ALNU02000000:6:20798465:20799409:1 gene:OGLUM06G18910 transcript:OGLUM06G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTGVTFAHRAPCGWPQRIGWSLPMMEVVCYFSFARRLYNMSENMMDGCYSAMSSPSHHAAISFHDSIIDYRSPPDCPLHYQSQDTEQPLHSYNKIQQSHHDPRMVSYHVGAFMQVNLLLLKGAFMQVNLLLLKGAFMRVNLLLFNEHM >OGLUM06G18920.1 pep chromosome:ALNU02000000:6:20831565:20832765:-1 gene:OGLUM06G18920 transcript:OGLUM06G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKRVDNLEISGKGKIDGQGAAVWSKNTCAKKYDCKILPNSLVLDFVNNGLVSGISLVNPKFFHTNMFKCKNITIKDLTITAPEDSPNTDDIHMGNSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGYGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDTKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLFCSDKLPCTGVTLNDINVEYAGKNNKTMAVCKNAKGTATGCLKELSCF >OGLUM06G18930.1 pep chromosome:ALNU02000000:6:20842881:20844219:-1 gene:OGLUM06G18930 transcript:OGLUM06G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCVAAHAKDYPKEEGAKAEGPAAASGGSGGSTHDVLKLGGKGDGKTDSTKAVNEAWTAACAGTGKQTIVIPKGDFLTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKSNWIEIMRLESLEISGKGKLDGQGAAVWSKNSCAKKYDCKILPNTLVLDFVNNGLISGISLVNPKFFHMNVFKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGTATGCLKELSCF >OGLUM06G18940.1 pep chromosome:ALNU02000000:6:20892549:20893201:1 gene:OGLUM06G18940 transcript:OGLUM06G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVGVPRFSRWSSVTSSTPPPPPDPACPPPPLPPHHRCAPLLPPSPAAGTTGRPTAPAATSTATSCAATSSVSASPTAPAALEIADTGQVQPSSWSRLLHPLPSVAGGPAAFSPVAASAGLLAFLSDASGHKTLLLAHPIMCILTALPITPTPRLSPTIGLVAGPTSIIAVVAGDDLVCPFAVKNISDVDTCGCCATAVAAAATEVE >OGLUM06G18950.1 pep chromosome:ALNU02000000:6:20893234:20894504:1 gene:OGLUM06G18950 transcript:OGLUM06G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEVHAQFAVAEGGHGFECAAHGDYIVLAPRGPMARAPTSALVFDSRRDEWWWALLCPYIVVAHHGSAGGTGGRRGGDDTTTVRRQSEQVEWEPSHMSMHSASAVTAAWTMQLHNDRTCAIGGLCLSLAMPTPTVTVVFAISRQMGLMARGTSELRGQQHHPAQLQPQPTNR >OGLUM06G18960.1 pep chromosome:ALNU02000000:6:20900287:20901625:-1 gene:OGLUM06G18960 transcript:OGLUM06G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRALFLLAMVCVAAHAKDYPKEEGAKAEGPAAASGGSGGSTHDVLKLGGKGDGKTDSTKAVNEAWTAACAGTGKQTIVIPKGDFLTGPLNFTGPCKGDIVIQLDGNLLGSTDLALFKSNWIEIMRLESLEISGKGKLDGQGAAVWSKNSCAKKYDCKILPNTLVLDFVNNGLISGISLVNPKFFHMNVFKSKNITIKDVTITAPGDSPNTDGIHMGDSSKISIIDTVIGTGDDCISIGPGTEGVNISGVTCGPGHGISVGSLGRYKDEKDVTDVTVKNCVLKKSTNGVRIKSYEDAASVLTASKFTYENIKMEDVANPIIIDMKYCPNKICTANGNSKVTIKDITFKNITGTSSTPEAVSLLCSDKLPCTGVTLNDIKVEYSGTNNKTMAVCKNAKGTATGCLKELSCF >OGLUM06G18970.1 pep chromosome:ALNU02000000:6:20907146:20910681:-1 gene:OGLUM06G18970 transcript:OGLUM06G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >OGLUM06G18970.2 pep chromosome:ALNU02000000:6:20907146:20910681:-1 gene:OGLUM06G18970 transcript:OGLUM06G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKLLLMSNFPSLPVQNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQITDMDISKEAAPSEFIKAVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >OGLUM06G18970.3 pep chromosome:ALNU02000000:6:20907146:20910681:-1 gene:OGLUM06G18970 transcript:OGLUM06G18970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQTWTSRKKLRHLNSSKLCSQFSSRMALSISSDSATGSASIRCPFICRSRTQFIFLDRRTCRDERSTIAIHPLLCSWRRRRFLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >OGLUM06G18970.4 pep chromosome:ALNU02000000:6:20907146:20910681:-1 gene:OGLUM06G18970 transcript:OGLUM06G18970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G29200) TAIR;Acc:AT1G29200] MEGSCGGGGGGGAGQMAAWQGRVGATNIGRLRHGKTSSSSSAAVAARGGGVTAWHLRVFAGVVGVMGCLLLVASLMMSAVHQVQFRNAAISRSFRGLQELKQNSVRTEEAEQIMHPRLLQMATSVVTKLLLMSNFPSLPVQNESDSDSVKFSLWEEPYKQARKWKPCAAKHSLPDEVPEENNNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKSDVHIVKDLPPHLQSLDLEAIGSQTWTSRKKLRHLNSSKLCSQFSSRMALSISSDSATGSASIRCPFICRSRTQFIFLDRRTCRDERSTIAIHPLLCSWRRRRFLQRLRCRCNFHALKFVPEIQRAGSLLVQRLRRAGAMRTEMDKQLFGSNMLDVPALLAGGEPSRYLALHMRFEEDMVAYSLCDFGGGDAEREELQAYRETHFPTLAMRLRNTSVSPEEQRSLGRCPLTPEEAGLVLTALGYDRGTFIYVAGSQIYGGAARLRPLTRLFPNLVTKEDVLSSAELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGYRVYHGRGRAPTLHPNRKRYAQILGEEEGIGWGGFERRVRNMVEEYKRVSARPRGRSVYRQPRTPGCMCRAAGDGSVDF >OGLUM06G18980.1 pep chromosome:ALNU02000000:6:20918778:20926212:1 gene:OGLUM06G18980 transcript:OGLUM06G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1p/L10e family [Source:Projected from Arabidopsis thaliana (AT2G42710) TAIR;Acc:AT2G42710] MAHLRLLLSHSSRHHPQPHRLISLLRFSSNTGSGPTPPPIKPVSYAPKPQPAPEEAPAAAEEAAPSDDPGSRPPPPPRRSQGPPQRQQWTREEMRFVKDAGPSITPVSYPARVAPLPEDRPAEEAPPEGPADEGLRGEGERIEMDATRARRSFFGMQAEEEQAPYPTLIPVEKRPQKVAIDLVDAIREIKTSANEKKRNFTETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRTGGGKLSFDKCIATPMYMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKINFSDENLRENIGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSAAADHYNNSKVQAS >OGLUM06G19010.1 pep chromosome:ALNU02000000:6:20966914:20967330:-1 gene:OGLUM06G19010 transcript:OGLUM06G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNALGERRLWAWERPGPAGSCSAGSGAAVGDGVGLEERPGSGRRAVASGSADYGGHTRLHRPRIGRIRNHRALDGRTRMYHPRSGGWRTTWAAAGAAHGGGEQLSRIRHHRVDDVRIRGCWPRDGSIRRRRCRWDS >OGLUM06G19030.1 pep chromosome:ALNU02000000:6:20997098:21004423:1 gene:OGLUM06G19030 transcript:OGLUM06G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGGPDLAGAGGAVAVAVDAMQVDDPPRASAEEKHGPTIMGGNDPVTGHIISTTIGGKNDEPKRTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHTVPGVCHRDIKPQNILVDPLTHQVKVCDFGSAKMLIKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCTALEACAHSFFDELREPHAKLPNGRPFPPLFNFKQELANTHPELVSRLLPEHAQRHSGF >OGLUM06G19040.1 pep chromosome:ALNU02000000:6:21007147:21011248:-1 gene:OGLUM06G19040 transcript:OGLUM06G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAQ0] MAMSRAAAAAAGTPVARRRLMGAVAARSMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDSGKPVVLECVREAERRIAGSMNMEYLPMGGSIKMIEESLKLAYGENCEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWANHHNIWRDAQVPQKTFTYYHPESRGLDFAGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQIARPMYSNPPVHGALIVSTILGDPELKSLWLKEVKGMADRIIGMRTALKENLEKLGSPMSWEHITNQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKTK >OGLUM06G19050.1 pep chromosome:ALNU02000000:6:21015808:21017415:-1 gene:OGLUM06G19050 transcript:OGLUM06G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPTAASRLLVLILCTLAISCSSGIAGFAAGDDDAFIRCLAAAAVPPRLVHTPSSASYAPTLVSSIRNLRFVTPGTPRPLAIVAAAEAGHAQAAVRCGRRHGVRVRARSGGHDYEGLSYLSLDRRERFAVLDLAALRDVRVDADRAEAWVGSGATLGELYYAVGAASRTLAFPAGVCPTVGVGGHISGGGFGTLMRRYGLAADNVLDAVLVDADGRLLNRTTMGEGLFWAIRGGGGESFGVVLSWKLRLVRVPETVTVFTIRRPRNQSATDLITKWQEISPSLPRDVILRVVVQSQHAQFESLFLGRCRRLARLMRARFPELGMTQSDCEEITWIQSTVYFAFYSSSKPLELLLDRGTEPDRYFKAKSDYVQEPIPRHAWESTWPWLEEHDAGLLILDPYGGEMARVSPAATPFPHRKGNLYNLQYYSFWFEHGAETLERHLSWVRGLYGEMEPYVSKNPRTGYVNYRDMDLGRNEIEGNVTSYTKGKVWGEKYFRGNFERLAAVKAMVDPDDFFRNEQSIPPLPAAKGWSSI >OGLUM06G19060.1 pep chromosome:ALNU02000000:6:21042416:21044020:-1 gene:OGLUM06G19060 transcript:OGLUM06G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPMSFAFTLLAACISVLHHAPAAAAAAPANQTAGFLDCLAASLPAGVVYTHASRSYQSVLESSIKNLLFDTPATPTPVAVVEATDASHVQAAVRCGVGHGVSVRSRSGGHDYEGLSYRSLEAARAFAVVDMAGGALRAVRVDVRGRAAWVGSGATLGEVYYAIANKTSRLGFPGSVGPTVGVGGFLSGGGFGLMLRKHGLASDHVLDATMVDAKGRLLDRAAMGEDLFWAIRGGGGGNFGIVLSWKLRLVPVPATVTVFTVHRSRNQSATDLLAKWQRVAPSLPSDAFLRVVVQNQNAQFESLYLGTRAGLVAAMADAFPELNVTASDCIEMTWVQSVLYFAFYGTGKPPEMLLDRGTGRPDRYFKAKSDYVQEPMPSQVWETTWSWLLKDGAGLLILDPYGGEMARVAPAATPFPHRQALYNIQYYGFWSESGEAAAAKHMGWIRGVYREMEPYVSKNPRGAYVNYRDLDLGVNDDGDGGGGVARARYEKATVWGRAYFKANFERLAAVKAKVDPDNYFKNEQSIPPLPS >OGLUM06G19070.1 pep chromosome:ALNU02000000:6:21069262:21070857:-1 gene:OGLUM06G19070 transcript:OGLUM06G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSMTTTSRALALVLLSSCCLLVAVDAAYAKKPNLSKNDFLSCLAAGIPARQLYAKGSPSYGSVLTSTIRNLRYLSSKTCNPLYIVTPTDVRHIQVAVSCGRRHNVRIRVRSGGHDYEGLSYRSEIPEPFAIVDLVNMRNVTVDGKARTAWVESGAQIGELYYGISKASPTLAFPAGVCPTIGVGGHFSGGGFGMLLRKFGLASDNVLDVKVVDANGKVQDRKSMGEDYLWAVRGGGGESFGIVVSWKLRLLPVPATVTVIQMPKMVNEGAVDLLTKWQSLAPTFPEDLMIRVMAQAQKAVFEGLYLGTCDALLPLVTSRFPELGVNRSHCNEMSWVQSIAFIHLGKNATVKDILNRTSSIRAFGKYKSDYVTQPLSKATWDTIYKDWFSKPGSGIMIMDPYGATISKPGEADTPFPHRKGMLYNIQYITFWFGEGAPAEAPIKWIRDFYAFMEPYVTKNPRQAYVNYRDLDLGVNAVEAGANVSSYKAGKVWGEKYFKGNFERLARTKAKVDPTDFFRNEQSIPPLLA >OGLUM06G19080.1 pep chromosome:ALNU02000000:6:21079610:21082912:1 gene:OGLUM06G19080 transcript:OGLUM06G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTHVRKAHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVCMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >OGLUM06G19080.2 pep chromosome:ALNU02000000:6:21080233:21082912:1 gene:OGLUM06G19080 transcript:OGLUM06G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNMQGLYCYLCTCNILICFLNIVQMAARSQRLQGLDFSENGVQNQEMVPNDHYVEEQNITNAEWRSNCYEYHPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNAPCSQSSVVLGSYLGTHVRKAHLAPLNILKWNDKLYKRIYHPKLISEVQRKFAIDGRAKDWLLHQLDGKWRQYKSNLKKKYYKANLPMERVLQTKISDQNQENAQNIKHPHTLGRKSFARKRKELEHDGVEVDRATFFDECHKTKDGRYVNDATQDKMNEVCMKLAEKRVDGQELTEADFEQAMLEVFGKDHSGRVRGMGPTITPTNYYGGRFSNMSGRSEQGSSSSNVNGFISFMVSYLAEKYPEDNLMSRLPPSLARLIPRQEVDQNQGS >OGLUM06G19090.1 pep chromosome:ALNU02000000:6:21084936:21086507:-1 gene:OGLUM06G19090 transcript:OGLUM06G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRNLSLGLTISFLSCHYLAVISLASSDGFVRCLLQKIPGELVLTPSSSSFADVLVSSIRNPMFFNNATARPLCIVTPADASHVQAAVLCGRAEGVRLRARSGGHDYEGLSYRSARRGGEVFAVVDLGARLRAVSVSDATAWVESGASLGELYYTVAKSNPGLAFPAGVCPTIGVGGHLSGGGISMMSRKYGLAADNILDAKLVNANGELVDRAAMGEDLFWAIRGGGGESFGIVVSWKVRLVQVPTTVTVFVIGRNVDQGAADVVTRWQDVAPSLPPELTIRVIVRGQRATFQSLYLGSCADLVPTMSSMFPELGMTSADCREMSWLQSAALIQFWNPSTPVEALLNRRTSLSTFTKAKSDYVRRAIPSDVWKNILPWFTVNGSGQMLLEPMGGFVGGVPAAATPYPHRAGVLYNIQYIAYWSGDGAAAANRWISGLYAFMEAYVSSDPREAYVNFRDLDIGENAVAANDVSTFESGKVWGEKYFAGNFQRLAAVKAAVDPTDYFRNEQSIPPLLVQSK >OGLUM06G19100.1 pep chromosome:ALNU02000000:6:21086520:21102262:-1 gene:OGLUM06G19100 transcript:OGLUM06G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSKTLLLFIIFCIFSSCYIPSPAIASDHDPSSKGFIHCLTKKSIPPWLIHTRSSSSYTSILKSSIRNPKFLNTTASTTPLFIVMAKKTSHIQAAVVCGRRHRVRVRARSGGHDYEGLSYRAEDRRERFAVVDLSGMRSVRVDAARGTAWVQSGATLGELYHAIWSSAPRLGFAAGVCPTVGVGGHFSGGGFGMLQRKYGLAVDHVVNATLVDARGDLLGRDAMGEDLFWAIRGGGGGSFGIVASWHIKLVPVPPTVTVFDVVRTPERGAIDVLTKWQEIAPRLPDDIMVRVIAEPRRVTFEAMYLGTCDELLPLMHHRFPDLAMTRADCNEMTWIESIPYIHLGSNATVADILNRSSISRVNTKNRSDYVRHPIPKSIWKKIFAKLQQLTNFGEVQLFIDPYGAKISRIHESATPFPHREGVLYNIQYITYWNGDANGTLALKWSRDLYKFMEPYVSKNPREAYANYRDLDLGRNKVVNGISSYHHGKVWGEKYFRGNFERLAKVKAKVDPDDYFRNEQSIPPFLALVLAVCCCCCYAASVAPLSSADSSGEFLQCLAAGVPSQLVVTRGSSSFASVLASSVRNPRFLAPGTVRPLCVVTPTNASHVQAAVQCGRRHGVRLRVRSGGHDYEGLSYRSVRQEVFAVVDLAGLRSVRVNSRAATAWVDSGATVGEMYYAVAKADAGLAFPAGLCPTIGVGGHFSGGGIGMMMRKYGLSVDNVLDAMVVDANGRLLDKKAMGRDYFWALRGGGGESFGIVLSWKVRIVAVPRTVTVFNIQKTLAQGAVDAVTKWQTLAPAALPDELTIRVVVQNKQALFQSLYLGTCDQLLPVMSSSFPELGMTRADCREMSWLQSMVYINGGTSSTPVEVLLNRTTSLSVYTKNKSDYVKQAIPSASWEKIFPWFDGAAGAGLIILEPHGGRVGSIADGNTPYPHRSGVLYNIQYVAFWPTTTATPAVPDWIKNVHAFMEPFVTSNPREAYVNYRDLDIGENAVAGAGVTSYESGRVWGEKYFGAANFRRLALTKGKVDAGDYFRNEQSIPPLVSTKYKSD >OGLUM06G19110.1 pep chromosome:ALNU02000000:6:21137802:21139373:-1 gene:OGLUM06G19110 transcript:OGLUM06G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSRSLGALAAFVFLCSAASMSSSMAATDSFLQCLSASIPSQLLYTQSSPSYTSVLDAGIRNPKFLTNTTRPVWIITPTNASHVQAAVLCGRRNGVRLRIRSGGHDYEGLSYRSERTETFAVLDLVNIRAVRVDAASATAWVDSGATLGEMYYAIGKAGGRIAFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAADNVVDAVLVDAKGRLLDKNSMGSDVFWALRGGAGESFGIVLSWKVKLVAVPPTVTVFNVPVTVSQGAIDVVTRWQAVAPSLPDDLFIRVLVQGQRASFQSLYLGTCDALLPVMRSRFPELGMNRSDCREMTWIQSVPYIYLGSGATVEDILNRTIAMDTSNKATSDYVRQAIGRDTWSAIFGWLARPNAGLMILDPYGGQIGSVAEAATPFPHRGGVLYNIQYMNFWSAAGGGGGGAAQRAWIRDFYAFMAPFVSKDPREAYANYRDLDLGENVVGAGGVSSYDAGKVWGEKYFRGNYQRLAMAKAQIDADDYFRNEQSIPPLVAGK >OGLUM06G19120.1 pep chromosome:ALNU02000000:6:21144088:21146562:-1 gene:OGLUM06G19120 transcript:OGLUM06G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRASKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANTS >OGLUM06G19130.1 pep chromosome:ALNU02000000:6:21147058:21147964:-1 gene:OGLUM06G19130 transcript:OGLUM06G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPPMWLPFGGAELRETAVVELEPHARRLPGQLAQPRTRRATPSPPPRDVDGDEFDLRPPPRCVHELEEVPGDVGDVVVREQRDDVNSTRRMTVSLMALSFLAWRHANSTDSRSSGPPRKTAVIWVPIFWSSWFLAAVVSSLVDILVGSWKLAPTVHGASSSTLRLSSRQWCSRAPRRTRRAPVSCRRRRRRRAAPPAIGFVAGDNLTVPLRYA >OGLUM06G19140.1 pep chromosome:ALNU02000000:6:21156556:21157525:1 gene:OGLUM06G19140 transcript:OGLUM06G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTKLSTMECWVLLELAYPNHPLTTKATLSIFKVIMLGALQRFRHPFPSDQ >OGLUM06G19150.1 pep chromosome:ALNU02000000:6:21160332:21161174:-1 gene:OGLUM06G19150 transcript:OGLUM06G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPPAAAAAAAEDVLSLYDACWFRRLVLLSPSSPAAVAADVAPASPPVASQREEEEEEEEREVKRSPPGTLRHRRTRSDEAATAALDGLEPLRIPNGHRARLETILSGKDGLAAALPQPQPMAERRRAAVRRPGGRRRRQRRGRSMSELEFEEVKGLQDLGFTFSEDDVDAELASIVPGLRRRRSDEDDAREAPAAAAASAEEEAASSRRIGSAPAGTSSSFSSAPRRPYLSEAWDDEEEEMRRMLRNWRIPPAGDGDGADLKEHLRLWAHTVASAVR >OGLUM06G19160.1 pep chromosome:ALNU02000000:6:21186910:21191752:-1 gene:OGLUM06G19160 transcript:OGLUM06G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYVPPPSFHPHPPTPLLLSARRCESRSMFLHSRGTPERFGSRRCRIALRVSTRYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRSVEAGDDAGSGPGKGEKINIKDDVSAVKKGGCCSG >OGLUM06G19170.1 pep chromosome:ALNU02000000:6:21196158:21197715:1 gene:OGLUM06G19170 transcript:OGLUM06G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDGSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >OGLUM06G19170.2 pep chromosome:ALNU02000000:6:21196512:21197715:1 gene:OGLUM06G19170 transcript:OGLUM06G19170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLPEIVSFSPESDGSSSSSAPLIGGDGELVVQLVPRDVSDGILGKFADTSEFDFDYDQSGLWSPLVLRPEVLLLAQTPAGRRRRRHHHRRRWKRKKMLCYCFW >OGLUM06G19180.1 pep chromosome:ALNU02000000:6:21207080:21208874:1 gene:OGLUM06G19180 transcript:OGLUM06G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTRTRLRIFGRCWVWGFVTTDLLLMENQIPFFKTNDDDVLVTGTLRLFRSLRPQKLYSSPTISCIQMDAAAAPKAPAPDDIRHHAVPLPPSELSQWIPCARELEEAGIRFLTVASWRSPSCRPLEDYSEPLFRNLIAFEQTRPFTPGHVTAYAIFMDSLVTSPEDMRLLLIISGVLVN >OGLUM06G19180.2 pep chromosome:ALNU02000000:6:21208875:21209122:1 gene:OGLUM06G19180 transcript:OGLUM06G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEQDATTGFFSRLCAEAHLAADRNYLAASSTRWPRWRAALVRNYFSIPWVATSLVAAVIILSLTVLQSFFAA >OGLUM06G19190.1 pep chromosome:ALNU02000000:6:21211800:21217576:1 gene:OGLUM06G19190 transcript:OGLUM06G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLSAVPGAIILLVGGSLLAQCLDPTLPAANSSSSWTNSADDQIRYGDGSIVRVVLLLSHSHGASSDDAAFACGFFCGAPCDRKSFLFGVFLVSTNSTGGVAAAAAAPPPVVVWSANRDRPVRDNATLQLSDAGDLVLRDAVGAFVWSTNTSAGHAVTGVRLSDSGNLIQNGSMLKIISASSTLFDDSGSPV >OGLUM06G19200.1 pep chromosome:ALNU02000000:6:21217634:21227836:1 gene:OGLUM06G19200 transcript:OGLUM06G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTANASAADFSEGSLYISVGNNAMSGFVGHDPPQLYFTAPISDTMDTLANTMDAPVSISAFGRSPSSSSEILISLPVAHSVQYICVESDGHMRLYGWNSSSWVIMYEVLQKYIAGGDCEYPMACGSYGICSGAGNCSCPSEIHSSPIYRDRPGLGCKLATPISCRDVRGIEMVELPNVTYFNYNGSGAIMRDKVMRSYCLSGCVANCSCKAAYFKLRMNDTNGTCFLQSQLFSLHKLQTTAPSLYNSRAFIKLNNITFAERVRPMKKTFRTGILVGIIIGTISLLFSIALLIRMRTRRERVDGEHIEHLLGMPRKFSFEELKVVTRDFSSKIGEGASWTVFEGKIEDENIAVKRLDSVGRHKEEFLTEVQTIGSIHHVNLVRMIGFCAEKNHRLLVYEYMPNGSLDRWIFDEKDGRPLDWSTRHKIVSDIARGLCYLHEGCRQRIVHLDIKTQNILLDDQFNAKISDFGVAKLVDKDRSRVMTRMRGTPGYMAPEWLTSTITEKADVYSFGVVVLEIICGRRNLDHSQPEEALHLMSLLQDSAKNDKLLDMIDHRMDDMQLHSGDVMHMMHLAMWCLQLHSNRRPSMSTVLKVLEDEASQATVQEDLDFNFVVTSSSIFNEGIMGESNLPFSIITVWTTLNHSSVSIITVT >OGLUM06G19210.1 pep chromosome:ALNU02000000:6:21228578:21231927:1 gene:OGLUM06G19210 transcript:OGLUM06G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGAGGGGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIYAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPPQAERPDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITIGGNSLIGGVEGSSLIPWLKTLPLSSSYASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRLRTDWENASVQPPWASANYTSLPNSTPPSPGHKIAPDPAWLAGFQISSAGPSSPTYNLVSPNPFGIFKEAIASTSRVCTPGQSGTCSPVMGGMPAHHDVQMVDGAPDDFAFGSSSNGNNESPGLVKAWEGERIHEECASDELELTLGSSKTRADPS >OGLUM06G19220.1 pep chromosome:ALNU02000000:6:21233951:21258809:-1 gene:OGLUM06G19220 transcript:OGLUM06G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGCGGEVRVRDPSAVMWDEEPEASPSSPEEPVTEGTHTFEQGPKVHLAGNNVVGSAGVGDVCEYSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFTEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSPLDF >OGLUM06G19220.2 pep chromosome:ALNU02000000:6:21233951:21258809:-1 gene:OGLUM06G19220 transcript:OGLUM06G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDRLYPPIDPYALEPPQFGDDAACGGGGCGGEVRVRDPSAVMWDEEPEASPSSPEEPVTEGTHTFEQGPKVHLAGNNVVGSAGVGDVCEYSREMTTMQELVENTYYDCALRDQTGMWVPPSVPPMTKHDHEEWQKGFGANGGYFTEEDLWDIDEENKEMTMWDVLAAMVSAGKDKVLSVVSYDFGRQGMSLISHLLLEEACKDKADTLEDASVGLEHALLEAEPTVWLPDSAAPSCMLCGARFHPIICSRHHCRFCGGLFCGGCSKGRSLMPPKFSTSEPQRVCDVCGVRLECIQPYLMNKISRACQIPTNDLTDLSTLRSWLNLPWARTMEYEIYKAVNSIYGYFKLLVRSVILESVRTLIAFCVLQCNFKVGSLKPEKSIPDSILRQAKGLAIITVVNVGMMVTYKIGTGLVVARRADGSWSPPSAISTYGVGYGVQAGGELADYIIVLRNTDAIRTFSGNAHLSVGAGISASAGHLGRVAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRHSANAQFYGGPIKASEILLGSVSRPAAAATLYRALSKLFEKVENYSSPLDF >OGLUM06G19230.1 pep chromosome:ALNU02000000:6:21259741:21260497:1 gene:OGLUM06G19230 transcript:OGLUM06G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSLRVGRVWLYDADPANTGVELVVGVPDECLAAVSTPCGAASWVRASTKHSRSSDSTSRWSSPPRTTSDPTGVELEYALLEPTYVGVFVIVQPSADVRKLLAIVTAWVGCYVRTASHSRRGPTSPPPSCARAPAATSLLSPAVNAAVAAPAVIAVLRCGSGRSW >OGLUM06G19240.1 pep chromosome:ALNU02000000:6:21266646:21268321:1 gene:OGLUM06G19240 transcript:OGLUM06G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARREVDDSYTNGSVVEVVSMEEGSKMDKEDDHQNPQAPDGGDDDGDVVVCGMPMSFTFLQMLLAEFLATFFLMFAGLGAITVEEKKGAVTFPGVAVAWGAAVMAMVYAVGHVSGAHLNPAVTLGFAVAGRFPWRRAPAYALAQTAAATAASVVLRLMFGGRHAPVPATLPGGAHAQSLVIEFVITFYLMFVIMAVATDDQAVGHMAGVAVGGTIMLNVLFAGPVSGASMNPARSIGPALVGSKYTALWVYILGPFAGAAAGAWAYSLIRLTGDRTD >OGLUM06G19250.1 pep chromosome:ALNU02000000:6:21278145:21289215:-1 gene:OGLUM06G19250 transcript:OGLUM06G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRPLGLQFHHASGDLYVADEYLGLLRAPALGGLAEVVTTETAGVPFNFLNDLDVDQRTGDVYFTDSSSTYRQQQHSHPPSSPAIRSAHRSARRWCASGGGAGSVSSPAMASESRRVGARHLFDGMCRRRTVFSPDELLPQVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >OGLUM06G19250.2 pep chromosome:ALNU02000000:6:21280533:21289215:-1 gene:OGLUM06G19250 transcript:OGLUM06G19250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRPLGLQFHHASGDLYVADEYLGLLRAPALGGLAEVVTTETAGVPFNFLNDLDVDQRTGDVYFTDSSSTYRQQQHSHPPSSPAIRSAHRSARRWCASGGGAGSVSSPAMASESRRVGARHLFDGMCRRRTVFSPDELLPQTSAMLQIEIANGRIMCIILSNNQKSIL >OGLUM06G19250.3 pep chromosome:ALNU02000000:6:21278147:21280322:-1 gene:OGLUM06G19250 transcript:OGLUM06G19250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSLTRSHIVGDVLDQFSNSVPLTVMYDGRPVFNGKEFRSSAVSMKPRVEIGGDDFRFAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPSSTDDSFGREIVTYESPSPTMGIHRIVMVLYQQLGRGTVFAPQVRQNFNLRSFARRFNLGKPVAAMYFNCQRPTGTGGRRPT >OGLUM06G19260.1 pep chromosome:ALNU02000000:6:21309082:21313179:-1 gene:OGLUM06G19260 transcript:OGLUM06G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNPETTLFESTSMMVQHQGGTTLDEPLPENYHEGGTTLDELLLLENGMQTSQDEVPPGTCCI >OGLUM06G19270.1 pep chromosome:ALNU02000000:6:21314163:21314540:-1 gene:OGLUM06G19270 transcript:OGLUM06G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPRPGDGSGGSCARTRRQQRRLRMHVAAAAAVALPGGGDGCARRLRACDGGGCSCGLTRRWRKLQRPRLRPEAASLQRRRQRPKAMMVAAHSSSPPNVTLPNLPKQHRHMSRQPETGKLPY >OGLUM06G19280.1 pep chromosome:ALNU02000000:6:21314859:21315568:-1 gene:OGLUM06G19280 transcript:OGLUM06G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLRWKRRGKQSNFLGIELGQSSTTQRAIAPGLNNRSKRKSRSVYEAVTNDQRIQDITYNLTVDLMKEFFDMFLQIRSNNIIQSEGVDDIIRWRWTNNGIPVQSRHTTQFNGRLDSVTAKLIWKA >OGLUM06G19290.1 pep chromosome:ALNU02000000:6:21315893:21318013:-1 gene:OGLUM06G19290 transcript:OGLUM06G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGAAPFVWKTYRMVEDPGTDGVIGWGKGNNSFVVADPFVFSQTLLPAHFKHNNFSSFVRQLNTYVSLVTPSSISSSHHTPPLSALHIMLPWILSGTQLKGFRKVDPDRWEFAHASFLRGQTHLLRNIVRRGSAAAGGGGGGKRRDASADGGGGGDEDMTMVATEVVRLKQEQRTIDDRVAAMWRRVQETERRPKQMLAFLLKVVGDRDKLHRLVGGGGNGNGAATAAAADNGFADAARGGCGEKRARLLLDGDNTGAFGPDAVDFAGFYTGADMFPDVAVDAAAAAGSAGCSFAFGVDSGY >OGLUM06G19300.1 pep chromosome:ALNU02000000:6:21334123:21335127:-1 gene:OGLUM06G19300 transcript:OGLUM06G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKILLVTALLVGIASQQSSATRNLHGDHHVAEKKFGGGGGGYGGGGGGGGGGGGGGGGGYGGGGGGGGFWKSHPEKIISCIGSLGSIVGSFGDVCSGFFGGKLQTLQDALCSTRKDCYGDLLREGAAAYINSVAAKKQAKFAYTTQQVKSCILLGLTSKAAAIEQAALFKKANLACHYT >OGLUM06G19310.1 pep chromosome:ALNU02000000:6:21343289:21343601:1 gene:OGLUM06G19310 transcript:OGLUM06G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEAVVVFPWTLLSCPAVLTVAKHCCCAIASSDVSSGEEHYTTLPKEPVSRSYAMAASSAVLKVSRVVKLLRWWQDREQLCRSNGGGT >OGLUM06G19320.1 pep chromosome:ALNU02000000:6:21350379:21351425:-1 gene:OGLUM06G19320 transcript:OGLUM06G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEEASSICGERRQGGWDELCDGARRASGVKSRHAEAMTQHILVRPTRGGGVRAGLDQIAWRNKRFWCRRYRVYRRSPSFRASLASAIAPPITGGQGPADELDGPATAGARLISGSAARRLATADEPLVLGLRSLVTTMHVAPPCHGHFTVPCISFVLFRSILWIENPQDR >OGLUM06G19330.1 pep chromosome:ALNU02000000:6:21368756:21369603:1 gene:OGLUM06G19330 transcript:OGLUM06G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYELAACSPASPLSSASSTSTPPSPGGEAAARCGEKRGRGGGGGGGRHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTQCLDPRGSWCARAAAMALYAAVPAPPSPPPPLMPPQASPSEAARVHALVGQVDQDDDDDDELEEIVELPPIDELDAAAELVFVSSGASTFHDDPAADQPWYDQPAAWLQDGGGGGIAVHDALGFELDHVWADGVVASGFGALLWNM >OGLUM06G19340.1 pep chromosome:ALNU02000000:6:21378216:21378958:-1 gene:OGLUM06G19340 transcript:OGLUM06G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDQLVSFLCFFLIVSAVAGGLCVSATVLPMRIGKQYVVGGRSGWRTPPPASVDLYVKWAAGIRFYVADSIEFVYKNDSVVKVDKFGYYHCNATAAAANDGSVLFLLDSPGFAYFSSADADHCKKGQRLMINVESAPSPAPAPAASPIAKPPAAVTAATPPPPPPPPSPSPSPSPAPQEAATTSAATSSSSATVAHALLLAAMAMMGLILGEW >OGLUM06G19350.1 pep chromosome:ALNU02000000:6:21387366:21388142:1 gene:OGLUM06G19350 transcript:OGLUM06G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein / mitogen-activated protein kinase kinase kinase (MAPKKK)-related [Source:Projected from Arabidopsis thaliana (AT5G11620) TAIR;Acc:AT5G11620] MEPVTAAAAAAASEEQVTRRVASRIIRALQHQLRLLHRAGPEFFVLGATGNVYTVTLAAAPACTCPDPSVPCKHILFVLLRVLGLSLDEACVWRQSLRPCQVARLVAAPTCAEADVVAGPRARERFHQLWSARAAAEESRRRRRRRDDQAAAAGASGRLDGAACPVCLEEMSPPAAAAAMLLTCATCRNSVHGECFARWKRSRGRRAATCVVCRARWRQPSREQEKEPYINLSAYMNDAGGDVDMVAADGDDGGLCAG >OGLUM06G19360.1 pep chromosome:ALNU02000000:6:21389686:21390317:-1 gene:OGLUM06G19360 transcript:OGLUM06G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPFIAMASNMQGVVSVDGNAEKRRRTSSDALQRTVSDVSYELHHHVGAKGTTMVDHAAAAEQKQQLDAIAEVEDARCECCGMSEECTPEYIRGVRARFAGRLVCGLCAEAVAEEAARRGGAGGAAAAGASAAGGGIARSSSCLPFITDEFSQRVNIN >OGLUM06G19370.1 pep chromosome:ALNU02000000:6:21392927:21394096:-1 gene:OGLUM06G19370 transcript:OGLUM06G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQVKHSYDKKNEYLEDAENQLAHDDRSMFQGRKERGGSYGDGILEKGGENPDVVSKPDEENIGETVFEKDNTDSHDDDIRNTDRSKAGEGHGSSADGNTEANSNDEDGTTNHSEGEKSDAESNSSDAESKGEDHSTGDDMPQSNTVLEESSAETNRMPHEEVAHGDESTNEDQSNVKSDGSNEEEAEKKEAVDSQNASESLSDDAKGGTDDEHSSGTLPDETGNLPSGQNENSQSDATSTTSDASSEAVHIETGSENEDAAKSSGTASGDDDKGSAYEASDSNETKSDEGNVAAEVSNDQAANTEAGNSQEASAAEAANGSSEETKPVENQNDGNTESSNNGEQVDIKMETSASTNAEQKESQVGDGSSGSNGSNDSGAEQTGTTETQ >OGLUM06G19380.1 pep chromosome:ALNU02000000:6:21444778:21445578:-1 gene:OGLUM06G19380 transcript:OGLUM06G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLWHVVRAVLFMLRKGMSKRKLAMDLHLLLHRGKIAGNKALGKIMNTTTATASHGHGHAADAASTAAGEAAAAAPFSCGRALDPALAVYDPRGAGLEVEFSCSNTPSYPSSFHLIPTKRRRRNNNGSNGRRRGGGGRGANGGEPGWYNYDAADIARVFEILNSSDQLLGDGGAAVAATPSPALWRTSFGGRSPAPVRQLRITDSPFPIRDDGGEDAGAGLVDLEAEEFINKFYEQLRTQQQSLATATPDYYAGYSRPVTGVAY >OGLUM06G19390.1 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPNIIIVYKHRKGQSNTGNIFIKKFQYSEKVDVKAVHP >OGLUM06G19390.2 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >OGLUM06G19390.3 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >OGLUM06G19390.4 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >OGLUM06G19390.5 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDLRLSSVSIRHEILHCQKRWSEEICDLGQSLKVLTNAAENYHATLEENRKLFNEVQELKGCKANSIAHLYADILNYQLLILYAYLSGNIRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVMYKVSVQMIEIYNEQIHDLLGNSGSEKKYPFGSQALNQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >OGLUM06G19390.6 pep chromosome:ALNU02000000:6:21478985:21489192:1 gene:OGLUM06G19390 transcript:OGLUM06G19390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKQRRHHARPLAHVFSRARSPPPRLVLALALSLSSSPPRRRRLLGGQTPHHQLFVRVPRLPPSLAMSSAAADRRRAEAVAWLRALLRGCGGGGGGQPLPPPHASEDDLRAALADGALLCAALRRLGCDPAAASDEGTGSAAAAAAAAGEGDVGRFLAAVERMGLPGFSPSDLDTGPVSSVVTCLLALRDQFVSHDVGGLSCSLPEKVMMQSMEFPRKVNDPGTQNSEGRRKIPKNPAMSEPSSPLSQTTLSSISRHAGHSFHDVFQLRQGRYSDLPSSKISEMMKSTSLDNAPTQSLLSVVNVILDELVETKIGEIPYHLACLLRKVILEIERRISTQAEHIRNIIPVVIVACMQQNNLMKAREEKYKSRIRVLEALASGTSDQTHVNSNATNGKAHVSPDHAVHQMKMEKDKTEDKKRLAEKDVVLLVKDKEEDVTRLTKDKEDMAKLLKDKEDIIRLMKEKEEMVWMMREKENMVSLNNGRVEDKHQLTDKDVANSAKYRNEIIKLMKEKEDSNDTIMKLNIELEAMKSSYEGTRILLDSKKKEVLQLLMDKESIEYIVSQLKQELAIERSSHQTHIQELETRAFQANNKLEQRIKEMELMLEDSKTRVRDLEELLESRSQTWEQKEIRLNQFIGLQIQNIQDKALRVHCRIRPFLPGEDQTSTTIEYVGDNGELILANPAKRGKEGHKLFKFNKVLGPSASQDEVFKEIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKDWGVNYRALNDLFHISRSRRDTVIQPNGLAVPDATMHPVNSSSDVIELMRTGLENRSVGATALNERSSRSHSVVTMHIQGVDLKTGVTLRGALHLVDLAGSERVDRSAATGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQNSLGGHAKTLMFVQVNPDVSSYAETLSTLKFAERVSGVELGAAKANKEGKDIKEFKEQLSLLKDKIAKKDEEISRLQLQSHNTPRATAKRADSLLKHSSSSPGISSLGSKIQHRRTASGGRIKIVGSRAGSDVDNFSDISDRHSEAGSMQSVDDIQQSREIMGLSKLSMSEMGHNSVDPELPCFGYDDSEGRLSDISDSGLSMGAETDCSMSSVVELTSLPDQDRVSGTQKEQHMAPSTPKDRLHKVATRASRTTTPKTPQSPTLWPKLRDPPPPRSPMSTSTGKVRVTQATSSSRNSSTQKRWT >OGLUM06G19400.1 pep chromosome:ALNU02000000:6:21486590:21501818:-1 gene:OGLUM06G19400 transcript:OGLUM06G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWESGRRMSRSIGRGMGMEAWGVDEAFMPQNSGGGGGSRGRRRSGRGGTADDDEEALRWAAIERLPTYSRMRTAILSSAEEEAAAAAAGAGKQQYKEVDVRRLGVGERQEFIERVFRVAEEDNQRFLQKLRNRIDRVGIELPTVEVRFEELMVQARCHVGSRALPTLLNTARNIAEAALGLVGVRPGRQATLTILRGVSGAVRPSRMTLLLGPPSSGKTTLLLALAGKLDPSLRRGGEVTYNGFELEEFVAQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLLTELARREKEAGIRPEPEVDLFMKATSMEGVESSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFELFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSKKDQEQYWADKHRPYRYISVSEFAQRFKRFHVGLQLENHLSVPFDKTRSHQAALVFSKQSVSTTELLKASFAKEWLLIKRNSFVYIFKTIQLIIVALVASTVFLRTQMHTRNLDDGFVYIGALLFSLIVNMFNGFAELSLTITRLPVFFKHRDLLFYPAWIFTLPNVILRIPFSIIESIVWVIVTYYTIGFAPEADRFFKQLLLVFLIQQMAGGLFRATAGLCRSMIIAQTGGALALLIFFVLGGFLLPKAFIPKWWIWGYWVSPLMYGYNALAVNEFYSPRWMNKFVLDNNGVPKRLGIALMEGANIFTDKNWFWIGAAGLLGFTIFFNVLFTLSLVYLNPLGKPQAVISEETAKEAEGNGDARHTVRNGSTKSNGGNHKEMREMRLSARLSNSSSNGVSRLMSIGSNEAGPRRGMVLPFTPLSMSFDDVNYYVDMPAEMKQQGVVDDRLQLLRDVTGSFRPAVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDMRISGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEKIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMIEYFEAIPGVPKIKDKYNPATWMLEVSSVAAEVRLNMDFAEYYKTSDLYKQNKVLVNQLSQPEPGTSDLHFPTKYSQSTIGQFRACLWKQWLTYWRSPDYNLVRFSFTLFTALLLGTIFWKIGTKMGNANSLRMVIGAMYTAVMFIGINNCATVQPIVSIERTVFYRERAAGMYSAMPYAIAQVVMEIPYVFVQTAYYTLIVYAMMSFQWTAAKFFWFFFVSYFSFLYFTYYGMMTVAISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPKWWIWYYWLCPLAWTVYGLIVTQYGDLEQIISVPGQSNQTISYYVTHHFGYHRKFMPVVAPVLVLFAVFFAFIFLTYFHPLDPT >OGLUM06G19410.1 pep chromosome:ALNU02000000:6:21516816:21520585:1 gene:OGLUM06G19410 transcript:OGLUM06G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAU9] MKSRTGPPPFHLGPNLDTTSSPLARVSLHKHAHDASPLSLSCLRFSPPRCRRLRRKQQPPASQPASHTMSESKAAAAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OGLUM06G19410.2 pep chromosome:ALNU02000000:6:21516816:21520585:1 gene:OGLUM06G19410 transcript:OGLUM06G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAU9] MKSRTGPPPFHLGPNLDTTSSPLARVSLHKHAHDASPLSLSCLRFSPPRCRRLRLRLRRLRLRLRRRRKQQPPASQPASHTMSESKAAAAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKEKLAKLYEVKDSNCIFVFKFRTHFGGGKSTGFGLIYDNLDAAKKYEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAGKKK >OGLUM06G19420.1 pep chromosome:ALNU02000000:6:21521085:21524551:-1 gene:OGLUM06G19420 transcript:OGLUM06G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:initiator tRNA phosphoribosyl transferase family protein [Source:Projected from Arabidopsis thaliana (AT2G40570) TAIR;Acc:AT2G40570] MAAAAAAAAASSESADAAAAGPSTLSIYKAARRIKRRESTLYNALRSVADDAAFVAEIAALWPALPLVANLRCGLWYAPPRAVAATCYFKSTDGHAGNWAFSTARLNLHLALLAGERGGCIIVDSTRRGKRFPDSMSKTIPIWCCVLNRAIERYRLQTINKSAAVANKDTEKISESSNWDNSVHLPVWVLETEKNAIEGRIEEWTTQFESCGADIRSLALSLQKPLRPLWISQRTRIWLNEVPELESWDFTPIILVSASASGAVATQRMTSEFSWHYIPGAGDDEESWARGLTPTLFWKHSYDLLDCGPDLCNQLVADIVEKDRVYRAQRGEHSPQITAKPLKCSSHDGPFSNDDHTSIVQPMDSDPPTITAMDKQNSSDGHILFWIGTSNLAVASTLQAVGDSLAEVDCILNCDSTSNLPSTSSENSYLELPMVGSKDDLFSLMKNLPKAVSFANRNLIAGKKLLICCQNGEDISICVALAIITRLFDCDGFFDHGNSFLKGDVTKLEMRKRLVFVCKYAVNARPSRGNLKQLFPFLSPARCIYEFRSVREVLVSEFFMSTDELLVYMGNQ >OGLUM06G19430.1 pep chromosome:ALNU02000000:6:21548794:21556124:1 gene:OGLUM06G19430 transcript:OGLUM06G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVEMAVRNGDGGGGGGYYATHPHGGAGGEDVDDDGKQRRTGNVWTASAHIITAVIGSGVLSLAWATAQLGWVVGPVTLMLFALITYYTSGLLADCYRTGDPRCHTSLVAVLKYAGGWQVWSCGVFQYVNLVGTAIGYTITASISAAAVHKANCYHKNGHDADCGVYDTTYMIVFGVVQIFFSMLPNFSDLSWLSILAAVMSFSYSTIAVGLSLARTISGATGKTTLTGVEVGVDVTSAQKIWLAFQALGDIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLCGCLGYAAFGNAAPGNMLTGFGFYEPYWLIDFANVCIVVHLVGAYQVFCQPIFAAVETFAARRWPGSEFITRERPVVAGRSFSVNMFRLTWRTAFVVVSTVLAIVMPFFNDILGFLGAVGFWPLTVYYPVEMYIRQRRIQRYTSRWVALQTLSLLCFLVSLASAVASIEGVSESLKHYVPFKTKS >OGLUM06G19440.1 pep chromosome:ALNU02000000:6:21563666:21570976:1 gene:OGLUM06G19440 transcript:OGLUM06G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTNGNGGGAASAMDVYLPRTQGDVDDDGKERRTGTVWTATAHIITAVIGSGVLSLAWAMAQLGWVAGPITLLLFAAITFYTCGLLSDCYRVGDPATGKRNYTYTDAVKSYLGGWHVWFCGFCQYVNMFGTGIGYTITASISAAAINKSNCYHWRGHGTDCSQNTSAYIIGFGVLQALFCQLPNFHQLWWLSIIAAVMSFSYAAIAVGLSLAQTIMDPLGRTTLTGTVVGVDVDATQKVWLTFQALGNVAFAYSYAIILIEIQDTLRSPPPENATMRRATAAGISTTTGFYLLCGCLGYSAFGNAAPGNILTGFGFYEPYWLVDVANACIVVHLVGGFQVFCQPLFAAVEGGVARRCPGLLGGGAGRANGVNVFRLVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQLPRFSAKWVALQSLSLVCFIVTVAACAASIQGVLDSLKTYVPFKTRS >OGLUM06G19450.1 pep chromosome:ALNU02000000:6:21570551:21573367:-1 gene:OGLUM06G19450 transcript:OGLUM06G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRKKCKAAAVATTDGGGEDRISALPDEVLQRALSFLPSRDVVRTCVLSRRWRHQWKSVPALRIYAFDDCRDVQHLSDFVTNFLLRRNRLALDECDIICFDEGDGCEVFRENARQWIRYAVSCQVRVLRVSVEAHTRLFGAPLKAQRLKRLELFSVELGAFSLDFSSCRELEELELGGCIIKDKVKQILSESLRRLRIEGCDFFRNRTRISCPNLISLKITDFTLYTPVLMSMPSLASAFIRFGEHCADSCDCYYYGEFGPDYTGCHHSTVKGNGTVLLNGLSDVIQLELISGAGVFIFRRDFRCCPTFNKLKTLLLNEWCMAADSSALIYFLQHSPVLEKLTLQLRKSPRTMVKRGSTNKNQNEKFLVSKHLKLVEIKYCEDEMVQQVLHVLSACGIPSEKIIIQRISSWASGGAQDLRRL >OGLUM06G19460.1 pep chromosome:ALNU02000000:6:21578157:21579279:1 gene:OGLUM06G19460 transcript:OGLUM06G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFATTAPLLALAVLAAVASVAVATAPAGKDPGGFVVTGRVYCDPCRAGFETNVSKSIPGATVSVECRHYGAGRESLKAEATTDEKGWYKVEIDQDHQEEICEVVLDKSSDPACSETEKTRDRSRVPLTSNNGLKQNGIRYANPIAFFRKEPLADCGSILQKYDLKDAPETP >OGLUM06G19470.1 pep chromosome:ALNU02000000:6:21614589:21618980:1 gene:OGLUM06G19470 transcript:OGLUM06G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTPKTVDLTSPLLFHTYISPSHPCTPLITPHPQPQLAAREETGSATKRIMSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPAAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHFGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNAFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNMSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFSESDVLGNIREENVIGSGGSGKVYRIHLASRGGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDSGNFVVHVV >OGLUM06G19470.2 pep chromosome:ALNU02000000:6:21614589:21619468:1 gene:OGLUM06G19470 transcript:OGLUM06G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTPKTVDLTSPLLFHTYISPSHPCTPLITPHPQPQLAAREETGSATKRIMSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPAAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHFGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNAFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNMSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFSESDVLGNIREENVIGSGGSGKVYRIHLASRGGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDSGNFVVHVV >OGLUM06G19470.3 pep chromosome:ALNU02000000:6:21614589:21618453:1 gene:OGLUM06G19470 transcript:OGLUM06G19470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPTPKTVDLTSPLLFHTYISPSHPCTPLITPHPQPQLAAREETGSATKRIMSNTYLPLLPALVAGVLLLAAGCAAAAGDRDTLVAIRKGWGNPRHLASWDPASAAAADHCSWEGVTCSNATTGGGGGAGVVTELSLHDMNLTGTVPAAVCDLASLTRLDLSNNQLTGAFPAAALSRCARLRFLDLANNALDGALPQHFGRLSPAMEHLNLSSNRLSGAVPPEVAALPALRSLLLDTNRFTGAYPAAEIANLTALERLTLADNAFAPAPVPPAFAKLTKLTYLWMSKMNITGEIPEAFSSLTELTLLDMSGNKLTGAIPAWVFRHQKLERLYLYENSLSGELPRNVTTANLVEIDLSSNQLGGEISEDFGNLKNLSLLFLYFNKVTGAIPASIGRLPNLTDLRLFGNELSGELPPELGKNSPLANFEVSNNNLSGALPETLCANGKLFDIVVFNNSFSGELPANLGDCVLLNNLMLYNNRFTGDFPEKIWSFQKLTTVMIQNNGFTGALPAEISTNISRIEMGNNMFSGSIPTSATKLTVFRAENNLLAGELPADMSNLTDLTDFSVPGNRISGSIPASIRLLVKLNSLNLSSNRISGVIPPASFGTLPALTILDLSGNELTGDIPADLGYLNFNSLNMSSNRLTGEVPLTLQGAAYDRSFLGNSLCARPGSGTNLPTCPGGGGGGGGHDELSKGLIVLFSMLAGIVLVGSAGIAWLLLRRRKDSQDVTDWKMTQFTPLDFSESDVLGNIREENVIGSGGSGKVYRIHLASRGGGGATATAGRMVAVKKIWNARKLDAKLDKEFEAEVTVLGNIRHNNIVKLLCCISSQDAKLLVYEYMENGSLDRWLHHRDRDGAPAPLDWPTRLAIAVDAARGLSYMHHDCAQAIVHRDVKSSNILLDPEFQAKIADFGLARMLVKSGEPESVSAIGGTFGYMAPEYGYSKRVNEKVDVYSFGVVLLELTTGKVANDAAADFCLAEWAWRRYQKGPPFDDVIDADIREQASLPDIMSVFTLGVICTGENPPARPSMKEVLHHLIRCDRMSAQGPEACQLDYVDGAAPLLEAKKGSRRRSSESGRWDDDDDDSGNFVVHVV >OGLUM06G19480.1 pep chromosome:ALNU02000000:6:21655626:21657262:-1 gene:OGLUM06G19480 transcript:OGLUM06G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLAVLVGGVLLLLGGEGSSQLAVGDRHTLVAIRKGWGNPRHLASWDPAAAAADHCSWEGVTCSSNATTSRGGGVVTELSLHDMNLTGTVPAAVCDLASLTRLDLSNNQLTGAFPAAALSRCSRLRFLDLANNALHGALPRDVGRLSPAMEHLNLSSNRFSGAVPPTVAALPALRSLLLDTNRFTGVYPAAEIGKLAGLKCLKLGDNEFSPAPVPVAFAKLNKLTYLGMSEMNIIGEIPEALSYLTELTELDLSVNNLTGAIPAWVWRHEKLEYLYLKNNTLTGELPRTVTANNLTGTIPASIGLLAKLSDIWLDDNNLFGELPPNLGKHSPINSITIANNNLSGPLPEMLCAKGKLNYVIAFNNNFSGNLPANLGDCVMLIYLMLNNNQFSGDFPEKIWLIPKLNTVTIQNNDFTGALPAVISPNIQWIEMGNNMFSGSIPRTAIGLLVFTAENNQLDGELPADMSKLANLIELKVPDNRITGPIPASIKLLLNLKSLNLSGNQLIGAIS >OGLUM06G19490.1 pep chromosome:ALNU02000000:6:21674953:21680827:-1 gene:OGLUM06G19490 transcript:OGLUM06G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMNITGEIPEALSSLTELTLLDLSSNNLTATIPAWVWWHEKLEHLYLDNNTLTGELPRTVTAVNLIHIDLSINQLRGDIWLYDNNLFGELPPDLGKHSPINYIVIHNNNLSGTLPETLCANGKLDTIITSDNNFSGNLPANLGDCVIRQLPGEDMNNNFTGALPAVISPSIRRIDMGNNMFPGSIPTKAINLHVFTAGTTSWTASYEQSTVANGVAVASFHHWLRFPRSVRVCGYGAGGSALNLVSPQGDGGPPTNGLRVLMGRDQGPLVPLGPNGSQLAAGDRDTLVAIRKGWGNPSLLTSWDPASASDHCSWDGVTCSDATSGGGGRVVTELSLYDMNLNGTVPAAVCDLANLTRLDLSNNDLSGAFPAATLYRCSRLRFLNLAYNALDGALPRDIGNLSLAMEHLNLSWNSFSGTVPTAVAALPALRSLILDTNRFTGVYPAAEIGELVGLECLTLADNAFAPAPVPVAFARLTKLTYLWMSEINIIGEIPEAFSSLTELTLLDLSSNNLTGAIPAWVWRHEKLEYLYLYENNLTGELPRNVTTVNLIEIDLCINQLRGEMSEDFGNLKNLTSLSLCQNNLTGMIPASIGLLPKLSHILLADNNLFGELPPDLGKHSLIDNIRIANNNLSGSLPATLCANGNLNTIAAFNNNFSGNLPANLGDCVLLHNLMLANNRFSGDFPEKIWLLPKLETVTIQNNNFTGALPTTISPNMYQIEMGNNMFSGSIPRTAINLQVFRAENNQLDGELPADMSKLANLFELKVPGNRITGPIPASIKLLLNLKSLNLSGNQLTGAIA >OGLUM06G19500.1 pep chromosome:ALNU02000000:6:21680848:21683698:-1 gene:OGLUM06G19500 transcript:OGLUM06G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHLSSNNFGGVVPAALAASPALTHILASRFQPVHWGIPDRVQPGEGERVVTGLSLAGMNLTRTIPAAVGDLKNLTWLDLSYNNLSGAFPAWSRALCSGSLTSPTTPSTTAPLPRDIGSLSPAMEHLNLSSNSFSGGVPSAVAALPALRSLILHTNLFTGVYPAEAISNLSRLESLTLAYNVFALAPVPVAFAPG >OGLUM06G19510.1 pep chromosome:ALNU02000000:6:21683906:21684170:-1 gene:OGLUM06G19510 transcript:OGLUM06G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTCLLYILIGGVLFQLLAGKCSSQLTAGDCETLVPIRKGVRNPRQLVSWDPAVAAADYCSWVGVMCSSNTSIGQDGGRVVTGMS >OGLUM06G19520.1 pep chromosome:ALNU02000000:6:21695757:21697091:-1 gene:OGLUM06G19520 transcript:OGLUM06G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNICLLPVVIGGVLLLLAGECSSQLAAGDRDTLVAIRKGWGNPRSLTSWDPASAIDHCSWDGVTCGGRVVTELSLHYMSLTGTVPAAVCDLANLTRLDLSYNDLSGAFPAATLYRCSRLRFLDLSNNGLDGALPHDIGSLSPAMEHLNLSMNSFSGTVPPAVASLPALRSLLLDTNGFTGAYPAAEIGKLAGLECLRLSGNEFAPAPVPVAFAKLTKLTYLGMSEMNIIGEIREALSSLTELTLLDLSSNNLTGAIPAWVWRHEKLEYLYLYNNTLIGELPRTVTAVNLIEIDLSINQLRGEMSEDFGNLRNLTFLSLYMNKITGTIPASIWLLPELVLVRIQNNNFTGALPAVISPNIQWIEMGNNMFSGSIPRTAITLRGFWAENNQLDCELPADMSKLASLTDLSVPDNRITGPIPATIKLLLNLKSLNLSGNQLTGPIP >OGLUM06G19530.1 pep chromosome:ALNU02000000:6:21728288:21728734:-1 gene:OGLUM06G19530 transcript:OGLUM06G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTWTLPAAMCDFVNLTRLDLSNTSLPGTFPGATLYRCSQLRFLDLANNTLHGALPRDIGNLSPVMEHLNLSWNSFSGDFPEKIWLLPELEWVVIENNNFTGALPAVISPNIQRIEMGNIMFSGSIPRTAIKLSVFTAENNQLDGEL >OGLUM06G19540.1 pep chromosome:ALNU02000000:6:21733538:21736443:1 gene:OGLUM06G19540 transcript:OGLUM06G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVAAMDNPHLVHTILLAQSLLLFTCLFLHSNCETITRDDEKAVLLSLERSWGGSVTVNWSSVIYEDQCNWPGINCTDGFVTGISLTGHGLNSLPAAICSLTKLSHIDLSRNSISGSFPTALYNCSNLRYLDLSYNSLVNSLPSNIDRLSPRLVNLNLASNSLSGNIPSSIGQLKVLTNLYLDANQFNGSYPAEIGNISALRVLRLGDNPFLSGPIYPQFGNLTNLEYLSMSKMNIIGKIPAAMSKANNVMFFDLSGNHLSGSIPSWIWSLKRLVTLQLYANHLSGQINAPIESTNLVEIDVSSNNLSGQIPEDIGQLEELERLFLSNNHFTGSIPDSVALLPKLTNVQLFQNSFEGILPQELGKHSLLFNLETHYNNFSGTLPEGLCSKGALAYISMSANMFSGELPASLLRCNSLNYVWLSNNNFSGTFPAGLTEVQIQEVNLSGRLPSNWASNLVEIDLSNNKFSGRLPNTIRWLKSLGVLDLSENRFSGPIIPEIEFMNLTFLNLSDNQFSGQIPLLLQNEKFKQSFLSNLGLCSSNHFADYPVCNERHLKNRLLIIFLSLCLTSVLLIWLFGLLRIKVLPRRQNENTTTPRWKLTAFHNINFNYQDIICGLADNNLIGSGGSGKVYKICLHNNSYRFVAAKKIVSDRSRSNMLEKHFQAEVEILGSIRHANVVRLLSSMSSTESKVLIYEYMENGSLYQWLHQKDMHNNNEPLSWPRRMSIAIDAARGLCYMHHDCSPPIAHCDVKPSNILLDYEFKAKIADLGLARALAKAGEPESISTTVGSFGYMAPEFGSSRKINEKVDVYSFGVVLLELTTGRFANGGGGYENLAQWAWRRFQDEDFQLIDVIDGDIQDPAYLQEVQLVFKLGLICTGAKPSSRPSMKEVLQVLQR >OGLUM06G19550.1 pep chromosome:ALNU02000000:6:21768116:21769834:-1 gene:OGLUM06G19550 transcript:OGLUM06G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAW5] MCTTTSAPSVPEVATPADGGGYHVYVSLPQCTDGGDVEGGHCRPVVHQVKCRGGDDDGGGGGRGGGVVMPAAGETVREAAALCRLACPIALTALMLYSRSALSMLFLGSLGDLPLAAGSLAVAFANITGYSVLSGLSLGMDPLCSQAFGARQPRLLGLTLYRSVLFLLCCSLPLSALWLNMAKILLFLGQDRDITAMAQDYLLFSLPDLFSFSLIHPLRVYLRSQGITQPLAVAAAAAVVFHVPANYVLVGRLRLGAPGVAAAASASNFVLLAVLLAYVARRDEALREAGGPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILLCGLLPEPRPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAPHMATVGCGVLRGTARPARAAHVNLGAFYLVGMPVAVVLAFGLGVGFVGLWVGLLAAQVCCAGLMLCVVGSTDWEAQARRAQALTSSAAVSGKADAAEGGGRWPEKGEHQEGEKRRHVALISSEEADPETAEVL >OGLUM06G19560.1 pep chromosome:ALNU02000000:6:21797012:21802798:-1 gene:OGLUM06G19560 transcript:OGLUM06G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNAATAAAVAEQPQKQYGITKPISLAEPAEVDLQKTADLEKFLVEAGLYESPEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEPNNGIEVVSKAHAGLV >OGLUM06G19560.2 pep chromosome:ALNU02000000:6:21797012:21802798:-1 gene:OGLUM06G19560 transcript:OGLUM06G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNAATAAAVAEQPQKQYGITKPISLAEPAEVDLQKTADLEKFLVEAGLYESPEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEPNNGIEVVSKAHAGVNSDAPQKASLRHDPELNPWLV >OGLUM06G19560.3 pep chromosome:ALNU02000000:6:21797010:21802798:-1 gene:OGLUM06G19560 transcript:OGLUM06G19560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAACNAATAAAVAEQPQKQYGITKPISLAEPAEVDLQKTADLEKFLVEAGLYESPEESARREEVLGELDKIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIVLHDILAQTEEVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPPDLDISQGSVLYDVDEVTVRSLNGCRVADQILRLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIEEDELGFPVWDPRKYHRDRSHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIDISKANWSALFEPFQFFEAYKNYLQVDIIAEDGEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQCAHCAFFMGLSRKEGAKIQEGQQFDIRGTVDEFRHDIGMYGYWRPGMELAVSHVRRKQIPSYVFPEGYKRPRPSRHINHPQQSNKNDVEDGTANRSPDGQPKRKHDTAGVYDSEPGRSVKRASISPSISPVHQKTSSPPSGNIADASGASGGSPVSLANGNLEQANCLNSPLASEKSLDSVTSGSKCVGVEAVCPSDATKEHDNCGSNMKNCTTTTVAVSLKRVAEKVVSELVGSESLGGNKSGELLERAEDMGSALVENVHFGGNGVVQTGLPEELEPNNGIEVVSKAHAGVNSDAPQKASLRHDPELNPCAFTISICSVFFIPCCLVLVCYSIAGLV >OGLUM06G19570.1 pep chromosome:ALNU02000000:6:21806023:21824407:1 gene:OGLUM06G19570 transcript:OGLUM06G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRMAAAQRWRLPVGGGGCMGSDVSPPSSQIRLRQRRRGGGGWGWGVEGSWAVASPLSSPSWWRQRRWAAATSPPDLASSGGCFRLLTVVVRVFLSRDRGRFLHDAFLFITLLPHGRRWSRPATIVLVSFSRAELRGMGCPRAVLQPRI >OGLUM06G19580.1 pep chromosome:ALNU02000000:6:21826397:21827968:1 gene:OGLUM06G19580 transcript:OGLUM06G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIARRRGGGDQGGVAAAAGGDGEAAASGFSSGDSSATTTLRSPASSSLTDDGGEVTSWTSADGGGGGDYCSFSCSSESELELESDDDEEEEEEEEMMQLDGGGHAAGGPLYELAAPLLAQLPLRTGLSKYYQGKSQSFTSLRNARCVQDLAKKTTPYITRMKLQLRRGHGVADRLSNSRRAPGPCSKTMAKKATRCSSDRLLSRAREHKPLHSSSSTPAQQSKKELSRC >OGLUM06G19590.1 pep chromosome:ALNU02000000:6:21828140:21831044:-1 gene:OGLUM06G19590 transcript:OGLUM06G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLLLLLLLVVALLAADAEPVLRTVTGVPTGVSSGGGGGEYSDELYCDGWRLSVETGNAGPWTAIPPRCLEFVRAYMEGERYASDSAVAAADSLAFAARALSSGGGGARPAWVFDVDETLLTNAPYYAVNGWGSLEFNETSFDEWVDVAKAPALPASLKLYNELQGLGIHIILLTGRSEFQRNATQVNLLFAGYHSWEKLILRQSPDIGKTAVQYKSERRAALEAEGFKILGNSGDQWSDLLGLPMATRSFKLPNPMYFIS >OGLUM06G19600.1 pep chromosome:ALNU02000000:6:21832211:21832727:-1 gene:OGLUM06G19600 transcript:OGLUM06G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCDDKVAKQLGNEAARHEEARCRGDVVTKRWRGNKQVRGRGCMAMKKRHNKDMQVITVGLIGHPRITYDPCPYFAHQRAGRT >OGLUM06G19610.1 pep chromosome:ALNU02000000:6:21835958:21837994:-1 gene:OGLUM06G19610 transcript:OGLUM06G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGHLAAPLLDGGGGGVDDAALLRRLYVGHFLARWGARMWEFSVGLYMIRIWPGSLLLTAVYGVVEASAVAALGPVVGAVVDRLSYLRVLRLWLLAQGASFVAAGASVTALLVYGGRLAAAAAAGFPAFVALVVVTNVSGALAALSTLAGTILIEREWVVVISGGQPAAVLPGINSVIRRIDLSCKLLAPVLSGFIISFVSMEASAAALAAWNLAAVWVQYWLFVSVYAGFPALSEASQLSRRRADDEAEAQPQKAERLWTTMLPCWESWAVYARQEVVLPGVALAFLYFTVLSFGTLMTATLDWEGVPAYVISLARGVSAAVGIAATWAYPAAQARVSTLRTGLWSIWAQWCCLLVCVASVWVGAGGAGGALASAWMLMGSVAASRLGLWMFDLAVMQLMQDGVPESDRCVVGGVQNSLQSMFDLLTYVMGIIVSDPRDFGELIVLSFFLVTCAAVMYTMHVYRVRKHLFHLDRILPKMNWIKAS >OGLUM06G19620.1 pep chromosome:ALNU02000000:6:21865191:21877262:1 gene:OGLUM06G19620 transcript:OGLUM06G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWIDRQHLGRGINGETRFLVSIKAIASAVWHGVVHATSHMTASRLGRLRNLTAVSDMSGKDGEVDLRGGAAAKTGWAEPAHDAADRMMGVSAELAIHHRWRRRLTLVVVSSEMNGTTNATSCEANLSYIIGEGEPIWKANIDAIQIVYQKCEIQGEEKI >OGLUM06G19630.1 pep chromosome:ALNU02000000:6:21870924:21877896:-1 gene:OGLUM06G19630 transcript:OGLUM06G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVVTEDQAGGGGGGHRRLIGSRIEEHRKYMSEESCCPRCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLEAKVQPGGEAAAHPLIDEFIPTIEGEDGICYTHPEKLPGVSKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAAASSGGGGGNASSSSAAAAAVARHGHQQQQQQQRSETRWHKTGKTRAVVGGGRQRGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGEAEEERDGELVVSKIFYQTQPRQCAAADAAATASAYRRTTSGRDRAAAAAAAAAAAAPMASANVSVAAFHGGAAGIDEFSFAQFRSSFEEAGMGASSSDHQSAMVDQRRQQQQHDDDEHDHRRGGGGHHYVGQQQSVAATFHVVSSPADPIARLMSPPPAHQGTVMLRQPEPPYIYHHQEDERPHQPRKFDGRSTSGSGLEEVIMGCTSRRSKGGETSGGKDGTEWQYPSFWPSDSQDHHGWKFHLSAQIYMCKETKMILKQSKRERQKKKKERKNKGDITRKI >OGLUM06G19640.1 pep chromosome:ALNU02000000:6:21887943:21891799:-1 gene:OGLUM06G19640 transcript:OGLUM06G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTSRRSTTTSTGAASGSSTPPSRSMDWSTVLELMITRRVGFLRWNQSAAQGSCTDRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKPIPGWVNRLAKLGAFCNCLLPESMRLDSTGTKHLADCHFSDDSHTSSNEHFEDEDLEDKHLLSQSSVSEDAIVKEVHR >OGLUM06G19650.1 pep chromosome:ALNU02000000:6:21892521:21893490:-1 gene:OGLUM06G19650 transcript:OGLUM06G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRERGVFNASEMVVEIQSVEAMGNKDLFVVGRSPACIPPCCSWSPLLSVSIGLLLNTKWIIELVILNIARNKGIMSDQSFTVIGAGVRGGAESLITAMVSPFLAMVVKPPRRLVFYKRRTVAWAHPEPESELRILACVRVPRDVPALLTLLDVVTPSSRSPVGVHALHLIEFVGRSSSSTHPCRRRPPTTYDASVHGLSHTKMQFKHISHAFVAYEEQAVGVSMRTMAAVLRPCSSSPAACARRPPAPAGGSPCSCSPLPPVEIGEERREEERWRGERRRG >OGLUM06G19660.1 pep chromosome:ALNU02000000:6:21899111:21902424:1 gene:OGLUM06G19660 transcript:OGLUM06G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGATLYLYLFPLLLHLHCHQCKPAAAAASFVVHGGGGATAKAAAVFVFGSSLVDNGNNNHLNGSGAVRADYAPYGVDFPLGATGRFSNGRNVIDALGELLRLPAAGLLPPFADPATRGRAALHGGEVLSLKQQITNFEAVTLPDLRAHLQGATTATTTTGHKMKGQDFFDQCYLPKSLFIIGTGGNDYLLNYFNAGSGPTRAPLSEFTSSLLTKLSNHLQRLYDLGARKFVLFSIQPLGCTPVVRTFLNATSDACIEPMNHAALLFNSGLRSIVKNHNGGVRSHMPGASFVYVNSYKIISDIIQHPAKYGIRKTSRACCEVSRGGVLCQKGGPICSDRTKYAFFDGLHPTDVVNARLARKAYGSNSPDKVYPINVKKLAML >OGLUM06G19670.1 pep chromosome:ALNU02000000:6:21913373:21919457:1 gene:OGLUM06G19670 transcript:OGLUM06G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITIEQPHLDAIADRKVAGGGGGDNAAELVLDGGFVVPDSNAFGNAFRNYEAESERKETVEEFYRVNHINQTYDFVRRMREEYGRVDKTEMGIWECIELLNEFIDDSDPDLDMPQIEHLLQTAEAIRKDFPDEDWLHLTGLIHDLGKVLLHPSFGELPQWSVVGDTFPVGCAFDECNVHFKYFKENPDYLNPKLNTKFGAYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYMHLMNDEDKENLKWLHVFNKYDLYSKSNERIDVEKVKPYYMSLIEKYFPAKLRCRRQMGRGGHRGRPGSPRLGRGGTGMTPAGWGGRRRGTPPPPPPADVPAPDDDDPAPENMAAAAGPRKKLSCVAPAAAAAAPTAGSWAPGIM >OGLUM06G19680.1 pep chromosome:ALNU02000000:6:21918856:21919482:-1 gene:OGLUM06G19680 transcript:OGLUM06G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAAAGRREGARVVVAGERDGPTRGSSCCCCWRTRRAWRRCSTRRSNAPTRRAPWGKFVNRVEVKAALGARGDVEWEECSDAVGAAMHGDVMKSVKPNVEALLRGTRVLLYQGIRDLRDGVVSTEAWMRELKWDGLAAFLDADRAVWRIGEELAGYVQRSGPLSHIVVYGAGHLLPADNSHAAQEMVEIWVLQAALFRHHGGMKRAG >OGLUM06G19690.1 pep chromosome:ALNU02000000:6:21928435:21936595:1 gene:OGLUM06G19690 transcript:OGLUM06G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 4 [Source:Projected from Arabidopsis thaliana (AT2G19600) TAIR;Acc:AT2G19600] MLPRRRAGPLRLLRLLLVLVVAFAAGRRRRDLGLRGRGGGGFWDYLGLPFCAYLFRRLWFFAEKDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKSFPFKEVFLDRPEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATFGGIAFACLGQPVITGYLLAGSIIGPGGFSFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIILFMLLCGISATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGASGLLQGVASMAKSLVVLITFLTILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAVVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKSDGLRIDSGKRINLIVQGSHDS >OGLUM06G19700.1 pep chromosome:ALNU02000000:6:21946630:21946938:-1 gene:OGLUM06G19700 transcript:OGLUM06G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEFQKKDRVASLHPAQNTSKNIQEHLNMNIKNILPSHWEPSKLLIPIKNTITKYTSRTYR >OGLUM06G19710.1 pep chromosome:ALNU02000000:6:21961361:21967194:1 gene:OGLUM06G19710 transcript:OGLUM06G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTFSELHQANSAIKNRAAPRRARHWQQLGSAMAGRSGENGGAGGGDLSEPLLGKEAPRRYSELYGAGVLSRLSFSWLNPLLRLGRSKALDLADVPLIASEDGAARASERFAEAWSLHGHGKDGGGGGRLVGVLLRCFLGEIMLTGFYALVKTLAIAVSPLLLFAFVRYSSHKAEEEERRDLAAAGASAAVALVGSLLAIKLAESLSQRHWFFGSRRTGMRVRSALMAAVFRKQLQLSARARRRHSAGEVVGYVAIDAYRLGDAVSWLHTSWSSPLQLALAVATLLWALRLGALPGLVPLGYQSRFMAAQDGRLRSTSEALAGMRAIKLQSWEGAFRRAVESRRGGEFAWLREAQLKKAYGAVLYCAAPTVVSAVMFAATAAAGSAPLDAGTVFTALAALRAMSEPVRMLPEAMTMMIQYKVSLERIGRFLAEEEIKQDDVTRAATTTKNSDAGIIHVQDGSFSWSGSEAELTLKNAHLSIRRGEKVAVCGPVGSGKSSLLCALLGEIPRTSGMVELYGTVAYVSQNSWIQSGTVRDNILFGKPFENFDHGDLTEIGQRGINMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTAAVLFYDCVMTALSEKTLVLVTHQVEFLTETDRILVMEDGYVKQQGVYAELMESGTAFEKLVSAHKSSITALDDSSQQSQVQEQNVTDENTSGQASDIDSVSAKGQPSATQLTEEEEKEIGDLGWKPYKDYINVSKGITHLCVMGVTQVLFTSFQMMATFWLAVAVQMNVSSALLVGAYSGLSILSCCFAYIRTLYAVKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGASELITTILVTGAVTWQVLIVAIPVTITVAYVQAPVMNYAAESILSVVTIRSFGETDRFIRNNLLLIDTDATLFFHTVAAQEWVLIRVEALQSLTLLTAALLLVLAPPGAVSPGFAGLSLSFALSLTAVQVFLTKFYSYMENYIISVERIKQYMHLPPEPPAIIPENRAPSSWPQEGQIDLQDLKVRYRPNMPLVLKGITCTFPAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALEKCQLQTAIRSTPALLDTVVSDDGSNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAIIQRVIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGKLIEYDTPAKLLEDKQTAFAKLVAEYWANSKRNAT >OGLUM06G19710.2 pep chromosome:ALNU02000000:6:21961361:21967443:1 gene:OGLUM06G19710 transcript:OGLUM06G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTFSELHQANSAIKNRAAPRRARHWQQLGSAMAGRSGENGGAGGGDLSEPLLGKEAPRRYSELYGAGVLSRLSFSWLNPLLRLGRSKALDLADVPLIASEDGAARASERFAEAWSLHGHGKDGGGGGRLVGVLLRCFLGEIMLTGFYALVKTLAIAVSPLLLFAFVRYSSHKAEEEERRDLAAAGASAAVALVGSLLAIKLAESLSQRHWFFGSRRTGMRVRSALMAAVFRKQLQLSARARRRHSAGEVVGYVAIDAYRLGDAVSWLHTSWSSPLQLALAVATLLWALRLGALPGLVPLGYQSRFMAAQDGRLRSTSEALAGMRAIKLQSWEGAFRRAVESRRGGEFAWLREAQLKKAYGAVLYCAAPTVVSAVMFAATAAAGSAPLDAGTVFTALAALRAMSEPVRMLPEAMTMMIQYKVSLERIGRFLAEEEIKQDDVTRAATTTKNSDAGIIHVQDGSFSWSGSEAELTLKNAHLSIRRGEKVAVCGPVGSGKSSLLCALLGEIPRTSGMVELYGTVAYVSQNSWIQSGTVRDNILFGKPFENFDHGDLTEIGQRGINMSGGQKQRIQLARAVYSDADVYLLDDPFSAVDAHTAAVLFYDCVMTALSEKTLVLVTHQVEFLTETDRILVMEDGYVKQQGVYAELMESGTAFEKLVSAHKSSITALDDSSQQSQVQEQNVTDENTSGQASDIDSVSAKGQPSATQLTEEEEKEIGDLGWKPYKDYINVSKGITHLCVMGVTQVLFTSFQMMATFWLAVAVQMNVSSALLVGAYSGLSILSCCFAYIRTLYAVKLGLKASKAFFTGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSVAYVVVGASELITTILVTGAVTWQVLIVAIPVTITVAYVQAPVMNYAAESILSVVTIRSFGETDRFIRNNLLLIDTDATLFFHTVAAQEWVLIRVEALQSLTLLTAALLLVLAPPGAVSPGFAGLSLSFALSLTAVQVFLTKFYSYMENYIISVERIKQYMHLPPEPPAIIPENRAPSSWPQEGQIDLQDLKVRYRPNMPLVLKGITCTFPAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDNLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDEEIWEALEKCQLQTAIRSTPALLDTVVSDDGSNWSVGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAIIQRVIRQQFSSCTVVTIAHRVPTVTDSDKVMVLSYGKLIEYDTPAKLLEDKQTAFAKLVAEYWANSKRNAT >OGLUM06G19720.1 pep chromosome:ALNU02000000:6:21990403:21991026:1 gene:OGLUM06G19720 transcript:OGLUM06G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHVERALREGLTEEERAALEPAVMAHHTFPPSTTTATTAAATCTSLVTQRVAAPVRAVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASTSTERLEMLDDDRHIISFRVVGGQHRLRNYRSVTSVTEFQPPAAGPAPAPPYCVVVESYVVDVPDGNTAEDTRMFTDTVVKLNLQKLAAVAEDSSSASRRRD >OGLUM06G19730.1 pep chromosome:ALNU02000000:6:21997722:22000230:-1 gene:OGLUM06G19730 transcript:OGLUM06G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSNPSYHQLGLDAISCCFVAGGGGAEAAAPFFGFGFGDVDGEFLVASPVAAVGDELACAVPLRRPQGSVSEEEVNAAAVAAAAAGGAESCSTVPSVLGSVEFGCGTSSGVTIAQASRMGRLAGEAPCGDAGGGGWIYGGSGIAPLHGAYYLSGFSSGAGAGFLSPFAASSVAAAAPAASELSLRLGATKCSSPSSMANASSEVSCSGLTHVSSGGGLGYHQAAAAGAGAALFHPTHGDGAAAAAAGELRQAYHSRAPPHFSQVVSRSAVLAHVAQELLNGFVACLLQDVAADAASGVDGGEASPALSSGFSARITTAPTEDASPGSGGARWAAEAQRLRKLLQLVDEKCNQCVEEMQSTAARFNSMVRSTGGGGGGLTAAFAGRAVAAAYRRVRRRVMGQLVAAATARSSSSAAAAALEEKERSWESSFIQKHWAMQQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDSEKDMLAARSGLSRSQVSNWFINARVRLWKPMIEDMYEELKKTSGGSDGAAEIEHLSSKDVLSLES >OGLUM06G19740.1 pep chromosome:ALNU02000000:6:22031352:22035254:-1 gene:OGLUM06G19740 transcript:OGLUM06G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT1G24510) TAIR;Acc:AT1G24510] MALAFDEFGRPFIILREQEKKSRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGSLLEQAEKLLERGIHPIRIAEGYELASRIAFDHLEHISHKFEFSATNIDPLVQTCMTTLSSKIVNRCKRTLAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELVYGIIVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGIVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRSFADALDAIPLALAENSGLSPIDTLTAVKSQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDY >OGLUM06G19750.1 pep chromosome:ALNU02000000:6:22039695:22049904:-1 gene:OGLUM06G19750 transcript:OGLUM06G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MGGCWCRSCSSNGARATAHVDADSQHPLLALSLSLTLPRRRRRRYPTGGVTARPPLEPRDAGSHRAHRRRFVRPLPTTQAGGASAMFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGTRVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >OGLUM06G19750.2 pep chromosome:ALNU02000000:6:22039695:22049904:-1 gene:OGLUM06G19750 transcript:OGLUM06G19750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MGGCWCRSCSSNGARATAHVDADSQHPLLALSLSLTLPRRRRRRYPTGGVTARPPLEPRDAGSHRAHRRRFVRPLPTTQAGGASAMFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGTRVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQGSSMRHPQLLKPQLQSGSDIRQADYFAGRSAPIHQGSQDIGAYGRVEADHRNLSILGTAPYGGQQSASLLGGAPRTNIDSLSYGQGSSSSGYGMGLPPGRDYASGKGLLHPSSDSDYRDSILSRVHPGISMVDERAVDRVGYRRELDLRDEERRRDLLLEREKERDRERERELRDLRDRERERERERDRERLRERERERELERERERLRERRMKERERDRKHPADSRREHTPPRTPGDRRRSSSVRAEKPLRRPSPRRDAVHRHRSPVKEIKREYICKVLPFRLVDNERDYLSLTKRYPRLSIAPDFSKIVFNWTKESLNLSLHTPVSLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >OGLUM06G19750.3 pep chromosome:ALNU02000000:6:22039695:22049904:-1 gene:OGLUM06G19750 transcript:OGLUM06G19750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP/GTP-binding protein family [Source:Projected from Arabidopsis thaliana (AT2G03150) TAIR;Acc:AT2G03150] MGGCWCRSCSSNGARATAHVDADSQHPLLALSLSLTLPRRRRRRYPTGGVTARPPLEPRDAGSHRAHRRRFVRPLPTTQAGGASAMFPPKGSNPYGQQQSYGGQQSYGQIPGSSGFSSSAATGGADGSRFGTRVGQGAAGQYGGPYASVYGTQQVGGLGGKGPASSSIPNLPEPSKFSSGSVGSSIARPNDDYMAVRGYGQKLDQYGSDYTLERRMYGDHSANLGRRDGLTDLDRRYPEHVSGGHQIHDRMEQGSSMRHPQLLKPQLQSGSDISLEHGIHEVDDSTDEGSVITSGKTSSTKISEIIWNAKVLLMSGMSNSAFADITSLRSTDERVVHLNNILKFAVFKRDRSLFAIGGPWNAAIDGGDPVVDPSCLIQTAIRHVKELVQVDLSNCTQWNRFLEIHYNRVGKDGLFSHKEITVVFVPNLSECLPSVDLWKKNWTAYRKSRTEKEQLIMKKEKSPTDASKQKQGELGQGKSTDVDQKEDAGHNAAENMKVDNDMDLLGKDGKENPAEHDGQNLGKVEEKNIDKVEEHIEKKGGGVEGNTSGEASVDHATEDKKPTKKKVIKKVVKVVRKKPTGETSAGKSSQEDKNIVPETASVAVEEQVQQKSEDAGKEAEGKKPGKKKVIRRIIKKKPSGSARDSTAPAETSKQAVEVQPEKNNEVLSGAVISEAKLEEASKAPAEDVSKQNKEQEQEEKGQSLPVDQKSNGDKIKQQEVLKQKDIKQDGKNDKAKDDKEKKSRDQKTDSKQKSLTDTKEKKKSDEPPKHPGFILQAKRSKDSKVRSTSLSLDGLLDYTANDLEESVFELSLFAESFSEMLQHKMGCVILSFLEKLYKRYVIKRNQRKRQREEDLKKEDKKTSEKRPKTNDETLSESGPSNQGESVEMIKAGKGTAHSAGQPNKDDETKMNAGHSAAAQDELVKEGKEKMSADHSTAPPKELIKEGEENMNTDNSAAVRVEPVADEKMEDEEPDYEEDPEEVELYEDDEEMDEAAAEELVEQNEDNLNDKAKQEVTTEEDGNKNTEERESENNANMLEKAASGEDKQSVVEKSASVEGKQSVSEKGDKAVGKEVKTTRSQKGDSTKDEVVDKELLQAFRYFDQNRAGYLKVDDLRCILHNLGKFLSNRDVKDLVQIALVESNSARDNRIIYTKLAKKVDL >OGLUM06G19760.1 pep chromosome:ALNU02000000:6:22082068:22086185:-1 gene:OGLUM06G19760 transcript:OGLUM06G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGGSVVSVDVERISFGGKEHHIQTNHGSVSVAVYGDHDKPALVTYPDIALNHMSCFQGLLFCPEASSLLLHNFCIYHISPPGHELGAAPVSPSSPVASVDELADQVSDVLDFFGLGPVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCRTPSWTEWFHNKVMSNLLYYYGMCNMVKDCLLQRYFSKFLDQRQSMNVWRFIHTINERHDLTESLKELQCRTLIFVGQNSQFHAEAVHMTSKLDERYSALVEVQGCGSVVTEEQPHAMLMPLEYFLMGYGLYRPSQISCSPRSPLNPFCISPELLSPESMGVKLKPIKTRANLEV >OGLUM06G19770.1 pep chromosome:ALNU02000000:6:22093190:22093717:1 gene:OGLUM06G19770 transcript:OGLUM06G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGGVPRPAMAARPWWFHPHAQDGAPRQEEEYNAGADDFLLLRILVVVAHFLWVTPTTATTTTATTATTTTTMTRAVLQESSSCCGGRGDDKAVDQLMAATTPASQLQMDYTMDQLRNDIAAAAVAAMASPPSPVWEFCSGVHGTYRCCSPERDGEGRREGMEEEDVADVWVPH >OGLUM06G19780.1 pep chromosome:ALNU02000000:6:22098978:22106486:1 gene:OGLUM06G19780 transcript:OGLUM06G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAZ3] MMNPDGGDGDRPEAAGAGSSSAQQEHPTMEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDARDNASRREMERQDAPITRHPEFRYKSEFQSHEPEFDYLKSLEIEEKINKIRWCQTANNSLSLLSTNDKTIKYWKVQEKKVKQVSVMNLDSRSVGTGTTSSASTSSSRGLLPNGGCSDKSSFLNSDILFPPGGYPSLRLPVVASQDVNLVARCRRVYAHAHDYHINSISTNSDGETYISADDLRINLWNLEINNQSFNIVDVKPPNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEAPGSRSFFTEIIASISDIKFSRDGRYILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYGYYLALALSYI >OGLUM06G19790.1 pep chromosome:ALNU02000000:6:22114474:22115312:-1 gene:OGLUM06G19790 transcript:OGLUM06G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESADGVEERQQAWRGKKRGLPRHTELARVTAIASIAWSEVDNHGQREGGTGEGNGHLSPTSSTPPSDLKYQQGQELPNPLVVEPRPPSSPHTSRCILLTLAIASSKPSAAVEVSRSIVTSRLYHAGAHLHLATLIGHRLPSQASTGKYFPVGHPSQIAPGQARLTSEFFGYRPSKNKLQLVDMSILFILGRAHLHLAVLIGHRLSSQTNTSFFCTLCPHSSAHGKYIPVGHPTSEFFVDRLLEKKLQLVDMSIY >OGLUM06G19800.1 pep chromosome:ALNU02000000:6:22122160:22129061:1 gene:OGLUM06G19800 transcript:OGLUM06G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AAZ5] MAPPPSLAPDRAGGEPDDALRLRARAAAAAAGDAPAPQQQQLLWYRASAPAHRRVRESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSGTSLADWPLLILTLPTFPLAALVVEKLAQRKLISKHVVILLHIVITTSVLVYPVVVILKCDSAVLSGFVLMFLASIIWLKLVSFAHTNYDIRMLSKSIEKGVTHDISIDPENIKWPTFKRLSYFMLAPTLCYQPSYPRTTYIRKGWVVRQLIKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMLNILAELLRFGDREFYKDWWNAKTVEEPVHKWVIRHIYFPCIRNGFSKGVAILISFLVSAAFHELCVAVPCHIFKFWAFIGIMFQVGNMIFWFFFSILGQPMCVLLYYHDVMNRQQAQTNR >OGLUM06G19810.1 pep chromosome:ALNU02000000:6:22130165:22130557:-1 gene:OGLUM06G19810 transcript:OGLUM06G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSASYTEKSRSAATVAGAGAGDLRCHSAYYVTSTYSAPPPPPLWYDDAGSGKASKIKKKKAAATWPSSSASKGRVWGGLGLGDTAEMQRRRRVAGYRVYGVEGKVKVSLKSSMRWIKGKCTRVVDGWW >OGLUM06G19820.1 pep chromosome:ALNU02000000:6:22137417:22139883:-1 gene:OGLUM06G19820 transcript:OGLUM06G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIKHGEEGPDMAAPEEEEQGRKGIPSLLSSSEENIASNITQLIGWTPLVEMKNIAKNEGVQARLVGKMEAYQPLCSVKDRSALRMIEDAEEKGLIIPGVTTLIEPTSGNLGIGLVLVAVQKGYRFIAVMPAKYSLDKQMLLRFLGAELILTDPAIGFNGMMDKVEELMKSTPNSHCLNQVTNPANPEAHFKWTGPEIWKDTAGKVDVFVASVGSGGTLTGVGRYLKMKNPPINIVCVEPSESPVISGGSPGPHKIQGTGAGFIPEILDKSVIDEVVTVSTEESMAMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMNSDLFAPVREECDNMTF >OGLUM06G19830.1 pep chromosome:ALNU02000000:6:22156540:22161826:-1 gene:OGLUM06G19830 transcript:OGLUM06G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIGWTPLVEMKNIAKKDGVEARLVGKMEAYHPLGSVKERSALRVIEDAEEKGLITPGVTEENKGLDFGADKR >OGLUM06G19840.1 pep chromosome:ALNU02000000:6:22161931:22173637:-1 gene:OGLUM06G19840 transcript:OGLUM06G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEEDARKGIPFLLSSQEENIAPDILQLIGWTPLIEMKKINASRRAAGVRLVGKMETYQPLCSVKDRTALGMIEDAEKRGSISPGDTLIEATSGNLGIGLAAVAIQKGYKFIAVIPNNYPPDKQKLIKYLGAEVRTTEGPYRNMEKKVEELKKSIKNSYNLDQMVNEANVEAHYKWTGPEIWKDTAGKVDIFVTSVGSGGTLAGVGKYLKEKNQSIRIVAVEPAESPVLSGGKASKHRIQGIGVGFETEILKAHKPIINYEVKTVRSDDAITKARMLAREEGLLVGISAGANIAVCLELAAKEENKGKMIVTMLPSGADRYLSSDLFKY >OGLUM06G19850.1 pep chromosome:ALNU02000000:6:22187556:22190300:-1 gene:OGLUM06G19850 transcript:OGLUM06G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEEGRTGIPSLLSSALIGWTPLIEMKNIPKKEGIQTRLIGKMETYQPLFSVKDRTALSMIEDAEEKGLITPGVTTLIEPTSGNLGIGLVLVAIQKGYRFIAVMPARYSPDKQMLLRFLGAELILTDPAGGYKGAMDKVEDLMKIMPNYHCFNQATNPANPEAHFKWTGPEIWKDTAGKVDFFVTAAGTGGTLSGVGRYLKIKNPSINIVCVEPSESAVISGGSPGSHKIQGTGPGFIPKTLDRSIIDEVVTVSSEESMEMARRLAKEEGLLVGISSGANVAACIKIAAREENKGKMIVTMFPSGGERYMSSDLFADVREECANMTF >OGLUM06G19860.1 pep chromosome:ALNU02000000:6:22191952:22198094:-1 gene:OGLUM06G19860 transcript:OGLUM06G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGFGWGRAGLRPNTRGSARLGLNPGPPKKLRSVKPGKDWIQAYPGLSPSFWASKCTRRRMRPVAMATVVEAGRRRRAAVVVLGDIGRSPRMQYHSLSLANQGGMEVDIVANGGSDPHLLLRENPLIHIHEMLTGISKISGALSMLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASGLRGAKSIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRIADGAFCVTKAMKHELDQKWGIKLGNSICSAMGNDDCISVEKEVEDRNTTVFTGWIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVSATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEEQIKRLKLRRVAFRTMWLASEDYPLLLGSADLGVSLHIFRARPTYEVCAASFSCIDELVKVNNNGLLFSTSSELADELMMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVRS >OGLUM06G19860.2 pep chromosome:ALNU02000000:6:22191952:22198094:-1 gene:OGLUM06G19860 transcript:OGLUM06G19860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGFGWGRAGLRPNTRGSARLGLNPGPPKKLRSVKPGKDWIQAYPGLSPSFWASKCTRRRMRVVWKWTLLQMELTGISKISGALSMLLKAAIQFIILIWYLCFKIPRPDVFIVQNPPSVPTLAAVKLASGLRGAKSIVDWHNFGYTLLGLSHGRSHIIVKIYFWFEKHFGRIADGAFCVTKAMKHELDQKWGIKLGNSICSAMGNDDCISVEKEVEDRNTTVFTGWIDGEIFLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVSATLGEDDSMDEGKLWIDIKNGKQFVYPRLLFIITGKGPDRKKYEEQIKSIDELVKVNNNGLLFSTSSELADELMMLFKGFPEECDELKSLKVGALNTGSSSKWSTEWERYALPLVNQVRS >OGLUM06G19870.1 pep chromosome:ALNU02000000:6:22198217:22198623:-1 gene:OGLUM06G19870 transcript:OGLUM06G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSAKLFGVSIGRKRMRHDGDYDHTATGKAEPMDGRPPAAGERERRGGRRNWREGRERRDTVTY >OGLUM06G19880.1 pep chromosome:ALNU02000000:6:22198771:22199496:-1 gene:OGLUM06G19880 transcript:OGLUM06G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLFFFFLGSSPLPPFSLSLFSLEPVHRAVPPHCRGGLHERLCPHCDVRRHAILRELNTILLRSVAASTQQTSPRTPPRLTRLLRGILVTNPQRRATGSCGVLVTNLVTNDCFRRGERRLLCKIHRRKVTPPAPAMTTTTTGAVTVAAAIPMALPVTTRDGSPVLSSEEQVISFSSSPEPLLMLSQAPSGSGSDGVASGDMGDENERLRRENAQLARELSQMRKLCYNILLLMSKYAST >OGLUM06G19890.1 pep chromosome:ALNU02000000:6:22201240:22202461:-1 gene:OGLUM06G19890 transcript:OGLUM06G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVQHGAQFTVDERVHADVAEHVAVPQLLDGECASVSIVLLEAGRGGEVPECPCVTLNAVTTAFRLSIKRTRALGLPDACKVQMPPFSNYKSGAASSVGQTLTFVGTGSKVTPVTPMGSGVVPLRVSLVGILAGLVVVAVYAISTV >OGLUM06G19900.1 pep chromosome:ALNU02000000:6:22204696:22210069:1 gene:OGLUM06G19900 transcript:OGLUM06G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02150) TAIR;Acc:AT2G02150] MLLPLPPRRHLHLLLLYPRRRPAAAAFSTLPTPPAAAAAAPISHRLRLLRSLQSVPADHLLSHPLPSTAHACLAAHLLARDRLYAHSRRILSRLVAIHRPHLAASLVDLLHRAALALGPRRSALASVVDTLLSVLADRGLLGDAVRAVARVRELRVPPNTRTCNHILLRLARDRSGRLVRRLFEQLPAPNVFTFNIVIDFLCKEGELAEARSLFLRMKEMGCLPDVVTYNSLIDGYGKCGELDEVEQLVEKMRRSGCKADVVTYNALINCFCKFGRMETAFGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDVSLYGALIQGLCNVYKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKIRDLALDPNVQAYTALVDGLCKNGCLNKAVQLFNEMVDKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMREAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDDITYRISTGVDASNRSVICEPSSQHEGLSWHHFAQATSARRFMMSSLVSKNDKISFLMLLLH >OGLUM06G19900.2 pep chromosome:ALNU02000000:6:22204696:22210069:1 gene:OGLUM06G19900 transcript:OGLUM06G19900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02150) TAIR;Acc:AT2G02150] MLLPLPPRRHLHLLLLYPRRRPAAAAFSTLPTPPAAAAAAPISHRLRLLRSLQSVPADHLLSHPLPSTAHACLAAHLLARDRLYAHSRRILSRLVAIHRPHLAASLVDLLHRAALALGPRRSALASVVDTLLSVLADRGLLGDAVRAVARVRELRVPPNTRTCNHILLRLARDRSGRLVRRLFEQLPAPNVFTFNIVIDFLCKEGELAEARSLFLRMKEMGCLPDVVTYNSLIDGYGKCGELDEVEQLVEKMRRSGCKADVVTYNALINCFCKFGRMETAFGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDVSLYGALIQGLCNVYKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKIRDLALDPNVQAYTALVDGLCKNGCLNKAVQLFNEMVDKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMREAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDDITYRISTGVDASNRSVICEPSSQHEGLSWHHFAQATSARRFMMSSLVSKNDKISFLMLLLH >OGLUM06G19900.3 pep chromosome:ALNU02000000:6:22204696:22207866:1 gene:OGLUM06G19900 transcript:OGLUM06G19900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G02150) TAIR;Acc:AT2G02150] MLLPLPPRRHLHLLLLYPRRRPAAAAFSTLPTPPAAAAAAPISHRLRLLRSLQSVPADHLLSHPLPSTAHACLAAHLLARDRLYAHSRRILSRLVAIHRPHLAASLVDLLHRAALALGPRRSALASVVDTLLSVLADRGLLGDAVRAVARVRELRVPPNTRTCNHILLRLARDRSGRLVRRLFEQLPAPNVFTFNIVIDFLCKEGELAEARSLFLRMKEMGCLPDVVTYNSLIDGYGKCGELDEVEQLVEKMRRSGCKADVVTYNALINCFCKFGRMETAFGYFAAMKREGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMALNEFTYTCLIDGTCKAGRLDDAIVLLDEMVRQGVPLNVVTYTVLVDGLCKERKVAEAEDVLRMMEKAGVRANELLYTTLIHGHFMNKNSEKALGLLSEMKNKGLELDVSLYGALIQGLCNVYKLDEAKSLLTKMDESGLEPNYIIYTTMMDACFKSGKVPEAIAMLQKILDSGFQPNVITYCALIDGLCKAGSIDEAISHFNKIRDLALDPNVQAYTALVDGLCKNGCLNKAVQLFNEMVDKGMSLDKVVYTALLDGYLKQGNLHDAFALKAKMIDSGLQLDLFCYTCFISGFCNLNMMREAREVFSEMIGHGIAPDRAVYNCLISKYQKLGNLEEAISLQDEMERVLPSCTDSDTATDDITYRISTGVDASNRSVICEPSSQHEGAGLFS >OGLUM06G19910.1 pep chromosome:ALNU02000000:6:22209933:22212619:-1 gene:OGLUM06G19910 transcript:OGLUM06G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVAVAAAARDQPWLLLPWSWLAGGGAGVVLLVVYFYAPWWGVRRVPGPAALPVVGHLPLLAAHGPDVFAVLAKKYGPIFRFHLGRQPLVIVAEAELCKEVGIRQFKSIANRSLPAPIAGSPLHQKGLFFTRDARWSAMRNTIISLYQPSHLAGLIPTMHSCVARAADAIAAAAAEQRDVDFSDLSLKLATDVIGQAAFGVDFGLTAAAAAAPRSDAGADADADGGEAAEFIREHVHSTTSLKMDLSGSLSIVLGLVAPALQGPARRLLSRMRALLTPDYVGALTYEHLLAGSATTAFTLSSAVYLVAGHPGVEAKLLDEVDRFGPPDAVPTADDLEHKFPYLDQVIKEAMRFYTVSPLIARETSEQVEVGGYTLPKGTWVWLAPGVLSRDEAQFRDAGEFRPERFDAGGEEERRRHAYAHVPFGLGPRACPGRRFALQEVKLAMAHLYRRFVFRRSPRMESPPELQFGMVLSFRRGVKLTAVQRRNAATA >OGLUM06G19920.1 pep chromosome:ALNU02000000:6:22215284:22216314:1 gene:OGLUM06G19920 transcript:OGLUM06G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAAVAPFLVKTFEMVEDPATDAVVSWGGAARNSFVVWDPHAFAARLLPLHFKHANFSSFLRQLNTYGFRKVSADRWEFANEDFLGGQRHLLANIRRRRRGAGTGSTSPRTVNGGAGGSEGEVERLRRDKEALARELARLRRQQQEARAQLLDMERRVRGTERRQEQCTAFLARALRSPDVLDNIARRHAAAVERKKRRMLAAAADDDGLTFEALALAAAADTSHSTGGAVTTDMIWYELLGEEQAEIDIEVDQLVASASAAADTASEAEPWEEMGEEEVQELVQQIDCLASPSS >OGLUM06G19930.1 pep chromosome:ALNU02000000:6:22217480:22219444:-1 gene:OGLUM06G19930 transcript:OGLUM06G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAADGGGGRSTAPPVSLSGDSPKAVLGRGAAADRTVSRRHVTLRLLGSGGDEEEEPRVAFEVVGRNPVVVRSVGGGGGGSRVFRRGEAGELRDGDGLALSLRSPSSVWAVRRSSSKGGDGDGDVEAEVLDAVARRERRTRERKERERRAAEEAMEVTADEEAAAAAEAASNGDSDAEAEDLNFDLASIEPVREFGFLSMGHEFDKYPKGRIRPPKDWNWFLEEVRKGSDDEDDEGGKFKGKGANKKNEGQREDEDWIGESEDEKDSLSRGSSVKRSKYVTRSKEPKKPRKEKTETKDKNKNSGDEVEEGDEDDEEDETLGGFIVNEEDEPMEEVSEEEEDEFDDDDDDD >OGLUM06G19940.1 pep chromosome:ALNU02000000:6:22235711:22236371:-1 gene:OGLUM06G19940 transcript:OGLUM06G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPAEILRRWRVPQIEGDVSFGEVQDLVVDRFFDPIEVSWKIKKWLNVGTERRLRKAITDVHAFAMDIVHAWRQSASVQDRDDVLSRFVASDEHSNEVLRDIILSFLIAGRETTSSGLSWFFWLLSSKPDVMARIADEVRTVRKATGTCPGEPFGFDALREMHYLHAACATDDTLPDGTLIHAGWFVTYNAYAMGRLATIWGED >OGLUM06G19950.1 pep chromosome:ALNU02000000:6:22237407:22244273:1 gene:OGLUM06G19950 transcript:OGLUM06G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB14] METAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >OGLUM06G19950.2 pep chromosome:ALNU02000000:6:22237407:22244273:1 gene:OGLUM06G19950 transcript:OGLUM06G19950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB14] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGDFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >OGLUM06G19950.3 pep chromosome:ALNU02000000:6:22238261:22244273:1 gene:OGLUM06G19950 transcript:OGLUM06G19950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB14] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGDFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >OGLUM06G19950.4 pep chromosome:ALNU02000000:6:22237407:22244273:1 gene:OGLUM06G19950 transcript:OGLUM06G19950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB14] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGDFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTPSITNP >OGLUM06G19950.5 pep chromosome:ALNU02000000:6:22237407:22244273:1 gene:OGLUM06G19950 transcript:OGLUM06G19950.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB14] MGRSGGRRRDRLRWSKLYTFACFRSSHSNNEAVGGGPAAAGGSAVGGPGFTRVVHCNNSAVHRRKPLKYPTNYISTTKYNILTFLPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMIKEGVEDWRRFMQDMKVNNRKVAVHKGEGDFEYRHWEDLAVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQGLIRCEDPNPSLYTFIGNLEYERQIYAIDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSTIEKKMDLIIYILFTVLVLISLISSIGFAVRIKYDLPNWWYLQPEKSNKLDDPTRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDLHMFDEDTGNTAQARTSNLNEELGQVHTILSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVELAAAKQMASGDDGQDIHVQDVWENNEDEIQLVEGVTFSVGRTRKSSIKGFSFEDDRLMQGNWTKEPNSSTILMFFRILAVCHTAIPEVNEATGALTYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKFSSSNGPVEREFKILNLLEFNSKRKRMSVILKDEDGQILLFCKGADSIIFDRLAKNGRMIEADTSKHLNDYGEAGLRTLALSYRVLDESEYSSWNAEFLKAKTSIGPDRELQLERVSELIERDLILVGATAVEDKLQSGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMRRICLSIPTDDQVAQDANKAAKESLMSQIANGSQMVKLEKDPDAAFALVIDGKALTFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPRNLFFDWYRILGWMANGLYSSLAIFFLNICIFYDQAIRSGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSVGTWYLFIIVYGSALRSRDNYQILLEVLGPAPLYWAATLLVTAACNMPYLIHISYQRLCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQRTKIGFTARVDAKIKQIRGKLHKKAPSLTIHTVS >OGLUM06G19960.1 pep chromosome:ALNU02000000:6:22246852:22252005:1 gene:OGLUM06G19960 transcript:OGLUM06G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNGMNGYEEEEEEEEVEEVEEEVEEEEEEEEEEGADATAAAADAAEEVAEERRGGGGEVEGVGNGEEAGRTAGGGEGGDSSGKIFVGGVAWETTEESFTKHFEKYGAISDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLAGKQVEIKKAEPKKPGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSTSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >OGLUM06G19960.2 pep chromosome:ALNU02000000:6:22246852:22251345:1 gene:OGLUM06G19960 transcript:OGLUM06G19960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEENQNGMNGYEEEEEEEEVEEVEEEVEEEEEEEEEEGADATAAAADAAEEVAEERRGGGGEVEGVGNGEEAGRTAGGGEGGDSSGKIFVGGVAWETTEESFTKHFEKYGAISDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLQDEHTIDGRTVEVKRTVPREEMSSKDGPKTRKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHGTGRSRGFGFVTFENEDAVERVMSEGRMHDLAGKQVEIKKAEPKKPGGGDSSSNGRHSHGSGGGHRSSYRGSGGGNSGSSTSGGYGGYGGGYRSAAAAYYGSTGYAGYGRGYGYGGNPAFGSGFGSGYGGSMYGGPYGAYGAYGGAYGGGGAYGAPGGYGAGGYGAYGGAGGMGGGGSTSGRGSSRYHPYGK >OGLUM06G19970.1 pep chromosome:ALNU02000000:6:22252635:22255854:1 gene:OGLUM06G19970 transcript:OGLUM06G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFEKMESSSSSSYIPFIRQIAASVSAASCDAVVGGGGDKDEECRDEAAALRLKMVAVAAILIAGAAGVAIPLVGRRRRGGGGGASSGGLFVLAKAFAAGVILATGFVHMLHDAEHALSNPCLPHSPWRRFPFPGFVAMLAALATLVVDFVGTHFYERKHRQEEAAAAAEEAAAALLEDGGALPVGDGEGRDGRGGKRDAMHIVGIHAHAAAHRHSHAHVHGACHGGAVNDAHAHGHGHGHEEGPSARHVVVSQILELGIVSHSVIIGLSLGVSQSPCTIKPLVAALSFHQFFEGFALGGCISEAQLKNFSAFLMAFFFAITTPAGITVGAAVASFYNPNSPRALVVEGILDSMSAGILIYMALVDLIAADFLSRKMSCNPRLQVGSYIALFLGAMAMAALALWA >OGLUM06G19980.1 pep chromosome:ALNU02000000:6:22270133:22271038:1 gene:OGLUM06G19980 transcript:OGLUM06G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAVIPAVWSAVHGWFTPAVLFLVLNIVIGTIAVTSKVTASSSTAGGGGEGDGYGAWAGGGGGGGGEQRMFSRVPSMALDRLRSFNLSGRFSAAASAPAAPEAAAVVGGVLDLGARDEATTAAVVKDVGGGREREEEVEDEQERAQAAHVVERSKSEATAAADLPRLPARLRKSASDQSAFAHFEAEKKAAAAEVEREAVEARRPATTREPPRVWLRVADKDPEPEEFDDEADDDEPEMDDDDADADAGAGEVDARADDFINNFRHQLKLQRIDSYLRHRDMLRRGHAAAAAAAAVGSDL >OGLUM06G19990.1 pep chromosome:ALNU02000000:6:22339635:22342110:1 gene:OGLUM06G19990 transcript:OGLUM06G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >OGLUM06G19990.2 pep chromosome:ALNU02000000:6:22339575:22342110:1 gene:OGLUM06G19990 transcript:OGLUM06G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAISSPVPVDWYPTLAVVMVAVGLMFTASFFIYEATSSRRNRSLAKEIATATIASVFLGFGSLFVLLASGVYV >OGLUM06G20000.1 pep chromosome:ALNU02000000:6:22348379:22353895:1 gene:OGLUM06G20000 transcript:OGLUM06G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSLLFLVCFFTVAMSQCAAAAKARHFRWEVSNMFWSPDCEEKVVIGINGQFPGPTIRAKAGDTIVVHLKNGLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVADGDEEPFKYDGEINLLLSDWYHESIYTQMVGLSSNPFRWIGEPQSLLINGRGQFNCSLAAAHTPGAKQCAAAGAAGNRHCAPVILPVLPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVEPFAVDDIDIYSGDSYSVLLTTDQDTSANYWVSVGVRGRQPRTAPALAVLNYRPKRASRLPAAAPPATPAWDDFARSKAFTYRILGRAGVTPPPPATSDRRIELLNTQNRMGGGHVKWSINNVSMVLPATPYLGSLKMGLRSALPSAARPSDTFGRGYDVTRPPANPNTTVGDNVYVLAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGDGAFRGDAGDAAALNLRNPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVIFAEAVDRVSELPKAAVSCGATATALMAGAGARAGGHV >OGLUM06G20010.1 pep chromosome:ALNU02000000:6:22360472:22361073:1 gene:OGLUM06G20010 transcript:OGLUM06G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAGDAAAGAGDAIGAHVAFVVEQLWRGERARGARAPSPAAGGACGGGAVAVAGGGTTGAEGGAGPALLPRRRAQSGARRHGAGDAVLGSFLAVVDRMAVTEVELVASPWSAPGLDASPPLPAPHGPHPLPPRSAPARLARRQRRRRAWRDPSAGLPKSQRGEEE >OGLUM06G20020.1 pep chromosome:ALNU02000000:6:22367522:22368593:-1 gene:OGLUM06G20020 transcript:OGLUM06G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGHDRDTVAPMSSIQIIRRCGSCDTGGGRVATHRWQTAVMRWPRRQVGTHPGHRDVGRSCVSLSSGCEVLEIINEDNCSQEERRKSKY >OGLUM06G20030.1 pep chromosome:ALNU02000000:6:22378228:22382356:1 gene:OGLUM06G20030 transcript:OGLUM06G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVEVTWDVEYILWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQFGTPWADGTASISQCAVNPGETFIYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTQGPTRKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAAPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGELPAAFPGDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHG >OGLUM06G20030.2 pep chromosome:ALNU02000000:6:22378083:22382356:1 gene:OGLUM06G20030 transcript:OGLUM06G20030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVQLLVVAAAAAMAAACCAGMAAAAAAVEVTWDVEYILWAPDCQQRVMIGINGRFPGPNITARAGDVISVTMNNKMHTEGVVIHWHGIRQFGTPWADGTASISQCAVNPGETFIYKFVADKPGTYFYHGHFGMQRAAGLYGSLIVLDSPEQPEPFRHQYDDGGELPMMLLSDWWHQNVYAQAAGLDGKDRHFEWIGEPQTILINGRGQFECTQGPTRKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAAPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGELPAAFPGDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHG >OGLUM06G20030.3 pep chromosome:ALNU02000000:6:22378083:22382356:1 gene:OGLUM06G20030 transcript:OGLUM06G20030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAAPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGELPAAFPGDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHG >OGLUM06G20030.4 pep chromosome:ALNU02000000:6:22380418:22382356:1 gene:OGLUM06G20030 transcript:OGLUM06G20030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVWPGVSIVPCRSDRLGPCLAHAWSRPPSIPTILINGRGQFECTQGPTRKSFEKLLNENVETCVDDQKMCSDQEKCLRRSECGPYCPRSQCAPVVFNVEQGKTYRLRIASTTSLSLLNVKIQGHKMTVVEADGNHVEPFVVDDIDIYSGESYSVLLKADQKPASYWISVGVRGRHPKTVPALAILSYGNGNAAAPPLQLPAGEPPVTPAWNDTQRSKAFTYSIRARKDTNQPPPAAADRQIFLLNTQNLMDGRYRWSINNVSLTLPATPYLGAFHHGLQDSAFDASGELPAAFPGDYDVMRPPANNATTASDRVFRLRHGGVVDVVLQNANMLREEVSETHPWHLHGHDFWVLGYGDGRYDPAAHAAGLNAADPPLRNTAVVFPHG >OGLUM06G20040.1 pep chromosome:ALNU02000000:6:22382668:22385772:-1 gene:OGLUM06G20040 transcript:OGLUM06G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB31] MYNDDELQVKVNKLSSTKTQLPYDYYFLDYCKPEAIKNSAENLGEVLRGDRIENSVYNFKMRRDETCKVVCRSKLSPEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKIKDDKYYINNHLSFKVLYHEDPNSPDARIVGFHVIPSSIKHEYSAWDDKNPTVQTCNANNKITPGSHTPQDVVPEAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFSILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNRLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >OGLUM06G20050.1 pep chromosome:ALNU02000000:6:22386873:22395328:1 gene:OGLUM06G20050 transcript:OGLUM06G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding METREMRVYEREEHAGIQQIRGQIHRCHRARIATPPPRLSDLASSPAVDREKGVGGERLVATSNAIMGIRCHRSTTIIGIRCLRSQMRGKPVMRGPSTIAARREDEGDKSEVEEKLRSRGSAAAAAEEEATSARWRKRSGVGYDGEAHEGICEAMSMPGQCLQRPENQALVSCNGASTPPEARKQNTKLTGH >OGLUM06G20060.1 pep chromosome:ALNU02000000:6:22390843:22396192:-1 gene:OGLUM06G20060 transcript:OGLUM06G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVKDGAADLEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKSLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >OGLUM06G20070.1 pep chromosome:ALNU02000000:6:22399196:22400317:-1 gene:OGLUM06G20070 transcript:OGLUM06G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRQTRQRQACRSRVKTRQTKRKKKQIQSHSSSIIQAVHVVQPKNNLLPRLNQQRHGRSR >OGLUM06G20070.2 pep chromosome:ALNU02000000:6:22399196:22400317:-1 gene:OGLUM06G20070 transcript:OGLUM06G20070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRQTRQRQACRSRVKTRQTKRKKKQVCSFSGLPLCCSRAAAVGDLGIQSHSSSIIQAVHVVQPKNNLLPRLNQQRHGRSR >OGLUM06G20080.1 pep chromosome:ALNU02000000:6:22411310:22411948:1 gene:OGLUM06G20080 transcript:OGLUM06G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLFCSGKAAARGEFVKLVFPGGHVELLDRAVPAAEVMARHPRFCVARPDVFRDPAAAGAVAAPDAVLALGRKYYVVPRSTVRRLQIMHASSSPHAGGGGGVSLKRHLAGAGGHERGYKVVGRRKSWLRLLVSGGGGKPQQSGPRDGGVSHGCKDEAAVVGDVSDVRETKENGKPPRNGGSPARRRRRLASPASSASYSWQPSLHSITEE >OGLUM06G20090.1 pep chromosome:ALNU02000000:6:22413683:22417024:1 gene:OGLUM06G20090 transcript:OGLUM06G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLWTPPRRADFGVPLLLLLCATLDLVADSSFGWQVVRETWGERGGESGATNRLVIRRVVPRGVREDVEPTGGEETKPNGGGVVEYGVVIEGRHARYPCYSGKSKLPGGWPPHPPWAGGKRHKPTASDVIAVGGDARKACLELLPGEVGEGGDAVAHGRVVRLVRHSAASLAGNTLSRRTYSSGPEYSRPCRLTNAANCAPRSSLGSYPSITCQMMPPPLPDALEAGAANNDHHDEKDEPCNSHGVGEIHGMEEAKRQPWRREGSTPVP >OGLUM06G20100.1 pep chromosome:ALNU02000000:6:22418089:22427745:-1 gene:OGLUM06G20100 transcript:OGLUM06G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVAPPKDRGNNPPPAVPGLPVIGNMHQLKEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKKAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >OGLUM06G20100.2 pep chromosome:ALNU02000000:6:22418089:22427745:-1 gene:OGLUM06G20100 transcript:OGLUM06G20100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAAGAGGIGVAAAAAVVAATLAVAPPKDRGNNPPPAVPGLPVIGNMHQLKEKKPHHTFTKWSKTYGPIYTIKTGASSVVVLNSTEVAKEAMIEKFSSISTKKLPKALSVISRKNMVSISDYGDFYKKAKRNIMLAILGFNAQKHFCDTRERMVSNVLSSLHKLVAVDPHSPLNFREVYTTELFGLSLIQNLGEDVCSVYVEEFGREISKEEIFHVLVHEILSCVVEPDWRDYFPYLSWLPNKSFETIVSSTEFRRDAVMNALIKRQKERIARGEARISYIDFLLEAKNSTQLTDHQLMLLLAESIAAAVDTVLVTTEWAMYEIAKNPDKQARKKNDLERLYREIREVCGGKAVTEEDLPRLPYLDAVLHETLRLHSPVPVLPTRFVHDDTTLAGYDVPAGTQVMINVFGCHMDEEAWESPGEWSPERFLGEGFKLADRYKTLAFGAGRRTCAGSQQAVSIACVAIARFVQELQWTLREGDGDKEDTMQYTALKLHPLHVHLKPRGS >OGLUM06G20110.1 pep chromosome:ALNU02000000:6:22431547:22432724:1 gene:OGLUM06G20110 transcript:OGLUM06G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGPWPMVPHVSLLPRPRILAKTEDEEERKKGWKVKRFDSRSHRLDHASCVASITPLVDRSSLQTLRRKDRSELQKPSRQDRPDEILRLADYHTAGLSSRLVSSRRLASQGVEPSRPIDGSAAPGVYRLQPAALLLEGPPYKFLPWGLENLGSALDVALMHVVLDVIGHASWISFNQLLTSIPQEVNSDQELLVMFDLHNKKVVEMFIVYSDPSEPFKPITEWEFEEEEQPDNNIEPNGDNYLSNPNPLNEHVGVDEENMYLESVPVNQASPAMSTRSKRRLSL >OGLUM06G20120.1 pep chromosome:ALNU02000000:6:22441393:22445542:1 gene:OGLUM06G20120 transcript:OGLUM06G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQMPPATELQDAGVTFRAKRSPCSLVDATFSRRDGVLEIPTVENCAIPANLVAYEQNRGRWEMQRVASYVLLMSSVAAGGREQLLRRAVRRHEGVLRPELAGSLEPAPRHGSA >OGLUM06G20130.1 pep chromosome:ALNU02000000:6:22453043:22500649:-1 gene:OGLUM06G20130 transcript:OGLUM06G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVAAVGGFVAAAALAERAGVIAPRKRPNAPPGLFIMPGSAMESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGENTLTDEQLMMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIERLYQEIREVCGDETVTEEHLPRLPYLNAVFQETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRVCAGSLQATHIACAAIARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHSMLVAGAGAAAVAAVGGLVAAAALADKLVAAPPPRKNRANPPPAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMVAISDYGDYQKMAKRNIMIGMLGFNAQKQFRSTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVNSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAVMNALIKKQKERIARGEARASYIDFLLEAERSAQLTDDQLMLLLSESILAAADTVLELLYQEIREVCGGEAVTEDDLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDVAAGTQMMINVYACHMDEKAWESPGEWSPERFLGEGFEVADRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPR >OGLUM06G20130.2 pep chromosome:ALNU02000000:6:22453043:22500649:-1 gene:OGLUM06G20130 transcript:OGLUM06G20130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVAAVGGFVAAAALAERAGVIAPRKRPNAPPGLFIMPGSAMESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGEERLYQEIREVCGDETVTEEHLPRLPYLNAVFQETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRVCAGSLQATHIACAAIARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHSMLVAGAGAAAVAAVGGLVAAAALADKLVAAPPPRKNRANPPPAVPGLPIIGNLHQLKEKKPHQTFAKWSETYGPIYTIKTGASPVVVLNSTEVAKEAMIDKFSSISTRKLPKAMSVLTRKSMVAISDYGDYQKMAKRNIMIGMLGFNAQKQFRSTRERMISNVLSTLHKLVSLDPHSPLNFRDVYINELFSLSLIQSLGEDVNSVYVEEFGREISKDEIFDVLVHEMMMCAVEADWRDYFPYLSWLPNKSFDTIVSTTEFRRDAVMNALIKKQKERIARGEARASYIDFLLEAERSAQLTDDQLMLLLSESILAAADTVLELLYQEIREVCGGEAVTEDDLPRLPYLNAVFHETLRLHSPVPVLPPRFVHDDTTLAGYDVAAGTQMMINVYACHMDEKAWESPGEWSPERFLGEGFEVADRYKTMAFGAGRRTCAGSLQAMNIACVAVARLVQELEWRLREGDGDKEDTMQFTALKLDPLHVHLKPR >OGLUM06G20130.3 pep chromosome:ALNU02000000:6:22459801:22500649:-1 gene:OGLUM06G20130 transcript:OGLUM06G20130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAAAAVAAVGGFVAAAALAERAGVIAPRKRPNAPPGLFIMPGSAMESLLAAGAGGIGVAAAAVGGFIAAATLAVAPPKNRRNPPPVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLSHDKSMVATSDSGDFHKMGKRYIMLSMLGTSAQKQFRDTRDMIINNMLSTFHQLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTESRRTAVMRALIKQQKERIVRGENTLTDEQLMMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIERLYQEIREVCGDETVTEEHLPRLPYLNAVFQETLRRHSPVPLIPPRFVNEDTKLAGYDVPAGTEMVINLYGCNMNKKEWESPEEWAPERFAGGRFKVADMYKTMAFGAGRRVCAGSLQATHIACAAIARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRIGRVI >OGLUM06G20140.1 pep chromosome:ALNU02000000:6:22465291:22468707:1 gene:OGLUM06G20140 transcript:OGLUM06G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSDALTLDPVNARRHRLRSRVPQGRDGAAAICPSRRRPACLPSRVSTAQRRSAPHASAGLPTFPSPNSRRRGRTAPGLPDARRHAHPAPAASTRRPAGIPHPAALPLRVSATASRREAGSSRRLRRRTKRTGGGARELQRRLGGKWRRHGTASGAWRCRREER >OGLUM06G20150.1 pep chromosome:ALNU02000000:6:22488041:22488217:1 gene:OGLUM06G20150 transcript:OGLUM06G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGTVAVDGGGRRQLRRRCCMGPRCQTLGSTITNKDVFTWAKSNNRRLLHVDDVD >OGLUM06G20160.1 pep chromosome:ALNU02000000:6:22499694:22530869:1 gene:OGLUM06G20160 transcript:OGLUM06G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIREGEGPLRRRAALHRLLEEQHGGGHRRREANYKQRSSTRREAVRRGHRRKRERAVEMGQEAFVPHVFAACDMPLLSRVFDSLYDKRTRSIDPIRVCFERFLLSCMEATVVLSHCNVRPLILVVAVTKKDDH >OGLUM06G20170.1 pep chromosome:ALNU02000000:6:22503125:22547063:-1 gene:OGLUM06G20170 transcript:OGLUM06G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVSGGAGGVGAAAVVGVFVAAAVVGGFVAAVALAERAGTKDSRFTGDTWYIISVPGLPIIGNLHQLKEKKPHQTFTKWAEIYGPIYTIRIGASSVVVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSTLGTSAQKKFRDTRDMMINNMLSTFHKLVKDDPHVPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFSYLSWVPNKSFETRVFTAEARRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIVTLFQLIVGILGIINQSFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRV >OGLUM06G20170.2 pep chromosome:ALNU02000000:6:22503125:22508559:-1 gene:OGLUM06G20170 transcript:OGLUM06G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFVPGGAGAAALAVAAVGGFVAAAAIAERAGVIAPRKRTNAPPAVPGLPIIGNLHQLKEKKPHQTFAKWAEIYGPIYTIRTGASSVAVLNSTEVAKEAMVAKFSSISTRKLSKALTVLTRDKSMVATSDYGDFHKMVKRYVMSSMLGTSAQKQFRDTRDMMIHNMLSTFHKLVKDDPHAPLIFRDVFKDELFRLSMIQSLGEDVSSVYVDEFGRDISKEEIYNATVTDMMMCAIEVDWRDFFPYLSWVPNKSFETRVFTTETRRTAVMRALIKQQKERIVRGEAKTCYLDFLLAENTLTDEQLTMLVWEALIEAADTTLVTTEWAMYELAKNPDKQARNIVTLFQLIVGILGIINQSFLFLAFFLLSCQERLYQEIREVCGDETVTEEHLPRLPYLNAVFHETLRRHSPMVINLYGCNMNRKEWESPEEWVPERFAGGRLEVADMYKTMAFGAGRRACAGSLQAMHIACAAVARFVQEFGWRLREGDEEKVDTVQLTAYKLHPLHVHLTPRGRV >OGLUM06G20180.1 pep chromosome:ALNU02000000:6:22547940:22549773:1 gene:OGLUM06G20180 transcript:OGLUM06G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRVVASGSRPVDARLRTSDFGLSAVSYHTRPDGLLHTAYGTPTYVVPEMRQGDFSCLTWLTTDAWKLIKMLFDLNPDTCITFAGLHKMQWFRKMAYVL >OGLUM06G20190.1 pep chromosome:ALNU02000000:6:22578841:22579188:-1 gene:OGLUM06G20190 transcript:OGLUM06G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRTDEAAAKVIGRKCAIHYVEEYSRRGNYNRTYDMWVWTDEPRAIPRGGSFAITDADEEGLPTDIPLPEMDPLRNPPPSEPKNGWTYNVLVHVDTLEDLHTRKARAYKWDYEV >OGLUM06G20200.1 pep chromosome:ALNU02000000:6:22585946:22589809:1 gene:OGLUM06G20200 transcript:OGLUM06G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFALPPDARARAMGRAAARLPGCLYLCLWAPAAAIAGGVQPNHLFCLDAWIGGGGGVGAGGGGGDRALELFEAYRGALCAAVSGCVPGWAYKEGAACMELTEHDLAASASLQVQQQFYHETGTKMAVFMGCDSGEIEVGLSAASATATAAVVGEMQQSILEELLQMPPPPPSPSSSSLLSLSVGSPEYSSLVRSMATSVGASAAADPSPVHGGLLAPVYGEFPGSDDDAAMAQAMLAVISTPAPPPPPWRPPRRRARSSSSPRRATAFKAYNAALSPRARPRPGAPGQRMIKTGISLLASVHMQTRSRELAAARQRDTHAAPPPPPPPPPPSSSQLHHMISERRRRERINDSFQTLRALLPLPPDSKKDKAAILASTTEYMDKLISQVSELGEKNRQLEAQLAARSGEAQWPAASGGGGGESSSERVQVDVVIAGSSASTDQPREVSIRVTVRAECDVSELVVAVLARLREMGRFAVNYKYKTNSVTVGKSQLTVHTEKYKVDASHGQKYLLMKLNKSAGDVCDETSLKEAVAKAVDGGAAFFKFQRTPFMHSKNYDQSDDLEGEAKLLPLDS >OGLUM06G20210.1 pep chromosome:ALNU02000000:6:22588734:22593819:-1 gene:OGLUM06G20210 transcript:OGLUM06G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 2 [Source:Projected from Arabidopsis thaliana (AT5G26040) TAIR;Acc:AT5G26040] MASSAPSAAAGATPPDPLRRDRILSSKLYLDVPGSKAPVVYSPAYDIAFLGIEKLHPFDSSKWGRICKFLTKEGHLEKNRVVEPLEATKDDLLVVHSESYLNSLKSSLKVASIVELPPVAFIPNWLVQQKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEQGGGFCAYADISLCIQFAFVRLNISRVMIIDLDAHQGNGHEKDFANDGRVYTLDMYNAGIYPYDHVAKRYIDQKVELVSGTKTEDYLDQLDKALKVAESRFQPQLIVYNAGTDILDGDPLGRLKISPQGVVIRDEKVFRFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSNKNLIELVSLTSSLIRSKLVCSASAYGDVGGGGATGGGGAVTAPPSTAFATASFSDVSSQTSPAYSNVNRVFKG >OGLUM06G20220.1 pep chromosome:ALNU02000000:6:22596270:22600815:1 gene:OGLUM06G20220 transcript:OGLUM06G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWRIWRPRSRAVPFLSLLLLAPLVFTGLKAVNKIGLSSERNYSRGHVTFVTVFTTYNSDPAEASKLPSNVVTVGKHSYSKVGRSMAILNTFIGFIQVSMPRSNVIILTNPNSKLTHGSAVILPIEGNYSRGNLMLQRIRSYIAFLEQRLEELETVEDINHLIFTDSDIAVVTDLGHIFEMYPHCHLALTFRNNKGQPLNSGFVAVRGTRDGIFKAIEFFKEVLEAYHLKYMEASRMLGDQLALAWVVKSYLPSAFSKFSKHEAFTGEVNGTSILFLPCAVYNWTPPEGAGQFHGMPLDVK >OGLUM06G20230.1 pep chromosome:ALNU02000000:6:22602469:22605539:-1 gene:OGLUM06G20230 transcript:OGLUM06G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLHCGSGNKNAFKALIAAEYTGVKVELTKNFEMGVSNKTPEFLKMNPLGKIPVLETPEGAVFESNAIARYVARLKDNSSLCGSSLIDYSHIEQWMDFSATEVDANIGRWLYPRLGFGPYVPALEEFAITSLKRSLGALNTHLASNTYLVGHSVTLADIVMTCNLYYGFVRILIKSFTSEFPHVERYFWTMVNQPNFKKVIGDFKQAESVPPVQKKAAPPKESKAKEAKKEAPKEAPKPKVEASEEEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREIAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVIGSTPPFKVKGLWLFRGQDIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEDLLDAKCFK >OGLUM06G20240.1 pep chromosome:ALNU02000000:6:22607566:22622336:1 gene:OGLUM06G20240 transcript:OGLUM06G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSATLPLMEGEHHHHHQDHHQGHFQAFSLQPKDPPVLFPFVISRRSSSSSSPSDSTTLSYGSDHHMTQQQHQHQALLEPQHMIGGSSAGIFATPFPTVESIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVVTGGAATTVAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSNVAAAAAAQPKVKKEKRADVDRSSLPFKKRCKAIQVEDHQTPPAATNAAAAAAIEETAESTTVAPPPAPTTKGGTLVDSIGLSWSKTHATAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >OGLUM06G20240.2 pep chromosome:ALNU02000000:6:22607566:22622336:1 gene:OGLUM06G20240 transcript:OGLUM06G20240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEEEEAHDWRRSRAASPSARGSRRSVVWQYLLSTCQQGVQRCSSDLDAPRKHPSLKISVQLLPLMSTIYMSQLSATLPLMEGEHHHHHQDHHQGHFQAFSLQPKDPPVLFPFVISRRSSSSSSPSDSTTLSYGSDHHMTQQQHQHQALLEPQHMIGGSSAGIFATPFPTVESIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVVTGGAATTVAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSNVAAAAAAQPKVKKEKRADVDRSSLPFKKRCKAIQVEDHQTPPAATNAAAAAAIEETAESTTVAPPPAPTTKGGTLVDSIGLSWSKTHATAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >OGLUM06G20240.3 pep chromosome:ALNU02000000:6:22607566:22618601:1 gene:OGLUM06G20240 transcript:OGLUM06G20240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEEEEEAHDWRRSRAASPSARGSRSTFTVRGLAVLAFYLPARGSEMQLRSRCAEETSILEDLCPSGGRTNGGA >OGLUM06G20240.4 pep chromosome:ALNU02000000:6:22618325:22622336:1 gene:OGLUM06G20240 transcript:OGLUM06G20240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYMSQLSATLPLMEGEHHHHHQDHHQGHFQAFSLQPKDPPVLFPFVISRRSSSSSSPSDSTTLSYGSDHHMTQQQHQHQALLEPQHMIGGSSAGIFATPFPTVESIRDDMIERSQFDPYDTEKLQASCGLAKVVAGGKWSAVPAAKMKITRKMGEPSSGVVTGGAATTVAPKKPRRRPAQAYEDHGHGGAMGQAFGVIRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASGLPASPNAAGPKAAAHSNVAAAAAAQPKVKKEKRADVDRSSLPFKKRCKAIQVEDHQTPPAATNAAAAAAIEETAESTTVAPPPAPTTKGGTLVDSIGLSWSKTHATAAATASCSFRPSPVAPGFAAAVQDEITDAAMLLMTLSCGLVRS >OGLUM06G20250.1 pep chromosome:ALNU02000000:6:22616641:22616889:-1 gene:OGLUM06G20250 transcript:OGLUM06G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWRHKGGGETVRGVGDGDMLGPRARRQGDARVACSSSSMGGVLLLHLSILATMMRTDVLLEPNLASWGRQLCAQVPAPLM >OGLUM06G20260.1 pep chromosome:ALNU02000000:6:22640135:22642716:-1 gene:OGLUM06G20260 transcript:OGLUM06G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRAQLTTFLIVTSFLSTVTYLGAPVHGGVLTSYDVSSLDIMSKIHTDHDATTEASSDFGHIVHATPNGVFRPTFPADIAALIRLSLSQPTPFTVAPRGKGHSSRGQAFAPGGIVVDMSALGDHRIAVLVDGMYVDAGGEQLWIDVLHAALKHDLTPRVWTDYLRITVGGTLSNAGIGGQVFRHGPQISNVHELDVVTGMGEMITCSPEVNPALFFAVLGGLGQFGVITRARIRLEPAPKKVKWVRIAYSDVHSFTTDQELLISKRASGSGFDYVEGQVQLNRTLTEGRRSSSFFSTTDLARLTRLAIDTGSVAIYYIEGAMYYDDNTADSVDQKLDALLEELSFVRGFVFVRDASYVEFLDRVGREEQNLRSAGAWDVPHPWLNLFVPRSRILDFDAAVFKGILRHANPVGLILMYPMNKDMWDDRMTAVTPDEDVFYAVGLLRSAVAGGGGGDVEQLERENAAVLELCDLAGGGIGCRQYLPHHASRDGWRRHFGAKWGRVADLKARYDPRAILSPGQGIFPPPPPSPPPAAAGETITAS >OGLUM06G20270.1 pep chromosome:ALNU02000000:6:22646641:22648736:-1 gene:OGLUM06G20270 transcript:OGLUM06G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin2 [Source:Projected from Arabidopsis thaliana (AT2G19580) TAIR;Acc:AT2G19580] MAVSNNITACVNFLALVCAVPVVATGVWFASKQGDECARVARWPLAILGAALLLVALAGFAGAYWNRRGLLAAYLFAMAALITLLLALLVFAFAVTRPSGAYPAFARAYDDYRLDGYSAWLRGHVAGDPRRWEGIRACLASSDTCRKLAQESVFFITPEQFYQSHLTPLQSGCCKPPTVCGYSYVSPTVWVNPANPAADADCAAWGNDPSQLCYECSSCKAGMLGTLREQWRRANVALVIATVALIFFYVIGCSAFKNAHTEDLFRRYKWRN >OGLUM06G20280.1 pep chromosome:ALNU02000000:6:22677892:22683538:1 gene:OGLUM06G20280 transcript:OGLUM06G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRRDRPSPPPHLANYRRRGGRASPGARRRRAPPTTPRRFLEQTLAIRKMMDRTLNQNYRRISIVERQYTQRILVL >OGLUM06G20280.2 pep chromosome:ALNU02000000:6:22677892:22684328:1 gene:OGLUM06G20280 transcript:OGLUM06G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGRRDRPSPPPHLANYRRRGGRASPGARRRRAPPTTPRRFLEQTLAIRKMMDRTLNQVDELMLLFRGLNYRRISIVERQYTQRICFFLDTRYPGAEVIIGADTLALL >OGLUM06G20290.1 pep chromosome:ALNU02000000:6:22688720:22696345:1 gene:OGLUM06G20290 transcript:OGLUM06G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AB65] MSGGAVAFLLLVATAAVANAAVTYDHRSLTINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGLDVIQTYVFWNGHEPVQGQYYFSDRYDLVRFVKLVKQAGLYVNLRIGPYVCAEWNYGGFPVWLKYVPGISFRTDNGPFKAAMQTFVEKIVSMMKSEGLFEWQGGPIILAQVENEYGPMESVMGSGAKSYVDWAAKMAVATNAGVPWIMCKQDDAPDPVVIFKRFTAFGGTVPQRPVEDLAFAVARFIQKGGSFINYYMYHGGTNFDRTAGGPFIATSYDYDAPIDEYGLLRQPKWGHLTNLHKAIKQAEPALVSGDPTAYVFRSSSGDCAAFLSNFHTSAAARVAFNGRRFDLPAWSISVLPDCRTAVYNTATVTAASSPAKMNPAGGFTWQSYGEATNSLDETAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSGEQFLKSGQWPQLTVYSAGHTVQVFVNGQYFGNAYGGYDGPKLTYSGYVKMWQGSNKISILSSAVGLPNVGTHYETWNIGVLGPVTLSGLNEGKRDLSKQKWTYQIGLKGEKLGVHSVSGGSSVEWGGAAGKQPVTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHLIGRYWSYKASGNCGGCSYAGTYTEKKCQANCGDASQRWYHVPRSWLNPSGNLVVLLEEFGGDLSGVTLMTRTT >OGLUM06G20300.1 pep chromosome:ALNU02000000:6:22697779:22703563:1 gene:OGLUM06G20300 transcript:OGLUM06G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Fanconi Anaemia group E protein, C-terminal (InterPro:IPR021025); Has 41 Blast hits to 41 proteins in 17 species: Archae - 0; Bacteria - 0; Metazoa - 10; Fungi - 0; Plants - 27; Viruses - 0; Other Eukaryotes - 4 (source: /.../Link). [Source:Projected from Arabidopsis thaliana (AT4G29560) TAIR;Acc:AT4G29560] MEQWLPLFRYLLASPAPNAAAFSSFSSSSGDDDVHCPTSPPPAAALLRLLLSPAPTLPASDPPAILFQTLPPLAQSQALSFLASSSGLLDPALVRSLAARVLSEPPGRYDFWARRGARHLLDGLPQGGGIDAPGEFLDGFHEPPQWLKEAAARTRPALPWLPLDRHSVKVGVCSGRYGFDRVGLDSLVLEKDEDSEMQEAECVPSPSPPAALGTLSVQRALALQKEILMAESILVAQRVAKDLQQLCDESGNAEAVLSIVQPWKADDDTVRVLLSSLVLDGDGMHRKGQALMLCSLFLPKLLEIQRPVSSVLLSAALDLCKRHPAAALEAILLPLVLRKEGLNVPQCDVLTRIVKDCMHPLHVTAFCHRLLSGDEREWRPVCMPEHRSNISSNLVWTESLFALLYSILNQDICLTSSSTENLVSVIDEMASKLPRSLKFGNFLLCFISKCWRESKIHSLQQDRPLCLWA >OGLUM06G20310.1 pep chromosome:ALNU02000000:6:22701433:22702536:-1 gene:OGLUM06G20310 transcript:OGLUM06G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC oxidase 1 [Source:Projected from Arabidopsis thaliana (AT2G19590) TAIR;Acc:AT2G19590] MEIPVIKMDELHREKRSETLQLLHDACAQWGFFWLENHGINEDLMYKIKGLVNKHYEQSMEKNFYNSETAKNLGPDNVVSNVDWECSFMYRHQPESNIHDIPELVRTTLPEYAEEVMKLAERLAEVMSENLGLEKDYLKKAFSNPSVGIKAAKYPRCSHPEFVMGLRGHTDAGGIILLLQDDLVPGLEFLKDGRWMPIPPTQGNRIFVNLGDQVEVISNGIYKSICHQVVPNKNGSRLSIATFYNPGPDAIVFPAPKLMYPSQYRFKDYLEFYSTTKFTDKVSRFQTTKIIFK >OGLUM06G20320.1 pep chromosome:ALNU02000000:6:22704090:22705174:1 gene:OGLUM06G20320 transcript:OGLUM06G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMHPRDDDLDLAAGESTAASPTTMGAAMDKERQIPVDPVSLRHLGMVADEDSPLSAPSVLTEVVVRSSSPMLPPLRRPTFVAASLPCSAASSPHSVALAHYVAAPGAAAPALARSASRAEGRSMVPHDDEGDAKAPPKAIAAGEDEAGFNCGALCMFIPGFSKKKSSAAAAAAVVSSMQRQQSVGVRPRRSSVSRLASLERFECGSWSPPPPVAPAPAEHFAQEVAKSSCADDTEAPVKMAFVFDHGEPRGILKKSASSRQEPARPSASSSQRHVRFSTAAAASCPTSPCVTPRLARARAEFNAFLEAAQSA >OGLUM06G20330.1 pep chromosome:ALNU02000000:6:22718114:22720955:1 gene:OGLUM06G20330 transcript:OGLUM06G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHKKENPKMKNLTLAGRAAAAVITGGSASILRRRRILARRGWIRAATVVCACHCCAPRPLPPARALCRICAGKGGGEPPLASHLSPPLDPDRVGQGRTTARARALHYWRGLLAGEHRGGRRVPLPTPAIAANARRQEGGEGEKRSSCYFRDNSTTLEDAEVAMMELYCERAKIQCGQTILDVGCGWGSLSLYIAEKYKTCSITGISNSVTQKAFIEEQCKEHQLSNVEIFVADINEFEMERSFDRIISIGMFEHMKNYGALLRKISVWMKEDSLLFVEYFCHKTFAYHFEDNNGDDWMTRYFFYGGTMPSANLLLYFQENVSVIDHWLVSGTHYARTRYNIP >OGLUM06G20340.1 pep chromosome:ALNU02000000:6:22730343:22734669:1 gene:OGLUM06G20340 transcript:OGLUM06G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENGLSNVEIIVADISKFEMERSFDRIISIEMFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >OGLUM06G20340.2 pep chromosome:ALNU02000000:6:22730343:22736686:1 gene:OGLUM06G20340 transcript:OGLUM06G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAARAAYLAATRAALAALERNALPDAVTRRLTRLLLAQRLRLGYLPSSSSSSAPLHLHHLLLFAHALEEMPIAIETEKAKDQHYELPTTFFKLVLGRNLKYSSCYFPDESSTLEDAEVAMLELYCERAQLQDGQTILDVGCGWGSLSLYIAKKYSKCSITGICNSTTQKAFIEEQCRENGLSNVEIIVADISKFEMERSFDRIISIEMFEDNNEDDWITRYFFTGGTMPSANLLLYFQDDVSIANHWLVSGTHYARTSEEWLKRMDKNITSIRPIFEKTYGKESATKWIAYWRTFFISVAELFGYNNGDEWMVAHFLFRKK >OGLUM06G20350.1 pep chromosome:ALNU02000000:6:22735035:22738900:-1 gene:OGLUM06G20350 transcript:OGLUM06G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSLASSSGGGDAGSASAAAAAAAGAIVVAVAVRGDGRASRRAARWAASNLAAHGAGAGRVALVHVIPPVSFVPSPSGERVPVEKMDAETVEMYAEDRRARAQEEVFLPLRRLFARTTVETVILEEPSVTAALVRYAADSGVRNLVVGSTSLNWFKRILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSACVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSSGDTSYAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNTDPDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECTEEAKKVQDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILSAEEAIKKDSISDVLDSSQIDWPIAEAEILAKLAVRCTALKCRDRPSLESEVLPEIESILSRVTASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >OGLUM06G20350.2 pep chromosome:ALNU02000000:6:22735037:22738900:-1 gene:OGLUM06G20350 transcript:OGLUM06G20350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSSLASSSGGGDAGSASAAAAAAAGAIVVAVAVRGDGRASRRAARWAASNLAAHGAGAGRVALVHVIPPVSFVPSPSGERVPVEKMDAETVEMYAEDRRARAQEEVFLPLRRLFARTTVETVILEEPSVTAALVRYAADSGVRNLVVGSTSLNWFKRILRLRDVPSTVLKAMPCSCNVFVVSRHRLTIKFANQARTSKSSACVRTQSISHKSFSRIQKNWLLDKQSLHDHPEDGTPKSSGDTSYAGSHTCSSRSTSTNAGKSSGSHGRSLFGSLGRKTPGRDVNTDPDAIGRLKEIPYVALSSIDEDLQSQPVDEVAKLRKELQDTLVMYDKACEDLVHAKKKIKVLSSECTEEAKKVQDALHREELLKQKVADEKTRHLEAVTEVEMAKTLFAQEAFSKHKAEIVADMVTAEKTKVMDALLSTGKSCRRYSKREIQLATDNFSDAKKIGEGGYGNVYRCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSQLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQQSLHWFLRIQIIFEVACGLAFLHATKPEPIVHRDLKPGNILLDKNYVSKIGDVGLAKLISDIVPEGLTEYRDTAVAGTLYYMDPEYQLTGTIRPKSDVYALGIIILQLLTGKRPHGLILSAEEAIKKDSISDVLDSSQIDWPIAEAEILAKLAVRCTALKCRDRPSLESEVLPEIESILSRVTASPTLRSPNAAVPSHFICPILQEVMDDPYVAADGHTYEHRAIKAWLKKHKTSPVTKQRLQYLSIIPNHSLRVAIQQWKSQSS >OGLUM06G20360.1 pep chromosome:ALNU02000000:6:22787822:22796319:-1 gene:OGLUM06G20360 transcript:OGLUM06G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARGAAMAEGEGEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDAGVVAATAGGAAQEKGFRGIGMQLEVGNGKEELSGAGLMSDVAPRKPMKHVDARDDARNVELRGEGMELDSGEPSLSAEINAENMARLAGMSAGEIAEAQAEILNRMDPALVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKILKAMPGEWLSAGEHSGHSWKAWSERVEWIRSCRFTLEGDILGFQSCQEQQHGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMNLHKTDPIDNFKESNGDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLNENNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGVQVETWSWSHAVPMVDLALSWLCLNDIPYVCSLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDRSLCSISCFQRLLQLSCSIDRVIQNATTNCSEHLKESKTGIAGRILEQEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSAGPEGLVTVNKSVNPIVQEGSNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKGNLSTSYAVDVSKAGLFFLLGLEAISVASCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGQHLDRLCQKYDRAHSVKKEGSASVEEEKVIRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDILFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLEKCIGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVQNKLVKSLIRCYAQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMRDACEMNYSLLAEVERLKTSIDR >OGLUM06G20360.2 pep chromosome:ALNU02000000:6:22787822:22796319:-1 gene:OGLUM06G20360 transcript:OGLUM06G20360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARGAAMAEGEGEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDAGVVAATAGGAAQEKGFRGIGMQLEVGNGKEELSGAGLMSDVAPRKPMKHVDARDDARNVELRGEGMELDSGEPSLSAEINAENMARLAGMSAGEIAEAQAEILNRMDPALVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKILKAMPGEWLSAGEHSGHSWKAWSERVEWIRSCRFTLEGDILGFQSCQEQQHGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLASILNRALQNLHKTDPIDNFKESNGDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLNENNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGVQVETWSWSHAVPMVDLALSWLCLNDIPYVCSLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDRSLCSISCFQRLLQLSCSIDRVIQNATTNCSEHLKESKTGIAGRILEQGICSFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSAGPEGLVTVNKSVNPIVQEGSNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKGNLSTSYAVDVSKAGLFFLLGLEAISVASCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGQHLDRLCQKYDRAHSVKKEGSASVEEEKVIRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDILFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLEKCIGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVQNKLVKSLIRCYAQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMRDACEMNYSLLAEVERLKTSIDR >OGLUM06G20360.3 pep chromosome:ALNU02000000:6:22787822:22796319:-1 gene:OGLUM06G20360 transcript:OGLUM06G20360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:LOCATED IN: chloroplast; EXPRESSED IN: 21 plant structures; EXPRESSED DURING: 12 growth stages; CONTAINS InterPro DOMAIN/s: RNA polymerase II-associated protein 1, C-terminal (InterPro:IPR013929), RNA polymerase II-associated protein 1, N-terminal ( /.../ro:IPR013930); Has 276 Blast hits to 220 proteins in 102 species: Archae - 0; Bacteria - 2; Metazoa - 151; Fungi - 65; Plants - 41; Viruses - 0; Other Eukaryotes - 17 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G38440) TAIR;Acc:AT4G38440] MDDAAERRRRQQQQPGAAHPARRKVVEEPFDPSPPPAAAVAPPSSRLVGAIVEKGFSSGAAAAAPSSAPSPTVLPFPVARHRSHGPHWKPAARGAAMAEGEGEEEGMDVDETDYQPVAAAAGPVKRKEKKGMDFSRWREFVADDAPPKRRQAKPLQPKKQTAQKIDAGVVAATAGGAAQEKGFRGIGMQLEVGNGKEELSGAGLMSDVAPRKPMKHVDARDDARNVELRGEGMELDSGEPSLSAEINAENMARLAGMSAGEIAEAQAEILNRMDPALVEMLKRRGKEKSGSRKDGGKGKGGGISGPGKILKAMPGEWLSAGEHSGHSWKAWSERVEWIRSCRFTLEGDILGFQSCQEQQHVFWYPLHVNLAFPLTGKKAHVETVGERDFLRTEGDPAAVGYTINEAVALSRSMVPGQRVLALQLLASILNRALQNLHKTDPIDNFKESNGDDKFNDWQAVWAYAIGPEPELVLSLRMSLDDNHDSVVLTCAKVINAMLSYEMNEMYFDVLEKVVDQGKDICTAPVFRSKPDQNGGFLEGGFWKYNTKPSNILPHYGENDEEEGDEKHTIQDDVVVSGQDVAAGLVRMGILPRICFLLEMDPHPILEDNLVSILLGLARHSPQSADAILNCPRLVQSVVKLLVKQGSMEIHSSQIKGVNLLKVLSKYNRQTCFNFVNTGVFHQAMWHWYRKAYTLEDWIRSGKEHCKLTSALMVEQLRFWRTCISYGFCITHFTDFFPILCLWLSPPMFQKLNENNVVAEFSSIATESYLVLGALAQRLPLLHSVEQLSKQDMGLSGVQVETWSWSHAVPMVDLALSWLCLNDIPYVCSLISGQSKNILEGSYFALVISSVLGMLDSILERISPDSTHDGKSYCLPWIPDFVPKIGLGVITNGFFNFLDDNAVELEQHTSFHGSSLVQGLFHLRSQGNVDRSLCSISCFQRLLQLSCSIDRVIQNATTNCSEHLKESKTGIAGRILEQGICSFWRNNLLDMLTSLLPMISSQWSILQNIEMFGRGGPAPGVGFGWGAYGGGFWSLNFLLAQLDSHFVLELMKILSAGPEGLVTVNKSVNPIVQEGSNVTDSVAITSERISSVLSVSLMAGPGQISTLEKAFDILFHPSVLKFLKSSVLDSHMKLAKAFEWDITEDEYLHFSSVLNSHFRSRWLVIKKKHSDEFTRNNNGTNVPKIPETLETIQEETELAEAVNPPCSVLAVEWAHQRLPLPVHWILSAVCCIDDPKGNLSTSYAVDVSKAGLFFLLGLEAISVASCLHAPLVWKMHALSASIRSSMDLLLEDRSRDIFHALQELYGQHLDRLCQKYDRAHSVKKEGSASVEEEKVIRTEVLRFQEKIHASYTTFVESLIEQFAAVSYGDILFGRQVAIYLHRSVEPTIRLAAWNALSNAYVLELLPPLEKCIGDVQGYLEPLEDDEGILESYAKSWTSGALDKAFQRDAMSFTVARHHLSGFVFQCSGSGKVQNKLVKSLIRCYAQKRHHEDMLKGFVLQGIAQDSQRNDEVSRRFEIMRDACEMNYSLLAEVERLKTSIDR >OGLUM06G20370.1 pep chromosome:ALNU02000000:6:22823238:22824780:1 gene:OGLUM06G20370 transcript:OGLUM06G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCFRFGWLLKLRQTKNAGGAQSRRTKRIKTNEGITAAWSSTPQAKSSSMKFGQISPIAMFLFDCLLSAIPWNPCC >OGLUM06G20380.1 pep chromosome:ALNU02000000:6:22825141:22848912:-1 gene:OGLUM06G20380 transcript:OGLUM06G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARRRRASLGSRRPAPAAALLLQQTPSPFLHCNGLLPMIGFRGSADSAGEVTTPGHWSAIAVQYC >OGLUM06G20390.1 pep chromosome:ALNU02000000:6:22849729:22850472:1 gene:OGLUM06G20390 transcript:OGLUM06G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTSMEKDAPPPPPPPPVRYCGVLEDYEQQVDEEPPSPKSPSRVSSPLPLRSSGEKRRRKKKKKHNKSKQHHHHTPPPPPPRPSPPSPICPYEEYPTFEPSDPVWMRQSTMYAEAALEHYNAAVDVGGGGGGGVKYELVRAIFSGAIFTCKDAYSHVNFIARAIGGGSSCYERLFFAEVRKDKKRYIPTCLWSLDDEADRVGGAGADPQVDLPEITSPSRRNYCFSCDDEMKHPKDGTSYHAGHFL >OGLUM06G20400.1 pep chromosome:ALNU02000000:6:22874507:22874971:1 gene:OGLUM06G20400 transcript:OGLUM06G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDPDWMRQSVMYAEAALEHYNAALVVEGGGGGGGKYELVRAIISGVIITCRAGYGHVNFIARAAASGGTTLRQEERLFFAEVRNHGEGWIPTCLRSLDDEADRVGGLAAGDDPPVEIPEITSPSRTDFCFSCNGEIKHPKDGASYHAGHSP >OGLUM06G20410.1 pep chromosome:ALNU02000000:6:22903340:22906829:1 gene:OGLUM06G20410 transcript:OGLUM06G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPLLLLLLLLQAPAGCSLARAVESVDDPRYTPHDEHADNSTSDIRQGSPGTSSCGDPKLEATTTYDS >OGLUM06G20410.2 pep chromosome:ALNU02000000:6:22903340:22905593:1 gene:OGLUM06G20410 transcript:OGLUM06G20410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSPLLLLLLLLQAPAGCSLARAVESVDDPRYTPHDEHADNSTSDIRQGSPGETFNEFLD >OGLUM06G20410.3 pep chromosome:ALNU02000000:6:22903500:22906829:1 gene:OGLUM06G20410 transcript:OGLUM06G20410.3 gene_biotype:protein_coding transcript_biotype:protein_coding IISSYDLTRNYSHLHRVRRLLLSQENIAPLSSSSSSSSRLQPAARSRARWSRSTIRDILPTTSTRTIQPAIFGRARLELARAEIQSWKLRPRTTASEELAPWPTSSAQQHRPRPSLPFTFTTRLRRRPARWRTAPGAPAAALAGCRRPCRRRRLVILLPRPSPR >OGLUM06G20410.4 pep chromosome:ALNU02000000:6:22905133:22906829:1 gene:OGLUM06G20410 transcript:OGLUM06G20410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANELSATTPPETQPAIHLHDEASPPSGTMENGAGGAGGGSRRVQTAVSPPPPSNPAAPSFSQVSDN >OGLUM06G20420.1 pep chromosome:ALNU02000000:6:22911383:22930493:1 gene:OGLUM06G20420 transcript:OGLUM06G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLRGSHAHRRGSGRRPKTHRAAPLHLFLAQSKQCKGRAKPDSGLACKANKINPIREKHRAATLSSHPAGHTAGADTTSFSFSSSSGLFASFGSGGNGREKRARGGGLPLARIWQRQPSPARIGRRWQRAGGLGRWERGGSGYRDGDGDSDREEGGSDNFRTNARKGLRKS >OGLUM06G20430.1 pep chromosome:ALNU02000000:6:22946036:22946473:1 gene:OGLUM06G20430 transcript:OGLUM06G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFSWLTALGFMFLTFNSGMAIYRSNGDTGSILFVGVSYLDLVALFACLRIYEGLDQHSPRRGGVKAAVWALTTLLTVMFSYKVAEIMPLVVKIIVWAMATATTCGGFYAFFIHEEKQPQYMAVPASAAAAAGKTPADRHDGST >OGLUM06G20440.1 pep chromosome:ALNU02000000:6:22948442:22950776:1 gene:OGLUM06G20440 transcript:OGLUM06G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLEDRAPAGDVHGRPQSSLSSSPVEEETSGIGCLCFNQVTAVMHVASRVPDGETVMWSTHQIDDESKGPCRSCSLFVGVGDGGGEGAREAEGEGGVLEVEPNAADDSVELRSISTNIAGAAVCAWCFDSRTSRALVFTAAATAVSGASPRERSTRRAASARSSQAMQAADLVPRSLGDDVLGASSLMAAAARWAWCAWPPSQRRRSAV >OGLUM06G20440.2 pep chromosome:ALNU02000000:6:22946992:22947652:1 gene:OGLUM06G20440 transcript:OGLUM06G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVSIRSTRGRYNIKVSCEDPKATKKSKTMKSGEWKDRRRMPIPANLEAFPVYKEQPA >OGLUM06G20440.3 pep chromosome:ALNU02000000:6:22947356:22950776:1 gene:OGLUM06G20440 transcript:OGLUM06G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVASRVPDGETVMWSTHQIDDESKVASGGVSGRRSGADIRDNDDVDVVAAALTLVRRRRRRRLPRXGVRDDGGGRDGGGGRDGGGGQDDGFPFAVVELVVLATVRVLVALCRRAVRGGRCPLLLLPLLGPCRSCSLFVGVGDGGGEGAREAEGEGGVLEVEPNAADDSVELRSISTNIAGAAVCAWCFDSRTSRALVFTAAATAVSGASPRERSTRRAASARSSQAMQAADLVPRSLGDDVLGASSLMAAAARWAWCAWPPSQRRRSAV >OGLUM06G20450.1 pep chromosome:ALNU02000000:6:22949987:22950709:-1 gene:OGLUM06G20450 transcript:OGLUM06G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEAPSTSSPNDRGTRSAACIACDDLAEAARLVDRSRGEAPDTAVAAAVKTNAREVRESKHQAHTAAPAMLVEMERSSTESSAALGSTSRTPPSPSASRAPSPPPSPTPTKREQERQGPSSGRRRRGQRPPRTARRQSATRTRTVARTTSSTTAKGKPSSCPPPPSRPPPPSRPPPSSRTPXRGRRRRRRRRTRVSAAATTSTSSLSLMSAPLLLPLTPPLATHPSAAPLLFLLGVTWC >OGLUM06G20460.1 pep chromosome:ALNU02000000:6:22950871:22952730:1 gene:OGLUM06G20460 transcript:OGLUM06G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDPHGSHADSAATPIINRHHSPSGGKVQDAAAFAKIEQLGFPSSAALRISGGGKIGDVLIEEELVVQDVVVGVGLSGDGGHGGGGGGGGGGAAWPRRRRRLRRRRRRRGGGTALGGSRSHPRPPRLRIRRRRHCWIRRRRLPHHWIRHGRCLSALSSSSEQARWAEEQSTTRASERGEAVPHAVRSSQLGQIFPNGLVPDLRGIFLPRDQPIPLTPKPNTSKSGFVLSHPIPFHQPNTTLKNKTKHFAPQLFTSDKLPKHNFGHICSSLLMLGMEELEAEVVSAASLGGGGWIGMATGQVRHG >OGLUM06G20470.1 pep chromosome:ALNU02000000:6:22968651:22969544:-1 gene:OGLUM06G20470 transcript:OGLUM06G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAWMRRRRRRDGVGEGEGGAADREGGERLAGARPADGEARPRRGESGLCGRQGENAEDEPPAGSTPPPPPPPGRNRLPASSSPPEKSQQQAVDRDEEQRHGAADATARAVVVADRRIYSPTTSSSSSSSPPRTVGAAPSCSLLPAAWEARRRDRPGGGTAARAVVADAAAAAGDVSRRCASHRRWGCIPPPESGLCGRRGRAAGRRLGQVDSEFVDAEDAAASASSRTTPPLQSRSPPPPGGLHHRGHHAGVGRRGAGVGGEEWIWGEGWIWGRSRFEREVGIRGPH >OGLUM06G20480.1 pep chromosome:ALNU02000000:6:22970325:22979138:-1 gene:OGLUM06G20480 transcript:OGLUM06G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPCVLGFAQRLLASAPLLLPCSPAISATELARSRCKLHRLPAAAMEDGAAAREAERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADGAAEVATEPSQELVFLNRAVQRQPRCGALSCTVQEVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHIIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >OGLUM06G20480.2 pep chromosome:ALNU02000000:6:22970325:22979138:-1 gene:OGLUM06G20480 transcript:OGLUM06G20480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSITPCVLGFAQRLLASAPLLLPCSPAISATELARSRCKLHRLPAAAMEDGAAAREAERWEGYVDWRNRPAVRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMNFMHYSPSQSATTVTNFMGTAFLLALLGGFLSDAFFTTYAIYLISAFVEFLHGANVLQGLVVLTIQARTPSLMPPGCAKVAGAACEPVSGPKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFVAGSRLYRNKVPTGSPLTTIAKVVLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKPGDICGVADGAAEVATEPSQELVFLNRAVQRQPRCGALSCTVQEVEDVKIVLMVLPIFFSTIMLNSCLAQLSTFSVEQAATMDTRVGGLKVPPASLPVFPVTFIILLAPVYDHIIIPFARRATGTEMGITHLQRIGTGLVLSIVAMAVAAVVEVKRKNVASNAGMLDAAAPLPITFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPARMRSLATSLSWASLALGYYLSSVLVTVVNSATGRGGRRAWLQGGNLNHYHLERFYWVMCVLSTLNYLFFLFWAIRYKYRNAGVIKG >OGLUM06G20490.1 pep chromosome:ALNU02000000:6:23010395:23016785:1 gene:OGLUM06G20490 transcript:OGLUM06G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSIGVYKAVFAALGALMLGTLVYTCVTDGSPFRLELLTPWLVATLIDFYVNVTVISTWVIYKEVNWISSFSWVVLLYCFGSVATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGNLSQRKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYQDPIYHVLLNTHNKSLNAEQGRLPSIIPSSSVKA >OGLUM06G20490.2 pep chromosome:ALNU02000000:6:23010395:23016785:1 gene:OGLUM06G20490 transcript:OGLUM06G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGSIGVYKAVFAALGALMLGTLVYTCVTDGSPFRLELLTPVATCAYVVVKLFEIKTSGPSQDPLDLLFLRQGNLSQRKSSFVIIGRIIFSILGAMMAAVVIYTVITDGLPFRKDLLTPWMAATLLDFYINVFAISVWVAHKESNWISTAIWICLLICFGSITTCGYIVIQLFQVSYQDPIYHVLLNTHNKSLNAEQGRLPSIIPSSSVKA >OGLUM06G20500.1 pep chromosome:ALNU02000000:6:23017852:23021446:-1 gene:OGLUM06G20500 transcript:OGLUM06G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKYRYCLVATSIRSRLPLAVILSYLLSFFASSSGATTLYDQPSIEFQSLLCLKLHLTNTDGILATWKNDSHQFCDWSGVTCSKRNSSRVVALELESFDLDGQIPPCVANLTFLTRINLADNQLSGEIPRELGQLNKLQYLNLSSNKLRGKIPDTLSSCHQLQTVDLGSNILQGNIPSTIGNFSSLLWLLLGGNNFQGSIPTSIGTIPDLQVLEFSYNLLSGTVPASIYNMSALTYLGMGKNSLTGKIPYSIGYTLPSIQTLIMQANQFQGQIPISLANGTNLVVVNLRDNAFQGVVPSFGTLPNLVELDLGKNRLEAGDWSFLSSLTNCTQLVRLLLDSNILEGVLPGPGTIASLSKNLEQLLLTENKIFGTIPKELEHLTNLSVLYLDKNLLTGNIPVSLGNLQNLFDLRLSQNKLSGQIPRSIGNLNQLSELHLEENYLSGSIPEALGRCKNLEILNLSYNSFNGTIPREVFTLSSLCRGLDLSHNKLSGRIPLEISGLINLGPLDISNNQLSGQIPSTIGECVHLETLHMEGNRLDGTIPDSFSNLRGITVLDLSQNNLSGEIPRFLDSFNNLRLLNLSFNNLEGQVPTGGIFENASEVFIQGNQKLCASTQMLQVPLCNTNISKQRHNSNVVKTVVFTALPLVLLSCFALILLKKRKKVKQDVHQSCNDGKNFSYADLDKATNGFSSANMVGSGKYGSVYRGVFEFEQQVVAIKVFKLDQHGGPKSFLAECEALRNTRHRNLVSVITACSTFDPIGHEFKALILDYMPNGNLENWLHLNHITYGLNIQLSFASRITIAADIAAALDYLHNYCVPPIVHCDLKPSNVLIDDAMGARLGDFGLSKFLHSYSSSTINSSTSLAGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLTGKRPTDGMFNDGMSLHKFVEKAFPHNIGKIIDPNIMPNLEDEQHYHETVRILSCITQLAKLGLSCSVEIPKDRPVMQEVYAEVVEIKETFLELQG >OGLUM06G20500.2 pep chromosome:ALNU02000000:6:23017852:23021446:-1 gene:OGLUM06G20500 transcript:OGLUM06G20500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKYRYCLVATSIRSRLPLAVILSYLLSFFASSSGATTLYDQPSIEFQSLLCLKLHLTNTDGILATWKNDSHQFCDWSGVTCSKRNSSRVVALELESFDLDGQIPPCVANLTFLTRINLADNQLSGEIPRELGQLNKLQYLNLSSNKLRGKIPDTLSSCHQLQTVDLGSNILQGQVPTGGIFENASEVFIQGNQKLCASTQMLQVPLCNTNISKQRHNSNVVKTVVFTALPLVLLSCFALILLKKRKKVKQDVHQSCNDGKNFSYADLDKATNGFSSANMVGSGKYGSVYRGVFEFEQQVVAIKVFKLDQHGGPKSFLAECEALRNTRHRNLVSVITACSTFDPIGHEFKALILDYMPNGNLENWLHLNHITYGLNIQLSFASRITIAADIAAALDYLHNYCVPPIVHCDLKPSNVLIDDAMGARLGDFGLSKFLHSYSSSTINSSTSLAGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLTGKRPTDGMFNDGMSLHKFVEKAFPHNIGKIIDPNIMPNLEDEQHYHETVRILSCITQLAKLGLSCSVEIPKDRPVMQEVYAEVVEIKETFLELQG >OGLUM06G20500.3 pep chromosome:ALNU02000000:6:23017852:23021446:-1 gene:OGLUM06G20500 transcript:OGLUM06G20500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKYRYCLVATSIRSRLPLAVILSYLLSFFASSSGATTLYDQPSIEFQSLLCLKLHLTNTDGILATWKNDSHQFCDWSGVTCSKRNSSRVVALELESFDLDGQIPPCVANLTFLTRINLADNQLSGEIPRELGQLNKLQYLNLSSNKLRGKIPDTLSSCHQLQTVDLGSNILQGEIPQNLRHCLNLQVLNLNFNMLTGGIPEELGMLQNLSVLHLAGNSLTGGIPLSLGSTSSLVSVILANNSLTGPIPSLLANSSSLQVLSLTRNHLTGEIPPALFNSTSLRKLALGVNNFVGTIPTLMNIDSPLQYFIVQSNDLAGNIPSTIGNFSSLLWLLLGGNNFQGSIPTSIGTIPDLQVLEFSYNLLSGTVPASIYNMSALTYLGMGKNSLTGKIPYSIGYTLPSIQTLIMQANQFQGQIPISLANGTNLVVVNLRDNAFQGVVPSFGTLPNLVELDLGKNRLEAGDWSFLSSLTNCTQLVRLLLDSNILEGVLPGPGTIASLSKNLEQLLLTENKIFGTIPKELEHLTNLSVLYLDKNLLTGNIPVSLGNLQNLFDLRLSQNKLSGQIPRSIGNLNQLSELHLEENYLSGSIPEALGRCKNLEILNLSYNSFNGTIPREVFTLSSLCRGLDLSHNKLSGRIPLEISGLINLGPLDISNNQLSGQIPSTIGECVHLETLHMEGNRLDGTIPDSFSNLRGITVLDLSQNNLSGEIPRFLDSFNNLRLLNLSFNNLEGQVPTGGIFENASEVFIQGNQKLCASTQMLQVPLCNTNISKQRHNSNVVKTVVFTALPLVLLSCFALILLKKRKKVKQDVHQSCNDGKNFSYADLDKATNGFSSANMVGSGKYGSVYRGVFEFEQQVVAIKVFKLDQHGGPKSFLAECEALRNTRHRNLVSVITACSTFDPIGHEFKALILDYMPNGNLENWLHLNHITYGLNIQLSFASRITIAADIAAALDYLHNYCVPPIVHCDLKPSNVLIDDAMGARLGDFGLSKFLHSYSSSTINSSTSLAGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMLTGKRPTDGMFNDGMSLHKFVEKAFPHNIGKIIDPNIMPNLEDEQHYHETVRILSCITQLAKLGLSCSVEIPKDRPVMQEVYAEVVEIKETFLELQG >OGLUM06G20510.1 pep chromosome:ALNU02000000:6:23019565:23023632:1 gene:OGLUM06G20510 transcript:OGLUM06G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNILFSVKRSCSKFLERLAMVPGPGSTPSSMFESNSNLTNCVQFVNEDKKDQSPASSRFLPRSNSTKLGRVPKEGTTPWNALSRRLTTTRFVPFASEMGI >OGLUM06G20510.2 pep chromosome:ALNU02000000:6:23022208:23023632:1 gene:OGLUM06G20510 transcript:OGLUM06G20510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFRLGAGSGSSCGTTGFPTPVGTAKFQFWMKPNIDAGGASDDPNPTARCPPLHNPRCPSC >OGLUM06G20520.1 pep chromosome:ALNU02000000:6:23030043:23032731:1 gene:OGLUM06G20520 transcript:OGLUM06G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNHQLLAYSVDSGSRLRHQLNSEYSISWRKQQKVICYLNWYGFYDECLRKYGNANVWKYFTDLFDYLPLTALVENQVFCLHGGLSPSLDTLDNIRALDRIQEDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >OGLUM06G20530.1 pep chromosome:ALNU02000000:6:23049431:23053412:-1 gene:OGLUM06G20530 transcript:OGLUM06G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVGSLDSLVLEAIVLACFLCTASSLVPTAALQNASDTDFQSLLCLKLHLSDNAGAMASWRNDSSQYCQWPGVTCSKSHTSRVTELDLESFNLHGQIPRCIGNLTFLTVVHLPFNRLSGNIPAEFGYLHRLTYLNLTSNGLTGAIPDTLSSCSNLEIIDLSNNSLDGDIPSSLSKCSNLQGIYLFDNKLHGIIPEGLGILSKLSVLYLSNNHLTGNIPLTLGSNSFLNFVILTNNSLTGGIPPLLTNSSSLVVLDLTNNQLGGEIPFALFNNSSLQSIMLGVNKFVGSIPLITNISSPLVSLCLSQNNLSGSIPSSIENFSSLQQLVLAQNNFQGTIPSSLSRMPNLQQLDLTYNNLSGTVPASLYNMSTLSYLGMGRNNLIGEIPSTIGYTLPSIEKLVLQGNKFQGQIPTSLGNATNLKVIDLRDNAFHGIVPSFGNLYNLIELNLGMNKLEAGDWSFLSSLTSCSQLVSLALDKNNLKGTLPSSIARLSNSLEELMLTGNEISGTIPQEIDHLTNLAVLHMGQNLLTGDLPDSLGNLPNLFVLSLSQNKLSGQIPLSVGNLSHLSELYLQENILSGPIPSSLGHCKNLEALNLSFNSFDGGIPKELVTLSSLSEWLDLSHNQLNGEIPPEIGGLINLDILNISNNQLLGQIPSTLGDCIHLSYLRMEGNLLDGRIPDSFINLRGIVELDLSQNNLSGKIPEFMESFGSMKLLNLSFNDFEGPVPTGGIFQNVSEVFVQGNKKLCGRYPSLQLPLCNVKASKGKHTTKILKIVGPIAICLALTSCLALILLKKRNKVKQAFDPSCKELKKFTYADIVKATNGLALANLVGSGKYGSVYKGRFEFEEQLVAIKVFKLDQVGAPKSFLAECEALRNTRHRNLVRVITACSTYDPTGREFKALILEYMANGSLESWLYPKVNKYGLEKPLSLGYRIKIAVDIASALDYLHNYCIPPMVHCDLKPDNILLDDVMDARLGDFGLAKFLQSNNSSMFNSSTSLAGPRGSIGYIAPEYGYGCKVSIEGDVYSYGIIILEMLTGKRPTDEMFTNGLNIHKYVESSFFSHKISEILDPNVIPNFEEDAENNFDPENHLTTGMLGCVMQLTKLGISCSMETPKDRPAMQDVYAEVMTIKEAFSALRV >OGLUM06G20540.1 pep chromosome:ALNU02000000:6:23056208:23057082:1 gene:OGLUM06G20540 transcript:OGLUM06G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKSDSTGLCKTYSSRRYTTQFHARRTYVQHAASVKHDNPARPAGSRARRRASRRAGDRRCWEHGQHRQLGKQRQQSTARCGRSIYRVAVPCIWIYCFECQSRSLPNSMPKPRESLPPGGRRGQCLQPQLTLTLISRVPGGRRMMVHQHRKSRFMDEGPDSANSGFTCT >OGLUM06G20560.1 pep chromosome:ALNU02000000:6:23075564:23075827:1 gene:OGLUM06G20560 transcript:OGLUM06G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGETVLGRVGAMGRGARWGGDECARVRIGSAAVPICATARASVDWRLLGIGGDLAEVNRRRRDGRGEMRASCVGAMESVASRRP >OGLUM06G20570.1 pep chromosome:ALNU02000000:6:23077681:23082989:-1 gene:OGLUM06G20570 transcript:OGLUM06G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase 19 [Source:Projected from Arabidopsis thaliana (AT4G38130) UniProtKB/Swiss-Prot;Acc:O22446] MDASAGGGGNSLPTAGADGAKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLDQMQVLKPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIRGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYFEYFGPDYTLHVAPSNMENKNTRQQLDDIRSRLLDNLSKLRHAPSVQFQERPPEAELPEQDEDQEDPDERHHADSDVEMDDVKPLDDSGRRSSIQNVRVKRESAETDAADQLLWLMCAQRCDGNRVAAEHTKGTEPAADGVGSSKQTVPTDASAMAIDEPGSLKVEPDNSNKLQDQPSVHQKP >OGLUM06G20580.1 pep chromosome:ALNU02000000:6:23101124:23105940:1 gene:OGLUM06G20580 transcript:OGLUM06G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDFTTSYALETQKLFKTAALLSNAPSTTDASMLKAPTAITASNSSDRQVLLSFKSLITKDPSGALTSWGNRSLHHCRWQGVMCGKRGRRRGRVIAIDLNNLGLVGSISPSISNLTYLRNLHLPQNQFGGHIPHELGLLDDLKFLNLSINSLEGEIPTSLSQCSRLQTISLWYNNLQGRIPSNLSHCSYLRTIEVFANYLEGEIPSELGSLQRLELLNLYNNNLTGSIPSYIGNLKNLILIDISDNGLTGSIPPEIGNLQNLQFMDFGKNKLSGSIPASLGNLFSLNWLDLGNNSLVGTIPPSLGGLPYLSTFILARNKLVGNIPPSLGNLSSLTELNFARNNLTGIIPHSLGNIYGLNSLRLTENMLTGTIPSSLGKLINLVYIGLQFNNLIGEIPLSLFNLSSLQKLDLQNNKLSGSLQNYFGDKFPLLQGLALNDNKFHGPIPLSLSNCSMLELIQLDKANYNSDWDFLNALTNCTQLQVLQLSFNRLRGVLPHSLSNLSISLEHLAILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLAQNRLSGEIPPTLGNLTQLSELYLSMNAFTGEIPSALAKCPLGVLALAYNKLSGNIPKEIFSSSRLRSISLLSNMLVGPMPSELGLLKNLQGLDFSQNKLTGEIPISIGGCQSLELLLVSQNFLHGSIPSTMNKLTGLQELDLSSNNISGIIPVFLGSFIGLTYLNLSFNNLIGEVPDDGIFRNATAFSIVGNVGLCGGIPVLSLPSCTNQQARKHKFPKLAVAMSVSITCLFLVIGIGLISVLCKKHKSSSGQTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLDKWLHTHIDEQSDQSVLNIYQKLSIATDVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENTDLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERCMPSVMGLYYCISTEKQQN >OGLUM06G20580.2 pep chromosome:ALNU02000000:6:23101755:23105940:1 gene:OGLUM06G20580 transcript:OGLUM06G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPCKCTTGASWAVSFLLVAALLSNAPSTTDASMLKAPTAITASNSSDRQVLLSFKSLITKDPSGALTSWGNRSLHHCRWQGVMCGKRGRRRGRVIAIDLNNLGLVGSISPSISNLTYLRNLHLPQNQFGGHIPHELGLLDDLKFLNLSINSLEGEIPTSLSQCSRLQTISLWYNNLQGRIPSNLSHCSYLRTIEVFANYLEGEIPSELGSLQRLELLNLYNNNLTGSIPSYIGNLKNLILIDISDNGLTGSIPPEIGNLQNLQFMDFGKNKLSGSIPASLGNLFSLNWLDLGNNSLVGTIPPSLGGLPYLSTFILARNKLVGNIPPSLGNLSSLTELNFARNNLTGIIPHSLGNIYGLNSLRLTENMLTGTIPSSLGKLINLVYIGLQFNNLIGEIPLSLFNLSSLQKLDLQNNKLSGSLQNYFGDKFPLLQGLALNDNKFHGPIPLSLSNCSMLELIQLDKANYNSDWDFLNALTNCTQLQVLQLSFNRLRGVLPHSLSNLSISLEHLAILNNEVGGNIPEGIGRLSNLMALYMGPNLLTGSIPASLGKLSKLNVISLAQNRLSGEIPPTLGNLTQLSELYLSMNAFTGEIPSALAKCPLGVLALAYNKLSGNIPKEIFSSSRLRSISLLSNMLVGPMPSELGLLKNLQGLDFSQNKLTGEIPISIGGCQSLELLLVSQNFLHGSIPSTMNKLTGLQELDLSSNNISGIIPVFLGSFIGLTYLNLSFNNLIGEVPDDGIFRNATAFSIVGNVGLCGGIPVLSLPSCTNQQARKHKFPKLAVAMSVSITCLFLVIGIGLISVLCKKHKSSSGQTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLDKWLHTHIDEQSDQSVLNIYQKLSIATDVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENTDLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERCMPSVMGLYYCISTEKQQN >OGLUM06G20580.3 pep chromosome:ALNU02000000:6:23101124:23106189:1 gene:OGLUM06G20580 transcript:OGLUM06G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDFTTSYALETQKLFKTGLISVLCKKHKSSSGQTSTRAVRNQLPRVSYTELSMGTNGFSSSNLIGEGRFGSVYKANMSFDQYSVVAVKVLKLQERGASHSFLAECEALRYLRHRNLVKILTACSSIDPRGHDFKALIFEYLPNGSLDKWLHTHIDEQSDQSVLNIYQKLSIATDVGSAVEYLHDYKPVPIVHCDLKPSNILLDSDMMAHVGDFGLARFTNQGDNNASQVSSSWAAFRGTIGYAAPEYGIGNEVTTSGDVYSYGIILLEMFTGRRPTEQNFEENTDLHRFVEEALPDSVEDVVDQNLILPREDTEMDHNTLLNKEAALACITSILRVGILCSKQLPTERVQIRDAVRELHKIKEKFFP >OGLUM06G20590.1 pep chromosome:ALNU02000000:6:23109977:23113878:1 gene:OGLUM06G20590 transcript:OGLUM06G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABB0] MEVLIRWSKLPAAGSLVLYAVFLLLSAAATSEANIGEYDEYWQKRKLMADAAAEATYKRDPFEVTNSFNRAVHRHADRSSEEESGRRELAMTKRKKFAGPCKATNPIDRCWRCRADWVTDRKRLARCAQGFGRNTTGGLAGKFYLVTDGTDDDVENPRPGTLRWGVIQDEPLWIIFAKDMIINLKEEMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDILQGKGGMIRDSPEHFGFRTQSDGDGISIFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHLTNHNDVMLFGSSDSFSEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYIAPPNLAAKRITKQLGATEEEWKNWVWHSEEDLFMEGAYFTTSGGPIQKQFSNKDLIKPKPGSYVTRLTRFAGSIPCVAGKPSEELSGRRKLAMTKRKKFAGPCKATYPIDRCWRCRTDWATDRKRLA >OGLUM06G20600.1 pep chromosome:ALNU02000000:6:23114037:23114966:1 gene:OGLUM06G20600 transcript:OGLUM06G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABB1] MIINLKEGMMINSDKTIDGRGAQVRITNGAQVTVQNSNNVIIHNIHIHDIVLGKLGMIRDSLEQFGFRTYDDINIFGSTNVWLDHLSLSNCKDGLIDSPCISNYHLTNHNDVMLFSSSDSFSEDQIMQITVAFNHFGCSLVQGMPRCRWGFFHVVNNDYTHWLMYAIGGSKNPTIISQGNRYTAPPNLTAKQITKHLGAAEEEWKNWVWHSEEDLFMEGTYFTTSGDATQKQFSNKDLIKPKPGFYVARLTRFAGFIPCTPGKKC >OGLUM06G20610.1 pep chromosome:ALNU02000000:6:23123599:23125617:1 gene:OGLUM06G20610 transcript:OGLUM06G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPPFLLFLLLLLVSSSLTPAATAAAFVGLDSFLAAAATRDPSAGNDTFGALPAALLRQLSAPSPLLPTRLLSLSAQVPVTVRLAGASFPPATARLLESFVNSAVSSSRFLSSRRPHRLALSHKIHLEVSASSSQLAPRAAAAVRAHLDSSAAPFHAAALSSVPYSVVDDLVAEDYRALVDTVSAPSVYIYLLNLGPQPRPYAYTAASSPADAHSPGFSRCLAPVWAGKERYIWIDLGAGPVDYGPALSGEGVLPRGEFHPLAALHGRPRSEKALVADLASLVLSAYKSLLVPSLRIPVHYESSLLVQVFHIHGHERDTSGLDWGSIEQSIRDGNLAYEGQRLKFDLNRIRFSDCPICSFAVARSTTSFTSRFLFDNYTLIVSEYLDSKRMRQVLSDSLEELHKVAAVHDNDDYDKVVPVFVFDLDYDKLLLLDRYHQAVAFRDMVISVRTRSSQTVSDYSCNGRHVITMTRNLDRPIIASVLQSMWGVSPTHQSWSPEHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNYTITSAIDVLESMAAHGGESILLRRKRRVEFIQRWNLLTYKLEKVVSAMSRLDYNKAMYFLRSSDHDLFAVHTLVYQASQELEASLVCFKDPPFPWLSVSMSGIFVFGFFYVYSKRDKLFRSKRKQF >OGLUM06G20620.1 pep chromosome:ALNU02000000:6:23129216:23130955:1 gene:OGLUM06G20620 transcript:OGLUM06G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRACWSWANSGDIPFNTRGSGENNLAKPMIQRKDRICYGSQPELLLCNAKQQLMKLAWKSGRTRELANQATIHTSNMPILMLRCNYIFLEKKLKKIYVSKIYFLMIYAIEF >OGLUM06G20630.1 pep chromosome:ALNU02000000:6:23137005:23137202:-1 gene:OGLUM06G20630 transcript:OGLUM06G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSLATVRYALLALPPLMVAAYVYNGGGRGNGDRPRARSINKFTDGGPATAGPAARKDNSAL >OGLUM06G20640.1 pep chromosome:ALNU02000000:6:23146139:23151904:1 gene:OGLUM06G20640 transcript:OGLUM06G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQIVLSPGHGLLLFPLKFLLFLPLVLTGGTEDDRQALLCFMSQLSAPSRVLASWSNTSMEFCSWEGITCSSQSPRRVIALDLSSEGITGSIPPCIANLTFLTVLQLSNNSFHGSIPPELGLLSQLSNLNLSMNSLEGNIPSELSSCSQLKILDLSNNNLQGSIPSAFGDLPLLQKLVLANSRLVGEIPESIGGSTSLTYVDLGNNALTGRIPESLVNSSSLQVLRLMRNALSGQLPTNLFNSSSLTDICLQQNSFGGTIPPVTAMSSQVKYLDLSDNNLIGTMPSSLGNLSSLIYLRLSRNILLGSIPESLGHVTTLEVISLNSNNLSESVPPSLFNMSSLTFLAMTNNSLIGKIPSNIGYTLPNIQELLLSDVKFDASIPASLLNASNLQTFNLANCGLTGSIPLLGSLPNLQKLDLGFNMFEVDGWSFVSSLTNCSRLTRLMLDGNNIQGNLPSTIGNLSSDLQWLWLGGNNITGSIPPEIGNLKGLTKLYMDYNLLTGNIPPTIGNLHNLVDLNFTQNYLSGVIPDAIGNLLQLTNLRLDRNNFSGSIPASIGQCTQLTTLNLAYNSLNGSIPSNIFQIYSLSVVLELSHNYLSGGIPEEVGNLVNLNKLTISNNRLSGEVPSTLGKCVLLESLEMQSNFLVGSIPQSFAKFVGIKIMDISQNKLSGKIPEFLTSFSSVYYLNLSFNNFYGEIPIGGVFSNASVVSVEGNDGLCAWAPTKGIRFCSSLADRESMHKKLVLTLKIIIPFVIVTITLFCVLLARSRKGMKLKPQLLPFNQHLEQITYEDIVKATKSFSSDSLIGLGSFGMVYNGNLEFQQDQVAIKIFNLNIHGANMSFVAECEALRNVRHRNIIKIITSCSSVDSEGADFKALVFKYMKNGNLEMWLHPKKHEHSQRNALSFSQRVNIVLEVAFALDYLHNHCVPPLIHSDLKPSNILLDLDMVAYVSDFGSARFLCPKSNLDQESVTSLGCLKGTVGYIPLEYGMSKEISTKADVYSFGVILLEMITGISPTDEIFSDGTSLHELVAGEFAKNSYNLIDPTMLQDEIDATEIMMNCVIPLVRTGLSCSVTSPKDRCEMGHVCSEILRIRHELSKIDGE >OGLUM06G20650.1 pep chromosome:ALNU02000000:6:23169683:23181476:1 gene:OGLUM06G20650 transcript:OGLUM06G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYVSDFGLARFICTRSNSDQDSLTSLYCLKGSIGYIPPEYGMSEERSTKGDVYSFGVLLLEMVTIRQKKYLMTVQACVIFPKDTFKVVDPTMLQDEIDATEVLQSCVIPLARIGLSCSMTSPKHRCEMGQVCTEILGIKHALSKIDAICNETEYDRQALLCFKSQLSGPSRALSSWSNTSLNFCSWDGVTCSVRRPHRVIAIDLASEGITGTISPCIANLTSLTTLQLSNNSFHGSIPSRLGLLSELNNLNLSMNSLEGNIPSELSSCSQLEILGLWNNSIQGEIPASLSKCIHLQEINISRNKLQGTIPSTFGNLPKLKTLVLARNRLTGDIPPFLGSSVSLRYVDLGNNALTGSIPESLANSSSLQVLRLMSNSLSGQLPKSLLNTSSLIAICLQQNSFVGSIPAVTAKSSPIKYLNLRNNYISGAIPSSLANLSSLLSLRLNENNLVGNIPESLGHIQTLEMLALNVNNLSGLVPPSIFNMSSLIFLAMANNSLTGRLPSDIGYTLPKIQGLILSTNKFVGPIPASLLNAYHLEMLYLGKNSFTGLIPFFGSLPNLNELDVSYNMLEPGDWGFMTSLSNCSRLTKLMLDGNNLQGNLPSSIGNLSSNLEALWLKNNKFFGPIPSEIGNLKSLNRLFMDYNVFTGNIPPTIGNMNSLVVLSFAQNKLSGHIPDIFGNLSQLTDLKLDGNTFSGKIPASISQCTQLQILNIAHNSLDGNIPSKIFEISSLSEEMDLSHNYLSGEIPNEVGNLIHLNRLVISNNMLSGKIPSSLGQCVVLEYLEIQNNFFVGSIPQSFVNLVSIKRMDISQNNLSGNIPEFLTSLSSLHSLNLSYNNFDGVVPRGGVFDINAAVSLEGNDHLCTRVPKGGIPFCSVLTDRKRKLKILVLVLEILIPAIVVAIIILSYVVRIYRRKEMQANLHCQLISEHMKNITYQDIVKATDRFSSTNLIGTGSFGTVYKGNLDPQQDEVAIKVFNLGTCGAQRSFSVECEALRNIRHRNLVKIITLCCSVDSSGADFKALVFHYKANGNLDTWLHPRAHEHSERKTLTFSQRINIALDVAFALDYLHNQCASPIVHCDLKPSNILLDLDMIAYVSDFGLARCLNITANEYEGSSKSLTSLKGSIGYIPPEYGMSEVISTKGDVYSFGVLLLEMVTGSSPTDEKFNNGTSLHEHVARAFPKNTSEIVDPTMLQGEIKVTTVMQNCIIPLVRIGLCCSVASPNERWEMGQVCAEILKIKHEFSSIHGENGLGPASRGPLWAHVKKEKKTQHTYIISLAICNETDDRQALLCFKSQLSGPSRVLSSWSNTSLNFCNWDGVTCSARSPPRIIAIDLSSEGIKGTISPCIANLTSLMTLQLSNNSLHGSIPSKLGLLRKLRNLNLSMNSLEGNIPSQLSSCSQIEILDLSSNSFQGAIPASLGKCMHLQEINLSRNNLQGRIPSAFGNLSKLQALVLTSNRLTDEIPPSLGSSFSLRYVDLGNNDITGSIPESLANSSSLQVLRLMSNNLSGELPKSLFSTSSLTTIYLHQNRFVGSIPTIAAMSSPIKYLSLRDNCISGTIPSSLGNLSSLLELRLSKNNLVGNIPESLGHIQTLEILTMSINNLSGLVPPSLFNISSLTFLAMGNNSFVGRLPSNIGYTLPKIQGLILPANKFVGPIPASLLNAYHLEMLYLGNNSFTGLVPLFGSLPNLEELDVSYNMLELGDWSFMTSLSNCSKLTQLMLDGNSFQGILPSSISNLSSNLEGL >OGLUM06G20650.2 pep chromosome:ALNU02000000:6:23166826:23181476:1 gene:OGLUM06G20650 transcript:OGLUM06G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFSSPGFLQLLYILKFFCLLPLVISSNETESDRQALLCFGSQLAGSAEVLSSWSNASMEFCRWHGITCSTKLPHRVIALDLSSEGITGRILPCIANLTDLTRLQLSNNSFYGSIPSELGFLTQLSILNISMNSLEGNIPSELTSCFKLQKIDLSNNKLQGSIPSAFGDLTELRTLILTSNRLSGNIPQSLGSNLSLTYVDLGRNALTGRIPKSLASSTSLQFLILTSNTLSGELPKALLNSSSLIFLDLQQNNFVGSIPPVTAISPKMYYLDLRFNHLTGTIPSSLGNLSSLIYLCLIGNNLVGSIPDTLGHVPTLETLAVDLNNLSGLVPPSIFNVSSLTYLGMANNSLTGRLPSNIGYTLPNIQQLILLNNKFSGSIPSSLLNASHLQRLSLANNSLTGHIPLFGSLQNLKILDMAYNMLEADDWSFVLSLSNCSKLTELLLDGNNLQGNLPSSIGNLSSLERLWLRNNQISGIIPPGIGNLSLNMLYMDYNYLTGNIPPTIGYLHNMVILSFAQNRLSGQIPGTIGNLVQLNELHLDGNNLSGSIPESIHHCTQLKTLNLAHNSLHGTIPVHIFKIFSLSEQLNLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSALGSIPQSFVNLVSIKRMDISQNNLSGNIPEFLTSLSSLHSLNLSYNNFDGVVPRGGVFDINAAVSLEGNDHLCTRVPKGGIPFCSVLTDRKRKLKILVLVLEILIPAIVVAIIILSYVVRIYRRKEMQANLHCQLISEHMKNITYQDIVKATDRFSSTNLIGTGSFGTVYKGNLDPQQDEVAIKVFNLGTCGAQRSFSVECEALRNIRHRNLVKIITLCCSVDSSGADFKALVFHYKANGNLDTWLHPRAHEHSERKTLTFSQRINIALDVAFALDYLHNQCASPIVHCDLKPSNILLDLDMIAYVSDFGLARCLNITANEYEGSSKSLTSLKGSIGYIPPEYGMSEVISTKGDVYSFGVLLLEMVTGSSPTDEKFNNGTSLHEHVARAFPKNTSEIVDPTMLQGEIKVTTVMQNCIIPLVRIGLCCSVASPNERWEMGQVCAEILKIKHEFSSIHGENGLGPASRGPLWAHSHNFDFMNIACYEGVFETMASSSVLSQNIAWVLCHFTIFCSISLAICNETDDRQALLCFKSQLSGPSRVLSSWSNTSLNFCNWDGVTCSARSPPRIIAIDLSSEGIKGTISPCIANLTSLMTLQLSNNSLHGSIPSKLGLLRKLRNLNLSMNSLEGNIPSQLSSCSQIEILDLSSNSFQGAIPASLGKCMHLQEINLSRNNLQGRIPSAFGNLSKLQALVLTSNRLTDEIPPSLGSSFSLRYVDLGNNDITGSIPESLANSSSLQVLRLMSNNLSGELPKSLFSTSSLTTIYLHQNRFVGSIPTIAAMSSPIKYLSLRDNCISGTIPSSLGNLSSLLELRLSKNNLVGNIPESLGHIQTLEILTMSINNLSGLVPPSLFNISSLTFLAMGNNSFVGRLPSNIGYTLPKIQGLILPANKFVGPIPASLLNAYHLEMLYLGNNSFTGLVPLFGSLPNLEELDVSYNMLELGDWSFMTSLSNCSKLTQLMLDGNSFQGILPSSISNLSSNLEGL >OGLUM06G20650.3 pep chromosome:ALNU02000000:6:23166826:23169681:1 gene:OGLUM06G20650 transcript:OGLUM06G20650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGFSSPGFLQLLYILKFFCLLPLVISSNETESDRQALLCFGSQLAGSAEVLSSWSNASMEFCRWHGITCSTKLPHRVIALDLSSEGITGRILPCIANLTDLTRLQLSNNSFYGSIPSELGFLTQLSILNISMNSLEGNIPSELTSCFKLQKIDLSNNKLQGSIPSAFGDLTELRTLILTSNRLSGNIPQSLGSNLSLTYVDLGRNALTGRIPKSLASSTSLQFLILTSNTLSGELPKALLNSSSLIFLDLQQNNFVGSIPPVTAISPKMYYLDLRFNHLTGTIPSSLGNLSSLIYLCLIGNNLVGSIPDTLGHVPTLETLAVDLNNLSGLVPPSIFNVSSLTYLGMANNSLTGRLPSNIGYTLPNIQQLILLNNKFSGSIPSSLLNASHLQRLSLANNSLTGHIPLFGSLQNLKILDMAYNMLEADDWSFVLSLSNCSKLTELLLDGNNLQGNLPSSIGNLSSLERLWLRNNQISGIIPPGIGNLSLNMLYMDYNYLTGNIPPTIGYLHNMVILSFAQNRLSGQIPGTIGNLVQLNELHLDGNNLSGSIPESIHHCTQLKTLNLAHNSLHGTIPVHIFKIFSLSEQLNLSHNYLSGGIPQEVGNLINLNKLSISNNRLSGNIPSALGQCVILESLELQRNDRLCARAPLKGIPFCSALVDGGRVHRSLVLAFKIVTPVVVVIAILCFLMIRSRKRVPLNSRMSMQLKTHLRQLNVDIEKITYQDIVKATNGFSSANLIGSGSFGTVYKGNLEFRQDQVAIKIFNLSTYGAHRSFAAECEALKNVRHRNLVKVITVCSSVDSTGADFRALVFDYIQNGNLEMWLHPKEHEHSQRNFLTLSQRINIALDIAFALDYLHNRCATPLVHCDLEAKQHSFRP >OGLUM06G20660.1 pep chromosome:ALNU02000000:6:23166870:23172054:-1 gene:OGLUM06G20660 transcript:OGLUM06G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAASPIPVAYSSGDPPSATPVPVPGSDLPAAPAAKSEEASPAVPVIDPSKEERMGSEHPLPGASADVASRRPATTTFVPHQQQNRAKPRDRDDPTPADRAPSAGTPALGGSSNSESSRKPVASEYKCSKLSKIPKSRSWSLVRSVRLAIQGNMRPVMPSDERSSAMTRWGSFVLQVIPCHLQNSMDALLHEDKTSAEPASWDPKQRSACRSLSVSLLLMTNGRRQKNFKI >OGLUM06G20660.2 pep chromosome:ALNU02000000:6:23166870:23172054:-1 gene:OGLUM06G20660 transcript:OGLUM06G20660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAASPIPVAYSSGDPPSATPVPVPGSDLPAAPAAKSEEASPAVPVIDPSKEERMGSEHPLPGDRAKPRDRDDPTPADRAPSAGTPALGGSSNSESSRKPVASEYKCSKLSKIPKSRSWSLVRSVRLAIQGNMRPVMPSDERSSAMTRWGSFVLQVIPCHLQNSMDALLHEDKTSAEPASWDPKQRSACRSLSVSLLLMTNGRRQKNFKI >OGLUM06G20660.3 pep chromosome:ALNU02000000:6:23166870:23171457:-1 gene:OGLUM06G20660 transcript:OGLUM06G20660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNESATSDHYFRAASAAKYDLRRPDRAKPRDRDDPTPADRAPSAGTPALGGSSNSESSRKPVASEYKCSKLSKIPKSRSWSLVRSVRLAIQGNMRPVMPSDERSSAMTRWGSFVLQVIPCHLQNSMDALLHEDKTSAEPASWDPKQRSACRSLSVSLLLMTNGRRQKNFKI >OGLUM06G20660.4 pep chromosome:ALNU02000000:6:23171704:23172054:-1 gene:OGLUM06G20660 transcript:OGLUM06G20660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSAASPIPVAYSSGDPPSATPVPVPGSDLPAAPAAKSEEASPAVPVIDPSKEERMGSEHPLPGGKD >OGLUM06G20670.1 pep chromosome:ALNU02000000:6:23173459:23177074:-1 gene:OGLUM06G20670 transcript:OGLUM06G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLEILQSDPNPASANNLAVTAGIEPTKLFCWRQMAISDEVFNRDFGSCPLRLLLISLSTWRDELFAKDSGMLPVRALLPRSTYLRETLLPRNGGMSPVSLFLARTSVFSLGRFPKVEGMRCKGGKIGDARCEVYCNDTVRTSHTAGDSIPAAEIQRCVAP >OGLUM06G20670.2 pep chromosome:ALNU02000000:6:23173459:23176930:-1 gene:OGLUM06G20670 transcript:OGLUM06G20670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALHLAVTAGIEPTKLFCWRQMAISDEVFNRDFGSCPLRLLLISLSTWRDELFAKDSGMLPVRALLPRSTYLRETLLPRNGGMSPVSLFLARTSVFSLGRFPKVEGMRCKGGKIGDARCEVYCNDTVRTSHTAGDSIPAAEIQRCVAP >OGLUM06G20680.1 pep chromosome:ALNU02000000:6:23180123:23180812:-1 gene:OGLUM06G20680 transcript:OGLUM06G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSDEVLNRDFGSSPLRLLLMSLSTWRDELFAKDSGMLPVISLFPRSTYLREKLLPRDGGISSVSLLLVRTSACSLERFPKAEGILPCKFVIREVKLAMQGDMVPLMPSDERSIAMIRGGLRALQVTPSQLQKFKDVLLHEDRTLDGPES >OGLUM06G20680.2 pep chromosome:ALNU02000000:6:23179015:23184362:-1 gene:OGLUM06G20680 transcript:OGLUM06G20680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIAQPYPEEGASAQAQIDPSRAEPRKSASPARAAADGRRATTAAPRRARAQHTRRSQAIPSPRLASAAARARGPVLISCGDQLFGSLADARAAARHGGWCGVVWLAGDRGRPIPGHPAGDTARSTWRGAIRRWIRVTRIVTSLYTGFLSI >OGLUM06G20680.3 pep chromosome:ALNU02000000:6:23180822:23184067:-1 gene:OGLUM06G20680 transcript:OGLUM06G20680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVVWCGWPATGDALFRGTLPEIRRGPRGAARRSSRREERLPSEEGIVPEMQLSLKERYLMGEDMAAIVGIEPTNLF >OGLUM06G20680.4 pep chromosome:ALNU02000000:6:23179001:23179376:-1 gene:OGLUM06G20680 transcript:OGLUM06G20680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGSSAASAFPASASAGDPPAAPVAVPGGDLPAAPVVNPPAAKCPAAAPDPPMDPSDEDRYFPIHRLPEYLKNHR >OGLUM06G20690.1 pep chromosome:ALNU02000000:6:23181549:23183484:1 gene:OGLUM06G20690 transcript:OGLUM06G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNLFTGTIPQTIGNLNNLTVLSFAQNKLSGHIPDVFGNLVQLTDIKLDGNNFSGRIPSSIVQCTQLQILNLAHNSLDGNIPSMIFKITSLSQEMNLSHNYLSGRMPDEVGNLINLNKFGISNNMLSGEIPSSLGQCVTLEYLEIQCNFFVGRIPQSFMNLVSIKEMDISRNNLSGNIPQFLKSLSSLQDLNLSFNNFDGVIPTGGVFDIDNAVSIEGNNHLCTSVPKEKGTQDLGSSPRDTNTSYHCCYNHSILCCENLWKEGDARKPHCQQINDHVKNITYQDIVKATDRFSSANLIGTGSFGTVYKGNLDRQQDEVAIKVFNLGIYGGQRSFSVECEALRNIRHRNLVKIITLCSSVHSNGADFKALVFQYMANGNLDTWLHPRTHEHSERKTLAFNQRINIAMDVAFALDYLHNQCASPLVHCDLKPSNILLDLDMIAYVSDFGLARCLNNTSNAYEGSSKSLACLKGSIGYIPPEYGMSETISTKGDVYSFGVILLEMIAGSSPTDEKFNNGTSLREHVARAFPKNTYEIVDPRMLQGEMNITTVMQNCIIPLVRIGLCCSAASPKDRWEMGQVSAEILKIKHIFSSIHGV >OGLUM06G20700.1 pep chromosome:ALNU02000000:6:23184178:23185037:1 gene:OGLUM06G20700 transcript:OGLUM06G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDRRVCCARARRGAAVVARRPSAAARAGLADFLGSARLGSIWACALAPSSGYGWAMIWAIVAHQMNRS >OGLUM06G20710.1 pep chromosome:ALNU02000000:6:23185661:23185876:1 gene:OGLUM06G20710 transcript:OGLUM06G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAGEALRTNITTLGPLVLPLSEQLQFLATVVLHRVFRAGVKTYLPDFTAALDHFCIHAAAWTSWSGASS >OGLUM06G20720.1 pep chromosome:ALNU02000000:6:23199520:23203030:1 gene:OGLUM06G20720 transcript:OGLUM06G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSGIVWLCLSIIFMILPIAIIDEHENDRQALLCFKSQLSGPPGVLASWTNASQEFCNWHGVTCSTPSPRRVTAIDLASEGISGSISPCIANLTSLTMLQLSNNSFHGSIPSVLGLLRQLNNLNLSMNSLEDNIPSELSSCSQLEILDLSNNSIQGEIPASLSQCDRLKKIRLSKNKLQGRIPYALGNLPKLEKVVLASNRLTGDIPASLGSSLSLTYVNLESNALTGSIPQSLLNSSSLKVLVLTRNTLTGEIPKPLFTSSTLTDICLDENNFVGSIPHVTATSLPLQYLYLGGNKLSGTIPSSLGNLSSLLDLSLTRNNLTGSIPDSLGHIPTLELLNLNVNKLTGHVPSSIFNLSSLKSLAMANNSLTGELPSNLGYTLPNIEKLIMPNNRFKGSIPPILLNSSCLRMLDLSNDSLAGVVPFFGSLPNLEELSLSYNKLEAADWSFISSLSNCTKLTTLLIDGNNLKGKLPHSIGNLSSSLEWLWLRDNKISGHIPPEIGNLKSLEMFSIDYNLLTGNIPPAIGNLHNLVILALAQNKLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPATLEHCTQLQILNLAHNSLDGRIPNQIFKISSLSLELDLSHNDLFGGIPEEVGNLINLQKLSISNNRLSGNIPSTLGQCVVLESLEMQSNLFVGSIPNSFEKLVGIQKIDISRNYLSGNIPDFLGNFSLLHDLNLSFNSFSGEVPASSIFRNASVVSMEGNNGLCARTSIEGIPLCSTQVHRKRRHKSLVLVLVIVIPIISIAIISLSFVVFLWRKRIQVKPNLLQSNEHKLKNITYEDIAKATNMFSSDRLIGSGSFARVYKGNLELQEDEVAIKIFNLGTYGAHKSFIAECETLRNVRHRNLVKIITLCYSVDATGADFKALVFQYMRNGNLDMWLHPKAHESSQGKPSNILLDHDMVAYVSDFGLARFVYSRLTAHEDTSTNLACLKGSIGYIPPEYGMSKDISTKGDVYSFGIILLEIITGSRPTDEKFNGSTTLHEFVHGAFPNNIYEVVDPTILHNDLVETDVMENCIIPLVKIGLCCAVPLPNERPEMGQVATMILEIKHATSNKNVRLS >OGLUM06G20730.1 pep chromosome:ALNU02000000:6:23212691:23212912:-1 gene:OGLUM06G20730 transcript:OGLUM06G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADVLVGRRAGAPCAGGGESARETKTGGGAARDSGVSGAGSWDSESSSLAGTRAGKQPAGAPPIQSYECLSD >OGLUM06G20740.1 pep chromosome:ALNU02000000:6:23218626:23219164:-1 gene:OGLUM06G20740 transcript:OGLUM06G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVTQYLILKTLLLVIELVQGLQAVILVKINSIHLWTRFLILNTALLVLLKSCYD >OGLUM06G20750.1 pep chromosome:ALNU02000000:6:23223892:23227612:1 gene:OGLUM06G20750 transcript:OGLUM06G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLGVLSSVIVWLSLSTMFISIPLATSDDHENDRQTLLCFKSQLSGPTGVLDSWSNASLEFCSWHGVTCSTGSPSRVASIDLASEGISGFISPCIAKLTFLTRLQLSNNSFHGSIPSELGLLSQLSTLNLSTNALEGNIPSELSSCSQLEILDLSNNFIQGEIPASLSQCNHLKDIDLSKNKLKGMIPSAFGNLPNMQIIVLANNRLTGDIPPSLGSGHSLTYVDLGSNDLTGSIPESLVNSSSLQVLVLTSNTLSGELPKALFNSSSLIAIYLDENSFVGSIPPVTAISPPLKYLYLGGNKLSGTIPSSLGNLSSLLDLSLTQNNLVGNVPDSLGHIPKLDLLNLNANNLIGHVPSSIFNMSSLTILTMANNSLTGELPSNLGYTLPNIETLVLSNNRFKGFIPPTLLNASDLSLLYMRNNSLTGLIPFFGSLKNLKELMLSYNKLEAADWSFISSLSNCSKLTKLLIDGNNLKGKLPHSIGNLSSSLKWLWIRDNKISGNIPPEIGNLKSLEMLYMDYNLLTGDIPPAIGNLHNLVVLAVAQNKLSGQIPDTIGNLVKLTDLKLDRNNFSGGIPVTLEHCTQLEILNLAHNSLDGRIPNQIFKISSFSQELDLSHNYLYGGIPEEVGNLINLKKLSISDNRLSGNIPSTLGQCVVLESLEMQSNLFAGSIPNSFENLVGIQKLDISRNNMSGKIPDFLGNFSLLYDLNLSFNNFDGEVPANGIFRNASVVSMEGNNGLCARTLIEGIPLCSTQVHRKRRHKSLVLVLVIVIPIISIAIICLSFAVFLWRKRIQVKPNLPQCNEHKLKNITYEDIAKATNMFSPDNLIGSGSFAMVYKGNLELQEDEVAIKIFNLGTYGAHKSFIAECETLRNVRHRNLVKIVTLCSSVDATGADFKALVFQYMRNGNLDTWLHPKAHELSQRKALTICQRVNIALDVAFALDYLHNQCGTPLIHCDLKPSNILLDLDMVAYVSDFGLARFICNRLTANQDTSTSLPCLKGSIGYIPPEYGMSKDISTKGDVHSFGILLLEIITGRSPTDEIFNGSTTLHEFVDRAFPNNISKMKSDFIVLEEVQENG >OGLUM06G20760.1 pep chromosome:ALNU02000000:6:23237578:23241277:1 gene:OGLUM06G20760 transcript:OGLUM06G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLRVVSIVCLYLFDFLCFLPIAMSDQTETDRDALLCFKSQISGPTGVLASWSNASLEHCNWHGVTCSMRVPRRVIAIDLPSEGIVSSISPCIANITSLTRLQLSNNSFHGDIPSELGRLNQLRNLNLSRNSLEGNIPSELSSCSQLQILDLKSNSLQGEIPPSLSQCVHLERIFLANNKLQGSIPSAFGDLPKLRVLFLANNRLSGDIPPSLGSSLTLTYVNLGNNALTGGVPKPMLNSSSLQQLILNSNSLSGELPKALLNTLSLIGIYLNQNNFSGSIPPVKTVSPQVQYLDLGENCLTGTIPSSVGNLSSLLYLRLSQNCLDGSIPESLGHIPTLEELNLNLNNFSGAVPPSLFNMSSLTSLVAANNSLTGRLPLDIGYTLPNIEGLILSANKFKGSIPTSLLNLTHLQMLYLADNKLTGIMPSFGSLTNLEDLDVAYNMIEAGDWGFISSLSNCTRLTKLMLDGNNLQGNLPSSVGNLSSGLQRLWLTNNKISGPIPQEIGNLKSLTELYMDYNQLSEKIPLTIGNLRKLGKLSFARNRLSGQIPDDIGKLVQLNNLNLDWNNLSGSIPVSIGYCTQLEILNLAHNSLDGTIPETIFKISSLSIVLDLSYNYLSGSISDEVGNLVSLNKLIISYNRLSGDIPSTLSQCVVLEYLEMQSNFFVGSIPQTFVNMVGIKVMDISHNNLSGEIPQFLTLLRYLQVLNLSFNNFDGAVPTSGIFANASVVSIEGNDYLCTKTPMRGMPLCSKSVDKKRNHRSLVLVLTTVIPIVAITFTLLCLAKYIWTKRMQAEPHVQQLNEHGNITYEDVLKATNRFSSTNLLGSGSFGTVYKGNLHLPFKEKDNLHLQEEHIAIKIFNLDIHGSNKSFVAECETLQNVRHRNLVKIITLCSSVDSTGADFKAIVFPYFPNGNLDMWLHPKFHEHSSQTKVLTLRQRINIALDVAFALDYLHNQCELPLVHCDLKPSNILLDSDMVAHVSDFGLARFVYTRSNAHKDISTSLACLKGSIGYIPPEYGMNKDISTKGDVYSFGILLLEMVTGSSPTDENFNGGTTLHDFVDRALPDNTHEVVDPTMLQDDISVADMMERCFVPLVKIGLSCSMALPRERPEMGQVSTMILRIKHAASNMGVR >OGLUM06G20770.1 pep chromosome:ALNU02000000:6:23242758:23245684:-1 gene:OGLUM06G20770 transcript:OGLUM06G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGSADHSSILAELKAKFNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQCALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >OGLUM06G20770.2 pep chromosome:ALNU02000000:6:23242758:23245683:-1 gene:OGLUM06G20770 transcript:OGLUM06G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSLREAFDRVIEKRASSSAKAQEVIDQIVSEVEQAITKMQMMNTDSMGSADHSSILAELKAKFNELAPLNQLEGCQKELNVALSKYLKLLEKSFSPDISKAYRNVDFEASTINSIIANHFYRQGLFDLGDSFVRECGESDGAHLKLQFQEMYSILEAMQVRNLQCALSWAAKNHDQLLQNGSMLELKLHQLQFVEILTKGSRDEALKYARTHLVPFASLHKAEIQKLMACLLWADRLDQSPYAEFMSSTHWEKLAEELTHQFCSLLGQSSESPLGVAVSAGFQGLPTLLKLTTVMAAKKQEWQAMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMLMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASQCKQLHF >OGLUM06G20780.1 pep chromosome:ALNU02000000:6:23249218:23256633:-1 gene:OGLUM06G20780 transcript:OGLUM06G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAAAASRPPSFTAQTNALLRKNLIFQKRNRKGTIRLIIVPIYLCLLLTILQRVINSVLDKPKFRCGCKCVDVNGTGSCQNVCGIQYSTLDQAGSCPIPNPPEWPALLQLPRPEYRAMQESSLYTGFPDASCRKSQSCAATIPFTGANETLSNIVMQNLFTSSPLSNLSDNASISSLLLGTDVPGTYTGFIEPAFVSDRPIYVLRPQCKASDSVTVPITFGDINIRKAEMLCIQGLPLWRNSSAIINEETFNGYRKGKTQEGINEIPMAYDFQDSNEKHFSVLALYNSTYQNVSYVPMPFGLLHISRSLNAVSNAYLQFLRGSGVNMLLAFTKEMPKQETRLRFDFSSVIGPLFFEWVVALLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYAYFLILSMVYLVLFVVFGSVIGLNFFKINDYSIQFVFFFSFMNLQIVLAFLTATFFSKVNTAQAIAYLYIFGSGLIAGSLIRNFIEGGKFPKHWITVLEIIPAFSLYRGLYELGQYAIRASEAGSHGMRWSDLNDHANGMRDALIIIILEWLVLLPVAYYLDHSASVGHKSSFLSLLKNLLKKNPTWRRVSINEVVNDAVHVEMVKQDIIKERETVDQVLQKQSSGYAVVCDDLKKVYHGKDGNPDKFAVRGLSLALPYGECLGILGPNGAGKSSFISMMIGLTRPTSGNAFVREFSIQTDMEKIYNSMGVCPQNDMLWEMLTGREHLQFYGRLKSLNGSDLDTAVNESLRSVNLLHGGAPDKQVRKYSGGMKRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWDAVKQAKRDRAIVLTTHSMEEAEVLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTSPEFEQEVENLARKLSPNARKVYHLSGTQKYELPKQQVRIADVFMAVENFKRRTEVQAWGLADTTMEDVFVKVAKGAQSSEELS >OGLUM06G20790.1 pep chromosome:ALNU02000000:6:23261267:23264721:1 gene:OGLUM06G20790 transcript:OGLUM06G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGDQSAGSAARASKLRYPLRSASRGKGAADAPPTSGSVARRPKPSLDVSKSVCGLDLSSVKDNSAKPPRRHSIQTKPGVSPRPTPTGTITPVSLVRSRRSDSQGKFDTPISEVSMSTARRKFSTLSSTSYWMTQIRLAEAASKHSISLGFFKLALESECEPLDRMRDELKAYVVRHGLATELEEPVKDILQVYNIVEDFEKLKITVNSSEQPKKSDKAAHSATNVSPKGNLKPRSLNSDAAQSKEAAKKDNIQKKPDAKVRGSYNRNPAKEAISKNTGKKAKKQVKGQKEDCNGGSEALPVGTEQEPVDVVKEVTNEDKENMGDSEMPMDVGIAQEI >OGLUM06G20800.1 pep chromosome:ALNU02000000:6:23274169:23279512:1 gene:OGLUM06G20800 transcript:OGLUM06G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSRGERVGVVFVLVVVVLCVDVSTLVAAQPLVSSQAKTLLWVRRLLGFPPALDALAGAPDACALPPTPSLTVACAGGQVTELSVLGGRAPDAALPANFSADALFTTLTRLPALSRLTLASLGVWGELPGAKLHRLQALRVLNLTGNCLYGAVPEHLSRMYSLQSLVLSRNRLNGTVPKLSGLAFLDELDLGHNRLGPAFPEVGNAVVRLVLADNNFTGKIPAEVSSLGQLQFLDVSGNRLQGWIPSSIFALPALRHIDLSRNQLAGQLPASTACADALAFVDVSDNLLAGARPACMRGNSSARTVLDAGNCFRDARSQRPSTYCNPGALAAVLPPAQGTGGEQGSGGKGGQVGMALGIVGGVVAGAALIALVMMAVLRRARRQNPEVSVLPKSLPPAKKKAAADGGKAPAKVTQRIVTPAEKRHASQAARVNTLEVPAYRVYTLEELQEATNNFGSSNLIKSSPVVKHYNGQLQDGSRVSLRCLKLKPKYSPQSLTQYMEIISKLRHRHLVSIIGHCIVEDQENPNIASSLCLLSECVTNGSLRSHLTEWRKREMLKWPQRVSAAIGVARGIQFLHDVTAPGIVHNDLSIENILLDKTLTSKISNFNLPLISTSKNGKIFSESPFATSEDNDLGSVPSTEQGDKDDIYQFGLILLEVITGKPTESPKDLDSLKTQISEAIAEDPDLLKDMADPTIRGTFAVESLSTVAEIALNCTASDTSSRPSIEDVLWNLQYSMQVQDGWASSESLSMSTRSQG >OGLUM06G20810.1 pep chromosome:ALNU02000000:6:23279270:23283627:-1 gene:OGLUM06G20810 transcript:OGLUM06G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABE2] MAIPTPSSSVVDQPSPPAPASAAAASQPLAGDPAPEAAAAAEGAGGDQTAPAAAAATGASLYVGDLEASVGEDQLVALFSQVAPVASAYVCRDIAGGSKSLGYGYVNFMSREDATRAMENLNFTVVNGKPIRVMFSNRDPTLRKSGLANVFIKNLEPNIDNKSLYEMFSSFGTILSSKVATDFNGKSKGYGFIQFESESSAKDAINGLNGMLANGQKIFVGLFMRRQEREHTGDASNFTNVYVKNLPKHFSDDDLLNEFSSFGAITSAIVMRDANGLSRCFGFVNFEKSECARNAVRNLNGKSIGDMVLYVGRAQKKSERQAELKAKFEHDKNQKFEKLQTVNLYLKNLDDDINDEHLRKLFECFGEVASCKVMLDSHGRSKGCGFVSFATVEDANNAILKMNGKMVGKKPLYVAVAQRKEERKAFLAAHFARVRALATMAPTLGPNISPHHFNFGHGVPALFPPPPPAGFGFQPNFVPNMMVPYNMQRHPGQRSGPPHGGMPRQMHNPHQGFRHMPNRRNGVANPAMLHQHHRFSSPMQPMQQAVKHVVPVGELQAPSNNLQTSLASANPEQQREILGDMLFPLVEQLVNEKAYKVTGMLLELDKTEVLNLVESPDTLRDKVAEAMKVLELEATATAAASGSGDGDAAAPSSSSAA >OGLUM06G20820.1 pep chromosome:ALNU02000000:6:23294039:23297684:-1 gene:OGLUM06G20820 transcript:OGLUM06G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G34110) TAIR;Acc:AT1G34110] MAHRGDRFLVVVVVVVVLGVVVRPAAALSADGKALLSLLPAAAPSPVLPSWDPTAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPQLASLSSLQLLNLSTCNISGAIPPAYASLAALRVLDLSSNALYGDIPASLGALSGLQYLLLNSNRLTGAIPRSLASLAALQVLCVQDNLLNGTIPASLGALTALQQFRVGGNPGLSGPIPASLGALSNLTVFGAAATALSGAIPEELGNLANLQTLALYDTGVSGPIPAALGGCAELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGRIPPELSNCSALVVLDLSGNRLAGEVPGALGRLAALEQLHLSDNQLAGRIPAELSNCSSLTALQLDKNGLTGAIPPQLGELRALQVLFLWGNALSGAIPPSLGNCTELYALDLSRNRLAGGIPDEVFALQKLSKLLLLGNALSGRLPPSVADCSSLVRLRLGENQLAGEIPREIGKLPNLVFLDLYSNKFTGALPGELANITVLELLDVHNNSFTGAIPPQFGELMNLEQLDLSMNKLTGEIPASFGNFSYLNKLILSGNMLSGTLPKSIRNLQKLTMLELSNNSFSGPIPPEIGALSSLSISLDLSSNRFTGELPDEMSSLTQLQSLDLSSNGLYGSISVLSGLTSLTSLNISYNNFSGAIPVTPFFKTLSSSSYINNPNLCESYDGHTCASDMVRRTALKTVKTVILVCAVLGSITLLLVVVWILINRSRTLAGKKAMSMSVAGGDDFSHPWTFTPFQKLNFCVDNILECLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKTSKEEPIDAFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYIPNGNLQQLLKDNRSLDWDTRYKIAVGAAQGLAYLHHDCVPAILHRDVKCNNILLDTKYEAYLADFGLAKLMNSPNYHHAMSRIAEYGYTTKITEKSDVYSYGVVLLEILSGRSAVEAVVGDSLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGIAIFCVNPAPAERPTMKEVVAFLKEVKCSPEEWGKISQQPLIKPGSQQG >OGLUM06G20830.1 pep chromosome:ALNU02000000:6:23305972:23306394:1 gene:OGLUM06G20830 transcript:OGLUM06G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVAREWVAQMRGDDRLDSGGAWRRRPWGQAMLARGYGRWLGGRVDPMTSGRIRRRLGVDTTSPPLGAAAAASDWVDQPSKLTKATDLLLGAVAVALGQRRHPWKRQICGGDDDDDGGDGHCEDDDCSDGYDDDGFGS >OGLUM06G20840.1 pep chromosome:ALNU02000000:6:23307334:23308233:1 gene:OGLUM06G20840 transcript:OGLUM06G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSAGPPLLPPSLPLAVSGADHQKSCGSKDGDYSDSLLFVDPRSTTDLLEVADWAKAWRHPKGNQGRGGDSSMWQERETAGVGVGDGCTGWPETIDAAHRKAVGWLGGEIMVGVGIYTGGGLKDSK >OGLUM06G20850.1 pep chromosome:ALNU02000000:6:23334621:23339795:1 gene:OGLUM06G20850 transcript:OGLUM06G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALPQIGRERPYTSCRITSFMDGLHISFLGSSPIAILSSSPSNRSYVSSAHPLASSLLLLLIHKRSSEMNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >OGLUM06G20850.2 pep chromosome:ALNU02000000:6:23334622:23339795:1 gene:OGLUM06G20850 transcript:OGLUM06G20850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHGALPQIGRERPYTSCRITSFMDGLHISFLGSSPIAILSSSPSNRSYVSSAHPLASSLLLLLIHKRSSEMNDLFSSSSFKKYADASPASGVGGSDMEAGGEGVVNLDRFFEDVEGVKEDMKGLEALYKRLQSTNEETKTAHDARAVKALRSRMDGDVEQVLRRAKAVKGKLEALDRDNATSRKVPGCGPGSSTDRTRTSVVAGLGKKLKDIMDDFQGLRTRMAAEYKETVARRYYTVTGEKAEDSTIDSLIESGESESFLQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLLDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELEVAREHQKSSRKWACVAVLAGIILIAVLILPVLINLRILTLR >OGLUM06G20850.3 pep chromosome:ALNU02000000:6:23334622:23338421:1 gene:OGLUM06G20850 transcript:OGLUM06G20850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRLDGKNCLVTGANSGIGFATAEGLASRGATVYMLCRNKERGETALSQIRSKTGNMNVHLEICDLSSISEVKSFATKFSSTDKPLHVLVNNAGLLEHKRVTTPEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVSSGGMYTEPLNKDLQFGENNFDGTQQYARNKRVQVALTERWSEKCSNKGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVIWLALQPKEKLTSGSFYFDRAEAPKHLKFAGTAASHGQIGSIVDSLRSICGI >OGLUM06G20860.1 pep chromosome:ALNU02000000:6:23340640:23342182:-1 gene:OGLUM06G20860 transcript:OGLUM06G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRLPAGAAALLLLLAVASRAAADGNAVDVGVNWGSQLSHPLLPKSVVQMLKENGILKVKLFDADPWPVGALVDSGIEVMLGIPNDMLETMNSYGNAQDWVKENVTSYGDKLKIKYVAVGNEPFLKAYNGSFMKTTFPALKNIQKALNEAGVGDKVKATVPLNADVYVSPDNKPSSGAFRPDIQGLMTDMVKFLHEHGSPFVVNIYPFLSLYQSDDFPFEFAFVDGGKTIQDKGGISYSNVFDANYDTLVTALKKAGVPSLKVVVGEVGWPTDGDKNANLKLARRYYDGLLKKLSKKEGTPLRPGKMDVYMFGLFDEDMKSILPGNFERHWGIFTYDGKPKFPMDLSGHGNDKPLAGVPGVEYLPKQWCVFDDGAEDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKITTKNASARGCAFPIQIISAAAPAVAGVGLSAAALLALLMVLV >OGLUM06G20870.1 pep chromosome:ALNU02000000:6:23348926:23350383:1 gene:OGLUM06G20870 transcript:OGLUM06G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABF0] MAASANRAAVNGAAGSGEPEASRDHVIIFPFMAKGHTLPLLHFAAALSVHHKSLRVTLVTTPANLAFARRRLPGSVHLVVLPFPSLQPPLLPAGVESTDALPSMSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGFTHGVASDAGVRRVVFHGMSCFSMAICKSLVVSPHVGGGAAPFHVSRMPEHVTITPEEIPPTVASFADPDNPIARFMIENVESTDVRSWGVLVNSFAAVDGDYVASFESFYQPGARAWLVGPLFLASGDTPERDEENDDPEGCLAWLDERASRPGSVVYVSFGTQAHVADEQLDELARWLVRSGHPFLWAVRSNTWSPPVDVGPDQGRVVRGWVPQRGVLAHEAVGGFVSHCGWNSVMESLAAGKPVLAWPMMAEQALNARHVVDVVGAGVKVDAAVGSGAVVGSAEVEEKVRRVMDAGGEEGRRMRTQAAWAQRAARSAVSDGGTSRVALQKLIGDLQESY >OGLUM06G20880.1 pep chromosome:ALNU02000000:6:23363165:23364349:-1 gene:OGLUM06G20880 transcript:OGLUM06G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYPAFLRATALLREPFAEFMASLSSSPPLVVVSDFFLGFTHGVAADAGVRRVVYHGMSCFSMAICKLLLVSPPAGVEHGAGGGSPFHVSGMPENVMITAEDIPYSVEKFTDMDDPVTRFLIDNVFQSDVRSWGILVNSFAALDGDYVAPVEAFYEQGARAWLVGPLLPAAGETPERDEENDDPEGCLAWLDERAARPGSVVYVSFGTQAHVADEQLDELARGLVQSGHPFLWAVRSNTWSPPVDVGPDQGRIVRGWVPQRGVLAHESVGGFVSHCGWNSALESLAAGKPVLAWPMIAEQHLNARHIVDIVGTGVRVDSGGGAAVVGRAEVEEKIRMLMDAGGEAAQRMRARAAWARRAAMSAVSDGGTSRVALQKLVGELQRSYDDVVIKVG >OGLUM06G20890.1 pep chromosome:ALNU02000000:6:23375788:23376283:1 gene:OGLUM06G20890 transcript:OGLUM06G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFARSARSLALLQLAALLVAAAAVITCTAEMLVDPSDPFQPNDPGWGWLDRVPRAAAGVDRVPRAAAGVDRVPRAAAATVDRVPRAAAGVDRVRRAAAGTDRVPRAAGDHE >OGLUM06G20900.1 pep chromosome:ALNU02000000:6:23407727:23408943:1 gene:OGLUM06G20900 transcript:OGLUM06G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPSWRDGKATMESPSSIPTLKCACGASATVQISNTPRNPRRRWLQCGNSGCCFLWIWEDLLNEYAEEMVAYCHAGKYDHMQETIDILRQYLDDEKNEKAKICEVLDAKENELKSTIETLNQCRLECLAMKKQLEEVKFSRARLLYLTLVITVLFACLMFSGSTYAYNSTDIHEQHYWFIAALLAKYLRDGAGYIVTANRLLQVHRHTCITSSSQPLAISKILREEAAGITVEDR >OGLUM06G20910.1 pep chromosome:ALNU02000000:6:23425480:23427701:-1 gene:OGLUM06G20910 transcript:OGLUM06G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemoglobin 3 [Source:Projected from Arabidopsis thaliana (AT4G32690) TAIR;Acc:AT4G32690] MTWAPPLADRWAPLHCGARTLACPPHDWPAGIKGGEATTTATARVNRTAAKRLVGGIGAAAAAAAAMQSLQDKASEWSGVAAGDAFAIDDGNVFEALGGTTQPFVDLSTNFYTRVYEDEEEWFRQIFAGSKKEDAIRNQYEFLVQRMGGPQLFSQRRGHPALIARHRPFPVTHQAAERWLHHMQQAVDTTDSIDAATKTKMMHTAYFLVAGNEMTRQGHGTSCKCKHGESKPAE >OGLUM06G20920.1 pep chromosome:ALNU02000000:6:23464374:23470108:1 gene:OGLUM06G20920 transcript:OGLUM06G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESESNGHHHAGDEARAENSGAGCRHGGDDGGGTPRTTPEIRYTKLFINGRFVDAASGKTFETRDPRTGDVIARVAEGDKEDVDLAVKAAREAFDHGEWPRMSGSERGRVMAKYAEVVERHADELAALESLDAGKPLAAARAVDVGECVGILRYFAGAADKIHGETLKMSRQLQGYTLREPLGVAGLIVPWNFPAIMFFSKVSPALAAGCTVVVKPAEQTPLSALFLAHLSKQAGVPDGVINVVTGFGPTAGAAISYHMDVDVVAFTGSTEVGRLIMEASAKSNLKPVALELGGKSPFIVFDDADLDKAVELAIGGNFFNKGEACVAGSRVFVQEGIYDRFEQKLADTMKSWVVGDPFDPRVNQGPQVDKAQYERVLGYIEQGKAEGATVLTGGKPCGKKGYYIEPTIFTNVKDDMVIAREEIFGPVMCLMKFKTVEEAIERANGTRYGLAAGLVTRDIDVANRMARSIRAGVVWVNCYFAMDRSCPFGGRKMSGFGKDDSMHALDKFLAVKSVVTPVHGSPWF >OGLUM06G20930.1 pep chromosome:ALNU02000000:6:23471312:23471779:-1 gene:OGLUM06G20930 transcript:OGLUM06G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:multiprotein bridging factor 1C [Source:Projected from Arabidopsis thaliana (AT3G24500) TAIR;Acc:AT3G24500] MPTGRLSGNITQDWEPVVLRRTKPKAADLKSTRAVNQAMRTGAPVETVRKAAAGTNKAAAGAAAPARKLDESTEPAGLGRVGAEVRGAIQKARVAKGWSQAELAKRINERAQVVQEYESGKAVPVQAVLAKMERALEVKLRGKAVGAPAAPAGAK >OGLUM06G20940.1 pep chromosome:ALNU02000000:6:23501774:23505400:1 gene:OGLUM06G20940 transcript:OGLUM06G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTARKGRGGGGPPRPRVVDGGGGSMVSRVAVLAFCVAGIWSAYITQGVLQETLSTKRFGPEARRFDHLAFLNFAQNVVCFVWSFIMIKLWSSGGSSSAGRAPLRKYWGVSITNTVGPAMGIEALKFISYPAQVLAKSSKMIPVMLMGTILYGVKYTFPEYICTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYTLCFLNLAFDGYTNSTQDLIKSRYPKTNPWDIMLGMNLWGTIYNAVIMFVAPLLFSNWPYANGFEAVRFCQENPEVAWDILLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVISSVISGNPLSLKQWGSVVMVFSGLSLQIFLKWKRKKVRDHKE >OGLUM06G20950.1 pep chromosome:ALNU02000000:6:23508455:23509936:1 gene:OGLUM06G20950 transcript:OGLUM06G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABF8] MAMEKSPPPAPHFLFVVSGIQGHINPARRLAARLMASAPAARVTFSTAVSAHRLMFPSLPSPAGEDVDDTGVAYVPHSDGYDDGYKPGVHARDDYMARTRAAGTESLSAIVAALAARGRPVTCIVYTFLVVWAPAVARALGIPSAIYWIQPAAAFAVYYHYFHGHGEALASCANDPARGAVVRLPGMPLLRSDELPSAVSIVSPEHKHYLLLAMLRDLFEDLDELKPRVLVNTFDALEPDAIRAVPDLEVVAVGPVVPDGEASLSSSSTDMFRRDDASACVDWLDTKPARSVVYVSFGTLLSMSKRQEEEMRRGLEATGRPYLWVARQGAVDGGATLDSAPTPAADAGGSGGEGDAQGMVVEWCDQMKVLSHPAVGCFVTHCGWNSALESITRGVPMVAVPQWTDQPTVAWLVEARMGAGVRARLDGEGVVERGELQRCVELAMAGGGDGGVRARAERWRERAAEAVAAGGSSERNLRAFASGAVTQAVCSSR >OGLUM06G20960.1 pep chromosome:ALNU02000000:6:23530256:23531674:-1 gene:OGLUM06G20960 transcript:OGLUM06G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABF9] MSPTPRPHFLVLTFPLQGHIAPALRLARRLLAAAPDALVTFSTAAAAHRRMFAEGEGGDGDGRLELLPFSDGTENGFVKRGDAAELGAYMASFHASGRRSVGEMVDALAARGRPVSSVVYTLLLPWAADVARDRGVPSALYWIQPVAVLAIYCHYFHGLGGVVDEHRRDHSFVLEFPGLPPMAAGDLPSFLTEATDPSDYFHSIFTTFRDLFDALDRETPKATVLVNVFQELEADTLAAVGAYDVLPIGPVLPSGDDAALFKQNDAKYMEWLDTKPAGSVVYVAFGSLTVMAKGQVDELLHGLEESGRPYLCVVRKDNKAAVAETGDATAAAAAAAARRNGVVVEWCDQVRVLSHAAVGCFVTHCGWNSVLESIASGVPMVGVPRMSDQQMNARLVERDWRVGVRAEVDGGDGVLRAAELRRRVEEVMGDGEAAEVRRSAAAWKRAVAEALGKGGSSDRNLTAFVEGARSGI >OGLUM06G20970.1 pep chromosome:ALNU02000000:6:23540324:23549849:1 gene:OGLUM06G20970 transcript:OGLUM06G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKVFTAEYSLICKIHEYTKPYICLMDGVTMGFGIGLSGHGRYRIITERTLLAMPENGIGLFPDVGFAYIGAKAPGGGAVGSYLGMTGKRISSPADALFIGLGTHYVPSANLGPLRESLLSANFTDDPHRDVESLLTKFKNEPESGPQLDKFLPYIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGLKKGAPFSLCLTQKHFSQVASAYRNNEHYLSKLAGVMKVEYRIALRSSVRNDFVEGNPKWKPATLEDVDKGEVESVFEPLAAEAELNV >OGLUM06G20970.2 pep chromosome:ALNU02000000:6:23540324:23543303:1 gene:OGLUM06G20970 transcript:OGLUM06G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSHVTMRALLSRIHPPGPGSISTTSFLQCPSSTAASASKTLAPTLTFRRFAAMAAAAAEEFVKGRVTPNGVAVITLDRPKALNAMNLEMDLRYKAFLDEWETNPSVKCVLVESSSPRAFSAGGDVKRLANDCTMPEIIEV >OGLUM06G20980.1 pep chromosome:ALNU02000000:6:23554043:23559797:-1 gene:OGLUM06G20980 transcript:OGLUM06G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLERSEQMPMPASRAVPGGLVFDQSKDWWQPGIVDDRSSDILRSPAAQSPAPGGRSSDGVGGRERGAAGDQQGAEPAVRRVRGGVGGQQGARPQRRRRQDGAAVRAGDARQRPWHRRQGGPQPRAEAAAPGAAWPRPRRVDAEDVLDELDYFRIQDELDGTCEAADEHAKGCVVHNLFLNTRHTALSVASNLVLLPCAGDDHPDADGRRSGEDKNRQIGSSCAGGGQVMNRQIGSSPSRTLHAAADEEAVASNCCMHKLSPSARGNTHHIGSQFLRCTCSCGRVLQREDTMKTPKLKFDRVDLSQRMKRIVEQLKPLCAKVSTILNLELLESNRSIGQYIAMSLNAEFSKKPGHAPVLPSGGIGKTTLAQYIYKEVHNYFDVTVWVCVTPNFNVYRLKEEIAKSIPQLKDEKNSGPHDLIVQSLGSKFLLVLDDMWNCGHEDEWKYLLASLKKGQTKGNIILVTTHFLAVVEMVKTIDSPIQLKGLDPQEFWELFKASVFGDEKSANDHANLLETGKMISKKSEGFPFGSENSWEDQNKKIEDIGLSRLNDLVSYGFFEKHVEDGSSYYVMHDLLHELALKVSSYECLTICSSNVKSIQILPSIRHLSIVVDDMDVNDRVTFENIKKDFITLSKRLDVGKLHSLMLFGQYHGSFITPFSDLLRKARALRIVLLSMPSYAIVSTLHNFSKLVHLRYLRINGGKFSELSLPNIISRFYHLRILDVRQCKGHFGLPRDMNNLVKLRHFLVQDDKLYSDIANVGKLKCLQELRRFEVKRQVKAFALSQIGQLDELKGSLGIYDLENAKAAEEAKLLNKSHLHKLILDWNVNRSTKDYSQEEHILENLRPHSNLRELHIQGHGGTTCPSWLGPNLSIKGLQSLCINGVCWDKFPPLGGLWLVNKHGEKFLACASGRSFQYLKRLELVAIPRLAKWAGNDACCVLSLLEEFVVRECPELIELPFSHSTCPWSRQEMNLSQFSRLQNLEIAKCPKLLPLSPLPWTSSPCHVLIKEVGSHFHLLDYQRNNQSEQGLQIEGKDGPLDSTFWKLLALSNLTELRELKMKKCPPLPLKHLKLLSALRRLSITDSGIALLPTDCESTVTYHFLVEQLEIYECSASGIEMTQLLSYFPKLMNLRIEKCQKITGLGVAGQEMMATLASPPSLSYNKSEDAQIGNDQQQPRGDNGIASVVTGLLLLPHQLQNLDIRHCSKLILQLDSFVGDTTRNLIRGVGGGLQYMRSLQSLCIKHCPNFLSSYSPSLSCFPFPSSLQDLAIIDCVRGMETLVQNLSSLTRLSIWDFGDLRSGSMCSLLTQGHLRVLAVHKTPEFFVGSKPSGLQQLYTDDIVGVFVEPTCRLLSSSLTKLSLSMNHKVERFTKEQNMALQLLSSLEVLIFVQCSKLQSLPAGLHRLTSLKRLEIAYCPNIHSLPKGCFPSSLEVLHVYESQSEELKRQCRKLKGTIAIIEDEDYLELVTNTHLFDLAQFTFCLAQH >OGLUM06G20990.1 pep chromosome:ALNU02000000:6:23566685:23567827:1 gene:OGLUM06G20990 transcript:OGLUM06G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYNELIPGLPEEVARECLIRVGFDQLPTVRRISRQWKAEVESPAYNRLRKAEGLARPALALVQARRELAEAGPADKQSSAGGGPGNSYRMVLLDPAEGRWTPLPEVAGASGSLPLFCQVAAVDGGVEGRKRLVVVGGWDPETWAPTDSVLVYDFLTGAWRRGAAMPGPRRSFFACAAVGGKVFVAGGHDEEKNALRSALAYDPDADAWAALPDMAEERDEPRGLCVGGKFLVVGGYPTPAQGRFVGSAEWFDPATSTWSAVQEGFVDDGACPRTCSAAPEAGDRMYMLRDGHLVARHGAISSAPAAWRPVAPVPEDARTAAVVSVIPDGRVVVIGSDCHGGDQTVYTLREEAGKPASWARAPAPPEFSGHVQAACLLEI >OGLUM06G21000.1 pep chromosome:ALNU02000000:6:23590634:23592438:-1 gene:OGLUM06G21000 transcript:OGLUM06G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFAVTKVSEGPVRPSAATPSETLPLAWVDRYPTHRGLVESVHVYLRRDDAAVEAPCADGGVIVEGKKKNNKPAAAVVRGALADALVHYYPFAGRIVEDERSPGRPAVLCSGEGVYFVEAAANCTLADVNHLERPLLLSKEDLVPCPTPEQWPVEPHNSLAMIQVTTFTCGGFVIGLRTNHAVADGTGAAQFMNAVGDLARGLPEPRVKPIWARDRFPDPDIKPGPLPELPVLPLQYIAFDFPAAYLGKLKAQYAATAGAGKICSAFDIVIAKLWQCRTRAIAADPAAAVKLCFFASARQVLGLATGYWGNAIFPVKVSAAAGEVAASSVIELVGVVREAKRRMAGECLRWAEGRTGGADPFQMTFDYESVYVSDWSKLGFNDVDYGYGAPSAAGPLVNCDLISSVIVMRAPAPLAGTRLLASCVTKEHADDFAARMREDLV >OGLUM06G21010.1 pep chromosome:ALNU02000000:6:23635156:23635341:-1 gene:OGLUM06G21010 transcript:OGLUM06G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGLGEYGWGREGTKGKVGAGAAGGRRCWKRHRCSRATVLEEMQGWLAGATGLYGVCYS >OGLUM06G21020.1 pep chromosome:ALNU02000000:6:23635356:23635700:-1 gene:OGLUM06G21020 transcript:OGLUM06G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVRAVALSVYSPSPARPSEWRQRCNSSSKTRQPAGWARRRRRLRVDAAQQRASTTAATVGQHAGGAAATVGRRGSAAGQHGGDNRWPVRREVQRQREGEAWPWSGGLLATAG >OGLUM06G21030.1 pep chromosome:ALNU02000000:6:23656820:23657431:1 gene:OGLUM06G21030 transcript:OGLUM06G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTDYIDHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPVLRRALPDGFYGNCYYIMRVTAAAGAVADASVNDVVRLIREGKKRLPGEFARWSGGGGGGEDDPYRITSDYRTLLVSDWSRLGFAEVDYGWGAPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADAVDAFHNDMMRLD >OGLUM06G21040.1 pep chromosome:ALNU02000000:6:23660272:23666515:-1 gene:OGLUM06G21040 transcript:OGLUM06G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKQGQPPRVAGTPPTLPGAITHTTQVGYPAVFYNGNWGAQVPASSYLIVPMSEPPVQVGVPRPNAPGLSGSGARPLSRVSLRPPQQVLSVQTALPGMAAMMPSPSMIAGKKMAASPKVQMLKSVPFRSAGSKRPAQELLPKAQPQLFESVRSKFRETLAAALNMDSDQQCAPQSVETVSHVGSASENKQADGAGIDSVTETSALKSGQHNMLSSNSASNMSIKMSFCKAMDFAGLLTLLELLKLFLNPIQTEKSDIDEGVAVSLIEHESKRIKTDDGAAEEKKSVTQKAQILAFEIEGELFTLLGGVNKKYKEKGRSLLFNLKDKSNPVLRERVLSGDITPKRLCSMTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKESDNSEQDGVIVTGGNNMPSNLEHTENEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKDDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKFESKLQSPKKDAGSILFPVEQIKEDLLVKSSPEKANAENIDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFLDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDYDLPEYDFVTVPNAAANVVPSRQAHRSQQQHSQAASRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSNLNLQQTRHAIPQPPLPPPGPVHQQMHAYHQQHQQQQQHYQSIQQYHATQESQNTLSQAYYVQSHSQQHSVPVQQLTHLQPGWQTTAQWLAAGAAGAAHSGLPANNVVQQYCTSATPDGSGQGYATGNQGSMPWNLQ >OGLUM06G21040.2 pep chromosome:ALNU02000000:6:23660272:23666515:-1 gene:OGLUM06G21040 transcript:OGLUM06G21040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKQGQPPRVAGTPPTLPGAITHTTQVGYPAVFYNGNWGAQVPASSYLIVPMSEPPVQVGVPRPNAPGLSGSGARPLSRVSLRPPQQVLSVQTALPGMAAMMPSPSMIAGKKMAASPKVQMLKSVPFRSAGSKRPAQELLPKAQPQLFESVRSKFRETLAAALNMDSDQQCAPQSVETVSHVGSASENKQADGAGIDSVTETSALKSGQHNMLSSNSASNMSIKVSDDMQQQSIHVPLENKVLDNNSCTLDELLQGHGLCWSSDVVGASETISQSDPDRVRKSDIDEGVAVSLIEHESKRIKTDDGAAEEKKSVTQKAQILAFEIEGELFTLLGGVNKKYKEKGRSLLFNLKDKSNPVLRERVLSGDITPKRLCSMTTEELASKELSDWRLAKAEELAKMVVLPSKEVDVRRLVRKTHKGEFQVEVEETDGISVEVGIGGDLLSHVPSRPTEGQTKTDDKSVHTEEKESDNSEQDGVIVTGGNNMPSNLEHTENEKTDLMQELMVDDLKDTENLPPIMSLDEFMETLDSEPPFEDDSTQTVKDDPNSIEKTDISLKSEDSSKNVDSASASDSQLDPQTLSPQDKFESKLQSPKKDAGSILFPVEQIKEDLLVKSSPEKANAENIDTGSQSIPESITDCKSAPDALLTHDSVWEGTIQLSLSSLTNVVAIFKSGEKTSTNEWRHFLDIKGRVRLSAFQEFLEQLPKSRSRAIMVTELRWKEGSLESGRQHLLQTIDSYIADERVGLVKPADGVELYLCPSQGKAAQILAEHLPKEHSSSLTVTGTSAIGVVVWRRPHVSPRIPARNDGSRNQSISRKQHAVIASAVPLSSKPTNERQHHGQDVVTDDVPPGFGPGVVREDYDLPEYDFVTVPNAAANVVPSRQAHRSQQQHSQAASRRPVDHVREMVRKYGSRSAAAAQPWEEDDDDDDIPEWDPNQSNLNLQQTRHAIPQPPLPPPGPVHQQMHAYHQQHQQQQQHYQSIQQYHATQESQNTLSQAYYVQSHSQQHSVPVQQLTHLQPGWQTTAQWLAAGAAGAAHSGLPANNVVQQYCTSATPDGSGQGYATGNQGSMPWNLQ >OGLUM06G21050.1 pep chromosome:ALNU02000000:6:23673848:23677414:1 gene:OGLUM06G21050 transcript:OGLUM06G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAAAAAATVTRVAQRVVAPSAATPGGALPLSWLDRYPTQRALIESLHVFKGRADAAVAPAAAIERALAAALVSYYPIAGRLAERGDGGELVVDCTGEGVWFIEATASCSLEDVDYLEYPLMVDKDELLPHPTYPASESHPEDSLILLVQVTQFACGGFVVGFRFSHAVADGPGAAQSINNPS >OGLUM06G21060.1 pep chromosome:ALNU02000000:6:23683963:23684298:1 gene:OGLUM06G21060 transcript:OGLUM06G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATHEHGGGDGDDGGGNDGDDDDDAITTNPRGRPGRQPAGLPTTTGDGSREASPSSSSSRSSSSSFLSLASSPLPPSSSSAALAPPTVGERGTRPPGASAWHNMSDEDLL >OGLUM06G21070.1 pep chromosome:ALNU02000000:6:23691912:23696744:1 gene:OGLUM06G21070 transcript:OGLUM06G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABH2] MAGSIAASAFLPGSPAAAPPKSVLGERPDSLDVRGIAAKPGSSSSAAALRAGKTRTHAAIPKVNGGSSALADPEHDTMSSSSSSAAPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLTDTFGFGRMIHEGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKSAGLLGDGFGSTPEMSKRDLFWVVSQMQAIVERYPCWGDTVEVDTWVGAHGKNGMRRDWHIRDSVTGHTILKATSKWVMMHKLTRRLARIPDEVRTEIEPYFFEHSSIVDEDNRKLPKLPDIEGANVAKYVRTGLTPRWADLDINQHVNNVKYIGWILESAPISILEKHELASIVLDYKRECGRDSVLQSHTTVYTDCNKHSGQTTLHCEHLLSLESGPTIVKARTMWRPKGTRPQESIIPSSS >OGLUM06G21080.1 pep chromosome:ALNU02000000:6:23700352:23701834:1 gene:OGLUM06G21080 transcript:OGLUM06G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWSGDEERLRGVVSTDAKDQQRGAGMRTATRSRDEDGNAVGSVPMLLALSLYTAAPTGLALTSCHRGGRADPPADGPQRQGAEVANVSAKRVGADFWNLAMSLMGALPQRQI >OGLUM06G21090.1 pep chromosome:ALNU02000000:6:23711445:23711642:-1 gene:OGLUM06G21090 transcript:OGLUM06G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGATEGAAAVTLPSPLPEPMAGWEEAGGGGSINTGSDSGSFTIPSRPRSSLQVHALGNGGSL >OGLUM06G21100.1 pep chromosome:ALNU02000000:6:23717727:23719267:1 gene:OGLUM06G21100 transcript:OGLUM06G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLICLSVCLN >OGLUM06G21110.1 pep chromosome:ALNU02000000:6:23721191:23726022:1 gene:OGLUM06G21110 transcript:OGLUM06G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding protein phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT2G25620) TAIR;Acc:AT2G25620] MEEHRLGGGGGGGGGGGRPPIPGAAGRKLPGLSRHASFGTVKVRGKGIRLVRSPANSTKSGTEKTFENMDAVAYMPVVRSGGWADIGSRHTMEDVFICSDNLMKEFGVESFEDGPSAFYGVFDGHGGKHAADFVCSNLARFIVEDEDFPREIEKALSSAFLQTDAAFADACSVNSSLASGTTALAALVVGRSLLVANAGDCRAVLCCRGKAIEMSRDHKPSCNREKVRIEASGGYVYDGYLNGQLNVARAIGDWHMEGMKACDGLGPLSAEPEVMIRNLTEEDEFLIIGCDGIWDVFRSQNAVDFARRKLQEHNDPVTCCKELVDEAIKRKSGDNLSVVVICFNSRPPPVLTTPRPRVQRSISAEGLRELQSFLDSLAD >OGLUM06G21120.1 pep chromosome:ALNU02000000:6:23748740:23770427:1 gene:OGLUM06G21120 transcript:OGLUM06G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stabilizer of iron transporter SufD / Polynucleotidyl transferase [Source:Projected from Arabidopsis thaliana (AT5G43810) TAIR;Acc:AT5G43810] MLEVLDMAPPRHQPAAGKAGGGRGHGHGHGHGHGHGGGGGGPAARKQPLQSSMAQPKAETAAAAAAVAPPEGGKKCGGGGGRRRGGXLAISPAVVVAPAARAVIGPPVASKGLSFCRRPGFGTVGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRSVNRAIMLELVRLYRDSDLGGRLPAYDGRKNLYTAGTLPFDAREFVVRLTDDDDGTGVPPREREYRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANRRYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHALIFPIDDQMNMKSVVEYFKEMYGFTIQHPHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCRRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVNHWACINFSRSVQETTARGFCQELAQMCQISGMEFNSEPVIPIYSARPDQVEKALKHVYNMSLNKLKGKELELLLAILPDNNGSLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSTDKSGNILPGTVVDSKICHPSEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTTSKSSTGTNGTSVKPLPAVKEKVKRVMFYC >OGLUM06G21130.1 pep chromosome:ALNU02000000:6:23763996:23767339:-1 gene:OGLUM06G21130 transcript:OGLUM06G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G31430) TAIR;Acc:AT1G31430] MAAARRGHGMPLWECNVLIRTLARRGSFARVMAVYYDLRARGLVADSFTYPFVLRAVGVLKLSVEGRKAHAAAVKTGFRWDAYTGSSLMEMYTMLGRVDIARKVFDEMPSRVLVLWNMMVRCYIRCGRYSAAVALSEQMERSGVTPDRVTLVTAVTACSRARDLSLGRRIHVYMDNVFGFNLPVANALLDMYTKNDCLEEAVKLFEQMPARNIISWTILVSGYGLAGQLDKARVLFNQCKEKDLILWTAMINACVQHGCFEEALTLFRDMQMQRVEPDRFTVVTLLTCCANLGALDQGEWIHQYAEQRKMKIDAVLGTALIDMYSKCGHIEKSLEVFWRMQGRDATAWTAIICGLATNGQAGRALELFQDMQRSKVKPDGVTFIGVLSACCHGGLVDEGRKQFHAMREVYQIEPRVEHYSCLVNLLGRAGLLDEAERLIGDVPINKDAMPLFGALLTACKAHGNVEMSERLTKRICEQDSQITDVNLLMSNVYATASRWEDVIRVRGKMAHPTVKKTAGCSLIEVKGY >OGLUM06G21150.1 pep chromosome:ALNU02000000:6:23775931:23782633:1 gene:OGLUM06G21150 transcript:OGLUM06G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G20140) TAIR;Acc:AT5G20140] MADTSLLSRLLILPPPAPAAAASLRQRKPAAAHSLSSRGRRPRLAVQAVAPAAAEEEKGGLPAAEAERLAEFLREDLPHLFDDVGVDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHHVEQTGPYEITTRWTMVMKFVLLPWKPELVFTGLSIMGVNPQNLKFCSHVDIWDSIQNNEYFSFEGLGDVFKQLRIYKTPDIETPKYLILKRTANYEIRSYPPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIAMTTPVFTQASDDKLSDVSIQIVLPMNKDLDSLPAPNTEAVNLRKVEGGIAAVKKFSGRPKEEIVIQKEKELRSQLLKDGLKPQHGCLLARYNDPRTQSFIMVKKIRKMHG >OGLUM06G21160.1 pep chromosome:ALNU02000000:6:23795701:23798557:1 gene:OGLUM06G21160 transcript:OGLUM06G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABI0] METSAAAAPNGGAAAAEQQQRRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPGDIADLWEQLRFNLLSVVACSTLLVFLSTLYFLTRPRPVYLLDFACYKPDPQRKCTRETFMRCSSLTGSFTDANLDFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARKEARTVMFGAIDQLLEKTGVKPKDIGVVVVNCSLFNPTPSLSAMVVNHYKLRGNVISYNLGGMGCSAGLLSVDLAKDLLQVHPNSYALVVSMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNRRSDRRRSKYELVHTVRTHKGANDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPAKEKNPWMDEIDNFPVEVPKISKVGNA >OGLUM06G21170.1 pep chromosome:ALNU02000000:6:23800643:23807826:-1 gene:OGLUM06G21170 transcript:OGLUM06G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDGNPMLRNGETGDWIGTFQGHKGAVWSCCLDTNALRAASGSADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGVEKILRVYDMNRPDAAPRELDKAPGNVRTVAWLHSDQTILSSCSDMGGVRLWDVRTGKIVQTLETKAPVTSAEVSQDSRFITTADGSSVKFWDANHFGLVKSYDMPCTVESASLEPKSGSKFIVGGEDMWVHVFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAVNSNGKPTVGVNEVARKIEGFHIPKEEEQQQQQAEG >OGLUM06G21180.1 pep chromosome:ALNU02000000:6:23810092:23810439:-1 gene:OGLUM06G21180 transcript:OGLUM06G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRAVRYLLRADQRRGGRRCRAQLLAAAGRYHRRRRLLLLDSGRVLMLLAAVALVHLLTAACTGQVEFFAVLVAFLLWLLGAAFAVMSLVAGQFPVLAAAAVARTLRGWLLGGL >OGLUM06G21190.1 pep chromosome:ALNU02000000:6:23811374:23811829:1 gene:OGLUM06G21190 transcript:OGLUM06G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSRAFPALLPEAGRSRARRCRARGHNVPSARRQSRRWAAAHLFRPQPRRQNFFFLSLTVWPEEKIAEY >OGLUM06G21200.1 pep chromosome:ALNU02000000:6:23830111:23830497:1 gene:OGLUM06G21200 transcript:OGLUM06G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYTQIRTQLQSKSTEKKMTTGHGGELERLETTKQVITELLSNGGSENGESAGDERQHGERRAEQPQEEGDARFGAAGAAGRCSAAGSGEHVHEDDGAEEHEDAAGVEEQLAAADGDVSEGSVALLVG >OGLUM06G21210.1 pep chromosome:ALNU02000000:6:23834674:23875349:1 gene:OGLUM06G21210 transcript:OGLUM06G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREELHDDGFFKVIPKFNVPLKTAESRENYDRWKRRMATMSDQATCDGPKPSPLTDSAPPMATADVSRPSSSAPTAAAAGADGHGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQVKTLGEELKVLHEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKLLPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >OGLUM06G21210.2 pep chromosome:ALNU02000000:6:23834674:23875349:1 gene:OGLUM06G21210 transcript:OGLUM06G21210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLREELHDDGFFKVIPKFNVPLKTRRNETLELEAMATADVSRPSSSAPTAAAAGADGHGAKGSAFRGDGLRPYYQSRIHDLELQIRQGTDNLSRLEAQRNVLNSQVKTLGEELKVLHEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDVDKSIDITKLLPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >OGLUM06G21210.3 pep chromosome:ALNU02000000:6:23834881:23875349:1 gene:OGLUM06G21210 transcript:OGLUM06G21210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKVLVKVHPEGKYVVDVDKSIDITKLLPSTRVALRNDSYMLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMQSGSGGGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRMDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVNQEDFLMAVAKVMKKDTEKNMSLRKLWK >OGLUM06G21220.1 pep chromosome:ALNU02000000:6:23867449:23867829:-1 gene:OGLUM06G21220 transcript:OGLUM06G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGAMRYLGVGRNNQLQQGGRHAAAVAIIRRRRRRRLLLLDFGRALMLLGALVLTRQLVTTTSSDAELYLYHVYDVLVALLLWLLGVALATLSLVVAPRFPWLALAGATVAAAALRNHHLLLGGL >OGLUM06G21230.1 pep chromosome:ALNU02000000:6:23876383:23878811:1 gene:OGLUM06G21230 transcript:OGLUM06G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding nuclear protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABI9] MSRAQALPDPAAVGYPSFKLILVGDGGTGKTTFVKRHITGEFEKRYEPTIGVEVRPLDFHTSRGKVRFCCWDTAGQEKFGGLRDGYYIHGHCAIIMFDVTSRLTYKNVPTWHKDICRVCDNIPIVLCGNKVDMKNRQVKAKLVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLTGDMNLRFVEELALLPADVTIDLIAQQKIETEIAAAAAMPLPDEDEDGLMD >OGLUM06G21240.1 pep chromosome:ALNU02000000:6:23890567:23893839:1 gene:OGLUM06G21240 transcript:OGLUM06G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAVAVAAAVLLLLHVAARVADAVWWRPRRLEAHFAGQGVRGPPYRFLVGCVREMVALMAEATAKPMPPAAPHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPEMVREIFLTRAEAFDRYEAHPVVRQLEGDGLVSLHGDKWAHHRRVLTPGFYPDNLNRLVPHVGRSVAALAERWLAMACAGGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRMSWGLDREIRRGLVRLIGRRSGGDGGEEDETTTELKDKQDSGFNDLLGLMINAGVNRTMPVEDMVEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQDRARREVLAVCGDAAGELPTKDHLPKLKTLGMILNETLRLYPPAVATIRRAKFDVTLGGGGDGDAGGIHIPRDTELLVPIMAIHHDARLWGPDAAQFNPARFAGGAARAAKHPLAFIPFGLGSRMCIGQSLAILEAKLTMAVLLQRFDLALSPTYVHAPTVLMLLHPQYGAPLIFRPRQSQPSN >OGLUM06G21250.1 pep chromosome:ALNU02000000:6:23908488:23912587:-1 gene:OGLUM06G21250 transcript:OGLUM06G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTSDSPPGLGHQA >OGLUM06G21250.2 pep chromosome:ALNU02000000:6:23908488:23912587:-1 gene:OGLUM06G21250 transcript:OGLUM06G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRSMGRSRRLVKHPSVGPCRWRSLPHGLLYVKCGNIPSNFCWYLAHLAIRSSKSDASQGLRRFSRKTSDSPPGLGHQA >OGLUM06G21250.3 pep chromosome:ALNU02000000:6:23908488:23912587:-1 gene:OGLUM06G21250 transcript:OGLUM06G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVKGSKVEVLQEAEVPLGSWRGAEIVLGNGQSFYVRYDPSPVDSCAAVERVQRRLIRPCPPRDDSVCWAVGDILEAFDSYSWKIAEMVRVLGKDFYLVRLLGSSLELRTHASELRLRKHWKDGKWTVLQKDSTKCFGGSFRGQPKSGNLGSNFGKQRQPYCAMDNNLLLKNQKALEGDKSRGMKRKSSAITHPTQCSEVTRGMKRLQTPHRDGRHAALVAGVSPRLAEKVDAVDSPCLMLGEKYMHASLNKRKNGVHTTNLAGVNVDTENKFRPLTSAYPSDTESISSSVGSCSPSSSPCSSRHFYSAYQTGDICSRTDGAEAAVSERETSQHDKIIPKEDTHLLELHAYRATMLALYVCGSISWEQEALLTNLRLTLNISTDEHLAELRRPKSEACKASFCRSMSMEESATWSDSPPGLGHQA >OGLUM06G21260.1 pep chromosome:ALNU02000000:6:23918062:23918515:-1 gene:OGLUM06G21260 transcript:OGLUM06G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPSSKTRQEDDANAAAAAAKRKARSSSSPARAQRSAGSPTCVLRSPRLASPPRAVDRSARTGGAEAGVMRRSSSGARVSSEGGSGGGGEIEGVGLPTCDPQSAAGRREAARARALQRAVHCIPLVLILCALLLWLSAS >OGLUM06G21270.1 pep chromosome:ALNU02000000:6:23919297:23921394:1 gene:OGLUM06G21270 transcript:OGLUM06G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:overexpressor of cationic peroxidase 3 [Source:Projected from Arabidopsis thaliana (AT5G11270) TAIR;Acc:AT5G11270] MAAMVGAPLAVAAAAAAPGARVFLARPLLRRSPRGVACALRRRPSKYKNKIQNEEVVAEDDIGGGGEDDDDALEALFKQLEEDLKNDDLSVEDDDDGISEEDMARFEQELAEAIGDIADADESAEGSSLGSEAYGNDEKTDEIKRPELKNWQLKRLARALKIGRRKTSIKNLAGELGLDRTLVIELLRNPPPKLLFMSDSLPDEDPSKPEIKEIEPSPVVDNADVTETKPHTELPVHVMCAEWSSQKRLKKVQLETLERVYSRTKRPTNTMISSIVQVTSLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETIAS >OGLUM06G21280.1 pep chromosome:ALNU02000000:6:23948932:23951591:1 gene:OGLUM06G21280 transcript:OGLUM06G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFLISRSISLAGVDSDDEEIVGRVPEFGLALPGTSTSGRGSVRVAGDAAATAAGTSSSSPAAQAGVAGSSSSGRRRGRSPADKEHRRLKRLLRNRVSAQQARERKKAYMSELEARVKDLERSNSELEERLSTLQNENQMLRQVLKNTTANRRGPDSSAGGDS >OGLUM06G21290.1 pep chromosome:ALNU02000000:6:23952910:23956267:1 gene:OGLUM06G21290 transcript:OGLUM06G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVREGRGGVAAGGRGRVRRRGGRGEGAPAGDGEHRAVGARGGLPGGRRRLLRPRRRRRHAGVRVALRGGGIREAVDPLLPPPRRGAEGPRALLRPRRRLPPRPGRAVLRQGPPRHEGGVSDTAEHLVGMHASMNPSPLLQREYEEFKVRMNHLAARARKVPEEGWIMSDGTPWPGNNSRDHPAMIQVLLGHPGDRDVDGGELPRLFYVSREKRPGFRHHGKAGAMNALLRVSAVLTNGAYVLNLDCDHCVNNSSALREAMCFMMDPVAGNRTCFVQFALRDSGGGGGGGDGDDGGGGGDSVFFDIEMKCLDGIQGPVYVGSGCCFSRKALYGFEPAAAADDGDDMDTAADWRRMCCFGRGKRMNAMRRSMSAVPLLDSEDDSDEQEEEEAAGRRRRLRAYRAALERHFGQSPAFIASAFEEQGRRRGGDGGSPDATVAPARSLLKEAIHVVSCAFEERTRWGKEIGWMYGGGVATGFRMHARGWSSAYCSPARPAFRRYARASPADVLAGASRRAVAAMGILLSRRHSPVWAGRRLGLLQRLGYVARAAYPLASLPLTVYCALPAVCLLTGKSTFPGDVSYYDGVLLILLLFSVAASVALELRWSRVPLRAWWRDEKLWMVTATSASLAAVFQGILSACTGIDVAFSTETAASPPKRPAAGDDDGEEEAALASEITMRWTNLLVAPTSVVAANLAGVVAAVAYGVDHGYYQSWGALGAKLALAGWVVAHLQGFLRGLLAPRDRAPPTIAVLWSVVFVSVASLLWVHAASFSAPTAAPTTEQPIL >OGLUM06G21300.1 pep chromosome:ALNU02000000:6:23964219:23969639:1 gene:OGLUM06G21300 transcript:OGLUM06G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVAKSVEAGGEPGGGGGGAWSTVSRSGRSSYSAGGGVGGGKVGELAEGLAGVEIGGERRLDKYDIPVEVSGEDVPPPADGFEAAGLVEAVLRNVARCGYESPTPVQRYSMPIALAGRDLMACAQTGSGKTAAFCLPVVSGLVAAGGSGIGHRERSSFNRAAAKPRALVLAPTRELAAQSFDNVIFIDTVNQNFSLFCYEELDNINEEAKKFSFQTGLRVVVAYGGTPMYNQLRDLERGADILVATPGRLVDMVERSKVSLDAIKYLVMDEADRMLDMGFEPQIRKIVERMNMPRKSVRQTMLFSATFPPEIQRLASDFLSNYIFITVGRVGSSTDLIMQKVELLSDGEKRGYLLDLLQRQSVGVANSKLQQPLTLVFVETKREADSLRYWLYSKGFPATAIHGDRTQQERESALRSFKTGLTPIMVATDVASRGLDVPNVAHVINYDLPKSVEDYVHRIGRTGRAGKAGSATAFFTESDHSLAKGLLELMTEAKQDVPDWLVQYAERPYYGGSSYGGRNRRSGGGGNRFAGRDFRQGSDYDYSGGGGGYSGGGGGYSSGGRGGGYSSGGRGGYSGGGGGGGGDPYRASAPPPRYYPSYPMGTADINASGWD >OGLUM06G21310.1 pep chromosome:ALNU02000000:6:23971191:23973632:-1 gene:OGLUM06G21310 transcript:OGLUM06G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABJ9] MDAHSAFMFLLTLIHLLLQISARDFLSPGSSLSVERSSDVLYSPDGTFACGFYNISPNSSIFAVWFSNSAEKTVVWSANLGRPVYTWGSKIKLNIDGNMVLQDYGGQIVWTNNVSSSNVQEARLLERGNLIVKGQGDTILWQSFASPTDTLLPNQIINGTIKLVSSTSSNRLLVPGHYSFRFDDQHLLTLFDDEKDISFIYWPNPFINMWAKKRISFNTTTLGVLDSSGHFIGSDNASFMAADWGPGIMRRLTLDYDGNLRLYSLNKTDGTWLVTWMAFTNLCFVRGLCGMNGICVYTPKPACVCAPGHEINDPSDLSKGCKPKFTISCDRKQKIRFVKLPTTEFLGYDQSTHQQVSLSTCKNICMSDCSCKGFSYWQGNGNCYPKSSLVGGVTSQSLPGSTYLKLPEALKVPESSIPRSQPSGHQYGPNCSAENQYSIANFSDISRSGQSESRFFYFYGFLSAIFLIEVILIALGWWFILRMEGRQLTGVWPAESGYEMITSHFRRYTYKELQRATRKFKEELGRGASGVVYKGILKDKRAVAVKKLADISQCEEEFQHELSVISKIYHMNLGRVWGYCSDGPHRMLVSEYVENGSLDKKLFGSEASQTLLEWKQRFKIALGVAKGLAYLHHECLEWVIHCDVKPENILLDDNLEPKITDFGLAKLLNRGGSNKNVSHIHGTRGYIAPEWVSSLPITAKVDVYSFGVVLLELLKGSRVSEWAKTEDEDDEVEKVLRRAVRMLAENVKLQEDSEQSWITNFIDSRLNGQFNYLQARTMIKLAVSCIEEDRSKRPTMENVAQMLLSVDEENIIT >OGLUM06G21320.1 pep chromosome:ALNU02000000:6:23979714:23981858:1 gene:OGLUM06G21320 transcript:OGLUM06G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTEAVSNLSITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPMQKAKIEILLGKTEKFDELMAAAAEEREAAAAAEGEEQG >OGLUM06G21330.1 pep chromosome:ALNU02000000:6:23982513:23985938:-1 gene:OGLUM06G21330 transcript:OGLUM06G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMVAMSAKSKNSVLTLEKKQGWSVPQLPELRFPWDLHEDKGFSLSLHGSASPHGGLFASVGLKVSTAAPAVAPSPAEHDFKIPFADHCIKYVSSAVGYQVPGTEAESVNEEEVVDGKAVKKAKKRGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMKTEGWTGLFRGNFVNVIRVAPSKAIELFAFDTAKKFLTPKADESPKTPFPPSLIAGALAGVSSTLCTYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTTTFPLEVARKQMQVGAVGGRQVYKNVFHALYCIMENEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDQDSE >OGLUM06G21340.1 pep chromosome:ALNU02000000:6:23991466:23996698:1 gene:OGLUM06G21340 transcript:OGLUM06G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAAAVATPHWSSRLVSPPEIRTTAHVARQRIVRAVLNLLSAFGQRKRWSSGSGVRVVSALLWTTLPGGVVAYET >OGLUM06G21350.1 pep chromosome:ALNU02000000:6:23996807:24001895:1 gene:OGLUM06G21350 transcript:OGLUM06G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAASGGRGRGAVPRIAYLVSGSAGDGAALRRTLRALYHPSNMYVVHLDLEAPATERAELAAAVRADPVYSRFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGEWDWFINLSASDYPLVTQDDLLYVLSDLPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLNDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVAGGWMDLLNTTTVKGSFTVERVQDLCPGPGADRLKKLVTGLLTQEGFDDKHCL >OGLUM06G21360.1 pep chromosome:ALNU02000000:6:24001429:24004729:-1 gene:OGLUM06G21360 transcript:OGLUM06G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESRYVAVRHHVEGLPSEDDFEVKAARVRWWPESGEVLVRNLYLSVDPYQLNRMKRRSASHLAVDGIVPGERIDAYGAGEVVASACEEYKEGDVVAGVLGWEDYTLFRPSPGVLMSKLAASDDLPLSHHLSALGTSGMTAYAGLYEVGRPEAGEKVFVSAASGSVGRLVGQFAKLAGCYVVGCAGTNAKVDLLKNKLGFDDAFNYKDEPDMKSALKRYFPDGIDIYLDNVGGETLEAALANMNTYGRVALCGVISEYTDAGHRAVPDLLEVIYKRITIRGFFAWDFLTRFAEFTGVISDWIRQGKVQVIEDISDGLESVPSAFAALFSGDNIGKKMVKLA >OGLUM06G21370.1 pep chromosome:ALNU02000000:6:24007712:24011503:-1 gene:OGLUM06G21370 transcript:OGLUM06G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAASLTAPNALAATSLPFLHGRKSGGGGVSVHAGAPSPSRAVAVVARRLWGSASSSRRMVVAAATAAEMAPAASGEEGKPFVEEMRAVAMRLHTKDQAKEGEKEPQAPPVARWEPSVDGYLRFLVDSKLVFETLETIVDRAAVPWYAEFRNTGLERSEQLKKDLEWFKEQGHTIPEPSAPGTTYASYLEELAEKDSQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLSQLLQNVRNKLNEVASSWTREEKDHCLDETEKSFSYSGDLLRHIFT >OGLUM06G21380.1 pep chromosome:ALNU02000000:6:24027773:24042656:-1 gene:OGLUM06G21380 transcript:OGLUM06G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFDGAGGALGVHAASRKIGKSAAHQQQRKPVIIYMVSPKIIHVEAHEFMSLVQRLTGPGAAAAGDGGKKAASSSPREARRGAPPYFLHGICRASRSIDSDLLLQLEN >OGLUM06G21400.1 pep chromosome:ALNU02000000:6:24053858:24057894:-1 gene:OGLUM06G21400 transcript:OGLUM06G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSSQIVETLPEWRDKFLSYKDLKKRLKLIGGGGGGEERQAKRARVAADGGEEEAAAAAMTPEEAGFMRLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGRESKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCEAMLDQLLPSNELSVSSEDGRGDSTNEDKPSNPSSSLVNGGTIPELDEIEYMESMYMKGTVAALRSLKEIRSGSSTVSAFSLPPLQGDSSPEEQQELWNKIPVIEQAAK >OGLUM06G21410.1 pep chromosome:ALNU02000000:6:24068642:24069218:-1 gene:OGLUM06G21410 transcript:OGLUM06G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPLILSHLLSLISVFLLSLWLGGTVGDGDDGGASGDGDSGCAPRDRESGGAAGYGEGRDTDRDGGDATGDREAGTWLGTGTVAAPTWLGQVGMFSYMAPPSQQRRRAEQPSSTHAGAVPVPSRASAAHLIADPISILLDDLLLECLAGVPYATLPQLPTTSPRSLSPSRPRRAPSLILHPLRQAL >OGLUM06G21420.1 pep chromosome:ALNU02000000:6:24069317:24070646:1 gene:OGLUM06G21420 transcript:OGLUM06G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSQFSPLFSPRGASGPAGLSGEPPLAAGNELRRLARGGLRCAASRKCGQIDDDFKSIRLTSIASKRKLAVEEQTVAEIVIVDESLCSRGSCFPLYLIIYIMYWQDQEVQSHMTCRGDSAMILCLPP >OGLUM06G21430.1 pep chromosome:ALNU02000000:6:24089665:24095905:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21430.2 pep chromosome:ALNU02000000:6:24089665:24095905:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21430.3 pep chromosome:ALNU02000000:6:24089665:24095905:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21430.4 pep chromosome:ALNU02000000:6:24089665:24095653:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21430.5 pep chromosome:ALNU02000000:6:24089665:24095905:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21430.6 pep chromosome:ALNU02000000:6:24087506:24090714:1 gene:OGLUM06G21430 transcript:OGLUM06G21430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPSPPCSSPCDGEPGPLLPSSSSIICSAILSSSSIAGGGGDGEPVVDVDVVVTVLSLGVGWSLDDAAVAAATVAADDTAVSLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVSDGDGVLQHLRSLALRI >OGLUM06G21440.1 pep chromosome:ALNU02000000:6:24090298:24091261:-1 gene:OGLUM06G21440 transcript:OGLUM06G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSKKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAILMSGRNAKTNFPVARNATGELTPAAAVAGRDGRVGGGSGSSSSMTANGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVELNKDTAVSSAATVAAATAASSSDQPTPSDSTVTTTSTSTTGSPSPPPPAMDDEERIALQMIEELLGRSGPGSPSHGLLHGGEGSLVI >OGLUM06G21450.1 pep chromosome:ALNU02000000:6:24099888:24104506:-1 gene:OGLUM06G21450 transcript:OGLUM06G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLMHGTLDATIFEATNLTNPTRLTGSAPEGIRKWWEGVEKTTGVGQGGTRLYATVDLGKARLGRTRVIDDEPVNPRWDERFHLYCAHFADNVVFSVKVSLPIDAALIGRAYLPVGDLLSGEVVERKLDILDEHKKKLPHGPTIHVRLQFKDVAVDGDGKWWGAGIGDAGYAGVPCTYFKQHTGCRVTLYQDAHVPDTFAPTIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATLGELLKRKASEGVRVLMLVWNDVTSLQILQSLGIKWGFSQTHDAETFQYFEDTDVHCVVCARHPDAGGSIVMGVKVPFASTHHQKTVIVDHDMPAGAGSGLRSIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSRLEGPVAWDVLYNFEQRPDAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPDDGVRPEDVEAVNLIPRELSLKIMSKIAAGERFTVYIVVPMWPEGHPDSQAMQAILDWQRRTMEMMYADIAGALKAKRMDADPRDYLTFFCLGNREVKRSGEYVPGHHPRDGTPYAKAQKTRRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNIGGQLARGQIHGFRMSLWYEHLGGEPHDDFLHPGSLECVRRVNEMANKHWELYASEELHEDLPGHLLTYPIAVAKDGTVAALPGAKFFPDTEAPVLGKKAINPLMTPDITS >OGLUM06G21460.1 pep chromosome:ALNU02000000:6:24115212:24134527:1 gene:OGLUM06G21460 transcript:OGLUM06G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLMHGTLDATIFEATNLTNPTRLTGNAPEGFRKWWEGLENGLEKTTGLGPGGTRLYATVDLGRARLGRTRVIDDEPVSPRWDERFHFYCAHFAENVVFSVKVALSVDAKLIGRAYLPVRDLLSGEAVERKLDILGDDKKKLPHGPTIHVRLQFKDVAADGGGKWWGGGVGDAAYPGVPCTYFKQHAGCRVTLYQDAHAPDTFAPRIPLAGGAHYQQGRCWEDVFDAISNAKHLIYITGWSVFTDITLIRDPSRQRPGGDATIGELLKRKASEGVRVLMLVWNDVSSIQALHAIGIKLSVAQTHDEDTLAYFEDSDVHCVLCPRQADAAAGSSFIMGTKVSLLATHHQKTVIVDHDMPAGTGGGGSDIRRIVSFVGGLDLCDGRYDTQSHSLFRTLDAAHHKDFHQPSIDDAELAKGGPREPWHDIHSKLEGPIAWDVLYNFEQRWRKQSGHADLLVNLTALEHLITPPSPVKLPGTNSDDHHDDAWNVQLFRSIDGGACDGFPSSPEAAARLDLVSGKNNVIERSIQDAYIHAIRRARDFIYIENQYFIGSSYGWRPGGGVRPEDVEAVNLIPRELSLKIVSKIAAGERFAVYVVVPMWPEGHPGSEAMQAILDWQRRTMEMMYYDIAVALKANHSDADPRDYLTFFCLGNKEAKSHGEYVPAHRPDQDTDYAKAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPHHLNINGQAARGQIHGFRMSLWYEHLGVLHDDFVRPGSLECVRRVNAMADRHWQLYAGEELHGDLPGHLLTYPVAVAKDGGAVTALPGAEFFPDTEAKQINQHANQKLTTTGKLALDAPRAGDVSGSGGGGGRRRSRRGAAMAHLLLHGTLEATILEADHLSNPTRATGAAPGIFRKFVEGFEDSLGLGKGATRLYATIDLGRARVGRTRVVNDEPVNPRWYEVFHIYCAHFAADVVFSVKAAQPIGATLIGRAYLPVRELLSGEAIERRLDILDAGRRRISHGPTIHVRLQFRDVAGDRHGWGRGVSGARYPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGGYYRQGRCWEDVFDAISNAKHLIYLTGWSVYTEITLIRDGTRQRPGGDATLGELLKRKASEGVRVLLLVWDDRTSVESLGMKWGFMSTHDAETADYFRGTDVRCVLCPRNPDAGRSAIMGAQIAYMITHHQKTVIVDHDMPVPRGGGSRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHSDFHQPNLDGAAITKGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGDKDLLLDLKAMADLIIPPSPVMFPDDGEAWNVQLFRSIDGGACFGFPSTPEAAARSGLVSGKNNTIDRSIQDAYIHAIRRAKNFIYIENQYFLGSSFAWKADGIRPEDIEALHLIPREISLKIVNKIEAGERFAVYVVLPMWPEGPPASGSVQAILDWQRRTMEMMYYDIAVALEAKRIDADPRDYLTFFCLGNREVKLNVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGLVARGQIHGFRMSLWYEHLGMLHDNFLNPESLECVQRVNKMADKYWDLYASDELNDDLPGHLLTYPVRVTKEGTVTELPGAKFFPDTQAPPTTSTSSLDLAICQHQQTVDEQQPYHLNTVAEGMPTAFRCRCGAHPKFHKSIHNVSHS >OGLUM06G21470.1 pep chromosome:ALNU02000000:6:24134742:24141225:1 gene:OGLUM06G21470 transcript:OGLUM06G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQIQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >OGLUM06G21470.2 pep chromosome:ALNU02000000:6:24134742:24139494:1 gene:OGLUM06G21470 transcript:OGLUM06G21470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVLLALHETEAEREARIREMFAFFDVDGRGQLDYAQIEAGLAALQIPAECKYARELLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEATIENIYHHWERVCLVDIGEQAVIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQIQTTRTTVMHSIKDIWSQGGMLAFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGPSERLVAGGLAGAVAQTAIYPVDLVKTRLQTYSCVDGKVPSLGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDVSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQRANSESAYRGMSDVFWRTLQHEGVSGFYKGILPNLLKVVPAASITYLVYEAMKKNLSLD >OGLUM06G21480.1 pep chromosome:ALNU02000000:6:24175578:24176755:1 gene:OGLUM06G21480 transcript:OGLUM06G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSAGGSHAGLALAATAMALSGTLVLFSLCRANKPPHHDDAPARLRPCLSSSEKRKREKARRGSKKRVRFAADVVDNDSNASSRPAAAEPSCRNAAATAATAMPANREALYRGMLRGRSMLRVACSY >OGLUM06G21490.1 pep chromosome:ALNU02000000:6:24177076:24183349:1 gene:OGLUM06G21490 transcript:OGLUM06G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIDRWIDTGVRGIIHGCERKEEESVVVVACGGDTGRNRSAGARRRGGSHARARGGRRCGLRLISRDSRRRRVTAANRIQSLHYCVLKQPQVEFTAETAGSTNERGREKQQPILERSVSQSVNSNTAETQTILQKTPKTPNRMGQTDSELNYKKQITEEHRSDCKMVD >OGLUM06G21500.1 pep chromosome:ALNU02000000:6:24183435:24184700:-1 gene:OGLUM06G21500 transcript:OGLUM06G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPPPPRPRHPVADNDDLVGEILLRIPPDDPTRLVRASAVCKRWRRVLADPSFAARHRAFHPRATAAAAAPVLGVLHNPADRELDRFVPAAASSFRAAAGDRRKHHILDCRHGRVVLYDYDSHYPTDGHVVWDPITGEQHRIPNVMDALTHPAVISGAAAGGGGGSASFIVAFVGVQNWERHFWDAHACFYSSETGEWSVHINIHLDLDGYHLEDRPAALVGGDTLYFVGKSGILLRYRYGLPLRCGRDILGHGITSADVLSVVDPPPAAKRRLRLGYTVVMAAPESDGGGLRLGVLHRHKLALWDREEEDSSAAAARWVWRVAIDLEPVLPWPVGKPKGKERACLAAVAEDPNVIFVGTEEDGVFAVELDSLRIKKVCELGKSQGRFFPFVSYCADSFLVSISYFSSVHASLVADLK >OGLUM06G21510.1 pep chromosome:ALNU02000000:6:24189770:24192218:1 gene:OGLUM06G21510 transcript:OGLUM06G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFILGCPVLCLPGGAGHGGGAGRGRLLPPPVARFAGAGRPLRLTVAQAAANPGPWLAWDDDSTKVTPYKAIAWSAAEALDLLEQTPPPSAGSAASQDVQEIISTFKNLKELADSDEVPMEPLAATCTLLRYHWYLWQCYHGDPVTRGFPDGLLSFLHRCISFACGPDGYALPCYLNIFGIKADKLPKEAWAKDLVTVAMYASQGTRLVVGKYEKHLLDVFRMRLIADEGKQEEESKIRAEEASHRKWRPDHFVDDDDDGMLGLGGV >OGLUM06G21520.1 pep chromosome:ALNU02000000:6:24213359:24215353:1 gene:OGLUM06G21520 transcript:OGLUM06G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSGLLISGGSVVVRPATMAARAPSRLPSVVVHLPRCTATGGPPHCRLTAEASSVLPNMSVASPWVDDDDLTETPPPPPPPPPPPSPPATHDVGQPPPPPSLAAPPPAQHDMGKLLRMYARLLRRLESQAIDMYAGSRRLVEYHVMAWGAYEATRPALLGLGFMAGPGIEEVLIECINRGNAAVAAAAAAAGDGQPRLLAAFGIKPESLPANPTERRFVAGILYAALEMRNCVRRRVRWLRRVERFNQRRREEEAEAAMRREEEEARRKLEVQKVLEGYEEFLNFK >OGLUM06G21530.1 pep chromosome:ALNU02000000:6:24217622:24224958:-1 gene:OGLUM06G21530 transcript:OGLUM06G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADTKQMIIFRKFTTKSKKHSMQYCKPRLHRWIVPNPNGKPFYYSTSLSAAAAAAHLVSTPGSSPMEEEEAARLAGSQGESPAMLHPNGDGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFNNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >OGLUM06G21530.2 pep chromosome:ALNU02000000:6:24217622:24224958:-1 gene:OGLUM06G21530 transcript:OGLUM06G21530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADTKQMIIFRKFTTKSKKHSMQYCKPRLHSPFRPRTRAIVIGGPLLLASSSLLRLVYYSTSLSAAAAAAHLVSTPGSSPMEEEEAARLAGSQGESPAMLHPNGDGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFNNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENIYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >OGLUM06G21530.3 pep chromosome:ALNU02000000:6:24217622:24224958:-1 gene:OGLUM06G21530 transcript:OGLUM06G21530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDSTDRLAGRHAGCSERAADTKQMIIFRKFTTKSKKHSMQYCKPRLHSPFRPRTRAIVIGGPLLLASSSLLRLVYYSTSLSAAAAAAHLVSTPGSSPMEEEEAARLAGSQGESPAMLHPNGDGDGDGDGGDDEGDASGGEEEQGGVARAGVRRRGGGGRVRVRGRIPLKKGPWTPDEDKRLREYVEAHGEGNWNRVQRNAGLNRCGKSCRLRWANHLKPDLKKGPFSKEEEEMIIKLHLWLGNKWAKMANSLPGRTDNEIKNFWNTRCKRIQRTGEPLYPKEFNHFKLTDLEVMNCESPDESRAKKRTNEVLQGNGQSYKEVFFNNLDYSRPENYIRPNCVTPNSLPVDATSPFGSAIATQDQSVPFGSAIVSGHPILDGNFSTSGTIQRPMNVELPSLQYPNYDFNNNNAWSYHDPLGHPIDQVDFGSLRSEYLSPNNNGLLDALVHGGHGQGELANSQGSFDACFPRVQCNQVIQSNAFSLSSSFPIIGDDLLENSCHAFVGINNNASSLFLDSQPPPLVDPTFWRHDVSLEPNLPVYCQFNEELPPSNEQFAKDADDAFGHGGLSDETNLAAAGQGDEHDLENPWASMPGACDIPDFPSE >OGLUM06G21540.1 pep chromosome:ALNU02000000:6:24243330:24246618:1 gene:OGLUM06G21540 transcript:OGLUM06G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFHDYRDGGVLVMEPVASAALFGGVRSRKRARVTAVPPCGFVSAAAEAVEVVEEEGLTAAKRQKQQQQREVPSLDALPDECLFEILRRVKGARARCASAAVSRRWLALLGGIRSSEIKREPAAAAVPDLNQVFVDEDEEEEDEFEVPLGGGCSSERCLEGREATDVGLMAVAVADALQGSLESLVIRGSHPTRGVTDAGISAAARGCPSLLSLALWHVPQVTDAGLAEIAAGCPSLARLDITGCPLITDKGLAAIAQGCPDLKVVTVEACPGVADEGLKAIGRCCAKLQSVNIKNCAHVGDQGVSGLVCSAAASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANALGLQKLRFMSVSSCPGVTDLALASIAKFCPSLKQLSLKKCGQVSDGRLKDFAESAKVLESLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCNGIKDICSAPAQLPLCKSLRSLTIKDCPGFTDASLAVVGMICPQLENVDLSGLGAVTDNGLLPLIKSSESGLVHVDLNGCENLTDATVSALVKAHGSSLARLSLEGCSRITDASLFAISEGCTDLAELDLSNCMVSDYGVAVLASARQLKLRVLSLSGCLKVTQKSVPFLGSMSASLEGLNLQFNFIGNHNIASLEKQLWWCDILA >OGLUM06G21550.1 pep chromosome:ALNU02000000:6:24249163:24254409:-1 gene:OGLUM06G21550 transcript:OGLUM06G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35410) TAIR;Acc:AT5G35410] MAAGRKKRVGRYEVGRTIGQGTFAKVKFAVDADTGAAVAMKVLDKDTILNHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDKIARQGKLRENEARKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDSRGNLKVSDFGLSTLAQKKCSDWDFFFEGFQGVGLLHTTCGTPNYVAPEVLSNNGYDGSAADVWSCGVILYVLMAGYLPFEEDDLPTLYDKITAGQFSCPYWFSPGATSLIHRILDPNPKTRITIEQIREDTWFKKTYVAIKRGEDENVDLDDVQAVFDNIEDKYVSEQVTHNDGGPLVMNAFEMITLSQGLDLSALFDRQQEFVKHQTRFVSRKPAKTIVATIEVVAETMGLKVHSQNYKLRLEGVSSNRMSPFAVVLQVFEVAPSLFMVDVRKVAGDTLEYHRFYKNLCNKMESIIWRPIEVSAKSALLRTATC >OGLUM06G21560.1 pep chromosome:ALNU02000000:6:24284381:24289924:-1 gene:OGLUM06G21560 transcript:OGLUM06G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGSFYKIDYTLAAWWPDSAASHGEGRRAGEPATSTTAGASPERGRRDSSSGVRCFVFFTGGERSRASVHSRRRCDGRRLSCPHERRRRLLAAVVVIVFLGVPEEAGVGAEDRNIASECE >OGLUM06G21560.2 pep chromosome:ALNU02000000:6:24286147:24289924:-1 gene:OGLUM06G21560 transcript:OGLUM06G21560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSKEKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >OGLUM06G21560.3 pep chromosome:ALNU02000000:6:24286147:24289924:-1 gene:OGLUM06G21560 transcript:OGLUM06G21560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVAEIADAMGTVGIDNGASRKLLSNESLEERGEEHDVQADGAHSGESEVINPAEEVGGEATSQPEDVKPRVSKGSQSHSPKVTTKSQRQSPRSGDKSQARKNSPGSTYPKAPIARVSDPDLVDSSSCNDGADIKKKAEKSSFRPVARASQSLEDSNVSCIDTFLIKPREKKKTQKTSNQCSVKNDEEEPNCEKVKPQRVGSTPAYGFAFKCDERAEKRREFYSKLEEKIHAQELEKSNMQAKSKETEEAELKKLRKSLNFRANPMPSFYKEPPPPKVELKKIPTTRARSPKLGRSKNTSSVSTEESTVPSSRPARLSLDERASQNGVKKVPAANTVRKPQRKSLPKLPSEQTVTEQVENNTSATDPVRELIRAQVTPDDQFGG >OGLUM06G21570.1 pep chromosome:ALNU02000000:6:24284384:24286565:1 gene:OGLUM06G21570 transcript:OGLUM06G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSASSPLLGHAACASAAAAAAEHVGAVVVVVPVSPAAARQRGPPLCRATSEGDLMAAVSARPRALSVASSASISVEEEVEEEEEEEEDVLGAAVPLRRLLTSTGLDADMGRGREGDAAVVEEGVGGGGGGRKVCNGGGGGSGGKGDGGRRDADAHYRRMIQADPANPLLLGNYARFLKEVEGDAARAQEYCERAIVANPGDGDALALYAGLVWETTRDADRADAYFTRAVHAAPDDCYVLGSYAGFLWDAEEDDDDHGGEQPPPPFMGAAQPPSITAAS >OGLUM06G21580.1 pep chromosome:ALNU02000000:6:24289967:24290699:-1 gene:OGLUM06G21580 transcript:OGLUM06G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVGSHSKKNKNKKKRWCIVEYLPSSPWRKRKISCLVVASAPCAISRRRSPSGEPSRADQGRGAEGILVSFLVDGLKVVKRLYRSRSRK >OGLUM06G21590.1 pep chromosome:ALNU02000000:6:24298405:24303447:1 gene:OGLUM06G21590 transcript:OGLUM06G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADDNQMAWRESLEVTNETHRHSIERNTNSTALDNKASLLQEVKCASCCSYRDETERLIEIMRSRTPDLFNEYQRVPRSSTKGFEAIPFSDRWSTPAKEIDVRSPCGTEVFVPSNVLDVASSPIELAKAYMEAQTSASVQESQKRKFRALSHGVEVENSSSKVFPKIATDSPVCWPGSVVRNYPNYLTPQSNKGRTLPPTSSRTTYIGSVFPRSNKYTGSRDAYNNSSGKPQFSSPFPVGSKAIFEDKTAPLGAVLGAQPSTTYSKEAYGDTVGATTPLFAKKGSASKKNDGSALQGHHGEGTTESGSSLGFVSMVDNMPHSKSAALSVHPKSSKTAHKILQHLERTIPSPTAKPLELRWTSAKRTTSSVVTNIQHNGPATDSHRHSSINDSGSAQQEISDANKVLAPPSSSNAVESSPKIENSGTKSMPSSQHTSESDSATTSAAQVLDKSTGNGLAFTFPVPKTSMSLPEPPPTPTLSQPPSIPSADGADIPKFTFGSSSTTGKLFFSFDLPSSSHSAEEAAPTFKFGSDTKRELSFDVAGKDAVCF >OGLUM06G21600.1 pep chromosome:ALNU02000000:6:24308823:24310912:1 gene:OGLUM06G21600 transcript:OGLUM06G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGTEATTTTTSGCEADCGVPAMKATLMMMSCRSRSRSGRAHWMLLLFCLLLAFPSHGPRAVEAFPGGYGINYGRIANNIPSPDKVVQLLRASKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNELVKDFAANESRSIDWLNENVQPYLPQTRIVGITVGNEVLGGQDTSLAEPLVQAVKNVYNGLKKFHLQDKIELFTPHSEAVFATSYPPSACVFKEDVMVYMKPLLDFFQQIGSPFYVNAYPFLAYISDPEHIDINYALFKPNPGIVDPNTSLHYDNMFDAQIDAAYAALQAAGYRDMEVRVAETGWASSGDQTEAGASVENARTYNFNLRKRLFLRKGTPLKPKRPVKAYIFALFNENSKPGPSSERHYGLFNADGRIAYDIGYEGLLPSSAPSYFLALRENV >OGLUM06G21610.1 pep chromosome:ALNU02000000:6:24328125:24330701:-1 gene:OGLUM06G21610 transcript:OGLUM06G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAACDAAVEELTRLLDQVEEPLKQTFQLVDSLNWRIQNEIDSILEKPIIPVDLYRSIRETQLVGLSGYSKEVQIS >OGLUM06G21620.1 pep chromosome:ALNU02000000:6:24336838:24340583:1 gene:OGLUM06G21620 transcript:OGLUM06G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRAAGGAMERKGGGVGGGGEVGGWRRPEWCSAAGVAGVLRRHPAAAAFGCGLLLFMPVEYTIPMVPPAAPPVDLGFAATAALHAGIAARPWLNSLLAVLNTVFVAMQAAYILWAILGEGRPRAAVAAMMMFTCRGALGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAEDMRRAGRRGMARLYDALNLLQGVRLLACRGHYTIDLAVGVGAGLLFDMLAGRYLDGKNAVDGGAAVAPGSRCCSCHKALLSQ >OGLUM06G21630.1 pep chromosome:ALNU02000000:6:24340052:24341584:-1 gene:OGLUM06G21630 transcript:OGLUM06G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEGIPVFAIGVGQSTYDKASVHYYVQSHIQINEYRDRIVLPMASKKFGRPISTCIKVLDMTGLKLSALNQMKILTAISTVDDLNYPEKAETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLHGCGRDELLKIMDHSSLPHFCQREGSGSSKHSSNDVNNCFSLDHPFHQELYHYIEEQALNQELIKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGEQNGSANGEHKE >OGLUM06G21640.1 pep chromosome:ALNU02000000:6:24353160:24358944:1 gene:OGLUM06G21640 transcript:OGLUM06G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G13220) TAIR;Acc:AT3G13220] MMEISSNEEMMEMAIVEQLPPSSHHLNGGSVEVDMEEDHVWPTKDGPLPIFLKFENVEYKVKLTPKNPLTAARVAFASHKSTEDQGSCKHILKGIGGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIITELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSAAKLLVVLRRLARSAARRTVITTIHQPSSRMFHMFDKLLLVAEGHAIYHGGARGCMRHFAALGFSPGIAMNPAEFLLDLATGNLDGISSPASLLLPSAAAASPDSPEFRSHVIKARHRAAGEEEAAAAAAREGGGGGGAGRDEAAKQLRMAVRMRKDRRGGIGWLEQFAVLSRRTFRERAADYLDKMRLTQSVGVALLLGLLWWKSQTSNEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERKADMYRLSAYYASSTVCDAVPHVVYPVLFTAILYFMADLRRTVPCFCLTLLATLLIVLTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPKFIRWLKYVSFMHYGFNLLLKAQYHGHLTYNCGSRGGCQRLQSSPSFGTVDLDGGMREVWILLAMAVAYRLLAYLCLRKRISLMPL >OGLUM06G21650.1 pep chromosome:ALNU02000000:6:24359517:24363766:1 gene:OGLUM06G21650 transcript:OGLUM06G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEDAAAARRRAVATDYRKKLLTCRELEARARTARDNLKNAKKDFGKTEDDLKSLQSVGQIIGEVLRPLDSERFIVKASSGPRYVVGCRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFSYAREHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >OGLUM06G21660.1 pep chromosome:ALNU02000000:6:24363933:24370122:1 gene:OGLUM06G21660 transcript:OGLUM06G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGLRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTGITNSNSKELFDMYAVFTCNAKRKTSSVKFQTSEPKWNEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESSTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLMGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >OGLUM06G21660.2 pep chromosome:ALNU02000000:6:24363933:24370122:1 gene:OGLUM06G21660 transcript:OGLUM06G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGLRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKINLRSAQTNAAFRKLFNLPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESSTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLMGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >OGLUM06G21660.3 pep chromosome:ALNU02000000:6:24363933:24370122:1 gene:OGLUM06G21660 transcript:OGLUM06G21660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLRSLSSSARLAAAEWAAPMKLQVRVVEARGLPAVRVDGTSDPFVKLQLGKRRAKTAVARRTLAPAWDEEFSFLVGDIAEELVVSVLNEDKYFSNDLLGKVRVPLADVMETDDLSLGTAWYQLQPKSKKSKKKSRGEVCLCISLSTRTHVSEESQSVNPASDDASSSDRSIEHKDAVLSTTSSYIDLSACASAMDRASQSSMEQLADSIVDQPPRSSMEQLAVAEPGAAAAEGDAMSNSSSVVEVLSRYFFGNKPADVAPSAASDAESVDQFQEPKVCSEDHETPESGTSSESSLDELLKTMESKDQGCEMPANLPGGVLIDESYVAAPTELNSLLFSKNSDFWPAVSELQGTSGFQIEPWKLDNNETCLQRTLTYTKAASKLVKAVKATEEQKYLKAAGNSFAVHSVVSTPDVPCGGCFKIEILYCITPGPSLSSEEQTSHLTVSWRVNFVQSTMMKGMIESGAKQGMAEGFAHFSEILSQKIKVAEADDANSNKEKILSSLHAQKESGLRLIVRFLFNFTFIFSVIIASYVIAHLHLSKPNAMHGLEYFGIDLPDSIGEVVVCAVLILQGQNIFNIIKRFLNAWKQKGSDHGVKAHGDGWLMTVALIEGTEIYEFDAMDDPPSRMDVAIHDANGPFDQSPIGHAEVNFLKSNLSDLTDVWLPLEGKCDQTSNPKIHLRIFLNNSRGTEVVMNYLAKMRKEVGKKGRLFFSPRIIGFYSNIFGHKTKFFFLWDDVDDIQVIPPTLSIGSPSLTIILRKGRGLEAKHGAKGTDPNGRLKYYFQSFVSFNDAHRIIMAIWKMRSLSPEQQGDMIEKESSTKELQLEEGGTLFTHEDVKMSEIFSSALSVDVESLMEMFSGGPLEHRMMQKAGCIDYSPTEWELVSRNIYQRQISYKFDKNLSRYGGEATTTQQRYALVNQEGWAIEEVMSLQGVLMGDCFNVQMKYTVVNVPSKPNTCSVQVLLGIAWLKSTKQQKKITKSVISNSSIRLKELFAEVEKDLTSRSGAS >OGLUM06G21670.1 pep chromosome:ALNU02000000:6:24371906:24372464:-1 gene:OGLUM06G21670 transcript:OGLUM06G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASPAAAPPPPRWSLHRNEPACVVGEQRHDGEATRGLPSSCFDCAAAAAAACFVGVVIITAGASGELVTESFPVGEAWRMCRNFPDGDFFCTEIFFIVTGLFSCSSIAAGATIFDKKNKLFQDK >OGLUM06G21680.1 pep chromosome:ALNU02000000:6:24372139:24372507:1 gene:OGLUM06G21680 transcript:OGLUM06G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKRPVTMKKISVQKKSPSGKFLHILHASPTGKLSVTNSPEAPAVMMTTPTKHAAAAAAAQSKQLLGSPRVASPSCLCSPTTHAGSFRCRLHRGGGGAAAGLAGSIGCGCGEMDKKPGV >OGLUM06G21690.1 pep chromosome:ALNU02000000:6:24372716:24383093:-1 gene:OGLUM06G21690 transcript:OGLUM06G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVVPAALPSPATEDETIARRRSRRVSFAEITAVHVFDRDEDFETPPEERAIAVGYPSPSPTPSLSPGKPAAEEGEETEGEEEEFLRPPFRFLNNGDVDSSSPGSAAGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTGSGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVEDVHELISPKNGTGTVTPDHSSALAACKKKNREEKSSIVNGISSSELDTIGSREEHVPIRNSVPTSTDPIQEDNAMTVDVNEKSQVTSEDIPNTPKAVVQTFQIPQGSISSLRSKRRQLFSPITLSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDSDLDRGGRKRTSSENGHAAQKRPQKISKPPRSPATSLKQLPCVSLSSSMMEENQSVTHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSTTLITASDRQEGLALITEKMHALDMIKKKVERARSSLESFCNTKGDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIILNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQAFPNLNASVAFNFVFKAEGTQRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMTDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQNIMILRQKKIFYIIPSMRQETKKELATAKESAPSGGSLSPEQRNHAAASGRRIHLVPLFLSLSLSAAPPSDTSARGNAIFYHLTLPSPPARHLLDELLIALPVEGQGCASAAAAASLGLRRSRLWGTRQPALSLAAAATCHAVRIQRPDTRAAALLDDPRSPLAPKRRQRGGIDHLRIAVPATRAVRWKKSIEERLFEEQWKGEHTIQRNVIGKTTPPN >OGLUM06G21690.2 pep chromosome:ALNU02000000:6:24375569:24383093:-1 gene:OGLUM06G21690 transcript:OGLUM06G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAGVVPAALPSPATEDETIARRRSRRVSFAEITAVHVFDRDEDFETPPEERAIAVGYPSPSPTPSLSPGKPAAEEGEETEGEEEEFLRPPFRFLNNGDVDSSSPGSAAGSLVSNDDEDFFGPVSRSFIQSGRPSDSGMSEDGNHDITLDSETFSMHYRNIAPPDDFSVNSVGSLRTPNSASTGPLKEQTGSGYGVKSCNSHDALTDMSLLADNPERYDYAKLSPTLSNLLQQVEDVHELISPKNGTGTVTPDHSSALAACKKKNREEKSSIVNGISSSELDTIGSREEHVPIRNSVPTSTDPIQEDNAMTVDVNEKSQVTSEDIPNTPKAVVQTFQIPQGSISSLRSKRRQLFSPITLSASNVVSQDASSLGSEFVKHSKRIVALADRLKFGLYESPATKIQEMPCNALMTDDQPSHECNSIQDSDLDRGGRKRTSSENGHAAQKRPQKISKPPRSPATSLKQLPCVSLSSSMMEENQSVTHGNQQSINVDWNKVASMVSNATSQVFSTSISKVKPQQLDMIEDMLGGIQRARNFKRLSTAVRIQDCGNDKQKRLAEARSLVDKLLYEKAKLQINHVKLEKLQNRAQVCKDGIQECRYLKSKISDQKGVPLDSTTLITASDRQEGLALITEKMHALDMIKKKVERARSSLESFCNTKGDDFIKAAEQQLEMRNQCRIINQQARLWKLNDLVKRENKRDIILNYCSLLFQRIVLNISDMSGIFVSNSLNGTKIGQAFPNLNASVAFNFVFKAEGTQRVSDLRSLQKMTTETSLLLGNLIDVLKEIKMAKLELLNLTAAAFDMASQTCQLALSLCFMSFKSGKRISFTIDMTDLNRAVYPSELLINVREAQTTVAQPSLDEFMSSLRDLQSGRLMILRLCRMGSQLIHELPS >OGLUM06G21690.3 pep chromosome:ALNU02000000:6:24372716:24374364:-1 gene:OGLUM06G21690 transcript:OGLUM06G21690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQATGTELGRGCHMPRCQVPGPNEPGIHRISSEFWARIQRPDTRAAALLDDPRSPLAPKRRQRGGIDHLRIAVPATRAVRWKKSIEERLFEEQWKGEHTIQRNVIGKTTPPN >OGLUM06G21700.1 pep chromosome:ALNU02000000:6:24384157:24389542:-1 gene:OGLUM06G21700 transcript:OGLUM06G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPELADSDADDSDADASPSPSPSRSPSPSARSPSGSPSRPAALMDVDGGDDDDDADPSQSAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVRFEVGTRAEATSTYATTDFLLGLAANPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERRVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRIADGAVLVVDAAEGVMVNTERAIRHATQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGSAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDLYYHPDTRTFKKKPPKEGANRSFVEFVLEPLYKIYSQVVGESKGKVEATLSELGVTLSNAAYKLNVRPLLRLACRSIFGTSTGFTDMLVKHIPSVKDAAPRKIEHIYTGPQDSTIVDAMKKCDPHAPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVPISKAPAGSWVLIEGVDASIMKTATICPMKMDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAVTKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLAEDIENGLVSLDSRQKEITDFFRQRYQWDVLAARSIWAFGPEKQGPNILLDDTLSVEVDKNLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKILNANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYIEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYVVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKNIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMNELAQQAADLHLQMM >OGLUM06G21710.1 pep chromosome:ALNU02000000:6:24391932:24393822:1 gene:OGLUM06G21710 transcript:OGLUM06G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRQVVAAVLLHRSPPHEVLPSLGSHRVVLCIACLHQSPLHRRGELQLWSSSPVGEQQVNPVRVHQQVTSPKLGIMESTMNAGCSAKCPVRLLTCNACVLESVYGFWILDPLNDLDRHFFGFKSSSKKILGDSGLYDFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >OGLUM06G21710.2 pep chromosome:ALNU02000000:6:24391932:24393822:1 gene:OGLUM06G21710 transcript:OGLUM06G21710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRQVVAAVLLHRSPPHEVLPSLGSHRVVLCIACLHQSPLHRRGELQLWSSSPVGEQQVNPVRALAAFPQSTMNAGCSAKCPVRLLTCNACVLESVYGFWILDPLNDLDRHFFGFKSSSKKILGDSGLYDFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >OGLUM06G21710.3 pep chromosome:ALNU02000000:6:24391932:24393822:1 gene:OGLUM06G21710 transcript:OGLUM06G21710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRQVVAAVLLHRSPPHEVLPSLGSHRVVLCIACLHQSPLHRRGELQLWSSSPVGEQQVNPVRVHQQVTSPKLGIMEVHPDSSCILKQNPSSSACRFSSEYNERGVLGEMSRPAPHLQCMCSGECLWILDLRPPQRLGSSSSSKKILGDSGLYDFLEAVGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >OGLUM06G21710.4 pep chromosome:ALNU02000000:6:24392032:24393578:1 gene:OGLUM06G21710 transcript:OGLUM06G21710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRRQVVAAVLLHRSPPHEVLPSLGSHRVVLCIACLHQSPLHRRGELQLWSSSPVGEQQSTMNAGCSAKCPVRLLTCNACVLESVYGFWILDPLNDLDLGTTRVTEPEIELAFFMHQMNHKGNNTTTLSKIELLRTS >OGLUM06G21720.1 pep chromosome:ALNU02000000:6:24394936:24400250:1 gene:OGLUM06G21720 transcript:OGLUM06G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G19830) TAIR;Acc:AT2G19830] MSGVFGKVFGKSKAQSQATALASIDKLSETLEMLEKKENLLVKKANLEVEKAKTFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMHKATNIDDVDKTMDEINDNMENMRQIQDLLSAPIGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTAPVRVQQPTRPSAQSSKTEDDELAALQAEMAM >OGLUM06G21730.1 pep chromosome:ALNU02000000:6:24400469:24409576:1 gene:OGLUM06G21730 transcript:OGLUM06G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABQ7] MQAWFSGTGPSASSASSSSSPPQPSLLAEWNSYAAARSAEEEEDGGGGGFGIDIEAAVRSANDRVSGTFGVVSKGVLGLPGSFKSTTSSVPSSKSLVYFGLFLASGIFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTMGFVGSMAATIYVSMVLHSYILSVFFSCLQNLTGILGGTARLPYPGTDELIKTAKYIATPGKGILAADESTGTIGKRLASINVENVEPNRQALRELLFTTPGAFQYLSGVILFEETLYQNTAAGTPFVDVLKAGGVVPGIKVDKGTVDIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGAAGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGAHDIKTCAAVTERVLAAVYKSLNDHKVLLEGTLLKPNMVTPGSDSPKVGAEVIGEYTVAALRRTVPPAVPGIVFLSGGQSEEEASQNLNAMNKLEVLKPWTLTFSFGRALQQSTIKKWGGKKENVAAAQAAFLARCKANSEATLGKYGGAAGDAATSESLYVKGYTY >OGLUM06G21740.1 pep chromosome:ALNU02000000:6:24406537:24410523:-1 gene:OGLUM06G21740 transcript:OGLUM06G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDSKPSYSYSSSYDYGNSSSGYNSRYPAYPANASSSQNTRYAPSMENYVQPETHARLQRKYSRIGDDYRSLNQVTEALAQAGLESSNLIVGIDFTKSNEWTGKLSFNRRCLHDIGNTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPMIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQSGQLSPQERDTIDAIVKASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTDIMSKSIAADRKEAEFALSALMEIPTQYKATLDLQLLGRRQRIQPRIPLPPPMRNAYSRSTSFDQHSGVYSRSSSFGPQTSGFQQSESFKQRQPVATTAPDTYTSESSLEGRLLCAICMDKSKDLAFGCGHQTCYECGKNLVRCPMCQQHITTRIRLY >OGLUM06G21750.1 pep chromosome:ALNU02000000:6:24430348:24430677:-1 gene:OGLUM06G21750 transcript:OGLUM06G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDGGGHGKKLERRRSFLVVIFGDGGGGSDTKDRPRRFTSWLSSILRRKRRPDAASATESLPPPLDEAPNSLDAVPHSNWWFPSSSPARQHRRRYIGVGAIDDGISE >OGLUM06G21760.1 pep chromosome:ALNU02000000:6:24433283:24434588:1 gene:OGLUM06G21760 transcript:OGLUM06G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGLFFLSRVIPGCRRGEYEAPPNDDGEKINKATTLKDVVGGVAEVLPANKLTTREDVDKVAVAAAQNDRRHASGGRELTRSIQSRSESIKNPIPHRADVFFFSAGKAITDGRDKVCARTHSTKSSRDNGAFPLGFSPLLAHLLGFLLSFSSRSSQPATLSLSGTPPPSPLSRLFSTTRVSAAFPVSSPTHHGSGGSGGGGGGSDDDVGGTHWRIRPRGST >OGLUM06G21770.1 pep chromosome:ALNU02000000:6:24436641:24446381:1 gene:OGLUM06G21770 transcript:OGLUM06G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITTAMSARSMSTRLELLVVFVFIVAPALTATKPSYIVYLGGRHSHGDDGGVISPEEAHRTAAESHYDLLGSVLGDREKARDAIFYSYTKNINGFAARLEAEEAAAVAERPGVVSVFPDRGRWMHTTRSWQFLGLERPDGSVPPWSPWEAARYGQNIIIGNLDSGVWPESLSFNDRELGPIPNYWKGACRNEHDKTFKCNSKLIGARYFNNGYAKVIGVPLNDTHKTPRDANGHGTHTLATAGGSAVRGAEAFGLGGGTARGGSPRARVAAYRVCYPPFNGSDACYDSDILAAFEAAIADGVHVISASVGADPNDYLEDAIAIGALHAVKAGITVVCSASNFGPDPGTVTNVAPWILTVAASTMDRAFPAHLVFNRNRVEGQSLSPTWLRGKTFYTMISAANAAVPGYPPADALLCELGALDGKKVMGKIVVCMRGGNPRVEKGEEVSRAGGAAMILVNDEASGNDVIADAHVLPAVHINHADGHALLAYINSTKGAKAFITRAKTVVGVKPAPVMAAFSSQGPNTVNPEILKVRNFSWRELDVAPRAHEVNLFVCVDAAGRDGAGGERDRGVERRGGADGLAVRPAAGGVQRPERHVHVVPASVRRRRPDQDAPSGLEPRRHQVRHHDHRCGAGHVFPHRAMDPGLVYDLTVDDHLSFLCTIGYNATALALFNGAPFRCPDDPLDPLDFNYPSITAFDLAPAGPPATARRRVRNVGPPATYTAAVVREPEGVQVTVTPTTLTFESTGEVRTFWVKFAVRDPAPAANYAFGAIVWSDGNHQLDQEYSNSYQISYVYESSMSLTDKMRKLKELLHKSENRICADCSSPDPKWASANIGVFICLKCSGIHRSLGTHISKVLSVTLDEWTDDEINSMLEVGGNSYANAIYEAFLPGGYHKPHPDSSQEERADFIRSKYELQEFLKPSLRIVSNKSSLQAMDSRKDIGNASNSYSFKSEAGMVEFIGIIKVKVIRGTKLAVRDILSSDPYVVLTLGQQKAKTKVIKSNLNPVWNEVLTLSVPQKYGPLKLQVYDHDVLSRDDIMGEAEVDLQPMITAAMAFGDPGLLSDMQIGRWLMSRDNALARDSAVSVVGGRVKQEVSLRLQNVECGEVDLELEWIALNQ >OGLUM06G21780.1 pep chromosome:ALNU02000000:6:24447070:24451541:1 gene:OGLUM06G21780 transcript:OGLUM06G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSIVLAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSTVHFDSLESLDADENVFTNGSGSDD >OGLUM06G21780.2 pep chromosome:ALNU02000000:6:24447070:24451541:1 gene:OGLUM06G21780 transcript:OGLUM06G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSIVLAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSTVHFDSLESLDADENVFTNGSGSDD >OGLUM06G21780.3 pep chromosome:ALNU02000000:6:24447381:24451541:1 gene:OGLUM06G21780 transcript:OGLUM06G21780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPKPFSSIVLAHNDPVSHNQQIERINNNVVSNSGGNSSNSNFAARQRLRWTDDLHDRFVDAVTQLGGPDRATPKGILRIMGVQGLTIYHVKSHLQKYRLAKYIPDPTADGAKSDKKDLGDLLADIESSSGMEIGEALKLQMEVQRQLQLRIEAQGRYLQKIIEEQQRLSGVLGESGKLGALGPAPGEPYQDSNKTDPSTPVPTSESPIRDKAGSGLFKTISSHDDCREPLTPDSSCRAGSPLESPPRASKRIRVSSDIDHRGNNEFPPPLKVPEPSSGSDFRQESSVLLSSSTVHFDSLESLDADENVFTNGSGSDD >OGLUM06G21790.1 pep chromosome:ALNU02000000:6:24453370:24459955:-1 gene:OGLUM06G21790 transcript:OGLUM06G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRVQSRSVSVGTGVYSCGKKLTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMNLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRTAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTNYHPPTLPFLRRRFRSGPPATAKHRQSKDKARTAE >OGLUM06G21790.2 pep chromosome:ALNU02000000:6:24455818:24459955:-1 gene:OGLUM06G21790 transcript:OGLUM06G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQFVNFVIRPPRVQSRSVSVGTGVYSCGKKLTNGRDQTLKCSHYVPAVIPDNTALPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSGGEYVSLGWHEDLKCAVSFLRNNKEVSCIGLWGRSMGAVTSLLYGAEDPSIAGLVLDSAFSNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMNLDVVQFAPKTFIPALFGHASNDMFIQPHHTDRIHQAYAGDKNLIKFDGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSVCSNKLDKYYNLGAFKVGAGTNESLLYEIINGLRTAGPDAGSSSAAAANFTNATKSVVELLTERVNQLSIKTDNDLDFLLDENHNLTEMDTHTAESHLEDKSHRQNEECCSYTSSNRESWGRCSSLGAASDGSSLGERPEIPSHKHKSMTLRALATPLRRIRRKPLAIPKERKNRSLWKRLKQERQEMGESLTQRFRLCLQGQAQHKRTKSS >OGLUM06G21790.3 pep chromosome:ALNU02000000:6:24451834:24453360:-1 gene:OGLUM06G21790 transcript:OGLUM06G21790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILGRHDTMKRSSHGSKLETKMVESMQQRASHGTSLKSFDSIIMKFPKIDESLRKCKIIFEQFDEDSNGEIDKQELKHCFQKLEISFTEEEINDLFEACDINEDMGMKFNEFIVFLCLIYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKEEMVQSMNETATGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDDE >OGLUM06G21800.1 pep chromosome:ALNU02000000:6:24496780:24501635:1 gene:OGLUM06G21800 transcript:OGLUM06G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGAYRSAGRRRDAFAAAAEDAGSATTTRGRAAAAGGSGGLLRRSRSLSRFPPPSPSPEDAATPSSRFVNKVRGGGRGGAGLPPEISLDDLADEFFRARAESEDDDEEEVAVVVRGEESRGRLRFPAPAEKGGGRRSSTARYARETESSRQRGRSVSRPPAERRGGATAVANGGAAAAGPQRYASVDRRASMDRHRWCDSDNDMDISHRYGSRGINTKSSNNSLQNSSFHKTAKVNQSLRKSTSQKDFLHSRDSSSSHSSITDDEFRDSFHSRNQKGIWAVYTLEKDRLSNNEDENALYDVMRKEVRQAVDEIRTQLEKVVTKSEPSEKATSADAQPTQVINELRRSYTSKLEESEMRKQELLAQLAAEEQRGHELTKIVKELLPTPKKNMNSERQPRYRRRSNDRARVSRRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSSRRDVVQNTKIETPIALPKVASPVEADGVVLPWLQWETSNDLQTSPCKPKTQGASTACSTSSRTMSSRGSWSPGDHDSAAGSKDTLLTRFEEAASRRNSCPDNTQKSSFHIDDYMHLRRSHDLLFERWRQKERIGDGGLILCSRSSIM >OGLUM06G21810.1 pep chromosome:ALNU02000000:6:24502463:24505923:1 gene:OGLUM06G21810 transcript:OGLUM06G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKSQIMVYQKGGVLQASARKNPFRLRCIFLFSRLPSPSPRLATPSSSSPRRSPPPLSGEARIEPPPSAMADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVSRHHVVQLCSSCSSYTNKLLVKSLKALAYKRMDLNELDEEHSAHYLRLRLEENQLQEAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >OGLUM06G21810.2 pep chromosome:ALNU02000000:6:24502463:24505923:1 gene:OGLUM06G21810 transcript:OGLUM06G21810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLKSQIMVYQKGGVLQASARKNPFRLRCIFLFSRLPSPSPRLATPSSSSPRRSPPPLSGEARIEPPPSAMADPVELGAPPSPEPLSPLRELIDVPTVVYVADEDPKFAALADQAYAGFPFLFVSRHHALAYKRMDLNELDEEHSAHYLRLRLEENQLQEAMEGMAAGGEEMRVALARMETLKKSVQFHFRRMQYAAHELRKVVLKEEEMYKKLVTLTN >OGLUM06G21820.1 pep chromosome:ALNU02000000:6:24519720:24520012:-1 gene:OGLUM06G21820 transcript:OGLUM06G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAIASVYAGAARVAAAGGAPLREVVHDGARRNARPLQEANGRGVSVYYCWPNA >OGLUM06G21830.1 pep chromosome:ALNU02000000:6:24520037:24521821:-1 gene:OGLUM06G21830 transcript:OGLUM06G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFDGDAGGVVVDGEAYALRQMHWHSPSEHAVDGRRYDLELHMLHQSETRDGRYAVVAQLFDIGHRRDATLDMVITLCSTSSTIYTISVTSDTIGLTDKVNPAREKNTAVEEDYEKLEPYIKRVAKRRKGHEVEIDDDVDPRWPVKGSGVYYRYTGSFTTPPCTEGITWTVSTKASHTHHRSDH >OGLUM06G21840.1 pep chromosome:ALNU02000000:6:24522852:24529461:1 gene:OGLUM06G21840 transcript:OGLUM06G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFAGENLAMAAPPPTARGEPAVDGRCRFCHGDPPAAASRRRVATSPPTCTALNSARGLRRRRGRRRGVASRGGRRPPRGAPPSSAPAADTQPSPVSSLSRRRPPPPDLLVTPWRGREEEEEKGENDMWGPRGPHHFY >OGLUM06G21840.2 pep chromosome:ALNU02000000:6:24522852:24528858:1 gene:OGLUM06G21840 transcript:OGLUM06G21840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFAGENLAMAAPPPTARGEPAVDGRCRFCHGDPPAAASRRRVATSPPTCTALNSARGLRRRRGRRRGVASRGGRRPPRGAPPSSAPAADTQPSPVSSLSRRRPPPPDLLVTPWRGREEEEEKGENDMWGPRGPHHFY >OGLUM06G21850.1 pep chromosome:ALNU02000000:6:24534426:24535596:1 gene:OGLUM06G21850 transcript:OGLUM06G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHSLTVVELSPSPPLFAIFHLFANVLYQDALDYMQCETLTQLDQVWGVCLFLLQGSYLEAIINEDPTKGQNMRWLESWSSDLKP >OGLUM06G21860.1 pep chromosome:ALNU02000000:6:24537178:24538665:1 gene:OGLUM06G21860 transcript:OGLUM06G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQGSCNCKPRCWTCTLALPIKPALLRCSLSSSPLAAAAATFSGSSPSLYSGGGGGDDDGYGGGDGMLRSLHSSSSSDTDNNSGGCKNNGGGGGEAAAAVEGGGDQRAVAAAAPSTRDLLLACADLLQRGDLPAARRAAEIIAPFLRFAHLTANQAILEAVDGARRVHILDLDAVHGVQWPPLLQAIAERADPALGPPEVRVTGAGADRDTLLRTGNRLRAFARSIHLPFHFTPLLLSCTTTAPHHVAGTSTGAAATASTAAAATGLEFHPDETLAVNCVMFLHNLAGHDELAAFLKWVKAMSPAVVTIAEREAGGGGGGGGDHIDDLPRRVGVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEAAVGPSGGRWWRGIERWGGAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSAWQPSSS >OGLUM06G21870.1 pep chromosome:ALNU02000000:6:24554706:24560746:-1 gene:OGLUM06G21870 transcript:OGLUM06G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABS7] MEESSITHTPTWVVAVVCFAIVSFLKHKDQKALFSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQQRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLKHFPSHPSFNFYKYLIRALEHDFKRVVGIRMAYILLLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLVIGALIQVICSYMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAAADDDDSRHRR >OGLUM06G21870.2 pep chromosome:ALNU02000000:6:24554706:24560746:-1 gene:OGLUM06G21870 transcript:OGLUM06G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABS7] MEESSITHTPTWVVAVVCFAIVSFLKHKDQKALFSALERLKEELMLLGFISFVLSLSEGFIVGICVSENAMHLMLPCKKESYQLSEGVKLCKKKGEVPLLSVEALHQLHIFIFILGLVHVVFCATTILLGGAKIRKWKLWETEIQQEMQQKLQQRDATPGRIAHNQQGEFVSERTKGLWMKLAVVSWIIAFFKQFHDSVSKSDYKALRSAFGLDSESGISSLMEQGWHTYFWLAFLPLFLLLVVGAKLEHIITRLAQEAAAVASLSHGTERTPYVKPSKEHFWFGRPEIVLNLIHFILFQNSFEIGFFIWVLVTFGFDSCIMEKKVYAISRLVIGALIQVICSYMDGGIKLQGIGSGLHESVAGWALDARRKKEEQQSSHGGATTGATEGSNYRSDHFGASPRSALAPPPPPSPDLVEIVSVAAADDDDSRHRR >OGLUM06G21880.1 pep chromosome:ALNU02000000:6:24568490:24573703:1 gene:OGLUM06G21880 transcript:OGLUM06G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARCAGHGRGGGGGGFLLRRRLLAAAAFLAAFGHCAAAADDAATGRGQEHDHVMLSVEDMFPDSSSSLSSCDAPPRDHRHDATSSTTRMTIVHRHGPCSPLAAAHDKPPSHGEILAADQSRAESIQHRVSTTATGRGNPKRSRRRQQQPPSAPAPAASLSSSTASLPASPGRALGTGNYVVTVGLGTPASRYTVVFDTGSDTTWVQCQPCVVACYEQREKLFDPASSSTYANVSCAAPACSDLDISGCSGGHCLYGVQYGDGSYSIGFFAMDTLTLSSYDAVKGFRFGCGERNDGLFGEAAGLLGLGRGKTSLPVQTYGKYGGVFAHCLPARSTGTGYLDFGAGSPPATTTTPMLTGNGPTFYYVGMTGIRVGGRLLPIAPSVFAAAGTIVDSGTVITRLPPAAYSSLRSAFAAAMAARGYRKAAAVSLLDTCYDFTGMSQVAIPTVSLLFQGGAALDVDASGIMYTVSASQVCLAFAGNEDGGDVGIVGNTQLKMFGVAYDIGKKVVGFSPGAC >OGLUM06G21890.1 pep chromosome:ALNU02000000:6:24574035:24574232:-1 gene:OGLUM06G21890 transcript:OGLUM06G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDEEAIGSSTASVALLANVAKHGNLQSRLRAAVNVREIVVLSSCCSRNGGATTAIDLSDHHRH >OGLUM06G21900.1 pep chromosome:ALNU02000000:6:24577333:24582465:1 gene:OGLUM06G21900 transcript:OGLUM06G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGHNDPLIGETTCGSLLQQLQLIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDQASNSRARLLQQLANAKSELSRLLCALGELSISGIPDKTTGTIKEQLEAISPFLEKLCREKDKRVREFAGVQLQIQTIRGEIAGSLQVGDHMETPRVNEDDLSTKKLNEFLSELQALQKEKSNRLHKILDFVSSVHDLCSVLGMDFLSTVTEVHPSLNDSVGAESKSISDATLSKLSKMVIQLKEEKSKRLERIQALASQLTDLWNLMDTSADERQLFDHVTCNISSTLDEVTAPGALDIDLIEQAELEVERLDQLKASRMKDIAFKRQTELEDIYAQAHITIDTSAARDRILTVIDSSIFEPSELLADMENQILKAKEEALSRKDILEKVERWMSACEEESWLEDYSQDDNRYSATRGAHLNLKRAEKARLLVSKIPVIVDTLMAKTRAWEQEHGMPFSYDGVHLLAMLDEYKVLRQQKEEEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKAPGPRANGGAVNGTPNRRLSAHQNGGGRSVSRDGRRDSGRPAAPVNYVAICKEESSNNNPAASSP >OGLUM06G21910.1 pep chromosome:ALNU02000000:6:24581229:24581541:-1 gene:OGLUM06G21910 transcript:OGLUM06G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMIVRGRTPPAGRPLSLRPSRLTDLPPPFWWAESLRFGVPLTAPPLARGPGAFLEDWGRAGLGFEPKSFSCSAASWSFIFFWS >OGLUM06G21920.1 pep chromosome:ALNU02000000:6:24582352:24584322:-1 gene:OGLUM06G21920 transcript:OGLUM06G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGGIVRRVFSKSPCSSAGGGGRGCHNERGSADHKRRWSSLRLYLCGDEISTAAEDENDDDDDGTVSVKSFETCAMPQEPQAAALTVARPANGVDGVADADGHPEEHGSMSIPIKDIAPPTAAEPATDSQVEAATMIQSVFRGFMARRQLQKLKCSENGCCTTDEPRSPTTASIAASVEVQVGESLSNLRLSDDSAAAAATSAQHRSSQRSRPQAFRVKEEWDDSTVSSNVSRMRMQSRIEATTRRERALAYAFSQQLRSCGGGGGGTTKKRAARSDQAEFNVGWSWLERWMATRQASSEASADDCMSKNAADAGSTAAAAGGRRVIVVRRRHDLGAGAGEEKESCGSNDVSVVSFDGSSGSLSCYKPGSKSRLRGGGRSLPRRKVASSDHRLHARSHKVSKKVHRRDQEQEREEAAAEAYDGNQPPTDY >OGLUM06G21930.1 pep chromosome:ALNU02000000:6:24589133:24590980:-1 gene:OGLUM06G21930 transcript:OGLUM06G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03540) TAIR;Acc:AT1G03540] MPPSAPAHLAVLRLLDSGDLAAAARLAAAGAGPSSSSSPSPVSLAAVLLRHPPPRLGCCLHGRAARAGLLADRYLANALLAFYVRLPRHLPHALRAFDDLPRRDVVAHSSILAAFLRAGMPRRALASLRDMLAGADDDVSPNAHALSAAVKACAVLRDRNAGACLHGSVLVRGFGDDGVVLSSLVDMYGHVAAPGDARKVFEEMRAPDGICYTSLISAFVRNDWFEEAVRWFRSMLMMNGVRPDGCTFGSMMTALGNLKRGSQGRQAHSQVVTRGLCGNVIVESSTLDMYAKCGLMVEARKVFDRMQVRNEVSRCALLGGYCQNGEYEKVIALFREMDKEDGDWYSLGTVLRACAGLSSVKPGKEIHCRFLRMAGWRDVVVESALVDLYAKCGAVDYAYSVFEASTVRNTITWNAMIGGFAQNGHGERAINLFNRMVREGPRPDYISFIGVLFACSHTGMVEQGRNYFNSMCKDYGIAPGIEHYNCMVDLFSRVELLEEAEDLINKSPFRNDSSLWADILGASATHSNPDVAERVSKKMMELEPQYHLSYILLENVYRTVGRWEDALEIRRLMESRKVKKEPGMSWVDANRSKLHVCDSNEEVSELVTSMEMDIS >OGLUM06G21940.1 pep chromosome:ALNU02000000:6:24591665:24593261:-1 gene:OGLUM06G21940 transcript:OGLUM06G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQQVVPAAAVHDDNNGRDVHDTPNTKVAGEEEEGAPLAVAEDELHNNGGPNSKEQEIVVITKEQEAAAITVVVDDTADDDGGGHDIAHEVEAKLAVETPPAAAGAKEAEPEEEGGGGRCRVQAKKTTEKAASKAAIVPVNDDDDDDQAHEDVVVAAPMAAEHQETAEAAAAAAGEEEEAPEDKEEDACEKSKVHEE >OGLUM06G21950.1 pep chromosome:ALNU02000000:6:24598542:24604918:1 gene:OGLUM06G21950 transcript:OGLUM06G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNHDAAMVLFFFLLMVTTYANAHGHSKKPEEITEGVYGAAAAVAAGPGGTFDITKLGAVGNGRADSTGAVMAAWRSACAGAGKQTILIPKGDFMTGAMELRGPCNGAVTIQLDGNLLGSNDLSKYPGKKMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNTLVLNTVNNGVVSGITLLNAKFFHMNIYRCKDIKISGVTISAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTDGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDSVSPVTVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDVTFRNITGSSNTPAVVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSTGCLKELACL >OGLUM06G21950.2 pep chromosome:ALNU02000000:6:24602814:24604674:1 gene:OGLUM06G21950 transcript:OGLUM06G21950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNHDAAMVLFFFLLMVTTYANAHGHSKKPEEITEGVYGAAAAVAAGPGGTFDITKLGAVGNGRADSTGAVMAAWRSACAGAGKQTILIPKGDFMTGAMELRGPCNGAVTIQLDGNLLGSNDLSKYPGKKMPNWVEVRHVDNFVISGKGKLDGQGPGVWSKNSCAKNYNCKLLPNFFHMNIYRCKDIKISGVTISAPGDSPNTDGIHMGDSSKITIAATTIGTGDDCISIGPGTDGVNITGVTCGPGHGISIGSLGRYKDERDVRDVSVTRCVLRKTTNGLRIKSYEDSVSPVTVSKVSYDGVVMDHVDNPIIIDQKYCPNSICTSKGDSKVSVRDVTFRNITGSSNTPAVVQLLCSGKLPCSGVAMQDVRVLYGGSDKKTTAVCDHALGKSTGCLKELACL >OGLUM06G21960.1 pep chromosome:ALNU02000000:6:24607550:24607808:1 gene:OGLUM06G21960 transcript:OGLUM06G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVCRRICLESVAYNPTLCPVQAAIIGMVAAGGAAAARDVVAATLVEKEAALVSQEVTARIFLAAVAPQASFHVYNFGPSDA >OGLUM06G21970.1 pep chromosome:ALNU02000000:6:24608744:24613472:-1 gene:OGLUM06G21970 transcript:OGLUM06G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREPQDLRLEERDLLEEMSMNEEVEDGEVKPLGRVKSMDETPGEVAKLRCRHGARGAAVVASAWRGSNTGEAGEQRIIAAISHRSTMNGIELSSEDKAILVETLKNKLQALAEQHVDVLKSLAPSVRKRVDVLMEIQSQHDELEAKFFEEKAALEAKYQKLYGPLYSKRSKIVSGVLEVEGETEEREEKGVPDFWLNAMKNNEILAEEIHESDEEALKYLKDIKWCRIDDPKGFKFEFFFYTNPFFKNQVLTKTYHMIDEDDEPILEKAIGTEIEWHPGYCLTQEVLTKESSESTKPITKTEECESFFNFFSPPQVPDDDAKIDENTAEELQNQMERDYDIASTLRDKIIPHAISWFTGEAVQDEDYGASWMMKKRMTTTMNIVMKKHKTFKVAGQQGERPAECKQQ >OGLUM06G21980.1 pep chromosome:ALNU02000000:6:24618672:24624724:-1 gene:OGLUM06G21980 transcript:OGLUM06G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERARRLANRALLRRLLAAATAESPVAPSRGISTLAKGSRPRAPPRPAPHQYTTGRRPVSASALQPSDTFPRRHNSATPAEQAAMASECGFGTVDALIDATVPAAIRAPEMRFSGRFDAGFTESEMIEHMQRLAAMNRAYKSFIGMGYYNTHVPAVILRNLMENPAWYTQYTPYQAEIAQGRLESLLNYQTMVADLTGLPMSNASLLDEATAAAEAMAMCNGILKSKKKTFLIASNCHPQTIDVCQTRAAGFDLNVVVADAKDFDYGSGDVCGVLVQYPGTEGEVLDYAEFVRDAHAHGVKVVMATDLLALTSLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKAIADRVHGLAGTFAQGLKKLGTVTVQELPFFDTVKVKVADANAIAQEACKNEMNLRVVDATTITVAFDETTTLEDVDKLFKVFNGGKPVNFTAESLAPEVSSSIPSSLVRKSPYLTHPIFNMYHTEHELLRYLYKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANMHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHRARGDYHRDVCIIPVSAHGTNPASAAMCGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICMIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVITTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMTKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIESGKADVNNNVLKSAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTCRVDNVYGDRNLICTLQQGSQVAEEAAAATA >OGLUM06G21990.1 pep chromosome:ALNU02000000:6:24644841:24660241:1 gene:OGLUM06G21990 transcript:OGLUM06G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase A1 [Source:Projected from Arabidopsis thaliana (AT3G57660) TAIR;Acc:AT3G57660] MADVRPDEAASEEVNSIHFSFYNDDEIKRISVKQITKSDRVDAKNCPVPGGLLDPAMGPTNDTDTCKSCGQQSIRCPGHFGHIELAKPLFNPLLFMSLKNLLQVTCFHCHKFRLNKEQVDRYTNELELLVRGDIAHAKNLEDLGGKVLSKEDDETEATSGDKSARSERENKTWTSIQLKEALSIFSKLMKKRQKKCAHCEKKNPIIKNPIYGWLIKDTTSSSVRANAIANAKLSGDGHVNDSRETGVSGLDEELTSPGTLSRRSTNETRRISDDTIKEMVASSGKKHLLTTEVESILKDLWKKEARFCMLLCDFQQNTLSVSEKRRGYEMFFLKNLLVAPNRFRPSISSSLGIMEHPQNVLLSKVQESNLVLQQSIVASNHMEVLRRWMDLQRNVNVLYDSSKGLSKNEKNANGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNARKLQEAINNGADIHPGATHYRDNNNMYKLQAAPPKRRAIAKMLPASRGSISQPGKDPKCEFESKVVYRHLQDGDVVLVNRQPTLHKPSMMAHVVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAINIVDANKQYIGPRSGDAVRGLIQDHIIGAVLLTKLDTFLSREEYNQLVYGSVLSSTRRSGQFGKKISIIMDDDALEPVPPAIWKPKPLWTGKQVITTILNHVTKGRPPFTVEKKGRIEKEYLIPEERNGDKVKTINPSEQVLYVHDNELIKGMIDKAQFGNYGIVHTVHELYGPETAGVLLSSFSRLFTMVLQLHGFTCGVDDLLLSQESDMTREEILGKSEKHSKIVHINFTRPKKDDKAEAKAEDIRPKEGDEAEDTRPKEGDEAEDTHPKKDHEAEDSTHPKEDHEAEDSTHPKEDHEAEGDDGDDEDQMKLQMEVEKIIRRNGESATVILDRNMSSELNTLTSKVNKKVFPYGLRKPFPGNCLSLMTQTGAKGGLVNMTQISSLLGQQELEGKRVPRMISGKTLPCFPPWDTSSRAGGFISDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKSLESLKVSYDHTFCYGEDGVDVLKTSFLDDKFRELSDNRRALLGKLDSHNDKHLLLNPNGYISELPEKLIENAMEFLKSKRNEKGRYVIKEKELMKLLKVKYISSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKELLMTASAKISTPFMKCPLLEDKTWDDDEEEMDDKLKKARDAERLAAKLRTIDDAERIATKLRRVRVADIVERIEVCTVPFHNNNGCVSTLYKLQLKLYPQGLYPRQSELTVEECHETLRTVFIDAMDLAISKHLDLLHKINEIQAVKSNDMESQRSDGVEESENGPTDEDNGVSDGENEDDLGADAEKWKRQEIDEMEYDDDAEKEEGFDMDSESEEDTKSKPESEDHQAKLDEELEESEEGHVLDSSNKGENSKAKQAAARLEDEMNEAEDEKAQVTIKFKKNIKWTIHYESTGLNFEVHYALQEQPHILLAQIAQRTARSVFVKACKNIDRCEVNKPKKIDNNTINTPITLQTAGVNFEVFHKLVDYLDINEVRSNDIHAMLNTYGVEAARATIIEEVKGVFGAYGIHVDMRHLNLIADFMTFDGGYRPMSRLGMGQFSTSPFGKMTFETATKFIVEAASHGESDTLDGPSASVCLGKPVKVGTGSFGLLQNFSLEQPVAM >OGLUM06G22000.1 pep chromosome:ALNU02000000:6:24686019:24691692:1 gene:OGLUM06G22000 transcript:OGLUM06G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTQDTTTLPLHLSLFITVVCLLLHPLCYLLFSFSSYLELAPYYQSSSMGDPYTNFLRGYHHHHRSHHPLHFPPPPPPPPPPYAASFSGLYSSYLHPPPPPSSPPIREALPLLSLTPSTTHDDDHHHRRHDQDHHHHHKQGQEKNSHGGGGAASCSNNDDKRESPSAAAAAADDQAAEVTVALHIGLPSPSPSDAAAAAGNQAAAAAAAEASAAGGGSSRMQVEEEGGEEEDEDEAAAAAATATLPLGCASIGIGKLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDSFDDLDADDDPSSDLDHASASASASRVG >OGLUM06G22010.1 pep chromosome:ALNU02000000:6:24703978:24704322:-1 gene:OGLUM06G22010 transcript:OGLUM06G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRGYGSTVTGRGRVAPGGGQRQSPPPLPVMRRVGQPRHARDFTPLLWCRSLTTIALCFGRRRSDRCQSSATVPNPPRYHQSASGPLLPPPSPLAGGSGSPDVEGVALADFF >OGLUM06G22020.1 pep chromosome:ALNU02000000:6:24718967:24721525:1 gene:OGLUM06G22020 transcript:OGLUM06G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHYKTPGSPERNRAEEKKKKRASPSSKEAMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPITVGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >OGLUM06G22020.2 pep chromosome:ALNU02000000:6:24719894:24721525:1 gene:OGLUM06G22020 transcript:OGLUM06G22020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSVMQGSPERNRAEEKKKKRASPSSKEAMEHKETGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAKLAASSIDSIVNGGDSGKEPITVGHAEVAVAQVEVKTLVAQPAEIAGPSEGVTVNPKGREGPNRCSTCRKRVGLTGFNCRCGNLYCAMHRYSDKHDCQFDYRTAARDAIAKANPVVKAEKLDKI >OGLUM06G22030.1 pep chromosome:ALNU02000000:6:24723205:24728837:-1 gene:OGLUM06G22030 transcript:OGLUM06G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRAAAAVVMVVAVVAVAVAKECTNIPTQLSSHTVRARLQSSSAAEWRWREEYFHGDHLNPTDEAAWMDLMPLAAASASEFDWAMLYRSLKGAAVAGDEGGGGGGGGFGFLEEVSLHDVRLDMDGGGDGVYGRAQQTNLEYLLLLEVDRLVWSFRTQAGLPAPGKPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNGTLAGKMAAVVDALHDCQAAAGTGYLSAFPAEFFDRFEAIRPVWAPYYTIHKARNATQSICISTMAMNLICSCKCLNEIMQGLLDQHTVAGNGKALGMVVAMADYFAGRVRSVIQRYTIERHWTSLNEETGGMNDVLYQLYTITKDQRQLVLAHLFDKPCFLGLLAVQADSLSGFHANTHIPVVIGGQMRYEVTGDPLYKEIATFFMDIVNSSHSYATGGTSVSEFWSNPKHLAEALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKAVSYHGWGTQYNSFWCCYGTGIESFSKLGDSIYFEQKGDKPGLYIIQYIPSTFNWRTAGLTVTQQVKPLSSSDQYLQVSLSISAAKTNGQYATLNVRIPSWTSMNGAKATLNDKDLQLASPGTFLTISKQWDSGDHLLLQFPINLRTEAIKDDRPQVASLNAILFGPFLLAGLTTGDWDAKTGGAAAAVSDWITPVPASYNSQLVTLTQESGGKTMLLSTVNDTSLAMLERPEGAGGTDAAVRATFRVVPPGSRAELRQRAGAGAGEGAARLKVAAATIEPFGLPGTAVSNGLAVVRAGNSSSTLFNVVPGLDGKPGSVSLELGSKPGCFLVAGAGAKVHVGCRTRGGAAAAGFEQAASFAQAEPLRRYHAISFFASGVRRSFLLEPLFTLRDEFYTIYFNLAA >OGLUM06G22040.1 pep chromosome:ALNU02000000:6:24730641:24734694:-1 gene:OGLUM06G22040 transcript:OGLUM06G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSLAGGAAAAVVVLAAAAAAFLSLLDGAAALHLCTDRLFNGTQGRHSDGLPHLNQAEEATWMGLLPRRAGPRDELDWLALYRSITRGGGDVGGEPAGFLSPASLHDVRVDPYGANMYWQGQQTNLEYLLYLDPDRLTWTFRQQAKLPTVGEPYGGWEAPDGQLRGHFTGHYLSAAAHMWASTHNDALREKMTKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKWTKEGKYADHYERLLINGIMGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSHFEVSIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGDFLSVTKLWGDDTLSLKFPITLRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHAAAVAGWVTPVSQSLNSQLVTLTQRDGDAQAAAAFVLSVSIADGALTMQESPVAGSDACVHATFRAYHSPSGASAIDAATGRLQGRDVALEPFDRPGMAVTDALSVGWPGPATRFNAVAGLDGLPGTVSLELATRPGCFVAAPTTAYLAGAKAQVSCRKPTAAAAGEDDDDTAFRRAASFTQAAPLRLYHPLSFSATGTDRNFLLEPLQSLQDEFYTVYFNVLTK >OGLUM06G22040.2 pep chromosome:ALNU02000000:6:24732541:24734694:-1 gene:OGLUM06G22040 transcript:OGLUM06G22040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSLAGGAAAAVVVLAAAAAAFLSLLDGAAALHLCTDRLFNGTQGRHSDGLPHLNQAEEATWMGLLPRRAGPRDELDWLALYRSITRGGGDVGGEPAGFLSPASLHDVRVDPYGANMYWQGQQTNLEYLLYLDPDRLTWTFRQQAKLPTVGEPYGGWEAPDGQLRGHFTGHYLSAAAHMWASTHNDALREKMTKVVDILYSCQKKMNTGYLSAYPESMFDAYDELAEAWSPYYTIHKIMQGLLDQYTLAGNPKGLEIVVWMTDYFSTRVKKLIQEYSIQRHWEAINEETGGFNDVMYQLYAITKNQKHLTMAHLFDKPCFLGPLGLHDDDISGLHVNTHVPVIVGAQKRYEVVGDQLYKEIATFFFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNLLKLSHPFHQTR >OGLUM06G22040.3 pep chromosome:ALNU02000000:6:24730641:24732417:-1 gene:OGLUM06G22040 transcript:OGLUM06G22040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQRGKEPGVMIYFLPMGPGRSKSISGMPTSGLPPKNPGGWGNANATFWCCYGTGIESFSKLGDSIYFLEEGEIPGLYIIQYIPSTFDWKAAGLTVKQQAKPLSSTDSHFEVSIFISSKGDARPANVNVRIPSWTSVDGAIATLNGQKLNLTSAGDFLSVTKLWGDDTLSLKFPITLRTEPIKDDRPEYSSIQAVLFGPHLLAGLTHGNQTVKTSNDSNSGLTPGVWEVNATHAAAVAGWVTPVSQSLNSQLVTLTQRDGDAQAAAAFVLSVSIADGALTMQESPVAGSDACVHATFRAYHSPSGASAIDAATGRLQGRDVALEPFDRPGMAVTDALSVGWPGPATRFNAVAGLDGLPGTVSLELATRPGCFVAAPTTAYLAGAKAQVSCRKPTAAAAGEDDDDTAFRRAASFTQAAPLRLYHPLSFSATGTDRNFLLEPLQSLQDEFYTVYFNVLTK >OGLUM06G22050.1 pep chromosome:ALNU02000000:6:24735431:24739807:-1 gene:OGLUM06G22050 transcript:OGLUM06G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13770) TAIR;Acc:AT3G13770] MLAARGLRRPEAAARGLALQRCFVAPLRAASGMALPGASARFHEYEAAITACIERRALREGRQVHALMITARYRPAVFLGTRLVTMYVRCGALDDARNVLDRMPERSVVSWTTMISGYSQTERHVEALDLFIKMLRAGCIPNEYTLATVLTSCSGPQSIYQGKQVHSLLVKTNFESHMFVGSSLLNMYAKSENIQEARRVFDMLPERDVVSCTAIISGYAQKGLDEEALDLFRQLYSEGMQCNHVTFTTLVTALSGLASLDYGKQVHALILRKELPFFVALQNSLIDMYSKCGKLLYSWRVFDNMLERSVVSWNAMLMGYGRHGLGHEVISLFKDLHKEVKPDSVTLLAVLSGCSHGGLVDEGLDIFDTVVKEQSALLHTGHYGCVIDLLGRSGRLEKALNLIENMPFESTPSIWGSLLGACRVHANVHVGELVAQKLLEMEPENAGNYVILSNIYAAAGMWKDVFKVRKLMLEKTVTKEPGQSWIILDKVIHTFHSSERFHPSKKDINAKIKEIFVDIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMNTPPGLTIRTEDWSMIFLIQVIPLELHRDDLLMAILFITRSPIRSQKLHTNHLTFTSKGKNNMI >OGLUM06G22060.1 pep chromosome:ALNU02000000:6:24743707:24746433:1 gene:OGLUM06G22060 transcript:OGLUM06G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein gamma response 1 [Source:Projected from Arabidopsis thaliana (AT3G52115) UniProtKB/Swiss-Prot;Acc:Q9ZRT1] MNANVLGGDVAADDFNYFSGVSTIFVANIQEVKDRVSQIELLFCSQLFPHVQAMWKAAKDAWMEREAALLSQLEELSSGKRHAEEKALQLGCSLDEMKGKLADAERLVAGHEVEKKQLLGRLEEEIGNKDEVIRRLEREIAEKAADFSRERDAHQRLLQLVELKDKNLLLEQNKRRDAEEMALQLGNSLEDMKGNFERLIARHEVEKEQIPGRLEEEMGKKDEVIGRLESEIAEKAADVSRERDAHQRMLQQVELKDKDLLVEQNKRKDLIEDYTKLKTLYKDLKSQYNFLVGKIGQNEGSKSPVVHVVDRKTSGSPPSKRKLKDLVDTKKENNQAVSKTVDEKNGPASSAKAQGTHHASSVRSPFSNSRLCLPSRTTNPPPKNATSNSKTEAASSFTRPSLHWRETHARKEPGVVDPHDDFLDTPLEAVKNMIRNPKTPEEAQALAASPPKDMDFNNSDDETQDVNIATQGQKNMPVPKQQSTISIQPPNKGFKYTEPVRKKADRENLKGVECKQCKKFYDAVLPDGRANGDGVDSTSMRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >OGLUM06G22070.1 pep chromosome:ALNU02000000:6:24750906:24756565:-1 gene:OGLUM06G22070 transcript:OGLUM06G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHSPPPPPPDLSSAVGEIHPPLASAARSSSNGGGSGGSFTALLGLPTSQAMELLLPTAAASAPPPPPAFPADPHLVDRAARFSAFASPSPPSPPPPPPPPPSSSSKRKPDPASKGKAAAKKGKTAASGEDGGDGGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDNFLTTECGRITGLNYKNGMDLEQVTWPDMGVHGARNLMQLQQQFWHGDLAHPLQPPSQWEKRTDTNPPVFSNSSSSLFGYDLASSGAPAQTGNKLKTEL >OGLUM06G22080.1 pep chromosome:ALNU02000000:6:24760429:24762087:-1 gene:OGLUM06G22080 transcript:OGLUM06G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQLVARGLFKPLLLFVAGLIVLYALRRRRHRRSSGLRLPPSPFGLPILGHLHLLAPLPHQALHRLAARHGPLLFLRLGSVPCVAACSPDAAREVLKTHEAAFLDRPKPAAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHVRREEVARLVGSLRASADGGERVDVDAALMGLTGDIVSRMVMGRRWTGDDNDAEEMRSVVAETAELTGTFNLQDYIGVFKYWDVQGLGKRIDAVHRKFDAMMERILTAREAKRKLRRQAAADGEDDEKDLLDMLFDMHEDEAAEMRLTRDNIKAFMLTTVEHLKNSMIDRDLVCQDIFAAGTDTTTITLEWALSELINNPPVLRKLQAELDAVVGGARLADESDIPSLPYLQAVAKETLRLHPTGPLVVRRSLERATVAGYDVPAGATVFVNVWAIGRDAAWWPEPTAFRPERFVSGGGGGGTAADVRGQHFHLLPFGSGRRICPGASLAMLVVQAALAAMVQCFEWSPVGGAPVDMEEGPGLTLPRKRPLVCTVSPRIHPLPAAASASLT >OGLUM06G22090.1 pep chromosome:ALNU02000000:6:24771584:24777143:1 gene:OGLUM06G22090 transcript:OGLUM06G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRGDCSSSNEEKKTCTRSGKKAFKEESGSLIDYSEGAWSGLSQEVISNLSETVVSVASFNGDQTHFSGTGIVVRNKDEVTTSILTSANVIRCFDDDSKINPFLDIRVLLPNKQRVIGWMGHFDLNYNIAVVVIKYLPGLRAASFDHKVRFESQSKVVAIGRSFNSSKLMALSGIVTDGPTDYPEHLMISTCKITKDMAGGPLIDLDGNFVGMNFFSEERTPFLPRNKIYRSLVRSCTLWYLKRSGKKKEPGANRTSDEENESETQKLPEYSTSDLEGGMRLKNTFEEEFVEDTWCKLISKKVALNTSRSVFIDFNGSTSRVVTSASLVRMSANENKIADNLKIKVYLPNKRIAVGELQHCNLSYNIAVVSVKGFRCFRTAELDNQMQIEPHREVVAIGRTFESGKLMATSGILSDEESKLDCRELMISTCKITKAGIGGPLIDFDGNFVGMNFYGTKETHYLPRLMIQRLLKDFDGTDGEKTIDNVACA >OGLUM06G22100.1 pep chromosome:ALNU02000000:6:24780214:24782697:-1 gene:OGLUM06G22100 transcript:OGLUM06G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTGGHHHDAHHEDFQLKDTNPLLGEQWPKGAAGPARPAVGGGIAGWLGLEKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGSPMDPYVEVKLGNYKGTTKHYDRRANPEWDQVFAFSKSRVQSNVLEVYLKDKEMLGRDDYVGRVVFDLAEVPTRVPPDSPLAPQWYRLEERRGGGGDGAGGGGGLKVRGELMLAVWIGTQADEAFPEAWHSDAATVRGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQARGRAPEVFVKAQVGNQILKTSVVAAPTLNPRWNEDLVFVVAEPFEEQLVLTVEDRVTPRKDDLLGRAALPLALFEKRLDHRPFVQSRWFDLEKFGIGGAIEGETRRELRFASRVHVRACLEGAYHVMDESTMYISDTRPTARQLWKPPVGVLEVGILGAAGLQPMKNRDGRGTTDAYCVAKYGQKWVRTRTMLGTFSPTWNEQYTWEVFDPCTVITIGVFDNNHLGNGNGNGNNAGGGGGGSPPARDARVGKIRIRLSTLETDRVYTHAYPLIVLQPSGVKKMGELRLAVRFTCLSLMNMVHLYTQPLLPRMHYLHPFTVTQLDALRYQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFADVCHWKNVATTALVHVLLLILVWYPELILPTVFLYMFMIGLWNYRRRPRHPPHMDTKMSWAEAVHPDELDEEFDTFPTSRQQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLGWRDPRATCLFVVFCLVAAVVLYVTPFRVVALVAGLYLLRHPRFRSRLPAVPSNFFRRLPSRADSML >OGLUM06G22110.1 pep chromosome:ALNU02000000:6:24786263:24795853:-1 gene:OGLUM06G22110 transcript:OGLUM06G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLAAVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPAAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >OGLUM06G22110.2 pep chromosome:ALNU02000000:6:24786263:24795967:-1 gene:OGLUM06G22110 transcript:OGLUM06G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMFAAAGGATDGDGETRRRRRNPEGYDMPSDLDQALLLYFDGQEQDKPSTQEEPHKPLNFVKETLNIFPSQPMDGEPTPTPKASMSAPPIAGFSRRSPAPAAADGRPLTLGKTSKAAFKAYIQQLETGRIRLAHLEQEIQFTRAQGAFCGAGILSPDAALFNLEYERWQEAHHQVISRLRAAVEEHRPDGELQPHVDEAMSHYGVLMAHKARLVGADPLHLLSGLWKGAVEQCFLWIGGFRPSELIKVVVRHVEPLTEQQLAAVYSAQQAARQEEDALDGGLQALLRSLSDVVSSSDAPSSSQQTPPVMYHPAAAAAMAAASFMGQYGSYSNLQLAMDKLANLAIFLRQADEERMRTLHALRRMLTVRQAARCFVAVDDYFGRLRALALFWTTTRPHPAAG >OGLUM06G22120.1 pep chromosome:ALNU02000000:6:24795852:24804037:1 gene:OGLUM06G22120 transcript:OGLUM06G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTEILNPNIYLRLLLFHRRLVSPSPSVAPPAAANIMLDTSQPPGPVVARRICPSIVTPPRRRRRAGRIAVGGDIAVDVAEGRGTAAALASLAISGTHMSAVSNSSLLPHHDSLQETGKEKSRSGGEAIESSSKINGKTSSTWWLPNSEKTPAIT >OGLUM06G22130.1 pep chromosome:ALNU02000000:6:24804178:24804522:1 gene:OGLUM06G22130 transcript:OGLUM06G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSPCRSLSVLMLQYNPTLGDIPSGFLLGRPARSSPRADGRRAPPRARTAGEILHTRGWPATWRGCLVLTTPSQMMPRRPPASSACSSRAAPPRAPRPADELVPRAPSSPPC >OGLUM06G22140.1 pep chromosome:ALNU02000000:6:24804757:24813002:1 gene:OGLUM06G22140 transcript:OGLUM06G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPHLYTPPVSGTASHLLDPSNYPSQKKLKKRREKCYYTRRGRDSPSPSLSPSPPFPLRSPLFSLSLSLSLSLYPAMADEPLDAAAEAETPATAAAAAAASPALLLRPRRVAFEHGLLPIPKLVFPEGTLTQTLAQTKERLIAAAGGDGDASAAPRVGAAALAEALQIPRELAALVLGTLAAVLPAEEEAEDADLRDVLLFLYIQSYKRLVPRAHKDSPAVTDVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEAHQLSYLQKHMVNILSLLADSVDGEGDESMVLTAETFEHLGFLLQFSEGTPLSQVATFFANSDPDMPAAPVPAAQVHDWILQNIAASLENTAEKLTAKENSQQSASDPDVTMAEAVTNSRIHSSSPTGTAVPNNQGHYRNTTFLEGFSKTSVVKQASDIKGHSIKVLNCHDSVIYILAPVKYATVYGCSDTTIVLGAVGKVVKVEHCERVQIIAASKRICIANCRECIFYLGVNHQPLIVGDNHKLQVAPFNTYYPQLGEHLVQVGVDSNINKWDQPFVLGVVDPHDSLSHPAGVSDVQAESATCLDPDLFTNFLIPSWFEAQGPTKYNPFTLPEVYWASQRKKHVSLKDIQKNIRELELDDTRKKELASALHAQFKDWLYASGNIRQLYCLQGE >OGLUM06G22150.1 pep chromosome:ALNU02000000:6:24841096:24842129:1 gene:OGLUM06G22150 transcript:OGLUM06G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRRRRPPPRALPCPAHLLPHPQALSARFSSPPVAAVTLFCVGLHGAATTVASARKRPMDQWWEHLARTHSLKNQTLACDGKQARGCQMAIDLILPLSQPSTTSGRFVLLPGGLLFTAVCLLLNEAASKYCSKLKVVVVWCDEEARKLMIFCTIGTGHSFCIQIH >OGLUM06G22160.1 pep chromosome:ALNU02000000:6:24841203:24841397:-1 gene:OGLUM06G22160 transcript:OGLUM06G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEREGEAVAGETPSPHPNPTLRHPVPKSPCSTGRGVMEGAPREEEGTGEREGKAVVGEEKPGR >OGLUM06G22170.1 pep chromosome:ALNU02000000:6:24862746:24863784:1 gene:OGLUM06G22170 transcript:OGLUM06G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMDPVARGAPDRSIRLHNSEGKEVVMVEEVDLAVATTMTTTTIMMMTVAAMMTTTAGPQEGSSGSASTLPSPRSGKGVGGGLRPRRWIWRLEVEEDGDGPTSASLRLDGGCCPIKMRVTVAVDNDVQ >OGLUM06G22180.1 pep chromosome:ALNU02000000:6:24864740:24864967:1 gene:OGLUM06G22180 transcript:OGLUM06G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSLDPMAGGVERSATANTSTSFVATSHHLALRASLLHHRCQIRRMGKGGRRGGGGRATTKSLLNLCTGHLSP >OGLUM06G22190.1 pep chromosome:ALNU02000000:6:24865711:24876758:1 gene:OGLUM06G22190 transcript:OGLUM06G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARGVDKVPATYPSTIYDVRTAIQSCIKPPTTQGAAPEILLRKGGSRWANICKYSQAS >OGLUM06G22200.1 pep chromosome:ALNU02000000:6:24989744:24989983:1 gene:OGLUM06G22200 transcript:OGLUM06G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFHLQTLIRKRGHRPGTCVQVSVIQSDRDVNNKVGTDQITAAHRCATLLAKDAVAVLLRIRGDVLKLLAVQAQRKPY >OGLUM06G22210.1 pep chromosome:ALNU02000000:6:25025244:25026502:1 gene:OGLUM06G22210 transcript:OGLUM06G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGDNVSMTRQRSGGGSATRRRSYGAQVAELGQWHSQGYNGQIWSGVEGGGSAATGPWEQGSQSAMGKGGKGN >OGLUM06G22220.1 pep chromosome:ALNU02000000:6:25032425:25065235:1 gene:OGLUM06G22220 transcript:OGLUM06G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYRLGLLDLHPHAGLGLARGFGEHGGGDTVGEKEHLELDLACKGCSCLMCPIPISPYVSEASSAGMQPGRAKRLESGANISHEEEARGMQTCHHKIVAIREITICKTRFMRLASPEIWKDRLRGGTRNAYLPPEDSYNVQDYTKQRRSIGGHWMCNQFPNLLPSPPSAQGTGGTRLAEQAARAGDRPDPCRTDDDEEKEAPKSGEGRRSRRRWAQERQQPRVAGSGAAVATTTTTTGAAAARRLERRRHDVGDDEDDSDHERWQPGVYNLTAQGNSIIPCGPLNPTLLSGRAHNVCQNVQYKGKTAAGERRGTRNSNLDRNQWRSHRGCRGGPGTPIPMRCKPHPRRRHQECKPVTMIFKQAI >OGLUM06G22230.1 pep chromosome:ALNU02000000:6:25082546:25085755:-1 gene:OGLUM06G22230 transcript:OGLUM06G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAAPSSSPFVSSRRLSSPAASLRVRTPRCVMGSEQVRVVVEEEGKTKKRMGVAEPRSAPPAVWTPRAPAQEARLAALRTDGRDSRLKIFSGTANRPLAQEIASYLGVDLGKVLIKRFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFVMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLSANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIHGQPVILDYLASKTISKDLVVVSPDVGGVVRARAFAKKLSDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACSTHAVFSPPAIERLSGGIFEEVIVTNSILLPEHKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >OGLUM06G22240.1 pep chromosome:ALNU02000000:6:25127807:25130589:1 gene:OGLUM06G22240 transcript:OGLUM06G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVEAEAEGRGGEPVTPGTPAPDLSQATDDRRLLRSRYLAVKSRISDDKDDMARADSVKFRAVFTRVEDLHRLVQRPREQIADAEALLDIATSLVASVRTHSALGITPSDFVSGMLKKFGEKRRDDDEAASLRWLDVGLYTSRIFMAVPGCSTMVGPMNTEVFPRRVRVCRKRTAKPRGSECPEQLPDSSNAAKTDTDRNMSVIFDVLRKKKNARLENLVLNRKSFAQTVENIFALSFLVKDGRVEISVNDEGHHLVYPRNAPAASAITSGKVVYNHFVFRFDFKDWKLMKDMVVDGEELMQHRPPAPQVGTQGSSSSSTTTTTGGANEGPETETPAAPAHSTAIRKLCRNRGLVMHDMQEEAATTTTTGGRTMEEKRSAMDAQVSQLASKRRRLFQDDDDDDGDLE >OGLUM06G22250.1 pep chromosome:ALNU02000000:6:25131438:25134092:-1 gene:OGLUM06G22250 transcript:OGLUM06G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGKRAAPEGTNGAAKRARGVNLKVKLIKLWILDIIVLYHLPFFFFLRSTSGCPFGSSCHFLHNFPGGYQAAAKMTSHGGTAVAAPPGRMPLGPGAPNGPPTSSVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMLLDNSMPHPMGSMPFEAPPMPGPDIVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRMTGAKLAIRDNESNPNLKNIELEGTFDQIKHASAMVTELIVRISGNAPPAKNPGRGSHAGGPGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPPAAA >OGLUM06G22260.1 pep chromosome:ALNU02000000:6:25136665:25139904:-1 gene:OGLUM06G22260 transcript:OGLUM06G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein N-terminal asparagine amidohydrolase family protein [Source:Projected from Arabidopsis thaliana (AT2G44420) TAIR;Acc:AT2G44420] MLLVDGEPVSASASPTSGSSRGGSGGRELVAALMGNPGLRAASERLTAEPERRISSVEAEAAAAPRHVYVFQREFATVDPARVELVGTDEATTCVGVVIRNNKTGMTSISHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFDDVSTKVVHSAGRKHIKQEGYSYPLCCRILEVLHKSRQQFHLRTFCVLGSNTTTDSYGNTRPIIGGFVVETSSGVVSPASFEMNSRCPDEIVRRIRVSVSSYDPNWQGRLLETYDTHSDAFEIAPACWMPDWAEMASSLNQLSDSEVLLQCSTSPAAEPPHFVENERRIWRYLIENPYWQDTFPKYKPRVFHRTSDGRWSRYSIVSSS >OGLUM06G22270.1 pep chromosome:ALNU02000000:6:25145508:25147892:1 gene:OGLUM06G22270 transcript:OGLUM06G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGSRRWACVRVMAGTILGGALGFYVMHRLETSHKAKMEERLRRYEARMSMAAKGKEEEEAQQRLQDEAAPQHKDQARLLPDS >OGLUM06G22280.1 pep chromosome:ALNU02000000:6:25149216:25157723:1 gene:OGLUM06G22280 transcript:OGLUM06G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Rgp1 (InterPro:IPR014848), /.../globulin E-set (InterPro:IPR014756); Has 144 Blast hits to 140 proteins in 61 species: Archae - 0; Bacteria - 0; Metazoa - 86; Fungi - 10; Plants - 39; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G50120) TAIR;Acc:AT1G50120] MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAESGEDAPSLLVDGLSFEIKGIEKLDSQWFSVPKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTCPKCLILAQYQTHILEARVPLQICVSQKSSNLLNEEADHVVPVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSSSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >OGLUM06G22280.2 pep chromosome:ALNU02000000:6:25149216:25157723:1 gene:OGLUM06G22280 transcript:OGLUM06G22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Rgp1 (InterPro:IPR014848), /.../globulin E-set (InterPro:IPR014756); Has 144 Blast hits to 140 proteins in 61 species: Archae - 0; Bacteria - 0; Metazoa - 86; Fungi - 10; Plants - 39; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G50120) TAIR;Acc:AT1G50120] MSLKLPIPQGLSFLRSVGWFEERKADAAAARQRHSPRLKLQTDREVYRPGDSVTATIEICTPAGLKDDAASAESGEDAPSLLVDGLSFEIKGIEKLDSQWFSVPKPLPGSKQRRGEHLFLDCSAPSLIPKVIIASGQTKTCPKCLILAQYQTHILEARVPLQICVSQKSSNLLNEEADHVVPVPVNFPLPIEQPDIFWREKDEDSEWSKANDNTDLEEGYDSSKDEVSSVSSYNKANPESSLRSSVSMHSLSSRLSTSEPLYSRERPSFPSYSPIPRLSVSEISDDHGGGVVSPQRKLNHLLSDHHPSNGQMFSLDPDRSNDDAGPPLTPKYVEPAGSEGFMRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGSGTRRCLEVSVTLETSETVNPRVIHPSRRSSSSITKVHSEHYEVVADLHQTSFLFSVPIDGPMSFSTSKVSVQWSLRFEFFTTPEGIDSSRYEHPLLVEKREKGDWVLPITVYAPPLRRQAIHGRNDRSVLVGNLFNS >OGLUM06G22290.1 pep chromosome:ALNU02000000:6:25161588:25162151:-1 gene:OGLUM06G22290 transcript:OGLUM06G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECSYNSMSPSTPLPPPPSAAAAKKGSPEAAAAHKERPKIRIIHIIAPEIIKTDVANFRDLVQRLTGKQQQQQQESAETTLPPPSPVAVLDKKKEKVTTKKRPAPAEDESMMRKKKKKIKCEVKVEEGHGFGYDHLDHTDLWMDLNPGGFLSFLEEEDVFQGMAADLFQSPLGSSRMDFVGEMYAS >OGLUM06G22300.1 pep chromosome:ALNU02000000:6:25180040:25186862:1 gene:OGLUM06G22300 transcript:OGLUM06G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELTVTGWFLSPIIREMQDTALSYIRGQFSWEKDQEKDLERLDTILTEILAIVDAIEKREIKDGNQRKLLRKLKDAIYSAVDVLDSFQYMALKSKVDSQAMVSRVTSSCVYLGKRVVGTDKFRRKLTDMLKKLDEVKTTADTLFKLVSFDSATAKLLPVTQARVTSPLKEENHIYGRKDDLDRLRDLLLMQSDSSAPGPSNSCVPVISIIGVGGIGKTSLAQLAFRDERIRASFGLRIWVCVSDIYDETTLARDILESVTGENYRSVTKLDELKNVLQEKISQKNFFLVLDDVWYDENRTNWENELVWDGVLSTLDTGLGGSKILVTTRTNKASELLRAGACLQLGGLNRDDYWMLFKSCAFGEKHPGLFQELKEIGMQIAERLNGLPLAAKVIGRLLNVDLDSSHWKKVLESDISGDVMKVLRLSYQHLPIHLQLCFSFCSLFPKNWRFDPRRLTDMWISQGFVQKEDESDNDMNVEDVAKGYFNDLVQRSFFERSLLDLPIEYVMHDLINDLARNVSKDEYTRIESEKQKEIPPNIRHLSISAHLWAGMKKTEMKNLRTLLVWSKSWPCWKLSLPNDVFKKSKYIRVLDLTGCCLERLPTSVKNLKHLRYLAFRVPEKPLPTALVQLYHLEVLVTRGHSCRGSECFQLPTNMKKNLLKLRKAYLFNVGGATISGFGGQTILHGPGEFHVKKESGHRLGELKEMNNIRGRLSVRFLENVEHQQQAVDAHLDCKEHIKHLQLEWSDLPRPITSELDPYVLEALRPHPDLERLNITGYKGERSPSWFETNWMKALTSIVLQNCMGWVQLPPLGQLPLLEDLVLRNMHAVKQIGQEFYGNGDTKGFPKLEEIVFDGMPNWEKWSGIEDGSLLPCLTRLYIAKCPKLQEAPPLNARPKVEVAITSDSLPSLCLFDSLMASASYLILLVNCCSFLSSLNTDQLSHVEELNVKSCTDQMPACGFIGLSSLKVLRISNCSALLSSVCVEAGEELDTYFFPQSLSELEIVDCNIQSSLLPRYLQGLTNLSTLMINSCDSMDLLSLAYGPHHLTSLEAIIIKDCIFLSSLDGFENLIALRKLVVADCKNFCFLPADLNALISLKTLAIYGCPKMKFLPQNGVPASLQLILLSLLHPELDRQLQRREGTEWDKIAHVPEKKLEVELIDLLTLFPSSSF >OGLUM06G22310.1 pep chromosome:ALNU02000000:6:25185984:25189335:-1 gene:OGLUM06G22310 transcript:OGLUM06G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALADILRRLPPRSLAAARCVCKPWRDLVDGRALLLPRLLPHSVHGVLINYIDHDRPHLFSRRRSRSRSRSSSPAASSGGGDIDGNLSSVPPKGDMDWWHVMDHCDGLLLCAVEWGNRLCVCNPATRRWATLPRCPESPKPIRYGTGGAYLAFDPAAASPPHYEVFLIPGLPEKPPPPPPKQKAKAITAPPFCLDSLLASLDGACWTMEEVEPPPPPSPPASSMGDADLYRLMEWPPSPYKVYVFSSRSGRWEERAIVREGGETAATTTTTVDDMEPWECPLEGPRQRFSLSNDKYQVISTPKIIGSSNFEKPYLGKSKMGVSFGFIQDLQLSIWILKESAGQMEWILNYQHDLRAVASQVHSIDFWGDQINGPWILEEDNADMHVIKHGVDILGMRAKIK >OGLUM06G22310.2 pep chromosome:ALNU02000000:6:25187486:25189335:-1 gene:OGLUM06G22310 transcript:OGLUM06G22310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALADILRRLPPRSLAAARCVCKPWRDLVDGRALLLPRLLPHSVHGVLINYIDHDRPHLFSRRRSRSRSRSSSPAASSGGGDIDGNLSSVPPKGDMDWWHVMDHCDGLLLCAVEWGNRLCVCNPATRRWATLPRCPESPKPIRYGTGGAYLAFDPAAASPPHYEVFLIPGLPEKPPPPPPKQKAKAITAPPFCLDSLLASLDGACWTMEEVEPPPPPSPPASSMGDADLYRLMEWPPSPYKVYVFSSRSGRWEERAIVREGGETAATTTTTVDDMEPWECPLEGPRQRFSLSNDKYQVISTPKIIGSSNFEKPYLGKSKMGVSFGFIQDLQLSIWILKESAGQMEWILNYQHDLRAVASQVHSIDFWGDQINGPWILEEDNADMHVNTETLGQRS >OGLUM06G22320.1 pep chromosome:ALNU02000000:6:25189433:25189948:-1 gene:OGLUM06G22320 transcript:OGLUM06G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKGSPVTIGFQVFTLTWSPISDISWASGHINYIGPKKPRDIFLFFFIYCGPGPINYAESE >OGLUM06G22330.1 pep chromosome:ALNU02000000:6:25201368:25203932:-1 gene:OGLUM06G22330 transcript:OGLUM06G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ABY1] MKSFSLLLIALLLHQSSCLLQVEAENLTAGSTLRPPHYITSPSGDFAFGFRALGSGRPDGRFLLAVWFNDAVQEKAVVWYARDPGSGSAVTATAQSVFSVTLAGQLSLADTAGSNVWTNANPGQQYGSVLVLLDSGNLQFLAAGGRAVVWESFRDPADTLLPGQSMATGAGATLVSKRSDADFSAGRFSLYVQADGNVVLYLNLAAGNVDPYNAYWATGTNQPGNTQDGNTTLFFASPGRVYYQVKDGTVHDLTTPMAKANYYQRATLDPDGVVRVYVRRRSPTSSTSTTTANASWAVAGMFPGDGCSMGTRGLDGFCGPNSYCVVSDDGRLDCACPSGYSFVDAQLRYRGCSPAFAPPRCDFVGDDVANRSGEFVIAKLPNTTWTASPYKVYSYTAEEQCGDLCLNDCFCVAALFDGTRCTKMASLTGAGRQGSNVTAKALIKVRTRSTPPAAAVARRRAPPLPYILLLGFSAFLLLAATTSLVLLHRRIRRRSSSDHDMVMRLFTRKELYDATNGFQRLLGRGGFGEVYHGVANSLHLLHSPDTDIAVKKLIVSNEYTEREFANEVQSIGRIHHRSLVRMIGYCKEREQRMLVFEFMPGGSLRSFLFHQQPRRRPPPPPWTWRAEAALAIAKGIEYLHEGCASPIIHCDIKPDNILLDDKNNPKIADFGISRLLGDEQLHTTVTNVRGTRGYIAPEWLHGDRRIDTKVDVYSFGVVLLEMICCRRCQDPITSQLHQDDNGDCDDDTVTLFGWAAGLVSHGRVEVLLRSDDDAAEDLERVERFARVAFWCIVHNPSLRPTIHQVVQMLEGVVEVHAPPHLPSYTDSSSSSFIHTDSPALRPRGSSCPVELNLI >OGLUM06G22340.1 pep chromosome:ALNU02000000:6:25216922:25217356:1 gene:OGLUM06G22340 transcript:OGLUM06G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECMANATVVFFFLAAAAVISCHAARAGKSTATAAAAGDCKLSDITVTAARTGKVVEGQPEYEVAVANGCACPQNGVRVSCPGGGGGGVPSVEPVDESKIRADEAGLCLVNDGMPVAKGSPVTFVYAWKQPLEFAAAQATSRCS >OGLUM06G22350.1 pep chromosome:ALNU02000000:6:25218179:25220916:-1 gene:OGLUM06G22350 transcript:OGLUM06G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >OGLUM06G22350.2 pep chromosome:ALNU02000000:6:25218179:25220916:-1 gene:OGLUM06G22350 transcript:OGLUM06G22350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNNDLGGLGGRPATSQANPFESALYGAGPGLIRSGLGAYGEKFLGSSSEFMQSNITQYLSNPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPIQDINAPDLYIPLMAFGTYVVIAGYALGVLGRFTPEALTLQFTKGLLGWFLQVILIRVLLYTLGSGEAPLLDIVAYAGYGFAGTSLAMLVRVFWSPSYYFVLPWFSICTGVFLVKTMKRVLLGAPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >OGLUM06G22360.1 pep chromosome:ALNU02000000:6:25221398:25221682:1 gene:OGLUM06G22360 transcript:OGLUM06G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGRKREWIRRKVAAAAAGSGSPRWSRRVRAVSTAVLHRRRRLGLGLGLGLGLGLGLGVRVYENVVFYVLWVVESVVVLVNLCFFFLRFGFRL >OGLUM06G22370.1 pep chromosome:ALNU02000000:6:25229555:25230184:-1 gene:OGLUM06G22370 transcript:OGLUM06G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGYCKEGNQRILVFEFIPGGSLGSFIFDQPEMPPWRWRAETAIGIAKGLEYLHYGRSSTVTSSPTTYSWITWRIRRSLTLGIAKLLNNQQVHYTITKIMGTKGYAAPEWFVEIICCGRLPPDNHRIGTMVPLLNWVESLIEDGRMSQVSTRGGRRERAGAPMVLGSSMANSAERYARVAYMVHTGGPIYEANNTRGGAHAHGCPPPRR >OGLUM06G22380.1 pep chromosome:ALNU02000000:6:25245703:25253111:-1 gene:OGLUM06G22380 transcript:OGLUM06G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLFSVVCRVVPFLDPLLSFPSSLRDSERRRTLDFNQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHVLPEPLQWRITSSFSLGEFVVGRQKERDELVNQLLEQVGIPKSRSEGARPTSSEVITIVGTGGIGKTTLAQLIYNDKRIEDNYDLRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGSINADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCTTPFPLSGLESEDSWELFRRCAFNTRDPKEHQEIKSIGEHIVQKLNGSALAIKAVAGHLSSNFNNQEWNRVLKKGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDILVNMWIAHEFIQDHRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSILAERIDLLCACRLQRLRTLIIWNKDRCFCPRVCVEANFFKEFKSLRLLDLTGPVIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKVSLTSLVGIFHLWHLDSEEIADTSFDRANMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNVTSLVDFGCFPALQNLIIRDCPKLKELPDNGNLTTLTKVLIESCYGLVSLRSLRNLSFLSKLEIKHCLKLVALPEMVNFFSLRVMIIQDCPELVCLPEDGLPMTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAVLPSCFYAGKSMEDTEDIADEILLENDMIEWSTQTSLLHPTDSAASSSSSGSAGIGIIIRDNFGSVLLSSWKYIRHGASAEELKLLACRGGLALATEWESIWNNRDRGVPAPAANEVALAAPDIAAAAAASRNSIETHQGIHQLGFIYGHRSH >OGLUM06G22380.2 pep chromosome:ALNU02000000:6:25237800:25241004:-1 gene:OGLUM06G22380 transcript:OGLUM06G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPVIFPKNLDNLSSIFYIDIHTDLLVDLASAGNIPFLRAVGEFCVEKAKVQGLEILKDMNELQEFLVISSLENVNNKDKAANAQLANKSQISRLKLQWDSSNADSKSDKEYDVFNALRPHPGLKELTVDGYPGYKSPSWLEFNWLSRLEHINIHDCTCWKLLPPLGQLPCLKELHIDTMNALECIDTSFYGDVGFPSLETLQLTQLPELADWCSVDYAFPVLQVVFIRRCPKLKELPPVFPPPVKLKVLESIICMWHTDHRLDTCVTREICLTGLLDLRLHYLESMESADISFDGAGISNDGLRDRRHNLPKGPYIPGFSDSPSTFLRITGMEFISCPNLTLLPDFGCFPALQNLIINNCPELKELPEDGNLTTLTQVLIEHCNKLVSLRSLKNLSFLTKLEIRNCLKLVVLPEMVDFFSLRVMIIHNCPELVSLPEDGLPLTLNFLYLSGCHPLLEEQFEWQHGIEWEKYAMLPSCFYADKLMEDTEDIAEEVLRENDMIEWSIQTSLLHPTDSAASSSSFLQLPAAKYNAQKEVLVLLHDMDDFCSLRFLKIDQCRQLRSLPWSGLLVSLETFIFFSGKKVQTGTRSGEEIRAPNGIHHNPTELCGFRAEDQDVVNRFVVLVAKHTPRRVRQASLAASVDPLSSNDDEPQAT >OGLUM06G22380.3 pep chromosome:ALNU02000000:6:25245703:25252146:-1 gene:OGLUM06G22380 transcript:OGLUM06G22380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLATGVGWVVSPVIKLMFEKVQSYISTQYRWQSNLDDGLKKLETILTEILLVVGTAERRRTLDFNQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHVLPEPLQWRITSSFSLGEFVVGRQKERDELVNQLLEQVGIPKSRSEGARPTSSEVITIVGTGGIGKTTLAQLIYNDKRIEDNYDLRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGSINADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCTTPFPLSGLESEDSWELFRRCAFNTRDPKEHQEIKSIGEHIVQKLNGSALAIKAVAGHLSSNFNNQEWNRVLKKGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDILVNMWIAHEFIQDHRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSILAERIDLLCACRLQRLRTLIIWNKDRCFCPRVCVEANFFKEFKSLRLLDLTGCCLRHSPDLNHMIHLRCLILPYTNHPLPESLCSLYHLQMLSVHPHSCFMDTGPVIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKVSLTSLVGIFHLWHLDSEEIADTSFDRANMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNVTSLVDFGCFPALQNLIIRDCPKLKELPDNGNLTTLTKVLIESCYGLVSLRSLRNLSFLSKLEIKHCLKLVALPEMVNFFSLRVMIIQDCPELVCLPEDGLPMTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAVLPSCFYAGKSMEDTEDIADEILLENDMIEWSTQTSLLHPTDSAASSSSSGSAGIGIIIRDNFGSVLLSSWKYIRHGASAEELKLLACRGGLALATEWESIWNNRDRGVPAPAANEVALAAPDIAAAAAASRNSIETHQGIHQLGFIYGHRSH >OGLUM06G22380.4 pep chromosome:ALNU02000000:6:25237800:25241004:-1 gene:OGLUM06G22380 transcript:OGLUM06G22380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPVIFPKNLDNLSSIFYIDIHTDLLVDLASAGNIPFLRAVGEFCVEKAKVQGLEILKDMNELQEFLVISSLENVNNKDKAANAQLANKSQISRLKLQWDSSNADSKSDKEYDVFNALRPHPGLKELTVDGYPGYKSPSWLEFNWLSRLEHINIHDCTCWKLLPPLGQLPCLKELHIDTMNALECIDTSFYGDVGFPSLETLQLTQLPELADWCSVDYAFPVLQVVFIRRCPKLKELPPVFPPPVKLKVLESIICMWHTDHRLDTCVTREICLTGLLDLRLHYLESMESADISFDGAGISNDGLRDRRHNLPKGPYIPGFSDSPSTFLRITGMEFISCPNLTLLPDFGCFPALQNLIINNCPELKELPEDGNLTTLTQVLIEHCNKLVSLRSLKNLSFLTKLEIRNCLKLVVLPEMVDFFSLRVMIIHNCPELVSLPEDGLPLTLNFLYLSGCHPLLEEQFEWQHGIEWEKYAMLPSCFYADKLMEDTEDIAEEVLRENDMIEWSIQTSLLHPTDSAASSSSFLQLPAAKYNAQLHDMDDFCSLRFLKIDQCRQLRSLPWSGLLVSLETFIFFSGKKVQTGTRSGEEIRAPNGIHHNPTELCGFRAEDQDVVNRFVVLVAKHTPRRVRQASLAASVDPLSSNDDEPQAT >OGLUM06G22380.5 pep chromosome:ALNU02000000:6:25237816:25252146:-1 gene:OGLUM06G22380 transcript:OGLUM06G22380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPLATGVGWVVSPVIKLMFEKVQSYISTQYRWQSNLDDGLKKLETILTEILLVVGTAERRRTLDFNQQALLHQLKDAVYDAEDILDEFDYMLLKENAEKRNLRSLGSSSISIAKRLVGHDKFRSKLRKMLKSLSRVKECADMLVRVIGPENCSSHVLPEPLQWRITSSFSLGEFVVGRQKERDELVNQLLEQVGIPKSRSEGARPTSSEVITIVGTGGIGKTTLAQLIYNDKRIEDNYDLRAWICVSHVFDKVRITKEILTSIDKTIDLTNFNFSMLQEELKNKVKMKKFLLVLDDVWYDEKVGGSINADRWRELFAPLWHGVKGVKILVTTRMDIVANTLGCTTPFPLSGLESEDSWELFRRCAFNTRDPKEHQEIKSIGEHIVQKLNGSALAIKAVAGHLSSNFNNQEWNRVLKKGLSNEKDIMTILRLSYECLPEHLQQCFSFCGLFPKGYYFEPDILVNMWIAHEFIQDHRHTYGSLKSTGRSYFDELLSRSFFQALQYGGTVHYVMHDLMNDLAVHTSNGECYRLDVDEPEEIPPAVRHLSILAERIDLLCACRLQRLRTLIIWNKDRCFCPRVCVEANFFKEFKSLRLLDLTGCCLRHSPDLNHMIHLRCLILPYTNHPLPESLCSLYHLQMLSVHPHSCFMDTGPVIFPKNLDNLSCIFHIDVHRDLFVDLASVGNMPYLWAAGKFCVGNTKMQGLEVLKDMNELQGFLTITSLENVKNKDEATNAQLVNKSQISRLKLQWGSCNADSKSDEQNVLNSLIPHPGLEELTVDGYPGCSSPSWLESEWLSRLRHISIHNCTCWKFLPPLGQIPSLKKLHIDRMDALECIDTSFYGIAGFPSLETLELTQLPELVYWSSVDYAFPVLRDVFISCPKLKELPLVFPPPVEMKVLSSNIVCTQHTDHRLDTCIIQKVSLTSLVGIFHLWHLDSEEIADTSFDRANMLNNGLRDSSPNLPSLEGPFIGWCSDFHHAFVRLNEMEIVDCPNVTSLVDFGCFPALQNLIIRDCPKLKELPDNGNLTTLTKVLIESCYGLVSLRSLRNLSFLSKLEIKHCLKLVALPEMVNFFSLRVMIIQDCPELVCLPEDGLPMTLNFLYLSGCHPLLEEQFEWQHGVEWEKYAVLPSCFYAGKSMEDTEDIAEEVLRENDMIEWSIQTSLLHPTDSAASSSSFLQLPAAKYNAQLHDMDDFCSLRFLKIDQCRQLRSLPWSGLLVSLETFILFGCHQALEEQFQRKEGPDWDKKRGRDPGAQWHSSQSNRIVRIPGRRSGCGQSFRRAGRKAHTSPGEAGKPRGLSRSAVQQRR >OGLUM06G22390.1 pep chromosome:ALNU02000000:6:25245235:25245525:1 gene:OGLUM06G22390 transcript:OGLUM06G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQETTSRPAGGESVEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRSLVVVGDQALDKGPEAKRRQWRSGELLAGALMRAAVAVLD >OGLUM06G22400.1 pep chromosome:ALNU02000000:6:25260589:25266157:-1 gene:OGLUM06G22400 transcript:OGLUM06G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPNSPIGWWREVRARLWLHTVEVEVNFLAHPFVVYSHFPLFESGSPPSFSLKAALHLISKKKDQGPLLLGVSCSSDLTTSQIATKKLYFAKMKDSAYDADDVMDEFDYLILKANAQQKSKLSSLASSSLAIGKRLVGQDKFRSKLRRVLNSLIRVKECADMLIKVIGAENSNSYMPPQPLQWRVTSSISPGQIIIGRQNEQDDLVQRLLREADGPEPSRGLTISPTPSIITIVGSGGIGKTALAQLIYNDRRIVSGFDLRTWIYVSNIFNKVKITKEILKSIDRNSDITNFSFNMLQEDLKNKLTAKKFLLVLDDVWYDEKIGELTNADRWRELFAPLCYGAKGSKILVTARTNIVSRILGCPAPFHLEGLKGEDSWNLFRICAFGAEDPGNYPELESIGECIVQKLNGSALVIKVVGAHLNANLNVEEWTRVMKSSSSNKEDIMQILRLSYECLPGHLQQCFTFCSLFPKGYSLEPDLLVNMWIAHKFVHGHIGTYESMRETGRSYFNELLSRSFFRELQYGHTIRYVMHDLINDLASHISRAASSHMPCLRAAGEFCVDKSKVQGLEVLKQMNELQGSLAITSLENVKSRDEATDAQLFRKSQIFKLKLQWGSSNASSKSDKANDVFDALRPHSGLEELIVQGYPGCVSSSWLESEWLSRLRHISISDCKCWKLLPSLGQIQSLRTLRIARLNAVVCIGPEFYGTAGFPSLEILEMIELPELAEWSSVDCFFPALLEVCIRGCPKLKQLPPVVLPPVRMSIYVSTEVCRLRNHNRLETCFTQEVSLSTLLDMLHLRRLEPVKCVNIIFEGANTLEDGLKDVTTNLPSLEELVIRGCSDLQHAFAASKQREEDGNGFSSASIQCLKMIGCNLTVDIFLSVFQNISFLSLWINDCNITYSTPERVLAMPKSVTGVLEKLCILSCDGLTAFMGLETFLRLSTIEIASCPKLTSVPDFRCLPALQNLIIKNCPELKELPENGNLTTLTALVVEHCNALISLRNLRDLSFLSKLVVRNCMKLMALPQMISFSSLRVLIIKNCPEVVSLPEDGLPVSLNCLYLAGCHPVLEEQFDQKNGSEWEKYEVLPFCFFADKSIEDIEEIAKEVLMADDLTRISIQGNRVHATDSAASSSSFP >OGLUM06G22410.1 pep chromosome:ALNU02000000:6:25270330:25274661:1 gene:OGLUM06G22410 transcript:OGLUM06G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin A20 [Source:Projected from Arabidopsis thaliana (AT4G38210) TAIR;Acc:AT4G38210] MSSVLLFLLLLLLSGVSLSGCIRLGNGGYEEWRMGSATYIKESLGHPLNDGGGACGYGDLDIFRYGRYTAGVSGALFGRGSACGGCYEVRCVNHVLWCLRGSPTVVVTATDFCAPNLGLSDDYGGWCNFPKEHFEMSEAAFLRVAKAKADIVPVQFRRVSCDRAGGMRFTITGGASFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVVAYSVAPPDWMFAQTFEGKQFVE >OGLUM06G22420.1 pep chromosome:ALNU02000000:6:25275655:25283995:-1 gene:OGLUM06G22420 transcript:OGLUM06G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADETLDLNEPPHENQGGGLNYVLLQKDSKNICRTKVCDIPVKVPSVWSIVRFVPTKVYQQCDFLKFSLLPEPEDDRQNIEWGKFMRFLWDNQRVAVVRFSSFALHIFPPQSHESHERPNFSHAVVMYEQKDPGDCKPMAGVSDALKRSYRSDFQSGSMNPKSYLREEICDSGPNPKEMNASRVTELHKSVPESSPCESVEDGPRILDPIVKKRTTTLAKIFVSTDPSYLRTLSQTHAGWVFGAIAELIDNSRDADASRLNISVKSLFSKKADKKIPVLSVIDDGHGMTCAETMRMISFGHKRPDKQRQDQIGRFGIGFKTGAMKLGRDAIVLTQTSSSRSVAFLSQSFNENKDNLEIPVVTYRKEGQYMEVDSSVQSEATAEYNLNAIKEFSPFNEYFIGEKLGIFGEDGTGTQIYIWNLDRWGADYTLDWSSGKPSEDPVHHGRGDILIRSRRVRLRPGQTSNNVPLDYSLQSYLEVMFLNPRMKISVQGSSVKTRPLAKTLNKTSVISGEIMGRTIQLTLGRSNVEWDRMNCGIFLYWHGRLIESYKRVGGQKHNADMGRGVIGVADITDLIDDEDGNSWVLNSKQGFQDCEMYAKLEEWLGRKADEYWDTNFDTLELRKGSERYKADHEWVQCYSCRKWRILNAGFDTKSLPDEWFCYMPPFNGKCEVAEQQMARGVIVIGEKRSEHDKRDRITQQEEVAKVNARETRSDDSQSQKFTQDEDVKDVKLISTVVNKKRKSSNGTRSMDKNNSEDKHGV >OGLUM06G22430.1 pep chromosome:ALNU02000000:6:25308288:25314123:1 gene:OGLUM06G22430 transcript:OGLUM06G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEVVEQEGGAAADKAKDIPVTSNGKTDAGRNEVAEMKVEKLENGDGHVKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >OGLUM06G22430.2 pep chromosome:ALNU02000000:6:25308288:25313878:1 gene:OGLUM06G22430 transcript:OGLUM06G22430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEVVEQEGGAAADKAKDIPVTSNGKTDAGRNEVAEMKVEKLENGDGHVKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >OGLUM06G22430.3 pep chromosome:ALNU02000000:6:25308288:25313374:1 gene:OGLUM06G22430 transcript:OGLUM06G22430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRSAPVESEAEPGADGPVDEANAVEEEKSGKGEDGIEEAADTVDSVKDSAKPEGMDAKEEVVEQEGGAAADKAKDIPVTSNGKTDAGRNEVAEMKVEKLENGDGHVKADGDSNGDNKGADGEKQLMLASAGEDVEDPVLSKLASNSFMFDYSCGGDDSGTEEEQAAFMKELERFYREKLMEFKPPKFYGEGLNCLKLVQGPLCLLWRQVTGLGGYDQLHQQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGEFQVAASNLTERITSESQVGIHASGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTVSVLKKDKTPKSSGSAKRKRTPTLEDDRIVPYKPDKLQNDSMVLDLGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >OGLUM06G22440.1 pep chromosome:ALNU02000000:6:25318981:25328881:-1 gene:OGLUM06G22440 transcript:OGLUM06G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMVDRVHGSLRLFMHRNAVFLCERLCAQFPAETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEEALCPVNEPNIEVPSGATGHYLLGVIYRYTGRVEAAAEQFVQALTLDPLLWAAYEELCILGVAEDANECFSEATALRLQQELTSTSNVEKSNFVNENRFLSSNVSASFGDSPKQIKQLHANTTAEVSGYPHVKSTALHMQNGAPSNLSQFDTPSPTSTQASGIAPPPLFRNMHAYQNTAGGNAPSKPKVNAPNLTLRRKYIDEAGLKKVSGRLFNQSSDSVPRRSARLSRDTTINSNSNISQFGGNGTDHSSGNRYHVVDEMWTDNVTSTSSSTSIVDGRYPEQEKSERVLSQDSKLAIGIRELMALLRTLGEGYRLSCLFKCQEALEVYRKLPEAQFNTGWVLCQVGKTYFELVNYLEADHFFELAHRLSPCTLEGMDIYSTVLYHLNEEMRLSYLAQDLVSIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRVAYAHTLCGHEYSALEDYENSIKLYRSALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPCSSRNEEALEMMEKAIFADKKNPLPKYQKALILLGLQKYPDALDELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADVAIIKSAMEKVHLPDELMDDDDDDDDEI >OGLUM06G22450.1 pep chromosome:ALNU02000000:6:25335697:25338040:1 gene:OGLUM06G22450 transcript:OGLUM06G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHIQALPLAMPRHHPAWAPPPPFAETFPSSFLPKQNPSISDESAIFKHTHERQPTARDKNISIAISMDVEFFDDLDLDALLASFSSSAAAAGSGVSGLFAPSPPHDAEAGSPESVSSRRPSPSREAALSEIERFLMEEGPAAEEGVGAEDFFDALLVDGGEEEEEEEGKGSEAGGSTDGDSGKENEVATPDAEKEDVEAEVDGDDPMSKKKRRQMRNRDSAMKSRERKKMYVKDLETKSKYLEAECRRLSYALQCCAAENMALRQSLLKDRPVGAATAMQESAVLTETLPLVSLLWLVSIVCLLLVPGLPNRNPVARSSAGRDLATVTGKKTSSEQQLEETLLLHGRRCKGSRARLKLDTGPFRLAAAAC >OGLUM06G22460.1 pep chromosome:ALNU02000000:6:25340001:25348975:1 gene:OGLUM06G22460 transcript:OGLUM06G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAAGEADAAARVLARALDKVIKHSSWRKHSALVAASKSALDLLSASPDVDEAASASASPIQGVAAAAADAAIRALLIALDPASPKVAEPALECVSTLLSLRLLHGEVVAVAAAGAADADDAASPVSKLFAAVVSCGGLGDEGLELAALRVLVAFVRCPSVSVSGDCLGHVVRACYNLYLGSASGGNQLCAKLALAQVLAIVFARVEADAMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEVPPSDLPAEADENGDDVGMSRIREDGLALFKNLCKLSMKFATPDNPDDPVLLRGKVLSLELLRTVVDNAGPFWKSNEMYLEAIKKHLFLSLLKNSALSAMSVFQLLCSIFVGLLSRFRSGLKEEIGLFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNYDCDVDAPNIFERIVNGLVKTALGVPAGSTTTLTVAQDQTFRIESVKCLAVIVKSMCSWMDRQLRIGEFSLISSETPGSMDNHTTNGDGSGMDYDMQPDTSSSDISDSSSLEQRRAYKIELQKGIALFNRKPSKGIDFLVRSKKIGHSPEDVALFLKNTAGLNATMVGDYLGERDDFPLKVMHAYVDALNFKGMDFGEAIRFFLQGFRLPGEAQKIDRIMEKFAERYCKCNPNAFTSADTAYILAYSVILLNTDAHSVMVKDKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNKEIKMSADSSTTQIKQPNSISKLLGLDNIINFVNWGQAEDKALGANDLLIKHIQEKFKAKCRKSESVFYTVSDATILRFMMEACWAPMMAAFSVTLDQSDDKASAAQCLKGLRFAVHITSVMCMQTQRDAFLTTIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDSSFLTVPLVESEQKNHKSSSGLSSKRTNALQNPAVMAAVRGGSYDSTVAKTSASSLVTPEQISNFISNLNLLDQIGIVELNHIFTHSQRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNVNRIRLVWSRIWKVLSEFFVSVGLLENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSDAPEVRELIVRCVSQMVLSRVNNIKSGWKGVFMVFTSAAADDTKSIVLLAFETMEKIVRDYFPYITETENTTFTDCVNCLIAFTSSQFNSDANLNAIAFLRFCAVKLADEGFGCQEKCTDEPRNLVMSDGNATVNKDDSISLWIPLLAGLAKLTSDSRSTIKRSAVGVLFDILKDHGQLFSESFWTNILESVIYPLFSSERSSSNDPTSTPSIPEDDFSNLETQTLAVKCLVGLFINFFDVMRPELARVASIVTYFIRSPYKHSASIGVSALMCLIEGVGGELSKEEWKDILLSFKESVAHTFLVFSKIVRMMQDIEIPDRFESYSENDQYSDHENYGNEEEEANMETTSYAIVKLKNHMALLLLVVQGIIKLYEEHRKYLSSDHINILLEMISAIATHASEVSSESSLLLKFHKACSLMEVSEPAIVHFENESYQTYLKLLQALFRDYPSMSEEMDIESQILRVCEKILRIYLQCAQREPSNEALHRNASIHCIVPLGAAKKEELAARTSLVLLVMQLLGNLEEDSFRRVLPWFFPLLVDLIRCEHSSGEVQHALYKIFQSSIGPMLTV >OGLUM06G22470.1 pep chromosome:ALNU02000000:6:25349343:25358579:1 gene:OGLUM06G22470 transcript:OGLUM06G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVLTMAEYKRNLRIKHGLHPFSRSQDGCYTSGGRVAAASGAQQPCDVSGARSYVTTDDDAPEPVNPAARSLVSYLGHAIAAKRSRLIVGCDGEYHRRHPVRGISTPPPARRRHLPSSPARAARRRPSPPANRLAAAAASPPPPHPAAATSPPPPPQSRLASFAAPRGRSPPHPPLAASSAAVRM >OGLUM06G22480.1 pep chromosome:ALNU02000000:6:25349579:25352522:-1 gene:OGLUM06G22480 transcript:OGLUM06G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQRSPAAAAAGGGGTPALHYLSGPYGDTTYTKVFVGGLAWETRSEGLRAHFEAYGEILEAVVITDRATGRSKGYGFVTFRDPDSARMACMDPYPVIDGRRANCNLAILGRPGPAMPFAPLRPVIPYNGGVAVPGGIPSTYGPEYMYPQNAYGSYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPGDQPYSPGYVPGHILPLSNQNAANVVRMSTVQQQYPPGKKSIFDEWIENTFARTPYILLIFLTSATFVETGAPRPQQQLLIPARAQFTPNNISEQTSG >OGLUM06G22490.1 pep chromosome:ALNU02000000:6:25360305:25367779:-1 gene:OGLUM06G22490 transcript:OGLUM06G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVICVEINRVNKESFVGGEDERILSSKKLQKLGWKFRTVEECLRDSVQSYKAAGILK >OGLUM06G22500.1 pep chromosome:ALNU02000000:6:25367821:25370482:-1 gene:OGLUM06G22500 transcript:OGLUM06G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARQTVCVTGAGGFMASSHVELLLSRGNYAVRGTVRDPGDAKNDHLRALQGAEERLQLLKADLLDYDSVASAVAGCEGVFHVASPVPSGRSTNPEVEVIAPAVTGTLNVLKACHEAKVKRVVMVSSIAAVFSNPNWPKDKAFTEDSWSDEELCRKNQDWYYLSKTVAEREAFAYAAKTGLDIVTICPSLVIGPLMQSTVNASSKVLINYFKGDRDTVENRLRNVVDVRDVANALLLAYENPGASGRYICSSAPIRVSDMINILKTLYPTYTYPKNFVDVEENTIYSFEKLQKLGWSFRPIEETLRDSVESYKAFGILD >OGLUM06G22510.1 pep chromosome:ALNU02000000:6:25376659:25377474:-1 gene:OGLUM06G22510 transcript:OGLUM06G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNGEAAGWSTYTYSPSYTNNKCAASTLPTVQTESKCGRSLGLRFHFKTGNLYIADAYMGLMRVGPGGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRYWIRGPKVGKSEPFVDLPGYPDNVRPDVKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKSI >OGLUM06G22520.1 pep chromosome:ALNU02000000:6:25377499:25377699:-1 gene:OGLUM06G22520 transcript:OGLUM06G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARPTAAATALALILVLVFSPSPAAAAARMFKTIDARRSQHLDLGGSLVGPESVAFDGKGRGP >OGLUM06G22530.1 pep chromosome:ALNU02000000:6:25380891:25381934:1 gene:OGLUM06G22530 transcript:OGLUM06G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTARPTAAATALALIVVLVFSPSPATAATARMFKTIDARRSQHLDLTGSLVGPESVAFDGKGHGPYSGVSDGRVMRWNGEAAGWSTYTYSPSYTNNKCAASTLPTVQTESKCGRPLGLRFHFKTGNLYIADAYMGLMRVGPGGGEATVLATKADGVPLRFTNGVDIDQVTGDVYFTDSSMNYQRSQHEQVTATKDSTGRLMKYDPRTNQVTVLQSNITYPNGVAIGVDRTHLIVALTGPCKLMRYWIQGSKAGKSEPFAELPGYPDNVRPDGKGGYWVALHREKYELPFGPDNHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKST >OGLUM06G22540.1 pep chromosome:ALNU02000000:6:25382713:25388869:-1 gene:OGLUM06G22540 transcript:OGLUM06G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGRTKTVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMSLDGAAERLRLFKADLLDYGSVAAAIAGCDDVFHVACPVDILAPAVTGTTNVLKACSEAKVGRVVVVSSVSAAMVNPNWPEGKAIDEDCWSDVDYCRATKNWYTLGKTLAEIEAFDYAKRSGLDLVTLCPSDVADALLLLYETPGVSGRYICSSHARRMPHIIDLLKSWYPGYKFADKFVEVSDEPQFNSGKLEKLGWKIKPFEETLRDSVESYRAAGEKTTMDDGAAAAAAAGRETTKNKKKTVCVTGAGGFVASWFVHRLLSSGDYVVHGTVRDPSDAKNGHLREMDDGAGEQRLRLFKADVLDRASVAAAVAGCAGVFHVASPAEVLAPAVAGTRNVVEASHEAGVRRVVVVSSAAAVILNPAFPRDAVLDEDAWSDEHYCRSIENWYCLSKTLAEREAWRFAADNAAAMDVVTVCPPLILGPLLQSTVNTSSSILINLIKGGGGDDEEKAATTDKRRNVVDVRDVAAALILAYENPAASGRYICSAYDIKVSEMVDIVRRFFPDINYPK >OGLUM06G22540.2 pep chromosome:ALNU02000000:6:25382713:25388869:-1 gene:OGLUM06G22540 transcript:OGLUM06G22540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGDGRTKTVCVTGAGGFVASWLVKLLLSRGCYTVHGTVRDPGDAKNAHLMSLDGAAERLRLFKADLLDYGSVAAAIAGCDDVFHVDILAPAVTGTTNVLKACSEAKVGRVVVVSSVSAAMVNPNWPEGKAIDEDCWSDVDYCRATKNWYTLGKTLAEIEAFDYAKRSGLDLVTLCPSDVADALLLLYETPGVSGRYICSSHARRMPHIIDLLKSWYPGYKFADKFVEVSDEPQFNSGKLEKLGWKIKPFEETLRDSVESYRAAGEKTTMDDGAAAAAAAGRETTKNKKKTVCVTGAGGFVASWFVHRLLSSGDYVVHGTVRDPSDAKNGHLREMDDGAGEQRLRLFKADVLDRASVAAAVAGCAGVFHVASPAEVLAPAVAGTRNVVEASHEAGVRRVVVVSSAAAVILNPAFPRDAVLDEDAWSDEHYCRSIENWYCLSKTLAEREAWRFAADNAAAMDVVTVCPPLILGPLLQSTVNTSSSILINLIKGGGGDDEEKAATTDKRRNVVDVRDVAAALILAYENPAASGRYICSAYDIKVSEMVDIVRRFFPDINYPK >OGLUM06G22550.1 pep chromosome:ALNU02000000:6:25392094:25393130:1 gene:OGLUM06G22550 transcript:OGLUM06G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTARQAATAAAFALIVFLVLLSPSPTAAATATTRMFKTIDARRSQHLDLGGSLVGPESVGFDGKGRGPYSGVSDGRIMRWNGEAAGWSTYTYSPSYTKNKCAASTLPTVQTESKCGRPLGLRCHYKTGNLYIADAYMGLMRVGPKGGEATVLATKADGVPLRFTNGVDIDQQHELPTISARASHGDQGFDRTAHEVDPRTNQVTVLQSNITYPNGVAISADRTHLIVALTGPCKLMRHWIRGPKTGKSEPFADLPGYPDGKGGYWIALHREKYELPFGPDSHLVAMRVSAGGKLVQQMRGPKSLRPTEVMERKDGKIYMGNVELPYVGVVKSS >OGLUM06G22560.1 pep chromosome:ALNU02000000:6:25397185:25397961:1 gene:OGLUM06G22560 transcript:OGLUM06G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNNKWWQAALDFPPPPPPVNVPAAAPAGAASPESKQQAAAGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHIIEVAPGADVAACVAEYARRRGRGVCLMGASGAVADVAVRGAAAPLPGRFELLSVTGTVLPPPAPPGASGLSVLLSAGQGQVVGGCVVGPLVAAGPVTLFAATFANAVYERLPLADAADVADVKPDLSSAAAAATSTSAPQEVQQQQLPLPPSSHHPQAMPATYPDHRSPPYAWAGGV >OGLUM06G22570.1 pep chromosome:ALNU02000000:6:25406335:25407126:-1 gene:OGLUM06G22570 transcript:OGLUM06G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTRQGSYGKPASSSRRRRRHHQRGRGGFRLGLLLRLRVRLSGLLGLLARSVEELRCCPGVSRISCSTVAAAARSARSRAPSSSAAAAAALCGGPHGRRAAPAVRDQSSRAKSFAHGR >OGLUM06G22580.1 pep chromosome:ALNU02000000:6:25417018:25422399:1 gene:OGLUM06G22580 transcript:OGLUM06G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGRHMHVGWEKRAVARALKQRYTLRRFSRAFWNLEGISFSLVSQENSEHPFFRKSGNLHGSQTHIEPEKVPVARDNMIFLVFYVFVVLLGEFCSSCSCAQVYVVYMGKGLQGSTENRHDMLRLHHQMLTAVHDGSLTNWMLGLSMEKAEASHVYTYSNGFQGFAAKLNKQQAMKLANMPGVISVFPNTKRSLHTTHSWDFMGLSVDAAAELPELSSKNQENEYGQNLLVSEIMECLRFLQDGEGNASGERQTHHQISPVTGGRYYLRGYQTEESGQSRSAIKFISPRDSSGHGSHTASIAAGRFVRNMNYRGLGTGGGRGGAPMARIAAYKTCWDAGCYDADILAAFDDAIADGVDIISVSLGPDYPQGGYFTDAISIGSFHATSNGILVVSSAGNAGRKGSATNLAPWILTVAAGTTDRSFPSYIRMANGTLIMGESLSTYHMHTSVRTISASEANASSFTPYQSSLCLDSSLNRTKARGKILICHRAKGSSDSRVSKSMVVKEAGALGMILIDEMEDHVANHFALPATVVGKATGDKILSYISSTRFSAKYCSYFQKGCGSTMILPAKTILGSRDAPRVAAFSSRGPNSLTPEILKPDIAAPGLNILAAWSPSKEDKHFNILSGTSMACPHVTGIAALVKGAYPSWSPSAIKSAIMTTATVLGNKRNAIATDPNGRTATPFDFGSGFADPIKALNPGIIFDAHPEDYKSFLCSIGYDDHSLHLITQDNSSCTDRAPSSAAALNYPSITIPNLKKSYSVTRTMTNVGCRGSAYHAFVSAPLGINVTVTPKVLVFENYGAKKTFTVNFHVDVPQRDHVFGSLLWHGKDARLMMPLVVKVDTAAKA >OGLUM06G22590.1 pep chromosome:ALNU02000000:6:25419513:25423341:-1 gene:OGLUM06G22590 transcript:OGLUM06G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDRWDRRKGRRPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKCLSSLEGDHAANMFNPGAAISGYRMHKISGVNEFGPLEEKAATRGASLEPKIVFAGKIIVKFDGSSAAASTDKPMKSHE >OGLUM06G22590.2 pep chromosome:ALNU02000000:6:25419513:25423341:-1 gene:OGLUM06G22590 transcript:OGLUM06G22590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDRWDRRKGRRPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKCLSSLEGDHAANMFNPGAAISGYRMHKISGVNEFGPLEEKAATRGASLEPKIVFAGSSAAASTDKPMKSHE >OGLUM06G22590.3 pep chromosome:ALNU02000000:6:25420127:25423341:-1 gene:OGLUM06G22590 transcript:OGLUM06G22590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDRWDRRKGRRPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKCLSSLEGDHAANMFNPGAAISGYRMHKISGVNEFGPLEEKAATRGASLEPKIVFAGEI >OGLUM06G22590.4 pep chromosome:ALNU02000000:6:25420905:25423341:-1 gene:OGLUM06G22590 transcript:OGLUM06G22590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLDRWDRRKGRRPPEGDHDGYAPLTRAAIPVTWGHAMEVPERMLKCLSSLEGDHAANMFNPGAAISGYRMHKISGVNEFGPLEEKAATRGASLEPKIVFAGSERACIGFGS >OGLUM06G22600.1 pep chromosome:ALNU02000000:6:25429033:25431468:1 gene:OGLUM06G22600 transcript:OGLUM06G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDAAAGGGGGGIAAGPAPALLCFDLKPFLAALTVLTLITAAWQLRSYSSVLPSPFPVCADPAALSPPRALAVRTVASSGNASVSSDPGGPPASLPEVGNKKPAAAAAADPNRREFRAVGSAAALFVQMGAYRGGPYTFAVIGLASKPTHVYGKPWFRCEWVPNANGSAAAAAARPMRAANTYHMLPDWGYGRVYTVVVVNCTFARVPNADNAGGKLVLNAYYGASPARYERIVAMEEAPGAYDAAEFRPPHRYDYLYCGSSLYGNLSAARVREWMAYHARFFGPRSHFVFHDAGGVSPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAARWTFFFDVDEYIFLPDGRSLEAVLAELEPYTQFTIEQNPMSSKLCARNPDEPETDYSNEWGFEKLVFRNSITRVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVIGEVCREFVPIPPNGGLIWSEKTPWYYDDSMKRIADTVREFERKTIGDVRV >OGLUM06G22610.1 pep chromosome:ALNU02000000:6:25441373:25448341:1 gene:OGLUM06G22610 transcript:OGLUM06G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLATLHLDLCRPWHLVQQANETFSMESLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >OGLUM06G22610.2 pep chromosome:ALNU02000000:6:25441373:25448341:1 gene:OGLUM06G22610 transcript:OGLUM06G22610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLATLHLDLCRPWHLVQQANETFSMESLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >OGLUM06G22610.3 pep chromosome:ALNU02000000:6:25442231:25448341:1 gene:OGLUM06G22610 transcript:OGLUM06G22610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMMETSPDDGGGGEAMAAAQQTEEDMGPPWLRPLLSTSFFVACASHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLATLHLDLCRPWHLVQQANETFSMESLGGMRWDPSLTFAIRPKRGQDSGDGGSGSDYDSFSPKKARRAAAGYDQLGRFDRGMIRWSDDEGSKSNTAPITPTTPPISRCRPSRRKGIPHRAPFYG >OGLUM06G22620.1 pep chromosome:ALNU02000000:6:25455455:25456057:1 gene:OGLUM06G22620 transcript:OGLUM06G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGLSCNHRSSTLLRHHQPAAAPPAQVIAADGSLTEVAAAAASCPVSVSDVLGGNAGRLFLCSSDALYFDVDVPALDGGELLRPGQIYFLLPVSMLGRPLSGVDMAALAVRASDALVARARPRHQRGGGVKKVRIMPMLAANGCGGDDRDGEINEKLNERTLGESVMTRPCCPASSGDKLAAAAPPVKRLLSTIDEDAE >OGLUM06G22630.1 pep chromosome:ALNU02000000:6:25466093:25468003:-1 gene:OGLUM06G22630 transcript:OGLUM06G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGARARRIAALDLIFLVFLRLASAYQRPSDAHIDCSETTATTSCTAYLTFRSDPPLYSSPLSVAYLLNATASAVAAANSVPLAVSPVDGTQLLLVPVPCSCNRATGYYQHNTTYAIQELDTFFLIANNTFQGLTTYQSIIANNPASEAMSPVINGPLAVPLRCACPSATTGRINNLLTYVVQEGDNVTSIARRFNSTHGDVLAANNLSSNASLFPLNTLLVPLVHPPHSRVVLANTTITSTTPPESQKFYVSSPCSNGLLAGLGIGVGCGVSAWAAVLAVFLLWRRRRRRPVGDSSGMARETPLVAAVRGAVETLAAYSYADIETETAGFAEERRVAAGSSVYRAVINGEAFAVKRVAAGGDDVRGEVDVLGRVNHSGLVRLRGLCANGDNTYLVLEFAENGALSEWLHPGSAAACLRRVLGWKQRVLVALDVAGGLNYLHHFTNPPYVHKNLNSGNVLLDANLRAKVSSLGFARAVAVAVAAGDDSIALMTHHVVGTHGYLAPEYLEHGLISPKLDVFSFGVILLELLSGKTAAFVTDDDGQNMLLWQAADGLVDGDGAWFKLRAFMDPQLQGHYPIGVASAVAALAVRCVAREPRARPSMEEVFVTLSAVYNLTVDWDPQNYSASASMVLGR >OGLUM06G22640.1 pep chromosome:ALNU02000000:6:25478745:25488949:-1 gene:OGLUM06G22640 transcript:OGLUM06G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCTHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSDLEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHIDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >OGLUM06G22640.2 pep chromosome:ALNU02000000:6:25479320:25488949:-1 gene:OGLUM06G22640 transcript:OGLUM06G22640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCTHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHVASPTWSETALDKHYVDQPIGKEELEGFLNTPLPSHPKLVRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRLLQHLHSENKLSERFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAIREIEAVFEHTLPEGEAAPMSTASPFGAMASLFAPKLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSDLEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHIDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGLSTMTRPTT >OGLUM06G22640.3 pep chromosome:ALNU02000000:6:25478745:25488949:-1 gene:OGLUM06G22640 transcript:OGLUM06G22640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Insulinase (Peptidase family M16) family protein [Source:Projected from Arabidopsis thaliana (AT5G42390) TAIR;Acc:AT5G42390] MASFPSPPLAAAAAAAPPRLAPGLPLAAAAVRRPSSLARRSSIALAAPANPLRCTHRRAVSPRLRRRTEAVGAASAAIGSLGEEREGCLSCFPRGRRRGRPGLARFAPCALPHTYGLSSLHSGLTGAKIRRRHVLHAAGPDEPHLPGGLAASLTGERSPAADKIKPVKRERQAIRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVNQVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPQNWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALRHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDYGKPDAPLPAAIVACVPKKVHMDGVGETDFEIHPEEITDSIKAGLEEPIYPEPELEVPKELITQSDLEDLKLQRKPSFASLSKEENVVKIFDDETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGDNMEVSIVGDFTEEEVESCVLDYLGTVSAPKSSKTQEHIEKISFLPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRSSSGDAQVSESANTDLTERKHIDVRSHSLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSNKIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKEISCIKELTMLYESATIEDLYLAYEHLKVDESSLFACIGIAGAESGEETTDDELDMGLHGMGPIGGRGFTIL >OGLUM06G22650.1 pep chromosome:ALNU02000000:6:25492558:25493655:1 gene:OGLUM06G22650 transcript:OGLUM06G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASTLASLSATAAAAAGKRLLLSSPSRSLSLSLASRGRIAVMPHLRAGILSAAPRRAVSASAPAAATIAVGDKLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHVPGFVAKAGELRAKGVDAVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELARAMGVELDLSDKPAGLGVRSRRYALLAEDGVVKVLNLEEGGAFTTSSAEEMLKAL >OGLUM06G22660.1 pep chromosome:ALNU02000000:6:25503773:25508562:1 gene:OGLUM06G22660 transcript:OGLUM06G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGAVLPEQIAAMWEQVKAPVVVPLLRLSVAACLAMSVMLFVEKVYMSVVLVGVHLFGRRPDRRYRCDPIVAAGADNDDPELADANAAFPMVLIQIPMYNEREVYKLSIGAACGLSWPSDRVIVQVLDDSTDPVIKEMVQVECKRWERKGVRIKYEIRDNRVGYKAGALREGMKHGYVRDCDYVAIFDADFQPDPDFLARTIPFLVHNPDIALVQARWKFVNANECLMTRMQEMSLDYHFKVEQEVGSSTHAFFGFNGTAGVWRISAMNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYLGDLMVKSELPSTFKAFRYQQHRWSCGPANLFRKMLVEIATNKKVTLWKKIYVIYNFFLVRKIIGHIVTFVFYCLVVPATVLIPEVEIPRWGYVYLPSIVTILNSIGTPRSLHLLIFWVLFENVMSLHRTKATLIGLLETGRVNEWVVTEKLGDALKLKLPGKAFRRPRMRIGDRVNALELGFSAYLSFCGCYDIAYGKGYYSLFLFLQSITFFIIGVGYVGTIVPH >OGLUM06G22670.1 pep chromosome:ALNU02000000:6:25509341:25515056:-1 gene:OGLUM06G22670 transcript:OGLUM06G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGSSSKRRRLPWRMTMSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHSETNEEILGVLSFVFWTLTLVPLLKYVCVVLRADDNGEGGTFALYSLLCRHARAALLPPGGGGGGGEPGDEDQFLDAGAAKKAAANGNALALSGRGGGGGAAAGVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISDGVFCFCSVLGGIRAGIVHGKASAQISYSLGLWQRIEFTLGRNMMWRFLLLVLYWFACFVCNTMVLKQCLQIWGILISCRYSFPSSEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTALGCFPRVKIVHTSDKVHGQIYIPEINWILMILCLAITIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAFGFIIFFGTIEALYFSASLIKFREGAWVPIVLAFIFMAIMCIWHYGTVKKYEFDLQNKVSINWLLGLSPNLGIVRVRGIGLIHTELDSGIPAIFSHFVTNLPAFHQVLIFLCIKNVPIPHVSPEERFLVGRIGPKEYRIYRCIVRYGYHDVHKDDQEFEKELVCSVAEFIRSGAAAAADAAASSKPKNVCGGGAEESEKEEEERMSVIPSGSIRMMEEDGGAGAPSSEDTVGGSGSGRGSSRGGGGAREIMSPSPSPPPVVVAPRKRVRFVLPAASPRPDAGVREELQELMDAREAGMAFILGHSYVKAKSGSSFFRRLVINFCYDFLRRNSRGPNYAVTIPHASTLERCDAIRASSNSDRRELGSWIMGSVGLARMLRSLCVAGDLARAVGLLWRSTVCPGEGTYALLLQECVNRRDARMGKRIHARMVSVGFGGGVYIVTKLLIFYVKIGELGVARKVFDGMPQRSVVAWNAMISGCARGGAEARAVEMFGSMRAEGMRPDQFTFASVLCACARLAALEHGRRVHGVMVKSRVGGGGGGNVFVDSALVDMYLKCSSPEEARRAFAAAPARNVTMWTAVISGHGQHGRAAEALALFDRMTRVDGLRPNDVTFLAVLSACAHAGLVGEGLRHLSSMSSGYGLTPRGEHYAAAVDMLARVGRLGDAYELVKNLPDCQEHSVVWGALLGAGRKHGDVRLVELAARRFFRLQPGNAGKYVVLANAYAAREMWGSVAGAHEAMRSLGIKKDPAWSAVEVRGKRHTFLAGDSYHDERSAIYAACNALAAAVAEQSAPPVMDGDDASHCS >OGLUM06G22680.1 pep chromosome:ALNU02000000:6:25531697:25533403:1 gene:OGLUM06G22680 transcript:OGLUM06G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTRLMALTGLPLDMDVETKSASAIHACAIDLWKGPLFATPSASLVLRELVHEQANDLNDDDMDTTEAGIGDENEAFIDDENENYMDFEYVRHQLLAQGQKHVIRTQCKSKENHVNDVQGTNQTRSLLMSTKSDMEQAVD >OGLUM06G22690.1 pep chromosome:ALNU02000000:6:25542367:25543154:-1 gene:OGLUM06G22690 transcript:OGLUM06G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQSFCRSKAIPIHPSRAPPPQTSARFALISPPDALVRGLSAATTGYGLAGPGRSHGAREFHPELEEAEDYAFLGREVDEARHRRGVRPQHGCGGRRAFLPESRDEVDVTMTSYFYPSVPSLYPLRLHLLRPRGYLPSTASPASTIVLRRCPVAIEVMEDSLLCFQT >OGLUM06G22700.1 pep chromosome:ALNU02000000:6:25550671:25551028:-1 gene:OGLUM06G22700 transcript:OGLUM06G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGAASGGRFSGSSPCPAPWKGSHRSGGILESTRGRRQSPPPAPVGLSHVADLLHLRFLHWCPSHR >OGLUM06G22710.1 pep chromosome:ALNU02000000:6:25567991:25574991:-1 gene:OGLUM06G22710 transcript:OGLUM06G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AC34] MYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAPRPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYQLYRADEAPDLDALTDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYNSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPEQVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFAGFGLAPSLIELYSARLYILAMDGLPKLTANELKSQRARERYTALSVEEKAALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPDVAAIVCDVGPIDHYANFPNSVRKVALMDSNKKRRRGGGRGERSPAH >OGLUM06G22710.2 pep chromosome:ALNU02000000:6:25567991:25574991:-1 gene:OGLUM06G22710 transcript:OGLUM06G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AC34] MYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAPRPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYQLYRADEAPDLDALTDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYNSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPEQVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKFWPSAQPDRALRARERYTALSVEEKAALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPDVAAIVCDVGPIDHYANFPNSVRKVALMDSNKKRRRGGGRGERSPAH >OGLUM06G22710.3 pep chromosome:ALNU02000000:6:25567991:25574991:-1 gene:OGLUM06G22710 transcript:OGLUM06G22710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AC34] MYSSSSMKPSSPSQANQDADDAKTYKELYQRCTDLVSSWPSRQGLSYLQLFRHEKGWYNGVTPLVGTMVADELFAPRPSDIVVATLPKSGTTWIKALLYATVHRREHPADAAGDHPFNSLGPHECVKFLEYQLYRADEAPDLDALTDPRLFATHAPFDLLPRAVVAAAPPSGCKVVYVCRDPKDTLVSLLQFVNEYNSRNGRELVAVDAAVGFFCDGVSPFGPYWEHVLGYWRAHRERPEQVLFLRYEEMKRDPAGHVRRLAEFAGVPFTSPEEDGGAVDAIVRLCSFDNMVGLEATKGGRTQLTTTTVPNSAFFRRGEVGDWANHLSPEMAQRIDAITEAKLYILAMDGLPKLTANELKSQRARERYTALSVEEKAALVQRNPENRERKNSASTSGTGSQTVNCQPSFQPVPSSTPMSSSTPDVAAIVCDVGPIDHYANFPNSVRKVALMDSNKKRRRGGGRGERSPAH >OGLUM06G22720.1 pep chromosome:ALNU02000000:6:25573311:25573724:1 gene:OGLUM06G22720 transcript:OGLUM06G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPVVQHLGYRHIGQVQQHDRRWAAERGSRDNVDDGDKDCGSGGWGGRRLRLRPMGKRPAAAVGKKEEAAAAAVEKKQDPAAASPARMRRSGDRGPARMWLQYTWGGGGEASEVCARGGAKYSHPAVGNEIVRW >OGLUM06G22730.1 pep chromosome:ALNU02000000:6:25576086:25579095:-1 gene:OGLUM06G22730 transcript:OGLUM06G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELRVEALSLSDVSAIPPEYVRLEEERTDLGDALEVARAASDDADAARIPVVDISAFDGDGRRACVEAVRAAAEEWGVMHIAGHGLPGDVLDRLRAAGEAFFALPIAEKEAYANDPAAGRLQGYGSKLAANASGKREWEDYLFHLVHPDHLADHSLWPANPPEYVPVSRDFGGRVRTLASKLLAILSLGLGLPEETLERRLRGHDQHGVDDDLLLQLKINYYPRCPRPDLAVGVEAHTDVSALSFILHNGVPGLQAHHAGTWVTARSEPGTIVVHVGDALEILTNGRYTSVLHRSLVSRDAVRVSWVVFCEPPPESVLLQPLPELLANGAGKPLFAPRTFKQHD >OGLUM06G22740.1 pep chromosome:ALNU02000000:6:25588118:25589183:1 gene:OGLUM06G22740 transcript:OGLUM06G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKGAIRLQRRDEDVVQGGEARGELGSLGAEDGVLGVDGEEALGGEAERGDDVRILAAEVCHLRGEVVERWRCFHIRDRRADSLFNSILFARRCSISALSSSSTAVDDPPWAANTALPPLPLEEAINNQPIDGEVDSHGIAARRSQPNRSRHPSVPAAAHLLPMHAP >OGLUM06G22750.1 pep chromosome:ALNU02000000:6:25588806:25589093:-1 gene:OGLUM06G22750 transcript:OGLUM06G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHLPVDWLVVDGFFKRERGERGVGGPGGVVDSGGGGAEGADGAAAGEEDAIEQGVGAAVTDVEAAPPLDDLTAQVAHLCRKNAHVVTALGLTS >OGLUM06G22760.1 pep chromosome:ALNU02000000:6:25603325:25603746:-1 gene:OGLUM06G22760 transcript:OGLUM06G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSNRESARMRKQRHLDNLTAQVAHLHRENVHVTTALGLTTYGLLAVDAENAVLRTQSSKLAARLSSPLKLTCDLGQFHIFSVSLS >OGLUM06G22770.1 pep chromosome:ALNU02000000:6:25604215:25609505:1 gene:OGLUM06G22770 transcript:OGLUM06G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTLGHLQPRPPLHSLLPSSALSSLSTPPLSSPPHPPRCPPAAGQRGGAGSGATQATRRAVGRRMCRRRKGQAQVADGGSGAGVGGRCRERHGLNWPTVVRHQAKKEKVAQCFDAASLPLATAMPGGRRRRKCLTQSRGKPATVLASRLAAKEVRHHQTGGGSSAVSRRGRCGPAHGSDVRGCSRRPAATPGTHERHQPSGWELVRRHIPDASEDGGRSWRIAGVP >OGLUM06G22780.1 pep chromosome:ALNU02000000:6:25610949:25611663:1 gene:OGLUM06G22780 transcript:OGLUM06G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKRSGVVDGVVMCHAVRTGLVPTPKPHADPVRVAETGRRLAISLAGKIKCRKKAEQTRGSRGVSG >OGLUM06G22790.1 pep chromosome:ALNU02000000:6:25612058:25612931:1 gene:OGLUM06G22790 transcript:OGLUM06G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRRSCLPPPSHSDAGMYEYDDEVEEDYEEELCPASRARVDPPQPPQYSRVKSLSVLLHS >OGLUM06G22800.1 pep chromosome:ALNU02000000:6:25624558:25625892:-1 gene:OGLUM06G22800 transcript:OGLUM06G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ROP-interactive CRIB motif-containing protein 7 [Source:Projected from Arabidopsis thaliana (AT4G28560) TAIR;Acc:AT4G28560] MRMILGRLLVVAVLAAAAAAAESEPRLPAAEQEGVYAVLEAVNPGFPWRASFPDDLCLAGPHGVSCDDDDDGGNASHVVGISLGYVSDFSANPSCAAPSAATLLTSGLLAASFPRLRSLFVYGCFVGDDDARPLPPLPWRLPPTLQDLVLVNNPALTGRLAISAASLPLLRRLVVASSGLSGDLPSTPFPRLEQLVLSGSRFAGRIPSALVQGLANVKILDLSSNLLAGGIPRAIAGLTQLVKLDLSSNTLAGPIPGELGGLASLELLDLSNNRLTGGVPAALRGMTAIREMYLSGNRRLGGRVPADMFAGLKGISAVGLSDAGLTGTIPASLGESLRNVTYLGLDGNLLEGEVPPALAKMAGRVRLHGNRAVCISPEFLAGAPRPRIAGVPSCNATQAAPVTRRPVVMPVPLASAEKPAAAAPPPPMRIGSCVVVAMLLLMLS >OGLUM06G22810.1 pep chromosome:ALNU02000000:6:25630965:25631267:-1 gene:OGLUM06G22810 transcript:OGLUM06G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRRPGHAALWEDAEEAIPVPVVATKLLSPSSSSSASGDFISAKGGNRNTSWGTDIHRSPSPPNASLGCVAWLKSSERRVPQPGDALHHGEPAWTEK >OGLUM06G22830.1 pep chromosome:ALNU02000000:6:25636657:25638057:-1 gene:OGLUM06G22830 transcript:OGLUM06G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRSKKKKNQMAILYLGPNGVVIMNSPPHIIVGLREIKNAAWGIKCCITNGCKKIGKSILITCQGNIRDLNLEYKLQNMLSEAGLPIKVEEIPSDDDGTTACTPSPPNESSVDVFDEWMISGKGFSRYTDLGVETTNRVPTTQDTSQVTQCLENEDLVASREASAPLHPGERTSSHSESSIQVVDWTSTERTPMFKKNTPS >OGLUM06G22840.1 pep chromosome:ALNU02000000:6:25643739:25644108:-1 gene:OGLUM06G22840 transcript:OGLUM06G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGCAASNQGRGREPPDGHLHNGREPLPSSGHACSGAGGGPLPFHNQCGTIQQSPPSHIGVPQSFTAPSPFLHGSSGIRAPLAPTIHQAFTHFVP >OGLUM06G22850.1 pep chromosome:ALNU02000000:6:25647883:25650587:-1 gene:OGLUM06G22850 transcript:OGLUM06G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHAVKSWSLSRYYSFKQSQSHLAEIDNTVAAWQRVGEHTISFYNPYLTHAIHHISVVGRELAPTCRITHSRCRVATGSLFGTKMVLATSQGVKPSRAQADICMKSQQSKSGMIHTGILSYSLTITIEFCKLCGLELRAGLPLSFLEGLPPSGGRAVTAVTAETRAKFTSKNLNSKIGGFTINSYLLVGIGWSSKAGGCYRLKAGRTFTNRRLCRSGAGGDDDSNAAWIGRPRRIRAPTGWSSFGGCGWLEDRPPATRLKQIKGRSSAAWSGAREWNTSSDNSSTLSSSNAG >OGLUM06G22860.1 pep chromosome:ALNU02000000:6:25650753:25653020:1 gene:OGLUM06G22860 transcript:OGLUM06G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLIYPLAVVIGAANTLVMLTTIGLESALMGDDLNGCTFVYGSLSFLDKIHLELPCSSSNPTKSPLLLPPPLHWKLHSSSEAWHDRPGVCRILWAVAMHTKLPDRYTKISE >OGLUM06G22860.2 pep chromosome:ALNU02000000:6:25650993:25653020:1 gene:OGLUM06G22860 transcript:OGLUM06G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYADIRHHILLQLPLSVALQEIKWNSRRLKSLLTIGAILWVIAGAAVFVLPSQMHNLIYPLAVVIGAANTLVMLTTIGLESALMGDDLNGCTFVYGSLSFLDKIHLELPCSSSNPTKSPLLLPPPLHWKLHSSSEAWHDRPGVCRILWAVAMHTKLPDRYTKISE >OGLUM06G22870.1 pep chromosome:ALNU02000000:6:25664750:25668307:1 gene:OGLUM06G22870 transcript:OGLUM06G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNMAGTRQMSKKKKPGGVDFNALSRHGYRGGPSVLTVPPPKVEPNWSWSTGKDRNDKEDQTESYEERERTRAAVTEGEKLIGVRNPQPRQMEKENKDASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDT >OGLUM06G22880.1 pep chromosome:ALNU02000000:6:25672022:25672633:-1 gene:OGLUM06G22880 transcript:OGLUM06G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYQSVCDKHRLLKSASRFLITVFLGSVVHSSNAKDVEEKLKFKDGGDEDFDTGTEEFII >OGLUM06G22890.1 pep chromosome:ALNU02000000:6:25684738:25686656:1 gene:OGLUM06G22890 transcript:OGLUM06G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPSSPKAVAKNVSKLSLASCAAAAMLDPGLPILIPNATATQSCSTLVGWRASRLHYNIRPSKMDPVVKRRIC >OGLUM06G22900.1 pep chromosome:ALNU02000000:6:25687783:25689987:1 gene:OGLUM06G22900 transcript:OGLUM06G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVDRRLPVPHDLPHPPSHVAGFRGLSTAKTKRFKWCRRLPRTHHGADGVYLAATASSSPAARLPAAPNDVCDRFAMAGFNTNMIAYLTQQLHLPLVAASNLLTNFTGTADSFTGHLWTTAAPGVLSQLGMLGLVVSVLVPAPPRAVQRCRCRPVTMTI >OGLUM06G22910.1 pep chromosome:ALNU02000000:6:25690527:25693839:-1 gene:OGLUM06G22910 transcript:OGLUM06G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan synthase beta type 2 [Source:Projected from Arabidopsis thaliana (AT5G38530) TAIR;Acc:AT5G38530] MATTASVRPPLLRQGSEKASLLCKPKQRASVRRRSFTARASSNPVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTEERFIDIPEEVAEVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVRSVVTETGAGQWGSALSFASSLFGLTCEVWQVRASYDQKPYRRLMMETWGATVHPSPSAATESGRRILERDPASPGSLGIAISEAVEVAARSADTKYCLGSVLNHVLLHQTVIGEECLEQLAAAGDVPDVVIGCTGGGSNFGGLVFPFMREKLAGRMSPAFKAVEPAACPTLTKGVYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHGIIPAPEPTHAIAAAIREAMECKRTGEKKVILMAMCGHGHFDLASYEKYLRGDMVDLSHSDEKLQEALAAVPKI >OGLUM06G22920.1 pep chromosome:ALNU02000000:6:25693778:25701671:1 gene:OGLUM06G22920 transcript:OGLUM06G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCRDPPCRSRGGRTEAVVAILSPSLPLPTTLAAGAGLPSHLLLNRERPSSLAACAAEVARAAASSFQAGIGGCEGSGDVGGRTGGRRSGGSGRGKSRGAGDAAGERKRSAGAAARPPPPHSPIEIIKLCFDFLNADTDTTSTMLRWIMAKLVKNPSIQSKIHDKIMAKTGDEKVEVSKEDVHGMPYLRAVVLEGLRKHPPGHFMLPQKAMEDMEVGGYLIPKGATVNFMVAEISRDEQEWAKPMEFIPKRFLPDGDSKGVDVTGNKGIRMMPFGVKRRICVGLNFAMHHLGYFVANMVREFK >OGLUM06G22930.1 pep chromosome:ALNU02000000:6:25712717:25715640:-1 gene:OGLUM06G22930 transcript:OGLUM06G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSAGSLRRRPKFAAPLLSPACLHRLSVPGEFAARLDDDDATGVGEEEEEESGRRAAAVLVVGPLGKVWRVELRRSPAGDGEAWLGGGWSELAAAHGLGEGWGVVLRLERRGVASLRVFDPGFCLARFCTPHAGMRTKDRPRFIKLLQQEDLEKMKIPEKFVQQHLTETYTNNHQNAIIVCPLGKFWRVELQREQPDVLLRDGWAPFLAAHDLSEGNILLFRYEGNMVFTVEVFLQNGCLKEYKTAALYLTDGTEGPSNAPQQSAAKVGVSPVKRKRTRRIEGTCLEGPNRKSRASPISVKVEPHKKHVSIVSQNSFTKEMTAYSIHSLLSVRGTFCSQIGLLEACAITLKISMKKKGSWRVAFKTANTYGYINGPGWRKFCLENEVKEGDRLTFNAIETTVWHVVIVHC >OGLUM06G22940.1 pep chromosome:ALNU02000000:6:25743357:25743749:1 gene:OGLUM06G22940 transcript:OGLUM06G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVKLVGGGGGGVAATSSSAAAGGGGGHPGMWRTPTPYLFLGFAVMMGLIAVALLVLVCTRRKNHGDAGSSSSASAAASVKVLVPLDREPKVVVIMAGDTAPSFLASAKPLSSFVLPPPPPPAAAGEP >OGLUM06G22950.1 pep chromosome:ALNU02000000:6:25756829:25758399:-1 gene:OGLUM06G22950 transcript:OGLUM06G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQRNKEEEERRSSSKKVDQLISKCVLLSFGERERERERERERERDLRMVNPGRTARALCLLCLALLLLGQDTHSRKLLLQEKHSHGVGNGTTTTQEPSRENGGSTGSNNNGQLQFDSAKWEEFHTDYIYTQDVKNP >OGLUM06G22960.1 pep chromosome:ALNU02000000:6:25763503:25764106:1 gene:OGLUM06G22960 transcript:OGLUM06G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQSFGAVDLAASFFFSSSSSSPQPGLVGVHGDRGNYDGGGDGGAASGKGTRRDERKERRLASNRESARRSRVRRRRQLDELSSHVAELRAANHRLAVELNRAAARHAQMARENARLAEEARALRERLPLRGGGGDGEAEEEAGGGCGAEAILAPMD >OGLUM06G22970.1 pep chromosome:ALNU02000000:6:25767801:25768817:-1 gene:OGLUM06G22970 transcript:OGLUM06G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIAADDLFLDAAADHHPAGFSSSSSSYFASFSEVVEEEDEERHPHHHHRLLLDDDAAGFDHDETLAAPAPAPGSPFSFASDPDPDPDIDLELRLSSRSPPFWDCLEDDLADEEMVGGGFEWEEIADAAVPAPGAVAAGGGGGGGGGDGGLVGDGDVFGFLDEREILGAMEGLDSGDDESGFSDEPFDFGDEGDDIGDIFRSVGWEVLPVPLDEDDFEVLPGHVADAAAGGAPPAARAAVERLQVVAVGGGGEEAAAASRGCAVCKDGIAQGELATQLPCAHLYHGACIEPWLAIRNSCPVCRYELPTDDPEYEKRRVKRRSSGDSAAQLGTPMQI >OGLUM06G22980.1 pep chromosome:ALNU02000000:6:25777230:25780383:1 gene:OGLUM06G22980 transcript:OGLUM06G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKLKLSDSKKVRCMIISILGFPDKNLTESLLSRRVKDIMGISSESPNGSQQIVQKETRDETTPLLPVKVEEEGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIVVALLTEASIDMLVRCSHQGKITSYGWLMGEAYGQWGRIALQASVVINNIGVMIVYMIIIGDVLSGTSSTGVHHRGILEGWFGAHLWNSRAIVLLATTLFVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLSSIWKLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLFLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISRDNKRFAIITISLLTVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMVILRDPYGIASKRDKILAVSMIVLAVLSNSVALYSDAMNIFRKEEEA >OGLUM06G22990.1 pep chromosome:ALNU02000000:6:25784279:25788993:1 gene:OGLUM06G22990 transcript:OGLUM06G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVASTVAARFAFFPPAPPSYGVEPPPSPSPASAAEDGAVVELSGVPRRAGVEARRLPTGRGTEVVAMYVRQPGARLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYSDIEAAYRCLVETYGATEENIILYGQSVGSGPTLDLASRLPHLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKVPLVKCPVLVIHGTADEVVDCSHGRALWELSKIKYEPLWVKGGNHCNLELYPEYIKHLKKFVMAIEKLPPTKDESSGSSGPSDPCEIGSESMQSSRKSTDVKDKSRSSIDHRHSVDRREKPRGSIDRRDKSRKSIDHPDKPRASVDQPDRPRRSIDRFGGMMRSVTSVKLCNIDCFKVTYASGS >OGLUM06G23000.1 pep chromosome:ALNU02000000:6:25790097:25791074:1 gene:OGLUM06G23000 transcript:OGLUM06G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGELARVWAAIRSGGDPVANDGMQKSTMMWKESKVGGEARRWSLGSRNKDGGEWRGWRAVSSLSSGASIDGVIELKDATTTMDLELEVAGAADGVGLIELTGERG >OGLUM06G23010.1 pep chromosome:ALNU02000000:6:25793887:25796747:1 gene:OGLUM06G23010 transcript:OGLUM06G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSTKAQAGILPMLYESPTVITPEKRNPQVSLCLNNVFSENRSNFNQFTGKCIHRASKPKPPSVLPTTGVEHGYTGCMTDIPKSRVRQLVMQPLNCVIFLLGLAILSVTFGPFVTIAHRELMMATYSEKGPENKLDHGVDETRTYEEIKSKIFAGRKMAFGVAVMENHPKDARSKPSSGEISNYSSNSRVPSSLKDSSSSTMKARPSVGNIKLEGSTSEQTLNIPNPQHIRILPFKPYYRHLSLGSKKEQKGSSICCNSYRTNEDWKEKMLESRDEVLRLLNKDYHANPHRRPPVHN >OGLUM06G23020.1 pep chromosome:ALNU02000000:6:25797908:25800478:-1 gene:OGLUM06G23020 transcript:OGLUM06G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT1G74350) TAIR;Acc:AT1G74350] MSFLAPGRGRLLRVLPTRRHRLPPPQGSGGYAACFRRCKAHFLLDGVEDAVEGAAEPQQPPVSLAKSLASLTEESAVAAQRQRKPLPRMERKRLAELRIKKRVKAQYLNGKFHDLMANVVASTDTLEDAYDIVRLNSNIDMSSVRDDVCFATLAELLRTGEFDVRVNVYAVVAKRREGGRLVLPRLNLRIIQEAVRVVLEVVYRPHFSKISHGCRSGRGHQSALRFISNEIGIPDWCFTIPMHKEVDRNVLSKIICLIQEKIDDNQLVTFMQHMFDAEVINLVFGGFPKGHGLPQEGVLAPILMNIYLDSFDHEVFRICMRHEGLGSEAADVSDNQESNLRHWFRSQISGLKDREENSDNQTDYPLKTKLYACRYMDEIFVAVVGSRDIAEDIKSEMITYLRKTLFLEVDDRLYLMPVRSNSRGLQFAGSMVRVTTKESAALKAVHKLKEKVHLFASQKQEIWDAMNLRLGKKWLAYGLRRVKESEIKSLGLSTPLLDHIAQFRKDGMKTDHWFKTLLKVWMQDINAKREADESILLSKYIAEPSLPQDLKDAFNNFQKQAKDYISSETAATEALLSSLKNKESAITCTDGAVIKIHAPISYIHKCLNRYGLINLEGFPKHVSALVLQDDELIISWFAGIIQRWMRWFSEVDNFKELKLMLVECVRKSCIRTLSAKYRMYEKITEKRFELDDYGIPMAEDFEAIMAPLESSSSVCTDEALMYGISSSGLFVLTLSRVRVPSRQFDCFVMGCQSASLSMYVLHVKERQRFPGFRTGFSSSIHGSLDGRRVGLCTQHVKDLYLGHISLQSVDFGVLIDDSNKIP >OGLUM06G23030.1 pep chromosome:ALNU02000000:6:25801183:25801775:1 gene:OGLUM06G23030 transcript:OGLUM06G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGRSDDEQVESAAGGTSRSKDMTSSGNDSKSSQGGSNPPPIRQREGQFDYSPAILCKCGYNDGKMDFLSGNNPSRRYLTCARARVLNDLHNVVYGLKENSEMRACLVSARDELKVVHNGVCNRDVADWTRKLKEKDDSACKLNVLN >OGLUM06G23040.1 pep chromosome:ALNU02000000:6:25803529:25806801:-1 gene:OGLUM06G23040 transcript:OGLUM06G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 10 [Source:Projected from Arabidopsis thaliana (AT4G25434) TAIR;Acc:AT4G25434] MDKRGEAGFPDAGVTGCRPGKRNKDGCKDEKDGHHGKEKKREEVMAVHVCLICSSVVGAGRRLRGELRSRAMSSSTNSTVLAESVATGSSGDVELLPFVNDKHGGVIIEMTAPMDPQLFSASLKASLSKWREQGIRGVWIKLPISLANLIQYAVEEGFWYHHAEETYLMLAYWLPATTHTLPVNATHRVGVGAFVMNDKREVLAVQEKSGVLRGLGVWKFPTGVVEPGEDINLGAVREVKEETGIDTEFVEVLAFRQSHKAFFDKSDLFFVCILRPLSFDITKQDSEIEAAQWMPVEEFAAQPFVQKHELVKYILEVGLAKVDKDYAGFSPILIKSAFTDKKSFFYMNRRDLDKASESSSTQKKTEKPLFMEKL >OGLUM06G23050.1 pep chromosome:ALNU02000000:6:25813090:25818063:-1 gene:OGLUM06G23050 transcript:OGLUM06G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALAGVLLLALAAVAGADTDGGDAAALGNLYSSWNSPAQLTGWSAGGGDPCGAAWMGVSCVGSAVTSIKLSGMGLNGTLGYQLSNLLALKTMNLAGNNFSGNLPYSISNMVSLNYLNLSHNLLFQEIGEMFGNLTALSELDVSFNNLNGNLPISLRSLSNISGIYLQNNQLSGTVNVLSNLSLTTLLGGNSFLNVPSSPPSTITSPPQGQPDFPQGPTTAPNIPEIPIDQGSDKKQRLRTGLVIGIVIGSMAAACGVLFALVLCLHNVRKSKDGGISESKDVASTFAVNIDRASNREIWDHTQQDAPVSSSVLLPMGKMTPERVYSTNSSMSKKMKVSVTANPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEDNFLEVVSSISRLRHPNIVPLAGYCVEHGQRLLVYEHIGNGTLHDILHFSDDTSKILTWNHRMRIALGTARALEYLHEVCLPPVVHRNLKSANILLDKEYSPHLSDCGLAALTPNPEREVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTARKPLDSSRERSEQSLVTWATPQLHDIDALAKMVDPAMDGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLVQRASMVRRQSGEDVGLSYRGPDREGGTADAI >OGLUM06G23060.1 pep chromosome:ALNU02000000:6:25822835:25826638:-1 gene:OGLUM06G23060 transcript:OGLUM06G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAARAPSAAEAQSLVESFCGVTSATPQEAAFFLESHNWALESAVRSFYDSADGDASAAAADVADPPPRQPPPPPPASDGADSDDEDYVVGGGDEDQDDEDYVGDGDGDGDGEGEDDDDEDAALAAEEAAASDERRRPLKRLKRGQNARGGSGSGKGNVRTLSDLGGGKDSAGSEDSEDDEYKPPQELYTGGEKSGMVVRDRSKRKNRADEIFKEAKRKGAKKGSFEARQKSKSFAGTGRLLTGESAEPVAPQSPESIVHNIYFWTNGFTVNDGPLRSFDDPANASFLKSIKNSECPSELEPADKKSQVNVNLIRKEEKCPEPVKRAAPFHGAAKTLGTPSDNNSTPPEATSAAAAASSTETASKTVTITVDDSLPSTSLQIRFVDGSRMVARFNTSHTIADVRAFIDTTRPGEAGDYTLQAGFPPKPLDDMSKTIEEAGVANSVIIQTA >OGLUM06G23070.1 pep chromosome:ALNU02000000:6:25831515:25833325:1 gene:OGLUM06G23070 transcript:OGLUM06G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGGESVEERPRGGELDAREVELDEPIADGEAVVEAELGRVCVHGRRGLAVVGDQALDKGPEAKRGSGAPASSSPVPFCELRLHLSNRKPHACTTAN >OGLUM06G23080.1 pep chromosome:ALNU02000000:6:25833377:25835923:-1 gene:OGLUM06G23080 transcript:OGLUM06G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSASSAAAGEPLLPAAASAKGGGGGGGRASMLQRCVSRADDELQWFRSCLRWVCMDHSGPWGAALSWLLFLLLAVAVPAAAHFLLAFRASRRPFSAVVQVSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRLNYTARLSFSFRLLASLVAPCFAAEAAYKVWWYATSGDRVPFFGNDVLSNAVACSVEMAAWMYRSAIYLLTCVLFRLICHLQGLRLEDFAGTLLVEVEEGRAGVERVLREHLDIRKQLKVISHRFRKFIVASLLIATASQFASLLLTTRHDSVDDLLNTSELALCSVVLMSGLIIILSSAAKITHQAQALTGQTTKWHACCTIEPVPDEEAEPGSNHSSMLEVEPVSDSDGESSEETGDEDLLENTKIMLPQAHVISFQKRQALDFEFIASFALTPP >OGLUM06G23090.1 pep chromosome:ALNU02000000:6:25841220:25844722:-1 gene:OGLUM06G23090 transcript:OGLUM06G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPTHPASTAARDLDQDAHRPEGDLDQLGIGSMRHRVVPPIGVDGWKAQGLFISEQVTDEEVCTGPTLSQPVRKNGSRDVDPFEYGEALSWRNQSYMNDIADRCAPSPHPLSMCQSRAAAFGYPCEEYKVTTEDGYILSLKRIPHGPHDSNTSTEMRPPVLLFHGLMVDGATWVMSTPKQSLGFILADNGFDVWIANSRGTNSSRNHTSLSTKDPAYWEWSWDELASYDLPAVLQFAYDHTGEKIHYIGHSLGTLMILAAFSEHKLLDVVRSAVLLCPIAYLSRTKSKLLKLAAHIFLAETVHWLGFHEFNPVGPVAHEVLSQICGDPEINCYDLFSAVAGPDCCLNTSTFCAFLEHAPQSTSVRNLVHLSQLVRNGGVSRFDYGNAKDNMKHYNQPRPPPYNLSSIPNHVPIFLTHGGEDYLGDVPDTRHLLRTLVKKHNSDSIEVIYVPDYAHADFIMAYNAPELIYGPMVDFFKRH >OGLUM06G23100.1 pep chromosome:ALNU02000000:6:25854972:25858448:1 gene:OGLUM06G23100 transcript:OGLUM06G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEERVAEAMKGLLVEYSQQSARLAVQVRGNEYRRAAAAGGGGGFLLSPGERERLRAGSGLELVIVPVMEGDQVVSSSASAEVLVSPLVEGEVRVVPAVERRRWRRQAAAEEWEEIDPETKYRGVRVRFGTRYVAEIHNPTGAGRLWLGTFDTAEQGAWAYDAAARVLRGEAAATNFGDPAQAPSPLSAEMRSMLAFFDRARARRERVVEERGAAAAEAEASEAPAPAPLLLLPAPPPSSDVSPVTVQVAAPPSSSDAAPVPATLLSDASPVIVQVPVPPSSDAAPVPAPTLSDASPVPVQVPAPQSSDVALVPVPVQVPAPTSSGSDEAAFVGGRGRGTGAGVGGGRGLGRGGGGGRGRGGKRAPTTAVAVVAPPPQVPTPAAVAAAPTLAPASAAAHAPALSVEPDALVSPASSAIAPAYAVPAPAPAPAALEALAPPPPAAPASLSASGGERGCVAIANAAVAGRGRGRGSGAKRAPTAVNVGAPPPQARTPAAAPAKAFVSAPAPAPSAVVSPVLAASASAAAAFAPAPAPAAAEVIPPPPPLPAAASTAAAPAAERKRKLLTASSLSSSGGERGRGRARGRGRVADAAIAGGSRGRGRGCGLTPAVVTVGAPPPPSAALAPAPASAPSLEPNDIIAPVVSSTLAPGASDAAAAAPVAAPEAILIPMPPPVAAAAAAAPVPKKRKLLTASTLSGSGGPGRGRGRVAEATFAGRGRGVWSGRGRGRGRIPTEVIVGTPSPSAPTPAPASATAPLIQSNVVISPVPPTLTASGVAAWPTVPAIPELTTAAAAPLPEKPVLTAHDVVMATLFPNGVFSYTAGSSHAPPPPPPPPPVASGSFFQEVIPPGGVPTNYAIHEAPDFDPNIFFANVPDGEQDEPVLLSSTSTLLDVDNSLTLVADDAASSAQAAVDVASSSAQAAIDVPSSSVLAVVDVPSSSAQAAIDVPASSAQPATDVASSSTQGAAGNERSISLEPNAVISPVSSTLTASAVAA >OGLUM06G23110.1 pep chromosome:ALNU02000000:6:25858536:25860473:1 gene:OGLUM06G23110 transcript:OGLUM06G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHIPNDVFSYIAGPSQASSSPPPTSSNTFLQAAAVHVASLLAQAVVDVPSSAQATDDDSSSSTQGPSHAPPPPPPPSSHTFVLAVIPLGSLQTNYTVHEAPAPTPLLLLPVPPPSSDVSPVMVQVAAPPLSDAAPMPAPPSSYASPVMVQVPVPPSFDAAPVPALTSSDASPVSAHRRHLMLLRCQCRCRCKLRCHLMKLHLLVEGEAGTAPVSVLVVGVDFSAVSVPDVGAVAVVGVGAEANALRRW >OGLUM06G23120.1 pep chromosome:ALNU02000000:6:25860549:25861785:-1 gene:OGLUM06G23120 transcript:OGLUM06G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWAVRTGFSGKVVAVAVASSSVAGTGGGAAAPEAASVEGTGEITTFGCINGAVAGADADAGTDAGSAAGAAAAAAAAGGGIGTRIASGAAVGGATAGAAAEAPGASVDDPGEIVAFGSIVGADAGTGTGAAEGGGGAPAVTTAGVQVQQLRLPLQAAAAVAAGSLQLPPAPVQMRLQQKRWLRVWTTPSRSMQVQVLIRVPSPEWQQVWAPAAVEHPRSPPSERIWFRTHAHGHDRQRWRWQHIRVPVQVRALCCLQRELRVQQAVARALQEPPALEPAPVQHKRARVRMTAEK >OGLUM06G23130.1 pep chromosome:ALNU02000000:6:25862391:25863428:1 gene:OGLUM06G23130 transcript:OGLUM06G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLEPNAVISSVSSTLTASAVVARPPAPMTPELATAAATPLPEKWILTAHEVVMATLFPNGIFSYTAEPSHAPPPSPPPPPPAASDSFFQVVILPGGVPTNYAVHEAPDFDPNIFFADVPDGEQGEPVLLSSMSTLLDVDTSSSVAADDASLSTQAAVDVASLSAQAAVDVSSSSVQAVINVPSSSAQAAIDVPSSMAQAATDVASSLTQGAAGNERPIVFDFDLNEPSSNYE >OGLUM06G23140.1 pep chromosome:ALNU02000000:6:25863824:25864446:-1 gene:OGLUM06G23140 transcript:OGLUM06G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMHIRVPNLATFFLILAAGDGCGDTCDGHAMLEKTPLGKKVVMTTSWAINTDFSGYGTTVAVASSVVTGAGAGAATAEAAGMYDTGKIKEFGSSDGAGADTK >OGLUM06G23150.1 pep chromosome:ALNU02000000:6:25870370:25871548:1 gene:OGLUM06G23150 transcript:OGLUM06G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVKQKTTTWLVELLREYCQRAAAQATAGNGAVAAAAAVEGEINTDGLAALAAEAEGFAAANGEGWVAPAVEGEGEGFVGAPVVEGEEGLTAELPVAAERKYRGARKRPWGKYAAEIRIRNTMGVKERVWLGTFGTAEEAAWAYDTAATVIHGDKATTNFPRAPLRPATTPVMRSMLVFFGIAHLVRSLVPRARGPRGRGGGAGGRGRSRRRRAAAAAAPSAPASEAPPPPPPSSALVPEPELQVQGGRGERGRGRGRGRGGGRGGRRGRGRTPARVVAEDSPMLQATTPAAAPAPAPALAPAPANQAIFQPMIIPPGGGVVAPDDFLLSAISDDEPVLLHKKPKLLGVYTPPDSPDQFVMEFFADLGDGDDILSSSFWQDPAGDGEDTQ >OGLUM06G23160.1 pep chromosome:ALNU02000000:6:25881444:25885508:1 gene:OGLUM06G23160 transcript:OGLUM06G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPSVPKFGTWEADNVGYTLYFDKIRENKGATAPPLRHPYNHNDPAENPGVIRAADNLAAAPSSRPATSSGHRESQRHHQQPPGSHQHHRRSGSVASDPGDFQSKFAPPPQFRPSPSPSQYDHHSSDHRHGHGHHPPHAGYNCGGGGRRAPSPSPQVHAASRRHHHGRHHQQVAPKARSASASPQHNIYGRQRASAVPKFGVWDEQNGEAAAQGFTVMFDNVKRSRAAARGAGAAGVVPRSPPQEISAANMRHSRDHSLMSKMFGCFQPTTRE >OGLUM06G23170.1 pep chromosome:ALNU02000000:6:25891475:25891870:1 gene:OGLUM06G23170 transcript:OGLUM06G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAAVDSRCGGRGRQQPAVDELRWKNTPAVAAVDEGHGRGGHRRPGWTRNATAAEESDGDGKGLGGSGHGGCRRRRWRRQQRRRLWQTRAATRSAMKTTAMADEGTAAAAEEGDGDGKGLGSGGYGGCR >OGLUM06G23180.1 pep chromosome:ALNU02000000:6:25891937:25892180:1 gene:OGLUM06G23180 transcript:OGLUM06G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEGAAAATKDEGGVCRQPCGRGQRRWRQTRTATQWSATAAMAEPVAAVVTDEGSDSMECDRRLAAVTTDEVGRARRR >OGLUM06G23190.1 pep chromosome:ALNU02000000:6:25892240:25902361:1 gene:OGLUM06G23190 transcript:OGLUM06G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVDDADGEPISAGGPRDLRPSLFKGQATANEEIVGGTVKANSQKIYFGDRILVQNIKN >OGLUM06G23200.1 pep chromosome:ALNU02000000:6:25897109:25903400:-1 gene:OGLUM06G23200 transcript:OGLUM06G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKIGSGMYVSGPAPDRGKERRQLSSGSVATPPYTGGDVSRSGELGRMFDIGGAGVSPASSRRSSGPLPRPLPLLPSPASGPLSQLSHSGLLVLAAAGGAVAAVAAAFAWNVRRRDAEAERFFRRLPDTVFDQSDMPIGELVKITGQVTCGHQPLGARFHDAARCIFTSVQLYERRGCCFRWQQTHSETRTANFYISDRNTGKRFYVRAGEGGKITWMIKRKTDSFDGERKGASRNLKSWMASNDLSCDGTVRVKEGFIREGDTASVIGVLKKHHAYDIVDAPSGVVTTGCQFTRCMFPVHVEGLILVGEEDPDDEVYMDIRS >OGLUM06G23210.1 pep chromosome:ALNU02000000:6:25907172:25911361:1 gene:OGLUM06G23210 transcript:OGLUM06G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >OGLUM06G23210.2 pep chromosome:ALNU02000000:6:25907292:25911361:1 gene:OGLUM06G23210 transcript:OGLUM06G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >OGLUM06G23210.3 pep chromosome:ALNU02000000:6:25907852:25911361:1 gene:OGLUM06G23210 transcript:OGLUM06G23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCFRLPRPPGGETNQAAAASASPRRPSLPFASSLFAGSPSTSGKQPWPADADDMEKKRWDSMESWSMLLDTAMGPSGEPTSSRDSGRREEWMADLSHLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEARRAVLEDQFNSEVAFLSRLYHPNIVQVSFLFPYTRQVFVRWRAFFCLTPRPPSVRPVVASSLLPYTTPAKCSTGGEQFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSSETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETACQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCSPVLNNLIKRCWSANPARRPEFSYIVSVLEKYDHCVKEGMPIMAHQELRIWSSFAKIFRMGCITNNLSIPVHA >OGLUM06G23220.1 pep chromosome:ALNU02000000:6:25909983:25915762:-1 gene:OGLUM06G23220 transcript:OGLUM06G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTAALTAASAASAALLVLAAFAGGVEARERESAWLPAAKKMAAAPKKVAAAAAKVPAVIVFGDSTVDTGNNNVVATMLKSNFPPYGRDLGAATGRFCNGRLPPDFMSEALGLPPLVPAYLDPAYGIADFARGVCFASAGTGLDNATAGVLAVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVRDALYVVSIGTNDFLENYFLLVTGRFKQFTVGEFEDFLVAQAAGFLAAIHRLGARRVAFAGLSAIGCLPLERTLNALRGGCVEEYNQVARDYNVKLNAMIAGLQSSLPGLKIAYVPVYDDMLNLINNPSTLGELYIFGAVLFSFFTGLLSQIICFTYAGLENVEQGCCATGMFEMSYLCNEKNPLTCPDADKYFFWDSFHPTEKVNRFFANSTLQICLRELLS >OGLUM06G23230.1 pep chromosome:ALNU02000000:6:25919806:25920144:1 gene:OGLUM06G23230 transcript:OGLUM06G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAQTWVVVAALALALVMLPRRPAVVGAESVAAATAEKSMGIGGAGKPKCQPGAATGPCRVGAVHDPENSEEEGLFSMRATPPPTAAPPADEDYFDPDLSNDDDLVVLGH >OGLUM06G23240.1 pep chromosome:ALNU02000000:6:25923583:25923876:-1 gene:OGLUM06G23240 transcript:OGLUM06G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHDDGGGDDAWVSSDADSLFEGMVLFTPSLTVDPDPKPPVVKAPDPELPTPHHDADAVAVAGADVAASHLASTRGSFPFTSRRPRFAPPAAGGGA >OGLUM06G23250.1 pep chromosome:ALNU02000000:6:25934604:25934882:1 gene:OGLUM06G23250 transcript:OGLUM06G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQLRQRVIVFTLVVVACLVLATTTKVADARMLKRMERDGDAVVESPAVDLEAMDGSTEGAGDGGLQWLKSVSLDMFGGIKDSGPSPGAGH >OGLUM06G23260.1 pep chromosome:ALNU02000000:6:25938380:25939312:-1 gene:OGLUM06G23260 transcript:OGLUM06G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGVEADCDRIRGPWSPEEDEALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDATILRAHARLGNRWAAIARLLQGRTDNAVKNHWNCSLKRKLAVATTTTTTTGAAAAPGVVADAAELVERPCKRFSPTPDSPSGSGSGSDRSDLSHGGGFGQIFRPVARTGAFEPVDCAISRRQEEDPFTSLSLSLPGTDQRFNHDSAHSHFQELPSSPSPPPPPPPAAAASTTQYPFTPEFAAAMQEMIRAEVHKYMASVGVRAGCGDAGGADLHMPQLVEGVMRAAAERVGRMH >OGLUM06G23270.1 pep chromosome:ALNU02000000:6:25946013:25946490:-1 gene:OGLUM06G23270 transcript:OGLUM06G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTKKPPMKDGLLGLGPSPQTFGFTAPLMHSGKTRTGGRPGHVAGSPVCRSNRWVTVFDMIYSMNIDLSKVCKEQIKTDNRRSYASNSNISLMQLLLSQNSPLSTNRSKVISNQGEKREANRSRIVQGRDSRKS >OGLUM06G23280.1 pep chromosome:ALNU02000000:6:25956930:25966770:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGGYHLPDHHHHHHHHPPPRVQHHHHHHHQQLPAPTPPPPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHRHGGDDFLPADEIRRVGGGHHHHHHHPHLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >OGLUM06G23280.2 pep chromosome:ALNU02000000:6:25956930:25966770:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGGYHLPDHHHHHHHHPPPRVQHHHHHHHQQLPAPTPPPPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHRHGGDDFLPADEIRRVGGGHHHHHHHPHLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >OGLUM06G23280.3 pep chromosome:ALNU02000000:6:25957338:25966769:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGGNDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >OGLUM06G23280.4 pep chromosome:ALNU02000000:6:25957338:25966769:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGGNDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDEVCDAITRYLLIFIKFPLAESQNNVDACSVSYILAKGGIQHQVHKKLFSAIGCSYIPSIK >OGLUM06G23280.5 pep chromosome:ALNU02000000:6:25956928:25966770:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGGGGYEPHSHHQYHLPDHHHHHHHHPPPRVQHHHHHHHQQLPAPTPPPPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHRHGGDDFLPADEIRRVGGGHHHHHHHPHLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVTICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >OGLUM06G23280.6 pep chromosome:ALNU02000000:6:25956928:25966770:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPPFDHPLHRRHYSDHHHFPPGGSGGSGGAASAAARSRYEYGGGGGGGGYEPHSHHQYHLPDHHHHHHHHPPPRVQHHHHHHHQQLPAPTPPPPPPPPLPQHRLEPPPPHYGFPPRGHPDAYSPPPYHDPSPHHHYHRHGGDDFLPADEIRRVGGGHHHHHHHPHLQQLLPWEEAEEERRRYGGATQQLRLSPSGPRKRQRCAVHDADVESTSSSGPPPRRQRQQPHPDYALDDSFVDRNNAHPGYMVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGDHQFESVTGNQLRNSRQSSSDLRKPSTCNEYVRVSKGNQLVRNPKNVIRMLASDKVRWSLHTVRSRLAKKQQYCQFFTRFGECKKPRGKCPYIHDRAKVLPERMPDCSYFLRGLCTNIACPYRHVKVNLNAPVCEDFLKGYCAYGDECHKKHSYVCPVFEATGECPQGSRCKLHHPKSKVKSKSRRPDFLQNSSWGRYFDASIDHQDETRKVSLDEDEREKPQRVFSDGDLGFISLDDDADEDVTALDASDDIPLMELDSGDLSAQTDNLDALIKPLRIMRTARV >OGLUM06G23280.7 pep chromosome:ALNU02000000:6:25957338:25966769:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGGNGNVLICEFDMVKLFLLVLMKKI >OGLUM06G23280.8 pep chromosome:ALNU02000000:6:25957338:25966769:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGGNGNVLICEFDMVKLFLLVLMKKI >OGLUM06G23280.9 pep chromosome:ALNU02000000:6:25957338:25966769:1 gene:OGLUM06G23280 transcript:OGLUM06G23280.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEGFSIHSDSKVSRKIQMPTQMALPGSPHGTSAGYARRAPQKVAPSRVSVWHRIEENPAMYEPSSPLPHMPKEVHVSPCKSNNVAPASKELASVISVDCRGKSADGNDGDSNTGTKKNPVKKNEKVLASVLVKPPMEPKEKEVAAKKMLKKPDKVQKNAVHSNIRSLVSTPCPGAGAKKVKKIVIKKIVRKINGKGNQNSTPVVSEKRDGIDANACEKEEGEITTSSFEKDVISAHDPIAVSDTAGFGNAVNDQKQKNTNFTNPSGRNAASANGSMEIPDPPNGSGSAHPGKEEVLSPKNPVDNSNASLVVEPIEVLEKSGTEHPRKEHDMSSIGSGVNDAFADANNHTQKEVGEMNVTVAINSVRVSDAREVPRCDDSSMEESKVPKDVDANNAVCMDGVASNCDTTEVCGNEDARRECGKKLIGINDEKAFLLNNSARSSSTSDTCMTAVEGAQKKEGIILTGSSEKSIGFLGDSVGTHRTTEFGASKDAPNEGDDMPSHPSEKDFMSLNSCGGLNYTEVSEKEDIQEKEDRVPMESIVACTSSGNEDIQVNEGRKPMELSEANAFSGSGDSQGKECRIPMGSSETNTSSVNHVNASNEKDFSLSEDTQKKESHRPIESCENTTFEIMHHEEAPSTEEVITGVSLGRKVAEGPTRSNERCSGARGNSATTLKFGLACATKDNQMEDLLNNRTALNETDDPLDAEDSPVFVPPSSRNVESTYASPLYDPMEDSTSDGILNIGLGRNTTSKAAELLDLHRDHISSENDSLIHSRGTSSVSGNREQSVPTALTLGSNIYFSSAETDDRPEERHELVVEGQQGLTVETTSKLDSPGKIEVLNGAGFISTGIQNWLSLPPSINSMEMSGQFLNNGFTVSKGRLGLDQSMDDATSMSQDHDIAQDMDQRGSEDAFFSQDHSIRLCGSDLPHSHLLAPKESSMNGEDQSGIVLTGLHPSSSVNVLGHYGYQTDDIPVDNLNKLPSALESSDAMDADQVSSQVCVNPDHTNDSNTENAGVESNAKQDLLSSWIEAIVSEAKKEHPPCKSTPLTVGLPDKLLEPKDSDRKTLLETVVPSAVKSPQINFASSTLQKVAPKQVTLPSSSREPTRANQNARHRTWHRGNIASSSSSLHASQPLGLPPKLPPKKNDKAQNSYIRKGNALIRNPSNGNHPHSSTGHDTQNKLNKPVVRRSMNFVRTADTKDLANSNISVERPKTPPLPLHTKSSCPTTLLEPLSQTLQKQHGHEAEKEDLTGQPKSGVDNSSIKSAQKSEPSDPSKVVYVRPKSNQLVAAQRQHPIDLVNSPTDKILSLQAPIASDLYLKKRKNQIVLSSCSPSDGLSTKETLPAENSNSEEKKDLMIACSISGIPGVKDRPQKALQTTNNVGRFSHVWTLNGQQPQRKGFMGSSHMNAFPRILPWKRKIFCKNFRSSHMSNVSSIRIVRKLLQTRKRDMIYTVSTDGFSLRKSGVLSVGGSSLKWSRSLEKRSQKVNKEATLALAEVERRKREKRKRQSLHDKGGNGNVLICEFDMVKLFLLVLMKKI >OGLUM06G23290.1 pep chromosome:ALNU02000000:6:25967278:25969495:-1 gene:OGLUM06G23290 transcript:OGLUM06G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLLSPAAAPRPPLPAPRRPSLPPRATSILTPAPRSPALRMVDAFAAGRWGGRAASRLPAAAAAAAAAAEAGPPDPAPSPAEDEAERAMLAQVSKRLEKTAQYFKTLGTLGFWSQLVCTTVSAGILSFSAVATGNATSPFTFFATSIGIVAAFISVFWAFGYIRLSQRLKRTANKPAKAPPHADVVKNLKNGIVLNVLGMGAAILGMQATVGALVAKALTTSAVPYYQGISPGQSPVLSLDVFLVQASANTILSHFLGLSSTLELLRSVTLTKVEAASTPRSQPA >OGLUM06G23300.1 pep chromosome:ALNU02000000:6:25971325:25974016:1 gene:OGLUM06G23300 transcript:OGLUM06G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPPIVSSASAVSARPRRGASAGAGAVRCELAASAPSSAAGSLAPRWAQRTVVIPPQRRGCHLITPKIVNGIRDDLSEFKCGMAHLFLQHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDYATPRQIVITLNGI >OGLUM06G23300.2 pep chromosome:ALNU02000000:6:25971217:25974016:1 gene:OGLUM06G23300 transcript:OGLUM06G23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAPAWAPPIVSSASAVSARPRRGASAGAGAVRCELAASAPSSAAGSLAPRWAQRTVVIPPQRRGCHLITPKIVNGIRDDLSEFKCGMAHLFLQHTSASLTINENYDSDVQFDTETFLSRIVPEGPSAPWRHTIEGPDDMPAHIKSSMFGCALTIPITDGHLNMGTWQGIWLCEHRDYATPRQIVITLNGI >OGLUM06G23320.1 pep chromosome:ALNU02000000:6:25992063:25995072:-1 gene:OGLUM06G23320 transcript:OGLUM06G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRWVVVFWVLVVMFRGACGLNADGVLLLSFKYAVTADPLGALAGWGYADESPCAWNGVVCNGFPQADAAAAWTANVTGVAAAEGGNSSAAVPVPSNGTAAAAAGLGVNASLAAAATVSRVISLVLPNAQLSGSLPPELGRVEHLRHLDLSGNSLNGSLPPTLLNATELRVLSLADNDISGVLPDGGSVPYSRSLQELNLSNNALAGRLPPALCRLPSLAVLGLANNYLAGELPIGGLAALEVVDLSANYFNGSLPSDFGGSRLRFLNISSNKLTGALPTELSAVVPANSTVDLSHNNFTGTVPQAGPFAVQPAAAYEGNPELCGPPLKKMCSIPSSLSNPPNATDSPPAFAAIPKNPTRPSPGAQAQAPRGQEKLRPAAILAIVAGDLAGVGLLFMLFLYIYHIRKKRRQRRHHHHQQQQDSPLQHKSNRAIGDVKTLDIAGAREEKASTSTGCCIGRKNDSSDESSDCSASSGAETSDDDDDGDLKKRSMSFIGRSTPQHHSKKHDHPHHQAAAAPPAPATLVTVDGDGELEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFEAQVRAVARFRHPNILRLRGFYWGADEKLLIHDYATNGSLANIAFSRRFGASSPLQLSLEARLRIARGVARGLAFIHEKKGVHGNVKPSNILLGADMEPWIGDFGLDRLLSGEAVHRSTGASARLFGSKRSMHSTSSLPDLSQMPGAGASPCGSLSAATSAAAAAAPPPYQAPECLKNLRPNTKWDVYSFGMVLLELLSGRVYSEVELCQWHAGFVVEERSRLLRMADPTLRGEADGREDALLACFKLAFACCAMAPGKRPAMRDAVLVLDRIPCSSSSASTTTTTAAAAAIP >OGLUM06G23330.1 pep chromosome:ALNU02000000:6:25996215:25997248:1 gene:OGLUM06G23330 transcript:OGLUM06G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSFRVFVYPDGDPGTFYQTPRKLTGKYASEGYFFQNIHESRFRTDDLEQAHLFFVPISPHKMRGKVPSSLLLVTYAWLILHIRSYDRSILFLDLYWWCPLCSSFRGHWGVGADYFFVTCHDVGVRAFEGLPFIIKNSIRVVCSPSYNAGYIPHKDVALPQILQPFALPAGGNDIENRSK >OGLUM06G23350.1 pep chromosome:ALNU02000000:6:26005062:26011425:-1 gene:OGLUM06G23350 transcript:OGLUM06G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLATVVLLLVAAARQAPLAAGDHSANPRLPTCAAAPDAAPQEHGDGGGVGGGGRRILDITHAVRAELPVLGSCDGVGALVRLKKSMENGSRSNLSELRMSVHTGTHVDAPGHMWQPHFDAGLDVDTLDLGLLNGPALLVDVPRHSNITVLTKLRYAAEVMESLNIPRGVRRVLFRTMNTDKRLMWQKESDLSFVGFTEDGAQWLVGYTDIKLVGVDYLSVASYEHMIPAHVVFLKSKEIVIVEALKLDDVEPGMYMLHCLPLRLAGAEGSPVRSAFLQGQVLSLQVFLSCFSDMCSQLHKEMKTMQSIIFCQMKPAACMAEREWAHS >OGLUM06G23360.1 pep chromosome:ALNU02000000:6:26012542:26015910:-1 gene:OGLUM06G23360 transcript:OGLUM06G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHNPKTGNLLAIEWHILEWFSKKAKLFKFEQGRLQAYNTNTTSLEALAESSRFIVNSAPLFLPLSRSSARRPAPVAIHVDTRPDPRITGCRKLRDTEFFTRQDPYVCIEYATNKFRTRTCTDGGRNPTFDEKFHIPLIEGLRELTVTVWNSNTLTHDDFIGNGRVQLHKVLTRGYDDASWPLQTRHMRSAGEVTLIMHFDVSAMKNKPGKISAASTTHSVLPVPVPAVPYAAPSPSYALPPAGYPAVPPYQSYPASHVPAPYPTSAYPHPPPPLLARDVEHAAYPPTSTTYPPQPYPPQPQGETYPPQPQRETYPPQPQVQPYPPKPQGQPYPPQPQGQPYPPQPYGQTYPPPPEGQPTYPPAPYPSTYPPAPY >OGLUM06G23370.1 pep chromosome:ALNU02000000:6:26016618:26023097:-1 gene:OGLUM06G23370 transcript:OGLUM06G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34100) TAIR;Acc:AT4G34100] MADVAADRPPAAEQEEARPPSSTAAVAEEDEEEEEGDVCRICRNPGDDEHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPSRLPFQELIVGVGMKACHVLQFVLRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLPGPNNRVPAADGNVDELAEAQGLGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASSPMLARMMPFTETAISLANDTLKSALNAVKNLSADSHNEGVIGHVIEVVTQSLKINATGLTVMQASGKSSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGATIAQRVEFFTMSPLASSSIHWLVGIIYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVILVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKALLHHWFAAVGWALGLTDFLLPRHEENGGQENWNGRAGRDRVHGGREMVAPQLEQRMIQHVADNLNGRGNANDSNEVAEESDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAIPRLPITHGIKCNAAGTRYAIDYIRSRRLAFLVQQICKWCSIVVKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRTKFERVREDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSVLFFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEDSPHSSEPGTTTASDDDEHEQALIPRDQEGELGLRFRRHIMRGNQPRMAA >OGLUM06G23380.1 pep chromosome:ALNU02000000:6:26025964:26029140:-1 gene:OGLUM06G23380 transcript:OGLUM06G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFYGVGSIALAMHEDDEEEGSGRVFGFAAGDLVRPAVVTQQLFPMTAAAAAVVPESTEQRHVAAAAEQWARPPSRKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAQAAARAYDQAAIKFRGVEADINFTLDDYKEDIKKMNNFSKEEFVQVLRRQGAGFVRGSSRFRGVTLHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAKAYDKAAIKCCGKEAVTNFDTQAYEDELNLQSWDSELDLELSLGCSGGERAAGEVLHSAPSNQRTSLTFMLPEEEEMTACHRQRSIWARPSLAPAMPDGGAVIRPDQHQHHPSSRNMLLMSQVISSSGGGGSGRQGAAELHMRPRHGWSSGGNNWAPPYAARPRLPGAEDDDDSAAAASSGFPMGQVATASSSSRPSSSSCSSRRSSTAAATATTGR >OGLUM06G23390.1 pep chromosome:ALNU02000000:6:26029180:26032646:-1 gene:OGLUM06G23390 transcript:OGLUM06G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVAVLRLGCLDAHQKTGRGGFAASGAQAYIAPKVNKDCSNPASLPQGVTDRGERAQEGGGKKRRNKDLQDTNLNNPSSSSSSLCSYTLSLCGDETERERSRSSIRGGEERTAAMV >OGLUM06G23400.1 pep chromosome:ALNU02000000:6:26032789:26035540:-1 gene:OGLUM06G23400 transcript:OGLUM06G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVVVCLTTVASPWVLATFKLKRRIGLIGIGVADPTKSY >OGLUM06G23410.1 pep chromosome:ALNU02000000:6:26037489:26040302:1 gene:OGLUM06G23410 transcript:OGLUM06G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] MEAGDGRGGGAEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCERGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITDRGSDILDNCLANVQLNSSMLKFDEAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >OGLUM06G23410.2 pep chromosome:ALNU02000000:6:26037489:26040302:1 gene:OGLUM06G23410 transcript:OGLUM06G23410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G79915) TAIR;Acc:AT1G79915] MEAGDGRGGGAEEEEEEQVMSEVHLGCPPRFSGLYVSRFSFSSRPLGPSAGSDGGECSGVREQVAASSSSCERGSPDAVTVDEDGDLVLDRRRRNRGRSDHVLTVQHGITSSLRSVGLQVWKAALLLTDFVLHKSFTSSEFNGVTAIEIGAGTGLVGLALARVAKKIFITAKACVRELDWKMSWPPPVFKCDSSDPSSKYLWYTSEIEAAEKATTLFAADVIYSDDLTDLFFSIAKKLMSHGAEKVLYLTLEKRYNFSMDELDVVANGYKHFRSFFTVQDESGALDDNSCRPDFVGEQMDLAEVPQYIREYDRGKDLEMWKIMYNPNPE >OGLUM06G23420.1 pep chromosome:ALNU02000000:6:26040742:26042778:-1 gene:OGLUM06G23420 transcript:OGLUM06G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETLTGGCLVKLELYNLLVPEQQQQQRIVAKILKETNPPSSSVAVTVDTAAAAPLLEPKPDTGNLSDLDSAVGTCACFAVMVIIILLVFYALLK >OGLUM06G23430.1 pep chromosome:ALNU02000000:6:26050086:26055574:1 gene:OGLUM06G23430 transcript:OGLUM06G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32250) TAIR;Acc:AT4G32250] MPSLPSPPLPLLPPAPASSTVLLHTSSLSLSRSLALLSSLARAAGERSGSAGARTASSPPPTPPQPPTLPVPPPRARCRRRRAGLELGGDVAGLLAPLTELKEMAGQRSDSQSDESFEYMLLERDPDLYRTVFSGPSQISPWIDPSVLTLQHRIGRGPFGDVWIATHHQRTEDHDRYHEVTVKMLHPIREDQLQAFSVRFDEIFSKCQGLSNVCFLHGISTQNGRICIAMKFYEGSIGDKMARLKGGRIPLSDVLRYGADLARGIIDLHSRGILILNLKPCNFLLDEHDHAVLGDFGIPSLLFGLSLPNPDLIQRLGTPNYMAPEQWQPSIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEVYQLVVLKKEKPIFPYNLPPAIENVLSGCFEYDFRDRPQMTDILDAFESAKDVDYENTDQGNSENLRMVSPALPSRTNWSFFKDELQVGDKVRSRKLKNTCSPTTMEVPDGTIVGMEDNGERDGYILVRIHGLHDPLKVRSSTVERVTYGFAAGDWVRLREDEKKRSQVGILHSIDRSGTVYVGLIGVDTLWKGEYSDLQMAEAYCVGQFVRLKANISSPQFEWQRKRGGGLATGRISQILPNGCLVIKFPGKFNLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAVGFFTALKLGIFVGKGIARPRSRKVASVSDQSDHQQLQQQEVQNNANAAWLPPTVANMLFRDGPTLSG >OGLUM06G23440.1 pep chromosome:ALNU02000000:6:26054434:26057146:-1 gene:OGLUM06G23440 transcript:OGLUM06G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIESLKKETLLVSSRTVVPHSCPNFREKMVEMRMLDGLLYNHYDWDMVSFIRSLKAMVRASNAVAVITCPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKGLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSLDASGSCSSSSQGSQLDF >OGLUM06G23440.2 pep chromosome:ALNU02000000:6:26054434:26057146:-1 gene:OGLUM06G23440 transcript:OGLUM06G23440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIECVSTQEGDTLGVLQDRCSTFLSKLPRKDGGNAHAGRIAIQSLCAPQCGYFEKENQFICFHLSNIIDWDMVSFIRSLKAMVRASNAVAVITCPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKGLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSLDASGSCSSSSQGSQLDF >OGLUM06G23440.3 pep chromosome:ALNU02000000:6:26054434:26057146:-1 gene:OGLUM06G23440 transcript:OGLUM06G23440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paxneb protein-related [Source:Projected from Arabidopsis thaliana (AT3G11220) TAIR;Acc:AT3G11220] MAAAAGGQTVGRSSFSRAAAPHVASSSTAAGVKLGPNGAAFVSSGIPDLDRILGGGFLIGSVVMIMEDSDAPHHLLLLRSFMAQGVVHKQPLLFAGPMKEPRLFLGTLPAVASSKEDGRQRGMGAGTSSDGRTSDEALRIAWQYKKYFGEEKTSHAEHRDNKQEFSNDFDLRKPLERHLLNAQNIESLKKETLLVSSRTVVPHSCPNFREKMVEMRMLDGLLYNHYVHHNADTLRRKDWDMVSFIRSLKAMVRASNAVAVITCPNTVLSSSFCKRWQHLADTLLSIKAIPDEDKGLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTFSLKLRKRRSLVLERLNQAPVDGSGGPSLDASGSCSSSSQGSQLDF >OGLUM06G23450.1 pep chromosome:ALNU02000000:6:26080692:26081693:1 gene:OGLUM06G23450 transcript:OGLUM06G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMADVLSQGYVYLAMALVVLLGVLLTKRSRTATAQRRLPPGPWQLPVIGSLHHLIGKLPHHAMRDLTRRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAGTMGGRDIAFAPYGDYWRQLRKIAATELLSAPRVASFRAIREEEVAATLRTVAAAAADGRAVELRAALCALVTDSTSRAVVGDRCKESDALIRAFDRSMELASGFNPADLWPSSRLAGLLSGGVHEIEANLHTVFGILDRLIEKRLQQKKTAPSSAAGEDILDALLRIHKEGGGLQFPLDMDSIKLIIAVSDQLHACLRVISHEEQVLSPRFVF >OGLUM06G23460.1 pep chromosome:ALNU02000000:6:26100479:26104951:1 gene:OGLUM06G23460 transcript:OGLUM06G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDAKMEDASHGYVYLAMAVVALLGVLLTKRSRTATAQRLPPGPWQLPVIGSLHHLAGKLPHHAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAQEVMRTHDAVFATRALSATVRAATMGGRDIAFAPYGDRWRQLRKIAATQLLSARRVASFRAIREEEVATMLRAVAAAAADGRAVEMRAALCVVVSDSTARAMVGESCQERDAFLREIDRSMELVSGFNPEDLWPSSRLAGRLSGAVRKIEASLHTVLGIFDRIIQKRLQEKIGGAGGGAAGEDILDVLLRIHKDGGGGGLQVPLDMDDITLVITDLFSGGGETVATLLVWAMAELIRNPMAMQKATTEVRRAFALAGAVSEGKGALGELRYLHLVIKEASRLHPPAPLLLPRECSEPCQVLGYDVPRGTQVLVNAWAIGRDERCWTGGSGDGSSPEEFRPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGMAFALANIELTLASLLFHFDWEVPDMADPAKLDMTETLGITARRKGDLLLRPVLRMPVPGVY >OGLUM06G23470.1 pep chromosome:ALNU02000000:6:26115812:26116573:1 gene:OGLUM06G23470 transcript:OGLUM06G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQLQNAHACALFLTIVSSTYSYSLFNDPPSLHMQDLFSGGGETVATLLVWAMAELIRNPMAMQKATAEVRRAFALPGVVSEGEGALGELRYLHLVIRETFRLHPPGPLLLPRECSEPCQVLGYDVPRGTQVLVNVWAIGRDERCWPAAAGGGSPEEFWPERFEDGAEAVDLRGNNFELLPFGAGRRMCPGVAFALANIELTLASLLFHFDWEVPGMADPAKLDMAEALGITARRKGDLLLRPVLRMPVPGV >OGLUM06G23480.1 pep chromosome:ALNU02000000:6:26129859:26134325:1 gene:OGLUM06G23480 transcript:OGLUM06G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHTYLYLGLALVSLLAVQLARRRSSSAAHGSGALRLPPGPWQLPVIGSLHHLVGKLPHQAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAREVTKTHDVSFATRPLSATTRVFSNGGRDIVFAPYGDYWRQLRKITVTELLSARRVASFRAIREEEVAAMLRAVGGYAAAGCAVEIRPLLAALVSDSTVRAVMGDRFPHRDVFLRELDRSIELMAGFNPADLWPSSRLAGCLTGTIRQAKKCWDTMSSVLESTIQEHLQKNGSSGGGAGATDEDLIDVLLRIQKEGGLQFPFDMDVIKTSTRALERAPPLTLVDVEGRRSQMLLGDQRGGQIRWTPNSGLLDLASVPPPSPLPLARERMSSFLSRERVLLPLAKERMLPLLARERLIRNPMAMKKATAEVRQAFAAAGVVSEAALSELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTHVLVNVWAIGRDPRYWPGGSPEEFRPERFGDGEPAAALDFKGTDYELLPFGAGRRMCPGLAFGLANVELPLASLLFHFDWEVPGMADPTKLDMTEAFGIGVRRKADLIIRPILRVPVPGV >OGLUM06G23480.2 pep chromosome:ALNU02000000:6:26129859:26134325:1 gene:OGLUM06G23480 transcript:OGLUM06G23480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADLHTYLYLGLALVSLLAVQLARRRSSSAAHGSGALRLPPGPWQLPVIGSLHHLVGKLPHQAMRDLARRHGPVMMLRLGEVPTLVVSSPEAAREVTKTHDVSFATRPLSATTRVFSNGGRDIVFAPYGDYWRQLRKITVTELLSARRVASFRAIREEEVAAMLRAVGGYAAAGCAVEIRPLLAALVSDSTVRAVMGDRFPHRDVFLRELDRSIELMAGFNPADLWPSSRLAGCLTGTIRQAKKCWDTMSSVLESTIQEHLQKNGSSGGGAGATDEDLIDVLLRIQKEGGLQFPFDMDVIKSVIHLIRNPMAMKKATAEVRQAFAAAGVVSEAALSELRYLHLVIKETLRLHPPGPLLLPRECREQCKVLGYDVPRGTHVLVNVWAIGRDPRYWPGGSPEEFRPERFGDGEPAAALDFKGTDYELLPFGAGRRMCPGLAFGLANVELPLASLLFHFDWEVPGMADPTKLDMTEAFGIGVRRKADLIIRPILRVPVPGV >OGLUM06G23490.1 pep chromosome:ALNU02000000:6:26142358:26157284:1 gene:OGLUM06G23490 transcript:OGLUM06G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDYFYLGLALASLLVVLFARHRRSAAHGDGGLRLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGPVMMLRLGEVPTLVVSSRDAAREVMRTHDAAFASRPLSASVRAATKGGRDIAFAPYGDYWRQLRKIAVTELLSARRVLSFRPIREEEVAATLRAVAAAAADGRTVELRAALCALVADSTVRAVVGERCAGLDVFLRQLDRAIELAAGLNVADLWPSSRLAGRLSGAVRQAERCRDTMFGVLDGIIQAHLEKTGGAGEDILDVLLRIHKEGGLEFPLDMDAVKCVVVDVISGGCETSATTLGWAFAELIRNPAAMKKATAEVRRDFEAAGAVSESALAVGELPYLRLVVRETLRLHPPLPLLLPRECREPCRVLGYDVPRGAQVLVNAWAIGRDERYWPGGSPEEFRPERFGDGEAAAAVDFKGADFELLPFGGGRRMCPGMAFGLANVELPLASLLFHFDWEASGVADPTEFDMTEAFGITARRKANLLLRPILRVPVPGDRDVFLRKLQRTIELSAGFNPADLWPSSRLAGRLGGAVREAEECHDTVYGILDGIIQEHMERTSSGSCGAGDGDGDGDGYGEDLLDVLLRIQKEGGLEFPLDMLAIKQVIFDIFGAGSETSATTLEWVMAELIRNPKAMRKATAEVRRAFAADGVVLESALGKLHYMHLVIRETFRLHTPLPLLLPRECREPCRVLGYDVPRGTQVLVNVWAIGRDERYWPGGSPEEFRPERFEDGEAAAAVDFRGADFELLPFGAGRRMCPGLAFGLANVELALASLLFHFDWEAPDVADPAEFDMTEGFGITARRKADLPLRPTLRVPVLEKKMVDASDGDGYVYVGLAVVSLFVVLLAWRSR >OGLUM06G23500.1 pep chromosome:ALNU02000000:6:26157295:26177186:1 gene:OGLUM06G23500 transcript:OGLUM06G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAGYGFRRGHGRCRLHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVMKTHDMAFATRPLSATLHVITCDGRDLVFAPYGDYWRQVRKIAVTELLTVRRVSSFRSIREEEVAAVLRTVAAAAAVGEAAPARTVEMRAALSALVTDITARTAFGNRCKDREEYLVLLERIIEIAGGFNPTDLWPSSRLAGRLSGVVRRAEACRNSAFKILDGIIQEHQERTGAGSEDLVDVLLRIQKEGELQFPLAMDDIKSIIFDIFGAGSETSGTALAWAMAELIRNPTVMRKATAEVRRAFAAAGAVSEDGLGELPYLHLVIRETFRLHPPLPLLLPRECREPCRLLGYDVPRXGTSFIFTPCRLLGYDVPRGTQVLVNAWALGRDERYWPGGSPEEFRPERFEDGEATAAVNFRGADFEFLPFGGGRRINEGYTYRLGMYIQTAT >OGLUM06G23500.2 pep chromosome:ALNU02000000:6:26157295:26178681:1 gene:OGLUM06G23500 transcript:OGLUM06G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATAGYGFRRGHGRCRLHHLAGQLPHRAMRDLARRHGPVMLLRIGEVPTLVVSSRDAAREVMKTHDMAFATRPLSATLHVITCDGRDLVFAPYGDYWRQVRKIAVTELLTVRRVSSFRSIREEEVAAVLRTVAAAAAVGEAAPARTVEMRAALSALVTDITARTAFGNRCKDREEYLVLLERIIEIAGGFNPTDLWPSSRLAGRLSGVVRRAEACRNSAFKILDGIIQEHQERTGAGSEDLVDVLLRIQKEGELQFPLAMDDIKSIIFDIFSAGSETSAMTLAWAMAELIRNPTAMHKVTAEVRRAFATAGAVSEDALGELPYLHLVIRETLRLHPPLPLLLPRECREPCRVLGYDVTRGTQVLVNAWAIGRDERYWPGGSPEEFRPERFEDGEATAAVDFRGADFEFLPFGAGRRMCPGMAFGLANVELPLASLLFNFDWEVPGLADPAKLDMTEAGLSLAYILFY >OGLUM06G23500.3 pep chromosome:ALNU02000000:6:26176485:26178681:1 gene:OGLUM06G23500 transcript:OGLUM06G23500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADASHSSVVVYVGLALVSLFVVLLARRRRSPPPAAHGDGGLRLPPGPWTLPIIGSLHHLVGQLPHRAMHDLARRHGPVMLLRIGEVPTLVVSSRDAAREVTKIHDTAFAMRPLSATLCVLTNGGRDLVFAPFGDYWRQVRKIAVTELLTARRVHSFRSIREEEVAALLRAVAVAAGTVEMRAALSALVSDITARTVFDNRCKDRGEFLVLLERTIEFAGGFNPADLWPSSRLAGRLSGVVRRAEECRNSVYKILDGIIQEHQERTSAGGEDLVDVLLRIQKEGGLQFPLAMDDIKSIIFDIFSAGSETSAMTLAWAMAELIRNPTAMHKVTAEVRRAFATAGAVSEDALGELPYLHLVIRETLRLHPPLPLLLPRECREPCRVLGYDVTRGTQVLVNAWAIGRDERYWPGGSPEEFRPERFEDGEATAAVDFRGADFEFLPFGAGRRMCPGMAFGLANVELPLASLLFNFDWEVPGLADPAKLDMTEAGLSLAYILFY >OGLUM06G23510.1 pep chromosome:ALNU02000000:6:26180603:26190660:-1 gene:OGLUM06G23510 transcript:OGLUM06G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSVLAYLLVVALLAIVPLVYFGWVARRRGEGGRLPPSPWGLPVIGHLHHLAGALPHHAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMRTRDIEFATRPMSRMTRLVFPAGTEGIIFAPYGDEWRELRKVCTVELLSARRVQSFRAVREEEVGRLLRAVAAMSSSPSPAQAAVNLSALLSAYAADSAVRAIIGSRFKDRDKYLMLLERGLKLFARHTLPDLYPSSRLAMWLSRMPRRMMQHRREAYAFTDAIIREHQENRAAGAGDGDGDDKEDLLDVLLRIQREGDLQFPLSTERIKTTDMFAGGSETAGTALQWIMAELIRNPRVMHKVQDEVRQTLAGRDRVTEDAISNLNYMHLVIKEALRLHPPVPLLLPRECRNTCQVLGFDVPKGAMVLVNAWAISRDPQYWDEPEEFIPERFEDSNIDFKGTNFEYTPFGAGRRMCPGIVFGLANVELTLASLLYHFDWQLPDGMDTADLDMTEEMVVTMAAFLVYVLVLVPLAVVPFVYFNRVARRRGGDVRLPPSPWGLPVIGHLHHLVGALPHVAMRDLARRHGPLMLLRLGELPVVVASSAEAAREVMKTRDLDFATRPMSRMARLVFPEGGEGIIFAPYGDRWRELRKICTVELLSARRVQSFRPVREEEAGRLLRAVAAASPGQAVNLSELLSAHAADSSVRAIMGDRFRDRDAFLAMLERGLKLFAKPALPDLYPSSRLAMLLSRMPRRMKQHHRDMVAFLDAIIQEHQENRSAAAADDNDLLDVLLRIQREGDLQFPLSSESIKATIGDMLVGGSETAATTLHWIMAELVRNPKVMQKAQDEVRRELIRHRKVTEDTLCRLNYMHMVIKEALRLHPPGSLLLPRECRRTCQVLGYDIPKGATVFVNVSAIGRDPKYWDKAEEFIPERFEHSDVDFKGTHFEYTPFGAGRRMCPGMAFGLANVELTLASLLYHFNWELPSGIHAENLDMTEEMQFTTRRLHDLVLIPVVHVPLPTI >OGLUM06G23520.1 pep chromosome:ALNU02000000:6:26192076:26194823:-1 gene:OGLUM06G23520 transcript:OGLUM06G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPVYLLFLAALIILPMANLIRSARHRRRAGIRRPPPGPWALPVIGHLHHLAGKLPHHHKLRDLAARHGPLMLLRFGELPVVVASSAGAAREITKTHDLAFATRPVTRTARLTLPEGGEGIIFAPYGDGWRQLRKICTLELLSARRVQSFRAVREEEVRRLLLAVASPSPEGTTATASVVNLSRMISSCVADSSVRAIIGSGRFKDRETFLRLMERGIKLFSGPSLPDLFPSSRLAMLVSRVPGRMRRQRKEMMEFMDTIIEEHQAAREASMELEKEDLVDVLLRVQRDGSLQFSLTTDNIKAAIADLFIGGSETAATALQWAMSELLNNPKVMQKAQDEIRQVLYGQERITEETISSLHYLHLVIKETLRLHPPTPLLLPRECREPCQILGFDVPKGAMVLINAWSIGRDPSNWHAPEKFMPERFEQNNIDFKGTSFEYIPFGAGRRICPGMTFGLANIELLLASLLYHFDWELPHGMQAGDLDMTETLAVTARRKADLLVVPVVRVPIVG >OGLUM06G23530.1 pep chromosome:ALNU02000000:6:26202591:26205228:1 gene:OGLUM06G23530 transcript:OGLUM06G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVKSNPLQGSPWSLLNLLVLIIVAAMICGELRHRRRRRRRGENGGATRLPPGPWRLPFVGSLHHLAVMRPRGVVVHRALAELARRHDAPVMYLRLGELPVVVASSPEAAREVLKTHDAAFATRAMSVTVRESIGDKVGILFSPYGKKWRQLRGICTLELLSVKRVRSFRPIREEQVARLVDAIAAAAAAAASSTAEAVNVSRQITGPMTDLALRAIMGECFRWREEFLETLAEALKKTTGFGVADMFPSSRLLRAVGSTVRDVKLLNAKLFELVECAIEQHREQIRAAHDDDDDAHGHGDKECLLNTLMRIQKEGDDLDDTLTMATVKAVILDMFAGGSESTSTTLEWALSELVRNPHVMQKAQAEIRHVLQGKSRVTEDDLINLKYPKNIIKETLRLHPVAPLLVPKECQESCKILGYDVPKGTIMFVNAWAIGRDSRYWNDAEVFMPERFEKVAVDFRGTNFEFIPFGAGRRMCPGITFANATIEMALTALLYHFDWHLPLGVTPDGLDMEEEFGMSVSRKRDLYLRPTLHMGLETI >OGLUM06G23540.1 pep chromosome:ALNU02000000:6:26206293:26207817:-1 gene:OGLUM06G23540 transcript:OGLUM06G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLFLATVLILSLAFVKLWPRNNGENLPPGPWQLPVIGSLHHLAGKLPHRAMRDLARRHGELMLLRLGELPVVVASSPAAAREVMRTHDAAFATRPQKATLRALTRDGLGVAFAPQGEHWRCLRKLCVTELLGARRVRCLRRARESEAAALVASLSSSSSTTTSEPVNVSSLVARYVTDAVVRAVVGDRISDRDAFLERLEEGVKVAAGFTLADVFPSLRLARALSGAARRAEAHSREMTRLMDGVIEEHRQRRAATGWRDEEDEDLLDVLLRIQKDGGLQIPLDMGTIRAVIIDLFSAGSETTGTTLQWAMAELMRNPAALRKAQAEVRGVLAGHTHVTEDALPDLHYLHLVIKETLRLHVAVPLLLPRECQEPRRRVLGYDVPERAMVLVNAWAICRDAAVWGPDAEEFRPERFDGGGAVDFRGTDFEFVPFGAGRRMCPGVAFAVAIMELGLASLLFHYNYLAPCNCVF >OGLUM06G23550.1 pep chromosome:ALNU02000000:6:26208914:26210457:-1 gene:OGLUM06G23550 transcript:OGLUM06G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFAVADILDDPFPLPSHHLAKRGRCSSSAASAADLGVSLEFDPIEVLQLIFPHEDPQLLKSFFEASGNVLDAAIRGFKHRLQSHTDTEITETASGDTGNEVFSPKVESDMSAMNIPSNGSEWAELVVKEMSSALDLVDAKNRAFRLLDLFEKSTAACTSPVEMRKMREEHKILKLMLGGLLEQNGVLKRAFLKQHNRLNDYEKKMSQERSQIIDTYEKEIKALQHRNYVLSLHLAQATQHGIISGHCNPDVF >OGLUM06G23560.1 pep chromosome:ALNU02000000:6:26213703:26217580:-1 gene:OGLUM06G23560 transcript:OGLUM06G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAAASSRKRGFTDILDDPCPYRCATWRSVAGARRRPPPRLIRSQPDLPHREVLQIKNYGNAY >OGLUM06G23570.1 pep chromosome:ALNU02000000:6:26219286:26219621:-1 gene:OGLUM06G23570 transcript:OGLUM06G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNSRCDGLGMDDQWERQCHWQAAGVASSSASSGCGVFDVDEALEQRERWRFRRRCATSSGAAMATDLGPAAAATPTSSGLDLRQATAASPQSQHSVSIGDDFIDLIDL >OGLUM06G23580.1 pep chromosome:ALNU02000000:6:26225661:26228730:-1 gene:OGLUM06G23580 transcript:OGLUM06G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSAIGPICGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPEMTNASDMGDARARASRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >OGLUM06G23580.2 pep chromosome:ALNU02000000:6:26225661:26228474:-1 gene:OGLUM06G23580 transcript:OGLUM06G23580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPAAADSCQTEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >OGLUM06G23580.3 pep chromosome:ALNU02000000:6:26225659:26228474:-1 gene:OGLUM06G23580 transcript:OGLUM06G23580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSSAIFADDLLPPSPPSPHSHHHPAKRSRRSPHRRREAHLHHLSSLFPGMDPQLLEGALDASGDDLDSAIKSLNNLRLESTEAILSATGCKSENGLPTAVYPSVEGIVNNGGVSTANEHPAAADSCQTGNSGSEWVELFVREMTNASDMGDARARASRALEVLEKSIVERTGADAAQNLQKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSQEVQSLKQLVVQYQEQLRTLEINNYALTMHLKQAQQNNSIPGHFNPDVF >OGLUM06G23590.1 pep chromosome:ALNU02000000:6:26232237:26239558:-1 gene:OGLUM06G23590 transcript:OGLUM06G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSSARKKAPSPPKHRHDGTSGLPFGMDWSPPPKRWEGRNTIWPHNPQTGWSYCVMIPSWIAQTPEASATADNFLKSVVFYRIHVGIQSPEGISSSHGVLRRFSDFLKLSSDLKQEFPRKGIPPAPPKHAFSRINSSRVLLEERRNALEEWMQKLLSDIELSRSAPVAAFLELEAAARSYYQDWNQRPSEVGSSAKSSADSSPRPDEHGVLSESSQMNSAFAHGNGPTGATGNGMLGESILDQPNERVSSMSNHRKKNHVFLEHGVRNGSIDTYKGVVSEEDHDSNPGHARKDSAESIGSDLSSLRGSELSVPGVSSSLWDGPVDLPSGIDGHSQTEQFTGLDMQLLYDMDAQIILPADQRPKLTRLLISMDRRQVTAKTDMEDLIARLNQEVAVKEYLATKVKDLEVELEATKQKDKEILHQAVLTEREKITQLQWDKDELYRKYSEMESNLKIEQNEKTRVQSEKTTASGEKEMLLEELETKRKEVESLQQHIGEFEAKSKADIKVLVKEVKSLRNSQKEMKKVLNQYHEEKTELERIVNREKQRSTRARFSREKILHECRLLRERLQECTAKFVADEQDTMTIDLSSLPDALDLVTTSDNRIRLLVAEAQLLSRDDEQGSSDDGDNSDGKSSVTMSSEDAYVTDEETTKMLSDLLIDNAQLRLRLNSLIRNAVNTAVKTEKEGSDGTVPKKTVLNWLLDR >OGLUM06G23600.1 pep chromosome:ALNU02000000:6:26241488:26244932:-1 gene:OGLUM06G23600 transcript:OGLUM06G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACE3] MDRHIPSNLDREPNSPSGWKGLQPSQINAALGVSCIQRPVASLCRTFLLSKKPKLGLKSPLRELLLLRFSSSTSNLDACIRLRLRLRRELAAAPAMSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTPTEVKECVIKGRMD >OGLUM06G23600.2 pep chromosome:ALNU02000000:6:26241490:26244932:-1 gene:OGLUM06G23600 transcript:OGLUM06G23600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACE3] MDRHIPSNLDREPNSPSGWKGLQPSQINAALGVSCIQRPVASLCRTFLLSKKPKLGLKSPLRELLLLRFSSSTSNLDACIRLRLRLRRELAAAPAMSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTVATDFQRVFKIHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPQTFASLVSALLYEKRFGPYFCQPVIAGLGEDNEPFICTMDCIGAKELAKDFVVSGTASESLYGACESMYKPNMEPEELFETISQALQSSVDRDCLSGWGGFVLLVTPTEVKECVIKGRMD >OGLUM06G23610.1 pep chromosome:ALNU02000000:6:26249873:26250490:1 gene:OGLUM06G23610 transcript:OGLUM06G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPEKLMTQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMANIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKEKEKAVDEDDLSRRLAELKARG >OGLUM06G23620.1 pep chromosome:ALNU02000000:6:26261388:26262209:1 gene:OGLUM06G23620 transcript:OGLUM06G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G10940) TAIR;Acc:AT2G10940] MAGSTKLRPFLLTLLLLLSTTVAPILAEYDPECDCDKPKHPKPSHPSPSPGHPKGPKNPTPRPPKGPTYPSPVTRPPPLVAPPKVPVTHPPKGPVTRPPPVTYPTPPVTTPPVVVGPPVTYPTPPVTTPPVVVGPPVTYPTPPVTTPPVVVGPPVTYPTPPVTYPTPPVTYPTPPTTTPCPPPPPATQRCPVDSLKIGACVDLLGGLVHVGIGDPVVNKCCPLLEGLVELEAAVCLCTTIRLKLLNINIYLPLALQLLLTCGKNPPPGYTCSI >OGLUM06G23630.1 pep chromosome:ALNU02000000:6:26264301:26267015:-1 gene:OGLUM06G23630 transcript:OGLUM06G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRALEAHCVGRRIARCAVADDPKVVVAAAGGRVAFERAMVGRTIVAARRRGKNLWLRLDAPPFPSFQFGMAGAIYIKGVPVTKSVVSSTDEWPSKYSKFFVQLDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSSDSFADSLSRKKIGIKALLLDQSFISGIGNWIADEVLYQSRTHPLQIASSLSRESCEALHQSIQEVVKYAVEVDADCDRFPVEWLFHHRWGKKPGKVNGQKIEFITAGGRTTAYVPQLQKLTGMQSSKVVIANPEQVAENDDAKEIDTDPEEADNLNTRKRGATSRVARGKQKRDAIGALSRKTRKNGGGKEKPGSDYSEDDAETAEPNKVSTSSKGEKADPVRRSSRTASKPRQIIQRCPLGNWKV >OGLUM06G23640.1 pep chromosome:ALNU02000000:6:26269571:26272335:-1 gene:OGLUM06G23640 transcript:OGLUM06G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heptahelical transmembrane protein1 [Source:Projected from Arabidopsis thaliana (AT5G20270) TAIR;Acc:AT5G20270] MATMESAYHDELAPAAAPARAKGGGSKKKRKQQKREEKRKECRLVSYHELPDYMKENEFILDYYRSEWPILNALLSLFSWHNETINIWTHLLGFVLFFGLTVLHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSSTRYDTTPVSPRGAASFMQASPASSAGAMAAAWPVTAAAAATTRWPFFVFLAGAMFCLLSSAACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQVVYLSAITAAGVATVYALMSPRLSAARYRAHRALLFVAMGLSGVVPAAHAVAVNWHEPRRNVTLAYEGAMAASYLAGTAFYLTRVPERWRPGMFDLCGHSHQIFHALVIAGALAHYAAAIVFIQARDEMGCPAP >OGLUM06G23650.1 pep chromosome:ALNU02000000:6:26277072:26284784:-1 gene:OGLUM06G23650 transcript:OGLUM06G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNDNWINSYLDAILDAGKGAAASASASAVGGGGGAGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKELEKEEANRLLKRRLETERPRVETTSDMSEDLFEGEKGEDAGDPSVAYGDSTTGNTPRISSVDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSCPGVYRVDLFTRQILAPNFDRSYGEPVEPLASTSFKNFKQERGENSGAYIIRIPFGPKDKYLAKEHLWPFIQEFVDGALSHIVKMSRAIGEEISCGHPAWPAVIHGHYASAGVAAALLSGALNVPMVFTGHFLGKDKLEELLKQGRQTREQINMTYKIMCRIEAEELALDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRYMPRMVIIPPGVEFGHMIHDFDMDGEEDGPSPASEDPSIWSEIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKRHKHSEVPDIYRLAVRTKGAFVNVPYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHAIADALYKLLSEKQLWSKCRENGLKNIHQFSWPEHCKNYLSRISTLGPRHPAFASNEDRIKAPIKGRKHVTFIAVDSVSKEDLIRIVRNSIEAARKENLSGSTGFVLSTSLTIGEIHSLLMSAGMLPTDFDAFICNSGSDLYYPSCTGDTPSNSRVTFALDRSYQSHIEYHWGGEGLRKYLVKWASSVVERRGRIEKQVIFEDPEHSSTYCLAFKVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSKALRYLSVRWGIELQNVVVLVGETGDSDYEELFGGLHKTVILKGEFNTSANRIHSVRRYPLQDVVALDSPNIIGIEGYGTDDMRSALKQLDIRAQ >OGLUM06G23660.1 pep chromosome:ALNU02000000:6:26289051:26293082:-1 gene:OGLUM06G23660 transcript:OGLUM06G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 26 [Source:Projected from Arabidopsis thaliana (AT5G34850) TAIR;Acc:AT5G34850] MGWRFALLLLHVLLCLVNGVSCGRTSSYVRTEYPSTDIPLESEWFAVPNGYNAPQQVHITQGDYNGKAVIVSWVTVAEPGTSEVLYGKNEHQYDQRAEGTVTNYTFYDYKSGYIHHCLVDGLEYNTKYYYKIGSGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKSEGQTVLFVGDLSYADRYQHNDGVRWDSWGRLVERSTAYQPWIWSAGNHEIEYRPDLGETSTFKPYLHRCHTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWTWLKYELKHVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNINYNITSGNRYPVPDKSAPVYITVGDGGNQEGLASRFSDPQPDYSAFREASYGHSILQLKNRTHAIYQWNRNDDGKHVPADNVVFHNQYWASNTRRRRLKKKHFHLDQIEDLISVF >OGLUM06G23670.1 pep chromosome:ALNU02000000:6:26297610:26301428:1 gene:OGLUM06G23670 transcript:OGLUM06G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLLLVLFAVVAACVGAAAAYQPGSAEGHTIAGRIKIDAASAIAKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSLGYLFSPVRVDISARNPGHIQAALTENRRVLNELVLEPLREEQYYEKREPFSIMSLLKSPMGMMLGFMVIMVFVMPKMMENIDPEEIKQAQEQMRNSPVPSFSGLLARANS >OGLUM06G23680.1 pep chromosome:ALNU02000000:6:26306620:26331807:1 gene:OGLUM06G23680 transcript:OGLUM06G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMKKSFPSEIDPLIHLKIKQLHRADGVAGAGHPSLHSPTPTPSLRPSSPVRRRRRLSPAAQPRTSLEERLLEERARPGGGGGDFRPVRVDLLSSFMVGFGEMIASAVIKELSGKLSSPIWNTIMSQVNFKQDLEAIKSMLGSLQAKLNDAERQSQKKESVHDLLKKLKAVAYDIEDRLVAYESISNDGDGSLRHDNWSSFSDKLKSRYNLQGDMKKMRKRLEEIKKEMDLTNFRVDGASDEQDWINSRHSEARLNDSDDIVGRIMEKRRIMDLLLSDEEHSIISIYGLGGLGKTTLAQMVFNDSTTQTAFEMLAWVYVSKKFDLDAIRSSIIQQYNERFQYGNAGIRNVSTESILAEKRSLIVLDDLWEEDNFELEKLKKMLRDGRVIVTTRSKKVAERMNKDLQIELGLLPNEDCWTLFRKRALASTTVHPYMEEIGKNIVKKCQGLPLAVKSLGYLLGHLEPNLWEEILLSDIWAEDDGRFSDNKVLPSLKLSYYNMPSYLRLCFAYCSVFPKGSHIQRSSLIQQWIALGFIQPPRFIVAENYAEDCLRELIGMSFLQNVDMSTAMSSRYTGSQSVLKMHDLVHDLASVVASDEVCIFHASGTELGKRKGYCRYMFLLNFSELSQAPILPHTARALHFKDCRKFPKALSRTKFLRILDFNACTIDTLPDSISQLRLLKYLSVSGMKTAQLPKLLSKLHDLQALTLSKNTDIVELPSCICEFLKLHYLDLHGCSNLEELPEDIHKLKELQHLNLSDCSSLKSLPSFSSLSGGLQKLSFLNVSHFSQLQKLPDNYLPNMINLNMAFCPKLRALPSGLFMHMKKLLFLNFSGCTSLMVLPEFGDDGTGCLLLQVLDLSGCANLLVLPASSTKLSELRCLNLSGCSHLQNFLKLIPHWKFGKLEYLNLSGVGTKSDSEAPGSSAGEIGSLQDHNKELELGMLQENIITQGLFRLKYLSVGGFTLYSEQGIARMADLLTLPNFDVRRQDGGICSNILIIQQILELTHRELNIKCLQNVVSSEEAKQLELEKKQQFHSLSFEWSLSGTGSSVEDEHRAKPSAVLDNLRPHRYLQSLSIKGYTCTVFPDWIDNISDTLPNLVKLILSDIEGCDYIPALGHLPNLQELEINNIPRLHDARIGPCKKLRRLTLVALPNEATILLFYGISMHTEVQMMESSHGCDEEVRETGQEFNTFPDSLPENQVIRNPSDTHCGGPSRKSKILEFKGWFKALRFHTSGETRENETLASALSHISPVPEDNLLSPKLSRGCLGVTSAVFPGLDYLMIERCNNLKLHPNFPKSKEYFIKYSSLSPALDYEDTSNFPTQPKGPYGCYTEGCSQPGQGTLTPLHEDAMQQSIPRLKSKMYIEGSSGEHFHKWIGLISTHLDELVITDCSARCLFNLRKLIRDFPEKHEQAIRTRKHNSMENIYGLSQSCIAKLKKLSMRLPSNNQYERRSTGVMMEDLMDRHVCQVAYINIGTVDFFSVEPIEGGIFCSSNISLLYKHHDVRSSRLVVKNLENVKRPDEAQELANYQQLRSVSLLWSRRDLTQDLSMTQDKGVLQNLRPNQDLETLHIEGFGGDEFCSWMMNVNSFLPNLVTVKLSNMEKCQRLPSLGQLANLEVLHISDMPSVREVDHHVYGDEILFRKLRELRLSRMDNLEEWPTATLMAAQDDHQFSQGDGNFPNLQVLAIVDCPRMRFVPAFPGSQECTLVKSSSILASFKRFITNTNLALMTLKINDCGASAYIGKFLQGSVNLEHLTIDSFIDLNSLPEPMRECRSLKKLFITNCWNLSALPEWLGELMSLRMLEVQATKLKSLPQSIQCLTALEWLILAKCNYKLRARCTSGEDKDKIKHINIVETTQVPLMNLNSSDMTLLQQVTSSQFIDLHIGGLEQEIDLKEADTLELQTKMELSSLSLNWSYASAERGMQNKAVFEKLQPHDGLEILCIKNYAGIDFPRWMSSLRNLLKLEMDGTEFEHLHLDQLHNLRVMYLSNVKFGKLHLDRLQNLIELYLFRVRFENLLLDQLHSLREMCLSCVEFGRLHFDQLQNLRQLNLTSMKFEYVLLNQLHSLEELHLSQISSLQSNQSACIECTEPLWKLQRIVMSKIKDQELKISMQGRESDENLFPSLQHFETELCENLRFRPSIPRSTHYIISDRADLKPLTPRVHFFPSFKKVMGLSAPGSTSKMEIKNIESLSFQTPQLRHLKLLDITELTIYNCVDSCPLPECILCWKSLRKIQILRCKNIYSLPEWFGEMASLSELVMETYTMRTLNPCIQRLTNLQTLTLSECTKKLKERCSKSGDDWMKIKHIPYIQITEGDGC >OGLUM06G23680.2 pep chromosome:ALNU02000000:6:26306620:26333024:1 gene:OGLUM06G23680 transcript:OGLUM06G23680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMKKSFPSEIDPLIHLKIKQLHRADGVAGAGHPSLHSPTPTPSLRPSSPVRRRRRLSPAAQPRTSLEERLLEERARPGGGGGDFRPVRVDLLSSFMVGFGEMIASAVIKELSGKLSSPIWNTIMSQVNFKQDLEAIKSMLGSLQAKLNDAERQSQKKESVHDLLKKLKAVAYDIEDRLVAYESISNDGDGSLRHDNWSSFSDKLKSRYNLQGDMKKMRKRLEEIKKEMDLTNFRVDGASDEQDWINSRHSEARLNDSDDIVGRIMEKRRIMDLLLSDEEHSIISIYGLGGLGKTTLAQMVFNDSTTQTAFEMLAWVYVSKKFDLDAIRSSIIQQYNERFQYGNAGIRNVSTESILAEKRSLIVLDDLWEEDNFELEKLKKMLRDGRVIVTTRSKKVAERMNKDLQIELGLLPNEDCWTLFRKRALASTTVHPYMEEIGKNIVKKCQGLPLAVKSLGYLLGHLEPNLWEEILLSDIWAEDDGRFSDNKVLPSLKLSYYNMPSYLRLCFAYCSVFPKGSHIQRSSLIQQWIALGFIQPPRFIVAENYAEDCLRELIGMSFLQNVDMSTAMSSRYTGSQSVLKMHDLVHDLASVVASDEVCIFHASGTELGKRKGYCRYMFLLNFSELSQAPILPHTARALHFKDCRKFPKALSRTKFLRILDFNACTIDTLPDSISQLRLLKYLSVSGMKTAQLPKLLSKLHDLQALTLSKNTDIVELPSCICEFLKLHYLDLHGCSNLEELPEDIHKLKELQHLNLSDCSSLKSLPSFSSLSGGLQKLSFLNVSHFSQLQKLPDNYLPNMINLNMAFCPKLRALPSGLFMHMKKLLFLNFSGCTSLMVLPEFGDDGTGCLLLQVLDLSGCANLLVLPASSTKLSELRCLNLSGCSHLQNFLKLIPHWKFGKLEYLNLSGVGTKSDSEAPGSSAGEIGSLQDHNKELELGMLQENIITQGLFRLKYLSVGGFTLYSEQGIARMADLLTLPNFDVRRQDGGICSNILIIQQILELTHRELNIKCLQNVVSSEEAKQLELEKKQQFHSLSFEWSLSGTGSSVEDEHRAKPSAVLDNLRPHRYLQSLSIKGYTCTVFPDWIDNISDTLPNLVKLILSDIEGCDYIPALGHLPNLQELEINNIPRLHDARIGPCKKLRRLTLVALPNEATILLFYGISMHTEVQMMESSHGCDEEVRETGQEFNTFPDSLPENQVIRNPSDTHCGGPSRKSKILEFKGWFKALRFHTSGETRENETLASALSHISPVPEDNLLSPKLSRGCLGVTSAVFPGLDYLMIERCNNLKLHPNFPKSKEYFIKYSSLSPALDYEDTSNFPTQPKGPYGCYTEGCSQPGQGTLTPLHEDAMQQSIPRLKSKMYIEGSSGEHFHKWIGLISTHLDELVITDCSARCLFNLRKLIRDFPEKHEQAIRTRKHNSMENIYGLSQSCIAKLKKLSMRLPSNNQYERRSTGVMMEDLMDRHVCQVAYINIGTVDFFSVEPIEGGIFCSSNISLLYKHHDVRSSRLVVKNLENVKRPDEAQELANYQQLRSVSLLWSRRDLTQDLSMTQDKGVLQNLRPNQDLETLHIEGFGGDEFCSWMMNVNSFLPNLVTVKLSNMEKCQRLPSLGQLANLEVLHISDMPSVREVDHHVYGDEILFRKLRELRLSRMDNLEEWPTATLMAAQDDHQFSQGDGNFPNLQVLAIVDCPRMRFVPAFPGSQECTLVKSSSILASFKRFITNTNLALMTLKINDCGASAYIGKFLQGSVNLEHLTIDSFIDLNSLPEPMRECRSLKKLFITNCWNLSALPEWLGELMSLRMLEVQATKLKSLPQSIQCLTALEWLILAKCNYKLRARCTSGEDKDKIKHINIVETTQVPLMNLNSSDMTLLQQVTSSQFIDLHIGGLEQEIDLKEADTLELQTKMELSSLSLNWSYASAERGMQNKAVFEKLQPHDGLEILCIKNYAGIDFPRWMSSLRNLLKLEMDGTEFEHLHLDQLHNLRVMYLSNVKFGKLHLDRLQNLIELYLFRVRFENLLLDQLHSLREMCLSCVEFGRLHFDQLQNLRQLNLTSMKFEYVLLNQLHSLEELHLSQISSLQSNQSACIECTEPLWKLQRIVMSKIKDQELKISMQGRESDENLFPSLQHFETELCENLRFRPSIPRSTHYIISDRADLKPLTPRVHFFPSFKKVMGLSAPGSTSKMEIKNIESLSFQTPQLRHLKLLDITELTIYNCVDSCPLPECILCWKSLRKIQILRCKNIYSLPEWFGEMASLSELVMETYTMRTLNPCIQRLTNLQTLTLSECTKKLKERCSKSGDDWMKIKHIPYIQITEGDGC >OGLUM06G23680.3 pep chromosome:ALNU02000000:6:26315100:26339908:1 gene:OGLUM06G23680 transcript:OGLUM06G23680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVHDLASVVASDEVCIFHASGTELGKRKGYCRYMFLLNFSELSQAPILPHTARALHFKDCRKFPKALSRTKFLRILDFNACTIDTLPDSISQLRLLKYLSVSGMKTAQLPKLLSKLHDLQALTLSKNTDIVELPSCICEFLKLHYLDLHGCSNLEELPEDIHKLKELQHLNLSDCSSLKSLPSFSSLSGGLQKLSFLNVSHFSQLQKLPDNYLPNMINLNMAFCPKLRALPSGLFMHMKKLLFLNFSGCTSLMVLPEFGDDGTGCLLLQVLDLSGCANLLVLPASSTKLSELRCLNLSGCSHLQNFLKLIPHWKFGKLEYLNLSGVGTKSDSEAPGSSAGEIGSLQDHNKELELGMLQENIITQGLFRLKYLSVGGFTLYSEQGIARMADLLTLPNFDVRRQDGGICSNILIIQQILELTHRELNIKCLQNVVSSEEAKQLELEKKQQFHSLSFEWSLSGTGSSVEDEHRAKPSAVLDNLRPHRYLQSLSIKGYTCTVFPDWIDNISDTLPNLVKLILSDIEGCDYIPALGHLPNLQELEINNIPRLHDARIGPCKKLRRLTLVALPNEATILLFYGISMHTEVQMMESSHGCDEEVRETGQEFNTFPDSLPENQVIRNPSDTHCGGPSRKSKILEFKGWFKALRFHTSGETRENETLASALSHISPVPEDNLLSPKLSRGCLGVTSAVFPGLDYLMIERCNNLKLHPNFPKSKEYFIKYSSLSPALDYEDTSNFPTQPKGPYGCYTEGCSQPGQGTLTPLHEDAMQQSIPRLKSKMYIEGSSGEHFHKWIGLISTHLDELVITDCSARCLFNLRKLIRDFPEKHEQAIRTRKHNSMENIYGLSQSCIAKLKKLSMRLPSNNQYERRSTGVMMEDLMDRHVCQVAYINIGTVDFFSVEPIEGGIFCSSNISLLYKHHDVRSSRLVVKNLENVKRPDEAQELANYQQLRSVSLLWSRRDLTQDLSMTQDKGVLQNLRPNQDLETLHIEGFGGDEFCSWMMNVNSFLPNLVTVKLSNMEKCQRLPSLGQLANLEVLHISDMPSVREVDHHVYGDEILFRKLRELRLSRMDNLEEWPTATLMAAQDDHQFSQGDGNFPNLQVLAIVDCPRMRFVPAFPGSQECTLVKSSSILASFKRFITNTNLALMTLKINDCGASAYIGKFLQGSVNLEHLTIDSFIDLNSLPEPMRECRSLKKLFITNCWNLSALPEWLGELMSLRMLEVQATKLKSLPQSIQCLTALEWLILAKCNYKLRARCTSGEDKDKIKHINIVETTQVPLMNLNSSDMTLLQQVTSSQFIDLHIGGLEQEIDLKEADTLELQTKMELSSLSLNWSYASAERGMQNKAVFEKLQPHDGLEILCIKNYAGIDFPRWMSSLRNLLKLEMDGTEFEHLHLDQLHNLRVMYLSNVKFGKLHLDRLQNLIELYLFRVRFENLLLDQLHSLREMCLSCVEFGRLHFDQLQNLRQLNLTSMKFEYVLLNQLHSLEELHLSQISSLQSNQSACIECTEPLWKLQRIVMSKIKDQELKISMQGRESDENLFPSLQHFETELCENLRFRPSIPRSTHYIISDRADLKPLTPRVHFFPSFKKVMGLSAPGSTSKMEIKNIESLSFQTPQLRHLKLLDITELTIYNCVDSCPLPECILCWKSLRKIQILRCKNIYSLPEWFGEMASLSELVMETYTMRTLNPCIQRLTNLQTLTLSECTKKLKERCSKSGDDWMKIKHIPYIQITEGDGC >OGLUM06G23690.1 pep chromosome:ALNU02000000:6:26345187:26350832:1 gene:OGLUM06G23690 transcript:OGLUM06G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTTSDSVSDTDWSGLQHLVNLEIMIIHDSNCLRSLPDGIRGLRHLKKLEITKCRSFVMLPSCIGDLANLEELIVYKCGRLATLTESMQRLVHLQKLVITSDADGKDLFFVHSTRKHSNIVEITEPHSVGGSISSIVLLQRISRVTSSNLSIFSLENVSSPEEAAKADIAKISNLRALRLHWSVNSDCAVEGPKVQDEAVLANLQPPRGLVVLDIDGYRGSVFRGWLSDASTLPCIREITLSSLSRCRHLPLLGLLPNLELLQIAGMPELTKVAGQPFKKLRELVLARFENLEEWSTEISSDDGQVMDIPMFPNLEYLEIKSCHELRFSPSFPASKKYLIHNSNKVLSFQGVLGISPTSSSEMEIHNCHFSPDDLRGFQYLSNLEELTISNCSALTTSPGSIKGLHSLKKLHVLECQDFATLPGCFGTLSSLQELTISKCSTLTTLPESIAGLHSLKKLHVFRCHNFTTLPECFGHLSSLQEVRIDSSAKLASLPESLRCTTCLVDLIFWCDDELERQYRSGIDSHKFAHIKNVKINGAGTSEHAKPEPKMLVLPEKPAVMISDTDTIGDPFKDTSVHTQ >OGLUM06G23700.1 pep chromosome:ALNU02000000:6:26351687:26364775:1 gene:OGLUM06G23700 transcript:OGLUM06G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGKPGSKVIVTTRSERIAKRMNSKLPFKLDALPGEDCWNLFRTMAFPAGVMDPQTLEKEKVGQQIVERCRGVPLAVKSLAYRLQNEPLRRWEETLKSDLWEEEQNPTSATRSTAILPSLKLSYYYMPEYLRPCFAYFAVFPKGFVVEKISLIQRWTALGFVAPTHHPDDYLQELVGMSFLQAVSVSPPISQRYCKPPSTLFQMHDLVHQLAMSVSSDEVAVSDGSRGGRSCLKMENCRYMLFSNFNGLAPQRNGMPSKARAIHFKDCTALKPSPNIFSKTEWLRVLDLSGLGTGELPESMKNLHHLQVLNLSENTCLTKLPSLICDFQKLSYLDLHSCSSIIELPESIHKLNELIYLDLSKCSSLRELPMNSRGLQKLSSLNLSGCSQLEKLPDTFGYLKNLKDLNMSFCCQLKQLQTGFFNDMKEIRFLNMQRCSNVRELPDSIRKVEELRYMDLSNCSSICKLPTITGGLQKLYSLNLSGCSQLEKIPDTFGCLKNLKDLNMSCCCRLKQLPADFFKDMKEIRYLNFSGCASLWELPELGGNNRGHLLEMLDLSGCAELSGLPESYSLLSELQHLNLSGCSKLQNITVFLARHKLFRLEYLNLSGVGLKGDSELVGTSSGQGSQNPKAELWQLSLLHGLITQSLAHLKYLSVGGYTLFSEQGIATLEDLQTLPIFRVRRRDKDGGSNIVLLGKILDATQRDLHIECLENVVTPEEMDKVEIGNKQLHSLTLEWSSGISQFECKAKRVLEKLRPHRDLQVLTIKGYGEDTFPDWIMTIDDALPNLVKIVLSDVECKDLPPLGNMPNLQDLEIRNVHCLDFSLIGRWQNLRRLSLIALRDTTLKLNVDGNEYKHIPRTEYDMTIDDGRTKRKCKEIYEKVKRFWKLFSKEPGHTEETEAAMPMPEANAELARFSSDKNPELPILEHLEIESCLNLEFYPWMPKSALYSITHSTRKFEDTEPFIRFNLTRETFYGRPETFYERAQRSTINIRCSPPTSRSWI >OGLUM06G23700.2 pep chromosome:ALNU02000000:6:26363081:26365526:1 gene:OGLUM06G23700 transcript:OGLUM06G23700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALFVVRPMRYCRPSGLALLHRCLLNFPECGILTIKNLENTCRHENVFVEGLSRYEWVHSLSLEWFVCNKKLSAGYSRVIEDATVDSELRPPKSLRTLRIKGYLCAPLCFWMMNTVLPNLEELELEQCSELINLPETIRSCHSLRKLKIVECWNFEEVPEWLGELRSLQELKFHAAKLERLPPSIQNLTALESLVLTKCNYKLRESLARDDKDTIQRKVVITEDSVMVSPTSADAHTEPAATVRKLTCDGSPPASSKRIECVGLTILEE >OGLUM06G23700.3 pep chromosome:ALNU02000000:6:26351687:26357155:1 gene:OGLUM06G23700 transcript:OGLUM06G23700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGGKPGSKVIVTTRSERIAKRMNSKLPFKLDALPGEDCWNLFRTMAFPAGVMDPQTLEKEKVGQQIVERCRGVPLAVKSLAYRLQNEPLRRWEETLKSDLWEEEQNPTSATRSTAILPSLKLSYYYMPEYLRPCFAYFAVFPKGFVVEKISLIQRWTALGFVAPTHHPDDYLQELVGMSFLQAVSVSPPISQRYCKPPSTLFQMHDLVHQLAMSVSSDEVAVSDGSRGGRSCLKMENCRYMLFSNFNGLAPQRNGMPSKARAIHFKDCTALKPSPNIFSKTEWLRVLDLSGLGTGELPESMKNLHHLQVLNLSENTCLTKLPSLICDFQKLSYLDLHSCSSIIELPESIHKLNELIYLDLSKCSSLRELPMNSRGLQKLSSLNLSGCSQLEKLPDTFGYLKNLKDLNMSFCCQLKQLQTGFFNDMKEIRFLNMQRCSNVRELPDSIRKVEELRYMDLSNCSSICKLPTITGGLQKLYSLNLSGCSQLEKIPDTFGCLKNLKDLNMSCCCRLKQLPADFFKDMKEIRYLNFSGCASLWELPELGGNNRGHLLEMLDLSGCAELSGLPESYSLLSELQHLNLSGCSKLQNITVFLARHKLFRLEYLNLSGVGLKGDSELVGTSSGQGSQNPKAELWQLSLLHGLITQSLAHLKYLSVGGYTLFSEQGIATLEDLQTLPIFRVRRRDKDGGSNIVLLGKILDATQRDLHIECLENVVTPEEMDKVEIGNKQLHSLTLEWSSGISQFECKAKRVLEKLRPHRDLQVLTIKGYGEDTFPDWIMTIDDALPNLVKIVLSDVECKDLPPLGNMPNLQDLEIRNVHCLDFSLIGRWQNLRRLSLIALRDTTLKLNVDGNEYKHIPRTEYDMTIDDGRTKRKCKEIYEKVKRFWKLFSKEPGHTEETEAAMPMPEANAELARFSSDKNPELPILEHLEIESCLNLEFYPWMPKSALYSITHSTRKFEDTEPFIRFNLTRETFYGRPETFYERAQRSTINIRCSPPTSRSWI >OGLUM06G23710.1 pep chromosome:ALNU02000000:6:26365142:26414513:-1 gene:OGLUM06G23710 transcript:OGLUM06G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACF8] MQFRLHNAPFETKTAAAATCLVALLVVVLAEAAGVGGTTVTYNDRSLVIDGERRIIISGSIHYPRSTPEMWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGMNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAKIKAQTSVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTYQSDYLWYRTSINHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKAGLAGEYRQIHLDKSGCTWDNNNGTVPINKPFTWYKTTFQAPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRFYHVPRSFLKNGEPNTLILFEEAGGNPSHVSFRTVAAGSVCASAEVGDTITLSCGQRSKTISAINVTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLSNILTNEMEIFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWCADMANKQNVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGMNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAKIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTYQSDYLWYRTSINHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLMSATIGLNNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKAGLVGEYRQIHLDKPGCTWDNNNGTVPINKPFTWYKTTFQTPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRMVSPTHSILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCSQHSKTISAINMTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLQRPHRSGFMLILLVSYN >OGLUM06G23710.2 pep chromosome:ALNU02000000:6:26365142:26414513:-1 gene:OGLUM06G23710 transcript:OGLUM06G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACF8] MQFRLHNAPFENEMEIFTTLIVNKMKDANMFAGQGGPIILAQIENEYGNIMGQLNNNQSASEYIHWCADMANKQNVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGMNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDKVTVTKYTLDSTSACFINNRNDNMDVNVTLDGTTHLLPAWSVSILPDCKTVAFNSAKIKAQTTVMVNKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTYQSDYLWYRTSINHKGEASYTLFVNTTGHELYAFVNGMLVGQNHSPNGHFVFQLESPAKLHDGKNYISLMSATIGLNNYGPLFEKMPAGIVGGPVKLIDNNGKGIDLSNSSWSYKAGLVGEYRQIHLDKPGCTWDNNNGTVPINKPFTWYKTTFQTPAGEDTVVVDLLGLNKGVAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRMVSPTHSILFEEAGGDPSHVSFRTVAAGSVCASAEVGDTITLSCSQHSKTISAINMTSFGVARGQCGAYEGGCESKAAYKAFTEACLGKESCTVQITNAVTGSGCLQRPHRSGFMLILLVSYN >OGLUM06G23720.1 pep chromosome:ALNU02000000:6:26439379:26439740:-1 gene:OGLUM06G23720 transcript:OGLUM06G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLSPSKTPRLHDPHASRRRLSLTQRNSTNPASPTVLMGRFFTKCARGDIWVLAATSDAELLGLAEIISAASVM >OGLUM06G23730.1 pep chromosome:ALNU02000000:6:26442502:26443553:1 gene:OGLUM06G23730 transcript:OGLUM06G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGGGGPAEETRRWARRWEVAGSPMGGGRLVDGKWRDGSTTTDRATATWRILRDFD >OGLUM06G23740.1 pep chromosome:ALNU02000000:6:26469048:26471750:-1 gene:OGLUM06G23740 transcript:OGLUM06G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDFFTLTEMKDGISTVARIGELVSEIKKLKSAAELNTADLIRQCATAANTLASTKNEECLQHFVQLNGVGFLHQWLQDAQNCGEDISNAAEDLIVAVLSALECLPVENAQITSCGVLHTVEHLLSHSNTDINKKAGVLCHKWRSVPKCTSDVHDMVAKERNPDQLKLPEPKPESGGANEAAIAGDKSKSEVMVCSSVPLPNHSQTNDNCDIVKQSLVMIPPNSDGNAIIGDGNPSVPSLACHNGLENVPVTEESSANNDAKSGAAQVSLPDGTAEAKSSGTNNPENPFVSNKMDVQDQNVSISVDIKKGESFLEDMPHSEKNTVGGWDLAPLDMQDSSDDESTRKEEGPTSSSDTDVKGAVNELRLKRCMTSFGDSSKAADKKSKAEKGDTSTPLAEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRRSDSPDLEARRQPEPPMDEPDNDNKSSTTGEDSGSSSSMKEDGSGITDDSGTFSRKHTRSMKLGGIDLNENQCTEEVDCHTKSTLSNSINLSTPIAVAASRTSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDGEKSVSASSQRTGNALFDLNVSESDNATAGEPLSAAILPLSSDIVRKDASATVGLNSLELDLNCPCDDEEAAITTSNVPSFWNRQQCNGDWSHPSSSSSSRQPAVRNFDLNDNTPIVDGFSRGADESSVKTSGRDVSDHSAVTILGKRIVLGQKEHSHQNEHNFLGPSVESRDPARSMQSYGHTPPDYSVVSYSSHSSLSFRSPFYAPGTVPYMVDAKGTPVIPPLPGFGVPTVPSLGVGTSHPSLSSRAIPPSSELSYFHPSMDLNYGRSYEGARREGASYWPVSFQGQTMFVDERMGNMSQGGSSGVPVLKRKEPDSGWDLYPRH >OGLUM06G23750.1 pep chromosome:ALNU02000000:6:26472892:26475366:1 gene:OGLUM06G23750 transcript:OGLUM06G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGNFGQEGRARAAPYVVYELEQQQLLFPTNGSLFCITGASFRRRSCLNLNNVISGAIMLLKTWLKNLIMLAYVSAIRLISSVALTAPLVWAGMADMCFHMAGSSLLKMNRRMASAIRN >OGLUM06G23760.1 pep chromosome:ALNU02000000:6:26477385:26478090:-1 gene:OGLUM06G23760 transcript:OGLUM06G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCFPYTWYPQDLHHRARKELLDVVTLEDEEADGDKSYSFYTAPSQFTIRHWVADVRIASDAVVSAHAAIDEFAGVEADSADHGEEC >OGLUM06G23770.1 pep chromosome:ALNU02000000:6:26486678:26490419:1 gene:OGLUM06G23770 transcript:OGLUM06G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHHKMERARQPGRRSTQHNGRRRAVSSATVIPFLTATDDDEEEAIGSIPPDAAATDEAAAAVDAMRRASSCPYLVTAAGAVGGDGSSGGGSERRLTSSRPHLATAAGVVDGSATGAAAVGEDGGSPPPLHIWRRRWEWTAAHLLLPRSSGGGPPPTRLRQQDRTAAEAAAVGWPFPTMAACLLPPRSSDGGPFPAKNRRRSGRQWERRA >OGLUM06G23780.1 pep chromosome:ALNU02000000:6:26492065:26494124:1 gene:OGLUM06G23780 transcript:OGLUM06G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSSFPFVLSSRFSLLSSATQRRPPSPPIPLLDHPPTAPLSLLLSPSSPPPPSPPATDPIPPSSLEMDWPSPLGSSRHRRIELPRWSSSVPSRLGRSRPLGGGSGRRRGGRLSRYGAVDESGGGVVQAELFGRPDRRVQVVGQRWTSMDFPTRMLLWPPDLVTIDSPAVEVLELESVWGISSVIFDWIRSQIG >OGLUM06G23780.2 pep chromosome:ALNU02000000:6:26492065:26494087:1 gene:OGLUM06G23780 transcript:OGLUM06G23780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSSFPFVLSSRFSLLSSATQRRPPSPPIPLLDHPPTAPLSLLLSPSSPPPPSPPATDPIPPSSLEMDWPSPLGSSRHRRIELPRWSSSVPSRLGRSRPLGGGSGRRRGGRLSRGCRIQWDMVQWMNLEVELYKQSCSVVRIGGFKLSDKGIGVGDK >OGLUM06G23780.3 pep chromosome:ALNU02000000:6:26492065:26494006:1 gene:OGLUM06G23780 transcript:OGLUM06G23780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSPSSFPFVLSSRFSLLSSATQRRPPSPPIPLLDHPPTAPLSLLLSPSSPPPPSPPATDPIPPSSLEMDWPSPLGSSRHRRIELPRWSSSVPSRLGRSRPLGGGSGRRRGGRLSRYGAVDESGGGVVQAELFGRPDRRVQVVGQRWTSMDFPTRMLLWPPDLVTIDSPAVEVLELD >OGLUM06G23790.1 pep chromosome:ALNU02000000:6:26494524:26511776:1 gene:OGLUM06G23790 transcript:OGLUM06G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHWHDCGEKQIAMIRRSDTMAICGYGQMAIGLVMWGWRPNLPQPWISHCGHLAFGGYSQIAIGLVMYIKLYLLAISFLILEGDILDKLLPSTWWRSYDVYRKQLFVLAAGAVILPTTWLNYLSMLAYVLAVGLISSVALRRRCKKTYNLAL >OGLUM06G23800.1 pep chromosome:ALNU02000000:6:26512174:26512671:-1 gene:OGLUM06G23800 transcript:OGLUM06G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLRPPEHQVAGNYASTDKLGPLVPRVYKLLQAGERGEHEAALLRGGGGEGGGGGEGGRWAPAWGRGTNGGVAGGERRGGRMRRSGTEEQRRGRSPCGGAASQPDPPPLRTLLRGGGRPEAGGRGAAADDWRRAEEPRRRQVAASGRRCRASGGDGGAGGQIQ >OGLUM06G23810.1 pep chromosome:ALNU02000000:6:26516625:26517451:1 gene:OGLUM06G23810 transcript:OGLUM06G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFMTWSSRRPSTLLSCFNLSNVMSAGMRMLSVLYALSACGSAWRSISPIFGAICFYTGNLNNRCMRADHFVRSYPDIGHLRDRLYKL >OGLUM06G23820.1 pep chromosome:ALNU02000000:6:26543619:26550302:1 gene:OGLUM06G23820 transcript:OGLUM06G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACH3] MASEIEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIERLDNTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPLHWQYDIARSLF >OGLUM06G23820.2 pep chromosome:ALNU02000000:6:26543391:26550302:1 gene:OGLUM06G23820 transcript:OGLUM06G23820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACH3] MASEIEVLEDTTTTMAASAAPVASASPSPSPAAAADGEEGEGPAPAAEDDSLKNDVYTAAAYGDLEKLQRLVEGEGHPVTEPDGLGYHALQWAALNNRVAAAQYILEHGADVNAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDVADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLGNLEACTVLVQAGKKDDLMVKDKTGLTPAQLAADKSHRQVAFFLDNAKRVYDRGCDGNTNFGKLSKLGLAPVLWCIIVGLLATYIHSVISGQYIMDMTAPFGLFAWSGVFLATAGLVMFYKCSRKDPGYIKANIRDSQNQRDDEPLLKLELDNPALLSGNWSQLCITCKKNKWEFFMFITLEVFAMIITGSAAIIRMVRDPASPASFIPWLSYSAFNHTGALSFFIMDLFLFFGVAVLAVVQASQIAKNITTNEMANSMRYSYLRGPGGRFRNPFDHGVRKNCSEFLLNGYNEDIERLDNTSHTDEEMGMIQMTNAVSQNGEGPSHHGNGTGHSCAESHAHSKSHSQVSSSQCCDHSKKTDRTPLGLGLGLGRNSASRQYVRSLLPLHWQYDIARSLF >OGLUM06G23830.1 pep chromosome:ALNU02000000:6:26551858:26564114:1 gene:OGLUM06G23830 transcript:OGLUM06G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G04940) TAIR;Acc:AT4G04940] MGIFEPFRAIGYITAGGVPFSVQRLGTETFVTVSVGKAFHVYNCAKLNLVLSGPQLPKKIRALASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKINILYPFGEYILSADIKGNVFIWSFRGSEPNIGCSDGTIHVHNIRYDEELMSFNHQIRGAVTALSFRTDFIHKLKCFIIILLPDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDASIVSLHFFANEPILMSSASDNSIKMWIFDTNDGDARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVSKRAKKLGLKEEEIKLKPVIAFDCAEIRSRDWCNVVTCHTDTPQAYVWRLQNFVIGEHILTPSSGSKSPIKACAISACGNFTFLGTEGGWIEKFNLQSGISRGSYIDTSLAIKRAHDGEVVGLACDATNGSLISAGYGGDIKVWDFKSCKLKSRLDIGKSVTKIAYHRANGLLATVADDMVLILFDTVAMKMVRRFEGHTDRVTDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQALFSPSTNVDNYSSGKHVRNVRLPTVSSTEKSEEEPIHISEDSKESKVKPYVIMDHQIPNMITLSLLPKSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPTVPSLSGEILFEPAANSKETDSSTEDASHKKMADLSSHFSRLLQSCGDTKNYSAFTDYLKGLSPSSLDMELRVLQLIDDEPQNLEQRPELQSISLLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSMLQDKVKKLLDVQSLVWQKIDKVFQSARCMVTFLSNSQF >OGLUM06G23840.1 pep chromosome:ALNU02000000:6:26561997:26563466:-1 gene:OGLUM06G23840 transcript:OGLUM06G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G80510) TAIR;Acc:AT1G80510] MNTNYSSLPLTSIELQSNQPKSEVANGVGYNGHAKISKQDSFLVDGEDVDGDAAGAGGENDDLPLIGDGPAGPPEGSGVPAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGILSEVTIELLVRFAVYCRALSYGEVVHKALGRPASIVAQMCVIINNAGVLIVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRRLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALVKVVEGKISMPRMGPDFSSRAAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPHNMYKIGRITTVLCVVVYALTAVSGYLLFGEDTESDVLTNFDKDLGIRFSSILNFIVRIGYVIHLVLVFPVVHFSLRQTVDSLIFGELAPHSRKKMLTLTVVLLALIYLGSTMIPNIWVAFKFTGATTGLALGFIFPALIALRLDKEGKSLGRGERLLSIVMLGLAMVVSIIGVIGNVYSLRSKSA >OGLUM06G23850.1 pep chromosome:ALNU02000000:6:26565517:26567820:-1 gene:OGLUM06G23850 transcript:OGLUM06G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Mannose-6-phosphate receptor, binding (InterPro:IPR009011), Glucosidase II b /.../bunit-like (InterPro:IPR012913); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35080) TAIR;Acc:AT5G35080] MGLAGRARVVLFVVAAVAAALTAAADQIFTSSGAPFGRNTREPRYHVEFHPVDAPFNPENGQESVPMTSHVGKHYTCFLPVEETKTMKSIIPQNATNVIIESERRVKPKDPDELLEILKDQCFYRHEGWWSYEFCYYGKIRQVHVEGEKVIQEYVLGEYDADATDAYYENQTSDSADEDDNLIDTSKRYHVHLYTNGTVCDLTDMPRETEVRFVCSEPTVVISSIKEISSCKYVLTVQSPMLCKNPLFQQEKRTLSIHCNELLAEAEATVDDDSLPKEAQISIIPDPDGLHNYAAYAT >OGLUM06G23860.1 pep chromosome:ALNU02000000:6:26568453:26569112:1 gene:OGLUM06G23860 transcript:OGLUM06G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERVAAAAAAAGGVHGLQHPPRAPGAMGGGTSSLLSAAVQEMLMVQKSNQSIKKPTRATPRLPPVTGERRGGSGRSVDRGETTEPPPKRVARAWQPPAKRVARSMLRRGAPPAPEEKRKVLTCCCARLPPGLRCALHQCAPGQSWMRSQRGGGGGAIAAAGEAVAPRACGRGGWLFSEYARWRRSVWMPSRFYLERVDGQPRPPPGELDAGRRPPAS >OGLUM06G23870.1 pep chromosome:ALNU02000000:6:26570534:26571631:-1 gene:OGLUM06G23870 transcript:OGLUM06G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPYADAVRAAAVSRRWQHLHTRLPNARFSMSVQGLLAPLGESSKPRVQSMARTLRRRCCCGGDTVKTLHIGYRKDVPFECRYAEEFVALANATRLELGVQCARGLPDEDASEWSLELPPATAELQLRLYWYAVRPPRLHGPGVASLRWLALNGLAVLRPESFLSGGGVVFPALEELHIVKCTLPAGGGIDITSAAMPRLRRLIVTDVAVMSAATKAGIAVLADELAELRVSCRCATEPMATSDPAAYHLKPRFRALFTRYSCVRVRAPRLRVFEWRCCFADEVRVESVGRLSDVAVELAAGRLPRLWNEESKSLSVEDCDKLMKGILRGLLPGLRPRSWDWVQRKCVKRDERWLSFEISKCPKV >OGLUM06G23880.1 pep chromosome:ALNU02000000:6:26573892:26577219:-1 gene:OGLUM06G23880 transcript:OGLUM06G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNRFATAGFNTNMIAYLTQQLHLPLVAASNLLTNFTGTADSFAGHLWTTAAPGVLSQLGMLGLVVSALVPAPPHAVQRCRRRPLICDVCRGETAKEICLFPDHPCCPHRLDRMAFVMCYPTCAASGHMVRKCKDCGALGSVSLIPGKGKALTPDSKNMVMLIHCDGYIPIAFSPAPYWIATKVNGDQHELRFSEDGFEGYGDNDELILTSAKFTVERLKKAEEKHKNGHLIRPQEKDRNSRRDKNSKDSQKIIKVVESEEEAGRVLSVGSRKGARESGQSGLGQIGRRKAWPATA >OGLUM06G23890.1 pep chromosome:ALNU02000000:6:26581170:26593598:1 gene:OGLUM06G23890 transcript:OGLUM06G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRNVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVGKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDAKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNHEHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >OGLUM06G23890.2 pep chromosome:ALNU02000000:6:26581170:26593599:1 gene:OGLUM06G23890 transcript:OGLUM06G23890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRNVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVGKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDAKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNHEHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >OGLUM06G23890.3 pep chromosome:ALNU02000000:6:26581149:26593599:1 gene:OGLUM06G23890 transcript:OGLUM06G23890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPAEEERVLKLWSELDAFHEQLRRTKGGEEFIFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHVSRRFGWDCHGLPVEFEIDKQLGITNRQQVFDLGIGKYNETCRGIVTKYVAEWEAVVTRTGRWIDFKDDYKTMDINFMESVWWVFSQLWEKDLVYKGFKVMPYSTGCKTALSNFEAALDYRNVPDPAIMVSFPIIGDADNAALVAWTTTPWTLPSNLALCVNANLVYVKVKDKSTGAVYVVAESRLGQLPAKAKSSGKKQAPSKGGTAEVVQGGLDTEAYELLAKFPGSSLVGLKYKPLFDFFLELQETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIIEASGLVVAVDDDGCFIEKISEFSGRYVKEADRDIINSVKDKGRLVGKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIRDQLLECNKQTYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWISQDGEEIVVMDSIEKLERLSGVKVNDLHRHHVDGITIPSSRGPEYGVLKRVEDVFDCWFESGSMPYAYIHYPFENRELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPVEVIDEYGADALRLYLINSPVVRAESLRFKRSGVFGVVKDVFLPWYNAYRFLVQNAKRLEIEGFSAFSPIDQASLLKSSNVLDHWINSATESLVSFVHQEMDAYRLYTVVPYLVKYIDNLTNIYVRFNRKRLKGRTGEEDCRVSLSTLYHALVTTCVAMAPFTPFFTETLYQNLRKVSTKPEDSIHFCSFPSTTGERDERVEQSVNRMMTIIDLARNIRERHNKALKTPLKEMVIVHPDNEFLEDITGKLKEYVMEEMNVKTVTPCNDPMLYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEQSGEISFFGHCLKLDDIKVIRQFKRPANVAENEIDAAGDGDVLVVLDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPTDLVDVYYKPMDDAKNTLVEIVQSQDQYIRDALGNPLIPKMAAPPDAVMICEESHNVQDMSFVIYIARVSPVVTDDLLVHAAGNHEHFDALKVYLLSRSISRLKNEFQAGNGKITVDFIEGFPPIDLQLGKHVFLSTGDFYLATRS >OGLUM06G23900.1 pep chromosome:ALNU02000000:6:26594544:26595272:-1 gene:OGLUM06G23900 transcript:OGLUM06G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYAWLAKTGLAPALAYEYGRLFNQNELERGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKDSSSAADAGGGDSSSSSYAQLIARKAGRCIARCARRLARPRGVGGGRGSSVTVVPRICSGDDAVRVGAVQAAAAAAATRRRRSVKKMVLMITDGGATAARGGVGFAAGRFSGSQKASLMFHDCYEEEEEDDDDHEEEARCGDGAEGGDEDTEEERCSDGGGGGADVEIKWDSMFQDLKPT >OGLUM06G23910.1 pep chromosome:ALNU02000000:6:26604110:26607345:-1 gene:OGLUM06G23910 transcript:OGLUM06G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSSSSPRRRALLLLFVFASSFFACAAAAVSRTNSSSAFVLAAAQTRRKDPLRGLRYYTGGWNISDKHYLASVGFSAAPVFVVAAVWFASLALAAFVACCCRCCCSGAGGGNGNSGYSYSRAIFAVSLALLLVFTAVAIIGCIVLYDGQGKFHGSTTATLRFVVNQSDGAVASLRGFTGFIEAAKAAAVEKATLPADLQGKVDDVVRRVDASADDLAARTTTNSRKIRTALETIRTILIVVAAVMLALAFLGLVFSLCGLKSLVYTLVIFGWILVTATFILSGTFLLLHNAVGDTCVAMDEWVLHPQGHTALDDILPCVDAAATSDALRRSKEVNYQIVSVLNNLLATVANANVPASSPPSPPASYRQSGPPVPLLCNPYNGDLSDRACAAGEVAAADAPRAWRGYVCRATGAAPSSEVCATTGRLTPTMYDQMVAAANASAGLTQYGPVLADLADCSYVRRAFQAVTAAHCPGLRRHSGRVYQALLAVSVAVAAAVAAWVAHSRERRRRSETRRFQVSPYRFPIEEKSLLKSPRRPYRRGDSGRMAR >OGLUM06G23920.1 pep chromosome:ALNU02000000:6:26608011:26622887:-1 gene:OGLUM06G23920 transcript:OGLUM06G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYVSVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADQQNNK >OGLUM06G23920.2 pep chromosome:ALNU02000000:6:26608680:26622887:-1 gene:OGLUM06G23920 transcript:OGLUM06G23920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGERREDENPTTSAADDDDDEDYDEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSLKDIDLIKPSAAPTDPSEQDYDAKAEDAVDYEDIDEEYDGPEVEAATEEDHLLSKKDYFSSNAVYVSVNSKVSVFDEENYDEDEEPPNDNDLPSDNIVQNCTSASAEQLDMAPSNDNLAVEKMSSSLSEPEESFESEAFQKEMVAEEQLESKTATSLPVLCIEDGSVILKFSEIFGAQEPVRKAKMDRHKRPVNKELQITNFTDIVEEDEEVFLRSTIQNLSALKHIKTNDNFVESDSDESTSDVALRLKDSCLSEQPMKDKDIPTAVQSPVFPDFYPLEHENWENDIVWGNSPTTAIQPCLTSCAISKESLDDHNEDQAEGYVSGCWDVQNKFHSSSVMADPFGHTEIPDSTSYRSPENSYSPLRKETAQENNSLDEPNNITQPVKIDTTRHLNKLSLLNKELLEGSWLDNIVWDPSEDVPKPKLIFDLKDDHMLFEILDEKNGDHLRSHARAMIVTRPMKTSAVENVDHNNQAIALSGRFNISNDKFYSNRKMSQQARSHAKKRATMGLKLVHSVPAQKLQTMKPKLSIKEIANFHRPKAKWYPHENKLTARFQGDECSHGPMTAIVMTLGGKGVKFLVNAEETPLSVKSKASKKLEFKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEIHLWPKAQRLPGENKPLRPPGAFRKKSDLSVKDGHVFLMEYCEERPLLLANAGMAARLCTYYQKTSPSDQTATSLRSNSDGLGTMLAIDPADKSPFLGNIRSGSHQSCLETNMYRAPVFPHKVATTDYLLVRSPKGMLSLRRIDKLYAVGQQVKKSVSWIYHSLTRRATNFKILFSWQEPHMEVFSPGTKNMQNYILNRILVYVYREFRAREKPGIIPQIRADELPIQPPITEAIKGPKGHLFYIQRPDFRIPSEEELRRLLTPENVCCYESMQAGQYRLKHLGIEKLTQPVGLASAMNQLPDEAIELAAAAHIERELQITSWNLTSNFVACTNQDKENIERLEITGVGDPSGRGLGFSYVRVTPKAPVSNSTHKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSSEQAASGVTMDEIPVSKFARGQRMSFLQLQQQTKEKCQEIWDRQIQSLSAMDGNENGSDTEANSDLDSFAGDLENLLDAEEFDDEDVGNTDIRSDKMDGMRGLKMRRCHTQAQINEEIQDDVAEAALVEKLLEESDSDMKRKKQPVETTNYSTPMYNQGNKMKQGKAGQMIKSSAYAGALTPKESTPREAKEVENFAEGSLPSKLRTKTGFDANDDIILVKRKNIPGKDGFKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYGEDPETSEMDVNSIRSHPPDIVSNAQIKTSNKRLVAKVSSEAFETEGPESIEKAKPVPVKFKCGAPEKSLDRNMSISASLVSDKRMMDATDSKSTGKVNKIKISNKIKYDDYPPDTPKPSVVIRPPAEVEKDLPRKKIIIKQPKVLGDQQRPTELRSGQEPRKTRKIVELSSFEKRDREDDNGFSGQPIQINSSHDRGWGLVGKRSKGIMESGESWRAFEEQRERQEQRLIEARIYDARREDELQKAKKKNKKKKKHEFRDDDLLDPRPYKNDRRVPERGRAAKRRTPADMTEYTPPAKRHRGGEVELSNILEKIVDHLRTMSCSFLFRKPVTKKEAPDYFDIIERPMDLGTIRDKVRKMEYKNREDFRHDVAQIALNAHTYNLNRHPHIPPLADELLELCDYLLEESADVLDDAEYAIED >OGLUM06G23930.1 pep chromosome:ALNU02000000:6:26624808:26627445:-1 gene:OGLUM06G23930 transcript:OGLUM06G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41040) TAIR;Acc:AT2G41040] MELAVRAAAAASCSSGASLQLPRARAPLRLLGHRRLPARRVAVEAAAIAVEPENKVPQSNNSEAEVFACPVCYEPLIRKGPSGINLPSIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYSELKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQSVAGGVLLDVSCGSGLFTRKFAKSGSYSAVIALDFSENMLCQCYEFIQQDDTLVNTNLALVRADISRLPFASSSIDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVATTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKP >OGLUM06G23940.1 pep chromosome:ALNU02000000:6:26633118:26633426:1 gene:OGLUM06G23940 transcript:OGLUM06G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPPTSPSSLRHRLRTTVCCCFGSPGERRSGEKLRWRRRVAAGEFGYDPLSYALNFDDGDGDDDAADDDAAAFRYKNFSSRLPPSPVAAPARRSTAIAIS >OGLUM06G23950.1 pep chromosome:ALNU02000000:6:26635671:26639391:1 gene:OGLUM06G23950 transcript:OGLUM06G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGEARRSANMAARKERYAARTVGLVEPLHHCDAHATARRPRVTGDGSAAEEAVRRKVGRRRERLGRRLGAVARDTGRATATGFEGERPTAAHDCVQRWQKGPHDRAGGARRTTPRRHGVEASAPPSPPPPPMSAPVSTQASMCLGERRVLELKQSVSRMVRSGKRTSRRVTGAVVGGGGGGAVEEEQVGRRGGVEVAGEDLEKGGLWRRRWEARHASSTRRDATRWWWRGGDAPRGETGGRSGGRRDATRVPGRARVPAKPVKKQKAAWLQEGASHLGVRRAGFLGMWPMWPLSPSRFQLD >OGLUM06G23960.1 pep chromosome:ALNU02000000:6:26651534:26657722:1 gene:OGLUM06G23960 transcript:OGLUM06G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDAGGGAGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVALGELFGRLAEAYGGATGASFAVKYQLPDEGLDALISVSSPEDLDNMIEEYDKLAGASPKLRVFIFPISDAAGGGGGEEAEGGGFDAGLRYLEAVNGIVRKDSVASLSSTQNSDGGPPPPAPTSGGGGGGGGSPTALSPTSTCSNDAARSAFCVAAPPPLVDVFSNAAAPAPVPVKPQEIAAEVRAPPQANPQPEAARYRQPLSQLPPLPPVFMNDHREAMQGLNQAMPGNGGRLEDCNMCLKALPHAHSDPVVNDYGSDMHGGPGPETVPVYMSLRPEDVARIMMPERAVPVPMGAYGYTHMHPVPHEREMMYAQQVEGIPNTVLIDPSGLHQHVYVHQQQQVPPQQLPSTYGFNQIPVIPNEKDRVVSPGSAHSDISSSHQHIMQQPPPPQQQQLPSGQGMAQYPVKQNSPNNQLTGEGSLSGNARHREDGLTRRDNVSPVAPAAVPTYMANVDRMMDSLRLSPSEASSASTEQRKPVMPPENGVPQNAIPEHSQGFPDTRAREVSQSNTNTFFDVSEPKVVLPTEPAPSPSIASSYLHNVQHTNVSHMPHMMSIGGPYSSYVVATVGPGGVPPSAYGVDLVYANSAVNPLSERKDVPHEVAPNANAQVPAAALVNHAPNVDQIQESGLQGQQFGNDDPWKVVTNTHALPPRPKRVASRENISPKDPHSHNSLLNCKGPDLNIPAEDVALHQQSDHKDAHTEHGRFIKGDDMTSPDLVSVEDSLPTSNTKSSEPQPPVVNDGVGAVTDVSLFMSSLMLSKRIMQVNKSRPADWISGFPATDDLGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKPSEQDKMRNDFWNEASKLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDKRKRLIIAMDTAFGMEYLHNKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPAVPDSCDPEWRSLMEQCWSTEPSERPTFTEIAGRLRSMAASHKVQP >OGLUM06G23970.1 pep chromosome:ALNU02000000:6:26660289:26663486:1 gene:OGLUM06G23970 transcript:OGLUM06G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT5G13450) TAIR;Acc:AT5G13450] MAARHLRSGLPLLRAHLSQEGSRGFASQVAKPTGKDIKVPEALYGGTGNYASALFLTAAKANLLDKVETEIRDVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDVTKNFLAVLADNGRLKHIDRIAERFVDLTMAHKGEVKVLVRTVIPLPEKEEKELKETLQDILGKNKTILIEQKIDYSIMGGLVIQFGQKVFDMSIKTRAKQMEMFLRQPLDI >OGLUM06G23980.1 pep chromosome:ALNU02000000:6:26664527:26667236:-1 gene:OGLUM06G23980 transcript:OGLUM06G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYQDHALAMDAAAAAAETGGHHHPGFVGAGGVVGGGGGGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAASAAAAADPLCIAPLFVQGTTTATFVTLTAGASPGEGSGATMSDDEDNQVDSESNMFDGNDGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEILRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRYRVVDF >OGLUM06G23990.1 pep chromosome:ALNU02000000:6:26675223:26680864:-1 gene:OGLUM06G23990 transcript:OGLUM06G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAADAEACAVAAAADIICSFRGADLAGWTPPWGTAAAKGKEVVVEEEEEEELAWPTVARGKRSRSSRRRSPSGSGSAATKGRWARGSPASPLDYSGGSGSGSAASTSGGEDGAFCSPPPPPPPVVTAAAATTPTAAPTPSPAKVGPAGRRQLILPTPPPRPAGQRPRKKMRLPEIQQLVRSLTVENDGLREEMVALQRACTALSKENCKLETRLEKSSKRNGTKSEGQQARPQPDEPAAKQESQNGFVLPDLNLPVEDMAADGSAP >OGLUM06G24000.1 pep chromosome:ALNU02000000:6:26688959:26692294:-1 gene:OGLUM06G24000 transcript:OGLUM06G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLDDFTMKALWGFLEALSSALCMNIYVVGLNQLYDIQIDKSIAIGIRSKSAPLLCALFISFFLGSAYSVDAPLLRWKRNAFLAASCILFVRAVLVQLAFFAHMQQHVLKRPLAPTKSVVFATLFMCCFSSVIALFKDIPDIDGDRHFGVESLSVRLGPERVYWLCINILLTAYGAAILAGASSTNLCQMIITVFGHGLLAFALWQRAQHCDVENKAWITSFYMFIWKLFYAEYFLIPFVQ >OGLUM06G24010.1 pep chromosome:ALNU02000000:6:26695745:26698155:1 gene:OGLUM06G24010 transcript:OGLUM06G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT2G24090) TAIR;Acc:AT2G24090] MAMSLSLARVALPPLLQQQGGAPRKLHPGALAFPAKSFFGAPLAAVAVSPASAAAASPLRRRPSSASAVVAAGKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLSKKNTKRRKRLSKMIQVNKSDYNNVTGALPYLKVNRNAE >OGLUM06G24020.1 pep chromosome:ALNU02000000:6:26703895:26707332:1 gene:OGLUM06G24020 transcript:OGLUM06G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQATAARKFPEGLRVLAVDDSPVCLMLLEALLRRCKYQPTMTRDAATALRMLRERPGDFDLVISDVHMLDMDGFKLLELIGLEMDLPVIMQSANGELETMMKGVTHGACDYLVKPVSLKDIQNIWQHVWRKRKLDLRNHNGGYNDGGELVGATRTKRKYTRKMRNDGDNYGENKENMDSTLKRQRVVWTPELHRDFVIAVHELGVDRAVPRKILRMMKVDYMTRENIASHLQKYRLYLKRISTQTGMDPDQFPEKWKYMNELDALKNYCENGRYRLTPAIASSSSSNPFARMNSASALATNGFLPTHSVQLKNSQRNMAMGTVGHGGSPGNNPVFQPLQNSSNARKCFPSGPSGSSFANISNGLVLDTDDSGSSYAGMFCKSMWETSNGSPSCHSGNSSANKSNNGVSAPANQFQVQSKCGFSAPANQFPVQSNCGFSAPANQYQVQSNGGFSVPANQFPVQSNGEFLAPTNQFPVQYPEVNNQPLVQMNQSSTNHFSTIGNDYQFPDLANCSKYWQPRAPSMFPDLGHNDGTSFRPSQANIANINQLSSFAASSGQEPMFGDELHGQMSPIMSTISLSDFDDQMGSFNIGNDTSPAEMMHDNFSLGSDSNISSTTPTDSSFGSTFPDFHLDSPEMPAQMLNGGDEDGILLPVLDDTVDQQDLFDQLDENNGREKLGSGRCVRKGPFECFF >OGLUM06G24030.1 pep chromosome:ALNU02000000:6:26709371:26714078:-1 gene:OGLUM06G24030 transcript:OGLUM06G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24280) TAIR;Acc:AT2G24280] MAAPASPIHPLAAIAAALLLLLVAAPSAAAAASPVFPGVPPLQLQALQQRRPASSAGGSRYVVAAAAAAAGGNGTAAAAKPFTAHYFPQELDHFTFTPNASAVFYQKYLVNDTFWRRSAAAGETPAGPIFVYTGNEGDIEWFATNTGFMFDIAPSFGALLHRFYGESKPFGNESNSSPEKLGYLTSTQALADFAVLITSLKHNLSAVSSPVVVFGGSYGGMLASWFRLKYPHVTIGAVASSAPILQTVKSVYSFRNWLWTAFVYTAMVDYPTPANFLMNLPAYPIKEMCKIIDGFPAGADIVDKAFAAASLYYNYTGDQTCFQLEDGEDPHGLSGWGWQACTEMVMPMTISNESMFPPFTFTYEGKSDDCFQSYGVRPRPHWITTEYGGNRIDLVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKDDPDWVVEQRRQEVKIIQGWIDQYNEDLAQISK >OGLUM06G24040.1 pep chromosome:ALNU02000000:6:26717495:26720955:-1 gene:OGLUM06G24040 transcript:OGLUM06G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEGDDDRPWLKQACLQAIEAAVGMEGVVTCCQNQGHLLQTEGIHANWRYQPSESSNNNYHGIKCVEHCHMSVLISLLRQVTNKATILYIHSSKEQNKPSFYVLFIFGLYQHFFSCFVLLLLSSPNNRHYGFGSELFANAIFVM >OGLUM06G24050.1 pep chromosome:ALNU02000000:6:26724159:26731206:-1 gene:OGLUM06G24050 transcript:OGLUM06G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKEAVCSGASRERWWLTLISAFSEPFLDASHVVLHIGMEATTLAAADVEVPVAEGVGTVEAVSALAFCLRYVQLAVILGGLAAQQERRREYLHFAGATGTFNCLELAIGFTSRRLNKHEVLAKCLLVMAPGTNPSTCVNCFANLRLQLITDVTSTSSVSPSSALANCFFFWGGNFVAKFGGFAP >OGLUM06G24050.2 pep chromosome:ALNU02000000:6:26724159:26726104:-1 gene:OGLUM06G24050 transcript:OGLUM06G24050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVPVAVERRREYLHFAGATGTFNCLELAIGFTSRRLNKHEVLAKCLLVMAPGTNPSTCVNCFANLRLQLITDVTSTSSVSPSSALANCFFFWGGNFVAKFGGFAP >OGLUM06G24050.3 pep chromosome:ALNU02000000:6:26726381:26731206:-1 gene:OGLUM06G24050 transcript:OGLUM06G24050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKEAVCSGASRERWWLTLISAFSEPFLDASHVVLHIGMEATTLAAADVEVPVAEGVGTVEAVSALAFCLRSLFPEKLGVN >OGLUM06G24050.4 pep chromosome:ALNU02000000:6:26726774:26731206:-1 gene:OGLUM06G24050 transcript:OGLUM06G24050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRKEAVCSGASRERWWLTLISAFSEPFLDASHVVLHIGMEATTLAAADVEVPVAEGVGTVEAVSALAFCLRL >OGLUM06G24060.1 pep chromosome:ALNU02000000:6:26735204:26735512:-1 gene:OGLUM06G24060 transcript:OGLUM06G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDGSNLGPSVCGEGGDEDGCGGGGIGTPRSTGATPFLRAATLRLGMLPPLGGGAAAAASSVVGGFGIRWVVGWGGVRCGDRAEPTLRSEPPPRRWMDDS >OGLUM06G24070.1 pep chromosome:ALNU02000000:6:26737043:26740428:-1 gene:OGLUM06G24070 transcript:OGLUM06G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPYFQPVSVSVLTSRVPPLLLHCLGFIAAKAGNPRWSLLVAKLGGLALRQVTSLRLFAGGGGGGGGLSDSFLL >OGLUM06G24070.2 pep chromosome:ALNU02000000:6:26737594:26739001:-1 gene:OGLUM06G24070 transcript:OGLUM06G24070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDLHPMSSCSGIPLLPSAESSLARSSHLQGAASAAPLPWIHSSKGWESTVESGVASVSGFSGLPVCGYLLAVAVVVAD >OGLUM06G24080.1 pep chromosome:ALNU02000000:6:26741283:26741686:-1 gene:OGLUM06G24080 transcript:OGLUM06G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSAAATTNTTPSPPSILSSPYCVRSRACVYSSTHYCKLSYKGKQKIRGNLTICYWIHAIGSLGPPSARSWLPGCSSMARSAVLGAGNRRLVVSDVASMPW >OGLUM06G24090.1 pep chromosome:ALNU02000000:6:26742740:26744966:-1 gene:OGLUM06G24090 transcript:OGLUM06G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNEAGLAPAPLLIGGGRLGFPLFGGQSPAASRTPCGIGLEATALAAADVVAPAAVEDGDRAWRYPRWLPAQGWPSNAKSELQLLDFSLALAPAIALAVVADTISSCSSLYIVCSAACVPLGILKLGSEFLADVQYYNNFQFTSYCVMITKACLLLDIQGGHLMPKYLDQLLQNVGNMEWNI >OGLUM06G24100.1 pep chromosome:ALNU02000000:6:26748905:26750271:-1 gene:OGLUM06G24100 transcript:OGLUM06G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVVRRRRRIADYLNDGEELGIEGSPAVTPRSPALAAAKSLLPRFRWARAASRIGRKGKAEKEGVVVVEEEIAVEKNGEPVAAATVASTSVFDNESHTRTPDLGVGLSLVFLLAKTSDEFNKMAKVRSEMETLLREIKEQVRQSSSGGVGDDDASKPRCNLESAASSCLTDTNENERASARMMEDQATSSSNHMEEEEVSCEKSAEEYECCFPRMDVLEEEFHAELDLLQVNYGSDVQLFLPEEHDAEQPDEITECREEFNDDVGREDEVVEDEDYDDEAEYNGVNAVELERRLHELLHQRNQERIEELELALKRAEKKLVEKEMEVSMWKDTAKLALRQDSSTMLW >OGLUM06G24110.1 pep chromosome:ALNU02000000:6:26750630:26757233:1 gene:OGLUM06G24110 transcript:OGLUM06G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRSGLATVTALAPTAAASSNDDFNCSNGISTTTVAERERGRGMLLAWDPRLARLFWLAKFGQWEKDFGQPNGLAIRIASLLKGEIEPKLPKFNLGIEQCDNNKKLSVLVCFADETTRETKRGRRESPVIRVFA >OGLUM06G24120.1 pep chromosome:ALNU02000000:6:26763259:26764628:-1 gene:OGLUM06G24120 transcript:OGLUM06G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMLPPPSQSVSSRPPSWLYIPPRRRHGTFTSSCAFRLSPSSPSSPPPPVLDFQYIQFMDSWIEQTSLSLDLNVGLPSTARRSSAPAAPIKVLVEENFLSVKKDHEVEALEAELRRASEENKKLTEMLRAVVAKYTELQGQVNDMMSAAAVNAGNHQSSTSEGGSVSPSRKRIRSVDSLDDAAHHRKPSPPFVAAAAAAAYASPDQMECTSAAAAAAAKRVVREDGKPKVSKRFVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTVLVATYEGEHNHAQPPHHDAGSKTAAAAKHSQHQPPPSAAAAVVRQQQEQAAAAGPSTEVAARKNLAEQMAATLTRDPGFKAALVTALSGRILELSPTKN >OGLUM06G24130.1 pep chromosome:ALNU02000000:6:26767818:26769817:1 gene:OGLUM06G24130 transcript:OGLUM06G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVIWSFLNEHPAHKSRAGSGWLVEHVRAPRGRRRGRVEERATRTKDGRSRRETGSKGGWWGPARSVSGWGRAVTSQREKKKGDADCRQPRREWGTWAVGFPEWPATTPPRRGDSYYLSVLDLDSLSPLAVSRRRRLLSEWPCVAWWKAVSERGDCTYGTTCLFVPQEVTGGSPQSISRIRVAARIQADGGAGPLARVGKGDGDAGPTDNGGGPACFSGLHYKAPLPRPSAGGHRCGAVATPSVTDEVAPSALLGHIAPEQRKRVWKVVGPTDGKWSRLAKFG >OGLUM06G24140.1 pep chromosome:ALNU02000000:6:26781790:26790965:1 gene:OGLUM06G24140 transcript:OGLUM06G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKELLEDSVEERMEKALLESDKTEAESKDADAEDNNKRKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGFGICQRLANRRKPSPQGETGLSQGERTSASDYGKRPYSLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >OGLUM06G24140.2 pep chromosome:ALNU02000000:6:26781790:26790965:1 gene:OGLUM06G24140 transcript:OGLUM06G24140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVQQYLQRKGFRLTELALQEERNRISTSSVSDVALARSENDPARYYDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHSFMDLVAEGHTQEARSFFHTFREDHELMHSRDLQKLEGILSPSHLEYSYELLLQYLQKTQALVVLGIINERTTFDVSPGQPSLISDDTDVVALVGTKKDLAKQINLKERKSSEGGKQGGSVKKVKKDKIAGATGKTNKSETSIVSVAPRVKPELTLPVIPVEVEQSILEDLRNRAQLNSLALPSVSFYTFLNTHNGFGICQRLANRRKPSPQGETGLSQGERTSASDYGKRPYSLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSSGRCVSPLGGHSSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKVLKTDDTSTNRLRMLKTLRTKSTPVYTLRFSRRNLLFAAGALSLGS >OGLUM06G24150.1 pep chromosome:ALNU02000000:6:26813761:26820135:1 gene:OGLUM06G24150 transcript:OGLUM06G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATNSRTQVHRDRGVWCSLNSNRCFQARRVLKDLTASNHTIFAPPFYSAGTIEMSLSGWLEACALGENFKTFAFFP >OGLUM06G24160.1 pep chromosome:ALNU02000000:6:26822066:26824717:1 gene:OGLUM06G24160 transcript:OGLUM06G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLTLLLLLCLASPPLAVAAAAAACGTNTSLVGYEADLWMSQHQLRGRVEVLDGCSFRVAALDLLAGSASARWWRAEGPDLDSLARGAPAAGDPLNRTFLSESLVFRLLPGVSWPLVPVLAAFDPLTSSLFGFVRLSNDSSADSEAPTMFDSCAQLSPRLRVRWTLHGTSDSIDIGLEAAVGSEYYIAFGWAAPGAPEPSMIGADVAVTGFTEDGLPFADDYYVTKYSECTVRADGAVEGVCPDTIYEQGNDTAAGAVNNTRLVYGHRRDGVSFVRFSRPLVSPDKKYDVPVNATANMTVIWAIGLLRPPDSLQPYYLPLGHGAPAGTAFGFATLNVSASGGCVGPLDAEDKEDQDRITAERNTPLVVTAGPSLHYPNPPNPDKVLYINKKEAPLLKVERGVPVTFSVEAGHDAPLYITSDAVGGNATSRNATEVVFAGGARAEGVPAAPAELVWLPDRNTPDVVYYQSLYDPKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGERKSGYLAVGFGSAMVNSYAYVGWIDGNGTGHVASYFIYGEDGAGVHETSENLTHTRCRSENGAIVFELTRPLSPSCSGRVECRNIVDPTTPLRVIWAMGSQWSSGQLTVSNMHSITSNRPVRVLLLAGTAEAEEELRPVLAVHGFMMFVAWGLLVPGGIMAARYLKHLKSGDLWFQAHTYLQSSAMAVMFLGLLFAIAELRGFSFKSTHAKIGTAAFVLACLQPINAYLRPHLLAENGEILPMKNRVIWEYLHIITGRSAVVVGAIALFTGLQHLGDRYGSKNIKGLTCGLILWVVGVTLVVVYLEFMAARRRRGGGADDLSGKWVLGNTDEDDSVDLLQSTKMESDSIEPMEVQLEPLKG >OGLUM06G24170.1 pep chromosome:ALNU02000000:6:26828348:26830901:-1 gene:OGLUM06G24170 transcript:OGLUM06G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMAGAPRVRSLNVAETDADARPVLVPGGNKARSGPAAARKPSPKQLRKADTAARTPEKPAAAAAAAAPPAKEEEGAKKNAGGGVGKGSSPLPSPRRAQPQPPPARKAAHDAPVHLNLSLNASCSSDASVESLRGRDSSGGRLERSWSRVAPAVPRRGKTPVKAAAAAAAEKVAADAEVVAPATPEAGKRRCAWVTPTTDPCYVIFHDEEWGVPVHDDRRLFELLVLSGALAELTWPEILKRRQLFREIFVDFDPVAISKINEKKLVAPGSVANSLLSEQKLRAVVENARQILKIVDEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADMISKDMVRRGFRGVGPTIIYSFMQAAGLTNDHLVSCFRFKECNEAPTLCTSDTSNANSEADLSADELRTKICSKEMAANAELSRTIGCVLGYPQNEF >OGLUM06G24180.1 pep chromosome:ALNU02000000:6:26833994:26838217:-1 gene:OGLUM06G24180 transcript:OGLUM06G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPEMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPKFYFPDEKCKLSENIVQV >OGLUM06G24180.2 pep chromosome:ALNU02000000:6:26834258:26838217:-1 gene:OGLUM06G24180 transcript:OGLUM06G24180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVYIGSANNDWKSLSQVKELGIYFADCPQIAKTVEIYFQNLWKLSTLNSTAYTKVAWDKQWQAFRKVPCWSHFLKPEERCRSPLPPSVDVPYVDGYPSLANPEMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >OGLUM06G24180.3 pep chromosome:ALNU02000000:6:26834258:26838217:-1 gene:OGLUM06G24180 transcript:OGLUM06G24180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLRRVPGVLSTADVLQWLSGNATKSLDVLAQYWQFLAQPKNPKSGDYGYSESEMVRFGADKGQRVYKALEKAADRKIKIRIVQHSGFAPDFDKESADLAAGRPNVQNVTLLFGDWWGSGVVHAKVWISDKKDVSPLPPSVDVPYVDGYPSLANPEMLDFSFETPGYKSSTKEHHLSYLSYAPPELSFDKFQADEQGWLDTIKSVKFGGVVRISTMDWLGQSQYATQTVFWPSLSSAISEVIFSKNATVRILVAYWTHFIPNTEKYLKSLLYSNILCTSSSYNHCMGKVEIKYYVVPGYNKTGPALAQGAATGNRYPDFTRVNHGKYAVSDVRANIGTSNLIWDYFYTTAGVSFGTYSPAIVSQLQDIFDADWFSPYTVPVKPLEASA >OGLUM06G24200.1 pep chromosome:ALNU02000000:6:26868112:26868830:-1 gene:OGLUM06G24200 transcript:OGLUM06G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAMDQADEVHKEKVCYYLDHIVQAKYGVFTVHRGALVRMVQAPGHGMDGLLKKKSGLTDTGVGGAYPILVPCKNLGVVVVTDITLTDRLSPAPRAATGAERCRAKSGLKRRLNRV >OGLUM06G24210.1 pep chromosome:ALNU02000000:6:26870048:26871607:-1 gene:OGLUM06G24210 transcript:OGLUM06G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETTTMMKVLVRVREFDVEKDLPAVEELERRCQVGLSGDMAAVHDHADDGDGAAAKEKKKTKTKTKKKKAGMSLCVEQIGDPLARVRHAPEHVMLVAEYGEEEEKKKVVGVIKACVKTVSRGGKQEKPFVKVANLLGLRVSPSHRRLGIGTALVRRAEEWCVARGAEHATMATTESNAASLALFTGRFGYAPFRRPEFIGHPVHAHRLPVARGHRVFQLPPEVAAAAYARLLPPQDAEFLPADMPALLAHKLTLGTFVAVAADGASFAVLSVWDSTRSLSLRVRGAPALLRASLAALRALDRGAPWLHLPSIPDIFRPFGAYLLYGLRMSGPDGPALLRSLCHHAHNVARKNPACAVVAADISPDDPAAAAVPRWRRFCCDEDIWCIKNLNPDEHDADDWAAPPPPPGRHLFVDPREF >OGLUM06G24220.1 pep chromosome:ALNU02000000:6:26900936:26907326:1 gene:OGLUM06G24220 transcript:OGLUM06G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACM2] MATREVPLLLLLVVVMVLAGAGAARGFYLPGVAPRDFRKKDQLAVKVNQLSSIKTQLPYSYYSLPFCRPATIVDSAENLGEVLRGDRIENSLYVFEMREPRLCQIVCKTALTHQEAKDFREKIDDEYRINMILDNLPLVVPIRSLLDDHDAPTSYQLGVHVGIKGQYAGSNEEKHFIYNHLSFLVKYHRDENTDLARIVGFEVKPFSTKHEYDGEWKENETRLKTCDPHSRRLVVDSDSPQEVEAGKEIIFTYDVNFEESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMLAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPARAGTLCVFVGTGVQFLGMLLVTLLFAILGLLSPSNRGGLMTAMLLVWAFMGVLAGYAAARLYRGFRGSEWKAVAMRTALAFPGAAFAVFFVLNALIWGERSSGAVPFTTMTALVLLWFGISVPLVFVGSYLGFKRPAATEDYPVRTNKIPRPIPEQPWYMNPAMSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILVVTCAEIAVVLCYFQLCGEDYEWWWRSYLTAGSSALYLFLYAAFYFFTKLDITKVVSGVLYFGYMLIASAAFFVLTGTIGFYACFWFTRLIYSSVKID >OGLUM06G24230.1 pep chromosome:ALNU02000000:6:26904337:26904552:-1 gene:OGLUM06G24230 transcript:OGLUM06G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPEKMRAWASMAEDPLKRASAASSSSTSPLRRYSPATLAAGGLLVGAVAYFMFKGKQGQGRQGDQPVRRP >OGLUM06G24240.1 pep chromosome:ALNU02000000:6:26905132:26908856:-1 gene:OGLUM06G24240 transcript:OGLUM06G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAASSAAGDSAKQPLLHHQRGNPPHVASVSSPSLPSAPPGALAGGRRFPGGLDVPNLKKRGGGTRSWIRVEAATASVQTLEVDKATMMRRCELPARDLRLLDPLFVYPSTILGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLSEKKLRTEASFYGDQSMLGYNSVGDGTSFSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTATEHIQELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETSVFSIQNAFQWVLIITGVIGAFIFCGFLWFFKYKRLMPL >OGLUM06G24250.1 pep chromosome:ALNU02000000:6:26915859:26916938:-1 gene:OGLUM06G24250 transcript:OGLUM06G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGMLCVSSSPTASASVARGRRQRRRSVEVRCSSVAAAGPGGPVEEHYRTLRLPPGATKGEVKRAFRRLALTVRLAGSAPASRYHPDVSKESDSGVHFQRINVAYQMVMGNMREAEERLEYWRLKYGLDDEDLDKYRNHLNDEDDDEWFDV >OGLUM06G24260.1 pep chromosome:ALNU02000000:6:26919662:26925720:-1 gene:OGLUM06G24260 transcript:OGLUM06G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRRSGGGVRVCVTGGAGFIGSWLVKKLLVAGYTVHATLRSIGDEVKVGLLRRLVPGDAPPERLRLFEADLYDAATFAPAIAGCHTVKRVIYTSSMAATSPLKEDSTGFKDSIDESCWTPLAELLGHSHAGERRRPAVEVVTVPCSVVAGGTLQGQSTTSLDCVVSPVSRDEGSFRALRLLQRLMGSVPMVHVDDVCDALVFCMEQPSLTGRFLCSAAYPTLDDIVEHFAGKTETLPSIQAHTDKLGELGFKYKYGMEEILDESVECAVRLGCLDASKLKGRSGGVAGDGVRVCVTGGAGFIASWLVKKLLERGCIVHATLRSMGDEEKAGLLRRLVPGAAERLRLFEADLFDAATFAPAIAGCQFVFLIATPYGLEASNSKYKNTADAAVDAVREILRQCAESKTVKRVIHTASISTASPLIDVPGAGVGAAGYRDFIDESCWTPLDVDYPLRSAHFDKYVLSKMMSEKELLGYNDGKGRAFEVVTLPCGLVAGDTVLGRAPETLENAVSPVSRNEPSFAFLRLLQRLVGSVPLVHADDVCDALVFCMDQPSLADRFLCSAAYPTIHDIVEHFAAKYPHLDVLKEYKYGMEEILDGSVGCAARLGYIDAAKLRPQEG >OGLUM06G24270.1 pep chromosome:ALNU02000000:6:26926200:26927334:1 gene:OGLUM06G24270 transcript:OGLUM06G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGRKETTAAARAQKEAAAAGISVRESLQHAWASFLGLVRKVTARSEEEAAEADMRAAKMQVEATDEAEAKKKQLAD >OGLUM06G24280.1 pep chromosome:ALNU02000000:6:26928163:26931066:-1 gene:OGLUM06G24280 transcript:OGLUM06G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRFRAGDRRHRPPSAASGPFSDTRRGYFVGAAQAMRGSATPRAGAVGHFRGGLRPPPPPPTPFGWEEAARLERIISEEVGRRLIEKEVERRLIEEDVRREVAFAHGLHVLPHDPFPRPPPPPPEMPVGMHPHPHEPPPRFQELGAWEGFRPRRQHAGVAAPLRFGQRMLLGGAERRWSPPPPPRPKPKHKLVLREIEPGESSEVPSETKHSEAKPLETKPLQTKVSGVKRKVDAIPATTRPGKLQKPAQDWSCALCQVSATSEGALNEHLEGKRHKAKLAHCGASNAIKDGKSSLKEKTANKDDAGPSDAPKKICIQVDGAMHEVVQKSNYLWCDRCEVRCDNNVTMADHLRGKKHSGLNKVWTSINAVRMNKKKEQSAATWEETVNENERTEIPVEAKDDSAGLSTEEDETCHYEIPVKNSKNEGTYLATEVDQSDSEIETPVEIMREGLNMATDATDENVRMEDPLKIKKENPDETNLAPKEEQH >OGLUM06G24290.1 pep chromosome:ALNU02000000:6:26932026:26935116:-1 gene:OGLUM06G24290 transcript:OGLUM06G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDIDNDTSFFGVFDGHGGRVVAKFCAKYLHREVLRSEAYSAGDLGNAAHKAFFRMDEMMRGQRGWRELQALGDKINQISGMIEGLIWSPRGSDSNDQHDDWAFEEGPHSDFAGPTCGSTACVAIVRNNQLVVANAGDSRCVISRNGQAYNLSRDHKPELEAERERILKAGGYIQMGRVNGTINLSRAIGDIEFKQNKFLSPDKQMLTANPDINTVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEHINTESSLSAVCERVLDRCLAPSTLGGEGCDNMTMILVQFKKPISQNKNVSPAEQSAADKQPTGDTHWSEIHVTEESSS >OGLUM06G24300.1 pep chromosome:ALNU02000000:6:26943219:26944181:1 gene:OGLUM06G24300 transcript:OGLUM06G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGGCSTCLETIFSVVLPPLGVFFRYGFCSSEFVVSSALTALFYVPGIVYSVWVVILKTPPEPPGIDGERPYYILA >OGLUM06G24310.1 pep chromosome:ALNU02000000:6:26946436:26956662:1 gene:OGLUM06G24310 transcript:OGLUM06G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA polymerases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24120) TAIR;Acc:AT2G24120] MPLLLFPISPPCVPPPRPRLRRLSPPPPMAAVAPPSLSTPVTILPSVSVALPPLPPPATDDFHWLDLFAFLNSPADSYQIPVEEQEVEVEVEVGVEREREREIEVERERERERARKAEHRRLRQRQVKAETEAWARAADEYRELEREMLDRRLAPALPYVKSLFLGWFEPLRDAIARDQEVQRWKRVKHVYAKYLLLLPADKVAVIVMHKMMGLLMSSKDGVASVRVVQAAHCIGEAVERENRLNNVEALDSLALYTTDRCVRSWNFKVQTFFQKTRKKSAGENDLALEKEQAKCRKRVKSLVRRRKLTEAQKIVQQEIELEEWGTESQVKLGTRLIELLLDSAFVQSPADQTPESSPDIRPAFKHVLRQPIVENGRLKKKHWVIECDPLVHEGFESTARHVEIPYLPMLVTPKKWKGYDTGGYLFLPSYIMRTHGVKDQKEAIKSVPRKQLRKVFEALDTLGSTKWRVNRRVHNAVETIWSRGGGIAGLVDKENIPLPERPETEDPDEIQKWKWSLKKAKKANRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHAHLSHLGSDLCRGVLEYAEGRPLGKSGLRWLKIHLANKYGGGIEKLSHEDKVAFVENQLPDIFDSATNPVDGNCWWMNAEDPFQCLAACMDLSDALKSSSPQCAVSHLPIHQDGSCNGLQHYAALGRDYMGAAAVNLVPGDKPADIYSEIAARVLDVVREDSMEDPATNPTASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYEVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASENHPVKWTSPVGLPVVQPYKKYKNYMIALQRQKAAFPPNFVHSLDSSHMMMTAIACKKAGLHFAGVHDSFWVHACDVDKMNQILREQFVELYSMPILENLLKEFQTSFPTLEFPPCPSQGDFDVREVLASTYFFN >OGLUM06G24320.1 pep chromosome:ALNU02000000:6:26957301:26959226:1 gene:OGLUM06G24320 transcript:OGLUM06G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVAAAAGAACRRASYTLLGPPVERLRAAVSATAAAASTGDPFVDLLDSNYNKPKPTPPAKRLTENYSPTFASSGDPCLDFFFNVVPDTHASTVTSLLSAAWTAEPNTALRLACNLRGVRGTGKSDHEGFYATALWMHDHHPKTLALNAPSVAEFGYLKDLPELLHRIIHGGVSTRTPPPARTRFYYSSRRPRKVRRDPATRAARIAASQEKHRKISDQAAVERRKKRAEAAARAVEMYARDPKYRFLHDRTADLFADLIADDMRKLEDGKVNNLSLASKWCPSLYKCYDRSTLLCESIGRRLFPKGSDAELPEDLPDEYYAYRVRERLRKMALVPLRRALHLPEVYISARRWGDVVYSRVASVAMKNYTDLFLEHDHERFNLFLANVKTGKAKIAAGALLPHDILASVDSHDKEGNEVANLQWKRMVDDLLELGKLNNCLAVCDVSGSMNGRPMDVCVALGLLLSELCDEPWHHRVITFSERPQLHHIKGETLYEKEEFIREMEWGFNTDLQAVFDQLLHVAVSGNLPPERMVKKVFVFSDMEFDQASSRAWETDYEAITRKFNEAGYGGAIPEVVFWNLRDSCSVPVTGNKKGVALVSGFSKNMLKLFLSGGYEKMTPRAVMERAISGREYEKLVVFD >OGLUM06G24330.1 pep chromosome:ALNU02000000:6:26960349:26963015:-1 gene:OGLUM06G24330 transcript:OGLUM06G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTVTETAAANTVARRRHRGGWCCAGGGAEEEEEEVVALSSSSSAPGKKVGAAAGGLPRLVRFEELPDYLKDNEFIRGHYRCEWSVRDALRSAFAWHNETLNVWTYVAAARHCCFLLLQFPSSCSMESSIGWLTHLGGFFLFLWLAVAGGTERPAAAAVGVNAAPGIMTFLVASSANNASWETNSTSLEGKDSPALLGGGEHALARWPRTVFLVGAMTCLAVSATAHLLACHSRRFSRLFWQLDYAGIAVMIVASFFPPVYYAFLGRAVAQVAYLSAITALGALVVAALLAPARSSPRLRHIRAGLFVSMGLSGVVPALHALWLNWGHPECYLALSLELVMGLVYAAGAGFYVARVPERWRPGAFDCVGHSHQIFHVLVLAGALTHYAATAILIDWREAAIAAGGGAAAFL >OGLUM06G24340.1 pep chromosome:ALNU02000000:6:26965569:26966612:-1 gene:OGLUM06G24340 transcript:OGLUM06G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQQRSSTDSTAKAGDADGDGDAAAVSFLGDKSAKVFIAGHRGMVGSAVHRKLDALGFTNVVVRTRAELDLACQAAVEAFFAAELPRYVILAAAKVGGVHASSAAPAEYLTENLRITVNVVDAARRCGSVRKLLLLASSTIYPADAPQPTPESALLSGPPAPGSEWYAIPKIAGIKICQAVRAEYGMDAIAAAPNNLYGPRHPFPPEQSHVIPALIRRFHRAKLAGAGEVAVWGSGAAAREFTHVDDVAEALVVLMERYSGEEHVNVGSGEEVTVRELAEAVRGVVGYEGVVAWDAARPEGVARRVVDSGRMRKLGWEPRVALRDGIQDLYRFYLRHECGGQAHHA >OGLUM06G24350.1 pep chromosome:ALNU02000000:6:26975057:26977096:1 gene:OGLUM06G24350 transcript:OGLUM06G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVTTADPHASFLADKGGKVFVAGHRGLVGSAILRHLVSLGFTNVVVRTHAELDLTRQSDVEAFFAAELPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALKCGSVRKLLFLGSSCIYPKFAPQPIPENSLLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPQDNFHPENSHVLPALIRRFHEAKASNAAEVVVWGTGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQEMGWKPKVPLKEGLVETYKWYVENVISAKK >OGLUM06G24360.1 pep chromosome:ALNU02000000:6:26978401:27008148:1 gene:OGLUM06G24360 transcript:OGLUM06G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVAISVGRRRRRWPEVEERLTQPRRLLRQLSDVDSGRLRRLIRSGDLAPCFDAAEDDAGLDEDCPICFYFYPSLNRSKCCGKGICTECFLQLMPSKSSKKGVFQH >OGLUM06G24370.1 pep chromosome:ALNU02000000:6:27008943:27011288:1 gene:OGLUM06G24370 transcript:OGLUM06G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHRAAVFAVLTLLLSVAAIAMARPIRRSDLGLGLGANGGLGVGLGLDIALGGSGSASSSGQGSGYGAWSGPNGGSYTASGHGLGLGSGYGYGSGSAYGAGNGGSASGCGFGSTSCSGSGSGSVGLGTSINVGVGVGANGGTNGGSDCDTGSGSNYGSSTGSSSGYGSGGVSYRSRGHGGSSSIGSGSGVGLGATSGVGAGSNVGPSGGCSTCGSGSRSGSGAGGGSYSGSNSGSSSSSGSGSNSNSIGGSGSSSGAGSGSSASSGYGGSSSGSMSGSGSSSSSGSTGMSYGSSGAGSFSGSSSGSNSNAGSMSGANSGAGSSSSAYGASGSGSNSMSDSGSSSGSVSASGSGSSNSGAGSGSSSSSWSGSNSGSGTMSGIDAPSSTGTSSTAESNSGSATSVTGANSGSDSSAWSNSNSNSGSTMPSGIGVASNAGSSSGSWSNAGSNTGTLSGAGSSNWSSSTSGSTSSSGLGGGQGVGSRFGSGAQPTFGMGGGGGFGAGYGFGAGAGGWGKHH >OGLUM06G24380.1 pep chromosome:ALNU02000000:6:27036113:27044017:1 gene:OGLUM06G24380 transcript:OGLUM06G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMASPLSSWPWAFLGSYKYLLYGPVVGKVVQEWREQGRLPLGTSWCLHLILLLALRSLTYQLWFSYGNMLFFTRRRRVVDDGVDFRQIDTEWDWDNMVIMQTLIAAVLVTSRVFPATSDLSAWDLRGWAIAVVLHVAVSEPAFYWAHRALHLGPLFSRYHSLHHSFQATQALTAGFVTPLESLILTLVAWAPLAGAFMAGHGSVSLVYGHILLFDYLRSMGYSNVEVISHKTFQDFPFLRYLIYTPSYLSLHHREKDSNFCLFMPLFDALGGTLNPKSWQLQKEVDLGKNHRVPDFVFLVHVVDVVSSMHVPFAFRACSSLPFATHLVLLPLWPIAFGFMLLQWFCSKTFTVSFYKLRGFLHQTWSVPRYGFQYFIPSAKKGINEMIELAILRADKMGVKVLSLAALNKNEALNGGGTLFVRKHPDLRVRVVHGNTLTAAVILNEIPGDVAEVFLTGATSKLGRAIALYLCRKKIRVLMLTLSTERFMNIQREAPAEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPEDVEGLGTCEYTMGRGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDAVWNAALRHGLTPA >OGLUM06G24390.1 pep chromosome:ALNU02000000:6:27044314:27051784:1 gene:OGLUM06G24390 transcript:OGLUM06G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIGRWAEGEISSALSPHALPPRLVRYLPPFGLLLFDPTIFNFPNMTTIFNLLKIITCPYKTESIQSKSKC >OGLUM06G24400.1 pep chromosome:ALNU02000000:6:27052126:27054986:1 gene:OGLUM06G24400 transcript:OGLUM06G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSNGLLGLLNAGVLVLAVVVLGGGIWLSNRAATTDCERFMERPVVALGVLLLALSLAGLAGALCGASCLLWLYLLALFLLILALFVFTVFAFVVTNRGAGWVVSGRGYREYRLGDYSTWLQRRVENSANWAKIRSCLQDGKVCEKLGARRETMDQFVGSNLSPIQSGCCKPPTGCNFAYVSETVWTKPSGFNSTDDPDCTTWSNDQTALCYDCQSCKAGVLANLKNDWKKIATVNIIFLIFLIIVYSVGCCAFRNNRRDNSYPAWK >OGLUM06G24410.1 pep chromosome:ALNU02000000:6:27056357:27057121:-1 gene:OGLUM06G24410 transcript:OGLUM06G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGASSTMSFEQAFLKNLLLSLQDCSTTKPLDAMSLHERKRAVKSSADFAMATARGGGARWPKAIVLQQQPASTTARARRCGRIVRRCCGRKTRSGAGGGVMARRLQVRRRATALRKVIPGGGDAMDEAALLREAMDYVVHLRAQVDVLRRVSEAVQLQRRYTSSTSLRDYSWSKCALKGEEDSSMNMKR >OGLUM06G24420.1 pep chromosome:ALNU02000000:6:27071640:27072074:1 gene:OGLUM06G24420 transcript:OGLUM06G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSPRHPRMGAEETTWRRGRCRWRRCRQQQGGNNRWEGRCAVGYGGRSAADDDARSRRSVSMPRDGRQEADATRSAMEGSHCRQRLVRWLRVEGVRRP >OGLUM06G24430.1 pep chromosome:ALNU02000000:6:27073698:27074534:1 gene:OGLUM06G24430 transcript:OGLUM06G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEQRRSTVTAALLVLVACNLALALSGLSPPPPSAHDDDDAARVEPVGYLASVASSVLAVCVASSAARHGRRRGRLSVEAVLREARRTWTRPAVTALYVELLTTAMASLLLTLRAFLGAAAATGGGAGAELMAVSASAALVAWLGPVLFAHSDIACRMSLVVAAVEDGYQGRAAVDRAEALVTGRRARGIAVALAASLVEQAPSRWCGDGAPAFVVVPAVLAARLAACYACAAFYYQCRAHHDKNTSSVLKLGESSMVDEREADAMDSVLGCFRLT >OGLUM06G24440.1 pep chromosome:ALNU02000000:6:27075333:27076313:-1 gene:OGLUM06G24440 transcript:OGLUM06G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43770) TAIR;Acc:AT2G43770] MELANIQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAVYCMKFNPAGTVIASGSHDKDIFLWYVHGDCKNYMVLRGHKNAVLDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPVIGSCGSDKQIYLGEL >OGLUM06G24450.1 pep chromosome:ALNU02000000:6:27079200:27080907:-1 gene:OGLUM06G24450 transcript:OGLUM06G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDSVVDPLREFAKDSVRLVKRCHKPDRKEFSKVALRTAIGFVVMGFVGFFVKLIFIPINNIIVGLDAKERLAWGYSGVLIKEKTEDY >OGLUM06G24460.1 pep chromosome:ALNU02000000:6:27081950:27087646:-1 gene:OGLUM06G24460 transcript:OGLUM06G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGLGGGGGGGGAGGLGLDLSAVIQAAVVGLVLFSAAVVAVRRAASRYFVVDAAGFAASSYDDHHHPHHHLVMTPRGEEQQRQQGGGAAQGAQAAASGGGEPCAACGYIATKKCSGCKRVRYCSQGCQSKHWQSGHKFKCKQMKKSSPADKLSGGGEEDRNKLSGFGPISLVPARRKLNKVIFPYDEFLKLCNWRDLDYLPCGLLNCGNSCFANVVLQCLSCTRPLAAYLLGMDHSRECYKRHEDWCFLCELQCHIQRASESMHPFAPKNILSHLPNIGGNLGFGRQEDAHEFMRFAIDKMQSACLDEFGGEKAVDPSTQETTLIQHIFGGRLQSQVQCTACGMVSNRYENMMDLTVEIHGDADSLEECLDKFTAVEWLDGDNKYKCDGCSDYVKAQKRLTVYQAPNILTITLKRFQSGRFGKLNKRVTFPMKLDLTPYMSSTDGSDQYDLYAVVVHLDMLNASFFGHYICYIKNYRGRWYKIDDCKVMAVDEEEVHAQGAYMLLYSRRTARPRPLIAVEELMKQQQQLKVCPLNGQNHLIQEDVPLEGVPSLKPSEDLEVDFESNNKSLHTMDREPDLDFHVSFDRDKFTNNDIMHPPVSTVSHALDENTRGDSGFPLEESNTMGSVQFGNSTYETSLVHSPAEQCEEPASCIDSVDYMEIDTEAGVKVERWSRPALGDSVGVMGNGTLVPALENGLAGKPIPGFPDKPSRINSFFAEGCQTGDNGAGSSQELNGHCNGEPSCPEQGVLTNGGNTPSPSTQCCENKFATSTNGNYSIGNGDTLSSSNSLHAGKQNAGFTYNGFNPKPYKEPSGSNTYLNNTCNGKPSEDNHNKCAPNLPAKDCQGGMPFLHRGFLLRPCSRGNPGKCDDGLSISNGTSSSFVSSNSKSSNISSSRNGEGGTPFLSPSFHTNHCRESAAMDTSASSVHDLKTSYNISLEQKSIGAAVPSDLIKESWGEDGTTFGTVYQQRATSVDNVSSRHDENGHVILGANNSSYGGENGSNNGILDMNSSSSQRDAASSTMMASEKGIGPKTADQVNYISDALEHDGLRRRLTSNFPDQNGIDAQ >OGLUM06G24470.1 pep chromosome:ALNU02000000:6:27105836:27113159:1 gene:OGLUM06G24470 transcript:OGLUM06G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 5 [Source:Projected from Arabidopsis thaliana (AT5G10720) TAIR;Acc:AT5G10720] MGDEYLAEPEDEVAISMWPENIGDKHQKQFKMEKLGKDQDALEDANFQQKPSSVDLNRLMELANSEKGVSQMQYFVKHWEYKRANTARLLKEQIGLLCQQRKEIEQRKQQILEEQQFQDESYYAVKRQVPILDEVYKDEWKRPSKKNDDLSHNQELKIDAEYDSISYWKERAMQLEKTLEASLQRERSLEEKLEENIKNLQSHTPVEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHFPTLADEDVIGKTDYEILSGEGIEEMNNVKKEVMASGKATKREFVFNTPLFGAKTFVTYIEPVFSKSGETIGVNYVAMDITDQVTRREKMADIRVREAVQKAKETELSKSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQYQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLEATTFRPREVVKHVLQTAAASLKKELILEGCIGDNVPLEVTGDVLRIRQILTNLISNAVKFTHEGKVGINLHVLDKQLPGCRIEGGQLHSKAHSAPAAAAEHFSASPRKCDNDTLGCSNHEDACQTGIPSNDNFGEHHKGDEVVWLRCDVYDTGIGIPEKSLPLLFKRYMQASDDHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSTFSFVLPCKIPVKEDHSDDPDDMPSSGGDFTTSDIEGSFIFKPQARPYLLTSGVSVMNNTKLIGGNLFYDPPNILEDRKPFSNGFVLAEDHSTNSASTAHQSNGPSVSRTNKEQHDNAMVIELNRQAERVSSSRGDTTSVSGLIHEERGPCRVHEEKSLHKKSKCSPSSNKAKILLVEDNKVNIMVAKSMLEQLGHGIDIVNNGLEAIRAIQKRQYDIILMDVHMPEMDGLQATKFIRSFENTGCWDTSVKPEHDQMIAGSDNLSDCAHMKKQGKRVPIIAMTANSFSESAEECLAAGMDSYISKPVNFQNIKECLQQYLPPQ >OGLUM06G24480.1 pep chromosome:ALNU02000000:6:27128346:27128900:1 gene:OGLUM06G24480 transcript:OGLUM06G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVAELASAPAAYAHAANGTAAHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDGGSGNGQASGSAAAGEGAEGEKGSAAGAARPALGFREHVVVIMAGDERPTFLAMPATSRPAVELGAAPVASSCGCSHSEEEKKVEEVSSPPPPSERDADDDAASRRHESSSNTRQQESSQ >OGLUM06G24490.1 pep chromosome:ALNU02000000:6:27132577:27134817:1 gene:OGLUM06G24490 transcript:OGLUM06G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAASSCCGGSKKVEQGCVSASMSSNTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTSDGLQIAVKKLKATNTSKAEMEFAVEVEVLARVRHKNLLGLRGYCAGGAAGDQRMIVYDYMPNLSLLSHLHGQFAADVRLDWARRMAVAVGAAEGLVHLHHEATPHIIHRDIKASNVLLDSGFAPLVADFGFAKLVPEGVSHMTTRQGFKSPAKLVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTVTEWAEPLIARGRLADLVDPRLRGAFDAAQLARAVEAAALCVQAEPERRPDMRAVVRILRGDADAKPVRMKSIKYADHLMEMDKSSVYYGEDGGGDGEEDMDDEEVEEYSLMEDKSSVNFGVFGAMPVQTMHDPYVRGFGGGDGIKI >OGLUM06G24500.1 pep chromosome:ALNU02000000:6:27142050:27142913:-1 gene:OGLUM06G24500 transcript:OGLUM06G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGVAAAGAEAEAVEEKAAAEHHHHQQRQQHGALNLNVEAKDMKLDYLFSDLDPYLNVEFARFPHADSVVPNGAGAGAAIELDFTCGLGVGVGGAKQSYSSYTATDLAHSGSSSEVGVVPEAMCGGGGAIDLDFTRPKPQPYMPYTATPPPSHSVVSAQMSSSVVDVGMVPERAAAMGEGREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADDADADADDPAAVPSSYMLDFGYGVVPSF >OGLUM06G24510.1 pep chromosome:ALNU02000000:6:27163989:27167446:-1 gene:OGLUM06G24510 transcript:OGLUM06G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACQ1] MALAPPLCHLLAAPPPSTSAAADAAHDHAGALAPHRRASPLRRRGRLFLACRVPAAAPSARGVAAEAAAGRPTVLVTEKLGDAGLELLRRFANVDCAYELTAEELRAKVSLVDALVVRSATRVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAIALLAAMARNVAQADASLKAGKWQRNKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMDIISHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFDDETFANMKKGVRIINVARGGVVDEDALLRALDNGTVSQAALDVFTEEPPPKDSKLVHHEHVTVTPHLGASTSEAQEGVALEIAEAVLGALKGELAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGIKGVKIGYSSSRDPDDLDTRVLRAMVTKGIIEPISSAFVNIVNADYVAKQRGLRISEERILLDGSPEIPIDSIQVHLANVESKFAGALSDEGDIRVEGEVKDGKPHLTLVGPFSVDVSLEGNLILCRQVDQPGIIGKVGSILGKMNVNVNFMSVGRTAPGKQAIMAIGVDEEPEKEALKLIGDIPSVEEFVFIKL >OGLUM06G24520.1 pep chromosome:ALNU02000000:6:27168208:27168525:-1 gene:OGLUM06G24520 transcript:OGLUM06G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLFVVGSYATELTFKVNEGSSATSLELITNVAISEVEIKEKGASDWTALKESSSNTWTIKSGSPLKGPFSVRFLVKNGGYRVVDDVIPESFTAGSVYKSGIQL >OGLUM06G24530.1 pep chromosome:ALNU02000000:6:27171489:27171731:-1 gene:OGLUM06G24530 transcript:OGLUM06G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVRGHARLPVTVQRLERELGAVEVGGVGDRRWEAAKQADEGGDRWLEDVDGRRWEVDADWGRRRRQWQLAQLPLSWRP >OGLUM06G24540.1 pep chromosome:ALNU02000000:6:27175934:27177085:-1 gene:OGLUM06G24540 transcript:OGLUM06G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G24540) TAIR;Acc:AT2G24540] MSFSSSMSKQQVLEVAGDEVGGGGEEVMELIPGLPEEVAEKCLLHLPFLYHRLFRTVSSTWNRFLTVSPAKFPSAAAASVSLSLPFLFAFAFDPASRRLQCQALDPFSRRWLLLPPVPGGAAAAGSFAVVGLPRRGEIYVIGGVEEGGDKAVRSVAVYSAARNGWEEAAGMGTARGYMAAGEEGGRLVVAGEDGEAEVFDPEEGRWAPAAARRGAAVARYDAAASGGKLYVTEGWAWPFERAPRGAVYDAASDSWSEMARGMREGWTGSCAVAGGRMYIVAEYGEWRLKRYDEPRDEWRMVAGSGVPPEVRRPHVVSGEVEEVGSGGRRRIYVVGAGLDVAIGTVSPSPAIHGGDDERVDWEVVKGPAEFAGLAPCNAQVLYA >OGLUM06G24550.1 pep chromosome:ALNU02000000:6:27199285:27202350:1 gene:OGLUM06G24550 transcript:OGLUM06G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-methanol-choline (GMC) oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G73050) TAIR;Acc:AT1G73050] MSAVFAKLGGEAMNWDMKLVNSSYEWVERELAFQPVVRGWQAAVRAGLLEANVTPWNGFTMDHVSGTKVGATTFDSSGRRRSAADLLAFARPGRLRVAIRATVTRIIMSPIEPVARRGRSPQPAVAASGVVYQDRLLQQHHALLRPGGEVILSAGSLGSPQLLLLSGIGPANDLTSLGIPVFADVPDVGKHMFDNPRNGISIIPSIPIDHSLIQVVGIPSANGNESYLEAASYIVPLAPILRRGGPFSPSSPLYVTVVTIMEKVPGPLSEGSLWLTSSNPLESPAVRFNYLSRREDLARCMTGMRRVAKVLESTTMDVFRSAMGSLSQDSRRREFRIVGAALPVDWRTNDTALGDFCQQTVATLWHYHGGCVAGSVVDRDFRVFRVRALRVVDGSTFRETPGTNPQATIMMMGRYIGQKMIDERHSRRQVRTSTDSSSNA >OGLUM06G24560.1 pep chromosome:ALNU02000000:6:27213183:27213461:-1 gene:OGLUM06G24560 transcript:OGLUM06G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQAAAAAAQLGMGKVATRLGTGTGTAAAPTWLGQVGMFSYVDTDGVAGTTIIPAAPLSRAAFPTHAGVVLVPSRAGAGDPSPPRNSTKWS >OGLUM06G24570.1 pep chromosome:ALNU02000000:6:27213903:27225478:1 gene:OGLUM06G24570 transcript:OGLUM06G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSETSEATRNPLLPDVIHLSCSRFPPLPNGNAALRLLRSSSPPKPNPLPQTLAPPAMAAIPTRLHLLLAALLVAPTLAAAQPRGFGGVVAPPPAYARYVVDAAETAAEEAYDYIVVGGGTAGCPLAATLAGPGGGRKS >OGLUM06G24580.1 pep chromosome:ALNU02000000:6:27229304:27233481:1 gene:OGLUM06G24580 transcript:OGLUM06G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACQ8] MSSYMEAAAAARAAEAKTEGLLRGACALLAAAAALLVGLNTQTETVLFIRKKATVKDVQALWVLAMAAAAAAGYHLLQLLRCFYLSRFADGKPCRHRRAIAWLCFLLDKGCAYMTFATTVAAAQACVVALYGTHALQWTKLCNIYTRFCEQVAGSLVCAMLAAVGTALLSVVSARNLFRLYPSMLSPPPSSFVG >OGLUM06G24590.1 pep chromosome:ALNU02000000:6:27235577:27241906:-1 gene:OGLUM06G24590 transcript:OGLUM06G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAAAAEAAQEEETVVFRSKLPDIEIPSHLTLQAYCFEKLPEVAARPCLIDGQTGAVYSYGEVEELSRRAAAGLRRLGVGKGDVVMSLLRNCPEFAFTFLGAARLGAATTTANPFYTPHEIHRQASAAGARVIVTEACAVEKVRGFAADRGIPVVAVDGDFDGCVGFGEAMLDASIEPLDADEEVHPDDVVALPYSSGTTGLPKGVMLTHRSLVTSVAQQVDGENPNLYFRREDVVLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLTRRHGVTVAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDPDTGATLGRNQSGEICIRGEQIMKGYLNDPESTKNTIDKGGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPDIKDAAVVPMIDEIAGEVPVAFIVRIEGSAISENEIKQFVAKEVVFYKRLNKVFFADSIPKSPSGKILRKDLRAKLAAGIPTNDNTQSKS >OGLUM06G24600.1 pep chromosome:ALNU02000000:6:27244872:27245899:1 gene:OGLUM06G24600 transcript:OGLUM06G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGTTTELNITPANMLPSRNISAKALQLVRLSKNSQAPPLPSLGRALLSSSPAPARMLSAAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFASPRKSVWESLEMSRFELGRNVLVRARPHRSAGSSLRNTLFASARPRNDVMLKKLGGISPVRLFCDKANMRSAGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASSHRGTPPTIEVVVVEVRDVEGGAIAERVRYLAGERVVA >OGLUM06G24610.1 pep chromosome:ALNU02000000:6:27257210:27258655:1 gene:OGLUM06G24610 transcript:OGLUM06G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWFRVGRIAAAARVLWRGPTPASAPSEVRERLAVETVKLFSPGMNQQDRSFWSYLIGYNCGALIILGNANRELDLRAKALAEEREAL >OGLUM06G24620.1 pep chromosome:ALNU02000000:6:27259681:27260161:1 gene:OGLUM06G24620 transcript:OGLUM06G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVRSVARRAAATAQGYGRRSAATSSGGGKASSSEMRRIDYGSIWHVIAGNIGLGVTLFGLKGLHDMRMEEREEKWNREREERLEAARKEWIQQTQGQRIVIL >OGLUM06G24630.1 pep chromosome:ALNU02000000:6:27260690:27261559:-1 gene:OGLUM06G24630 transcript:OGLUM06G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLSLLLLLALVLPASGDDAAAAAAAPPPAPAGGASFNVTEILGRFPEFGLFSYLISKTHVDRDINSRNTVTVLVPDNSAVDWLLRRSARLPRAALVELLSVHVVLDYFDAAKIAALPPGKPTVSTTLFQTTGNARRRTGFLAITPTAKGGAVFASAAPGALVNATLKRVVAAVPYNISVLQISNFVVPPGVLTRPRPGPGPLPLPSPPLPRMKPMAIAPTPAPVPAPTKMVPIPPSLPLTDPADEDGDEAPAAAPAPSHGNAVKVMSWWSGLGVLVGTMACVFGYL >OGLUM06G24640.1 pep chromosome:ALNU02000000:6:27286502:27286874:-1 gene:OGLUM06G24640 transcript:OGLUM06G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTAMSVDFRRRGVGDNAKGSGRMAAVDVQLPRGNDPRGGSYPWGFYSQVRPSDSEGDGPFPACGVDGGGDGEEVMILAATTLGMVATSGSLRPDPTM >OGLUM06G24650.1 pep chromosome:ALNU02000000:6:27359136:27362958:1 gene:OGLUM06G24650 transcript:OGLUM06G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDMSSAYPHHWLSFSLSNNYHHGLLEALSTTSAPPLGEEGPAEGAPKMEDFLGGLGGGGGAVAAAPAAAPEDQLSCGELGSIAAGFLRRYPAPENAGGVTIAMATDAAAELADPARRTAETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVANYETELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMTRYDVDSILNSDLPVGGGAATRASKFPSDPSLPLPSPAMPPSEKDYWSLLALHYHQQQQQQQFPASAFDTYGCSSGVNVDFTMGTSSHSGSNSNSSSSSAIWGTAAGAAMGRQQNGGSSNKQSNSYSGNNIPYAAAAAMTSGSALYGGSTGSNGTWVASNTSTAPHFYNYLFGME >OGLUM06G24660.1 pep chromosome:ALNU02000000:6:27412127:27417238:1 gene:OGLUM06G24660 transcript:OGLUM06G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAAGGASPAPEAPPPPPRGWISGLVSGAGRILASVLGPDSPAAASGSATTTSATSPSASSSPASSRHPDHGNSPLFFPKANKLNKSENEAIMKDYSEASLAIISEIEPKDAIMQLLKQETYSRSECNALVKIIQERVVDSNLNGVDAGGLALPINWKTGRQVNIGYSSLSPKGLLPATSIPPVQDHVFDNSAGAGASTTIAHDRGPFAHATDKIQSVLKRSYSVATDTPEDSRRVRPKINGNSLEISNFKQVDVIRTHSGDDNKLSDVPLFGTNNLIYSNIVSIVGSADEKIGIPNKPSAGDDNKNYDSEFLNPCTNKDLKNSFPLKVEPLDVCIPFEQQMMDLSHQKHELGVPLENGSKNRRRRAPNTQRITPARSPAKGSRRKNNDVTVKSDTDLLEQSKGSHDVTVKSEIDLLEQSKLVLMEQSPDLGDIPVKRPVGRPRKAK >OGLUM06G24670.1 pep chromosome:ALNU02000000:6:27418471:27419490:1 gene:OGLUM06G24670 transcript:OGLUM06G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPGPLELFSGAAASPIARHLQRRARRVRVVGWGRSWPRIFASHLVEETQHGRSQHMYNEFAGDWPTAQSIVSKILNKPNDQSYSDPVLCKGWQCSWDRSSQKEVYDCTIFPSWVILRTLVTANFKCRWLEGIEKAF >OGLUM06G24680.1 pep chromosome:ALNU02000000:6:27424142:27424774:-1 gene:OGLUM06G24680 transcript:OGLUM06G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPVLPIFFSLHLVLPSWQRHQRSRRVLALVSPPSSLRRPSAPGRPRGGDARRSSSQGWSGDGQAERSRWRLVARPILATALLGAEYARWWLTARLLKTAVVGAERRAPAPSCELCLTAPAPPHPGPPRDCASSAPVFCVGGERRRIFV >OGLUM06G24690.1 pep chromosome:ALNU02000000:6:27433764:27440044:1 gene:OGLUM06G24690 transcript:OGLUM06G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLRLRPSLLAARAPGAASLPPLRREMVNGQFLCPAKGPKVLPSINVRNSLVGNPPTTGYHIDQQLVPLTLRDNLYNLQLKHMIHQALSIVSVSLLAVENLSDVSPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYSPATGVALVSAFAAMSFGLGWAVGSQPLFLALFISFILGTAYSINTFVFRRPAVFTRPLIFATAFMTFFSVVIALFKDIPDIEGDRIFGIKSFSVRLGQKKVFWICVGLLEMAYCVAILMGATSACLWSKYATVVGHAILAAILWNRSRSIDLTSKTAITSFYMFIWKGRR >OGLUM06G24700.1 pep chromosome:ALNU02000000:6:27462144:27464020:1 gene:OGLUM06G24700 transcript:OGLUM06G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGRMNAAGDESPFPFGAMQAPGPGAYVGFDHGAAAVAAAAAAAQRAGMLQHHHHHMYDGLDFAAAMQFGGGQDAPPHPQLLALPPSMAAPPPPPMPMPLQMPMTMPMPGDVYPALGIVKREGGGGGQDAAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGLGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAASGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPAAAPTTAVAAAKDAVAAPVAAGKKPSGGAATSYTGDNKNVVSMSAAKSPISSNTSVISCLAEQGKHAAAAARPTALTLGGAPPHESSAPQIGAMLHHHHHHQQDHMQVSSLVHINGGGGGGGSNNILSCSSVCSSALPSTATNGEVSNQNNDNSHNNGGNNNMHLFEVDFM >OGLUM06G24710.1 pep chromosome:ALNU02000000:6:27470858:27478926:-1 gene:OGLUM06G24710 transcript:OGLUM06G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRLRVLLPGTRGLSTASPAEAAAAPLSAAELELLLRRDHYSASTRRFHSFLPLLSHPSLLLSSALLLRRRAHPSLHSPPPEPPPLAPAAAAAAAAEAISSPSSHLRLLLPSRVKGRPLPVPTLPLRLATLSAASALDSVFAPRAATFAYRARHAAVRYLRSIPNASWFFRVAIPRQPFGPRHVRRLLSAISGKVDDPGFVEFLRELFASDAVAFELGGCELGRGLPQESELTATLLNIFFDPVDREVIAIREEVHKKNPRVKDDSVLHTPVRVYAVRYLDEMLVVTSGSKMLTIEVRDRILAVLERDLEVKVDKLGSSVHSAESEKMEFLGMEFQAVPPSVLHPPMSEKAKRARKMYLKRKAAKAQELKNARETRRKKLGLKILSHLFKKVRRGHEFEFDFQIESEVQQLFKEWAEEVVTEYFKSPEHCRYWHRLLTSGDFLSLARVRDQLPPELVDSYDKFQETLDRFLMPMRDRGTSDEEERLAEEEERQYEKRTVQDLTELKMRVNAPIELVRKAVKLAGFTNSMGRPRPIKLLICLDDTDIINWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLAEKHECTKRQAISHYSKDLKVKNDDGVAEVHFPTECEIRMMGDKNLSDPKPVDGALTMIFVRLAVDDTTYPCVAHFCAETDTVIYRIRLLQNRLNVDPLNEKKWVQGLSAIHESLNKKCLPLCPMHARMLLLTSVSVNCMRNNFISMLLRNLVIPTELIVMVRMYHHDEVLSSMVKVVSCFYLTWPCRDISMLNRKLFMIVVMAGIGFHEMDDKATGPCRRWNIFGGVRSTAAVDTSYAQDLDQSLKGRQIATARGATFHVIIGRRHRASTASGFRTDLVVGAQRGILDLHQDVQTCGYEDVQVMWNMLSSEKEAALPPPPPRKRALWRLRLPVWPTAVWSPRGRGMQQREPNPTADCNFAM >OGLUM06G24720.1 pep chromosome:ALNU02000000:6:27486820:27495784:-1 gene:OGLUM06G24720 transcript:OGLUM06G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPRQLASADPHLSRERAGWPSFVLLLPPHPSPCLMVPKKVWGVQQLNPMPHARSATGEHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFISENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >OGLUM06G24720.2 pep chromosome:ALNU02000000:6:27486820:27495785:-1 gene:OGLUM06G24720 transcript:OGLUM06G24720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPPLGLRRSPSIPRASRVAVVRAAASAASITLHTFSSLLKQKSPKTSPTKPRGSMAVSMREVDAVFQGAGQKDGLEIWRIEKLQAVPVPKESHGRFFTGDSYVILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAIKTVELDAALGGRAVQYREVQGNETERFLSYFKPCIIPEEGGIASGFRHTEINEREHVTRLFVCRGKHTVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYLKDSNHEGKCDVGSVEDGKLMADADAGEFWGLFGGFAPLPRKTFSDLNGKDSAFSSNLNKGQTVPVDFDVLTRELLDSTKCYLLDCGSEIYVWMGRETPLEERKRAGSAAEELLREGNRPKSHIVRLMEGFETVIFRSKFSKWPKKADAVVSDESRGKVAALLKRQGFNVKGLAKAAPVKEEPQPQIDCTGNLQVWRVNGTEKTFLSFSEQCKFYSGDCYIFQYSYPGEEGEECLIGTWFGKKSVQDEKTTAISVASKMVESLKFQAVMVRLYEGKEPAEFFSIFQNLVIFKGGVSTGYKKFISENGIEDDTYSENGVALFRVQGSGPENMQAIQVDTAATSLNSSYCYVLHDGDTLFTWIGNLSSSMDQELAERQLDVIKPNLQSRMLKEGSEYDQFWKLLGVKSEYPSQKIAKDQESDPHLFSCTFSKGVLKVREIFNFTQDDLMTEDVFILDCHSCVFVWVGQRVDTKMRAQALSVGEKFLELDILMENSSQETPVYVITEGSEPQFFTRFFTWDSAKSAMHGNSFERRLSIVKDGVKPKLDKPKRRPTTSSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPAIRKPSPKSPSSDPTKPPQRAASIAAISASFERPRPTLIPKSIKASPDVNKPQVEASKPKPEANGKDSTPSKDSPTVTPTIQEDLKEGQPENEEGLPVYPYERLRTSSINPVTDIDVTKRETYLSAAEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >OGLUM06G24730.1 pep chromosome:ALNU02000000:6:27499566:27501805:1 gene:OGLUM06G24730 transcript:OGLUM06G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) TAIR;Acc:AT5G20590] MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYTCASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRKDGHPSVYGKASASVAGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPRSWKHH >OGLUM06G24730.2 pep chromosome:ALNU02000000:6:27499566:27501803:1 gene:OGLUM06G24730 transcript:OGLUM06G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 5 [Source:Projected from Arabidopsis thaliana (AT5G20590) TAIR;Acc:AT5G20590] MPPAAALLPWRRLVVPLLAVVSVPFVLPLALPFLLRSSSSRQPLSVHRLDWLPPLSPLPRTPDPSTPPPPPLTLPLAPPTTPPPPPSTLPMAPPTRSSPAASPPPPEKDEGGGTTCDLYDGEWARDEAARPLYAPGTCPYVDEAYTCASNGRPDAAYTRWRWAPRRCRLPRFNATDFLATLRGKRLMLVGDSMNRNQFESLLCILREAIPDKTRMFETHGYRISKGRGYFVFKFVVINLTKTDKWNPWRRSVTVIIVILQDYDCTVEFVRSHFLVREGVRYNRQKNSNPILQIDRIDKSASRWRKVDVLVFNTGHWWTHGKTARGINYYKEGDTLYPQFDSTEAYRRALKTWARWIDKNMDPAKSVVFYRGYSTAHFRGGEWDSGGSCSGETEPTLRGAVIGSYPRKMRIVEEVIGRMRFPVRLLNVTKLTNFRKDGHPSVYGKASASVAGKKVSRRKQDCSHWCLPGVPDAWNELIYASLVLEPKPRSWKHH >OGLUM06G24740.1 pep chromosome:ALNU02000000:6:27502280:27505121:1 gene:OGLUM06G24740 transcript:OGLUM06G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G20500) TAIR;Acc:AT5G20500] MAALLGRRFGMAAAALIALAALGSAASGTASKSSFVKSTVKAHDVVIFSKSYCPRAKAVFKELELKKEPYVVELDQREDGWEIQDALSDMVGRRTVPQVFVHGKHLGGSDDTVEAYESGKLAKLLNIDVKEDL >OGLUM06G24750.1 pep chromosome:ALNU02000000:6:27505828:27507195:1 gene:OGLUM06G24750 transcript:OGLUM06G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHAGAWSDLPADVLITILEALDIVDLVRAGAVCQWWNTSSAYVRGLHHLLSRPCTPRLLYTTAAAAGADADADDPNVVTLYSLTDHRSYTVTLPGPHVHRRWLGASHGWLATADDDAALHLVNPVTGQQISNLPPVTTVEPVRRLLDDGGAVVPGMYVVYPYDWTLRVEPLVNAPMTLTAREISEYLYLRVFLSSDPSSDIVGGGCVVVLLHRPDGQMSFARLGDTHWTWIRTPTGNELYVDVGFSADGRMLYGIRRDGAIHEFDLGGEPALERMTILPAQDGMMRHTNYLVDAPWLGGGDGGCWLMVCRRMGAANLQAYAAWLADRSLPYDGVWNTHSIKVYRVDPAAGTAAEINDVGGRHALFLGCNSSFGLAMADCPAGILPDHVYYTNNEEQYALDTPECARDIGVYSMGDGSFHRVKPPSPWLDWPLPAWIIPSFGCLGYSNRFLAN >OGLUM06G24760.1 pep chromosome:ALNU02000000:6:27512456:27512941:-1 gene:OGLUM06G24760 transcript:OGLUM06G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELELSSELAALRPIRVTAAAAAAGSGAATARADDAGVPEESGSATVRQGGGGGGGGGASVSAAAAAVVESSDGCVTPTSAGSALRPATVCPPAPRKPRPAGKRMTKRCCCGGGGGWPRRPFFPVPHDLAAVFVARAPAATTSPPCPPPAKKIRVHAVG >OGLUM06G24770.1 pep chromosome:ALNU02000000:6:27531164:27535106:1 gene:OGLUM06G24770 transcript:OGLUM06G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT5G57090) TAIR;Acc:AT5G57090] MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISTNDPYSMNYRFLAADSLQKLVILAALAVWHNLLSRYRRNGGAAASLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGSLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGRDGRVHVVIRRSASASTTGGGGGAARSGVSRAYGASNAMTPRASNLTGVEIYSLQTSREPTPRASSFNQADFYAMFSGSKMASQMASPMAQHGGAGGRAQGLDEQVTNKFASGKAADPPSYPAPNPGMMPAPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFASAPPPAAAPVDGATPKGVSGSVTPAAKNGGGEVEIEDGLKSPAAGLAAKFPVSGSPYVAPRKKGGGADVPGLAEAAHPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLVWSLVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKTVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >OGLUM06G24780.1 pep chromosome:ALNU02000000:6:27540311:27540517:-1 gene:OGLUM06G24780 transcript:OGLUM06G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGKEEKVLGVQKAPGSCPYCGGGVAATDVEAKWVLCFLPLCLNNKRRFSCTACNRRLVSYPAIVHD >OGLUM06G24800.1 pep chromosome:ALNU02000000:6:27566136:27569836:1 gene:OGLUM06G24800 transcript:OGLUM06G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-conjugating enzyme 22 [Source:Projected from Arabidopsis thaliana (AT5G05080) TAIR;Acc:AT5G05080] MATNENLPPNVVRQLAKELKSLDESPPEGIKVIVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPSLGLRHVLLVVRCLLIEPFPESALNEQAGKLLLENYEEYARHARLYTSIHALKPKNKTKSGTISESTTALNVDQSNNAVSKNTPLGPAALSTSTANKAFGSNLQDQNAAPSDPALGASAVPKKDGLHAVKAPVEKKKIDARKKSLKRL >OGLUM06G24810.1 pep chromosome:ALNU02000000:6:27573245:27579719:1 gene:OGLUM06G24810 transcript:OGLUM06G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTVRRGGGVGLRALVVVAVAAAALVVARADTAAADGENICIGYASNSRMDTFNCHTDEQLNIMKGVGYDHRKGIITMNAANLGGQLGGLGNFTSIVTINLSNNKIGGTIPEDLPVTLQHMSLNDNQLNGQLPDAFGSLTGLVNLDISSNNLTGVLPPSMKNLSSLTTLNIENNLFSGPVPPKLQSIPNFKKDGNPFNTSIAPSASPPSTPGGPAPTPTPTRPAPSPSPTGPPTPSPTNPNLEPSPPPPSSSAPPPSRTPSNSSDGPTTRDNISSSKKQNSSTLKIVGFVFLGVVLFIVTVLLVIFCLSKYQERRSRYDYNRSQLARVHHTVEHRINPSIVQPRDDAKKDQQSLAAVPKKPLESQREHIIDLEHPNNLARTNSELFAVDPPPPPPPPFLPPFPVEKVTVNPVVPPEKRYISPPRINKPTSATSFSVASLQQYTSSFREENLIRKSRLGKVYLAEFPEGKFLEVMKIDNTNGRISVDKFLDLVQLVSDIRHPNIHELVGYCAEYGQRLLVYNHFSTKTLDDALHDREGVDSTLSWNARLQVALGSGKALEYLHETFQPPIVHQNFEPANVLLDNKFSVRVAECGLEKLLASSSVTQLADRMHSLLNYEPPESRESGIVTEQGDVYSFGVVMLEILTGRKPYDSSLPRAEQHLVRWANSQLHDIESLSRMVDPSIQGQCSEKALSRFADIISGCIREPQFRPPMSEVVQDLARMVNETGEESE >OGLUM06G24820.1 pep chromosome:ALNU02000000:6:27585838:27586397:-1 gene:OGLUM06G24820 transcript:OGLUM06G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRWGLGTVGWYCLVWHQCHGDGFGRRTLRPELIFDNFIDIYIASEGSFRPEIMSGFSPYTTSYGDMSSFGGGSSSVPNELRTSQTDDAPHVTQPT >OGLUM06G24830.1 pep chromosome:ALNU02000000:6:27588077:27588280:-1 gene:OGLUM06G24830 transcript:OGLUM06G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRCRRRCPRPPIHRCYPCLRAATLRLGMLPPGGEAGTAASSVGGGFGIRWVVGVRVPRRGGHGEI >OGLUM06G24840.1 pep chromosome:ALNU02000000:6:27589969:27591989:-1 gene:OGLUM06G24840 transcript:OGLUM06G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRLRPSLLAARGPRCGLAAASPARNGKGPVSLSSQRTQGPSFDQCQKFFGWESSHHRIPHRPTISLLAVENLSDVSPLFLTGLLEAVVAALFLNIYIVGLYQLFDIEIDKPTLPLASVEYSPATGVALVSAFAAMVNKLLWSRK >OGLUM06G24850.1 pep chromosome:ALNU02000000:6:27609936:27611510:1 gene:OGLUM06G24850 transcript:OGLUM06G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEAERCALCGAAAAVHCEADAAFLCAACDAKVHGANFLASRHHRRRVTAGAVVVVEVEEEEGYESGASAASSTSCVSTADSDVAASAAARRGRRRRPRAVARPRAEACAHVPARLLTAVASSMARARARRRAAADNEEGWDECSCSEAPNALGGPHSFRTTEAPAFSFIASVRWKKRLLIVLAEYKYATSNQFPTLN >OGLUM06G24860.1 pep chromosome:ALNU02000000:6:27615288:27619493:1 gene:OGLUM06G24860 transcript:OGLUM06G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIECTHGAVRRWISLIFSNRRSSRASFHHHHHHRARASPPLVSLFLFVSQLKLAHRSIHPPPPARGCGRGGGGRRRRRRRRGQHMGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAEPVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEEQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSAVPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >OGLUM06G24860.2 pep chromosome:ALNU02000000:6:27615489:27619493:1 gene:OGLUM06G24860 transcript:OGLUM06G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAEPVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEEQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSAVPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >OGLUM06G24860.3 pep chromosome:ALNU02000000:6:27614733:27619493:1 gene:OGLUM06G24860 transcript:OGLUM06G24860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGTWRKAYGALKDSTKVGLANFNSEYKDLDIAIVKATNHVECPPKERYLRKILFATSANRPRSDVGYSICTLARRLSKTKNWIVALKTLIVIHRLLREGDGTFKEDFLNYSYRGTILQIPQFKDDSSPLDERVECFRILKYDVEADRLVKLPQASGKPEGAACTNYLVQYALALVLKESFKIYCSINDGIINLVDMYFDMQKYDAIKALEIYKRAGYQAEKLSAFYEHCKRLELARTFQFPTLRQPPPSFLITMEEYIREAPRVSIASKSLESEEQNSPSDNEDEAPQETEKPVDEEEQEPSEPEEEPQPTAEPVEETEPVPLATTGDLLNFDEEVNPLIANIEESNALALAIVAPGNENKASASQDLFALDKSGWELALVTAPSTHTSRPVDNQLAGGFDKLLLDSLYEDEARRQQIASATYTGSVAGNPFDPNDPFAMSNNFAPPSNVQLAMMQQQHQYYQEEQQQYYQIQQQQQMVTLPPQTYHQQQQTQYSAVPSHDGLSNPFGDPFGGLVAMANPPKQNNSNLV >OGLUM06G24870.1 pep chromosome:ALNU02000000:6:27623717:27623962:1 gene:OGLUM06G24870 transcript:OGLUM06G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDEVEIEDMEWNAELGAYTYPCPCGDLFQITLADLRLGEEIARCPSCSLFLTVVYNEEDFADAKEPPHKPAPRPVAVA >OGLUM06G24880.1 pep chromosome:ALNU02000000:6:27626430:27630455:-1 gene:OGLUM06G24880 transcript:OGLUM06G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPARERFSALRGARWRADLGVLPDCASVSTEEFRRAAADSRRRYANLRRRLLIDPHLSKDEENAPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGNFFQTTICQSMLGRILLVWSLRYPELGYKQGMHELLAPLLYVLHADVHYFKQVRELHDELFSDDFDGQTFPDRIKLNRSDRTNTIEGSAAKIRSLDDLDSDTRDLFLINDAYGAEGELGIILSEKFMEHDAYCMFESLMHGSMNGAQGVVAITDFYSLSPAPESSTGLTPVREASSAIYHLLASVDSPLHSHLMELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCADIKNQSDYQFKILCSHRGALILSVAVSMMLHLRSSLLGSEHATSCLVRLLNFPGDTDLKSLIDKAKLLQPFALEANLPSSPLRGKSPLNPPNYWEETWKILQMSEEKRSGGSINRMKVRGLFRRSSPNTESNVSRTKDANFEDSNSTSGTQSTADEHHRSGIVPVNLVNGTLHTPIRERTSHVEQCTAENMITTSNNVLEAGQPEVHRSSSVDVRDALGVACGNLSRDSSTSLSCGTEYDHETHHADEPCASHDDKVVSEPDPLPVHNDKIDEVTIAAIQTCALVDYQQSQQNKPCSVNGKSEVKYQQNFAVHEVGRKETFELGSSSDVADKELLGTLRLLGESMVENIEVIDLLFQPNLHSTSLDKSEEIVLGSIEQAKAKAALEGLKKISELLRRI >OGLUM06G24890.1 pep chromosome:ALNU02000000:6:27632726:27636966:1 gene:OGLUM06G24890 transcript:OGLUM06G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHTTARTRGLLPAVLFPLSLSLSLSRGAACLRLSSPPPRLGLLLSSPNLSLARRINIALASPPLSRLASERTNGRASGERGEPEAGGLCASVSLLPSSPPSPFSALLSIPAVQEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNIDQSLSIPIPTKGCNVY >OGLUM06G24890.2 pep chromosome:ALNU02000000:6:27632726:27636962:1 gene:OGLUM06G24890 transcript:OGLUM06G24890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIHTTARTRGLLPAVLFPLSLSLSLSRGAACLRLSSPPPRLGLLLSSPNLSLARRINIALASPPLSRLASERTNGRASGERGEPEAGGLCASVSLLPSSPPSPFSALLSIPAVQEMRELEKLRTETMMKSCQSTTSRAGAIRCPVPRKSGRSYKEYDLTQDLSDFIMSKASPPYFTGSPPVRASNPLVHDTQFCAWKLQNIDQSLSIPIPTKGCNVRYCVREGSITKA >OGLUM06G24900.1 pep chromosome:ALNU02000000:6:27636371:27639606:-1 gene:OGLUM06G24900 transcript:OGLUM06G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cryptochrome 3 [Source:Projected from Arabidopsis thaliana (AT5G24850) TAIR;Acc:AT5G24850] MLHFLSSSSPLNPQFLLLPRQSARLRVLLSIPVSAMSSSSSSSSRGTLAAAAVPSLSADEASAAADEAFLRYTSPSMRRSGGGGVAIVWFRNDLRVLDNEAVVRAWAASDAVLPVYCVDPRIFAGSTHYFGFPKTGALRAQFLIECLEDLKRNLTKQGLDLLIRHGKPEDILPSIAKAVTAHTVYAHKETCSEELLVEHLVRKGLEQVVIPQGGASNQKKPRNPKLQLIWGATLYHVDDLLFSVNNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPGSGLDEIGGWGTVPTLESLGLSMTKAEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRIANDSTYWVLFELIWRDYFRFISAKYGNSIFHLGGPRNVESKWSQDQALFESWRDGRTGYPLIDANMKELLATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPDGEYVAYWLPELRSIAKERRNFPGASYIKQVVPLKFDGGHQKRDQQFNRQRRPGHMYRRQK >OGLUM06G24910.1 pep chromosome:ALNU02000000:6:27641096:27645728:-1 gene:OGLUM06G24910 transcript:OGLUM06G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVMPSLRHRAVAKKPKWIIILVSLVCFVLIGAYVFPPRRYSQCYLFGSGACATFKDWLPSVTRRERTDEEIISSVVLRDILAMPMPVSKNPKIALMFLTPGTLPFEKLWEKFLQGQEGRYSIYVHASREKPVHTSSLFVGRDIHSDAVVWGKISMVDAEKRLLANALADVDNQFFVLLSDSFRDPGPHGNGRYSPEMLPEIEEKDFRKGAQWFAITRRHALLILADSLYYKKFKLYCKPADGRNCIADEHYLPTLFNMVDPGGIANWSVTHVDWSEGKWHPRSYRAADVTYDLLKNITAVDENFHVTSDDKKLMTQKPCLWNGSKRPCYLFARKFYPETLDNLLKLFTSYTSV >OGLUM06G24920.1 pep chromosome:ALNU02000000:6:27647387:27653692:-1 gene:OGLUM06G24920 transcript:OGLUM06G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDRVTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGNDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWDFEPKKLGVGDAITGGDLYATVFENTLMKHHVALPPGSMGKISYIAPAGQYSLQTWPVRSPRPVSSKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDQDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVERAANADGQKITYSVIKHRMGDLFYRLVSQKFEDPAEGEDVLVAKFQKLYDDLTTGFRNLEDEAR >OGLUM06G24930.1 pep chromosome:ALNU02000000:6:27654605:27660847:1 gene:OGLUM06G24930 transcript:OGLUM06G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGRRGRRAPPPRTTYGATREDATRPRALESFRASESQISTPATKTKRLVVYYYNCHEKWHISHRCYAHQKHQSYAKN >OGLUM06G24940.1 pep chromosome:ALNU02000000:6:27654819:27655043:-1 gene:OGLUM06G24940 transcript:OGLUM06G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTSWLPLERPWSRRVFARGPVRRTRRRSAPPTTTSARHLAWGCLGYDAAAARLVGEGEEGDAARLPLRGLRD >OGLUM06G24950.1 pep chromosome:ALNU02000000:6:27661391:27662850:-1 gene:OGLUM06G24950 transcript:OGLUM06G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKCPSELQLEAFIREEAGAGDRKPGVLSPGDGARKSGVFSPGDGEMSVLDQSTLDGSGGGHQLWWPESVRTPPRAAAAFSATADERTPASISDDPKPTTSANHAPESDSDSDCDSLLEAERSPRLRGTKSTETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENSSLFKQLTESSQQFNTAVKMAEDMVARAAMSCGLGQLGLAPLLSSRKMCQALDMLSLPRNDACGFKGLNLSRQVQNSPVQSAASLESLDNRISSEVTSCSADVWP >OGLUM06G24960.1 pep chromosome:ALNU02000000:6:27664619:27664975:-1 gene:OGLUM06G24960 transcript:OGLUM06G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFLLAVAVAALLVIGSYATELTFKVGQGSSTTSLNLITNVAISEVEVKEKGAGDWTGLKESSANTWTLKTGAKLKGPFSVRFLVKNGGYRVVDDVIPEAFKVGSVYKSGIQLK >OGLUM06G24970.1 pep chromosome:ALNU02000000:6:27667709:27668065:-1 gene:OGLUM06G24970 transcript:OGLUM06G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSLMLVAAVAALLVIGSSGTEVTFKIGESSTTSTLELITNVAISEVEIKEKGGSDWRGLKESSANTWKIKSDAPLKGPLSVRFLVKNGGYRVVDDVIPETFKTGSVYKSGIQVQ >OGLUM06G24980.1 pep chromosome:ALNU02000000:6:27690189:27701850:-1 gene:OGLUM06G24980 transcript:OGLUM06G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFQLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKMVMDRMEAKPALRGAKLSFISYEVTFKVGEASSGKSLELVTNVAISEVEIKEKGSKDWVALKESSTNTWTIKSEAPLKGPFSIRFLAKNGGYRVVDDVIPESFTAGSEYKSGINI >OGLUM06G24990.1 pep chromosome:ALNU02000000:6:27714232:27718533:-1 gene:OGLUM06G24990 transcript:OGLUM06G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G35400) TAIR;Acc:AT5G35400] MLAKLRPLMATAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQSNNIIYNYC >OGLUM06G24990.2 pep chromosome:ALNU02000000:6:27714837:27718533:-1 gene:OGLUM06G24990 transcript:OGLUM06G24990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G35400) TAIR;Acc:AT5G35400] MLAKLRPLMATAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >OGLUM06G24990.3 pep chromosome:ALNU02000000:6:27714837:27718533:-1 gene:OGLUM06G24990 transcript:OGLUM06G24990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G35400) TAIR;Acc:AT5G35400] MLAKLRPLMATAAAAAAKPAPALSFSTAAAEGDEHAHYNHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLADLFGKDENGYSLDTNGEDYTAPSENQTSTKSSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDEKKAKQLEARSLPLEGCAVVAGRTDKGVTALQQDVKSSDIKDAINKAAPDKLRPLHVSQVSREFHPNFAAKWRRYLYIFPLDEDVKLISGEEQSAKTVEGPECNIKPQSFDVGKVDKIIRQLEGKSLSYKMFARDTQASRSVGPPTECFMFHSRAAVAKLHTADENCEEGTTRAICIELVANRFLRKMVRVLVATAIREAAAGAEEDALLNLMEATCRRATAPPAPPDGLCLVDVGYEDFNRQRCFIVD >OGLUM06G25000.1 pep chromosome:ALNU02000000:6:27721084:27730856:-1 gene:OGLUM06G25000 transcript:OGLUM06G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFGLAVAVAALLVVGSCATEVTFKVHGAPPEPLSQWATTPGPCAGAGTGVSLWYGVTCHQRTGQVRGLRLEYLGLQGPAPDMAPLAALRGLRALSIANNNLTGPFPDVSMLPALKMLYMSRNKLAGGIPPAAFAHMRGLRKLFLSDNAFTGPIPTSITSPKLLVLQLSKNRFDGPLPDFNQKELRLVDVSDNNLSGPIPPGLRRFDAKSFQGNKNLCGPPVGAPCPEVPILASPSPSPPSSSWWSPRSLKILMIIALVVVVVGALLAFAGALTAMLARHREATTETQGGGVGGAAANADAAAAASRMKATPNPAVTVAHGGGGGGGEQQPHVTVSAVPAKRGGRRDDHGRLVFIQEGRERFELEDLLRASAEVLGSGNFGASYKATLVEGQSMVVKRFKEMNGVGRQDFNEHMRRLGRLVHPNLLPVVAYLYKKDEKLFVTEYMVNGSLAHLLHGGSSMAALDWPRRLKIIKGVTRGLAHLYDELPMLTVPHGHLKSSNVLLDAAFEPILSDYALVPVMTPRHAAQVMVAYKSPECGETGRPSKKSDVWSLGILILEVLTGKFPANYHRQGRTGTDLAGWVHSVVREEWTGEVFDQEMRGARGGEGEMVKLLKVGLGCCESDVDKRWDLRDALARIEELRERDAGAGADDSSATSSVASGGGGGEASRSHSSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWLLKSEATLKGPFSVRFLVKNGGYRVVDDVIPESFTAGTEYKSGINI >OGLUM06G25010.1 pep chromosome:ALNU02000000:6:27732204:27743178:-1 gene:OGLUM06G25010 transcript:OGLUM06G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLAVAVAALLVVGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKIFSDSNRNGAAAAYATVVAIQLTQKAECPQIMFIPSPAPNGGKDWVALKESSTNTCTIKSEAPLKGPFSVRFLVKNGGYRVVDNVIPESFTAGSEYKSGINI >OGLUM06G25020.1 pep chromosome:ALNU02000000:6:27745324:27745776:-1 gene:OGLUM06G25020 transcript:OGLUM06G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELITNVTISEVEVKEKGGKYWVGLKESSTNTWTLKSEALLKGPFSARFLVKNGSYHVIDNIIPESFTAGTEYKNGINL >OGLUM06G25030.1 pep chromosome:ALNU02000000:6:27751541:27752299:1 gene:OGLUM06G25030 transcript:OGLUM06G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWAVDEMEAKRRAPQEEVTIYQSNDANIFRNIIRLTGAMLIGEPYGRNNARLRPAEFDESWPAPCLPTTDVLDSPSVPATASQVLYFLRQYIMLLM >OGLUM06G25040.1 pep chromosome:ALNU02000000:6:27756438:27761103:-1 gene:OGLUM06G25040 transcript:OGLUM06G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07180) TAIR;Acc:AT2G07180] MGGCFSLEEHRLQGKTEAGGPDGLRKCKSDSKATASVLAPPKDVEDLQIEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRAGFPSTQVAVKELNPEGFQGDKEWLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPSREHNLVEWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVAGLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNCMTGAVTLYEVAKDPTESVEPEKIKQEQPAARRVTVAPAPVNGKPVPQSRRTRPGNGRSKSEPSLECKLYIPSPDSDGQQPGLEALSSPSRDGSIKDPPDEDLYKI >OGLUM06G25050.1 pep chromosome:ALNU02000000:6:27766472:27766825:-1 gene:OGLUM06G25050 transcript:OGLUM06G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSFRLSVAVAALLVIGSCATEVTFKVGEGSSGKSLELVTNVAISEVEIKEKGGKDWVALKESSTNTWSLKSEAALKGPFSVRFLVKNGGYRVVDDVIPESFTAGSEYKSGINV >OGLUM06G25060.1 pep chromosome:ALNU02000000:6:27772788:27775431:1 gene:OGLUM06G25060 transcript:OGLUM06G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >OGLUM06G25060.2 pep chromosome:ALNU02000000:6:27773441:27775431:1 gene:OGLUM06G25060 transcript:OGLUM06G25060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGSRFQNMLGGAGIGGGIGCRGRQENEMNGFHNMPYYHKVGESSHMSVDNADNLNMMNFVGGSVAMSVDNSSVGSNESRTVMLKHPGLRDMPAPSYSVHNSVIHPNRAMAPTLNEDALARVLMDPSHPTEILSNYEEWTIDLGKLDMGAPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAQLMEQQFVQEVMMLSTLRHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLGLAVKQALDVARGMAYVHALRFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNRGSRPAIPQDCVDSLSKIMTCCWDANPEVRPSFAEIVVMLENAEIEVMRNVRRARFRCCIAEPMTTD >OGLUM06G25070.1 pep chromosome:ALNU02000000:6:27776465:27779739:-1 gene:OGLUM06G25070 transcript:OGLUM06G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNPVSSSTSSSLLWDWDATASAEAPPPPGKRGGRDSSSASASAKRGRSAAAGDAAAAAAEAPRCQVEGCGLELGGYKEYYRKHRVCEPHTKCLRVVVAGQDRRFCQQCSRFHAPSEFDQEKRSCRRRLSDHNARRRKPQTDVFAFGSGTLPRSLFDDRQQISFAWDNNAPLNHANTTSSSSWTSDLQLSQVMDISKRSRKAGADSANIRLSNALPTLCNDTNELLPIKGADASETASKLDGALDVQRALSLLSASSRGLTDPGHQTSSIIQFTNSNQNSTLPSVPNEGNSNVPFWVDGQHQAVEPQVFQFTMDTGNTVLPDLERIKPSYESSMFGLNQIH >OGLUM06G25080.1 pep chromosome:ALNU02000000:6:27780174:27780687:-1 gene:OGLUM06G25080 transcript:OGLUM06G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLTAPHRITDGQATVSFLVAWGLATRWLPVGVAAPPLPVSDRATRFVPWDPPRDGVQGAAAGLVGAPAVVPRVGIHHLSEPHRAVTRRGSAPAKPPLPPPPPRSASLSTAVPAGPALWVFPRCDAGKLITCLAHHAAKLIHRTVAGIDDVYFRSFIDFASSGAVEA >OGLUM06G25090.1 pep chromosome:ALNU02000000:6:27786176:27790545:-1 gene:OGLUM06G25090 transcript:OGLUM06G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSHQEKFFEVKAHCMTYPGRNYGPSVASFPGKARWAEIAICQLSFVVPTCQSPCLADFLCISRAASPATVALRLRRRRHHEGCHGHEQAGAGEVEDGSCGCGEKRRPVKGKRRGLVRNTRGMDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLNGQSGTTWGAAMRSCPGLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQHHSNHLASNKSKQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >OGLUM06G25090.2 pep chromosome:ALNU02000000:6:27786176:27790545:-1 gene:OGLUM06G25090 transcript:OGLUM06G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSHQEKFFEVKAHCMTYPGRNYGPSVASFPGKARWAEIAICQLSFVVPTCQSPCLADFLCISRAASPATVALRLRRRRHHEGCHGHEQAGAGEVEDGSCGCGEKRRPVKGKRRGTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLNGQSGTTWGAAMRSCPGLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQHHSNHLASNKSKQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >OGLUM06G25090.3 pep chromosome:ALNU02000000:6:27786176:27789929:-1 gene:OGLUM06G25090 transcript:OGLUM06G25090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTPRFSGGGDDCELMQQKQEWAKTQDMLKSKLILEDDFGWNLPSMGSNSDQSNANNKGNLKYIGGVDISFSKEDPSTACAALVVLDAGTLEVVHEEFDVVRLQVPYIPGFLAFREAPILLGLLEKMKTNNQHFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSELRRQLEAKENCNKELILLNGQSGTTWGAAMRSCPGLSKPIYISVGHRISLDSAIGIVKFCSNYRIPEPIRQHHSNHLASNKSKQKSIQQWVAINSEMAATFSSVMWKILVIAVAIAALLIPSGEGKFVCRGKCEDIRDCDNWCKTAGGYPQGGQCVPPLYQFCCCIE >OGLUM06G25100.1 pep chromosome:ALNU02000000:6:27792140:27793190:-1 gene:OGLUM06G25100 transcript:OGLUM06G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein 13 [Source:Projected from Arabidopsis thaliana (AT5G45680) TAIR;Acc:AT5G45680] MAPSAAAAAAATASPLSRLLLSLPNPSVKLPVSSPSRNAGAAVAAKNSTPVVALRRREAVAAVLSAAILSHVLPAAAEASGGECPLEVAPNGLAFCDRVVGTGAAAEQGQLIKAHYTGRLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRSLRLPPELAYGARGAGCRGWEPTSCVIPPNSTLLFDVEYVGRAVG >OGLUM06G25110.1 pep chromosome:ALNU02000000:6:27829301:27835308:1 gene:OGLUM06G25110 transcript:OGLUM06G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASNEEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >OGLUM06G25110.2 pep chromosome:ALNU02000000:6:27830293:27835308:1 gene:OGLUM06G25110 transcript:OGLUM06G25110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGILLFSLKYSVSRIQVCSSFFFLLLLSSLFFFCPSWGRDRERERERERERKKKLLVMTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >OGLUM06G25110.3 pep chromosome:ALNU02000000:6:27829301:27835415:1 gene:OGLUM06G25110 transcript:OGLUM06G25110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASNEEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >OGLUM06G25110.4 pep chromosome:ALNU02000000:6:27830293:27835415:1 gene:OGLUM06G25110 transcript:OGLUM06G25110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGILLFSLKYSVSRIQVCSSFFFLLLLSSLFFFCPSWGRDRERERERERERKKKLLVMTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >OGLUM06G25110.5 pep chromosome:ALNU02000000:6:27830255:27835415:1 gene:OGLUM06G25110 transcript:OGLUM06G25110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLKEYLVIESAEVGNEDGRQEDLLVKEGPGEHQENTIRDSDEAKNEDCSNSGSVTEAVVSSEEPSDRSSTEEPSDRSSTEEPSDSSSGGDSSSQSADSDGGSKEAPKMDSKAGNDDSSECTDQSSPRAVLDISVSGSVDSDESSSVEQPAESNHNTQWRNLISGLILRRRKSMARAGTFPQRTKTTGLKRYLERMRSGKNQIDCGAIAPEILPEISKWRPSWRSFDYSELCAATDKFSSENLIGKGGHAEVYKGHLADGQFVAVKRLTKGGNKEDRISDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKGALKWKARFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDKWTHHVVFPIEGTFGYMSPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLAKPLLDSNNMKELVDPSLDVGYDPEEMAHILAVASMCIHHSSSSRPSMKSVVRFLKGDRESLEMMQMQRPKLMKPLMFDSGDSEDYTRSSYLNDLDRHKKLALEQ >OGLUM06G25120.1 pep chromosome:ALNU02000000:6:27836747:27844836:-1 gene:OGLUM06G25120 transcript:OGLUM06G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSAAAGRDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFVSGFTGSAGLALITMKEALLWTDGRYFLQAEQQLTNRWKLMRMGEDPPVEVWIADNLSDEAVIGINPWCISVDTAQRYEHAFSKKHQTLFQLSSDLVAWLYNIRGNDVHYSPVVHSYSIVTLHSAFFYVDKRKVSVEVQNYMTENDASLLASGLLKGSAVNGSSHGENDMNENSKVWIDSNSCCLALYSKLDQYQVLMLQSPIALPKAVKLDGLRKAHIRDGAAVVQYLAWLDKQMQENYGASGYFTEAKGSQKKEHMNVKLTEVSVSDKLEGFRASKEYLDGTTDITRTVHFGKPSEHEKSCYTAVLKGHIALDTAVFPNGTTGHAIDILARTPLWRSGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKFNFGDKGYLAFEHITWTPYQTKLIDTTLLTPAEIEWVNAYHSDCRKILQPYLNEQEKEWLRKATEPIAARC >OGLUM06G25130.1 pep chromosome:ALNU02000000:6:27846306:27848242:-1 gene:OGLUM06G25130 transcript:OGLUM06G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT5G64380) TAIR;Acc:AT5G64380] MPLSSLSLLPLHRAPLPPTSNYPPPPPPSRALLLPLLRHSPLARRSPVSRARAVAADGMAAATAAAETPPTLLEYMGQAGAADDLVVLVAHVQSACKRIAALVASPGNAELSRGKAGGGVAVAAGRDAPKPLDELSNEIILSSLRRSGKVAVMASEENDLPIWVSNDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLAELDHLPEEERAQLNSLQSGTHLVASGYVLYSSATIFCISFGAGTHGFTLDHLTGEFVLTHPSIQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKSRILSIQPVKLHQRLPLFLGGMEDMLELESYGDVQQKVNPGYEV >OGLUM06G25140.1 pep chromosome:ALNU02000000:6:27850759:27851106:1 gene:OGLUM06G25140 transcript:OGLUM06G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAPWLAGIGAVPFLIVVVVAVVGAAVPAMGRFVVEKSSVRVLSPEHIRGHHDAAIGNFGVPDYGGTLTGVVVYPDKKATGCAEFDAKFKSKSRRPVILLLDRGGRRLISNFQ >OGLUM06G25150.1 pep chromosome:ALNU02000000:6:27852734:27858755:1 gene:OGLUM06G25150 transcript:OGLUM06G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEESPETEYIDRISIPSALVNRAFGESLKKMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGENEIHGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGCWARQVYGGQRRLLDTALTGCRCPPGFQGDGHKCEANSMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNENQPLRQHDSEA >OGLUM06G25150.2 pep chromosome:ALNU02000000:6:27852734:27857529:1 gene:OGLUM06G25150 transcript:OGLUM06G25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPEESPETEYIDRISIPSALVNRAFGESLKKMSSPSPSSEAAVEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQMEFVRGFRGHAQIMERGGYALFTPHYITWYCAPDPEQDFGEGYEGKDVVVENLRQLCVHRVANETGRPWAWWDYVMDYKIRCSMKEKKYSKGCAEDVVKALGENEIHGLSLDKVLECMGDPEADTDNAVLAKEQEDQIGRGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSNDIETNECLHRNGGCWRDEKTNVTACKDTFRGRVCECPVVNGVQYEGDGCWARQVYGGQRRLLDTALTGCRCPPGFQGDGHKCEANSMSRFGWFITILVVSCVAGVGIAGYVFYKYRLRSYMDSEIMAIMSQYMPLDSQNNENQPLRQHDSEA >OGLUM06G25160.1 pep chromosome:ALNU02000000:6:27858673:27861641:-1 gene:OGLUM06G25160 transcript:OGLUM06G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEANNEGNXVIPSVSSPPLPGAGRGRGSPPPLGEVAPKQPVPAKLFDAPAAEASSSEPPPPPPPRTLPSAGAGRGVPRMQQPPVEMPQEENRFIRRREEKKKAASAARPAPSGQPKLSPEDAVKRAMELLGGGGDDDGGRGGRGRGARGRERGRGRGRDGGRGRRSADMEEKHGIYLGDNADGDRLQKRLGEDKMKIFNEAFDEAADNALPDPKQDAYLEACHTNNMIEFEPEYHVNFNNPDIEEKPPMSLEDMLQKVKPFIVAYEGIQNQEEWEEAVKDVMARAPHMKELIDMYSGPDVVTAKQQEEELQRVANTLPGNIPSSVKRFTDKTLLSLKNNPGWGFDKKCQFMDKFAREVSELYK >OGLUM06G25170.1 pep chromosome:ALNU02000000:6:27864962:27867923:1 gene:OGLUM06G25170 transcript:OGLUM06G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSSPSPSSSSKKQQQLSGGVGVGGAAAAASSNDRPPLCVQGDSGLVLTTDPKPRLRWTVELHDRFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKDFNDHSVKDAMDMQRNAASSSGIMGRSMNDRSVHVNEALRMKMEVQRRFHEQLEVQKHLQMRVEAQGKYMQTILEKAYQAISSSGDCATWHAGYKSLGSQAVLDIGSSMSFPSLQDDLQLYGGSHLDHLHQQHEQMEIRPSIDTFLAFNYSSSTGKSPMVWPGADDGGGEPAKISGDHQLQMAAPATTTMMMEAITMSGGDSMGSKGFEGQMSSKLDMRSPPP >OGLUM06G25180.1 pep chromosome:ALNU02000000:6:27886313:27887225:1 gene:OGLUM06G25180 transcript:OGLUM06G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQWNAAPSSGTNSDRNVHVNGAEKKAQSQEQQEAEKHLQMRVEAQEKYMRSMMEKAHQALASGATWPAANEQAKISPPGRKGG >OGLUM06G25190.1 pep chromosome:ALNU02000000:6:27890665:27896312:1 gene:OGLUM06G25190 transcript:OGLUM06G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHAAGGSTSNGGSGVDGDGGGGGGAARRNTKMPKYSKFTQQELPACKPILTPKWVVSVFFLVGVIFVPVGVVSLLAAQNVVEIVDRYDDACVPANMTDNKLAYIQNPNISKECTRTLTITEDMNQPIFVYYQLDNFYQNHRRYVKSRNDGQLRDAAKANQTSACEPEKTTADGKPIVPCGLIAWSLFNDTYSFTRGNDNLTVDKKDISWKSDREHKFGKNVYPSNFQNGLLKGGGTLDPAIPLSEQEDLIVWMRTAALPTFRKLYGRIYVDLKKNDTITVKLSNNYNTYNFGGKKKLVLSTATWLGGKNDFLGFAYVIVGGVCFFLAFAFTLLYLIKPRKLGDHNYLSWNRHPGGR >OGLUM06G25200.1 pep chromosome:ALNU02000000:6:27894650:27895645:-1 gene:OGLUM06G25200 transcript:OGLUM06G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISKLRLITVDVTGTLLAYKGQLGDYYCMAAKAAGKPCPDYQRMHEGFKLAYTEMARQHPCFGFAAKMPNIDWWRMCVKDSFVKAGYEYDEETFEKIFKRIYSSFGSSAPYSVFPDAQPFMRWLRGKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGIVGVEKPDPSIYRIALEMAGKVAPEEALHIGDSMRKDYTPARSIGMHALLLDRFKTADAESWRKSGATVLPDLVAAQEWLSKNLKDEPVAAEQNV >OGLUM06G25210.1 pep chromosome:ALNU02000000:6:27897545:27898773:-1 gene:OGLUM06G25210 transcript:OGLUM06G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIIKRYILLALILSIQCGGANGTSREYSPLPPFKDVVGPSTPVAADDVPPPPYCVYPPPPTKPALPAPLPPTPASPGDSPPSIAPAGNPPTPAQAGAPPPSIAPGTGSPPPATTTPPAPGAREAGVWCVANPTVASAVAQTAMDYACASGADCDMVAAPGAPCFLPDTLMAHASYAFNSYWQRTKVAGGTCDFAGAAMLITNDPSYDGCRYVYM >OGLUM06G25220.1 pep chromosome:ALNU02000000:6:27905284:27906687:-1 gene:OGLUM06G25220 transcript:OGLUM06G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein UNUSUAL FLORAL ORGANS [Source:Projected from Arabidopsis thaliana (AT1G30950) UniProtKB/Swiss-Prot;Acc:Q39090] MMNPRRLPPLPSSASSASAADDMDPRVWRRLPQPLVDRILACLPTPSFLRLRAACRRFYHLLFSSPFLHSHLLLSPHLPFFAFVVPAAGHLLLLDPTATASWSRLPLPLPPVAGGPAAFSPAAASAGLLAFLSDASGHKTLLLANPITRLLAALPISPTPRLSPTVGLAAGPTSIIAVVAGDDLVSPFAVKNISADTFVADAASVPPSGFWAPSSLLPRLSSLDPRAGMAFASGRFYCMSSSPFAVLVFDVAENVWSKVQPPMRRFLRSPALVELGGGREGTAARVALVSAVEKSRLSVPRSVRLWTLRGGGGGGAWTEVARMPPEWRWAPPCPYVVVAHHGGAGAGAAGFRVFAYEPRLATPAIGLLDATAPVALHGMHDG >OGLUM06G25230.1 pep chromosome:ALNU02000000:6:27919650:27923681:-1 gene:OGLUM06G25230 transcript:OGLUM06G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:allantoate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT4G20070) TAIR;Acc:AT4G20070] MALLLSCPRRHPSIHLLILSAYALFLLPILDGLELGGDGLYREILRDETVLRLKELGKISDGEGYLERTFLSPASIRASAVIISWMKDAGLTTWIDQMGNIHGRFEPTNSTKEALLIGSHMDTVIDAGMYDGALGIISAISALKVLKVTGRLQRLTRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKLNSLEGTANALGDVRYSPESVGSYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIINGSQGHAGTVPMKLRRDPMVAAAELVLTLETLCKEPNKFLTYDEECGCFTEESVAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVASFSRLVLQRCDDRLVDCAVEHKHAAAATPCDAELTSQLERATRSTISSMATAAALPGVRRAGGETPVLMSGAGHDAMAMARLTKVGMLFVRCRGGVSHSPEESVLDDDVWAAGLALVNFIDQNAVDAAAATAAES >OGLUM06G25240.1 pep chromosome:ALNU02000000:6:27956292:27962276:-1 gene:OGLUM06G25240 transcript:OGLUM06G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQLSAVAGGGRPAAAGGGGDEMEDVRLLDSYDEEMGGGAAAAAAGEEEEAHVRVTGMTCSACTSAVEGAVSARRGVRRVAVSLLQNRAHVVFDPALLKVEDIIEAIEDAGFDAEIIPDTAISQPKAQKTLSAQFRIGGMTCANCVNSVEGILKKLSGVKGAVVALATSLGEVEYDPSVINKDEIVEAIEDAGFEAAFLQSSEQDKILLGLTGLHTERDVNVLHDILKKMIGLRQFDVNATVSEVEIIFDPEAVGLRSIVDAIETGSNGRLKAHVQNPYARGASNDAHEAAKMLHLLRSSLFLSFVVGKRFYIAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELVPATALLLLKDKEGKYTEEREIDALLVQPGDILKVLPGSKVPADGVVVWGTSHVNESMITGESASIPKEVSSAVIGGTMNLHGVLHIQANKVGSETVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSIITFLVWFLCGWVGAYPNSWISGTSNCFVFSLMFAIAVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVNYVIFDKTGTLTQGKAVVTTAKVFSGMDLGYFLKLVASAEASSEHPLAKAIVEYAFHFHFFGKLPTSKNGIEQRKEEILSRWLLQVEDFSALPGKGVQCLINGKRVLVGNRTLITENGVNVPPEAENFLVDLELNAKTGILVSYDDDFVGLMGITDPLKREAAVVVEGLKKMGVHPVMLTGDNWRTAKAVAKEVGIEDVRAEVMPAGKADVVRSLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTRLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >OGLUM06G25250.1 pep chromosome:ALNU02000000:6:27968674:27971736:1 gene:OGLUM06G25250 transcript:OGLUM06G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAHRLCRLPRLLPLAAAASKPYLPGNPSPAPPPPLPSLSSPSPPPPFPSLTRLFSSSSSSGDSSMVVVGSAESFTSIMSKVEGLDHGLMDALDFLFAAEKLPAVFYYTAVWCGPCRAMAPVISKLSSRYPKIPIYKVDIDMDGVGSKLSDLKIFSVATIEVVEEYDREVARCLHVPSINVFDIDISEPSGCFLSC >OGLUM06G25260.1 pep chromosome:ALNU02000000:6:27985496:27989440:1 gene:OGLUM06G25260 transcript:OGLUM06G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRPGWVGGLVEESFFVGCPAHESRKKNEKNIFCLGCCASICPHCAPSHRHHPLLQVRRYVYNDVVRLDDLDKLIDCSFVQPYTINSAKVIFLKPRPQSRPFKGSGNICLTCDRILQEPFHFCCLSCKGGDLSNILYMSGGSSGEPDLAAGFPRFENLRVDGGGGGGGGGLPDDDDDHQVTTPNSILEDPLHHHHHHQYYGGGGSSNNGRNTSPAPTTADVPRKKKSGGGGGFFPQIVLSLNNRRKGAPHRSPLA >OGLUM06G25270.1 pep chromosome:ALNU02000000:6:28032311:28032952:1 gene:OGLUM06G25270 transcript:OGLUM06G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSDTGSSLAQWAELYHDASAAHGGVVANGAAAAATSPASPAGSTGGSPTRAPGVEGPRVGKPARRRSRASRRAPVTLLNTDTTNFRAMVQQFTGIPAPPAGAFAGPGGVPVINFGSDYGFTGAVLPFSDHLQPRRPTFQDYQQLLRPQQQYTGAPFGYGNLQQAGGAGAGAGDMFSHALSSAEDRLLLQSLQSAQMPTSAANHSANGYFA >OGLUM06G25280.1 pep chromosome:ALNU02000000:6:28036394:28036939:1 gene:OGLUM06G25280 transcript:OGLUM06G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSHMANCSNVSSPEQRPMPPSSAAASSPSPPQLDYDVVVILAAMLCALVCALGLNSMLQCVVRCTRRAVADPVGWVEHRRAGAGLKREDVVALPVATYVASPAPFAAGCAICLSDFADGERVRLLPACGHRFHVVCIDRWLLAHCSCPTCRRRPSPEADGHGAVVGEDHHHRLQVLTAA >OGLUM06G25290.1 pep chromosome:ALNU02000000:6:28049865:28053590:-1 gene:OGLUM06G25290 transcript:OGLUM06G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ACZ3] MASLAVPLRASATPAIAGTGSGGGSRAADPVKVSCVRSKVTCGFPSVGASSSLASSVEPVRATATQAPLATHQSSSTEKTKVGINGFGRIGRLVLRIATNRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGTIKVVDESTLEINGKKISVTSKRDPSDIPWGNFGAEYVVESSGVFTTTEKASAHLKGGARKVVISAPSADAPMFVVGVNEKNYNPSMNVVSNASCTTNCLAPLAKIVHEEFGIAEGLMTTVHATTATQKTVDGPSMKDWRGGRGASQNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKEASEGSLKGILGYTDEDVVSNDFIGDTRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALVNAKP >OGLUM06G25300.1 pep chromosome:ALNU02000000:6:28075856:28076575:1 gene:OGLUM06G25300 transcript:OGLUM06G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALFSTIATSVESLRVTGANADVDGVAAEKKPPRNDRKRKRNKGASGRTYEETRARYPLLVEAVEALAAAGELGLPPPPHVRGHRLLLERVGEDDARRLERKLKVEELARGKFELRRRRLTAALKEALVKAGGRAPKLETIQEEECGRGRRQYEEMRDRYPLLVAEVEALAAAGELALPPHVPGLRRLVELVGGCDARRLEDMLKNDALMKVVTNLQHRRLTVNLMSALIKTEEKHK >OGLUM06G25310.1 pep chromosome:ALNU02000000:6:28081536:28081844:1 gene:OGLUM06G25310 transcript:OGLUM06G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGNASSSSTATGRGCGLALGRLVRKLRRQSRMMLSTATSSRPPAAARCQYDPLSYARNFDRSGLGDDGGDVSAQLYHRYTFASRFVLSSSSTAARRQPQ >OGLUM06G25320.1 pep chromosome:ALNU02000000:6:28085679:28086078:1 gene:OGLUM06G25320 transcript:OGLUM06G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINWYLQRKIYGSGGEPVTLQELRCAPPVFCGHNGAPGVVVSGDNGSIPGVIGSDGGALEIICNCGGSAPIIVFGDDGITPRVIASDDDG >OGLUM06G25330.1 pep chromosome:ALNU02000000:6:28085865:28088710:-1 gene:OGLUM06G25330 transcript:OGLUM06G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSHHLVAPPWSGTGSFACYHGEEGKRPHPDESAKIITSIKRLYDEAARRLPIDEITELVGCIFEGGHCLGLADPVGNIILNAIAHHASGRAAAAPHLALPREEGKSLWGILAARSYAGLVAFMSSYFRLGATSTSPPTIDLPLAVRLVLHDRRRRCQRPRLLPDGGKIKAALRVAALKAAHPAPDELARLMTAQFPAGSELLTAGDVMDIKNLLGHQWPQVNIDFLRRPYGLGGGQDGTVTLRTTIGEDGRVALITIAADASRIDSPQLGYISDLTFDCETMEAKLSRRLAGVTRAADDGDEAAGAALNYDLSPCEHILSLKMCLLDAIHGFYIRALAVLPAGDGWTTTRRRGRFIRSLLAAGHCYGPLDPASNIILNTVWYDAAAPPPPDDEADLPGDIFDTDAMLRVECRSLDGLVAAVRAAAAAAAGKPISEHEAIEHLWSRQCDLTEILQNSSREKKRNPYAATGEASDHPQSAMIGSFLVSLSGENLDCLRQWLKPARDFGSSGCVISDVDWEKLNTMIHGHQPIRGLKRKRSSSENPLHTQALSEISTVRSAFVKREKFLLAKIEELLGTYCRQHPWERALFWSSSWYLQANQLHAFLFFFRGTSCFSIYSCMYLSCSKTVQPNQPSSSLAMTRGVMPSSPKTIIGALPPQLQMISRAPPSLPITPGMLPLSPLTTTPGAPLWPQKTGGAHRNS >OGLUM06G25340.1 pep chromosome:ALNU02000000:6:28089836:28093021:1 gene:OGLUM06G25340 transcript:OGLUM06G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPVGVAPVLLLLLLPLAAATAAAESDDRDALMAFKAGVTSDPTGVLRSWNETVHFCRWPGVNCTAGRVTSLDVSMGRLAGELSPAVANLTRLVVLNLTSNAFSGSIPGGLGRLRRMRYLSLCDNAFAGEIPDALRNCTALAVAYLNNNNLVGGVPRWFGVLPNLAVLRLSHNSLSGRIPPSLANLTKIFRLELDQNLLEGSIPDGLSRLPALGMLALSQNSLAGEIPVGFFNMTSLRGLALADNAFRGELPGDAGARTPNLQYLFLGGNLLAGPIPASLSNATALVALSLANNSFAGQVPGEIGTLCPLSLELSNNQLTATDDAGGGWEFVDNLTNCSALAEILLDGNKFAGVMPRSVVRLSPQLEALNLAGNRISGVIPPEIESLVGLQTLSLQSNLFSGEIPEAIGKLKNLRELLLEQNELAGPVPSAIGDLTQLLKLDLSGNSLNGSIPRSLGNLHQLTLLNLSGNELTGHVPSELFTLSSLSLLMDLSDNQLDGPIPPDVGQLTKLAFMALSGNRFSGEVPTELESCQSLEFLDLARNVFVGSIPPSLSRLKGLRRLNLTGNRLSGSIPPELGGMPGLQELYLSRNDLSGGIPASLETMSSLMELDVSYNRLAGQVPVHGVFANTTGLRIAGNTALCGGAARLRLPPCPAPGNSTRRAHLFLKIALPVVAAALCFAVMFAALRWRRKIRSSRTGNAAARSVLNGNYYPRVTYAELAKATDDFADANLVGAGKYGSVYRGTLSLKTKGEFAREDAVVAVKVLDLRQVGASKTFMAECEALRSVKHRNLINIVTCCSSIDMEGNEFRALVFDFMPNYSLDRWLHRAKHTETGKWCGGAGGLGVIQRLDVAVDIADALNYLHNSCNPPIIHCDLKPSNVLLGEDMTACIGDFGLAKLLLDPASHGAAAANTESTIGIRGTIGYVALEYGTTGMVTAYGDVYSFGITLLEIFSGKAPTDGELRDGLTLPEFVAGAFPDNIEEILDVALLLQAEELDGAASPTTSEEESEARVTVRDCLASAIRVGLSCSRRAPYERMAMSVAADEMRLIRDACLRACGK >OGLUM06G25350.1 pep chromosome:ALNU02000000:6:28094716:28099675:1 gene:OGLUM06G25350 transcript:OGLUM06G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGELSLPREFKNRERKWRDYFPHLRLSLDPSPPSLLSSLSPFPICGGGGGARARRRRRGVPQARCGRGSSASAHGGRRRRAGLEGWRGDLLVPALPASIWCWKYHKFWDKQERTWSHHHNLSRQLVLLLVDHKCTLHTRLQQQCLQLLLSFLPADEDVRMISAEAPVIFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDMYDFLVDIVPRDDLKEEGVGLPRAGLPPLGVPADSYPYGYYVPQQQVPGAGIAYGGQQGHPGYLWQDPQEQQEEPPAEQQSD >OGLUM06G25360.1 pep chromosome:ALNU02000000:6:28104888:28107385:1 gene:OGLUM06G25360 transcript:OGLUM06G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEMKRIEDATRRQVTFSKRRAGLMELFHRYEITTRNTRLQETNRDDEQMVMEITRLRNEIDQLEASLRRQTGEDLSSVSTVDELSQLQLQLESSLSNVHARKDELMSQQLEDMRCMHQTVHEQNNFLCRMMSENWQSPGSGEASAMEALTMLPPAAAATTAAEAFNCFFPEEEKGVAASSTLLQLWPQPHDGDQPDLRLW >OGLUM06G25370.1 pep chromosome:ALNU02000000:6:28109069:28118807:1 gene:OGLUM06G25370 transcript:OGLUM06G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTRFQTHIKLVDSLILNPNEERRINSKAEQMKSPIRREKKIITRESGGELYIKINNTNFCLAKETMRHKDLN >OGLUM06G25380.1 pep chromosome:ALNU02000000:6:28121828:28124830:1 gene:OGLUM06G25380 transcript:OGLUM06G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HISTIDINE TRIAD NUCLEOTIDE-BINDING 2 [Source:Projected from Arabidopsis thaliana (AT1G31160) TAIR;Acc:AT1G31160] MAAMAAAAKLTAAASSLLLRRSPLLRPHGLRLSRRFAPQRFVRHIASSTNEEAAAKAAAATADTGGPTIFDKIIAKEIPSNVVYEDEKVLAFRDINPQAPVHVLVIPKIRDGLTGLDKAEPRHVEILGYLLYAAKIVAEKEGIAEGYRVVINNGPKGCQSVYHLHLHVLGGRQMKWPAG >OGLUM06G25390.1 pep chromosome:ALNU02000000:6:28124436:28126110:-1 gene:OGLUM06G25390 transcript:OGLUM06G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASRALWACRTASYLRISSFPRAFSTVLKDLKYADTHEWVKVEGDSATIGVTDHAQDHLGDVVYVELPEVGSTVSQGTNFGAVESVKATSDINAPVSGEIIQVNDELSEKPGFINGSPYEKGWIIKVKISDPSELNSLMDDEKYKKFCEEEDGKH >OGLUM06G25400.1 pep chromosome:ALNU02000000:6:28127315:28127819:1 gene:OGLUM06G25400 transcript:OGLUM06G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHRHTACCALRSTPAAMLWGISYVLVKQLPGAPSPAVSAWPMTRRQGCCRQAPLPLKKPTTTVMPLPQLDGHDLAPTSWSEVSKALEDIKPTLNSNTTENAKSPHGRRWRRGAEVVSAAGGSPVVVDGGEEADAMAVGARG >OGLUM06G25410.1 pep chromosome:ALNU02000000:6:28131449:28135324:1 gene:OGLUM06G25410 transcript:OGLUM06G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAAAAGVVGGFLQVVFDKYYGSKLEQWAARSGLHGDFLSLKNQLHMVRAMLEAGGGGNAPHNDSLRSLIVELKSAAYAADNVLDEMEYYRLKELVEDTSGRDGGAPSSSARQVVGRILVPAPLLSNPFKRARTGADEALQGQGADTDTPNFDQDAMSSKIKSISCCLEQIAGMVRRIIELDKLVSMASLGHVQPEVVVSLRQTSSFPTETKLFGRDESTNNIINLMLRTDMESRYNNFNVLPIVGIGGVGKTALAQSVYNHQRVVDSFQVRAWACVSDTLDVRRVIADLIDSIDGGQETPKFHRVPSLDATQRTLLRKIEGKRFLIVLDDVWVSSHWEKLCGPFSAGMSGSMVLVTTRQRKIAKAMGTFDSLTLHGLHDNEFWAFFLQCTNITEDHSLARIGRKIALKLYGNPLAAKTMGRFLSENHEEEHWCKFLNRNIWELKQEPDDVMPVLLLSYQHLPLSLQRCFTYCAIFPRGYKFTEQELIFAWMAQGLVPTPGEDQTLEDVGKEYLNELLSCSFFHIIESGHYMIPGLLHDLAQLVAEGEFQATNGKFPISVEACHLYISHSDHARDMGLCHPLDCSGIQMKRRIQKNSWAGLLHLKNLRTIMFSASSSIWSPGSEVVFVQSNWPSTIRLLSLPCTFRKEQLAAVSSFIHLRYLDLRWSRLEELPEAVCKLYLLQVLNIKHCPCLLHLPPRIANLLNFEHLIADEGKHLLTGVPCVGNMTSLLLLDKFCVRKTRGFDIGQLKRLRNLRGLLKVQNLENVDGNEEAAKARLSDKRHLTELWLSWSAGSCVQEPSEQYHVLEGLAPHSNVSCLHITGYRGSTTPSWLASNLSLSSLEYLYLDYCSELEILPPLGLLPHLRKLHIVNMHALRRIGSEFYSSGQVVGFPCLEGLFIKTMPELEDWNVDDSNVFPSLTSLTVEDCPKLSRIPSFLWSRENKYWFPKLGKINIKYCPELVLSEALLIPRLPWLLDIDIQIWGQTVINLRGGCLEVSEINANTSSGPINAVLQLHWLKHVSSFHIWAQDSLSVHPCKQKTEPSACNSEHMVNSLQTSAEKVEVTGYGITDELLSAILENEICPSSLSISDCPQITSLDLSPLRSLKSLVIHNCVSLRKLFDRQYFTALRDLEVTNASSFAEAWSELLGSRYAEWGQVTTSLESLTVDSTLFLNSPLCAVLTSLKKLTIHSDFRVTSLSRQQVQALLLLTSLQDLGFIQCCNLHSLPSELHKIYTLKQLEIDSCPCVESLPNNGLPEKLEKLIIRGCNRRLYTGASMMGSTSTKVHLVDR >OGLUM06G25420.1 pep chromosome:ALNU02000000:6:28141856:28144626:-1 gene:OGLUM06G25420 transcript:OGLUM06G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AD06] MASKRSVGTLTESDLKGKKVFLRADLNVPLDDSQKITDDTRIRASVPTIKFLMGKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVQKLAATLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKYLRPSVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIFTFYKAQGFAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDVVVADKFAADAESKTVPASAIPDGWMGLDIGPDSIKTFSETLDTTKTVIWNGPMGVFEFEKFAAGTDAIAKKLADITAKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALNDA >OGLUM06G25430.1 pep chromosome:ALNU02000000:6:28146406:28151326:-1 gene:OGLUM06G25430 transcript:OGLUM06G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRDMENAAINLTQAARRVQLLRINGYSATANRQNLVTSCTIAVGGYDWLIEVFPAAYYHGTSSRNSGPYIKLRFTLSSDGERTVSATFRCRLVDDHQINQTAASASSSFKEVIVTSIFSNGQPKDMFLVSRSYASEYRYVQPDDSLLIECAITVLLEAPVNAAAAAAPPPPTSVPAPLSDLQKHLGEMLTSKNGADITFLVSGEPVAAHRCVLAARSPVFMAELFGDMKEKDSQSIENKDMEAEVFRALLQFIYTDALPEQDDDVEAETMAYGLLEAADRYGMERLKLICTEKVHAGISVDTAAMALALAERHGCTKLKARCIEFILASQENFHAVAATEGYKLLMDSCPSALNDLLVAVFLRYKLTVL >OGLUM06G25440.1 pep chromosome:ALNU02000000:6:28151328:28152422:-1 gene:OGLUM06G25440 transcript:OGLUM06G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTDVTRSNDIQLKIVGHSLTMAMDDGEFFSSRRYCVGGHDWEIRLRPKDPWVGRRDRPLTLKLVLRGAPRTGSGSVKAQLSCCLVDPTQKLRPSEMKTVSHKFHKPGDYSPRAVFMARDELEASGYLTDDSYVVQCAITVLREQPEIAAAAAAAGDSANAAVAPTSELHAYLGALLESKTGADVTFVVSGESFAAHKAILASRSPVFMAELFGAMKVKASERVEVKDMEAPVFKAILHFVYTNTVPELDHRDGEETEAASTATAMAQHLLAGADRYGLERLKLICASKLAERIDVDTVSTTLALAEQHDCSHLKAKCVEFIAAGTAENLDAVLATDGFKHLEASCPSVLTDLVKVARGRKN >OGLUM06G25450.1 pep chromosome:ALNU02000000:6:28165393:28170151:-1 gene:OGLUM06G25450 transcript:OGLUM06G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVITNKMERSSSKPVIALMLLVVCIVSCFEQRRSGDGPHGRRWELLTYCCCRCARHLQRLSGTETVRWKCCDRLIRALRLSPFRAREAEEKLAAAGASNGCLPVDGRVVAANEKAARAVHKFNVNGYSATKAMAKHEHVSSKRLTVAGYAWEIHYTPGHDAHWHYWVAFKLVFLGVGEQAQRAGGDDDDNDAGAVKASLSCCLSHAFGSANESSPWVLLVKRRELEASGFITGDSFAVRCTITVLSKNTINSAEPSPDLHLQLGELLRSGRFADVEFIVCGVSIAAHRCVLAARSPSLAAAVLKGGTRKKDGSVRVEVKDDMRAGVFRALLHFIYTDTLMELDWREDGSDPLLPRTMVMSLNEAAGRYGLERLKQICENMLGFDDACSADCAVM >OGLUM06G25460.1 pep chromosome:ALNU02000000:6:28170277:28170591:-1 gene:OGLUM06G25460 transcript:OGLUM06G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSWNLTEATSAVHDITVNGYSATKSGGENDFPSRQLTVGGYEWEIRYYPKVFVAHGDYRIAFRLVFLGPAGARGVNASFSCRLMDHRSTWTEARWRDASGN >OGLUM06G25470.1 pep chromosome:ALNU02000000:6:28172536:28181890:-1 gene:OGLUM06G25470 transcript:OGLUM06G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRSRGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSSKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNTLGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECDTPVSSFNLLKKIMPSIDFDEL >OGLUM06G25470.2 pep chromosome:ALNU02000000:6:28172536:28181890:-1 gene:OGLUM06G25470 transcript:OGLUM06G25470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRSRGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSSKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNTLGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECDTPVSSFNLLKKIMPSIDFDEL >OGLUM06G25470.3 pep chromosome:ALNU02000000:6:28172536:28181890:-1 gene:OGLUM06G25470 transcript:OGLUM06G25470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G00060) TAIR;Acc:AT4G00060] MASASASASPRTARQLADALTAHLSLYHAATPPPPSSSSPRAAILRWLASLSPPCRAAAATSLLTPAAAAALLSMLRRLRSRGHSSFFVLHPPSPSSAAVAEEGAAAPTVLSRLSRGLLARAAEASRGQGLVFDHLLLFPSSPASSSRLDAITVAEELLGDLDGFVAAMDEISGGRFLCGDGEVDLVAMAGEEFPELPWLKAKGYYVIEEFVANWVEIALRMSWAAAGGGGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRVRARIMGAFFGKGTTALANEIIEGTYIASSEKFSFCLGEPESFVAETYYESTRQSFFRRNRPCCLDVPSTLSCKKNPIFVKELQRLMLVQEIVYLKSNISNRSGDAIFFTKLMSAGTVADHILMKLRGILMVVSTESINLELIGDEAPKAAKKKDVEKISGGSRKGKKKSSSSKKLITSSKQMKDIGCSSSDSHDPKVLPDQQGPSVVRTTIEHASEDNLCKEIAPTPKVEQTVGLGDCKNQCNSKKRNKRKGKTKLHNLMKTENPGSGTLKTDAPHIATEAPNKPVEATHVSPHLPSYVHQSKSCIPKAVNCCDSSIALNGTDVKGIGNTKLEDTLHSPRVSSLLTTECSQSVKTSDGFSMNEQVISQNGQNESTLQPSSCLPSRSDTVDRNSVVATEKILPPVIPTNMFHSAISDNGGVMKTGGEYYVYNRNTLGGTSYEWPSVAPHHFVSPEMQQRPATTDRLHLDVGYRWPAQFEQPFLPPNHQMRNPPVEAGCNQVLSSLAVPLSFDWPPVFRGYGKLNQNNTLGYDPLYTPQMQSSAWSGFHAPLIQRGSICNEKDRKYFGDSDPRNKSDVGDDTESYWFSEEESDGRGLSGRDINQYFGGGVMYWSPAEHAGTGFSRPPSLSSDDSAWAWHEADVSQVVDDIPVGIPCTYNANGVSSPPSTSVCSQNESPDPLPQSVGHSMTANGMNSESLQSPSSMQDSPEDKTISVSKSVSCGSEVIKGDTLPYAMLRPIVVPISRRPSRSEFKGGHDHRSPCVPSNRRDIPLVRRPPSPVVLSVPRVPRPPPPSPVGESRKRGFPIVRSGSSSPRHWGMRSLFTEDKILNRAQFCLDGPEVVWPSWGNKGSPAGTLGQSIEDTVLQDHLVKISQLSRDQHPDVALPLQPPDMLNCSSSKASLSLMHNALHEEIDQFCKQVSAENLVRKPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVISLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLANQDWVRTDSLKTVENTAIPVIMLVAQVPCDTNLSSEYSSVLDSSHEQLSINVLGDQGSPSRSDNSSSEGSSMPMCSRINKDDGNVVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAAVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNVFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFTVLENELLQFSAECDTPVSSFNLLKKIMPSIDFDEL >OGLUM06G25480.1 pep chromosome:ALNU02000000:6:28184231:28187849:-1 gene:OGLUM06G25480 transcript:OGLUM06G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKYEPFANFTRTERYFTSSPWALASVYVGSSLPYRRCGHGGGHVAPIPLSSSSSPNPHATAHRRERERPSERRRKNQSAATLLVGVGLFIHSTPSSLPFLVRPTPPPSPPRPAPIHSAKMAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >OGLUM06G25480.2 pep chromosome:ALNU02000000:6:28184231:28187849:-1 gene:OGLUM06G25480 transcript:OGLUM06G25480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKYEPFANFTRTERYFTSSPWALASVYVGSSLPYRRCGHGGGHVAPIPLSSSSSPNPHATAHRRERERPSERRRKNQSAATLLVGVGLFIHSTPSSLPFLVRPTPPPSPPRPAPIHSAKMAPTSMSLAAKTPLPFSTLPSSGVAQRPVSVTASLEHKTNDARRKFLKLALGNLGVGLPTLLGAKRALAEEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGSNKKFDTDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGRTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLIPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSDIGPWSLMDSGAQSGDVIMRMMARNSMSEKLAEDIDTAVKRLSDEAYEIALSQIRSNREAMDKIVEVLLEKETLSGDEFRAILSEFTEIPVENRVPPATPAALPA >OGLUM06G25490.1 pep chromosome:ALNU02000000:6:28188331:28193021:-1 gene:OGLUM06G25490 transcript:OGLUM06G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G51430) TAIR;Acc:AT5G51430] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALEQDSADALHRIPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLLVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLLTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >OGLUM06G25490.2 pep chromosome:ALNU02000000:6:28188331:28193021:-1 gene:OGLUM06G25490 transcript:OGLUM06G25490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G51430) TAIR;Acc:AT5G51430] MVVVDASEFGAEGFDPKRWINAALDARHPSEPLDRFLADAEERLRSAADDAAAALEQDSADALHRIPLACRDALRLRDDAVSLRSHLASVLQSLSQAEGSSAESITALARIDTVKQRMEAAYATLQDAAGLAQLSQSVEDVFSSGDLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDDMVQPRLVDALSNRKASLFHLKPTNFGWKVDAVQDLRGILIRIGRFKSLEVQYTKIHVKPLKKLWEDFDLKQRAKRVEMEKYGGESINSLSFASWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNLATGDAVPETRSVAKGILDVLSGDLPKSTKLQNKHLGALIDLHNMTGTFARNIQHLFSESDLLVLLNTLKAIYSPYETFKARYGQMERALLSAEMAGIDIRGAIARGVGAQGIELSETVRRMEESIPQIIVLLEAAVERCISLTGGSEADELVLALDDIMLQYISNLQETLKSLRIVCGVDNTAHSDSSKKEAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSISGFGSSLDKSTAANGDENAEVPITGRAALDIAAIRLTDLPDKSKKLLTSKDPRFHALPLTSQRVAAFSDTVNELVYDVLISKVRQRLNEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGGEAGNEEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLSNVLSALSMPIPPFLATFHTCISTPRDQVRDLIKSDGGSQLDLPTAHLVCKIRRISSSD >OGLUM06G25500.1 pep chromosome:ALNU02000000:6:28194901:28200053:-1 gene:OGLUM06G25500 transcript:OGLUM06G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATPNPIDASVVSAAAAVAGGGGGGDGGGGGGGKEKEKQVVAAPLQPPMAVPAPAAAVGEEARKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSPAAISQPPPLGEQGCVMSMDTSPVIRNTNASAVVPSWDNSIAQPLSASRTQGTGAVATNNCSSSIESPSTTWPTSEAVEQENMLRPLRAMPDFAQVYSFLGSIFDPDTSGHLQTLKAMDPIDVETVLLLMRNLSMNLTSPNFAAHLSLLSSCNSGGDPIKSEGMENLGSPQSCHLPFM >OGLUM06G25510.1 pep chromosome:ALNU02000000:6:28207555:28212173:1 gene:OGLUM06G25510 transcript:OGLUM06G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLSLSFALISSSPDSKCELLNSRPSCRAARRGESGLLIRRSYLRPCQCPFGDRMSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLSPNPQNQEEALIRDKPIRQNRPDELVPLGRSTQRLLGDRRRLSAPALAASSVIASAPPRPPATTSTTADAPPRPPASTPVTATSEMLCAIPPLIAMLDESGYGDVDAAGSSGGEAWR >OGLUM06G25510.2 pep chromosome:ALNU02000000:6:28207555:28211728:1 gene:OGLUM06G25510 transcript:OGLUM06G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGLSLSFALISSSPDSKCELLNSRPSCRAARRGESGLLIRRSYLRPCQCPFGDRMSEQQDSTSKSSSSSISSSTQESEEEVSITIGSLLAQAKNNSGHSLGRRLSQLGSIPHTPRVNGKIPNLDNATLDHERLSERLGNYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHLKEFRKQYESYVPMEYKVYLKKMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDVTPTRELWLSFWCEVHYNSLYATDDLLTRKTKKKHWLF >OGLUM06G25510.3 pep chromosome:ALNU02000000:6:28211766:28212173:1 gene:OGLUM06G25510 transcript:OGLUM06G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWDEPTFRGVWLMGEWDGLIRDKPIRQNRPDELVPLGRSTQRLLGDRRRLSAPALAASSVIASAPPRPPATTSTTADAPPRPPASTPVTATSEMLCAIPPLIAMLDESGYGDVDAAGSSGGEAWR >OGLUM06G25520.1 pep chromosome:ALNU02000000:6:28211849:28212088:-1 gene:OGLUM06G25520 transcript:OGLUM06G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISLVAVTGVEAGGRGGASAVVEVVAGGRGGALAITEEAASAGADKRRRSPRRRCVLRPSGTSSSGRFCRMGLSRI >OGLUM06G25530.1 pep chromosome:ALNU02000000:6:28213887:28221208:1 gene:OGLUM06G25530 transcript:OGLUM06G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSHSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRPCTLVRCSSSKYRSCTYTGLRDRPCRDTQSKLNFVNEGQILLISEESISDLNSRLNSGKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >OGLUM06G25530.2 pep chromosome:ALNU02000000:6:28213887:28221208:1 gene:OGLUM06G25530 transcript:OGLUM06G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor sulfurase (LOS5) (ABA3) [Source:Projected from Arabidopsis thaliana (AT1G16540) TAIR;Acc:AT1G16540] MEVSKEEFLRQFGGDYGYPGAPKGVDEMRAAEFKRLEGMAYLDHAGATLYSEAQMADVLKDLASNVYGNPHSQSDSSMAASDLVTAARHQVLKYFNASPREYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVLAVDVEEGADLAKDNGSHSLYKISRRTNQRRSKDVLSHNCQNGSLSDISGNNWNIFAFPSECNFSGQKFSLSLVKLIKEGKIPLQQQGKWMVLIDAAKGCATEPPNLTVYPADFVVCSFYKIFGYPTGLGALIVKNEAANLLNKTYFSGGTVAASIADIDFVQKRKNIEQVLEDGTISFLNIASLRHGFKIIEMLTTSAIERHTTSLATYVRNKMLDLKHSNEINVCTIYGQQYSKVEGLKMGPTITFNLKREDGSWFGYREVEKLASLFGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTFEDAEKFLKFLQSSFVSLPVQFNNGYMLNLNSLNLIDNSSQKAVSDIHLKSITIYPVKSCQGFSVKSWPLTTGGLMYDREWLLQGSGGEILTQKKVPELGSIRTLIDLELGKLFIESPTRRDKLQLSLLESLADLSEEVDVFGQRYEVQSYDDRVNTWFSEAIGRKGDCKQKLPVDAMRFRPNLVISGSSPYSEDNWKKLRIGEACFTSMGGCNRCQMINLHQDSGQVLKSKEPLATLASYRRKKGKILFGILLNYEDIMEGENETIAGRWLQVGQQVYPSTE >OGLUM06G25540.1 pep chromosome:ALNU02000000:6:28221712:28224802:-1 gene:OGLUM06G25540 transcript:OGLUM06G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRCAAAIARAVVEFLDAVLVGFFLSFFGPHPRDRDRDDSPGSGRRDSLAHKDRLGELLSDDDELGLGGRGGSHEDLADDCGSDEELRSEANFLKLCGTLSETPAELHNISYQINMESYIEHDKIPTNVLAVEATPAFESKSSGGFEYGEDHILTPQLNTEDTEHLPLVKSVYQSAIRGNSPFQNIKSINDGSSDSPFHTPLVLRDDMQTPRTVYTSHKGSSGKRVRTRKQFAYPIFRPTENKLQKMQLSDSAKMTQQISSDSVVKGESLNSSHFPLEVSKYQLDRQRLLDAGERSKSNSDENIEVCSLSRWLKSSPAGNTNQMYDENNLIEEGHAIMTSEDNVDVDNHTPRLSKAWDCHCIPNTTRKYGEDQHVSWHSTPFEERLIKVLSDEEVPPTRKLVPGRLLYLEERV >OGLUM06G25550.1 pep chromosome:ALNU02000000:6:28235918:28239850:1 gene:OGLUM06G25550 transcript:OGLUM06G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFERRGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSGSSNSNHPVSLQKLTSATVNNISKREFVNSEDRCIYASEDRNTASSDKNTHTILRCGRSSMPSIEEIFRNWEQTRGRLLPWNSNVITTEQATRASRQTTNYSKPLKQLTDCDLTLSIGQLWEDAAGSDADGSSTISEEVAAPSRDEAFVSSADDHFAAAAAKKKESNMLTTDLNLDLTISSSWLS >OGLUM06G25560.1 pep chromosome:ALNU02000000:6:28243369:28270855:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVPGSAQKGHPKALSNRPDRHYRSGPIHGPFSHLPIPVRSRSVHANSHKRKPRSRNQSNAHLFQKKKGKKKTSSAIRRALAAGGRGTPPEMSVLIVTSVGDIEVDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKIEKDFLAQTGDSTGTGAGGASVYKFLYGDQARFFDDEIRPELRHSKMGTIAMASAGENCNASQFYITLRDVVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHMYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDSWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKVTQDEDLYTIFSRLGSVTAEIIRDYKTGDSLCFAFIEFEKKEACERAFFMWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKCRSYDLVFEDGENCNGQQDLGSADRRKIHKIDDRRSGLPPWGDRDRISRERTHGDENGKEETEGIRSMRTTTVTVNLVNEAPVDMMIVATASTRAGANIGMAMTIADDNQEAVDMAGISAMVNDATEEMMAMEGATVTREMKVITGNDATGGKTPAEMSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEELIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTAYAMLLLCFHGSIAYSMICLQMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTDRRKIQRTDDRKSGLPPRGDHDRISRERTHNDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >OGLUM06G25560.2 pep chromosome:ALNU02000000:6:28263407:28270855:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEELIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTDRRKIQRTDDRKSGLPPRGDHDRISRERTHNDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >OGLUM06G25560.3 pep chromosome:ALNU02000000:6:28263407:28270855:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEELIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTAYAMLLLCFHGSIAYSMICLQMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTDRRKIQRTDDRKSGLPPRGDHDRISRERTHNDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >OGLUM06G25560.4 pep chromosome:ALNU02000000:6:28243369:28264726:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVPGSAQKGHPKALSNRPDRHYRSGPIHGPFSHLPIPVRSRSVHANSHKRKPRSRNQSNAHLFQKKKGKKKTSSAIRRALAAGGRGTPPEMSVLIVTSVGDIEVDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKIEKDFLAQTGDSTGTGAGGASVYKFLYGDQARFFDDEIRPELRHSKMGTIAMASAGENCNASQFYITLRDVVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHMYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDSWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKVTQDEDLYTIFSRLGSVTAEIIRDYKTGDSLCFAFIEFEKKEACERAFFMWRQFRQSKSNANKDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKCRSYDLVFEDGENCNGQQDLGSADRRKIHKIDDRRSGLPPWGDRDRISRERTHGDENGKEGNRDRGNQKHEDYNRYCKPGERSSSRHDDRGYSKHESRSKYRDGDDDCRRQSGGSRYGRDKCDGERRYRGDDGHGRSNRHTRDESDNRKRRYQRRLGLGGGDGDGGGR >OGLUM06G25560.5 pep chromosome:ALNU02000000:6:28264595:28270855:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIVTSVGDIEVDLHTDMCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGAGGDSVYKFLYGDQARFFDDEIRPELRHSKKGTIAMASAGENCNASQFYITLRDDVDYLDDKHTVFGMVAEGFDTLTKISETYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLSELIPENSPVGKPQDEIAEERLEDSWVPMDETVAPEELEELIRSKEAHTNAVILESVGDIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDYKTGDSLCYAFIEFETKEACERAFFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNANKDGCFKCGAPDHIAKDCDQGTEQKNKGPSYVLKDENTQRGGNNRRSYDLVFDEDGENYTDQQDPGSTDRRKIQRTDDRKSGLPPRGDHDRISRERTHNDENGREGGKEGDRDRGIRKHEDYHRYNKSGERSSSRYDDRGYSKHESRGKYRDGNDDYRRQPGGGSRYGRDKYEGERRYREDDGHGRSDRHKRDESDNRKRSPDTGKHRREDGGHRETSKHRERRQRDDR >OGLUM06G25560.6 pep chromosome:ALNU02000000:6:28243369:28262725:1 gene:OGLUM06G25560 transcript:OGLUM06G25560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVPGSAQKGHPKALSNRPDRHYRSGPIHGPFSHLPIPVRSRSVHANSHKRKPRSRNQSNAHLFQKKKGKKKTSSAIRRALAAGGRGTPPEMSVLIVTSVGDIEVDLHTDLCPLTTKNFLKLCKMKYYNGCLFHKIEKDFLAQTGDSTGTGAGGASVYKFLYGDQARFFDDEIRPELRHSKMGTIAMASAGENCNASQFYITLRDVVDYLDDKHTVFGMVAEGFDTITKINETYVDDKGRPFKDIRIRHMYVLDDPFDDPPQLSKLIPENSPVGKPQDEIAEERLEDSWVPPDETVAPEELEDTIRSKEAHTNAVILQSLGDIPDAEIKPQDNVLFVRELNKVTQDEDLYTIFSRLGSVTAEIIRDYKTGDSLCFAFIDGCFKCGALDLIARDCDQRAEQKNKGPNYILKDENTQRSGNKCRSYDLVFEDGENCNGQQDLGSADRRKIHKIDDRRSGLPPWGDRDRISRERTHGDENGKEGNRDRGNQKHEDYNRYCKPGERSSSRHDDRGYSKHESRSKYRDGDDDCRRQSGGSRYGRDKCDGERRYRGDDGHGRSNRHTRDESDNRKRRYRE >OGLUM06G25570.1 pep chromosome:ALNU02000000:6:28284052:28292423:1 gene:OGLUM06G25570 transcript:OGLUM06G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) TAIR;Acc:AT2G30070] MDVEGGGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGGGGGGGDRMSAAASGEDEAMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGGGGRRKVRFDDDGGGGGEEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >OGLUM06G25570.2 pep chromosome:ALNU02000000:6:28284057:28292423:1 gene:OGLUM06G25570 transcript:OGLUM06G25570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G30070) TAIR;Acc:AT2G30070] MDVEGGGGGGGGAPPRGRNSWGWQKGTLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLLCRNSKMGLLNNMRANHGSLSAYNKEEPCKESRNSMLIKAFFEKHYSLRVVLLLFVLMGTSMVIGDGVLTPTMSVLAAVSGLRIKFPELHENYTVLLACVILIGLFALQHYGTRRVGFLFAPILISWLTCIGGIGIYNIIKWNPSVIRALSPYYIYNFFRKAGKDGWSSLGGIVLCLTGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPDRVFWPVLFIATLATAVGSQAIISATFSIISQCRALGCFPRIKVVHTSSHVHGQIYIPEVNWVLMSLCLAVTIGFRDTEMIGNAYGLAVILVMCATTCLMFLVITTVWNRWVVWAAAFTVVFGSVELLYLSACLAKVPHGGWLPLLLSLTTLLVMSTWHYGTAMKQQHEVQNKVCLDHFLGLSSGIGLVRVPGVGFVYSSTTNGVPPMFAHFVTNFPAFHRVLIFVSLQTLAVPKVSPEERFLVGRIGSPANRLFRCIVRYGYKEGRWDHFNFENQLLMKVVEFLRHQDGGGGGGGDRMSAAASGEDEAMSVIPATSSSGGSNQHAFDAGTTTSSCEIDATAGGGGRRKVRFDDDGGGGGEEEEEAAEVKELMEEKEAGVSYMIGHTCVFAHESSSAVKKFAVNVVYGFLRRNSRRPAVVLGIPHTSLIEVGMAYRV >OGLUM06G25580.1 pep chromosome:ALNU02000000:6:28295435:28295860:-1 gene:OGLUM06G25580 transcript:OGLUM06G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLRRLSRVAAADACAAAAYQPLRADRTAKLSASSSSLAGARRLGCGARVPEGHVPVCVGEEGGPVERYAVRTDLLGQPAFAALLRRAAQEYGYGHPGALRIPCPVADFHQLLLRLSAAAAGDGDDDDDDEDGGGLVYY >OGLUM06G25590.1 pep chromosome:ALNU02000000:6:28309394:28312905:-1 gene:OGLUM06G25590 transcript:OGLUM06G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMGKLLHRPWRWSLNSPLLLLLIVPVMIHVQLKLRRRRKNAAASTRLPPGPWRLPVIGSLHHLAMNPKAVHRALADLARWCGGGGGGVMYLRLGELPVVVASSRDAAREVLRTHDAAFATRAMSVTVRDSIGDTVGILFSPYGERWRRLRGICSLELLNARRVRSFRPIREEQVARLVGAIAAAAAAPGGDQPPPVNVSWQIAGALTDLTLRAIMGECGFRWREEFLETLGEAQRKASRFGVADLFPSSRLLRAVGSTAVRDVRALNAKLFELVDRAIEQHREAAATTAAGGDHDDARDDNECLLNTLMRIQKEGGGTLSMSTVKAVILDMFAGGSETTSTILEWAMSELVKNPQVMQKAQAEIRLALQGRSRITEDDLINLSYPKNIIKETLRLHPVAPLLMPKECQESCKILGYDIPKGSIMLVNVWAIGRDHRYWDDAEVFLPERFEEITVDFGGTNYEFIPFEGGRRICPGITFAHATLELALTALLYHFDWHLPPSVTPDGLDMEEEFGMTVRRKRDLHLHPVIHVGVEKGIMN >OGLUM06G25600.1 pep chromosome:ALNU02000000:6:28326415:28326885:1 gene:OGLUM06G25600 transcript:OGLUM06G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELMRRMSFSDRVGGGDGGGGGAAAAVKRGLMRRLSFSDRVVGDNGGGGGGGATPPRGGLLRRLSFSDRAGGGGGGDGVPRGCVPVMVGDNGGDGDGGGERFVVRVEALRHPAFAALLEKAAQEFGYKQEGILRVPCDVSHFQQVLHAATAAAKS >OGLUM06G25610.1 pep chromosome:ALNU02000000:6:28330714:28341428:1 gene:OGLUM06G25610 transcript:OGLUM06G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQDKKYQYLWNCKEYLDPASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVGWKFVLCSMENKVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANAAKAPQRKG >OGLUM06G25610.2 pep chromosome:ALNU02000000:6:28330714:28341428:1 gene:OGLUM06G25610 transcript:OGLUM06G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANAAKAPQRKG >OGLUM06G25610.3 pep chromosome:ALNU02000000:6:28330714:28341428:1 gene:OGLUM06G25610 transcript:OGLUM06G25610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANAAKAPQRKG >OGLUM06G25610.4 pep chromosome:ALNU02000000:6:28330714:28338830:1 gene:OGLUM06G25610 transcript:OGLUM06G25610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCKTLNLRDPIQTPSSPPSFFFPKPLSPPLSPPLLRAPHAPRGETLDLVMPPRPSLQSLLLMAASAAAGGDSGLLLAARRRLPAAALAAGGHRIRLLHAFSAASRRGRHEVACCVRTEPAPRPASPVAVRSRSVHSTENYKKGCEQRLGQLIERLKKEGISPKQWRLGTYQRMMCPKCNGGSTEEPSLSVMIRMDGKNAAWQCFRANCGWKGFVEPDGVPKLSQAKNNTECETDQDGEANLAVNKVYRKICEEDLHLEPLCDELVTYFSERMISPETLRRNSVMQRNWSNKIVIAFTYRRDGVLVGCKYREVSKKFSQEANTEKILYGLDDIKRARDIIIVEGEIDKLSMEEAGYRNCVSVPDGAPPKVSSKLPDKDQDKKYQYLWNCKEYLDPASRIILATDADPPGQALAEELARRLGKERCWRVNWPKKNENEICKDANEVLMFLGPQALRKVIEDAELYPIRGLFSFKDFFPEIDNYYLGIRGDELGVPTGWKSMDELYKVVPGELTVVTGVPNSGKSEWIDALLCNINDQVGWKFVLCSMENKVREHARKLLEKRIKKPFFDARYGGSAERMSLDEFEEGKQWLNETFHLIRCEDDCLPSVNWVLELAKAAVLRYGVRGLVIDPYNELDHQRPSNQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDPNSGPLDVVQVCVRKVRNKVIGQIGDAFLSYERVSGEFRDADKDTAKKAAVAAANAAKAPQRKG >OGLUM06G25610.5 pep chromosome:ALNU02000000:6:28339354:28341428:1 gene:OGLUM06G25610 transcript:OGLUM06G25610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVSEGAAAPETKEVTAKAAADEAVTLAAVEEKAVEEAAGEKKDGEEKKEEEEPVTEEKEEEQGEEEEEPKKEEADEGEKEEKPAEEEAAAVVDKDIALWGVPLLPSKGDDATDVVLLKFLRARDFKAGAAFDMLRKTLHWRREWKGFAAGTDDDDDGEALPAELADACYLDGADREGHPVCYNALGVFADDAVYKKALGTEEGKARFLRWRVRAMESHVAKLNLRPGGVASLLQVTDLKNSPGPAKKDLRVAMKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFMTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDDDTEFSAEDSEVTELVVKASSTETIEIEATEGDTTLTWDLTVLGWEVNYKEEFVPSEEGSYTVIVKKGKKMGSSEAAVRNSFRAGEPGKVVLTVENLTHRKKKVLFRHKAKSACAKKC >OGLUM06G25620.1 pep chromosome:ALNU02000000:6:28341869:28344007:-1 gene:OGLUM06G25620 transcript:OGLUM06G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0AD43] MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEDYEEEEDEEVAA >OGLUM06G25630.1 pep chromosome:ALNU02000000:6:28346029:28346322:1 gene:OGLUM06G25630 transcript:OGLUM06G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVFVVAVLALSVAVAVAGRVLEEGEAYYGLEAAAAAPGLQPAASPPYASSPGGGGGGGGGHSWRGGAGAILDAIWVVFRWANDAVAGGGGRTNVR >OGLUM06G25640.1 pep chromosome:ALNU02000000:6:28348579:28348962:-1 gene:OGLUM06G25640 transcript:OGLUM06G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGNATAAVIIVLAVAAALVASSLAPAADAFRTYFPEDEQLLRNKGSGATVVVMTAPVLSGSPVVTPAGAPSGAELIAFAGRDDVDAEDGAGDGDDVSPGPAPSSAGVISLDSERAAADDVLLP >OGLUM06G25650.1 pep chromosome:ALNU02000000:6:28368858:28370556:-1 gene:OGLUM06G25650 transcript:OGLUM06G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEGHFFIPHPHFMHGHFLVPGGDADHHHQVSNAGNGGNTNTNTGGGGGNGDEMAVAMAAVAEAHAAGCMLPLSVFN >OGLUM06G25660.1 pep chromosome:ALNU02000000:6:28386677:28389414:-1 gene:OGLUM06G25660 transcript:OGLUM06G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPMHIDIVHRLCGGQLVDLNRVNAGPDHNRGVPANGAQQFNWLYGFQIAFPVYYHWHGDNNNQGAAAPASAGAGRTYITLATCNDGMVNNAVEENTIPDGGSLAWSGYAMIVLSVLALWSGFVSEPMAVFLAFVLLLLGCGFLHVAMLAPSKPKML >OGLUM06G25660.2 pep chromosome:ALNU02000000:6:28386677:28388974:-1 gene:OGLUM06G25660 transcript:OGLUM06G25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFQYMFPDIFVRIEEFRFVLSEFVTQFARLLEYMLWGMCEFEFFVPSSISPLPFDCAVGVAAAGLPTSRYRALPPFRSSSSICCSSAHIPSTAPRARPEEGGRTEAAEGRRSGAIARRRHEVDANGRKGRKIDSTEQNNPHPCSKEHHRMPMHIDIVHRLCGGQLVDLNRVNAGPDHNRGVPANGAQQFNWSGFSLMLGAAQIMVLAWQVIHVPWPLLLLALMTWFIGCLRLYGFQIAFPVYYHWHGDNNNQGAAAPASAGAGRTYITLATCNDGMVNNAVEENTIPDGGSLAWSGYAMIVLSVLALWSGFVSEPMAVFLAFVLLLLGCGFLHVAMLAPSKPKML >OGLUM06G25670.1 pep chromosome:ALNU02000000:6:28392510:28394868:-1 gene:OGLUM06G25670 transcript:OGLUM06G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQLDTSAFVILLYCASPMVAVAWQAEPLPWSLLLLLGIWLIGCFKLFGLLHIMFPAYHGDKHSTGAATPTSPGCVELVNRAEVAWSGYILMAAPVLAVWAGFISGPEISFLAFLLLLLGCRFVYLAMLAPSKFKMSFLATFSWPTLKKKKEAS >OGLUM06G25680.1 pep chromosome:ALNU02000000:6:28404062:28404434:-1 gene:OGLUM06G25680 transcript:OGLUM06G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKPADDQQHGSSTGKHTTAAATTDRWAVTMAVWHGPVMPSRYYCLCWAMWAGMGHGPVPFFRLQAFHACDAWMRAYFLLPFTPSRPKML >OGLUM06G25690.1 pep chromosome:ALNU02000000:6:28407716:28408477:-1 gene:OGLUM06G25690 transcript:OGLUM06G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVGEAEMVESAMDLQGQSVTWPHRLLPLALLPPLPPFLRQLATGGGWSRVPTALVLLLRGSPSDHLRTASYDDGGFPSLATGKSATGFAQVKVVPAHFVFSGEPLSRKAVFFLISGETFSRGS >OGLUM06G25700.1 pep chromosome:ALNU02000000:6:28410749:28416958:1 gene:OGLUM06G25700 transcript:OGLUM06G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGPGVTLARRERDRSDFPKSIPFPPHQGVVAASLLARSGLRLVGYSLERRWCMAQLDRATASGYSAHPPRHIWPWEAVAVARRWWWWLKYDGGKLMLLVSLARSDDDRGELQQSPRRRAAAAKSGVGGGRACKGESYSSRRGGERPERWQLRAESAAGERSLRQPMNQVINARSSRGHGTCTTCQGKTIIEAAPNSMRLNPDQLN >OGLUM06G25710.1 pep chromosome:ALNU02000000:6:28416221:28416888:-1 gene:OGLUM06G25710 transcript:OGLUM06G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWFIGCLRLYGFQIAFPVYYHQHGGNNSQGVAAPASTGRDGMVNNAEMEEENAIPDEGSLAWSGYALMVLSVLALWSGLVTEPVAVFLAFLLLLLGCGFLHLAMLAPSKPKMF >OGLUM06G25710.2 pep chromosome:ALNU02000000:6:28416221:28417065:-1 gene:OGLUM06G25710 transcript:OGLUM06G25710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHNVHHLRGGQLVDLNRVNAGPDHNRGVPANGAHQFNWSGFSLMLFGAASMMVLPWQVVHVPWPLLLLALMTWFIGCLRLYGFQIAFPVYYHQHGGNNSQGVAAPASTGRDGMVNNAEMEEENAIPDEGSLAWSGYALMVLSVLALWSGLVTEPVAVFLAFLLLLLGCGFLHLAMLAPSKPKMF >OGLUM06G25720.1 pep chromosome:ALNU02000000:6:28431418:28433946:1 gene:OGLUM06G25720 transcript:OGLUM06G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKRTMETLSWLMALMMCSGLIVINFRVWNMREIAVTKAVNAKKTANFSPSGNQEEEEEAKRMENN >OGLUM06G25720.2 pep chromosome:ALNU02000000:6:28423406:28430934:1 gene:OGLUM06G25720 transcript:OGLUM06G25720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRQALTQKQACCPDDEASWKRVYKRASAARTRVPKPQHASTAAATATLAFLLAGAATDATTPRLTATTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSAFPSSSSSPAAAVQNSMNAHAAAPATTGKTTAGKRSP >OGLUM06G25720.3 pep chromosome:ALNU02000000:6:28423406:28433115:1 gene:OGLUM06G25720 transcript:OGLUM06G25720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRQALTQKQFSSERQLPFVVVSGGHRRLSRNTLDYKSHMKKEAIIKVVADRIGEEEEEERLGLREEERK >OGLUM06G25720.4 pep chromosome:ALNU02000000:6:28431100:28432070:1 gene:OGLUM06G25720 transcript:OGLUM06G25720.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIDTLAVWNMREIAVTKAVNAKKTANFSPSGSLGASPRRNRMSIRGTVEIVMRSSANFRI >OGLUM06G25720.5 pep chromosome:ALNU02000000:6:28423406:28430934:1 gene:OGLUM06G25720 transcript:OGLUM06G25720.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTEEQHSAFFHLQRASAARTRVPKPQHASTAAATATLAFLLAGAATDATTPRLTATTNADVRKNVHTFSCALPTAPPSSPPNTASTAPNDTDATATAMAYIVPSSAFPSSSSSPAAAVQNSMNAHAAAPATTGKTTAGKRSP >OGLUM06G25730.1 pep chromosome:ALNU02000000:6:28426110:28426460:-1 gene:OGLUM06G25730 transcript:OGLUM06G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGERDDEAAGCFPAGGGGGKGKAPSPPPPPPSHEIDGGHRRGLVRRRRRWRPVRGEVFAVGFMVAGAAYFLLADALAVGRDPADGDDPSGGWFFAAYVLWITGLNLLYVLDYLMN >OGLUM06G25740.1 pep chromosome:ALNU02000000:6:28430520:28432817:-1 gene:OGLUM06G25740 transcript:OGLUM06G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKSTHVVKGVPAQAAVAAGDNDERELPLRGELLHPQPLQEMGDSQDKEIQEGVQALLNNHGGDIEGGRDPPSNTLPENGSASSTARKEGKRLMHHYLKFALLLITISTVPLIDILFLRGDAPKLPLGLKFAVFFAFTAFVTAISLMFHTLKLMTIKPEHIISAINQLKVSIVLLATSISALILTCISITCSMLPKAYYFLPISLLPSILVGVFHFIYNGKFDVRDVRPEESKAVKKALKSATQLTLSLVTTSFSGFIGDLLGIYHKAEKLGGQYSYAKVSIFFMLGSGLAGILALLLCRLLSNSEDHRIWWQKTVLAIANVVMLAMLVPALLLIAATILHGLLLPAVVFPVVAGAAAWAFIEFCTAAAGEEEEDGKAELGTMYAIAVAVASVSFGAVLAVFGGLLGGAVGKAQLKVCTFFLTSAFVVAVSLGVVASVAAPARRKASVAVAAAVLACCGLGTLVLAALALFYQIGAA >OGLUM06G25750.1 pep chromosome:ALNU02000000:6:28461142:28464563:-1 gene:OGLUM06G25750 transcript:OGLUM06G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHRRTPPAPRLAQRSEGVACVPAASSPPHAPLFPSPSLLLTFLVPSQVFSSIVVGPSMVVHPTSIAIGAASIHSIILTVCELELEMWCFPISCESFGPSRSQLLKPPGHIRLHRQPLLLFRHADLPSLLSSYIVQVVIHYFDKQSTGFISSATGAITISYIMQLLTGLLRREFSAVIYATQSISDILSISGGE >OGLUM06G25760.1 pep chromosome:ALNU02000000:6:28469252:28470762:-1 gene:OGLUM06G25760 transcript:OGLUM06G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSTDDAAAASTLVGIEPRPEHVANAVAGDRGANEEQSLGIVEMTQSPGIQPHSRRTVAVASCARMQMAAVMGDEPAITPVEAKSGGGPQAVGRGVGGGRALPGGGRERWQLNHENGGGEGTDHARLEPSKPGGY >OGLUM06G25770.1 pep chromosome:ALNU02000000:6:28475486:28477972:-1 gene:OGLUM06G25770 transcript:OGLUM06G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIRMIEAAKDVMDLEKAIDIMDAAVHVEEKAGEEKEVLIPVPADLPVQVQRGASKLTRKKWLLLWFLIVIWVMILTDHFFVDGDQQNDHAESDNPYSTLAKFTGLLSVISLMFRALK >OGLUM06G25770.2 pep chromosome:ALNU02000000:6:28475486:28479266:-1 gene:OGLUM06G25770 transcript:OGLUM06G25770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAKDVMDLEKAIDIMDAAVHVEEKAGEEKEVLIPVPADLPVQVQRGASKLTRKKWLLLWFLIVIWVMILTDHFFVDGDQQNDHAESDNPYSTLAKFTGLLSVISLMFRALK >OGLUM06G25770.3 pep chromosome:ALNU02000000:6:28475486:28477467:-1 gene:OGLUM06G25770 transcript:OGLUM06G25770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAAKDVMDLEKAIDIMDAAVHVEEKAGEEKEVLIPVPADLPVQVQRGASKLTRKKWLLLWFLIVIWVMILTDHFFVDGDQQNDHAESDNPYSTLAKFTGLLSVISLMFRALK >OGLUM06G25780.1 pep chromosome:ALNU02000000:6:28480427:28481676:1 gene:OGLUM06G25780 transcript:OGLUM06G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCTGERVDQHQRCAVVEAVESRMSGGLDSRRMQGKALTSGHPAVIVAAFPKPAAVIPVVVGNGSGLPEVAGHGSVLPMEAGDGSGLPAAASHGSSLPAVVGHKSGLPAGEEEREREKCGRRRMISVGGAAWREPLYFRGVGYFRVRVT >OGLUM06G25790.1 pep chromosome:ALNU02000000:6:28481936:28482238:-1 gene:OGLUM06G25790 transcript:OGLUM06G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRQREHEQRGEAAVIAIDDDDGVQDAARLILLDAGRALMLCGALASVGSISHDHHGAIVGLLLWLLGVSLLALVPAPPAAGRFAAAILAYFLSPPWW >OGLUM06G25800.1 pep chromosome:ALNU02000000:6:28492746:28496016:1 gene:OGLUM06G25800 transcript:OGLUM06G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAASKAAGKEKSRRKGGGGGGEQLLTDQVLSLRARLHHALALGLAKSDGGPKKWQSTDAGIQSHVLKAASAFLGCLSNELLRLPPIKESISDILIALEGILQSKNVSVLIQATDVSLKLVSSVGNLARQYPVLEIVTCLASQLSASQITIAVSSASALYCILNTLATARVSIHAEIWQALEKTDAVTSVIGALQNYSPDVHPLNYLMEMMSLLRIILWIWPSSRYHVWSNRNLMGKLAQYCVASEMDVAVRVLKLYAALALCGNGAMVLLNNEDLMAKVGALLGKSNPSIARIEALKFYQILLRSSKGCDLLMAPHYQHIIEGTINAMSRDDERLLTIEGCRTALLVLRYAGDHHRLFWSHAIDDVLYKILTGGCTSSHKANQILCHNKLFNMVSENFMDIHSYVWDILGNLAVHCKNEYLSVRKGQDSALQALIHCVCSLASDAMQKSNTMKLSKDVHEPALRAVLMMLLSPSGYILSEASSELLHVLPLGDDCLNILFTSLESNTTRSITASFDNVKIMSNLMSLAGMVMLQPSNNPLNTRRAVAVLSTIIKECVHNNIHITRPKVVSHLQFCFDGGSCCSLVEEWEGENIALIHGLMVLFNLLKSINFVCIHCKRNLDVGIVCNDCRDHYSEGLIRVLQNASCQNLSPGPKLYISRILSLFGLCGFPSKLGVKMRRALDDNELADLELLLSNGESLKAHTAIISVRCPKLLPSAKSFGSDGKITDEWGRSFYHVRMSDRVDSCGLKKILEYAYTNSVIVDDDNIKPVRTLAKYCHLKSLQEMLQKEQPRWNSDCPRYDLTAALEPVKCSFS >OGLUM06G25810.1 pep chromosome:ALNU02000000:6:28504943:28505805:1 gene:OGLUM06G25810 transcript:OGLUM06G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTMEIRNSRGAGGAKCKGVAGEEEEDTATALRTACCHCCLAFTAIEKGRGAERRVAADHTHPHTHPQQQQRSGAEERRVAEIAAATGKWVGWWGGGPSSSAASHMLYVARDNVRGRGCRTRAKTERFLGAFVRSTGGVACAAVAVMSERSTCGTVAGSRADQAGGGWMDGWTDQTGWLARPPMRDN >OGLUM06G25820.1 pep chromosome:ALNU02000000:6:28506070:28507308:-1 gene:OGLUM06G25820 transcript:OGLUM06G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVASNLPAAAPAAVMPFGGWHGPRVSFSRDAAGAEEAAAVVVCSSPLAAAAAVATTTTPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAVPEMDAAAPRPPQPEAMPAPSEPMKPLRAAAAAVDAADPYVFSPKAPSCSSRWRELLGLKRAAAQSPKPSPSSAPARTPGRAMNSTAARSLKLLLQRNNGRSSGASASELASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDIPRLSLDSAADPNPPRIRLVRSSHRHSTSSSSSSRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCTLHAAAKPRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDAAAKDAMAARTRSSLGRKTTAAPQGWSGELGRSCG >OGLUM06G25830.1 pep chromosome:ALNU02000000:6:28506158:28507324:1 gene:OGLUM06G25830 transcript:OGLUM06G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAAASFLSLKNPNTGREHTGTFSTTGATRTPEEYDRSTARERGFAAAWRVHGELELRSRPWNTILPEEFMRGESTERQRGGGGGEGRRRAGLRPARDEEDEEVEWRWEERTRRMRGGLGSAAESRERRGMSSWSWPEEDDDDEREKREEARERDASESELSRRSGAEASSDAEAPDERPLFRWSSSFSDLAAVEFIALPGVLAGADDGDGFGLCAAALFSPSNSRHRLEQLGALGEKTYGSAASTAAAAARSGFIGSEGAGIASGCGGRGAAASISGTAAAFRSGSSFPSAKSSSAGSMVAEPPRLNSKSMKSLDIAGSGVVVVATAAAAARGEEHTTTAAASSAPAASRLNETRGPCHPPNGMTAAGAAAGKLLATAEAMAGGG >OGLUM06G25840.1 pep chromosome:ALNU02000000:6:28509108:28509428:-1 gene:OGLUM06G25840 transcript:OGLUM06G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLQSIGPLARFRLSTHTYQGQPGLFGTISSHDWAGRPKYIATAAMVPAGRRSPTVHRLGPR >OGLUM06G25850.1 pep chromosome:ALNU02000000:6:28526012:28530691:1 gene:OGLUM06G25850 transcript:OGLUM06G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNNMGRAIKDVLSQFTKFEGNVKREALRSNFSQDGFDYLAESGFTQMWNSLS >OGLUM06G25850.2 pep chromosome:ALNU02000000:6:28526434:28530691:1 gene:OGLUM06G25850 transcript:OGLUM06G25850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMNSLSMVEARLPPGFRFHPRDDELVLDYLERKLLDGGVGGAAAAAAAVTIYGCPVMVDVDLNKCEPWDLPEIACVGGKEWYFYSLRDRKYATGQRTNRATESGYWKATGKDRPISRKGLLVGMRKTLVFYKGRAPKGKKTEWVMHEFRKEGQGDPMKLPLKEDWVLCRVFYKSRTTKLPTEGSYNNIDSVATTSLPPLTDNYIAFDQPGSMQNLEGYEQVPCFSNNPSQQPSSSMNVPLTSAMVDQEQNNMGRAIKDVLSQFTKFEGNVKREALRSNFSQDGFDYLAESGFTQMWNSLS >OGLUM06G25860.1 pep chromosome:ALNU02000000:6:28534342:28539671:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGSKNRLRARLELAGGGGGGAAPELGPDYLDSRVEESLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLVVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDSTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >OGLUM06G25860.2 pep chromosome:ALNU02000000:6:28534342:28539671:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGSKNRLRARLELAGGGGGGAAPELGPDTDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLVVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDSTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >OGLUM06G25860.3 pep chromosome:ALNU02000000:6:28534342:28543929:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLVVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDSTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >OGLUM06G25860.4 pep chromosome:ALNU02000000:6:28534342:28539671:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPPARRLGALAVFLLALFLAAPWGVDCGYNVASVAGSKNRLRARLELAGGGGGGAAPELGPDYLDSRVEESLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGKLALCFALLLQFFLLDLAAADIVAFVVAGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLVVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDSTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >OGLUM06G25860.5 pep chromosome:ALNU02000000:6:28534342:28543929:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASLETDSRLHVRITDADHPRWEVPQDVIPRPSPDSFLAATRPGGGRVLSTATSDLTFAIHTSPFRFTVTRRSTGDVLFDTTPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGKLALCFALLLQFFLLDLAAADIVAFVVAGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTLDPVNFPADRMRPFVDRLHRNGQKFVVIIDPGINVNTTYGTFVRGMKQDIFLKWNGSNYLGVVWPGNVYFPDFLNPRAAEFWAREIAAFRRTLPVDGLWVDMNEISNFVDPPPLNAIDDPPYRINNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWEDLHYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVETYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLVVATKTGKRVTLPAPADTVNVHVAGGNILTLQQPALTSSRVRQSVVHLLVALADDSTATGDLFLDDGESPEMAGPRSRWSQIKFSGATESGGGVVRVRSHVVHDSYAPSRTMAIGKVVLMGLRSPAPPKGFAVYANGVQVNASTAVGGAAGSPEKGALGVAHVSGLTLVVGQEFDLKVVMTY >OGLUM06G25860.6 pep chromosome:ALNU02000000:6:28540331:28543929:-1 gene:OGLUM06G25860 transcript:OGLUM06G25860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRVRNGQITGPFPRRRIAVIRRASMATLTGSVAASPSVVSDAMATRARPLLLCLCLCFASSCLSSAVDAAAAAGNGYRTTAFLVDDEGRRLRAELVAGGGGGNTAYGGDVQRLDVYASL >OGLUM06G25870.1 pep chromosome:ALNU02000000:6:28545588:28551412:-1 gene:OGLUM06G25870 transcript:OGLUM06G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEPDLLYSRRDDDDDVVGVASARAARCSPARRRRPTERTPHPSQLQVLRVLALGEGEMSGPMQRSSQPQFISSIERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >OGLUM06G25870.2 pep chromosome:ALNU02000000:6:28545586:28551657:-1 gene:OGLUM06G25870 transcript:OGLUM06G25870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSIERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >OGLUM06G25870.3 pep chromosome:ALNU02000000:6:28545588:28549907:-1 gene:OGLUM06G25870 transcript:OGLUM06G25870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPMQRSSQPQFISSIERNNQSNGPGTPLIDSIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILIASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKATNFILWILAELAVVACDIPEVIGTAFALNMLFKIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAILVSLIATCFLVELGYSKPNSSEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYMIESAFALTIAFLINISIISVSGAVCGSDNLSPEDQMNCSDLDLNKASFLLKNVLGNWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLLTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSRTKMGQHTNSKAISVITWGIGSFIVVINTYFLITSFVKLLLHNGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRKNRKATLPLLEGDSTVRIVGRDTATEGEGSLGHLPREDISSMQLPQQRTASDLD >OGLUM06G25880.1 pep chromosome:ALNU02000000:6:28550935:28579208:1 gene:OGLUM06G25880 transcript:OGLUM06G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPARHRRPIKPLCGPGREEFPVTSWEAVAHHLVATTYKEQTMIVASLELPNCSETAAPAGQPEDLSDSQEKEMTSVELTSSSAVRNPCAFLDGPGRWQWCSGHPLAELEHHRRCRLLAGIELRRLPKQALMYNSWLNLPIIETAGFKTYGEQNPMTIICSPRSKRRNRRSPVETGKRERSKNPTASASGASRDPAGPRRARQRKISAPAE >OGLUM06G25890.1 pep chromosome:ALNU02000000:6:28574670:28574888:-1 gene:OGLUM06G25890 transcript:OGLUM06G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRMPSGTDMDVHKACRAPLICSGIRLERQPVSRKLPTWSQATLDQAGRIACSRSKASIIICKEKMEGTG >OGLUM06G25900.1 pep chromosome:ALNU02000000:6:28575367:28578440:-1 gene:OGLUM06G25900 transcript:OGLUM06G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRSRTGQQQSDPYNEVFSGAENITRYSYKELAKATLNFDQSNKIGEGGFGPVYKGTLKDGTDVAVKLLSLQSRQGVKEFLNELMAISDISHENLVKLHGCCVEGRHRILVYNYLENNSLAHTLLGSRQSNIQFNWRARVNICIGVAKGLEFLHDGVRPHIVHRDIKASNILLDKDLTPKISDFGLAKLLPSDASHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLVEIVSGRCNTDTKLPYEDQILLEKTWKCYDQGCLEKAIDSSMVDDVDVDEACRFLKVGLLCTQDISKRRPTMSMVISMLTGEMEVDKEKISKPDVIRDFRDLKLRSKATSSSSLLTSIMARSTPSSSQETTRTSITVTAISDRD >OGLUM06G25910.1 pep chromosome:ALNU02000000:6:28579338:28585065:1 gene:OGLUM06G25910 transcript:OGLUM06G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAAVKSNDSDAIELKCLHDDKDILGRKWLIPPVAAGFRIGGLSLGLVYLGIFEPVSTPWCSWSLGSCGREWNYRVFDSALSSVFVVLEYILSEGPTTSPGQLVSREESDEDQRGVCCNLSKRRTTMPRWASALSLPGMGRNEV >OGLUM06G25920.1 pep chromosome:ALNU02000000:6:28586176:28591447:1 gene:OGLUM06G25920 transcript:OGLUM06G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRARPPLVLLLCLCLASCLSSAIGGVSAAGSGYRTTAFLVDEEGRRLRAELAAVAGAGGGSTAAYGDDVQRLDVYASLETDSRLHVRIADADGPRWEVPQDVIPRPSPEFFLQTSRPGRPVLSTATSDLTFAIHASSPFRFAVSRRSTGDVLFDTSPNLVFKDRYLELTSSLPPPGRASLYGLGEQTKRTFRLQRNDTFTLWNSDIAAGNVDLNLYGSHPFYMDVRSGGGGAAHGVLLLNSNGMDVIYGGSYVTYKVIGGVLDFYFFAGPSPLAVVDQYTQLIGRPAPMPYWSFGFHQCRWGYKNVSDLEGVVAGYAKARIPLDVMWTDIDYMDAFKDFTLDPANFPADRLRPFVDRLHRNGQKYVVIIDPGISVNATYGTFIRAIKEDIFLKWNGSNYLGVVWPGNVSFPDFLNPRAAEFWAREIAAFRRTLPVDGLWIDMNEISNFVDPPPLNALDDPPYRIDNSGVRRPINNKTVPASAVHYGGVAEYDAHNLFGFLEARATHDALLRDTGRRPFVLSRSTFVGSGRYTAHWTGDNFATWDDLRYSINTMLSFGLFGIPMIGADICGFGGNTTEELCSRWIQLGAFYPFSRDHSAIGTVRRELYLWESVARSARKALGLRYRLLPYLYTLMYEAHTTGAPIARPLFFSYPGDVATYGIDRQFLLGRGVLVSPVLEPGATTVTAYFPAGRWFSLYDFSLAVATKTGKRVTLPAPADTVNVHVAGGNILPLQQPALTSSRVRQSVVHLLVALAEDGTATGDLFLDDGESPEMVGARSRWSQIKFSGATESGGSVVRVRSHVVHDSYAPSRTMVIAKVVLMGLRSPAPPKGFAVYANGVQVNASTAVDGGGGGNPEKGALGVAHVGGLSLVVGQEFDLKVVMTY >OGLUM06G25930.1 pep chromosome:ALNU02000000:6:28594424:28596193:-1 gene:OGLUM06G25930 transcript:OGLUM06G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AD90] MAPRARKKTTKPEHPPQLLSFSFASLQKSISEKPRTKRLSSTSRLLMATTSSLALPLFAGGGGSDRLSQEIFSILESNFLFGAPPPEGPAGYYSSVGRVRVLSIDGGADGGALAAAALVRLERRLKELSGNPDARVADYFDLAAGSGAGGFLAAALFACRMPAEAARDVVARNRKVFSGRRGRGGGLFWRPESVFKKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEADAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAATGGGGAVSNPAAVAVTHVLHNKREFPFAAGAGDLVVLSLGGNNAAAGPRASSSSLLRIAGACQADMVDQAVSMAFGECRATNYIRIQGNGIVAGAAAATAEVAMTERSVESVLFRGKKVMAQTNGERLDGVAEQLVREHHRRMESKAPVVLIKPSATPRTSSSSASTLITVSTNASSESP >OGLUM06G25940.1 pep chromosome:ALNU02000000:6:28594712:28607753:1 gene:OGLUM06G25940 transcript:OGLUM06G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKQREELALARSEAEAEKLRWVLRLGRLLPRSRRHIYTGAHSSPRACALSLSRRGRCGDDELADDRGPRACAVVATSAAQPTPTQTADDADADADALRSPRFRTAAPGAPHLTSRGWQYQLERRGGADERQPREVRRWPWTRWVGGSGSRWLHEKTAAAVGSARRWHRTVAPREGSGTAGSMTTKRHWVADK >OGLUM06G25950.1 pep chromosome:ALNU02000000:6:28621646:28626145:1 gene:OGLUM06G25950 transcript:OGLUM06G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAEEGQSSEPADSAAPAQDDSVQTASGHNSRRPNLSLQIPARTLDNQIGTSARINISPSPSSTRAGLPPRPNSTRTKSSLKSIIPQQSFRARSSAQEGDRAILLVPGTPSEGQQDNTSTLRSFSFRKVINSLSAKRTHSLPVTPIATSDKTSSPANQINNLPTTDDQDVQARIRRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPIPVNTTASSDGIEETVDVPGDGGEDIPEEEAVCRICLVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPTQTANRRVTNGAHQRASQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLQSHALAISLPFSCVLGLLSSMIASTMVTKSYLWAYASFQFAIVILFAHIFYNVLKVNPVLAVLLSSFTGFGIAISANSMLVEYLRWRSRRNQQLAQTVDDGQRQEPGSNAVNENNGDRQQAQHRESGDNIV >OGLUM06G25960.1 pep chromosome:ALNU02000000:6:28627089:28630438:1 gene:OGLUM06G25960 transcript:OGLUM06G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT4G20930) TAIR;Acc:AT4G20930] MGVVGWRVRSMVQHLGWNCRRGLSSAAVQSQLENVGFIGLGNMGAHMARNLVMAGYKVTVHDVNENTMKKFSDDGIPTKLSPLEVSKSSDVVITMLPSSAHVLDVYNGRNGLLANGGCLGPWLYIDSSTVDPQTSRKISMDISRCTLKEKKAYAEKPMMLDAPVSGGVPAAEAGKLTFMVGGSEEAYLAAKPLLLSMGKKTIYCGGAGNGSVAKICNNMAMAISMLGVSEAFALGQNLGIKASVLTDIFNCSSARCWSSDTYNPVPGVMMDVPSSRNYDGGFTSKLMTKDLDLAMASASGVGFNCPFGSQALEM >OGLUM06G25970.1 pep chromosome:ALNU02000000:6:28631596:28637730:1 gene:OGLUM06G25970 transcript:OGLUM06G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRRPDKPEDAAAAEAKAAKLRDLQAQVLHNHHSRTYTKEALGLSFKLLEINPEAYTAWNYRKLAFQHNIGELSEPEAIKSAIDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDFKREFGLLDKLLKVDARNFHGWNYRRFLARFMGVPEEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIKQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPENPQLIASWPSNGSNLSLSSLSSICCYSLKEGILPIVLYFNEPVKGLSSSSVSLNSDLVVSKNIQWRPLSVTDSGHSNCWVTYLEVSNLECNSLQQFSVEVSITNSDEIVSRSGSNYNCPVHFSFTFELSNNDSTAKDIDPIHELISWDFSEPPLSHVNPSCICFEQLKITNSLVHKESNWHLERLSDEIDLFRELHDDNSKFAKLTLARLLLACAAIKSRGSSLVERKGYCEESLGLFNDLIDLDPSHKRYYEDERSLVLMDQLTCDMEAFKKYCSVKALPKLAPLNHVQLCRLSLTRIGFAERLLWVQMLDLSHNNLRSIEGLEALQQLVCLNLGNNQISSFTALEPLTKIISLKVLDLSCNEIGTHLIDTTRYICPSPFSHRVEACEAFEECRKKNINVEEFWDAILFFKHVNLVQLCLEGNAVTNKENLRTLVVTLNPSLKWLDGKFVH >OGLUM06G25980.1 pep chromosome:ALNU02000000:6:28637116:28637466:-1 gene:OGLUM06G25980 transcript:OGLUM06G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT4G18372) TAIR;Acc:AT4G18372] MPDVISAPDAENTGGGGSSPHVEKLRKMLFRRMLVGVNDGRYFLGLFHCVDKQGNILLQDAVEYRTSARRRSPSSPSSSPAEQRGLGLILIPAACRSSCHVDCAVEESMSLLSLDR >OGLUM06G25990.1 pep chromosome:ALNU02000000:6:28639051:28644647:1 gene:OGLUM06G25990 transcript:OGLUM06G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 30 [Source:Projected from Arabidopsis thaliana (AT1G30460) TAIR;Acc:AT1G30460] MSPKSQLPTGAKNPKNSLSPTMDDGDLSFDFEGGLDQAPAGGGGGPAPHSSDPGGVGGGGVGGGGGGGGPGDGGGHGRGRGRGSYRQTVCRHWLRGLCMKGEACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPNCRYKHVKLPGPPPPVEEVLQKILQIRSFNKFNQHRHNNYNQQGERPQHPQGSGLPNQNSIDNTTTTTAQPAVGQQAQTTNQQPPQQQQQQQQQQQQQQKPNTNDQVQSVPNGSSNQATRIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESIENVILIFSINRTRNFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSIQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAILIAAEAKKEEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEEGNGQESQGRGRGRGMMWPTQMPMLRGVGPMMGGRGFPPNMIGDGFGFGGGFGMPDPFGVPRGFPPFGPRFPGDFARGGPMPGMVFPGRPPQPGGMFPMGLEMMMGPGRGPLMGGLGMGGPGRPNRPVGMAPFMPPPPPPNNRGTKREQRRPGGERGDRYETTSDQGSRGHDATGNSGAEGARSQSGDRYGRSALRDDDSESDEEAAPRRSRKR >OGLUM06G26000.1 pep chromosome:ALNU02000000:6:28646651:28651713:-1 gene:OGLUM06G26000 transcript:OGLUM06G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AD97] MRLSSSSGSVLPAQAASPEAVEEQKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLSKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDAARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTSSLMWLRDSANPGFQSLNFGGLGMNPWMQPRFDASLLGLQPDMYQTIAATAFQDPTKQVSPTILQFQQPQNIGGRANTLLPSQILQQVQPQFQQQQYLQNINETTIQGHAQSEFLQQQLQRCQSFTEQKPQLQTQQQQQESQQQQQQQSQCMQVPQHQQMQQQKNMTNYQSVPNALSPFSQLSSPSQSSPMTLQTVLPFSQPQSYPDTSMSSLSPSNTSTMHNALRPFSSEAPSHLSMPRPTAVPVPDPWSSKRVAVESLLPSRPQVTSQMEQLDSTAPSIPQSSALAPLPGRGCLVDQDGNSDPQNHLLFGVNIDSQSLLMQGGIPSLQGENDSTAIPYSTSNFLSPSQNDFPLDQTLSSADCLDESGYVPCSQNSDQVINRPPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLENPLRSGWQLVFVDREDDVLLVGDDPWQEFVNSVSCIKILSPQEVQQMGKPFELLSSAPGKRLGSSCDDYVSRQESRSLSTGIASVGSVEF >OGLUM06G26010.1 pep chromosome:ALNU02000000:6:28673128:28674348:1 gene:OGLUM06G26010 transcript:OGLUM06G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESWRCTMGSVLPRQRSSDHHAGGGGGGGRQSLAPDDFRDVFGGPPRTVLLRSFYGGEAAAESYHSPAMAQQQQHHVYYGGAADAFCRRGAAAAAAVVPTEEGFFDDIFGAGRHARSRSRSTKSSSVVSSDEFGAGPAFYRPAAASSGCRGGDATLSSFASKLRPIAIPSRRYDSSPPSTASTRCDYQSSFTCSTAAYPASRCYYYAGRTAATNHSSAASSHAAAQQHRHHHRGGGGFCCFTSNPETTSNGHSFRRTRAGGRRARSPAATDTDTATEWSQYSHDDYGYYYSPPSAASSSAFGNPLPRTPRRLEEVVMEVRERAPLLMDDDGGGDIDSVGAAAVDEAIAWAKERFWSQS >OGLUM06G26020.1 pep chromosome:ALNU02000000:6:28674930:28679611:-1 gene:OGLUM06G26020 transcript:OGLUM06G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase 3, chloroplastic/mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G34630) UniProtKB/Swiss-Prot;Acc:Q5HZ00] MSWRWALARRVAALGATSGGGDGATAQAQRLFSSAAALLGRHPPPPSPPHYQIRSKVVGCRGATFVSSRWLHDAQYQVRQDGLSRSEEQHDPFELVADELSLLANRLRSMVAAEVPKLASAAEYFFKVGAEGKRFRPTVLLLMASALKFPLSDSTEVGVLTILANKLRTRQQNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNCIMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMDYYLQKTYYKTASLISNSCKAVAILAGHTADVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLTDIRHGIITAPMLYAMEEFPQLHEVVDRGFDNPANVELALDYLQKSRGIEKTKELAREHANRAIKAIEALPDSDDEDVLTSRRALIDITERVITRTK >OGLUM06G26030.1 pep chromosome:ALNU02000000:6:28687368:28687733:1 gene:OGLUM06G26030 transcript:OGLUM06G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRASLLLQVVRTSSRSRKGEGGVVFSEVAPWQQQQPISAPAGAAGIGSPRPVAKLDTIVEEDHSSMMMQEAATAFQAGPSAAASSSSSSASSSSSAPAAMAYRFGAAEEHGHGEALLIR >OGLUM06G26040.1 pep chromosome:ALNU02000000:6:28688757:28689092:-1 gene:OGLUM06G26040 transcript:OGLUM06G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVRCSEIEIESESSAILPEKKVVIGGGGGGNGEVAERQARAIIRMAKRALEEEEEAGGGGGGEGKLSMKRSMEWFLETRRKRMAAAADAGVHRGRAGECGSSSSCSN >OGLUM06G26050.1 pep chromosome:ALNU02000000:6:28693255:28703966:-1 gene:OGLUM06G26050 transcript:OGLUM06G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEAMTHCTIGTKLMPVGEQPISIGYERTFAFTGQMGPIYVFSDALSSEQIKGIYNLGPSYMYSFHGDDSLYRGILDARDGISSKIIFGFNAQASDSRSLFSVSSALDSADRSTFEATIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFSCNAVTDGEQSCHTSVINGKLRAEVIELVASVLDANVSNQQQMYLLSGLSIMGFLLQSATPKLLNIETLSALKYMFDVLRNCGMSKVLLKDAILQIYLNPQIWVHSSYEVQRDLYMFLLKYFETDGRFLPLLCGLPRIIDIVCQYYSEYVDCRCAVGSKSLLRTGNKQVVGDRPKIEEICKLRLLLLSLAEMSLKESEPVRLLGLQLVGKLMAGIPSEKKGAKLFTLPIGQSRPLSDNSKNEITAASHLFFYTISERLFKFPLSYNLCAAFFNVLLGGTSPIKEYSQSEQSKNKSCSTSHLVPFFLPQILEHSWNYWLETSTKLDVLKEYSLVSKGELDNVEIDEVILVRKLYALVLAYYLSAVKGGWHQLEDTVNYFLLKFGQGQLSSSYLLRDILDDIVGSLLQTSSEENIILSQPCCDNVLYLLKLIQELLFNQIGIKLLFPSNPSEESLSSIKWKDDIKSTLNEILIDESHSQYKSFPWKSCQFADEDEKSEDWWNFFDKVWDLICNLNGKGPNKLLPKGPNIEVPSLGQRARGLVESLNVPATEMAAAVVSGGIGTALGAKINRFSEKATLLREEIFPRVFFHLVILYLCKAGLENASKSLLITRSQYGQLDDGARYHVLSHLILETIICGKSMLVPNILGRDDSMEIGNSNKDTGFILNFVQKDRVLAAATVEVKHMKAVQADRLSQLDELQSKLNEHFTEETQLEKMIEDNIHISITSALSADDKRKIAFRLAFDEDQQIVADKWIHISRALIDERGPWSANPFPNDVVTHWKLDKTEDKWRRRLKLKRNYKFDERLCQPSYSRNESTEACVDQSSLSTKVPLKLKRFLLKGVRAIFEDNAYEPIEDTNDTGESSQSNLLENQNPNNVSDLSDYRTAVQNKKESASNNGDNDYTKVLCSVHCVLITPKRKLAGQLDITRTVLHFSFEFLVEGTGGSSVFSKFKEIEDSDCKSDLGSVERLDGGRDYVIKTPNGVLMQKQSNKIKHHRRWNITKIKAVHWTRYLLQYTATEIFFDDSNAPIFLNFSSQKDAKNAGSLLVSLRNEALFPKGSTKGKSRVISFVDRRVALEMAENARDRWIKREISNFEYLMILNTLAGRSYNDLTQYPVFPWVLTDYTSEKLDFNKSSTFRDLSKPIGALDEKRFEVFEDRYVNFDDPDIPSFYYGSHYSTMGIVLHYLLRLEPFTTLHRNLQGGKFDHADRLFQSIDSAYKNSLSNTSDVKELIPEFFYMPEFLENSNSYHLGVRQDGEPLGDVVLPPWAKGSPEEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDLLQKSAIEDQIANFGQTPIQIFRVKHPRRGPPVPIAHPLYFAPQSIALTSSVSSTISHMSALLFIGLLDNTVILMNEGLILSIKLWLTTQLQSGGNFTFSGPQDHFFGIGSDVISPRKIGTFLAENVNFGRQCLATMQINSDKYLILCGNWENSFQIISLSDGRIVQSIRQHKDVVACVAVSSRGNVVATGSYDTTVMIWHAFRGRPSDHVVMERPVHIFCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIRHPSGIGLSKLVASQHGRVVFYSESDLSFHMYSINGKHIASSASGGRINCMELSCCGQFLVCAGEHGQIVLHSMHCLDIIRRYDGAGKTITSLSVTPEECFLAGTKDGSLLVFSMESPLLRRKSMPRTRIKPPTAS >OGLUM06G26060.1 pep chromosome:ALNU02000000:6:28705554:28717116:-1 gene:OGLUM06G26060 transcript:OGLUM06G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERVEVLLLQILYLEILSEAKTCNFILSQKFKMKILTLEAISFLEYAATLNENKCNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFICFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRVKLCIFQIFSFDLVNVWNYNTLTVYFNQLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKASFRMLVGVGYQTLQSLLLDFYKWLPSPSERLLHALLSMLVDGKFEINEITTIKARLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >OGLUM06G26060.2 pep chromosome:ALNU02000000:6:28705554:28711135:-1 gene:OGLUM06G26060 transcript:OGLUM06G26060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQQEVAAAAKGYWSSPSSPSSMSPTPSPPPAAAVHGGGGRAEESTIAAAATPAARSLAAGDNGMQVSGHGEHAGLSSGRRRGRPKGSRRRQILANLGQYIAVHPRALAMASGKDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKCNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFICFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKASFRMLVGVGYQTLQSLLLDFYKWLPSPSERLLHALLSMLNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >OGLUM06G26060.3 pep chromosome:ALNU02000000:6:28705554:28711135:-1 gene:OGLUM06G26060 transcript:OGLUM06G26060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAQQEVAAAAKGYWSSPSSPSSMSPTPSPPPAAAVHGGGGRAEESTIAAAATPAARSLAAGDNGMQVSGHGEHAGLSSGRRRGRPKGSRRRQILANLGQYIAVHPRALAMASGKDMQFHIVAEVQNEDINGNTEPTDSESLYLSDVNILQLEAISFLEYAATLNENKCNLPECSALLEALEHCIYDPVVVSILLKSFRVILQLATEQTLVSFKSLDVITGVLKAACQQAKELQNFICFPSDDVISSGYGSKIENIEMSSSGKRTEYAIICIELALSLFKEYVTISSYGRILILHNPDCIECLFNLFQEKNFRKHVLEQIFALFRVKLCIFQIFSFDLVNVWNYNTLTVYFNQLPPSSKQDHAAKLQLCSKYLENFTRANEKEKVNSELLVDLLVNMREIIMMDRMYYQNLFRDGGCFLYIVSLLNGTFNEATGEQLVLNVLETLTLLLEGNDASKASFRMLVGVGYQTLQSLLLDFYKWLPSPSERLLHALLSMLNEDVVILVLNILQKSSTSLQHYGLVVLQQLLKQSIANRTSCFRAGLLSYLLDWFSVEERDDTVGQIADLIQIIGAHSISGKDIRKIFALLRCGKIVAKKKHSSLLLTCLSHMLKEKGPEAFFEFDGHDSGIEIKSPFQWPYNRGLSFSCWLRVENFPENGMMGLFSFFTEDGKGCSAVLSKSALVYEVMVVFLLIQNSNF >OGLUM06G26060.4 pep chromosome:ALNU02000000:6:28711630:28717116:-1 gene:OGLUM06G26060 transcript:OGLUM06G26060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVRGVADLLRKAPAPPPAPPAVPSSSFGVREGSFHGADQDVAPSPRVVFSDSTEERVLNTLWKKYENALNKAEKEKSLQIFVLQFVQTFRDWGPYHNIHLVDQEQGSDETVVGCSHGHPSEVILILIQEMSIITSTIAESGNSPESSPNYSEQPGDLGLSTERLHVLECLTILTRSMHNCRVFSYYGGVKKVTSLLKAAVAQLKIQNSLLAADNHVSCQAVENIKMMLNMLKYIVTIISNFMKLEPTILRIPHFLNSTKCASSKNHLATVTPSTSESGIFDTLQRWQQRAIVLVMGAGGVNSLVELLRVIQRLNSEQWTDLSLHFITLCTLRSTISGTRAQNHVRSIGGLEILLDGLGLPSSKFSVLKNSSIPKDERVEVLLLQILYLEILSEAVYPVL >OGLUM06G26070.1 pep chromosome:ALNU02000000:6:28717962:28721516:-1 gene:OGLUM06G26070 transcript:OGLUM06G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATITRFLVFFFLLLVVAVRGDDPYRFYTWNITFGDIYPLGVKQQGILINGQFPGPQIDAVTNDNIIINVFNNLPSPFLLSWQGIQQRRSSWQDGVYGTNCPIPPGGNFTYIMQFKDQIGSYYYFPSLAFHKAAGGYGGIRVLSRPGIPVPFAPPAGDFTILAGDWFKLNHTDLQGILDSGNDLPPPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSLLLVEVEGSHTVQSTYTSIDVHLGQSYSFLVTADQPPQDYSIIVSTRFTNPVLTTTAVLHYSNSNGALSTVAPPPAPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRASINGKLRYAVNSVSFIPADTPLKVADFYNIQGVFALGSMPDNPTGGGAYLQTAVMAANMRDYVEVIFENSENFVQSWHIDGYAFWVVGMDGGQWTPASRQSYNLRDAVARYTLQVYPQSWTAIYMPLDNVGMWNIRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRAAGRRTRPL >OGLUM06G26080.1 pep chromosome:ALNU02000000:6:28741116:28742249:1 gene:OGLUM06G26080 transcript:OGLUM06G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCYHGSCQLLTSHVHCHKIVLSMSCDYLRALFQSGMHESFSEVINVPLGWQALNKLIHWFYSGELPKIDPDCRWRNLNSEEQLSQLRPYAELSSLAEFWFLEGVKEESLSVVTSCLSSTSTAASVEFVVFTAQLGQWEMVEAAIGSVAHLYPKLRDSGQLEKLDDDVLNMLRTEYVRYSQHGGRSS >OGLUM06G26090.1 pep chromosome:ALNU02000000:6:28749107:28753508:1 gene:OGLUM06G26090 transcript:OGLUM06G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLSPTPPPPPLSRAATAYPSFKKKTCAPPAPICSKVGEATPNLPDQTNGFLQGSLPRRALSRRPPARGGSSFRRRLRPGAAGEAGTPLGGVSYVSTPIPDDDDAFDVGADRYLLAKAYFDCREYRRTAHVLRGQIGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLRAVDINPRDYRAWYGLGQIYEMMGMLFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRA >OGLUM06G26090.2 pep chromosome:ALNU02000000:6:28749107:28753508:1 gene:OGLUM06G26090 transcript:OGLUM06G26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNLSPTPPPPPLSRAATAYPSFKKKTCAPPAPICSKVGEATPNLPDQTNGFLQGSLPRRALSRRPPARGGSSFRRRLRPGAAGEAGTPLGGVSYVSTPIPDDDDAFDVGADRYLLAKAYFDCREYRRTAHVLRGQIGRKAVFLRCYALYTAGEKRKEEETVELEGSLGKSNAVNQELVALERELATHRRTGAIDSFCLYLYGIVLRDKGSEALARTVLVESVNSYPWNWSAWLELQSLCTSSDILNNLNLKNHWMKDFFLASAHLELKMHEEALKRYERLMGVFRCSDYIQAQIATVQYSMRDLDEADMIFEELLRTDPFRVDSMDVYSNLLYAKESSTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHENQFCTFKED >OGLUM06G26090.3 pep chromosome:ALNU02000000:6:28749135:28753508:1 gene:OGLUM06G26090 transcript:OGLUM06G26090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEFVELKNTPAAIDAYRRAVDINPRDYRAWYGLGQIYEMMGMLFYAVYYFRKSSYLQPNDARLWNAMAQCYESDQLQMIEEAIKCYERSANNNDTEGIALHQLAKLHGMLGQSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHCKSIGRFEEAEHYCTRLLDYTGPERETAKSILQGLKRA >OGLUM06G26100.1 pep chromosome:ALNU02000000:6:28755272:28758377:1 gene:OGLUM06G26100 transcript:OGLUM06G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAITSQRNFSLRDSADDQNSERNSSIIRKFCCIGLLENGTEETWKERSQQQKIILINLEGDLQAGAAAGNALLWLLL >OGLUM06G26110.1 pep chromosome:ALNU02000000:6:28757353:28758202:-1 gene:OGLUM06G26110 transcript:OGLUM06G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGRGRRWRGGDGGRAARARPGDGASGAGRGRRGVGHIEAKNRAVDGLLEALNKDEKSVLSVLGRANVAALVQLLTAPATKVREKAATVICQFAESGGCEGLLVSEGALLGCAGSRPPLPHASQAELALKAEGLYHHCH >OGLUM06G26120.1 pep chromosome:ALNU02000000:6:28761304:28763427:-1 gene:OGLUM06G26120 transcript:OGLUM06G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGGNGGGEGGGGGGNGGGGGGLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPDLRKGPFSPDEERLILRLHGLLGNKWARISSYLHGRTDNEIKNYWNTRLKRRVRAGLTPYPPEIEREIAHLRAQNLNLSSADGGGTPLLVDPSNPFALQAAPVVAPSPSASQASSPPLINQNYPLLNQMQGLQLLHLANHQQAPPHQQQQQPAFHHHHDGGGGFVSSGLPPLPNRPRELPSNQFETATSGGGGGGDALLESLLLGDDHLLPRPNPAMLRVGSMPELMYREPSSSHVLHGGGGGDNNVTSQYPPGEEDPHYGGRWDFIFEDVKPKRKAASAVEEEISGMFGIAPGSIPGEWFSPGGVGAAAGGSSAPSPGPSSVVTTDDDFTLEMQQIMSSLPLLQPEDQHNWNA >OGLUM06G26130.1 pep chromosome:ALNU02000000:6:28770068:28773947:1 gene:OGLUM06G26130 transcript:OGLUM06G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADB8] MSWRRGDGGVARRWVMLLCAGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVRTLDKTIAKLETELSAARTLQESFLNGSLVSEGHKGSDSTGTRKYLMVIGINTAFSSRQRRDSIRNTWMPQGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >OGLUM06G26130.2 pep chromosome:ALNU02000000:6:28769985:28773947:1 gene:OGLUM06G26130 transcript:OGLUM06G26130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADB8] MSWRRGDGGVARRWVMLLCAGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVRTLDKTIAKLETELSAARTLQESFLNGSLVSEGHKGSDSTGTRKYLMVIGINTAFSSRQRRDSIRNTWMPQGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >OGLUM06G26130.3 pep chromosome:ALNU02000000:6:28769985:28773954:1 gene:OGLUM06G26130 transcript:OGLUM06G26130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADB8] MSWRRGDGGVARRWVMLLCAGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVRTLDKTIAKLETELSAARTLQESFLNGSLVSEGHKGSDSTGTRKYLMVIGINTAFSSRQRRDSIRNTWMPQGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >OGLUM06G26130.4 pep chromosome:ALNU02000000:6:28770068:28773954:1 gene:OGLUM06G26130 transcript:OGLUM06G26130.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADB8] MSWRRGDGGVARRWVMLLCAGSFFLGLLFTDRMWTLPEVTEVARPNGRREKEDELTAGDCNSAKVNVKRDYREILQTQDTHHAVRTLDKTIAKLETELSAARTLQESFLNGSLVSEGHKGSDSTGTRKYLMVIGINTAFSSRQRRDSIRNTWMPQGLFLHQSVWNFLRLFWILIIWSTNVQLTMGTGIKRRKLEEEKGIVIRFVIGHSAISGGIVERAIKAEERKHGDFMRIDHVEGYLELSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGQILSNHVKKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGDQYFRHATGQLYAISKDLATYISINKRVLHKYINEDVSLGAWFIGLDVEHIDERRLCCGTPPDCEWKAQAGNTCAVSFDWKCSGICDSVENMQWVHNRCGESEKSLWISSF >OGLUM06G26140.1 pep chromosome:ALNU02000000:6:28774654:28775139:1 gene:OGLUM06G26140 transcript:OGLUM06G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHASPSPMDCHHHRRQLLLRSPSRRSSPPGAPAHADERLHHHRFLRPGALARLRDSKVIARSLRSAAAAAAAVAVPASPPARTLPPSSPPQTAAAAAGDGAGVPHFLGGVRGPRYPLRKKLAAARSVVFLPPPTAAAADAAEVFMDAFAVAAPSEMLAAH >OGLUM06G26150.1 pep chromosome:ALNU02000000:6:28775792:28779390:-1 gene:OGLUM06G26150 transcript:OGLUM06G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26980) TAIR;Acc:AT4G26980] MSRSRRAGSQRVRYRQRRSLAILSIARRRRLLNDEVLISLADSSWEILDISGSDVSDIGLATVANISNNLWAIDISRCERITAAAVSEVICHCPSLEILRCGGCPRSESTARRSVYLLKPKLNTLEEDSWEELDTVEIGGGAESLRWLVWPKIDGNSKEIISMECPRITVNPQPSPFDLRGHKVPAEALASVPLDHSIIADIDPKTWAVAAAPRRPTVPTNPNAPPEIPIAEKFRLAYVEREARLAPKRAKRERQQRRRAEREYLMNDINAKSVALAAQVSKYLRKS >OGLUM06G26160.1 pep chromosome:ALNU02000000:6:28781292:28788285:1 gene:OGLUM06G26160 transcript:OGLUM06G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 70 (Hsp 70) family protein [Source:Projected from Arabidopsis thaliana (AT1G11660) TAIR;Acc:AT1G11660] MSVVGFDVGNDTLVAAAARQRGIDVLLNAESNRESPAAVAFSHNARLLGPHAAGAASSHAPFSSIKRLLLLAGRPTLLPRRGGDLSRLPFPVEASSADGGGGVLVHVDHIGRRIALSPTQLLAMLLGYLRQLAEADLEAPVSDCVISVPCYFTQAQRQAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGGPGGPTYVAFVDVGHCDTQVAVVAFDVSGMKVLSHGFDADLGGRDFDEVLFEHFAEEFRDKYKIDVTGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGMIRREEFEKLCAGLLERVVEPCKKAMEGSRIGFDRLHSVELVGSGSRVPAIARILAGFFRREPSRTLNASECVARGCALQCAMLSPTFRVREYEVQDAIPSSIGFCTSEGPISTLPSNALFQRGHPLPSVKVVTLHKNSKFKLDAFYVDENELPPGTSTKIGAFQIGPFQAHTEKSKVKVRIRLNLHGLVSVESAALIDDDQSDAHSADSMEVDSNGEMGQQVDKSRSKRLIQLPIVQSIYGAMSNQELLEAQEQESQLAYQDKLMERTKERKNALESYVYDTRNKLSERYRSFATDSEREEISLSLQQTEDWLYEEGDDETEAVYNSKLEELKRLVDPIENRCKDEEVRGQATRDLLKFILDHKTAAKSLPTPEQEAVDSECTKAEQWLRERSQLQESLPKNVDPALWSHEIKKKEHELDMFYRNIVRYKGSPARADSSGGSDHMHTTDRD >OGLUM06G26170.1 pep chromosome:ALNU02000000:6:28802196:28803239:1 gene:OGLUM06G26170 transcript:OGLUM06G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPRGGGGGGGRCVGDRGGDLVASAVVPTDVTRWWWRRQLVRLRPKLRAGGERGGARRGDEVVAAAAALVLRRVGVLEIKENQTTKEKIIVVLLFTPENGMYFIGDQAKTSDQNNRYIMLYSQQTKTPTAAPLLVACSTGTGCLEKKKSAVARTWSRSEDECSGPGQGARREVSPVLHWSGKCGGRKAAAHRCSARFAAPPSRSHTRRRLQRAIGAPRFAERLWRRGFVSGGCNFSRYSFQS >OGLUM06G26180.1 pep chromosome:ALNU02000000:6:28834398:28836672:-1 gene:OGLUM06G26180 transcript:OGLUM06G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGRSKTAQEIEEADCKFFWRSTAKGTAPPARASLSPGAAWFGMGGVGTCRDGTIVTKFPGRLDQRTGSRNVYMDELFVNHGGHRAGFAAPSPELCHPWKDGGSIDKGKMVVKSHLARSRRPDSTPVIMKEEN >OGLUM06G26190.1 pep chromosome:ALNU02000000:6:28846109:28852117:1 gene:OGLUM06G26190 transcript:OGLUM06G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADC4] MGGAAAAAAVAVWLWLAVAAAGAGAGERPSEVSIGALYTYDSVIGRAAGLAIELAVGDVNADRTVLAGTTLSLISQDTNCSGFLGTIEALQLMEKNVVAVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFLRSTMSDYFQMHAVASIVDYYQWKEVTAIFVDDDYGRGAVAALSDALALSRARISYKAAIPPNSNAATINDVLFRANMMESRVFVVHVNPDAGMRIFSIANKLRMMDSGYVWIVTDWLAAVLDSSMSGDLKTMSYMQGLIVLRQHFPDSETKREFISKWNNVARNRSIASGLNSYGFYAYDSVWIVARAIDQLLDNGEEINFSADPRLHDSMNSTLRLSALKLFDSGEQLLQQLLLTNFTGLTGQLQFDSDRNLVRPAYDILNIGGSVPHLIGYWSNYSGLSVAAPEILYEKQPNTSTSAQRLKNVVWPGHSASKPKGWVFPNNGQPLRVGVPNKPSFKELVSRDTGPDNVTGYCIEIFNAAIKLLPYPVPCQFIVIGDGLKNPNYDDIINMVAANSLDAAVGDFAIVRNRTKIAEFSQPYIESGLVIVVPVKEASSSAWAFLKPFTLEMWCVTGVLFIFVGIVVWILEHRTNEEFRGSPRRQMITIFWFSFSTMFFAHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGLDSLLSSALPIGYQAGKFTRNYLIEELNVPESHLVPLNTIDEYADALNRGPKDGGVAAIVDEMPYIEIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADMSTAILQLSESGQLQRIHDEWFSRSSCSSDDSEMGATRLGLGSFWGLFLMCALICVFALVMFFARVCWQYSKYSGSEEPDEPKDDSAGTAEIAAEAVAEMQRRRPKRLGSFKELMQFVDKKEEEVRKSMKRRPSEKDNQGVGSSDAQSVA >OGLUM06G26200.1 pep chromosome:ALNU02000000:6:28855834:28859412:1 gene:OGLUM06G26200 transcript:OGLUM06G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450, family 77, subfamily B, polypeptide 1 [Source:Projected from Arabidopsis thaliana (AT1G11600) TAIR;Acc:AT1G11600] MAPHLLPPTPLCRCLRRYISLPPTHSSSTPASYTKLRGEEAESDRSIECSTAAARSKMVDMNDVLLVVSAAVLAAMWWRRCSRTGGADGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTDADLIHDALVKQGAAFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPPRVKGFSWIREWAVGSHLRRLRAEFAATGAVRMMANCRLSICSILICICFGAKIPDELIREIEEVLKDVMMMTMPKLPDFLPLLTPLFTKQLAAARELRRRQLGCLAPLVRARREFIRGGGERNADGNTVVGGVEMVSAPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDAGVQDKLYGEVVSKVGTTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEAWRPERFLEGGEGFDTDITATRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNPLRAALVERRVGGELATGGGGGAAASA >OGLUM06G26210.1 pep chromosome:ALNU02000000:6:28864756:28868655:-1 gene:OGLUM06G26210 transcript:OGLUM06G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSCACHGVVVTLASCLLLVAAAVSVLAAHVAVGRVWSPAGAGAAAGHHHSLSPAWVPSPSSRHAHHARELVNRRVQVGRMEAGLVQARASIRRASRTRNCTPDDGGGFIPRGAVYRDAYAFHQSYIEMEKRFKVWTYREGEPPVVQKGGAAFAGNDGIEGHLIAELDSSGCGGRHRARHPGEAHAFFLPISVASIAGYVYRRDMIDFWDPQLRLVAGYVDGLAAMYPFWNRSRGADHFLVSCHQWAPILSAAKAELRGNAIRVMCDADMSDGFDPATDVALPPVVAGARATPPQGRVASERTVLAFFAAGGGGGGAVREALLARWEGRDDRVVVYGRLPAGVDHGELMRRARFCLCPCGGGEGAAAASRRVVEAITAGCVPVLVAAAAGDDGSYSPPFSDVLDWARFSVAVPAERVGEIKDILGGVSDRRYGVLRRRVLRVRRHFRLNRPPAKRFDVVNMVIHSIWLRRLNLSLPY >OGLUM06G26220.1 pep chromosome:ALNU02000000:6:28869778:28872521:-1 gene:OGLUM06G26220 transcript:OGLUM06G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGRPVPRRESPWGLPEGDKREPKAHRCNDRAEDVVQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKRAEVKLE >OGLUM06G26230.1 pep chromosome:ALNU02000000:6:28873995:28879981:-1 gene:OGLUM06G26230 transcript:OGLUM06G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKHRKEAEAAREEEELRRLLEASPLRKEAVEAMRLDREGRHDEAIARVDELAAKHPESAVVLHLAAGLHQNASIRSARDGSDGQASVMHLVYARDLYAQAKRLAPNCVQIATGFAMAKVASAKDHEPDREIMRALAISSPTDPAENNVAFDLDRTLSTAMDRLAKAREAALFHSHHIMSHMSAKIIPAVVVDMLDISKREGAATAKKQAKKALVERFNYSARAHLTHAKISLDFARGLDPNIDKKPFLNSTLDALNNLVEEFSNSLEIAMFRAKLWFVMGKYCSVEVECDRAIRMEEPTDPREEDVPPGSIPGEKPEDRKSYIRTELKRLLQKLVLVCRDYWCSLASEKQDSFRLVGLKYLHLHFVTFYQDDHEAAKTISDALNFVKKNKSWRFWICPYCVGKKIPDIDSLLQHMRSKHPEGGFWTNLPQVFDPESISDTYQGDHFSDNATICQDSEENYVLHFKRMDDIFKYLFLRAVDNIEEKPFSQIREEKCRKGVFILEKIKLKLNNVPTDISSSEFNEACAEIRDLWRYFLEISLMDYRVAISPLAMCFISDQLLTCMTEDKEAASNSIDVAAINAVFPFVDVCPDIDAIFPNVDDAPDGNDADTSTSVTPGQSAEEMPSIDVPNKENKDKDMFILHVIIQSLWNLRCFRDEILRAPPATILHIKENFCIADLFYGIFFAWENNEHNGVDVLLTSLKVNLCKIANDNMFQKAGKRIASEVVATILQALHMSETPLHFDFNSEIEEREIESFAELLVLYDEQLCFGDNCKHCGSPKNVDVSPSNTPHIFTIGLYWFGDCENQVQLSEVLVGIAHPIDIKLLCKGVHSSAKYSLASMISYANGRYFCFARDQDKWLISDAETIEAEDSWEQLLERFRDCRLQPEVLFFEIIN >OGLUM06G26230.2 pep chromosome:ALNU02000000:6:28873995:28877146:-1 gene:OGLUM06G26230 transcript:OGLUM06G26230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKPVKPPGAAWMMCCTGLELQNDVTPGQSAEEMPSIDVPNKENKDKDMFILHVIIQSLWNLRCFRDEILRAPPATILHIKENFCIADLFYGIFFAWENNEHNGVDVLLTSLKVNLCKIANDNMFQKAGKRIASEVVATILQALHMSETPLHFDFNSEIEEREVSPVSCGDCICRTHDLFGIKFHVQMSCRCGKCFGEKEHTTIFCRLDASSPQTTKIESFAELLVLYDEQLCFGDNCKHCGSPKNVDVSPSNTPHIFTIGLYWFGDCENQVQLSEVLVGIAHPIDIKLLCKGVHSSAKYSLASMISYANGRYFCFARDQDKWLISDAETIEAEDSWEQLLERFRDCRLQPEVLFFEIIN >OGLUM06G26230.3 pep chromosome:ALNU02000000:6:28877150:28879981:-1 gene:OGLUM06G26230 transcript:OGLUM06G26230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKHRKEAEAAREEEELRRLLEASPLRKEAVEAMRLDREGRHDEAIARVDELAAKHPESAVVLHLAAGLHQNASIRSARDGSDGQASVMHLVYARDLYAQAKRLAPNCVQIATGFAMAKVASAKDHEPDREIMRALAISSPTDPAENNVAFDLDRTLSTAMDRLAKAREAALFHSHHIMSHMSAKIIPAVVVDMLDISKREGAATAKKQAKKALVERFNYSARAHLTHAKISLDFARGLDPNIDKKPFLNSTLDALNNLVEEFSNSLEIAMFRAKLWFVMGKYCSVEVECDRAIRMEEPTDPREEDVPPGSIPGEKPEDRKSYIRTELKRLLQKLVLVCRDYWCSLASEKQDSFRLVGLKYLHLHFVTFYQDDHEAAKTISDALNFVKKNKSWRFWICPYCVGKKIPDIDSLLQHMRSKHPEGGFWTNLPQVFDPESISDTYQGDHFSDNATICQDSEENYVLHFKRMDDIFKYLFLRAVDNIEEKPFSQIREEKCRKGVFILEKIKLKLNNVPTDISSSEFNEACAEIRDLWRYFLEISLMDYRVAISPLAMCFISDQLLTCMTEDKEAASNSIDVAAINAVFPFVDVCPDIDAIFPNVDDAPDGNDADTSTSERYGPFLALMCLLPTSLPWSISERQSFLHSLPALLRSLERFWCASLGTFGNVTTTRS >OGLUM06G26240.1 pep chromosome:ALNU02000000:6:28882435:28883334:-1 gene:OGLUM06G26240 transcript:OGLUM06G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVATVSVGLAWLGLMAAAASATQFRVGGGRGWSVPDANAEPYNSWAGRMRFQIGDQLLFVYPKETDAVVVVDQGAYDACNTSSPVAGGGGGGRFDDGNTVFTFDRSGPFFFISGNEANCRAGEKLVVVVMADRTGRHTPPPPAVPMPSPASSPPSPAPAAATPSLAPSPAATTPSPSPSVSPMAPAPAPTTSTPSSPPAPAAMAPSPSTTPGGVAQPPPPPGTEGANATTPAAPAGNDRSAAAPPAMAGLVTSLGAYIGYAMVAI >OGLUM06G26250.1 pep chromosome:ALNU02000000:6:28884473:28889850:-1 gene:OGLUM06G26250 transcript:OGLUM06G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVYLDTMRGIERRQPSFRGVSKEAKKELNSVIILVAWEICKHRNDCIFNNATPSTAAVLDALARETLQKQHEMEIGCPTDVRHVSHIGVGTNFDKPPRATLPTEICTDKSGQEAAACCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >OGLUM06G26250.2 pep chromosome:ALNU02000000:6:28884473:28889617:-1 gene:OGLUM06G26250 transcript:OGLUM06G26250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLATDLTQVQILCEELRGDSRASALQKQHEMEIGCPTDVRHVSHIGVGTNFDKPPRATLPTEICTDKSGQEAAACCHDIPRGPKNPRRKKAARASSASSFLSRSRSSSFVTACGDFSELRGGLRVA >OGLUM06G26260.1 pep chromosome:ALNU02000000:6:28900096:28901344:1 gene:OGLUM06G26260 transcript:OGLUM06G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKLAALFFAFAVVTAATLAPAAEARVQGFNHEAASEPAIAAAGESKAATGGGAPTMPGLPGLPFPLFPFLTLPFPLIPIGGSPGGGGAPPSAGSGFRFPFPLPLPFPAPASPGGAPPSSGSGFPAFPFPFPSSPVSPPSQASPASPAAPAPPSPPQPKECLTPLLSMMSCADYLTNSSAQTPPATCCEGFKSLVSTAPICLCHGINGDLSKFLPLPVDMMKMMTLPNTCGATVPLQTFSMCNSVSP >OGLUM06G26280.1 pep chromosome:ALNU02000000:6:28914315:28917698:1 gene:OGLUM06G26280 transcript:OGLUM06G26280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EamA-like transporter family [Source:Projected from Arabidopsis thaliana (AT3G07080) TAIR;Acc:AT3G07080] MKVDDGPGVNGSRWAKMMSTDSWRWCLGLIYIVAVASIWIAASYIVQSVVDEGVSPFLITYICNSLFVIYIPIVEAARYFEDSINNFWTKLKGKDVADLEQSADLESINLLHGSEQEGNTASPTRLPEDILASEAVFPVQAELNVADGSKGLDAKGRWTRARVARVSMVVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLISVLLCMGGTIIVSLADSGSTANTIATNPLLGDVLSIVSAGLYAVYITLIRKKLPDEKEGQGEVSMAQFLGFLGLFNMLFFFPVALFLNFTKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAILLTTTTVATAGLTIQVPIAAIVDTLTGHAPHLLDYIGAAAVLVGFAGINIPVGTPQGSQQEQETPIVSMVDDPVHLPSDRNATSMVDDPVYLPSDRNATGAVQ >OGLUM06G26290.1 pep chromosome:ALNU02000000:6:28918517:28919896:1 gene:OGLUM06G26290 transcript:OGLUM06G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPASSARYMIEHASASEAAPKLPLPPPP >OGLUM06G26300.1 pep chromosome:ALNU02000000:6:28922723:28923262:1 gene:OGLUM06G26300 transcript:OGLUM06G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRGEDALSLFAGMQRAGVTPNEVTFLGVLTACCHAGLVEEGLQQLDAMPEPRIEHYGCVVDMLDRAGRLDEAEELVAAMPAHPDALIRGSLLAACRAHDDVERAERVMRRRTTDADTDAGDYVLMSNTYASNGRHGEAVKVRRQMRRNEIDKVPDCSLIEIDGVVHEFKAIPANSIR >OGLUM06G26310.1 pep chromosome:ALNU02000000:6:28927535:28951544:1 gene:OGLUM06G26310 transcript:OGLUM06G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVDLARRRLAMGWTGGGQREGWQRPQLLRIWWLLVAIDLVPDLKKLKKELTERKNNRLGCCENILFPLNGNAPPHRRRSLKTRDRIYKYKSFQRKMWMMKSQALEQQQMGSEASMEAPRALIRLNNFSESNQYEALSLRFEAAQWGFALAKILEKQFDLQEGKHQLEVDLMEIVQKAQARIKPKRRPCRCEARLHSPCLHSAHSFVLYAGPRRGGPIISLISLSPTQPFSRGGGGGGDEEEEETRRGGGQWGDEKSKARVPHGEFGRREDDDDDDEMGLIAKSGPCGPANQAHTEVSNMVALFRN >OGLUM06G26320.1 pep chromosome:ALNU02000000:6:28928877:28931651:-1 gene:OGLUM06G26320 transcript:OGLUM06G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFVGVLLMSCCFVLISSASGNGTIRPIFSMNQARKCGAIGGTARCKEIVDGYIIEDGSIFQSAGYITKTYEAHYGFIATMDVYGFPLSPGQTVSYGSAWIITDNVDDPVSNLEVIQIGWRVEPGDKRPVFDLYCNDITSANWMVHYGFNRDPDLIGRIPMSYFSTLSNSATNIWFGGMVATNATFQPTPPPLPMGSGYMPADNGNMAASMKNIQLIDEQGRAWSAGNGLVGFSTKKDVYTFTPIVDDQFFYGGPFQLTSSGTMLRANVVYPLLLVLFFYYLFS >OGLUM06G26330.1 pep chromosome:ALNU02000000:6:28939035:28942318:-1 gene:OGLUM06G26330 transcript:OGLUM06G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp RNA-binding domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G31200) TAIR;Acc:AT4G31200] MDRQAQDYAAAAMAYAQAQQQQPQYGFHPQAAPPPPQYPHHPPPYAAPLPQYAPYARGMPPPQAQQLYSHLPPHQQPPHFAAHAMPSPSPPPPHPAYMHPPPFDSAPPPAAAPPPSDPELQKRIDKVVEYIAKNGPEFEVVIRDKQHDNPDYAFIFGGEGHAYYRYKLWVSPRPPVAPYPPGSMHMMPPPLGPMMRGPPMHQPGYPPFYDQHQHFGAHGHGEYDAAPQQSFKGLSGPLPVDVAAELHDVLTNLNGTKESIKGAKTWFMQRSPFAPALAEALKDRVFALEDSERQLHIIFLVNDILFESLQRRTNSRDLDNEALAFKFVLGSMLARIYNNPQSKDDNQIRLEKILQFWGSKEVYDQETIANLERDMKGGVAYPLPPRHVSPDPSTFSGSVHQPSKWSSDPQEEMATHPLSVPPQPVPSAQFPLNQLPAGVYPPVGQTAFPGSLPVQTPTVLPQTAATPAITNDPNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTIIPPSTIPESEILERVSKFFKEIGEVNPSEGPMKQSEPDDYDNYERDIPARKGGACIPPPPNLLVNLETGMRADGSVDSKPGSTGRLGLGASADPNEIGQYDDVYSSYRKQRSSTYHSSISARSLAPK >OGLUM06G26340.1 pep chromosome:ALNU02000000:6:28944094:28949091:-1 gene:OGLUM06G26340 transcript:OGLUM06G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGPPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >OGLUM06G26340.2 pep chromosome:ALNU02000000:6:28944094:28949091:-1 gene:OGLUM06G26340 transcript:OGLUM06G26340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREMMGPPRRGPAYKTKLCALWRQGECNRASCSFAHGDAELRGPPPRSPFPPRGGPGRRDHRPDDFRGRHLRPHRRNSPERDARDHLFRDHRPRSRDRGSSHSRSPIRKRYGARGRHRKKLEGGKTNSSESLNSSDNEDRKKDDRFNKADDKHDNEAQLQRIQLDMEALHEEKSSLEVILNGKINEASKLSSRIADLESQLNDEKEACERSQARFERFADLLASDTLKPCSKDQGSSAAKEDPYNAYEMSPSDQRQNHETTRKRSVALSTSEEGRNGKKRRESYDNRDPMSEKYRPEDALEPFQSSKGTEAKELLSVKKNLGDGDNNEKGNVVSSANVFTDRYEGGDDDDVLVD >OGLUM06G26350.1 pep chromosome:ALNU02000000:6:28949822:28951887:-1 gene:OGLUM06G26350 transcript:OGLUM06G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT4G21320) TAIR;Acc:AT4G21320] MAMRRWREDAVALSLRGCGFGDGDDDRPEKPRRYGVTEMRSPFYAFRPAHHALQEILDSLGPFVDGLKFTGGSHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSFFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKTSGLQAKPLFSVKFDSSDIPPSGDRAFGAYIVPVKQNSERVEDVDLLIRRAERCLEAGADMIMIDADDICQRADSLRADIVAKIVGRLGLEKTMFEASNPNTSEWFVRRYGPRVNLFVDHSDVMNLERLRGFNMRGVCNSPLFGIGSPFFLM >OGLUM06G26360.1 pep chromosome:ALNU02000000:6:28953721:28956921:1 gene:OGLUM06G26360 transcript:OGLUM06G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISSYRLTVSSAQSLQYSGESPEKGEMPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAAGPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRVERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKP >OGLUM06G26360.2 pep chromosome:ALNU02000000:6:28953721:28956874:1 gene:OGLUM06G26360 transcript:OGLUM06G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISSYRLTVSSAQSLQYSGESPEKGEMPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAAGPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRVERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICTFGVKNGPATVGSTSDARLMPFSLANPNAASSVISFDRM >OGLUM06G26360.3 pep chromosome:ALNU02000000:6:28953721:28958170:1 gene:OGLUM06G26360 transcript:OGLUM06G26360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVWAALKKSLNCKSGDSCGVIEREESQGGVTAGKKSSSTSAAALRRSGCSRSIANLRDVFHSQYGGSRRQEAAVAAAAAGEGDGGCGSPRSIGSNDVLNPVTHDVLLAAGPDAKCELRISTPGRGAWAGAGGGVPFPHSPLLLRCSTTPVSTRKSPSAMSPLRRADDDDDNAEAPSPAPARASCEVGVRCHRCGDRFANHDALESHHHSRHAVTELVEGDSSRKVVEIICKAGWAKTENALGRVERVVKVHNAERSVARFEEFREAVKGKAARLSKKHPRCLADGNELLRFHATTLACSLGAGDSSTLCTSGSCSVCRIIRHGFSATREIKDGVGVFTTSTSKRALECIAGDGDGDEAANAGVRKALLVCRVVAGRIHRPLENLQEVAAQPGFDSVAGKVGAYASIEELYLLNPRALLPCFVVICKP >OGLUM06G26370.1 pep chromosome:ALNU02000000:6:28956085:28957786:-1 gene:OGLUM06G26370 transcript:OGLUM06G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G27250) TAIR;Acc:AT4G27250] MGSIGGGGGGGSPEERAAAGGPVCVTGSTGYVGSWLVRTLLRRGYRVHATTRDPDKAWRVFSAVEEGKDQLRVFRADMAGEGSFDAAATGCVAFFHVAASMDIHVPPQNGNDNNIEEHVRTRVLEPATRGTINVLQSCVRAGTVRRVVFTSSISTMTAATTTAATGRRKAVVDESCLRAAADVWNTKPIGWVYILSKLMTEEAAFGFARENGINLASLVLPTVAGPFLTPNVPTSIQLLLSPITGKQILHHTSDPKLYSLLASVHSRFGCVPLAHIQDVCDAHVFLMETEQADGRLSKDFHGSNPSVVSSKRLRDLGFRFEYDVEEIIKNSVVQCVDHGFLQDPDSTNC >OGLUM06G26380.1 pep chromosome:ALNU02000000:6:28958598:28960467:-1 gene:OGLUM06G26380 transcript:OGLUM06G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation protein SH3-like family protein [Source:Projected from Arabidopsis thaliana (AT5G54600) TAIR;Acc:AT5G54600] MAGVAALQGAMASLSISAPGAASTSSFWGHPLSTFAAAPAGVKFMIKTCPIQMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNVMLYSKEKNVASRVGHKFLEDGTKVRYLVKTGEIIDSAENWVKVFKEGSSE >OGLUM06G26390.1 pep chromosome:ALNU02000000:6:28961341:28967013:1 gene:OGLUM06G26390 transcript:OGLUM06G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MISLQLLPTPHQLNKLVLPTEGKAMSLLTLVHILVSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTHASKLVSGSLDFVGINHYTTLYARNDRLRIRKLVMDDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAIV >OGLUM06G26390.2 pep chromosome:ALNU02000000:6:28961341:28967013:1 gene:OGLUM06G26390 transcript:OGLUM06G26390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MISLQLLPTPHQLNKLVLPTEGKAMSLLTLVHILVSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAIV >OGLUM06G26390.3 pep chromosome:ALNU02000000:6:28961704:28967013:1 gene:OGLUM06G26390 transcript:OGLUM06G26390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MGMGSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTHASKLVSGSLDFVGINHYTTLYARNDRLRIRKLVMDDASTDSAVIPTAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAIV >OGLUM06G26390.4 pep chromosome:ALNU02000000:6:28961704:28967013:1 gene:OGLUM06G26390 transcript:OGLUM06G26390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta glucosidase 41 [Source:Projected from Arabidopsis thaliana (AT5G54570) TAIR;Acc:AT5G54570] MGMGSFSACVEAISRADFPPGFIFGTASSAYQYEGAVNEGQRGPTIWDTLTKRPGRVIDFSNADVAVDHYHRYKEDVELMNDIGMDAYRFSISWSRIFPNGTGEPNEEGLSYYNSLIDALLDKGIEPYVTLFHWDLPQALEDRYGGWLNSEIIEDFVQYAFTCFKEFGDRVKHWITFNEPYNFAIDGYDLGIQAPGRCSILSHVFCREGKSSTEPYIVAHNILLAHAGAFRAYEQHFKNEQGGLIGIALNSRWYEPFSNADEDTEAAARAMDFELGWFLDPLMFGHYPPSMQKLAAYRHGKKIGETAASSWLHIVPWGMFKLMKHVKEKYGNPPVVITENGMDDANHPFSRLEDVLQDDKRIQYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYKNNLTRIPKASVQWFSQVLAQKTAIV >OGLUM06G26400.1 pep chromosome:ALNU02000000:6:28969663:28970040:1 gene:OGLUM06G26400 transcript:OGLUM06G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQRQQQQMMQEGFEDYLPVMAERLGEEGLMQELASGFRLLMDPASGLITFDSLRRNAPLLGLGGMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPDLMDEPRRWLDDAVAQASQFLFH >OGLUM06G26410.1 pep chromosome:ALNU02000000:6:28973965:28976795:-1 gene:OGLUM06G26410 transcript:OGLUM06G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIMQVHLLVTAILCVSRRCLASSDTISASSTVSGGRTVVSRGGSFELGFFRINASSSSSSSRKGSCYYVGIWYKKAVSPCTPVWIANRAAPVADRATSRLAIAADGNLVLINEADELVWSTNVSSAAAAAAGAGSNGTVAVILDTGKLVLRRKNDVDEVVLWQSMDHPTDMWLPGARLGLNKVTGEAQVLTTWKNSGDPAPGVFSLGIDPAGTSQYFIVWNRTVPYWASGEWNGDIFAGIPEMTSHYMYNFEFVSDANGSYFTYSLQDPAIISRLVVGVSGQVTQLMWAPSADEWILIWTEPHRLCDVHAVCGAFAVCDEKSEPLCSCLAGFRAASPGDWDLGDHTKGCRRNTPLQCASTSTVTGDKDDDDFLLIAAEESITDEAAGAANLYLRLSAMGVPSKSSKKTIALACAGAAAALILALFVAMAFVLVRMLRRRRSMRFAQALERGNLVAFRYSDVRRATKNFSEKLGGGSFGSRLLVYDYMPNGSLDKALFGKSAAAAVLSWRARYKIAVGAARGLLYLHQGCRDCIIHCDIKPENILLDGALVAKVADFGLAKLVGRDFSRWISGVPVTAKADVYSFGMVLLEIVSGRRNARCSSASEYFPLVAARKVLDGEVAGLLDERMDGEADMEELDRACRVACWCVQDEEANRPTMEQVVQALEGVIAVDVPPVPVSLKVFADDASSYFSDECSQLKSSS >OGLUM06G26420.1 pep chromosome:ALNU02000000:6:28977564:28977773:-1 gene:OGLUM06G26420 transcript:OGLUM06G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPTGAGEYPPPPDLFLLSAAAAQAKLEQRSGAPWRRRRRRRGEGFALRVLLDLIMGYGPMGYMWIGP >OGLUM06G26430.1 pep chromosome:ALNU02000000:6:28980097:28980408:-1 gene:OGLUM06G26430 transcript:OGLUM06G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSKKASSFVVTASMSAVEALKDQAGLCRWDYALRSLYQRAAAAKQVTGRAVPVSLSSQTGGAAASSSPAAACGRAARSKRSEEEKMQKAYHLVCWGPN >OGLUM06G26440.1 pep chromosome:ALNU02000000:6:28982906:28983184:1 gene:OGLUM06G26440 transcript:OGLUM06G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRECKRESAFRAASLLREHDAAKGEQQQRQPSVDGRGRQATEAEAEAVTMSRARARARAWEAEVRRRHAAETEIMKTEKIMHLLLWGPN >OGLUM06G26450.1 pep chromosome:ALNU02000000:6:28985196:28986491:1 gene:OGLUM06G26450 transcript:OGLUM06G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLIPLVHVWNNAAFDDSSCSRSAWLPQSPAVAAVRKGDKENHRPEVVDVAAGCDVEAEIGHIEAEILRLSSRLHHLRVSKQPEPNRDDAPMGEMVAKVRPRPRGLSLGPLDVISIVNREKHPLRTKQPPATRGRGLSLGPMEIAAANPRVPSAAQHQQQQRAGTARILKPIKEPPVQRRRGVSLGPLEIHHGVGSKAPAAARAKPFTTKLSAIREETRPSKQFAVPAKPWPSSNTRQTLDSRQGTAASRAKARSPSPRPRRQSNGKATDTRGGNKVVDELKPKGASSSQSGSAAAAATAKRMAGSSKMRVIPSRYSLTPGASLGSSGAQERRRKQSLPGSSGDANQNEEIRAKVIEPSNDPLSPQTISKVAEMLPKIRTMPPPDESPRDSGCAKRVAELVGKRSFFTAAAEDGRALDVEAPEAVAEA >OGLUM06G26460.1 pep chromosome:ALNU02000000:6:28988693:28990492:-1 gene:OGLUM06G26460 transcript:OGLUM06G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAEEGAVDGSCHGEAAAEALEVLRSMAMASSSLTCSIPQFPAKWQSIKDKLRQLCSGLDSLCGSVGFGVDVGGDEERHGVLVQLVASASATVRSIQAMALQCGDGTYKGGRLRLRSDLDNLSSKLEAHMKQLREMASSGMPSPSQAIVAVRPSADAGAGEKIFYMRDLFSRVRIGGSVQRSQSLATIGELLAEDEVCVKIVAVDIDDGVALLTGFLESSDARLQEEAAGAVAMVASFDSYRGMLVKAGVIAPLVQLLDDEAATAAVAAGGGATAVAKERAAQALRELTENSDNVWAVCAHGGLTTLLHACGDAGSGGKLVASSFAVLRNLSRVEEVKVFMAEQGVVTELVKLSQKKEEARKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSVNSIDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRVIFIQDGDNLNRLLQLLDPAEGKLVAKDLILSAILSLADTNSGRKKIISSEHFSSLKELADTGDFDAKKVVKKLGTNRFQTIFSKIWSV >OGLUM06G26470.1 pep chromosome:ALNU02000000:6:29005469:29009773:-1 gene:OGLUM06G26470 transcript:OGLUM06G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MAETEERRMGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSQTSELAHTDAGGIRLDSEVHIWEGFKPNLALPNAASFLVEITLLNNFVVFGCV >OGLUM06G26470.2 pep chromosome:ALNU02000000:6:29005545:29009773:-1 gene:OGLUM06G26470 transcript:OGLUM06G26470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MAETEERRMGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRMLVVSDWTRRFIFGRDSSRI >OGLUM06G26470.3 pep chromosome:ALNU02000000:6:29005469:29009513:-1 gene:OGLUM06G26470 transcript:OGLUM06G26470.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:External alternative NAD(P)H-ubiquinone oxidoreductase B1, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G28220) UniProtKB/Swiss-Prot;Acc:Q1JPL4] MGFFFFASRAAARFLGEARIIHPGVSTAALLVAAASGGGLVAYADSAGENASSETSQEAPRKKKVVVLGTGWAGTSFLKDLDCSKYEVKVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRKMLEKKRKDVAFYEAECFKIDASKKAVHCRSAVGTNFDGNGDFMVDYDYLVVALGATVNTFNTPGVMENCYFLKEVEDAQKIRRNVIDCFEKASLPNISEEEKRKILHFVIIGGGPTGVEFAAEMHDFLVEDLVKLYPAIQDFVKITIIQSGEHILNMFDQRIATFAEMKFQRDGIEVNTGFRVVKVSDDLITMKSKSLGEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRVLATNEWLRVHECDNIYAIGDCASITQRKIMDDISTVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIRDLIKDAIGDSHKESMVVNIEEFKKALSHVDSQVKSIPATAQVAAQQGHYLAECFNKMDQCKEHPEGPLRMTGTGSGRHNFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSQTSELAHTDAGGIRLDSEVHIWEGFKPNLALPNAASFLVEITLLNNFVVFGCV >OGLUM06G26480.1 pep chromosome:ALNU02000000:6:29010413:29019308:1 gene:OGLUM06G26480 transcript:OGLUM06G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSDGVIRMVGYITQPKEDGYYGFIVTMDVLPGDERPVFYLARTMSAAGAPTTGVDVYCPGFQPKKDASTRPGDIIPSISELNGTRQYIALKVFKRQVIWH >OGLUM06G26490.1 pep chromosome:ALNU02000000:6:29015212:29017093:-1 gene:OGLUM06G26490 transcript:OGLUM06G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGAGGGAPPPPPPSPPSVYPFLPPATFIPPPPPAATAEPYAPPSLIISPPPSPSSVLHYWGLAVAVVLVACTISIWMGYKLAKFERRRRRRKKKEEKEHAAAGLAAAAAAAPCSCNCACCGGGSCAARVHIQQQNIFSGAAAGAGAGDEYDDEDRCCEVGLAMVHVATTVGGAGAKEEEVVALLSSSSAAPGKKVGTAAAEARGLPRLVRFAELPDYLKDNEFILGHYRCEWSVCDALRSAFAWHKETLRLDVGRRRHCYIFVTGYFQRVLNLT >OGLUM06G26500.1 pep chromosome:ALNU02000000:6:29019360:29028357:1 gene:OGLUM06G26500 transcript:OGLUM06G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALKRDWLVYYGFNNDPQLIGRFPKSLFTSLVVKATEIWFGGMAITNNIYGSCPADTGETNQRQRRCPNPVNLTRSSDEPRETSVGHPQPSTARQRDGHARMHERPPRF >OGLUM06G26510.1 pep chromosome:ALNU02000000:6:29025033:29027208:-1 gene:OGLUM06G26510 transcript:OGLUM06G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVPLEALPDDGLPAAAAAEEEDVMVVDRVEALPDDGLPAAVAPVEAAAPVGEDPMVVIPDDAVLRIISFLPLESAIRTTVLSKEWLRLWKEDWGQKSRRYEIRARCAPSVEGLLKILEEERRLERLSVVVHTIMKSSHLREIIERSADRRVEQLHVELTNPNVVDKVRFHLPILSRVIALQHLSLRQIVVSKMRFTPQQRFNELSEICFHFVSIESYMLRNVITRCPNLRVLDLRSCFDLDAVAISPGGPKLSSLTIAGCKHLNKVNVVGVTSLRSVFYSGRFLSSFYLPRTSRRSSFTDLYICYDGPIIPMIVPSLPDEERTTQLKWLGGFRRLKELQLLMFDIKTINVFDIYAFLQSFHFPNLTKLFLQLPRIREDVQEAVREDVLQSMSEGVPEYALDNLKVVRMMNFNRTRIEMHLVRFFLRKARNINSLQLVSLFHNAIPLGLAVQQGDIIQGALASGVIQESNSGAGTTQPCHSEVFIDF >OGLUM06G26520.1 pep chromosome:ALNU02000000:6:29028530:29030578:1 gene:OGLUM06G26520 transcript:OGLUM06G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRWEALLLGVAVVLAVAAAGARAQDYNNGGGGDGEDEEEEEKPSFKAQEACNGAFLTYTFTEREKEYPRTKNATAQAFAFKATATVLNTMTEDLKAWQMFVGFQHKEILVTVGGAVLLDGTDLPANVSGGVTFAGYPMANLLNSIETAGDLTQIQAQIDITGTQFGVKPPTAPMPRTIKLSNPGFRCPKPTHKQSVMYVCCVKDPKFKAKKVNTTTRYLPRQKADLTIAYDVLQAFGNNYMVQVTIDNWSPIGRLDNWNLTWEWKRGEFIYKMRGAYTLNKEGPACVYSPAAGYYKDFDFTPAYSCEKRPIVVDLPPEREKDKDVGNIPFCCKNGTLLPPTMDESKSRAVFQMQVFKLPPDLNRTALYPPQNWKIIGKLNPQYACRQPVRVSPVVFPDQTGLMSSTPAVASWQVACNITRPKRRAAKCCVSFSAYYDDSVVPCNTCACGCGGGGGGNDTATCDADARATPLPPEALLIPFDNRTAKARAWAKIKHRRVPNPMPCGDNCGLSVNWHIMNNYKSGWAARITIFNWQDYTFKDWFAAVTMRDHYSGYENVYSFNGTKMGAPFNNSIFMQGLPGLTYLEPITDGRTPEDPRVPGKQQSVISFSRKDAPNVNIAKGEGFPKRLYFDGEECALPDTIPKPSSAHRRAAVAASLGQIVMAVVLVMVVAVVDSLCL >OGLUM06G26530.1 pep chromosome:ALNU02000000:6:29032766:29034731:-1 gene:OGLUM06G26530 transcript:OGLUM06G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRQREEEPATASQSGDDDSNSDEWKDFLNLDGGQEAAEVDDDCNLAPPEKDAEAAKASQSGDDDSNTDQWKGYLNLEDGEEAAEVDDDRNLDQPPEKDAEAAKVDVDRKLDQLPEKDAEAAKVDGDRITALQEKARARILDFLPIKSVILMGSLCKRWREMYGIYWRDVAVDVELPTDGDALSKLEERAGQQEPKRRLRYFFLLVVERKNVQREYFNSCLEYAGKCSPEVIHISDRGGAGRKFKMHLTSKQLVRLSLIGVALGHFQGKFCEGVSFPTLEEIHIKNSTINKMDDLKNLVGACPILRVLDLRGCKTITQIDVDTAGEHLMSLTVMDCERVRRLTAGKHLRSFRYSGNFLTSLSLPDNDSLADLFIGFPRSQSTTPGPENSFKRLPDLSNLTFLTLCSTSLRAVTVAGNTIQTNLRSLRELQLLMFKLEPINLSDVRRFLNTCGYYPQLTKLFVQLPERDCTYTENTSSENVEGEQQDGFEKLGVVKMTNFKYDWNEIRLLQFLFKKAKLLQKLILVRPIPVPVDRPFRLQVPANVQLTDCADDSTVKSFHSELLTSKTN >OGLUM06G26540.1 pep chromosome:ALNU02000000:6:29035041:29041178:1 gene:OGLUM06G26540 transcript:OGLUM06G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G04220) TAIR;Acc:AT5G04220] MGLVGGLLGFGVGLPLGIAVAYLVYLRLFAPRRRLQDPIIRPLRDLDSETLQTTIPDIPLWVKCPDYERVDWINKFIFDMWPFLDKAICNTIRSVIRPTFDQYVGQYGIKSIEFGHLTLGALPPTFQGIKVYEMREKELVIEPVIRWASIANVIVKVKVHSFQVSAQLLDLHIMLTPRVTLKPLVPSFPCFANLCVSLMEKPHIDFGFKLLGGDVMAIPGLHRFVREKISKQIANLYHWPKLIQIPILDEASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKLRLSGEKLPSKKTSIKMSNLNPEWNEHFRFIVKDPETQILELRMFDWEKVKMHDKLGMQVVPLRLLTPYESKLFTLDLLKSMDPNDPHNKKNRGKLVVELTFDPFRDDSNSTILMSDGEGNVSVKRDVPPSGGLLLVSVENAEDVEGKRHTNPYAVVHFRGERKETKIIKKTRDPRWNEEFQFMVDEAPVDDKIHIEVVSKRRGLRLPFRNKESLGHVDINLVDVVNNGRINEKYHLINSRNGMVHVEMKWSTV >OGLUM06G26550.1 pep chromosome:ALNU02000000:6:29045020:29056090:1 gene:OGLUM06G26550 transcript:OGLUM06G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRACLIGTHGRQGRTDDACMFDTQRQGESSIYVDVSAPFILKWTYQMKPKALPGPTRRRGGRRRDGDGYGGGSRTEARGAGIGITQSVAARCGEAGIGIALSTAAVGKPLVVARGSGDDGGV >OGLUM06G26560.1 pep chromosome:ALNU02000000:6:29063293:29067570:1 gene:OGLUM06G26560 transcript:OGLUM06G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADH2] MITFVDSALKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >OGLUM06G26560.2 pep chromosome:ALNU02000000:6:29063289:29067570:1 gene:OGLUM06G26560 transcript:OGLUM06G26560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADH2] MITFVDSALKERERESDKCLDPQLWHACAGGMVQMPPVSSKVYYFPQGHAEHAQGHGPVEFPGGRVPALVLCRVAGVRFMADPDTDEVFAKIRLVPVRANEQGYAGDADDGIGAAAAAAAQEEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGVGGPEFLPPPPPPTPAAGGNYGGFSMFLRGDDDGNKMAAAARGKVRARVRPEEVVEAANLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWFAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVSWDEPDLLQNVKRVSPWLVELVSNMPAIHLAPFSPPRKKLCVPLYPELPIDGQFPTPMFHGNPLARGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHQLDHGMQPRIAAGLIIGHPAARDDISCLLTIGSPQNNKKSDGKKAPAQLMLFGKPILTEQQISLGDAASVAVKKSSSDGNAENTVNKSNSDVSSPRSNQNGTTDNLSCGGVPLCQDNKVLDVGLETGHCKVFMQSEDVGRTLDLSVVGSYEELYRRLADMFGIEKAELMSHVFYRDAAGALKHTGDEPFSEFTKTARRLNILTDTSGDNLAR >OGLUM06G26570.1 pep chromosome:ALNU02000000:6:29078203:29081595:1 gene:OGLUM06G26570 transcript:OGLUM06G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G62790) TAIR;Acc:AT1G62790] MATRMAAAVAAMVAAVAISLAAGGAAQSSPSTPSCASKLVPCAQYMNGTDTPPAACCDPLKEAVKNELKCLCDLYASPEIFKAFNINISDALRLSTRCGISQTTSMCPGNSPTNSPPASPSGGKNAGHRTMSVGLPGLMSLFLALWSVLA >OGLUM06G26580.1 pep chromosome:ALNU02000000:6:29082203:29089579:1 gene:OGLUM06G26580 transcript:OGLUM06G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zincin-like metalloproteases family protein [Source:Projected from Arabidopsis thaliana (AT5G51540) TAIR;Acc:AT5G51540] MNQLSASAMLSRAASLRRAAAAAFSTAAARPETGLYGFDVLRTAKGFRRFVDDAIERSDELVAYIGQLPPSAEIVRAMDEISNTVCSVIDSAELCRNTHPDKEFMDEADKASMRIYEHLQYLNTNTTLYNAILKAESEGVMLTEEARRAATTLRVDFEKGGIHLPKDKLEHVNHLNLEIAQLGRKFSENVMNKPGFVDIYPASRIPRNMQRHFKSIHRIKPWGDEEQRNQMDTTKQKGLRIVTDSGTLSTALRWVSDEEVYLVGNSEPRENITVLGKLINARDELAKTMGCKSYGDFAIRPNMAASVDVVMSFLKDLSGAVRHKAGEEFKRIQDFKRTVCNEKSAKLEPWDEDYFIGMMKSAVHNLDVSVVAAYFPLSQCLKGLNVLVESLFGATFHQIPMGDGESWHPDVMKLSLHHPDEGVLTLLSEGGDDCKTQITSFHSSKIMARLNHWDVETLFHEFGHALHSLLSRTEYQHFSGTRVALDVAETPSNLFEFYAWDYRVLRKFAVDETTGDPIPEKLVKALNASRNMFPATELQRQIFYSIMDLTLFGEQGSKPMDTISTVADLRRKHTSWKCVEGTHWHTRFTHLINYGAGYYSYLYARCFATTIWQEVCQGDPLSRSTGSALRDKFLKHGGAKDPSALLKDFVGDSIIINSGGGIIPDISSLCKEVGL >OGLUM06G26590.1 pep chromosome:ALNU02000000:6:29090680:29090958:-1 gene:OGLUM06G26590 transcript:OGLUM06G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3511) [Source:Projected from Arabidopsis thaliana (AT4G09890) TAIR;Acc:AT4G09890] MEKCRSVPHDHSAAYYGCGGGYDYEDVSGGGGAAAKSYSFNGPSARDDPEAKRRRRVAAYNVFATQGRLKSTVRSSFKWIKSKFSDIRYGGL >OGLUM06G26600.1 pep chromosome:ALNU02000000:6:29097314:29099156:-1 gene:OGLUM06G26600 transcript:OGLUM06G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGGKAKPLKAPKAEKKEYDESDLAYLQKKKDEEKALKELKAKAGQKGALGGSGLKKSGKK >OGLUM06G26610.1 pep chromosome:ALNU02000000:6:29101819:29105309:-1 gene:OGLUM06G26610 transcript:OGLUM06G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLLNSKFYNKCKHAFKCIRTRLALIRRKKQAMIRFMKKDIADLLTNGLDTHAFGRMDGLIIEMNHASCYDMIEQFCEYIGKQLNSLQKQRDCPQETREAVSTLIFAAARFPDLPELCDLRHIFTERYGNFLEPFVSLEFVQKLDNKVFTNEEKIQAMQSVSEELLVDFDIKAFKIKLWATPETKHDLPAKDSKKQVELAVPLSSKKKGDDAAPSGRKSEAATLGHKKKLEASLKQQKDVHPVADGIDRLRENTRRQHADKSDGKGHVEKPVSDSEMKRRNIQKEVQKANKKDGRPCEKELMEAVELDLNGLPKKEFGSLKVPEAESKKTFALNVKPKKDNDLEKENESNLGHHHRSHIPCAADHADSGLRTLGLDKQGLQSVNPLNGNTKNRMPPYSKLDGSTGKKCSEKEENTGCLNARPHHLADKGNPVQDRQPVPERAAYVRPPYIKPKLNMETVNDDPAERAASDYSKRAIPEQTDHLSDKDPLRPVSVRSKYAKPPAPAAVYDEAPANEKVSSRTPSSHRRHTSRQNAVDDGSARRDGSRQPHGGKGMDDVNGENVQRTPSSRPRHSGRRNGALYTEDYDGFMQRHKSEEDEAAIDFGNLLPRTGNGHRRHKSRNTDARSGVDEEERMMDKLLRHYSKKGLDAEINPAPTNKAEEQSEQKGSMHPPGRAISLPGESVCRDEDVKVPARSTSLQPDCPKTVHVHPKMPDFDELAARVSALRKA >OGLUM06G26620.1 pep chromosome:ALNU02000000:6:29108777:29110083:1 gene:OGLUM06G26620 transcript:OGLUM06G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDVIQAKLVGMSYPEFSDCLVLLGDLGAGKTSIVESTIGAAFFSQVLIMDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVYDISSTDSYIRARKWVDELQRQGNPHLVMALVGNKVDLEEKRQVGTQEAMEYAERNGLFFIETSAKTSQNVTELFYELGKHYRNNSVGFNSLMHRRLIITSTCCCQLIGWSKCDLTALPGWFSTTAGAAATTAAAGHGGSVAPADAPPPTPEIRHP >OGLUM06G26630.1 pep chromosome:ALNU02000000:6:29110452:29116397:1 gene:OGLUM06G26630 transcript:OGLUM06G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENACEAGLPLSVAVSVPPVMVDGETVPKEVEECEEEEEEEEYAGDAPPPWKVMGSDDEGETEAGEEEEIAEADGEEEAADVEEVEYEFYDSDGSEDEGDGEEVDPAVASAAQFVPEGQFLGPAQFAAYGCAAGFMRVAAVEADPADGQEILVLYRYTLLKRAWRDPAGVELSMWAKVSKIHRLRFIVPASGDPASSLPFAGLSLSPLIYHDDYVEELETLWSKLAAQVRVPPGATRVQVIVDVGILRAGDNTPERREYMRAELEAKKEVPWPGKLLGMELHVPEPVVAAAASCKRDSSEEVFDDAPAPPAKRRKVFDAGEECPVCLDELEDGVVAWPGCSVAHVFHGQCLETILKGSQMCPICRHDNTTSSPQDDDATSPRAPLPSLSLHTFFLLAMPPPSLPFPSLAYQFVLVLWPNLANPNIEEEAPAVSVVDYELTDDDESGDEADEPTKARDGEAPAARGELPLVPAPFVPEGEFLGPARFATAGCAAGFMRVAVVEGDGGGGGQEIVVLYRYTRYSGTWSGRKGVEVSRRTKLNRLRFVVSPAAGMASSLAWAGSSLAPLIYPYFFRRELLELWSSLIMAAPASIVPPGATRVEVLVDVGILRPFDKRPDRMEYMRRELEAEAAAAWSWPGHHVGLDLNLPEPVLCDRGATAGEVLSEEEGDGAPPPAKKRRRAVAGVAGEECPVCFFQLETDLVAWPGCSVRHVFHGEVLGSAKSLS >OGLUM06G26640.1 pep chromosome:ALNU02000000:6:29116678:29120802:-1 gene:OGLUM06G26640 transcript:OGLUM06G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPFVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHLPSPLRSLLALEDPRSPTASASYRILVAVVACLFAAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRNLVPENETSGYIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >OGLUM06G26640.2 pep chromosome:ALNU02000000:6:29116678:29120802:-1 gene:OGLUM06G26640 transcript:OGLUM06G26640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHATAAAAATRASSSPAKRDAESSASSSPFVASSSSPRGGGGGDGKDGAPRSSAPLHHQKYHLPSPLRSLLALEDPRSPTASASYRILVAVVACLFAAALVSAPSVWSRINAPYLCRKDGIRLHCPRVNERESLWENPHAAAASWKPCAERRNLVPENETSGYIFIHAEGGLNQQRIAVRMFFPHIISLLKLYCICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDYFINYLKDDVRIVRDIPEWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKDKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPEIEEMAEKLATRMRNRTGNVNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMADYRQKQWPRRFKNGSHLWSLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGSNRMAPLRNMFPNLVTKEDLASKEEIEHFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGFRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPESTFPHYDLWENPLTPCMCRA >OGLUM06G26650.1 pep chromosome:ALNU02000000:6:29122014:29125908:-1 gene:OGLUM06G26650 transcript:OGLUM06G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14430) TAIR;Acc:AT5G14430] MMTKPPQSRGGGGGGGGALGRRGFAALLAAAVIALALLCLFYGAAFAPTLRSRRLPLQRRFEAVPADLALSSLPVCDARYSELIPCLDRGLHNQLRLRLNLSLMEHYERHCPPAHRRLNCLIPPPAGYRVPIRWPRSRDEVWKANIPHTHLASEKSDQRWMGVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTRRLPYPSHSFELAHCSRCRIDWLQRDGILLLEVDRVLRPGGYFVYSSPEAYAMDPINRNIWRKMSDLARRMCWQIASKEDQTVIWIKPLTNECYMKREPGTLPNMCDRDDDPDAAWNVPMKACVTPYSERVHKVKGSNLLPWPQRLTAPPPRLEELGISSNNFSDDNEIWHFRVIQYWKLMKSEIQKDSFRNVMDMNANLGGFAASLRKKDVWVMNVVPSTESGKLKIIYDRGLLGTIHNWCESFSTYPRTYDLVHAWLLFSEIEKQGCSVEDLLIEMDRIMRPQGYAIIRDKVAVINHIKKLLPAVRWDDWSSDVKPKKDALWSGDERVLIVRKKLWNQTL >OGLUM06G26660.1 pep chromosome:ALNU02000000:6:29129595:29134420:1 gene:OGLUM06G26660 transcript:OGLUM06G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MSATNGMIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >OGLUM06G26660.2 pep chromosome:ALNU02000000:6:29129627:29134420:1 gene:OGLUM06G26660 transcript:OGLUM06G26660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MAASYYNNPPPPHSSYAAPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYASSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGMIFDLENNCTLHVDLAKSNSRSKRSRTDDVPSYSSEKKARNPRGFPDSGAGSNIHMSGMGNSSHSLNGYPSAQSYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >OGLUM06G26660.3 pep chromosome:ALNU02000000:6:29129595:29134420:1 gene:OGLUM06G26660 transcript:OGLUM06G26660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MSATNGMIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >OGLUM06G26660.4 pep chromosome:ALNU02000000:6:29129627:29134420:1 gene:OGLUM06G26660 transcript:OGLUM06G26660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G42240) TAIR;Acc:AT2G42240] MAASYYNNPPPPHSSYAAPPPPPPGTSPYASYRHHAYPPHPPPPPAYVGAYYDHAAEPLPPRDELRTLFIAGLPGDAKAREVYNLFRDFPGYASSHLRTSGKSSQAYAFAVFADQPSALAAMSATNGMIFDLENNCTLHVDLAKSNSRSKRSRTDDVPYTNFEPAAFSKDPSAFAPQNNPPCPTLFVANLGPTCSEQELIDVFSSCAGFIKVKMQNKFGAPVAFVDFKDMDSSTEAINRLQGVILYSSTGEGMRLEYAKSRMGLRKQDKRQ >OGLUM06G26670.1 pep chromosome:ALNU02000000:6:29144728:29148059:-1 gene:OGLUM06G26670 transcript:OGLUM06G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLPWDDVDASKHSKGKVIRHPGGLLELSPSPRRRRPLKTSDREKPSLLSSSPSPSPSAATSDLHLPAPKSPRNRELLAMASMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGTTISNDGATIMRLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAAEFLKEAKPYIEDGAIEKVKDLATSIEGKSLEEKKELLAKCAATTLSSKLIGGEKEFFASMVVDAVLAISNDDRLNLLGIKKVISQDIGTQLCVKSSSA >OGLUM06G26680.1 pep chromosome:ALNU02000000:6:29148147:29152965:1 gene:OGLUM06G26680 transcript:OGLUM06G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGQHPASGYSKEHQKTYQEWFAFADSDGDGRITGPDAIKFFAMSKLPRADLKQVWAIADSKRQGYLGFSEFVTAMQLVSLAQAGDEITQDSLKRDDLGSLNPPTMDGLDALLVKSKHHAKRVDPDVDGFPQAQSPATSQWFSSKSSKKTPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVVYTTTVREFPDLLDAARAARSAECRPVQNLLYSDCRLAINDLPNQLYDVAWDIILVDGPRGYTAASPGRMSAIFTAGVMARSRAEKGAETDVLVHDYEREVERACSREFLCEENRVEETSTRSLAHFVVPGGRDLRRETFCAGGGGSGAST >OGLUM06G26690.1 pep chromosome:ALNU02000000:6:29152139:29155323:-1 gene:OGLUM06G26690 transcript:OGLUM06G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLSPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVAEEDLQRVAAATGGTVQTSVNNVIDEVLGTCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANFGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMAGRGGGAMRGRGGRGMRRR >OGLUM06G26700.1 pep chromosome:ALNU02000000:6:29173918:29182571:1 gene:OGLUM06G26700 transcript:OGLUM06G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADJ0] MMKSLLPQSQLRRSAAAASAARSSGGGAGSGGADGAGSDGGAGGRAPATSTFWFLLHALCCLVSLFLGFRFSRLLFFLLFSTTALYSSTSSSSSSAVLRATTTTTTTTTTTTTTTNTFTLSFQANSNPPPSNPSNHTALDAAGAAGHTQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRHVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTIVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVIVFADDSNVHSLELFDEVQKVQWMGAVSVGILAHTGTADQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFAGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLILLNDPSSVEPLGNCGKKILLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTAELSAELVDSKQDQEGRRLSRTDRSSRSRSTTKRKEN >OGLUM06G26710.1 pep chromosome:ALNU02000000:6:29183460:29190389:1 gene:OGLUM06G26710 transcript:OGLUM06G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDVNITWEDILQDEHNKDYRMRYNFCDAFLKEFCFWDPEEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRLVDWCVDYCDLQNNIRITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSVSPPS >OGLUM06G26710.2 pep chromosome:ALNU02000000:6:29183460:29191210:1 gene:OGLUM06G26710 transcript:OGLUM06G26710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAELRRDDMEVAEEDISDSQAFRFVEHVLQSVRMDPFQVDLRDKEEYDSLLSIVDSSKKRSYDDEALLVTTLKALSEAVSKIDIMYHHALLNNAAVADQFLRECLQMLVNNFTPPGSLTAFIGQPRWLARKKEIYSQLHESLRMISDTVPLAPRMLKDIIDRSMPKLFDNKAKMVSFVECMLGLDNDRMGDLIGALLLAKVVDLLTELDVNITWEDILQDEHNKDYRMRYNFCDAFLKEFCFWDPEEFDILKTIFRASVLRVHKSKFAQFIMFYACSLDPEICGLEFALFLSDIFIKKEEDSISRLVDWCVDYCDLQNNIRITTKPINHQIFYASCQAVMYILCFRLRSIMDYPNLKAQLFNMPFGYILTHPLEPLKVCLPSIVDEFLRQAKAARLFNASVHSEFEDALESDLSKTFGGMNRLDMFFPFDPYLLKESDRYMRPNFEYWSMVKTTYNNYNSDVDDELVDLDAPEMNVGSLDDHVEIDLNSDDDLEYSMNKMSITPNRSFFHQIMANSDTGLTMPARIRPSVSPPS >OGLUM06G26720.1 pep chromosome:ALNU02000000:6:29190451:29191940:-1 gene:OGLUM06G26720 transcript:OGLUM06G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGILLAAALLLGLVSASHAIEGTATFYTVYTPSACYGFQDQGTMIAAASDGLWDGGRACGRMYTVRCVRGTNAVPNPCNGGTVTVKIVDRCPSPGCTSTLDLSREAFAAIGNLDAGRIVIDYNHIEQLGT >OGLUM06G26730.1 pep chromosome:ALNU02000000:6:29193280:29193911:-1 gene:OGLUM06G26730 transcript:OGLUM06G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAFLLAAAALLLGLVSVSQAIQGTATFYTTYNPSACYGNQDNGRMIAAASYGLWAGGKICGTMFTVRCVGATNAVPNPCRGGAITVKIVDRCPGCTATLDLSREAFAAIANPVAGKVLIDYQQL >OGLUM06G26740.1 pep chromosome:ALNU02000000:6:29194170:29195321:-1 gene:OGLUM06G26740 transcript:OGLUM06G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLRQRCHDDGGLHDTIETLHIGYRKDVPFECRYANEFVALANASRLELHVQCKRGLPDEDAGEWSLELPPATTELELGLYWYEVRPPRVRGPGVTSLRWLALDGLTVLRPSDFLSTVVFPSLEELHIVDCTLPASIDITSDTMPRLKRLRITDVTVMSATTKAGIAVLADELREIRVSCRCPTEPMSSSSPAAYHLLPRFRALFTRYSCFRVRAPKLRVFEWRCCFADEVCVESVGRLTDVAVELAAGRLPRLSDEESKSLSVEDCDKLMKGILRGLMPGLQPRSWSSIQRKCIKRDERNCTF >OGLUM06G26750.1 pep chromosome:ALNU02000000:6:29197579:29197782:-1 gene:OGLUM06G26750 transcript:OGLUM06G26750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSSKPVIALVLLVVCIVGCFEVVTTQYDGSSSNGAAATGPMAAGGNCSLVVAAAVLAIAAFVWN >OGLUM06G26760.1 pep chromosome:ALNU02000000:6:29200848:29201553:1 gene:OGLUM06G26760 transcript:OGLUM06G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQWSSRRSGSSVGWREWPPRRSRRRTAERGPFEHEDGGAEAADELEDDLVVVLPDVGPQLGEEVADLREGEQRGGALEDGGDYGGSGAAEYTHPTPGSSRSFPPPEAEAAVEATTGAAARRGNSGDVGHAPRRRRPAAWSELVRLATAAEVPPAGVVRGRHARRVPQPSRRQTGTHGHRRVHPPPGRRPH >OGLUM06G26770.1 pep chromosome:ALNU02000000:6:29203580:29205884:-1 gene:OGLUM06G26770 transcript:OGLUM06G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFGLFVEVDMEGMLRLEPVGGGFNGPAAAYYLKLSCDTCGEPTTRDVCLVPGPDSCTNLPGIKNQWRYTCYPTCPDSGHVVRKCKVCSALGSVALLPGHGKALTTSSRTMVMMLQSKGYTPTNFAPGSRWVATKVTGYRCELELTADHFKGYDGTITIPSLLPGKRTA >OGLUM06G26780.1 pep chromosome:ALNU02000000:6:29208640:29209980:-1 gene:OGLUM06G26780 transcript:OGLUM06G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYMLFATVEMEGVHELDPIRGVFNGAATAYFLQTPNTIPDRHQTPPQIGLEAPLLWCTPFLLNSILSPSPMRAASSLTMPRYGLFAAVEMEGVLELNPIGEWRTTTVHNLP >OGLUM06G26790.1 pep chromosome:ALNU02000000:6:29211678:29212484:-1 gene:OGLUM06G26790 transcript:OGLUM06G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLEVHPIGGVFNGAATTYFLHMALPSGDAATALEMTYTSSRLLPLRFRPVSCRLTTSGP >OGLUM06G26800.1 pep chromosome:ALNU02000000:6:29223009:29226573:-1 gene:OGLUM06G26800 transcript:OGLUM06G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKKKSPAHAGSRRRRSTPXNVAQLVGVDALGLVSMVAQAALAARRHRDACVRLGQHAELVGGLLRELELAELMPRVSGFTEIHVQGDTKLCNAGEQPLGTVYLQEQKILDIEELVELCTRTEESCPGFSKFDFSQIVHATDNFSENSNIGRGGFATVYKGQLPNGLVVAIKRLDECAVNFDFNNELQLARVQHNNLVKLLGWCIHGKERILVYEFADRGSLQHYIFDKMRKSLLDWPQRLNIIKGVAEGLVYLHKLSMLWIVHRDLKPDNILLDYNMNPKISDCGSSSSLSSEVAEEHTRRVVGTSGFKAPECASRGVYSVKTDVFSFGVLVLVIISGRKNSILYKQRDAIGDLVRDGAASRLPLGHVALYKIF >OGLUM06G26810.1 pep chromosome:ALNU02000000:6:29238659:29244126:1 gene:OGLUM06G26810 transcript:OGLUM06G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARSGGDEIAAKLSSSSSPPLWTRTMKHQAQQGSQIRWHVVSAVAAKPTLRERPMDEELSLAMECDALIWGSSSSRSSSGAKCPPPKPPPAGPSPSSSSGKLRLCWLDEFITINSFSDDDQVDYKASDVKDVIIFKPALDCLISQTNFIKMGGILIKIGRKGQGFIPQLNLPKAQRNSSPLHASEDRNLRFDLFTALLNIFGSSPPFFHAMSTFYDRVPRLDGGLDFHDNILQLVTNSLSLHFPRIITSLVNMESNWKVESNAMHSWVLGCAVRGIKQWKVECNAMHSWVLGCAVRMHTSRSGCSSTTSAAAASPPPAPTSSSPAPPPMTKNTAGSAPPSPPRQRLATARSHILIVTTAAAAHDKQRRWVGATIPGLASVDTEGLAAVSRGCSSKCGASTSPDKEADPLAADDGDDPAPTTEVARHINHPWPCAAQSSALQSSYRRRWHWVAQRPGLVDEREPAGDSVRRGGDSRSPGLDDARSRSERHLRILRGRLVLLTVAPIPATGRALVLLARAVLAASARLVPASRARVFSVVAIVNPRHWRTSVR >OGLUM06G26810.2 pep chromosome:ALNU02000000:6:29238659:29244126:1 gene:OGLUM06G26810 transcript:OGLUM06G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVARSGGDEIAAKLSSSSSPPLWTRTMKHQAQQGSQIRWHVVSAVAAKPTLRERPMDEELSLAMECDALIWGSSSSRSSSGAKCPPPKPPPAGPSPSSSSGKLRLCWLDEFITINSFSDDDQVDYKASDVKDVIIFKPALDCLISQTNFIKMGGILIKIGRKGQGFIPQLNLPKAQRNSSPLHASEDRNLRFDLFTALLNIFGSSPPFFHAMSTFYDRVPRLDGGLDFHDNILQLVTNSLSLHFPRIITSLVNMESNWKVECNAMHSWVLGCAVRMHTSRSGCSSTTSAAAASPPPAPTSSSPAPPPMTKNTAGVGSEGLATARSHILIVTTAAAAHDKQRRWVGATIPGLASVDTEGLAAVSRGCSSKCGASTSPDKEADPLAADDGDDPAPTTEVARHINHPWPCAAQSSALQSSYRRRWHWVAQRPGLVDEREPAGDSVRRGGDSRSPGLDDARSRSERHLRILRGRLVLLTVAPIPATGRALVLLARAVLAASARLVPASRARVFSVVAIVNPRHWRTSVR >OGLUM06G26820.1 pep chromosome:ALNU02000000:6:29245184:29246550:-1 gene:OGLUM06G26820 transcript:OGLUM06G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEDGLLEAAPVHVAEDDEREVGGGAMGRVLTPTVAGQEEQVTEGIGDGGRDGGEGGSGVGPGGRSEDNGGSGGGGHGDAASAGRYAAPLPSAAARHPSRLTLPERWGRDFQMS >OGLUM06G26830.1 pep chromosome:ALNU02000000:6:29246565:29247678:1 gene:OGLUM06G26830 transcript:OGLUM06G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWAALWRDDATRFAAAVTSQCRAEKDLCCLAAAALKHSSRLGFGSIAEETEMAAAAPCSWTSAAVFMAAVFMSLPRHPPARRRQRLWPSTRRPRRRRTRWLLSLGRFEALEQCIDGSNSSYSNRKNKFTKTEEY >OGLUM06G26840.1 pep chromosome:ALNU02000000:6:29247907:29251669:-1 gene:OGLUM06G26840 transcript:OGLUM06G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEAARHRVVAAAAALRGERNAMESSSLWGVLGQASNVAQLVGVDALGLVSMAALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKRLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLNSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >OGLUM06G26840.2 pep chromosome:ALNU02000000:6:29247907:29251669:-1 gene:OGLUM06G26840 transcript:OGLUM06G26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAEAARHRVVAAAAALRGERNAMESSSLWGVLGQASNVAQLVGVDALGLVSMAALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFRLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLNSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >OGLUM06G26840.3 pep chromosome:ALNU02000000:6:29247907:29251667:-1 gene:OGLUM06G26840 transcript:OGLUM06G26840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLWGVLGQASNVAQLHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFRLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLNSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >OGLUM06G26840.4 pep chromosome:ALNU02000000:6:29247907:29251449:-1 gene:OGLUM06G26840 transcript:OGLUM06G26840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQAALAARRHRDACRRLGQHVELVGGLLRELELAELMRREATRRPLEQLQGALRRCYALVTACQEDRGYLHRLLLGARMANELRAAQHEIDMYIRLIPLIALVDNSSSNRRVTATEGVRAVVPSCSDHHTRFQRRALEFIELHVQGAAKPSEIGGKSLVVTVDPQPQEEKIMDIEAVLKLFVHTEENYPGFRKFDFIQIVDATDGFSEKRILGKGGFGTVYKGHLLDGLTVAIKTFDADTAIFDLKSELQLVRIQHTNLIRLLGWCIHEKERILVYEYIQKGSLHNIIFRLAEGLLYLHKHCWIVHRDLKPSNILLDHDMNPKIGDFGSAVTLVSDVAEERTKRVVGTSGYIAPEYASQGLYSLKTDVFSFGVVVLETISGRKNFIMEKQRDIVGYLVRDAWHMWKDGRLQELVDPALCDGYESTVIMRCTQVALLCAQEDPADRPTMTDVTAMLNSESIMLSDPKEPTELTHGGASPDRSSTYIGHSSKAIDITITSSAPVSTRVRIIVEPEI >OGLUM06G26850.1 pep chromosome:ALNU02000000:6:29253198:29253665:1 gene:OGLUM06G26850 transcript:OGLUM06G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKEEEQEQDAEMEELVVDYYADEPMEEDGKNSNNKWKYREDPGFMEAYLKVMQLVEEDDRIEDEESAAAAEAAKPGRKRAHARKAGELDDVETTKRYKCNYWADDDPAYRGKRRLENAGQLLARTAALMNRAERETAAMMARWELEDSQLINT >OGLUM06G26860.1 pep chromosome:ALNU02000000:6:29258041:29260152:-1 gene:OGLUM06G26860 transcript:OGLUM06G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCYALVTACLWTLLASNVAFWWRSPRSESEVGGLWHKQELVAPLRYSAPPPQPILFLSSRECHRSIYTGRHLAIVFSISLKSLTKKGLSMSSVLVAAVMAGVFLSALVSTDTAQQITNVAAAAGADDNRGLVLPLPITPSI >OGLUM06G26870.1 pep chromosome:ALNU02000000:6:29271652:29279860:-1 gene:OGLUM06G26870 transcript:OGLUM06G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heavy metal atpase 1 [Source:Projected from Arabidopsis thaliana (AT4G37270) TAIR;Acc:AT4G37270] MQLLTAASASASSAAASPPSAHLLRLSRPPPFPHLRRRCAPHLPSKPLNLAARSPLLLARRSLPFAPRAHGDHHHGHHHLHHGHGHHGHGHSHHHGPEVHGSGGGAAVMRVAKAIGWADVADALREHLQLCCISLGLLLIAAACPHIPVLNSVRRLQDALIAVAFPLVGVSAALDALVNIADGKINIHVLMALAAFASIFMGNSLEGGLLLAMFNLAHIAEEHFTSKSMIDVRELKENHPEFALLLETCGDQSAQFANLCYTKVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEHYSRVVVVLSLVVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLMCKAIEPIHGHSDVTNDFSDQACCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKDLPLVAVESFECLPGRGVVATLSGVKAGNNEDELSKASIGSVEYISSLYRSSGESEQIKEAVKASAFGPEFVQAALTVDKKVTLFHFEDEPRSGVCEVISTLRDKAKLRIMMLTGDHESSALRVAKAVCIDEVHCCLKPEDKLNKVKAVSREGGGGLIMVGDGINDAPALAAATVGIVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALSCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNSPTWSWVDDIRQLINSLRKYISSKLQSTSSNYVADAVPL >OGLUM06G26880.1 pep chromosome:ALNU02000000:6:29281473:29282248:-1 gene:OGLUM06G26880 transcript:OGLUM06G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRFATVPPPPPPLPLSTHRPFPHLRHHRCPRRSPPPATKSSPLLRARRSLPFTPRAHGDHHHGHCHCHQHHNDRHKVGVHGHGAGGGGAAVMRVARAIGWADVADALREHLQVSCISIGLLLVAAACPHMAALNFAKRLQATAIAIALPLVGDWKYAWCLHLQGKMKPPLVQRNFMGILEEMTRFL >OGLUM06G26890.1 pep chromosome:ALNU02000000:6:29284210:29291201:1 gene:OGLUM06G26890 transcript:OGLUM06G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPLAPFATSSAAAAPSTSTPDVVAELGRILSTRRWNKGRAYKRLAPSVTAAHVADLFRADSTAPEPAIALAFFEWLARRDGFRHTADSHAALLHLLSRRRAPAQYERLVVSMLNCSDTAEDMRVSADAIQAIRRTGSARLALSPKCYNFALRSLARFDMTEYMGRVYSQLVQDGLLPDTVTYNTMIKSYCKEGDLTTAHRYFRLLLEGGLEPETFTCNALVLGYCRTGELRKACWLFLMMPLMGCQRNEYSYTILIQGLCEAKCVREALVLFLMMKRDGCSPNVRAFTFLISGLCKSGRVGDARLLFDAMPQNGVVPSVMTYNAMIVGYSKLGRMNDALKIKELMEKNGCHPDDWTYNTLIYGLCDQKTEEAEEFLNNAVKEGFTPTVVTFTNLINGYCMAEKFDDALRMKNKMMSSKCKLDLQVFGKLINSLIKKDRLKEAKELLNEISANGLVPNVITYTSIIDGYCKSGKVDIALEVLKMMERDGCQPNAWTYNSLMYGLVKDKKLHKAMALLTKMQKDGIIPNVITYTTLLQGQCDEHDFDNAFRLFEMMEQNGLKPDEHAYAVLTDALCKAGRAEEAYSFIVRKGVALTKVYYTTLIDGFSKAGNTDFAATLIERMIDEGCTPDSYTYSVLLHALCKQKRLNEALPILDQMSLRGIKCTIFAYTILIDEMLREGKHDHAKRMYNEMTSSGHKPSATTYTVFINSYCKEGRLEDAEDLILKMEREGVAPDVVTYNILIDGCGHMGYIDRAFSTLKRMVGASCEPNYWTYCLLLKHLLKGNLAYVRSVDTSGMWNLIELDITWQLLERMVKHGLNPTVTTYSSLIAGFCKAGRLEEACLLLDHMCGKGLSPNEDIYTLLIKCCCDTKFFEKALSFVSIMSECGFQPQLESYRLLVVGLCNEGDFEKVKSLFCDLLELDYNHDEVAWKILNDGLLKAGYVDICFQMLSIMEKRYCCISSQTYALVTNKMHEVSSSLVSEVREEARYFNFASCHIGTGHIVEP >OGLUM06G26900.1 pep chromosome:ALNU02000000:6:29288796:29298561:-1 gene:OGLUM06G26900 transcript:OGLUM06G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQMKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDCKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFVGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASAGIAGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDRLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHLYFYGSTM >OGLUM06G26900.2 pep chromosome:ALNU02000000:6:29289578:29298561:-1 gene:OGLUM06G26900 transcript:OGLUM06G26900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQMKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDCKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFVGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASAGIAGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDRLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRLSSGSELFLELHDSILPLLQDSVRQHYGGILHL >OGLUM06G26900.3 pep chromosome:ALNU02000000:6:29289556:29298561:-1 gene:OGLUM06G26900 transcript:OGLUM06G26900.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G44750) TAIR;Acc:AT5G44750] MSASSSGGRGAPPPATAASPGQKRPRGDDPASPSNRSESAPAKNPRRAFSSSPFADFGSYMAAKNSKLAAQFEADASTSAAEVTGGVFAGVSIFVDGFTVPSSQELKEIMLNNGGRFVNYFSRNTVTHIICTHLPNSKMSNLRAFSKGLPVVKPAWVVDSLAENRLLSCSVPYQISQHNSSSRKQMKLSSFFSGRHYQGELNDQSNSHELQSSSVQEGSQDHNSGCEKEGSLLKEEASKDSLSSDDHKASMFEEQDSEDFVDEAGNAYETACSERRDNDMDCKLHVAESPDIRSRCSNLCSTSSTGSHLSLDSLDRNATKSSSRTHSTLTDPNFVENYFKYSRLHFVGTWRNRYRKRFSNLLGDKSSKGNRDHSGKNNTIIHIDMDCFFVSVVIRNKPELHDKPVAVCHSDNPKGTAEISSANYPARNYGIKAGMFVREAKARCPHLMIVPYDFDAYGEVADQFYGILHKYCSKVQALSCDEAFLDMTECLHDNPEEVTQKIRNEIFGTTKCSASAGIAGNMLIARLATRSAKPNGQCFISSEKVDGYLNTLSIKALPGIGHTVSDKLKSKEVEYCGQLRNIPKESLHKDFGKKIGDMLWNYCRGIDHSVVEAVQETKSVGAEVNWGVRFNDNKDAENFLVNLSKEVCLRLEGCGVQGRTITLKLKTRRKGAGEPIKFMGCGDCETVSRSMTIAGATDNPVTLQRIAKQLFSSFCVDVKEVRGVGLKISRLEHADLARGAPQGNMLESWLASPSDRLKKHSTEKACLLKNRDDAATSERRGFGSIRPSGIGGTSRSSEVNPPSDRSTRVGVELPPLSELDLEVLKNLPPEIIYEMNDMYKGELHGFLGITSGDKAKESNTKSLVFPAVDQNLVPVLDTKLHGDGKHKDSIHFKKEADIKGPSGEQLSELKQANAPRSIASELVDIPTKSVIQHDFMPNSLSQADVTVLQELPEDVKADLFNALPLHRSGDPTCSTSHVSENKFPQDGRSDDPKQHPQICHLPGNSQKWIEEFRVSHCLILNVIAEQHTDSISSRPLSSVLEPVISYLPLCPNSGTEEWNEAFASLSELLTQYIHQKVESDIEELHKCFRLLKRILSGSIMVGYYIYSLLFKYN >OGLUM06G26910.1 pep chromosome:ALNU02000000:6:29300823:29301383:1 gene:OGLUM06G26910 transcript:OGLUM06G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSKQPQPQPSPEIRYRGVRKRPSGRYAAEIRDPAKKTPIWLGTFDSAEVAARAYDDAARSLRGPTARTNFPLAAPSAPPPRPPAAAAATSSHSSTVESWSGGAPRAAASALARSAAPMEATQEEDCHSYCGSSSSVLCEDGSDDAAASRTPLPFDLNMPPPEEELDMAAVADQMGIRYDTLLRL >OGLUM06G26920.1 pep chromosome:ALNU02000000:6:29308451:29309206:1 gene:OGLUM06G26920 transcript:OGLUM06G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVRLAAGLVVALLLAGDASAATLALYNRCAETVWPGIQPSAGKELLARGGFQLAPNRATSIRLPAGWSGRVWGRQGCSFDAAGRGRCATGDCGGALYCNGAGGAPPATLAEITLASTPAAQDFYDVSLVDGYNIPIAMTPSHGSGANCVPAGCISDLNRVCPAGLAVRGGGGDNRVVGCRSACAAYGAPQYCCTGQFGSPQQCKPTAYSRLFKTACPKAYSYAYDDLTSILTCSAGASYIVTFCPHRR >OGLUM06G26930.1 pep chromosome:ALNU02000000:6:29314748:29319750:-1 gene:OGLUM06G26930 transcript:OGLUM06G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-leucine resistant (ILR)-like gene 6 [Source:Projected from Arabidopsis thaliana (AT1G44350) TAIR;Acc:AT1G44350] MEHGGHELAVVVLVLLLLVSATSCTFLEEDVILGTVEEAKVARLGGGGGGGSKGANASTRRADNTCAGVGVGGGGGGGGGGGGGGRGRFYVGWKEEIAGMAGRPETAAWLRAVRRRIHERPELAYEEVETSRLVRDELDAMGVGFRHPVARTGVVANIGTGRPPVVALRADMDALPIQEAVEWEHKSKNPGKMHACGHDAHVAMLLGAAKILKAREHHLRGTVRLLFQPAEESGAGAKRMIEGGALEDVEAIFAVHVSHQHPTSVIGSRTGPLLAGCGFFKAVIHGGRRSGDAVLAAASTIISLQSIVSREADPLDSQVVSVAMVNGSDHPAATARAAAAAEEEEFVLGGTFRAFSNASFYQVRRRIEEVITAQARVHGCEAAVDFFENQSFYPPTVNDARMYAHVKAVAGELLGAGSYRDVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAFHAAIAERYLANHSPSSSSSSDSDDPDVELEAS >OGLUM06G26940.1 pep chromosome:ALNU02000000:6:29327953:29328777:1 gene:OGLUM06G26940 transcript:OGLUM06G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGILRRWKRFFPAFASIHAAIEAAEPGISRKEFRDATDKVVAMLCSATDDEAVAEELRVVLDEMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPLERVRGLATGIVRAWRASVKDELLKAAAAMEKLSQALEPDEADDHHAKILQPSPPKKTANSSQPSFPKKQSAPVAGGSHVTMAKMEPPREKLPAAVGSFRRESAASCGTDEKAMNAAKRKLREGYQEAEYAKRQRTIKVIEAPKQQQRKRHPIVQERNRSRVASHTSSLRRRF >OGLUM06G26950.1 pep chromosome:ALNU02000000:6:29329268:29329780:-1 gene:OGLUM06G26950 transcript:OGLUM06G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAGGRPSQPQPQAQQLSVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKPEHEVRDMLARADADRDGKLSVEELLDVMNAGQLGLGALGALLQSAVPALESAAGPDGVLGADELARLLSVMGTASVEDCMEIIACMDGDGDGAISVEEFRLMAQLL >OGLUM06G26960.1 pep chromosome:ALNU02000000:6:29333862:29339143:-1 gene:OGLUM06G26960 transcript:OGLUM06G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAAPPSPPLAADSSEGLHRRREQAASEVLTLNNFSEERKKRNVCINIVQAGDHFLDGWPLARIMADTLASHIKHLQHLLFMASSSQCWIQHLSILSMRSHLPGPLDKFLRLGQDLDWPLPCEQLKQHHSKTDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVVVVVVLLLLHGKLGSCMEEAAFCFCIVGLSSLCSFEEDDQREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >OGLUM06G26960.2 pep chromosome:ALNU02000000:6:29333862:29339143:-1 gene:OGLUM06G26960 transcript:OGLUM06G26960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAGVPFLPIGAAAAPPSPPLAADSSEGLHRRREQAASEGKDQSGKAKIRNTSSEFSVEKDIAGFDVTVNNLPRADGSSPDMLLLSNRSSSNLLLLTLRATIFFITSHCFDENCPVNELLEIFSTWRGEPPLGDSNSGREPSRRLKLTSRTMILLENNNSIGRLRCNSPVRLPRDGEMRPWRPLEANETSVTVPSLLQLMPSHLQQSMPFTHDVLRLPLWPGKRPSRKPMRELSSCSVQELVGEANESRRITRSRKKATDNLVVVVVVVVVVVLLLLHGKLGSCMEEAAFCFCIVGLSSLCSFEEDDQREKASTMRERNICSKIRAFLQGTSSASGSCKNQ >OGLUM06G26970.1 pep chromosome:ALNU02000000:6:29335339:29338548:1 gene:OGLUM06G26970 transcript:OGLUM06G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLPCSSSSTTTTTTTTTTTKLSVAFFRLLVILLLSFASPTSSCTEQEESSLIGFLEGLLPGHNGSLSTSWVKGIDCCKWEGINCSSDGTVTDVSLASKGLQGRISPSLGNLTGLLHLNLSHNLLNGYLPMELLFSRSIIVLDVSFNRLDGSLPELESPSGGSPLQVLNISSNSFTGQFSSKQWEVMKNIVALNVSNNSFTGQIPPSICINSPSFAILDLCYNQFSGSISSGLGNCSKLREFKAGYNNFSGALPEELFSATSLEHLSLPNNDLQGVLDGSHIVKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTWLNLRIADFSINNFTGTVPESIFSCSNLIALRLAFNKFHGQLSPRMGTLKSLSFFSISDNHFTNITNALQILRSCKNLTSLLIGTNFKGETIPQDETVDGFENLRVLTIDSCGAMGQIPPWISKLKKLEVLDLSNNMLIGEIPFWIRDMPVLFYLDITNNSLTGDIPVALMNLPMLQSGKNAAQLDPNFLELPVYWTPSRQYRLLNAFPNALNLGNNSFTGVIPPEIGQLKMLDGFNVSFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPAALTDLHFLSKFNVSNNELEGPVPTGRQFDTFLNSSYSGNPKLCGPMLSNLCDSVPTHASSMKRRNKKAIIALALGVFFGGIAILFLLGRFLISIRRTSSVHQNKSSNNGDIEAASLSSVSEHLHDMIKGTILVMVPQGKGGSNNLKFKDILKATNNFDQQNIIGCGGNGLVYKAELPNGSKLAIKKLNGEMCLMEREFTAEVEALSMAQHDNLVPLWGYCIQGNSRLLIYSYMENGSLDDWLHNRDNGRPLLDWPTRLKIAQGASRGLSYIHNICKPHIVHRDIKSSNILLDREFRACVADFGLARLILPYDTHVTTELIGTLGYIPPEYSQAWVATLRGDIYSFGVVLLELLTGKRPVQVLSKSKELVQWTREMRSHGKDTEVLDPALRGRGHEEQMLKVLDVACKCISHNPCKRPTIQEVVSCLDNVDADLQVQM >OGLUM06G26980.1 pep chromosome:ALNU02000000:6:29339518:29342244:-1 gene:OGLUM06G26980 transcript:OGLUM06G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGKDLISQLPDDILVHILSMVRYKEAVRTTVVSRRWKHLHTKLPAVSFTMSVLGLQGSPLSTQSKQRVESMARTLRRRCAGPDHDTVQRLRLSYRKDVPMECRYADEFIALAAASSLVLFLNCPKNLRNNDAGPWSLHLPAATACLSMESCWYSVRPPHVHGPGASALKSLTFKDSFMVLHPGYLQDTAFPSLEELHISGCTLSGSIEITSATMPRLKHLRIVDVSIISLGTEGAITVLADELATLRVSCHDGGKPDPPSSHEMHCVETPFRASFTEYSCFRLRAPKLRVFEWRCCYAKEVRVDAVGRLSDVVIELFAGRLPRCYNEAKRFLQMEDCDKLMKHILQGIMPGRWKYVQRNFIECDELRLRCEITEYDM >OGLUM06G26990.1 pep chromosome:ALNU02000000:6:29342488:29345142:-1 gene:OGLUM06G26990 transcript:OGLUM06G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHQVVVTGVLVLVLAASVSDRAAACVEAEREALLSFLAEAAPPAGDGIVGEWQWSPDCCTWDGVGCGGHGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSGNGLSGPFPDVLFFLPNVTIVDVSYNCISDELPDMLPPAAADIVRGGLSLQVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGSIPSLCVSCPSLAVLDLSVNMLTGAISPGFGNCSQLRVLSAGRNNLTGELPGDIFDVKSLQHLHLPSNQIEGRLDPECIAKLTNLVTLDLSYNLFAGELPESISQITKLEELRLIHNNLTGKLPPALSNWTSLRCIDLRSNRFVGDLTGIDFSGLDNLTIFDVDSNNFTGTIPPSIYSCTAMKALRVSHNLIGGQVAPEISNLKELQFLSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNILSGEIPPSLKEIRLLTSEQAMAEFNPGHLPLMFSVKPDRRAADRQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPSLNELNFLAIFNVAYNDLEGPIPTGDQFDAFPPRSFKGNPKLCGLVISVPCSNKFEARYHTSSKVVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVGASLFDSMSSELYNDNDSSKDTIFFMSEVAGEAAKAVTFVDVLKATNNFSPANIIGSGGYGLVFLAEMEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLEDXGGGGGGGCWPMI >OGLUM06G27000.1 pep chromosome:ALNU02000000:6:29348585:29364618:-1 gene:OGLUM06G27000 transcript:OGLUM06G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSGRVVVVVSGVLVLLLAISICGRAAACAEAERAALLSFLAEASPPAGDAIVADWRGSPDCCRWDGVGCGGAGDGDGAVTRLSLPGRGFNGTISPSIGNLTGLTHLNLSGNSLAGQFPEVLFSLPNVTVVDVSYNCLSGELPSVATGAAARGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFRGSIPSLCVSCPSLAVLDLSVNMLTGAISPGFGNCSQLRVLSAGRNNLTGELPGDIFDVKSLQHLHLPSNQIEGRLDPECIAKLTNLVTLDLSYNLFAGELPESISQITKLEELRLIHNNLTGKLPPALSNWTSLRCIDLRSNRFVGDLTGIDFSGLDNLTIFDVDSNNFTGTIPPSIYSCTAMKALRVSHNLIGGQVAPEISNLKELQFLSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHIKSVRVIVMENCALTGTIPSWLSKLQDLNILNLSGNRLTGPIPSWLGGMSKLYYLDLSGNILSGEIPPSLKEIRLLTSEQAMAEFNPGHLPLMFSVKPDRRAADRQGRGYYQLSGVAATLNLSDNGITGTISPEVGKLKTLQVLDVSYNNLSGGIPPELSNLTKLQILDLRWNHLTGTIPPSLNELNFLAIFNVAYNDLEGPIPTGDQFDAFPPRSFKGNPKLCGLVISVPCSNKFEARYHTSSKVVGKKVLIAIVLGVSFGLVILIVSLGCLVIAVRRVMSNGAVHDGGRGVGASLFDSMSSELYNDNDSSKDTIFFMSEVAGEAAKAVTFVDVLKATNNFSPANIIGSGGYGLVFLAEMEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPNNSFHGSIPSLCVSCPALAVLDLSVNVLRGVISSGFGNCSQLRVLSAGRNNLTGELPGELFDVKPLQHLQLPANQIEGRLDQDSLAKLTNLVALDLSYNLFTGELPESISKMPKLEELRLANNNLTGTLPSALSNWTSLRFIDLRSNSFVGNLTDVDFSGLPNLTVFDVASNNFTGTMPPSIYSCTAMKALRVSRNVMGGQVSPEIGNLKQLEFFSLTINSFVNISGMFWNLKGCTSLTALLVSYNFYGEALPDAGWVGDHVRSVRVIVMQNCALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLILMFSLNPDNGAANRQGRGYYQLSGVAATLNFGENGITGTISPEVGKLKTLQVFDVSYNNLSGGIPPELTGLDRLQVLDLRWNRLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKNFMGNPKLCGRAISVPCGNMIGATRDDDPDKHVGKRVLIAIVLGVCIGLVALVVFLGCVVITVRKVMSNGAVRDGGKGVEVSLFDSMSELYGDCSKDTILFMSEAAGEAAKRLTFVDILKATNNFSQERIIGSGGYGLVFLAELEDGARLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLLYPYMANGSLHDWLHERRAGGAGAAPQLLDWRARLNVARGASRGVLYIHEQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPVEAASPPHGQQRELVRWVLQMRLQGRQAEVLDTRLSGGNEAQMLYVLDLACLCVDSTPFSRPAIQEVVSWLDNVDTIGRS >OGLUM06G27010.1 pep chromosome:ALNU02000000:6:29365153:29367675:1 gene:OGLUM06G27010 transcript:OGLUM06G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYLKSTRQPVGRGGVARRRRSSRALAATASPWASGGGWGRRCAASVRASPSRRAIQPHPGPGYPAVAANRRGEAALVLREAARAAADAGNGAQAGIPGCKNLQCEANHGRRWLKLTSRTAMLLNNNESIGRPPERELWERLRCNNLARLLRDGEMLPARPLEGSEISLTVFSPLQLIPSHEQQSVPNAHDMLRLPLCSGESCATKSRELCSCYMKELVGEAKVSSSNSITRSSQKAWGICF >OGLUM06G27020.1 pep chromosome:ALNU02000000:6:29366361:29367521:-1 gene:OGLUM06G27020 transcript:OGLUM06G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWALGTDCCSWEGINCRGENTVRDISLPSKGLAGSISPSLSNLAKLLHLNLSHNSLSGGLPMDSLLFSSIAVLDVSFNHLDGPLQELQSSNPSLSLPLQVMNISSNFFHRTISISSMGMMKNLVALNASNNSFTGQIPASICISTPSFAVLDLWSFGATNSDAEFPQNGKIPNSIGQLNKKNSIGQLRRLEELHLDNNNMVGELPSALGNRTNLRFITLRGNRFTGDLGKVHSTMLDLKIPNFSMNNFTVTIHESIYSSSNLIALWLAFNRFRGHISQRIGDLNYPKVPLLPFNYQQLFYQYHKYTSDAQKHAITSPIC >OGLUM06G27030.1 pep chromosome:ALNU02000000:6:29368554:29377808:-1 gene:OGLUM06G27030 transcript:OGLUM06G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHVVVSGVLVLVLAATICGCAAACVEVERKALLSFLADAASRAGDGIVAEWQRSPDCCTWDGVGCGGDGEVTRLSLPGRGLGGTISPSIGNLTALVYLNLSSNSLSGPFPDVLFFLPNVTVVDVSNNCLSGELPSVATGATAHGGLSLEVLDVSSNLLAGQFPSAIWEHTPRLVSLNASNNSFHGTIPSLCVSCPALAVLDLSVNVLSGVISPGFGNCSQLRVFSAGRNNLTGELPGDLFDVKALQHLELPLNQIEGQLDHESIAKLTNLVTLDLGYNLLTGGLPESISKMPKLEELRLANNNLTGTLPSALRNWTSLRFIDLRSNSFVGDLTVVDFSGLANLTVFDVASNNFTGTIPPSIYTCTAMKALRVSRNVMGGQVSPEIGNLKQLEFFSLTISSFVNISGLFWNLKSCTNLTALLLSYNFYGEALPDADWVGDHIRKVRVILLEKSALTGAIPSWLSKLQDLNILNLSGNRLTGPIPSWLGAMPKLYYVDLSGNLLSGVIPPSLMEMRLLSSEQAMAEYNPGHLILTFALNPDNGEANRHGRGYYQLSGVAVTLNFSENGITGTISPEVGKLKTLQMLDVSYNNLSGDIPTELTSLARLQVLDLSWNLLTGTIPSALNKLNFLAVFNVAHNDLEGPIPTGGQFDAFPPKSFMGNAKLCGRAISVPCGNMNGATRGNDPIKHVGKRVIIAIVLGVCFGLVSLVVFLGCVVITVRKLMSNAAVRDGGKGVDASLFDSMSELYGDCSKDTIMFMSEAAGETAKSLTFLDILKATNNFSPERIIGSGGYGLVFLAELEDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFYIRGQLRLLIYPYMANGSLHDWLHESHAGGKRKVPPSLPLWPHSFQRPARVAARGGGXYMANGSLEDWLHERHAGGGTPQQLDWRARLNIARGASRGVLHIHERCKPHIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTPGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGRRPVETLPPPQGQQWELVRWVMQMRSQGRHAEVLDPRLRGNGDEAQMLNMLDLACLCVDSTPFSRPEIQDVVRWLDNVDTIGRADV >OGLUM06G27040.1 pep chromosome:ALNU02000000:6:29376238:29376720:1 gene:OGLUM06G27040 transcript:OGLUM06G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDDSSRISISDGGITPDRRFPERSTFGMAPSQLGIGPNHPNFSDVVADPVGVWQRLAVEVVREEQRGELFRFQNKPLMLTKELIVNEKNSSCFRLPISGETCPPMTLRLTRSAFIAVQV >OGLUM06G27040.2 pep chromosome:ALNU02000000:6:29376721:29378213:1 gene:OGLUM06G27040 transcript:OGLUM06G27040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGIVPVKLLDATSKTVRLARPEKSTTVRSPTKLFDRRSMNRRLVQLRNADGSVPVRLLLASRSSSSFGILLIDSGNPPVGQLGDALVIKLAFYLVQRELQVLERLHVEEVAGKFAGELPNPGEMTPLSTLTERSRTARAGQLTHKDGIVPWKLLLLAFSDTRRGVCSQIADGNCPAKRLLDTSSTSSERPPWAVAPVATLGSSPERQLLLTSTTVTLGRKNSTSGKGPERLLLDRLRYTSAVRLPIDGEIVPPSPRPGSDRRVTSPSPPHPTPSQVQQSGDRCHSATMPSPARDAASARKDSNAFLSTSTHAAAQPQMVAAKTSTRTPETTTWQLISSSLRGMITSSLRRASLSKSNAKDA >OGLUM06G27050.1 pep chromosome:ALNU02000000:6:29385978:29398830:-1 gene:OGLUM06G27050 transcript:OGLUM06G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERGDLLARLAGGRGVLFDGVTARVVARQHLPARRKIHKSAALFFGLAVVVLLSFTSPTSSCMEQEESSLIGFLDGLLQGGNGSLCMSWVKRTDCCKWEGITCSSDGTVTDVLLAAKGLQGHISPLLGNLTGLLHLNLSHNLLNGNLPMELLFSRSIIVLDVLNISSNLFTGQFSSKLWEAMKNIVALNASNNSFTGQIPSYICINSPSLAILDLSYNQFSGSIPPELGNCSKLREFKAGYNNFNGALPEELFSATSLEHLSLPSNDLQGVLDGSDILKLVKLTVLDLGSTGLSGNIPDSIGQLSTLEELRLDNNNMSGELPSALGNCTNLRYLSLRNNKFVGDLSKVNFTRLNLRIADFSINNFTGTVPESIYSCSNLALRLAFNKFHGQLSPRMGNLKSMSFFSIADNHLTNITNALQILKSCKNLTAVLIGTNFKGETISKSETIDGFENLRVLTIDSCGLVGQIPTWISKLKKLEVLDLSNNMLSGKIPFWISDLPVLFYLDITNNSLTGDIPTALMNTPMLQLGKNAAQLDPNFLELPVYWTRSRQYRLLNAFPNALNLGNNGFTGVIPPEIGRLKMLDGFNISFNRLSGEIPQQICNLTNLQLLDLSSNQLTGELPSALTDMHFLSKFNVSNNELEGPVPTGGQFDTFLNSSYSGNSKLCGAVLSNLCSPTTRKENFTSQRRNLRCALVTGITLGALVALALLACFLIGRLVYDDHTESLTHLQMRWLGAMPKLYYVDLSGNQLSGVIPPSLMEMRLLTSEQAMAEFNPGHLPLMFTLTPNNGAASRQGRGYFQMSGVATTLNFSDNGITGAIPPEIVKLKTLQVLDVSYNNLSGGIPPELSSLTRLQIVNLRWNRLTGTIPPALKELNFLAVFNVAYNDLEGPIPTGGQFDAFPPRDFTGNPKLCGEVISVPCGDRFDATDTTSSKVVGKKALVAIVLGVCVGLVALVVFLGCVVIAFRRVVSNGAVRDGGKCVESTLFDSMSEMYGDSSKDTILFMSEAAGEAASGVTFVDILKATNNFSAGNIICSGGYGLVFLAELQDGTRLAVKKLNGDMCLVEREFQAEVEALSATRHENLVPLLGFCIRGRLRLLIYPYMANGSLHDWLHERRAGAGRGAPQRLDWRARLRIARGASRGVLYIHDQCKPQIVHRDIKSSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWAATLRGDVYSFGVVLLELLTGRHPVEALPHGQQRELVRWVLQMRSQGRHGEVLDQRLRGKGDEAQMLYVLDLACLCVDSTPLSRPAIQDISSNILLDEAGEARVADFGLARLILPDRTHVTTELVGTLGYIPPEYGQAWVATRRGDVYSFGVVLLELLTGRRPFEVLRHGQQLELVQWVLQMRSQGRHGEVLDQRLRGMGDEAQMLYVLDLACLCVDSTPLSRPVIQDIVSWLDNVQFIG >OGLUM06G27060.1 pep chromosome:ALNU02000000:6:29394281:29403396:1 gene:OGLUM06G27060 transcript:OGLUM06G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPWLNPAQSVGPHGLSARLALIGPGDRAPAAAPDSGAGAHLEGLFGRAFGVIIIYQPPNQEACKQSKSDECSQVGSNKNGGKSVLFTHDMQRLPLPPCKRPSRKPMRELSSCSMQELVGEPILASPISTNFH >OGLUM06G27070.1 pep chromosome:ALNU02000000:6:29400118:29404308:-1 gene:OGLUM06G27070 transcript:OGLUM06G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWCVRAEDALAAAAAVAVASDKMRSVTLGGSIQRVMRRIGGGGGGRRSAGSRGAPQRAECLGAGSGDASASCSGDDSSNGTGKRDGSRRVRMRRYRSELEQEVKKLQRQLEEEIDLQLALTDAITNNATLILEPSAKLPNKAQELIISIASLENTVSKLEKDLNDLCYQLCHLRNNTRLAENNSRYLETLAEENNSRGLLSTSLQYQPPSTCKCTGEEDISTLRDIKLGESESMQENLFPGLEDQQNIQKESEGREIVSQDGLLKEHQDVPSNILLEKHWNEEMQESYPMENGGREYQIIDALSFDQSHQRKSSINGNVWNGNPNKLSEEMVRCMRDIFLRLSDSSSEISPKGSSVNSISSTERLSGCTLTSVSDSSLMASVMQSPSVDSNHDSIDEVRYFDPYNVNGKEVRRDIGNYCSVAEVSWMYVGKEQLAYASEALKNFRNLVEQLSKVDPTCMTCDERLAFWINLYNTLIMHAYLAYGVPENDIKLFSLMQKACYIVGGQSFSAAEIEFVILKMKTPVHRPQLSLMLALHKFRVTEEHKKYSIDDAEPLVLFGLSCGMFSSPAVRIFSAGNVRQELQESMRDYIRASVGINDSGKLIVPKLLQSYAKGTVEDSLLADWICRHLTPNQVAAVQDTSSSRKQRLLGVRSFSVVPFDSKFRYLFLPDNSSSQH >OGLUM06G27080.1 pep chromosome:ALNU02000000:6:29407817:29413471:1 gene:OGLUM06G27080 transcript:OGLUM06G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVDLVGGLLRELELAELMRREATRQPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSCSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEANSTTKFTAKRRKREAVAAAAVNNIHSRKEEERGRRCRRLRRRASSSPPPPSIEAAAASSPQLLPSRIKAATLLPSRIEAAAGNKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >OGLUM06G27080.2 pep chromosome:ALNU02000000:6:29408348:29413471:1 gene:OGLUM06G27080 transcript:OGLUM06G27080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLHVDLVGGLLRELELAELMRREATRQPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSCSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEVTVDLQEQKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >OGLUM06G27080.3 pep chromosome:ALNU02000000:6:29407817:29413471:1 gene:OGLUM06G27080 transcript:OGLUM06G27080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLWGALGQASSVAQLVGVDALGLVSMVVQAALAARRHRDACRRLGQHVDLVGGLLRELELAELMRREATRQPLERLQGALRRCYALVRACQDDCGYLHRLLLGARMADELRAAQHEIDMYIRLIPLISLVDSSNNNRRVTATEGVPSVVPSCSDHHTRFPRRILAFTEIHVQGATKPREIRGKSLEVTVDLQEQKIMDMEAIMRLCIQTEENYPGFRKFGFFQLVDATDGFSENRNVGIGGFGTVYKGQLLDGHTVAIKRFVVDAAIFDFKSELQLVRLQHTNLIRLLGWCIHEKEKILVYEFMQKGSLDNIIFHKRKGALLNWSKRLQIIKGLAEGLLYLHKHCLIVHRDLKPNNILLDHDMNPKIADFGSAVTLVSDVAEERTRRVVGTSGYIAPEYASEGRYSLKTDVFSFGVVVLEIISGRKNFIMEKQGDTVGNLIRDAWHMWRDGRLHELVDPALCDEYESPVIMRCTQVALLCAQEDPTERPTMTDVTRVLNSQSILLSDPKKPTELTDGGASTDRPSTYIGQSSKTIDITITSSAPVSTRVRIIVDPEI >OGLUM06G27090.1 pep chromosome:ALNU02000000:6:29414422:29414691:-1 gene:OGLUM06G27090 transcript:OGLUM06G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIVASLSRALVSTETVLLHQSTVVSTEVVREHEEGLALWLHSRAFSPRSKDDTRVQSYRSLVLVRLRRDSACAVAMVPEPDGRTG >OGLUM06G27100.1 pep chromosome:ALNU02000000:6:29419998:29442244:-1 gene:OGLUM06G27100 transcript:OGLUM06G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPDALPSCSEDPTDFRWLMREIKSAWNVKKELEKLETPLRSICAVLRDAECKQSTSHSLQEWLDNLKDAVYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPQIIGRDEAKRKTVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGVPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFISENEHAVISCEKTAFSKRVKHLVWDCKDFSGELEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALERFALNNCNELDLMEPGEALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIDDCQGLEKLPGFIQSFTCLKKIVIYDCPKLGRRCTAESGEDFHLIHHVLRIKIDNKIWEKVLFDTMNSRYAVHLLQLRLLLEAVLKFLVV >OGLUM06G27100.2 pep chromosome:ALNU02000000:6:29420015:29442244:-1 gene:OGLUM06G27100 transcript:OGLUM06G27100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPDALPSCSEDPTDFRWLMREIKSAWNVKKELEKLETPLRSICAVLRDAECKQSTSHSLQEWLDNLKDAVYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPQIIGRDEAKRKTVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGVPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFISENEHAVISCEKTAFSKRVKHLVWDCKDFSGELEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALERFALNNCNELDLMEPGEALSGLGSLRALNLVGLPKLVGFSASFQSAASSLQYFCIDDCQGLEKLPGFIQSFTCLKKIVIYDCPKLGRRCTAESGEDFHLIHHVLRIKIDNKIWEKVLFDTMNSRYVSLEDQEEPSICSSFGSCLRLY >OGLUM06G27100.3 pep chromosome:ALNU02000000:6:29415989:29442244:-1 gene:OGLUM06G27100 transcript:OGLUM06G27100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPDALPSCSEDPTDFRWLMREIKSAWNVKKELEKLETPLRSICAVLRDAECKQSTSHSLQEWLDNLKDAVYDIDDVLDDVSTEALEQEVRNSFIHRTRNMLTYPFKLSQKIKEVRKKLDEIAANRAQFGLTEHVIDVHTSGSSNRETHSFITEPQIIGRDEAKRKTVETICTATESNPLSVLPIVGLGGIGKTALAQLIYNDVQITETFQKKLWVCVSDVFDLKKILDDIMQSGTGKSNKHLNLEMLQSKVRGFLCEKRYLLVLDDMWNDKVNEWDELKCLLSSGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGVPLAAKTLGSLLCTSHDVGEWRRVMEDKIWNTEAKVYGLIPALKLSYDALPPHLRACFSCLSIFPKDHQLFYSTTVMLWMALGMLNTGKESKQMISAGSKCFHDLLGRSLFQDQIIVYDETIQSCKMHDLIHDLAQFISENEHAVISCEKTAFSKRVKHLVWDCKDFSGELEFPKQLKKACKVRTFSSIYNYGTVSKPFLEVLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRLVNLQTVHLAQCDQLEGLPRDVHQLVSLTYLNLMSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELRIFNCPKLASLPSAMKQLSALERFALNNCNELDLMEPGEALSGLGSLRDARLNPARIFISSTTFCGFTSTIKSKKR >OGLUM06G27100.4 pep chromosome:ALNU02000000:6:29415999:29419824:-1 gene:OGLUM06G27100 transcript:OGLUM06G27100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDFVRGHRDTMVEVNISSLALSVLAKAASFGSDWAVTEIKLAWNVKKELEKLERLLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNGFINRTKHMLTYPFKLSHRIKKVREELDEIAANRAQFGLTEHAIDVHTYGSNNRETHSFITEPEIIGRDEAKHKIVEMICTATESNPFSVLPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDLKKILDDIIQSGTGESNRHLNLEILQSKRYLLVLDDMWNEKVNEWDELKSILSNGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGVPLAAKTLGSLLCTNRDVGEWRRIMEDKLWNIEAEVCGIIPALKLSYDTLPPLLRACFSCLSIFPKDYEIFVYTLVMFWMALGMLNTGKESKEVISAGRKCFHDLLGRSLFQDQFVVYDETIQKCKMHDLIHDLAQFVSEKEHAVISCEKTAFSKRVKHLVWDRKDFSVELKFPKQLKKACKVRTFSSIYNYGTVSKSFLEDLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRYLDLQWNRKIKRLPSSLCRLVNLQTVHLYRCDQLEELPRDVHQLVSLTCLVLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELCIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRSLNLVGLPKLVGFPASFQSAASSLQYFCIDDCQGLEKLPDFIQSFTCLKKIVIRDCPELSRRCTAESGEDFHLIHHVLRIYIYNKI >OGLUM06G27100.5 pep chromosome:ALNU02000000:6:29416001:29419824:-1 gene:OGLUM06G27100 transcript:OGLUM06G27100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDFVRGHRDTMVEVNISSLALSVLAKAASFGSDWAVTEIKLAWNVKKELEKLERLLRSICAVLRDAECKQSTSHALQEWLDNLKDAVYDIDDVLDDVATEALEQEVQNGFINRTKHMLTYPFKLSHRIKKVREELDEIAANRAQFGLTEHAIDVHTYGSNNRETHSFITEPEIIGRDEAKHKIVEMICTATESNPFSVLPIVGLGGIGKTALAQLIYNDAQITEKFQKKLWVCVSDVFDLKKILDDIIQSGTGESNRHLNLEILQSKVRAFFCEKRYLLVLDDMWNEKVNEWDELKSILSNGGSGSVIIVTTRNMSVASIVKTLEPYDVAKLTDDKCMQVFMHYAFRGGGPHDPKLLEIGKSIVEKCCGVPLAAKTLGSLLCTNRDVGEWRRIMEDKLWNIEAEVCGIIPALKLSYDTLPPLLRACFSCLSIFPKDYEIFVYTLVMFWMALGMLNTGKESKEVISAGRKCFHDLLGRSLFQDQFVVYDETIQKCKMHDLIHDLAQFVSEKEHAVISCEKTAFSKRVKHLVWDRKDFSVELKFPKQLKKACKVRTFSSIYNYGTVSKSFLEDLFSTFTLLRVLIFSETHFEELPSSIRNLKHLRYLDLQWNRKIKRLPSSLCRLVNLQTVHLYRCDQLEELPRDVHQLVSLTCLVLTSKQKYLLKSGFCGWPSLTFLYLHHCVELTSLTEGFGSLAALRELCIFNCPKLASLPSAMKQLSSLEKLVLNNCNELDLMEPGEALSGLGSLRSLNLVGLPKLVGFPASFQSAASSLQYFCIDDCQGLEKLPDFIQSFTCLKKIVIRDCPELSRRCTAESGEDFHLIHHVLRIYIYNKI >OGLUM06G27110.1 pep chromosome:ALNU02000000:6:29445908:29448657:1 gene:OGLUM06G27110 transcript:OGLUM06G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLYLRSSGSFKKLLLSIGHRGAKNGNGDAAAKERYTPAAAAAAPESPRKPAWRCFSYEEIHRATNAFHEGNLVGKGGSSEVYRGELPDGRAVAVKRLMGAWACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFHFSGRGSVSANLHDEKKAPAMGWAVRRAIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDFQPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLEIMTGRKPVDGSHKSLLSWARPFLNEGRIESLVDPRIGGDYDGEEARRLAFVASLCIRSSAKWRPSMTEVLELLEGVEIRQERWTMPEAVEDDDDEELWRFDDLDDEDDEEFNTASPSSCSSSLSN >OGLUM06G27120.1 pep chromosome:ALNU02000000:6:29449012:29455351:-1 gene:OGLUM06G27120 transcript:OGLUM06G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKLTQALVDYSMTHKEWFWKLCSNQIYLLSNMEHTLMNWGVVKHLAAAGFVYWTIDDNHVKSMHTPRHAQQLPESREDKNTPAALHKFTIHLNNCHNH >OGLUM06G27120.2 pep chromosome:ALNU02000000:6:29449012:29455351:-1 gene:OGLUM06G27120 transcript:OGLUM06G27120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASYFSGTALMPSQRSGAPAPEYSAAGTGAAAAPSPSKPRDPRFSGCVPATVLHISRSFAAALAADGGGDPVFSIDGVETTNVRVLGRVVSVVSRDTDVCFTLDDSTGKIPLVRWITDQSDTRDTSYIQEGVYVKVQVNLMGFQAKKQGLARSIRPINNFNEVVLHFIECMHVHLESVQSKMQRQLPPSVQTNEYTHVPSSGGVRDYQVHFTPQVNQGLPPAVQTNTSTYVPLLGGVRDHQAHFAQVNQGQFSPAVQANTSTHLPFSGGVGEHQIHFTPKVNQGQFPPSVQTNTSAHVPYSGGFREHQVHFTPVNQGQFPPAVQTNLYNHAASSGGVREQVHLTQANQLTQALVDYSMTHKEWFWKLCSNQIYLLSNMEHTLMNWGVVKHLAAAGFVYWTIDDNHVKSMHTPRHAQQLPESREDKNTPAALHKFTIHLNNCHNH >OGLUM06G27130.1 pep chromosome:ALNU02000000:6:29455578:29458549:1 gene:OGLUM06G27130 transcript:OGLUM06G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKAAFEKEYKSSPHRRAQGELIRRAKRRLAASPLQLRAVVVGGGALSVLAGGSREPSAQMGHGPRRLVSGAYAMPPWVPIEVKIDQKWKRAFVLRQADHRGLCLVRVTGTPDAEPVMVPLSTIRLPSRVHRAKRRPETAMQIQVKKARIEQSSDDEE >OGLUM06G27140.1 pep chromosome:ALNU02000000:6:29459360:29462748:1 gene:OGLUM06G27140 transcript:OGLUM06G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQTALAEGSNSDSTPMLYSCALCGKEYRSSKAHAQHLNSRSHLMKASQEPNASIAGITIVKPRPERVQRRAPSAVEEDEDEDEEEEWVEVDPSELESTSEMQVDEHSSKSDDEMDEFEELDPTFCFMCDLEHDTIENCMVHMHKKHGFFIPDSEYLKDPNGLLIYVGLKVKRDFICLYCNDRCQPFQSLEAVRKHMDAKGHCKVRYGDGGDDEDADLEDFYDYSSSYADVEGKELVAADDKDNIELGSGGAELVITNKSEKGTRVRTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQVVRLKVLRAMNKTGVETMRTKIGMKSNVIRNLPKNCPY >OGLUM06G27150.1 pep chromosome:ALNU02000000:6:29463152:29465090:1 gene:OGLUM06G27150 transcript:OGLUM06G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTSFSKEAMRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRWCKRIKEEDNELVEMESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKAHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >OGLUM06G27150.2 pep chromosome:ALNU02000000:6:29463364:29465090:1 gene:OGLUM06G27150 transcript:OGLUM06G27150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTSFSKEAMRKLMGEEAHYRPSFADIEDEDFQCWLNIGLWEYQPRWCKRIKEEDNELVEMESGWAEHHLESFDTKQNLAAYVRALRSCWGFSYEDRLKAHIPVATDRVLPLSLASSYKGMRLVTLQSKEKAARLKSLRAMNKTVENMRTKIGMKNNVIRKLPKNCPY >OGLUM06G27160.1 pep chromosome:ALNU02000000:6:29466123:29470758:1 gene:OGLUM06G27160 transcript:OGLUM06G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRDTRYGR >OGLUM06G27160.2 pep chromosome:ALNU02000000:6:29466123:29470757:1 gene:OGLUM06G27160 transcript:OGLUM06G27160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRSVEAVYC >OGLUM06G27160.3 pep chromosome:ALNU02000000:6:29467152:29470758:1 gene:OGLUM06G27160 transcript:OGLUM06G27160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYRDTRYGR >OGLUM06G27160.4 pep chromosome:ALNU02000000:6:29467152:29470402:1 gene:OGLUM06G27160 transcript:OGLUM06G27160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGNLMMKKVVRPSSFDFDIQLDKSWTEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANMKVSTIAVAPLDSIHIVAPNVNNRPSCPLCRGDVIGWIVIGEARLHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWENFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDETGEEYEVFRRVRRHWWSCMFFRGFSRSSRRRRRARARERRGSGRRNSNQAHLESFNLEVPTQSVDLREIRFDEIDDEYIVTGAIPSIATPGRMASFHYSCTTENCNCHCHCHWSIAQNGLSHNSYKISAA >OGLUM06G27170.1 pep chromosome:ALNU02000000:6:29471427:29472293:-1 gene:OGLUM06G27170 transcript:OGLUM06G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGVLRRWKRFFPAFASIHAAIKAAEPGISRREFRDATDKVVAMLCNATDDEAVAEELRVVLDGMMVEALLTLELVPAMPKMLASTDLAQDVGALRNHPSERVRSLAIGIVRGWRASVKDELLKAAAAMEKLSQAMEPDEADDHHAKILQPSPPKKTANTSRSQPPFPKKQSARPVVGGSRVTTTAKIDPPPEKAPAAAAARSSHHRESVVPCCTDEKAMNAAKRKLREGYQEAEEAKRRRTIQVIQAPDRQRKMQAITRPRSRPSFAAAASTAKKSSGFSSLRRF >OGLUM06G27180.1 pep chromosome:ALNU02000000:6:29472652:29473734:1 gene:OGLUM06G27180 transcript:OGLUM06G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRSAADSGIADADEHAGGGGGGKRSCIESTDNYEVTCWLRKGAFGAVIRARHRATGRDVAIKFLRRHRCGMGGKAVGEDALLREALYLARCSHHPSIVHYHGLALEPRSGMWGLVMEHVGPSLSSVLRERHGGGGGPPFTEEEVRRVMRQLLSGVQRLHDRHVVHRDIKPGNILVGDGGVVKLCDLGLAMDTAARKPPYQKAGSPGYKAPEMLLGKPDYGELVDAWSAGCVMGELLAGVPLFRGHSETDELLRIFRLLGAPCRQTWPSYPSLPLFGAVPICRSWDRNRLRDLFPEERLSRDGFEVLNGLLTCNPDARLSAAEALRLPWFNATVDGIAAADETKIGQPAASPRQDPLW >OGLUM06G27190.1 pep chromosome:ALNU02000000:6:29473913:29476201:-1 gene:OGLUM06G27190 transcript:OGLUM06G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEIRKCIDNGTEFRGDLLNFRKDGSPLMNKLHLTPIYGDDETITHYMGIQFFTNANVDLGPLPGSLTKEPVRSTRFTPDNFFRPISTGPGQSNFCREYSSLFQLTDEVLCQSILSRLSPRDIASVSSVCRRLYLLTRNEDLWRMVCQNAWGSETTRALETVPAAKRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHVNVSSAPPGRWGHTLSCLNGSLLVVFGGCGRQGLLNDVFTLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDKPVWREVPASWTPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVFTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASSTV >OGLUM06G27200.1 pep chromosome:ALNU02000000:6:29476210:29478979:-1 gene:OGLUM06G27200 transcript:OGLUM06G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSESDGAGSIGAGEEEEEEEEEEEGGFGGGGGGGGGGGGMFSFAIEGMLRASGPCGLVVTDALEPDCPIIYVNCGFEEATGYRAEEVLGRNWDQNATPKVKEPSDSCNAEGPLRKGDIP >OGLUM06G27210.1 pep chromosome:ALNU02000000:6:29481950:29486888:1 gene:OGLUM06G27210 transcript:OGLUM06G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEEEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYRAVEVSVLLLTCTQRSNPRSPWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >OGLUM06G27210.2 pep chromosome:ALNU02000000:6:29481950:29486888:1 gene:OGLUM06G27210 transcript:OGLUM06G27210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G39910) TAIR;Acc:AT2G39910] MAPPAAGAAIPRDALLRIAAPLRDSLAAAPYAPPEGSSTSTKSLLSSLLPSSHPQAPAGGGGARSKEAAGLLLFCAAARAASPEYPALHWVPVALSDAAAAAVEEMAAAGGWGDVGEMVVGMMPEVVPPLKDVVKATCVDTEEEEIGKEKPPKEHAVVAAHQFRWLVSQVTYPKLGDLCWLVIPCALTALDHWSPEVKEQGMVSFMHIAKSVKATELNLYEDAILDACCHNIPADDELWYDRMLAEMLGHLERQPLNKKRRVAWLTLIGPVFEAMGLFLLAHFRLLFSLFFQWMHADDDKTVLLVLERIHEVIKLTWIRKSPYTSRLVDELVLLYKESATRSSREVVRNHILEMLATLQKCKGQQFEEAWKKHEVDPDLTMLLSCFNELCTKNHSS >OGLUM06G27220.1 pep chromosome:ALNU02000000:6:29486255:29488086:-1 gene:OGLUM06G27220 transcript:OGLUM06G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAYFAFSVLVALHLCCCHGQAPDAAGSSSDVTAIYSLGDSITDTGNLIKEAPPGMFETIKHLPYGITFGYPTGRCSDGLLMIDFLAQDLGLPFLNPYLGKNKSFDHGVNFAVAGATAMDPTDQFNGRFFAPFSSNSLNVQLRWFKDFMKSTFSTEEGNSPDQFHIRKRLQSSLVLIGEIGGNDYNYALFGKSVSEVEKLIPGVEVLEMGANRVIIPGNFPIGCMPTYLTSKRSSEPSDYDATGCLRELNRFAAKHNARLRRAIADELRPSYPAAAVAYADYFNSFLALLDAAGELGFDAGSARRACCGAGGGEYNYDPRRMCGAEGAAACAEPEKYVSWDGVHMTQAAYRAMSRLVYHGMYLEPQILSLADAP >OGLUM06G27230.1 pep chromosome:ALNU02000000:6:29490548:29500380:1 gene:OGLUM06G27230 transcript:OGLUM06G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGAVRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >OGLUM06G27230.2 pep chromosome:ALNU02000000:6:29490548:29500380:1 gene:OGLUM06G27230 transcript:OGLUM06G27230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGAVRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >OGLUM06G27230.3 pep chromosome:ALNU02000000:6:29490548:29500380:1 gene:OGLUM06G27230 transcript:OGLUM06G27230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGAVRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFW >OGLUM06G27230.4 pep chromosome:ALNU02000000:6:29490662:29500380:1 gene:OGLUM06G27230 transcript:OGLUM06G27230.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G44230) TAIR;Acc:AT5G44230] MVRLIPRLHAPPPPPPRVPAGLPLPLLPAPALAATLLEAVSSSPSLPHLRHLHALAVRLPLPATSLPFLLSRLLRRLAALPPPPHAPLPYALNVFSAVSPPDPFLAAALLRFAFLTQPPLLPFRVFSHLRRAHGAELPFLPFAFSTLAKSATASRSLPAAAAAHAVSVLVGGFDRHRFVENSLIGAYVACGDVGAARKVFDEMVEKDVISWTSIVVAYTRSGDMRSAEEVFGRCPVKDMVAWTAMVTGYAQNAMPVKALEVFDRMAELGMVIDEVSLTGAISACAQLGAVRRAAWVQEIAERTGFGNNVVVGSGLVDMYAKCGLIDEASKVFYGMQEKNVYTYSSMIAGLASHGRASEAIALFKEMVNRANVEPNHVTFIGVLTACSHAGMVGEGRYYFAQMKDKYGIMPSADHYACMVDLLGRAGLVDEALDLVRSMSVTPHGGVWGALLGACRIHGKSEIAKVVAEHLFKLEPESIGNYVLLSNILASAGKWEEVSKVRILMRKQRLKKDPAVSLFEGRDGLVHQFFAGDNAHPRTHEIKKALLELVAKLKLEGYVPILSSIVYDVNDEEKERLLMGHSEKLALSFGLLTLGSGCTIRIIKNLRICDDCHLFMRLVSRVESVEIIVRDNMRFHHFKNGECSCGGFCCALRYIMELEQLLCSHFAAEIWRYHGPTAMSYPRLSFTVVTIPTLGSAHSRLRKRGESKCRKIPNKEEQKPNERKTVTYSLPKCRQATCR >OGLUM06G27240.1 pep chromosome:ALNU02000000:6:29493332:29494709:-1 gene:OGLUM06G27240 transcript:OGLUM06G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKLSGMATDLFGLHRILSSLLEIEGTRTTREIKSKDSIQKLAKVLLKANWQKDLHHILVEPMEKSKQDSRESVRRTIMEHDEVFRQQVHELHRLYRVQKSLMAELGGEKHRFQSRTGETQEMMQGPLSNLKNSPSTSETTQSAHLGSTQHCAPEQVPEHSILQEYKPATCLNFFTEETSRTQEFRREGGRSTGGENWSASDPSVENDLDLKLTIGPSLHATKAPHWLLSGSRERNPSGQHR >OGLUM06G27250.1 pep chromosome:ALNU02000000:6:29500633:29502087:-1 gene:OGLUM06G27250 transcript:OGLUM06G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G61360) TAIR;Acc:AT3G61360] MPLLLAPPPSAARPLLAPTVPHLARTLLAGAPETPPLLLAILQASPPLLTPLLSHLLLSHSPPLPALSLFRRLLALPGFPVPEASLPALLRLLARSRRHAVLSFRLLDSLPPTHPHLLSTPALAVLLSTALSASAPGASFDAAVTCFDSAARVWARAGREFGVAELNALLRAFCARGRVAEARALFHRYCDAYPPDARTFNTLLLGFKEAGNAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFLDALDLLDEMRKRENCRPTLQVFTTLIYGAGIVRNAIRARQLFDEMGQWGVTPDRGAHNALMGAYVRARDLQSGMTVMSEMERKGIGLDDVSYNTMLCGFQRIGDLEGIWKVYSKMVGSGFMPRTRTTMLLMKVFCENARPDLGLELWDYLLGKGCVPHRHALDVLVTGLCCRGVVLEAYRCFREMIEMGMTPTERAFRVLEGFLKRKREFEKLEEIRQMMKAAQLDEHQRDEEAA >OGLUM06G27270.1 pep chromosome:ALNU02000000:6:29504483:29507672:-1 gene:OGLUM06G27270 transcript:OGLUM06G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSCSCGLIDWCACADDLCEGDAKNAHLMSLDSTAERLRLFKADLLDYGSVAAAIAGCDDVFHVACPVHTLAAAVTGTTNVRKACSEARLGLGRVVVVSYVSAAMVIVCLIICSTEELAHAEWALSPKSGARKNEKGALADSNKGLLPPPLLSVPCENFSHETSEQTVATIVFQSWR >OGLUM06G27280.1 pep chromosome:ALNU02000000:6:29513150:29514185:-1 gene:OGLUM06G27280 transcript:OGLUM06G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPTSLRCFLTGRPLCTAGSSAAVLPLPKPRRRRPSARLSCRAADEAPSMRGDLPVKLGKLAMVALAAGVLALSPVDDAMAAKSGGRVGGQAFRSAPRSAPRPSGPRINNSRTNIYINPPVAPPLVGGYGYGYGYGWSPFSFFAPGPSVAVGVGGGFDTLVLFIVLGTIVGAVRRFLNRNDDDYDDY >OGLUM06G27320.1 pep chromosome:ALNU02000000:6:29596800:29599211:-1 gene:OGLUM06G27320 transcript:OGLUM06G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSGRSISTTGFLRRGSSVSLKDQGNEERPNKTKLNPMNARWADSKEKPRYLREPFRSSGTKAACPSSSKAPVRKYFEEKQGRTFLGEADNAESSSRRTEANRLQCSKKAVVEEDVHPYGQQDEPEDLLSTSTTEDQPAELDPELLDSSVSSGVSAHAIGSVVRNAALRSKSRQQKGKEELCQIRPQTASAFVNRSTIPRNSTNGVKSSNAAGPGVQRRTLKNLGCTSISDVLPSGCSSSNSVHNKRAEVMRNRAFDGESSSRPRGLNGHSSLGHSPAMYSGITGPRVRTAEQSASQQTRTSSRSIQESADSSRIRRPSTQHARVRVPNEREDSVFALRETLARARQPEWAHFSLGEAAPRRSMRPFSMELPHEIYSSSRQGSSNQTARSRSSYRPDESPPQMFHGLLVERENYRRINMEGIAEVLLALDRIEQDDELTYEQLLVLETNLFLSGLGLHDQHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQLVKCLKRNVYKLPNSDLEANRAVLDDAKCSICQEEYIEGEEVGRMQCEHQYHVSCIHEWLRQKNWCPICKTSAIPSEMDKGGT >OGLUM06G27330.1 pep chromosome:ALNU02000000:6:29607824:29609632:1 gene:OGLUM06G27330 transcript:OGLUM06G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPSPRSRDSARPKSTSGRPPSSPRSSDTTRRSSFTAASSSDKPVPSFLRPTVSSSLHSSSSSSPSSSSLLSSSPSSKGTGTTPRRSADKAPSASAASSRPITPKDKAPAVATSRPITPKDKAKAAAASTSRWSAVSPRQLMQKASNAFTRGSSSKSRAAKKDKEAATPAATSAAAKDAATPASTSAVRKKAATPATSAAGKAVAGASPGPTRAQPTEEHHQQPTEAPPAEPSPAAAAVQEEAVTSRAQLTEEHHQQPPEAPAEPSPAAVAVQEEAAAAETEAEQVKQPDETPQEVVAAVEEKVQDEQVSTEAAEEAIAVEEDAAAVKTDAPEPEETQTQTGAIAESETEFQRSSEDEPASDAIVEEAAIESATQNEPDEPESTTVEEMAVEEEIKTDESQQEETPKPEERMENSETSVISEDPKEMDAISEDQKVEEPVVAEEQEELSEVVKMAVEPSISSEPATPLEEEDRDDVETEQANSSEPATPVEEEEDRDDVETEQASSSDPATPLNEEAINEEGTSTETGKTKKVAFKGSKVKTAMERRPEGEQPRKKDVARSNDVIEETKRTLMMKRKSKVKALVGAFETVMDTPSPGKSS >OGLUM06G27340.1 pep chromosome:ALNU02000000:6:29610924:29614105:-1 gene:OGLUM06G27340 transcript:OGLUM06G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67940) TAIR;Acc:AT1G67940] MRRLGLSLHHDTSPSFAALACNQRPPPNGTVHACSKSRPPQLEPGKVGKKPIKKTMRIARIPTSIPPHLRLPLDLSAVSPMGSASEHDVREHLLDVDGVGEEGAAAAAGPKIRVRGLTRRSEASGEEILRGVDLDVPRGVVVGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGVDICGIDVLALRRKVGMLFQLPAMFDGTVADNVRYGPQLQGKKLTDAEVQSLLSLADLDPALCSKPASELSVGQAQRVLLLDEPTSALDPISTQNIEEAIVRLKKTRGLTTVMVSHSVKQIQRIADLVCLLVAGEVVEVLPPSELSEAKHPMARRFLELS >OGLUM06G27350.1 pep chromosome:ALNU02000000:6:29617334:29618536:-1 gene:OGLUM06G27350 transcript:OGLUM06G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL43 [Source:Projected from Arabidopsis thaliana (AT5G05810) UniProtKB/Swiss-Prot;Acc:Q5EAE9] MEPSRRLLLSDYDGAIVSPLPSPPPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSGGAFGSSGGGGAGERRNSGVDRAVVESLPVFRFGALRGQKAGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKVAAAAAAAAATTKDKEASLAPAAPAPSPAFRGFFSGRHSTGSVRAPGRVGPASRRSADLVGGDGGAVVGCFEAAKVRKDRVLLMEPAAAVAEPDPEAYDRRFGHRILVSTAGGCEDETAPAAKQRWSEVRPSDLMFVRSEMLVTEAGRYSCSAAVHSGNGRSVISARSLSELAGVSRLPPIRAGGEPRAGARRWPGSSWWARGPPALNGPST >OGLUM06G27360.1 pep chromosome:ALNU02000000:6:29631187:29641299:1 gene:OGLUM06G27360 transcript:OGLUM06G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MDPFVGFGHLVSSIRRISRIGGALSLCLVHAFALCADMRGGASLRLRWPAALVAVVAAAVTAAAAAGHGDHNFHRDFDAVWGKGNARFRDGGRMVELTLDEQTGARLQSKERFLFGRFDLEIKLVRGESAGTITSFYICSGGARHDEVDFEFLGNVSGEPYLLHTNIFSDGKGEREQQFVLWFDPTADFHTYSILWNPHNIILYIDGTPIRVFKNNEAYGVPFPTRQPVHVFASIWNAEEWATQGGRVKTDWSRAPFVATYRRYNVSNACVWDAAGAGASRCAGGGGGWMRRRMDWWSWMTLNWVRMNYMAYDYCADRKRFPHRFPAECIIPIGRTPKKKQPG >OGLUM06G27360.2 pep chromosome:ALNU02000000:6:29641264:29643076:1 gene:OGLUM06G27360 transcript:OGLUM06G27360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MDPAHVRYITPSSRLWSNHPTERATLPLQWPIKTAASHNDLSPPLHENHPIDQKPNPIQQCYAHTMASSSNSGRTPALAAAIVCSVLLLAGGAAGNFYQDVDITWGDGRGKIIGNGQLLTLSLDRSSGSGFQSKNQYLYGRFDMQIKLVPGNSAGTVATFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVLWNPYHILFYVDGTPIREYRNTEATTGVAFPRAQAMRVYASLWDAEEWATQGGRVRTDWSRAPFTASYRGLAASGCTSQDATACANPGSPWMYQQQLDSASQDRLRQVQRDYMIYNYCADTYRFPQGLPPECTANREMGKGYEEDNKSTTMDV >OGLUM06G27360.3 pep chromosome:ALNU02000000:6:29629577:29631377:1 gene:OGLUM06G27360 transcript:OGLUM06G27360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 21 [Source:Projected from Arabidopsis thaliana (AT2G18800) TAIR;Acc:AT2G18800] MRTVALGIVAMACLVAMAHGGNFFQDAEVSWGQGRGKIVDGGRGLDLTLDRSSGSGFQSKSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTQSFHTYSIIWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRVYASLWNADDWATQGGRVKADWSKAPFVASFRDFNADACVWSNGAQRCPVGTMETVAAPAGGRRGGAGGWWNQELSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGTPAECKLR >OGLUM06G27370.1 pep chromosome:ALNU02000000:6:29643247:29645497:1 gene:OGLUM06G27370 transcript:OGLUM06G27370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGMEPTALGTRRRLDFRTPPGTCLAAASFAFAGEAVVGASRFSYTTTTTERLRISESQTQD >OGLUM06G27380.1 pep chromosome:ALNU02000000:6:29645067:29645766:-1 gene:OGLUM06G27380 transcript:OGLUM06G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPGLSGGEAFRLSVDTGVGALKLHKGDITLWSVDGHHRHPIHRAAGPELVEPCPVQRRGAGRFSYADELLKAFCAVFPSSSSSSSLPTQPQPE >OGLUM06G27390.1 pep chromosome:ALNU02000000:6:29653584:29654646:-1 gene:OGLUM06G27390 transcript:OGLUM06G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADT1] MAALLVVVLVAMSAMVATANFNQEFDITWGDGRGKILENGQLLTLTLDRTSGSGFQSKHEYLYGKIDMQLKLVPGNSAGTVTAYYVSVTFLCRRRRRRCCRRCDHLSSQGATHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREMQFRLWYDPTKDFHTYSILWNPKHIIFMVDDMPIRDFRNLEGKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWTHAPFSASYRGFRADACVVAAGGRTRCGATVGTDAAPGTGAAAAAGGWYNQELDLTRQQRMRWVQSKYMIYNYCTDPKRFPQGVPAECSM >OGLUM06G27400.1 pep chromosome:ALNU02000000:6:29681512:29684701:1 gene:OGLUM06G27400 transcript:OGLUM06G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASGVSPRRGTPDSDEEDYEEYVPVAKRRTMEAERLRRATKPPTTNAVAVGAPLPPPPPPRSTDEVALKTSLLVKATKLKREAPEVTPAERLLQQEREMIEHLSDRKALMPVGEIAKGISYSEPITTGWKPPLRLRRMPRSRADALRRRWHILVDGDDVPPPSRSFGDLRLPEPILRALRGKGIEKPTPIQVQGLPVALSGRDMIGIAFTGSGKTLVFVLPLIMAALQEEILMPIVPGEGPFGLVVCPSRELARQTHEVIEMFIGSLMEAGYPEIRPLLCIGGVDMRTQMEVVKKGVHIVVATPGRLKDLFSKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPDKIQNFAKSALVKPIIVNVGRAGAANLDVIQEVEYVKEEARIIYLLECLQKTPPPVLVFCEHKADVDYIQEFLLLKGVEAVAIHGGKDDEERKDAFKSFKASEKDVLVATDVASKGLDIPDIQHVINYDMPAEIENYVHRIGRTGRRGKTGVATTFINKNQTETTLLDLKQLLIESKQRLPPILADLDDPQEDDKVAIAQQSGVKGCAFCGGLGHRIEACPKQQLQNSVTLARARNDYFGGGGYRGEI >OGLUM06G27410.1 pep chromosome:ALNU02000000:6:29688706:29689537:1 gene:OGLUM06G27410 transcript:OGLUM06G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRWPARRAAALCIAVVVLQLAAAAAARSLSSSRRRAHDHGHRVALPASAAAAASSLQQPVHRAVAKAKGGGRSTAFDAGGGVPCKEKSGGHGGAPSPCSDDDDKRVVPTGPNPLHNRNKNCPHQLFLHGVFVSIPQVEDSAVHHV >OGLUM06G27420.1 pep chromosome:ALNU02000000:6:29690785:29692973:-1 gene:OGLUM06G27420 transcript:OGLUM06G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPLLPPLSATYTRTQPCKNPCQFSQPTPAFPSRPLTPPPPPRKMVEHLFEDIFTVTRLDPDGKKFDRVSRIEARSEQFDMYMQLDVATEVYPMRAGDRFTMVLAPTLNLDGTPDTGFYTQAGRKTLADKFDYVMHGKLYKISEDSSSGQATKVEIYASFGGLLMMLKGDPSSAASFELDQRLFLLIRKV >OGLUM06G27430.1 pep chromosome:ALNU02000000:6:29702891:29704402:1 gene:OGLUM06G27430 transcript:OGLUM06G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLKLTWASLGSLFATAVLVRTAVRDFLPPEAHGLLRALLSRAAAALAPPCDAIIVHETDANGVPNELYEAAQLYLGARCLATAPAMHLHKTHGAAAAVASLPDSHATLDAFRGVRVLWTSQLDGTASSSFGGSSSSSRGFVHHPFPIGGRQRCLRLEFRRRDRDVVRDAYIPFVLEEAAALRAKMRERKLYTNNSGFYGGGGGGMDDHQMLWKAHKFSHPSTFDSLAIDPALRDDIRADLLRFVRSREHYARAGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDIYDLELTTVTSNYDLRRLLASTRPKSVIVVEDVDCSLGLFDRTRSPAPPSSQDDDADADEQRNRAMLQHALTLLPPAVEAAMQRETISLSGVLNFVDGLWSSCVGERLVVFTTNHMDRLDPALLRPGRMDRKVELGYCKAPALRVLAKNYLGDDDADDHDEIMGEAGRLLEEVQVTPADVTEVFMGCDGDDGAHDALQKLVDELNARKEKMNGPK >OGLUM06G27440.1 pep chromosome:ALNU02000000:6:29709297:29710805:-1 gene:OGLUM06G27440 transcript:OGLUM06G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLDWRSVGSLLATIMVFRTAMRDFLPPEAEIFLRRLLTRLAAAFRPHVGTILIDEADGASGGANDLYDASQLYLGARCLATALTVRLHKPRQAPRPVASLPDAHTTHDVFRGVLVKWTARPVERGASAGGGGGGVFNPYNPYGRGGGGGEPRRLELQFPRQHRELIHGHYIQHVIDEATKMRLRSRERRLYTNRAAAPGDDHHRLWTSHAFSHPSTFDTLAVDPALRDDIRADLLRFAARREHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRKNKASDGENAAQLSIISPAAAAAMAAMGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCSPPALRVLAKNYLGVGDEGCEDAADDPDTVSGLMADAEGLLAAGVLITPADIAEVFMGCDGAGATAALRKLADELRRRRDAPAVPVTEEAAMTTE >OGLUM06G27450.1 pep chromosome:ALNU02000000:6:29712057:29712260:1 gene:OGLUM06G27450 transcript:OGLUM06G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGRRHHRQTTFLHVAAALLDAAAMDEVAAAVHWAALKWAQVQQERRWREDSLPLILGSDVGAWI >OGLUM06G27460.1 pep chromosome:ALNU02000000:6:29714226:29717090:1 gene:OGLUM06G27460 transcript:OGLUM06G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRPSVARTQPSAQGPPPHHAPPNPWTRVSVGAKRRRIHAGREEVAGSTPPIAVDGGSAREEGGEMTGSVREGKRSPDPPLLSPPTADPRERETKRRRDPGVGAQSVPVGSCMSSRAHKRVLKKKKKKKQL >OGLUM06G27470.1 pep chromosome:ALNU02000000:6:29715919:29717005:-1 gene:OGLUM06G27470 transcript:OGLUM06G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDLGSWLGLGIGGGGYAYGGDDCQRSPSSPSPVQMLFSQHVKEEITRGYDHGRDEEQASGSKIMKGERGARLRVMRSIRNSGGDGSRSRVLSLGDDGGDGGSGGGGGGGTRKKLQLTKEQSTLLEDSFRVHNILSHAQKHELARQLKLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCESLTEENKQLKHELMELRRLASAAAAAAGSQLYVQFPRAAAAAMVNVCPSCEKVTVMGGGGGETGKSSSSYSS >OGLUM06G27480.1 pep chromosome:ALNU02000000:6:29720832:29721628:1 gene:OGLUM06G27480 transcript:OGLUM06G27480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQRSGGMHPAPATRREVVLCIGYDDDDLALVDVTYPKRDVDNMQWFREITLLYRGHRHSAPFVLGLIVLCGHASPNNWCPWGREGRVRPSSGPQHPDPLRRDLHQRLPCLMYQPDCNQSKYTVSVLPFHEDGGRMARLLTFLRNRRVTVACVGAHEVMEKLTEEWELDVAWPAELTDLFSFTCSARWPG >OGLUM06G27490.1 pep chromosome:ALNU02000000:6:29723944:29724740:1 gene:OGLUM06G27490 transcript:OGLUM06G27490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRREGGGGGNGGCDPPVTDALSMDSGLREVSLSVVFSVWCLLLLRSQCHGSINVMSPWRLIDLFFVDFYDDVEDGMRENYCKPDPAGQGRERPRPRRRGGPPARAKRRGVQLRGGVQGRAKVLAHNREAKGAANFLGATLLRCHTAPPPPPLLCRHTAPPSAPTSVPSAPPHSPHLADRTRRPPVPAFVLAVRERKE >OGLUM06G27500.1 pep chromosome:ALNU02000000:6:29728653:29729458:1 gene:OGLUM06G27500 transcript:OGLUM06G27500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSQRMGKPALPLIQCPQCELKTIVRRKAKTSENYGRIFYTCPSFILFNCVQRDGTGCDFWYWEEYYEQYLIKRGYLQACSGSRGKRQVIYLHGEGEGEGEGVGGRQVAEQIEDKQLVKKMNVLIEIGSEIVLLLKCFVACYLTESQKNELTESHHNSEITTGDRTYITK >OGLUM06G27510.1 pep chromosome:ALNU02000000:6:29741049:29743594:-1 gene:OGLUM06G27510 transcript:OGLUM06G27510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLLLGSLVVLALLSLFPCCSCLSQGAEEEEDDGEVRLMGLAGEAAGSPGSGGGFSANGKFSYGYASSPGKRSSMEDFYDTRIDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKLFSDTKSAIAETYTSTDSELLKAETSHNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGDAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKKLLQEASQRGSADNITCLVVRFLEQENHLPERPTNDQAS >OGLUM06G27520.1 pep chromosome:ALNU02000000:6:29743679:29743951:-1 gene:OGLUM06G27520 transcript:OGLUM06G27520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTAKEGAKPSSTATTCRPARAPVGSPSRHVEVTSHFLVSHDEVFVRAQQEEEEEEENHKTKTNHGRGRGAHGVAPRDARRARASGPPL >OGLUM06G27530.1 pep chromosome:ALNU02000000:6:29744404:29745069:1 gene:OGLUM06G27530 transcript:OGLUM06G27530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSCRCSSVVFVGNVSYRATEGELRDACEEIGPVESLRLAVDEDAGNRRRGFAFVEYLDDETARSACRNLDRRALRGRALRVDLADLGCGGGRGETDQPVGVEDATHAASLVNGAPPSAAVTAYLAGLNRRQLRETVDAVEAQGDAAVEEMKRAYGGLATLLEQARILLDMADADAAAAAAKRKKRGARDHHHGREAQLASKLRKLNDGKPSTSMAFVL >OGLUM06G27540.1 pep chromosome:ALNU02000000:6:29745559:29746374:1 gene:OGLUM06G27540 transcript:OGLUM06G27540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDYVMSEQLACSTHKTMAARRRARGRPLPCSHPWPTRTTTVGRSYFMTRRCAASFFSRRPGIVADEPDLHCRQAEFEEAAMDPWYIRKSIAPLLLAKHSSRTSSSAVNGGSISSGAADSTTLARSTAARRIHLTTPSSFDNNDDSGRLAVLSSFDDNDRYLVAPRHGQAKRPVRRRHEKHLDALLGDASKVRGMREFDLRRRRRPVEAECEDIAAELRGVRAHSQQRSVVPISMDRIHYSIHGFNLSWTRNFIPKTKPFSRVVQTAPTH >OGLUM06G27550.1 pep chromosome:ALNU02000000:6:29749416:29753612:-1 gene:OGLUM06G27550 transcript:OGLUM06G27550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINKRTAMSPRREKAEEQQQGWREEAVSGASLRQVDLERGANGWASPPGDLFHLRARGYFSGGGGGGGGGGGGGGRRGKAPSAAEWLLRPAGVDWLRSHSRLDHVLARDDIPVAAAFRRARLRKDPSAHFLLAVNLQVPGRPDAYSAVFYFAAEAAIPAESLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHGGDGYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPEAGRAGAGFRVHSAKVANDSRHQERAAGKVGRSMSCQERESGGGGK >OGLUM06G27550.2 pep chromosome:ALNU02000000:6:29749416:29753318:-1 gene:OGLUM06G27550 transcript:OGLUM06G27550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRREKAEEQQQGWREEAVSGASLRQVDLERGANGWASPPGDLFHLRARGYFSGGGGGGGGGGGGGGRRGKAPSAAEWLLRPAGVDWLRSHSRLDHVLARDDIPVAAAFRRARLRKDPSAHFLLAVNLQVPGRPDAYSAVFYFAAEAAIPAESLLGRFVHGDDAYRNARFKIVNRIVKGPWLVRATVGNYAACLLGRALTCRYHGGDGYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMGAAKYVETAPDDVVPEAGRAGAGFRVHSAKVANDSRHQERAAGKVGRSMSCQERESGGGGK >OGLUM06G27560.1 pep chromosome:ALNU02000000:6:29766696:29768726:1 gene:OGLUM06G27560 transcript:OGLUM06G27560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGEEEGRGMASLLAARRALRAGVFDAVHGLEPPLLAASAAAADLPGYLAVLSRLEEALHFLSDNCGIASQWLADIVEYLGDRSLADPRFVSDLAEALSHLKTPSANLDGGLLAAALDILEAEFRRLLTEHSAPLAMKEPNNSSDPGSITPPRIPASAVHKLSLILDRLAANGRLGTCTAAYADARGDTVSASLRALGLDYLHDPAEDAQVLTPNVERWGRHLEFAVRHLLEAERKLCVAVFERRPEAASSCFAEIASRAGILDFLKFGRAICDARKDPIKLLRLLDVFDSLSKLRMDFNRLFGGKACVEIQTRTRELVKRVVDGSVEIFEELLVQVELQRNMPPPADGGVPRIVSFVAKYCNQLLGDPYRSVLTQVLVIHRSWRKETFNDKMLVDAVLNIVKALEANFEAWSKAYEDVTLSYLFMMNTHWHFFKHLKGTKMGEILGDEWLREHEQYKDYYSAVFLRESWGTLAPLLSREGIILFSKGRATARDLVKQRLKSFNANFDEMYQKQSAWIISDRDLQQKTCHLVVQAIVPVYRSFMQNYGPLVDQQDASANKYVKFTAEGLDKMLSTLFLPKPRRAGSFQIRHSNGKITSAMTGLYRSSSTLK >OGLUM06G27570.1 pep chromosome:ALNU02000000:6:29769352:29769683:-1 gene:OGLUM06G27570 transcript:OGLUM06G27570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNRTWNARFWVFTLMFFLLPCMELQETPEKYLKYLSLPVLPTSQQGPHANISVPDFQVLNISDMDFPPGTVASNPGPVSRGITGTYRSPELQK >OGLUM06G27580.1 pep chromosome:ALNU02000000:6:29770494:29771846:-1 gene:OGLUM06G27580 transcript:OGLUM06G27580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKAQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGGGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAASGSDEDHSNSPTRSHDGGNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKKHEASHKEGASNGSAKHVVEEAKSSPYDDLVKEMKDNLSKGATAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQTVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDILDEEVITQWYNESVAAGKESQVVKIAKPFVEWLQSADSESEEE >OGLUM06G27590.1 pep chromosome:ALNU02000000:6:29775207:29779728:1 gene:OGLUM06G27590 transcript:OGLUM06G27590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLACVSLVPPSPSLPPTRLPLSTRRRRLPPPLAVVAIYTAAAITLPPSVCRSVRRRRRLDRSVVVVVVAMSLV >OGLUM06G27600.1 pep chromosome:ALNU02000000:6:29777327:29779752:-1 gene:OGLUM06G27600 transcript:OGLUM06G27600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAHLSDETHGHHHHHHRSIETSSTTDRSTDRRRQGDRRGGVYSHHGEGRRKTTATRGEGETCRGQRGRRGHQRHTGELHARQHR >OGLUM06G27610.1 pep chromosome:ALNU02000000:6:29779786:29780543:1 gene:OGLUM06G27610 transcript:OGLUM06G27610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVAAAAAAVAVAPPSSPYGMCGGGGGGGGARKRKDVVQQLEDLAGGVVGDDGGGCGGGGVVHGLFVLETVEEKAEEEEEERSSIGADSEDEQDDGEEEVESKASSAAVAAETCRRKTKTKCGGGGGGALACLDALDDALPIKQALRGLSNFFSGKSRSFANLQDVAAAGATTASLAKPENPFNKRRRILRCSSIRRVSSTSLTALPPFLPPHHHPPPPDDGGGGGGNG >OGLUM06G27620.1 pep chromosome:ALNU02000000:6:29784687:29789180:1 gene:OGLUM06G27620 transcript:OGLUM06G27620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAQSAAAVSSAARASRPRPTRAAPRRIAASASSVAPPEPAARRLVAAFDPAVPLASAVTPPSGWYTDPDFLRLELDRVFLRGWQAVGHIWQVKNPNDYFTGSRLGNVEFVICRDANGELHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGVLLKATRISGIKNFNKNDFGLNPIKVATWGPFVLAKFDSGFSQETADNTVGDEWLGSASDLLSRNGIDTSLPHICRREYIIECNWKVFCDNYLDGGYHVPYAHGTLASGLQLQSYETHTYERVSVQRCESVQAEQNDFDRLGTKAIYAFVYPNFMINRYGPWMDTNLVVPLDATRCKVIFDYFLDKSLMDDQNFIESSLKDSEQVQMEDIALCEGVQRGLESPAYSVGRYAPSVEMAMHHFHCLLHANLSGDW >OGLUM06G27630.1 pep chromosome:ALNU02000000:6:29789853:29793182:-1 gene:OGLUM06G27630 transcript:OGLUM06G27630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLFLVLRKVAFSLGEGALVKLGTEVVEAASVLTDFEHSMKQIESEFTIMRAFISQVSAEKVGDKTFDAWLDQVRDVAHDVEDIVDEYAYLAAQAIDTGTFFKRKFQQTKNVAAWQNISRQISQVETRIQRLSAMRNRYGISIDEKSSSNMSQYPRQLSVSDSAYLTDDTEIVGNASVTRRLTQWVLEERQDRSVMSIFGMGGVGKTTIASSIFKNQQFMMAFACNAWITLSQSYEIEDLLRQISKQLMDQQVYLASNVEAMNRIKLIEELQIYLKRRKYLIVLDDVWDKDVWLFLNYAFVRNNLGGRVLITTRKKDVAFLADHNCVVELEALPHTEAWHLFCKKAFRRLEDKMCPENLRPWAEKIVTKCQGLPLAIVAIGSLLSYREFEEQEWKLFYNQLGWQLANNPELNWIANILNLSLNDLPSYLRSCFLYCSLFPEDCRIKRKMLVELWIAEGLVEERGDGTTMEEVAQCYLTELTQRSLLAVIERNACGRARTFRMHDLVREMASIIAKKERLAFSYDNVGITQVAHEYRRLWIQKDAQSLRYLGGSKLRSFVLLDIGVPSSWIYDALSRFRLLRVLCLRFANIEQVPGVVTELYNLHYLDLSHTKVKHIPASFKNLINLEFLDIRSSYVEELPLEITLLTNLRHLYAYVIHDLQERSLDCISATKIPGNICHLKNLQALQTVSANKDLVSQLGNLTRMRSLCVMKVQQSYIAELCNSLTKMPNLSRLFISSCDMDETLNLKMLKALSNLKVFWLAGKLEGGVLPPMFAKLEKITELKLDWSGLKKDPIESFSYMLNLVVLVRTGAYDGERLNFHAKWFPKLNLLQLADMEHLNRIEIEDGSMMCLCELDLVGLRNLNEVPKGIRYIRTLHEMIITDMPKEFIVKIQGSDRYIVQHVPNIHIFESSDFQAVNNFIRLPHLAKRQFIVDFPHRPNGTVPYVSRAKCPIRLNYTWKF >OGLUM06G27640.1 pep chromosome:ALNU02000000:6:29794538:29801448:-1 gene:OGLUM06G27640 transcript:OGLUM06G27640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRYRDNHHRGGGGGRGGGSSSPPPYRSGRRHSPSRRSPSPPFKRSRRDDGYDRRGGRGSPPPRYGYGDRRYGYDHERGGGRGGYDDDRYHGRYQNRAADWADSGFGASNDGPGITQREGLMTYKQFIQVLEDDISPAEAEKRYQEYRTEYITTQKRAYFDLNKNDDRLKDKYHPTNLSSVIDRRNDSCKATAKDFFHDLQNGTLDLGPGITAAAASGSDGNSDDDGDSDKRRKHGRGSSKETDPLSGAPVAHPVSSESRRVQVDIEQALALVRKLDTEKGIVGNILSSGDHDKSDVDKSHIGGSMGPIIIIRGLTTVKGLEGVELLDTLLTYLWRIHGVDYYGMSETNEAKGSRHVRADNKTSNTTNINAADWEKKVDTFWQERLRGQDPMVILAAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLELTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDKSRQRPGMDNRLRYDRANRREYDRAERDGSRYGRGDRSPSLDGADDQMFDAFRGRGPNAPFVPELPAPPILMPVPGAGPLGPFVPAPPEIAMHMLREQGPPPPFEPNGPPHANPGVLGPMMGGPAPIITMPPSFRQDPRRLRSYNDLDAPDEEVTVLDYRIGTWERNYGYVS >OGLUM06G27650.1 pep chromosome:ALNU02000000:6:29803303:29807447:-1 gene:OGLUM06G27650 transcript:OGLUM06G27650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDGSKPYQPRRGPERPPPPAPADDAAAHVAPTVDHLAAVAAEAEAMARFEEEHRALGAEEEDEEEEDELEEEEEEMEEDEDAQHHEGVGGEVAVPMDAEAAAQLDPHGGMLAASGAVQPMASNQLTLSFQGEVYVFDSVSPDKVVQAVLLLLGGRELNPGLGSGASSSAPYSKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKGDEATSELTASDGSPNWGSVEGRPPSAAECHHCGINAKATPMMRRGPDGPRTLCNACGLMWANKGMLRDLSKAPPTPIQVVASVNDGNGSAAAPTTEQEIPAPATANGHESST >OGLUM06G27660.1 pep chromosome:ALNU02000000:6:29811228:29812243:1 gene:OGLUM06G27660 transcript:OGLUM06G27660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSEREMERARNTQEDEICNAKSEREIKHVMMLVRETGLLRRELLVGAMHCLYVLSWGGLGLDYEGLVRVIPTMEVTVIPFCRTAWTRWRGAFFTYHDGKWGCVHACANKDDDDPDYHGTDFVGVGEGEDERNEYTDEGNNVDKEETKATMSMRRRMRRQWTWRGRGRCRRAELPCRWC >OGLUM06G27670.1 pep chromosome:ALNU02000000:6:29814449:29816115:1 gene:OGLUM06G27670 transcript:OGLUM06G27670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSLLMMQVTEFECGGFVVGVTWNHGVADTYGLAQFLRAVGELACGLPSPSVIPVRISVTFDTIGLTDKVNPGLVRYDESMPEIPDQLAPVALKAPLADLKHVDFAYCDIMIPWSFVNRVKAEFVSRNGGGGGRRRCSVFDVVTAAIWQCRTRAIHGRRCRSDAPAVLLFAVNARPHIGAKDGYYGNCITRQVVGSTADAVANGDIVDVVKLVNDAKERIPEELLRNKMRRQQGVGGGGGEGLFVGPMHRLYVSSWAGLGLDGIDFGDGKPARVIPGMEVTVMPSCFPCLPCSRSNGVSMVAWCVMDEHVDVFRAELARLQ >OGLUM06G27680.1 pep chromosome:ALNU02000000:6:29816778:29818987:-1 gene:OGLUM06G27680 transcript:OGLUM06G27680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) TAIR;Acc:AT5G57140] MGSSSSTSLVSLLLPCLFSLLLLRLSTHLNPDPTAAAPRFKRTPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLDTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSWVEVESIHTWKLLDDEKLTKIDEQVLWRRSSIRL >OGLUM06G27680.2 pep chromosome:ALNU02000000:6:29816776:29818987:-1 gene:OGLUM06G27680 transcript:OGLUM06G27680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 28 [Source:Projected from Arabidopsis thaliana (AT5G57140) TAIR;Acc:AT5G57140] MGSSSSTSLVSLLLPCLFSLLLLRLSTHLNPDPTAAAPRFKRTPPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVAPEVGGARCSDLNTTRFLRRVIEAERPDLIAFTGDNIFGGSASDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMVFMSLMDYSVSQVNPPGSLVHGFGNYHVSIRGPFGSEFVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLAWLRATSQQNLHAPAFAFFHIPIPEVRGLWYTGFKGQYQEGVACSTVNSGVLDTLTSMGDVKAVFLGHDHLNDFCGDLNGIWFCYGGGFGYHAYGRPHWPRRARVIHTELKKGQKSWVEVESIHTWKLLDDEKLTKIDEQVLWRRSSIRL >OGLUM06G27690.1 pep chromosome:ALNU02000000:6:29822475:29828210:1 gene:OGLUM06G27690 transcript:OGLUM06G27690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADW3] MMWAVLSPPLPAGFRLQIRAARRRRARSSGSYSCRLRFDAACTGERRSAWRALPDAPRLLPVHVSGINSSPPHLLLQYFCHDAASARWVGGCAFRGEPIRSVGVVGGGGGSVPFLRFPGWDLRAQSMRMGGGGGYWRPLGRGSISYKMAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >OGLUM06G27690.2 pep chromosome:ALNU02000000:6:29822475:29828210:1 gene:OGLUM06G27690 transcript:OGLUM06G27690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADW3] MMWAVLSPPLPAGFRLQIRAARRRRARSSGSYSCRLRFDAACTGERRSAWRALPDAPRLLPVHYKMAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >OGLUM06G27690.3 pep chromosome:ALNU02000000:6:29822475:29822937:1 gene:OGLUM06G27690 transcript:OGLUM06G27690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADW3] MMWMWIYIIYSYSTIAIGEYVGRGDGESGKWGSPCGGRSLLSRGGRCSRLPSPPASASRSAPPAAAALARAGAIAAAFDSTLHVLARGVARGVLSPTRLASSPFM >OGLUM06G27690.4 pep chromosome:ALNU02000000:6:29822434:29828210:1 gene:OGLUM06G27690 transcript:OGLUM06G27690.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ADW3] MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRATNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGLKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADIEFIDNPKARKYIKTLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMLHYHPEVVAGVNM >OGLUM06G27700.1 pep chromosome:ALNU02000000:6:29827042:29827717:-1 gene:OGLUM06G27700 transcript:OGLUM06G27700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57170) TAIR;Acc:AT5G57170] MPQLSLTTNVPVDAVVAADIIKDCSKALARIIGKPESYVMVSINGSVPMSFAASEEPAAYGELMSIGGIGPGVNGKLSAALAEILETKLSVSRSRFYVKFDDVKGFNLGFNGSTF >OGLUM06G27710.1 pep chromosome:ALNU02000000:6:29831694:29835363:1 gene:OGLUM06G27710 transcript:OGLUM06G27710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCIPTGLRLDLEMVKAAAAPGGSSAAAHSSASSTLSEASNSSSSSVASLSLKRARTPRKRPNQTYNEAAALLASMYPSVFPVIKGAGTAPPRLLGLATALADDPSSSDLLPPFPVLGNGSAAHLLRDTPPPPTPRCPAPIKSCSSPAPVSSVFREFRDAAPSPGTPDADADVTDDDYLGELDFEDEDGFDADSFLAVDDGVAEGIDSIMGKLSMEKNSAAASRAGAVLSSAAIHPYIRSLMVLGLGFRQGRLNAGQALKRHDDESDWWMCPAIPVKEIAAPPAPSVAMPVPVPMPLQASDNKKKKSKKKSLKEIERDNAIAVGECKFGDEGTLGFDHGDAGISALKDPKIGLGLNLNTEEVLKAWCNRGSVFAGCDALESPRSSSDLHAKLADIDLFLDNNTSGVIREGSMLKLRHKQKQCTPLLSNKTRYQSRKGKYVSQASLLQQASEKER >OGLUM06G27720.1 pep chromosome:ALNU02000000:6:29837461:29843278:1 gene:OGLUM06G27720 transcript:OGLUM06G27720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:para-aminobenzoate (PABA) synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G28880) TAIR;Acc:AT2G28880] MAALRLPTPPPPRAPAPWLHSSHRRRVAAPRGAGGGGAAPPPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWRDVYRWVYKERAFDNIVISPGPGSPACPSDIGICLRILCECGDIPILGVCLGHQALGFVHGAKIVHAPEAIHGRLSELEHSGCYLFNHIPSGINSGFKVVRYHSLVIEPDSLSEDLISIAWTASPKMLSFLESDKPDITSSTLWGSLDNLFVTNQSECSTTGGKMPSINDASELDGYRVLMGVRHSARPHYGVQFHPESVATHYGRQIFQNFKKITTDFGLQTPLLQERKISSPDLKNFVANDLLHSARLKLWDSVGPCALPKRSSGDKCLRLQWKKIDNFLNRIGGSENIFSVLFGHHSAEDTFWLDSSSVDQNRARFSFMGGKGGPLWKQMTFHLASQRANCGGNLTIRDAHGCTVRNFLKDGFLDFLDKEMQSIQYNEKDYEGLPFDFHGGFVGYIGYGLKVECDASSNSAKSSTPDACFFFADNLVVVDHNNGDVYILSLHDEYSSGNGDGDYQNSIHSLWLANTEKKLLRMDAMAPRLSINGNSSINGNSFTISSSVNKQRFVIEKSKDEYIRDVQSCLDYIRDGESYELCLTTQMKRRTDYMDALKLYLKLRKQNPAPYAAWLNFSSENLSICCSSPESEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKTVHTMVSTIRGTKMSDLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLETSPRGIYSGSVGFFSYNKTFDLNIVIRTVVLHNGEASIGAGGAIVALSDPEAEYNEMLLKAKAPTKVVEECSQQIYNPDRSDSMQTTVS >OGLUM06G27730.1 pep chromosome:ALNU02000000:6:29843992:29846981:1 gene:OGLUM06G27730 transcript:OGLUM06G27730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTSVQKSWRKACGAIKDSTTVGLARAHSKDLDVAVVKATNHVERPPKERHLSKIIAAASGARPLADVSYCVHALARRLSKTHNWVVALKTLVVIHRALREGDAAFREELLSYRRGRGGHCLQMSSFKDDSTPLAWDCSAWVRTYALFLEERLECFRVLRYDIEAERLRPAEGAPKGQSRTRSLGKDELLEQLPALQQLLYRLVGCQVLKESFKIYCAVNDGIINLVEMFFDMTKIDAIKAFDIYRRTGHLPPSSFLGTMEEYIREAPRTAPVANKTVEYRQLEFIPNKEEEPPQPLPEVLEEPVKEEILPEPPEEPHHPAAEVDDEPEPTTTADLLGLNEVNPAAAQLEENNALALAIVPPGSNTSAGAGSGFGGMLGSSGWELALVTEPTNSSSNQLLTESKLAGGFDKLLLDSLYDDASRRQQGAQMDHPRDPFAMSTSVAPPTNVQMSMMAQQQQYFQQEQQQQMVLGMPQQFSGWPQYAGVSQANPFGDTYSGGTLHGSSSLI >OGLUM06G27740.1 pep chromosome:ALNU02000000:6:29847756:29856561:-1 gene:OGLUM06G27740 transcript:OGLUM06G27740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIVCVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNAMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >OGLUM06G27740.2 pep chromosome:ALNU02000000:6:29847756:29855429:-1 gene:OGLUM06G27740 transcript:OGLUM06G27740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIVCVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNAMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >OGLUM06G27740.3 pep chromosome:ALNU02000000:6:29847756:29855429:-1 gene:OGLUM06G27740 transcript:OGLUM06G27740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIVCVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNAMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >OGLUM06G27740.4 pep chromosome:ALNU02000000:6:29847756:29855429:-1 gene:OGLUM06G27740 transcript:OGLUM06G27740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLTDSQVPLEVLVFSAISLGLVFVGSCNEEVAQTIVCVLMERSEPELAEPIMRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILTLAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRKNPEYHED >OGLUM06G27740.5 pep chromosome:ALNU02000000:6:29855484:29856561:-1 gene:OGLUM06G27740 transcript:OGLUM06G27740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGDASSASPSDPVPPPPQQPQPQPAKGKGKKKDEKKDDDLRRMSWQAHKEGSQRAAPRSSRSLASAELDS >OGLUM06G27750.1 pep chromosome:ALNU02000000:6:29859192:29859386:1 gene:OGLUM06G27750 transcript:OGLUM06G27750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSAAALAPIAGAMEHPVLRSPTLVPRQWQESKTYRTSRLQGAHHPLLPSGTHIRGCGPT >OGLUM06G27760.1 pep chromosome:ALNU02000000:6:29859811:29866103:1 gene:OGLUM06G27760 transcript:OGLUM06G27760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLSGDLLRVPFFGRFCGVAIRILLKMKALELACLLLIIVPQVVLGTHDVYIVTMEGEPVVSYRGGVEGFPATAIDLDEDMDITSEAVTSYSLHLRRYHDKLLDSLLVEGTYEKLYSYHHLINGFAVHMSPLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSAHKTDPFGPVPHYKGKCEMDPVTRRSFCNGKIVGAQHFAKAAIAAGAFNPDVDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVSDVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLVLGNGKLLPGLGVSPATHENKSFSLISAADALLGSSATKYSALDCQRPELLNKRKIQGKILLCGYSFNYISGTASIKKVSETARSLGAAGFIVAVENSYPGTKFDPVPVSMPGILITDVSRTKDLIDYYNSSTIRDWAGRATTFQATAAIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDEANFAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTSNTLDKGSHPLRAQQYSTSEIMTLTRATPFDYGSGAVNPKAALDPGLVLDATHQDYITFLCSIPDVEHSEVSNITGSTCSSSSKVQQRPYDLNIPSITISQLRGTQTVKRTVTSVAAEAETYTIMTRMSPEIALEVSPPALTVLPGASREITATLTARSVTGTYSFGEITMKGDRGHLVRIPVVAMGFK >OGLUM06G27770.1 pep chromosome:ALNU02000000:6:29866678:29868108:1 gene:OGLUM06G27770 transcript:OGLUM06G27770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAAAPLRTGAAILAALSDVSACQIHARALKLGVLPSSLHLCSALVKSYAASGSLAAARKLFDEIPRPDVPLWNTLLSACARSGRPQHALVTASTMARAGSSRPNNVSVTILLSACARLRSLVHGREIHGYAVRNLAALDLPLLNALVSMYGRCGRLVNARMVFDSIGSMKSVVSWTCMINACCENGKPAEALQVFEQMRLAGVKVDEVTLLAVISACTMLDCRSELGEWVEEYAHENGFLENTRVANALIHMHGKMGRVRRSCEIFDSITVRTVVSWTAIIQALAVHGHGVAALVRFSQMLRQGFQPDELVFLSVINACGHSGLVNEARQLFKSMVEEYHITPWMEHYGSMVDLLCKSGMLEEAFEFVLAMPVRPDPVIWRVLTGACRDLGNAILARKVVDHVIEMEPEYGGNYVLASNLYAANEDWRRVVDVRMEMGVWKETSRYSTALSYVEVNVEENAESLHPPTNDAYR >OGLUM06G27780.1 pep chromosome:ALNU02000000:6:29868374:29868829:-1 gene:OGLUM06G27780 transcript:OGLUM06G27780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIKNNGAMVLCFATLFAMSVVFMTCDATGRHNAGVLDDAGVLCFTWLNCTNASCQKECKAGKWDAKKSSCGASDVCCCRAAKLLVLDEQAVR >OGLUM06G27790.1 pep chromosome:ALNU02000000:6:29871869:29872450:-1 gene:OGLUM06G27790 transcript:OGLUM06G27790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAFSSAGALRPFEGVRVIHINGYVEDFDAPVTVGQVTGKPAAGEGQGQGRYVLCSSAHLLQPGRGPFRADDPLEAGTVYFLLPQSIFQSESSAVDLACLMNRLTSLARKGAAAASSPVEALFTAGIHHPPQPSSSCSSSKPAAAAASSGSPERCYLAAAARPAAWKPRLDRIDESFGRASMRSSSARSTEA >OGLUM06G27800.1 pep chromosome:ALNU02000000:6:29914940:29918067:-1 gene:OGLUM06G27800 transcript:OGLUM06G27800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNRSSARRPLWIVILIAFVCAVGIGAYLYTPQHYTPCYLVSSNSCSSRPPPEPARVYTDDEIAARVVIRDIILAQPVQSKNPKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRERPVHASPIFNGRDIRSEKVVWGTISMIDAERRLLANALQDPDNQHFVLLSESFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAVLILSDFLYYAKFKRYCKPGNEWHNCYSDEHYLPTLFNMVDPTGIANWSVTHVDWSEGKWHPKAYRAVDTSFELLKNISSIDESIHVTSNAKHQVMRRPCLWNGMKRPCYLFARKFYPEALDNLMNIFSNFTII >OGLUM06G27810.1 pep chromosome:ALNU02000000:6:29918143:29919268:-1 gene:OGLUM06G27810 transcript:OGLUM06G27810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAITPPTSGKFRCFSSKSVVNPVSPPFHLTPLPPSFTAVLRSPRCSSKPKKFLLLFVSCTPARSRQELCNPKPWVSEIQLRKKVLGVLGQFCQESDTG >OGLUM06G27810.2 pep chromosome:ALNU02000000:6:29918143:29919268:-1 gene:OGLUM06G27810 transcript:OGLUM06G27810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAITPPTSGKFRCFSSKSVVNPVSPPFHLTPLPPSFTAVLRSPRCSSKPKKFLLLFVSCTPARSRQELCNPKPWVLGVLGQFCQESDTG >OGLUM06G27820.1 pep chromosome:ALNU02000000:6:29924232:29931039:-1 gene:OGLUM06G27820 transcript:OGLUM06G27820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGRCQKSYFDVLGICCPSEVPLVEKLLQPLEGVQKVTVIVPSRTVIVVHDVDAISQSQIVKALNQARLEASVRAYGNGSEKITNKWPSPYVLLCGLLLVVSLFEHFWHPLKWFALVAAAAGLPPIVLRSIAAIRRLTLDVNILMLIAVAGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSALMSMAPQKAILAETGEVVAARDVKVNTVIAVKAGEVIPIDGVVVDGRSEVDESTLTGESFPVSKQPDSQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSSTQRLIDTCAKYYTPAVVVMAGSVAAIPAIAKAHNLKHWFQLALVLLVSACPCALVLSTPIATFCALLRAARTGLLIKGGDVLESLASIKVAAFDKTGTITRGEFSVEEFQPVGEHVSLQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSENVSEFQIYPGEGIYGEIDGAGIYIGNKRILSRASCETVPDMKDMKGVTIGYVACNNELIGVFTLLDACRTGSAEAIKELRSLGIKSVMLTGDSTAAATYAQNQLGNILAEVHAELLPEDKVRIVGELKEKDGPTLMVGDGMNDAPALAKAAVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVRLARRTHRTIIVNIIFSVITKLAIVGLAFAGHPLIWAAVLADVGTCLLVIMYSMLLLREKDGRKVKKCAASHHGSPKKCCSSSHHGSHAKKNHGISHHCSDGPCKSMVSCKESSAAKNACHDHHHEHNHREEPARKHSSNQHGCHDHSHGHSNCKEPSNQLITNKHACHDGHNHCADTSNLHDTKKHDCHGHEHSTCKEELNALPPTNDHACHGHEHSHCEEPVALHSTGEHACHEHEHEHIHCDEPIVSHCDDKHACHDHEQVHEHHCCDEQQTPHTADLHPCHDHDHDHDNLEVEEVKDCHAEPPHHHNHCCHEPHDQVKNDTHPVQEHSISIEESSDHHEHHHNEEHKAEDCGHHPKPKDCAAPPTDCISRNCCSNTSKGKDICSSLHKDHHTSQASRCCRSYVKCSRPSRSCCSHSIVKLPEIVVE >OGLUM06G27830.1 pep chromosome:ALNU02000000:6:29953267:29956454:-1 gene:OGLUM06G27830 transcript:OGLUM06G27830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAPEGSQFDAKHYDSKMQELLNQGETEEFFTSYDEVHESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYAVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >OGLUM06G27840.1 pep chromosome:ALNU02000000:6:29958265:29964110:-1 gene:OGLUM06G27840 transcript:OGLUM06G27840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sugar pyrophosphorylase [Source:Projected from Arabidopsis thaliana (AT5G52560) TAIR;Acc:AT5G52560] MASDGDGAAAVAALGISGGGGDDWAPPLRRNLPLLAPHEVELAKLLLSEGQSHLFEHWPEPGVDDDKKRNFFDQVCRLNSSYPGGLASYIQNARKLLADSKAGKNPYDGFSPSVPSGEVLTFGDDNFVSLEEAGVKEARHAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILALQEASCKLVEGECNTKIPFVIMTSDDTNALTVKLLESNSYFGMEPSQVHILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHALLYSSGLLEQWKSTGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILEIGPYMEELQKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDAWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIADPVIDTFNGQEVEVWPRITWIPRWGLIFKDVKAKVHSNSSVSQRSALVINGKNITIQGLSLDGTLIVNAKDEAKFNVTGHIENKGWTIQHADHKDTSEKEEIRIRGFKFNKVEQLELNY >OGLUM06G27850.1 pep chromosome:ALNU02000000:6:29965381:29972762:-1 gene:OGLUM06G27850 transcript:OGLUM06G27850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPKFGTNKKELIKVHHLLNHTSGLHNALGDVMKSDPLLVCDWEEMLHQITKCTPETEPGSEQMYHYLSFGWLCGGIIEHASGKKLQEVLEEAIVHPLHIKGELYIGIPPGVESRLAALTVDMEELEKLSGFRAGPDVPQELLSNVAQMATGLPVLFNTLNIRRAILPAANGHCSARALARYYAALGAGGAIPPPHSGGGSEPPLGSHVHTPKFPTMPSKKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATKTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >OGLUM06G27850.2 pep chromosome:ALNU02000000:6:29965381:29972762:-1 gene:OGLUM06G27850 transcript:OGLUM06G27850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC1 family protein [Source:Projected from Arabidopsis thaliana (AT5G24810) TAIR;Acc:AT5G24810] MALFIYFDYKAVQKRVKWVGAGKKDAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPLEEVRGTIEKELGKPMNDLFANFVLDPLATASIAQVHRATLVDGREVVVKIQHDGIKDIILEDLKNAKSLVEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTKAVSSNLSRKTNCESGAVSSAVDVLIPEVIQSTDKVLILQYMDGIRLNDNDSLEAYGVDKQRLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISQSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPEQAMEIATVFFRQSTTANEAKENIKTLNDQRERNVKALQEKMKMNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSMTRGPTANSQWIYDSPVNSEVESKLRNLLLELGSNKILGIQVCAYKDGKVIIDTAAGTLGKYDPRPVQPDSLFPVFSVTKGITAGMVHWLVDKGKLKYEETVANIWPKFGTNKKELIKVHHLLNHTSGGAIPPPHSGGGSEPPLGSHVHTPKFPTMPSKKKKKKGGSKNDVGVADKDGYTQLRTSDGSDEGSTVSAVVAGNGSGSGSSMFVDGGAKMLDAFMGVGDFSGMIHPNGKFGLGFRRYGYGAGAGEKVATKTTFGHSGMGGSTGFCDVEHGLAMAVTVNKMSLGGVTRRVVRLVCEELGVPVPDEFSVAGDKGPDMVLNLAPPE >OGLUM06G27860.1 pep chromosome:ALNU02000000:6:29976403:29977668:-1 gene:OGLUM06G27860 transcript:OGLUM06G27860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYTFVCRSSGDEWTAKQLKGELEASAATPYELQRRLVAAASAADSAAGVQSSFSMVSPSSAVFQVIIGAVGGGAAIGGGAAAGAASGGAAAEAPKAEEKKEEEKEESEDDLGFSLFD >OGLUM06G27870.1 pep chromosome:ALNU02000000:6:29979979:29983626:1 gene:OGLUM06G27870 transcript:OGLUM06G27870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRSGGRPDVSYGNSDGIARNRSVILGTIHGYYKEALAVRPLDDLPELAPLLVAAGVCFGFADPTTNIIANTLSFLPPDHSAKKRKRKTKAAPSAAAARSSSETRAIAERSLEGLVTFLTSYFRYLPTWDALRYLRLANTDLLVAVRLIELNRGCYNTKEERFQISSYAARAALTCAASSARQPNVDGFIAASFSLASHLEFVTQAVPGGLTISLRAVLLDKIHAKYIKAISRLPMQDVRARYHRAFVNGGYCYGPFSCVTNIIINTLWYDSAFPAVEKLEVDMICTSTFVRVESRSLRGLIKQLLTCIPEISEHDAMIYLLKNNLKVCKAVEMAGVWDVSAYKAAADALFHPELEAYVQFSTQSLPKVQAAVKSLLRASTPLSSKDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKCVTQQGFFRRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKCPYSHVNFLASPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHEARCCYYEYEGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILRFYVDWMFLEGNGIATAPSVCRQRGTPAKGITLRRLR >OGLUM06G27870.2 pep chromosome:ALNU02000000:6:29979979:29983626:1 gene:OGLUM06G27870 transcript:OGLUM06G27870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRSGGRPDVSYGNSDGIARNRSVILGTIHGYYKEALAVRPLDDLPELAPLLVAAGVCFGFADPTTNIIANTLSFLPPDHSAKKRKRKTKAAPSAAAARSSSETRAIAERSLEGLVTFLTSYFRYLPTWDALRYLRLANTDLLVAVRLIELNRGCYNTKEERFQISSYAARAALTCAASSARQPNVDGFIAASFSLASHLEFVTQAVPGGLTISLRAVLLDKIHAKYIKAISRLPMQDVRARYHRAFVNGGYCYGPFSCVTNIIINTLWYDSAFPAVEKLEVDMICTSTFVRVESRSLRGLIKQLLTCIPEISEHDAMIYLLKNNLKVCKAVEMAGVWDVSAYKAAADALFHPELEAYVQFSTQSLPKVQAAVKSLLRASTPLSSKDVLQLATLLPSSNCISAKSLETIVDLSTDALDMFIAFKEKCVTQQGFFRRKIEAALRKKGYLYDLQVICVANERVGSQMNFLDFKCPYSHVNFLASPKVGSGLKLFFAEFSNDDDDVSFCCTVSRKSKHGTRIMHPAHPIENYCGGDMDFTEMAHGTHELTNARIISGGKWAGNRVGMCGDDYIYFDPTRDAKFAQCMNRTASRANISWSDILRFYVDWMFLEGNGIATAPSVCRQRGTPAKGITLRRLR >OGLUM06G27870.3 pep chromosome:ALNU02000000:6:29979938:29985165:1 gene:OGLUM06G27870 transcript:OGLUM06G27870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRRSGGRPDVSYGNSDGIARNRSVILGTIHGYYKEALAVRPLDDLPELAPLLVAAGVCFGFADPTTNIIANTLSFLPPDHSAKKRKRKTKAAPSAAAARSSSETRAIAERSLEGLVTFLTSYFRYLPTWDALRYLRLANTDLLVAVRLIELNRGCYNTKEERFQISSYAARAALTCAASSARQPNVDGFIAASFSLASHLEFVTQAVIIADRGLRRSCCFLEF >OGLUM06G27870.4 pep chromosome:ALNU02000000:6:29978667:29979970:1 gene:OGLUM06G27870 transcript:OGLUM06G27870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSIRLDRSISMASAVSSAAGRSEGLPLLFLPIDAVAAAAAALLPMPPLLILGIVIYLFVLVAVVELEEGELAAWWRWRRRERREQRVADGLLLWFPPLRLPASPPPPAAITARCPFSPPGTTLLPAAHRSSRPREERGEMKIGEMGEEEEWEF >OGLUM06G27880.1 pep chromosome:ALNU02000000:6:29983750:29990094:-1 gene:OGLUM06G27880 transcript:OGLUM06G27880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYHDFIHNKLQSFGRIGRYFVNFVVLAHRFIALHIHPFWIQLSYFLLISILGSVLLMFLKPSNPEFRPGYIDMLFLSTSALTLSSLITIEMEVLSSSQIVVITLLMLLGGEVFVSFLGLMLRLNHKHNPEFSGDKVSSVPIELDTINSASTVISCEELQLEAAIPEVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVAGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANVGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRLLIWFLGKVTKLRELKLMIKNPEELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMAVNARHSGENSIDCSLIAPAVLVLFIILMYLPPSTTFALSNGDEKTADKKAKRKLGLVVQNLAFSQLACISVFVIVAFITERSRLRNDPLNFSALNMIFEIISAYGNVGLSTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLVFVMLYGRLKAFTKGTGEYWRLWPSNHKYNPRYVDMFFLSTSAVTVTGLATIQMEDLSSSQIAVLTLLMFLGSEMFLSFLGLVLESSKQNKHDPENRRVSSFTVCEQSHLEEAIPQTPSMNSTDIKRSCLKYLVFVVLAYMIIILVTGSLLVFMYIAHVSSARDVLTRKSINKALFSISVTVSSFTNGGLLPTNESMAVFSSNNGLLLLLIGQILAGSTLFPVFLRLVIWALRGLRLAKAEEPDFMMNNSSSVGFSHLLPNLQTIFLAAVEVAFVGMTVILFCCLNWDSAVFAGLTSLQKITNALFMAVNARQAGENSIDCSLVAPAALVLFMVMMYTPSLTKLFSACQDHKQIGPESDDRTSKGKPFLKTMAFSPLAFNTTVIMLVCITERRSISTDPLNFSTFNIIFEVISAYGNIGLSTGYSCSRQLQHQDGIACHEKPYSFSGWWSEPGKLILVLAMLYGRLNSKDSTSARTR >OGLUM06G27890.1 pep chromosome:ALNU02000000:6:30011274:30015680:-1 gene:OGLUM06G27890 transcript:OGLUM06G27890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIYQEFIHTKCQSFRSIGRYVLHSIVLIYRFVSLHVHPFWIQLSYFLLISILGSVLLMFLKPSSPEFKPGYIDMLFLSTSAMTVSGLSTIEMEVLSSSQIVVLTLLMLVGGEVFVSFLGLMLRLKHKHNPEFSGDRVSSVPIELDTIEPTRTVMSSEELQIEAAVPDVPSSTIKDLKRSKRLRWFLGFVVFSYFVVIHVVGFLLVLWYISRVSSAKAPLKKKGINIALFSFSVTVSSFANGGLVPTNENMAIFSKNPGLLLLFIGQILAGNTLYPLFLRILIWFLGKVTKLKDLKLMIKNSDELQYDYLLPKLPTAFLASTVIGLMASLVTLFGAVDWNSSVFDGLSSYQKIINALFMSVNARHSGENSIDCSLISPAVLVLFIIFMYLPPSTTFALSNGDEKTANKKEKRKLGLVVRNLAFSQLACNAVFVIVALITERSRLRNDPLNFSALNMIFEVISAYGNVGLTTGYSCSRLQKLHPGSICQDKPYSLSGWWSDEGKLLLVFVMPYGRLKAFTKGTGEYWRLW >OGLUM06G27900.1 pep chromosome:ALNU02000000:6:30029519:30034352:-1 gene:OGLUM06G27900 transcript:OGLUM06G27900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSGDKTRSKTPSPSSSSHGCNDVFWGLCNDVPAPNNTGHKSCAWSAGLGQHWCGSAGQLGLGKSSAMSRPEEAHGVDATKLGLLQYGFGRARGRYLLGCRRSASCSVLAVAAVTVASVAATASLTDFAASAISTGAVANNQLQDVGSINRMSMLDDLKKNLDKRGPWVIAIFAANACFRFSFVQGSMM >OGLUM06G27910.1 pep chromosome:ALNU02000000:6:30042281:30042685:1 gene:OGLUM06G27910 transcript:OGLUM06G27910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQGGGVGRASNKIRDIVRLQQLLKRWKKLATMAPGGRSGVPKGSFAVYVGEEMRRFVIPTEYLGHWAFERLLRDAEEEFGFRHQGALRIPCDVAAFEATLRLVAAGNGNAKAKDDAAAMCSCSSDTEILCR >OGLUM06G27920.1 pep chromosome:ALNU02000000:6:30044779:30045201:-1 gene:OGLUM06G27920 transcript:OGLUM06G27920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVMGYLLAPKKGGRRRRGKDQPSAAAHHGENDDGLRETLLEQQQQPASSSSPTAGGGGVPKGYFVVYVGEEARRFVVPTGYLREPAFRDLMERAADEFGFAQAGGLRVPCGEDDFEDLLRRLRRKNGGAAAAKAKKAIS >OGLUM06G27930.1 pep chromosome:ALNU02000000:6:30066796:30071374:1 gene:OGLUM06G27930 transcript:OGLUM06G27930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGHNPNTMKVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFATPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRSELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDVEFVSL >OGLUM06G27930.2 pep chromosome:ALNU02000000:6:30066796:30072092:1 gene:OGLUM06G27930 transcript:OGLUM06G27930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFQGHNPNTMKVHSRKSQPSKKKPREFYDTVEVHIIDDDSDGDANIHKDYSMEDTSKHLVMYNPEITYDKQGEVEVTEPIDNYTSLNQRYMKPRHGYNTVLPSIGAYTVQCAHCFKWRIIPTKEKYEEIRENICQDVFVCERAREWNRVISCVDPEDISQDGSRVWAIDKASISQTPPGWDREVRIRGEGCSKFADVYYTSPTGKKLRSLVEVGRYLAENPHYIRQGVNLTQFSFATPKPLQEDYVRKHTYAATPELPELLETAQVDPLCWAAPPTRSELLGELGASTSRSVDVSQSEVSYPVDLHQPEESAPLPPRTKKKTMKRGRVSATGPQSTPPGSSKDQSGGCVSDVEFVSL >OGLUM06G27940.1 pep chromosome:ALNU02000000:6:30095461:30095788:-1 gene:OGLUM06G27940 transcript:OGLUM06G27940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGVTVAVKRMRDMNRVEFEEHIQMLGDLRHPNVLSPVGYHYRREEKLIVSEFMPRGSLLYVLHSDQRPDRVVLDWP >OGLUM06G27950.1 pep chromosome:ALNU02000000:6:30098130:30106816:1 gene:OGLUM06G27950 transcript:OGLUM06G27950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQPAGWNLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQSSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGELQGLPDTMSFLKSLSELNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSNVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYEAIYSKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >OGLUM06G27950.2 pep chromosome:ALNU02000000:6:30098130:30106816:1 gene:OGLUM06G27950 transcript:OGLUM06G27950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQPAGWNLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQSSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGELQGLPDTMSFLKSLSELNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSNVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYEAIYSKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEVQGSNWLPTNPIRWIIPRRCQIRLPEDPTTPLVTNCCQMKRLAFSLSCNSKNATTIREICWVAANRGEDDSRRHLSALHREPQPIAPVGRICIRDLLFLMGVLTMAMSRSRHRQKWAVMEHSSRGRGREEG >OGLUM06G27950.3 pep chromosome:ALNU02000000:6:30098130:30106816:1 gene:OGLUM06G27950 transcript:OGLUM06G27950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGDAAHAPPPPHRRLVFAFYLTGHGFGHATRAIEVVRHLIAAGHEVHVATAVPEFVFTAELPRSPSSQGLLHIRRAILDCGAVQTDALTVDPLASLLKYHETAVVPRESILRTEAEWLTSINADLVISDVVPVACRVAADVGIPSVCIGNFSWDYIYAEYIVASGDHHRSIVWQIAEDYSHCDILLRLPGYCPMPAFRDVTDVPLVVRGLRKSRSEVRKELGIAENAKVVVFNFGGQPAGWNLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDAMAASDCMLGKIGYGTASEALAYKLPFIFVRRDYFNEEPFLRNLLEHYQSSIEMTRRDFLHGHWKPYLLRALTLQPCYDGPTNGGEVAARILEDTAVGKKCISDKFNGARRLQDAIVLGYQLQRAPGRDIAIPDWYSLSETEVGACPISENIKTKESTESCFEDFEILHGELQGLPDTMSFLKSLSELNQSELKSNGKQPQERIAASVLFDWEEEMYIARAPGRLDVMGGIGDYSGSLVLQLPLREACHVAVQRNHPSNQKLWENTQARRLENGGMEPVVSFGSELSNRSPTFDMKLSDLMDVDKPISYEKAREFFCRNPSQKWAAYVAGTILVLMTELDVKFTDSMSILVSSNVPEGKGVSSSASVEVATMAAIAAAYGLNIAPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVKELVAIPNHMRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLASESSVSDAPVQSNDYKQNAIELLKSEASLEYLCNIPPHRYEAIYSKDIPEVITGDAFLKKYGDHDDTVTAIDPKRSYNVKAPTRHPIYENFRVETFKALLEAANTDEQLSALGELMYQCHYSYNACGLGSDGTDLLVNLVQEMQHRNMSKGESPSLFGAKITGGGSGGSVCVMGKNCLKSSEEIIEIQQRYKAATGYLPILFDGSSPGAAKFGYLKIRRRPSSPIAAK >OGLUM06G27960.1 pep chromosome:ALNU02000000:6:30141487:30151909:1 gene:OGLUM06G27960 transcript:OGLUM06G27960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE05] MMKQAQQQPPPPPASSAATTTTAMAAAAAAAVVGSGCEGEKTKAPAINSELWHACAGPLVSLPPAGSLVVYFPQGHSEQADPETDEVYAQMTLQPVTSYGKEALQLSELALKQARPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKAVYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGAKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQSSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQLLQQNNIQFNTPKLSQQMQPVNELAKAGIPLNQLGVSTKPQEQIHDASNLQRQQPSMNHMLPLSQTQTSLGQAQVLVQNQMQQQHASSTQGQQPATSQPLLLPQQQQQQQQQQQQQQQQQQQKLLQQQQQQLLLQQQQQLSKMPAQLSSLANQQFQLTDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLLLDMQQQLSNSQTLSQQQMMPQQSTKVPSQNTPLPLPVQQEPQQKLLQKQAMLADTSEAAVPPTTSVNVISTTGSPLMTTGATHSVLTEEIPSCSTSPSTANGNHLLQPILGRNKHCSMINTEKVPQSAAPMSVPSSLEAVTATPRMIKDSPKLNHNVKQSVVASKLANAGTGSQNYVNNPPPTDYLETASSATSVWLSQNDGLLHQNFPMSNFNQPQMFKDAPPDAEIHAANTSNNALFGINGDGPLGFPIGLGTDDFLSNGIDAAKYENHISTEIDNSYRIPKDAQQEISSSMVSQSFGASDMAFNSIDSTINDGGFLNRSSWPPAAPLKRMRTFTKVYKRGAVGRSIDMSQFSGYDELKHALARMFSIEGQLEERQRIGWKLVYKDHEDDILLLGDDPWEEFVGCVKCIRILSPQEVQQMSLEGCDLGNNIPPNQACSSSDGGNAWRARCDQNSGNPSNGSYEQFE >OGLUM06G27970.1 pep chromosome:ALNU02000000:6:30146904:30147311:-1 gene:OGLUM06G27970 transcript:OGLUM06G27970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSVMEPWYFVEASFVVGKVFGSLTAAVAYPEVTSAVLGSEVVKAMTAVVAVIFVTVAAEAVDQLAETADSPDLTTVQASYSIAAVAGAKAVAAAVVAIFVVAAVVVVVAAAAAVAAAGAEARAGW >OGLUM06G27980.1 pep chromosome:ALNU02000000:6:30150020:30151754:-1 gene:OGLUM06G27980 transcript:OGLUM06G27980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPAAAAAVDGVGVGVGAHSVFVYGSLMQDEVVRTIIKRVPPSSPALLPNYHRFNIKGRIYPAILPVQSKKVAGKVITGVTDAELQILDEFEDVEYVRTRVEISLTDTSETMLADTYVWADAEDPNLYGEWDFEEWKRLHMKDFLAMTHGFMDGLEQPESKSRVETYQSFMQEIQQPGTTTTTTQVEI >OGLUM06G27990.1 pep chromosome:ALNU02000000:6:30154461:30157946:1 gene:OGLUM06G27990 transcript:OGLUM06G27990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKTQQTWARPKLSNAGQPIKGAIYGPEGPPNMGQAQRGANRTAHEGTNKAHPIKRPAHPLRLHPTAEATTRYSGPPAPAPPVADFIGPRRRSPAAAPSSRWGKGELEAEAEAERELRTTTMGTSAQEPNLWKQIDDAEHYLVSGLFEQAVSTALSVSSQVHSAAMENSCDHDELLEMLELAGMVLVQALKELKRTSEMFIQLKTIYGSVASIPLKIFITGATMHMAGGSGSDLRPIFDEYLAKWRYTDDQVYVLDEGKNSSSNGLVVTSVMSPEQYFEVAELYTVTFLSVVSHETATAISWTEKAELTEQDRQDLLRKLHALQSAANKKSTNQGAKLSESAEINLSTSQNGSISPAHEDATKSSAPTHNGNVQGLRKALPKSIQPSFQRVTNQFDPLFWWFHSFRIKFGKVLVVLPSGKVMFLFSLLFSTLYILRRKGAALKRTAFQQISSLRRGFLDALQLAFSTQINPLAAVQQVPQAPRASW >OGLUM06G28000.1 pep chromosome:ALNU02000000:6:30159031:30162145:-1 gene:OGLUM06G28000 transcript:OGLUM06G28000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRWFIASCGDIRAAAARSSRRRGGDAIAPKSAPPSPSPPPRLRKTVSETATVMLAVPKDVEEFRTMPAYGTNLELFTYDQLRAATADFSPDQIVGEGGFGVVYKGLIHGAVVAVKQLNPFGHQGDREWLTEVSYLGQYNHPNLVELIGYCCEDDHRLLVYEYMANGSLENHLFRRSCNLSWTTRMKIALDDMKAKLSDFGLAKEGPRGGKTHVSTRVMGTYGYAAPEYVATGHLTAMSDVYGFGVVLLEMLVGRRALEPPAAGCSKCNLVDWARPILIRPKKLERIVDRRMALPAPAADCGGGVDAAVERVARLAYDCLSQNPKVRPTMGRVVHVLEAVLADHHHHAGAAAAAARPAAAAAGRLYV >OGLUM06G28010.1 pep chromosome:ALNU02000000:6:30163989:30169277:-1 gene:OGLUM06G28010 transcript:OGLUM06G28010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPPPSAFVDNHMMSNPTIKLGADLRRIKHFVAASRIYGVAYIRLGSMEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKVDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQEGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYDGMKPATKKLVHFPEFQHHFHSSTRAVASVSVL >OGLUM06G28010.2 pep chromosome:ALNU02000000:6:30163989:30169277:-1 gene:OGLUM06G28010 transcript:OGLUM06G28010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPPPSAFVDNHMGVAYIRLGSMEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKVDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQEGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYDGMKPATKKLVHFPEFQHHFHSSTRAVASVSVL >OGLUM06G28010.3 pep chromosome:ALNU02000000:6:30164152:30169277:-1 gene:OGLUM06G28010 transcript:OGLUM06G28010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPPPSAFVDNHMMSNPTIKLGADLRRIKHFVAASRIYGVAYIRLGSMEAALLPSSTLLPKLVELSWYLLLKEDIRVIRSGGGHKITLAKQDLQELQNCINKASFFLAGTGRINAQSWQTSRLHTWISDALKAASCLEDQIAMYAMRGVYVGHLYAMKSAIGMLSTDKLVKIIRDRKNEMPTEVQNYHQEVMERLPAILPFTPHSHCEEQDTVPIGFMEKIEELKNILLRDAAPVIFVSGEQLSGKTTLMNQVYKDIDVRNHFKIRSKVDMSKTRCLSDLLRAVLKHEERETNSMYPDAIDELKIIEEIQRTFRTVGNRYLMVMDDVDDTSSLHVLRHVLGGWEGKIVCLTRNTRIQYEELHAKVEIRPLELAYQQQLLVHVAFRNADDTPAPVAVDRNNDGQQEGGEYDQLEKALKGKTETDNIDGDDLTPMVETLKGILRKCRGNPWNIRAVGALLGANRVDKWKEIEENQVDDLVIGDKKRDPLIPAEYAQLPADIRLGFLYCLAFPERSEIPENSLIPARKLVRLWTAEGFPPNDSPLQSQEQEAENLLQRLIDYKLLVVKKTGLDGEVLKCKVNEHMRSLALEMCEAQKICRFARDPAHPAPRTRPSSSLFSRKTALLHRYRVLAVHGDGDGNEAVQEMSSAMSKDIRLRSLLYFRTERKEPPKLELSFGRTYKLLRTLDLQGTRLTRLHSSITCLVCLRYLGLRGTQLEYLPETLQSLRRLMCLDIRDTGITEVNDVSEFKEMRHLYLANSFRDQSVLIKEGLLSLLHLQTLSGATHEVPSERKKAGMVPFEQELLYLKLLRKLSVKKASISCSKGISDAINKMDLLQSLTITCAAGEKRGFNLSYLNVNKNLRKLKLGGRMQKFDRLQPKLQSITYLYLWDSKLPVEGKDPLQLLQGLQQLLLLSLYNVYEGEKLTCTNGYHKLKKLSIIAMGKLNECTFGTKNMANLEVLVFAKCARLSSPPPKLDELNFLREVHLAQMPQGFYDGMKPATKKLVHFPEFQHHFHSSTRAVVQGGS >OGLUM06G28020.1 pep chromosome:ALNU02000000:6:30179115:30185870:1 gene:OGLUM06G28020 transcript:OGLUM06G28020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGGGYRQKLIQVWNDWQVSVLVLLSLTLQLLFLSTAGARRRAQPSWGKKTYFWALYIGSRFITTYSLGILSRASTGDANADIQAFWASLLLFHLGGPDDFTALSLEDNKLWDRRCLELFIQVSTTLYVFSRYVLDPGFRRFIVPFALIFSAGVVKYVEQVVALHHATMEALIKSVLGKPDAGPDYADTINRLDGIMRSGALPSLDIKNERVDRPNSDPEANVQVKDYSEDEQVAIKTIRSAHSLFSRFSVLFADGIFSFEDRQESQAMFLRKDARWAFKIVEIELGFAYDRLYTKASVSRGARLAVRVCSLSLTLAAGLWAALAILRASQYRQRHRCVTYALLAGAALNDAAILAAHAFSVWSLVHGDWLSWCSVMLVKRRRWSASMAQSNLVTFCLRKLPSNNDSDPAPLSASFLLWRLLLGGGRGGGVQQQDASPAPALSTTLASMDEFQKLFERRSLLDQVRSGSFWSKYKHTKYVPVSEKLKDFIYAQLEEKVRRLSEYDKRMERERERERKRVRAPSQSPSPSPSPSASATTPTQTGTSTTTTTSSMYSILTDCRGDQVMKKERISNLSWSLEKKEFDESLLIWHIATDLRFREEAQAAGSAASAASAATVDQRETRKHMEIARELSNYLYYIMVVVHPLMLSSSTTMAIKRCRDTCAEARRLFLKDHVMAAAGKGKGDRRRAVGEDNAHRVLLDVDTPLQAAVVKGDKCKSVLWDGCFLARELRQSMADPGRRWRVVCEVWVEMLGYAAVHCGGYQHAERLKDGGELITFVFLLMTHLGMGKHYRTEVGDAYAHLSPYSAAA >OGLUM06G28030.1 pep chromosome:ALNU02000000:6:30186439:30190790:-1 gene:OGLUM06G28030 transcript:OGLUM06G28030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPETRGVVVTAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKMKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQARSNAISSCDNLGGFKFTEAKLPWMKPMKNIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPMNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >OGLUM06G28030.2 pep chromosome:ALNU02000000:6:30186439:30189695:-1 gene:OGLUM06G28030 transcript:OGLUM06G28030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGMNWNQKSPVFWDWENPAPFGPNTMENPKSIPHPETRGVVVTAANHGSTNSSGGTFTSSSELANGSSKSSLSASFDSSSKLGNSLEFRFASVKGHGKNMCKDGEAGRVEDSGTSPAVAVSHGEPVIGLKLGKRTYFENVCGGQNVKSSSAASGVTCPSTVVKKMKVSQQSTQSSYCQVEGCKVDLSSAREYHRKHKVCEAHSKAPKVIVSGLERRFCQQCSRFHGLAEFDQKKKSCRRRLSDHNARRRKPQQEAISFGSSRLATMFYDARQQTDIYFGQSPFGQARSNAISSCDNLGGFKFTEAKLPWMKPMKNIGLEDLNFSTLQMPGNVVSHTVHHHDFDGLIPFKGNTTKVLNQGVDPACAVVSSNSNGAPDLRRALSLLSSDSWGPADVQAGSQVHPGGVMPPLAVAAATVTAPMNPVSVMHALHPSTGGGGFWQDGDDPPPLDHASQAQAFMHPGNGSSSGYGHLH >OGLUM06G28040.1 pep chromosome:ALNU02000000:6:30194154:30195104:-1 gene:OGLUM06G28040 transcript:OGLUM06G28040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANMLSAMMAAVGGDRAAALGGDKPQPDTSEQINVSPLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVTVADVFAMPQSGTGVSVEAVDHAFQSEMLEMLRQTGRPEMVVGWYHSHPGFGCWLSGTDMATQQSFEQLHPRAVAVVIDPVQSVKGKVVMDAFRLVDHMAMVLGGAAGGEARQTTSNVGAVARPSAVALVHGLGRHYYSLAISYRMKEGEERMLACLSRSGWSDGFALRRFGAHDAGNEAAVRGMRDLAVGYGAQVREEDETPPERLAVVRAGKVDAKGKLEEKAMDAMSANIVQTLGMMLDTVTF >OGLUM06G28050.1 pep chromosome:ALNU02000000:6:30195969:30199666:1 gene:OGLUM06G28050 transcript:OGLUM06G28050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAEKATSYRYWVREATGDAAPLPAPRKIDAADLAAKPAPTTLGSVWNKAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCSGDAFLVTVRNKKRVGYTYELGLKFKGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDIKDLSSDNKAQISKDLKSFLAPIREKLRKFEEELKDR >OGLUM06G28050.2 pep chromosome:ALNU02000000:6:30195969:30199668:1 gene:OGLUM06G28050 transcript:OGLUM06G28050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAAAAAEKATSYRYWVREATGDAAPLPAPRKIDAADLAAKPAPTTLGSVWNKAGTWEEKNLNSWANGRIKDLLGSLDPLEFSTGKASVYEVSKCSGDAFLVTVRNKKRVGYTYELGLKFKGKQPLVLEFTSSHELSPAENWHSWEYSSGEWLIKEENKKVKGYLDIPEFSFGELEDLEVQISFTDIKDLSSDNKAQISKDLKSFLAPIREKLRKFEEELKDR >OGLUM06G28070.1 pep chromosome:ALNU02000000:6:30217361:30220763:1 gene:OGLUM06G28070 transcript:OGLUM06G28070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSLSAKARRGFSSSSSSASAAASSCRSQLEQDVKKLQKALQEETALHAILENALHRAALTLADMSYLPPNAQELLSNISILEGTISKLEDDMVSLHFQLIQERNERRLVEYRLKQAPPPPQLQLQQPRSVCSCHSTKSESDDTANEKSCRVDKVYPFAVLHDSAMKLQRQLSSKCFGNPNQLSEDIVRCMKNIFISLSDSCRESSRTPSTEKQQSGPSPSGNYSISAFWSLSEPTSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYGAAAEVSWMSVGKKQLEYAAESLRKFRLLIEQLGEVNPIHLGDDAKLAFWINLYNALMMHAYLAYGVPRSDIKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPNHRPQMKIKVPEEQKKFCIGSPEPLLTFALSCGLYSSPAVKIYTAGNVREELQDAQRDFIKASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHFLPQQQATFVEHCVSQRRQSLLGTRTFGIIPFDSRFRYLFLPDMGFSN >OGLUM06G28080.1 pep chromosome:ALNU02000000:6:30221057:30221946:-1 gene:OGLUM06G28080 transcript:OGLUM06G28080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNASGSFQGTIPQPAVLSARMSAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRTVTD >OGLUM06G28080.2 pep chromosome:ALNU02000000:6:30221057:30221946:-1 gene:OGLUM06G28080 transcript:OGLUM06G28080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGLARAAAPAVVALALAAILSTPPPQPETFSNIPQTLSGGDGKQQVRIKRPKSAKALQCTSKCVATCIRGGEGPLNVRKKYVHSPIIRAELLLNLQASGSFQGTIPQPAVLSARMSAISSRMEKMGNEESFDKEKQQQFKPRQGMDSRTVTD >OGLUM06G28090.1 pep chromosome:ALNU02000000:6:30222367:30234173:1 gene:OGLUM06G28090 transcript:OGLUM06G28090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHRRRLRLLVSSFAFAAADPHPSRERSGGGGAPPFVLLLPPHPGTTKMKMKMNNLVKEKWAIGEFVCHLSEGIDSGSYVAAPGSTKELYNKQKKNSQE >OGLUM06G28090.2 pep chromosome:ALNU02000000:6:30222367:30234496:1 gene:OGLUM06G28090 transcript:OGLUM06G28090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGEIPLDEPPQRHRRRLRLLVSSFAFAAADPHPSRERSGGGGAPPFVLLLPPHPGTTKMKMKMNNLVKEKWAIGEKIR >OGLUM06G28090.3 pep chromosome:ALNU02000000:6:30222367:30234810:1 gene:OGLUM06G28090 transcript:OGLUM06G28090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGELQGQQRNSTTNRRRIHKSEGRHGTLMWATRANNQLTAVSTCRVSMFLLFFYL >OGLUM06G28090.4 pep chromosome:ALNU02000000:6:30222367:30234048:1 gene:OGLUM06G28090 transcript:OGLUM06G28090.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger C-x8-C-x5-C-x3-H type family protein [Source:Projected from Arabidopsis thaliana (AT1G75340) TAIR;Acc:AT1G75340] MSRRQEICRNFQRGSCKYGAQCRYLHASPHQQQQQQAKPNPFGFGTGSRQQQQPSFGSQFQQQQQQQQKPNPFGFGVQGANAQSRNAPGPAKPFQNKWVRDPSAPTKQAEAVQPPQAQAAHTSCEDPQSCRQQISEDFKNEAPIWKLTCYAHLRNGPCDIKGDISFEELRAKAYEEGKQGHSLQSIVEGERNLQNAKLMEFTNLLNSARPSQTPSFPTMSSFPEVKNNSSFGASQTNGPPVFSSFSQIGAATNIGPGPGTTAPGMPASSPFGHPSSAPLAAPTFGSSQMKFGVSSVFGNQGSGQPFGSFQAPRFPSSKSPASSVQHRDIDRQSQELLNGMVTPPSVMSEESVGNNKNENQDDSIWLKEKWAIGERALILEAMLQLQGQQRNSTTNRRRIHKSEGRHGTLMWATRANNQLTAVSTCRVSMFLLFFYL >OGLUM06G28100.1 pep chromosome:ALNU02000000:6:30236451:30239668:1 gene:OGLUM06G28100 transcript:OGLUM06G28100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRNSVGIEEEKAPDPAAVDPPPSWAFPNARLRAAYVALQAWRRTAIFSDPANFTANWSGPDVCGYNGVFCAAHPTDGRVRVVAGLDLNHADIAGYIPASLPEGLPDLALLHLNSNRFCGVLPDTFSHLRLLHELDISNNRFVGGFPEVVLSLPSLRYLDLRFNDFEGAIPPKLFDRPLDAIFLNSNRLTRPIPPNLGSSPASVVVLAHNRLGGCIPPSIGRMAETLNEIVLIDDELTGCIPPQVGLLRKVTVFDVSGNHLQGPLPGSVAGLAAVEQLDVAGNLFEGPVPATICSLQSLKNFTYEDNFFSSRPGCPAATADGRWNCIPGAPAQRPPAQCAAAAAHPFDCSKAQCQATPPTTRRPGGRTPPAPHRSPLPHHMPPRRTPPTPPPPSSPTPSHLPPPPPTYSESPKSSMPPSTSPPSSHGASPPSSSSSPPTEHPGYVLPPPTTTTPPGHHAPVPGTPSSPPSSSWSPPQGGGGKLPFPPVYGVAYSSPPPPTKPYN >OGLUM06G28110.1 pep chromosome:ALNU02000000:6:30240131:30250115:-1 gene:OGLUM06G28110 transcript:OGLUM06G28110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-aminolevulinic acid dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE27] MAAAIVAARAPPGGGGAAAVIAYHHCASPSRALPLAAGPSAGGGVVVGRRYHHGGACCFATKPTQVAAEVDQGGAAEPGVASANAAVEAKPRKKARSRRGRKGKRSSSSEPSTTAVLEEEEEEEKKAEEDGEEGKRKEKAAGLDLDEVMAVSPVGLGRRSRQIFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDAEVIDMLPRSVDIVVGDVGDPSTVKSAVSGCSKIIYCATARSTITGDLNRVDNQGVRNVSKAFQDYYNELAQLRAGKSSKSKLLIAKFKSPKSLNGWEVDQGSYFPNTFASRFDEGIDASFDFSEAGQAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQSATDPRNFELILEYIKALPTGQETDFILVSCSGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGNELYELVAHLPDKANNYLTPALSAMASTVSFSPANVQMLQGRSCHGHAAFGGCSAVPRTGPRMRSVAVRVSSEQEAAPAVRAPSGRTIEECEADAVAGRFPAPPPLVRPKAPEGTPQIRPLDLTKRPRRNRRSPALRAAFQETTISPANLVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKSRDVGVNSFVLFPKVPDALKSQSGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALLETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALNMIDEEKVMMESLMCLRRAGADIILTYFARQAANIHCIE >OGLUM06G28120.1 pep chromosome:ALNU02000000:6:30251664:30264081:-1 gene:OGLUM06G28120 transcript:OGLUM06G28120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGQGAHLSGQRISHHPTAQMNPGDGDGNGRQQVASGHASADPELMNLRIRMTNRLIWELLSREPKLQTRPRKLVSDLAKRFEAVIYKKNPNKAAYYSILNGEIFPHLQHALSTHMAQHQQGQQMLQQLTSSSSYGTMIPIPDVVQNASGNTRALYEMDNTGGPMSNGHHHFSANFPLHSTTKGASLEMSAVSMQEGKITHMIPTPGSSNQQSLPGNFHYSTGTGYLNGKSNVMAQMQEQQAPFASKINCCPVQRDLGGYAGSGVHSDILNNSSPYGVSEAHMIDGMGLHRSNVQVINRTVVPETFINPSPYGISRNKPLQQHVNPSTRSTPTPADIAASTSFNGTGSSALSTTSYLDMTAVNSLPKSRMDSGLIMSQPTIQSFQTEHYIQTEGLDLQEKISLEQLHQQVNQLHLIQPHSQYAQNQCSLKLQQQNSLHHLVMSRGNVLTQCHLGSDHAEKLLDKRNQLHSELVSSQINEHVGLTNLQGHYDQTQYHDNYKKGQMSASSQNLGIPAPHDLLPPQQQSNDGSYRLSCFLKETYTKPLQPHCKSKPMKEVIVTSLLSGKIQDGFCQKKMARDREHHPIISGWHSAGCAATSFGSEEVMENTKQYHDQARWLLFLFHAKSCTSPPGSCKSSYCDRVRELVIHLTDCQIKDCQYRHCRESKMVSDHYKNCINEHCHVCCKAKEMLRRSSELAHKQNPAEPILITQHNMNQRSTDRVHGDRMDIDQAVETFDDQPPAAKRPKLQLVSPDASENVPVCQKNPGFMLQEAHPRQLDQNKKMVPDQEVDVGLDIRHPQVTLVSCHGSDEKIGAAQNTVIPGALNKIHCHVQQETVVADKESVTVVDVKKKTGSVDVTISKTGKPKVKGVSLMELFTPEQIHEHINSLRQWIGQSKAKAEKNQVIGYSESESLCQLCKVENLTFEPRPIYCSPCGARIKRNASYYTGSTAMGRLFFCISCYNASLGNTIEVELIKLSKADLEKKRNSDEPEEGWVQCDKCECWQHQICALFNARRNDVEEAEYTCFKCYIEEFKRGLRMPLPESVVRGAKDLPRTLLSDYLEERLFKRLREEIQERANKLKTSLDEVPGADGLVVRVVSSVDKKLEVKPRFFKILQEDNYPAEFPYKSKAILLFQKIEGVEVCLFGMYVQEYGAECKFPNQRRVYLSYLDSVKYFRPDIETVSGQALRTYVYHEILIGYLEYCKQRGFTSCYIWACPPVKGEDYILYCHPEIQKTPKSDKLRQWYLSMLQKAIKENIVVELTNLYDQFFVTAKECKIKVSASRLPYFDGDYWPGAAEDIINQLQLEGDGKLLKKGRVNKIITKRALKAAGHTDLSGNASKEAMLMQKLGEIICPIKDDLIMVHLQYSCSHCCTFMVSGRRWVCNECKSFYICDRCYNAEQRLEEKERHPSNSKCLHILHPVEIVGVSEDTKDRDIILENEIFDTRQAFLSFCQGYHYQYDTLRRAKHSTMMMLYHLHNPTGPAFVATCNVCNCDIENGQGWYCKDCPDFDMCASCYQKHGGANHHHKLTNHPSSAECNVQNKGAWQKHVQQVRVWLELALHASSCHVRNCQYPNCRKLKGLFHHGAQCKIRLSKGCKQCARMWYIIRLHSQSCRQSDCAVPRCRDFKSFKRKQNQLSESRRMASVNERVRQRVAEVTRHE >OGLUM06G28130.1 pep chromosome:ALNU02000000:6:30265442:30268928:1 gene:OGLUM06G28130 transcript:OGLUM06G28130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01230) TAIR;Acc:AT5G01230] MSPILAHHQRPNPTQHLLFLLARSSSSSVAAISNPPRTTRRRRRRRSRGPSPPPSVLRTGIRLVLVTMGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNLYVPAKQSPDCKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEKDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPCTEGGSYQSLDPVQPPIAPPYKTALEMKKVASHGIGADISKLSLDS >OGLUM06G28140.1 pep chromosome:ALNU02000000:6:30270133:30272290:1 gene:OGLUM06G28140 transcript:OGLUM06G28140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MMPKLLLQAAAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSAASPRGVGGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGAGAVHVVWSEPEEPTEELRGSVLNCSGGGAGVRFVINAEDSLNNRFRPIQGLTTDAVFSVDDDLIVPCSTLRFAFAVWQSAPSTMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRKYLDLYTNHMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATTVKAVDSRTSWFW >OGLUM06G28140.2 pep chromosome:ALNU02000000:6:30270133:30272299:1 gene:OGLUM06G28140 transcript:OGLUM06G28140.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G55830) TAIR;Acc:AT3G55830] MMPKLLLQAAAAAAVADRRWYGSGGRRGYLPLRHPPHVAPGRFTACLLAVAAVTTTFALALTLHRPDLSAASPRGVGGGGGAGYAVVINTWKRYDLLRRSVAHYSGCGGAGAVHVVWSEPEEPTEELRGSVLNCSGGGAGVRFVINAEDSLNNRFRPIQGLTTDAVFSVDDDLIVPCSTLRFAFAVWQSAPSTMVGFVPRMHWLADPGSNAKEYRYGSWWSVWWTGTYSMVLSKASFFHRKYLDLYTNHMLPSIRDYVNENRNCEDIAMSFLVANVTGSPPIWVQGRIFEIGSSGISSLKGHDLQRSKCLNTFSAMYGHMPLVATTVKAVDSRTSWFW >OGLUM06G28150.1 pep chromosome:ALNU02000000:6:30272716:30274681:1 gene:OGLUM06G28150 transcript:OGLUM06G28150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast thylakoid lumen protein [Source:Projected from Arabidopsis thaliana (AT4G02530) TAIR;Acc:AT4G02530] MVVAIATEAWALAGCGAAAKSAAAQEAPVQLQQHSGCAARAKKPISFRGVAAVAVSSQCHQERRAVVVGRRSGMASCLLAAVAASLSGAGAARAAVLEADDDIELLERVKEDRKKRLQKQGVISSSGTETATGYLQDLIYKLSKVGQAIDKNDLPAASSVLGPNSDAQWVQNINVAFTKFSSSPEEKNMVDSFNSSLASLITSVNKSDVDSSKSAFVSSATTLEKWIASAGLSGQLKGF >OGLUM06G28160.1 pep chromosome:ALNU02000000:6:30275381:30279773:-1 gene:OGLUM06G28160 transcript:OGLUM06G28160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLFLGLAVLTLLLATAAAALPPPGCPRTCGGVAVEYPFGIGPNCSLSDGFSLDCVRDTPQLRLDPVKQQQTVRVLGVDLLHGKIRTTNAIASQCLDARTGKLVNTSWEGLNAAALPYRFSDEDNRFFAVGCSGVVLLQGTAAGADDRVVIGCISTCFGNASIRTGSCSNIGCCETAIPRGLNSYLLAMERMPGGSPVNRCFYVALMEAASFSFEAEDAAADGFYRKSSNGTVPVVLSFVVGSETCKEAKTSDTYACLSDHSVCVDGAPGYVCNCSQGYTGNPYLPNGCIGKHGCPDGMICTNFPGGYNCSCPEGEYKSNKNGVLVCESDQKRSSLPVSVIIVIGVSGGVVIAVIAILITYLMRQRRALADVKRKYFERHGGLLLYDELSTRPGNTFTIYTEEQLEQATNGFDDGNILGRGGHATVYMGIVPTAATAAAGDDGLVVAIKRCKVMDETNKKEFGKEMLILSQVNHKNIVKLLGCCLEVDVPMLVYEFVPNGTLYHLIHGGGGGDGGVISFATRLRIAHESAESLAYLHSFASPPILHGDVKSSNILLDESFMAKVSDFGASILAPTDEAQMVTMVQGTCGYLDPEYMRTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPEEERSLSARFVAAMGERKVGEMLDEQVKREANGESLEEITRLALECLQMCGGDRPAMKEVAERLGGLRKLHQHPWTQDAVELEEARCLLHGSPEYQLSATRWYTGSR >OGLUM06G28170.1 pep chromosome:ALNU02000000:6:30283028:30291489:-1 gene:OGLUM06G28170 transcript:OGLUM06G28170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAPWPTPRTVRQATELHAHLTTSGRLLHPPSAHHLLNSLVNCLPPSDPLHLRYALHLFDRMPASTFLFDTALRACFRAGTSSGDPDIPFVLFRRMRRAAVRPDGFTFHFLFKCSSSSRPRALLCTMLHAACLRTMLPSAAPFVANSLLHMYTELGLAGDVRRAFDEIPVKDAVSWTMVISGLAKMGMLSDARLLLAQAPVRDVISWTSLIAAYSRADRAKEAVDCFKNMLSEGIAPDDVTVIGVLSACSQLKDLELGCSLHSLVKEKGMSMSENLVVALIDMYAKCGDFGHAREVFDAVGRGRRPQSWNAIIDGYCKHGHVDVARSLFDQMEVRDIITFNSMITGYIHSGQLREALLLFMNMRRHDLRVDNFTVVSLLSACASLGALPQGRALHACIELRLVETDIYIGTALLDMYMKCGRVNEATIVFQRMGKRDVHAWTAMIAGLAFNGMGKAGLEYFYQMRCDGFQPNPVSYIAVLTACSHSCLLNEGRLYFDEMRILYNIHPQIEHYGCMIDLLGRSGLLDEAMDLVKTMPMQPNSVIWASILSACRVHKRIDLAQCAAEHLLKIEPDEDAVYVQLYNICIDSRKWEDASKIRMLMEERQVKKTAGYSSVTVAGQVHKFVVSDKSHPRILEIIAMLEEISHRLKSAGYSPITSQVTVDVDEEEKEQTLLAHSEKLAIAFGLISLAPNLPVHIIKNLRPTIFRPLGADDGKQKQPDRRVVFLLPPSRRDAPVLVRPIPSSPAPFSLRSSVTMAVRDVASLNRMITGFIRDGLADRARAVYRWMVASGIRETPHTFSTILGVCSTYEALQLHGRVLALGLCCNPFVGSALVNHYMHVESPHAALSLFRELPLQNTAMCNVVLRGLGNLKLTEELICCFLDMRRQYLELNGLSYCYAMKGCYQNGEWLEQGRQLHGVVLKAGWIPSNIFLSNSLVDLYSAIGDSVDTVKALNDILSEDVISWNSILSMYADRGHMKEAVYYLKQMLWHGKMPSIRSFVSLLALSGKTGDWQLGVQIHGIVHKLGFSCSSVHVQTTLIDMYGKCCCFDHSLAIFNEIPSIALECCNSLITSSLRCNMFDAALEILHCMIVEGVTPDDVTFSATMKAISLSASPSLTSCQMLHSCLVKLGFEMDMAVCSSLITAYACAGQLSSSHLIFEGLLDPNVICFTAIISACARYGDGARAMELFDQMVSSGLKPDNVTFLCAIAGCDQAGMFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVKEAMEMMEQSPLRHYTKAWSSLLQSCKAHGENVLGKRAANMLIDVGRKDPATTLQVSNFFNDIGDRETALRIKEMTNVKEVKKSGHSLIEDGRGKVQEGIRAGGVEKAPATCQERTSTRTLVDSCWVLLLNSLVLGLFAEDTVPLKFDLRAYSDSAILGLQCMQAHKVQNLIHCCLQLYMDKKEVVDALSREAKIEPSVTQHVWQKLEENNREFFKAYYLRLMLKNQITAFNKLLEDQLRIINKEYHPGPSSMPLPNGSNSNLLKQNPCFLSESTPMPAMPDDVMCNGNSSGIVDPTQSSDQLIYAGKDIQGLHSSMDASNLLPVQNANSVLFGVENGTTIKTESGYSSNGNFGFCGNAFLESCQSIGDASGGSFSSSELNGQPLDDSILDIESSSFGFLSQLPRNFFSDLPEDFSQSTEILDNYGKSPFLPSEQNNFSDSTGGEHTG >OGLUM06G28180.1 pep chromosome:ALNU02000000:6:30292487:30292783:1 gene:OGLUM06G28180 transcript:OGLUM06G28180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINRKGGAAAAYAVALCAALVLAAGAADAAGCNPSALSPCMSAIMLGAAPSPGCCVQLRAQQPCLCQYARDPSYRSYVTSPSAQRAVKACNVKANC >OGLUM06G28190.1 pep chromosome:ALNU02000000:6:30293433:30296462:-1 gene:OGLUM06G28190 transcript:OGLUM06G28190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFVVTSLPIVSLLTLSHLFPVPTSPEHHRGHGARREHPPRRRRRLTNFLLAASQSSRESSSMNDPSARACHPSMCEENDMDPLALTKSVLLMFPNISEEFIDELFQANEFDICLTVDMLHELNSQNMLHGDAIMGFPTFPDVKKFHGNLGLPDGDLSESNSSLDQSLQKGMSLTTSGAKSASAMIPDNISLHDKLGVQKDDKPAIASTTN >OGLUM06G28200.1 pep chromosome:ALNU02000000:6:30298885:30301268:1 gene:OGLUM06G28200 transcript:OGLUM06G28200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEQQEHAAPLLQPEVEEAYTSDGSLDIDGNPALKHRTGGWRACRSILGAEFCYCLANNGIMYNLVTYLTTQLHQSNVAAAKNVSIWKATCFLTPLAGAVVADSYWGRYRTMVVACCVGVAVSLLRSPHFNQSLHPAMNSAQGMLMASLSALLPQLIESSSTLSMASAQEFVLFLGLYMIAFGVGGRAAAVPDVFRRRPVRRRRHIGAREQGVIIQLIRIHHELRRRDIRHRLGVGARPLRVGIGIGDSGDGPRRRALLPCRRVADVQVSDNPRFFDKAAVVTASDEEAPRNPWRLCVVTQVEELKILVRMLPVWACIAFFYTGTAQTKSTFVKQGMPMDAHVGALRVPPASLAAFQTLTTIVLIPLYDRAPQFVLVGAGELLATIGQLDFFYSQAPPAMKTVCTALGLLAVAAGDYLSSVIVTAVSWATANGGRPGWIPDDLNEGHLDRFFWMMAGLGCLDLLAFTCCAKRYNKSRKAC >OGLUM06G28210.1 pep chromosome:ALNU02000000:6:30308065:30311261:-1 gene:OGLUM06G28210 transcript:OGLUM06G28210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSHRLSSCVDRLIDRIQNYYIEFRKDPISSYHRDSNGCNSTRLLLVTMDENQFGGGPRIVFGDITNNQTVHTGDSTNKEKKEQKNKYMREYRARKKSELSAATSDVTFSRPMQEVTFDTPTQATSVLTPEHIDSGEVQITHLSELSDKQKREQKSKYMREWRARKKAELSGVSSTTTPGNPMQATTILPSEQREDTNKYLREWRAMKRANLSGVGATVSKIDTSGTPTQTVTFSPSEQSEDLEVRRSVITENKKKEERNRKQHGVMQCHTTQIPNVHEAIPDNDYVEFDSALFEPANEGGVDDEHMHNQP >OGLUM06G28220.1 pep chromosome:ALNU02000000:6:30315996:30329448:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIKLESCNLRHFAISTSVLAMASTEQQEHAVALLEPKVGEEEAYTTDGSLDIDGNPAPKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRSVHVSRCIGYATCSIRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKAC >OGLUM06G28220.2 pep chromosome:ALNU02000000:6:30315996:30329448:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIKLESCNLRHFAISTSVLAMASTEQQEHAVALLEPKVGEEEAYTTDGSLDIDGNPAPKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKAC >OGLUM06G28220.3 pep chromosome:ALNU02000000:6:30315996:30329790:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIKLESCNLRHFAISTSVLAMASTEQQEHAVALLEPKVGEEEAYTTDGSLDIDGNPAPKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKAC >OGLUM06G28220.4 pep chromosome:ALNU02000000:6:30315996:30329790:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSIKLESCNLRHFAISTSVLAMASTEQQEHAVALLEPKVGEEEAYTTDGSLDIDGNPAPKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKAC >OGLUM06G28220.5 pep chromosome:ALNU02000000:6:30315996:30329790:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPSREEEAYTTDGSLDIDGNPAPKHRTGGWRACRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKAC >OGLUM06G28220.6 pep chromosome:ALNU02000000:6:30315996:30330401:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRTCRSILGTEFCQCLAYFGMTINLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAIVADSYWGKYHTMVVGCCIGVAGLLMASLSALLPLLIKNISTVAMASAQEFVLFLGLYMIALGVGGLRPCLMSFGADQFDAGDPSERKSKGSYFNWYLFTMNCAAVISTTGMVWLQDHYGWALGLAISAMVLAVGLSCLVAATPAYRFQRNRGSPFTRVCQVVVAAVRKFNVAPPADVALLYEVPEDASSMKGVQRIEHTDDLQFFDKAAVVTASDEEAAGDPWRLCSLTQVEELKILVRMLPLWASIAFFYTGMAQVNSMFVEQGMAMDARVGSLRVPPASLATFELLTSMALIPLYDRVFVPAARRLTGREKGIPDLLRIGGGLAMAVLAMAAAALVETKRARAAQMGMEKTSIVWQVPQYVVMGVAEMLASAGQLDFFYSQAPPAMKTVCMALGFLAVAAGVYLSSLVLTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLVAFTSCALRYKSRKDFCTRAPDPAPAMASTDTEQQEHAVALLQPEVEEAYTTDGSLGVDGNPALKHRTGGWRACRPILGTEFCYCLAYYGITFNLVTYLTAELHQSNVAAANNVSTWQATCFLTPLAGAVAADSYWGRYRTMVVSCCIGVAGMLMAALSALLPLLIKDTSSMASAQEIILFLGLYMIAFGVGGLRPCLMSFGADQFDDGDTSERISKGSYFNWYIFTMNCASVISTTAMVWVQDHYGWALGLGIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVSQVVVAAVRKLNVAPPADMALLYDLPEDASSMKGVQRIEHTADLRFFDKAAVVTASDEEAARNPWRLCVVTQVEELKILVRMLPLWACVAFYYTATAQANSTFVEQGMAMDTRFGSFHVPPASLATFQIITTIVLIPLYDRAFVPAARRLTGREKGISDLLRIGGGLAMAALAMAAAALVETKRQRARAAHAGMEPTSILWQAPQYVLVGVGELLATVGQLDFFYSQAPPAMKTVCTALGFISVAAGEYLSSLVVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCVNLVVFTSCAMRYKSRKALSAATGGVHFKTDTFRRAAEQTPHLLELDYVLRPWMLVQLPQSAQPLGDLLHRRPIAAGHLQALQSQHRDLLQQLTARLALHLLVQYQIDSILPHGGQEPRRQAPPLVRPVEAERLLAGEQLEQDDAEAVHVALLRELACLHVLWVEVTARSLHHGHHLGFVSGSQDGGAEVGHLRHEALVD >OGLUM06G28220.7 pep chromosome:ALNU02000000:6:30315996:30318744:1 gene:OGLUM06G28220 transcript:OGLUM06G28220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEQQEHAVALLQPKVEEAYTTDGSLDIDGNPALKHRTGGWRTCRSILGTEFCYCLAYYGIMYNLVTYLTTELHQSNVAAAKNVSTWQATCFLTPLAGAVVADSYWGRYRTMVVGCCVAVAGMLMASLSALLPQLIESSSTLSMEIILFLGLYMIAFGVGGLRPCLISFGADQFNAGDPSELISKGSYFNWYIFTMNCGSVISTSGMVWVQDHYGWALGLAIPAMVLAVGLSCLVAASRAYRFQTTRGSPLTRVCQVVVAAVCKFNVAPPDDMSLLYELPDDASSMKVVERIEHTTDLRFFDKAAVVTASDEEAAGAAPRNPWRLCVVTQVEELKIFVRMLPLWACITFFYTGTAQVNSTFVEQGMAMDARVGSLRVPPASLLTFQMLTTITLIPLYDRAFVPAVRRLTGREKDISELVRIGGGLAMVVLAMAAAALVETKRVRAWQTAMEKTSIMWQVPQFVLVGVGELLTSIGQLDFFYSQAPPAMKTVCAALALGAIAAGDYLSSIIVTAVSWATATGGRPGWIPDDLNEGHLDRFFWMMAGLGCLNLAAFMSCAMKYKTRKAC >OGLUM06G28230.1 pep chromosome:ALNU02000000:6:30329848:30333315:-1 gene:OGLUM06G28230 transcript:OGLUM06G28230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHPMLLFLGLALLALQPQIAAASRRPPPPPLSPKKCGNVDIEYPSGVGPNCSLSHDFSLECVHDTPQLRLGPERQQVALLDINLLHGKIRIANSISWQCKDDNDPTGAPLKNRSWTGLNLTAAALPYRFSDKDNRFVTVGCNVFVLLSGEEASAGEKDLILNGCISTCFGDGSNIGNGSCSGAGCCEMAIPRGLNSYRLEFSGPLVNNSWSDNNWCSYAVLMEADSFKFEVPYATTKEYFSTKNHGTVPVVLNFAAGSEKCKDARMKDTYACVSDHSACVDTTDGPGYVCTCTSGYKGNPYLSGGCKEGEHKSNKSRVLICEPDQKRSSLPVSAIIAIGVTGGIAIIVMSILSSYLVHQRRALADIKRNYFKRHGGLLLYEELNARKSNAFTIYTEEQLEQATNGFDESNVLGRGGHGTVYKGRVAASDDLVVAIKRCKLMDERNKKEFGREMLILSQVNHKNIVKLLGCCLEVDVPMLVYEYVPNGTLYQLIHGGSAGAISFASRLRIAHESAESLAYLHSFASPPILHGDVKSSNILIDESFMAKVSDFGASILAPTDEAQMMTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVVLLELLTGKKPLCLDGPDEGRSLSARFLAAMREDRVDLILDEQVKSEASGELLEEITMLALECLQMSGGDRPAMKEVAERLGGLRKLHQHPWTQDVVELEEVRCLLSGSPESISFEVDATSSSGY >OGLUM06G28240.1 pep chromosome:ALNU02000000:6:30334828:30335187:-1 gene:OGLUM06G28240 transcript:OGLUM06G28240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKGGGGGGGKGGGGGGGGGKGGGGGSGGGGRSGGGGGRGGGKGGGGGGSGKSGGGYSGGHAGGGGGAGKSGGSGGGYHGGGGGDSMKAPGGDGAYISRSGFESNPQGYFQGLHGDGK >OGLUM06G28250.1 pep chromosome:ALNU02000000:6:30335964:30337839:-1 gene:OGLUM06G28250 transcript:OGLUM06G28250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVRSKKSKGSFCHPPLLLLIVAIQFLVIYSPTLDQYMVMLTTGKPGFPSMLIDGRRSFKQVDEFIPEPHLRCDFRDNRSDVCEMEGAIRILGRTSEVFLVAPSLASISGGGGGGGVNATGVDANATRWKIQPYTRKGESRVMPGITEVTVRLVTADEAPPCDEWHDVPAIVYSNGGYCGNYYHDFNDNIIPLFITSRHLAGEVQLLVTQKQRWWFGKYREIVEGLTKYEPVDLDAEQRVHCYRRATVGLHSHKDLSIDPRRAPNNYSMVDFKRFLMWRYALPREHAIRIEDEEDKDKKPRLLVINRRSRRRFVNLDEIVAAAEGVGFEVTVAELDAHIPAAAAAVNSYDAMVAVHGSGLTNLVFLPMNAVVIQVVPLGRMEGLAMDEYGVPPRDMNMRYLQYNITAEESTLSEVYPRAHPVFLDPLPIHKQSWSLVKDIYLGQQDVRLDVRRFRPVLLKALHLLR >OGLUM06G28260.1 pep chromosome:ALNU02000000:6:30340522:30350820:1 gene:OGLUM06G28260 transcript:OGLUM06G28260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE53] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYVPYQVSANSKHCPSTGSLFWGHLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQEVFGT >OGLUM06G28260.2 pep chromosome:ALNU02000000:6:30340522:30350820:1 gene:OGLUM06G28260 transcript:OGLUM06G28260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE53] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQEVFGT >OGLUM06G28260.3 pep chromosome:ALNU02000000:6:30340522:30349139:1 gene:OGLUM06G28260 transcript:OGLUM06G28260.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE53] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYVPYQVSANSKHCPSTGSLFWGHLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEAEKARARVQEVFGT >OGLUM06G28260.4 pep chromosome:ALNU02000000:6:30340522:30350820:1 gene:OGLUM06G28260 transcript:OGLUM06G28260.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE53] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYVPYQVSANSKHCPSTGSLFWGHLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEVVRIKDCQDLGRTLLVVAKVNCSSLPTDK >OGLUM06G28260.5 pep chromosome:ALNU02000000:6:30340522:30350820:1 gene:OGLUM06G28260 transcript:OGLUM06G28260.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE53] MSGGGGEVEAAAEAAPLLVPHDPQPAVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASVASSFANVTGFSVLLGMGSALDTFCGQSYGAKQYDMLGTHAQRAIFVLMLMGVPLAFVLAFAGQILIALGQNPEISSEAGLYAVWLIPGLFAYGLLQCLTKFLQTQNIVHPLVVCSGATLVIHILLCWVMVHCFDLGNRGAALSISLSYWFNVILLAIYVKVSEVGRRSWPGWSREALKLKDVNMYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPNPKLETSILSISLNTMWMVYTIPSGLSSAISIRVSNELGARNPQAARLSVFVSGIMCLTEGILVAIITVLVRDIWGYLYSNEEEVVKYVAAMMPILALSDFMDGIQCTLSGAARGCGWQKVCSVINLCAYYTIGIPSAVTFAFVLKIGGKGLWLGIICAMTVQILALVVMLLRTSWNEEVVRIKDCQDLGRTLLVVAKVNCSSLPTDK >OGLUM06G28270.1 pep chromosome:ALNU02000000:6:30350668:30352147:-1 gene:OGLUM06G28270 transcript:OGLUM06G28270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHAARGRAEPRRMGNAAMVITMLLSLCVLTYIKARYCSTPFPKAAEEMEVVEIDEDYDSTRYKMTGPIGEEDFDPSRPTCYVTSKRSERCAAVGDIRVDGNHSKIYINPLDKEWRTKPYARLHDAVAMDDVREFTLVPFGGANHTAVPPLCTRNHSVPAFLFSSGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGIKDWWLDKFTPLFRQLSRYDVIDVDNDQEVHCFPRIFIGATFHRAMGIDPARSPGGVTVADFKRLLRRTFRLERAVASRTGAPRRDKPRLLIISRKSSRRFLNERAMAHAAALARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPAKDMDVNYMEYNVSFDESSLRELYPRDHFYIQHPYDVHKKGWDAIKTVYLDKQNVELNLTKLTNTLERARDFLPEP >OGLUM06G28280.1 pep chromosome:ALNU02000000:6:30357471:30358889:-1 gene:OGLUM06G28280 transcript:OGLUM06G28280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKLVGAAVRRPGWADLPRDLLESVLGRLPVPDRLRFPGVCTAWQSADAASATARFRAAQPPWLMLPFNPTARRQSPSGGGGGDGRFLEARFLSLSDGRAYAIPQPAPPVSERLCVGSSDGWLVTADAASELHLLNPLTGAQVQLPSVTTLPFVDASRDADGRVASYDLRCCFGDGDNDGDEVLVPPESFAPDRLRYELYEKAILVAPPRRQTTPPGSWGGYAVLLICQPLYRLAIARAGDTKWTLLDMPSRCWVDAVRAASAPAADGHQAVYTLDSVGRVEAWDMDVTAAGTTPPPPREIAPPCCCSGRACSMSIPCSKYLVELSPGHLLQVHRLRDKAHARSKWEPRQERVEYTTVKAELFEWNAAGGGHGEWARVDGAGAGILAGRALFLGKSASLCVPADCCPEVKGNCVYFTDDGPWSHERCHEVVPDVGVLDLADGSYKVPRGAVRDLLWKWPPPVWVFPSCTN >OGLUM06G28290.1 pep chromosome:ALNU02000000:6:30359015:30370071:1 gene:OGLUM06G28290 transcript:OGLUM06G28290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRRSDVRRGPCICGMPQARRSETATSFGCGRSMGLRGREAGGANGKARERAAWRGVTRPHQLQAIEQERTLQLSHFSNGRVKRIISDKKMPQLYKECCNGDKGVTTVMFMYKREAWKVFILSMRWIVSTSSIGKELVNAAAACKCILIVGMVTRFPIISTRACLLLDVSDSVACVEHEALEAALGVLFSALVGAIKLVLDAEVVELVQHVLGVVGEATEFQEQLLQRGVAGLSAASESSSILMASTLGPSSVVAAHASTNPPDSGLSALPTTHRAAFTAADSIDLSGLYSAS >OGLUM06G28300.1 pep chromosome:ALNU02000000:6:30376910:30398567:-1 gene:OGLUM06G28300 transcript:OGLUM06G28300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARWMVGKALSPLSGGLVEAWLACSELGTNVGAVKLELLYAQVMLDNARGRETRSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDADDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSQREDTMKTPKLKFDRVDLSTRTKHIAEQLKLVCAKVSTILNLELPESNRTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTIIPITGPGGIGKTALTQQIYKAVKNLFDVNVWVCISLNFNAYRLKQEIADSIPKVENEQLGDLDDLIERRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVAEIVQKTYRPIQLEGLEFEELWELFQAYVFGDEKSINHHAILQQTGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLWIGLDILQSHQDQNKRTEDIALSCLNHLVDFGFFKKNVNEDGSPYYSMHDLLHELALTVSSCECLAVSSSNVRFVQIPPSIRHLSIVIDDMDVNDRVTFESIKTDFSTLSKRLDVEKLHSFMLFGRYHGSFISPLGDLLSNAKSLRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEIRLPNTISRFYHLRILDVRKCSGHFGLPRDIDNLVRLRHFLVPDDNLHSDVANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAQAADEAKLLNKSHLHKLILHWSTKDRSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGVNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLEKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVGSDFQHLKYSNLYQSKSHLWVAGKKGHLNCAFSKVLASSNLTELKDLILTKCPFLPLEHLQMLSCLKKLEINGSSSALLMVEGGSAVRYQFPVKKLVIRKCGASGKELTLLLSHFPKLSDLTMYRLEKIAMLGVAEQQITATSASSPSLSGNKLENARFGQEQQQPRGEDEKAAASSGLLLLPTQLQELFISCCSKLILHHDSLGENMEGRLRGIEGGLQGLRSLVSLTIIDCPDFFSSYSSSSSSFPFPSSLKYLSIDRVSGMETLSLLSNLSSLTNLGIEDCGDLRGEDLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNNEFLPSVLHRLVSLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDNDWDLIGIRAMESVAVNAARWVVGKALSPLSGGLVEAWAASSELGPNIGAIKTELLYAQGMLHNARGRETSNPALQQLLLELRGLAYNAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEESRHANDEEALAGSGCIHKLFSNARERSRFLCCAYPCKALHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCAKVATILNLELLESNRSIGQCIAVSLNSEFSGKMGHAVVLPSSIAMNRPVTTSDFIEPKFYGREGEKSTIINDVIKGDYCDMDLTVIPIVGPGGIGKTTLTQQIYKEVQNHFDVNIWVCVSLNFNVYRLKEEIAKSIPKVNEENSGWPDDLIEQRLKSKRFLLVLDDIWNLVYEDEWKQLLAPLKIAQSKGNIIVVTTRFPAVAEMVKTTNCSIQLEGLEPKMFWELFKAYAFGDEKTVNDHGNLQETGKMIAKKLKGSPLAAKTVGRLLRKHLDIDHWTGILDSKEWELQTGKNDIMPALKLSYDYLPFHLQQCFTYCALFPEDYIFDIHSSSIPPTLLSLASGVETRQINGVGGRERGAFLCCAYPCKASHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCTKVSTILNLELLESNRNIGLMTHESQCCAFPDARASTSSSKQR >OGLUM06G28300.2 pep chromosome:ALNU02000000:6:30370064:30376908:-1 gene:OGLUM06G28300 transcript:OGLUM06G28300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVTTSEFIDPEFYGRVSEGIKIINDITQGDCCGKDLTIIPIVGSGGIGKTTLTQHVYKKVQNHFDVKVWVCVSLNFNVYRLKEEIAKLMPELKDEKPGSPDDLIEQRLKSKRFLLVLDDMWNCGNNEDEWKRLLAPLRKAQSTGNIILVTTRFLAVAEMLEGLESEVFWELFQACVFGDEKSIGNHADLLVTGKKIAEKLKGSPLAAKTVGRLLRNHLDLEHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQYCFTYCALFPEDYRFESDEMVHLWIGLDILQSQNQNKKVEEIGLSYLNDLVNYGFFRKDMNKDGSPYYTMHDLLHELALKVSSYEYLAISSSNVRSVQIPPSIRHLSIVIDDSDVNDRATFENVKKDFSTLHKRIDVEKLQSLILSGQYHGSFVIPFGNLLSKAKALRVILMFNASYDMENMSRNFSKLIHLRYLRIVKGYFQVLSLSNIISRFYHLRILDVRQCSGHFNLPSDMSNLVKLRHFLVRDDSLHSAIAKVGKLKCLQELRRFEVKGQAEAFALRQIGQLEELKGSLSIYNLENSETGMEANLLNKRHLHKLELMILHKQNMFLKILNHIAIYGSYILKRMEAPLAHHGWWNKFPPLGELWLVNVSGEESLSCTKSQSFQNLKSPQLFHPSHSPQLS >OGLUM06G28300.3 pep chromosome:ALNU02000000:6:30370183:30376908:-1 gene:OGLUM06G28300 transcript:OGLUM06G28300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPVTTSEFIDPEFYGRVSEGIKIINDITQGDCCGKDLTIIPIVGSGGIGKTTLTQHVYKKVQNHFDVKVWVCVSLNFNVYRLKEEIAKLMPELKDEKPGSPDDLIEQRLKSKRFLLVLDDMWNCGNNEDEWKRLLAPLRKAQSTGNIILVTTRFLAVAEMLEGLESEVFWELFQACVFGDEKSIGNHADLLVTGKKIAEKLKGSPLAAKTVGRLLRNHLDLEHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQYCFTYCALFPEDYRFESDEMVHLWIGLDILQSQNQNKKVEEIGLSYLNDLVNYGFFRKDMNKDGSPYYTMHDLLHELALKVSSYEYLAISSSNVRSVQIPPSIRHLSIVIDDSDVNDRATFENVKKDFSTLHKRIDVEKLQSLILSGQYHGSFVIPFGNLLSKAKALRVILMFNASYDMENMSRNFSKLIHLRYLRIVKGYFQVLSLSNIISRFYHLRILDVRQCSGHFNLPSDMSNLVKLRHFLVRDDSLHSAIAKVGKLKCLQELRRFEVKGQAEAFALRQIGQLEELKGSLSIYNLENSETGMEANLLNKRHLHKLELMILHKQNMFLKILNHIAIYGSYILKRMEAPLAHHGWWNKFPPLGELWLVNVSGEESLSCTKSQSFQNLKSSNRVQKTNNTSAVVW >OGLUM06G28300.4 pep chromosome:ALNU02000000:6:30376910:30398567:-1 gene:OGLUM06G28300 transcript:OGLUM06G28300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAVEAARWMVGKALSPLSGGLVEAWLACSELGTNVGAVKLELLYAQVMLDNARGRETRSPALKQLLLQLRGLAYDAEDVLDELDYFRIQDELDGTDEAADEHTRGCLHGLLLNTRHTARNIKKRYLSACCSGGGDEKAVAGSDLSLAGEHDADDDCTDEDDNDTGSTDIDHSSTATHMPRKEKQWGSQREDTMKTPKLKFDRVDLSTRTKHIAEQLKLVCAKVSTILNLELPESNRTIRSSIAMHRPVTTSATIEPEFYGRKGEKDRIIKDITHGDCCVKDLTIIPITGPGGIGKTALTQQIYKAVKNLFDVNVWVCISLNFNAYRLKQEIADSIPKVENEQLGDLDDLIERRLKSKKILLVLDDMWNCSNEDDWKRLLAPLRNAQTKGNVILVTTRFPAVAEIVQKTYRPIQLEGLEFEELWELFQAYVFGDEKSINHHAILQQTGEMIAKKLKGSPLAAKTVGRLLRNHLDFNHWTSVLESKEWELQTGDNDIMPALKLSYDYLPFHLQQCFIYCALFPEDYKFDSDELIHLWIGLDILQSHQDQNKRTEDIALSCLNHLVDFGFFKKNVNEDGSPYYSMHDLLHELALTVSSCECLAVSSSNVRFVQIPPSIRHLSIVIDDMDVNDRVTFESIKTDFSTLSKRLDVEKLHSFMLFGRYHGSFISPLGDLLSNAKSLRVILLSTPSYAVENMLHNFSNLVHLRYLRIIRGYFPEIRLPNTISRFYHLRILDVRKCSGHFGLPRDIDNLVRLRHFLVPDDNLHSDVANVGKLKCLQELRRFKVKRQSEPFALRQLGQLELNGTLGIYNLENAQAADEAKLLNKSHLHKLILHWSTKDRSQDEHILESLKPHNNLQELQIEGHGGATCPSWLGVNLSIKGLQSLSLHGLDWNKFPPIGELWLVNQHSEKSLSCIEGQSFWNLKRLELVGIPRLEKWTGNDASRVFSQLEVFIVRDCPELIELPYSKMDSTQFPTLKELEIVKCPTLSSLPPVPWTNSPCRALIEEVGSDFQHLKYSNLYQSKSHLWVAGKKGHLNCAFSKVLASSNLTELKDLILTKCPFLPLEHLQMLSCLKKLEINGSSSALLMVEGGSAVRYQFPVKKLVIRKCGASGKELTLLLSHFPKLSDLTMYRLEKIAMLGVAEQQITATSASSPSLSGNKLENARFGQEQQQPRGEDEKAAASSGLLLLPTQLQELFISCCSKLILHHDSLGENMEGRLRGIEGGLQGLRSLVSLTIIDCPDFFSSYSSSSSSFPFPSSLKYLSIDRVSGMETLSLLSNLSSLTNLGIEDCGDLRGEDLCSLLAQGQLTRLRVNKNPKFFVGINPSSLQHLVTDDIAGVLVVPICRLLSPSLTKLTIFCNNEFLPSVLHRLVSLKRLEISCSEFISSLPKSGLPSSLEILDVSGGSEELKRQCRKLRGTIPIIKDNDWDLIGIRAMESVAVNAARWVVGKALSPLSGGLVEAWAASSELGPNIGAIKTELLYAQGMLHNARGRETSNPALQQLLLELRGLAYNAEDVLDELDYFRIQDELDGTYEAAEEHAKGCLQGLVLNTRHTVRNIKKKACSCGDNGEESRHANDEEALAGSGCIHKLFSNARERSQFLCCAYPCKASHIEHTMKTPKLKFDRVDLSTRMKHIVEQLKPVCTKVSTILNLELLESNRNIGLMTHESQCCAFPDARASTSSSKQR >OGLUM06G28310.1 pep chromosome:ALNU02000000:6:30400265:30401074:1 gene:OGLUM06G28310 transcript:OGLUM06G28310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNAEPNRNRCHKWGRSTYPSKAEWSLRALTATASPTRCCRRRRSAASTRPWRRPGPATRRSAARRRRSQRRAPTSPPRPRRKEASKAGGP >OGLUM06G28320.1 pep chromosome:ALNU02000000:6:30402889:30412234:1 gene:OGLUM06G28320 transcript:OGLUM06G28320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE63] MGGGGTLVDGFRRLFHRRTASGSNQSSNAGEEAASSDLEVADDPDLVALRSIRIRVPKRKMPLPVESHKKNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAQQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDDYISEEMEGSVDGLSEQVSRMHS >OGLUM06G28320.2 pep chromosome:ALNU02000000:6:30402889:30412234:1 gene:OGLUM06G28320 transcript:OGLUM06G28320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE63] MGGGGTLVDGFRRLFHRRTASGSNQSSNAGEEAASSDLEVADDPDLVALRSIRIRVPKRKMPLPVESHKKNTVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIRANDDLTPEHYQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGRPLFPGKNVVHQLDIITDLLGTPSSETLSRIRNEKARRYLSTMRKKHAVPFSQKFRNTDPLALRLLERLLAFDPKDRPSAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYMKGGEQISFLYPSGVDRFKRQFAHLEENYSKGERGSPLQRKHASLPRERVGVSKDGYNQQNTNDQERSADSVARTTVSPPMSQDAQQHGSAGQNGVTSTDLSSRSYLKSASISASKCVAVKDNKEPEDDYISEEMEGSVDGLSEQVSRMHS >OGLUM06G28320.3 pep chromosome:ALNU02000000:6:30401160:30403216:1 gene:OGLUM06G28320 transcript:OGLUM06G28320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE63] MWGLQTARLSAWARNRHVGPTLLKTRVEWGHTALDWPAGEKATSSQAGPRGRRRRVGVGAAGRQHASCPVSFRPAATLHREYAADGEEKKKSVTNQKYKTRRSCYFFPITTTTTIAFFLLAFCFCIHPSIHYSPKTSRGERGGKLGRGNAGRKVRAWKEKKK >OGLUM06G28330.1 pep chromosome:ALNU02000000:6:30409429:30413716:-1 gene:OGLUM06G28330 transcript:OGLUM06G28330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELADRAAARPSETGEAPPSSPAAAAAASAAAEDAPLLPGGGGGVRRRVVVSERFRQRSGSFRREVRRAAEETYLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVVYYYFFSSQVCRSVVYGEQPRNRLDLYIPTDRTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGILVACIDYRNFPQGTIGDMVEDASQGIAFVCNNIASYGGDPERIYLVGQSAGAHIAACTLLHQAIKESGEGDASTWSIAQLKAYFGISGGYNLLNLVDHFHKRGLYRSIFLSIMEGEESLQKFSPLVMVKDPAARSAVSLLPRIFLFHGTSDYSIPSAESEAFFDALQQNGTKADLFLYDGKTHTDLFLQDPLRGGRDKLLEEIVTVIHNDNPDTSAQHLAVPVARRLVPEFMLMLAGRVSPF >OGLUM06G28340.1 pep chromosome:ALNU02000000:6:30414226:30420675:1 gene:OGLUM06G28340 transcript:OGLUM06G28340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYGFKFKGATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >OGLUM06G28340.2 pep chromosome:ALNU02000000:6:30414226:30420675:1 gene:OGLUM06G28340 transcript:OGLUM06G28340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRDRLKIGTGTAKYVDKRKAKGQLVEVVIMIAPTSSDLSCWMTNCSSTQVLHFVEFIPCPISQSSLSALMSIDWQSYATAVDEWKSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >OGLUM06G28340.3 pep chromosome:ALNU02000000:6:30414226:30420675:1 gene:OGLUM06G28340 transcript:OGLUM06G28340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLIYWAVQRCRMSESPCRLTVSVKRSPEPAGSSPLRISVSDTGVGSKLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >OGLUM06G28340.4 pep chromosome:ALNU02000000:6:30414226:30420675:1 gene:OGLUM06G28340 transcript:OGLUM06G28340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type 2 DNA topoisomerase 6 subunit B-like [Source:Projected from Arabidopsis thaliana (AT1G60460) UniProtKB/Swiss-Prot;Acc:Q5Q0E6] MASSPPPSTASPTSSSPYRKLLHSLEEFLELDALARETPVEKWDGTLLITTTGIDDKAIYRYQFNLQEDTSSSTRFTKLATTGTEVCLCLPTEADVDDLILWLVGFVRKIFVLRASNLACELFVAQTDSAGSGDVCLSQDSDDVHISITTSSIDRLVSGLKDYALSHANTSDRCEACYMNRVHTMSNLTILPQCADEHRLAKLRFQSSQPERHLLRKALKSALFGLKADHAEDFLSCHGQKVREYVPDLAESIAGLILSSNDQEFQDECIALLGLGSDQDLTEGAVRSCIGEKMNRIIEMNDTKENVEHNAPYLFECERFDEDYSLLDEDDPDEDMIFDF >OGLUM06G28350.1 pep chromosome:ALNU02000000:6:30421103:30426424:1 gene:OGLUM06G28350 transcript:OGLUM06G28350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G55920) TAIR;Acc:AT3G55920] MAARETSRHVSLCLWLALVAATLSLAQAVESEAELTKVTTKVFFDITINGKPAGRIVMGLFGNTVPKTAENFRAICTGEKGLGKSGKPLSYKGTPFHRIIPGFMIQGGDTVSGNGTGCDSIYGGMFPDENFKINHSAPGLLSMANYAKDTNGSQFFITTVKLTRLDGKHVVFGKVLSGMDVVYKIEAEGSQSGTPRSKVLISDSGELK >OGLUM06G28360.1 pep chromosome:ALNU02000000:6:30426875:30429824:1 gene:OGLUM06G28360 transcript:OGLUM06G28360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE71] MAGSGWRRIPAARRPPMSRPASVCLWIVLVAATLALAQAKKSKADLTEVTHKVYFDVEIDGKPAGRVVMGLFGKTVPKTAENFRALCTGEKGTGKSGKALHFKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGTKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKIEAEGQQSGSPKSKVVIADSGELPM >OGLUM06G28370.1 pep chromosome:ALNU02000000:6:30430124:30435997:1 gene:OGLUM06G28370 transcript:OGLUM06G28370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQKDRLGQDKGGHAKLECPLCKTTAPDIKSMQIHHEARHPKLPFEPDKLNNLHGGGAGAAAAGEAAASSSKPKPGVRGSLKK >OGLUM06G28380.1 pep chromosome:ALNU02000000:6:30432992:30435048:-1 gene:OGLUM06G28380 transcript:OGLUM06G28380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AE73] MGRERGGGEGMTMLQRWSSSVWSVSGSGRLLWADKAWRAHAAMAFTQLAYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPAAFFRERRTRRPLTPHLLASFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGIVKVLGTAVCVSGAVLMVFYRGPSLIGMGGGGGSGSNGVGENVLAGTWSSNSYTPQWLTSAMLQYGLETWNLGVICLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATVFMVLTGVVTTNGLHEWALTTTEIIAILYAGIVASCLNYAIMTWSNKILGPSLVALYNPLQPACSTILSTIFLGTPIYLGSIIGGVFIIAGLYLVTWARYNEAQRVLAIGYLDPLLVEDEDPPTPKTQESSFSGSIDP >OGLUM06G28390.1 pep chromosome:ALNU02000000:6:30441347:30442417:-1 gene:OGLUM06G28390 transcript:OGLUM06G28390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSTSTHRLHQPPPPPPPPASHQFRSAAAFRRPPSPAAAPLRARPQRGGGTTIRAIDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRSDYSSLAASVGASYFQDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPRNLLLGSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMTCAEHDAHAAETQFLTHTVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGSPHAPNNTPVRK >OGLUM06G28400.1 pep chromosome:ALNU02000000:6:30443631:30447676:-1 gene:OGLUM06G28400 transcript:OGLUM06G28400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT4G19190) TAIR;Acc:AT4G19190] MEEEVTGSRGGLSGGKKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQIHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEIMKAVSFMYVRPPGYNAESAKAAEIEDEKRRSGEGDATQSAAAASTSSMPDKELDKTHAGPDKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPARVKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQTDSSEPLKWELRQKPGMSPPRGGYNPDDPNQQIVAEDIFDEYGGFLGGCDIPALLSNFSASKSKKRSKSKSKHRQAEPAAHEESSHSEAEKSNRTSRSKRKKEYCSDSSFSGAEVEARKSKQKSKHKKKHLPESLSDSKVEVGRDTRRHQKREHRKKKRNITESESSSDSEVDIDTRKHPKREHRKKKRDVTESYSSSDSEVEVDRDTRRHPKREHRKEKRNMTENGHSSRSREKHHYSDTSTSDNEKHSMGHKDEQYYSDSSSSRSNRHSRRSREKRYYSDSSSPEHNRHSRRSKEKRAYTDLSTHDRDRHSKRSRDKREYIESRPYESNKHSRTLKVNWHYSDSSASDYSNSERHYSHRHRRRK >OGLUM06G28410.1 pep chromosome:ALNU02000000:6:30445189:30452592:1 gene:OGLUM06G28410 transcript:OGLUM06G28410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGTSGSTPSPPSPSAPPPPPPSSSLHSTPLDSPSPPPPSPAASPNLAAPDSPPLELDSTLLAARKADSDSPPSRGDGFHGLRLMGRFGGWRRETPPRWRAAHLDAEMAMSCSSSSFSVRLRLNLAPSRRRIAAPANLQVLRRVGVAAASIRLRAARATGGDARQPFDHVPRGVEEVGEMEEDEERRRRRGLKIAVVGFGNYGQFLTRTLVRQGHTVLAHSRSDYSAVAAELGATYFTDAHDLVECHPDVVLLVTSILSAEAVLRSLPVHRLRRDTLFADVLSVKEFPRNLLLGTLPEEFDIICTHPMFGPESAGDGWGGLPFVFDKVRVGDCPARRARAEAFLDIFAREGCRMVEMSCAEHDAHAAETQFLTHTVGRTLAMLELQTTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNNNSTELLNRLEWAMDSVKKRLFDGLHDVLRRQLFEGSPPLDSVSAAAAGSPPDDAPIDGDLDSDNEEEQSE >OGLUM06G28420.1 pep chromosome:ALNU02000000:6:30449498:30449698:-1 gene:OGLUM06G28420 transcript:OGLUM06G28420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRHRLDLDVVVADEIAAPPLTLMGEILVRLVGGYVSLQTTTSWAESHRRPSYLILSATLRDHFD >OGLUM06G28430.1 pep chromosome:ALNU02000000:6:30452739:30455296:-1 gene:OGLUM06G28430 transcript:OGLUM06G28430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPCEAQSLLSLFARFLLLPLERIPFPFRSTPTPALLHSRRRELRGVGGMEGHRRGEADDEEEELGLRLGLASAGASAAAAAAGEPPSVGMEFPTSEAAREFYCAYADRAGFAVRTDKSRRSRRDDSVIMRRFVCTREGFHPTRHDDLTESEAAAGKRRRKRLIIREGCMAMCEVTKKEPPLRWVVTKFVAHHVHPVSLPLCPRPPPAGESDGLAGEHAAALDEPTQAATEPSDEPTGAPAAARWDYVIHKYNLEENTWLQSLYDTRQQWAWVYQKGSFFPELLKSQRSERLNNCTEALNSTKASSTSI >OGLUM06G28440.1 pep chromosome:ALNU02000000:6:30469724:30470870:-1 gene:OGLUM06G28440 transcript:OGLUM06G28440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT4G19390) TAIR;Acc:AT4G19390] MKPRPTGFGAAAAAAAEVLVGGGCGGWAWRPRPRPATVASTAAMSVRGPGTTQAAAAASAVHSERHRGGVHGLQLPPLRLQFTADLEARIEKVIYACRFMTFLAIAGSLIGSVPCFLKGCVYVMDAFIEYYLHGGGKVTLMLVEAIDMFLVGTVMFVFGTGLYELFISNMDIAKSSSYGSNLFGLFRLPERPEWLEIQSVNDLKTKLGHVIVMVLLVGIFEKSKRVTITSCTDLFCFAASIFLSSACLYLLSRLSSK >OGLUM06G28450.1 pep chromosome:ALNU02000000:6:30472192:30472944:-1 gene:OGLUM06G28450 transcript:OGLUM06G28450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHHQPPTPPRRHRRPHELSMAAEERHHGTQTAMASDDDRHHDRGGWIRPEEKHRSSGVAWALVILCTLLAVGVIVAGATVFAVYLIYKPRMPYLVVSDAQLVRLDYDQGGTIDYLEALVTVMARNTNSRADASFARVDLALRFHGADVARLRAAPFVVASASAAPLRYDVVSKGRALDAGGMRAMDASLKSGVVPLDLLGRARTRWKVGIFASLKFWTRISCRLHFFYPGNGTVMASDRNTCTSRSP >OGLUM06G28460.1 pep chromosome:ALNU02000000:6:30477742:30477977:-1 gene:OGLUM06G28460 transcript:OGLUM06G28460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGRSLSTLSWMYIVSDMSHAGFKSINFGWGEAIYGGLAKGRSLASKNGKGEQSIVVPKLLYLRAKHTV >OGLUM06G28470.1 pep chromosome:ALNU02000000:6:30481688:30483040:-1 gene:OGLUM06G28470 transcript:OGLUM06G28470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLPAFTVRRGEPVLVTPAAPTPREVKALSDIDDGEGMRFYSSGIHLYRNNPAKKGQDPAMVIREALARALVPYYPLAGRLREEAGRKLVVECAGQGVMFAEADADLTADDFGDVQSPPFPCFERFILESTTVAGVEPVVGRPLLYIQVTRLRCGGFIFGQRFCHCVVDAPGGMQFEKAVCELARGAAAPSVSPSWGREMFMARDPPRPSYPHLEYREPAGGADRLLATPPEDMVRVPFFFGPREIAGLRQHAPASVRGACSRFELVAACIWRSRTAALGYAPGEEVRLSFIVNARGRADVPLPEGFYGNAFAYSVAATTAGELCGGDLGYALRLVKKAKSAVTYEYLQSVADLMVVAGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLLGVTNYFSRSKNGKGEQSVVVPICLPKDAMDKFQLEVQALTAELS >OGLUM06G28480.1 pep chromosome:ALNU02000000:6:30487594:30491754:1 gene:OGLUM06G28480 transcript:OGLUM06G28480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNQGSEFQTLKSLCRQIKRVPSKRGGDVGEGGPQRRRMTSRTHGELRVEARAREREKSRGEAEEEVDGHTCCRHPSRRRKAIGCSGDDGDAEAEGEDKGSSRFCPPRGDDSLAHIRPTRAASQSGAARGGELERDPVEGWSTSPTQCRRPPLPPETTTTTPSSRPASSPLGLLQRERERGGGGE >OGLUM06G28490.1 pep chromosome:ALNU02000000:6:30492234:30497329:1 gene:OGLUM06G28490 transcript:OGLUM06G28490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYYLVRLHLPPPLQLPPTLPLPRGRHDRRVGGAVACRATAGPVGSQERPWESYDRGIQHHAGSDLASSLRLLADMQAAGLRPSGAAYARLIRALARAGRTLEAEALLLEMRRLGLRPDAAHYNALLEGLLSTAHLRLADRLLLQMADDGVARNRRTYMLLLNAYARAGRLEDSWWVLGEMKRRGIRLDTAGYSTLVRLYRDNGMWKKATDLIMEMQELGVELDVKIYNGLIDTFGKYGQLADARKVFDKMCAEGVKPDITTWNSLIRWHCRVGNTKRALRFFAAMQEEGMYPDPKIFVTIISRLGEQGKWDEIKKLFHGMRNRGLKESGAVYAVLVDIYGQYGHFRDAHDCVAALKAENLQLSPSIFCVLANAYAQQGLCEQTVNVLQLMEAEGIEPNLVMLNLLINAFGTAGRHLEALAVLQHIKDSGMSPDVVSEVYKEMEGAGCTPDRKAREMLNDASIDTITEFIMASGKAV >OGLUM06G28500.1 pep chromosome:ALNU02000000:6:30497077:30497952:-1 gene:OGLUM06G28500 transcript:OGLUM06G28500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSGGGGKLSAVDAILAEAADLVALEQIAKLNTAHLAADGDSALPSSLESRFRKLKSLPAAPLPPPPPAKSLGRSATAPPHHTDPPPSETPDPAPPAPPAPAAQERRPEDAAKEAQEKENSSPPPSQAHPPPAVTVPTAAAADDNEEDLEKLFRPGRGRPTLRERNRGRDDGSPSPPRQACCFGFSLKKTLQRTPTGSGRKSRRAGVAAAADDDVLGIGDAGEWGDENRRIVTELKQQQRKLKKALEEQVKVSRETAKMAQWVKQASARMTHTAAIDDLLSDCDDEDELK >OGLUM06G28510.1 pep chromosome:ALNU02000000:6:30498594:30499281:1 gene:OGLUM06G28510 transcript:OGLUM06G28510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSVANWGEAAGRALSPHQRAHATAAISLPPFPLPATTLARRRSARTSTMVLYSWVVVAAACGVASEGRGSRPRRRERRKRRAEGRSSHRWRAKAGASGGVQDGGDGGSGEGPWADRARQIQGGA >OGLUM06G28520.1 pep chromosome:ALNU02000000:6:30498898:30499581:-1 gene:OGLUM06G28520 transcript:OGLUM06G28520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRGGGCQQDSGERMPAASVGEGERGDRRDIAGGEKAQGMSHKEVAAAAVAGGGKAAGAEGSSSAGDSGGAPPPQAPCRGRRLPTTILRSAPSSSSMPPLRTTLDLPRPVRPRTFTTSSVASVLDSSRCAGLGSPPMAAPPLSPPLSPLPSARSAASPLASYSARRRYHNPGVQNHGGCPRGAAAGECGSGQWERGQRDGRSGMCALVRRQSSTGCLAPICHRQPP >OGLUM06G28530.1 pep chromosome:ALNU02000000:6:30502193:30506523:1 gene:OGLUM06G28530 transcript:OGLUM06G28530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQAVNPACPNAANPFHRCADYCPVPAPAAATAKPPPPPPSSRPAQNGTAAPQNGTAAAQNGIAEQNGSTHSDGELQAKPRRRDRAGGSGGLPFYVFLREGADGDGKKVDPRCPNAPNPFHVCTEHCAAKMAEVSRSSEGGKSPMSLFSRHSRRSSSSSEDGSVRSGSSKKVDPKCPNAGNPFHECTEHCAAKMKEVGQQNKTVKKSSSRKKGGKEISVVQNWKVDPRCPNASNPFHICAQYCFDHLNETGQKDTSKPDSRKGKAVVKAEQTGEINPDCVNASNPYHKCGEHCKRKGDRLVIQGFLSR >OGLUM06G28540.1 pep chromosome:ALNU02000000:6:30507334:30508875:1 gene:OGLUM06G28540 transcript:OGLUM06G28540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNRETHHLAQSKPQFTRVAVSDEGEAELIVISGKAELIVGPMEKPSPPPPGKSRPDCVNSSNPYHECSDYCLRQIVKSREDNHRWSRVHPHCINASNPYHACSNFCFRRIIHAKPSPAGLERPVQEPPASEAVPAQADDDDDAQTDDDDDDDDDDGYLKMTVTENQKLVFELRVRPSTILRFVVAAVCALLVIHLLGVSLVVVAHALI >OGLUM06G28550.1 pep chromosome:ALNU02000000:6:30519392:30521845:1 gene:OGLUM06G28550 transcript:OGLUM06G28550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCIP-interacting family protein [Source:Projected from Arabidopsis thaliana (AT2G16860) TAIR;Acc:AT2G16860] MASSSAAASGKSRPECINSSNPFHECSDYCLRKIAEAKERIEDEQRPPVDRTVHPDCINASNPYHVCSEYCFKRIADAKSGLERAEQEPPSADAGKSDAAQAEGGGGDDDAEQEDAGSDDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRAESRGVSKQKWLEDRKKKIGKLLDSNGLDMTKSYMLDTQESAEAKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMEAYNKAKEADPEFYRDASSLQYGKVSKVPEENIDRMVNELKERDEKRKAFSRRRKFHEDKDIDSINDRNEHFNKKVERAFGKYTLEIKNNLERGTALPD >OGLUM06G28560.1 pep chromosome:ALNU02000000:6:30524398:30525708:-1 gene:OGLUM06G28560 transcript:OGLUM06G28560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAKRPYCKPPPPTTTTTAITSSSGQNHKRLRPSLPAAAAMDGGMEEEAVAPPPTTTMTTTTPPQPLLPGLPDHLAQLCLSPLPPRLLHAVCRPWRRLMYTPSFPPFLSLYALLDDADADAGVSFAAYDPLAGRWDALPSPPMPSPPPMLWHPSFLARRLPLQSVAAAGRLVLVSGSTQSLHPALSRPLVFDPAAPTPRWQLGPRIPLSPRRWCAAGAARGRVFVAGGVGAGYDPAVARSGATWDPAASPPPAAAWEPIPPLRDGRFSRDAAEAVCSGGKVCMVNLRTPGAKEGAVFDLRAGRWEDMPPGMLAGWKGPAAASPPDDGETIYVVDEERGALTAYDWGADRWRTVAESDRLKGAAEMTVAGGKACVVAPGGGKVLIVDTPPPPPPPAARRESWAAPPPPPRMWEVAAPGGKRVVSLHVLPRMTRPE >OGLUM06G28570.1 pep chromosome:ALNU02000000:6:30536907:30537488:-1 gene:OGLUM06G28570 transcript:OGLUM06G28570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLAAFAAAATANGGAAPAASDFIRKSCRATQYPAVCVQSLASYGGAGAPPPRSPRELARAALSVSVDKARSASTYVGHICGPGGGRGGAGGAGPVRDCLENMADSVGHLRDAAQELGGNMGRAGSPGFKWHLSNVQTWCSAALTDENTCLDGLSRGVDAATRSAIRGKIVEVAQVTSNALALVNRVAPAN >OGLUM06G28580.1 pep chromosome:ALNU02000000:6:30544589:30546228:1 gene:OGLUM06G28580 transcript:OGLUM06G28580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAFVAVVVVVVAALFVGAASSSPAAQAAVDTGAAAGVPSCASKLVPCGGYLNATAAPPPASCCGPLREAAANETACLCAILTNKAALQAFGVAPEQGLLLAKRCGVTTDASACAKSASSSAAAAAAGAGTAGSTAASSASTGNAASTVAKPTASGGATHRLSLISASSLVGFSFIWWTIMAQ >OGLUM06G28590.1 pep chromosome:ALNU02000000:6:30547383:30547925:1 gene:OGLUM06G28590 transcript:OGLUM06G28590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYRPGGCARLAVDEGGAAALISNEDDAESSPPFRCLVGLVGGGRRGSPRAAPPSRPRAAPSCRPPAAPPTAVASAFAAITVAATPVTGRRPPTPSSSRQPTQKGERGMRKEEKGERERRLMWQPDMWGPRGSHAGSAAR >OGLUM06G28600.1 pep chromosome:ALNU02000000:6:30548242:30548967:1 gene:OGLUM06G28600 transcript:OGLUM06G28600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSPPRPLAFPTLDSLAAFLGSRLPASALASWGTAPGTKTLLNLFLELSQGECVLISAAAAAAPPSQQQQQHPVVRAVHVASVRIRNGRGALLMETGQLLSDGTLRSRGGLRPLSEKMRPGETPEAAAVRAVREELGERVRVRILGGEEARVEERDSASYPGLHARYVLHAVDAEVVEGVPEDGEFDTEEGGEHEDEVVVDGAAAAITVKRHYWKWVDDNDNDEEDVAGAEEGARQSAH >OGLUM06G28610.1 pep chromosome:ALNU02000000:6:30553097:30559204:1 gene:OGLUM06G28610 transcript:OGLUM06G28610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHDDDDDESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGARPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVHTLIPFSLECKIFMSLVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLTKLPFMIALFAGRINGEVYIEIV >OGLUM06G28610.2 pep chromosome:ALNU02000000:6:30553097:30559204:1 gene:OGLUM06G28610 transcript:OGLUM06G28610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcineurin B subunit-related [Source:Projected from Arabidopsis thaliana (AT2G45670) TAIR;Acc:AT2G45670] MASRNPSPASLSTPLLSDSISPTPTTNGHAGHHNHDDDDDESPTVCGGDGGGGGDPFAFLSEDRPAWWSPRGVSPADPFRNGTPGWCGAYELVRALVCAPVAAARLVLFGLSIAVGYAATWVALRGWVDVRERAAQEGARPMPAWRRRLMWITRISARCILFSFGYHWIRRKGKPAPRELAPIVVSNHVSYIEPIYFFYELFPTIVSSDSHDSIPFVGTIIRAMQVIYVDRFSPASRKSAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVIVRYPHVHFDQSWGNISLGKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTSYAMAHALNVIPTSYSYGDSMIMARAVEDGKVNCSNYMVEMAWVKETYGVSTSEAMALLEDFLCMSPDKDGRVNAQDFWAHFGLNCTPLCKKIFQYFDFEAKESITFRQFLIGCAHLRKQPSFQDACETAFERCRNPLTSHIGREQLADVLRSSMLELMTDNGMMKLFKTLDVDDDDGISKDDLMASLTKLPFMIALFAGRINGEVYIEIV >OGLUM06G28620.1 pep chromosome:ALNU02000000:6:30559106:30564494:-1 gene:OGLUM06G28620 transcript:OGLUM06G28620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDQPHLPPPAPPPGAAAADPPESTPAPPPPPPLEPPEVMHKTRAVDFLGRRTPIVYQNDNGPCPLLAICNVMLLKNVISLNPDAGEVSQQKLLSLVAERLIDSNSNVQGKDEEYVRNREQNISDAIDLLPRLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTDTATSIGSKSYNALASGLAEFKSGESTKENRHVQEEETVDFAAATTAALGVPSPSVSRGISFDENTLTDPVELHIRRGDREEEEELRRVLSLSKAENANAVDGSGSFDTSQSHSSSNMEETAHTESFQLEAVEAMDSTNKEEHGNSHALSDGPMLQDSTNATPNINEVGMEESQQVLTSNELEDDGKRNILPEHSDITIQPSESVLDCSSHESSAPNQAAPALGQVDKESCEEQAPLQIHGQASDTEISSELTTAASQDTPNHATKELDGEDGNSPEPIILNLQESEPIYQGEEHILSTGNLAYENQEPVYEGEVVLAEQADKTEKSSEDMQDGPAEHQWELIDNFLQNTASQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFFSQSDLVWQKLDEVNGDGVFLTSNFTPFKAENPRNDSWNEQQAMTSTADYLAQFDNTTLQHSSGNSDLELAIALQQQEFERQQPQRHQSSTQQQEPVPQQQQQTPNQSHGTGRPGLVVGPTTARRPPAPQPTTPKKEKCIVM >OGLUM06G28630.1 pep chromosome:ALNU02000000:6:30567108:30571783:1 gene:OGLUM06G28630 transcript:OGLUM06G28630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEA2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTSTSIFQIQILLRTSILMLVAGRME >OGLUM06G28630.2 pep chromosome:ALNU02000000:6:30567152:30571783:1 gene:OGLUM06G28630 transcript:OGLUM06G28630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEA2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDINFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >OGLUM06G28630.3 pep chromosome:ALNU02000000:6:30567108:30571783:1 gene:OGLUM06G28630 transcript:OGLUM06G28630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEA2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >OGLUM06G28630.4 pep chromosome:ALNU02000000:6:30567152:30571783:1 gene:OGLUM06G28630 transcript:OGLUM06G28630.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEA2] MAGARAMAFVALCALAAFPVAVTGAQVDPLYSSKQVLDWSSQANIKLQNFSLTEEDGLQLLVRPEEVTHRKLRERTRIKKKIEPVQQDDEALVKLENAGIERSKAVDSAVLGKYSIWRRENENEKADSKVRLMRDQMIMARIYSVLAKSRDKLDLHQDLLSRLKESQRSLGEATADAELPKSASERVKVMGQLLAKARDQLYDCKAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPKSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESVAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNIAQNFDPNACGWAYGMNMFDLEEWKKKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIERSEIDNAAVIHYNGNMKPWLEIAMSKYRPYWTKYINYEHTYVRGCKISQ >OGLUM06G28640.1 pep chromosome:ALNU02000000:6:30585385:30588009:1 gene:OGLUM06G28640 transcript:OGLUM06G28640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSLRGGGGGGGGGGVGGGGGGGRGGERGGGGDHAIGADSLFLYARGAAAAAADTAGSGGGGGGIGFQLWHPQQQAAAAAAAVPHTSQFFSSGVATGVVLGFSSHDGGGGGGHMGGPGGGAGGGRAGTSCQDCGNNAKKDCSHLRCRTCCRSRGFSCATHVKSTWVPAAKRRERQQQLAALFRGAAANNSAAAAAAAAASKRPRELVRTLGRLPSANTAMVATTTSSGEGDGRFPPELSVEAVFRCVRIGAVDEADAELAYQTAVSIGGHTFKGILRDHGPADEAAGQLPPSSAEYHQLTGQGREESSPAGSSEGVGGGHGAATAATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >OGLUM06G28650.1 pep chromosome:ALNU02000000:6:30598349:30608200:1 gene:OGLUM06G28650 transcript:OGLUM06G28650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSDDTVSGGRPAGDEQAAGGGGGSDDISTEAQVVVAAVGHAVQVGLAGHHAEGEHVRRRAGAGSGSGAAVPPAAGGVRACLPVANLLEGGIDILLKTAQLVELQPRRTRRRKKRSAYLERKKEGEDIQHAIDETYDSNGFPTRESNRTHSVYRNVSRFAATDRNSEEKTKWLRKLERNVKERRRRFSFVPPILKHPMQRNPPAS >OGLUM06G28660.1 pep chromosome:ALNU02000000:6:30598655:30602421:-1 gene:OGLUM06G28660 transcript:OGLUM06G28660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K-box region and MADS-box transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT3G54340) TAIR;Acc:AT3G54340] MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAQVAIIMFSSTGKYHEFCSPSTDIKGIFDRYQQAIGTSLWIEQQRMGEDLDGLEFDELRGLEQNVDAALKEYHVITTQTETYKKKVKHSYEAYKTLQQELGLREEPAFGFVDNTGGGWDGGAGAGAGAGAAADMFAFRVVPSQPNLHGMAYGGNHDLRLG >OGLUM06G28670.1 pep chromosome:ALNU02000000:6:30608379:30612112:1 gene:OGLUM06G28670 transcript:OGLUM06G28670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51070) TAIR;Acc:AT3G51070] MAGLGRSSRGASGKRGAASSSSSSSVSSSSAAASACVYYATTAVLVTLCVAGAYFLTSASSASLAGSVVDGDGGGGGGGTVTTTYRHTTRSSFAYEVSRPERKAPPAPPRDVERVDDAAAARGRIADEEGAEEEHGDGDDDPRGKPDLDDHGADEEETKSAVAAMDDAQRREEDGSVSSSEANAEEEEAAATTGARRVRVGEDEEEAAREENQELHLQMPLGESRPRAAAAVEEKSLDGGVEEESNAGQRQREEEQIDHGVDGGASLRREAQEEGQIGEGYVMADHGEGEEMLLEQQQQQQPEEERGGDAEAARTSESDAGGEVDPEDKPTVSERTEEMVDTLPGEEDRAEVSATGVDEQNAWATQADHSHQEKDRRDEAAGVDDNIADATAGGGGGGEEPEWRLCNVKAGPDYIPCLDNDKAIKKLRPENYRRYEHRERHCPDEGPTCLVPLPAGYRRPIEWPKSRDRVWYSNVPHTKLVEVKGHQNWVKVSGQYLTFPGGGTQFIHGALHYIDFLQQSARGIAWGKRTRVVLDVGCGVASFGGYLFDRDVVAMSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKVFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLTEDVQIWKAMTALTKSMCWELVAIKKDRLNGIGAAFYRKPTSNECYETRRRQQPPMCSDDDDADVAWYIRLNACMHRVPVAPSDRGAAWPAEWPRRLRAPPHWLNASRAGVYGKPAPEDFAVDYDHWRRVVDRSYLNGLGIDWSRVRNVMDMRATYGGFAAAMRDHKIWVMNVVNVDAADTLPIIFERGLIGMYHDWCESFSTYPRTYDLLHADRLFSKIKERCAVLPVVVEVDRIVRPGGSIVVRDDSGAVGEVERLLRSLHWDVRLTFSKNGEALLYAEKSDWRPELLADPL >OGLUM06G28680.1 pep chromosome:ALNU02000000:6:30612892:30614703:-1 gene:OGLUM06G28680 transcript:OGLUM06G28680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-linked oxidoreductases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67220) TAIR;Acc:AT5G67220] MRFAPILRNPRRRRLLRSVNPSLAAMSPPAAAHLATASDPDEDLCFTTEPVAPAEETAPPLPTPPPPVSAEERVERAWAHWRRLGSPKMVVAPMVDNSELPFRMLCRRYGATGAYTPMLHSRIFSENEKHRAMEFTTCKEDRPLFVQFCANDPDILLQAAKIVEPYCDYVDINFGCPQRIARRGYYGAFLMDNLPLVKSLVQNLSANLHVPVSCKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRIPVLANGNIRHLDDVKDCLEHTGADGVLSAETLLENPALFAGFRTKEWKENGDEDEASGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPQVREELNAQSKLTFEWLHDMVKRLKDLGGGIPLYRNNNALQTTSNGLAASNA >OGLUM06G28690.1 pep chromosome:ALNU02000000:6:30622985:30625048:1 gene:OGLUM06G28690 transcript:OGLUM06G28690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQCDVCAAEPAAVLCCADEAALCSACDRRVHRANRLASKHRRLPLVHPSSSSSGDGGAAAAPLCDVCREKRGLVFCVEDRAILCADCDEPIHSANDLTAKHTRFLLVGAKLSPAALAEQPLPSSDCSSDDDAAAAATEEEYHSSAASTGAAVSAPLDASSNGAGGGGGVGGSSISDYLTTICPGWRVEDLLPDDDAFNAAAAQAGKEKDERVPFLDADLFDVVAGRPEKKGGAWAPHVPHLPAWCLDEVPVVVAASAAPAATPVKAKQGHVRDSHWSDSDAFAVPEFSPPPPPAKRARPSSQFWCF >OGLUM06G28700.1 pep chromosome:ALNU02000000:6:30634157:30637643:-1 gene:OGLUM06G28700 transcript:OGLUM06G28700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVACRRGLLLQQQQQQLWQAHRWVGPARSISQLVKTNGRRAFLVDTLALVTCLHPPVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKAEMLQESNISKFKSQVQSSQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >OGLUM06G28710.1 pep chromosome:ALNU02000000:6:30642678:30650205:1 gene:OGLUM06G28710 transcript:OGLUM06G28710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEB0] MATGRRLSMILLLLLLGLASGDKILFQGFNWESWRQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASRYGTSTELKSLISALHGKGIQAIADVVINHRCADYKDSRGIYCIFEGGTPDGRLDWGPHMICRDDTQFSDGTGNLDTGADFAAAPDIDHLNGVVQRELTDWLLWLKSDEVGFDAWRLDFARGYSPEVAKVYIEGTTPVGLAVAELWDSMAYGGDGKPEYNQDAHRQALVDWVDRVGGTASAGMVFDFTTKGIMNAAVEGELWRLIDPQGKAPGLIGWWPAKAVTFVDNHDTGSTQQMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGLKEQIAALVAVRQRNGVTATSSLKIMLHDADAYVAEIDGKVVMKIGSRYDVSSLIPPGFHLAAHGNGYAVWEKTTAAATVAGDADDHRTSSSASL >OGLUM06G28720.1 pep chromosome:ALNU02000000:6:30653295:30655610:-1 gene:OGLUM06G28720 transcript:OGLUM06G28720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIEMEAIQEGRNLSDFRYGCIGYSMYLDDKKSSEGKGDKHPQLPICVGIELLADRKTSTNQASTNQASSHHKKEAPQPRRYKPAQRGDDFLTKFQRNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPK >OGLUM06G28720.2 pep chromosome:ALNU02000000:6:30653295:30655610:-1 gene:OGLUM06G28720 transcript:OGLUM06G28720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIEMEAIQEGRNLSDFRYGCIGYSMYLDDKKSSEGKGDKHPQLPICVGIERNAGLVANGVARNLNKVGAYIKDTMDDIMYPYRKRPK >OGLUM06G28730.1 pep chromosome:ALNU02000000:6:30658193:30661947:-1 gene:OGLUM06G28730 transcript:OGLUM06G28730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHRSPAMVGGGGAGAVGPPSPATVPVRRRCEGTAMGAITLDLRPGNGVGPFTLGMPISDAFAQIEGQPTLYDVVHVKYFDEEPLKLDFVISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYAKSLIGGPSTLATFVAVYGLFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDKAVVPALPAGSLYMEEVHAKLGEELLFTIGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYMKCNFVIYDAEAEGTDQPGSIPKSSITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVVFN >OGLUM06G28740.1 pep chromosome:ALNU02000000:6:30662818:30664804:-1 gene:OGLUM06G28740 transcript:OGLUM06G28740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT4G34700) TAIR;Acc:AT4G34700] MATSAGFLARRAAQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLREKFEANRDVENPDVIDRLIDDAEAQYRNFQHPDPYIVPWAPGGSKFTRNPPPPKGIEIIYNYGKED >OGLUM06G28750.1 pep chromosome:ALNU02000000:6:30673765:30680532:1 gene:OGLUM06G28750 transcript:OGLUM06G28750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKGASGRTADDEGGVVTEHQSPPPANGLPSTPPRQQAQAQAQQVGTPRRRGSKSGSTTPGHQTPGVAWPSPYPSGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGAGGGGGGAADGAETERPLDKTFGFSKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGQTVAVKIIAKAKMTTAISIEDVRREVKILRALSGHNNLVKFYDACEDGLNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDENAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPTVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLIKQYLRATPLKRLALKALSKALREDELLYLKLQFKLLEPRDGFVSLDNFRTALTRYLTDAMKESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQQFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >OGLUM06G28760.1 pep chromosome:ALNU02000000:6:30687139:30687564:-1 gene:OGLUM06G28760 transcript:OGLUM06G28760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHLLKRQQSSASAGGSSAGGGMPPKGCMAVRVVGPGGVGGGGGGAEGERFVVPVGYLKHPLFVGLLKEAEEEFGFEQKGAITIPCGVDHFRRVQGIIHHQKHHHGGSHGAGGAGAGGLLSGHGSSGHHNNFHIAACFRA >OGLUM06G28770.1 pep chromosome:ALNU02000000:6:30706522:30710056:1 gene:OGLUM06G28770 transcript:OGLUM06G28770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSMGLQSPVWIRPSDSEDDQLARFRVCMDSFSVARLRWFLKLMAAGNALH >OGLUM06G28770.2 pep chromosome:ALNU02000000:6:30706522:30710331:1 gene:OGLUM06G28770 transcript:OGLUM06G28770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSESSRFVQELVLYAASAALSCLVLFAGLRQLDPNRAASQKALQHKKEIAKRLGRPLVSTTPYEDVIACDVINPDHIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVSAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILRVVLKGENVEPNINYDYIAGLCEGFTGSDILELCKQAAFYPIRELLNNEKDGRKADKPRPLRQSDLEKALSTSRKGKRAANGTSMGLQSPVWIRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >OGLUM06G28780.1 pep chromosome:ALNU02000000:6:30710481:30711158:-1 gene:OGLUM06G28780 transcript:OGLUM06G28780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDYVFKVVVIGDSAVGKTQLLGRFTKDEFFIDSKSTIGIEFQTRTVEIGGKRVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDVTSRRSFHHAARWLHDLRAHADNSIVVMLIGNKADLSHARAVAADEAAAFAEDQGLFFSEASALSGDNVEEAFLGLLREIHAIVSRRSLLEMDGINGDAAANANAALMLRGTKLSLSDELSIMETSAIKRVSRCSCS >OGLUM06G28790.1 pep chromosome:ALNU02000000:6:30712926:30713441:-1 gene:OGLUM06G28790 transcript:OGLUM06G28790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVSLHLHGALPLPASRALHHLNPASSAAAAASAKQHQQPRARLAVTTARPSSRTRARAAAASAPPVPPVVHQQHRLSSSRAATGYAAALADASLRAGTLARAARHARALLVSDAAAAVDVAEDSRVVALVRMLVGKGKAAMVADVMAEFVAICDRLLLLPARPHATSY >OGLUM06G28800.1 pep chromosome:ALNU02000000:6:30714415:30716520:1 gene:OGLUM06G28800 transcript:OGLUM06G28800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGGSPPAMEEEERYVEVASRFYRVKPGAGGGGGGGRRLHFLESCFLCKSSIAGDRDIFMYRGDAAFCSDDCRQEQMDMDEALQAVARRHRLRSSAAPASAEAAAAAPARSPMMHRRPTIANFAARTPVAATS >OGLUM06G28810.1 pep chromosome:ALNU02000000:6:30717437:30720822:-1 gene:OGLUM06G28810 transcript:OGLUM06G28810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative membrane lipoprotein [Source:Projected from Arabidopsis thaliana (AT4G17085) TAIR;Acc:AT4G17085] MPAAKKITLLQTVAFAGVFSAVSCWYGFMFGRESARRELGGIIEDLRSGGGNQTGMNPRMGLPPMKNPQMSLS >OGLUM06G28820.1 pep chromosome:ALNU02000000:6:30718291:30718931:1 gene:OGLUM06G28820 transcript:OGLUM06G28820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHQSQVLSRRDSPHDQSEEFNKLIGIKILSQLAVQLISTSGIPEERMYIIITQGRKGLNLIFNYLPPPP >OGLUM06G28830.1 pep chromosome:ALNU02000000:6:30721496:30725279:-1 gene:OGLUM06G28830 transcript:OGLUM06G28830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVAKRRRGVCVGIAANERRGSEARRRPSDMGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGSVYRAFFRSAGGGGGGRVVLAVKRLKQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTACKIHCEQSIHPIRSMSPSDDDDDGDLAGGDQVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPPTTTAADKDGDRRLPPAKR >OGLUM06G28830.2 pep chromosome:ALNU02000000:6:30721496:30724066:-1 gene:OGLUM06G28830 transcript:OGLUM06G28830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFAFKSKAKNQRAAASGARSPAPTSDGQKSKASSASTPTRSIQELSDERGAQRLRVFDLDELSSATNGFSRALKIGEGGFGSVYRAFFRSAGGGGGGRVVLAVKRLKQRSLQGHKQWLAEVQFLGVLEHPNLVRLVGYCAVDSETSKHRLLVYEFMPNKSLDDHLFNRAHPPLSWRLRLQIMIGAARGLDYLHEGLQEVQVIYRDFKAANVLLDADFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTTKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLGWVRRHPPESQSFRSIMDPRLGGRYPAAAARQVARLADRCLVKNPKERPAMREVVEELERVLQMEPPTTTAADKDGDRRLPPAKR >OGLUM06G28840.1 pep chromosome:ALNU02000000:6:30727476:30731607:1 gene:OGLUM06G28840 transcript:OGLUM06G28840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGPSSPSPSSACADHPTPAPEEDEEGGRGWVVVPASEVPGADAPKVIDWEDLQQELARVWSLSAALATARERKALLAARLQSTLEARKASVQQDNELAEIRERVQARADFMWDLKMHTKKMTEDVDDRREELRIKIRTLSTTSNTLSTARNKLKEADKLLSGENGLHVRLKTVERMLRTRQQYMTAQVAHLYPVRPLIERSPANKPSFLNSSILKTRDAESMAPNGSQNGQAPLAILGLQLSKLTMKKTGYFSDKTEIQNSATALGYVAHAVSLIASYLDVPLRYPLRLGGSRSYVLDRTPSVESSSLASAISSAPLSTTMRTMEFPLFFESQETTRSAYAIFLLNKDIEQLLNHIGAESLGPRHVLANLKQLTTIVQSQQYISN >OGLUM06G28850.1 pep chromosome:ALNU02000000:6:30732474:30737176:-1 gene:OGLUM06G28850 transcript:OGLUM06G28850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MANSTVRINPLNCVNILQLCCLLASPTYRLISRENKNVVKRKRTKSAKKKKKRKEKTRARCRRPPPDHHRDPSIPAIPQHWSVPVDPAAVGGGEMDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >OGLUM06G28850.2 pep chromosome:ALNU02000000:6:30732474:30735389:-1 gene:OGLUM06G28850 transcript:OGLUM06G28850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:novel plant snare 11 [Source:Projected from Arabidopsis thaliana (AT2G35190) TAIR;Acc:AT2G35190] MDLESVNPELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVVKDMAGSTDPETARMLHDRKQSMIKELNSYVALKKQYASENKRVDLFDGPSVEDGFGEENVLLASNMTNQQLMDQGNQLMDETDQAIARSKQTVQETINTEQMSRIVNELDSIHFSIKKASQMVKEIGRQVATDRCIMALLFLIVAGVIAIIVVKIVNPQNKTIRDIPGLAPPVSRRLLSIVEDI >OGLUM06G28860.1 pep chromosome:ALNU02000000:6:30737280:30737861:1 gene:OGLUM06G28860 transcript:OGLUM06G28860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLLLPLLPHRPSQPLLLLLRHRRRPSIPRASSGDPSPTAADAPTDAQSATPPSSGAKPTGVKNRLRARNQARRVQEVTPPAPLGITMKSKSSSSSRPAASKSSASASASAATRREKQTRRKEWEEMSMAEKAGELYVGEKGLFFWLNKFAYASIFIMVGAWILFRFVGPSIGLYQLDAPPLAPTDVFAGSP >OGLUM06G28870.1 pep chromosome:ALNU02000000:6:30738752:30740852:-1 gene:OGLUM06G28870 transcript:OGLUM06G28870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AED0] MANCVRCCCWLLVLMLMALAITAAVVFVRYKNGEGVFPFPGVPGAVDHKYADALAVALQFFQFLIDEAGKLVNNTIHWRGDSALDDGKEAGIDLSKGMYDAGDHMKFGFPMAFTATMLSWSVLEYGDAMRAADQRDSAIDALNWIMDYLVNAHPSDDVLYIQVGDPKADHKCWERPEKMKEKRPLTKITPKSPGSDVAAETAAAMAAASLVYKTINKTYSSSLLDHGERLFAFADKHRGSYTRTFPELSAFYNSTTYQDELLWAASWLYHATGNHSYLAYATGKNKDFADLGNPRYFSWDDKRAGTEVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMQSSGKTELSCSGQGFSPADLRKFAKSQADYLLGSNPMKISYLVGYGDRYPEKVHHRGASIPEDVDTGCDGHKWLETSKPNPNVATGALVGGPYKNDSFVDERDNVMQNEATTYNSALVAGLLSALVSTSSLARSLS >OGLUM06G28880.1 pep chromosome:ALNU02000000:6:30741836:30749000:1 gene:OGLUM06G28880 transcript:OGLUM06G28880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAADRSGAAEGESEWREELRQQQSQVDALRERLVEVKVGMKCSEEDSRKELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRHQDGVGYVDRNGVPLADWSKGGESASCEGLDDETSADSSRVAEHGDANEGDVDVEDILKSIHVVTDVMETLVKRVIVAESEAANEKEKVRMGLEEIRRKTIQVESMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKHDFESLRTYVGTLVNVRETLLSSEKQFETMEKLFDRLVARTNQLESEKAQKEAEVQKVVEENVRLRAMIDKKEAQLQAMSEQCKFMALSRPN >OGLUM06G28880.2 pep chromosome:ALNU02000000:6:30741836:30749000:1 gene:OGLUM06G28880 transcript:OGLUM06G28880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRVWLSALLLAFLLAAAPVVQVARAQSEEEAATAEVVHGADLGIVSDDTQVSSDGPLSPAPGVETVCVFPKNAGKIVLAGEETELLVGLQNEGESTLNVVAIHSTLHLPFDHKMYGQNLTVQNFFNASVPVSVQATFPYTFAVSKFLQPGAYDLVGYIVYEIDQNPYQNVFYNGTVEIVEAGGLLSVESVFLITLGVALLGLFGLWAYGQVQQLSKKTKKAPKVEQGTGTTDANMDEWLEGTAFAQGSKSKKKK >OGLUM06G28890.1 pep chromosome:ALNU02000000:6:30751699:30756290:-1 gene:OGLUM06G28890 transcript:OGLUM06G28890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Brain/reproductive organ-expressed protein (InterPro:IPR010358); Has 35333 Blast hits to 34131 proteins in 2444 species: Archae - 798; Bacteria - 22429; Metazoa - 974; Fungi - 991; Plants - 531; Viruses - 0; Other Eukaryo /.../9610 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G42470) TAIR;Acc:AT5G42470] MSPPADTAALASTSGAPLAPLVAAQLNFVLSQANLPIRVGQIWSGCRDGRYADRFTLAIPFCLDYVYWDFLYNALSPKVAPDVVFGPDDEGFQPLVDFDEIGSGEKSCLANWDCRDTSALLSLIKELREFYIEYQKKRAAEVDDARLKFEISTVLSKEGIEVCTVSSNGRPDEVKFAVPLLDLDLAILVPGCPWKLPQKIHLQAVFPISRSYSSVPSAPRLKLVSTPDLKSFFSVDDVKLPPWLDGMCMAEYLPNLEENLKIQVVEASASIGSRRRFIEALAPTFGRPLEADPVHFVIPLQFPKHQPVLTLESSQHFNAQGLPIMSAPVNDYPWSPRWDPTEMESSLHCRFARSACNLYSPTWQVNLEAQEAA >OGLUM06G28900.1 pep chromosome:ALNU02000000:6:30758499:30760999:-1 gene:OGLUM06G28900 transcript:OGLUM06G28900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AED4] MSSLLIAQVLLGEIANFVAYTFAPAVLVTPLGALSIIVSSLLAHFVLKERLEKLGVLGCVSCIVGSVIVVIHAPQEHMPNSVEEIWNLAIQPGFLTYAVATLVVVAALVLFFEPRYGQTNIMIYLGICSSMGSLTVVSIKAIGVAIKLTLDGMNQVAYPHTWLFVIIAIICVVSQINYLNKALDTFDLAVVSPIYYVMFTTLTIVASGIMFKDWAGQSFSSIASEFCGLITILTGTIMLHTAKEEETCSSAALPWPLDRGSISWCISLGSDNLLKNVNEDYFAALQSSPAPV >OGLUM06G28910.1 pep chromosome:ALNU02000000:6:30776877:30782884:1 gene:OGLUM06G28910 transcript:OGLUM06G28910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVWSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAGADLFNSEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDPSRSRKGMDLQPESKLNTSPVNNATYSSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >OGLUM06G28910.2 pep chromosome:ALNU02000000:6:30776877:30782884:1 gene:OGLUM06G28910 transcript:OGLUM06G28910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF668) [Source:Projected from Arabidopsis thaliana (AT1G30755) TAIR;Acc:AT1G30755] MGCVWSRRFRDDAAPRSVQPLAAAYEARRGRYGPGDFDSGELAIPPPKLLTSHKVPETGTLLGRASIAAVEVLDTLGSSMTNLNHGSGFLSGGTNRGNRVCILAFEVANTIAKASNLWRSCSDTSIKELKEEILHSDGVQILVSSNSSELLYIAYVDKRDELDIFSREVIRFGNLCKDPTWHNLGRYFNKLTTDFAPQDHSKEHMETTIQQLINLAQNTSELYHELHALDRFEQDFQRKFHEEESVPAARRESVMILHSELKRQRKLVKTLKKKSLWSRTLETIVEKLVDIVVFLHKQIRDSFSEAEQAQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSARDNLYHGLPVTVKSALRSRLQSVNAQEERTVAQIKAEMQKTLRWILPIAENTIRAHQGFGWVGEWANLGCEMNKKSGSQLSITRVQTLHYADKAKTEQYMLDLVVLLHHLVVQVKNRGYGSKSSKHDPSRSRKGMDLQPESKLNTSPVNNATYSSPLSESERETLDHLSFKRTGYGRSKSCEPPPNRGKKAHRTWDSCRSHGSSPAREFGRNSASELDKTMDLDVIDGLDRLTSYHPTSPTFC >OGLUM06G28920.1 pep chromosome:ALNU02000000:6:30782374:30785721:-1 gene:OGLUM06G28920 transcript:OGLUM06G28920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETNLPSSTVNGIHGDPSVRSEIDGQDRSLIRPADLMRGQCAVVDQIIAGEGPSSCQALKLSSYRIPSPASCHGSGMNPRFDVPSSLYWVEARSSCSYRSPSS >OGLUM06G28930.1 pep chromosome:ALNU02000000:6:30786015:30786428:1 gene:OGLUM06G28930 transcript:OGLUM06G28930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFASTAAPWGCVAGARRGGGGGGRCGVRASAALAVAAPAARTHYEVLGVGAGASRGEIKAAYRRLAREVHPDAGAGAGAAGDEDFIRLHAAYATLADPDERARYDRAMAGPAASAFRRAPASSFRRRTWETDQCW >OGLUM06G28940.1 pep chromosome:ALNU02000000:6:30788364:30790823:1 gene:OGLUM06G28940 transcript:OGLUM06G28940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALLHFLSLLLFLSLLQGAQAATFTISNRCGYTVWPGILSNAGVAPPSTTGFALSPGQTLAVSVAAAWSGRIWGRTLCGQDSSGKFTCATGDCGSGAVECSGRGAAPPATLAEFTLAGGSGSGGGDDFYDVSLVDGYNLPMLVAPSTPPPASGGAASNNGSSCQVTGCVMDLNKSCPAELQVVAASAARRAVAACKSACEAFGTAEYCCSGAHGSPATCAATAYSRFFKGACPRAYSYAYDDATSTFTCAAAGGGYDVVFCPGMSSLKSGGNPEAVGLPPTYSTMAFTGNAESLTMSRNSLVILLMVISSVISTLSW >OGLUM06G28950.1 pep chromosome:ALNU02000000:6:30790987:30792777:-1 gene:OGLUM06G28950 transcript:OGLUM06G28950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRDAARASLVSRNWRMLWTCHPNLCFDGTKQEPTDEGTLKIDRWYFSKTVNHVVRRHKGIGLNKFSINCDLNKDEFKHIDGKDVNLQSRHRNRWPTRKHLPEDVYHFSLDALDAKHDPALESLFLAVVSIEVHPNISGFTMLKRLALQYVKLVGDLPDLLSRCSLLEDLDISVCTGVGDLVIPCQLDKLQHLRIWGTEVQMIEFHVSCLTRFGYRGEAISIMLHGCPKSVKATIELSLDLHMYDYDLGQVHTLTRPRNMFMHLRHLKCEVYVLTSAPNTYKGVVQLAHYLEFTPLPEVLEWHMYYYKKYRCRVRKTKVAREDYRLSRHDHLKAVYMSGFRCYRPQEELVYFILENAVALEFMSIEPHTILADDDHCDFSDIAEDKKIR >OGLUM06G28960.1 pep chromosome:ALNU02000000:6:30796767:30802434:-1 gene:OGLUM06G28960 transcript:OGLUM06G28960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT4G24190) TAIR;Acc:AT4G24190] MRKWALSSALLLLLLLLTTLPDPGMLELCDPLAPEATTKKLQVNADDSTDELVDPPKVEEKIGGVPHGLSTDSEVVQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >OGLUM06G28960.2 pep chromosome:ALNU02000000:6:30796765:30801675:-1 gene:OGLUM06G28960 transcript:OGLUM06G28960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone protein htpG family protein [Source:Projected from Arabidopsis thaliana (AT4G24190) TAIR;Acc:AT4G24190] MDIIINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTGGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQHVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYVEEDKLKDLVKKYSEFINFPIYLWATKEVDVEVPADEDESSESSEEEESSPESTEEEETEESEEKKPKTKTVKETTTEWELLNDVKAIWLRSPKEVTEEEYTKFYHSLAKDFGDDKPLSWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNSNKSNLKLYVRRVFISDEFDELLPKYLSFLKGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDEYSNKDKTDEEKSAMEEKKGQYAKFWNEFGKSVKLGIIEDATNRNRLAKLLRFESTKSEGKLASLDEYISRMKPGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIYFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALDTESVDSVKISNRLSDTPCVVVTSKYGWSANMEKIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRDKVAQDSESESLKQTAKLVYQTALMESGFNLPDPKDFASSIYRSVQKSLDLSPDAAVEEEEEVEEAEVEEKESSNIKEEAEPSSYDKDEL >OGLUM06G28970.1 pep chromosome:ALNU02000000:6:30805540:30808719:-1 gene:OGLUM06G28970 transcript:OGLUM06G28970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGDLDFSNPDTFLCPAVGGADPDGSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDLSHTHTCVHVHTKIVSAPSDTPSDAAETAESPTENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHATLEAEVSRLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSYLGGAQVMNSCDFRCADQMYCSPGMQVRTMGEDGAVSGQVLGQGACDIASIQCQGAKSGSAKLPVCGAMGTMPVGCMPNSEKK >OGLUM06G28980.1 pep chromosome:ALNU02000000:6:30810530:30813374:-1 gene:OGLUM06G28980 transcript:OGLUM06G28980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPPPPTAWVPPGSVATYAAGSLYTSATSPASRCPSTVPGGVYSPPWRAPMASYCYYGNTSNPNPNPNPSPAPSAPPLYPTLTMADLAPVQIGPSSPSSPMSPASPATPVDAYANAPPPSEDVLLRIPGAQLHLIDRHRSYPLAAGDLSLIRIRSGDTSLAAIALLHPIQWPLARDVASVKLDPCHYSFSLTVPPSADDPNPGPLHYGLTLSHPDPRLDGILATYTSFSVQSVVGGEALASKVRDEVEAAAYWTAVAPNVEEYGGKVANAIATGAGHLAKGILWCGELTVDRLRWGNEVLKRRMQPGDADAEVSPEMLRRIKRVKMVTKMSEKVATGILSGVVKVTGYFTNSIANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVAGTNVLSTSSTVTTGLVSHKYGEKAAAATNEGMDAAGHAIGTAWAVFKIRQALNPKSVLKPTSLAKSTIKAAAADYRAKQKK >OGLUM06G28990.1 pep chromosome:ALNU02000000:6:30815331:30819521:-1 gene:OGLUM06G28990 transcript:OGLUM06G28990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G75820) TAIR;Acc:AT1G75820] MPPTLLFLLLLLLPPSLASPDRDIYALAKLKAALVPSPSATAPPPLADWDPAATSPAHCTFSGVTCDGRSRVVAINLTALPLHSGYLPPEIALLDSLANLTIAACCLPGHVPLELPTLPSLRHLNLSNNNLSGHFPVPDSGDGASPYFPSLELIDAYNNNLSGLLPPFSASHARLRYLHLGGNYFTGAIPDSYGDLAALEYLGLNGNTLSGHVPVSLSRLTRLREMYIGYYNQYDGGVPPEFGDLGALVRLDMSSCNLTGPVPPELGRLQRLDTLFLQWNRLSGEIPPQLGDLSSLASLDLSVNDLAGEIPPSLANLSNLKLLNLFRNHLRGSIPDFVAGFAQLEVLQLWDNNLTGNIPAGLGKNGRLKTLDLATNHLTGPIPADLCAGRRLEMLVLMENGLFGPIPDSLGDCKTLTRVRLAKNFLTGPVPAGLFNLPQANMVELTDNLLTGELPDVIGGDKIGMLLLGNNGIGGRIPPAIGNLPALQTLSLESNNFSGALPPEIGNLKNLSRLNVSGNALTGAIPDELIRCASLAAVDLSRNGLSGELPESITSLKILCTLNVSRNRLTGELPPEMSNMTSLTTLDVSYNSLSGPVPMQGQFLVFNESSFVGNPGLCGGPVADACPLSMAGGGGGAGSQLRLRWDSKKMLVALVAAFAAVAVAFLGARKGCSAWRSAARRRSGAWKMTAFQKLEFSAEDVVECVKEDNIIGKGGAGIVYHGVTRGAELAIKRLVGRGGGEHDRGFSAEVTTLGRIRHRNIVRLLGFVSNRETNLLLYEYMPNGSLGEMLHGGKGGHLGWVARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGGFGDGVDIVHWVRKVTAELPDNSDTAAVLAVADRRLTPEPVALMVNLYKVAMACVEEASTARPTMREVVHMLSNPNSAQPNSGDLLVTF >OGLUM06G29000.1 pep chromosome:ALNU02000000:6:30824609:30828213:1 gene:OGLUM06G29000 transcript:OGLUM06G29000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDDDQDEVQESMEDQTAAAAGLDSRVKASLVLGTESFAISSESGILSEQLAAMKEKSMEILKGYITKHNAPADVPDEPIEGLSDDEGDAPAKNPPKKPKKQK >OGLUM06G29010.1 pep chromosome:ALNU02000000:6:30826010:30830562:-1 gene:OGLUM06G29010 transcript:OGLUM06G29010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEICI >OGLUM06G29010.2 pep chromosome:ALNU02000000:6:30826709:30830562:-1 gene:OGLUM06G29010 transcript:OGLUM06G29010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMMMILMCLTSINLLLANSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >OGLUM06G29010.3 pep chromosome:ALNU02000000:6:30826709:30830562:-1 gene:OGLUM06G29010 transcript:OGLUM06G29010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRWPSNLKRFYRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >OGLUM06G29010.4 pep chromosome:ALNU02000000:6:30826709:30830562:-1 gene:OGLUM06G29010 transcript:OGLUM06G29010.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G76050) TAIR;Acc:AT1G76050] MATTAAASPPAIATALSALLRRQRRRSSRCVGASHARCLAADANAEAVAPSRRGGHGGTRLEEAVPAGEGRSRIDAWISARLGGGGVSRARIQASIRAGLVVVNGRPVSKVSHMVKGGDIVSCTVSELQPLRAEPEDIPLDIVYEDDHLLVVNKPAHMVVHPAPGNANGTLVNAILHHCKISTFTCLARNSIDDECPDSSDDDIDVFDVDQFTTGEVSSEVREALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGAPNPNSGRIEVPIARDPNNRIRMIATPGSGHRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSRYHCDLSNMISKIDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLNELHQVTLASNGNSGGGVARICD >OGLUM06G29020.1 pep chromosome:ALNU02000000:6:30838765:30844690:1 gene:OGLUM06G29020 transcript:OGLUM06G29020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSGAFFHAAPRSGSNVSLASLARTAGGRRMMHRVFRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRVWRSRRSGISSICYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFRESPDLFEIEGTNGMPRASIDKLPEVRITEEYRRNAIGDLSGCSVCLQDFQTGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >OGLUM06G29030.1 pep chromosome:ALNU02000000:6:30843140:30845754:-1 gene:OGLUM06G29030 transcript:OGLUM06G29030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLMNLLRACWRPSSNQHARAGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFHHLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGILYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKRSEFNREPLYAKFRLREPFHKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVHSSPRNGSARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSSLVSRASTYRGPSVSLRGGGVNLRSNTLAPYASQM >OGLUM06G29030.2 pep chromosome:ALNU02000000:6:30845805:30847284:-1 gene:OGLUM06G29030 transcript:OGLUM06G29030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRRTPAAGSFVPGGAGRRERERGISPWLRVDTIREEADSGRIGGGLRASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRIKENIGVSTSEAAFS >OGLUM06G29030.3 pep chromosome:ALNU02000000:6:30845807:30847284:-1 gene:OGLUM06G29030 transcript:OGLUM06G29030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRRTPAAGSFVPGGAGRRERERGISPWLRVDTIREEADSGRIGGGLRASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRIKENIGVSTSEAAFS >OGLUM06G29030.4 pep chromosome:ALNU02000000:6:30845761:30847284:-1 gene:OGLUM06G29030 transcript:OGLUM06G29030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNASASRESAAGDPPTSQRRRTPAAGSFVPGGAGRRERERGISPWLRVDTIREEADSGRIGGGLRASSVATPKSRDSIEATLPNSLKSPIRNPPIGRVRHAKRKTSAYQQVKLHFLRAQMKRPRRRLWWDD >OGLUM06G29040.1 pep chromosome:ALNU02000000:6:30855380:30858384:1 gene:OGLUM06G29040 transcript:OGLUM06G29040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSSYLALSLAVAAVLAMAAVEVSGLGFDLHHRYSPIVQRWAEERGHAGVSWPAGAEVIGSPEYYSALSRHDHALFARRGLAQGDGLVTFADGNITLRLDGSLHYAEVAVGTPNTTFLVALDTGSDLFWVPCDCKQCAPLGNLTAVDGGGGPELRQYSPSKSSTSKTVTCASNLCDQPNACATATSSCPYAVRYAMANTSSSGELVEDVLYLTREKGAAAAAGAAVKTPVVFGCGQVQTGSFLDGAAADGLMGLGMEKVSVPSILASTGVVKSNSFSMCFSKDGLGRINFGDTGSADQSETPFIVKSTHSYYNISITSMSVGDKNLPLGFYAIADSGTSFTYLNDPAYTAYTTNFNAQISERRANFSGSTRSGPFPFEYCYSLSPDQTTVELPIVSLTTNGGAVFPVTSPVYPIAAQMTNGEIRIIGYCLAVIKSNLPIDIIGQNFMTGLKVVFNREKSVLGWQKFDCYKDEKMTDDGSSVGSPSPSPGPTTHVFPQPQESDSPAGRTPIPGAAPVPRSSSAAGGGRAGFRLLSSMALLLLAAAAAAVF >OGLUM06G29050.1 pep chromosome:ALNU02000000:6:30862044:30865688:1 gene:OGLUM06G29050 transcript:OGLUM06G29050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAALSGMAGGDGKKGARAAGNGGGHLCSAGYGRAVGGCGRAPAELLRRTTTRAGLEGILALNLMTAEACMVGAEAAAESRNNLARIKAR >OGLUM06G29060.1 pep chromosome:ALNU02000000:6:30865726:30867969:1 gene:OGLUM06G29060 transcript:OGLUM06G29060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGHVFAVVAFVSYALLAAASTTVEAFAASGWSKGTATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFDDGASCGQCYALTCDARADPRWCRAGASVTVTATNFCPPNYALPSDDGGWCNPPRPHFDMAQPAWERIGVYRGGIVPVAFRRVPCRRRGGVRFTVAGRDYFELVLVTNVAAAGSVRSMEVRGSRRGAGWMAMSRNWGANWQSLAYLDGQGLSFRVTATDGQTIVFAGVVPPSWRFGQTFASTQQFM >OGLUM06G29070.1 pep chromosome:ALNU02000000:6:30867562:30869860:-1 gene:OGLUM06G29070 transcript:OGLUM06G29070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSPSLAKSIFSLGTQGAMIERSNSTPSATPARPPLAVDEEYNQAFRSKSFLDLWSHAHHHLTHTFSSFKLSTSTPCAGRGGAREDDFLHAGGDGGAADDSEQSCSYTVLDDFVLEPSPESLARGARLQQRRRRRPRRHRVETLLIEYFDVTEEACEACSALLAAIGAARRHHLTLRRLLLRLDGGDDDDAKDALARHVRLDNPLSPGSLSEFHDVHARRAAEKVSSRHYARAGATLDAAARGAYIVGRDLDTVSRMVRRAHDELEHGRDVARIAMRGHGERPLLQEVAREEEECEEDLRAQLAELEEHVCLCLITINRTRRLVAHEMARGLPPPSPATVTTTSEERLTSS >OGLUM06G29080.1 pep chromosome:ALNU02000000:6:30873319:30874893:1 gene:OGLUM06G29080 transcript:OGLUM06G29080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLQLVALLLLSLLLRSATAAEYTVGDGPWDTGTNYATWSDKHAFLAGDILVFQYVRSQHNVLQVTEATYRSCDTGGGGVAGVIKSYDTGYDRVQLTEPNATYWFICDFPGHCLGGMRLAVKVAAAAGGGGGGGSPPPSGVPLHPPAAGGAGRSQWPAWGLTLAVLLVVFHYCIIIF >OGLUM06G29090.1 pep chromosome:ALNU02000000:6:30875102:30878591:1 gene:OGLUM06G29090 transcript:OGLUM06G29090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVAAGAGAGEGGTVLVGVRGYDSGHREKEGGSTATSRTSNGRPIEMTFWNEAPPALSHFSAHGSDLPPAAHGDLLLAPKVIAADDGLLLLRVPVNPVPGGRSLFRHDDYFVYHHHQPARLDLLPMPCQQYCLRDDDFAIVSICGDKPQYVVAALEMINLPSQFALHRYKSSSSGGGGDGDEIAGNWTCEEVFVEEAVRDRVCPIPDSAERPLYHITTKTIALGGAKGTVGWVDLWRGILLCDLLDEMSPPKLRDMPLPWPAKGNWTRYLSDSESFYRDITVSQHKDFIKYVEMEITMPRVVTKTIISSGDRTMPADDPPDSFLEWVRRSREPQPQPTTRQRSSVRRPGQWRLTTWTMPIPVTSWEDWRPDCTANLHDFHVVDNTAHHGLLNKLMLSTSDDEEAKGSSLSLGCLAMSYPALSIDDDDVVCLLCNSANRDCDMGGVMIALDVRKKEIRGAAKLDGKKNTLFSMRCYLATAISKHPTPTAELDNLRSRQKPPSRRERNVRIFQHIAKSVDLLANGINKEIVVWRAAGIFSQPGE >OGLUM06G29100.1 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVVHMRSAPPSGHYNPIGPPDVPGLEPSCSARRPKQEAPLQRPPS >OGLUM06G29100.2 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRCNDLTFFTCQLFTDANMFLSLIANALFLNCLFVDELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVVHMRSAPPSGHYNPIGPPDVPGLEPSCSARRPKQEAPLQRPPS >OGLUM06G29100.3 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVGVLHRVVIIIQLVHQMCQGLSLLALRGVQSRRPPFKGHLPNRI >OGLUM06G29100.4 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRCNDLTFFTCQLFTDANMFLSLIANALFLNCLFVDELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVGVLHRVVIIIQLVHQMCQGLSLLALRGVQSRRPPFKGHLPNRI >OGLUM06G29100.5 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVGVQSRRPPFKGHLPNRI >OGLUM06G29100.6 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRYELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHSGIGGGSSQHVGVLHRVVIIIQLVHQMCQGLSLLALRGVQSRRPPFKGHLPNRI >OGLUM06G29100.7 pep chromosome:ALNU02000000:6:30882106:30890564:1 gene:OGLUM06G29100 transcript:OGLUM06G29100.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYPRGDPPPGRQRQVPPRGDPPPGRQRVPPRLLINPFHDIRQRLSTGQAFKPIGQVSVSRMLPGPPLFGRLASQLFEVDPSLAFSTAGDSAEEGGESTLPPPQVPRVPRDFCETTLISFLGRRYKIILQSKNGPYSLIAICNYLILTRKLTLPPSMTIVYLDYLVDRVFSQVFSKMKDESYKTAQAAVEQTATGLDIDIYCTSVDGFEDTPQYGLFRILDIPLYHAWVLDMNNQEDTILLNAVDGRSYNQLNIDRAKYNSKKDADFMIDAAETRRCNDLTFFTCQLFTDANMFLSLIANALFLNCLFVDELIHTFLKDNPGQVTEFGLHTLKAATPDRKLFIFFQNEHFNVVYKYHGRFFVLESDVGFRNYQNIWRSVDSPGESGVLVHDITISRDQHSVLKSGNYFEGAKQSFKKKPHSARQTQHHSVSSSLESSQGPPPVRHSHMAGEHGSSSSVKPRPSPTNAQLETRPPSPGTVRADFASYFTSGKDQKLEHMFLDFLRSFERNNIPYYGAIVDAMKTLSSPEMHIHFDHIWSYAPELAHDLCQCYPRIQKNLENAVRTFIRDLNLPGFHCENPVVRICDMPKPDRWIPLRTFLKLRGYHLTKPRHIRCDGFFLPVIDTTQIGRIILTNLLTRITRSHKGGRSWNGNWTLDHVMVSDSLDVKINLPCNYQAARKTEAHDFLRVATDVLPEYEVGGKLPGLFRHLDESLRMYIDHLKYGSAMLRKFQRFITIHPALKSSLTRLQIIDNIYSAHQATTGQKTGDSNVKSRMVVYPLSPQFILLYMNTTELTWKKVNPMNKEMRMQKKKVMNLEAMLGMMVEMKNALYNLNVESINPLRTQWKEAWFTYAMFVIMDNMGKQQFLRLSDLELVNSNSLDEILPAMVKCLIFHKDGYHHIYDKDIYDRVLGWIDEILENYELLEFPKSYGIDFPAITPTGHDDAFPDPHRSAPPSGHYNPIGPPDVPGLEPSCSARRPKQEAPLQRPPS >OGLUM06G29110.1 pep chromosome:ALNU02000000:6:30892059:30893224:1 gene:OGLUM06G29110 transcript:OGLUM06G29110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIQRWRLPPPPLAFLRRRWQRRRQPWADPAAAGGSNGGGSLLRRLSSPSGGGGGGRGLIAWRRLRAAVVTAEVGSGGRRVDGGRWGREEVAAATVPSS >OGLUM06G29120.1 pep chromosome:ALNU02000000:6:30914215:30936368:1 gene:OGLUM06G29120 transcript:OGLUM06G29120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNVRVGREQCRNVDIATVPGKADFGWLTEQVHRSGLSVGWGRNNGLNRNCRDVTTFFASRILGRKEKKEEDSCHVGSHNSTQITDMTTRSAWPLLGDMSRSFFGDISSSTSQTRMPAPEIDPADGALCASEHDGLGWWSEEFRVQRIANYQEKGSSFQIRWIGDTIEPIPDIITNAMAESTFHFGAF >OGLUM06G29130.1 pep chromosome:ALNU02000000:6:30929960:30930393:-1 gene:OGLUM06G29130 transcript:OGLUM06G29130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAENAASGSVRGYTSAGCARSITQLREPYVPPDHQPRPSCSEAQRAPSAGSISGAGILVCDVLDEMSPKKLRDMSPRSGQA >OGLUM06G29140.1 pep chromosome:ALNU02000000:6:30932014:30934696:-1 gene:OGLUM06G29140 transcript:OGLUM06G29140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVEELEKEEVSVEEPVRDRLCPIPDSAKRQLYHVTTKTITLGGAKGTVGWVDLWRGILLCDVLDEMSPRKLRDMPLPWPAKGNWRRYLNEDVSFCRDIAISQHKDSIKYLEMEIVSPRTVTTTIPTSTSADPTSYLEWVRRSREPQPTRRRSVFHPGSWRITTWSMPIPVTSWDDWRRDCTAESREVHLDTNPSHHYELLHSLMLSNSGDEHREEAQGQGATSSLSLGRLHMCYPALSCIDDDVVYLLGNAAGRGAKMGGMMVAVDVRNKELRGVAKLDPEKNTLYSMRCYLATGISKRLNTTTGT >OGLUM06G29150.1 pep chromosome:ALNU02000000:6:30937720:30945662:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVQINTAILLVLHVLCYMVASSSTTAAVSANRFESDCWGQTYGG >OGLUM06G29150.10 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.10 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.11 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.11 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQAKSGKWWPKRKDLVCCACAGHWWCEATATVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.12 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.12 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.13 pep chromosome:ALNU02000000:6:30938829:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.13 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSNLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAAGCLQRYPFCDHRMGKEACGFACSEPKSSPRFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.14 pep chromosome:ALNU02000000:6:30938829:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.14 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSKGRCCAKWKVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVPWSVPEVVRPLYESSALVAQKISMASLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLLQFLEVIKLGNTRNYQDTLVHRDLFLLQDTSSSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.15 pep chromosome:ALNU02000000:6:30937720:30944892:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.15 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVQINTAILLVLHVLCYMVASSSTTAAVSANRFER >OGLUM06G29150.16 pep chromosome:ALNU02000000:6:30937720:30944892:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.16 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVQINTAILLVLHVLCYMVASSSTTAAVSANRFER >OGLUM06G29150.2 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSKGRCCAKWKVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAVQGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.3 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSNLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAAGCLQRYPFCDHRMGKEACGFACSEPKSSPRFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.4 pep chromosome:ALNU02000000:6:30937720:30944892:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVQINTAILLVLHVLCYMVASSSTTAAVSANRFESDCWGQTYGG >OGLUM06G29150.5 pep chromosome:ALNU02000000:6:30937720:30945662:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVQINTAILLVLHVLCYMVASSSTTAAVSANRFER >OGLUM06G29150.6 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMASCKIQSLRCLRQVAYKDTRSVITGWGRKLAALHALSQKAHHVGLLNSRCFLVLAMNLLQFKSVKNNFACSLFRGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.7 pep chromosome:ALNU02000000:6:30937720:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRALRSSKNMAITDQATTLRQAGEPVIGLAAGEPDFDTPASLPRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEITCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGPSTFLLILVLTAAAFAAEAAGDGCSAGCELALASSSSSASTPILSSSSPRRVALSSPLPASSVDVFISSPFVRMGPTADQARAPQIDWRHSFSPSFGAALLKEWIGVNQQRCGLCSDLGRGGYKNTAMRSGAVCGGPSMPADGDGSLHLRIPHQHAALQSSTLCSDDAGAGSQLLAAAVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAAGCLQRYPFCDHRMGKEACGFACSEPKSSPRFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIGDASVHVCKPKMEAAPGARWRRRNIPGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.8 pep chromosome:ALNU02000000:6:30938829:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSKGRCCAKWKVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRYTSILGDGSLVVCERSLSSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAVQGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29150.9 pep chromosome:ALNU02000000:6:30938829:30945526:1 gene:OGLUM06G29150 transcript:OGLUM06G29150.9 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKSGKWWPKRKDLVCCACAGHWWCEATATVMLPLSKGRCCAKWKVKLLYMQARTDKPENFCGKFLAQPEIPKLKSVFSLQLYAPTTLAPAHNFWLLRSKQGGPSMPLVQPFIRDEMLPSGFLIRPSDGGGSVIHIVDHMDLEPWSVPEVVRPLYESSALVAQKISMAVQGFNEVLNGLADDGWSVIESDGIDDVCISVNSSKVTGCNATFSSGLTIVSTGVLCAKASMLMSLLHHYCNFCANTSHNGPTMKPNFCNLPMSRLGGFSGQVILPLAHTFEPAEFLEVIKLGNTRNYQDTLVHRDLFLLQMYNGVEESSAGTCSELIFAPIDASFSDDSPLLPSGFYIIPIDSPLSNCMLDLASMLEAATPRSRISGINSSGCAAAASSKAVMTIAFQFAFESHLQGSVPAMAQQYMCSIISSVQRIAVVLSSSRLIPPGVAAATQHAPATRCCPDGFARAIDFILELNSSNLQMPTAAVMPVFTFANRRWRRRQAQDGGGGYSKMRNVSTDYGSLSIFVFPRIDLYSIEYGLLVWQLLIMMNFQAARKGAPLALVKKKEGISFAVFGGFCVLHDATEYCSDWHQGMN >OGLUM06G29160.1 pep chromosome:ALNU02000000:6:30960597:30960971:-1 gene:OGLUM06G29160 transcript:OGLUM06G29160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYHHQEYYQMAAAVAWPREPDSPQLSIMSGCSSLFSISTLRDDDDGVRLAGAALPATPVSLAGIAGGASTPGGDEVDMEVRQQSGGSGDDRRTIRMMRNRESALRSRARKRVRIRIYDTNTV >OGLUM06G29170.1 pep chromosome:ALNU02000000:6:30964689:30972524:1 gene:OGLUM06G29170 transcript:OGLUM06G29170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSGEYSPYYQPYPSPTSAPPATYPSASAPPYTPYPATDYAAPAAYPTYPPPPTDPPQYAPPPAAQPQPYYPYEPPPHNPPPSPYPSLDRAGSYGYGSGSGYGSQELYPPKPAGGGWSDDGVYAYSGGGGDAPEPYGARGTAPRSNSALFDDYGRSIGSTKERGGGGGGSASPKVVRAVPKVETSEDTSGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEARRIRLKSNSYTTNTILDTVTAASVQFKEMGGSSISRSRAIADAAKPPEQQNDRRKNFLDWRNLMKPMNEEKDHWVPDEAVTKCTACTADFSAFNRRHHCRNCGDIFCDKCTQGRTPLTTDADAQPVRAEVSQRLNNAREAANRPIVHSHEDLAKKLKDAMDINKKSSSASSRSTDGSSRRMREVACPTCTVHLQVQVPTSGSETIECGVCQQPFLVNWMRFTYKGLVWLTCSQRHHGGFFAGSVVNPFRKESRKLKPLFVAILDWTGGFVNKRQEEKRRLSRRMGMEAAWACAVDRATGAADSTKRFFLSFRRPPPSPPGPNPIDILKRLQRQAFYDIMQLREKQEKIERVLTLFKASKSGPFAEESTRVKGIITVAGSLSSKNKKDSGPDSSETNSGISSQFVFQTNVRKKDSLLAELVTDHRCLPSENDSIGSPFVLSKMMYLANINDSLSAAAVPIGARCDDFSTDPNLQEEHWLASFRSSLRPPLLIKRHNYAAGLILRSKNFAVSLAELISAAGKPNNSGEASRFFTRFGQISCQMQNEMKLTMSAALHGPGLISSKSKPTAGGCVDFDLKIDEDSRVGAWIEVKKANPRLVRWALTLSETPEDDLGWGLSLRRGTEGNPERLQLEGFLNLHLGKKATLQPGLMFNIDGRRCAPALVFQSSWFL >OGLUM06G29180.1 pep chromosome:ALNU02000000:6:30972910:30975752:-1 gene:OGLUM06G29180 transcript:OGLUM06G29180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MGRRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSALCKKDSKVLYALDSIRSSSKPLHRCPIPVADDPDSVTIPKRTPNTIVKRLSYITVVKQDKDPSPLFGGRQSWKQREDSFKLNATMKVHCGFMKNSGADMDDVDVKYIQKCKIVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >OGLUM06G29180.2 pep chromosome:ALNU02000000:6:30972910:30975752:-1 gene:OGLUM06G29180 transcript:OGLUM06G29180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT4G38500) TAIR;Acc:AT4G38500] MGRRSKGGGAEGDGMRMQVVWRKGAVRLVLVSAIAWALLVILALAFHLWSCNSDVPFLSALCKKDSKVLYALDSIRSSSKPLHRCPIPVADDPDSVTIPKRTPNTIVKRLSYITVVKQDKDPSPLFGGRQSWKQREDSFKLNATMKVHCGFMKNSGADMDDVDVKYIQKCKIVVASGIFDGYDIPHQPSNISIRSQKLFCFLMVVDEVSLDFIEKNTTVKFDKAGGKWVGIWRLITLHRLPFDEPRRNGKVPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYHEGMEPWNPKKRMPSDVPEGAVLIREHTTMSDLFSCLWFNEVNLFTPRDQLSFGYVVYRLGDALKFFMFPNCEYNSLFILHRHTREHSSKVEWAKTIDEIVKKGLKESKGGLGLWTPYPADLSSVELPSVKRTSPAG >OGLUM06G29190.1 pep chromosome:ALNU02000000:6:30975743:30986938:1 gene:OGLUM06G29190 transcript:OGLUM06G29190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAKSLGGRQPTSRSHSPAIRVPSRGIASPSSSFFLLRREGFFLLLPLLFPSSHVGQGGGGRRWHIWAVQCPNLNGPDSVWIWWAWASRVHGPTILPIAGGVYKNPKREHQRSRLEAETEREKQHPNPSPPLSSRTRASAPPPGAPPPPPPPPHPPRAMAETPERRRYSGSPSPYRGNPKSRSRSRSPAARSQSRSPVPDPRSQARSRSRSREREPDAVNHGNTLYVTGLSSRVTERELKDYFSKEGRVTSCHVVLEPHTRVSRGFAFVTMDTVEDAERCIKYLNQSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYDRREPRGRYRSRGGGYGRDEYYGNSYRRSPPPMYPSYRDTRDYPPYRDTRDYSPHRDARDYYDGRGGRGYSPHRSPPYGGGRARRERSRSLPYSPYRMPERGYGRRGGGGGYDR >OGLUM06G29190.2 pep chromosome:ALNU02000000:6:30978311:30978638:1 gene:OGLUM06G29190 transcript:OGLUM06G29190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERPYGARLEGCAAAASAIGGEGGEREERAGGGGDRDGLHARPGGDGETPSTTRNLQPCVRL >OGLUM06G29200.1 pep chromosome:ALNU02000000:6:30976478:30978569:-1 gene:OGLUM06G29200 transcript:OGLUM06G29200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G27280) TAIR;Acc:AT5G27280] MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAASNRTFLRASISSAFAGAHVSRRHHDDDDEEVAKAHEPTSLAPYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGGEDGDNIFPIL >OGLUM06G29200.2 pep chromosome:ALNU02000000:6:30976478:30978569:-1 gene:OGLUM06G29200 transcript:OGLUM06G29200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein [Source:Projected from Arabidopsis thaliana (AT5G27280) TAIR;Acc:AT5G27280] MESVAIATTSRSLLPLPPFSSNRRCRRRASFLPVAASNRRHHDDDDEEVAKAHEPTSLAPYGLSISPLSKDAAMGLVVSAATGRGWTTGSGMEGPPKAAGGGDRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVGPDFRYEGDAPFNYLDGGEDGDNIFPIL >OGLUM06G29210.1 pep chromosome:ALNU02000000:6:30979153:30981669:-1 gene:OGLUM06G29210 transcript:OGLUM06G29210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRLPPPPWPTCEEGKRRGRRRKKPSRRRRKKEEEGEAMPREGTRMAGLWEREVGCLPPKLFANSVMASQDFVRSLGVQKRLRKHRGCVNTISFNEDGSLLLSGSDDRAAVLWNWQEGTPTFAFHTGHSDNVFHALFMPFSGDRSIITCAADGQVRHSQIQEGGRVITNELVDTEVAVHKLAIEPGNPHTFFSCGDNGSVFLFDLREKYVAELFKCAEVDHFGGDTIELYAIAIDPRKPSCFAVAGSDEYVRIYDSRKIDVNGNSSFGRPIEYFCPPHMMGENKDGISGLAFSQTSELLASYSYDNIYLFSREHGLHFNNIEVGKRLLMDEIEGDCHINTAPLPFCRDKLPAPQIFKGHRNKHTMKGVNFLGPNCDYVTTGSDCGRVFIWRKKDGELMRVMKGDKQIVNCVEQHPYGIVIANCGIDKDIKIWAPGGSENPDEVETDSCCSDISESYDSVYFDDYIFSSDFDSSEEDDEDDDDDDDDDDDEEDGLSVSINEDMSYEEKDAASDHDSDV >OGLUM06G29220.1 pep chromosome:ALNU02000000:6:31001490:31003740:1 gene:OGLUM06G29220 transcript:OGLUM06G29220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEQAFLEELFSLRRDAWEYNAMGDFFSPACAAMDGFQERHQSTTTVSVLPTFTASYEQPPPAPAAGFDCLSEVYGNAAAAFGPNAGGGGGDMGFLDVVEPKASMVVDGGGLGVCKVEPGLQAEGGFSAAAAAPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIRQLQEEIEEQQQQETPGVLSVFRELNPNEMLARNTPKFDVERKEGGDTRVEIYCAAKPGLLLSTVSTLETLGLDIQQCVVSCFNDFGMHASCSEMQRERMSADMIKQELFKNAGYGGGCL >OGLUM06G29230.1 pep chromosome:ALNU02000000:6:31006377:31018083:-1 gene:OGLUM06G29230 transcript:OGLUM06G29230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ataxia telangiectasia-mutated and RAD3-related [Source:Projected from Arabidopsis thaliana (AT5G40820) TAIR;Acc:AT5G40820] MANFSSHIQELRELIAASSTTTSTSAPASVHFEVKLREVLPNLLRDYVVPSSPTGAADGREATAVLKLLSYTAGKFPGVFFHGRAADVIRVIGRVLPFFAEPNFRSRHEIIFDTVWSLLSLLRTGDREAYRQFFLDAMVAVQDVLYVVASMHGDRPSGVLTERYLVKCLCGSFSDILDSPGIFSDLPDSCQPKNGPGVLVDLTGETRWRPFATTLIKLVNKCLADGTLYVEGLVNMPFVSAACSIICYGDESLHKVCFDFARIVATVITVEILPVENIIRSIMCILSQDVNGPSDIRDADYDFSMGACLHALHSSCPGYIVAITASDIVNVFQRAVHTSRSSELQVAMCNAYKRIVELCSPRVWKPEILLKLLFLPKPCAKLIECIRLVVDKSGQSFLSSDDRDDGSNLLAKSEGLYLPKVGQKRIALDEENSFPKRLKMTEPRFSSGSFMVDELSAGVGQELEKDHGCDFRVQLYSLINCLSPDNHMAYPLEPAIAIQVLSLLCLSLSVYPKTNLFSRISKQVLSWIPWICKQTTKICMFSFDVSLYFEAVQTVMLLQSFLPGHTKLFEDEPLLIGNGCTDFEYPRYADLINLLKLVSDDGYLTSQTCSEKLKCLAVQIIAKIGSRQNAECDLQVLELAIQSETGELQNEALMSLPIIVLYSGPRMLGAMFRKLETIGTLGCKKLWKSIAISLGFLSCLNGTTDCTDKVGNHCKLFLAKHCEQPILTLNLLRGFWCPQCDVRTVHIEDQVPIVDIALSEDKNIDFKINMFKAHSLFFKFLYAETSEECIVSIVEVLPRILKHSSRDVLLDMKFQWVQCVDFLLLHEMKAVRDAFSSVVSCFLETNAMDILFSDGTGMSGGTSRVKFMDKIKSAFTEAEDPQILLTLLESTAAIVKASDIHGEVFFCSFVLLIGQLGNHDYIVRVTALRLLQRCCTYCFKGGLELFLSKYFHVRDNLYDYLSSRLLTHPVVISEFAEFVLGVKTEELIRRMVPSIIPKLIVSHQNNDQAVVTLNELASHLNRTDSKEIFSAALPTLLDEIICFPGESDQIETDRRMAKISPTIQNIARILTGNDNLPEFLKNDFVRLLNSIDKKMLHSSDVNLQKQALQRIRKLVEMMGPYLSTHAPKIMVLLIFAIDKETLQMDGLDVLHFFIKRLAEVSCTSIKYVMSQVVAAFIPSLERCRERPLVHLGKIVEILEELVVKNSILLKQHIRELPLLPSLPSLSGVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELNKLFNDRREDITSLIIGEDIADLDIISSLIMSLLKGCAEESRTVVGQRLKLVCADCLGALGAVDPAKFKVMSCERFKIECSDDDLIFELIHKHLARAFRAASDTTVQDSAALAIQELLKLSGCQSLPNESSSCKMSKRGQKLWGRFSSYVKEIIAPCLTSRFHLPSVNDATLAGPIYRPTMSFRRWIYYWIRKLTSHATGSRSGIFGACRGIVRHDMPTAIYLLPYLVLNVVCYGTPEARQSITEEILSVLNAAASESSGAIVHGITGGQSEVCIQAVFTLLDNLGQWVDDLKQEIALSQSNYAMAGRQGGKLRDESNSMYDQDQLLVQCSNVAELLAAIPKVTLAKASFRCQAHARALMYFESHVREKSGSSNPAADCSGAFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSTLQDQLIINEKAGNWAEVLTLCEQSLQMEPDSVHRHCDVLNCLLNMCHLQAMIAHVDGLVYRIPQSKKTWCMQGVQAAWRLGRWDLMDEYLAEADKGLVCRSSENNASFDMGLAKIFNAMMKKDQFMVAEKIAQSKQALLVPLAAAGMDSYMRAYPYIVKLHMLRELEDFNSLLGDESFLEKPFAADDPKFLKLTKDWENRLRCTQPSLWAREPLLAFRRMVYNLSHMNAQAGNCWLQYARLCRLAGHYETAHRAILEADASGAPNAHMEKAKYLWNIRKSDSAIAELQQTLLNMPADVLGPTVLSSLSSLSLALPNAPLSVTQASKENPDVSKTLLLYTRWIHYTGQKQSNDIKSLYSRVADLRPKWEKGFFCIAKFYDDLLVDARRRQEDKKIASGVGPVPPSSTGSLTTATEEKPWWDMLPVVLIQYARGLHRGHKNLFQALPRLLTLWFEFGSIYIQDGSSFNKPMKEVHIRLLGIMRGCLKDLPPYQWLTVLSQLISRICHQNTEVVKLVKCIVTSILREYPQQALWMMAAVSKSTVAARRDAAAEILQSAKKGSRRGSDSNALFMQFPSLIDHLIKLCFHPGQPKARAINISTEFSSLKRMMPLGIILPIQQALTVTLPSYDTNMTDQSTFRPFSVSEHPTIAGIADDAEILNSLQKPKKVVFIGSDGIARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRQILQDIYITCGKFDRMKTNPQIKKIYDQLQGKMPEEMLKTKILPMFPPVFHKWFLTTFSEPAAWIRARAAYAHTTAVWSMVGHIVGLGDRHGENILLDSTTGDCIHVDFSCLFDKGLLLEKPEVVPFRFTQNMVDGLGITGYEGVFVKVCEITLSVLRTHKEALMTVLETFIHDPLVEWTKSHKSSGVEVRNPHAQRAISNITERLQGVVVGVNAAPSLPLSVEGQARRLIAEAVSHSNLGKMYVWWMAWF >OGLUM06G29240.1 pep chromosome:ALNU02000000:6:31019568:31028395:1 gene:OGLUM06G29240 transcript:OGLUM06G29240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELAGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRFVIRTLFDFLGHAPNPQPYVLSQLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >OGLUM06G29240.2 pep chromosome:ALNU02000000:6:31019568:31028395:1 gene:OGLUM06G29240 transcript:OGLUM06G29240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGQVSPVPATATAPRKVRREHMRLGVYHDVLQRLRDAGAPEALAPDFAEKLWTHFHRFNASYAMDVNVERAEDVLMHMKLLEKATHPENQPAFSVRIVQVPLDIDASEADSQSNITEDDNCPTPRTPAEHPAPIFGSTTALKALVRQASSKNLLDDNQDIDAILRPMHEITFASDDKPKGLTQLSSLLGNLNLDIKEVHALSTNDGYFLDIFIVIGWDHKETQLLEEALEKEIHNYEPQMPSKSSCWPPELAGKQSLINSQVNHVQIPKDNTDEWEINFDVLDIQEKVASGTYRGTYFGEDVAIKVLKSDRLNENMQEEFNEEVFIMRLLIKVADFGVARVKAESGIMTAETGTYRWMAPEVIEHKPYDSKADVFSFGVVLWELLTGKIPHEFLTPLQAAIGVVQEGLRPVIPKATDPKLALLLESCWQQNAVNRPDFVQILQKLDEIAGEHGIDLTHPHKEKEKGGFFTFGKVH >OGLUM06G29250.1 pep chromosome:ALNU02000000:6:31026109:31028056:-1 gene:OGLUM06G29250 transcript:OGLUM06G29250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARVSLPSVLLTLRHGATTQGFAAARPAAVVAKRLLGSVSVTAQQSVPRCGGAAEALGADMAVPRSVPVRVAHELQQAGHRYLDVRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >OGLUM06G29250.2 pep chromosome:ALNU02000000:6:31026109:31028056:-1 gene:OGLUM06G29250 transcript:OGLUM06G29250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARVSLPSVLLTLRHGATTQGFAAARPAAVVAKRLLGSVRCGGAAEALGADMAVPRSVPVRVAHELQQAGHRYLDVRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >OGLUM06G29250.3 pep chromosome:ALNU02000000:6:31026107:31027943:-1 gene:OGLUM06G29250 transcript:OGLUM06G29250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRSVPVRVAHELQQAGHRYLDVRTEGEFAGGHPVGAVNIPYMYKTGSGLTKNTHFLEKVSTTFGKEDEIIVGCQSGKRSLMAASELCSAGFTAVTDIAGGFSAWKENELPTNK >OGLUM06G29260.1 pep chromosome:ALNU02000000:6:31035782:31037332:-1 gene:OGLUM06G29260 transcript:OGLUM06G29260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMMSSATVRPWLMMMMISIVTLLFQVQQLAGAQLQRQVAAVFVLGDSTLDVGNNNYLPGKDVFRANKPYNGIDYPASKPTGRFSNGYNVADFIAMKLGFKKSPPAYLSLLQGPAAAANLTLAIKALTGGVSFASGGAGVLDSTYAGKCIPLSTQLRSMEATRAAMVSKVGTRAVAAHLARSFFLLGVVNNDMFVFATAQQQQNRSATTADVAAFYTTLITKFSAALTELYEMGARKFGIINVGLVGCVPLVRAQSPTGACSDDLNGLAAGFNDALASLLSDLAARLPGFAYSIADAHAAGQLAFADPAASGYTSVDSACCGSGRLGAEEDCQVGSTLCADRDKWAFWDRVHPSQRATMLSAAAYYDGPAQLTKPINFKQLARTTA >OGLUM06G29270.1 pep chromosome:ALNU02000000:6:31043186:31044890:-1 gene:OGLUM06G29270 transcript:OGLUM06G29270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMKKKSVGLGRLSLMISMVQVLGAVGGGGVHPSKMRLVPAVYVLGDSTLDVGNNNHLPGKDVPRANKPYYGIDFPGSKPTGRFSNGFNAADYVAKNLGFDKSPPAYLVLKARNYLVPAALVMGVNYASAGAGILDSTNTGRSIPLSKQVVYLNSTRAEMVAKAGSGAVSDLLAKSFFLFGVGSNDMFAFAAAQQKLNRSATPSEVEAFYTSLISNYSAAITELYGMGARKFGIINVGPVGCVPSVRVANATGGCNDGMNQLAAGFDAALRGHMSGLAARLPGLAYSIADSYALTQLTFADPGAAGYANADSACCGGGRLGAEGPCQRGAALCGDRDRFVFWDSVHPSQQANKLGAKAYFHGPPQFTSPINFNQLANYNS >OGLUM06G29280.1 pep chromosome:ALNU02000000:6:31059262:31065051:1 gene:OGLUM06G29280 transcript:OGLUM06G29280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDVHFCHRATAVVALLLLHLVVVANAAAHSCDWCTPRHSTVSILPTPTHAAHLTGGACGFGAAPMELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPEQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTQLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >OGLUM06G29280.2 pep chromosome:ALNU02000000:6:31059262:31065368:1 gene:OGLUM06G29280 transcript:OGLUM06G29280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNGDVHFCHRATAVVALLLLHLVVVANAAAHSCDWCTPRHSTVSILPTPTHAAHLTGGACGFGAAPMELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPEQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTQLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >OGLUM06G29280.3 pep chromosome:ALNU02000000:6:31061124:31065051:1 gene:OGLUM06G29280 transcript:OGLUM06G29280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVDAGGACGFGAAPMELNVAAVTADLFRHGHACGACYQLRCRDRRLCGEDGVKVVVADMAKQPEQEGEMNRTAGGSLQFRITEDAFAAMAKQGVSAHELTRQRTLEVDFRRIPCEYRESRRLAVRVEEASRNPTQLAIRFLYQGGQTDIAAVEIAQANATPPSSSYYSSWRYMTRRDGAPGVWTTSRAPAGPLRLRVVVTAGSGGKWLRSDGEVLPADWRPGEVYDTGLRVTDVAVRSCSLSCAIQDMDSDDGEEEELR >OGLUM06G29290.1 pep chromosome:ALNU02000000:6:31060445:31061117:-1 gene:OGLUM06G29290 transcript:OGLUM06G29290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVRGGGEDGDGGVARGAPIAGVGGGVGDDDKVEKKQRHDGGGSVAEMDVAVVVHGSNQILSKRQMRGGDRELEKWMLKAARDLQLADRSADCHEPMPLN >OGLUM06G29300.1 pep chromosome:ALNU02000000:6:31071072:31071923:1 gene:OGLUM06G29300 transcript:OGLUM06G29300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFARSISFPLSPSRSSSKHSSPPATPGYHARSISLPCRSHPILAHLHTHIRAVRSWAHDPTSVASGLAHLDALHAALGELLDLPEAQAALSAANDRLLDAFLRLADAHGSFQETVVALKQDVAEALAAIRRRDGARLASAVRSQRKAGKELARLAAAARDGARPSRLGLGGSAAEVEVTGLLMESAAVTAAASATLFNTMASMSASASAAACSCRKTAALVCLIKKTSASSEEEKETMALVERLEELEECIDELDNGSDKVFRSLVQTRVALLNIHTHIF >OGLUM06G29310.1 pep chromosome:ALNU02000000:6:31075518:31090094:1 gene:OGLUM06G29310 transcript:OGLUM06G29310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFARSISFPLSPARSSSKPRTRTTGHLRSISLPCRSHPLLSNLQATIAAVRSWLFDPATPATGLAHLHALHAALADLLLLPDTRAASSLLDAFLLLADAHGAFQEALLHLRHHAADVQAALRRRDAARLSSAVRSQRQAHKDLARLASSVRGAATKWPAQLPSSATVAEVEVSGVLADAMAAIASASAAVFSAVETMSTMATAAAASTCSSSSSSSSKTPLLISLVRKKNSKSAAAVPDEEKEMAASERMEELEECMAAMESGHDRDRQLTTTTTTIDRIEEMISINDVVYDDVIDGCNMVIMAPSFGRSISFPLSPARSFKPRSAAAACHVRSISLPCRSHPLLSHLQSHIAAVRSWLLQDHGDASASASVSAGLAHIHALHAALADLLLLPDPQDALRRSTAAADRLLDAFLLLADAHQGFHEALLDLTHHVADARAALRRSDAARLASALRSQRRAEKEIARLASTVSAAAAATKYSSRLGLGATAEETEMTAALMDAATASAAASAAVFTAAASMSSAAASSCSCKKTPAFAAFAKKASPETAQVALDRFEELEQCIDESESSCHKDIRNCSRMDANTMSVPPPSPASRTTTMRRPFAAGHVRSASVPCHSHPLLTHVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLLHLPLAASPDRLLHGFLLLADAFGTFLSALLALRQHAAELHAAVRRRDHPKIASAARAQRQLDKDLAHLAAAVARDASRCARATTTVPSCDSHHGAGATELEVARTVAEAINDTAVASASVFMEVASLADAAAAAAAAPATKKRLPPLMHSSSRSKNKQASYEEKREAMALEKLKQLEQCIGELESESEKNNIY >OGLUM06G29320.1 pep chromosome:ALNU02000000:6:31087917:31091184:-1 gene:OGLUM06G29320 transcript:OGLUM06G29320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDAALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVEKAYSRATQIITTHIDILHKLAQLLMEKETVDGEEFMSLFIDGQAELFVA >OGLUM06G29330.1 pep chromosome:ALNU02000000:6:31094211:31094666:-1 gene:OGLUM06G29330 transcript:OGLUM06G29330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGGARSCAAAANRATWRRSWRGGQRPERLFGVVQGSSRRVVAQGVAEREANGGAQPSAAAAGTEHDGAVIAPRGARPGKQRGGARSSGRATASWFDEREGPTTERRALGGDGGRAMARRVKTRPKQHGVRQREGDGELTNRRGMASAAI >OGLUM06G29340.1 pep chromosome:ALNU02000000:6:31118761:31119723:1 gene:OGLUM06G29340 transcript:OGLUM06G29340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALALAVVAMAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSDYCGAGCQSQCSGGCGGGPTPPSSGGGSGVASIISPSLFDQMLLHRNDQACAAKGFYTYEAFVAAANAYPDFATTGDADTCKREVAAFLAQTSHETTGGWPTAPDGPYSWGYCFKEENNGNAPTYCEPKPEWPCAAGKKYYGGRAIQITYNYNYGPAGQAIGSDLLNNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVITGQWTPSADDQAAGRVPGYGEITNIINGGVECGHGADDKVADRIGFYKRYCDMLGVSYGDNLDCYNQRPYPPS >OGLUM06G29350.1 pep chromosome:ALNU02000000:6:31122605:31123654:1 gene:OGLUM06G29350 transcript:OGLUM06G29350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSHRFLTSLQLSSKQANSILLCIATMRALAVVVVATAFAVVAVRGEQCGSQAGGALCPNCLCCSQYGWCGSTSAYCGSGCQSQCSGSCGGGGPTPPSGGGGSGVASIVSRSLFDQMLLHRNDAACPAKNFYTYDAFVAAANAFPSFATTGDAATRKREVAAFLAQTSHETTGGWATAPDGPYSWGYCFKEENNGNVGSDYCVQSSQWPCAAGKKYYGRGPIQISYNYNYGPAGQAIGSNLLSNPDLVASDATVSFKTAFWFWMTPQSPKPSCHAVMTGQWTPNGNDQAAGRVPGYGVVTNIINGGVECGHGADSRVADRIGFYKRYCDMLGVSYGANLDCYNQRPFNS >OGLUM06G29360.1 pep chromosome:ALNU02000000:6:31126464:31127342:-1 gene:OGLUM06G29360 transcript:OGLUM06G29360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQRSRSTAAGGEVEVEQLPGFRFHPTEEELLEFYLKQVVQGKKLKFDIIPTVHLYRHDPRELPGLARIGEREWYFFVPRDRKQATGGGGGGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDPAAIPDTMQLQMQHDDMVLCKVYRKAVSLKELEQRVAMEELARSTTSSGTHNTGSPLQQDSSSISISSSSDAMKKEVVGVDEASAAAHELVRPATLSLPQLEVARPQSGLEWMQEPFLTQLRSPWMETWSPYYASVLNF >OGLUM06G29370.1 pep chromosome:ALNU02000000:6:31128403:31137352:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFD >OGLUM06G29370.2 pep chromosome:ALNU02000000:6:31128403:31137352:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKVKTNFSVPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFD >OGLUM06G29370.3 pep chromosome:ALNU02000000:6:31128403:31136453:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGGVVVTPCSPLLLGENPFQSPVYAMVASFPTSSPSSRHLPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFD >OGLUM06G29370.4 pep chromosome:ALNU02000000:6:31131117:31136453:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPGGVVVTPCSPLLLGENPFQSPVYAMVASFPTSSPSSRHLPATARKNKTMVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >OGLUM06G29370.5 pep chromosome:ALNU02000000:6:31131117:31135863:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKVVPKYINYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >OGLUM06G29370.6 pep chromosome:ALNU02000000:6:31131117:31135863:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVEEVDHLPIYDLDPKLEEFKDHFNYRIKRYLDQKCLIEKHEGGLEEFSKGYLKFGINTVDGATIYREWAPAAQEAQLIGEFNNWNGAKHKMEKDKFGIWSIKISHVNGKPAIPHNSKVKFRFRHGGGAWVDRIPAWIRYATFDASKFGAPYDGVHWDPPACERYVFKHPRPPKPDAPRIYEAHVGMSGEEPEVRTYREFADNVLPRIRANNYNTVQLMAIMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMDEFMFDGFRFDGVTSMLYHHHGINKGFTGNYKEYFSLDTDVDAIVYMMLANHLMHKLLPEATIVAEDVSGMPVLCRPVDEGGVGFDFRLAMAIPDRWIDYLKNKEDRKWSMSEIVQTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTINRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALEEEFSFLSSSKQIVSDMNEKDKVIVFERGDLVFVFNFHPNKTYKGYKVGCDLPGKYRVALDSDALVFGGHGRVGHDVDHFTSPEGMPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEDREELRRGGAVASGKIVTGYIDVEATSGETISGGWKGSEKDDCGKKGMKFVFRSSDEDCK >OGLUM06G29370.7 pep chromosome:ALNU02000000:6:31136594:31137352:-1 gene:OGLUM06G29370 transcript:OGLUM06G29370.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLTSSSSSAPAPLLPSLADRPSPGIAGGGGNVRLSVVSSPRRSWPGKATPVPCRSLGRQPQNPDTPPPTTSPPAAPLPFAAGGSTSFPLSRWRRRVLPG >OGLUM06G29380.1 pep chromosome:ALNU02000000:6:31138811:31141442:-1 gene:OGLUM06G29380 transcript:OGLUM06G29380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAEQLYSVFRSYAPPIWASITAGIFVITSLSLSLFLLFNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKREGSSGSDVPLLDHETGQRYVNHPFPMNYMLKPWPLGEWFYLVIKFGLVQYVIIKTICAILAVILESFGVYCEGEFKWNCGYSYTAVVLNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGVVIALLYNWGLLRGPIAQELQFKSSIQDFIICIEMGVASIAHLYVFPAKPYEMMGDRFIGGVSVLGDYASVDCPLDPDEVKDSERPTKTRLPQPGDRVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHRISQNIKKHEKEKKKTNDDSCINSQQSLSRVISGIDDPLLNGSLSDNSGQKKSRKHRRKSGYGSAESGGESSDQGLGGYEIRGHRWITRE >OGLUM06G29390.1 pep chromosome:ALNU02000000:6:31141614:31144909:-1 gene:OGLUM06G29390 transcript:OGLUM06G29390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSHSSVCRVQFQAVEYYRAFGGRT >OGLUM06G29400.1 pep chromosome:ALNU02000000:6:31146919:31149431:-1 gene:OGLUM06G29400 transcript:OGLUM06G29400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMRSENFNQGVSMEGVKHAPEMANTNRRALRDIKNIIGAPHQHMAVSKRGLLDKPAAKNQAGHRPMTRKFAATLANQPSSAPLAPIGSERQKRTADSAFHGPADMECTKITSDDLPLPMMSEMDEVMGSELKEIEMEDIEEAAPDIDSCDANNSLAVVEYVDEIYSFYRRSEVHYKLELLDETLFLTVNIIDRFLARENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRTDILEMERMIVNTLQFDMSVPTPYCFMRRFLKAAQSDKKLELMSFFIIELSLVEYEMLKFQPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMECSKMMVELHQKAGHGKLTGVHRKYSTFRYGCAAKSEPAVFLLKSVAL >OGLUM06G29410.1 pep chromosome:ALNU02000000:6:31155628:31157602:1 gene:OGLUM06G29410 transcript:OGLUM06G29410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEM8] MLRMGAAVAEAEPSGRQLSDGDLLEELLSTANAARAFHEFRQSQRKECFNLLRWLQLLLPLVQELRESAPALSDDAYRRLALLGRAFQAARRLLRCCHDGSKIYLTLESEAVMGRFRGVYEKMNMALEGMPYAELGVSDEVKEQVELISAQLKKRSKKRTETQDMELAMDLMMILQSKEQDANNADRPILDRLAKRLQLQSLADLRAETMAIKKLINDHQSDSTNQIVDLLHRLKAIAGVDEKNILGDVFIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLGHLSLAPNYALKNLIMQWCDKNKVEIHSGDPPPEPPEDPKVVIPTLVKDLSSPNLDVQRKAVKKIRTLSKENPENRLLVTDNAGIPALIGLLPYPDKKMQENTVTSLLNLSIDEANKLLIARGGAIPLIIDVLRNGSVEGQENSAAALFSLSMVDENKVAIGTLGGIPPLVDLLQNGTVRGKKDASTAIFNLMLNNGNKLRAIEAGILPTLLKLLDDKKAAMVDEALSIFLLLASNPTCRGEVGTEHFVEKLVQIIKEGTPKNKECAVSVLLELGSSNNALMAHALGFDLHDHLADIAKNGTSRAQRKANSLIQLARKCS >OGLUM06G29420.1 pep chromosome:ALNU02000000:6:31184531:31185091:-1 gene:OGLUM06G29420 transcript:OGLUM06G29420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVFVHHLGGGSGGDDPTHPWLSLKSSHEMDDAVASWREKLADMAAADERAGRYPCPLCDRHFPTEKAVHGHMRSHPGRGWRGMEPPREPSPGDLALAADGKRYRYVCDRCKAPFETRQALGGHRASHSTKKGCSWHAKQLAMEMATKPPKNDFDLNDLSLEAIQAAQEEQAAQEGNKDEEPKN >OGLUM06G29430.1 pep chromosome:ALNU02000000:6:31213749:31220201:1 gene:OGLUM06G29430 transcript:OGLUM06G29430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEN0] MDPYKHRPSSGSNSTFWTTNSGAPVWNNNSALTVGERGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPSPKTNMQENWRIADFFSHHPESLHMFSFLFDDVGIPLNYRHMEGFGVNTYTLINKDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLTDSIAAGNYPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQLAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAYHNNHHDGSMNFMHRDEEVNYFPSRFDAARHAEKVPIPPRVLTGCREKCVIDKENNFKQAGERYRSFDPARQDRFLQRWVDALSDPRITHELRGIWISYWSQCDASLGQKLASRLNLKPNM >OGLUM06G29440.1 pep chromosome:ALNU02000000:6:31218339:31221190:-1 gene:OGLUM06G29440 transcript:OGLUM06G29440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEN1] MFPLRRRPGFLAVVLLLLLFLSFQLLIHVPSVGSALSLCLFSDHHTERKGPGSCHGCRNGMDDADKTIAYTDQDGRIKLFKVTTTEFLSSSIWKNPLLPKDTQPLAQTQEIAKEQLPDTGSEISNISTTGTLETRRNDPIKLKREVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKFDLSALERAKAIGHALSSARDVLYNSGEVSRRLRVMLQSTELNIHSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKFDKILFLDDDVVVQEDLTPLWDVDLKGMVNGAFETCKESFHRFNTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGICHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >OGLUM06G29440.2 pep chromosome:ALNU02000000:6:31218339:31221190:-1 gene:OGLUM06G29440 transcript:OGLUM06G29440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEN1] MFPLRRRPGFLAVVLLLLLFLSFQGMDDADKTIAYTDQDGRIKLFKVTTTEFLSSSIWKNPLLPKDTQPLAQTVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKFDLSALERAKAIGHALSSARDVLYNSGEVSRRLRVMLQSTELNIHSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKFDKILFLDDDVVVQEDLTPLWDVDLKGMVNGAFETCKESFHRFNTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGICHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >OGLUM06G29440.3 pep chromosome:ALNU02000000:6:31218339:31221190:-1 gene:OGLUM06G29440 transcript:OGLUM06G29440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEN1] MFPLRRRPGFLAVVLLLLLFLSFQGMDDADKTIAYTDQDGRIKLFKVTTTEFLSSSIWKNPLLPKDTQPLAQTVFRRKRKEDRTQELLQVDREAELNMRNVATDRSRNFSNKVRASYNIWRPGFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDLYVLLMTCIKQSQEGIGDAHMDYKFDLSALERAKAIGHALSSARDVLYNSGEVSRRLRVMLQSTELNIHSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYHFRDGVVKEYFRDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVTHAKEPEKHVFHIVTDRLNFAAMTMWFISNPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKFDKILFLDDDVVVQEDLTPLWDVDLKGMVNGAFETCKESFHRFNTYLNFSHPKISENFDPHACGWAFGMNMFDLKEWKKQNITGICHYWQDLNEDRKLWKLDTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDLVEIENAAVVHYNGNYKPWLDLAISKYKPYWSKYVDLDNSHIQRCYMSEQ >OGLUM06G29450.1 pep chromosome:ALNU02000000:6:31223116:31226165:-1 gene:OGLUM06G29450 transcript:OGLUM06G29450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCASAIDSFFFTKRANNENDDDDAAPGMSASKRTTSSTTTGKLSTLSNSTFIPSTISGVSTDDAYPDGQILESPNLRIFTFAELKNATKNFRTDTVLGEGGFGKVYKGWVDERTMNPSKSSTGVVVAVKKLNPESVQGTEQWESEVNFLGRISHPNLVKLLGYCKDNDELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSNFNAKLSDFGLAKHGPDGGLSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLSGLRALDPSRPSGKLNLVDWAKPLLADRRKLSQLMDSRLEGQYHSRGALQAAQLTLKCLSGDPKSRPSMKEVVEALEKIELIKSKSREPRNSSSLVRGQGNSPRSDSARTSSKGR >OGLUM06G29460.1 pep chromosome:ALNU02000000:6:31242451:31255468:1 gene:OGLUM06G29460 transcript:OGLUM06G29460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYIVVIFKDGGSIYLPIQQAVGGLLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVENDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGSFVEVMAPVFSRDAWACVWHMIPASNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >OGLUM06G29460.2 pep chromosome:ALNU02000000:6:31242451:31255468:1 gene:OGLUM06G29460 transcript:OGLUM06G29460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMLYIVVIFKDGGSIYLPIQQAVGGLLEYCAFLIPCGTNTNSSSSASNINILNKYMSLWAAPFSVRNSTTANFSSNATISGPKEKSKIEAEAVQVSRKKAAEERLPPGIVVRESDLHLRRLWGNPTSDVASGKQYLLTMSVGYTEKANVNATIHKLSDKFDIVLFHYDGRTSEWEEFEWSKKVVHVSARKQAKWWFAKRFLHPSIVAAYEYVFVWDEDLGVDNFTAEEYISIVRKHALDISQPGLDGTKGRRQYPVTVRRPSGDMHNSGRFVENDLVHGWGLDFNFWRCVHEPEKHIGVVDAQFVVHRGVPTLVSQGNGEQDGSSAKLSKSRSKMKAASSIFTALSAAVFGFFIGISFPVEITPKLQYCAFLPCDGTNTNSSSSSDSNNNMLNFWAPSVRNSTSAPSNATISGNGTTTAAAAVAKKPQGAERLPPGIVVRDSDLHLHRLWGHPTSDVASGKQYLVTLTVGYTEKDNINATVHKLSDKFDIVLFHYDGRTTEWEEFEWSKKVVHVSAKKQTKWWFAKRFMHPSIVAPYEYIFLWDEDLGVDNFSAEEYISIARKHGLGISQPGLDATKGKRSRYTATARRPAGDMHTSGSFVEVMAPVFSRDAWACNDLVHGWGLDHNFWRCVDEPEEHIGVVDAQFVVHRGVPTLISQGNGEQEGSSAKVRSRQFDEMRTFYRRIADAEKAQADATAAAADHHR >OGLUM06G29460.3 pep chromosome:ALNU02000000:6:31255000:31263116:1 gene:OGLUM06G29460 transcript:OGLUM06G29460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLTRFIYKEAVRMRANPIVTALSAAVFGFFIGISFPVQITPQLQCGLLPCSSGDGANYSFSGSSMIGILWSPFRNTTILSNGTSENPALTKPKGAEKLPPGLVVTESDLHMRRLWGSPREDVATGKYLLALAVGYSEKANVNATVLKFSDKFDVVLFHYDGRTTEWDDLEWSKQAVHVSAKKQTKWWFAKRFLHPSIVAPYEYIFLWDEDLGVDNFTAEEYVKVAKKNGLEISQPGLDSTRGKKTYEVTVRRNDGREMHKFVEVMAPVFSREAWTCNDLVHGWGLDFNFWRCVDNPEEQIGIVDAQYVSHHGVPTLIAQGNGEQQGSSEKVRARQWAEMRTFHDRISNAEKKLGNSSQALEEYHP >OGLUM06G29470.1 pep chromosome:ALNU02000000:6:31264996:31266594:1 gene:OGLUM06G29470 transcript:OGLUM06G29470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF23) [Source:Projected from Arabidopsis thaliana (AT4G37420) TAIR;Acc:AT4G37420] MQARRRHARQCRLVVAGLIIVTTLLFFTGDAPRVFIDAPTQNQLPRRLPLSLAAVREAATWPADAVLLPDREVLLLLHPNATAIAHNATCAFQGGASSPARALGRLPSSGRHAYTCAMPEPARRHQPFHAPRIVAMDAVHASPHDDDELVMMVKWSGRLVYDSVVVDGGDVLVFAKGVNPRQGVNRPASDVRCVYYRGRGGSADDVVASLPAATSAQQVFRCPPPPPAALLRVTLALAGEEEPIPSVATYSLPPASAAATHKRRHKICACTMVRDVGKFVREWVAYHAAVGVGRFILYDNGSEDDLDEQVRRLTAEGMDVTTLAWPWPKTQEAGFSHSAAVHRDACEWMAFIDVDEFIFSPNWATAASPSSSMLRSIVAVKPDVGQVSLGCVDFGPSGRTTHPPEGVTQGYTCRRRAVERHKSLLRLEAAERSLVNSVHHFELREGKRGEWNRRARVNHYKFQAWDEFRLKFRRRVSAYVADWTHRVNLQSKDRTPGLGFDPVQPAGWAAKFCEVNDTLLRDVTRRWFAAAG >OGLUM06G29480.1 pep chromosome:ALNU02000000:6:31270669:31273117:1 gene:OGLUM06G29480 transcript:OGLUM06G29480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTSSSSYPLSSQPRFLLSSPLLFSSLVVWVCPCLPSPSSSPFPSSLPPSHKRESARLSPGEDSAMKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMGFSFDLVSLREEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >OGLUM06G29480.2 pep chromosome:ALNU02000000:6:31270777:31273117:1 gene:OGLUM06G29480 transcript:OGLUM06G29480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKGAAKPDAKLAVKSKGAEKPAAKGRKGKAGKDPNKPKRAPSAFFVFMGFSFDLVSLREEFRKEFKEKNPKNKSVAAVGKAAGDRWKSLTEADKAPYVAKANKLKAEYNKAIAAYNKGESTAKKAPAKEEEEDDEEESDKSKSEVNDEDDDEGSEEDEDDDE >OGLUM06G29490.1 pep chromosome:ALNU02000000:6:31275517:31281526:1 gene:OGLUM06G29490 transcript:OGLUM06G29490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEETNPHKDDMSQGKFRLIHTWKKWKKVSHTLFLLKSLIFLRASILPAFCAITSEWLMETLLVVAVGPEAPRNSLDSPLYDHTTITMTSTMRQKPPKGSTPQDRTTAKNVIHKDIFPSQPSVIARLMGIDTIPVSAKRDEVMIHAEEASNLKLPSKLEMITVTSPRSATFRQSKCSLISYGSSSVDYTYRYCLKKMRPRRSRSRQHHPQELLEKIREDFQAWQTSKALENARTVVTASGCPTITSSRHRMEEGRYIQILAQENLHKEKMAKYGYGSCTISMAEKDTLKNATDNSSDTEITSAKAAAESNISPGDKVIKVLRVSHCATMPDKFRDLEDEHNNSISTSAKPRSQKRIVLLKPSTCDIVASDQESLFSSSKVKREGNMEEFLEEVKERLKKELKLKSKSEVVRRSWGTTDPKQIARDIAKQIRETVRRQDLGKRLYSRSESFRAFRSDRKRNAAATAARNASPEHVSPKSVTSRTSGTNQGSNDCSPPIITRSRGRIRSLTDMPLSVSVSESVPASGFDDQSYTGECKFADADVVSPRALVRSFSAPASGISRGRLFAEEDNNVDSGRHGNSDAVSEGAAVAASKNSSSFSLRGTVSNLRNSLRSRANKLFGKKTHWSMKPSLGEFHPHKMAIGMLPPSPPEILSPFIVAQASTVLHLSKSKLYFRPDLERIRITFFCTMDSLPLLHLFQENFTELPPSPVSPLEVKGSSSRHFFSDLNCNLPELSPKSWSEFDTTPRASNESSSCKNRTNATETEESYTEMAYIKQVLIAAGLYEDGSSYSSPSMMNNARVDSMARRPICDYVFDEVEETYNTEEDAADHRMLFDLANEALEITMMGSTKTGSSLWRWVVDSTGVSLGRKLLDDVWQQVQSVRNPPVQQEMQTVESMVAREAWTSPWIEVLHEDSYVLGRKLERAIFDQLIADIVQELFISQNAAD >OGLUM06G29500.1 pep chromosome:ALNU02000000:6:31277824:31282948:-1 gene:OGLUM06G29500 transcript:OGLUM06G29500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWMSAARRTRVWRADATHGSGGAEADDAQRVDAEASPSLVRPTQAGEPRSAQNAGRMEALKKIKLFKRKRGEEYVVLIAEETRQNGMDEEEEEEYSQARSDGKEMKEPSIS >OGLUM06G29500.2 pep chromosome:ALNU02000000:6:31282053:31282948:-1 gene:OGLUM06G29500 transcript:OGLUM06G29500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRWMSAARRTRVWRADATHGSGGAEADDAQRVDAEASPSLVRPTQAGEPRSERGRKL >OGLUM06G29510.1 pep chromosome:ALNU02000000:6:31309067:31310125:1 gene:OGLUM06G29510 transcript:OGLUM06G29510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPCADAPPPALLTADLAARLRHMMASSSSPDDIVQAARSAASTAAQAAAAARATAAEKAAVAAKARAAARAAMEFLDSISRPASSRNGLQLKLKSRKKHVQVKLLYKPNGRLEEGRGGPPTTGDASSKPRRRGRETDEEVARKLHRAMNSSPRISFTGPKRPRTIAAENGGVLDACNGSSPHPPTFEVSTITNGCSLGQSSELPVPFSEHEGLDDDNKDSSGHDTTKSRAIVGNGVGAGNLSAGRKVKIKRKELLLNQHNSKDTQEAKEIKPSIDSIRYDESKQNGAEKRLNQLVDAKDPGDGLAPMKISSVWRFKKFKTSHCSSDSKVLHNVCPSTSAAETSASVKAD >OGLUM06G29520.1 pep chromosome:ALNU02000000:6:31314011:31322235:1 gene:OGLUM06G29520 transcript:OGLUM06G29520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF hand family protein [Source:Projected from Arabidopsis thaliana (AT1G21630) TAIR;Acc:AT1G21630] MEAAFDAYFRAADLDRDGRISGQEAVAFFKASALPQPVLAQIWTYADKNRTGFLGREDFYNALRLVTVAQSGRELTPDIVRSALYGPAAAKIPAPRINVSTPLPNATSVTSPLQPTQAPRPAQQSPAIQGSQGPLSTSLNPQVLQPGNVVRPPQASIANTPAQAIAPRAPAGGVPNHTVPATTGLSTDWFNGKKSASPLGVTSQTPTRGVSPQVNLATAGIPTQSSTPIAGYGSHTPASTTSVKANSADLNLLSSPPAANDSKALVPLGNGLSSASTFGVDPFAATPQAKQDSSSPPVVSNSLPSANALGPSAGPHHPPKPLQTGPMQGVASLPSQPAPKQNQFNSMPSASAPMGSFPGGQIPSNTNQSQAPWPKITQADVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRELLRKVWDLSDQDKDGMLSFREFCTAVYLMERHREQRPLPDVLPDGIWAEGISLPSTGQFAENPTGPAPHPSAGFTSRAMPGQHHGMPPSSMKPPPRRPLSLDADDAVRTEKQKPKIPVLEEHLTGQLSKEEQSALDAKFKEASDADKKVQELEKEILDSREKTEFYRTKMQELILYKSRCDNRFNEVSERMSADKREVQSLAAKYDERCKKVGDVASKLSMDEATFREIQEKKLEIYNAIVKLQKGDGNDEKLQERANQIQSDLEELVKSLNEQCKIYGLRAKPTTLVELPFGWQPGIQETAAVWDEEWDKFGDDGFSTIKELTVEMEPPVVQKDQPTVEDSKVSTNGPSAPTSTEKEDSRGDKSAAASEQTVEPDATPSDSKTVAAKSPPVSPVKNTKDGHSDERDTKQSGTNDTSSRAVESVSNNGGADSPVHGEKRDDSHYWGPSFDNGDDNDSLWNFNRKDGENGDSDLFFGPQGLPPIRTGGSSTAGSVYGKEQKPFFDSVPGTPVEKPFFDSVPGTPLQKSVFDYSVPSTPMQKSVFDYSVPSTPLQKSLFDSVPSTPMQKSVFDSVPSTPMQNSLFDSFPSTPMQRSLFDSGPSRAESPTASSIYGKEQRGFFDSSVPSTPMYNSSFSPRYSEAGDDSSFDTFSQMDSFGMNDSNSFGQRDSFSRFDSFRSNADQGSNDTFGRFDSFRSNADQGGGNSFTRYDSMNSSSDHDRTGAFARFDSMKSTDYNSRGYSFDEDDPFGTGPFKSSDTSSPTKHGTDRWSAF >OGLUM06G29530.1 pep chromosome:ALNU02000000:6:31326763:31329196:-1 gene:OGLUM06G29530 transcript:OGLUM06G29530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLEEKDSSDLAINKGPSLDLVKSPLMMNDASATVTAMQPEGMEEFPVKVRKPYTITKQREKWTEEEHDKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGSNNAIEIPPPRPKRKPLHPYPRKCANSGSDANPATAQLKLAPGSSSSGSDQENGSPISVLSAMQSDAFGSSVSNPSTRCTSPASSDDGNNIPTFTSGEDNNVPCEPTVIYPSQSHKEIDQDRKDVNNMSEEDSSEEEVQETSLKLFGRTVVIPDPRKRSSSDPKHESEEQISQPSYEEMLQASSSVGEIPAAYCAPNGWFMSYNSFPFQFGESAADARIPPLHVWWPYYGFAPISHPRGLSTVMQQTEGSDESDGGKSHSSESSSDSGENVQMTAPQSSRIVESLGAIYVRDSGSSFELKPSANSAFVRVKPSNSGDEEVIRGFVPYKRCKFQ >OGLUM06G29540.1 pep chromosome:ALNU02000000:6:31333974:31348947:-1 gene:OGLUM06G29540 transcript:OGLUM06G29540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRCLQFYVLMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDNGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTFLEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OGLUM06G29540.2 pep chromosome:ALNU02000000:6:31333976:31348703:-1 gene:OGLUM06G29540 transcript:OGLUM06G29540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRCLQFYVLMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDNGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTFLEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OGLUM06G29540.3 pep chromosome:ALNU02000000:6:31333976:31348703:-1 gene:OGLUM06G29540 transcript:OGLUM06G29540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRCLQFYVLMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDNGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTFLEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OGLUM06G29540.4 pep chromosome:ALNU02000000:6:31333974:31348947:-1 gene:OGLUM06G29540 transcript:OGLUM06G29540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSCQLSSRKFSSMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDNGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTFLEILLALRFFVYQYGLVYHLNITKHTRSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OGLUM06G29540.5 pep chromosome:ALNU02000000:6:31333976:31348703:-1 gene:OGLUM06G29540 transcript:OGLUM06G29540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGARRPDFSSAASPSPSPAGAAGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLKAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTYQPIMQYPEIQAAVNALRNIRGLPWPKEHEKKPDEKKTGKDLLDWLQAMFGFQKDNVSNQREHLILLLANVHIRQSPKTEQQAKLDDRALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRCLQFYVLMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPEDAYPSRLNGAMIIIAWNGGTPSDIFDVGVFKQVLSIFITAAVLKLGQAILDIVFGWKARRSMSFAVKLRYVLKLISSSAWVVILPVTYAYTWDSPTGLARIIKSWLGNGQNQPSLYILAVVIYLAPNMLAAMLFLFPFLRRFLESSNVKVITFIMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTIKPLVQPTKDIMKEPIRDFQWHEFFPRANNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPEAFNEHLIPSDSHKSKGLRAAFTGKPSKTSGDEQEKEKIAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLKKRMRSDPYFSYAIRECYGSFKNIINTLVFGQREKIVIQQIFTIVDEHIEGGSLIKDLNMRSLPALSKKFIELLELLQKNKEEDLGQVVILFQDMLEVVTRDIMDEQDQLGGLLDSVHGGNRKHEGMTSLDQQDQLFTKAIRFPVEESNAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRHMLPFSVLTPYYKEDVLFSSHNLEEPNEDGVSILFYLQKIYPDEWKNFLDRVDRKSEEELREDETLEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAKDNGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEACAHDILRLMTVYPSLRVAYIDEVEAPSQDRNKKTDKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQEHYMEEALKMRNLLDEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDQALATGKKFVHNAPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGRTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVYEIFGQSYRGAITYIFITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVAPTKSWESWWEKEQEPLRYSGKRGTFLEILLALRFFVYQYGLVYHLNITKHTRSVLTVSVGRRRFSAEFQLVFRLIKGLIFITFVAIVVILIAIPHMTVLDIFVCILAFMPTGWGLLLIAQAIKPAVQAIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRSTRNKE >OGLUM06G29550.1 pep chromosome:ALNU02000000:6:31351876:31352700:1 gene:OGLUM06G29550 transcript:OGLUM06G29550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMHGTVYANYAVDNTDLLLAFGVHFDDRTNRQNRGIREEGKLTTAGGGDGGERRRRPLLPAHSLY >OGLUM06G29560.1 pep chromosome:ALNU02000000:6:31353387:31357006:1 gene:OGLUM06G29560 transcript:OGLUM06G29560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPIIPCRSVMSRPQRAPPHGLHMVRKLGDGIRKCSIKHVVGASGEEIIKPLLPPVAFEQCCLLEDDVVHLLLRGDDGLSSRGDGEAGVHRRGPGNLARGRVDAKAGVGGADMLGVPGEGVGEARKRR >OGLUM06G29560.2 pep chromosome:ALNU02000000:6:31353387:31357574:1 gene:OGLUM06G29560 transcript:OGLUM06G29560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPIIPCRSVMSRPQRAPPHGLHMVRWRLSNAACLRTTSYTFCSEEMTASPAGVTVRLEYTGEVRAISPGAGSTPRQAYLSEQRIHLLFFSLLLLLGR >OGLUM06G29570.1 pep chromosome:ALNU02000000:6:31354021:31357030:-1 gene:OGLUM06G29570 transcript:OGLUM06G29570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITLLRSASLPGLSDALARDAQHVCSSYLPNNNNKEKKRRWILCSLKYACLGVDPAPGEIARTSPVYSSLTVTPAGEAVISSEQKVYDVVLKQAALLKRHLRPQPHTIPIIPKDLDLPRNGLKQAYHRCGEICEEYAKTFYLGTMLMTEDRRRAIWAIYVWCRRTDELVDGPNASHITPSALDRWEKRLDDLFTGRPYDMLDAALSDTISKFPIDIQPFRDMIEGMRSDLRKTRYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRIYLPQDELAEAGLSDEDIFNGVVTNKWRSFMKRQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKAKKLLALPVAYGRSLLMPYSLRNSQK >OGLUM06G29580.1 pep chromosome:ALNU02000000:6:31366514:31375069:1 gene:OGLUM06G29580 transcript:OGLUM06G29580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRPRLPGFGEDCEPRGGGRGGGGGGGRGRGSYYPQAQQYHPQGHGGRGGAGYYHGAAPQPRGAMVVQQWRPATAAAEHLGHQQPYNSSVRPQHYYGPSAIAPELRQAMDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDLSVQDQLSESQEEIVQHVPVSTKSFKFPHRPGSGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >OGLUM06G29580.2 pep chromosome:ALNU02000000:6:31368690:31375069:1 gene:OGLUM06G29580 transcript:OGLUM06G29580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPHEPPANVSSPEAASPEASSPRSLALEVTEQLQDFGSIGTRCLVKANHFFAQLPDKDLHQYDVSITPELTSRIRSRAVMEELVRLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFRISLLEEDDGSGSERRQKTYNVVIKFAARADLHRLEQFLAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGLETWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVIQLLNTDIRSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQRPHDRERDIIQMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKDFALEPVLPSMYARPDQVERALKARFHDAMNILGPQHKELDLLIGLLPDNNGSLYGDLKRICEIDLGLVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIDDLYNITHDPHRGPICGGMVRELLISFKRSTGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEANYQPQVTFIVVQKRHHTRLFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSDSGSMASGRGGGSSTSRSTRAAGGGAVRPLPALKDSVKNVMFYC >OGLUM06G29580.3 pep chromosome:ALNU02000000:6:31365756:31368636:1 gene:OGLUM06G29580 transcript:OGLUM06G29580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDTQTSTKYITLPLFFIFRPASWSTEWDQGDQDCLGLVRTVSLVVVGEEEEEEVAVAVAATTHRHSNTTHKDMVAVEEQATTMVLLLNLAAQWWCSNGVLLLLLLSIWAINSPTTAV >OGLUM06G29590.1 pep chromosome:ALNU02000000:6:31379328:31381105:1 gene:OGLUM06G29590 transcript:OGLUM06G29590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCMCVPSSESDPSMASSGSKSKTNNGFFVPSPATAMAPCFLLLLIFFFLLVDASAAAASSSSHPQLGKQMQVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMLNPHNGKLKCP >OGLUM06G29590.2 pep chromosome:ALNU02000000:6:31379866:31381105:1 gene:OGLUM06G29590 transcript:OGLUM06G29590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSKSKTNNGFFVPSPATAMAPCFLLLLIFFFLLVDASAAAASSSSHPQLGKQMQVKRARSLLQAPKIDCQGTCSGRCANNWKKEMCNKMCNVCCNRCNCVPPGSGQDTRHLCPCYDTMLNPHNGKLKCP >OGLUM06G29600.1 pep chromosome:ALNU02000000:6:31381332:31386974:-1 gene:OGLUM06G29600 transcript:OGLUM06G29600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATIFIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQPIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDGKPPRKSPKKKGNNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKMNQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSVLYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHSSSTENKAISTNSNSRNLCADPSPAEMEERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHPDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLHETTSSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGLESYNDKGEWWFEVGRCSGEEDAAESREEEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >OGLUM06G29600.2 pep chromosome:ALNU02000000:6:31381332:31386974:-1 gene:OGLUM06G29600 transcript:OGLUM06G29600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYALEVTDRRPQSVPHTRCSTLVDHNTNISFSKGHLIHKPENRNITFATIFIGHNSDQKLVPFLPNILQDINKRSCFASGAAGTEIIQFPQPIILYWLDCPNEKNMHDQVDHSKPFSSGSPPPGSTLRQRQHRRKIKKSLTALPPSNVLVNNSSRSVTAPVRSDSDILHDDGKPPRKSPKKKGNNKKGKHYRRAVCKGLNLPSETHCEENIDAASPVEVLTDLLADKLSETSSSASSLVKEAHFGEENGGDSGCTGSSNRTVGEIFSCKDVHYLNDESNNYERSLCARVSNSNDATAYSLFKKLERDNSVNCSVNDEVRDSCHPIGAHLSITHAEDSNDSFGCSSCCSKNVADSSNHTERVKCSSEACSSKTSLPVIPGRSRTRLKEISSYSLTATNGVIGTNKNKHSGKYSSTSVWQKVEKLNVENTSRAGCMVDSAIQNKDVLENINKVAQHNLTRSMKMNQRRKACNQHSPDERIEMEHAKENDALNSCQAFSRYKYKKQTSVLYQQTSLSSDQGTSQSSGNYHAPKNGIVMVPKNHLQQKDGLPMMQLVYDKDSSVDTGVGSNGSADGDGSSQSGFEKAALASCNMGSYLVPQDTCEECTSTMQADPHSSSTENKAISTNSNSRNLCADPSPAEMEERCYVKLTMENTPQECPKLYSATGKQCKLYSAAAHVSQKWVAVGKKNILHFDGSETSAVDSSVLTNCIPISANIGVETNVSSVLASANNEVNKLAAEISDKPNSSGHLDLRCQPHPDTGTDFNKMREAVCDAYRAQQRVEDVQVIIGRPLADFEQFISSASPVLYCSTCPADRNFCSPEWVRDGLCFHQSTDITLSRIWQWYEEPCCYGLEVKAQDFRRSKGLWNSPHQFTTYFVPYLSAVQLFGQAKRASTGRVDKEAAGMDVTSKTSPCPSSLPILTKLLPQQLHETTSSSDLYTKGDQQFGNGELIFEFFESEQPFWRRQLFDKVKELISGVKPSSCQISGDPKNLELSLCDLHPASWYCVAWYPIYRIPDGKFQAAFLTYHSLGHWIVQGASEQADDSRVVLPVTGLESYNDKGEWWFEVGRCSGEEDAAESREEEESVWREASEVVKERVRTLKEAATVMSRAKVVMSNRSNRHPDHDFFLSRQLYQEPRKNH >OGLUM06G29610.1 pep chromosome:ALNU02000000:6:31387893:31390721:-1 gene:OGLUM06G29610 transcript:OGLUM06G29610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >OGLUM06G29610.2 pep chromosome:ALNU02000000:6:31387893:31390721:-1 gene:OGLUM06G29610 transcript:OGLUM06G29610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II stability/assembly factor, chloroplast (HCF136) [Source:Projected from Arabidopsis thaliana (AT5G23120) TAIR;Acc:AT5G23120] MATTASLHLHLHLLLSSSRRRCRLLVPRAHTDSISTGRRRFIADTATASAAAAVGPLVLPRTPLARADQPPSLSEWERVLLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKNGGNTWFPRSIPSAEDEDFNYRFNSVSFMGKEGWIIGKPAILLHTSDAGDSWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGSGVRTLFSSSLNDAHAISYLHPPNQITEDFEEASVQSRGFGILDVGYRSKDEAWAAGGSGVLLKTTNGGKTWVRDKAADNIAANLYSVKFLGDNKGYVLGNDGVLLRYVG >OGLUM06G29620.1 pep chromosome:ALNU02000000:6:31392884:31395008:1 gene:OGLUM06G29620 transcript:OGLUM06G29620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isopentenyltransferase 9 [Source:Projected from Arabidopsis thaliana (AT5G20040) TAIR;Acc:AT5G20040] MRRMMMLLLPTAAVSGGELPPSNKVVFILGGQSNMAGRAGVISQCGMQYGCRRPAVWKRSWSPAAAAATKNKVIVISGPTGAGKTRLALDLAKRLSGEIISADSVQVYRGLDVGSAKPSSSDRAAVPHHLIDILHASDDYSAGDFFHDARAATDHLLARARVPIVAGGTGLYLRWYIYGKPSVPQSSMDVTSAVWSELSRFRDTGRWEEAVDLVANAGDPKARDLSVNNWSRLRRSLEIIRSSGSPPSAFSLPYNAYNLNHHRRLSLTNQADQPTELELDYDFLCIFLACPRVELYRSIDLRCEEMLADTGGLLSEASWLLDIGLSPGMNSATCAIGYRQAMEYLLQCRHNGGSSSPQEFLEFLTKFQTASRNFSKRQMTWFRNEKIYQWVDASQPFDAIAQFICDAYHDRAARLVPDSLEMKRESCRHESRDLKTYRSENRLFRGDDDCCHVLDWITRTQRK >OGLUM06G29630.1 pep chromosome:ALNU02000000:6:31398572:31400449:1 gene:OGLUM06G29630 transcript:OGLUM06G29630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHPLSDDFDASPVLSPPPPSSCCPPAHDDHYLEHQVSRMDTLPGLAIKYGISDIKRANSLMTDSQMFAHKILLIPLPGRPMPSSVRLNGSGQKMKRAWAPNNQQNRDVTDSLDSSKYNSSKQQMSLAMSTLQSYYGLTPQNGAMTDAGTEMSLYSKDSLERINSETLVTSSRLPDTHNTDRSRNSEDTSNGFSATNGASGAKINGTAKAKQDGSIRRRQKVEADQVSNTTDTQDDVFTDPIKMTKSLLPRPISSIRQNMDTSNPESSLKSNGSFLSGFRSVRKSPSTPNFADAENGISMWSSSAWTFNHESFTRPLLDGLPKPTAPRRTKAALD >OGLUM06G29640.1 pep chromosome:ALNU02000000:6:31401837:31404033:1 gene:OGLUM06G29640 transcript:OGLUM06G29640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AER7] MAGRQQLVVVGMVVVMVMMQWTGGAAARHHHHHHHKKSYEEVFDRQEADRVQRLPGQPAELGFRQFAGYVTVNETHGRALFYWFFEAASDVATKPLVLWLNGGPGCSSLGYGALEELGPLLVNNNDTLTINPESWNKEANLLFVESPAGGHDLYIAGESYAGHYVPQLATKILHFNKKKKEHDDDDRIINLKGIMIGNAAIDSSSDDRGLVEYAWDHAVISDEIYAAIKGNCTFPDDGNETDKCNTAWNGFFTAMGDIDIYSLYTPSCTAALNGTTTITNGTRSRFADKVLRLRRGLPYNTYNPCVDYRVIDYLNRGDVQAALHANVSGGIPYSWAPCSDALTNWTDAPPSTLPDIAALVRAGLRVWVFSGDTDDRVPVTSTRYALRKLKLKTVRPWKQWFTSDQVGGYTVLYDGLTFVTIRGAGHMVPMITPVQARQLFAHFLAGDDMPANPILAPTPP >OGLUM06G29650.1 pep chromosome:ALNU02000000:6:31402089:31402702:-1 gene:OGLUM06G29650 transcript:OGLUM06G29650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGGVGVGEADAGGGLHEQQGAELLEGAIAEGGATRASVEPEDEGLGGHVGGGLEEPVEEGAAVRLVHRHVPGELPEPKLRRLPRQTLHPVRLLPIEHLLVRLLMMMMMMMPRRRTPRPLHHHHHHHHAHHHQLLPPCHAY >OGLUM06G29660.1 pep chromosome:ALNU02000000:6:31415616:31419296:1 gene:OGLUM06G29660 transcript:OGLUM06G29660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKKPAGDAEADERRRLRSLAVSNGLLQRGEPAAPRSALAPSTAVSRLQGRDIVRRGGQRKSRFLFSFPGLLAPAAAASGGRVGELADLGTKNPLLYLDFPQDEAVGDACVPQEQVSDTADDVFESLIVFSEAWWIGTKEEENPQELKLDFPKEFQNDEAVADSDFKGGAGASCDEAVSINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSISQAPSVRQSARTAGKALKYTEISSGDDSSDNDNEIDVPEDMDEKMKSPAVKNEFQSEDIKPADSSAQPISAKKEPLVQATLSSIDVQEARKDLQQQKDLLLRSSEQVQRKNIQQGRRVVEDDEIEVLSSSSQDNNVDDDSDEDWAE >OGLUM06G29670.1 pep chromosome:ALNU02000000:6:31424504:31428196:1 gene:OGLUM06G29670 transcript:OGLUM06G29670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19970) TAIR;Acc:AT3G19970] MMASLHRPLSAMAVAAFAAVSSLELPDRLSHHKLPDTTVDAEAVVSIPASRPDVSAAPSASAMSRLHFLPRNLQTSHPAKAPPASLPVIHTVYHYAKFAKYYSEEEAVTTAMPSSSSPDVLYRWHLPDPKVCGDSHGKSQTVVVLLGWLGSRQKHLKRYADWYTSRGYHAVTFTLPMSDIVSYNVGGKAEKNVEMLSEHLADWVSEEDGKKIVFHTFSNTGWLCYGVILENLQRQDPSAMDKIKGCVVDSAPVAVPDSQVWASGFSAAIMKKHSIAAKGVKPNDARPDVLVVESNKDHPKPAVSEAILLSALEKLFDVVLNYPAINRRLSGVMELLSSKQPKCPQLYIYSSADRVIPAKSVESFVESQRRAGHEVRACDFVSSPHVDHYRSNPELYTSQLTEFMEDCVLARCQAKEEEEEVTN >OGLUM06G29680.1 pep chromosome:ALNU02000000:6:31430268:31433982:1 gene:OGLUM06G29680 transcript:OGLUM06G29680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0AES2] MRDVSTPADRDHSSSGSASTSAQAKKPASTNTEEPSSPTRGGQQQDSQRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >OGLUM06G29680.2 pep chromosome:ALNU02000000:6:31430178:31433982:1 gene:OGLUM06G29680 transcript:OGLUM06G29680.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0AES2] MKVLGRNVAFPCLFLPSSRGADDSSPNNAAATATAAAAAGGQVLARPSVSISPQPLLFQLLLALCPRKEKPKPPTTAAASDASGRKEAARIDGRRQRASSVTTALRIGGVDPGSATEGRRGATGMRDVSTPADRDHSSSGSASTSAQAKKPASTNTEEPSSPTRGGQQQDSQRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >OGLUM06G29680.3 pep chromosome:ALNU02000000:6:31430468:31433982:1 gene:OGLUM06G29680 transcript:OGLUM06G29680.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0AES2] MRDVSTPADRDHSSSGSASTSAQAKKPASTNTEEPSSPTRGGQQQDSQRLRTLSDPELDLLITLKDLAMVCTENASLAVLGHDYDLPTLRALGIVLLETLKERLKETSIDPSVFDRLALLSDSDAYFPSIASDSESEGVRSKTPMGANGKRKQTQAGWLSEEGRKKRTPASQR >OGLUM06G29690.1 pep chromosome:ALNU02000000:6:31434745:31443464:-1 gene:OGLUM06G29690 transcript:OGLUM06G29690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G50380) TAIR;Acc:AT1G50380] MHLGRIAIQLHASAPLLFSRRATATALVLPHSQRAAFAMAATPPVARKVPRELAQHGDVRVDNYYWLRDDSRSHPDVLAHLRAENLYTATIMSDVKNLEDEIYAEIRARIKEDDIGAPLRKGQYYYYNRTLTGKEYVQHCRRLVPTDAPVTVYDVMPTGPNAPHEHIILDENVKAEGHDYYSIGAFKVSPNGKLVAYAQDTKGDEIYTVYVIDAESGKFVGQPLEGITSDIEWAGDDHLVYITMDAILRPDKVWLHMLESNQSDDICLYHEKDDMFSLGLQTSESKQYLFVESGSKNTSFIFYLDISKQSKELVVLTPRVYGIDTTASHRGNHFYIKRRSEEFYNSELIACPLDNSFQLFENHIAVYERQNGLPKVTAYRLPATGEPIGQLQGGREIDFVDPAYDVEPEQSQFGSSVVRFHYSSMRTPPSVFDYDMDSGVSVLKKIDTVLGGFDASNYVTERKWAAASDGTQIPMTILYRKDRVNLDGSDPMLLYGYGSYEICIDPSFGRSRFSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLNKRNTFTDFIACAEHLIENKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEEYYYYMKSYSPVDNVKAQGYPNILVTAGLNDPRVMYSEPAKYVAKLRELKTDDNLLLFKCELGAGHFSKSGRFEKLREDAFTYAFILKALGMAPKAAL >OGLUM06G29700.1 pep chromosome:ALNU02000000:6:31449088:31451924:1 gene:OGLUM06G29700 transcript:OGLUM06G29700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: mRNA splicing factor, Cwf18 (InterPro:IPR013169); Has 292 Blast hits to 292 proteins in 153 species: Archae - 0; Bacteria - 0; Metazoa - 118; Fungi - 83; Plants - 38; Viruses - 11; Other Eukaryotes - 42 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G05070) TAIR;Acc:AT3G05070] MEDAAARRERLRALRAAKDLLSTPDPAAAGQGQHHQNGNRETAPEQAEHPTLPAPLDAPEEASKENINPTDESEEVQNDGDMPAMKFRNYLPHDEQLRGGKVAPVSLPKFEDPISAETTEPKQVENPFGNIAPKNPNWDLKRDVQKRMDKLEKRTQKALAEIALEQQKEKEALGEGSDAAQD >OGLUM06G29710.1 pep chromosome:ALNU02000000:6:31452183:31457951:1 gene:OGLUM06G29710 transcript:OGLUM06G29710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAAVFALLMASALAGAAAGGDIVHHDDEAPKIPGCSNDFILVKVQSWVNGKEDDEYVGVGARFGPQIVSKEKHANRTRLMLADPIDCCTSPKEKVSGDILLVQRGKCKFTKKAKFAEAAGASGIIIINHVHELYKMVCEKNETDLDINIPAVLLPRDAGFALHTVLTSGNSVSVQQYSPDRPVVDTAEVFLWLMAVGTVLCASYWSAWSAREALCEQEKLLKDGREVLLNVENGGSSGMIDINVASAIMFVVVASCFLIMLYKMMSSWFVELLVGLQTCLVALLSRWFRAASESFFKVPFFGAVSYLTLAVSPFCIVFAVLWAVHRHFTYAWIGQDILGIALIITVIQIVRVPNLKVGSVLLSCAFFYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDWAAKKSLQTGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGALISLGWKRGELWNLWSKGEPERVCPHHMHMQPQPKTPPLVQ >OGLUM06G29720.1 pep chromosome:ALNU02000000:6:31463324:31470630:-1 gene:OGLUM06G29720 transcript:OGLUM06G29720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPCTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNNTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNASPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGRSVRDQDYTGMHCAVLTVGNTVVSAGLFRVMGSEIAELPLVATSRGSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >OGLUM06G29720.2 pep chromosome:ALNU02000000:6:31463324:31470630:-1 gene:OGLUM06G29720 transcript:OGLUM06G29720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPCTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVNEQCFVESLNVSAYCALVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNNTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNASPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQCGREFHIGCLKEHNMADLTALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGRSVRDQDYTGMHCAVLTVGNTVVSAGLFRVMGSEIAELPLVATSRGSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >OGLUM06G29720.3 pep chromosome:ALNU02000000:6:31463324:31470630:-1 gene:OGLUM06G29720 transcript:OGLUM06G29720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAAAEAAAAAEDKFVLRSGVRTGLKREFAFAIASQAALSSTLGRTRSSSSASATPNSKRPRRPPCTPESDPPVLALRAHTPDPDPHTSPRRITRSMLLNPSAPLLHHHPKLQLQPRRFTRSLLSSRTSNSSSSSPSASTSTSKMELKMSKKISFTRIPRNLKDLLATGLLEGHPVKYIMRKGKRAVLRGVIKRVGILCSCSSCKGRTVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDILRACSDATLDMLQSAIQNAIGPAPKKRTFRCQTCKSSFATLRTGKFALLCDSCLESKGSQNNTRTSKIGRNPTSSARRSKNESPGSKYCNSSARGSKNASPGVKTTSTGRITRKDKGLHKLAFMSGVLPEGTDVGYYVGGKRLLDGYIKEFGIYCHCCNTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSVSLSKGRNMSNRQSDDLCSICSDGGELLLCDSCPRAFHRECVGFTTIPRGTWCCRYCENRQQRESSLAYNHNAIAAGRIDGIDPMEQIFTRSIRIATTPVTGFGGCALCRLHDFSKKKFSARTVLLCDQALPEGAWYCTADCVRISETLKDLLSRGAEPISSVDVEIIKRKYEQKALNKDGDLDVRWRVLKDKSSADSKLVLSKAVAIFHESFDPIIQIATGRDLIPAMVYGNTVVSAGLFRVMGSEIAELPLVATSRGSQGLGYFQALFGCIERLLASLKVKHFVLPAADEAESIWTQRFGFVKITQDELREYLKGGRTTVFQGTSTLHKLVPKLDG >OGLUM06G29730.1 pep chromosome:ALNU02000000:6:31471149:31476090:-1 gene:OGLUM06G29730 transcript:OGLUM06G29730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLLLSFLLLAAPAAAIDILRHSLAAQTKGDLASLTAGNPLVANAMNDRLKNLTDAFAQQMGKEFHYCIKDTDDEWNIAFNFSTDPTFLSNCMQATDGDVPQRVCTAAEMKFYFESFLDSNGRKNYVRPNKNCNLTSWMDGCEAGWACSAGPDQNINLQDAVNFPSRTLDCRGCCAGFFCPHGLTCMIPCPLGAYCPESTLNKTTGICDPYNYQPPPGKPNHTCGGADRWADVVSTDDVFCPAGFYCPSTIKKLSCSSGKGSTSQTKCFHKGSCKPNSVNQDITIFGALLVGALSLVLLIIYNFSGQLLTNREKKQAKSREAAARHAKETAMARERWKSAKDVAKKHAVGLQSSLSRTFSRKKTLRTHEPSKGAVETDVEPSKGSGEKKSNLTDMMRSLEENPEKGEGFNVEIGEKKKTKGRHAHTQSQIFKYAYGQIEKEKAMEQQNKNLTFSGVISMATDEDIRTRPRIEIAFKDLTLTLKGSKKKLLRSVTGKLMPGRVAAVMGPSGAGKTTFLSAIAGKATGCETTGMVLINGKMEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIEALGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSSSSLLLLRALRREALEGVNISMVVHQPSYTLYKMFDDLILLAKGGLTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPNANVAVNAKDLPLRWMLHNGYEVPRDMLQSGSDAESSFRGGGDLTPGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKSSQNLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFMSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKDTFFANLCYTKWALEGFVIANAQRYSGVWLITRCGSLLKSGYDINDRFLCILVLAANGVLFRCVAFFCMVIFQKH >OGLUM06G29740.1 pep chromosome:ALNU02000000:6:31480350:31480946:-1 gene:OGLUM06G29740 transcript:OGLUM06G29740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMAAAPFPGKCFLLLQQVPKPSAALAAAHPLLLGRRRLAALPETAAACVAAAGLVGVAASLLVGRAAEGARREEEEEEEEECSECGGTGLCPRCKGEGFVFKQLPEEAASRARKAAKNMATRYTSGLPTKWTYCNRCSSTRSCTTCGGSGAIPKASSPTTTTL >OGLUM06G29750.1 pep chromosome:ALNU02000000:6:31482536:31488046:1 gene:OGLUM06G29750 transcript:OGLUM06G29750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARRSAGGGLHCCLAFLFKFLAFLQAFAAVSALLYAAWILSRWARHHHLHLQDLLPDLWFVCALMAAGLFYCLLLLAGCVAAEINSPCFLCFYTILAVVMMLLEAALAADLFFNKHWIQDLPYDRTGELDNLLSFIQTNLDLCKWAALAIVATQALSLLLATILRSMLSTPSADYDSDEDFVVIRRPLLVAQGAPAYLPTTADTRGFHPDLWSSRMRHKYGLNSSNYTYNTLDPNAPPPQ >OGLUM06G29760.1 pep chromosome:ALNU02000000:6:31485787:31498719:-1 gene:OGLUM06G29760 transcript:OGLUM06G29760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVCTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDDKAPRHASSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSSTMGHCGGSTSAKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLESVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFQKFEERVRKRWFGHKNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGVMVPWLYIGMLGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEIERLFVKEKKCREELWITGIVKSNLMPPKNNPNFIGSEEHWKHLCECSPKKHRLLYRHTLAELGDLVCEVSKANLPRENVKQNSLLHSDVFLPTRKDKDQYMSYTQLAEDWLSKADHILHMPFLDTAYATALEDAEQFLWGDHNMDSVRNMSAKLIEGRKWASSVRKCLSQIDGFLHCKENCSEKVNYVEIKELAAVRCKPCYEPSLAQLQVYVDKGEIMINEINNALSSRSKVDYLETLYSRALEFPVELTETSALSCEISSAKSWLKKACDCLEQNKRGIVDIDFLNELKSEMVCLRVLVPEINLVSELWKEAEAWRIRCRSYLQDPPSLKELESFLLVVDGANFSIPELNILKQRYSGACSWVNHANNIVGKLLERNDYDNIVEELTGILKDGESLGEFSVVEEELKKSFCRKQASEALATRTSMEVVKEVLKEASILTIEEEQPFVDLSHNLKAAITWEEKASFILEHSAALPEFENHILCSENIYVILPSELDMKAEVATAKLWMDKCQAYLRPRSDKPASGGFLNVDDLKDLIGQPASMKVILDTSAINSVLNNVIEWEHNSLSLIHSSRTLLDSNVIDSTIDPLKRKLEELQDKINAEIEKGLSLGFEFKVVHELKDSFFTLGWILNALSFCGVTPLLQDAEKLIQQAVNLPASLSDCSLAELLEIAVPYPMMIAKLEDAINKHNSWAEQCNAFFMFPDHQSWDGLLSLRDSGQSVAFDCTEMDKVVAEIKKIEEWLTHCHCTLFPDGNNSDSLFSALLKIRGSMDNACMLYSDCNQKGLCAICSCDVGDHITPRCMICQARYHSSCVEPLPASTQVTREWTCPFCFHLESGDPLQNRLQEKISKGNRPALPALIGLRSFAKGFYSGIEELDLLEEIAEKAHKFKSYLMQILHDADSYHGEDLSVMHRSLLIALKATSAAGLYDHQISCRIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGLIIEGESLTVHVEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACRPNNGGEYISLPCLAHEDDRSTTEAGPHTPPASCEEAGRVGAIQCNSSSQWEKTHVGIYAPV >OGLUM06G29760.2 pep chromosome:ALNU02000000:6:31485787:31498719:-1 gene:OGLUM06G29760 transcript:OGLUM06G29760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISAAARGSGVGSSVPEAPVFRPTEEEFGDPLAYVARIRPLAEPYGICRIVPPPSWSPPPALDVCTLSFPTKRQPIHRLLARLAPADPDTFLLDYRRFLHRHRGRKKPKPKLPALSDGRPLDLCRLFHAVKRFGGYDGACAGKRWADVLRLVDDKAPRHASSVSEHVIAQLYYEHLYQYEHFTNRLPSQSHDDQPPVSASSANISIRRQKKRLRKTSSTMGHCGGSTSAKTAASAPKQKRRKVDATATVVNEAMDQVCEQCNSGLHGEVMLLCDRCDKGWHLYCLSPPLESVPPGNWYCSECMNSDRNCFGFVHRRKTCQVETFQKFEERVRKRWFGHKNPSRVQVEKQFWEIVEGKVGELEVMYGSDLDTSIYGSGFPRLCDPVPSSVDPVMWHKYCSSPWNLNNFPNLPGSVLQTVRDNIAGVMVPWLYIGMLGEPKCWYGVPGAEANAFEKVMRNALPDLFDAQPDLLFHLVTMLSPSILQANGVPVYSVIQEPGNFVITFPRSFHGGFNFGLNCAEAVNFAPADWLPHGGIGAELYRLYRKAPVLSHEELLYVVAKNGVDNESLPYLQGEIERLFVKEKKCREELWITGIVKSNLMPPKNNPNFIGSEEHWKHLCECSPKKHRLLYRHTLAELGDLVCEVSKANLPRENVKQNSLLHSDVFLPTRKVRNMSAKLIEGRKWASSVRKCLSQIDGFLHCKENCSEKVNYVEIKELAAVRCKPCYEPSLAQLQVYVDKGEIMINEINNALSSRSKVDYLETLYSRALEFPVELTETSALSCEISSAKSWLKKACDCLEQNKRGIVDIDFLNELKSEMVCLRVLVPEINLVSELWKEAEAWRIRCRSYLQDPPSLKELESFLLVVDGANFSIPELNILKQRYSGACSWVNHANNIVGKLLERNDYDNIVEELTGILKDGESLGEFSVVEEELKKSFCRKQASEALATRTSMEVVKEVLKEASILTIEEEQPFVDLSHNLKAAITWEEKASFILEHSAALPEFENHILCSENIYVILPSELDMKAEVATAKLWMDKCQAYLRPRSDKPASGGFLNVDDLKDLIGQPASMKVILDTSAINSVLNNVIEWEHNSLSLIHSSRTLLDSNVIDSTIDPLKRKLEELQDKINAEIEKGLSLGFEFKVVHELKDSFFTLGWILNALSFCGVTPLLQDAEKLIQQAVNLPASLSDCSLAELLEIAVPYPMMIAKLEDAINKHNSWAEQCNAFFMFPDHQSWDGLLSLRDSGQSVAFDCTEMDKVVAEIKKIEEWLTHCHCTLFPDGNNSDSLFSALLKIRGSMDNACMLYSDCNQKGLCAICSCDVGDHITPRCMICQARYHSSCVEPLPASTQVTREWTCPFCFHLESGDPLQNRLQEKISKGNRPALPALIGLRSFAKGFYSGIEELDLLEEIAEKAHKFKSYLMQILHDADSYHGEDLSVMHRSLLIALKATSAAGLYDHQISCRIESMLSRYSWKKRIHILLCGGKKIPIQQVLMLDNEGSSLEICGEDFFKLEINKIKETSLQWLAKAEKTTLDSGKLALDLVYGLIIEGESLTVHVEKELKLLRDRSVLYCICRKPYDNRAMIACDQCDEWYHFDCIKLHGPPPKTFYCPACRPNNGGEYISLPCLAHEDDRSTTEAGPHTPPASCEEAGRVGAIQCNSSSQWEKTHVGIYAPV >OGLUM06G29770.1 pep chromosome:ALNU02000000:6:31505309:31507815:-1 gene:OGLUM06G29770 transcript:OGLUM06G29770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTATIIAASSSPQPHPQPQPLFLLLRLRSRARPGARLRAAAARCSSYNGWADLAAEPDLPFPLQPSHALLPLLLLPIAALSLPRLPPLPLLAAAFAAGFATRHLAPSPSPTHSRRLAALLADLDAQLRALSSSSSSTADPSLLLDVANQLRDAARLAAQGTMLGDAVKEAAGYFAAFSSPRKKPKTAAAAALSLETPSPQDDGNGGLLASATNATTKRTQGKPLDSPSASGGTRTGLGDMLPFDMDIHPLDDAGFSAHSKQDDDADDGVERLVSKHRYGRHTDSFQQGRLATESMESPLLERTLEIRDRSYKLKIESCRGNKSQSNEAQQRPSHHTTTSDNIDDESNAVDSDGDEFSHNVIEAAEILRKARECMMARDDEETADALLYKSARLLSTAVALRPSSLVAVGQLGNTYLLHGELKLKVSRELRTLLANTGALLNGRDRVSRSRKLDRRILSRENISSALVDVCEECESLLVEAGRSYRMALSIDSGDVKALYNWGLALIFRAQLLADIGPEAAIDADRVYLAAIDKFDAMLSKSNTYAPEALYRWGIALQQRSYLRSGNNKEKMRLLEQAKSMFEDVLYVEADNKTVREALSSCIAELNYHGRWL >OGLUM06G29780.1 pep chromosome:ALNU02000000:6:31514865:31517398:-1 gene:OGLUM06G29780 transcript:OGLUM06G29780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFDSPTASPAASPFDDDSFLRFDAAAPAPAPADAFPPSPEPYAFHPDAPSPFGMPEANGSLHDDPFAAPDNDNGPVLPPPNQMGADEGFLLREWRRQNAILLEEKEKKEKEMRNQIILDAEEFKKAFVEKRKLNVETSKDQNREREKLYLANQEKFHAGADKQYWKAISELIPHEIANIEKRGAKKDKDKEKKPGIVVIQGPKPGKPTDMSRMRQILLKLKHTPPPHMKPPPPPAAATGKDGAAGKDGAKVAAAASKDAASANGSVPEMEKAAAAAAPAAAATEPIAAA >OGLUM06G29790.1 pep chromosome:ALNU02000000:6:31518391:31525477:1 gene:OGLUM06G29790 transcript:OGLUM06G29790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPRRRYGLLAVVVTLIVLASLQIQFHHLKEDRLTGDRTFATTTTDPVHWRTGAEGLPRGIVHSNSDMYLRPLWDSGANPKNKNDNHNALLAMAVGISQMQNVDIMARKFLNQNYTVMLFHYDGNVDGWHNLEWSDKAIHILARNQTKWWFAKRFLHPDVVAIYGFIFLWDEDLGVDNFDPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKMTKVHRRIYDNRASGLRAWHLFFLGLLGDNDLIHGWGLDMKLGYCAQGDRAEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEEWTDPFDT >OGLUM06G29800.1 pep chromosome:ALNU02000000:6:31523953:31532656:-1 gene:OGLUM06G29800 transcript:OGLUM06G29800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRHRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVILGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLSVLLGGGCRASSLVQFQRMMINLQKASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKANVMSSTARSKTVVSEKKPLIKFALNVPRIAQTEALPGFTKLLAAKQDKWILLNCLSSMLRLFVHMRQHLYICSLLQTAACKFIYM >OGLUM06G29800.2 pep chromosome:ALNU02000000:6:31523953:31532656:-1 gene:OGLUM06G29800 transcript:OGLUM06G29800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVDVLRGRNKAELQAQLKDLKAELSVLRVARVTGGAPNKLSNIKVVRTSIARMLTKQRTALREAYKKKKKSLLPLDLRPKKTCAIRHRLTKHQGMLLFLIGDDPQKRPYSKASQALFSDIEHGCLPQVILGDVPCKFRNGTIVCEVTQQHCHSKSACGDEDLIGPVSEDDDQFTEGFDRLPHASVPDGEISRNFSCIKIGGLFLRDTFSPPPCTLTQPSMQSVPQEPPPVSDFAHSFPITSKANVMSSTARSKTVVSEKKPLIKFALNVPRIAQTEALPGFTKLLAAKQDKWILLNCLSSMLRLFVHMRQHLYICSLLQTAACKFIYM >OGLUM07G00010.1 pep chromosome:ALNU02000000:7:1463:4051:1 gene:OGLUM07G00010 transcript:OGLUM07G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLASTKTLIFFLLEVQLDELSCADENMSAMFNVLKKKKSARLENLVLDRNSFSQMVQNINTLAFLVYAGRVEITVNDGGHPRNALAAEDITCGNVKYSQFVFRLDFKDRQMMMKDEVVDGEEPMHSPQLTTHGTNASNTPPIQVMQDVEAAATTTAKTFTIISIEIIAHEPSDKTRES >OGLUM07G00020.1 pep chromosome:ALNU02000000:7:11874:12830:-1 gene:OGLUM07G00020 transcript:OGLUM07G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGTGVVTVYGSGTNGAALLEPSNHKSATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKVERFAARSE >OGLUM07G00030.1 pep chromosome:ALNU02000000:7:17389:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFVGDGPFRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >OGLUM07G00030.2 pep chromosome:ALNU02000000:7:17388:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >OGLUM07G00030.3 pep chromosome:ALNU02000000:7:17389:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >OGLUM07G00030.4 pep chromosome:ALNU02000000:7:17263:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >OGLUM07G00030.5 pep chromosome:ALNU02000000:7:17388:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >OGLUM07G00030.6 pep chromosome:ALNU02000000:7:17263:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >OGLUM07G00030.7 pep chromosome:ALNU02000000:7:17388:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKRAELQLMFTGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVAARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLTCEELRETMRKAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPIQWVSRRLFRPTPAPSTMNQS >OGLUM07G00030.8 pep chromosome:ALNU02000000:7:17263:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPM >OGLUM07G00030.9 pep chromosome:ALNU02000000:7:17263:22471:1 gene:OGLUM07G00030 transcript:OGLUM07G00030.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWNTSILLPPPPPLLSRAAVATPALNPYPLRLHRRHPQKPLCLPSESYPADTDADASSASLDSRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVITTHEGVPQEFYGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIQLMHFSLPKEFLHRAADLTLVPSVAIGKDLQAARVTAANKIRLWNKGVDSESFHPRFRNDEMRARLTNGEPEKPLILYVGRLGVEKSLDFLKR >OGLUM07G00040.1 pep chromosome:ALNU02000000:7:24521:31313:1 gene:OGLUM07G00040 transcript:OGLUM07G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPPTRESEARDEEAEVSRSGEQGGGEASTTQQQQQPVPLRHQLLGACRADERLRPLLTLNLSCGAAEDRFISHLSQHFEASEVGLLYRCLCVPLVALRVGKVDRHGPLLCPTPIRGKLSLGLLPSSSMCIIFAGDDGHSEQLALLNNDHEVSEVCVEEISADNTGRSFLIRISESKVFYYWCAEKSKKHGMDLLAKMKNLLQGRPTLSDLTGISDSRLDAFATHLHAYLVASSIGDVKSLGSLNDFLGASSPQDQYLQPSSVVSKSSRFRTSAANAAKASSVYQTSLSPRCGAFKDGVPRTSCAKIAGRDKLKRRGDWLSSSTGPDDANLLTPKIVSSDSASEKCGGDCSENSANSPPLDLPLSFPLLPSLFPLVTQYPLPKDSTEQPFKPYYCWCPPCPSSLQYSVTPLHMPVTSVEPLPLPPLSSLLSNDQPPTSTVSAKMDTTDLPSLNLPSILRDPLLHLPLPTSPLVSLHGSQVPTFTPLMSDPIVHVPVIDVCSSGQAYLVSCGPSMSATVPLLPSLKPLIPETESLVERSARETLMRLIASTPSASNPQLVNILPAVLTDVPEMNVRKHLGVHPGDRLSSSCSVDVIGPGFAVTEDDASVGDGAHATFAEYDDIGDQQHFQSM >OGLUM07G00050.1 pep chromosome:ALNU02000000:7:31314:33035:1 gene:OGLUM07G00050 transcript:OGLUM07G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATTTDGTTDHAGKPAVRSKSGTWRACPFILGNECCERLAYYGMSANLVNYMVDRLRQGNAGAAASVNNWSGTCYVMPLVGAFLADAYLGRYRTIAAFMALYIVGLALLTMSASVPGMKPPNCATISASSCGPSPGQSAAFFVALYLIALGTGGIKPCVSSFGADQFDDADPREHRSKASFFNWFYMSINVGALVASSVLVWVQMNVGWGWGFGIPAVAMAVAVASFLMGSSLYRHQKPGGSPLTRMLQVVVAAARKSRVALPADAAALLYEGDKLACRTRRLAHTEQFRWLDRAAVVTPTTDKDDDTGSRWRLCPVTQVEELKAVVRLLPVWASGIVMSAVYGQMSTMFVLQGNTLDPRMGATFKIPSASLSIFDTLAVLAWVPVYDRLIVPAARRFTGHPRGFTQLQRMGIGLLISVFSMVAAGVLEVVRLRVAAAHGMLDSTSYLPISIFWQVPQYFIIGAAEVFAFIGQIDFFYDQAPDDMRSMCTALSLTSSALGNYLSTLLVVIVTAASTRGGGLGWIPDNLNRGHLDYFFWLLAALSAVNFLVYLWIANWYRCKTITTTEAAAQT >OGLUM07G00060.1 pep chromosome:ALNU02000000:7:34219:34547:-1 gene:OGLUM07G00060 transcript:OGLUM07G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAKEEEEGKDGKAESAREMAGVEATKDLST >OGLUM07G00070.1 pep chromosome:ALNU02000000:7:37514:41818:-1 gene:OGLUM07G00070 transcript:OGLUM07G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDMANSDDKALISEDTAHQISADPWYQVGFVLTTGVNSAYVLGYSGSVMVPLGWIGGTCGLILAAAISLYANALLARLHEIGGKRHIRYRDLAGHIYGRKMYSLTWALQYVNLFMINTGFIILAGQALKATYVLFRDDGVLKLPYCIALSGFVCALFAFGIPYLSALRIWLGFSTFFSLIYITIAFVLSLRDGITTPAKDYTIPGSHSARIFTTIGAVANLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSSTSSYLLNSVKGPVWVKAMANLSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAIHNVMFRVGVRGGYLTVNTLVAAMLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSTLQISWHWLNVAGFSLLSIAAAVAALRLIMVDSRTYHLFADL >OGLUM07G00080.1 pep chromosome:ALNU02000000:7:45669:46583:-1 gene:OGLUM07G00080 transcript:OGLUM07G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALLFLLLLLPPPTAPFSLDFFPESPSPPRLALSGAASLRPTAVSMASPRARLQLTHPVALGPAFSTYFSFSLSGPGSLSFFLTPHPHPDRHPFLLAIVFDAAARVRIDLAGHTTGTAASHLAPSSAPARLHSWIHYNATSATLQLRLSATSRRPALPLLSLHPLPPSALLLRTKPMLAGFTSSATNCTLFAWAFRANNTMQHSQPLDPSHLLTTPPPHRPQPHPHHYYPWLSLLFAAACGAMLTFFLLFVWYSLLATRRPVAPVTTSDSDVVYEKIVLVGAKDDDAPAATTPSPAVAGNNN >OGLUM07G00090.1 pep chromosome:ALNU02000000:7:47330:49160:-1 gene:OGLUM07G00090 transcript:OGLUM07G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEV6] MAPSLGSGSTRILLIVLLLLCLRQQAVVDAAIVEHTFHVGNLTVERLGQRQVITAVNGQFPGPKVEARNGDTLLVRVVNNSPYNITIHWHGVLQRLSAWADGPAMVTQCPILPGSGAGSSYTYRFNVTGQEGTLWWHAHVSFLRATVYGALLIRPRPGVPYPFPAPHAEHTLLLGEWWNASATLVDVERQAFLTGGQPANSVALTINGMPGLSHAHKEMHHLRVARGNTYLLRLVNAALNYQLFFKVAAHNFTVVAVDACYTDPYHTDVIVIAPGQTVDALMHAGAAPGRRYYVAAQVYQSIANATYSATARALLRYDDDAKDAAKTIIMSPRMPALNDSATAQRFYGSLTGLLRDGKPTVPQRVDTRMVVTYGLAIAPCLPAQTLCNRTRGSLAASMNNVSFQLPATMSLLEASRSRSSGVYTRDFPDRPPVMFDFTNAAAVNRNMSLMVTSKGTRVKALRYNETVEVVLQNTAVLGTENHPLHLHGFNFYVLAQGTGNYYYLIRKKKIRKNLVNPQQRNTIAVPAGGWAVIRFTADNPGVWLMHCHLEAHLPFGLAMAFDVQDGPTPDAMLPPPPNDYPPC >OGLUM07G00100.1 pep chromosome:ALNU02000000:7:59290:59831:-1 gene:OGLUM07G00100 transcript:OGLUM07G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPHPDLTSGSTDMKQAAAAPAKSTSSCEDVSVAKRDQGGDSWRSLRWSESAWNLFVLDVILKSTASRQWILLRFACALTPLVQATRLGLVLLEQRLAAYHCCVVCCDI >OGLUM07G00110.1 pep chromosome:ALNU02000000:7:62372:63560:1 gene:OGLUM07G00110 transcript:OGLUM07G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPDPPPPPQPALSFAARRWIRPLPVAHAPSHCSIGCDNNVPKTTGRGNGCCCYWWKLTSEEVHLDWPEE >OGLUM07G00120.1 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.2 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.3 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKLEKIINSEGSTKRQPGSCPLKVEMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.4 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.5 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.6 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00120.7 pep chromosome:ALNU02000000:7:65807:75431:1 gene:OGLUM07G00120 transcript:OGLUM07G00120.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMQCHAAAAAAAATVPAYCALIRPLASAGRVDAVDAAVASARSRLSPATIHPLYVASIRAYARAGRLRDAVDAFERMDLFACPPAAPAYNAIMDALVDAAYHDQAHKVYVRMLAAGVSPDLHTHTIRLRSFCLTARPHIALRLLRALPHRGAVAYCTVVCGLYAHGHTHDARQLFDQMLHTHVFPNLAAFNKVLHALCKRGDVLEAGLLLGKVIQRGMSINLFTYNIWIRGLCEAGRLPEAVRLVDGMRAYAVPDVVTYNTLIRGLCKKSMPQEAMHYLRRMMNQGCLPDDFTYNTIIDGYCKISMVQEATELLKDAVFKGFVPDQVTYCSLINGLCAEGDVERALELFNEAQAKGIKPDIVVYNSLVKGLCLQGLILHALQVMNEMAEEGCHPDIQTYNIVINGLCKMGNISDATVVMNDAIMKGYLPDVFTFNTLIDGYCKRLKLDSALQLVERMWEYGIAPDTITYNSVLNGLCKAGKVNEVNETFQEMILKGCHPNPITYNILIENFCRSNKMEEASKVIVKMSQEGLHPDAVSFNTLIYGFCRNGDLEGAYLLFQKLEEKGYSATADTFNTLIGAFSGKLNMHMAEKIFDEMLSKGHRADSYTYRVLIDGSCKTANVDRAYMHLVEMIKKGFIPSMSTFGRVINSLTVNHRVFQAVGIIHIMVKIGVVPEVVDTILNADKKEIAAPKILVEDLMKKGHISYPTYEVLHEGVQSTIYCLEYGKQRASDPKCRKTTEGCVEINVDGAQLRIMLTNLKAAAAPLLFSRVACFFYGGITLQILTWGDIYVDKVITEIKGDLYDSPIDSKNQIVMSTLYNNDQYQSYPLCPIEAALLSMTWFQQHYFSLQYHEDWQLQFAAGIKTILFVPVVPHGVLQLGSLDLVPESSTSVALIKDLFYKLYDASISGSPSGTGFGYSNTGRQPAAMLPMDSPDVVPHNFFRSIKSSAQLLNNDHLSLLHAFPVLEFASTEDSIVSIYDTSLTACAVEPLDGNDSDIWTNVHEELSQFTRCNTASEADKANISYMDKLINSDSKMSCRSVSHAEDPGYGNIDHFILTEMERENQEHINNYTSVNDYAVTSNPSFHSELHKTLEPISREEREDCMWHIRYRQQESTSSALLQENGNKAGFDKQCENNDYAELLLDAINDQVIWASNSESSHSTDSPVSCATQIQKDDHVPRLDESSVPNFPGGQDFSLISIDEGFMSCTMTGSSLTETNKAILVEEDFISDPIEGMHRETSVEIKGRCRKTGLHRPRPRDRQLIQDRMMELRQLVPNTSKVQLLALFDVSPSTKDVLFICLFVLSFGNEEANGLLTVSSFYKLKQCSIDSLLDKTIAHMQFLQCVSEKADKMVCEEYGVFLEIAHVLKDLEVTILKGLLESRSDKLWARFVIQASQGFDQMQILYPLMHLLQKQRWS >OGLUM07G00130.1 pep chromosome:ALNU02000000:7:75357:78303:-1 gene:OGLUM07G00130 transcript:OGLUM07G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ/MATH-domains containing protein [Source:Projected from Arabidopsis thaliana (AT2G39760) TAIR;Acc:AT2G39760] MTAAASWSRSVTETVRGSHQYTVKGFSMAKGVGAGRYVSSDTFAVGGYHWAVYLYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRGRHKVHSHFDRSLQAGPYTLKYRGSMWGYKRFYRRSLLESSDFLKDDCLVMNCTVGVVKNRLETPKNIHINIPPSDMGRCFNNLLNLRIGCDVSFEVGDERVQAHKWILAARSPVFKAQFFGPIGNPDLHTVIVEDVEPLVFKAMVNFIYSDELPSIHELAGSVSTWTSTVVVQHLLAAADRYGLDRLRLLCEEKLCDELTAETVATTLALAEQHHCTQLKSACLKFTAVRENLGAVMETEGFNYLEETCPSLLSDLLATVAVVDDDAASFNRKRGVGGNEGANPVESVEASDRRIRRRV >OGLUM07G00140.1 pep chromosome:ALNU02000000:7:78364:80905:-1 gene:OGLUM07G00140 transcript:OGLUM07G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFVSWAGLVVAIASIIDKGQIMEKSLMSKKERKEGKKELREGAYCIVGRQQLRLSDPDTDADPRLVREGGSSPSNPKKSPAAAAAASSNPIIQSRRRRRIWIWIWIWN >OGLUM07G00150.1 pep chromosome:ALNU02000000:7:81042:83459:1 gene:OGLUM07G00150 transcript:OGLUM07G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHLFSMMMKMVCMLAGLLLDDDDQY >OGLUM07G00150.2 pep chromosome:ALNU02000000:7:81042:83761:1 gene:OGLUM07G00150 transcript:OGLUM07G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGLESAWEYLITHFSEFQLASIGTFLLHESVFFLSGLPSLLFERLGLFSKYKIQKKSNTPDYQNRCVVRLVLYHVCVNLPLTILSYRTFKFMGLRSTLPLPHWTVVVSQVLFFFVLEDFIFYWGHRALHTKWLYQHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVAGPALTGPHLFTLWVWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGAEFHDYHHRVLYTKSGNYSSTFIYMDWLFGTDKDYRKTKALEEKERTKHL >OGLUM07G00160.1 pep chromosome:ALNU02000000:7:120329:120523:1 gene:OGLUM07G00160 transcript:OGLUM07G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRAALAGGGALEWQSCKLAETVRVVKLWGGDERCGKGCYRRKPSSRFLESAMMTALGAMNPP >OGLUM07G00170.1 pep chromosome:ALNU02000000:7:127746:128600:1 gene:OGLUM07G00170 transcript:OGLUM07G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAMERMAKHYWALWGAGVRSGWPAAAHGGGAEPSWEEKAFAQDAAGHLGGCVWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARLRQCDDDDDDPIPPTVSICAPPPPPPPLLPAAAAAPDSPSPPSLLLQISSPKSTTADHHQNHQQLQLQGTNSSPNSCIATIIKESRNKARLFITTMPAPAPATTHDLGLGGGKDDDDSISISMEEIRRKRRRVDQPLTPTPSYSSERERRREDDPAAADASNNKVIPSSSILVNQLAMDMVGRQEIDLELRLGST >OGLUM07G00180.1 pep chromosome:ALNU02000000:7:132438:132623:1 gene:OGLUM07G00180 transcript:OGLUM07G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATPDRRPSTGRLPILPSTRLPHHPVTSALTQAASTTPLVDLLVESNLWELGQGTTLSQ >OGLUM07G00190.1 pep chromosome:ALNU02000000:7:134483:136918:-1 gene:OGLUM07G00190 transcript:OGLUM07G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraacyldisaccharide 4'-kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G20480) TAIR;Acc:AT3G20480] MEKWRRVVGGVAGTPDSGVPGLPFLHRALLLPLLFAASKALRLLSHLQRRTSTSTSLPVPVVSVGNLTWGGNGKTPMVDFLARAFHRIGVSPLILTRGYAGGDESRMLRRRLSDTSAKIGVGPNRAAVATSMLRKYGAQIGVAILDDGMQHLSLLRDVDIVMINALNPWGNKHLIPRGPMREPLTALSRAHILLIHHANLVSQPQLKTILSTVHDNGATCPVFFSKLVPSHIFQVNQPMHRLPLHVLHGIIVLCVSAIGCPDAFIHSVQEIGPLKIERLDFSDHHSFSSHDLQLIQDTLKKLVYQHKNNAAVLVTEKDYDRDPDVLRALDAKVWVLSSCLQIIPHEGQGDDEFMRKVREIITASRHVKLYKEGA >OGLUM07G00200.1 pep chromosome:ALNU02000000:7:141135:146843:1 gene:OGLUM07G00200 transcript:OGLUM07G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEX5] MAQQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDKAPHLNTEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPDHVGDAFYASTPQVLFWPTLVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGAAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLAVVMVLVWRVNIGWVLVFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELVSRRELQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLEDARDFVANLVERLQYYVRDVNLYGAAANNKVSYPSSRCDSMGIPKSASYAERLQLQRARSVAMLHSHSQHQQQPLPQQLGQLLQYSASTGEQQRRSVYAEEMLTPAESFSEMGTMAASGRQLMAVAVKMSLEEMARIEEEQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >OGLUM07G00200.2 pep chromosome:ALNU02000000:7:140905:146843:1 gene:OGLUM07G00200 transcript:OGLUM07G00200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEX5] MAQQQGQGAGTTTVAMMSRNPSYYYSGEGELSLAVQRQDSLYRDASRAGQHEQAHGEGWARTLRLAFQCFGVLYGDIGTSPLYVYSTTFDGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNQQAEDELHLHISKSSSLRRPSVQRLASTAEERAQWVKDLLENSRPVRISLFLLTILATAMVISDACLTPAISVLSAVGGLKDKAPHLNTEQVVWVTVGILVMLFAVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLAAHDVGVLRAFNPKYILDYFRRNGRHGWVSLGGVLLCFTGTEALFADLGCFSIRSIQLSFAFGLVPAVLLAYAGQAAYLRVYPDHVGDAFYASTPQVLFWPTLVLALAASVVGSQAMISCAFATISHSQAMGCFPRVKVVHTSRQYQGQVYIPEINLLLGAAACVVTVAARDTVVIGEAHGICVVLVMLITTLLLAVVMVLVWRVNIGWVLVFACVFASTESVYLTSVLYKFAHGGYIPVAMSAVLMGVMGVWHYVHVRRYKYEMERTVSTERVRELVSRRELQRVPGVGLFYTDLVQGIPPVFPHLIDKIPSIHTVLLFVSVKHLPVPHVDPSERFLFRQVEPQEHKLFRCVARYGYRDRLEDARDFVANLVERLQYYVRDVNLYGAAANNKVSYPSSRCDSMGIPKSASYAERLQLQRARSVAMLHSHSQHQQQPLPQQLGQLLQYSASTGEQQRRSVYAEEMLTPAESFSEMGTMAASGRQLMAVAVKMSLEEMARIEEEQRFIQREMEKGVVFILGESEVVARPHSSLLKKLVVNYAYSFLRRNCRQGDKMLAIPRSQLLKVGMSYEI >OGLUM07G00210.1 pep chromosome:ALNU02000000:7:147639:150089:-1 gene:OGLUM07G00210 transcript:OGLUM07G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSAPRRTACPCRSRRRNVAAGKAPPLVASSVTARALAAGLWRLRQAERSAAAAARRQDNQPRPSLLGVGGRKGKAPSEIHFGIGRKQQCCRSHGNGNGILDKIEAACSSSYSYCGSMEKATKWDNGKKQSLVKKEIGSSSSRRMRSLENALEKARAEIVEMEEEKRLMSRKLRKVAEEKAAAREELKLERHHRRELEGANGKLVKEVARARQRVETERKARELMEEACEELSKEVEEDQAEVEALRRECVSMREEMEEERRMLQMAEVWREERVQMKLSDAKAVLEHKYAHLNTLQSEMESFLLRHGHRTHNHAQLRRTVNMLAASVRGANADDGLFPPANTYKSPHAPDDVDKVFDHFRRNNTDTSSSVASPATDLFLEKLEDDDDGGWPWERETPRPPPHHTSNAACSNSNDHGGRSGVTEEEGGSGRSRRSGNFNTALIRRLWQSAISESRRKTAASASASSSGRNRVLHNGFSPSYSDKHRDRDTARSSTVVDQAGSAAMEKENEINSKNKKKKKKSLMEKLMEARMDDHHTADKPCQPQIINYAS >OGLUM07G00220.1 pep chromosome:ALNU02000000:7:153658:161777:-1 gene:OGLUM07G00220 transcript:OGLUM07G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRLLLISCLLCVPNSFGFAFAVEEEDGSGLMPQLSPTGSPNPLVPFLAPAPLAPFFNTTPPNLSGKCSLNFTAVGDLITTTAVDCFASFAPFLANVICCPQLQAMLTILIGQSSKQTGSLALDPTVATYCLSDVQQLLLSQGASDELHNICSLHLSNVTEGSCPVSTVDAFEAVIDSSKLLEACHKIDPVNECCSRTCQNAINDASQKISFKDGGLTSYAGSPKVDSCRNVVLRWLSSRLGPSSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECSGTVKNGTSCCKAMDSYVSHLQKQSFITNLQALDCAQFLGDKLQKMNVSMNVYSSCQITLKDFSLQESGCLLPSMPSDASFDPATGISFTCDLNDNIAAPWPSSMQASSSSCNKSVNIPERPAATSAQNGVNQNRLELSLLIYLGTLVVAIWLQV >OGLUM07G00230.1 pep chromosome:ALNU02000000:7:165805:168510:-1 gene:OGLUM07G00230 transcript:OGLUM07G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPAVVAASSAAALRGFWEEVNESPAWQDGAFFSLSAAYALVSAVALIQLIRIQRRVPEFGWTTQKVFHLMNFLVNGVRALVFGFHLHVFLLSAKARSLPTDKLRIIYIAVNAIIYTIQVCIWVYLGINDNPLVELVSKIFIVVVSFVALLGFSVYGGRLFFLLRRFPIESKGRKKKLYEVGTVTAICCACFLIRCIVVAISAFDSDVSLEVLDHPILDFFYYMLTEILPSALVLFILRKLPPKRVSAQYHPIN >OGLUM07G00240.1 pep chromosome:ALNU02000000:7:171266:174247:-1 gene:OGLUM07G00240 transcript:OGLUM07G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKALRTSTKLIVSDDGKKVRRRQPFTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKMCHPQEPSTARASKSDTLVSNKMHALVEYESSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRTEFDLNSDDEQSPMSSDLSPTATATAAELSAEAAGHDQGGEQQMMMNSSKKGGGWARGGRGKLQVAAPHSPQSAPAGSVGHFEPASPRHKLPASPRHKCPSSPRQPPPHAHGPRMPDGTRGFTMGRGKPLLV >OGLUM07G00250.1 pep chromosome:ALNU02000000:7:180724:181519:-1 gene:OGLUM07G00250 transcript:OGLUM07G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGNKSTHRREGLYSPTLPPTILSDLGGSGGGGGGGGGGRGGGSELEQHGRIWPVIIARHLPLLPVAHQPRPSRIAWGQWSINLRCCADAERRRHDGSRGAVTTRSDDTTNVAMLEFIRELLNDLRDAVWSLRREKDELVVAVREGQAMARDVDAARRELAALKKHVVETDAKLVLLKEQNRRLEKDRCMLFFCFTGNLWSVCHGLGDELSSINECYYDGRY >OGLUM07G00260.1 pep chromosome:ALNU02000000:7:187581:188680:-1 gene:OGLUM07G00260 transcript:OGLUM07G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQQESISSGGDGNNNNNKQVAVVVVEEASTPSSAFKFNVHAPEFVPAMSPTASPMSAPAGSSSFYSPFGLHVQPDHWSFFHDHEPVFFMPDFKFAAAAASAQPKPTSAADMPHKIVKQVEYQFSDINLVANEFLLKIMNKDSEGYGM >OGLUM07G00270.1 pep chromosome:ALNU02000000:7:193555:198921:1 gene:OGLUM07G00270 transcript:OGLUM07G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEY2] MAGDQLLRRLLFLLWVVALAVPGLAARPANVSIGALFTFDSVIGRAAKVAIELAVADVNRDDGVLNGTYLSVVEQDTKCSGFIGIIQGLQVMEKKVVAVVGPQSSGIGHVVSHVADELRIPLVSFAATDPTLGSSQYPYFLRATHSDFFQMAAVADIISHYAWREATLIYVDNDYGRAALDALGDHLQSMRSKVSYRAPLPPAADRAAITDLLLRVSMMESRVIVVHANPDSGLDIFAAAQSLGMMSSGYVWIATEWLAALLDSDSSPPRKTTALALLQGVVTLRQYTPDSDAKRSLMSRFAARLQAHNTTGGINAYVLFAYDAVWMAARAIDQLLVDGSNVSFSDDARLRAENETGSALRLGALKVFDQGEQLLSKMKTLNFTGVTGQVRFGDDRNLADPAYEVLNVGGTGVRRVGYWSNRTRLSVTAPEQEQNGKKKKQQGEELYSVIWPGETASTPRGWVFPNNGKALRIGVPYRTTYKQFVSKDAGGPDGASGYCIDVFKAAVALLAYPVPVSYVVVGDGVKNPSYGELVQRVAEGELDAAVGDISIVTNRTRVVDFTQPYVESGLVIVTAVRERASSAWAFLKPFTGEMWAVTGGFFLFVGAVVWVLEHRSNTDFRGSPRKQLVTVFWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDGLIASSDPIGFQVGSFAKSYLMQELGVPESRLRELAITDYASSLQTGVVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLSPGQCASQGTDVGADRLNLSSFWGLFLICGVACFIALLIFFFRTLRQYFRYHGHADIDDDDDSENKATPFPVDGGERMSSRRPARLASIRDLMTFVDMKEAEVKRRKKMMNEDSSSCGRRLDMDSHSHRSMPTSANANAAPPSSSFSSV >OGLUM07G00280.1 pep chromosome:ALNU02000000:7:193585:202536:-1 gene:OGLUM07G00280 transcript:OGLUM07G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: DDRGK domain (InterPro:IPR019153); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G27120) TAIR;Acc:AT4G27120] MDGGGGMLGAVVCLLLVFAIFPLLLWRRRSDAAHRLPPQPLQDERVLRGGPAPGPAARRMRRRPLSTSADASTSRDRDVDDADSDLEEEIQDVPRGSKKKEKKRQDREAQRQAEEAARDSRRTKQDRYAEMRRKKDEEREAQERLMEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESDTQDDGQGLLHNFVEYIKNQKCVPLEDLAAEFRMRTQDCINRIITLEGMDRLSGVMDDRGKFIYISTEEMKAVADYIRKQGRETRRYGGRQPARRGQENRGEKKREQEAREQGIRRRSFTGVFHCTW >OGLUM07G00290.1 pep chromosome:ALNU02000000:7:215948:216973:1 gene:OGLUM07G00290 transcript:OGLUM07G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHDYDSNSNPPLMSTYKHLFVEQHRLDMDMGAVDVDECELPVIDLAGLMEAEQVCRADMVRAASEWGFFQVTNHGVPQALLRELHDAQVAVFRRPFQEKVTERLLGFSPESYRWGTPTAKCLEQLSWSEAYHIPMTTPRPSTSIRARAVIEEVSRAMYELAQKLAEILMRGLPGAGEGETMVTTREETCFLRLNRYPPCAMAMGGFGLCPHTDSDLLTIVHQQQDTVGGLQLHKGGRWVAVKPSPSTLIVNVGDLLQAWSNDVYKSVEHRVMANATLERFSMAFFLCPSYHTLIIPSSSLVHDDDAHYRSFTFGEYRKQIMEDVRSTGRKIGLHRFRTR >OGLUM07G00310.1 pep chromosome:ALNU02000000:7:264130:265236:1 gene:OGLUM07G00310 transcript:OGLUM07G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHINPEQIECRKMIYAPTLAAAILRLHFHDCFVRGCDASVLLSSTHGVGGGNNMAERDAPPNRSLRGFVSVQRVKSRLEAACPSTVSCADILALMARDAVLLASGPYWPVPLGRRDGRVSCAAEANRYL >OGLUM07G00330.1 pep chromosome:ALNU02000000:7:318628:319327:1 gene:OGLUM07G00330 transcript:OGLUM07G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKQQAALLAVVAVAALAQVAAAAVHPVGGNGAWDTTGNYNAWSVSQKFSQGDSILFTYPSSHDVVEVPKASYDACSPANALASYTGGSTTVKLDAPGKHYFICGVPGHCAAGMKLEVTVAAATATKPRHKKGAAPAAAPAMPPAVSSPTEEMPAVTSPTGSPAPSSASAASTIAINVAATLAAGMALAFLAM >OGLUM07G00340.1 pep chromosome:ALNU02000000:7:327175:328841:1 gene:OGLUM07G00340 transcript:OGLUM07G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMDDVPLCILQCIMMFLLPDVGDVVRASAVSRRLREAWMGMEAYELDASTIPDHHLLDLDSTFAAIVDRVVFNHSGPGIKSMSLAHTRYDTDGDRRVTAWLDRLASREHHRLERLDVNIGAALHTPASLFRCETLVELRLVVHAAARGLRLDVDGAVHLPQLRRLCLEHAGFRSSTQFQNLIDGCPLLELLHLRFTAVARREDTVGIEIRSPSVRRVVLEGCGGYGMVPFEVSAPNVEELVLSGRNMVAVEKGGVRRLSARKVSLLMDDKLWWYNVFAPFHHFMAFLNVGTNMSRIMAGFHGVLELAISGWCIEGIYIYIYIYIYIYTHTHTHTHTHTHTLICIYSALYTYNKNIIGIAMQMQYLSKIVDSMNLPDWGIEVLRVEGMWPNQGQAGVVLHLLRSSPCLRNLFITNELEHPREISIDENREQYPATPEFLFDAVPGRLTHLRRFFMFNFTGNRNEISIIKFVLGSSSISINPDQFGVTDYLGNDWSSTQLILASL >OGLUM07G00350.1 pep chromosome:ALNU02000000:7:330210:330989:-1 gene:OGLUM07G00350 transcript:OGLUM07G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRWCYVGKATKIFFAVLALLALIGVVLAFRALLHRAKSRASSSSSACAAADECQPILPDTVPQPSMPSTAATTPPPPHQYPTFPPPDAAMPMPMPQPPPPLQPPPPAIAQPPPAFASPPPPDALVPPPPPPAAPALVTPPPALPSSARTGGAKPNGLLMPPILHLRFRAATLLSEEHDSDGSHLFVCDCAN >OGLUM07G00360.1 pep chromosome:ALNU02000000:7:330487:330972:1 gene:OGLUM07G00360 transcript:OGLUM07G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRHQEAVGLGASGAGGGADGNAGGGVTSAGAAGGGGGGTRASGGGGEANAGGGCAIAGGGGCRGGGGCGMGMGMAASGGGKVGYWCGGGGVVAAVDGMEGCGTVSGRMGWHSSAAAQAEEEELARDLARWRRARKARTTPMRARRARTAKKILVALPT >OGLUM07G00370.1 pep chromosome:ALNU02000000:7:332786:334053:1 gene:OGLUM07G00370 transcript:OGLUM07G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTFAKAKVFLILSWHRALSIHCLATLTHILDGHLSPISRPHRRHCQHQPPPPANTTEAAPPRGGVLHWRTEISLPLRRPRSRRRHHLPASSRLRRHRRRAGKQWVVAHRVPFAGAKDCQQGAEQWRDGEPDVREERHLHSRHRAELRGARVQAAEHGVRPAGAGGPAGQQRRQGQLRHQVPPPQVHLHVLRLRQAHLRRLRRPAPPAARPRHPPLRQLRAPPEGLRHQGRHPDRLLLCRHQDHPPGGHGKDGLVFLLVY >OGLUM07G00380.1 pep chromosome:ALNU02000000:7:334369:338928:-1 gene:OGLUM07G00380 transcript:OGLUM07G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEZ2] MSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEENRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >OGLUM07G00380.2 pep chromosome:ALNU02000000:7:333296:338928:-1 gene:OGLUM07G00380 transcript:OGLUM07G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEZ2] MSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEENRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILVMSLKPFLVFCVSSVVAKTLALQELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRNSVSHHPLFAGSSSVAAQAG >OGLUM07G00380.3 pep chromosome:ALNU02000000:7:334369:338928:-1 gene:OGLUM07G00380 transcript:OGLUM07G00380.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AEZ2] MSTRATRPGMLHQKENAADAQAGKRQRTAAGSAARAPLSANAAPPAPDPAIEFAGRDDVDALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDTNLAEIGKLNGLLEAAEKHHSEIVAQLKSAIEESKAINEELQRQYASLEENLKRVEAEKLDALRSYGDEKEARIAVEASRNEHLEDLRRIKLEENRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNGETIAKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSSQNEALKQKTDLLKEVDNLRGELQQVRDDRDHKLAEIHSLLADVSTYKEMTGKSVAELDNAMTRSTALEETCSSQAERIKTLELQLASANEKLKRSDLTTMETMTEYEKQKRMLEDLQLRLEEAEQQILDGENLRKRLHNTILVMSLKPFLVFCVSSVVAKTLALQELKGNIRVFCRVRPLLPNESGAVAYPKSGENLGRGIELTHNAQMYSFTFDKVFEQSASQEDVFIEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELHDQKGLIPRSLEQIFQTSQALISQGWKYKMQASMLEIYNEAIRDLLATNRTTVQDGGASKYSIKHDANGNTHVSDLTIVDVSSINEVSSLLKRAAQSRSVGRTQMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQVRSLAQG >OGLUM07G00390.1 pep chromosome:ALNU02000000:7:342603:345077:1 gene:OGLUM07G00390 transcript:OGLUM07G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQNDSDWEIRVAMLLSLTLQILLIFVGPMRKRSSHPVPRFAVWSCYLLADWVADLALGLLLNNLGNIGGGNGSSSSSSSSSISHLSAGVGGFKRGPGGGSTNNTSSGGGSPPIFAFWTPFLLLHLGGPDTITAYSLEDNELWRRHLIGLLFELFSAYVVFSCSIRSNPMIPATALIFLVGIIKYGERTYSLYSGSVSGFRDKILGEPNPGPNYAKLMTEFDSKKNAGLLVEITIADGEASKAKEALEEGEEVRLVKESNKSLEAMAYDFFTMFQLLFVNLILSYKERRISQAYFLDRHDMTAGKAFEVVEVELNFIYDMVYTKASVSHSLRGCILRCVGTACLVIAILLFALLDKTAILPVDRGITYALLLGGLALDVAAILMLLCSNRMIVFLEAKHMAWLSRVARAVRLQPRRWSEQTSQLNFICYCLGKPKEQEGRRRQCCRRKTMMRFLIWVANKVGGRETLDDFCFIQRKPVSCSHIDNNNNKMNHLCCWHKEEKPHVDVLTYVFDRLKKEAQKFKGSTDYDLMKKLCGYRGEGTLKDDEKLVRDIQMELTKATREELNLRLTEEESSSSTTKKKEAEGITHDVLQLADKKKKEIDDLVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHREWNGPRVHDTNGLMSISETLSEYMLYLLVWQPEMLLATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLRELDDDTMWKVVAGVWREMLTYAAGKCHGSTHVRQLSRGGELITLVWFLMAHMGMGDMYRINEGDAKAKLIVHDQ >OGLUM07G00400.1 pep chromosome:ALNU02000000:7:348183:349640:-1 gene:OGLUM07G00400 transcript:OGLUM07G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQGQGEQTSSMAGEGEQGGGMDLEKKLKMLITVFNDHVEGNRALSHRQELDELFRFLGEHEAAVSQLPGDKKGDLAALLRKIDDVLELCKNPEEEEKKKKKKKAAAALKMGDCIPFKSSSRPPADHTSSTSVVAPLLKQARDILGDSSSSAPAVAGAGPNKEEVLYEWTTSYVDEERLYGWGDEAKEVADALAGPEEDDDDELFRAAGIFGIHGSGKTALAQKVFVHDRIKDTFPLRLWVCVGPTPPDDDKQQQYEVKFSLLYRMLDNLGLDTYKVEDVVNASKAVKKHGGDGDGDSDAAKESKIGVLLFILHEALAKTSYLIVLDDIRAYDTWYTNLALPPPPHGEWSDRLAYGLPKLNKSAVLVTCRKEEHARAMVRTGRVFHPPLLAVADAWKLFEREYLQEAKKKQVGYNVKDDVLYNDLKVVQEEMVGKCLGLPVAILEAAKGFAQYCTYVDDDDAKTTQPTTAKGADAGDPAHAAA >OGLUM07G00410.1 pep chromosome:ALNU02000000:7:350349:358537:-1 gene:OGLUM07G00410 transcript:OGLUM07G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESIHSQIQAKSFLSLSPSSSSSLRRGAGRAIGRRRRLRPAVSPHRIPAATLPIRHDTASSDKQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSIRVTRISTDVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNLAVHKSKSFSRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLSHHRKHPSKWRSSSSPDPVTSVRVVDHFTISRTRTSDPNTSC >OGLUM07G00410.2 pep chromosome:ALNU02000000:7:350349:358537:-1 gene:OGLUM07G00410 transcript:OGLUM07G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESIHSQIQAKSFLSLSPSSSSSLRRGAGRAIGRRRRLRPAVSPHRIPAATLPIRHDTASSDKQSGSPLLGTLKMKSVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSMYVAWICFASLYHLPSFQSMGVDMRMNLSLFLTIYFSSVLFIIAFHIVFIGLWYIGLVARMAGTRPGIWTIFQNCTVISIACCVFYSHCGNLAVHKSKSFSRNSDPNLLAFLENEKGTTWISNFLRMNELKDQICSSWFAPVGSASDYPLLSKWVIYGELVCSGSCAGPSDEISPLYSLWATFVGLYIANFVVERSTGWALTHPSTAAMKRTTDETQNDDLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIQTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYEMRFFSPYEYALQPPPWYRAEHIALDKPEVPLGISKMKDYDGPQCFIIPGNHDWFDGLHTFMRYVCHKSWLGGWFLPQKKSYFALRLPQGWWVFGLDLALHGDIDVYQFKFFAELCRNKIGENDSVIVMTHEPNWLLDWYWKETTGKNVSHLIQDYLNGRCKLRLAGDLHHFMRHSANQIDNPTSVQHLLVNGCGGAFLHPTHVFKNFEQFSGATYECKAAYPSFDDSSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLGHILNEETWSGRLGSFSNTIWSALLYIFEHSYVSSVGSLTLLLASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYDWYRSMESEHFPDPTGLRARLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLMMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGNLEIFTLAVDKVPKDWKLDPKWEAEERRPHQLSHHRKHPSKWRSSSSPDPVTSVRVVDHFTISRTRTSDPNTSC >OGLUM07G00420.1 pep chromosome:ALNU02000000:7:358374:368685:1 gene:OGLUM07G00420 transcript:OGLUM07G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFFCFSSSTSTTHHRAKEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQHFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIGVFFSSP >OGLUM07G00420.2 pep chromosome:ALNU02000000:7:358437:368685:1 gene:OGLUM07G00420 transcript:OGLUM07G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPRRRDDDDEGERERKLLAWIWEWIDSILIHGKGMGLINKQGQRRMEGRKKKGREYPPQPQGQMPWAHIWAMAHNPVHPARPSPEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQHFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIVRITAGALPRGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLGGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYSSLEAVNLDGNPAQKNVGDEHLKKYLVGLLPNLGFYNKHPIRASGSKEVSDRHTRKISSSHRSDRSGRSDRKSSRLVASTSSYKAQSSRHARSGYASGSFLKNPRGRSMAVAASGPRLMEYGGAGDVQIERKAQ >OGLUM07G00420.3 pep chromosome:ALNU02000000:7:358437:368685:1 gene:OGLUM07G00420 transcript:OGLUM07G00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAPRRRDDDDEGERERKLLAWIWEWIDSILIHGKGMGLINKQGQRRMEGRKKKGREYPPQPQGQMPWAHIWAMAHNPVHPARPSPEHFLPAEQVILGASQKDTMLAVSQMDSQDQTVKSNAGSASPNQLTDVNDHSNVSRQYDTSPSSHQECWRSEDLNRYACSDDSKESGHLKKSLSLGNMLHKDHDHHFSEGAECDIIDCDHKGHCSSLKSNSAVGDSAKLNTKGNENAFDALSDLVSPSGDHVVDSDSHYLCYDQTKFPRSQSAIFQNNSNCGTEGSADSEILGPRCRSYEDLCSAVSEKVDYLNSDLCSAVSEKVDYLNSVEPHHSKSNLDVHCAGPSSPDVYERMNFEDNGSIGCSDAADGGQRSTASAEESFVRDGMLSHEYWDNKYVSGHQSVDPVAPYYSGTGDGSHHSNNDGGINEALDQERKDNLWNRDSTPYHKSLVIDASDLKLCDSKDISEELKHNRTGINDNQHFDVDPDELSPRTFSIKRIEDWINQIDIDDGTLVEEQGESSNSVLTKYNEPVAGVPAVRPDAKSPLGMEIAYTYISKLTPTSSSAQLGNLGLVAIPRLSAFLGLRLLNLSGNSIGVFFSSP >OGLUM07G00430.1 pep chromosome:ALNU02000000:7:364349:368477:-1 gene:OGLUM07G00430 transcript:OGLUM07G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAVVSTGAGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLRKFFPEVYRKKQMADKNNQYCKYDNQLLQTFTSSLYLAALVSSFFAATVTRVLGRKWSMFAGGLTFLIGAALNGAAENVAMLIVGRILLGVGVGFANQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTAKIKAGWGWRVSLALAAVPAAIITLGSLFLPDTPNSLIDRGHPEAAERMLRRIRGSDVDVSEEYADLVAASEESKLVQHPWRNILRRKYRAQLTMAICIPFFQQLTGINVIMFYAPVLFDTLGFKSDASLMSAVITGLVNVFATLVSIFTVDRLGRRKLFLQGGAQMVVCQVVVGTLIAVKFGTSGIGDIPKGYAAVVVLFICMYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMLFTFVIAQAFLTMLCHMKFGLFYFFAGWVVIMTVFIALFLPETKNVPIEEMVLVWKSHWFWRRFIGDQDVHVGANHVSNNKLQP >OGLUM07G00440.1 pep chromosome:ALNU02000000:7:376411:380654:-1 gene:OGLUM07G00440 transcript:OGLUM07G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKGGGISWVSGQEGDRRDGRAAGRLLTPTVPHDFRFEEAHRSERRGEPAASYSPSTEYCTSCCCFFFFFYTSTSNPASSIFFLSCAFPAAAGMDLYCSLTHGPEGLSDPHVMPC >OGLUM07G00440.2 pep chromosome:ALNU02000000:7:376070:380654:-1 gene:OGLUM07G00440 transcript:OGLUM07G00440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKGGGISWVSGQEGDRRDGRAAGRLLTPTVPHDFRFEEAHRSERRGEPAASYSPSTEYCTSCCCFFFFFYTSTSNPASSIFFLSCAFPAAAGMDLYCSLTHGPEGLSDPHPSAA >OGLUM07G00440.3 pep chromosome:ALNU02000000:7:376411:380654:-1 gene:OGLUM07G00440 transcript:OGLUM07G00440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKGGGISWVSGQEGDRRDGRAAGRLLTPTVPHDFRFEEAHRSYCSLTHGPEGLSDPHVMPC >OGLUM07G00440.4 pep chromosome:ALNU02000000:7:376070:380654:-1 gene:OGLUM07G00440 transcript:OGLUM07G00440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKGGGISWVSGQEGDRRDGRAAGRLLTPTVPHDFRFEEAHRSYCSLTHGPEGLSDPHPSAA >OGLUM07G00440.5 pep chromosome:ALNU02000000:7:376903:380654:-1 gene:OGLUM07G00440 transcript:OGLUM07G00440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRKGGGISWVSGQEGDRRDGRAAGRLLTPTVPHDFRFEEAHRSYCSLTHGPEGLSDPHFK >OGLUM07G00450.1 pep chromosome:ALNU02000000:7:403204:406553:1 gene:OGLUM07G00450 transcript:OGLUM07G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVRSVGEKLLRRRSSARRFSWDEAQAALMKLEGPKREEVVRMMRDWEATLDDHILANIRNIHALRTHQAGFFNWF >OGLUM07G00460.1 pep chromosome:ALNU02000000:7:403639:404174:-1 gene:OGLUM07G00460 transcript:OGLUM07G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRSLLGRPPLTTHFRLLLHDAPAPDQKLAKMKKLQDEQQQDWDAIVRMMVRSKMQTYNVVPDGEDPPWARRVFRVLVTIGVSFICGCNLSERTYHKHVIRTNHP >OGLUM07G00470.1 pep chromosome:ALNU02000000:7:407145:430619:-1 gene:OGLUM07G00470 transcript:OGLUM07G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSAMGRAMMRAALAGSKSSPAHRRLLHGSPSHRTSTSKEEVDILLDRLKETGTKSMDMATLDQTLDSTLGCNGP >OGLUM07G00480.1 pep chromosome:ALNU02000000:7:430160:433807:1 gene:OGLUM07G00480 transcript:OGLUM07G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWTSRSRSRRAARVVACGGPPDEKGWQQDRGREEFAIVDGGTVYPGWTTSRVAAPTAGASLHREPRRSIGERAVWTLHFFVKGRRMDWSRPMRKRRRSKVLSFKTC >OGLUM07G00500.1 pep chromosome:ALNU02000000:7:450180:450464:-1 gene:OGLUM07G00500 transcript:OGLUM07G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRWEAKVRQWRPEAARPAPGPVGWGWPEVVGRTVGTGKASVMESLRTTVGATKHSGGPVAAAALGAAVARAAPVGVGDPWGGDSSRWRRRQ >OGLUM07G00510.1 pep chromosome:ALNU02000000:7:463316:464441:1 gene:OGLUM07G00510 transcript:OGLUM07G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF12] MVLELDVYAWVPASSSPARHQMGSSSVPEHRRQLVGAVGLILAVAAAVAPLGEARRQAVRLRVYMHDIVGGAGQTSVVVVKGPGPANPSMSPGNNFGDTVIIDDVVTEGPSLASREVGRAQGTYMLASMARPVFIVDITVVLTDGPYNGSTILIAGRDDTSEEVRELAVVGGSGMLRRASGHVLWRTAKVESKLHAVLELDVHASVPAAAVAPSGSGSHGHPFLVTSASE >OGLUM07G00520.1 pep chromosome:ALNU02000000:7:467362:468051:-1 gene:OGLUM07G00520 transcript:OGLUM07G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF13] MAPTSRSPAALFLLLALACAAPPLLRAADTHLHFFMHDVVSGSGQTAVQVIKGPTSANGGVSTGFGDTTVVDDALTETSSATSPEVGRAQGFYMMSSLSSPTLMMCVNLYFTAGENNGSTIAVIGHDDTTATVRELSVVGGTGKFRMATGYVVWKTASMSASTGVFELDVYVTTPNATTIDASAPVSPLDGGGSSGSTSTAKSGAAGRQVGWVSACVVGLVVALVGRGW >OGLUM07G00530.1 pep chromosome:ALNU02000000:7:468522:476235:-1 gene:OGLUM07G00530 transcript:OGLUM07G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF14] MAAWMKVQSSGGRQLLSVVYLVAAVVIILPATSSRWRPQWLWREDRGVATHLHLFMHDVLTGPDATAVDVVNGTGRAFDVAGGLRFGQVVVMDDVLTEGPSRSSPRVGRTQGFYVFSDMNVPALLFCMNVVLTAGPYAGSTVTILGRDHITQPLRELSVVGGTGAFRMATGYVLWRTASWQFRADAVLELDVFVHTRPEYLQSPPPPPLHHRPPPPTVVSPLRPLFRRRFQWLTVSDGWKRGVLGDGGGWRWWQCASRTMNRISGGGDTLLLWSRWVVEVAGLLPDLLRHGGGGGGGPNGGSAHAQATAVGPTRWSDRWQCLSWRVNSLENIVSENLSRPVAHRRFNSITAAAAEERAPILGSLWMGVCTGHDLVVSSCMPPLPQSSSSSQQDNRNHVGFVIWVELGPHAQSRLTGLMLNLLKFNDKLRGNPLLSPVMLTPKSMAQCNKLLFCVVSAEATSHLTS >OGLUM07G00540.1 pep chromosome:ALNU02000000:7:481953:482469:-1 gene:OGLUM07G00540 transcript:OGLUM07G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNQQEEEDNMSWGCLLLLLLMMIVSASSSATMIVISPSNSSSAAAAAAGRGRRMEEYGSGGCSVKSKTWNERKLCTKRGTCNVPCRAEGFDYGSCYPNRPRPSFIGRFFHVCYCSMNYCKNNIIPSS >OGLUM07G00550.1 pep chromosome:ALNU02000000:7:487975:491082:1 gene:OGLUM07G00550 transcript:OGLUM07G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytosylfokine-alpha receptor 2 [Source:Projected from Arabidopsis thaliana (AT5G53890) TAIR;Acc:AT5G53890] MVVPPPPPSLLPLSLPPASAREAKSMARRAPLRCLFLSLVALFALLPFPPAAAAPCHPEDLLALRAFAGNLSAGGGGAGLRAAWSGDACCAWDGVACDAAARVTALRLPGRGLEGPIPPSLAALARLQDLDLSHNALTGGISALLAAVSLRTANLSSNLLNDTLLDLAALPHLSAFNASNNSLSGALAPDLCAGAPALRVLDLSANLLAGTLSPSPSPPPCAATLQELYLASNSFHGALPPTLFGLAALQKLSLASNGLTGQVSTRLRGLTNLTSLDLSVNRFTGHLPDVFADLTSLQHLTAHSNGFSGLLPRSLSSLSSLRDLNLRNNSFSGPIARVNFSSMPFLVSIDLATNHLNGSLPLSLADCGDLKSLSIAKNSLTGQLPEEYGRLGSLSVLSLSNNTMRNISGALTVLRACKNLTTLILTKNFVGEDLPDDGIAGFDNLEVLALGDCALRGRVPEWLHQCKRLEVLDLSWNQLVGTIPEWIGQLDNLTYLDLSNNSLVGEIPKSLTQLKSLVTARRSPGMAFTNMPLYVKHNKSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLKELHVLDLSNNAISGSIPDVLSRMENLEVLDLSSNNLSGSIPSSLTDLTFLSKFSVAHNHLVGPIPNGGQFFTFSNSSFEGNPGLCRSSSCDQNQPGETPTDNDIQRSGRNRKNKILGVAICIGLVLVVLLAVILVNISKREVSIIDDEEINGSCHDSYDYWKPVLFFEDSAKELTVSDLIKSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVSLRGYCRYGNDRLLIYSYMENNSLDYWLHERSDGGYMLKWESRLKIAQGSARGLAYLHKDCEPNIIHRDVKSSNILLNENFEAHLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVYSFGVVLLELLTGRRPMDVSKAKGSRDLVSYVLQMKSEKKEEQIFDTLIWSKTHEKQLFSILEAACRCISTDPRQRPSIEQVVAWLDSV >OGLUM07G00560.1 pep chromosome:ALNU02000000:7:491584:494656:1 gene:OGLUM07G00560 transcript:OGLUM07G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKSSRKAADEDEAKSKKLRSSRGKQRRRGRSRRTSSRSESPPRKRSKKLKVSDKKSTKNKGRRRHRSLSPSPSPSSSSMSYSTRSSSGGGGGASESSVSPPRRSCSRDVRKKKERGRDSKRVRRSRRSTSYSTSGESNSSSRSRSRSKNSKSRNRKSGGNKDHASRDKIVQDYDNGHAHRAENVKSVEVADRDEKDMADISKGSSIEISHSIIDHEKNESVEKMESPPTKDADETQDFLPAGSGSPDAQDLELILRQKALENFRKFRGAAVMAGKLQTNGTGKEVVADSTKSSGTKIAEANSVDKSFQRQRSGLSVNCSVGSPRLEDFGNHTTPRKQESSAGKSVGIESPGTFEAGSTSGRTEQKGSSLEPTQSNSQKDGRSSSSIMHRLGSPPRSSASMIRRLGSSAGVNYVNGNPRVRSVVSIPTKEGLDSGTSITPPSACDNSPPVENISEVRHPPIETNKIEGTKGDERNSGEASAPNVSTLSTGEVKDQPGTEVKDGSQFEKKTFSRMHEGETVQVSYKVYIPKKSPALARRKLQR >OGLUM07G00570.1 pep chromosome:ALNU02000000:7:495136:500599:1 gene:OGLUM07G00570 transcript:OGLUM07G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTAIGLKESKVLVTGSMNGIVRLYGLGTAVANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRALVATLGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGHG >OGLUM07G00570.2 pep chromosome:ALNU02000000:7:495136:500599:1 gene:OGLUM07G00570 transcript:OGLUM07G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTAIGLKESKVLVTGSMNGIVRLYGLGTAVANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSRSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGHG >OGLUM07G00570.3 pep chromosome:ALNU02000000:7:495136:500599:1 gene:OGLUM07G00570 transcript:OGLUM07G00570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MHIIPTMFAHVVSMCISFIYFYLQICNFVVSLLYLTSQNMVATLGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSIKLFDLRLIQKGPIQSYAGHINSHTHLPLVVDPSETLLMSGGEDRMVRIWSIKTGEQIFAQSVAGSLFTALCWPESGCDLHNSSLFGVNHSWGAWMGSRDGLFYVHGHG >OGLUM07G00570.4 pep chromosome:ALNU02000000:7:495136:500822:1 gene:OGLUM07G00570 transcript:OGLUM07G00570.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MAPPPPKVSSDIEAIAELPGFYYDPDKNRYFPIRGPIPGAATRRPAPPPPAQPPPPQAAAAGCRKTARQPELVHAREMYGGGVIFSNKRKSTFMRQCQYAQASQPMVWKYKGTALVADKALEELYVTIQTAIGLKESKVLVTGSMNGIVRLYGLGTAVANIEDEMEFLPEPAWTPLVKQNAPVNSALASIWSSETAFSKFLSSVTCIKKLQHCFPGADNTNSSSQRALYP >OGLUM07G00570.5 pep chromosome:ALNU02000000:7:495136:500822:1 gene:OGLUM07G00570 transcript:OGLUM07G00570.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G17370) TAIR;Acc:AT5G17370] MHIIPTMFAHVVSMCISFIYFYLQICNFVVSLLYLTSQNMVATLGSGESGGSIHIMDLSDTIDVAMGSMNAYGGNIIGNIIPVASFNRTIWTADCNSDGTQAVIGTNSGAAFFDLERRALSWMYHCKSDILSQQFMQSGNVVLCGLRNGSIFPLDVRQKQHNRPTELASPGTARRTIPLTPRRHNRWRNQADNAKSSRAISMSSAVCSLVVLSSDEHYFLGSSMDGSVSA >OGLUM07G00580.1 pep chromosome:ALNU02000000:7:502253:502756:1 gene:OGLUM07G00580 transcript:OGLUM07G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSTGPALLGYQRYATNIAEEPQPRTDSLKSFFGFLTCIYVHRSLFVIINHSNCLVPKLMKTSDDDERMNLTEEEEAALKVRHQEWMNKFNREYKDEAEKAYRFEIFRSTVRFAEKFNAEQVKEHGYCKCILGTTQFADLTLEEFGHWVDGRTDTFGPPKVTKFD >OGLUM07G00590.1 pep chromosome:ALNU02000000:7:507474:512101:-1 gene:OGLUM07G00590 transcript:OGLUM07G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF24] MQDQRAGPAKSKEKKRVSRHKQVHCALNYKRVETKKSELRVERRRVKMGGDERAVAAPLLQQQQDGGGGDGERRRRRWWWGWWDGEEAAGQLAFAAPMVATSMAYYAIPLVSVMYAGRLGELELAGATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKMYHMMGVYLQASIITSAFFSVLVSLLWFYSEPVLIFLRQDPEVARTATLFLRYSIPAQFAYGFIQCTLRFLQTQSVVTPLVVFALLPLVLHVGITHAFVHYLGFGYAGAGMSTSVSLWLSFLMLAAYVCLSERFKHTWEGFSTEAFRHVLPGLKLAIPSAVMVCFEYWAFEVLVLVAGLMPNSHMSTSIIAMCENTEAISYMITYGFAAAISTRVSNELGAGNVAKAKKALAVTLVLSLLLGVAFLLLLGLGHDLWAGLFSKSDAVISEFASMTPLLIGSVVLDSTQGVLSGVSRGCGWQHLAAWTNLVAFYIVGLPLSILFGFKLGLQTKGLWLGQICGLLLQNAVLLFITLRTKWERLELTMNGKEDGFVC >OGLUM07G00600.1 pep chromosome:ALNU02000000:7:513609:518142:-1 gene:OGLUM07G00600 transcript:OGLUM07G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGGGGRKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLIFPADAIARAKHYLAMAPGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSAAISLFGGSLVPYYLEEEANWGLDFVNLRQTVASARSKGITVRAMVIINPGNPTGQCLSEGNIKELLKFCFHENLVLLADEVYQQNIYQDERPFISARKVLFDMGPPMSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPKTVDEIYKVASIALSPNVPGQIFMGLMVNPPKPGDISYLKFSAESKSILESLRRRARLMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIDAAKRAGKAADVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMTSFKKFNDTFMDQYDGYSRM >OGLUM07G00610.1 pep chromosome:ALNU02000000:7:519073:519804:-1 gene:OGLUM07G00610 transcript:OGLUM07G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVGLLKIRVVRGINLAYRDTRGSDPYVVLRLGKQKVKTSVKKKSVNPIWHEELTLSIMNPIAPIKLGVFDKDTFSRDDPMGDAEIDLEPFMEVLNMDPENIRNGSIIKTIRPSNQNCLADESHLCWRNGKFVQDIILRLRNVESGELQVQLQWVKILGRH >OGLUM07G00620.1 pep chromosome:ALNU02000000:7:520027:521139:-1 gene:OGLUM07G00620 transcript:OGLUM07G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKNGTGICWALPQVRSDSPSLPQSAAASAASRPLEEQHLFHSSNPSTSKSIILDLRARMRSSSTSSLQATKEMVSSTPTVEAHTSFTLS >OGLUM07G00630.1 pep chromosome:ALNU02000000:7:524515:527120:-1 gene:OGLUM07G00630 transcript:OGLUM07G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLPGFLSVRVLRGVNLVSRDAGGSDPYVVLHLDNQKLKTGVVKKTTNPVWNEELTLAVRNPETPIQLEVFDKDTFSKDDQMGDAEFDIEALMQIVRMDLQDIRSGTVVRTVRPGRQCCLADESHIVWENGQIVQDMLLKLRNVETGVVHLQLKWVNIPELKMNTYVLSVGVA >OGLUM07G00640.1 pep chromosome:ALNU02000000:7:540171:541182:1 gene:OGLUM07G00640 transcript:OGLUM07G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGLSTTAKVTMASRAGTMEARHDKWMAEHGRTYKDAAEKARRFRVFKANVDLIDRSNAAGTSATASPPTASPTSPTPSSPPCTPATTRPIPSRRRHRRQEPTILRLLLGLLDVAAVEGIHQITTGELVSLSEQQLLDCADNGGCTGGSLDNAFQYMANSGGVTTEAAYAYQGAQGACQFDASSSASGVAATISGYQRVNTNDEGSLAAAVASQPVSVAIEGSGAMFRHYGSGVFTADSCGTKLDHAVAVVGYGAEADGSGGGGYWIIKNSWGTTWGDGGYMKLEKDVGSQGACGVAMAPSYPVVSA >OGLUM07G00650.1 pep chromosome:ALNU02000000:7:542931:543980:1 gene:OGLUM07G00650 transcript:OGLUM07G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDQQPLLHDGGDQKPPPEGAARRFRRCRTAPSSEPPPTDKDNSSAADAPPKTLFTGGGRPSFRLVGLLLAAYLLLGTIAFYLAMDHMSGTRTTRALDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVAVVGTFLSKAADYLVEKQEALLFRALHSHTMVRAMEMNKVRYKLYTAGLLLVAAVASGTVVLWKVEGMRAVDAFYCVCATVTTLGYGDRSFSSEGGRAFAVAWITVSTVVVALFFLYAAELYTERRQRELARWVLRRRTTNMDLEAADLDGDRRVGAADFVLYKLKELGKISQEDISEFLDEFDNLDADHSGTLSPADLAAAQPTPDPPPSLR >OGLUM07G00660.1 pep chromosome:ALNU02000000:7:546980:552861:-1 gene:OGLUM07G00660 transcript:OGLUM07G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAAIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEITLPQLTTCTASRSTHGICFQYCLMSKTLGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMLESISELQKKERSLQEENKALQKELVERQKNVRGQQQVGQWDQTQVQAQAQAQPQAQTSSSSSSMLRDQQALLPPQNICSYPPVMMGERNDAAAAAAVAAQGQVQLRIGGLPPWMLSHLNA >OGLUM07G00670.1 pep chromosome:ALNU02000000:7:568671:571064:-1 gene:OGLUM07G00670 transcript:OGLUM07G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPPVPQKDSEWEIRVAVLLSLLLQVILIFVGPMRKRTSHPVPRFAIWACYLLADLALGLLLNNLGNIGSSSSHLSAGAGGGPPIFAFWTPFLLLHLGGPDTMTAYSVDDNELWLRHLIGLLFELFSALVVFSCSIRSNPMIPATALIFVVGVIKYGERTYSLYSGSVDGVIAKILRAPDPGPNYAKLMTVFGGKRNGGLLVEITIANGEASKAKEVLQQGNEVRLVETTKSLEAIAYEFFTMFRLLYVDINLSYKERRISQAYFLDRRDMTADKAFEVMEVELNYLYDMVYTKAPVSHSSAGCVLRFICTTCLVVAIVLFVLLDKTGILPVDRGITYALLLGGLALDVAAILMLLCSNRTIVFLEQGEKKMVWPWLARVLRPRTKRWSERTSQLNLICYCLGKPKEQEGRRRQCCRRKTIPPSVMQSLIWVANKVGVRETLDDFFFIQRKPVTMVSVIGRRGRWCNCKEGDERAPTTIDALAYVFVGLQREAIEVRDSEDYSLMKKLCSYRGERTLRDDEELVRDIQMELTKATREELNLRLTEEESSSSTTKKKEAEGITHDVLQLADKKKKEIDDLVKEKLDGVLRNSIEREFDESLLLWHIATDLCCHREWEGPRIHDTNGLMSISETLSEYMLYLLVRQPEMLLATAGIGLLRYRDTCAEARRFFKSAEAWDPNHDDARRMLLSVNTSKKPADVKGDRSKSVLFDACILAKVLRELDDDTMWRVVAGVWREMLMYAANKCQGSTHVRQLSRGGELITMVWFLMAHMGMGDVYRIGELAGDTKAKLIIHDQ >OGLUM07G00680.1 pep chromosome:ALNU02000000:7:577295:582544:1 gene:OGLUM07G00680 transcript:OGLUM07G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDAPAAPAPVEGEPKGKKSKSNKGNKHKQQDSLSAVSDASAVVTHTDLPDESGNGCTSGEGATTSTAPALEAEKVDKREAPAASASVEGTQKGKKSKDKKRKKHKQQGSPSAVSDASAVVTDTDLANESGNGCMHGEGVLRDADVASSRSGNDLTPDVDRTLGKSKASKRQCDAITSTAAAPEAEEMDEREAPAASASASAVEGTRKGTRKGTKSKDKKRKKHKQQESPSAVSDASAVVTDTDLSNEPGNGCTSGEGALRADDVVASSGHDPTPEMDRTPGKSKTLKQRRGGATSTLAVPEGDKEVDEQEAPGASASVEGAAPKGKKSKSKKQKKQSPSAVSDASAVAMDTDLANESGGGCRSGEGALQDADVVAIPRDGQEPKCPEVNSAEDLVAGKKGNKDNNSQLCSSLHESSIERKRRKNRDRRRRKKENANRRSNVQNPSLLPGAGEVVSVATADMNNTPGSKCKNPSQLVADEVGLVMTADGNISLSSECKKSNKKMKRNQTSVPEAPSVQRMDLGETASVGVMDGECEVQAVLSDCQSARSDRSNVAQAHKENFRHIYSPRGSLIRFRRKKLLILDINGLLADINQDHHNAHLSHAKSKCGFGCKYHYEEGYETVPIILLVLLFVFFFCSFGCESGPNAHTIICQDMSKCTGTGFKTLENKNKPLVLKELKKLWNKEDPDLPWEQEEFSPSNTLLVDDSPYKALGNPPHTAIFPHPYSYLNKKDDSLGPGGDLRVYLENLATADDVQRYVQEHPFGQPSITKSDRHWNFYVKILDKLEKPFA >OGLUM07G00690.1 pep chromosome:ALNU02000000:7:585561:593661:1 gene:OGLUM07G00690 transcript:OGLUM07G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNHEIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPEHKDNTVISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNIDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLCDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTESSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSTDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >OGLUM07G00690.2 pep chromosome:ALNU02000000:7:587154:593661:1 gene:OGLUM07G00690 transcript:OGLUM07G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKYLQMLGEERRPELHRQQAGCVTGILQAFDRRYPLAAHHSHNRLLPPAHALSSSPSVGEERTRYSSQIVLDKNMSKSWIDNQRAPLTVELSQGSYSSSSCSSSSSLDGNRSGQQDLSSTDRMLFPEKPFKSSPKLKSSSDSDCGVDYYLDDALAKLSAQPSYPTLGIRNLVKDSIYRDTRDFSIRTFTKEAEKDHLFNCGDPPRILNEPPNSAIQEKNKGTMDIDESLRVLAKLRNPSESVQQPRLSYDAPRFSCEGRESASKLREVPRLSLDIKESPLRNHEIDVRPKPSMTDEDRRSSISKDYSPPLETQQEHNACKRLPSVVAKLMGLEDLPEHKDNTVISSQVSKSVTERSEEPTMLRPLSLSSQNEATPRQQRNLDATIKNVPNSKFPVETAPWKQQEKIVLPRKLPKGSKGAHGKEQHAASVYSEIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLQNKKREEPSMPKIYDGDHDNIDVTDVNLRLNSTSNTKQAPEGTPSFTTEEESTTERSFKSPIVIMKPAKSADLLCDVTEDSAVGPLGGLSELPQLRTANSADKRKSSKKVTREAVEQHTKSSSRAPAPQPLASFDKRANGRNEEISRKQKSTSQLMTESSARRQQMQRENNGSLLKHKNSTSPRVQQKKPDSERRARPPIPSPDSSKNQRQSVERSHLDSVSPRSKFRRKPAQAQGEDFHQNGVSRRTRSLNQEGNDMSARSDGSISVASELDVEVTSTDRSAEVNILRSQHGTQTPSGRNPQKVKTSYDANKDLPSMDPAATITERPSPVSVLDSSFDQEEFFHTSKTTNSSNVDDEHHPSPSEESCKPSEKKSTELPTQPKNSKLANIASLLEKLQQLSVNKDEEAPPVDHIAFLCETPSPDHRYVSEILLASGLLMKDLGSGLSQMQLHTSGYPINPDLFFVLEQRKSGWTSKPEGIHQSRSTTKPDDPKRAHRKLMFEAVNELLLDKFEKETTLITGVAARDPVMSSGQQLVKMICSGIECLKTERSRMCQEDSSVIPDAEILNRLEGWSPSFIRRELPGMVLEIERSIFKELVDEVVRGESADGQPAKAGRRRRRLFA >OGLUM07G00700.1 pep chromosome:ALNU02000000:7:595336:597308:-1 gene:OGLUM07G00700 transcript:OGLUM07G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >OGLUM07G00700.2 pep chromosome:ALNU02000000:7:595336:596987:-1 gene:OGLUM07G00700 transcript:OGLUM07G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGLCTRRVVVDARHHMLGRLASLVAKELLNGQRVVVVRCEEMCISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSRIFWRTVRGMIPHKTPRGEAALANLKAFDGVPPPYDRTKRMVVPDALKVLRLQPGHKYCLLGQLSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLTRLRVKAEKAAEEKLGSQIDILAPIKY >OGLUM07G00710.1 pep chromosome:ALNU02000000:7:597742:598609:-1 gene:OGLUM07G00710 transcript:OGLUM07G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMKKMNMRKTWKKKQPCQMKKLRNQNGGENLRVPVPAKGARRSTRTMILHQENSRQFIVGRRSFLTVMTNDDEERAGLQTPMLAWHHIICLRIIV >OGLUM07G00720.1 pep chromosome:ALNU02000000:7:623612:631206:-1 gene:OGLUM07G00720 transcript:OGLUM07G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYQKKKNHTSLLTHHSSRRASRDSLVAGASRRGAPQRPHGRRSVQRRGVARTYDDALIPGAAAVANLLAAARPVVVAASSGLLLGQRAAATEEEKESEARAFFRKKSPIVSDTWVRVVSELEKNWRGEAAATAAMAGGDRERDVEEETRNQMMQNLFGDQSEDEEDDDEAVEVVDEDDHPHPQQQQLLRHQVVDDDDDDEGHARSGYHSEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPEREFSDRVMQNDAAGMDSEDEGYQQRPVASRRRGVVASESEGSEDDYYAGRGHEDEEPHQTRKIPSSPVEEERDHEVVRDVFGESDEDEPAPYRDQHEIDEDSHRSPMEDEGHYEKDLQPEDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLRQPLAQPDRMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPEKVKQEKERALGQNIRAHSILQRKKEKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFEDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQANEYSESEREESEYETEGEDIEHSPTQGREDELDEXRIFSALRASEALATPQRAVLGVGSVDDTPVELPKKLYIGPPSAKTIQLSDGRHLAYKEQ >OGLUM07G00730.1 pep chromosome:ALNU02000000:7:632785:639598:1 gene:OGLUM07G00730 transcript:OGLUM07G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSNLCTNGPQGPQNHGPRVASRLHGSASATAATPDFSFSSSPAVVEGRRAAAPATGHRKSAAAMLSRISQLGARLLRENRAAGSLASSTTSYYRGQLSRRFVPTKNILFSTATTSSDRVDSSQSKEKISVTFVNKDGTEQTISVPVGMSMLEAAHENDIELEGACDGSLACSTCHVIVMDVNYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGMRLALPAATRNFAVDGFVPKPH >OGLUM07G00740.1 pep chromosome:ALNU02000000:7:632980:635312:-1 gene:OGLUM07G00740 transcript:OGLUM07G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar GTP-binding protein 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF41] MVQYNFKRITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQSNFYEKLSTVIDDFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKIAKDYLRLLKYGDSLYRCKCLKVAALGRMCTVIKRISPSLAYLEQIRQHMARLPSIDPNTRTLLICGYPNVGKSSFMNKITRADVDVQPYAFTTKSLFVGHADYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLENLSEEDMKLVMEMKTEAMKTIGHGGEANEEGVLLTMSTLTENGVMAVKNAACERLLDQRVEIKMKSKKINDCLNRFHVALPKPRDNKERPPCIPQAVLDARASADAAKEKKKLERKLEKDLENENGGAGVYSASLKKHYLLADDEWKEDILPEILNGHNIADFLDPDILQRCEELEREEGLRLEEEAAQEAFQIDGHELTEEQREILGQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRTFTTDRMGRQLSSMGFDPSAAMDRARSRSRGRKRERSLSRAASDGDDMDIDGQQSSKKLRALSRSRSRSKSRPPEEVVPGEGFKDSAQKKKAIKKAKDSVRNRNKEARRGEADRVIPTLKPKHLQDEQAISDSAQLLGIIGFRLSGSEVSLQFGVGN >OGLUM07G00750.1 pep chromosome:ALNU02000000:7:640993:656392:1 gene:OGLUM07G00750 transcript:OGLUM07G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger family protein [Source:Projected from Arabidopsis thaliana (AT5G15540) TAIR;Acc:AT5G15540] MDPGRGGRRRAGFERACRLPNTVHSEIASALPLPTLPLNLGAGLLDDDDPLADPDRPDMIMQAANIARILADTDVSHLGFTEADNVDTDPSQCSWLWREVLKHNPDAFTIKPRPPPPSQDPLDGQENQNHEHEKHFAHVAPNFNSMRKDHGFPRDDPIPHSEHLNNDLTQDPVASKKPKVRKKEIHNSASSSDPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGDEWLSIPLNDAKVLVNEITFVRSKKILHEIPMDTLTRLLHVIDRQIRCSQGLSIDVKENADAADAEPLVFSALESIHAALAIMTHHDMPKQLYREELIERILDFSRHQIIDCMAASNPTFRALYKPAEKVTNDGDEDEEDMGNGPANKRRRTTNLSMRKSSTNKVSASIHSAVQKLCLILGFLTELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVICTVFSSYTQHRTYLVDETLVLLRKLQFSKNAIRTYHLADEEHKQIQMITALLVHLVQFSANVPDGLKGMVNWSTIVDASIDASYPIKCYEAATEACCLFWTNVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAAPVLEVLCVLLLQNAGLKSKDTSARCFAIDLLGGIASRLKRDSSYYELQNKENGKRNAASHRKKSTVPDEVTAVDIVQQILLTYIQEGGSQDDGNLFTRWFYLCMWYKDDPHSQEKIIYYLARLKTKDILRDSGNGLVLSRDWAKKICLALGQKNSFSRGFDKILSLLLVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTRAFVEIISRVNDEESSVQDLVCKTFYELWFEEPTGSHKHLVADGSSVPMEIAVKTEQIVDMLRKMPNHLPLITIIKRNLALDFLPQSAKATGINSSFMASLRKRCELICKRLLERILQVEEGAASETEVHALPYVLALQAFCIVDPTLCTPATQPFQFVETLQPYLKKQVDNKSTAQLLESIIFVIDAVLPLIWKPPQSVVIELEQDLKQMIVRHSFLTVVHACIKCLCALSKAADRGPRLLEYLVNIFYKHLSGSNSSNSDSQLLGRSLFCLGLLLRYGSQLMAASENQLDFPKIINLLKKEYLLKDDFSLKVRGLQALGYILIAKPDFMLRKDISTLIESSLSSVVDYRLKIQGLQNLFEYLRDAESQLNAESTGKPAPNATNGGSEVPVAAGAGDTNICGGIIQLYWNSILERCLDINDQVRQTALKIVEIVLRQGLVHPITCVPHLIALETDPLEGNSKYPSFFESRLGDGLQMSFRFFESTISNHDMVATNMKSNPIAFVKPGISRIYRLIRANRNSRNKFVHSIVRKFEGDNRSYPTISFLMYCAEVLASLPFTSPDEPLYLIYDINRVIQLRAGAVEANLKNWTSMYQQQETVGMPRDTGDVMHEPGGCSDQNLIDVSQMMLGNTCSTPVVNMAKLQEDCHGAIALQLLLKLKRHLKTVYSLTDARCQAFSLKDPPKSGETISKQNIPLNISNTNTSLPSCPQDAARVYQDFKTVLREDTVDYGMYTVSAQKKRPTPRSSSRVRRPAAVTRGRGGGGGGGDEDTDDEDWTGGGARVLDFSAQGGRVTRQRVQV >OGLUM07G00760.1 pep chromosome:ALNU02000000:7:657621:658502:1 gene:OGLUM07G00760 transcript:OGLUM07G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF43] MVKKMCCIGAGYVGGPTMAVMALKCPDIEVVVVDVSGPRIDAWNGDHLPIFEPGLDEVVKARRGKNLFFAADVERHVADADIVFVSVNTPTKTRGLGAGKAADLAYWESAARMIAGASRSGNKVVVEKSTVPVRTAEAVEKILVHNAHGVEFQVLSNPEFMAEGTAVADLLRPDRVLIGGRDAAAVQTLKDVYLRWVPVERIITTNLWSAELSKLAANAFLAQRVSSVNAISALCEATGADVTEVARVVGEDPRIGGRFLDAGVGFGGSCLQKDTLSLVYACECGGLPEAAGY >OGLUM07G00770.1 pep chromosome:ALNU02000000:7:658518:659012:1 gene:OGLUM07G00770 transcript:OGLUM07G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYQKSRFVRRVVSSMLSTVAGKKVAVLGFAFKKGTGDTRESPAIDVCRGLLGERAHVSVYDPTVSEEQIRRDLAPAKARSRVAVARDAYEAARGAHGVCILTEWEEFRELDYRRIHGGMEKPAFVFDGRNVVDAGELREMGFVVYCVGKPLDEWHSHMPAVA >OGLUM07G00780.1 pep chromosome:ALNU02000000:7:679760:683985:-1 gene:OGLUM07G00780 transcript:OGLUM07G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDWPFAADEAYADSSAIFAELGWANGLAVVDAVGELLPPLDPPGELATPPPPPLDLPETPAGSSADGAASSCSTDDADGGKPAAASTEAASKSLTSGKKGGKKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSDDPSVVITTYEGQHSHHTVTFPRAAAAAAGFSHIHAMAALAAAAAPFSPHQQLYSNQLQPPATMPLAATTPAASSSSSLLQLPLHCNHELQVVASCGGGYPSSSSSSSPASTVPVDRGLLDDMVPRAMRHG >OGLUM07G00790.1 pep chromosome:ALNU02000000:7:694372:695585:1 gene:OGLUM07G00790 transcript:OGLUM07G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF46] MEVTKLMXPSLSFVGIPGKVVLPVFIEVQARWVAQVLSGRRTLPSPEEMQRAVEEHSRGMEAAGLPKRWTHDMFLDLERCDDYGERICGFPRMEQWKKEIFVSSLSDMVDDIENFRDGYHDSDLVRDALRRHGSLAKTSEVTRS >OGLUM07G00800.1 pep chromosome:ALNU02000000:7:706657:711394:1 gene:OGLUM07G00800 transcript:OGLUM07G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF47] MLLFLLFLLAAGEAAAAAAATTLTATPAKLTQSDREITIRWSGLPDPDGLDYVGIYSPPTSSDRDFLGYLFLNGSATWRTGAGELTLPRLPNLRAPYQFRLFRWPAREYSYHHIDHDGNPLPHGRHRVAASGEVAFDSPSRPDQVHLSFADGVDEMRVMFVCGDGGRRVVRYGPAKEEGEGWKEVAAEVRTYEQKHMCDSPANSSVGWRDPGFVFDGLMKGLEPGRRYFYKVGSNSSGWSDTYSFISCDNEANETIAFLFGDMGTYIPYNTYVRTQDESLSTVKWILRDIQALGDKPAFISHIGDISYARGYAWVWDHFFNQIEPIAANTPYHVCIGNHEYDWPLQPWKPWWATGIYGTDGGGECGIPYSVKFRMPGNSFVPTGNGAPDTRNLYYSFDSGVVHFVYMSTETNFVQGSDQYNFIKADLEKVNRSRTPFIVFQGHRPMYTSSNEARDFAHRQQMLQNLEPLLVTYKVTLALWGHVHRYERFCPMKNFQCVNMSSSFVYPGAPVHLVIGMGGQDYQPFWQPRKDHPDVPVYPQPERSMYRGGEFGYTKLVATKEKLTLTYIGNHDGQVHDMVEIFSGQVSNNNGVSEVIDDTNLSTGVSTKLKIPLFSLEIVGSVMFALVLGFSLGFLIRRKKEAAQWTPVKNEET >OGLUM07G00810.1 pep chromosome:ALNU02000000:7:712624:714609:1 gene:OGLUM07G00810 transcript:OGLUM07G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDRSSKKVCVVGAGMAGLAAARELRREGLDVTVLEQLAGVGGQWLYDAATDAGDPLGMAGVHSSVFASLRINGPRESIGFSDFPSGIFKYLYINVDICETWSDSLPVPTRVAPQRQYHIRRMLHKFKYVWCRYHIRRMLHKFKYRYKIXLPDLARGGRGEGSDRRRSPPSLPPRSGLRGVGGGRWPRVRLRRRREVGGGRRKGAVRGGVRGGGTNGLVGISACARRRKRRLWAPILSLPSPQTSVPAAQTAPAAPFPDLDGRGEPASSGGKGGAPLTLGQQRRTEVESRRLEGDADASG >OGLUM07G00820.1 pep chromosome:ALNU02000000:7:715081:722457:-1 gene:OGLUM07G00820 transcript:OGLUM07G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRGSLFHFLKGTYNSPNGKRLVGGAQAVRMNAAGGRQLRARMKFTNMNLLVTQRRDNFVLPHNENDEIK >OGLUM07G00830.1 pep chromosome:ALNU02000000:7:735917:749055:1 gene:OGLUM07G00830 transcript:OGLUM07G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF50] MDAVRLNTTVTRVAMAPPRRDGSLRWAVRSKRHGEAEREEVFDAFAVAIGHHSQPRLPSIDGMDRWRRRQLHSHSYRVPDSFAGEVVVIVGCSVSGAELALELRRVAKEVHLIAESTWETITSAMSKSVARYENLHLRPQVEHLCEDGTVVFDDGSFVVADAIIYCTGYKYSFPFLDTNGKVTVDDNRVGPLYEHVFPPELAPSLSFVGIPGKVVLPVFIEVQARWVAQVLSGRRTLPSPEEMQRAVEEHSRGMEAAGLPKRWTHDMFLDLERCDDYGERTCGFPRMEQWKKEIFFSSLSDMESFRDGYHDSDLVRDGLRRHGWTPVCVIGAGVSGLAAARELRREGLDVTVLEQRGGVGGQWLYDTATDAGDPLGVAGVHSSMYASLRLITPREVMGFSDFPFRPGKDGDSGAGEVDARRFPGHAEFLRYIREFCDVFGLMDAVRLNTAVTRVAMAPPRRDGSLRWAVRSKHHGEAETEEVFDAVVVASGHFCQPRLPTIDGMDRWRRRQLHSHSYRVPDAFHGEVVVIVGCGISGKDIGLELRRVAKEVHLSAKSPEEAMTPAMSKILARYDNLHLHPQIEHLREDGTVVFVDGTCVVADAVVYCTGYTYSYPFLDTDGKVSVDDNRVGPLFDHVFPPALAPSLSFVGIPAMVVVPLFNEVQARWVAQVLSGRRALPSPEEMARAAEEYNRGREAAGVAKRRTHDILDLEYCDDYGERNCGFPRLEAWKKELMWSSYLTMCDNLETFRDDYHDSDLMVSNHDDGKPPAKWKKVCVVGAGMAGLAAARELRREGHAVTVLEQAGDVGGQWLYDPRTDDPLGASPAPVRVHSSMYASLRLISPREAMGFTDFPFLPVDGAGGGGRDPRRFPGHREVLLYLKDFCDAFGLMDAVRLSLNTRVLRVAMAPPQCRAPAVAGGERKWVVRSVRVGERDDTGVQEEVFDAVVVATGHYSQPSVPTIKGMEAWMRRQLHSHSYRLPEPFRDEVVVMVGCGDSGKDIALDLISVAKEVHLTAKSTEEATTPAMSKLLAKYANLHLRPRVEHLCEDGTVVFVDGSRVVADTVMYCTGYVYSFPFLDTDGVVTVDDNRVGPLFEHVFPPALAPSLSFVGVPRKVPAPWFFEAQGKWVAQVLSGRRTLPPVEEMLRAVDEHYRARAAAGVPVKYTHELGSIEPQKYIEFGEKYCGFPRYEDWKREMIVSTISRRDDDDMETFRDRVDDDSDHVRLCLKSWHCSSPARHQASLAVSAADGHPPPPRLAQTAKAIAADALKLSEKPEPPAYVTKLF >OGLUM07G00840.1 pep chromosome:ALNU02000000:7:749312:749992:-1 gene:OGLUM07G00840 transcript:OGLUM07G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVSTRLRRSTRTHDEYVVRVGRRKVVATVTAHAGAARRWVHATRWRLGPRLCANGVATVGMGVQWTPPFRRATVRPGTLQLCAGHRCLVLQLARADADANAAVPAALRRFLADERVVFVGYGVRSDCCKLEEHHGLEVARTVELRSLAGMGNTSMQRMAEEHLGWDGVTTKPREVGTSRWDARRLSKEQVQYACVDAYLSFRLAVHVVAAPEPDATSSTSSSE >OGLUM07G00850.1 pep chromosome:ALNU02000000:7:751905:756910:-1 gene:OGLUM07G00850 transcript:OGLUM07G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAATTRVSTRLRRSTQTHAAYTVHVADRRVIALVTAHPAYARRWVHTTRWLHHRLLHSGRLLVGLGVQWTPLRRTLHRGSPPPPPATLQLCVGHRCLVFHLAHADAIPAALRRFLADPRVTFVGSGSSNDRRMLSAYYDLHVASARELRAVAGMGNASMEAMADRFLGYPGIAKPMNVAMSAWHAPYLSIEQVEYACVDAYLAFRLAVHLCPAPARQPVLRAPPPPAPRAPVYHHPLPLGPRVAVLAAPAPRPARHAPVRARAAPPVYRAVARAEPAAAQTQWALVATAVDDDASESEYSSKITDNMRPRVAASDSDIEEEDDDGLSMIHSSSYASDDHVFSSDDFELVGHGLLSSDDEDGYEDFVLGMGALNIDIDDDDDEGYNGNTGSIGILTVQSYNEHSSIGILTVENYDMAGTEEMFVRNGVATLEELEEDDIVTGAGTVTVDEGGGGYEAFEGNSQEFDDVEEGGYVEDDWYDEDQEELLDYDTIACLRNQATSLGVLLAARAMAGKRPRDAPSGDTAAKRARTAAARWQKTTVSARLRRSETKHDTYVVRVGGSCVVATVTARPAVARRWVFSTRWRHGRRLRSGAGLTVGMGVQWTPPSRALACGAEPRPGTLQLCVGNRCLVFQVAQGNAVPAALRRFLADGGVVAFVGYGIRSDCRKLAAHHDLHVACTRELRAMTGMGSASMERMAEELLGLAGIKKPAAVGRSRWDAPKLSKKQVKYACVDAFLSHRLGVHVGAAPPSTSSTSSSDSASQEAHRRRRAMVAAKTTTVSTRLRRCMRAHDEYVVRVGSHRRLRRRLLATVTAHPGVARRWVHTTLWRHARRLRSGDGITVGMGVQWTPPFRAPEDPEEAAARRPCTLQLCVGHRCLVFQLARAAGAVPAVLRRFMADARAAFVAHNARHDCRKLEEHHGLEVACGVELRRLVAGMGNASMERMAEEHLGLVGVWKPRRVGTSRWHARRLTKGQVEYACVDACLSFHLGVHLHAGDI >OGLUM07G00860.1 pep chromosome:ALNU02000000:7:759427:760048:-1 gene:OGLUM07G00860 transcript:OGLUM07G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCHRMLPLLVVAVALLPAAAVATNYTVGDEKGWNPDVDYTAWVKKHRPFYKGDWLLFEYQNGRSDVVQVDEVGYDNCDKANAISSYSKGHSYAFQLKEAKDYYFICSYGYCYNGMKLAVTAKKGSASSSSGSGDSSSSSKSDTASSKSKSSAAASSLAKSPYAAFLAVAIIFLRML >OGLUM07G00870.1 pep chromosome:ALNU02000000:7:762330:765116:1 gene:OGLUM07G00870 transcript:OGLUM07G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:A0A0E0AF54] MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVDRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDDTLTNQIKNGFGEEGKDMILTVMSAMGEEQICAVKEIGAKN >OGLUM07G00880.1 pep chromosome:ALNU02000000:7:765348:765655:-1 gene:OGLUM07G00880 transcript:OGLUM07G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKPSSAQLLINERTSNYKLQAVRKQLLTSDRASIGIKRMGELDPKAFANACNQTLSEEDDVVSALL >OGLUM07G00890.1 pep chromosome:ALNU02000000:7:766208:768300:-1 gene:OGLUM07G00890 transcript:OGLUM07G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRGSDQAAAPAPPPLRLLDPPAPPSATHDAGLRALGLLDFRVRRGEAHWVDWATLLWELAENEMREMARWRRTDACRNGAYWQRLIWAQNPDLFAPRPPPPAVSKKMQGIAATSKKLNQDDDDDTKSKMTDEMPAMESLNQAPAINDELQAIRKQLIHALEELTSGRASIGIRRMAEISDSSWHPFRVININGKNKLRELKEEHGEEVYRLVATALREMKEYNPSERCPVPELWNYKEKRKATLEEAIQFVVKQWRTHKRKR >OGLUM07G00900.1 pep chromosome:ALNU02000000:7:771464:774826:-1 gene:OGLUM07G00900 transcript:OGLUM07G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAWMLSRAVSQRLLRHRSRSFCSSLSSSSSGKVKRIERFDEFEEMVQREIVEDVEKVERAKTEDRDCINRLLTSCGMPKGEFRDKLMWGCNVAAIFVASGAVGSLIAKIKIDGSV >OGLUM07G00910.1 pep chromosome:ALNU02000000:7:774993:775967:1 gene:OGLUM07G00910 transcript:OGLUM07G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSVLAGRLMVAANRAFRGRPVSTTTKERLELKMSSYPWTQNAMDNRLREGCAMAVDNHNRRIMRLANPYSGPADGDILYNWAWSNNISFLIILCSCFGLCHAVLHLPHHASNNVSTA >OGLUM07G00920.1 pep chromosome:ALNU02000000:7:780585:783247:1 gene:OGLUM07G00920 transcript:OGLUM07G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPAAAAAGRAIRRAAYHSDGGPPPRKLRGPRFSPLNRHNHEVDALLEEVKNTPILVQNVLRSWVIAAAVISGYCWGYNRVITKRPVAGSEPPTEGEVE >OGLUM07G00930.1 pep chromosome:ALNU02000000:7:784385:787139:1 gene:OGLUM07G00930 transcript:OGLUM07G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARRALWYGGVSPKARHMNSGRGRGLDISEPNGPLDSYIRKLMDAGNLEEINRIGKILSKRDMGACMERRKRMEFYKTRRGLDLKSSKRV >OGLUM07G00940.1 pep chromosome:ALNU02000000:7:791357:793252:1 gene:OGLUM07G00940 transcript:OGLUM07G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37380) TAIR;Acc:AT4G37380] MSTAAAAAAVQPVLPSSSASTGGQQHGVLTADRVAGLLTGCATLRRTGELHAAAVRAGVDGDRAVGFRLQRAYAASGRLDLAVTLLRLTPDPTTVFYTSAIHAHSSRGLHLAALALLSEMLGRGLIPTSHTLSSSLPACHGLALGRALHAYAFKLALAGDSYVATALLGMYARGGDADAARALFDEMPDPHVVPVTAMLTCYAKMGALDDARELFDGMPSKDFICWNAMIDGYTQHGRPNEALRLFRWMLRSGVDPDEVAIILALSAVAQLGTAESGRWLHSYVKNSRRVQLNARVGTALIDMYCKCGSLEDAVSVFNSIGDKDIVVWNAMINGYAMHGDSRKALEMFSQLRSQGLWPTDITFIGLLNACSHSGLVDEGHQFFQSMEEEYAIVPKIEHYGCMVDLLGRAGLIEEAFHLVQSMTIAPDTIMWVSLLAACRLHKNMALGQQIADYLVAGGLANSGMYILLSNIYAAVGNWEEVARVRSMMKASGIQKEPGCSAIEVGRKVYEFVAGDMSHPRTDEIYAMLEKMNGIVKEQGHVPQTELVLHDLDEVTKEKALAVHSEKLAVAFGLISTAPGETIKIVKNLRACADCHAVLKLISKITRRKIVFRDRNRFHHFVDGSCTCGDYW >OGLUM07G00950.1 pep chromosome:ALNU02000000:7:795217:800240:-1 gene:OGLUM07G00950 transcript:OGLUM07G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPPMGDGEVERAGMETDGGEDRISALPDDLLCSILLRLGSTPAAGQTSLLSRRWRRLPSKLPRLLFPFPSTPPCVGPGIAANTAPVLRHVDVVCCDSPAGDTATWLHLLAPRLVHDGVVYFRNTMSRRRLMAPHRGLGLASPSPTFELPCFATAAKLWLRLEFLNLELPRSGVFAHLTEMFLEHVDFNHRGRGDFGHTFSTPRCPLLRRLRIAMCTGVDTMGIYSDSLHHFELEFVPGLMELTLMAPGLRTLELLSCFYYIQEWNCSIHAPDLESLRWGDRFNLSSVVFVGFARLQQLAAFTIPVFGRPDNTIIQEFALLLGRFSAVYRLDLLLSYERANSPCHSNCECDEHPDWNEWEAIVHGLEEAEIRSFRGTEHDFNFVALLFLVSPALKKMTITLDCMADASEESCQKLREIVAGHPGACLEIHQNTSGVFYEFRQSNFTKTPDDHYYDYRNLDDKQYMMDYITILPHAEKLFLRLSSGPHAYGACPDDWKTKHISLDFQEVEVHGIEGVKHEVFPRMIAITFDPLAKVHTSLCKICN >OGLUM07G00960.1 pep chromosome:ALNU02000000:7:801003:810344:-1 gene:OGLUM07G00960 transcript:OGLUM07G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G37460) TAIR;Acc:AT4G37460] MGSERAELARVCGGRNWSKAIRILDAHLARSPSSIHDLCNRAFCYSQLELHKHVVKDCDRALELDPALLQAYVLKGKALSALGKREEALAVWEQGHEVAVRDTMDLKQLLELEELISSVKICETIECEDRVVDASPCDTKVVISEDRVVDTSCTATTMADTKTVVCEENIGNSGVISNGAVILANDNKADNNKECSSPTKDTTGTHHTPKKTTKPNKKSKAKGRKEINSQIEDVADSISSGETVAVDQTLFASKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAVEDLTKALEFEPNSPDILHERGIVNFKFKDYNAAVEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLLGIKYDENFLDSWAHLSQLYLDIGCPEKMLNNVEKVLQIDVSFGKAYHLRGILYHGMGRHRSAIKDLSVALKHENSNIECLYLRASCHHAIGEYKAAIKDYDDVLDLELDSMDKFVLQCLAFYQKEIALYTASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKHQTTLILAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNNAKLVRRRDKLNACQNRGGYCSTSTLSGSPTSSPNEDRISSGISLSWHDVYNIAVKWRQISEPCDPVVWINKLSEEFNSGFGSHTPMLLGQAKIIRYYPYYQSVLEAAKNIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSELYHIVGETYWVSTRCDSIAFQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMSAAWEAICEAYCSDTNPTRDPDTYNFMPLSRGSAVVGYVVLLGLFLAANMDVTASIPQGVQVDWEAILSQDPDTFVDKIKPWLYPSIKTSRNLKDYADVSVAFSTTGSVVAALTCVDT >OGLUM07G00970.1 pep chromosome:ALNU02000000:7:835136:839249:-1 gene:OGLUM07G00970 transcript:OGLUM07G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCENKTPNVGENAFSSSVEQDGQSRASRRLAGEEEDIANIYPPSLPRLRSDHLPIDEHKSDPFLWMMARWCVGWPAAARGGRDELTWQAELTAHAAGEFSMAAAQANAVMEDQAQVMASPGATLVGVYDGHGGPDASRFLRSRLFPLLHEFAAERGGAVDADVIRKTFLAADEEYLQLLRWSLPNMSRAAASGSCCLLGAISGDTLYVANAGDSRAVLGRRAAAGQTVAERLSTEHNVASEEVRRELAALHPDDGEVVVHARGAWRVKGIIQVARAIGDVYLKTPEFKRDPAVQRLCSSRAAAVELARPVVTAEPSIHARKLKAGVDLFVVFASDGLWEHLSDEAAVQLVSKSSTRRGVAARLVQAALGEAARKREVRRGDLQRIERGVRRHFHDDITAVVVFLDLDDDGGRRARRRGRVVDSSSSSCSNTPLDVYSLYNSTA >OGLUM07G00980.1 pep chromosome:ALNU02000000:7:841071:850305:-1 gene:OGLUM07G00980 transcript:OGLUM07G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSEGKGTESSGFNRRRSASVWGPHGLIWAVRFGRPVGLTGEPIADAGQQAAGSQARSEQRLSGGDPWTAHVWTTPLAIVVRKGDACGCSSRRRVGVAGVGVHVQYWQQQHPSCAQRIKARAAIILYIWGNQWEP >OGLUM07G00990.1 pep chromosome:ALNU02000000:7:850063:852881:1 gene:OGLUM07G00990 transcript:OGLUM07G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family SERF [Source:Projected from Arabidopsis thaliana (AT2G23090) TAIR;Acc:AT2G23090] MGGGNGQKSKMARERNMEKNKGAKGSQLEANKKAMNIQCKICMQTFICTTSETKCKEHAEAKHPKSDLTACFPHLKK >OGLUM07G01000.1 pep chromosome:ALNU02000000:7:852583:857808:-1 gene:OGLUM07G01000 transcript:OGLUM07G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDSLNSYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFLQVRAAENSRPRAQ >OGLUM07G01010.1 pep chromosome:ALNU02000000:7:862482:865605:1 gene:OGLUM07G01010 transcript:OGLUM07G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGALLRRARALPRLPQGTAARSFSAPNVGHFSASNTNHAIQRCREALLEELIWNEVYAKHNEARVRRLINSLVRSLGDVPKQKGFTKTFSQEFGMVVKELEKDMNMSFKSFKVPLRRLILRTLDKYQQQGSDALLKNSLESKVHSSHWGDAHANPNFWTRAFGLSLLLSFFSFEVGQQYETLNGEGELPK >OGLUM07G01020.1 pep chromosome:ALNU02000000:7:867515:868515:1 gene:OGLUM07G01020 transcript:OGLUM07G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLRSLTTLSSRSSATTAGIVSRRLICNAASDHHQKLAKNSGGTKTEVVSATVNSEVQIVSWGRLFGLAVITFFSCKLGGRYANYQYERSLFEGFQKSKQEMSTPQL >OGLUM07G01030.1 pep chromosome:ALNU02000000:7:871987:874885:-1 gene:OGLUM07G01030 transcript:OGLUM07G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRCRLAAAASPGRRFLCRRASGDQQQERDAPLRRAMFGMTALSVLCPFVGLVSGLWASSIALTDDDDDD >OGLUM07G01040.1 pep chromosome:ALNU02000000:7:875217:876509:1 gene:OGLUM07G01040 transcript:OGLUM07G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSYYLCLLRSIPGKMMLGRQWKPGCSCWSAMRKLQGPEKEEMLRTMREMEAKLDDDIAANLHVIKAHTSSRQHGFFNCKLTAMGVPKGKFREEVVGEINIAAMFCVGFLLASSSTERIMDKYITPQRQSPAQETKN >OGLUM07G01050.1 pep chromosome:ALNU02000000:7:880793:881894:-1 gene:OGLUM07G01050 transcript:OGLUM07G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGVVVLDGGGEARFTLPLWSQRVVKIPYLSYLGGGGGGGSSGGCWRCPACVWAHAGGGDYVALGVVAVVVSLRCTCTGGGDGVYAALGIAAVIVSLRRACAGSGDSVCAALGAAVVVVSLRRAPVVCCAPACAAPVAASASVGSSHGWFDDDNLLQVNVFGIFVIGCLLRLDSCGSKLQVTSFLAIVVLTARQKSISNLSNAPLLMVGWSMVWPSLLFPSSRNRVWFVIRVELGTPVQFRLSGLLLEFLRFNDESRGDASLSPVMFAPKSMAQQLTSILCRSRGGNQRGSTRCALQLRPKVAVVAVLRRHGSAVVTASPQLPIGLLLFLLFGYIWKASVVWLTLFL >OGLUM07G01070.1 pep chromosome:ALNU02000000:7:891526:895438:1 gene:OGLUM07G01070 transcript:OGLUM07G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNNQLLLPIFFLLIIINISSSSSYLVAGGSCIPEERAALLSFNKSITFDPGNNLASWHGDDCCRWRGIRCSNHSGHVLDLRLPNPNPSSSSGQGCADTNALFGQISPSLLSLKHLRHLDLSMNCLVRPNSPLPEFLGSMYSLRYLNLSGIPFAGRIPPQLGKLSRLQYLDLGQNGVSKEMYSTDITWLTNISLLQYISMSNVNLSGIADWPHTLNMIPSLRVVRLFGCELQSANQSLVHLNLTKLEMLDLSFNDFDHPIASCWFWKATDLKYINLQWDGLVGKFPQQLGNMTSLQVLDVSYNNLNKDLVMAGELNNLCSLEILDLTNMEITGDMTMLIDGLSSQCAARKKLLELHLSYNNFTGALPNSIRRFTSLRMLDISSNNLIGSIPPGIGDLTSLVMHLLSLASLDLSSNRLSGSIPAEIGVLTNLTSLVLRNNTFSGVIREEHFAGLISLKNIDLSSNYLKFSMDSDWLPPFRLESAWLASCQIGPLFPSWLQWQHKIIEFDISSTGLMGKIPDWFWSTFSQATYLDMSQNQISGSLPAHLGDMAFEQLYLSSNQFTGPIPPFPRKINVLDISNNSFSGTLPPHLEAPELQTLLMYSNRIGGNIPQSICELQLLGDIDLSGNLLVGEIPQCSEISYNFLLLSNNTLSGKFPAFLQNCTGLQFLDLAWNKFFGSLPAWIGDFRDLQILRLSHNTFSGSIPAGITNLLSLQYLDLSDNNISGAIPWHLSNLTGMTMKGFQPFSGASMSSGLVTVELSGEIPNKIGTLQSLESLDLSKNKLSGGIPSSLSSLAFLSYLNLSYNNLSGMIPSGRQLDTLSANDPSLMYIGNEGLCGPPLQKNCSRNYTFIHSSKQEFKPMTFYFGFGIGLVVGIWVVFCVLLFNKIWRIAYFRLFDKLYDRVYVFLVGGTRMRKEEALSIAGHARKLLAAAAVPAFCTGVIGARRRRRRTGGTAMVRGSGGEELAVIALVGLGFGAWAGWSLGSRGEGS >OGLUM07G01080.1 pep chromosome:ALNU02000000:7:899386:900398:1 gene:OGLUM07G01080 transcript:OGLUM07G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLLGRAAVPVKRVWLGLSARLGLRRTTGLGKLRNEVRTCEYSDVHVMWEMLSSMDAAAPPRHATAAAAGRKRRRPAATAVWSRLVSCCCCAF >OGLUM07G01090.1 pep chromosome:ALNU02000000:7:906165:908993:1 gene:OGLUM07G01090 transcript:OGLUM07G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAAARAVSVAFQDASYCLDGGKARHVPHAPSPEKKRASFAAGAAAARAKVCDARWPAAGVNAAPYGFRGGVATRSVAFDEMTPRRASVDVPNPLRAALSSDDTESATSSAGSPDSDADADAKLAARARPSPRSIMASPARFSRDAMGSRSERFADHSTPFMSRTPRFLASPSPKTTPTAPPPPTTTKKKSVKSLFNGLLSSPFTRPSPKQPPPTKPAAISPASPSPARSSATVAASAVPGKLQAQGKAEEEHQLRLLHNRHLQWRLANAVAGAAISAQELNADKQLCGAWVSILGMRKSIALKKLELQLLRQNCKVMNILEGQIYMPVQMMAYLEEWSLLENKYANSLSGTVEALNATVLRLPVSDGAVADFQSVKNAVGSAVDVMQTMRNSMSYLLPKLARTNVLVSQLSRIASQEQVLMAQCRELLSTLSLMHVKHSSLQGQMIQMSHPKRAKDVSSSEYPY >OGLUM07G01100.1 pep chromosome:ALNU02000000:7:910286:911772:1 gene:OGLUM07G01100 transcript:OGLUM07G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATISAILTELAGRSISFLVRKYLNQQKPAPSNDERLDNLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGHYTLDTFRCRAHQGKDHHGEVSSSFAISKFSPAKRIRFCSGNSNQSVSSELQRVPGNLENYIAGANEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQMEMKYLINFLLRTGDHGTQEPGILPIIGPGRVGKSTLVEHACNDERVRNNFSQIMFFTRANLEDESIVDIRDGGCEVRNHTTSQITAPFQKKAYWYFFKVCTFGSMDASEYPEISSLAMEPAMESERSFMAANVFGRLLRSNTNMLYWRLVLASLREFKKKNQHIGSNASPPSQTEGSNISVLDVLLGGADQPSSRKRRFDALGWKSQIAPYYSYMYSCEIQRPMCMVARKKKMKRNGG >OGLUM07G01110.1 pep chromosome:ALNU02000000:7:921003:922267:-1 gene:OGLUM07G01110 transcript:OGLUM07G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVACLPEDGGEVVLVREFDGGRDRPGVEQVERACEVGPSGGKLCLFTDLLGDPLCRVRHSPAYLMLVAEAVGGPLGTEIVGVVRGCVKTVACGRSQLFSKVAYLLGLRVSPRHRRRGIGRKLVERMEEWFREMGAEYAYVATDRDNEPSVRLFTGACGYAKFRTPSVLVHPVFGHDLAPSRRAAVVRLDAREAELLYRRRLGSVEFFPRDIDAVGACEPLRAGVPHWPRLGADDLWCIKRLADGYGDGALGDWSKAPPGTSIFVDPREF >OGLUM07G01120.1 pep chromosome:ALNU02000000:7:926172:944413:-1 gene:OGLUM07G01120 transcript:OGLUM07G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQRKPAATAALRPDLETSAAAAASDKRLTPTFCQLLSIQTTYLHMETFLSAILSDLTSRSISFLVNKCSKPTTPTVEERLQQLLLRARVIMEEADERFITNQAMLQKLNILRKEMYRGYYTLICFRCHNNEDDNVKDREVSYYFTPSKLNPAKRVRFCTGSGQTLRDQLQQVLGSLQVTLEDMREFLMFFNSCPRLCRQPYSMHLLLDRCLFGRQMETEHIMNFLLKEDIHSAENLGVLPIIGPGKVGKSTLIEHACEDERVRNRFSQIVCFNDDDVGHANMVALRDCGVIKHKNHSIGGDRMLIIIKLMGDIDEGVWGRLYSASKTSVAVRSKIIVTSRSDKIVSFGTTQVLRVNFFTQEAYWYFFKVRTFGSLDAEEHPKLASLAMDLAREMSGCFMGSCIYSVLLKANFSARFWSMDLARIREFKLKNNLIYNAYLVGGPWEAVKPAYVRTVNKISPEYLVVLHDYQKFSIPNMSESEVSEVSMQDFLFGSVKPQGKFKVLAWRSHLPPHYNYIFNCEVRRPHHMVTKVHMETFLSVILSDLASRSISLLINKCSKPTLPSVEERLQRLLLRVRIIVEEAEGRLITNQAMLQQLNMLRKEMYIAYYTLGNFICHDHEEDNAKDHEVSNYFKPSKLNPAKRIRYLWDGGQTLQDQLQQVLGRLQVTLEDMREFVIFLNYCPRLCRQPYSMHLLIDKCLFGRQMEMEHIMNFLLKEDTPGAENPGVLPIIGPGKVGKTTLIAHACDDERVRNHFSQIVCFSEDDLEDASMETLRYSGVIKHQNHATGGEMILIIIELTRDIDEGVWRRLYSVCKSCVANGSKIIISSRSNKIVCFGTTQALRVKFFTQEAYWYFFKLRTFGSMDAEEHPKLESIAMEIAREWNGCFMSSGIYNELLKANFNTRFWSTVLTRIREFRKLNISLYANFDGPWEVVESAYVRRVNEISSEYVLILHDYQTCSVPNMLHHCTNSAQSEVEVPQLSFEDFQFGNIRPQGKFKVLGWRSHLPPYHDYMFSCENHSARFSPANMEATISVILTELAGRSISFLVSKYLNQQKPAPSDDERLENLQRLLLRFRIIVDEAEERCITNQAMLEQLSILRKEMFRGYYTLDTFRCRAHQGKDHHGEVSPSIAISKFSHAKRIRFCSDSSSQSLGELQRVLGDLENTIVDATEFIAFLSSCPRLHRQPYSMYLILDQCMFGRQTEMEYLINFLLQPGNHSTLEPGVLPIIGPGRVGKSTLVEHACNDERVRSHFLQIVFFTRADLEDESIVDLRDGGVIKHRNRASGVGRVLVIVELDGDRYSEGLDKNIDRVLLERLYSIYKTRIPRDSKIIVTSRSDKIARLGTTPPLRLQLLSKEAYWYFFKVRTFGSMDASEHPEMASIAMDIAIETEGCFMGANLFSRLLRSNANSHYWSLVLATLREFKKKNQHIWSFMYAADQIKALDQVNERSEEATELLVILDNYQTSCSHASSHCEAEAEAPKISLVDALFGSVRPQGRFDALGWKSQIAPYYSYMYSCEIQRPKCLAARKNKMKKNVEACVMWAGLKSPTGAEPMNKPAQLSDIVDLRIRRTIQRLIINDDVAQYGVNFIAINDIVGTNYISKKRYIYIGRP >OGLUM07G01130.1 pep chromosome:ALNU02000000:7:946484:953273:-1 gene:OGLUM07G01130 transcript:OGLUM07G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQPYNTYMFMDKCMFGRQMEMEHIIKFLLHPEPPYSDIFDVLPIIGPAKVGKSTLVEHVCNDERVRNHFSRIIFLSDSDLSEQKSLLTLRDSGVIRHKHNSSSASSGGERLLVVVELTEDVADDEWRRMYSSSRSCISAGSKIIITSRSEKIAKLGTTQPLHLKFLSREAYWYFFKFLAFGSSDPKDYPEVASVSMAMFNGYFDREMHNTFIGPFIDLNNMASFIQASIYDRDRLSLRKRFRTKESKSQLLPNKGSGDSGMKSKCVVIPRTDGNVNYYCEIFEHCRVELAHAEDHQKAPKIGIQDILSGRVEPHGKVDLVLWRSHLPPYYSYIYSSEIHEFNQARFLPRFPLILNLTHKDSPFLSQTTKLEITLLHMETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYFTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLRYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDCHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >OGLUM07G01130.2 pep chromosome:ALNU02000000:7:946484:953273:-1 gene:OGLUM07G01130 transcript:OGLUM07G01130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQPYNTYMFMDKCMFGRQMEMEHIIKFLLHPEPPYSDIFDVLPIIGPAKVGKSTLVEHVCNDERVRNHFSRIIFLSDSDLSEQKSLLTLRDSGVIRHKHNSSSASSGGERLLVVVELTEDVADDEWRRMYSSSRSCISAGSKIIITSRSEKIAKLGTTQPLHLKFLSREAYWYFFKFLAFGSSDPKDYPEVASVSMAMFNGYFDREMHNTFIGPFIDLNNMASFIQASIYDRDRLSLRKRFRTKESKSQLLPNKGSGDSGMKSKCVVIPRTDGNVNYYCEIFEHCRVELAHAEDHQKAPKIGIQDILSGRVEPHGKVDLVLWRSHLPPYYSYIYSSEIHEFKSATTCRIRLPRFLPRFPLILNLTHKDSPFLSQTTKLEITLLHMETFLSAILGDLASRSISFLINKSSKPTALTVEERLQRLLLRARIILEEADERLITNQSMLQQLNILRKEMYRGYYTLDRFRCHVHEADHTKDHEVSNHVIPSKFNPAKRIRFCRVSGKSLEEQLQQVFGSLEVTIEDMGEVVMFLNSCPRLCRQPYSMHLLLDKCLLGRQMEMEHIMNFLLKEDIPGDENTGVLPIIGPWRVGKSTLIEHACADERVRNRFFQIVHFSDDDLEDANMVTLRDCGVIKHQNRGTGEERLLIIIELIRDIDEAAWSRLYSASKRCVAKGSKIIVASRSDKIARFGTTQALRVKYFTQEAYWYFFKVRTFGSIDAEEHPKLASIAMDMAREMNGCFMGSSMYSVLLKANFNVRFWSMALAGIREFKQKNLLRYGANIDCPWHPVEPTYIRMINNVSSEYLVVLGDYQTCSVQDMVDCHTNFPQSEAAVPMVSLQDFLFGSVRPQGKFKVLASRSHLPPHYNYILNCEVWTSHHLITREERPQKLCT >OGLUM07G01140.1 pep chromosome:ALNU02000000:7:953304:956703:-1 gene:OGLUM07G01140 transcript:OGLUM07G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVERNRHFELKTVHTEKFPGYIAFSQLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQVSNSFAQSKFNPAKRVRFFRISGHSLQEQLQQVVGSIEVTLEDMSVFVMFLNSCPHLCRQPYSMHLLLDKCLFGRQMEMEHIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLIDADMVALRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVQNMVLCRTNFARSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYKHMFNCEESSISQMETLFSAILGELASRSFSFLINKCSSKLSSSSMATTFMEEKIQRLERMLLRLATAIEEVDGKHITNQAMLRQVNMLRQDMHKGYYALDTFRIQKHQEEDMNVDDDNEVSYNTLSLSKFNSIKRARVLTCTRRHGDMRELDQMVDIIEITMAGMA >OGLUM07G01140.2 pep chromosome:ALNU02000000:7:956087:956703:-1 gene:OGLUM07G01140 transcript:OGLUM07G01140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVERNRHFELKTVHTEKFPGYIAFSQLPVLVDPLSDLHNFRLHTSLMETFLSVILSDLATKSISFLINKCSKPTASNMEERLQRLLLRAQIIVEEAEDRLITNQGMLLQLNILRKEMFRGYYALDRFRCRGHEEDDAKVHQLSPFVPSAI >OGLUM07G01140.3 pep chromosome:ALNU02000000:7:953304:956040:-1 gene:OGLUM07G01140 transcript:OGLUM07G01140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIMNFLLKVDSPGSENPGVLPIIGRRKAGKSTLIEHACNDERVRNHFSQIVCFSDDDLIDADMVALRHCGSIKNGNQCTGGERILIVIELIRDIDEAVWRRLYSASKSYVPNGSKIIVASQSDKIARFGTTQALRVELFTEEAYWYFFKVRTFGSMDAQEHPKMASMAMEMARELQGCFMGASIYSGLLKANFNARFWNMALASIREYKQTNLLVYGTYFENPWQASEPAYVRTVNKISSEYLVILDEYQTCSVQNMVLCRTNFARSEAEVPMLSMQDFLFGSVRPQGKFKVLAWKSHLPPYYKHMFNCEESSISQMETLFSAILGELASRSFSFLINKCSSKLSSSSMATTFMEEKIQRLERMLLRLATAIEEVDGKHITNQAMLRQVNMLRQDMHKGYYALDTFRIQKHQEEDMNVDDDNEVSYNTLSLSKFNSIKRARVLTCTRRHGDMRELDQMVDIIEITMAGMA >OGLUM07G01150.1 pep chromosome:ALNU02000000:7:967587:976823:-1 gene:OGLUM07G01150 transcript:OGLUM07G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSPLTEGITSWTDSDTMTVKKKIPKITKDINEGAWRRLYSASKTCAANGSKIIVASRSDNISSFGTTHALRVKFFTQEAYWFFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALARIREFRKLNLLLCGTSYFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMEEEISEAFHLTISDADAGERCYVGPNKMSIVITECMHDSRSFSFLIDRCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMYRGYYILDSFRFPEAHEEEIMSENNDKIAKLGTTQPLRLKFLSREAYWYFFKVLAFGSSDPKEHPELASVSMMMFNVYFDHELYKNFTGPFMDLNIMASLIQATFYEGNWLSLHAHTRGYFLLRRGLGDDFELKTKCVPIPKKNAVVQQYCVISDYCRVGVAHEEDQEVPKIDMQDVLSGRVAPDGRFDIVLWRSHLPPYYSYIYSLIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKDDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLRNRGVIKHQNHATGGKRILIIIKLIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQDAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMVLAIIRKFRKLNLLFYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMVSVQDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKVQRSSHMVTRKKRSQKLCT >OGLUM07G01150.2 pep chromosome:ALNU02000000:7:967587:976823:-1 gene:OGLUM07G01150 transcript:OGLUM07G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSPLTEGITSWTDSDTMTVKKKIPKITKDINEGAWRRLYSASKTCAANGSKIIVASRSDNISSFGTTHALRVKFFTQEAYWFFFKVRTFGSMDAAEHPKLESIAVDMAMELNGCFMGSNVYSVLLRENFNDKFWSMALARIREFRKLNLLLCGTSYFDDPWQGVGPAYVRRVNKICSGNHVIHEDYKVCSIQNMIHCHTNSAHSEDDVPMEEEISEAFHLTISDADAGERCYVGPNKMSIVITECMHDSRSFSFLIDRCYSKLPLLSNEEKIQRLERMLLRLAAAIEEADGRRILNHGMLRHINMLRQDMYRGYYILDSFRFPEAHEEEIMSENNDKLPDSAVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKDDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLRNRGVIKHQNHATGGKRILIIIKLIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQDAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMVLAIIRKFRKLNLLFYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMVSVQDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKVQRSSHMVTRKKRSQKLCT >OGLUM07G01150.3 pep chromosome:ALNU02000000:7:967587:976823:-1 gene:OGLUM07G01150 transcript:OGLUM07G01150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQPSPLTVIDVVRHEGRRKRASPVVVQQRKSTLIQWWCRPDLETSVATVARVLPTIGSMDGDASSPPMSALDPESAMEERLQRLLLRVRIIVEEADERIITNQVMLQQLNILRKEMYRGYFTLDIFRCHGYKGDSTKDHQVSNSFALSKFNPAKRVRLSRVSGQSVQKQLQQVLGSLEVAIEDTSEFVMFLNNCPRLCRQPYSMHLLLDNCLFGRQLEMERIMNFLLKDDATSAENLGVLPIIGQVNVGKSALIEHACDNESVRNHFSQIVCFSDNDLEDANIETLRNRGVIKHQNHATGGKRILIIIKLIRDIDEGAWRRLYSASKNYVANGSKIIVASRSDKMASFGTTRALRVNFLTQDAYWYFFKLRTFGSTDAGEHPKMASIAMDIAMELNGCFMGSSIYTVLLRANFNAQYWSMVLAIIRKFRKLNLLFYGACFFDGPWQRVKPAYVRRVNKICSEYLVILDDYQICSVENNMVHCHINSAHIEDEVPMVSVQDFLFGRVPLGKFKVLAFRSHLPPHNDYVLNCKVQRSSHMVTRKKRSQKLCT >OGLUM07G01160.1 pep chromosome:ALNU02000000:7:978842:979270:1 gene:OGLUM07G01160 transcript:OGLUM07G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAETVNGDAICRKKSIELLGELGLPKGLLPLEDIEEFGYNRDTGFMWLVQRKKKIDHTFKKIKQTVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVTEASPEKVTFKTGTGLSDTFDAAAFALGE >OGLUM07G01170.1 pep chromosome:ALNU02000000:7:990057:990485:1 gene:OGLUM07G01170 transcript:OGLUM07G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKMSIELLGELGLPMGLLPLEDIEEFGYNRETGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >OGLUM07G01180.1 pep chromosome:ALNU02000000:7:992144:995670:1 gene:OGLUM07G01180 transcript:OGLUM07G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: plasma membrane, vacuole; EXPRESSED IN: cultured cell; CONTAINS InterPro DOMAIN/s: Golgi apparatus membrane protein TVP15 (InterPro:IPR013714); Has 59 Bla /.../s to 59 proteins in 18 species: Archae - 0; Bacteria - 0; Metazoa - 0; Fungi - 0; Plants - 50; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33625) TAIR;Acc:AT4G33625] MAKGAASASGGGGAAPEAQQQLSGGGDTPRRRRPTRSRSDPLLIVCRCFNVVTAATAALCVAVNVLSAVQSFRTGLDIFGGIFRCYAVVISLFVGVVETEWGFIMKFCKILEYWPARGMLQIFVAVMTKAYPNVERGDLILLEDIASYLLLACGLIYIISGVLCIGVLKRSRQQKATSREQAVKDLEELEKRREELEALLLAQRSETV >OGLUM07G01190.1 pep chromosome:ALNU02000000:7:1007215:1011501:-1 gene:OGLUM07G01190 transcript:OGLUM07G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINAVIIPHIPTSVMRARVSRRHLAHPSPSNASSIRFVSAAITQSTCSSGSGPLDQVYGSLKPGRCNAVGSGSGKCSLGTAAPPCNCISSTILLAAAPPLPYPRRTKNAIKLISMDKKPAKNLTGFLATTAGAVKALSEHKKNALTKVKSSGNTREHSMVLRDEWEDEIATLLLQFEQKDIEEENEGKNNLKPQRGGANKEQIHAGDGRN >OGLUM07G01200.1 pep chromosome:ALNU02000000:7:1035320:1043576:1 gene:OGLUM07G01200 transcript:OGLUM07G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGDGEEGAAKRTKLSAAAAAAAAGEDRLSALPDDLLVQVLLRIGGTTAAARTSVLSRRWRSLWCLLPELDFVPEADGGSIRAALAAHEPPSLRHLLVAAQDAAPHGMAEWLPVAARRLAGDLLLFNMAPKRDAKDDDDEEGKDGSPFLELPCFGSATKLSLDLGFLPLAVPVSGVVRFHGPCEFGDAASSRRFPSLKNLNIRNTQGLSNFIIHSDSLLQLDLRSVRGLKQLNVVAPALQVLSVFFCFADTQARSQPVADIAAPQLETLQWEDAFDPSSVEFGEMASLRCLGTYFFLVYGLEDFKNNRDCLRLLKRFRRDAISRLTLTLAFLPKDLRDFEYLMENMTMLPDIVSLNLNVLANGHAIGPSLFHVLRMCTSVRRLKLVTHISLDLEAQAVCSSDCVCDLPPNWKTEELLLKFLHEVEINNFRGTGHEIALVKRLFSWAVVLKDMTINFYHSVPESTAKELIEIFRILGPRTRNPPKSMEDGDGEEGTGKRAKLSAGDGGGGGGEDRLSALPDDLLVQILLRVGTSAAARTSVLSRRWRSLWCLLPELDFVSTADARAIRAALAYHGAPPLRLLLVSAVGATAGSVAEWLPLAARRLSGYLGLLNVVPKTKRDEGEGAAAGEVLELPCFASAANLALDLGFIAVAMPRSGVFSRLTLLSLDNVRFHRRCDLGDAVSSPRSPFLKRLTIQNAHGLSNLSIHSESLLQIRLGGLKGLKQLNVIAPALGALYVISCFSDPLAMSQPVADISAPQLETLHWEDAFDPSSVRFGNMANVKCLGTHFYLAFGQEDFGHNGDCLRLLQRFQFDALDRLSLTLAYMSELNDLENEYLMEEMTMLPDIMFLGLTVLASGHAIGPSVFHVLRMSTSIRRLELATDIYSSNPQARAACSSSCTCDLPPNWKTEELKLAFLHEVEINNFRGTEHQIALVKQLFGWAAMLKDMTINFCHSITESMARKVCQISLNLEVEER >OGLUM07G01210.1 pep chromosome:ALNU02000000:7:1044465:1050018:-1 gene:OGLUM07G01210 transcript:OGLUM07G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLRARASWSPQASVMPTWWKRSKSAFQRSSAVSSAPASPARASTSSCAAPGRRSPAGRCADDAGDLLLARRRQLTRQRKLRHVDDIGVGLESLGLVVANSSPPPRGRASTSAVVGHPVSIPIARSASSAEFRAVYQPPPRAATAASPVLLPLPLPSPKPVESDTSEPDVGGERATRVTSQIVQNFPDNNNNLPDNSSKRTTTSSNHRKVFREKFQDKSSTETANFRLNIPAKSAPSSGFSSPVCSPRRFSNAEYTTPTAQGPQAWSAPSVRSVDSMATSSPRISPEIYTGVTEQSTFSNSLRSPILMSKNSSAPPSPLHPKLFPENNMSRIEGNGNVSFHPLPRPPGAINSMQTSIVNQSAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRQTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDTFEDRFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVSGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLNKDVGYDLAVDIWSLGCTIIEMFNGKPPWSDLEGPAAMFRVLHKDPPIPDNLSHEGKDFLQFCFKRNPAERPTASELLEHPFIRNSSHYNKHGSIHSFAGIKSNDNNNGNGSRDKAASKSDSWVKGKNTVGEPTNARPSESSAFRLTPLSIQEVAPNFSSRPLGLTSNPSPSANLVNTVYFPIANSQRSPLPRPNEKEALF >OGLUM07G01220.1 pep chromosome:ALNU02000000:7:1052516:1055322:1 gene:OGLUM07G01220 transcript:OGLUM07G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRSALGKISRRLSGSSAVICQAPPLPSLHPAAQLMTTAFSSPAAAAAARVRRIPNLQGLQHPPFICKGTERQKRFFSVEAKAKDAKLMESARSSVKRLMAWMNEQANPRNTAIVLTIINVVYLGIFIRECLRSDEHAKDCTADDNGDRNSSYRIVKYGCHDPYACPWYRALVAQYAVMLVLVLFTM >OGLUM07G01230.1 pep chromosome:ALNU02000000:7:1074665:1077150:1 gene:OGLUM07G01230 transcript:OGLUM07G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDVGEIGVGAADLSLDLKMFAAKSFGRVRGKDTTTTAMGDCIRRLEEEMGKIEVFRRELPLCVRLLADVIDVMKEEVEKKGGDRKEDEEDAAGDKSNWMSTAQLWTGNSGGPDAAAADPEKQDKVRISSEAKSNGGAFVGSGAPAFARPKQSLMRKEDMAYDVRMPDLSLLSPPASAAAADESRRQVVGFAQAAARAAAMAASGPALSLQPQPQPAAAQQQQQQQARKTRRCWSPDLHRKFVAALQQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRPRVPSSTIVNQPIVLMQGLCYIPQEQSSSQSGSPEGPLHFSGSGMAGGGSSAATVSCEEEDGRSESYGWK >OGLUM07G01240.1 pep chromosome:ALNU02000000:7:1083723:1086912:1 gene:OGLUM07G01240 transcript:OGLUM07G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKU5 similar 17 [Source:Projected from Arabidopsis thaliana (AT5G66920) TAIR;Acc:AT5G66920] MAKEKDGSHGGEEETGWAAMFLATLIYSSNGRRCCETRRILFQFKAPHLSIFSTTTSILLLHPSIHPSTRRFCFSLLCFPVVILCTSTAQAQLSCFSYKSFSLSHTHTLSLSASSALDPAMAPRPAAAAALAACILAVAATLAGADDPYRFFTWNVTYGSINPLGSTPQQGILINGQFPGPRIDCVTNDNIIVNVFNNLDEPFLLTWNGIKQRKNSWQDGVLGTNCPIPPGANYTYKFQAKDQIGTFVYFPSVAMHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYKAGHKQLRQALDAGGGGALPPPDALLINGMPSAAAFVGDQGRTYLFRVSNVGVKTSVNVRIQGHSLRLVEVEGTHPVQNVYDSLDVHVGQSVAFLVTLDKAAQDYAVVASARFSPGAAPLMATGTLHYSSAVSRAPGPLPAPPPEQAEWSMNQARSFRWNLTASAARPNPQGSFHYGTIATSRTLVLANSAPVLAGQRRYAVNGVSFVVPDTPLKLVDNYNIANVIGWDSVPARPDGAAPRSGTPVVRLNLHEFIEVVFQNTENELQSWHLDGYDFWVVGYGNGQWTENQRTTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSANWDRQYLGQQLYMRVWTPQQSFSNEYSIPTNAILCGRAAGLGH >OGLUM07G01260.1 pep chromosome:ALNU02000000:7:1092769:1092963:-1 gene:OGLUM07G01260 transcript:OGLUM07G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLRVRRALMDEAIAGEILLRLPPDEPERLVRASLVCKPWRRLVTDRVFLLRYRLFHRAAL >OGLUM07G01270.1 pep chromosome:ALNU02000000:7:1093846:1098578:-1 gene:OGLUM07G01270 transcript:OGLUM07G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRSGAEIVNGDAICRKKSIELLGELGLPRGLLPLEDIEEFGYNRDTGFMWLVQRKKKIEHTFKKIKQTVSYAGEVWA >OGLUM07G01280.1 pep chromosome:ALNU02000000:7:1095579:1096010:1 gene:OGLUM07G01280 transcript:OGLUM07G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAIQSHRAGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWLLQRKKKIEHTFKKIKQTVSYAGEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVTDASPEKVTFKTGTGLSDTFDATAFALGE >OGLUM07G01290.1 pep chromosome:ALNU02000000:7:1099990:1101545:-1 gene:OGLUM07G01290 transcript:OGLUM07G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPELVDDMTYEILLHIPPDDPASLVRASLVCKRWRQLLTDPAFLRRYRAFHRTPPMLGFIHNVDHSSNSSYIHRFVATTSLSPFYPDFPPPSIEFPTYWWALDCRHGLLLLQLFNPIDLMVWDPTTGDHRIFPQPPYLDLYCTGAVLCATRGCRHVDCHGGPYLVVFVATGEDDHSWACVYSSETGEWSSQASIAFDSYVEMLPGLLVQDTLYFRCERGKRILRYDIGKHELSEIDPPPLGHEVGILMESGYGGLGFATVEDCSILLWSRYVGDDGIEEWKKSWVIGLDFLNLVGNPSLSWELAGFAEGAHTIFISSEIGVFTIELKSGQVKKLCKEGYYTVVPYMSFYTSDIAIWRPEEPAED >OGLUM07G01300.1 pep chromosome:ALNU02000000:7:1110676:1111104:-1 gene:OGLUM07G01300 transcript:OGLUM07G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRAGAEIVNGDDICRKMSIELLGELGLPMGLLPLEDIEEFGYNRDTGFIWMVQRKKKIEHVFKKIKQNVSYAGEVTAFVEKGKLKKITGVKTKELMLWLSIVEVYAAEASPEKVTFKSGAGICKTFDAAAFALGE >OGLUM07G01310.1 pep chromosome:ALNU02000000:7:1111992:1139775:-1 gene:OGLUM07G01310 transcript:OGLUM07G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTGKLKKIAGVKTKELMLWLSVVEVYVAEASPEKILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPIIGDQHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMSPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKVGDSRPYYSVLPYMSFYTSGGGCRTQLEYRLYSDPSRPFRYASATYPMASQIESHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDALPDKVTFKTGTGLSETFDAAAFALGDRPRLHSLPTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGDPSPPPPLPPKPSLRRRRASRVLPHGVCAGILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDRHHLDCHQGPFLVVFVGTGRHDHSWACVYSSETGEWSSHASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDDGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSGWQSRGSRHGTEGGIIPPDAVTCGRYFEANKCNKKKARNSEFAREDESSPRRESKAYMELKVVDFKNIGKPHISSSITTISQPICTQPKFQTKTSSPETTYPMASQIESHRASAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVSMLKVSGVPTSQIFLKLDRQHMLDRFCCNKTRPHRGLRHVLL >OGLUM07G01310.2 pep chromosome:ALNU02000000:7:1119752:1139775:-1 gene:OGLUM07G01310 transcript:OGLUM07G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTGKLKKIAGVKTKELMLWLSVVEVYVAEASPEKILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPIIGDQHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMSPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKVGDSRPYYSVLPYMSFYTSGGGCRTQLEYRLYSDPSRPFRYASATYPMASQIESHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDALPDKVTFKTGTGLSETFDAAAFALGDRPRLHSLPTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGDPSPPPPLPPKPSLRRRRASRVLPHGVCAGILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDRHHLDCHQGPFLVVFVGTGRHDHSWACVYSSETGEWSSHASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDDGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSDLARKSGSKKKEGGIIPPDAVTCGRYFEANKCNKKK >OGLUM07G01310.3 pep chromosome:ALNU02000000:7:1119752:1139775:-1 gene:OGLUM07G01310 transcript:OGLUM07G01310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTGKLKKIAGVKTKELMLWLSVVEVYVAEASPEKILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPIIGDQHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMSPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKVGDSRPYYSVLPYMSFYTSGGGCRTQLEYRLYSDPSRPFRYASATYPMASQIESHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDALPDKVTFKTGTGLSETFDAAAFALGDRPRLHSLPTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGDPSPPPPLPPKPSLRRRRASRVLPHGVCAGILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDRHHLDCHQGPFLVVFVGTGRHDHSWACVYSSETGEWSSHASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDDGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSGWQSRGSRHGTEGGIIPPDAVTCGRYFEANKCNKKK >OGLUM07G01310.4 pep chromosome:ALNU02000000:7:1122035:1139775:-1 gene:OGLUM07G01310 transcript:OGLUM07G01310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVESHRAGAEIVSGDGVSRKKSIELLEELGLPKGLLPLEDIEEFGYNKETGFMWLVQRKKKIEHTFKKIKQTGKLKKIAGVKTKELMLWLSVVEVYVAEASPEKILLRIPPDEPASLVRASLVCKPWRRIITDPAFLRRYRAFHRTPPMLGFLHNVDGDKAISSAPRFVPTTTTASSPFSPPAIGSPHWWWALDCRHGRVLINLFNPMELMVWDPIIGDQHRFPVPPHPHAYCTGAVLCAARDCRHLDCHQGPFLVVFVGSGEHGYHYSWACLYSSETGEWSSKASIVFDSYVEMSPSLLVEDMLFFICENGIRILGYDIGSHELWEIEPPLWDDYQGGTLMTAEDGGLGFATMETRGLVLWSWYVDDDDGIADWEQLRVIKLEMLIPVDNPSVSLDLVGFIEGTQTIFVSSDVGVFAIELKSGQVKKVGDSRPYYSVLPYMSFYTSGGGCRTQLEYRLYSDPSRPFRYASATYPMASQIESHRSGAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRGTGFMWLVQKKKKIEHTFKKIKQTVSYANEVTAFTEKGKLKKITGVKTKELLLWLSVVEVYVTDALPDKVTFKTGTGLSETFDAAAFALGDRPRLHSLPTAFVEKGKLKKITGVKTKELLLWLSVVEVYVADASPEKVTFKTGTGLSDTFDATAFALGDPSPPPPLPPKPSLRRRRASRVLPHGVCAGILLRIPPDEPAHLVHASLVCKPWRRILTDPAFLRRYRAFHRTPPVLGFLHNVDGNKAISSVPRFVPTTAASPFSPPAIDPPNWWWALDCRHGRVLSHLFNPMELMVWDPITGDQHRFPLPPHPHAYCTGAVLCAASDRHHLDCHQGPFLVVFVGTGRHDHSWACVYSSETGEWSSHASIVLDSYVEMLPSVLAENTLYFYCEYGTKILGYDIGKHELSEIDPPLGHDDGILIESEYGGLGFATVEACGLVLWSQYVCYDGIEEWEQSRIIELDMLIPNFFYSGGLVGFAEGTDIIFMLTDVDLFAIELKSGQVKKVGESRPYYAVIPYMSFYTSDLARKRLAQPAGMQ >OGLUM07G01310.5 pep chromosome:ALNU02000000:7:1112111:1118886:-1 gene:OGLUM07G01310 transcript:OGLUM07G01310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIESHRASAEIVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNRDTGFMWMVQRKKKIEHTFKKIKQTVSYAGEVTASQC >OGLUM07G01320.1 pep chromosome:ALNU02000000:7:1140058:1145742:-1 gene:OGLUM07G01320 transcript:OGLUM07G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVNKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHIDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCPD >OGLUM07G01320.2 pep chromosome:ALNU02000000:7:1139964:1145742:-1 gene:OGLUM07G01320 transcript:OGLUM07G01320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVNKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHIDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKRFVVMLGQARIDLVSQVLRAIDNARSEKAREQSHCSKTSIAC >OGLUM07G01320.3 pep chromosome:ALNU02000000:7:1140923:1145742:-1 gene:OGLUM07G01320 transcript:OGLUM07G01320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRERRQLARLCDLVAGALLPHLEPKPPATRLTREDERRILLALSRVNKAIRGWEEEEEEEEEEDDDEGCELDQEIISCSAEVHSCSLPANQHIDDGFSCLANIISILVGFFGFCSSYVKHSAGNILIVISDSLMKFEVVWIQFVELVWIAIHTVSTCAHSALPSLIDSISSFRKDSICYCSVMESLSHDIIISSTNITSFVEVLQLRCLDINGHMVASLFRVLHTILKFLKHTDNELKEDFICISAHHILMVDWDLYYQLNVGEPLNLVKDSTFSLSDDLKQLGFLSSSLLQLLCSLLEQSDLEDNNGQDIYAKLVGVIPKLVAILPEHQDAPKSLSQYLKHKFLMIMMRLKPYIQKDCSYIVCCLKLLRQHFQDLLHEPIMQHIAKPENCLEGSPFLLSTVGLGETHDKSTRHLQRQAMYLFLSFCICLAHNGNDSALQCSCKRDDQMLGHKVLDCSDHCDCFALSEISDWFQRCFLDKIFGFKSSTDNALCFLQLYMEEDDMLFNVLLQLLDAPLISSKIDSMENRWASELIGGKLFSSIFDPVHLHYDHLVLVDYLISKDVGVHCAQYLLRCLRLVTQCWHSFTDDSIYEAKIEKLNFKRQKTFNDANSSSGSSIEGPKLGSACHKKSKNKHKLFLNAKACLLSLKRTLEDLHRKGLFPYNPKPLLKSLARFEELCEQD >OGLUM07G01330.1 pep chromosome:ALNU02000000:7:1145985:1152668:1 gene:OGLUM07G01330 transcript:OGLUM07G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAVAPSTTTGYGSGEARRSSGRRRSRGGKGELRHLLSHDPIIISKSLRGKETVLMAEMVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQHLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRSAGGERRRHGEVGGGAWRGKLAAPRRDGDEEVQAQAHPPTTAAASARDQESLICPFITLGIVICDRVLMAEIVSSVVVHEAVNQIIHGLVNWNERKSSTEENMERLEMAHIRLEAALETSCKWRSLKKLKRAAQECDDTLRKCRQHILEQEETEQEVRNSSIPRRIAHATKSLVSSLFYSNIDGSCRSAVQRFEWFADGANEFLRFVEFGGTPHQYLFFDPLIRQLLAGKTLEYKLVSENKYRLFVIRPFCISENRIEARLIFDSKNASALEDDFFLCMLLQVSESVDILGIVIKCLQLFNPHFMSTAESVRNELTQLPSQDFTWVPYAESCHKKHWDNIHSITTQWFRPNPLCCKQHGQNHSCESSNLGMPSVQGVSLGPVIEVMPFPVPEFREQGTIVKGKPSLKICPHMKVDLVYTPHGSSQDLLPEIKSSVIEVINGDKQHCLNTNIALELMEEIMLPRAVDFFHVNAEAKLYQMLWKSKHGGAYLQVMKATMNTRSTQRTIRGSRKAKLLQQQGHKTQHRTNAISDFLNLWSAHAPVQLQGSILDWIQKEKEAQLAPPLLRLKF >OGLUM07G01330.2 pep chromosome:ALNU02000000:7:1152794:1166906:1 gene:OGLUM07G01330 transcript:OGLUM07G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCATYAAAVGRESYRLLNMGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQLWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVKNSSLPTRIAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDGSAPVDNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTFLPDISLESIIDVSLQCQVSLAGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKDKEAQGSNILARGSDLGSYLQRSGNNQVAPYVVARAATRRGRKKSACLLAAAAARACALARGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEQMDRLEMAQIKLDIALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERGVEGMVFFVYNDGTAPEDNFFLGMILQLSESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDSLHSIATEWFRPNPVCCKHHDQKVCGSGNMNKIELQDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEFLRGPSLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAIDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWAAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >OGLUM07G01330.3 pep chromosome:ALNU02000000:7:1155098:1166906:1 gene:OGLUM07G01330 transcript:OGLUM07G01330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVSSAVVHETVNKIISGLIDKYEQNSSAEEQMERLEMAHIKLETALETSSKWQITGGPLQLWQKKLKRAAEECDDTLRKCRQRVQEEEQAEQQVKNSSLPTRIAHATKSLISSIFHGNIDEPIRSAVRRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGRLLAGETLEYKLVQGNKQHLFWIRPNNTAERGVEAKLIFVYNDGSAPVDNFFLGMMLQLSECTNIVGTAIKCLQLFAPHFKSTTETVRKELSQLPTQDFSWVPRSRSYHWDSIHSTVTEWFRPNPMCCKHRSQKVCSSGNMEKTFLPDISLESIIDVSLQCQVSLAGLKDQVTVVESKPSLKEFPHLKVHLVYTPHGSSEDLFPAVESSVIEMVNGVDQHCLHTNIALEQMEGIMLPRAVDCFRQNAGTTVYQMVWKSKHGGAYLQAVKVSKNMLRQRTIRGAKKAKLLRRHDHWTQSRIDAISDFFNLWAAHAPVQLQGSILDWTQKDKEAQGSNILARGSDLGSYLQRSGNNQVAPYVVARAATRRGRKKSACLLAAAAARACALARGRESYRLLKMGEVVSSALVHETVNKIISGMIDKYERKSSAQEQMDRLEMAQIKLDIALETSKKWQITSEPLLRWQKKLKRVAEECDDTIRMCRQRVQEEQEAKQVARDSFFPRRIAHATKSLISSIFYGNIDEPTRSTVRRFEWFADGANDFLRSVESGGTPRRYLFFDPLIGHLLAGEMLEYKLVQGNKQHLFWIRPNNIAERGVEGMVFFVYNDGTAPEDNFFLGMILQLSESTNIVGTIIKCLQLFAPHFESVTETVRKELTLLPTQDFSWIPHSRLYHWDSLHSIATEWFRPNPVCCKHHDQKVCGSGNMNKIELQDFSLESVIQVNLQCHVALPGFRERGTIVEGKSSLKEFLRGPSLNVLLAYTPHGSSESLFPSVEGSVIEVINANEQHCLHTNIALQQMEEIMLPRAIDYFHQNAKATVYQMLWKPKHGVAYLHAVKATVNILSTRRTIRGARKSKLLRQQDHKMHHRTDGISDFLSLWAAHAPVQLQGSILDWVQKEKEVQLAAPLLRLKF >OGLUM07G01330.4 pep chromosome:ALNU02000000:7:1145985:1156902:1 gene:OGLUM07G01330 transcript:OGLUM07G01330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAAAAVAPSTTTGYGSGEARRSSGRRRSRGGKGELRHLLSHDPIIISKSLRGKETVLMAEMVASTVVQEVLGGAVSYLSSNREKVSERHNLEKLEMAHAQLEHALERSSKLPITDVSLLRQRRMFKRAYEECSEVVEKCKVRILEVAEEQGVTHSYFPKQFVQAVKAMKSYVLSFLGMNKQHLLSCSHVRRFEWFAKKAGKFARDVETGRTLWHYNFFSSLIRPLLEGKHLKYDMPLQGSKTLGITIVPVSLEGRGVEALIQLNKEDSRMPLKNFCLLLILRLSESTDIVRIIINCLQLLGPHFMRLAKDAIGQLAALQHSHPQDLEPLLALQAVDYHRSFLAFRATVARPDPFCCREKRLDPCADNTISPNLPYDIPEQVIYVRCSSYISAVEYNYLYNSSNIVRDWSILKMVINISPHFSHPEANRGSYSSRGATDKCMYASLHEMQEMAVLVPKVMDCFVCQPDLGFYSLSFHSVHGYGYLCLMKPRIRSCKTNSSCRSKARRSAGGERRRHGEVGGGAWRGKLAAPRRDGDEEVQAQAHPPTTAAASARDY >OGLUM07G01340.1 pep chromosome:ALNU02000000:7:1157164:1158307:-1 gene:OGLUM07G01340 transcript:OGLUM07G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPPCERPRRRRLSIDQKPRPPATAAAAAEAPEAMAGRGGVLVVVGVHAAQVSDDSTHVSGDTCKYHVILIMYYAIFYHVSRDTRKVSCETCQISCDFNHVSRDTHEVLCDTCHVSDDFYHVSRDTREVSDDFYHVSRDTCEVSDDTYQTRTATAAAEDGRGDGGGSGGRERRRWGMGVAAAATGDGHGGGGDGGRTWQWWGIATTASECMDKNRVVERSYPVGGRYPVP >OGLUM07G01350.1 pep chromosome:ALNU02000000:7:1162262:1165251:-1 gene:OGLUM07G01350 transcript:OGLUM07G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNTNTHLCRWKGVTCDQRAHRVVALDLVGQTLTGQISHSLGNMSYLTSLSLPDNLLSGRVPPQLGKLRKLVFLDLSGNLLQGIIPEALINCTRLRTLDVSRNHLVGDITPNIALLPNLRNMRLHSNNLTGIIPPEIGNITSLNTVILQGNMLEGSIPEELGKLSNMSYLLLGGNRLSGRIPEVLFNLSHIQEIALPLNMLHGPLPSDLGNFIPNLQQLYLGGNMLGGHIPDSLGNATELQWLDLSYNQGFTGRIPPSLGKLRKIEKLGLDMNNLEARDSWGWEFLDALSNCTRLKMLSLHQNLLQGVLPNSVGNLSSSMNNLVLSNNMLSGLVPSSIGNLYRLTKLGLDFNSFTDPIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLRYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNKLTGEIPPTLGTCQQLETINMGQNFLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGKVPTDGVFRNATAISLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGILCLIFLAYLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAESNLIGRGSYGSVYKGTLTQENMVVAVKVFHLDMQGADRSFMTECKTLRSIRHRNLLPVLTSCSTIDNIGNDFKALVYKFMPNGNLDTWLHPASGTNASNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSKSPAIGDSSSISSIGLKGTIGYIAPASSVSSRGNYPVVIAHIIDTYLREDRKELAPVMLDEEKAVYQLLLDMLGVALSCTCQNPSERMNMREAATKLQVIKISYISGMES >OGLUM07G01360.1 pep chromosome:ALNU02000000:7:1165285:1168683:-1 gene:OGLUM07G01360 transcript:OGLUM07G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPSMTQMQSKIYPDAKQITGGSFCSEIAIDFNCHCTASRAAHQRKMGTSMARTWPRS >OGLUM07G01370.1 pep chromosome:ALNU02000000:7:1169126:1171273:1 gene:OGLUM07G01370 transcript:OGLUM07G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRQGGAVGCGRRPAGEQLGTGVGSTRRRRPHPQPLPFPPASATSVFACHCRMVDPTSRMRIRPARDGHIAAVVDASNGSESWAAASAEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAAATLLLFLSSDAAAAAGTYTQNVGLLEETFGLTEDAVNESEAEATSSEQRMETLVSEAKVSVPSSSLFKTVTVRCGHCSSLLTVNIGGLLLLPTSATAPPPPPPPPPPAATHFPHSLNLAPPANPPHHHSLLDEISTACSPTQLLLEQHGLGGLMASAASCRNNNVCRNNKKMIAFLRWALHPPSFFRARDSKKLVAFISGVPARIRARDDVVRMAVINFPCVHKKLRSKREERREEGREEGKKNMTWHHNMWDPRGFYANSTVTWDKTGVNTTLGSRVTGFV >OGLUM07G01370.2 pep chromosome:ALNU02000000:7:1169126:1171273:1 gene:OGLUM07G01370 transcript:OGLUM07G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWRQGGAVGCGRRPAGEQLGTGVGSTRRRRPHPQPLPFPPASATSVFACHCRMVDPTSRMRIRPARDGHIAAVVDASNGSESWAAASAEEHPSSRSDEQSEEADEDGDGNAEAVGAAVLLFSPPPPAVAAATLLLFLSSDAAAAAGTRSNFFRTEDGNIGFRSKGEAEITAAAAPPRRIVSVPSSSLFKTVTVRCGHCSSLLTVNIGGLLLLPTSATAPPPPPPPPPPAATHFPHSLNLAPPANPPHHHSLLDEISTACSPTQLLLEQHGLGGLMASAASCRNNNVCRNNKKMIAFLRWALHPPSFFRARDSKKLVAFISGVPARIRARDDVVRMAVINFPCVHKKLRSKREERREEGREEGKKNMTWHHNMWDPRGFYANSTVTWDKTGVNTTLGSRVTGFV >OGLUM07G01380.1 pep chromosome:ALNU02000000:7:1172175:1177485:-1 gene:OGLUM07G01380 transcript:OGLUM07G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWNTTTAAAAAAHFCGWKGVTCDGERRRVAALDLAGHTLSGRISASLRNMSRLASLNLSSNLLSGPLPPQLGSLRELVVLDLGGNSLQGSIPEALTNCTKLRTLDISRNHLVGDITPNIALLSNLRNLWLYSNNLTGIIPPGIGNITSLNTVILQGNMLEGSIPDELGRLSNMSYLLLGGNRLSGRIPDVLFNLSYVQEIALPYNRLHGPLPSDLGNFIPNLQQLILGANMLEGRIPDSLGNASQLQWLHLGYNQRLTGRIPPSLGKLMKLEKIGLDMSNLEARDSWGWEFLDALSNCTRLNMVSLHQNLLQGVLPNSIGNLSSSMNNLVLSNNMLSGLVPSSIGNLHRLTKLGLDFNSFTGPIDGWIGSMVNLQALYLDSNNFTGNIPASIGNISKMSELFLSDNQFHGLIPSSLGKLRQLSKLDLRYNNLEGNIPKEVFTVPTIVQCGLSHNNLQGLIPSLSSLQQLSYLDLSSNKLTGEIPPTLGTCQQLETINMGQNFLSGSIPTSLGNLSILTMLNLSHNNLTGSIPIALSKLQFLTQLDLSDNHLEGKVPTDGVFRNATAISLEGNRQLCGGVLELHMPSCPTVYKSKTGRRHFLVKVLVPTLGILCLIFLAYLAIFRKKMFRKQLPLLPSSDQFAIVSFKDLAQATENFAESNLIGRGSYGSVYKGTLTQENMVVAVKVFHLDMQGNDFKALVYKFMPNGNLDTWLHPASGTNASNQLSLSQRIKIAVDIADALQYLHHDCENPIIHCDLKPSNVLLDHDMTAHLGDFGIAHFYLKSKSPAVGDSSSISSIGLKGTIGYIAPEYAGGGFLSTSGDIYSFGVVLLELLTGKRPTDPLFCNGLSIVSFVERNYPDVIAHIIDTYLREDRKELPPAMLDEEKAVHGQLLLDMLGVALSCTRQNPSERVNMRDAAAKLHVIKISYISGMESKVTRDQMFTRKPA >OGLUM07G01390.1 pep chromosome:ALNU02000000:7:1188887:1203663:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHWAVLFEVEEFLLPGIDSLSIAKATTTMRWMNRQRTRFSGGPTMASPTYLCVEKIEYLEKYRRFKQLGEARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMERLEIAHIRMKAALEISSRWPRVTDASLLRWRKKLKRASDECSQVMDRCKRRAMEDDEMEQEISRCSFPKRIALATRSFLSPFAADKNVDSLNSTSTIQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNNHERPEENFVLGILLRLTASTNVTGIVARCLDSLLPSFKPVAEAAKQELTQVHHRAFYFFPFVDSTDPYWSIHHSETHRARPNPACCHGQSRSSDMVEPSTTGTTFPEQVIKLFVQRHVSARPSSSGHGDGERRRFSEDSGPPPLLQVTAIFAPHASPQEELPSGAESAAVVAIDGKEEQAVRTNIGLREVDEFLLPGAIDRLCHDVRDTVDGSSSAAAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILCHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRGLLKMEEIVSSAITQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKTKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKMQRKMQKKSSKVNKLIGDLVSPWMGWVVDMPSQHKTNSSIVQNEFQSISKALRIEYLEKYKRYEGKTCHRFHGWGNQQSIMMSEVVASAVVGEAVSRVSTFFINKHKRKVSEEDGMERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHATRSFSSSFSADQNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVYPLTGPLLAGKALQFENPPGRSGYCLSARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGYYCFPFVASTDPEYSRIHQSRTHRARPNPACCEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSGTGQKRTSSSSCSCSCGHANMGCSGPPLLQVTAVFAPHASPEELPSGAESVAVVAIYGGSSAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >OGLUM07G01390.2 pep chromosome:ALNU02000000:7:1179209:1194273:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPGDVAATGRSPTRPGVDRRRTRGGPCTALPSLTRCCHCRAPYIRAASRRCGEGGGRCFLAAAALARETNGNTKKLQEGEQVDWVREWGVFGEIQAVLREDLSSCYRMTSPPAIVNQLNPLFTTQIYALHKSQPQKGFINKNILMSEVVVSAVIGEAVSRVSTFFINKHKRKLNEEDGMERLEMAHIRMEAALEVSSRWPPVTDASLLRWRKKLKHASDECSQVMERCKRRAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSQGNQYYLAAWPMSFAERGLEAGVLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHQARPNSACCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHTNVRLCEVEELLLPNAIDRLWRHEAADDDDSSSTHEVLWQSGHGVAYLCLKKMGREMAGCRRTHWPCHCGRLWHRRAQLWCGGGNGAVAGGGGAGGKTRKAHQPLAHRPKPACHEGQEHHVPHASPEELLSRNVTVAAVAIDGREDQAMNEHWAVLFEVEEFLLPGIDSLSIAKATTTMRWMNRQRTRFSGGPTMASPTYLCVEKIEYLEKYRRFKQLGEARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMERLEIAHIRMKAALEISSRWPRVTDASLLRWRKKLKRASDECSQVMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNQYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMVEPSGAFPEPVIKLAVQRYVSTSQRQKQSSSSSSSGFSGNSGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAADESSAHEVFWRSCHGVAYLCMENVVTEMAGCRPTHWPRSALVRQRRRRGGWWLVAAPRREGRKRGVEIIEDRRADHLERLEMAQIKLEVTLETSNKWRITGDPILRWQKKLWKSVITKYAGVGSTSRKKRLNN >OGLUM07G01390.3 pep chromosome:ALNU02000000:7:1179209:1194273:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRRPLLPRRGRTSSSPVAKLEGVFGEIQAVLREDLSSCYRMTSPPAIVNQLNPLFTTQIYALHKSQPQKGFINKNILMSEVVVSAVIGEAVSRVSTFFINKHKRKLNEEDGMERLEMAHIRMEAALEVSSRWPPVTDASLLRWRKKLKHASDECSQVMERCKRRAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSQGNQYYLAAWPMSFAERGLEAGVLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHQARPNSACCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHTNVRLCEVEELLLPNAIDRLWRHEAADDDDSSSTHEVLWQSGHGVAYLCLKKMGREMAGCRRTHWPCHCGRLWHRRAQLWCGGGNGAVAGGGGAGGKTRKAHQPLAHRPKPACHEGQEHHVPHASPEELLSRNVTVAAVAIDGREDQAMNEHWAVLFEVEEFLLPGIDSLSIAKATTTMRWMNRQRTRFSGGPTMASPTYLCVEKIEYLEKYRRFKQLGEARVIDKNILMSEVVASAVVSEAVSRISTFFIDKHKWKLSEEDGMERLEIAHIRMKAALEISSRWPRVTDASLLRWRKKLKRASDECSQVMDRCKRRAMEDDEMEQEVRQCAFPKRIAHATKSFISSFTGQKKVDSLITTSTIQRFERFANGAGEFLRFMEFGSIGRINYMPVDPLTGHLRAGKALQYENSHGNQYYLAARPMRFAERGQEAGVLLRYQNHERPEENFILGIMLRLAASTNVTAIVASCLELLPPNFKSVAEAAKQELTQLHQRGFYCFPFVDSTDPEYWSIHHAETHRARPNSACCDCEEHEHHGRSRSSDMVEPSGAFPEPVIKLAVQRYVSTSQRQKQSSSSSSSGFSGNSGPPLLQLTAVFAPHASPEQLLSGAESVTVVAIDGREEQPVHTNVGLHELEELLLPNAVNHLCHEAADESSAHEVFWRSCHGVAYLCMENVVTEMAGCRPTHWPRSALVRQRRRRGGWWLVAAPRREGRKRGVRAPNHRLMRESYITADHLERLEMAQIKLEVTLETSNKWRITGDPILRWQKKLWKSVITKYAGVGSTSRKKRLNN >OGLUM07G01390.4 pep chromosome:ALNU02000000:7:1194294:1203663:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVHATKSLVLSIYNGNIHEPNRSVVRRFEWYADGANDFLRSVEFGGTPCYYLFFDPLVGHVLAGETLEYKLVQGNSFNQFGVRAAVITKNILMSEVIASAVVGEAVSRISTFLIDNHNRKSSEEDGLERLEMAHIKMEAALEVSSRWPLAMDSSLMRWRKKLKRASDECSHVMDRCKRRAMEDDETEKISRCSFPKRIALATRSFLSPFAADKNVDSLNSTSTIQRFERFADGAGEFLKFMEFGRIGSINYMLVDPLTGHLLAGKALQYESSHGNQYYLISKPMSFAERGQEAGVLLRYNNHERPEENFVLGILLRLTASTNVTGIVARCLDSLLPSFKPVAEAAKQELTQVHHRAFYFFPFVDSTDPYWSIHHSETHRARPNPACCHGQSRSSDMVEPSTTGTTFPEQVIKLFVQRHVSARPSSSGHGDGERRRFSEDSGPPPLLQVTAIFAPHASPQEELPSGAESAAVVAIDGKEEQAVRTNIGLREVDEFLLPGAIDRLCHDVRDTVDGSSSAAAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILCHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRGLLKMEEIVSSAITQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKTKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKMQRKMQKKSSKVNKLIGDLVSPWMGWVVDMPSQHKTNSSIVQNEFQSISKALRIEYLEKYKRYEGKTCHRFHGWGNQQSIMMSEVVASAVVGEAVSRVSTFFINKHKRKVSEEDGMERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHATRSFSSSFSADQNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVYPLTGPLLAGKALQFENPPGRSGYCLSARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGYYCFPFVASTDPEYSRIHQSRTHRARPNPACCEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSGTGQKRTSSSSCSCSCGHANMGCSGPPLLQVTAVFAPHASPEELPSGAESVAVVAIYGGSSAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >OGLUM07G01390.5 pep chromosome:ALNU02000000:7:1179209:1188802:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRRPLLPRRGRTSSSYEENLPSIGSPAEDWAVDKGRFLKSTISSIFRGNIDEPIRSAVRRFELFAHGANDFLRSVEFGGTPGETLEYKSVQGNKQLLFWIQPNNIAERGVQAMLLFVYNDGTASEVGTIIKGLQLFTPHFKSTTENVRKQLTLLPTQDFSWVPQAHSNHWYNIHSIAIEWFRPNPLCCKHHGAKVCGSGNMDEIGLQNVSLEPIIEVSLMCEVSLRGFGECGTIVEGKPSIKEVPHPKVNIIYMPHGSSGDLFPTVESSVVEVINVNEQHCLHTNIALQQMEQIMLPKAIDCFHQNAKARVYQMIWKSKHGGAYLEVVKATMNMTSTRRTIRGAKKAKLLRRMDQRTQRRTVVTCDFICDFLNLWAAHAPVQLQGSILDWIQKEKETDPVAKLEGVFGEIQAVLREDLSSCYRMTSPPAIVNQLNPLFTTQIYALHKSQPQKGFINKNILMSEVVVSAVIGEAVSRVSTFFINKHKRKLNEEDGMERLEMAHIRMEAALEVSSRWPPVTDASLLRWRKKLKHASDECSQVMERCKRRAMEDDEMEQEVRQCAFPKRIAHATRSFLSSFTGQKKVDSLITTSTIQKFERLADGASEFLRFMEFGSIGRRINYMLVDPLTGHLLAGKALRYENSQGNQYYLAAWPMSFAERGLEAGVLLWYQNHERPEENFIFGILLRLAASTNVTGIVARCLELLPPNFKPVAEAAKQELTQVHHRALYCFPFVDSTDPEYSRRTHHSETHQARPNSACCQGHNHHGRYPEPVIKLVVRRYISAWQKPSSSSSSSSSSGHGDRRTPLLQLTAVIGPHAWLEELPPRARSVAVEAIDGREEQAVHTNVRLCEVEELLLPNAIDRLWRHEAADDDDSSSTHEVLWQSGHGVAYLCLKKMGREMAGCRRTHWPYCGIAELSSGAAAETARWLVVAAPAGKQEYGRESAHSAEPSIYESYKAHQPLAHRPKPACHEGQEHHGQSHQMKAI >OGLUM07G01390.6 pep chromosome:ALNU02000000:7:1196886:1203663:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILCHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRVIVCWQLGTLWCICVPSSSFLLIQLRRHLEGLLKMEEIVSSAITQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKTKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKMQRKMQKKSSKVNKLIGDLVSPWMGWVVDMPSQHKTNSSIVQNEFQSISKALRIEYLEKYKRYEGKTCHRFHGWGNQQSIMMSEVVASAVVGEAVSRVSTFFINKHKRKVSEEDGMERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHATRSFSSSFSADQNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVYPLTGPLLAGKALQFENPPGRSGYCLSARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGYYCFPFVASTDPEYSRIHQSRTHRARPNPACCEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSGTGQKRTSSSSCSCSCGHANMGCSGPPLLQVTAVFAPHASPEELPSGAESVAVVAIYGGSSAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >OGLUM07G01390.7 pep chromosome:ALNU02000000:7:1196886:1203663:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILCHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRVIVCWQLGTLWCICVPSSSFLLIQLRRHLEGLLKMEEIVSSAITQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKTKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKMQRKMQKKSSKVNKLIGDLNEFQSISKALRIEYLEKYKRYEGKTCHRFHGWGNQQSIMMSEVVASAVVGEAVSRVSTFFINKHKRKVSEEDGMERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHATRSFSSSFSADQNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVYPLTGPLLAGKALQFENPPGRSGYCLSARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGYYCFPFVASTDPEYSRIHQSRTHRARPNPACCEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSGTGQKRTSSSSCSCSCGHANMGCSGPPLLQVTAVFAPHASPEELPSGAESVAVVAIYGGSSAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >OGLUM07G01390.8 pep chromosome:ALNU02000000:7:1196886:1203663:1 gene:OGLUM07G01390 transcript:OGLUM07G01390.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAATHGRHRGRQPWPPPPAGRSAMRQAARGPAPAAQGGPGMAFPCSILCHYCLHVAPHIRYERVRRRCGDGGGALGGRGALVRGLLKMEEIVSSAITQETVNRIISGLIDRCERKSSAQDHLERLEMAQIKLEFALETSNKWQITSGPLLRWQKKLKRATEECDDTLRKCRQHIQEEDEVEQQVRNSSFPRRIAHATKTLVSSIFHSNSDELGRSSVQRFEWFADGANDFLRSVEFGGTPRRYLFFDPLIGHLISGETLEYKSIQGNKQHWFWVRPNNSAERGIEAKLFFACNDGSAPEDNFYLDIILQLSESTNIVGTTIKCLQLFTPYFESTAETVRKELIQLPTQDFSRVSHSHSYGWENIHSIATEWFRPNPLCCKHHGQKVCGSGNLHKVELTDISLEPIIEVSLLCQVSPPGFREQGTIVEGKSSLKEFPHLNVILVYTPHGSSEDLFPAVDSTVIEVINGNEQHCLHTNVPLEQMEEIMLPRAVDCFRQNAKATAYQMLWKSKHGGAFLQAVKATMNMRSTRRTIRGARKTKMLRRHDRRTHNHRHEVADFLSLWAVHAPVRLQGSLLDWIRKEKKMQRKMQKKSSKVNKLIGDLVSPWMGWVVDMPSQHKTNSSIVQNEFQSISKALRIEYLEKYKRYEGKTCHRFHGWGNQQSIMMSEVVASAVVGEAVSRVSTFFINKHKRKVSEEDGMERLEMAHIRMEAALEMSGKWPPVTDASLLRWRKKLKRASDECSQVMNRCKRRAMEDDEMEQQIRRCSFPRRIAHATRSFSSSFSADQNVDSLITTSTIQRFERFADGAGEFLRFLQFGSIGSINYMLVYPLTGPLLAGKALQFENPPGRSGYCLSARPLRFAERGVVACVFLQYKNHERPEENFLLGILIRVTASTNVVGIMARCSEKLTSNFKPVTEAVRQELAQFHQRGYYCFPFVASTDPEYSRIHQSRTHRARPNPACCEEHEHHGRRRSSDMVEPLGAFPEPVIKLVVQRHVSGTGQKRTSSSSCSCSCGHANMGCSGPPLLQVTAVFAPHASPEELPSGAESVAVVAIYGGSSAHEVFWRSGHGVAYLCVEKMGTEMARCRPTQWRV >OGLUM07G01400.1 pep chromosome:ALNU02000000:7:1184144:1184697:-1 gene:OGLUM07G01400 transcript:OGLUM07G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFVEKKVVYRSIALMQRWRVLPRRKDCTLLDNVVKKLMEKMVQLRHGDALPDDLGIG >OGLUM07G01410.1 pep chromosome:ALNU02000000:7:1208485:1216408:1 gene:OGLUM07G01410 transcript:OGLUM07G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCRQKGKLPPSAITLVAYHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGCYCTIINDGHTPCAFLPGFCGQNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLFEPDHRRIIDLYPSCLVNIILPNYLPATCILLPYSNPRNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPVAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >OGLUM07G01410.2 pep chromosome:ALNU02000000:7:1208485:1216408:1 gene:OGLUM07G01410 transcript:OGLUM07G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCRQKGKLPPSAITLVAYHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGCYCTIINDGHTPCAFLPGFCGQNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPVAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >OGLUM07G01410.3 pep chromosome:ALNU02000000:7:1208485:1216408:1 gene:OGLUM07G01410 transcript:OGLUM07G01410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCRQKGKLPPSAITLVAYHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGQNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLFEPDHRRIIDLYPSCLVNIILPNYLPATCILLPYSNPRNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPVAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >OGLUM07G01410.4 pep chromosome:ALNU02000000:7:1208485:1216408:1 gene:OGLUM07G01410 transcript:OGLUM07G01410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELMDDVVEEILLRLPLDDPSCAARASAVCKHWRHLLADAGFLRRYRAFHRRRAPPRLGFIYDAGSPLARFAPTTAFRPADLDHDGWKPMDCRHGRALFRTSASAVLCAVSGCDHGGCHGGPFAVAFVANYVMEGEEEEITSPTSAWLYSSETGTWSAPSTVRHHNAEPFPKPSVLAGDGAVYFLTWHGRNILRYDLRKLDLTVIASPEIDDDDFENHLLMTTEDGGMGLARLVSGHSLQLWSWKPVSAAAAWVQLRVIDLDLVIPGDAMRPRLLGFAEGTDMVFVDTTYDGAQVVQQIELSTLKVTKVLDECYASCRQKGKLPPSAITLVAYHGELAPECVSTYFKYGCALLYKAQDEADPLGNVPKSSSNKESMKSTTNKDDSGSSKTPGSNTEDAPSTDKADAEEGQNSNGKGQEEENGDSDKDDDEMVGDEDDSDLDQAWKMLDIARAIVEKSPDDTLEKAKIFSALAEVSMEREDIDNSLGDYFKALAILEKLNFRICLVYELVSKISDAIPYCAKAISLCKSRIQSLKNDKDALLAGKDDNASAADGGSEKSAPEGEIEQLSGILSELEKKLEDLEQAMSTPSSVMDEIMKRIASKAGGEQNATDTMPVAASFNSSSQMAGSSNGFDSSTLSTAATTGSTGSTVTDLGVVGRGIKRANIKPISAEPPPKRAAADSLSVKGDSSNNNSDVHTPAQEGDDSVSK >OGLUM07G01420.1 pep chromosome:ALNU02000000:7:1228670:1232049:1 gene:OGLUM07G01420 transcript:OGLUM07G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSENVEAPRDEQRDPPPSPPNPSEEAGAGEEMEAEGEGEEEVKTLERAEELFERGSKAIEEGDFVDAVDCLSRALEIRGFLRYTPSASTVPFPDLAGSMWGNNPWRRLLSDPVFLRRHRAFHRRRAPPLLGFIHHVSDEPARRVPSFAQFVPTTAFRPAELEHKNCWPLDCRHGRALFQSSNVELTIWDPMTGDVRRQREPYGTLCTFATAAVLCAVPGCDHHDCHGGPFVLVFVGNDEDDDGKEIASASSYSSETGTWTAASTVHHDDSLELESKPSVLAGDAVHFLTYFGKAILRYDLTKLELSVILPPVAYGDGDALLMTAEDGELGLALFDGEASIHLWTRVAGAGWVRRNVIDLYAVLPFFDPVHSLSLVGFAEGTDIIFLHTIHGDYRMELKSLQISKLWEKDRCFNIFPYMSFFVPGRDRNKLPSPTIIASI >OGLUM07G01420.2 pep chromosome:ALNU02000000:7:1219679:1229116:1 gene:OGLUM07G01420 transcript:OGLUM07G01420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVSSAVVHETVNKIISGLVDKYERKSSAEEQMERLEMAQIKLEIALETSNKWQITSGPLLRWQKKLKRAAEECDDTLRKCRQRVEEEEEVEQQVRNSSFPKRIAHATKSMISSIFHGNIDEPTVSSVRRFEWFAEGANDFLRSVEFGGTPHRYSFFDPLIGHLLAGETLEYKLVQGNKQHLFWIRPNNISERRVEAKLIFIYNNCSAPEDNFFLGMMLQLSESTNIVGTTIRCLQLFSPYFSSSTTEAVRKELTQLPTQDFSWVPRSRSVHWDSIHRVATEWFRPNPLCCKHGHKVCSSGYMDKIEFCDVSLEPVIEVYLESQIFQYSCNKQRADVQGKICSPRRPSYLKLGVFLLPHVSSTDLLPATESFAVEVINGEEQLYCHKNVTLEKLNRIMLPKAIDSFNQNAEVTAHQLLWKSKHEAAFFHVWNTRMNMSSILSTARKSTLLQQHDHLELESRADSLTTMATTTVHATDAMAGRGLSAAGRGLLCRRRVRSEAAPTRGSAAQGGAGMAFPSSIRCRCCFHCEPPPYTRACAATRRCGEGRRPPLPRGGHGALDQGRQLLRIDH >OGLUM07G01430.1 pep chromosome:ALNU02000000:7:1222545:1223947:-1 gene:OGLUM07G01430 transcript:OGLUM07G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDISDLVAQAIRLGKEEFLTCCSFSSSSWTCCLHLRSVSSHSSVARFSFFCQRSNGSLVICHLLDVSSASSNLICAISSLSISSASASPLNRTAVTKIKLVARGEETKPYLDLARRGRREEAAAFFPLRIAGSPHKHACMVAAHSGSNSGIGSRKETPFRHRLAPPIHEWARPRCGPFGGRAIPVRRRRGPVRPWRQWRARWWLPWWSATQRGDEGVGAAHM >OGLUM07G01440.1 pep chromosome:ALNU02000000:7:1233361:1235167:-1 gene:OGLUM07G01440 transcript:OGLUM07G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGGGGAAGVDDLTDDLVAEILLRLRPSEPACLVRASAVCKPWRRILTDQAFLRRYRDFHGAPPLLGFLHNVAGGGEDRKVCERGYFKAVFPYTSFCTPGVHLQQNRVQFSRKRLLELREGDVAKGMQSNGKSHKGGQP >OGLUM07G01440.2 pep chromosome:ALNU02000000:7:1233361:1235167:-1 gene:OGLUM07G01440 transcript:OGLUM07G01440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHCVGGGGGGAAGVDDLTDDLVAEILLRLRPSEPACLVRASAVCKPWRRILTDQAFLRRYRDFHGAPPLLGFLHNVAGGGEDRYVPFTASPVSPPDIACPCWVALDCRHGRALLDEFPFSADFTVWHPMAGRRRRLPRPDLPYFMSYAAAVLCSAAGCNHLDCRGGGPFLVVVVGIDEPEQQNSRPWATVYSSDSDSWSPTTSDYLNLTLTPNCDVDRKPAALVGDALHFALAEGSGIIKYNMGECSLSRIHPPVVYKGGIVVMAMGGNLLGLGGIEGSILSMWSSDVSLDGGVRWEKNRVIKLESLLPSIDCVEAVACELAQPAPIGFVDGADIVFVRTDAGIFMIELKSMCVRKVCERGYFKAVFPYTSFCTPGVHLQQNRVQFSRKRLLELREGDVAKGMQSNGKSHKGGQP >OGLUM07G01450.1 pep chromosome:ALNU02000000:7:1244406:1245017:1 gene:OGLUM07G01450 transcript:OGLUM07G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCLSSGVAAAVAEAGMAASTAMVLLPTGELREYPRPATAARVLEDVAAAEGEEEDVGRRFFLCDADKMGFEGPVAAVAAAAELRPGQIYFVLPGEVRRRGMRREEVAALAVKASAALAAASSSSTTSGCGGGRRRRGSVAPLVFAPPEEEYEYDASDYCKSNASAAAAGKRRPVAARRGGGKGRQFATDLTAIPELDMITE >OGLUM07G01460.1 pep chromosome:ALNU02000000:7:1249075:1250946:-1 gene:OGLUM07G01460 transcript:OGLUM07G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid division2 [Source:Projected from Arabidopsis thaliana (AT2G16070) TAIR;Acc:AT2G16070] MEGEEIGLVLARASDLRSRISACVAAAGAREPPEGEGEGEGEGGEAVKRLGDGEEEEEEEEEVESLVGISNALESLERQLASLQDLQHQQRYERETILSQIDRSRGCLLNKLKEYKGQDCEVIHEAASFAGEKIEHDDGLMLPPYSNHVTNSFVLDDLYPPSYLAKLKCMHNGLGSGGTNQDVTKTNRLENRNGSMPNGNSQGGIRSFVGWLAKTAVMVVGAVSIMKAAGYEPVIGRHSIKLDMAGLFSKEATSGKDQATVQCPPGKVMVLEDGRAHCVVKERVEIPFDTNLASPNASYGLG >OGLUM07G01470.1 pep chromosome:ALNU02000000:7:1250996:1252243:-1 gene:OGLUM07G01470 transcript:OGLUM07G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLELCQAESESQSSPSRAYQIERPASTRGPPAGGCVMQSFGFGYALGLLTTTATRRRRRRAAATARVASALS >OGLUM07G01480.1 pep chromosome:ALNU02000000:7:1252270:1256442:-1 gene:OGLUM07G01480 transcript:OGLUM07G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILEKKGRKSLPKAMKPSNTTAKHNRSKSDLEDKNAKDALCSSLKACNQPKLILQLESHLKDQQVVRGALEKALGPDPDHPAPVNLSLESPMLKVQPANELIREVATLELEIKHLEQYLLTLYRKAFDQQQQQAATVACSDAARLSVSSRCSQLLEETPKAKAAAAAAPGRRGGDAIHYSCPPAPVSKRWNNGGGAADDCSPSTCPRKTMDSSDQYRGLRSQSALSFRGVCSSRISPSEDSLARALRSCHSQPFSFLEEGEAAPSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVYCKLADPPLVHHRASSSPASSFSSTSVVSPQYLGDMWSPNCRKEATLDSRLINPFRVEGQKEFSGPYNTMVEVPSISRDRRRLREVEDLLQTYKLILYRLETIDLRRMTNDEKIAFWINIHNALLMHAYLKYGIPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTHCPGQWLRTLLHPRIKSKASKAGGEWQAFAIHQSEPLLRFALCSGSHSDPAVRVLSPKRLSQQLEAAREEYIRATVGVRKEQRVTLPKLVESYARDARLSPERLVDAVQRCLPESLRAAVQRCRQSRPASKVVEWAPYRHSFRYLLARDLAFPHLT >OGLUM07G01490.1 pep chromosome:ALNU02000000:7:1263537:1267320:-1 gene:OGLUM07G01490 transcript:OGLUM07G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGVGCAIVPIIRLLLKTSMEEFRFGRLDGQPAKIRNVPIAVTPEGFWCCPSQAVLQKSMKNQNQHARPKGGASPSVSKASSVQRTPTISLEKRTHSTPTRSRTNSDEQVLPPADDAVPDQPKVSPVPDKRHNKQHKISVGFGQLHTSDLKVMLYGREGVAVKMIVHKNILAENSTFFADKLSRQSPVSCIEVSDCEDVEIFVETVGLMYCKDVKQRLIKQAVARVLRILKVAESLGFPTCIMSCLNYLEAVPWVGDEEENVVSSIRQLHCENYGVSPLLKRVASDLTNPPSDTLAHIIELVLKSSDDRGRREMKSLVLKLLKENNIWTNGSLDSCVVTFYSSCRNCLESLSNLFRQASEPEFYEQSSDSKEVIFRQITLEADNLLWLAEILADRNAADELTSIWASQGELAKLHCRIPVMHRHLISCVTARLFVAVGKGEALPSKETRQLLLDVWLQPLMDDYNWLQHGCRWFDRKVVEEGIGQTILTLPLEDQQSILLTWLGRFLKVGDSCPNLQRAFEVWWRRTFVRPYTDQQACSSSQSRRS >OGLUM07G01500.1 pep chromosome:ALNU02000000:7:1276032:1285469:-1 gene:OGLUM07G01500 transcript:OGLUM07G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18860) TAIR;Acc:AT3G18860] MIPSCQSRLVGSPPPFAVADLSLRLASSAARRPYDGAAASMAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTVRRWRNGSAVEVWEAHKVAVQTVLMLPSGELFTGSSDSTIKFWKGRTCLHTFTGHADTVRCLAQMPGLGILSASHDGTIKVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYTLSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVSAPKPTFKHIPKNGMLTFETAQFEGILKKFSEFNATLSSNLEQKELSLSEIELSRLAAIAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKAIESGNDVLAETFHKVVRPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >OGLUM07G01500.2 pep chromosome:ALNU02000000:7:1276032:1285469:-1 gene:OGLUM07G01500 transcript:OGLUM07G01500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G18860) TAIR;Acc:AT3G18860] MIPSCQSRLVGSPPPFAVADLSLRLASSAARRPYDGAAASMAQYHLSAQLRGHEDDVRGICICGDAGIATSSRDRTVRFWTQHPEKKHEYVLSKTLVGHSSFVGPLAWIPPSDRFPEGGLVSGGMDTLVLLWDLHKGEVVETMKGHTSQVTGLAVDNNGDIISSSMDCTVRRWRNGSAVEVWEAHKVAVQTVLMLPSGELFTGSSDSTIKFWKGRTCLHTFTGHADTVRCLAQMPGLGILSASHDGTIKVWALTGQPLLEMIGHTSLVYSVDAHSSGVIVSGSEDRSAKIWKDGICVQSIEHPGCIWGAKFLENGDIVTACSDGIVRIWTTDNNRFCSDEELAAFTDLISQYTLSRKTVGGLKLSDLPGIEALQVPGNSDGQTLIVREGDNGVAYSWNSKELKWDKIGEVVDGPGDAAAAPGQFHDGVRYDFVFNVDIGDGEPMRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGQSASSTVSAPKPTFKHIPKEQKELSLSEIELSRLAAIAKVLKETSFYHTSKLADADMTLLLKMLKSWPTQMMFPVIDFLRMFVLHPDGATLLLKAIESGNDVLAETFHKVVRPPVQPPNVLTTLKAVTNLFDKPCLHQWLRIHGMEIIDSVSSCKTTFSKNAHLAYSTLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDADSKYRALVAIGSLMLNGLVKSIALDLDVKSVANTARASKDSKIAEVGADIELLTR >OGLUM07G01510.1 pep chromosome:ALNU02000000:7:1287259:1287735:-1 gene:OGLUM07G01510 transcript:OGLUM07G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGSGGGKLVSWLWRAPRRALCRARDFYVRSITGCAGHLPPDAAFGYGYPTFAAPTTPTMSRNSSFASSRYSAGGGGDDDMRELVRAASQRLAAERAAAAAEPATVPRSQSVAMARIDEDRPCEFAGVGLVFPRSQSCAVGAGRVGGRRGRVAAVA >OGLUM07G01520.1 pep chromosome:ALNU02000000:7:1292859:1293257:-1 gene:OGLUM07G01520 transcript:OGLUM07G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPKTLLLLVLALSVILASATAFHHHHHDDGGAEAGGGGGGGGGFFEVPWFGPPGGGGWGAWGAGYGGAGGHEMARPSTVCMEKGACYKKRLTCPDKCFKSFSFKDKHGGGGGGGGGCSFDCNKCEATC >OGLUM07G01530.1 pep chromosome:ALNU02000000:7:1296099:1297229:1 gene:OGLUM07G01530 transcript:OGLUM07G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLAFSRRRRRWLAWAGAAAGAYLVYHHPAVAARRCRIARVASALASLADAVADVASDLAAFLRSDSDSIPPTVRQLSKLASSPEASASASALSGALTTGVLRGYATAAASSSSGDEAAFSDRLLDRILSPSGERLASAVAGSFGSQLVLAYYSAPSDPSSGSSSPSWVDVVTTGSCRRAIRSWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPSYGARLQQLLVALCNASMETLVKTSHSVLSNPNPNANSNQNGSNNGSGSGSGNGGDGEGWVETVSTVLAVPSNRRLVLDLTGRATFEAVRSFLDFVMWRLHEGARAGGDAAIGAGLCALRHMSERSMVIAAICIALCLHLLNGAWLMTRPGPASVDQL >OGLUM07G01540.1 pep chromosome:ALNU02000000:7:1302473:1302834:-1 gene:OGLUM07G01540 transcript:OGLUM07G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTVAVAAALAVLLIFAASSATVAMAGRPTPTTSLDEEAAQAAAQSEIGGGCKEGEGEEECLARRTLTAHTDYIYTQQHHN >OGLUM07G01550.1 pep chromosome:ALNU02000000:7:1308147:1309636:-1 gene:OGLUM07G01550 transcript:OGLUM07G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTYNQFRLIMSMSMEDNVYKQSLSSLDRYGHGNSDMQLQKEGDRRLVLGLFLEAFGIDLCICIMDSAPTAAANRRVHEIAYRFRDADKADGEEIDSSMHCGLEFLLSNNWRPIRFTETVAYAPNYACSFSHLLQQVTKHALSERWLIGAAALTISKTNGQ >OGLUM07G01560.1 pep chromosome:ALNU02000000:7:1313696:1314256:1 gene:OGLUM07G01560 transcript:OGLUM07G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDFGLPDMDVGFGLFGVDAAAFGYDGVASDAAAGLSPVVGAGDGSGGGGDVLLYCDGGGGEDGEEERRRRLRRKISNRESARRSRARRRQRVEELERAADELRAERRALASRLDATARRALAVRGANARLHAEAGVLRRRLGEAQRNATVLIGLSRLLRSTANGAHGGAAPAQLSNGGVASLMT >OGLUM07G01570.1 pep chromosome:ALNU02000000:7:1319406:1323709:1 gene:OGLUM07G01570 transcript:OGLUM07G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFF0] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKLSVLVESNERAYEAKTGGTLEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >OGLUM07G01570.2 pep chromosome:ALNU02000000:7:1319406:1324040:1 gene:OGLUM07G01570 transcript:OGLUM07G01570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFF0] MASRFWGQGDSDSEEEEQEIESEAGSESEDEGGDAGGRSNQNRYLRTTNASDSDESDSGQRVVRSLKDKRNEELKITVDQMRNAMKINDWVNLQESFEKLNKQLEKVVRVNESTTVPNMYVKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYENLIQECREHPERFEDDDVEDKDDDDETDDDASDADIEDPEKMVMSESEEEGDDDEEGDQDGGAWEKKISKKDKLMDKQFLKDPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNMWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYDGQIHVTGDLVAFLERLDSEFFKSLQCTDPYTKDYVQRLRDEPLFLVVAQNVQDYLERVGNFKAEAKVALRRVELVYYKPQEVYDAMRKLAEQDEDSREDDDADADEERQVVDDNRGPPPFVVIPEVVPRKPTFPESGRALMDALMSVIYKYGDERTKARAMLCDIYHHAISDKFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLIIEAHGCLSELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDRRKSMNRTFRRLLEISERQTFVGPPENVRDHVMAATRALRKGDYQKAFDVINSLEIWKLLRNKEHVLEMLKLKIKEEALRTYLLSYSSCYESLSLDQLTTMFDLSEQQAHSIVSKMMMHEELHASWDQPTKCIIFHNVDQTRLQGLLFQMSDKLSVLVESNERAYEAKTGGTLEGAPPRRRGGDGQDSSNLGKWQENFVSSQGRQGGGRSGYSGRVGGPGRGGGGYQRDRGSQGSRGGYGGGSRFQDGGRSRNQSGSMARGGDGGARMVSLNRSGRG >OGLUM07G01580.1 pep chromosome:ALNU02000000:7:1326067:1330034:1 gene:OGLUM07G01580 transcript:OGLUM07G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGAAAGCQYRGVRRSVIVMRDKETGHGRGFGFVEFEEEEDAARALGDGEHPRHLICGRVVDVKRARARPQRNHDDQSSQHQHFGQGQDQGHQPAPVSGTEDGGDGMNYASKKVFIGGLRDNITEEEFKTYFESFGTVTDVVVIYDSMTNRSRGFGFVTFDSEEAVRKVIEHSFHDLKGTRVEAKIAIPKDASYYRNGRGRGSRNFGGRGHAGFDGPSYQPYNDRYGFYNSYNMPQPVPPHPYYPGVYYGMGGGYPYANAYSNMGAPANIPPGMMTRRPVYGAYPPMFPGYGILYRGYAGAAPSIQHDSNGGSDSKKDQTSVDVQEVDSAASVATKLEFMKLGSQ >OGLUM07G01590.1 pep chromosome:ALNU02000000:7:1353757:1356851:1 gene:OGLUM07G01590 transcript:OGLUM07G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKQRFLTGSFHVPWCHKASSARTVAGTHRPSLRQQGPLPRHFQHAGGGGGGVRRGGDQVPGAQRRHQLRHHPLRRRQDHGQQHPPPRRPRPPQRRHHHLQGRPLRRRRRRHCLGALRRRHRRRRHPLEGHHCPEAAAAAPRRRRAVRRRPGRILRPPRPRRRRRRGGAPPPAAAAAHVHVGGVVAGDEPEQLARGEPRPRRRPLHALRQAVARRGGVGAAAGVDQAHGGAAAARLLGLLAAGVCQAARRLHRPHAPLRRLDRRLITLAAMAHRSSN >OGLUM07G01600.1 pep chromosome:ALNU02000000:7:1357880:1360355:-1 gene:OGLUM07G01600 transcript:OGLUM07G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSELQKQLQRGQXLLLSVFLLAVELAAHANGRGRVLAASVDSFHSSWVRFRAAYVAPPLQLLADACVVLFLVQSADRLVQCLACLYIHLNRIKPKPISSPAAAAAALPDLEDPDAGDYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQSLIKEEVEKWRQNGARIVYRHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSFLNILPAPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALAPKELKQQKILDLTAIKEQSMLKQSSPRNEAKKKYNRIYKKELALSLLLLTAAARSLLSKQGIHFYFLMFQGLSFLLVGLDLIGEDVK >OGLUM07G01610.1 pep chromosome:ALNU02000000:7:1365669:1366253:1 gene:OGLUM07G01610 transcript:OGLUM07G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSNNGNGGTNAAASGWLGFSLSPHMASSTMDEHHHVHHHQQQQQQQQQHHQQQQHGLFFPSVTTAAAAAAYGLAGDVVAATNGYYSQLASMPLKSDGSLCIMEALRRTDQDHHGPKLEDFLGAAQPAMALSLDNTSSFYYGGGAAAAAGHGQHGYLQACD >OGLUM07G01620.1 pep chromosome:ALNU02000000:7:1381306:1383636:-1 gene:OGLUM07G01620 transcript:OGLUM07G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRNGEHQPTRWPPGAGVEERDSASSSPASILLFALIGATATTAAFGQLRRTMSWFYTQLSRSEPYVYWEDIPRRPNRRGEAWGQYYQRMREKSEDQRERVERIRHMQDMFKKERSKCRDYRTRDGHNPSYYQNSRREEWYWYAESFYANQRTNFRSMPREAMGYTMSQHYSVLGLDRSRLEPFSDAEIKNAFRRKAMEYHPDQNQHNKEFAEAKFKEVMDSYEAIKLERQNGSL >OGLUM07G01630.1 pep chromosome:ALNU02000000:7:1391297:1391767:1 gene:OGLUM07G01630 transcript:OGLUM07G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLATTSTAQAQTTAADIVNIHNAARSAVGVAALSWDDNLAAYAQGYANQRAGDCALWHSDRNNYQYGENLSWNPSVQAWTAASSVDQWVAEKGSYDYASNSCVGGAMCGHYTQVVWRDTTAVGCAAVACNANRGVFFICTYFPAGNVQNQRPY >OGLUM07G01640.1 pep chromosome:ALNU02000000:7:1402123:1402638:1 gene:OGLUM07G01640 transcript:OGLUM07G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFALVLLAAATLAMAASTAAAQNTPQDFLDAHNDARRGEGAGLADVGWNTTLQAFAENHVAGLAAAGCSLAHSPPGSGYGENLFWGGAGKAWAAADAVGDWMKEKAFYVYSSNTCTKGKLLDCGHYTQVVWGSTTSIGCARAVCSSGAVIISCNYFPPGNYPDQRPY >OGLUM07G01650.1 pep chromosome:ALNU02000000:7:1418689:1425235:1 gene:OGLUM07G01650 transcript:OGLUM07G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAMAAFAMIVMATTTSAKQFSEDEKAAFVNLHNNARAAVGVGPVAWNDALAAQALQHARYCQTGHIPGPYGENLWWSYGAGTTGTPADAMSYWLAEKAKYYYDSNYCSAGELGCAHYTQVVWRRTAYVGCARVACNRNGLGTIIACNYFPLTIRESARSAEKLNWCVHAMERSAEAKMVVAMAAFAMIIMATTTTAQEFSANEKAVFVQLHNNARAAVGVGPVAWNDALAAQALQHASYCQTQHIPGPYGENLWWSYGAGTTGTPAQAMSYWVGERPYYDYRSNSCVGGECGHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYYPGGNIYNERPY >OGLUM07G01660.1 pep chromosome:ALNU02000000:7:1429876:1430622:1 gene:OGLUM07G01660 transcript:OGLUM07G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKAFAALVLAAATLAMAASTAAAQSSPQDFLDAHNAARRGEGAGLPDVAWSTTLQAFAESYVAQLAATTCSLAHSNSEDLGYGENLYGPAAAGSSAATAAGAVGKWMEEKADYVYSSNTCTRGALLDCGHYTQVVWRSTTSIGCASAACSNSGGVIISCNYSPPGNWPNQRPY >OGLUM07G01670.1 pep chromosome:ALNU02000000:7:1440190:1441675:1 gene:OGLUM07G01670 transcript:OGLUM07G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRETGLSNAKRFALLVVRAWRRATARAVYSAQRLGFTLSVIPPANLGQGSMLKLHVQHVVWRRTAYVGCARVACNTNNGIGTIIACNYYPRGNIYNERPY >OGLUM07G01680.1 pep chromosome:ALNU02000000:7:1444375:1459673:1 gene:OGLUM07G01680 transcript:OGLUM07G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEMRSVAKMVVAMAALAVIMATTTTAAQQQRFSAAEKAAFVQLHNKARAAVGVGPVAWSDVLAAQALQHARYCQTQHIPGPYGENLWWSYGAGTTGKPAQAMSYWVGERPYYDYRSNSCVGGECGHYTQVVWRRTAYVGCARVACNTNNGIGTIIACNYDPQGREKAISWRAAQAAAAGAVARGWQAAARSVAKLVVAMAALAVLMATTTAAQQQFSAQEKAAFVNLHNKARAAVGVGKVAWSDALAAKALEHASYCQKQHIPGPYGENLWWSYGAGTTGKPAQAMSYWSVPRKGAACFAVVVTAIVLMAATSAAGEDTAQDFVDLHNAVRAEVGVGPVTWDDTVAAYAESYAAQRQGDCKLVSSSSNETTATYGENLYVVDGNNTSSSSSPAAAAVGGWAAEEEWYDHDTNSCSAPADYRCGDYTQLVWSNTTAIGCAEVVCDGDAGIFVICNYYPPGNVPDQSPY >OGLUM07G01690.1 pep chromosome:ALNU02000000:7:1462447:1462965:1 gene:OGLUM07G01690 transcript:OGLUM07G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSARSPAAWLLLAAVLALAAAPCTAQNSPQDFVSPHNAARANVSVAAAAWNDTVAAYAQSYAAQRQGDCKLVHSDSGGRYGENLFWGSSGGNWTAASAVSAWVSEKQWYNHTSNSCSAPSGQSCGHYTQVVWRSSTAIGCARVVCNGSLGVFITCNYSPPGNYIGQSPY >OGLUM07G01700.1 pep chromosome:ALNU02000000:7:1465367:1465585:-1 gene:OGLUM07G01700 transcript:OGLUM07G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGRGTRLSAAEEVVFLLHALLYPSPRQRCATAARLGSAADGRGGGGDFGRSSGEAPPATAALEGAAQQL >OGLUM07G01710.1 pep chromosome:ALNU02000000:7:1497617:1504415:1 gene:OGLUM07G01710 transcript:OGLUM07G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSRLSCCLLVLAAAAMAATAQNSAQDFVDPHNAARADVGVGPVSWDDTVAAYAESYAAQRQGDCKLEHSDSGGKYGENIFWGSAGGDWTAASAVSAWVSEKQWYDHGSNSCSAPEGSSCGHYTQVVVWSNSTAIGCARVVCDNSLGVFITCNYSPPGNVDGESPY >OGLUM07G01720.1 pep chromosome:ALNU02000000:7:1511803:1512306:1 gene:OGLUM07G01720 transcript:OGLUM07G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLSSSSRLALCVLVIVAASLAVATPDDYLSPHNVTRSSVGVPAVVWNNVVAAFAANHVAQLNAGGCELQPSGTSVYGENLYYFSSESSSPAADAVASWVSEEQWYNHTTNICSAPEGNSCGHYTQVVWRDSTDIGCAEVVCDNGNGVIVACNYSLKGNIPGQSPY >OGLUM07G01730.1 pep chromosome:ALNU02000000:7:1513510:1516043:-1 gene:OGLUM07G01730 transcript:OGLUM07G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAPFLGPDSPVHDTSQTAYVSPLALLKILVHAARESPVAAMGVILGEEVDGFSVRVVDAFPLPRCAGGGAFTQAIDPRYIEGMLAMLNKTDRLEGVVGWYRSNPGFYGRPSNHDAVFHKQFCKAIDYLNLNLQAFEQLNPRAILVAVDPVRSVTGNFTMNAFRSVTSYHETSSNVGALNREYYSVAEDEKPFFELDIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCRSLCQFPVMSESEKKNVEEMLIDLLTKYQNEEEMQESDAPENPPDAENHLEELKNLMSACILQIFGMMLAWSSF >OGLUM07G01730.2 pep chromosome:ALNU02000000:7:1513510:1516043:-1 gene:OGLUM07G01730 transcript:OGLUM07G01730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASAAPFLGPDSPVHDTSQTAYVSPLALLKILVHAARESPVAAMGVILGEEVDGFSVRVVDAFPLPRCAGGGAFTQAIDPRYIEGMLAMLNKTDRLEGVVGWYRSNPGFYGRPSNHDAVFHKAFEQLNPRAILVAVDPVRSVTGNFTMNAFRSVTSYHETSSNVGALNREYYSVAEDEKPFFELDIFAQGLASVFYSILISHRKNDLEINILKSMDKMGSKGSSSEDCRSLCQFPVMSESEKKNVEEMLIDLLTKYQNEEEMQESDAPENPPDAENHLEELKNLMSACILQIFGMMLAWSSF >OGLUM07G01740.1 pep chromosome:ALNU02000000:7:1542170:1548498:1 gene:OGLUM07G01740 transcript:OGLUM07G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSFGNLGGGGAGGSGKAAASSFLQLPLSTAAAATAYYGTPLALHQAAAAAGPSQYHGHGHPHHGGGHHHSKHGGAGGGEISAAEAESIKAKIMAHPQYSALLAAYLDCQKVGAPPEVLERLTATAAKLDARPPGRHDARDPELDQFMEAYCNMLAKYREELTRPIDEAMEFLKRVESQLDTIAGGAHGGSGGGAGSARLLLADGKSECVGSSEDDMDPSGRENEPPEIDPRAEDKELKFQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGPFMADGMYRLGS >OGLUM07G01750.1 pep chromosome:ALNU02000000:7:1573881:1575899:-1 gene:OGLUM07G01750 transcript:OGLUM07G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTFLLFLCLASFVTSSEHQFVFSGFTSSNLVVDGAATITEDGLLELTNGANNIEGHAFYPTPLRFRKSPNDTVQSFSVSFVFSILQKYANRSNDGMAFFIAPSKNFSGALPAQYLGLLNNQNNGNRSNDLFAVELDTFQNKEFQDMDDNHVGINVNSMKSLDAHYAGFYEDRSGIFRNLTLVIHDAMQVWFDYDGDAKKINVTLAPAKLAKPKRPLLSVTYDLSTVVADSAYIGFSAATGGVVNTKHCVLGWSFRMNGPAQAIDISRLPKLPNLGSKKSHSSRILVIISPVATAVLIFLLGVLLVLCVRRRLKYRDIQEDWEVEFGPHRFSYKVLYGATEGFKDKNLLGVGGFGKVYKGVLPVSKQVVAVKCVSHESSQGMKEFVAEIVSIGQLRHRNLVQLFGYCRRKGELLLVYDYMSNGSLDNYLYCDLTEPTLDWAQRFNIVKGVTSGLLYLHEKWGKIVIHRDIKASNVLLDKDMNARLGDFGLSRLYDHGTDPQTTHLVGTMGYLAPELVFTGKASPATDIFAFGVFLLEVTCGQRPLNNNQQDNQPPMLVDWILEHWQKGLLPETVDKRLQGNYNVDEACLVLKLGLLCSHPIAMERPTMSQVQRYLDGDAPLPELAPSELKFNMVALMQGQGFDSYVLPCLSLSSVVSIETSPEVDDDTASV >OGLUM07G01760.1 pep chromosome:ALNU02000000:7:1575957:1587044:-1 gene:OGLUM07G01760 transcript:OGLUM07G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLPLLFFLGLNLASITNDDDNHQFVYNGFTSADNLSLDGVAMVTPDGLVELTNDGIRMKGHAFYPSPLHFRESPNGTVRSFSVSFVFGIIPTFSDLNSGHGIAFVIAPSKNFSDTIAAQYFGLFSNETNANDSGHIFAVELDTVKNTEFGDMNDNHVGIDINNLTSLQSYPAGYYEESGRFKNLTLASIEAIQVWVDYDREATRINVTMAPLAMAKPVRPLLSATYNLSGLLMERSYIGFSSSTGATSARHYLLGWSFSMNGGTAPAIDIAKLPKLPRVGPKSDPSNLLQIILPVATAAFLMAAGATVFLLVRRRMRYTELREDWEIDFGPHRFAYKDLFHATEGFQNKNLLGTGGAGRVYKGMLLGSKQEIAVKKIQQNSKESMKQFVAEIVSIGRLDHRNLVHLLGYSRRKGELILVYEYMSNGSLEKYLYGQDGRCTLDWGQRFQIIKGIASGLLYLHEEWEKVVIHRDVKPSNILLDNKMNSKIGDFGLSRLHDHGANPQTTHVVGTIGYLAPEIALTGKVTPLADVFSFGILALEITCGQKPMKQNAQGIQQTLVGWVLECWKKGSVVDAVDANLQADYYDIAETSLVLKLGLLCSHPSEHSRPTMRQVTQYLNGDMPLPETISNPGFGVFHLMQERESPTFSIIQVCNACWRKLKTIYSSSQCHFLSTNYYNSKLDIAALQF >OGLUM07G01770.1 pep chromosome:ALNU02000000:7:1606997:1616677:1 gene:OGLUM07G01770 transcript:OGLUM07G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRLRRSAWTSSPTSAVALVDGALAGYSAPTLHLLDIDVCDARLAAATHVAPWLRFAAERVAGELSIRLRSGRYGDGAGEEEVLDLPVCGAATAIRLRLVSHLRLRPPPGGAFATLATATIQSCRVDGGELGRLVSSPQCPRLEELYLINVALVAASSSDVAISSASLRRLRFGVRDTRRLDVAAPELRFLSVSNAGEARVTAGKVEEVVHTGDMDRYEYTQLGRHLPRLEIDLTSPMAAFLGRLDTVGELSLHLAFQSELSDWSQQFEKLVEEMSKLPECEALEICPASNHSHGFLPIAMHLLRRFAGIRKLSVNLWWVKPPCPPELVSYCPCRTLTDDLFTDNNIIMLCHLEEIEIDEFRGRDEQVEFVNQLLRCNVPLLERVVFNVPSCCFPESEEIIREKIHGKLRGDKIKVRFKIRRYFSEFAHLIPQPKKRV >OGLUM07G01780.1 pep chromosome:ALNU02000000:7:1609864:1611909:-1 gene:OGLUM07G01780 transcript:OGLUM07G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFLLLLLSLILNLASLTTAASGDGDQFIYSGFHGSNLTVDGAASITPDGLLQLTDGAAYLKGHAFHPSPVRLRRDVSTSTTTTTVRSFSVTFVFGIVSVYPDFSAHGMAFVVSPTTNLSSSLPAKYLGLTNVQNDGNASNHMLAVELDTIQSVEFRDINANHVGVDINGLQSVRAYNAGYYDDVSGEFRSLKLISRQAMQVWVDYHGGEKKQLDVTMAPLRMARPVKPLFSVTHDLSTVLADVVYLGFSAATGRVNSRHCVLGWSLGINGPAPAIDIDKLPKLPRAGPKPRSRVLEIVLPIVTATIVLVVGGAIVMVVRRRLRYAELREDWEVEFGPHRFSYKELFRATNGFADKHLLGSGGFGKVYRGVLPKSKLEVAVKKVSHESRQGMKEFVAEIVSIGRIRHRNLVQLLGYCRRKGELLLVYAYIPNGSLDKYLHSNQEEDKPILSWAQRFRIIKGIASGLLYLHERWEKVVVHRDIKASNILLDKDMNGQLGDFGLARLYDHGTDSQTTHVVGTMGYLAPELIRTGKASPLTDVFAFGVFLLEVTCGQKPIKEKSPQGSHMALVDWVLEHWRDSSLMDTVDGRLHGEYDAGEAALALKLGLLCSHPFAAARPGMAQVTRCLAGEAPLPELTPADMGFDVLAMMQDKGFDTSVVSYPDLMTSFGTISSLSGGR >OGLUM07G01790.1 pep chromosome:ALNU02000000:7:1611913:1627864:-1 gene:OGLUM07G01790 transcript:OGLUM07G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRHQTRMAIVEADSFLKHEAIMLLHVKLICLLLLAGASLAACIAGDGNDDDDQFVYSGFTGSNLTLDGAAVITRTGLLELTNGTLRQKAHAIHPAPFRLRGGSPSSSTATRSFSASFVFAILCPDADACGHGIVFFVAPANHSFSGAFPSQYIGLFNGSSDGDAGNRLVGVELDTDQNNEFRDIDGNHVGVDINSLTSINSTSAGYYGDDGGNSGDHGFHNLTLASHGKAMQVWVDYNGTAKQITVAMAPLKKAKPSKPLLSSTYDLSTVFVADEPYMVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDIDKLPKLPRFAPKHKPKMVEIIPPLATATFIVALGIVSVLLIRRRMRYTELREDWEVEFGPHRFSYKDLFRATDGFKSMNLVGVGGFGRVYKGVLQSSKLEIAVKRVSHDSKQGVKEFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMANGSLDKHLYSEGDKRVLDWDQRFRIIKGIASGLLYLHEEWEKVIVHRDIKTSNVLLDSEMNSRLGDFGLARLYDRGADPLTTHVVGTIGYLAPELGRSSKATPLTDIFAFGIFILEVTCGRRPIMQIPQDDQHVLVDWVLEHWHKGSITETVDTKLHGNYNVDEAQYKASDEVPNWRHGNARASTNTPQFSYTCTDAEPRIRLIRHVIPFINGKHERHVQQHFSTKMNYSSTYCTYRNNWQKQVHRTAPFMKIALSFLLHILLFHGFNLVLAVSASDDQFVFSGFSGANLTLDGTATVTAGGLLELTNGTTQLKGHAFFPAPLSFRGSLNGTVQSFSASFVFAILTTYPNLSCHGIAFVVAPSNNLSTALAAQYMGLTNIDNNGNASNHIFAAEIDTMQNVEFQDINNNHVGVDINGLHSMESHYAGYYDKNRSFHNMNLISGDVMQAWVDYDGDIAQINITIGPIDTSKPGRPLISTTYNLSDVLMEPSFIGFSSATGPINSRHYILGWSFGMNKPAPNIDIAKLPKLPRLAPKPQSKVLVILLPIASAAFILSVGITMVFLVRRRQRYAELREDWEDEFGPHRFAYKDLLHATDGFSDKHILGAGGFGRVYKGILPKSKLEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDRYLHYEGNKPVLDWVQKFQIIKDVASGLLYLHEKWDKVVIHRDIKASNVLLDKEMNARLGDFGLARLYDHGTDAHTTHMVGTMGYLAPELIHTGKASTLTDVFAFGTFLLEVICGQRPIKEDAHGNQILLVDWVLEHWHNESLLDTVDPRLQGDYNVEEACLVLKLGLLCSHPSTNARPCMQQVVDYLEGDTPVPELASTHQNFNELASMRKKGFDPYITSYNPSSTQATMLLHMKLISIYLLVLCVVGANELLVVAASDDGGGGRFVYSGFAGANLTLDGTATVTPAGLLELTNGTLQLKGHAFHPTPLRFGFGSGGGGGGGGDGVVVRSFSASFVFGILSAYPDMSAHGIVFLVSPTTDFSAALASQYLGLVNLTSNGDARNRIFAVELDTLQQDEFRDINDNHVGVDINGLVSLQSTNAGYYAADINGGGFRNLTLISHEAMRVWVDYDAGDARIDVTLAPLAVTKPVKPLISAAYNLSSVITDTAYVGFSSATGSFNSRHYVLGWSFAVDGGPAPAIDVAKLPKLPREGPKARSKFLEIFLPIASAAVVLAMGILVILLVRRRKRYTELREDWEVEFGPHRFPYKDLHHATQGFKSKCLLGVGGFGRVYKGVLPNSNMEIAVKRVSHDSSQGVKEFVAEVVSLGRLQHCNLVRLLGYCRRKGELMLVYEYMPHGSLDKYLHGQDNKPTLSWAQRFQIIKDIASGLLYLHEECDKVVIHRDIKASNVLLDNEMNARLGDFGLARLYDHGEDPQSTHVVGTIGYLAPELGRTSKATPLTDVFAFGTFILEVTCGRRPIYHDFHGTQVMLVDWVLDHWHKQSLVDTVDLKLHGEFDVGEACLVLKLGLLCSHPFINARPDMRRVMQYLKKEVALPELMPTSMSFHMLALMQNDGFDSPLENIS >OGLUM07G01800.1 pep chromosome:ALNU02000000:7:1619001:1620402:1 gene:OGLUM07G01800 transcript:OGLUM07G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRAAEACLLIRSTALLVPPAAHDGDALRGRGSHRVDDKRPPSMDFFGSGVADTVPKLTVDDGLYDVMYGSKPFFLIEASSLKFWCVDANSGTGVSPSRPLPTYDLEKECAKCKNICKS >OGLUM07G01800.2 pep chromosome:ALNU02000000:7:1618880:1622658:1 gene:OGLUM07G01800 transcript:OGLUM07G01800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKEAEKDCTVPLSDPRKLKGAGKKAWPLSWVVPLVSSRRPPAVTVAVPSRVRLAPEKPEKTNWSSLALTASTRLKPWNSRIWRRKESAIFMNGAMPGLFSNNVTGHVNESSKRDQQVVVRLSLVKK >OGLUM07G01810.1 pep chromosome:ALNU02000000:7:1627180:1646898:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRNWFVMVSGSKPWFCIKESMLKLMCVGVSSVWSIGFRPQVTSRTNMPKANTSYPALLEFTDTVDVDSNMVVIDIVELIILVRVELDSKEMVCWIAVVVVIYKAKVLACHCG >OGLUM07G01810.10 pep chromosome:ALNU02000000:7:1627138:1638067:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRGLELFISRQVQADLKTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLCCLLTSIMTTTKINATATIGNRICKIFCNLLSPGQGSLGNLEISIGGAGAFIAKLQPSTYQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEATMLVVIEADVVGFLGAEAVDVDADVPSISSNSTFWAVSISTANMWLLVLLSLLLLRRPKNCAAVAPGKPLFGATTKAMPSVLASEYGEMMPNTKATEKDWTMPFDCFLNRSGVG >OGLUM07G01810.11 pep chromosome:ALNU02000000:7:1627138:1636513:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.11 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRLVSVGVNSGIGMSPLRYSMTWFILGLDLANGCEHKSPSFSTRHASFMFLLSIVSTIDPFCQCSSTQSTNINRSPCAFCLTGLCPQVISRRNVPNVNTSVRGDGLPVRTNSGARFSHFDGSQGYINLCGSCIILNPHLHHVTANERQVLEHAIFVIIEASIPGLHRVETINVDANMVVTDVLKFTVLDGVKLNCEDMVACIAVVLRVGETLVCGDKEGHAICTFVRNSREDAEDEEDWNSSYLVKCKQILRQALESSNNMREGIMCRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >OGLUM07G01810.12 pep chromosome:ALNU02000000:7:1627138:1640001:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.12 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRGLELFISRQVQADLKTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >OGLUM07G01810.13 pep chromosome:ALNU02000000:7:1627138:1639425:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.13 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRGLELFISRQVQADLKTDINCSDRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >OGLUM07G01810.2 pep chromosome:ALNU02000000:7:1627180:1638067:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLCCLLTSIMTTTKINATATIGNRICKIFCNLLSPGQGSLGNLEISIGGAGAFIAKLQPSTYQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEATMLVVIEADVVGFLGAEAVDVDADVPSISSNSTFWAVSISTANMWLLVLLSLLLLRRPKNCAAVAPGKPLFGATTKAMPSVLASEYGEMMPNTKATEKDWTMPFDCFLNRSGVG >OGLUM07G01810.3 pep chromosome:ALNU02000000:7:1627178:1646898:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRNWFVMVSGSKPWFCIKESMLKLMCVGVSSVWSIGFRPQVTSRTNMPKANTSYPALLEFTDTVDVDSNMVVIDIVELIILVRVELDSKEMVCWIAVVVVIYKAKVLACHCG >OGLUM07G01810.4 pep chromosome:ALNU02000000:7:1627178:1646898:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRNWFVMVSGSKPWFCIKESMLKLMCVGVSSVWSIGFRPQVTSRTNMPKANTSYPALLEFTDTVDVDSNMVVIDIVELIILVRVELDSKEMVCWIAVVVVIYKAKVLACHCG >OGLUM07G01810.5 pep chromosome:ALNU02000000:7:1627178:1638067:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLCCLLTSIMTTTKINATATIGNRICKIFCNLLSPGQGSLGNLEISIGGAGAFIAKLQPSTYQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEATMLVVIEADVVGFLGAEAVDVDADVPSISSNSTFWAVSISTANMWLLVLLSLLLLRRPKNCAAVAPGKPLFGATTKAMPSVLASEYGEMMPNTKATEKDWTMPFDCFLNRSGVG >OGLUM07G01810.6 pep chromosome:ALNU02000000:7:1627138:1640001:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRLVSVGVNSGIGMSPLRYSMTWFILGLDLANGCEHKSPSFSTRHASFMFLLSIVSTIDPFCQCSSTQSTNINRSPCAFCLTGLCPQVISRRNVPNVNTSVRGDGLPVRTNSGARFSHFDGSQGYINLCGSCIILNPHLHHVTANERQVLEHAIFVIIEASIPGLHRVETINVDANMVVTDVLKFTVLDGVKLNCEDMVACIAVVLRVGETLVCGDKEGHAICTFVRNSREDAEDEEDWNSSYLVKCKQILRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >OGLUM07G01810.7 pep chromosome:ALNU02000000:7:1627178:1646898:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRNWFVMVSGSKPWFCIKESMLKLMCVGVSSVWSIGFRPQVTSRTNMPKANTSYPALLEFTDTVDVDSNMVVIDIVELIILVRVELDSKEMVCWIAVVVVIYKAKVLACHCG >OGLUM07G01810.8 pep chromosome:ALNU02000000:7:1627138:1639425:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLASSLAANQQSLPAAAGAMPDGDALIGGGRRRRTGGCMGFLRLRLVSVGVNSGIGMSPLRYSMTWFILGLDLANGCEHKSPSFSTRHASFMFLLSIVSTIDPFCQCSSTQSTNINRSPCAFCLTGLCPQVISRRNVPNVNTSVRGDGLPVRTNSGARFSHFDGSQGYINLCGSCIILNPHLHHVTANERQVLEHAIFVIIEASIPGLHRVETINVDANMVVTDVLKFTVLDGVKLNCEDMVACIAVVLRVGETLVCGDKEGHAICTFVRNSREDAEDEEDWNSSYLVKCKQILRSHLPDKMSGVIVPKLFPDHGYCATYGSNPPLCIIASKLEVAGVNSGSGASPSRYCMTCRMIGLAPGIGCEHISPSVNTRHASSTL >OGLUM07G01810.9 pep chromosome:ALNU02000000:7:1627178:1638067:1 gene:OGLUM07G01810 transcript:OGLUM07G01810.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSFGSTVSGSDPWCQCWRTQSTSIFRPWCTLLLIGHRPQVTSRRNVPKANTSVRGDAFPVRASSGAMNPMVPTRSLYENRCGPNSTSQSSLNSAYLCCLLTSIMTTTKINATATIGNRICKIFCNLLSPGQGSLGNLEISIGGAGAFIAKLQPSTYQSDIDLCGLSIVVHPHLDRLAVAAREGQVLQEATMLVVIEADVVGFLGAEAVDVDADVPSISSNSTFWAVSISTANMWLLVLLSLLLLRRPKNCAAVAPGKPLFGATTKAMPSVLASEYGEMMPNTKATEKDWTMPFDCFLNRSGVG >OGLUM07G01820.1 pep chromosome:ALNU02000000:7:1627895:1629889:-1 gene:OGLUM07G01820 transcript:OGLUM07G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKYKCLILCQLLFLGLDLASFTIAEEQFIYSGFSQANLSLDGTATITPEGLLQLTNGTFNLKGHALYPSPLQFRRHPTSDVQSFSLTFIFSILSAIPDKGADGMTFFISTNKSFSNALPAQYLGILNDQNNSNTSNHIFAVELDTIQNSEFQDISDNHVGININSLHSVQSRDAGFYDDKNGVFKNLTLVSRDVMQVWVEYDAGSTQVDVTLAPIKVAKPTRPLVLAIYNLSTVLPGTAYIGFSSATGVINSRYYVLGWSFSMGGTASGIDIRKLPKLPHVGPRPRSKVLKIIMPVTIAASIFVAGALLVLLVRRKLAYTELQEDWETEFGPNRFSYKDLFLATEGFKNKNLLGTGGFGKVYKGILPTSKLEVAVKRLSHESRQGTKEFITEIVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYSEGKLSLDWNKRFHIIKGVASCLLYLHEECESVVIHRDIKASNVLLDSELNGRLGDFGLAKSYDHGSDPQTTRVVGTMGYLAPELVRTGKPSPLTDVFTFGTFLLEITCGQRPVKQNAQGDRFMLVDWVLEHWQKGSMVETIDKRLQGNYNINEACLVLKLGLLCSQPFARSRPSMNHVMLYLNGDMPIPEFTPTDTSLNMLALMENRGFDLSGVSYPQLMTSIGEM >OGLUM07G01830.1 pep chromosome:ALNU02000000:7:1632696:1634684:-1 gene:OGLUM07G01830 transcript:OGLUM07G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMLFGLLAVLAGCSDAVDQFVYSGFTGSNLTLDGGARVTPSGLLELTNGMVRLKSHAFHPTPLHLHESPNGTVQSFSISFVFAILCDYPDSCGHGLAFFIAPSKNFSSAFWTQYLGLFNNKNNGDPNNHIFAIELDTVQNDDLQDISNNHIGININSLYSMKSRDAGFYDDKSGDFKNLTLISQKAMQVWVNYDREITQINMTMAPLNVEKPVKPLLSTTYNLSTVLTDSAYIGFSSSTGTVSGKHYVLGWSFGMNSPAPSIDIAKLPRLGQKARSKVLQTILPIVAVVFLLSAGTTIFLCMQRNLRYAELREDWEVEYGPRRFCYKDLFDATEGFKDKHLLGTGGFGSVYKGVLPICRLDIAVKRVSHDSTQGMKEFIAEIVSIGCLQHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEGKPTLDWTQRFQIIKGVASGLLYLHEESEKVIIHRDIKASNVLLDNVTNARIGDFGLARLYDHGTDPETTRVVGTIGYLAPELARGGKATPLTDVFAFGMFILEVTCGQKPVMQNTEDDQLVLIDWVLEHWHKGSLADTVDIKLQGEYNIDEACLALNIGLLCSHPLTSVRPNMRQVVQYLNKDIPLPESMRTHLSFCMMALIQNKGFSPCIITDPSSATSFGTISSTSLSEGR >OGLUM07G01840.1 pep chromosome:ALNU02000000:7:1636258:1638282:-1 gene:OGLUM07G01840 transcript:OGLUM07G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQPVLFSAVFILYVSFLGPFCASAGEESFVYSGFANASAANLTLDGSAMVTTTGLLQLTDNMPNIQGHAFYPTPLRFKKQSNGIVQSFSVAFVFGIISPYSDASTDGMAFVVAPNKGFPGATAAQFLGLLNSRSDSSTSNHMFAVEIDTAQNVELDDIDGYHVGIDINSLRSKKSHHIGFYDDKHGGFLKNLTLTGSNCKPVQVWVDYDGETTQINVTLAPIKVTKPTRPLLSVPFNLSTVLTDQAYIGFSAATGPLTSHYYVLGWSFAMNAPAPPIEISRLPRLPCPGDNRLQKILQILLPIVAVALIFVVVMILVRRQQRYAELREDWEVEFGPHRFSYKDLFNATEGFKSKHILGVGGFGKVYKGVLRTSKLEVAVKKVSHGSNQGMKEFISEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEDNKPVLDWAQRMQIIKDVASGLFYLHEKWDKVVIHRDIKASNVLLDSEMNARLGDFGLARLYDHGTNPQTTHLVGTMGFIAPELARTGKASPLTDVFAFGTFLLEVTCGRWPISNSVHHGRKMLVDWVLQHWHQGSLPETVDPKLHGIYNVDEACLVLTLGLMCSHPIPGARPIMRQVMQYLDGDAPLPEFTPATSSLLAIMHNGGFDPYVAQYPWSGNSLGTMTPDILSGR >OGLUM07G01850.1 pep chromosome:ALNU02000000:7:1640789:1642864:-1 gene:OGLUM07G01850 transcript:OGLUM07G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEVLHPCIFLDLFVQVRQTSHTKFFFLFLLVSFGINCASFTNTSDDQLLYLGFTGANLITDDTTVVTSNGLLELTNGTAYRKGHAFYPAPLHFRKSHNYTVQSFSVSFVFAIRSSYPSMSRHGLAFVLSPSTNFSNALAIQYLGLLNSENKGSESNHILAIEFDTAVNIEFEDIDDNHVGIDINDLHSIKSHSAGYYDDRNSSFQNMSLISGDAMQAWVDYNGEDKKISVSMAPIKMAKPKRPLILMSYDLSTVLKEPSYIGFSASTGGVDSRHYILGWSFGMNKLAPMINVNKLPKLPRQGPNPQPKLLAITLPIASATFVILLCGVSIIIVRRRLRYAELKEDWEIEFGPHRFSYKDLFHTTHGFDNKHLLGVGGFGKVYKGVLPTSKLEVAVKRVSHESRQGMKEFVAEVVSIGRIHHRNIVQLLGYCRRKGELLLVYDYMPNGSLDTYLYNNELKPTLSWDQRFRIIKGIASGLFYLHDKWEKVVIHRDIKASNVLLDTEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVCTGKASPLTDVFAFGAFLLEVTCGQRPVNHSSQDSPGVLVDWVLEHWQKGLLTNTVDARLQGDYNIDEACFVLKLGLLCSHPFTNMRPNMQQVMQFLDGDVPLPELTHMDMSFSIVSMMQDEGFNPYTLSYPPSGTSVGTISNISGGR >OGLUM07G01860.1 pep chromosome:ALNU02000000:7:1645207:1651195:-1 gene:OGLUM07G01860 transcript:OGLUM07G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSFLLPLIALALNLAIAMSEDQFIYSGFSGRNLTLDGAATVTDDGVLELTNRTVHIKGHAFYPTPWQFRKTPNGTVQSFSINFVFGMIPVYSNEKCTDGMTFVISPTSDMSSAQDSQYLGLLNKTSDGKASNHIFAVELDSSQNTEFHDIDDNHVGIDINNLTSVQSQPAGFYSDNKSIFNNLSLCSYKPMQVWVDYNEETTQIKVTMAPIEVGKPLRPLLSEIHNLSLVLEEPSYIGFSASTGPINTLYCVLGLSLGINHPALAIDISKLPKLPRVSPKPRSKLLEIILPIATATFILIVGTTIVLLVRRRMRYAELHEDWEAEFGPHRFSYKDLFHATDGFKNRNLLGLGGFGKVYKGVLPTSKLHVAVKRVSHDSKQGMKEFIAEIVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYCEDSKPTLDWAQRFQIIKGVASGLFYLHDRWEKIVIHRDVKASNVLLDGEMNGRLGDFGLAKLYDHGADPQTTHVVGTMGYLAPEFARTGKATPLTDVYAFGIFILEVTCGQRPIDNYADDNSQMLIDCVVEHWHKGSLTNMLDKRLLGDYDADEVCLVLKLGLLCAHPFCKSRPSMRQVMQYLDGDKPLPELMPTNLSYSMLAVMQNEGFEQYTSLPSIASSSDITSSISTHAAMNHLSYLLQFFFLFISNLSALATGEDQFVYSGFNGANLTLDGVASVTPNGLLKLTNGTLRLQGHAFHPTPFSFKKKPNGTVNSFAVSYIFAIYCLRPVICGHGIAFVVSASKNFSTAMASQYLGLINDHNNGDPTNHFFAIELDTNQNDEFNDVNNNHVGIDINSLTSVNSSSAGYYTDNNGNFNNITLTSYKMMQVWLEYNGDNRQINVTLAPITMAKPVKPLLSTYYNLSTVLTDMAYVGFSSSTGSFVTQHYVLGWSFGINKPAPAIDISKLPKLPYEGGKPQSKVLEITLPIATATFVLAMITLIILLIRRRLRYTEVREDWEVEFGPHRFSYKDLFCATEGFKNKNLLGIGGFGRVYKGLLPTSKLEIAVKRISHDSNQGMKEFVAEIVSIGHLQHRNLVQLHGYCRRKSELILVYDYMPNGNLDKHLYGQENSSTLTWAQRFQIIKGIASGLLYLHEEWEKVILHRDIKPSNILLDDNMNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGMFVLEVTCGRKPIDHTAQDNQLMLVDWVLHCWHQGFLNDAVDIKLQGVYNIDEVCLALKLGLLCAHPFINKRPSMKHVTQILNREMELPELTPTHMSFNMLSLMQNQGFDPETMTNQFLISNSTLSDLSEVRSHTWSPYDI >OGLUM07G01870.1 pep chromosome:ALNU02000000:7:1646935:1650697:1 gene:OGLUM07G01870 transcript:OGLUM07G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDRPETVDGKYAVGLCPHVTSRIKMPKAYTSVRGVALPWPQWFAYLNGSHGHLDLCGLFVVVNPYLHWLVATEGQIVEDTLVITIETSWLRLN >OGLUM07G01880.1 pep chromosome:ALNU02000000:7:1673923:1675962:-1 gene:OGLUM07G01880 transcript:OGLUM07G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSMKHTSSVILFLTISISLSAISASGDHDQFIYTGFTGSNLTLDGAAKITATGLVGLTNDSFRIKGHASHPAPLRFRKSPKGMVQSFSVSFVFGILSSFGDIRGHGFAFFIAPSNDFSTAFPIQFLGLLNDINNGSSTNHLFAIELDTIRNDEFGDIDNNHVGIDINSLNSVRSSYAGFYNDNNGALTNVSLIGDKPMQVWVEYDGNAAQIDVTLAPLGIGRPKRPLLSVVYNLSTVLTDQAYLGFSSSTGLSTGHHYVLGWSFGLNIPAPIIDPTKLPTLPNLSPKPQSKLLEIVLPIASAIFVLVIGVAIVLLVRRHLRYKEVREDWEVEYGPHRFAYKDLFDATKGFKNKNLVGTGGFGRVYKGVLPNSRLEVAIKRVSYESKQGIKEFVAEVVSIGHLQHRNVVKLLGYCRRKGELLLVYDYMANGSLDKYLYQQEGKPTLNWGQRFQIIKDIASGLLYLHEEWDKVVIHRDVKASNVLLDKQLNGRLGDFGLARLYDHGTDPQTTHVVGTIGYLAPELVHRGKATTLTDVFSFGIFILEVTCGQKPIKEDSQGRQLILVDWVLQNWHQGSLLDTVDIKIQGNYDIGEACLVLKLGLMCSHPFPNVRPNVRQVMQYLDGDVPLPELKPEHFSFDMLALIQKQNEGYDPSAMSLYPSPMMTSFVSTSSFSLEGR >OGLUM07G01890.1 pep chromosome:ALNU02000000:7:1679576:1681522:-1 gene:OGLUM07G01890 transcript:OGLUM07G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLACFLLFVTSFTTVSIGQDQFIYNGFSGSNLILDGTAMVTPNGILELTNGASTYETSYALYPTPWQFLKVPLQSFSVNFVLFMAPSIRCSDSMAFVIFPSKGLKNDQRESNLAVNFLSCQDKMFLENNENDISISINSSFSRPLETHPAGFYDDKNGIFNDLPLVGGKAVQIWVDYDGEATQMNVTIAPLKLTKPLRPTLSAILNISTILDEGGVSYIGFSSGANNVGALNYVLGWSFGMNSPAPTIDIIKLPKLPRFGPKVRSKTLKIVLPIVITTVILLVGAAVTALVWRRKRYAELYEDWEVEFGPYLFSYKYLFDATEGFNNEKILGVGGFGKVYKGVLPDSKLEVAIKRVSHESKQGIKEFIAEIVSIGRIRHRNLVQLLGYCRRKDELLLVYDYMPNGSLDKYLHYKEGKYTLDWAKRFQIIRGVASELFYLHEKWEKVVIHRDIKASNVLLDAEMNGHLGDFGLARLNEHGNDPQTTHVAGTFGYIAPEMARTGKASPLTDVYAFGIFVLEVTCGRRPINNYAHDSPTILVDWIVEHWQNGSLTSTLDVRLQGDHNADEVNLVLKLGLLCANPICSRRPGMRQVMQYLDNEMPLPELMPTNLSYSMLGYLQNDGFDQYKSVPSTVCSNNLTSSLTSGR >OGLUM07G01900.1 pep chromosome:ALNU02000000:7:1689457:1691367:-1 gene:OGLUM07G01900 transcript:OGLUM07G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKLILCFLLLFLTLNLSASSTGGDHERFMYAGFTGANLTMDGSAKIIPTGLLALTKDTFRAQGHALHPAPLRFGQSNGMVTSFSVSFVFGILSSFGDIIRGHGFAFFIAPTNNFSSAFPIQFLGLLNDKNNGSLSNHLFAIEFDTIRNDEFGDIDNNHVGIDINSLNSVQSYHAGFYDDKGGTFTNLSLIGGGPIQVWIEYDGYTTLTNVTIAPLGMARPIRPLLSVTRDLSTVFTNQSYLGFSSSTGLSTGHHYVLGWSFGMNSPAPIIDSTKLPKLPEPPNSGPRTQSILLILPLIGSIILVLIIGIVVLLVRRQLVYKEVREDWEVEYGPRRFAYQDLFRATRGFKNNNLVGIGGFGKVYRGVLPISKLQVAVKRVSYDSKQGIKEFIAEVVSIGNLQHRNIVQLFGYCRRKNELLLVYDYMENGSLDKHLYNFHGQPTLNWSQRFKIIKDIASGLLYLHEEWDKVVIHRDVKASNVLIDKDMNARLGDFGLSRLCDHGSNLHTTNVIGTIGYLAPELVHTGKATTLSDVFGFGIFLLEVSCGQKPIRQNSEGKHLILVDWVVENWHKGSLLDTMDRRLQGNYNIDEACLALKLGLLCSHPFSNARPNMRQVLQYLDGDVQLPELNVSVM >OGLUM07G01910.1 pep chromosome:ALNU02000000:7:1691532:1693472:1 gene:OGLUM07G01910 transcript:OGLUM07G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTWNHPHNQPSTTLKTEENDKKWISKHRTRRADYTTLPCCRPPAGVLDLSHGALRRRSRDPGAHPPPLRRPRHLLRRPLLRHRLPRRHHLRLRHRRLRRRHVDGRLLGALLPGGVPPDARRRRRRARQQLLPLRQPAAHRLHVVALRRRPRHHVPRLARHRQARPPRVHARGGGRHRRRRHGGRLRGGPRHGDPRARPARRRRRVRQPGRAALPVGDGAAVTARRVQQRVPALRQRRGVRGAADQLRRGEDRRRVGVAGVARRRGRPGRVPRRRRGLPPRDAKQPRPARRGTRQGESSPEQDPRQRRRRSRRRAGRHRRRRQVQGDGEARAGADAHPAPLPAAAGHGGDDPLLPADDRDQRHRVLRAGAPPHRRDGRERGAARGGHQTGGRRRRDAGIHARRRPVRPPHAIPRRRRPDGGLPAAHRVHHGGAARRRRRAEPGERAAADRPRRRLRRRLRVVVGATGLAGAERDLPAGGEVGGAEHRRGGELPAHDGGGAVVLGHALPHEGRHLLLLRGVAGRHDRLRLPPLAGDQGVAHRAGREAVGAPLVLEEVRRHRLRRR >OGLUM07G01920.1 pep chromosome:ALNU02000000:7:1715763:1716038:1 gene:OGLUM07G01920 transcript:OGLUM07G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMRSSVTESEANTTPYPPPGPLCRARRHMAPSTTGAAASGDEVAGVEALGKGEGEDAELRRRRRPTASASALERERRRWKPASFAGGCR >OGLUM07G01930.1 pep chromosome:ALNU02000000:7:1717943:1721689:1 gene:OGLUM07G01930 transcript:OGLUM07G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPSTHIMLSVVVLLLLAAALPMSCSNDTDLTALLAFRAQVSDPLGILRVNWTTGTSFCSWIGVSCSHHRRRRRVVAALELPNIPLHGMVTPHLGNLSFLSFINLTNTGLEGPIPDDLGRLTRLRVLDLSRNRLSGSVPSSIGNLTRIQVLVLSYNNLSGHILPELGNLHDIRYMSFIKNDLSGNMPENIFNNTPLLTYINFGNNSLSGSIPDGIGSSLPNLEYLCLHVNQLEGPVPPSIFNKSRLQELFLWGNYKLTGPIPDNGSFSLPMLRWIDLHWNSFRGQIPTGLAACRHLERINLIHNSFTDVLPTWLAKLPKLIVIALGNNNIFGPIPNVLGNLTGLLHLELAFCNLTGVIPPGLVHMRKLSRLHLSHNQLTGPFPAFVGNLTELSFLVVKSNSLTGSVPATFGNSKALNIVSIGWNLLHGGLDFLPTLSNCRQLQTLDISNSFFTGNLPDYMGNFSNQLVNFFAFGNQLTGGIPASLSNLSALNLLDLSYNQMSNIIPESIMMLKNLRMLDFSGNSLSGPIPTEISALNSLERLLLHDNKLSGVLPVGLGNLTNLQYISLSNNQFSSVIPPSIFHLNYLLVINMSHNSLTGLLPLPDDISSLTQINQIDLSANHLFGSLPASLGKLQMLTYLNLSYNMFDDSIPDSFRKLSNIAILDLSSNNLSGRIPSYFANLTYLANVNFSFNNLQGQVPEGGVFLNITMQSLMGNPGLCGASRLGLSPCLGNSHSAHAHILKFVLPAIVAVGLVVATCLYLLSRKKNAKQREVIMDSSRMVDVVSHKIISYHDIVRATDNFSEQNLLGSGSFGKVYKGQLSDNLVVAIKVLNMQLEEATRSFDSECRVLRMARHRNLMRILNTCSNLDFRALLLEFMPNGSLQKHLHSEGMPRLGFLKRLDTMLDVSMAMDYLHNQHYEVVLHCDLKPSNVLFDDEMTAHVADFGIAKLLLGDESSMVSVSMLGTIGYMAPEYGSMAKASRKSDVFSYGIMLLEVFTGKMPTDPMFAGELSLREWVHQAFPLRLTDVVDSNLLQDCDKDCGTNHNDNAHEDAASSRLITDLLVPIFEVGLMCCSRAPDERPTMKDVVMKLERIKRDYADSTGSQRTE >OGLUM07G01940.1 pep chromosome:ALNU02000000:7:1722075:1729518:-1 gene:OGLUM07G01940 transcript:OGLUM07G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIKIITKTFLLFLALSHKFFAAAREEERFLYSGFSGTDILVNGMAMVTPNGLLQLTNGTAQSKGHAIHPTPLRFHEHGSNGTRVRSFSASFVFAIRSIAPGVSAQGLTFFVSPTKNFLRAFSNQFLGLLNKKNNGNTSNHIFAVELDTVLNNDMQDINDNHVGIDINDLRSVDSYNAGYYDNKNGTFCNLTLASFDAMQVWVDYNGERKLISVTLAPLHMAKPARALLTTTYDLSQVLKNQSYVGFSSSTGILDTHHYVLGCSFGMNQPAPVIDVKKLPKLPRLGPKPQSKLLIIILPVATATLVIAIVSGIVVLRRRQMRYAELREDWEVEFGPHRFSYMDLFHATEGFKDKHLLGIGGFGRVYKGVLTKSKSEVAVKRVSHESRQGMREFIAEVVSIGRLRHKNIVQLHGYCRRKGELLLVYDHMPNGSLDKYLHNHDNQQNLDWSQRFHIIKGVASGLLYLHEDWEKVVVHRDIKASNVLVDAEMNGRLGDFGLARLYDHGSDPQITHVVGTMGYIAPELARMGRASVLTDVFAFGMFLLEVTCGRRPIMQSEEQDCPIMLVDWVLMHWRNESLIDVVDKRLQNEYNIDEACLALKLGLLCSHSLPSARPNMRQVMQFLEGDISFPDEVLALLLSHEGQEHIIVSSPPPSTSFGFHRAMSGMNRSSFLPLFFIIIIIKLSIGLLPSFTTAVDDGQFVFNGFLNSNLTIDGAATVLPGGLLQLTNGTGMVKGHVFHPTPFRFRESPGTTLHSFSVSIVFGIISAYREVGTDGMAFLIAPSSNFSDANAAQHLGLFNYKNNGNMSNHVFAVEIDTVRNNEFMDIDSNHIGIDISDLRSVNSSSAGYYDDNTGEFRNLSLISGKAIQIWIDFDARAMRIDVALAPFKMTKPTKPLLSMSYNLSTVLTDVAYVGLSAATGPLETSHYILGWSFSMNRSAPSFLTAQLPDLPRRGTARKASRRSKVLLIIVPIATATSAVAVSLAVFLFVRRWFKYAELREDWEIDFGPHRFSFKDLYFATEGFKNRHLLGTGGFGRVYKGFLSESKLQIAVKRVSHESRQGIREFIAEIVSIGRLRHRNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLHYDSTRPSLDWNQRFRIIKGVASGLWYLHGEWEQVVIHRDVKASNVLLDEEMNARLGDFGLARLYDHGTDMQTTHLVGTIGYLAPELANTGKASPATDVFSFGIFVLEVACGRRPIEHGMNSEYKFTLVDWVIDRWHEGSLLEVMDPKLQNGYDDDEACLALKLGLLCSHPSPIARPTMWHVMQYLNHDLPFPELMAMDMVRNRWVDSPIEYCQSVASDGTMSGLSEGR >OGLUM07G01950.1 pep chromosome:ALNU02000000:7:1730872:1732481:-1 gene:OGLUM07G01950 transcript:OGLUM07G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGLRLARRKGGSIGHYAATGRCSATTVAVPPRSAPLGRIWRVAGYGGRRRRPTCDGWRQWWLRQLAVVATSADDADVVLASLRGAISAVSLCWSSGGRSRLAAAGPVLAFTWACVLAMSVCGWWFFFPFSWLRPSRVVIL >OGLUM07G01960.1 pep chromosome:ALNU02000000:7:1734612:1735014:1 gene:OGLUM07G01960 transcript:OGLUM07G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEGSNGNAFKKARKGSFPPQKLAIKVRGSPTYILGPLSFHNQCGTIQQSSPSHIGVPQAFTAPLPCIGPIEQAHGPSDIRAPQAPTVHQAFTHCVHQARNVNLSRL >OGLUM07G01970.1 pep chromosome:ALNU02000000:7:1736587:1738035:1 gene:OGLUM07G01970 transcript:OGLUM07G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLLPCTHAHLPLPLFFSILLLLFSMEVAHSDARKLPLKLLEVGNIKEEPDETIGEKMEMEMEGRRLIGSRPPRCERVCMSCGHCEAVQVPIVPQVIQKTQTKAAAAAAATEQEQHVVVSATAISAAVFTYRVNGLSNYKPLSWKCKCGSIILDP >OGLUM07G01980.1 pep chromosome:ALNU02000000:7:1760621:1762645:1 gene:OGLUM07G01980 transcript:OGLUM07G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDKLVFNGFSNSSLVLDGSAAVLPNGILKLANGSSYAYTYTKGHAFFPSPIQLRNSTDGSIFSFSATFIFAMLHTLPLEEGDGIAFFLAAHTNFTGTGISGDFGLPAEDDNGKSLDHILSIELDTLHNERFGDIDDNHVGININSLNSSQSSPAGYYTDEPYSILHPLRLKSGEEMQVWIDYDHRRMQLNVTLAPVPMAKPKRPLLSATDHNLSKVLLDHMYVGFSSSSSAALYISGHAHGHFIAGFCFRLDGKPASLQYSKLPKINTSDLPDHVTYGTGRGSSIYWPDFLTSLPLIYASALGGAISMPVIIYLIVRRCRKYQELHEDWEVEFGPHRFSFKELFKATNGFVDQQLLGVGGFGKVYKGVLPSSKLEVGVKVMSHDSKQGMKEFVAEVVSMGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLYDQDKPSLNWVQRFEIIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDSEMNGRLGDFGLARLHDHGVDAHTTCVAGTRGYISPELARLGKATKATDVFAFGAFILEVACGRRPIGMNSSGELQVLVDFVLRFWQRDLILCMLDTRLGGEFVTEEAELALKLGLLCSHPSPASRPSMRLVMQYLCGDVLLPAMPESYRSIRSFSEMQVEGDQLDENPLLRQYLSVQTSITGLSGGR >OGLUM07G01990.1 pep chromosome:ALNU02000000:7:1765530:1767980:-1 gene:OGLUM07G01990 transcript:OGLUM07G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSSVPRNCSDADVSLLEKHVKPEIWRLNSLLAVNVMLVGVVVGIGAYAPRYRHHPLARFLFQGAAALFMPIMSYVVSATNNASASFVIYDDPSKTLTGTCSIFPGHTICILLWTVFVQIAAINNTTVVAADARELGRSIAPSALLLIHAIWTCYLVILAGAGFHGDKDFSLKTLLTESHNPFSIFLCGVIFVKLVFKLGPFFMARRSFALGRNPRLVLGYMKKDDLPVLQVADVASSAGPSDHHVPPPPLLVMGEGTVNVQKGANSYTIVDNLQQRAAGLVTLDKVWQLMDDDTNYFLTRRTPDAGRLLKLKLKLKDVCLSFALFKLLRCRFARYTADELKFTRVENFFWQDLLLSTHDGGSSSSRVFKVIADELSFIHDYYYSSIGILYSNTWLPILTFTISLLTLGYTLFVALIMTLAIAVSDLDRSQLACRQTCIFKSESTVLWRTSDKYIRIHFGSFILFDLVPVLLLCVLLVLSEARDIASLFCSNWTKVVLICRYLRTNHHKTTSSPGVINMPRRRSCVGCLLRCSCCKLVNHPWKDNINLCSILEMHPAPILCHLLRRLIPCLPLPEKTKSVKAVPDQVKSAIIDKLRSSKGRQLTKGTASLGSDNQNQLLWACSCGAEGSSTNDVLLAWHIATTILEVRYPSPCTTASSSNRVVATHLSGYCAYLVACCPELLPDDDGWSKDLYKAVKEDARKALAGVSHAHAPASSPEEEYKKLVQLLGADEDSRHKVVKNGRKLAGQLVELGEAKAWEVLAGFWSEMILYLAPSDNLDAHAAAIARGGELITLLWALLNHVGIITRPSASSAV >OGLUM07G02000.1 pep chromosome:ALNU02000000:7:1765564:1766701:1 gene:OGLUM07G02000 transcript:OGLUM07G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWLSSAQSSVMSSPPRAMAAAWASRLSDGARMVVAMCHARRTSFVLLPSAPQEQAQSSWFWLSLPRDAVPLRQTRDEAPEKMTQYWRRMHLQD >OGLUM07G02000.2 pep chromosome:ALNU02000000:7:1765564:1766701:1 gene:OGLUM07G02000 transcript:OGLUM07G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWLSSAQSSVMSSPPRAMAAAWASRLSDGARRTSFVLLPSAPQEQAQSSWFWLSLPRDAVPLRQTRDEAPEKMTQYWRRMHLQD >OGLUM07G02020.1 pep chromosome:ALNU02000000:7:1809058:1810842:1 gene:OGLUM07G02020 transcript:OGLUM07G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTISLLLLLLGLSILAMNSTAATTDNGQFIYTGFAGANLTLDGVATVTPAGLLQLTNGTGALKAHAFHPDPLRFRDLPVAGGGGSGNGNDVLSFSVSFVFAILSIYPNLSSHGMAFFVSPTNNLSAAAPRSYLGLFSNKTDGDMANHLFAVELDTIQNTDFMDINNNHIGVDINSIRSVGSYPTGYYDDGDNGNNLKNLTLNSHEPMRIWIDYDQETTRIDVTVAPLEISKPKRPLGSVIYNLSTVLTDSAYVGFSSSSGDIDSQYYVLGWSFAMNGAAPAIDISKLPKLPREGPKSSSKVMEITLPIATAMFVLVIGVIVLHLLRRQSRYAELREDWEVEFGPHRFSYKDLFDATQGFKNKYLLGSGGFGSVYRGVLKSSNMVVAVKMISHESRQGIKEFIAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYEYMPNGSLDKYLHGQEDKNTLDWAHRFHIIKGIASGVLYLHEEWDQVVVHRDIKASNVLLDSDMNGRLGDFGLAKLYDHDVDPQTTHVVGTMGYLAPELARTGKASPLTDVFAFGAFLLEVTCGRRPVEHNRQDNRVMLVDRVLEHWHKGLLTKAIVTS >OGLUM07G02030.1 pep chromosome:ALNU02000000:7:1811550:1814329:1 gene:OGLUM07G02030 transcript:OGLUM07G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEDGEKRRGRRGVKKGRQCRFSEPTLGEKRLRDAYERLKKRGVPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGVLTGKYTPNNPPTGPRGRIYTPEFLTKLQPLINRIKEIGGSYEKTPTQCTNFDDIVVVLNWLICQGNVVPIPGAKNAEQAREFAGALRWSLTDQEVEELRSMAREIKPVIGFPVEKL >OGLUM07G02040.1 pep chromosome:ALNU02000000:7:1817758:1823710:1 gene:OGLUM07G02040 transcript:OGLUM07G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSTQEDVGVREERMTPACGGRVKCDLPAVEELRLHGCGCNCKVVVVVGDDDEPEEALAVIKIFRFLFFSFLFDLVFCVAMVLDRCKDWRILFCLLAWRSSDGELVELLWQDGGVVAHAQTRHRSPGLLARSGVTGEEETASAWFADGGGGDDALGVGMGRDIYSQLWHSFANVDGHAAGALALATPTPRAAARSDDVLSRLDEAGLSICGSNAVAAPALPADDDDDAAPREEEEEEVEEGTGAARAAGASSSGGSGSGSGSYPLFKRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERVRPQEHQPTIDGYMVLHHLQQEKKGSHQRKVESIARTGASLQQAVSQTDKASILDEAIEYLKSLQMQVQIMWMTTGIAPMMFPGAHQLMPPMGMGLNTACMPGAQGLNQLQRTTHYMNNSLPNQMPQIPSPVMSAPNVPNDMQSDNRIRGPRNPFLHCNDTLTATAQVPGFPYGSQIAEQNEIQELLSGAVIPSSSDGTIK >OGLUM07G02040.2 pep chromosome:ALNU02000000:7:1817758:1823710:1 gene:OGLUM07G02040 transcript:OGLUM07G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSTQEDVGVREERMTPACGGRVKCDLPAVEELRLHGCGCNCKVVVVVGDDDEPEEALAVIKIFRFLFFSFLFDLVFCVAMVLDRCKDWRILFCLLAWRSSDGELVELLWQDGGVVAHAQTRHRSPGLLARSGVTGEEETASAWFADGGGGDDALGVGMGRDIYSQLWHSFANVDGHAAGALALATPTPRAAARSDDVLSRLDEAGLSICGSNAVAAPALPADDDDDAAPREEEEEEVEEGTGAARAAGASSSGGSGSGSGSYPLFKRGREELVDSLSEVADETRPSKRPAAKRRTRAAEVHNLSERVRPQEHQPTIDGYMVLHHLQQEKKGSHQRKVESIARTGASLQQAVSQTDKASILDEAIEYLKSLQMQVQIMWMTTGIAPMMFPGAHQLMPPMGMGLNTACMPGAQGLNQLQRTTHYMNNSLPNQMPQIPSPVMSAPNVPNDMQSDNRIRGPRNPFLHCNDTLTATAQVPGFPYGSQIAEQNEIQELLSGAVIPSSSDGTIK >OGLUM07G02050.1 pep chromosome:ALNU02000000:7:1826336:1831445:1 gene:OGLUM07G02050 transcript:OGLUM07G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFQSIQKHLQVNMKFVMKSVKPGGPLALVIRNSEWTVTNSVLNLLKSFCQVQVQILCFYRSRQALKLQTNIQTVGFN >OGLUM07G02060.1 pep chromosome:ALNU02000000:7:1831743:1831958:1 gene:OGLUM07G02060 transcript:OGLUM07G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPTFGMLGRVPVFSSAQAAAYRSLSAMDGLRRRPAAVCSSRRSAARRGAAPAVNLTQRRHACMQARYSN >OGLUM07G02070.1 pep chromosome:ALNU02000000:7:1834341:1836834:-1 gene:OGLUM07G02070 transcript:OGLUM07G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHLPSARAPANLPAGRPATTTTVCACMLLENRKLETILQRLLHWLRIFRVTIDRHEPVNAWVEMFQVQEIKRQDSSQARA >OGLUM07G02080.1 pep chromosome:ALNU02000000:7:1837127:1840623:-1 gene:OGLUM07G02080 transcript:OGLUM07G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHHCSTRQVASSSSNPSNPRDQPTHMPDSPQIHHPPPPPPPPPTDPAASTTPNAPRNSQVAAPVQVKPRMIIKGMLGRYERWNPVHPTVGTFWGIGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGVTLAGVGVGLPQHGLIRNQYHSGFASNIPFESARFYTFTIIRGLVWDAISYASQVAAVRKESRQRLLNFHENPQISGGVNLPKLGKGVSSSIQSTMEWLTNGALLNGFVRHVGRAGQLQGSPADQHDGDQGWSQTGREREGVAGLGSVSP >OGLUM07G02090.1 pep chromosome:ALNU02000000:7:1841625:1846800:-1 gene:OGLUM07G02090 transcript:OGLUM07G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPRIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKEHANGGKCIVFTQTKREADRLACAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >OGLUM07G02090.2 pep chromosome:ALNU02000000:7:1841625:1846800:-1 gene:OGLUM07G02090 transcript:OGLUM07G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLSRALCAASSSPAAPRGRSLLAALLSPSASPLDPCRGPAAPEPPRRRAFHGSPSPLGFRSTPASWSSPEAGAAVGGDDGLEVARLGISPRIVERLAARGITRLFPIQRAVLDPAMQGKDMIGRARTGTGKTLAFGIPIMDRILRHNEKNGSGRNPLAIILAPTRELARQVEKEFKESAPLDSLCVYGGVPISHQMRALNYGVDVVVGTPGRIIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMENLPQNRQSMLFSATMPSWIRKITSKYLKDPIIIDLVGDEDQKLPEGISLYSIASEHYGKPSILGPLIKVSTLLNFAPLTTLFSTLPQEHANGGKCIVFTQTKREADRLACAMGRSYACQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVIHYELPNTSELFVHRSGRTARAGKKGSAILIYTNDQARAVRIIEQDIGCKFTELPKIAVADEASDMFNVVRDNRSRLAGSPRTGGSSFGRGGYGGFGEGRSRGFGDFDGFGSSPDRGGRSRDAGSRYGSGFGDFRRPSNAFGRSSSKQPDGFGFGDFGEGNFSRNGNRRSRSFDDSGSTRYSRRPNGFGTSDFGRSGGFDDSN >OGLUM07G02100.1 pep chromosome:ALNU02000000:7:1847884:1849950:-1 gene:OGLUM07G02100 transcript:OGLUM07G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLRAAAKAAASLARGRTTIATDGAAGWSSHLRAVTNRSELLRQGQGATTREAASLAAIQDDTRAVATVNAVLFSKDVAVAKETGWRGDAKIAVRGRGHGNPPRALVVRPLPLLPPSPFLRNRGISSLPSNQKDQGLKELLDSHGGGPGDSSAMNGIAYKHINDDADKAKMADGAGLQDATDVDKFGTGAGGAGSQDVEGAGDQDKAKNVAAVVVDGTSDVDKAKDVAGVVVEGDADKADDRAPGARRRRRTKPRDERLLQRILLYARNISGKLDDLCSRPRTGAADMDKIKVMMNQLRWSIPFTTGLGLFVGVVVTVVLAIKFGIPFVIDKFAQELGMVLQSIDAEDVKNGYRHLYQPHSGERLGFSGWEDPILWTLQVIYMRGCEAYFFL >OGLUM07G02110.1 pep chromosome:ALNU02000000:7:1851200:1851686:-1 gene:OGLUM07G02110 transcript:OGLUM07G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGNQQRTYGGNVKRGPWCLALASDLGSQSLTAWREVMDLQQLRRERSATERERWCEEGWKRKGRRHRGRNFENKPEF >OGLUM07G02120.1 pep chromosome:ALNU02000000:7:1859716:1861833:1 gene:OGLUM07G02120 transcript:OGLUM07G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRHLPGKLRLAAATAADLQQAAGRRMVPGRPRLLSRSSQGEKNGRASRVVLNEKSEREKEILQDMERTIKRLPMITALSMVGGAGLAFGFLGCVYVIASASED >OGLUM07G02130.1 pep chromosome:ALNU02000000:7:1873024:1875875:1 gene:OGLUM07G02130 transcript:OGLUM07G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQDDAADRLTYEIFSILESKFLFGYGGGGGGETKSLQCAPPVSRGNRVCVLSVDGGARPEDGLLAAAALVRLEAAVQRRAGSKAARLADFFDVAAGSGAGGVLAAMLFARGPCGRPMYSADDALGFLLRRVRRRGWSSRAGGLLRRPAGAFHKVFGELTLRDTVRPVLVPCYDLATRAPFLFSRADAAQSPAYDFRLRDACAATCAPSGGGAAVEASSVDGVTRITAVGSGVALGNPTAAAITHVLNNRREFPAAAGVDNLLVISIGTGEAAGSSSRHRARTPVIARIAAEGASDMVDQAVAMAFGQHRTSNYVRIQGMGVARRRGGGVACGGETAEKAVWVAEAMLQQRNVEAVMFQGRRLAGETNAEKLERFARELIKEHGRRKQHVPPAASGGGGGGGLDCHVSKKQP >OGLUM07G02140.1 pep chromosome:ALNU02000000:7:1875608:1877541:-1 gene:OGLUM07G02140 transcript:OGLUM07G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLLLLRALTLAGRKAKAACLPRPRALVMLAAGAHGATRATTTVPLPCLPLRALSSGAGEVGPRPRPEEIIIGGGADMVSPTAAASGGGEAMVGVGMSAPWLIGAAGASASASATIKLGSDPVAPATATEDSALLRARHLLSKAEQHHLAAHHGYGEREGGSSNPRRPRVAAALVCLVKNLPLPAIQDPEFVVLEADDSMVELIRDLIVAGGGHPEHGETTGGFVSLAPCVFDDARDKKTLPPSSGITNVSSLATANGIKIMIPVQSASKGSRRRLSSMQTTRCLSSTPNVSIPDDTSTSSANGDKRRANRIRLVDVSVRVTELEQLVRSLEKRLEDVEAKWDANLRIAELRADIAEKRADQLEKLLEKTVEGMERMVNNKMEQTITWVLQKNFQQEELAHSRHSSLLLHCTQLAQEMAATKDELHSVRRNYRDDILTSKFTVSITAWRIVLVLLGGFGGVTAFYVPYVIKDIKLETCEEVAKRISEMLQGIADAIKELREEVEANKVPWWRRLFKK >OGLUM07G02150.1 pep chromosome:ALNU02000000:7:1878012:1883739:-1 gene:OGLUM07G02150 transcript:OGLUM07G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKFVNLWAHSRRSKPPPPLRRFSSAGGETPDKRRFAGGGAIGGDVEASPAAPTSSASPSLWRIRRRKKLGECGSGEGDEPALGFRCEGKPPITPAAGTRGSRVDPRPDLPRHHLLRSFFFFTDKF >OGLUM07G02160.1 pep chromosome:ALNU02000000:7:1882544:1885661:1 gene:OGLUM07G02160 transcript:OGLUM07G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRSLLHKRILPAAAAAAAGAALRRPAAGSRLLQARLHQGNGRQRSSSAFLDAGFRDSEKDIDREIEQLAQKFEENSKRWKQEREELDNLRRLDLEEIEKSRERTQKILDVATGVSAGFFLVGIASYNNLI >OGLUM07G02170.1 pep chromosome:ALNU02000000:7:1896067:1896444:-1 gene:OGLUM07G02170 transcript:OGLUM07G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDDCTTNGVPLPNVASKVLAKVIEYCVKHAAAAGDNADDAAEVEKELKSFDAEFMIDVDKNMLYGLLLASNFLNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEIRKENTWAFE >OGLUM07G02180.1 pep chromosome:ALNU02000000:7:1897095:1897619:1 gene:OGLUM07G02180 transcript:OGLUM07G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEATTDGGAKMIILISADGKRFEVTEAVASQSQLISNMIEDDCTENGVRLPNVDGDILTMVVDYCNMHVGNGANAGDDTVKGSSSAEEELKKFDAELVQTLENPVLFKLILAANFLNIKSLLDMTCQRVADMMSGKTPEQMRETFSIENDFTPEEEAAIRQENSWAFDD >OGLUM07G02190.1 pep chromosome:ALNU02000000:7:1903202:1904281:1 gene:OGLUM07G02190 transcript:OGLUM07G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRWSSRRACDRVRVDLALISPDGILGNLHHRAASRVCFGIDRGCCRSPYHQPQRALPLDNPADPQLQTIHQIDGGIPHVRRFPLHVAQLRPGHDNSVARHDFVRGTTTPSWGATPTRHGLGGFASLLVRALYADSPRTRGFVSHVVWVLYTNSSRTRGLRLPRCPSALRRLAADSGGLAYLVVRVTFADSPRTRGLRLLCRPGALH >OGLUM07G02200.1 pep chromosome:ALNU02000000:7:1915325:1915642:-1 gene:OGLUM07G02200 transcript:OGLUM07G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDYCNMHAAGGDATKGSSAEEELKKFDAELVQALENPVLFKLIVVAANFLYIKGLPDMTCKCVADMISGKTPEQMRETLSIENDFTPEEEATIRQENAWAFDD >OGLUM07G02210.1 pep chromosome:ALNU02000000:7:1916016:1916354:-1 gene:OGLUM07G02210 transcript:OGLUM07G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTAKTVILISADGKHFEVTEAVASQSQLLSNMIEDDCTDNGVRLPNVDSDILVGISQGSNFTRDYLVTNTVFSFLYCNTRTRIWNGHTRTEAFHQQMPFPFSVVHTLIN >OGLUM07G02220.1 pep chromosome:ALNU02000000:7:1917345:1918523:1 gene:OGLUM07G02220 transcript:OGLUM07G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLGEGVPQPWRCLLLSESIDKFQLQYGIDPSAGHCYRDYQILLLVPSSIDIAALPGRRSINQPAYAIWSVATAAAHHHQAVQRQHWSISGSILDSLLSGVLFACPVIHAFGASLKNQYPGI >OGLUM07G02230.1 pep chromosome:ALNU02000000:7:1919096:1919572:-1 gene:OGLUM07G02230 transcript:OGLUM07G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADKKEVADDTILLISSDGEHFNVPVAAASLSQLVSNMIEDDCTTNGVPLPNVASKVLAKVIEYCGKHAAAAEDEEKELKSFDAEFMIDVDKNMLYGLLLASNFLNIKSLLDLCCQHTANLIKGKSPEQIRKEFGIKNDFTPEEEEEIRKENTWAFE >OGLUM07G02240.1 pep chromosome:ALNU02000000:7:1926052:1930341:-1 gene:OGLUM07G02240 transcript:OGLUM07G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G20940) TAIR;Acc:AT4G20940] MGILGSFLVLLLLAAPAFGQLPSQDILALLAFKKGITHDPAGFITDSWNDESIDFNGCPASWNGIVCNGANVAGVVLDGHGISGVADLSVFVNLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNRFSGPIPDNIGNLRSLQNLSLARNNFSGPLPDSIDGLASLQSLDVSGNSLSGPLPSSLKGLRSMVALNLSYNAFTKGIPSGLGLLVNLQSLDLSWNQLEGGVDWKFLIESTVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSHNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQVINLSSNALFGDLPMLAGSCTVLDLSNNKFKGNLSVIAKWSNDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNSLADTIPEAVVQYPKLTVLDLSSNQFRGPIPANLLTSSMLQELYIHDNMLSGGLLFPGSSSKNLSLQVLDISGNHFNGSLPDEIASLPSLQALDISTNNFSGPLPASISKLAALTALDISINQFTGSLPDALPDTLQSFNASYNDLSGVVPVNLRKFPESSFHPGNSRLEYPASSSGSGSSSGSAGGRSLSAAAKIALIAASIVALVILILVAIVCHYKQISRQFPSSEKVSDKNLHKTSKDMASTKGKDDKGGLVVSADELGAPRKGSTSEALSQEEKLSGVGGFSPSKGSRFSWSPDSGEAYTQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFSKEAKKFANIRHPNVVGLRGYYWGPTAHEKLILSDYVSPGSLASFLYADRPGRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQVSVKGMKDVLGIALRCIRPVSERPGIKSVYEDLSSI >OGLUM07G02250.1 pep chromosome:ALNU02000000:7:1967861:1968250:-1 gene:OGLUM07G02250 transcript:OGLUM07G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSISYYIPLPQMGSLGMSAEYAAARLHLGEFRMLPPPQQQQQQQGQTVLDFSQDTHGAGAGGGSGVFGACSAGLQESAMGMLLFPFEDLKPVVSAMAGDTNSGGDHQHDDGKNHARWRWRRHRWP >OGLUM07G02260.1 pep chromosome:ALNU02000000:7:1988960:1989873:1 gene:OGLUM07G02260 transcript:OGLUM07G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSPATTAMMEVGPVARPTVGERRGVGVMGSAARRRVIGLASSSVLCPYASDMKASRLADVAAGENAAGPLATGGSGRQDQLEELVAAREVAVEVAAGAAGRTVVPTASEGGEAGRTVDAAEEEEDAGHHAAAESGGKGGDEEDADDHHGDADDHREKRGTPTPTTTMLAARGPLPPPPLPPSPLPTGRRSPSTGRSPPACRHRRSAPPLHSALSAAARSHTASAGRRSAPLATAHSFPAANRSEKKEEKKEWERES >OGLUM07G02270.1 pep chromosome:ALNU02000000:7:1989175:1989546:-1 gene:OGLUM07G02270 transcript:OGLUM07G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGVLLVSSFAARFRRGVVASVLLLLRRIDGAPRFSSLASRRDDSTTRSACRNLHGHLPCGHELCVGIANRPSSRPLEVAIGGQVQPSNWSCLPLPPVASGPAAFSPAATSASLLAFMSDA >OGLUM07G02280.1 pep chromosome:ALNU02000000:7:1991392:1991796:-1 gene:OGLUM07G02280 transcript:OGLUM07G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKRRAGGWGAAGQLARASAHGEGIARPAAAHGEHAVEVGGRRGGRRRRGAEDGEQGRRRRPWRRASRRCSSTPRRSAAASSTSSSPALPPPRPQPPRRRPREMPPLLLPRARWWIRSPLNERLSVRYNLIA >OGLUM07G02290.1 pep chromosome:ALNU02000000:7:1996433:1996939:1 gene:OGLUM07G02290 transcript:OGLUM07G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAADSPALVHGFFQVEPLMPRLETNGAGVQENQSCSSAWLPLPLVGVPCSDLLGCRALKHETDNGGEEASSLPRPTVQAEGGDSREAYGGEYQRAVEKVREIFFSIFVDVSVA >OGLUM07G02300.1 pep chromosome:ALNU02000000:7:1999270:2000266:-1 gene:OGLUM07G02300 transcript:OGLUM07G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALLPKLRIPAAASRRTLPPFRSSSTASQDKLAAAKERSPQLYDDYGADSCSILTSISSY >OGLUM07G02310.1 pep chromosome:ALNU02000000:7:2006263:2009828:-1 gene:OGLUM07G02310 transcript:OGLUM07G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWNSQDHLCEIPTVQIAPTSHHPRLRAPIRPPLNRSHELPHLPNPNPRRRRRRSAFTHPSSSSIHATPPRSPPPSTAAAPPSVVSPPPNPIAGSPSTDPHHRRRQRLPAGLRRSPQPPAAATDPLRRKPSRRQPSEAISKVVFGGQVTDEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPIGTVSKNYQFPAARVAVFVPPDRRRSEPVVAKPIPSATNRRYKNLCLPLLVSAATPRRGDAAARLASVTDSVVWALRSCMLLDTSQHPAAALAPVSSAHSSNAAPYVSETRCFAASWIPLQRAEALREVLPQALQSLHKIFPLR >OGLUM07G02310.2 pep chromosome:ALNU02000000:7:2006263:2009828:-1 gene:OGLUM07G02310 transcript:OGLUM07G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSWNSQDHLCEIPTVQIAPTSHHPRLRAPIRPPLNRSHELPHLPNPNPRRRRRRSAFTHPSSSSIHATPPRSPPPSTAAAPPSVVSPPPNPIAGSPSTDPHHRRRQRLPAGLRRSPQPPAAATDPLRRKPSRRQPSEAISKVVFGGQVTDEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPIGTVSKNYQKIERERLLNSFLFRTYIWNDIYRSTPPGQFPAARVAVFVPPDRRRSEPVVAKPIPSATNRRYKNLCLPLLVSAATPRRGDAAARLASVTDSVVWALRSCMLLDTSQHPAAALAPVSSAHSSNAAPYVSETRCFAASWIPLQRAEALREVLPQALQSLHKIFPLR >OGLUM07G02320.1 pep chromosome:ALNU02000000:7:2022803:2027040:1 gene:OGLUM07G02320 transcript:OGLUM07G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSGGAGWWREGIRAGADSRRDLRRRWGADLLQHWLVEGGSTGGGDGPWREGKDPRQRWLTAALVTGGGSGSAAAWSLGLRWLRLEGGALRVISACENKIPHIRKIAFSSSDGNAGCGDASAAGQLVEENESIASSLPSNPRLWLRCYQGTWVLQSWVAGIVAIQRGGFAPRLGDDVLASAPKCGAAWLKALAFATMARRAHPPPDGEHHPLLRLGADDCVPSMEKLFAAGWGSKIDALPSPRLMAMGKVILLPQRSLLVCKVETSAYLLYTSIPSVCDL >OGLUM07G02330.1 pep chromosome:ALNU02000000:7:2034439:2042421:1 gene:OGLUM07G02330 transcript:OGLUM07G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II subunit R [Source:Projected from Arabidopsis thaliana (AT1G79040) TAIR;Acc:AT1G79040] MAASVMASLALKPFASPLLERSKLRGAGKVARPSLIIVAKKAKKIQTSQPYGPAGGVVFKEGVDASGRVAKGKGLYQFSNKYGANVDGYSPIYTPEEWSSTGDVYVGGKAGLLLWAITLAGILVGGAILVYNTSALA >OGLUM07G02330.2 pep chromosome:ALNU02000000:7:2037606:2042421:1 gene:OGLUM07G02330 transcript:OGLUM07G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II subunit R [Source:Projected from Arabidopsis thaliana (AT1G79040) TAIR;Acc:AT1G79040] MAASVMASCALKPSPSPFLEQTRIRAIQPSSRPSLFRVMAKKAKKIQTSQPFGPGGGLNLKDGVDASGRPIKGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYTGGKTGLLLWAVTLSGILLAGALLVYNTSALAS >OGLUM07G02340.1 pep chromosome:ALNU02000000:7:2039169:2041718:-1 gene:OGLUM07G02340 transcript:OGLUM07G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMESFAWLLMILVLFSILEDVRGSQSKPILINCGSDSTTDVDGRRWIGDSSPKNFTLSLPGTVATAPDSDGKETYGDLYKNARIFNASSSYKFIVAAAGSYFLRLHFSQLPTNFSTKESLFDVSANGLKLVSKFNVPAEIYLRNSKINSTSRAIVKEYLLNVTSSNLEIEFSPDTESFAFINAMEIVPVSGNSVFDSVNKVGGYGLKGPFSLGDSAVETMYRICVGCGKIESKEDPGLWRKWDSDENFIFSMSAARAISNSSNISYVSSDDSTSAPLRLYETARVTTESSVMDKKFNVSWSFNVDPDFDYLVRLHFCELEYDKAEQRKFKIYINNKTAAENYDVFAKAGGKNKAFHEDFLDAASPQMDTLWVQLGSESSAGPAATDALLNGMEIFKVSRNGNLAHPTVRIGGFNSAMGKPKRSPKWVLIGAAAGLVIFVSIVGVIFVCFYLRRKKKTSAYKTKDNPPGWRPLVLHGATAPAANSRSPTLRAAGTFGSNRMGRQFTVAEIREATMNFDDSLVIGVGGFGKVYKGEMEDGKLVAIKRGHPESQQGVKEFETEIEILSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGTDLPALTWKQRLEICIGAARGLHYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPPLDHTHVSTAVKGSFGYLDPEYYRRQQLTQSSDVYSFGVVLFEVLCARPVINPALPRDQINLAEWALKWQKQKLLETIIDPRLEGNYTLESIRKFSEIAEKCLADEGRSRPSIGEVLWHLESALQLHQGLLQSANTDDLSQPELKLSDASCNLGCIEEVEESCRAESRDVNEEYVDVKIEVP >OGLUM07G02350.1 pep chromosome:ALNU02000000:7:2044896:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAAFHANSAAPTAGSTCRFYHNRKKPLDGLFSCQMADFHGTTATAYKSRRHSEGDK >OGLUM07G02350.2 pep chromosome:ALNU02000000:7:2045616:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAAFHANSAAPTAGSTCRFYHNRKKDVTDRRFSG >OGLUM07G02350.3 pep chromosome:ALNU02000000:7:2045780:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAAFHANSAAPTAGSTCRFYHNRKKVHQHIASFVCVRFVEEKGTGKNKMI >OGLUM07G02350.4 pep chromosome:ALNU02000000:7:2044896:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVADEMIPPTELWLEQPATARERTGSGSAKGMAAGMQTQTVLPAPPSTPRMTLPMRGLWLSSMSHISPPVFPLDGLFSCQMADFHGTTATAYKSRRHSEGDK >OGLUM07G02350.5 pep chromosome:ALNU02000000:7:2045780:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAVITVHQHIASFVCVRFVEEKGTGKNKMI >OGLUM07G02350.6 pep chromosome:ALNU02000000:7:2044896:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVAVITPLDGLFSCQMADFHGTTATAYKSRRHSEGDK >OGLUM07G02350.7 pep chromosome:ALNU02000000:7:2045971:2053921:-1 gene:OGLUM07G02350 transcript:OGLUM07G02350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQPVLDERMDPFTGRMIIPASTCQSRCTAHNDLSLFGGPLIDRRSSSSISEFNSEAEKFNDLEVPPPWLLSYHRSCDIGSNFEAFATVMSRLHQHLLDASVEINYTEYLDLMKLEVEQHLNKLKEDIRFLKSHSFVHDGDANGSCPMVCHHGKLVEIYEGFNGLKLLLVVVFRQIKEMLSLFSASIRDLQWEHEMQLEVTSIMIGDCIKSLQDELERKLYEQSSIVNTLKKNWKETVVQCGAIREELIDIADMLLPSEEESNILNSKHEHFGNWSSGWKHKLFGKKSGEERTPSSNEENISSATQKSVCPREVISEKSDFRHLKGMNREEMIKYFRFEISKLKRLHELSLQEKTEELFKFKREKGSLALKYDPEFEPLRKKVPEIISRVDQIILNTINAPTACSTNQVLEERGRLTSRIDSLYYANQNLRGLLAEKMKDIKDLSRQVSDASRKMSYQLSLEEKLSRQLHKIKGDYEDLHIQSTIRDEVYQTVTKRMFDDYRNSLQDPALTYQEKVTSLEAALSEKETALCLANEENQRLKEKLSKQEKEHGIQNNQDYPELIKQDNEEMILRDIEMEPHVSPRRSYAISEQNAEYEELIKLKQTLEIASTALKEVESNELDYNGILGKNEQEKQLEFILVSIMDLSKEFVQIENKMSGDMKGSEKGPEILGDQCKHMVQQALVLTKKGLWYKQMLDTRRSQLRKAEAEVDVLGNKVSTLLSLVQKIYVTLEHYSPVFQQYPGLLDAFLKTCKLVADEMIPPTELWLEQPATARERTGSGSAKGMAAGMQTQTVLPAPPSTPRMTLPMRGLWLSSMSHISPPVFVYLCISAGTNSS >OGLUM07G02360.1 pep chromosome:ALNU02000000:7:2045816:2049428:1 gene:OGLUM07G02360 transcript:OGLUM07G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTKTGGEIWDMEESQSPRMGSVILGVDGGAGNTVCVCIPAAMPFADPLPVLSRAVAGCSNHNSVGEDKARETLERVMAQALLKARRRRSNVCAVCLAVAGVNHPIDQQRMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTRDGREARAAGAGPVLGDWGSAYGISAQALTAVVRAYDGRGPETALTNSILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAEAGDEVANKILHNSVGELASSVKAVVQRLELSGEDGKDHFPLVMVGKVLMANKRWDIGKEVIDCVTKTYPGAYPIHPKVEPAVGAALLAWNAVASELDGSPGTVA >OGLUM07G02370.1 pep chromosome:ALNU02000000:7:2058190:2061153:-1 gene:OGLUM07G02370 transcript:OGLUM07G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFQ5] MASALGAQASVAAPIGAGGYGRRSSSSKGSNTVNFCNKSWIGTTLAWESKALKSRHMNKIFSMSVQQASKSKVAVKPLELDNAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPTKNGICSNFLCDSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPSFKFGGLAWLFLGVANTDSLLYDEEFTNYLQQYPDNFRYDKALSREQKNKNGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWEQKLSQLKKNKQWHVEVY >OGLUM07G02380.1 pep chromosome:ALNU02000000:7:2080616:2082040:1 gene:OGLUM07G02380 transcript:OGLUM07G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFQ6] MPSSSPSRSHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFLSTADSLARLPATAVVPAGNNNLRFVEVPTDGDQEETWPVWRRMEMFVEAAEGGGLRRAMEAAGNAAGGVAVSCVVGDAFMSMAAEVGVPWVAVWTGGPCALLAHLVGDAIREDIGDDDDLHGARGDELLTSYPGLGSYRVRDLRFGDGGGGDMHRVMTMLLGRVARRLPRAATAVAINAFPGLFPPDVSAALADALPNCLPIGPYHLLPGAAATPANDDDPHGCLAWLARRPAGSVAYVSFGTVAAPPPDELRELAAGLEASGAPFLWSLREDSWPLLPPGFLDRATKAGDSAAGLVVAWTPQAAVLRHPAVGAFVTHSGWGAVLEGMSGGVPMACRPFFGDQHMNARAVARLWCFGMAFDDDSGGNPSMTRGRVAEAVASLLAGEEEGARMMRARARELQAMVVSAFEPDGGSTKNLHKFVEIVCARRV >OGLUM07G02390.1 pep chromosome:ALNU02000000:7:2097686:2100367:1 gene:OGLUM07G02390 transcript:OGLUM07G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase [Source:Projected from Arabidopsis thaliana (AT3G52390) TAIR;Acc:AT3G52390] MLLPGLTRPSSTPPLIFFSVSGATATSRHRRQLHRGIYHGKQCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTSEDRDKLLSFEKMFIGHLPPPLDVTYLHTSKNLEVLQGSPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEPCLVSKYLTGLQCMNT >OGLUM07G02390.2 pep chromosome:ALNU02000000:7:2097686:2100367:1 gene:OGLUM07G02390 transcript:OGLUM07G02390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase [Source:Projected from Arabidopsis thaliana (AT3G52390) TAIR;Acc:AT3G52390] MLLPGLTRPSSTPPLIFFSVSGATATSRHRRQLHRGIYHGKQCHAADIPAVLARAWAAGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEPCLVSKYLTGLQCMNT >OGLUM07G02390.3 pep chromosome:ALNU02000000:7:2097686:2100367:1 gene:OGLUM07G02390 transcript:OGLUM07G02390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase [Source:Projected from Arabidopsis thaliana (AT3G52390) TAIR;Acc:AT3G52390] MLLPGLTRPSSTPPLIFFSVSGATATSRHRRQLHRGIYHGKQCHAADIPAVLARAWAAGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTSEDRDKLLSFEKMFIGHLPPPLDVTYLHTSKNLEVLQGSPAERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEPCLVSKYLTGLQCMNT >OGLUM07G02390.4 pep chromosome:ALNU02000000:7:2097686:2100367:1 gene:OGLUM07G02390 transcript:OGLUM07G02390.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD related DNase [Source:Projected from Arabidopsis thaliana (AT3G52390) TAIR;Acc:AT3G52390] MLLPGLTRPSSTPPLIFFSVSGATATSRHRRQLHRGIYHGKQCHAADIPAVLARAWAAGVDRIIVRCFAAGHSPFRLLSCLVSTFLWLGFGVLNLLLSLVWSGRKVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIAKGKVVAVGECGLDYDRLHFCPSDVQKKYFKKQFELAEAVKLPMFLHMRAAGEDFCEIVSENLYRFPGGVTHSFTGTSEDRDKLLSFEKMFIERMMIETDSPYCDIKNTHAGIKLVKSVWPSKKKEKYEPDSTVKGRNEPCLVSKYLTGLQCMNT >OGLUM07G02400.1 pep chromosome:ALNU02000000:7:2100540:2101358:1 gene:OGLUM07G02400 transcript:OGLUM07G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGVGMEEKWRRRVVASDWMAMVVATRGRRGGAASSGGKNGGQRQLYNGRWRLPLAPSSVPPAEPRSTLDSPAQLLPRWRQCGRAGAGGRAVPPPLRRPSPAAGLPPPPPAGCPAHCRAELWRKEERGREGEGKKGLTLGALEAQHGDRAQFGLSPISAATAAMASTGVKLIGECPTLSLLPLARDSHASTWTDPAFFYSSSHLLL >OGLUM07G02410.1 pep chromosome:ALNU02000000:7:2150537:2152010:1 gene:OGLUM07G02410 transcript:OGLUM07G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASPLLERLKAAYHYHAAVGNVRAIFITLLAAAAVAALTHLAPEEVVIGRLRELRPVHLFLAIFLPVAAATMYLMLRPRPVYLVDYACFRTAPNCRVPAATFLEYAKQVPVITDRSVRFMTRLLERSGLGEETCLPPANHYIPPYKYCTLDAARGEVDLVVFSAVDELFAKTGISPDDVDILVVNCSLFCPTPSFVDMIVNRYKLRSDIRSMDLWRRMARALVVSTETITPNYYVGNERAMLLPICLFRIGGAAALLSTSPAKARFRLQHVVRTLTAAEDSAYHCVFQEEDEHGNTGINLSKELMTIAGNALKANITAITPLVLPASEQLKFALAFIARKALSGRVKPYIPDFRAAFEHFCIHAGGRAVIDELQRSLCLSDEQVEASRMVLHRFGNTSSSSVWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECISPARDADGPWATSIHRYPVDIPDVLKH >OGLUM07G02420.1 pep chromosome:ALNU02000000:7:2207301:2209752:-1 gene:OGLUM07G02420 transcript:OGLUM07G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFR3] MASVNSPAAAATGDEPIIMAGPVPFVDVVVDNAGGAVANKADPGVVLPQEEEYESFVSSLPSNPKLQLLRYQGKWLLQSWVPGIIAIQRGGFAPRRGGGDVVLASLPKCGTTWLKALAFAIMARRAHPPAGDEQHPLLRLNPHDCVPSMEKLFAAGLGSKIMDALPSPRLMATHVHHSLLPASITDNPHCKIIYICRLPEIPFLELFESACEGRCLSSPIWDHILGYWNASKTRPETVLFLRYEELLHDPADSVRKLARFVGQPFSPEEEEAGDVEDIVRLCSFEQMKNLEVNRAAGLSPVLQQNAYTNGSFFRKGGTGDWANHMTPEMAERAARRHRRREAPLITPLFLMISAC >OGLUM07G02430.1 pep chromosome:ALNU02000000:7:2209792:2211774:-1 gene:OGLUM07G02430 transcript:OGLUM07G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFR4] MAMHMHHSLLPASITDNPDCKIISKCRDPKDILVGSMWQFVRRMLPELPFHEGRCLSGPIWDHILGYWNASKANPETVLFLRYEEMLHDPVGTVTKLARFTESRREVFGQRARGALPGDQEMESASARKPTRPKRRQAAEKAGVERRRRSLAQ >OGLUM07G02440.1 pep chromosome:ALNU02000000:7:2209992:2212198:1 gene:OGLUM07G02440 transcript:OGLUM07G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGCRRWAATGGREEGEERADEWGPFHAGTHGGTPLGVVGDGSRQKRVVHGIDGGSVIGGRGSDISECGGGGISYGGGGGVDPSPAAAWRPDLSPAAAGRPDPWAATARRPDPSPATAGRTDPWPATVGRPVLSPATAGRPDPSPTITGMTAVGLGQGSDNDGGGGVDGG >OGLUM07G02450.1 pep chromosome:ALNU02000000:7:2211907:2215709:-1 gene:OGLUM07G02450 transcript:OGLUM07G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFR6] MEEYGWLPSNPKMHLNCYQGAWVQRTWVPGIIAIQRGGDVVLASLPNGTTWLKALAFATMARRAHPPPPAVGDGDAQLLHHPLLRLNPHDCVPFMEKLFAAGLGSKIMDALPSPRLMATHGRCLSGPIWNHIVGYWNASKARPETVLFLRYEEMLQYPIDNVRKLARFVGQPFSPDEEEAGVVMDSWTSFEKMKNLKANKADSSPIQVHRNNTFANDSFFRRGGAGDWTNHMTPEMARRLDAIMNEKAPWNRHLFLVHLIRTAVDGPPSTPPPPSLSLPCPRPTAVIPVIVGDGSGLPAVAGDRTGLPTVAGHGSVLPAVAGDGSGLLAVAAHGSGLPAAAGDRSGLHAAAGDGSTPPPPP >OGLUM07G02460.1 pep chromosome:ALNU02000000:7:2222108:2222419:1 gene:OGLUM07G02460 transcript:OGLUM07G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGRWMGLKSEREGCRRGVKAEGATLEREEPDPIAPERLLGRSGAGSDRPRATSVEGWKGGKRRGSGHPWVAGGEGRGREEAWWEGRGRGVVERKVKVGKSL >OGLUM07G02470.1 pep chromosome:ALNU02000000:7:2246812:2261867:1 gene:OGLUM07G02470 transcript:OGLUM07G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Outer arm dynein light chain 1 protein [Source:Projected from Arabidopsis thaliana (AT2G34680) TAIR;Acc:AT2G34680] MEAPPETSKPAEAKPSKTRLSAPTGRFALGTASSIKKRTDVAPSAELGMSRSSLTKSTSSMNAAPVQRRSSTGSAGKQQDNGSSVVAKKASPSLSDGVKKSKPVTASMVSSKSSLEKKSSVQSERAKVDAMKKPAVKSSPISTLKKVPSLTENSSSSASSSFRRAASNATLNSPRSPSVTSSVTKKVGSRTSSMDKGSSMPIRKKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRTLKQLRLTPVLEFVYLRDNRLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLENCKLLQQLYLAGNQITSLATLPELPNLEFLSVAQNRLKSLCMASQPRLQVLAASRNKISVLKGFPHLPSLEHLRVEDNPLLEMPHLEAASILLVGPTLKKFNDRDLNPGEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKNKLPQDLIVKKAHVDHPFEEDPCHCHFSFTNQCDEGELVLKYQWFIGDKTPTDFVPLPEELSEVYWPKREDVGRCLKVECTPILNDAEFPPIFAVSLPVSPGTGCPKVINLTVHGDLVEGNVLRGVPEIAWCGGMPGKVNTIDFVKPSFEASWLRRRWNGNAVVIDGADRMEYQLTLDDIDSSLVFMYTPVTEDGVKGEPQCTMTDFVKAATPSVSSVHVVGDIVEDNTIKGNGKYFGGKEGLSKFLWFREKENGYDRMSHTAISLSSLSIDKTPLCEFLLVLSNSTEYTLTKEDVGRPLKFVYVPINLEGQEGEAAYAMTDAVKKAPPKVLDLKIVGEAREGSKVSATATVKGGTEGFSRVQWFIGSSSKFLNENELGVLTTSKVSKTFRIPLSAVGYYIVAKFTPMAPDGETGEPAYAVSADVVEMLPPSLNFLTVTGEFSEGQMLTASYGYIGGHEGDSLYSWHLHETEDDEGSLVSEASGLLQYQVTKEAVGKFLSFKCVPIRNDGILGRPTILSLELTGEAIEGTTMVASRRYWGGEEGETIFRWILTSSDGSQKEIEGAASSSYTLNCNDIGFYISVLCEPVRSDGVHGSLVSTEESGPILPGPPTCLSLELAGPMVEGGCLTFHAEYTGGFKGDCIQEWFRLHSDGSKEKLSTDECLDLTLDDVDSRIELIFTPVRDDGSQGSPKSVLSDTILPGDPKGVDLVLPECFQDNEISPIKTYFGGKEGTGKYTWYRTKEKLDNLEPDLVASCSEVGVNLMYKPSLDDVGFYLILHWVPARYDGEIGDPLVAVTSDPVMAAFPSVSDVHLKQKSSLLYSGTGVYYGGYEGSSLYKWYRESSDGTRHCIDGADLIIYEVTDADYSCRLLFGYIPVRSDGIIGEERLSEPSDIILPERLKIEALSFKGNQVERETLTVLEQIPSTAVQQHLWSNYKKEITYQWFASSGSEVDQTFEPLANQCSRSYKVRFEDIGRCLKCECSVSDVFGRSSELISIVTAPILPGKPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRTYEANVDDVGYRLVIIYTPVREDGVEGQPISASTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRILEVNRKRIKVVKPGSKASFPTTEVRGTYVPPFHVELYRNDQHRFKIVVDGESEVDLMVQTRHMRDVIILVIRGLAQKFNSTSLNSLLKIEA >OGLUM07G02480.1 pep chromosome:ALNU02000000:7:2262385:2264265:1 gene:OGLUM07G02480 transcript:OGLUM07G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit K [Source:Projected from Arabidopsis thaliana (AT1G30380) TAIR;Acc:AT1G30380] MASQLSAATSVPQFHGLRTYSSPRSMVTLPSLRMSKKRSQGIRCDYIGSATNVIMVTTTTLMLFAGRFGLAPSANRKSTAGLKLEARDSGLQTGDPAGFTLADTLACGAVGHILGVGVVLGLKNIGVLDQIIG >OGLUM07G02490.1 pep chromosome:ALNU02000000:7:2278142:2279847:1 gene:OGLUM07G02490 transcript:OGLUM07G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFIAGRLMERRSSTLLTVLRPGPAATATKRSIHHAPSAAAGCIWATTVAARPDLQRPIKLPLLPGNTRTLRSPSAAAGCSWGLATAAAATGPKSTALAAVRAKVVGVAVALLRVMVGPQRAYTVLARLARIYYGDGSTMPRVATDADMSVVEVAWRRLHLLLRPRVLTVKASKAVKGAGDLGVYADNDTIKAMKVLVCTFVAVKFEGATPAEAIYKNLSYLEVFFKNSLLAVGDAVKLWVQQQPSHDCNISDGFSA >OGLUM07G02500.1 pep chromosome:ALNU02000000:7:2282497:2282796:-1 gene:OGLUM07G02500 transcript:OGLUM07G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFLERAGMALIHGSSSALISNNHFSPFNLPTCMFNSRTCVSWLFFFIFPLPARRILEQIMEEGDKRQRKLMWYEIIGNFIAFNATLYTVHLLRKVD >OGLUM07G02510.1 pep chromosome:ALNU02000000:7:2289250:2289690:1 gene:OGLUM07G02510 transcript:OGLUM07G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSSPANTGRTGRARWWMEQARPRQYCNHGEEFDGGGSLSLPAPWEELMLTAGRRHRWVVVGWGHWWAVASGVADERWGRAATSGAGGGLRRRRIGCWGWVVVTPRRALGESSDVGCWGRWWRRRVQRWGLGRGGKEQRRHELSR >OGLUM07G02520.1 pep chromosome:ALNU02000000:7:2290293:2304512:-1 gene:OGLUM07G02520 transcript:OGLUM07G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTTSQPEEEEEEEGGGGGMDTASFVTSLLTSFVIFVVLVLVFTWLSSRPGNAPVYYPSVLLRGLDPWEGRGRGTRSPVGWLRQAISASEGDVVAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAATDDNLERAIGLKNGKTPQNFTELEKLALGNVQADKIYQEIEGHKQKIARAEVVYAESKTTGKPEGTKPTHRIGFLGLIGKKVDTIEYCNDQIKELLPKLEAEQKTTLREKQQQAAIVFFNRRSAAASASQTLHAQIFFVGYGLELSRLVPLIIFHLKRKYLCKTEDEVRAAWAPGDLGYNTRVLRVYVPSYESNGRMWPHMHTRIIAALLIYQITMVGVILLKKFLYSPVLVPLIPISFIFAYICHMRFYPAFAKTPLEVVQHDVKDTPNMDAVYTSYIPACLKPEKLEDTHWPGPNQPTTLQKSTARNRAKIHSARAGGGARTAASRRPDAPPMSEAIPSTHPALRHCVALLRLHLAAPSLAAAKQIHARALRAGVPTSHPLLAKHLLFHLAALRAPPLRYAVAVLSRLLPHGPLDPFPLNTVLRIAAASPRPRLALELHRRRLAPPDTHTYPPLLQACARLLALREGECLHAEAAKNGFATLIFVQNSLVHLYGACGLFESAHKVFDEMPVRGRNLVSWNSMLNSFAANGRPNEVLTVFREMLGVDFAPDGFTIVSVLTACAEIGALALGRRIHVYVEKVGLVDNSHVSNALIDLYAKCGSVNDARRIFEEMGLGRTAVSWTSLIVGLAANGFGKEALELFSLMEREKLVPTEITMEDYGISPRIEHLGCMVDLLGRAGRVEEAYDYIITMPLEPNAVVWRTLLGSCAMHKKLELGKVAWERLVELDPGHSGDYVLLSNLYAAVGMWADVHVLRKTMVKDRVRKNPGHSLVELRNSVYEFVMGDRSHPESEQIYEMLADIAERLRREGYIPRTSNVLADIEEEEKETALNYHISAAGARAAPQEKAESATPIPMLSPPEGNMTFVDGVTWCVARPGVSQEDLQNALDWACGQGGADCTPLQPGGRCYQPDTLLSHASYAFNIFYQQNGNSDIACNFGGAGTIIKRNPSNTTTDSLFWIVQVPGIRDICCISTESKKHKALACIVAEVEWATSRSFYQTTIQHIITILGCPESSRQDAVRSSVRTWTLTLRGMIGTTAD >OGLUM07G02530.1 pep chromosome:ALNU02000000:7:2309920:2312436:1 gene:OGLUM07G02530 transcript:OGLUM07G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AFS4] MAEETPVETPAAPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHAAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQDYVKSH >OGLUM07G02540.1 pep chromosome:ALNU02000000:7:2320625:2327853:-1 gene:OGLUM07G02540 transcript:OGLUM07G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVSAMLGLVAVVAVVGCIMKPHFEAQLEKLGQAFVLLFLLALLQAMVEMQKERRQKSLEDDHADDSEESKKKLKPTKT >OGLUM07G02550.1 pep chromosome:ALNU02000000:7:2336791:2342315:-1 gene:OGLUM07G02550 transcript:OGLUM07G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEVRSSSAAPPDPPPRSASPPATPVASWALISHCGIDLAPVVVLHTDDMFFALVSKYMFLCSAGASSPPAQTNAASIDWLGGEPISKVESSSQIAPHAPRPSLSTNAAGAAVDFSQPSCRPWERGDLLRRLATFKSSTWASKPKAASSLACARRGWVNIEMDKIACESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHLGDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFISLPVIAKSAIESMKLTRSPQIDRVLSQAITILSGELGYKTDSTTGIDINHQDESCSYSQAQKLISLCGWEPRWLPNVQDWEENSTRSAKHTASADPDQIHKQNSYSASVKKDKGKGKIRVKDSGCSMRSPLLDCSLCGATVRIWDFRSVPRPSHLSINNIDAPDTRKGVLTRGISATSGINGWVAEGTERENVEGRGEAGTDEGKSLSNAQVDLNLTMAGGLPSTHSVMPSMHDHFNDGGMGRDLMIGQPTGSELGGFAASFESRGPSSRKRNLEEGGSTADKPLNRLHPADSTEGTVIDRDGDEVDDGAQDSDIRSNKRPRGFNLFDVNRPSSSGAGPSRNLSFDLDIDVNKFDTYKAEGPSALHNPSASMRASSVIAMDTVHSAEENSTESVEYHPCDVDDVHKPSSAVRSGGMSEALDLNYSNQAPQSSFVQPAAESNAREIGGSSMNGGEEVLNAETAPAFARDQLSLGVSGGSVGMGASHEAEIHGVDVSEHKTDSVVGDVEPAPELTENMGNTGESAPGPGMMDEFVPDDVGREEPQGDSQDVASRLVGRADSGSKICGSTKADSVESGEKMSHAIGHESNLQHSLSRNARVYSGIDLSKDEVTQIAKLPANDDYDPGDDLAANGGNDYGAGLPEFDPISHHNNYCPWVNGHVAAACCINTGSSTSTGLSGWQLTVDALETIQSLAQAQNQIMPSDSAASLYKDDHVAPSRKLLKRASHSKC >OGLUM07G02560.1 pep chromosome:ALNU02000000:7:2345710:2349401:-1 gene:OGLUM07G02560 transcript:OGLUM07G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase 23 [Source:Projected from Arabidopsis thaliana (AT1G30270) TAIR;Acc:AT1G30270] MSVSGGRTRVGRYELGRTLGEGTFAKVKFARNADSGENVAIKILDKDKVLKHKMIAQIKREISTMKLIRHPNVIRMHEVMASKTKIYIVMELVTGGELFDKIASRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGTLKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPSTRITIAELINNEWFKKGYQPPRFETADVNLDDINSIFNESGDQTQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQSQGSVKRETRFASRLPANEILSKIEAAAGPMGFNVQKRNYKLKLQGENPGRKACATTLSWVYRLKSVHPFLKVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPESSIIEGDEIQHRRSP >OGLUM07G02570.1 pep chromosome:ALNU02000000:7:2349907:2379489:-1 gene:OGLUM07G02570 transcript:OGLUM07G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGAVLYMETAGGGDVTYGRPLCEAPTARQHNGGALSVSSPPSFDSPGPTNATSLPGVGPSWQVGPGDDLLVDGSWIVDTKKGHWREDEEREARFPGAYKEMHNCKCIRDRATTGTGKLAAAAEMEGLIPLVYKAIVEYRNKASSRQVTLRSFFFVTVVDDQLRGGDSGRWCYAPSPPASAAHQLVSPLLRSAPRRHRADWLATIALPSDQMLEIDPSRLLTITTPKQIAKVAQN >OGLUM07G02580.1 pep chromosome:ALNU02000000:7:2380005:2380397:1 gene:OGLUM07G02580 transcript:OGLUM07G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQVVESAAVEEEEAAAAAAAMMSVYERVARMASGNAVVVFSASGCCMCHVVKRLLLGLGVGPAVYELDQLAAAADIQAALSQLLPPGQPPVPVVFVGGRLLGGVEKVMACHINGTLVPLLKQAGALWL >OGLUM07G02590.1 pep chromosome:ALNU02000000:7:2387658:2391906:1 gene:OGLUM07G02590 transcript:OGLUM07G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol transporter 2 [Source:Projected from Arabidopsis thaliana (AT1G30220) TAIR;Acc:AT1G30220] MEGGVHEFDGSTFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFPSVDKNTWLQEMIVSMAVAGAIIGAAIGGWANDRYGRRTSILVADALFFAGAAVMASATGPAQLVVGRVFVGLGVGTASMTSPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAAVPAVVQFFLMLFLPESPRWLYRKGREEEAEAILRKIYSAEEVEREKEELKESVEAEARERSSSEKTSLVALLMTTATVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTAGLNAAGSLVSIYFIDRTGRRKLLVISLAGVILSLALLSAVFHEATSHSPPVGAAETAHFGGGALTCPEYSSRSSSSSTFWDCTRCLKAAAAAATGCGFCAAGGGDKLRAGACLAAAASNTTARDACRGEGREWYTRGCPSRYGWLAMAGLALYIAAFSPGMGTVPWIVNSEIYPLRHRGVCGGAAATANWVSNLAVAQSFLSLTEAIGAAWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLENRELRLRFWAKRRRAGDGGGEKTRGV >OGLUM07G02600.1 pep chromosome:ALNU02000000:7:2390807:2414227:-1 gene:OGLUM07G02600 transcript:OGLUM07G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGRGHGEHLVAAERGKAQWQRSAVAALERETTATLGSSGSGNGTVDSSEEANPCRQVTWACELVHRTGGLINGGWRADPGGSKAFDPTTATTIEAQKQPPRASPRTADAIKHLLWNLLFLIVSSILRLSQHNKIGRCTLSNGRTKEAASSMNVDEGIRVLHEELAKIEATSKEIAEIIRYNRFHRRKAVREVFRELEPELKQEILRKYSSPKVSSCPRKKIYSFFRLRSSAAGGRGEAAAAAGCARVEEGKSGSSQKASTSYVSYHTPRVFSPPPSPARRRLAQNLRRSSLFSSIFSTSSMGRPLVSGTQTSTNASAATDSPPKMRNVHAAPMASVSDRNDCATARFDTQFAVAAAPPHTPRCRSG >OGLUM07G02610.1 pep chromosome:ALNU02000000:7:2392057:2394651:1 gene:OGLUM07G02610 transcript:OGLUM07G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: MAGE protein (InterPro:IPR002190); Has 1274 Blast hits to 1260 proteins in 85 species: Archae - 0; Bacteria - 0; Metazoa - 1104; Fungi - 45; Plants - 49; Viruses - 0; Other Eukaryotes - 76 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G34770) TAIR;Acc:AT1G34770] MATVSDDYAQIDISTEEKDKLVAEVMRHVLFKTHQTTGCPIKREELTQIVTKNYRQRALPALVIKEAGDRLAATFGYEMRELQRTRAPSTRSGRPSQQQVNVDAKSYVLVSKLDPEVYSKYVEHKEAAHVSGFAFVVISIVHLSGGKISEEDLWHQLRRLGLNESDENHPVLGNNKQALELLVQQRYLLKEKLSGPEGHSMMYELAERALDESISGKLKDYISQVVSTSTAAEVD >OGLUM07G02620.1 pep chromosome:ALNU02000000:7:2415294:2416992:1 gene:OGLUM07G02620 transcript:OGLUM07G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRVASALLAGRRRAAADIVFAGVRVHHHQGAARLLQPSNLAAARLWPPPTAFSYGGRVGSLGLGARRGMSGGGGGRSSGGGIPGGDGKRRLEEWTRSVDSQLEEILTELQQLSAAQMNAVKVSTSSFQSLKRSMERTGKATTAVISLSVTASVYLVLFALYCLGVKMGYIVDADEFAKKLAHSVLDDEEFKAKLDQTADRLGAIAVSAPFRKAQEWFFGKDSSPQDDLDLEASDTWEDWAVSFSFFALSLIRGMPVYENELWMRLYQLGVRRNKENEELLNILVAVGLISKKKKGKLIPMPLSKSNTGNALTQDSGRKLFRSSRRSVNGGVPPLPELFQYLPNVLSNAKGMFRVLNFGFFSLNLAVSESGGCQRHKLLVGGLLIRRQML >OGLUM07G02630.1 pep chromosome:ALNU02000000:7:2419140:2420801:-1 gene:OGLUM07G02630 transcript:OGLUM07G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLAGKLRAPAPAAAALRRPRSLSANASQSQVGSPLDSSQIVRFDRPRSGKYTNGSTTELASSMKVVKNVDETIRQLHEEVAKMEAATQMVLRHDSNIWLFSLFCFDSKEIAEIIRYNRFHRRCIMGSVVLGVGLAGASCVWYTRSYRKALREYYVVGLEMENKWGVQFPLLFMPKMWWICMQGPALVYVPYFVLKPSTSYVRKTKLPSF >OGLUM07G02640.1 pep chromosome:ALNU02000000:7:2436652:2438812:1 gene:OGLUM07G02640 transcript:OGLUM07G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLASTVLAGRRRAASVVIAAGPRYGGGGGGVHVHPWAAFPSPTLPPKPRAFSSSGSLGRRDGGAPRGGSFLGWTKTVDLDLSRILTNMEMLVKTTGSHKALLEEYAQTLEKLTIAVEKNERSKGSLATTSLFLMASVVTISFGVYFFGVFTGRVDVNGFLKGFIIDILDDPTISVKMHDYVDDLAGSAVESINPIKRFVHWLSGQPRPAHYQKLNEEILNYYTDWSSILAFAVNSIAKSEGGKISEKALWRFLINVGEFVMPAAARGGPKSKPSH >OGLUM07G02650.1 pep chromosome:ALNU02000000:7:2441331:2442795:-1 gene:OGLUM07G02650 transcript:OGLUM07G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYVAGKLRAPAPAAAALRRPRSLSANASQGRRSNATTENVARNMDGDLGWVREEIAKLEKLRLEIEETTRYNRLHKRCLIGSVFAGFGLGGLACAWYTRSYRKALKEHFDNPIVWMPPYSTSSPE >OGLUM07G02660.1 pep chromosome:ALNU02000000:7:2446446:2448308:-1 gene:OGLUM07G02660 transcript:OGLUM07G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRYLACKLRAPAAALRRPRSLSANASQGGRSNATTDQVTSSMNVVRSTDGELGWVQEEVAKLEELSRDIEEAVRYNRFHRRCIMASIVVGVGLGGLSCVWYAPSYRKALMEHVTGFEVISPYAPSTPE >OGLUM07G02670.1 pep chromosome:ALNU02000000:7:2469428:2470762:1 gene:OGLUM07G02670 transcript:OGLUM07G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAGSNNKRGRVRGPNDDDDDAGEPDAKRHHHQLLLPWPQQQQQHPASRIYRVSRASGGKDRHSKVYTAKGIRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPSLDTASFPTHPASSAAVAAAAAPPLPHAEREQQQQLTKSGCSSTSETSKGSVLSLSRSESRVKARERARERSSAAAAAASKDAGDDAATPTAPTAAPASSQAASFTELLTGMAAANASPADHKQQQAWQPMTVAAATADYIGFAAAAAPHTQPRKSAAGHHSAMPHTFASPAPHLANITPIAMAPAQHFTLTPAAAEHHAEMTHYSFDHFMPVHAAAAAAAAASTPAGGDYNLNFSMSSGLVGVHSRGTLQSNSQSHLSSHHHHHHQQQQQQQQLQRLSAPLDAPNIPFLFSPAAAPTAADTQFAAALQLWDGFRHADIKEKGKH >OGLUM07G02680.1 pep chromosome:ALNU02000000:7:2476209:2479705:-1 gene:OGLUM07G02680 transcript:OGLUM07G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT1G17230) TAIR;Acc:AT1G17230] MAASVARVLLAAAVFFAAVAAAAAASSSAAAVAALMEFKTKLDDVDGRLSSWGAAGGSGGGDPCGWPGIACSAAMEVIAVTLHGLNLHGELSAAVCALPRLAVLNVSKNALAGALPPGLAACRALEVLDLSTNSLHGCIPPSLCSLPSLRQLFLSENFLSGEIPAAIGNLTALEELEIYSNNLTGGIPTTIAALQRLRIIRAGLNDLSGPIPVEISACASLAVLGLAQNNLAGELPGELSRLKNLTTLILWQNALSGEIPPELGDIPSLEMLALNDNAFTGGVPRELGALPSLAKLYIYRNQLDGTIPRELGDLQSAVEIDLSENKLTGVIPGELGRIPTLRLLYLFENRLQGSIPPELGELTVIRRIDLSINNLTGTIPMEFQNLTDLEYLQLFDNQIHGVIPPMLGAGSNLSVLDLSDNRLTGSIPPHLCKFQKLIFLSLGSNRLIGNIPPGVKACRTLTQLQLGGNMLTGSLPVELSLLQNLSSLDMNRNRFSGPIPPEIGKFRSIERLILSENYFVGQIPPGIGNLTKLVAFNISSNQLTGPIPRELARCTKLQRLDLSKNSLTGVIPQELGTLVNLEQLKLSDNSLNGTIPSSFGGLSRLTELQMGGNRLSGQLPVELGQLTALQIALNVSYNMLSGEIPTQLGNLHMLEFLYLNNNELEGEVPSSFGELSSLLECNLSYNNLAGPLPSTTLFQHMDSSNFLGNNGLCGIKGKSCSGLSGSAYASREAAVQKKRLLREKIISISSIVIAFVSLVLIAVVCWSLKSKIPDLASNEERKTGFSGPHYFLKERITFQELMKVTDSFSESAVIGRGACGTVYKAIMPDGRRVAVKKLKCQGEGSNVDRSFRAEITTLGNVRHRNIVKLYGFCSNQDCNLILYEYMANGSLGELLHGSKDVCLLDWDTRYRIALGAAEGLRYLHSDCKPKVIHRDIKSNNILLDEMMEAHVGDFGLAKLIDISNSRTMSAIAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELVTGQSPIQPLEQGGDLVNLVRRMTNSSTTNSEIFDSRLNLNSRRVLEEISLVLKIALFCTSESPLDRPSMREVISMLMDARASAYDSFSSRASEAPIEDDSSLKH >OGLUM07G02690.1 pep chromosome:ALNU02000000:7:2491018:2492122:1 gene:OGLUM07G02690 transcript:OGLUM07G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARIALHVLRVGFGFVEEDLRIHERSDLVLRMNPVHRSVPILIHRGRPICGSINILQYIDEVWAKRVSTRLLPPDPLKRASARFWADFVDHESKGEEKEMAKAELLGQLRRLEGVLGDRSFSGDEFGFLDIVLIPFSSMFHGYKQHMWVKRCKERESVRQVLPDEGEMYELHKKWYGIE >OGLUM07G02700.1 pep chromosome:ALNU02000000:7:2493513:2500900:1 gene:OGLUM07G02700 transcript:OGLUM07G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >OGLUM07G02700.2 pep chromosome:ALNU02000000:7:2493632:2500900:1 gene:OGLUM07G02700 transcript:OGLUM07G02700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITNVTEYQAIAKQKLPKMIYDYYASGAEDEWTLQENREAFARILFRPRILIDVSKIDMATTVLGFKISMPIMIAPSAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRRVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLELGKMDQASDSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVITAEDTRLAVENGAAGIIVSNHGARQLDYVPATISALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGVFIGRPVVFSLAAAGEAGVRNVLQMLRDEFELTMALSGCTSLADITRNHVITEADKLGVMPSRL >OGLUM07G02710.1 pep chromosome:ALNU02000000:7:2501359:2503402:-1 gene:OGLUM07G02710 transcript:OGLUM07G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRDDGGDVEVELSLRLRTGDDSTSADPAPATAAAEARRNLTIFYNGRMCAVNVTELQARTIISMASQGNFGKQQQQQIQGRDDHHYHQGESSSGGGVSTAAARHCDVAGSSSSHSGSGSGSGSATPPRPAPVSPRAGLQAAAAAAPTMNQPPAASGLSMKRSLQRFLEKRKTRAAAPLYARR >OGLUM07G02720.1 pep chromosome:ALNU02000000:7:2520248:2523760:-1 gene:OGLUM07G02720 transcript:OGLUM07G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALYLVASLATTLLTSTFLSLLLLLRLLLTRRPPLAGGGDGGAAVRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPGHLSPDDARRVASTSGPVHLLTIPKSVGYEQNPLSIYYCYDSAEQGEDEKLKMCIAEVTNTPWGERVMFTFQPGSDLVAKPLHVSPFMDMLGNWSIRAESPGDSLYVVILVQHPTLGNYFTAALHAKLVEKTSSSLRLATFFWLMPHKVAAGIYWEAVRLWLKNVKFLDHPRYLNLNYRDEAQKRDLEIRSSCSFLQKQKLNDQRTGRADETAEITDHHDHNGEESVVKRWCVWTDAQWPWS >OGLUM07G02730.1 pep chromosome:ALNU02000000:7:2525412:2527438:-1 gene:OGLUM07G02730 transcript:OGLUM07G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30090) TAIR;Acc:AT1G30090] MRRVRVSSHQSPVHKLGDSQMKLTPKFRLATTSALPSSMPASDLEQASWETPLIPGLPDDAALNCLLRLPVETHEACRLVCRRWHHLLADKARFFMQRKVMGFRSPLLFTLAFHRCTGKIQWKVLDLNYLTWHTIPAMPCRDRACPRGFGCVAIPSDGTLLVCGGLVSDMDCPLHLVLKYDVYKNRWTVMTRMLAARSFFAGGVIDGRVYVAGGYSTDQFELNSAEVLDPVKGVWQPVASMSMNMASSDSAVISGRLYVTEGCAWPFFSSPRGQVYDPKIDRWEVMPVGMREGWTGLSVVIDKHLFVISEYERMKVKVYDPETDSWDSVKGPPMPERIMKPFSVSCLENKIVVVGRGLHVAIGHVKKQPGSHPDSRSLSYLIQWQDVDVPREFGDLTPSNSQILYA >OGLUM07G02740.1 pep chromosome:ALNU02000000:7:2540112:2540618:-1 gene:OGLUM07G02740 transcript:OGLUM07G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSASWPARASPAVELSLSLPAAAARSRDEAAPTAIVGGKQVRLFPCLFCERTFRKSQALGGHQNAHRKERVAGGSWNPNVYGDGGGSSASMPIASHGVTAAGSSTAADGRWCGGGASDDDATAAPMPSLGSGSAAGGAACFASTGRGSSGGGGVAGEEIVLELGL >OGLUM07G02750.1 pep chromosome:ALNU02000000:7:2547622:2548092:-1 gene:OGLUM07G02750 transcript:OGLUM07G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSASALVPAAVVEDVSLSLPAAAAPTARVNGKEVRRLFQCLFCDKTFAKFQALGGHQNAHRKERLAGGGVVVNPYVDYAAAGAPSSSPPSAAAAAARSIIPISAHGCSEWGAQLLPATFDDDDMVDMLNWTRASHAAAAAVDDSSMGAGDEQRL >OGLUM07G02760.1 pep chromosome:ALNU02000000:7:2574124:2574747:-1 gene:OGLUM07G02760 transcript:OGLUM07G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASSSPASAATVVNVSLSLAAPAPAASNRDEDGAAAPTTVRVDRKQVRLFPCLFCERTFVKSQALGGHQNAHRKERVAAGRNPYVYYAEGAPSCFSSAAGSEVVTARLAAAAAAWSMPISSHGCSVVGPIERWSGVGVGVGVGVGARSFTEHAQLMAAVGGAGRDENTVDMLNWTRASHAAAAAAVDDSSIGAGDEQMDLELRL >OGLUM07G02770.1 pep chromosome:ALNU02000000:7:2585753:2586205:-1 gene:OGLUM07G02770 transcript:OGLUM07G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSASYSAPPPPCRPSSSSSSSATVDLSLSLAAPAATAPTTAVVNGKGGVRRLFPCLFCNKTFVKSQALGGHQNAHRKERVAGGGGWNPYVYDVGSGAAVPTVASHGVTAVDGWRRWSDAGGERATKKGSSSMGVAGDGEELVLELRL >OGLUM07G02780.1 pep chromosome:ALNU02000000:7:2647925:2653225:-1 gene:OGLUM07G02780 transcript:OGLUM07G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRHGGGARLFPCLFCDRTFLKSQALGGHQNAHRKDRVACGGSCNPYLYGGGGHDPYYAWGGGGGARLFPCLFCERTFRKSQALGGHQNAHRKDRVAAGRNPYVYYAAGAPSFSSAAGSEVVTAGLAAAAWSIPISSHGCSDVGPIERRSGVGVGIGAGGRRLTEHAQLMAAVGGAGRDENTVDMLNWTRASHAAAAADDDDSSMGAGDEQMDLELRLSRSSCLY >OGLUM07G02790.1 pep chromosome:ALNU02000000:7:2701793:2708989:-1 gene:OGLUM07G02790 transcript:OGLUM07G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLASALFPASSTSPASAPLVDVSLSLTAAARVDGKEVRLFACLFCDKTFLKSQALGGHQNAHRKDRVAAGGWNPYVYHHAAAVATAGAPSLSSSPSAASCAASGEVSACLGAAARSIPISSHGCNVGPEWWSGAGVGAAPRFTEHAQLLAVLGSGRAVLAAGDRSAGRDDNTVDMLNWWTRASHAAVSSMGAGDEQLDLELPAGVGGGGGGARLFPCLFCNKTFLKSQALGGHQNAHKKDRVAGGASCNPYLYGVAAAAGVPDDPYYAWGGGGVPGYSGGNYPPAATTTPIAGAPHGGGPSTTPVVAAGSSSSSRHGGIGCWRMASDEVDGGVSETTKGGEKLDLELRL >OGLUM07G02800.1 pep chromosome:ALNU02000000:7:2744202:2744651:-1 gene:OGLUM07G02800 transcript:OGLUM07G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRRQAGDGGGGGGGGGRLFPCLFCSKTFLKSQALGGHQNAHKKDRVAGGGSCNPYLYGGVAAAAGVPDDPYYAWGGGGVPGYSGGKNPPAATTPIAGAPHGGGAAGLVFAARGIGCRRMGSDDGATGKENVAGGGEKQKLDLELRL >OGLUM07G02810.1 pep chromosome:ALNU02000000:7:2777155:2777712:-1 gene:OGLUM07G02810 transcript:OGLUM07G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCHYGGGGRSRSSRVFECLFCDKTFHKSQALGGRQNAHKKDHRVSAAGDWDPYYVHGNGIHPAAAAARDPYAGYPAASTTMPPPPVAGRTTPHGAVVTAAPGLVFATTSRPLRPLPHGHGVAAVVSASRGVAAGSGPWHDIRAWPVMEYSDDGAASRKDGGDDATVDDVVVDGGEVLDLELRL >OGLUM07G02820.1 pep chromosome:ALNU02000000:7:2795625:2797373:1 gene:OGLUM07G02820 transcript:OGLUM07G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAPSAPGLAPPPPPSKVKVATATVPTNGKIKRGARPMRVSAPAPVVEPRRRMNPLQWLAAAAIDAVEEGLVAGLLERGHALPRTADPAVQIAGNYAPVGERPPVRGLPVSGRLPACLDGVYVRNGANPLHAPRAGHHLFDGDGMLHAVRLAGGRAESYACRFTETARLRQERDMGRPVFPKAIGELHGHSGVARLLLFGARALCGVLDASRGIGVANAGLVYHDGRLLAMSEDDLPYHVRVTHDGDLETVGRYDFHGQLDADGTMIAHPKLDPVTGELFALSYNVVSKPYLKYFYFTADGRKSRDVDIPVGAPTMIHDFAVTENYAVVPDQQIVFKLQEMVRGGSPVVYDREKASRFGVLPKRAADASELRWVEVPSCFCFHLWNAWEDDATGEIVVIGSCMTPPDAVFNEPSSPEEESFRSVLSEIRLDPRTGVSRRRAVLRDAAEQVNLEAGMVNRQLLGRKTRYAYLAIAEPWPRVSGFAKVDLESGTAERFIYGEGRYGGEPCFVPRAGAAAEDDGHVLCFVHDEERGTSELVVVDAGGAGGEAMEEVAAVKLPGRVPYGLHGTFIGANELQRQA >OGLUM07G02830.1 pep chromosome:ALNU02000000:7:2828474:2828695:1 gene:OGLUM07G02830 transcript:OGLUM07G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVVTAVIAIAAVVLGWITIEMACKPCLDSGRRAMDRALDPNYDPDEPTAAANEPLLADLSAASAAAPAKAI >OGLUM07G02840.1 pep chromosome:ALNU02000000:7:2829156:2838535:-1 gene:OGLUM07G02840 transcript:OGLUM07G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPLAAWGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >OGLUM07G02840.2 pep chromosome:ALNU02000000:7:2829156:2838535:-1 gene:OGLUM07G02840 transcript:OGLUM07G02840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPLAAWGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEALGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >OGLUM07G02840.3 pep chromosome:ALNU02000000:7:2829156:2838535:-1 gene:OGLUM07G02840 transcript:OGLUM07G02840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPLAAWGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVCVNIIPLVSTSPQMSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKYLDGARWGSLSAMQKKTVCHSLYYAINWIRELLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEALGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >OGLUM07G02840.4 pep chromosome:ALNU02000000:7:2829156:2838535:-1 gene:OGLUM07G02840 transcript:OGLUM07G02840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPLAAWGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEALGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVCSFSYLLAYDVLITMQSVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >OGLUM07G02840.5 pep chromosome:ALNU02000000:7:2829156:2838535:-1 gene:OGLUM07G02840 transcript:OGLUM07G02840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHDDVGRLIVAQFRWLDFLVDADAFVAKLMEVLSVAPPRLKKEIIGSLPEIVGDQCHATVVAALEKLLQEDSEVVVSALDALSDLNLSEQLQEQAVTVAISCIRTVHADQMPHLLRFLLLSATPFNAGRIISQIREQLKFVGTVDPLAAWGKKLKGKASANGTDGAILDALRSGLRFKNMLCEAFLKELKSVDNPRDHKVIDVWLIMLIYGNGGALQKSAEKILKSKILQLCIRETLFDQCIRGNTELVKDHFVSYLSVSDFLLACKEEKAREFAAYLFTALFEEFSDTFSRQELIGSLIAHIGSGVSLEVSSALDIMISLTSDKPEELIPLSSHITGILDYLESFHEDNLRKVYEIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVNASMNCSSSQQPNYDEALELLKMAVNSCKFVMLPLILLYDELAVLLENSSLHSAIVEWVGEHVAEFDTVFLADLDDGELSKKYVCDGIEGELWMNLDGNISPVSQTCLQILPSQFSLLTIIERLGNQGSLGGINAVLGCPLHLPSTKLNAFSTQVATRVDNVSQRARDETAVKLLMRLRNLILLEGLLNAYLKIHPLSLPDLRYVGDHGSTSTSKFNLPKNMGDQNMEGNVSNKRQKGHKDKASLEKSTSDDKFKQSTILDAFKRAGVTISQETNRDSQPSPSGMMSRVMEHETNNPCKFGIVDLMAAPAQLDMQRFKFRTLHATCLSLLNYSEPQDTTVSYNESEVRLDFNYINQLLNMVKLLRLTLFVTQMPLYVYLLRELQNKLDNLYPSSKPFFSSSQVKSTQTYCQKSMEEFLNKIQPLFSSLRKHLDGAVSMIKDGSDSCPDNWNSHSASAGNPDIPYVVVLKSSVATSVFKEALGCYRKLLGIPDLLNQANISVLKELLQTFQPSENFDEVLAEFRPSLVPSNLDYLYCGAYTMFAAILDIVLNSVIMLFEKSGEQNGKNVHMGCSKEIIPFLRKHLGLSARKLLTSDLPSEDAENGWQSKGDLIQKILQLYLKNSDSTSDLLDELACSVLPKVPSLKTGSTQDMSHGFPTLCASTILSWYRVVHEENTGNLNKMIKQALKTKAQSERAVETSLEEIQKSVLVFVSLINMCKTHEKVAMHAMAVKHGGRFVDTFLKAFNFLETHFGQHNDIILQMIKSLQKATKTIQTICAEAKGHKRTMITSKIPVAKRSLERFVFQVKALLHNCSTEETFWMGNLRHKDLQGQLVSSQIYGSVDDSPNDEQEQMETDPETPADENANAMDEDAAEDSNENEAPLEE >OGLUM07G02850.1 pep chromosome:ALNU02000000:7:2845766:2849182:-1 gene:OGLUM07G02850 transcript:OGLUM07G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSIPFPSPPQLVRTGGATSAAAALFTATWAAAEEANRVSNQGAAAMAMATAALARAMRGGSGAGAGLAAGELLSKAPATRASSLPAMVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >OGLUM07G02850.2 pep chromosome:ALNU02000000:7:2845766:2848828:-1 gene:OGLUM07G02850 transcript:OGLUM07G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKKRWNQGAAAMAMATAALARAMRGGSGAGAGLAAGELLSKAPATRASSLPAMVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >OGLUM07G02850.3 pep chromosome:ALNU02000000:7:2845766:2846899:-1 gene:OGLUM07G02850 transcript:OGLUM07G02850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSPVARPPPAMTKKVKVASRGCSNGGGRCAKVADLAEMNGRINMVEFELKREVQLMKFAVEKQRAMARVEMMEAEANRMQFRFRLMCGLVWGGSLVYIASHRY >OGLUM07G02850.4 pep chromosome:ALNU02000000:7:2846926:2848828:-1 gene:OGLUM07G02850 transcript:OGLUM07G02850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKKRWNQGAAAMAMATAALARAMRGGSGAGAGLAAGELLSKAPATRASSLPAMTTGRGEGDAVTCCEDDKEWIRRPRCGSGRFLHQANGAATITNEMSCCCSWLA >OGLUM07G02860.1 pep chromosome:ALNU02000000:7:2849064:2849757:1 gene:OGLUM07G02860 transcript:OGLUM07G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVVQFLVRKFVEEEAAAELPFRAHFYDVKAELEKAAISSTNDDELRQCLYELNDLLAVRTNMRPGCFSPSEAWRSNSLKKRVIAVKRRVLRCVESDSSGVGGNAAVAAALEEEDSAATGFSRWTSSWIEEGTVHGFDQQLAELESMAECGAGGLTGVGIVGMAGVGKTALAQLVFNSLQARRRGGGRWPPALGQGGGSGGGRASPRSLSPSARGGSRGER >OGLUM07G02870.1 pep chromosome:ALNU02000000:7:2850355:2852141:1 gene:OGLUM07G02870 transcript:OGLUM07G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPAAAPPRRVVICGGGVVGACTAYFLSTHAASPTVPTLVEKSSPACAASGKAGGFLALDWCDKTPALSALARASFALHRRLAATLDGGSAYGFRPVHTLSICLPTDPDPAAAAAAAASPLLPAWVDLAASAAPPRELGTTDTTAQVHPGFFTKAVLAASGAEVVIGEAERVVVRDGRVAGVVVRGRGEVDADAVVLALGPWSGRFEMVREVFDVSGLKAHSIVLRPREPENITPHALFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDEEVPDDPETITGEPDSIAMLHKIAGRVSGQLKREEGAEVVAEQACYLPCTDDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGDAKIVDLAPFSPARFLKKKSKRGV >OGLUM07G02880.1 pep chromosome:ALNU02000000:7:2852349:2854426:-1 gene:OGLUM07G02880 transcript:OGLUM07G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family UPF0090 [Source:Projected from Arabidopsis thaliana (AT1G69210) TAIR;Acc:AT1G69210] MAHARAQAIRALLARCSTECPRRAAASSCIRRASLPYCSPGSYPRSLLPAVRAAGADWTRSLASRAQGGAGAGEAGAEEGEAQEWMAEWEEEEEEEEDVEPEIGDGGDGGGVALRGVEWGKRALAAAEEVLGEHFGEDVAMFAFKVSPKGYVYVRLDKLTNRYGCPGIEEIESFNKLYKQKLDELIEQGEIPLDLAIEARAERLLKVPKDLDRFKDMAMRVQYLVEGDDVVPKQILQKDGIFLLESVDIQAEHCIWKLADVKENRAAAGKGRPLNRKKRDWRLQTSFQAVKKATLYLD >OGLUM07G02890.1 pep chromosome:ALNU02000000:7:2910905:2917919:1 gene:OGLUM07G02890 transcript:OGLUM07G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSMVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSLDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKSLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLRGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSPRHSSLVATNSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >OGLUM07G02890.2 pep chromosome:ALNU02000000:7:2911249:2917919:1 gene:OGLUM07G02890 transcript:OGLUM07G02890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQLRSSESPASGGGGVTGGGAPHLFHALGPALLISIGYIDLGKWVAAVEAGSRFGLDLVLLALLFNFMAILCQYLAACIGTVTGRSLAEICHQEYSRPTCIFLGVQAGLSLLTSELTMIFGIALGFNLLFEYDDLITGICFATVVPNLLPYAISHLGKKMAGTLNACIAGFALLCYVLGLLVSQPQIPLTTNVIFPKLSGESAYSLMALLGANIMAHNFYIHSSVVQGQKRSAFAVGALFHDHLFSVLFIFTGIFLVNHVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPMAPTIFLVVLLFSSHIISLTSAIGSQVISQHLFGINLPLSGHHLILKAFAIVPALYCAKVAGAEGIYQLLIICQIIQAMLLPSSVVPLFRVASSRLIMGAHRVSLHLEILTFLAFLLMLFSNIIFMAEMLFGDSGWLNTLKGNTGSPVVFPSMVLITVACVSVAFSLYMAVTPLKSGSHEAELQQEWSVPSQKELLNTTQDREETCAGNVTYEEDQRSDVVPSPRIQPVDCLKSALDYIDSLDTAIESDHDSQHSTAHTSTAPESCHSPSFIPEESKSVVAVDWPEPLEPISNAIVAEESTVESVDSKSTGERDIEVEPALLMDNDKEAPNILESDNKSLGGNNPSCASDDGPPSLTFSRGKGSDAGNGSGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASSKRFDILLGLDVRTPSSTVRADSQANEIPKSPMVRDNLRGSAFLGSSRDLMSTKNEMSNLDLTYGLQMGNNIGSSAWSQGMQLPSTQLQSSSNSLLDQGARLNSNFSTPSYADNNQFYQPATIHGYQLASYLKQMNANRNPYSSMPLDPQRLPKSSASAVPTYVDSVMHARNQNLLASLGATPSQIAATSRIGTMMAERSYYDPSTLDGNENAGSSAYSKKYHSSPDISALIAASRSALLNESKLGGGTIGSQSYLSRLASERSQYTNSVARPAAPLAFDELSPPKLPGDIFSMQQSPNPSARSLWAKQPFEQLFGVSSAELTKSEFNPAGRSGGMTKDDFSYKESEAKLLQSLRFCISKLLKLEGSGWLFKQNGGSDEDLIDQVAAVEKLLQQGTSDNQLLLGDTQQPPCDKADIQYMRVLPNCGDDCIWRASLVVSFGVWCIRRVLDLSLVESRPELWGKYTYVLNRLQGILDPAFSKPRSALSACACLHRDIRVLNSPRHSSLVATNSIPRPIRGSFTTASVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGQQ >OGLUM07G02900.1 pep chromosome:ALNU02000000:7:2919163:2920409:-1 gene:OGLUM07G02900 transcript:OGLUM07G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYNKKTYGYDHIVCMHSAATSTPPWASSALAQPRYFSTRATRVMMRRLEQRIRKQERAAGSMHGWRIAHLKANHRLDLLKSDMRGDQLKVTAHMYRDLFVIFAGALTVMSLVAGAINFKEALAELFAPQSEKMPAEESKEAPPPEKMHHPREALQVLGSWLL >OGLUM07G02920.1 pep chromosome:ALNU02000000:7:2927004:2935911:1 gene:OGLUM07G02920 transcript:OGLUM07G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAARGVRRHGSPVIIAWAILFFSIASLSLSEAQLQVGYYNYTCPRAEDLVRNVSCSLGHSVP >OGLUM07G02930.1 pep chromosome:ALNU02000000:7:2936684:2937175:1 gene:OGLUM07G02930 transcript:OGLUM07G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPLRRSPDLPFAVRGAVAETSSELLDASLYWIWQQRPPSSLYLLDPMVTWRVATCSRPPVLAREVETETEAVEKSARVKDLEVGDDGGR >OGLUM07G02940.1 pep chromosome:ALNU02000000:7:2937658:2938415:-1 gene:OGLUM07G02940 transcript:OGLUM07G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGIRILSKKFTPLLCRSSVARTGMALTKTNHMSTPFVDSLNGAKRPFSSSSIIEDRHLFRQSWYPWPPVPNIEEEFTRQWRIRFLANFLFLVYSSGFIAHKRRNLTHKMKLGATSFQALGAPACPPVLPRDREELVI >OGLUM07G02950.1 pep chromosome:ALNU02000000:7:2940151:2941406:-1 gene:OGLUM07G02950 transcript:OGLUM07G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFVALKRFLWPPTNSFATCSSFAESLVDTLLIERTSVSGHLSVEVLSPPPPLKKAMRSSAAAAPRLANINGPLTLNLSEQLQEGSLTMFFFLTKQSWKKRRTGVAEDGGADDVADEVLRPGASIVVVSDLQLRLAPETEKKMRGGVEEGRVSPGRSMRVASEREEREERE >OGLUM07G02960.1 pep chromosome:ALNU02000000:7:2941622:2943969:-1 gene:OGLUM07G02960 transcript:OGLUM07G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITCKGPGREARRRCVRACDRPENPKHSRRRGLSLPPPIADDGARRRRHRRGDRSASGSGDSGRHSVLFRRRRCRRRRIHPGTVILRPHPDALFPDPSYQVRRHLHPTMGFLRTRPAPLFLHKSYQGQTKIKIGGWEEAYRKLNLRIDHLNAVMRDGQGMQVHREVLKVVSGGVCIIFIVVTILHLKETFARRRAGLPSVISDGHKC >OGLUM07G02960.2 pep chromosome:ALNU02000000:7:2941622:2943839:-1 gene:OGLUM07G02960 transcript:OGLUM07G02960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAATAAAIAPHLVPVIRGATPFSSAVAAAAAAASTPARSSSALTQTLSFPIQATRSAATSTPPWASSALAQPRYFSTRATRGEGQTKIKIGGWEEAYRKLNLRIDHLNAVMRDGQGMQVHREVLKVVSGGVCIIFIVVTILHLKETFARRRAGLPSVISDGHKC >OGLUM07G02970.1 pep chromosome:ALNU02000000:7:2946556:2948187:-1 gene:OGLUM07G02970 transcript:OGLUM07G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA-binding protein 33 [Source:Projected from Arabidopsis thaliana (AT3G52380) TAIR;Acc:AT3G52380] MAAVAFRSLLHPAAAALTERVPPPPAHLRLQGLHRHRVGVLNLFVASGHRRRILLPLAAAGGEFSSEEEEYANEEEEEGEEYVEEEEEDGEEEEAAAVAAPRGYYPPRSRPALGQEPGRLFVGNLPYTMTSGEISQTFSEAGRVDNVQIIYDKVTDRSRGFAFVTMATAEEAATAIQMFNGALLGGRTARVNYPEVPRGGERAVGSAAATRGNRRDDGTFKIYAGNLGWGVRADALRAAFEGQPGLLDARVIFERDSGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSMAEQNPTAGSPSTVQSQEEETASESSDAETEQSITSEPSEAETEESNLQTAASY >OGLUM07G02980.1 pep chromosome:ALNU02000000:7:2948573:2949154:1 gene:OGLUM07G02980 transcript:OGLUM07G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASDPAIAAPHLEAEEPAVVAVAAAAAEEEAVVVAADVEKEGEGEDEEEEEEEGECGFCLFMKGGGCKEAFVAWEECVEAAGKEEGSDMVERCFEVTANLKRCMDAHADYYAPVLRAEQAVNDHADAAIAADKAKEGGEKKLDAVAQEAASAADEKKQQVEEKSSSSSSSPTTTIDERKEKEVVTEKADS >OGLUM07G02990.1 pep chromosome:ALNU02000000:7:2951527:2954077:-1 gene:OGLUM07G02990 transcript:OGLUM07G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTRILQNHHHQILAAGRQLQQRHHFPEMPPERHHHPPPPAPGSPAMKFPIISGDSDLGKDLKFHESSAPTIAAYSPLQEYQGHFELALGHSMVCTNFCNSEQSYGVYSPYGAQTMAGRMLLPPAIATDVGPIYVNAKQFNGIIRRRLARAKAEREHRVSRSRKPYLHESRHRHAMRRARGSGGRFLNTKNASSAAAAAADAAPVSSGGGDHGASNKSSSASEATRVYDDDDDMGAGGGGDGGDFHHAMGHLRSPAFFPSLAAMMDGGGGGGEGKWATATPHRGCRVDLLKV >OGLUM07G03000.1 pep chromosome:ALNU02000000:7:2973871:2974941:-1 gene:OGLUM07G03000 transcript:OGLUM07G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPLVLTVLLFASLTGLVVLAPRSSSPPPAATPSPPVVGDGVGGGGEDGDLALFRRATLDGGEGAAVAEPKVAFLFLTNSELTFAPLWERFFEGHGERLNVYVHADPAARLMMPPTRSFKGRFVAAGPTKRADATLIAAARRLLAAALVDDAANAYFALLSQHCVPVHSFRHLHATLFPPPAAAAAAARRRRRLPSYIEVLDGEPQMASRYAARGEGAMLPEVPFDRFRVGSQFFTLARRHAALVVGERRLWDKFRQPCLDQNACYPEEHYFPTLLDMADPAGVARYTLTHVNWAGSVHGHPHTYTAAEVSAELVADLRRPKKNNTHDYMFARKFSPDCLAPLMDIADAILFND >OGLUM07G03010.1 pep chromosome:ALNU02000000:7:2976430:2980122:-1 gene:OGLUM07G03010 transcript:OGLUM07G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKKGIQVKSGAHHLLPPPNVSICLCGGCESCRSTTSQDILIGIISRLPIKEAARTSILSSHWKKIWCSHVNLEFSFYSMSPRLGSTSHDARLRMKMFIERVNQVFEQHSGLSVQKIAIQGKLDNEHAEHINRWLSFVSATKTKDLTFDFKSRYPREGPYDFPFKLFGAMDSSYLLSMRLSAVSLNPPVDFKAFLNLKRLKLEQTNVTDENMQILISNCNALEFLGIVDCGKLTRLSTSHLSNQLKHLHVESCHLLKEIELNFGLTKLGYKGTLIPLAPPGPLLLTNVCMKLQHARSSLGYIFTNLPSTLLHLETLSLQCSELERAIVPENHMKFMYLKHLRLQLRHPVTEKKIDLLDFACLLEAAPLLQKFELHMWMPLHHQRYREESHGELRSLPPQPHAHLRLVHISGFIGMKDQLELALHILRNSAMIRAMKIDPKPLFALPCISMLSPLEGFQYLDGYEVAIEYLCKEDHRNVVDVSEIRREEVETLSVCELVYPDCVRLTRKANSSS >OGLUM07G03020.1 pep chromosome:ALNU02000000:7:2987193:2988224:-1 gene:OGLUM07G03020 transcript:OGLUM07G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHIREQKLKLNLEIAAAKKQRDHYLPNVEKSRTLKHIQEKKKKQKMEGTKFSEVREEKIGRPIPQKKPVEDTDAKTKSKLPKDILAGLSLQP >OGLUM07G03030.1 pep chromosome:ALNU02000000:7:2991891:2992391:1 gene:OGLUM07G03030 transcript:OGLUM07G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGAGDWPVFVDGGGSSYKTSWSGAAAVIVCLCAVAAVFLIMAGITLLCKRVFPTAPPAPPPPPQRRRDDDDDDGIVVAVGIDEATLQALPLVLYGEARTAQTCCAVCLESYGGGDVLRALPECGHLFHRDCIFTWLRRRPTCPVCRAPPSPAPLADVLGLQLSV >OGLUM07G03040.1 pep chromosome:ALNU02000000:7:2994787:2996528:-1 gene:OGLUM07G03040 transcript:OGLUM07G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFFSSFLNESASSENLFGHPNVERCPFLRNINGATTYSFSSALPVAARGGNGPIFEDGPGFDSAFKLFHGRDGILPLSGKSYLPDENNSESIDARPEPALPFNPLAARAATISLSAFGPFGFNFFNGKGKRQNKKPNNLNQSNKKPSNPNQNSMKQKGGNSSSHEAMSNEWLENGQCPLARSYRAMSGILPLVAKALQPPAGVKLKCPPAVVAIRAALARTELVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPFIGMLRKSVNMPKTAMAFTIAASIVGQTIGSRAERIRLKALAAKGDADSTTVADMYPNKSCNCSDAEGKAWDPLAMKMAGRASGGVAAPTPSMCF >OGLUM07G03050.1 pep chromosome:ALNU02000000:7:3000488:3001039:1 gene:OGLUM07G03050 transcript:OGLUM07G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSPSPGGGDGGGGGSIFGSGGIGGFGYGVGASVGVLLVVSTVALAIYFCSRTSMPVAAAGRPPSPPRPPRRDGDDVEAGTGIDDATLEAFPEVVYGEARKARGDGGAAATQTCCPVCLENYGDGDVLRALPDCGHLFHRECVDPWLRQRPTCPVCRTSPLPSPMPTPLAEVTPLALVRPS >OGLUM07G03060.1 pep chromosome:ALNU02000000:7:3001746:3003605:-1 gene:OGLUM07G03060 transcript:OGLUM07G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22050) TAIR;Acc:AT5G22050] MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILVAGPGGRAAYRARFADGLVATVRRAGGDGDQDREAFYRELQLLARLNHRHIVRLHGYSDGHSRFLVFDQMENRSLKECLHGIPVLLLRSSGVPCVGQLKQCDDGCKFCCKDVSVIGYDPKRAAESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICE >OGLUM07G03060.2 pep chromosome:ALNU02000000:7:3001746:3003605:-1 gene:OGLUM07G03060 transcript:OGLUM07G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22050) TAIR;Acc:AT5G22050] MGCLSRHQLCLGGNRGLPFLRKFKHKEIEAATNGFSAILVAGPGGRAAYRARFADGLVATVRRAGGDGDQDREAFYRELQLLARLNHRHIVRLHGYSDGHSRFLVFDQMENRSLKECLHDCSLLCLMMQEYLYYFCDPPVFHVSVNSSNVMMDANFVAKLSDVSVIGYDPKRAAESNAASFEDEIQQRRRDLVFQYGVLILELVTGQSPGGEGELVQWVQEPGFACTMYKMVDADLGNIYDSKELRNLVIIARLCTRPGNDAMVSIPLILRYLQGKVANLGCESENICE >OGLUM07G03070.1 pep chromosome:ALNU02000000:7:3004048:3004816:-1 gene:OGLUM07G03070 transcript:OGLUM07G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGTSRRGGWVNVAEASSSQRDNFLPPPVEKSIAARWSDLSREVRIEEEGSDMWAKGISMKLGIKSRLGWKGTDTKATTNNDNLKH >OGLUM07G03080.1 pep chromosome:ALNU02000000:7:3045101:3048676:1 gene:OGLUM07G03080 transcript:OGLUM07G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQSLLLLALLAAAAVAASAVTDVEYCNKGKKYPVKVSGVEIVPDPVARGEPATFKISASTDKTIGKGKLVIDVKYFFFYVHSETRELCDVTSCPASGDFLVAHQQTLPSYTPPGSYTITMKMLGDNDEELSCISFGFSIGFAASEATI >OGLUM07G03090.1 pep chromosome:ALNU02000000:7:3049723:3050493:1 gene:OGLUM07G03090 transcript:OGLUM07G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKTLKARILRALKSSLPAAASPPPSPTKPGRAAAAVVVVAGDAFSDDASFFDAHETPTKNGAEPIDDWELVDEEGRVGVAAAAAEEEEEQLREFPARCPPGGEGAVVLYTTTLRGIRKTFEDCNGVRALLENLDVAFQERDVSMDRGLRDELWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEDGRLLALLSPGSNKNRSAAAAAAKCDACGGLRFVVCGECDGSRKVFDGERGRGVRCRGCNENGLVMCALCL >OGLUM07G03100.1 pep chromosome:ALNU02000000:7:3053421:3067036:1 gene:OGLUM07G03100 transcript:OGLUM07G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNIRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQGRTDFDDHEGKKR >OGLUM07G03100.2 pep chromosome:ALNU02000000:7:3053421:3067036:1 gene:OGLUM07G03100 transcript:OGLUM07G03100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNIRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQGRTDFDDHEGKKR >OGLUM07G03100.3 pep chromosome:ALNU02000000:7:3053421:3062749:1 gene:OGLUM07G03100 transcript:OGLUM07G03100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPISDLDLSSYIIDKSELSDCHYRLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNIRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQGRTDFDDHEGKKR >OGLUM07G03100.4 pep chromosome:ALNU02000000:7:3053421:3063319:1 gene:OGLUM07G03100 transcript:OGLUM07G03100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATAQASASSSTASTRGSPAASSSSHSAVCLVPFRWWARVREEAPPEGGVRYAATAAASPSSYYGLRLLHSFLHPDLVLRLERGGCRGTGAGAGGRSYALVPADELSRVLARQNSSLALHNKHSFAEDSAGAYPLVLRISVRETSILTVKISKKDNPVENYKRAYKIFNIDSQPVHVWDFSGQTNLILMNEWNRSNHDCCHSELENILEVQVYAMSDSLTSKIGGTSKEYTEQSSADVNDMDVDLSYGSFGRSSSHGLIGLENLGNTCFMNSSIQCLAHTSKLVDYFLGDYDRDINRTNPLGLNGELALAFGELLRRLWNTERKPVSPHHFKAKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDKEVADEYWSNHLARNDSVIVDTYHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGSIEPISYDVTVPQFGSLNDLVQALSSACSLGDDEILLITEVYNNRILRYLEEPSDSVSLLRDGDKLAAFRLPRKYEKSPVVVFTHQYFDERSSVDNITPQMKEFEAPLLAVLPERANGLTLKNIYLKLLEPLRFSKSTSSLNDSGRCNSGCAAVMMDATPDSDSKFQSAPSENAPESSQSETIECQMTEGPSESNIGDTTDSDREAHMEEFEFYLINGRGEFQQTRIQTDEVDLQTTPNRLLINVHWQQNAGQYDTSMLKSLPEIHKLELIPKGNEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEHEEGKGWYKFDDECVRPITEDSIKTPAAYENIYMIISDSDLSPELCCLTNNIRLLLSSVLFGLIALDKYSDISQQHLFCLDISQDQTRLDGHQSFMCNKVSWTNCVLNDNSIPQYISSIAA >OGLUM07G03110.1 pep chromosome:ALNU02000000:7:3067855:3075869:1 gene:OGLUM07G03110 transcript:OGLUM07G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQFTSEHVCYVNCNYCNTILVVNVPNNCSYNIVTVRCGHCTMVLSMDLAPFHQARTVQDHQVQNRGFQGNNFGSYDIASRNQRTSTAMYPMPTSQQQPLRKDSVSRLHTTDLSRRRYRGLKPAILRLATGRHSVLLQRTGLIFPGSISASASPTAAAAATNRGGAACRPATDRRHPRRRAAATGRECARRRDDDDDDDILLSRVPLSSPRN >OGLUM07G03120.1 pep chromosome:ALNU02000000:7:3075273:3080116:-1 gene:OGLUM07G03120 transcript:OGLUM07G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSPLSSKSSPNELSLRPSSPVPAPSFSPLQLLAMVALGPGEPPPSRLSLPPIAQAPSGGAACTALATAAPTTRERGTRDNNISSSSSSSLLRAHSRPVAAARRRGCRRSVAGRQAAPPRLVAAAAAVGDAEAEMEPGKMSPLHIRTRN >OGLUM07G03130.1 pep chromosome:ALNU02000000:7:3080715:3087325:1 gene:OGLUM07G03130 transcript:OGLUM07G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPVVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAIGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTSLPGALVIVVECRPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTADSEAISVRMASSVLQPGVPVTLQELEPSSESFRQGASLRVTGVLQSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRS >OGLUM07G03130.2 pep chromosome:ALNU02000000:7:3080715:3087325:1 gene:OGLUM07G03130 transcript:OGLUM07G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPVVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAIGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTSLPGALVIVVECRPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTADSEAISVRMASSVLQPGVPVTLQELEPSSESFRQGASLRSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRS >OGLUM07G03130.3 pep chromosome:ALNU02000000:7:3080715:3087325:1 gene:OGLUM07G03130 transcript:OGLUM07G03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEAKKPVVVVAMKGHPGSGKSTVARAIATALCCPLLDKDDVRDCTLPLERVDGLAIGMLNELSYAVLWRMAERQVQLGLSVVVDSPLSRRAHLDALTSLPGALVIVVECRPGNEEEWRRRLEKRGAAVPEDGGDGWHKPKTWTELERLRDGYQGCTDYEFGDVPRIVVDTTDPTADSEAISVRSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRS >OGLUM07G03130.4 pep chromosome:ALNU02000000:7:3080715:3087325:1 gene:OGLUM07G03130 transcript:OGLUM07G03130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLQPGVPVTLQELEPSSESFRQGASLRVTGVLQSYDLNSAIAVIQDGGASLKVDTQNLREISFRTNSTYQFIGELLIKPDNDAVLQARVGRNVDGIDLNLYQQSLLIRRQYEAQLRSRRS >OGLUM07G03140.1 pep chromosome:ALNU02000000:7:3084527:3086868:-1 gene:OGLUM07G03140 transcript:OGLUM07G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT5G57040) TAIR;Acc:AT5G57040] MATRCLSSLALLSPSPSSSGKVAAMASPPVPSSAAPRRRPGTRLSVATGGEQLVTAQEASQEPAYGVVSIHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCMAIKDVLKLKEIFDKAGIKYTLSKSGRPAIFARDPDGNALEFTQV >OGLUM07G03150.1 pep chromosome:ALNU02000000:7:3087767:3089567:-1 gene:OGLUM07G03150 transcript:OGLUM07G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLVHSLADIEAAAEEAEAAGAGPVYFLIASDASLAEASAIRRRLGDGGPGGYFLFNPNMARRKEEAKACVDGHFWKLMKDWEGAIDDQITKVEGDLKEVDGKVLSGAALNLPCTSFQWREAFDGISTRTTVHPGNDPIPVMNYSAFSLVAPPPPIIPLGGFPHPEVELLPSLEALSFGSFRELNREILQVRLDLLNSMKGELKARVNNLLEEAVNTRSHIGAGLFNNYSFVGYEK >OGLUM07G03160.1 pep chromosome:ALNU02000000:7:3092674:3094940:-1 gene:OGLUM07G03160 transcript:OGLUM07G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPSWPQEGPPRRALPPLPADLALHIRASHAPGGWRGGKVEGMWGCQIQRRLQQGTPASNKRHHFLLAGIETDMSKDITALDMV >OGLUM07G03170.1 pep chromosome:ALNU02000000:7:3099176:3102807:1 gene:OGLUM07G03170 transcript:OGLUM07G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKRENFKQELNGKMVERIRPKIHLSDLHTDILSRIISLLPLKEAARTSVLSNHWKNIWCSQENLVFRFCSVFSVHDHIKRCWTSDGQRLNKELFIERIDAVLKQRSGLGVQTTAVFFDLENEHADHIDSAANSLQLQALKLNTVSLKPPPNLDGFRKLQKLKLEYTNVSDEDMQTLVSNCNSLEYLGIIHCGMITRLETSHPLNQLKHLEVQSCTMLQDIQLNVGLTKLEYEGPLIPLAPPEPLLMTNIWMRLSDIHSALRYIFTKLPSTLPRLETLTVNCSELKKTILPEKTAKFMYLKHLRLELTFYEQTRKADMFDFACLLEAAPLLETLELHMWMPFDHQPYCEDHGELRSLPNRPHSNLRFAYITGFYGAKDQLELVCHILRNSAILNAMKIDPRPVVARPPIALMLRTEVVYCLNGYRVAMEYLSKADHRNVVDVHEILLEDVKKREIYEIMEDRWIQEPKAMLSYF >OGLUM07G03170.2 pep chromosome:ALNU02000000:7:3099176:3102807:1 gene:OGLUM07G03170 transcript:OGLUM07G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKRENFKQELNGKMVERIRPKIHLSDLHTDILSRIISLLPLKEAARTSVLSNHWKNIWCSQENLVFRFCSVFSVHDHIKRCWTSDGQRLNKELFIERIDAVLKQRSGLGVQTTAVFFDLENEHADHIDRWLNFALASKTKQLILDFKPHCPKQALYNFPFELFSAANSLQLQALKLNTVSLKPPPNLDGFRKLQKLKLEYTNVSDEDMQTLVSNCNSLEYLGIIHCGMITRLETSHPLNQLKHLEVQSCTMLQDIQLNVGLTKLEYEGPLIPLAPPEPLLMTNIWMRLSDIHSALRYIFTKLPSTLPRLETLTVNCSELKKTILPEKTAKFMYLKHLRLELTFYEQTRKADMFDFACLLEAAPLLETLELHMWMPFDHQPYCEDHGELRSLPNRPHSNLRFAYITGFYGAKDQLELVCHILRNSAILNAMKIDPRPVVARPPIALMLRTEVVYCLNGYRVAMEYLSKADHRNVVDVHEILLEDVKKREIYEIMEDRWIQEPKAMLSYF >OGLUM07G03180.1 pep chromosome:ALNU02000000:7:3107522:3108186:1 gene:OGLUM07G03180 transcript:OGLUM07G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILYELENEDADHIDRWLNFVIASKTKQLILDLDPYYPKVAPYNFPFKLFNATNSLQLQALKLTSVSLKLPANFMGFRNLQKLKLDCTDISDDDMQTLVSNCNALNFLDMKDQLELLRHILINSVMLNAMKIDPRPVVAVPHGTVMLYTEGLNCLNGYRVAMEYLSKSDHRNVLDVHEILLEDVQKREIHAIMKDRWIQEPKAMLSYF >OGLUM07G03190.1 pep chromosome:ALNU02000000:7:3111140:3114424:1 gene:OGLUM07G03190 transcript:OGLUM07G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDGLPRPKIAIRELPIDYDNGLMDKYVYLPLLFAQLMTLKHEPK >OGLUM07G03200.1 pep chromosome:ALNU02000000:7:3116761:3118846:-1 gene:OGLUM07G03200 transcript:OGLUM07G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRLLPSTSGSRQPSMAGLDGGGAPPAACDPNNLPAAIVLVFPVMVVALLCWRAARTRRNKDECRRLAQRVALLRDLRQLMAPAPPLAKAAAAGVRAVLAGQVDAWVKEAESVVLGCTSSRWPCRFVRCDRHGEQLSVVRMNLDEAYDRILPVVAQIDTAHRLHHLLQLQVIVQDGHKYEETDMSNGRQYCQRPPRPKE >OGLUM07G03210.1 pep chromosome:ALNU02000000:7:3122965:3127870:-1 gene:OGLUM07G03210 transcript:OGLUM07G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEMMRLAQEQMSKMSPADLARMQQQLLSNPNLVKLASESMKNMRADDFRRAAQQMNQTRPDEMLDMAEKLANANPEEVAAMKVQAEQQMSYVISGAKMLKQQGNELHRCEQYSEAAAKYKLAKDNLKSIPSQSAHSLQLVCTLNLMACYLKTRNFEECINEGSEVLTYDSSNVKAYYRRGQAYKELGNLEAAVGDLSKAHELSPDDETIAAVLRDAEEKLAVEGKGAKHPKGVVIEEVVDDASEPSSSQRSSSPGYTVSQPPEEGNSRPSGSSSIDANGLSKLGMQGMSPELVKTASDMIGTMKPEELQKMFEAASSLHGTSSSPPNLGPNMPEMSPEVFKMASDMIGNMSPDDLQNMLNFASNMGGPSASPLRPENKLQSSSRATTSSTSQRSVDNSQPSSSQNVMENPHEILSNQRMGESSSPGAPSTADMQETMRNAMKDPAMRKMYASMMKNISPEMMSSMSEQFGMKMTKEDAAKAQEALSSLSPEALDRMMKWMDRAQQGVEAAKKTKNWLLGRKGLVLAIVMLILAFILRQLGFIGG >OGLUM07G03220.1 pep chromosome:ALNU02000000:7:3132546:3139137:1 gene:OGLUM07G03220 transcript:OGLUM07G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPEESLDCAAENRQGRRSGLQLNDLPIDVLGLIISRLPIGDAIRTGLISRQWKDLWRDHTMLTFSRATFPSCRMLNQKNFIRRVDSILQQHSGVGVERMEIKFLLRNAQWDIDRWVKFAVASKTKELILDLSDLTRFFMLPVMVFHPYLDREGFYEFPCQLLDANNGGSHLQCLQLTSMYLKPAADFTGFLNLKRLNLIGVNITDEGVKNLLCSPNVLEFLEISFCRMLTKIHAPHFLNRLKHLQVDCCPVLEKIEINCDLATLDFTGSSMTPLIFATTSSLTNDSSVICRLFECSNFMLLNIRKTDILDYAYLLEIAPFMEKLELHMWIDGPHKPYSEEDGDLKSLPLHHHNHLKQVQITGFFGQKDQVELALHILCSSTVLKNMVINPEIAIVPHDAYRPPKRGAHNFVDGRDAAMEFVCKADHRNVVEVV >OGLUM07G03230.1 pep chromosome:ALNU02000000:7:3135995:3140397:-1 gene:OGLUM07G03230 transcript:OGLUM07G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPDCPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGCSAETVKL >OGLUM07G03230.2 pep chromosome:ALNU02000000:7:3136293:3140397:-1 gene:OGLUM07G03230 transcript:OGLUM07G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSSAADRHGFFHSVSLAVLWRPGGRAEPSQPPDCPPRESSHSSVTSSTAPERVTIADSDLSSSTPNKGGNKPKVRRVQSAGLLADSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKVLACKSIAKRKLVSEEDVEDVRREIQIMHHLAGHPSVVSIVGAYEDAVAVHLVMELCAGGELFDRIVQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNHKEDSPLKTIDFGLSIFFKPGENYSDVVGSPYYVAPEVLMKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSDPWPAISDSAKDLVRKMLNRDPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKTGLRRVGANLKDSEITTLMEAADIDNSGSIDYGEFIAATMHLNKVEREDNLFAAFSYFDKDSSGYITQDELQKACEEFGIGDAHLEDIIKDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGQGQLSFGLREALKLGITTPECRMKCYLVKDED >OGLUM07G03240.1 pep chromosome:ALNU02000000:7:3144001:3163394:1 gene:OGLUM07G03240 transcript:OGLUM07G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSPPPPSKAKRRGCIHGARPQPLIVSSAPAEASRPSKKPRVSGGGGDTGPVIVYELTPRVVHVEQEEFMAVVQKLTGGKQQPAAASTLTTLPAADQVAGGDHAAAAAAAADPLVLALGQQPLLVN >OGLUM07G03250.1 pep chromosome:ALNU02000000:7:3166076:3167089:1 gene:OGLUM07G03250 transcript:OGLUM07G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSSSPPPSSKGKRRPGGIIRGPRPQPLIVVVHVEPEEFMAVVQKLTGNRKLSTATAAAAAAPVDSTVRSADDQMTAGGGAEITDTAAATVADDQLALAFGQQQHWPAPPPEIDDDNSANLPSPGSFFLSPTTMQALQELASNLF >OGLUM07G03260.1 pep chromosome:ALNU02000000:7:3188374:3190035:1 gene:OGLUM07G03260 transcript:OGLUM07G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITAKMPAKVKRIRFSDSQTGCEVVLPQTMTSGATSSSLAVDETAQTRRKGGGKFVASLFSVGFLTMDPVVKGPNLTRCSAALASEACRVLSKTHHEKLEEIGLDAVACMTLESLEKPNLIRWLMDRTGPNSMCILIDDDRKIQITPCTVHLVMGNPLGGKDIVIPPNKVLLLPTTDFYIPKSDVWVAADLDRVASIDWSKAVFQALSHSLRCWRQNPGSSIASCVVCLVFMEVYDGKREPIEALKIPV >OGLUM07G03270.1 pep chromosome:ALNU02000000:7:3207828:3208361:1 gene:OGLUM07G03270 transcript:OGLUM07G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPSKAKRPGGGSIQVPRPQPLIVSPAAAEASRPTKKPRVVAGGGDMGPVIVYELTPRVVHAQPEEFRAIVQKLTGKPSTATATAPSDPTATLPDLVAGGRAAAAADPLVLALGQQRQPAPPAIDDNDDDDDDHSAHPFLLPYPAAASLLSPSSLFFSPTTMQALQELGVLF >OGLUM07G03280.1 pep chromosome:ALNU02000000:7:3210832:3212513:-1 gene:OGLUM07G03280 transcript:OGLUM07G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G16010) TAIR;Acc:AT5G16010] MWWWPAFLYPPPAPAFVAAASVAQFALLANAGLGELRGEHMAYSKFWQVVAGKKKNGGGGGGGALLPSRQGMLVAYVPAFVAAAASFAVPGAVVGVRAQVLSAALTVHFLKRILEVLFIHQYSGSMPLNTAATISSSYLVTTATMIYAQHLAAGLPDPPVDLLYPGVAAFAVGIAGNFYHHYLLSQLRNAAGGSGGGERQYRIPTGGLFGLAACPHYLFEIVGFFGFAMIAQTAHALAVASGTAAYLAGRSCATRRWYESKFEDFPDSIKALVPYIL >OGLUM07G03290.1 pep chromosome:ALNU02000000:7:3213530:3216317:-1 gene:OGLUM07G03290 transcript:OGLUM07G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIATASWPSTSASSWPRSVRRRVPEAEEERELGRRVEEMEEAVERLRAEKEAAEAEERDLRAELDAERAAAETAASEAMLMIERLQREKAAALLEARHFRRLADGRADRDGELQDEVASLSALAASYLSLLHAHGIDPDDDDGSNQQEQLQPPVEHLDAEADRESRSVVASPPPSEKVFAYAAATAPAADCGAEVTENLYARVEALEVDWSAMRREVAALRAERAQAVLAREVARRLCREAAVAGERGAVAVAAERPRFSVLAVCKEKEMSYCQVYVLSTTLLCLLLLVDKSVPLHRRRRPQM >OGLUM07G03300.1 pep chromosome:ALNU02000000:7:3217275:3222730:1 gene:OGLUM07G03300 transcript:OGLUM07G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAAAVYLPEVDSRFADADDEEEEEATVRPVEVAESKDQEEVEEEEDEEEWSDSDVADALDWLDAAEGPDGSGRPAAAFTAAGGAAAARRPNAHGGVLSRPFQPISNRTQKLASHIRATPLEEWEGRMNVGMSNSVTTVIRDSIRETAIGKTRNTEKADRATVEQLYRMDNNLDCIIQENRSSAIDCFGRKFLRCIFFMACCVHKAIDPRTRMVLFKMLNRGVFNTINGCISTGKEANVYHASKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRVGAAGIRCPKPLLLRLHLLVMEFIGKGGWAAPRLKDAALSDDKLRESYFELITTMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPSALEFLKEDCLHVTDFFKKRGVAVMSVTELFNFVIDQNIADEDVDHYLEKIQQKMLENGDMVANDDEITPTVLVQMLDYVKQCEADIVNMSLMQRPSFANEPTADKLYNQPLLGFVRNKNEPTKNQQVQSEEPLDLQNKCSSEHSESCTSSDEDGSWHETLKVGPEERKAARKENKKKVKAEKREARKDKIPKAEKKKRKKMAKAKCKR >OGLUM07G03310.1 pep chromosome:ALNU02000000:7:3225668:3226651:-1 gene:OGLUM07G03310 transcript:OGLUM07G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAEPYVVEDCRGAVQLMSDGTVRRSAEPAFHVDLPDDADAAVEWKDVTYDAEHDLNARLYRPRHLGAANDARVPVVAYFHGGGFCIGSGRWPNFHAWCLRLAAELPAVVLSFDYRLAPEHRLPAAQEDGATAMAWVRDSAARDPWLADAADFSRVFVAGDSAGGNITHHMAVRFGKAGLGPQVRLRGHVLLMPAMAGETRTRAELECRPGAFLTAEMSDRYARLILPGGATRDYPVLNPAGPEAPGLEAVAMAPSLVVAAEHDILRDRNEHYARRMREEWGKEVAFVEFAGEQHGFFEVDPWSERADELVRLIRSFVVEHMDSE >OGLUM07G03320.1 pep chromosome:ALNU02000000:7:3226741:3230585:-1 gene:OGLUM07G03320 transcript:OGLUM07G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGTAQLVVPREYVNRGSIEETGDGDGRRRRSLARWVLTSRWRGQTSSARESKSFPILEGISFSSKFPI >OGLUM07G03330.1 pep chromosome:ALNU02000000:7:3232283:3233256:1 gene:OGLUM07G03330 transcript:OGLUM07G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVTFKRRALVASGDDCRSWSCDLKDIIFFLCWCRTRWSAPCADGGCLGSLDGAASYSGGGGPGSLGAGALYGGNGLEISGDVAPSDNLGSPSVAALCGSLQTPGAVASCDSLGALRTTAPIHAGSIIRVEQSLLLRSNERLYGTNLLSPVIPTPKSTAQQQTSDLCRFRGDSCSSLPVCQAKVCFLRVVTLYLVRDGFLA >OGLUM07G03340.1 pep chromosome:ALNU02000000:7:3233957:3234341:1 gene:OGLUM07G03340 transcript:OGLUM07G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETNIVLSAMHKRQSNIFSLCHVAQFVWRCYLHVFLLAPLLVYNATTGVTGYYAQCCYTLGIGS >OGLUM07G03350.1 pep chromosome:ALNU02000000:7:3235237:3236223:-1 gene:OGLUM07G03350 transcript:OGLUM07G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSDPNAPPPHVVEDCRGALQLLSDGTVVRAAAAPPPFYVRLDIDDGRVEWKDVVYDAAHGLGVRMYRPAATGGAEEKLPVVVYFHGGGFCIGSCTWPNFHAGCLRLAAELPAVVLSFDYRLAPEHRLPAAHEDAAAALIWLRDQLLSDPWLADAANARKVFVSGESAGGNFAHHLAVRFGAAGLDPVRVAGYVLLMPAFISERPTPSELAAPATAFLTRDMCDRYCRLALPAGADKDHPLVNPFGPASRSLEAADVGRVLVVAADGDLLRDKNVEYAERMKAMGKDVELVVFAGEEHAFFGVKPMSAATGELVEVIRRFIAGAAA >OGLUM07G03360.1 pep chromosome:ALNU02000000:7:3238017:3239024:-1 gene:OGLUM07G03360 transcript:OGLUM07G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSPAPPHVVEDCLGIVQLLSDGTVTRSGDYSSISLMRDVPIDLPVQWKDVVYDAGRGLRLRMYAPANHGGEEGKLPVLVYFHGGGFCIASFELPNFHAGALRLAGELPAVVLSADYRLAPEHRLPAAYEDAVAVLSWLRGQAAAAADPWLAASADFERVFVCGDSCGGNIAHHLTVGCGSGDIALDAARLAGCVMLWPYFGGEERMPSEAPPPPPEGDASPSAMGITLFDQMWRLALPAGATRDHPAANPFGPESPPLDGVAFPPVLIVDPELDVLRDRVADYAARLEAMGKRVELVKFEGQGHGFFVLDPMSEASGELVRVVRRFVHAG >OGLUM07G03370.1 pep chromosome:ALNU02000000:7:3239549:3245860:-1 gene:OGLUM07G03370 transcript:OGLUM07G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRDRIKYMVVRDNKTVHASNGADSMSPYTHETYGYPLSTHFDFFTMNNKLKRRPLFDHFESLPRCKAGQFGT >OGLUM07G03380.1 pep chromosome:ALNU02000000:7:3245926:3246987:-1 gene:OGLUM07G03380 transcript:OGLUM07G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTMPAVVSAAGAAAPCSNVVEDLVGFLRVLSDGTILRSPGPVFCPSTFPGEHPSVEWKEAVYDKPKNLHVRMYKPSPASGGVGAGGGGKLPVLVYFHGGGFCLGSCTWANVHSFCLRLAADAGAVVLSAGYRLAPEHRLPAAVDDAAGFLHWLRERAVDGDGDGDGWWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSAAAAAAAPDDPVAIRGYVLLMPFFGGVSRTPSEAGCPAEVFLNLDLFDRFWRLSLPPGATRDHPMANPFGPDSPAMDGVELPPVLVVAGGLDMLRDRAVDYAERLSAMGKPVELAEFAGEHHGFFTLGPGSDAAGELIAAVARFVDVAAPPPK >OGLUM07G03390.1 pep chromosome:ALNU02000000:7:3255153:3256154:-1 gene:OGLUM07G03390 transcript:OGLUM07G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERVVVDECRGVLFVYSDGAVERRAAPGFTTPVRDDGSVEWKDAVFDAARGLGVRLYRPRERGGGRLPVFFYYHGGGFCIGSRTWPNCQNYCLRLAAELGAVVVAPDYRLAPEHRLPAAFEDAENALLWLASQARPGGDTWVAEAADFGRVFVSGDSAGGTIAHHLAVRFGSASGRAELAPARVAGYVQLMPFFGGVERTPSEAACPDDAFLNRDLNDRYWRLSLPAGGATADHPFSNPFGPASPDLAAAEFAPTLVVVGGRDLLRDRALDYAARLAAMGKPVEALEFEGQQHGFFTIDPWSAASGDLMRAVKRFVDTDGGGGGGVARLDG >OGLUM07G03400.1 pep chromosome:ALNU02000000:7:3258285:3265041:-1 gene:OGLUM07G03400 transcript:OGLUM07G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT5G06580) TAIR;Acc:AT5G06580] MATAAAALLRLSRSRRPLLPLSSLRLPPPAPYHHHSHSQTPPSSSSSSSSHARLPAFLSFLAAAAAGGTTVALCDSGVDHRVGGKESTELVVRGERKRVPNEFIDELASFLGENLTVDYEERHYHGTPQNSFHKAVNVPDVVVFPRSQDEVQKIVMACNKYKVPIVPYGGATSIEGHTLAPHGGVCIDMSLMKFALNRSQKIKSLHVEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKAWGHYWRNVCYSLFWFTSCEAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTVRLQKLPSHSVVAMCNFQTIKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIATEHHGSDFVFVEEPDAKEELWKIRKEALWAGFAMKPDHEAMITLRISASSFEVIILFINDVCVPLSRLAECISVSKEKLDASPLTCLVIAHAGDGNFHTIILFDPSQEDQRREAERLNHFMVHTALSMEGTMKNCLKKLPEKINIDSDDEQYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >OGLUM07G03410.1 pep chromosome:ALNU02000000:7:3268078:3271576:-1 gene:OGLUM07G03410 transcript:OGLUM07G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKKWRLQLSNLPEDILCTIVSKLPLREAARTSILSSQWNRTWCSHTNLNLSYRSIMSRRYIESDITPEGRKLNAEEFIRRVDAILQQHNGGGVEKIEVIGLLENENAYHINGWVNFAIKSKTKQLVLDFRSFHWPIDEPYNFAFQIFDAANMENLQSLKLGSISLKPPADFKGFQNLKRLKLLDVGITDEDLQLLLSDCNCLEFLGIYCCKLITSLRTTHLSTQLKHLYVYECPCLKEIELNSGLTTLEYIGPLIPLAPPGIYVLTNLRIKSWDISDSLQYIFTELPSTLPRLEMLTLQCRELERITLPDKPIKFIYLKHLRLELAFSGPRKWDADILDFACILEAAPLMEKLEFHMWMNCRDHLRYRKAHGKLRTLPPCPHYHLKEVNIAGFYGQKDQLELAHHILRNSVVLQAMNIDPRPIAACDRSRMAILEAFNFVDGSKVAMKYLCKADHRNVVHVSDVSRKDVENVPAYRLVSPFWIEFDKTKRSGLLIR >OGLUM07G03420.1 pep chromosome:ALNU02000000:7:3281853:3282504:-1 gene:OGLUM07G03420 transcript:OGLUM07G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFPKFASHLSSKSTRSLFPRTAEASRNFNTFPSAHPKLKINCPTTGLPSVDHTNHLIKPLGCARDANTTALYSTTVKDRLIPIVRE >OGLUM07G03430.1 pep chromosome:ALNU02000000:7:3283384:3295665:1 gene:OGLUM07G03430 transcript:OGLUM07G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding;valine-tRNA ligases;aminoacyl-tRNA ligases;nucleotide binding;ATP binding;aminoacyl-tRNA ligases [Source:Projected from Arabidopsis thaliana (AT5G16715) TAIR;Acc:AT5G16715] MALAGASSSACLRRLNPLLFSAHRRPAWTPRRSARRFCAAAVASERDVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMIRYFRMKGRPALWLPGTDHAGIATQLVVEKMLAAEGIKRTDLTREEFTKRVWEWKEKYGSTITNQIKRLGASCDWSRERFTLDEQLSRAVIEAFVRLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGNLYFIKYRVAGGSRDDFMTIATTRPETLFGDVAIADERYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMDPLAEKALHAVEKGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARSAEEALAKAQEKYGKSVEIYQDPDVLDTWFSRSINTFRHKSLQLSCSALWPFSTLGWPDLSSEDFKHFYPATVLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSEQVNFQGRKMSKTLGNVIDPLDTIKEYGTDALRFTLSMGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDATAWDVLLANKFDTEASLQKLPLPESWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDDSASSMAQSVLLYVFENILKLLHPFMPFVTEELWQALPYRKQAIIVAHWPATDLPKNSLSIKRFQNLQSLIRGIRNVRAEYSVEPAKRISASVVAAADVLDYISKEKQVLALLSKLDVQSIHFSELPPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLSKMQSEYDSLLARLNSGSFVEKAPEEIVRGVREKASEAEEKISLTKNRLAFLQSTVSS >OGLUM07G03440.1 pep chromosome:ALNU02000000:7:3297939:3299425:1 gene:OGLUM07G03440 transcript:OGLUM07G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKIVVVSSTSRNYHLPHLARSSPHTLPDSLPTASKTIVPFIFPAFKVSATSSPSRNLRDYQRIKDIRMKGNALCILWWMHLASVHANLLCYMMFLLNIFPFFLSRI >OGLUM07G03440.2 pep chromosome:ALNU02000000:7:3297939:3299010:1 gene:OGLUM07G03440 transcript:OGLUM07G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMCSPSVFFCWPTSPTLHTIKPNPHSLAQFPPHLPTLHPHHHSRSPPESERSLLPAPPETATAAPAPHLPDPA >OGLUM07G03450.1 pep chromosome:ALNU02000000:7:3307180:3320721:1 gene:OGLUM07G03450 transcript:OGLUM07G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDMAPRKTHNANQNRHSFERPVKIYN >OGLUM07G03450.2 pep chromosome:ALNU02000000:7:3307180:3320721:1 gene:OGLUM07G03450 transcript:OGLUM07G03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDMAPRKTHNANQNRHSFERPVKIYN >OGLUM07G03450.3 pep chromosome:ALNU02000000:7:3307180:3320721:1 gene:OGLUM07G03450 transcript:OGLUM07G03450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQVDSACQAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDMAPRKTHNANQNRHSFERPVKIYN >OGLUM07G03450.4 pep chromosome:ALNU02000000:7:3307180:3320721:1 gene:OGLUM07G03450 transcript:OGLUM07G03450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRGGRGFMACDHKRQFLKAGVGGPHIAAGDRDSSPRLPRRRVRAAMTTSPPPPPPAEQQQQQEEEEVLVPRQELPNGTQPMEVVPSEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEIFVVGGYKWRILIFPRGNNVEFLSMYLDVADSAVLPYGWTRYAQFSLSVVNQMHNKFTIRKETQHQFSARESDWGFTSFMPLGDLYNPSRGYLVNDTCIVEAEVAVCKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKKGILLWQVIVLSHHGHYVQTCPFDLIGVHSNADLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPIQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKRALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLTTQIGKDIYFDLVDHDKVPSFRIQKQMPFTQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLTPQEETHTVGQLKEAANKAHNAELKLFLEVELGLDLKPLPLPDKTREDILLFFKLYDPEKEQLRYVGRLFVKASGKPQDILPKLRKMAGFSQDEEIELYELEDGDIVCFQKSPKPDTADQYRYPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKAFTYDEVVEKVAQKLGVDDPTKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHGTKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKIFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDTVASRFQRNMYGAWEQYLGLEHPDMAPRKTHNANQNRHSFERPVKIYN >OGLUM07G03460.1 pep chromosome:ALNU02000000:7:3321765:3323958:-1 gene:OGLUM07G03460 transcript:OGLUM07G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGAPPPPPAAAAAAAAVWRAPTFEARRAADAREILAALLPPSPTVRQVQQAHARLAVLGLAASRAMPHLLAVLPRLLPDKPRHRGDDGGGDGDHYAYPLALFRRANSTSAFASNNLLRVLPHPLPLTLFSRFRRRNPHSFTFLLASISNHLNAAGPSASACSFLGSHVHALAVKAGAAGDLFVRNALVHFYGVSGDVGAMRRVFDELPRVRDVLTWNEVLAGYVRAGMMTVAREVFDEMPVRDEISWSTLVGGYVKEEELEVALGVFRNMVEQGVRPNQAAVVTALSAAARLGLLEHGKFVHNVVQRSGMPVCMNVGAALVDMYAKCGCVAVAREVFDGMRRRDVFAWNAMICGLAAHGLGRDAVELFERFISEGLSPTNVTFVGVLNGCSRSGLVAEGRRYFKLMVEKYRIEPEMEHYGCMVDLLGRAGLVPEAIELIEGMHIAPDPVLWGTILSSCKTHGLVDLGVSVGNKLIELDPTHSGYYVLLSGIYAKANKWDDVREVRKLMSSRGTSKSAGWSLMEAHGKVHKFLVGDTYHKDSVQIYDTLDMINKRLTEAGYVPDVSSVLHDIGEEEKVHAVKVHSERLAIAYGFIVLEAGSPIRIVKNLRVCGDCHEFIAGNADELTGVKQVQRRIREAGCEVLRVDHAGGMEEGHAKHGRADWG >OGLUM07G03470.1 pep chromosome:ALNU02000000:7:3324870:3329736:-1 gene:OGLUM07G03470 transcript:OGLUM07G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAANEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >OGLUM07G03470.2 pep chromosome:ALNU02000000:7:3324870:3329736:-1 gene:OGLUM07G03470 transcript:OGLUM07G03470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRGGGGSKRGMPAAPTVTPKAVIHQKYGAKACYSVEEVREAVDGGCPGLALPQQTRSVYRCSLDIPGLTVVTPGTFVRKKDAEQAAAQIALDKLGIQPTANAPSTPEEAWDELIARISGFFADENFPSSSHPLIGHMCVTFRRTGDRFGMIPMSAIAACDVKVIGLCKLIDPKAEFDPLLVLSLIYNAAKKSPGVSVSDSNFWIWSQKPYSPEAVDLALQHWSGITDPIEVDGIFVPCMMEDEPKTIRLTLSHNEHYMGDIVSKLSASDSSHAVVSRTVGKASSEIRLYFSAPNVQFVSEISHNVVSSLGDGYMESLINKRASFISGQTIYGDAILANVGYTRRDSELHTEDVTLSNYYRILLGKSPDGNYKISRDSILVAELPSVYSRSSWKGLSPRDLLCSFCRLHRLAEPYFAVNRVSASCKVLGSPVSSEEMDVLKNAENQCASDGKNDKENPDMFKCDVKIYSKKQELLLEYSTADTWSKESDAIHNSSLKVLIWFCSYFKQPNKHVLKLSHSKSTDGFTICPDNFLHEFAMFLSIYGNRGGDDSSACSTVGSLSMDTSKQKLENNAVLAHIDGPDSGVFPSHGSLTCISYTASLVVKDKTNRYTLESNNEFEFEIGTGAVKNQIESCVSQLSVNQSACFIAELPPKDLILAAANEFSHDLSKISRDNCFLEFSVKVTEPLEDRMEKALFNPPLSKQRVEFAVRYINELHATTLVDFGCGSGSLLDSLLEHPTTLEKVVGVDISRKGLTRAAKSLHQKLSKKSLMQTSVPTAVLYDGSITDFDSRLYRFDIGTCLEVIEHVEEDQASLFGDVVLSSFCPTVLIVSTPNYEYNPILQRSAMPNKEEEPEENAGPCKFRNHDHKFEWTRSQFQHWATGLAEKHNYSVEFSGVGGSGDEPGFASQIAVFRRMASGQDEVCQEGELHQPYELLWEWPNASLPSH >OGLUM07G03480.1 pep chromosome:ALNU02000000:7:3331462:3339852:-1 gene:OGLUM07G03480 transcript:OGLUM07G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MAGKDGPTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAAGIALQIALHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLARAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLSIQQQFWPVLVPSFASVLALQRSVFSRYTTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >OGLUM07G03480.2 pep chromosome:ALNU02000000:7:3331462:3341229:-1 gene:OGLUM07G03480 transcript:OGLUM07G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 15 [Source:Projected from Arabidopsis thaliana (AT3G18520) TAIR;Acc:AT3G18520] MASRTAQTSFGFRAITLLDASRDGPTRAEHEAHEPLFTVIPWPRRAPPKIQSREASAAAAAGIALQIALHALMASDMRSLNSQKGQSCGVSDQACHSKSKSGNDGKPSHAKANGVSSLSGSHNDEKILKENSGACNLNSDHANPLSVDGTKVSTARSELIDSSGHDGCLHVKNESCMACDDLLQESDKEQPGGTLEDLFSFNDEEDDDSDWEPSARLALSRWFCLNCTVPNMEGFTHCQNCDELKGSVVVGYDAFKAHLARAALLSADAALPSVSTAVGFDERMLLHSEIEIKPNPHPERPDRLRAIAASLAAAGIFPSKCVMVPPREITKEELLRVHTSDHIDSVEQTKNMLYSYFTSDTYANGHSACAAKLAAGICADLANLIVSGRVRNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAQRAGAKKVLIVDWDVHHGNGTQEIFDGDNSVLYISLHRHEDGSFYPGTGAAHEVGVMDGQGFSVNIPWSRGGVGDNDYIFAFKHVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPAGYSRMASMLTACSQGKLLVILEGGYNLRSISSSATEVVKVLLGDSPVYDTDATEPSEEGIQTVLQVLTTEVNKMKRKHAGGAGPFWWKWGSKRLLYEVLFEGRCLRKTKDTGKEKLNDEAEP >OGLUM07G03490.1 pep chromosome:ALNU02000000:7:3339558:3341931:1 gene:OGLUM07G03490 transcript:OGLUM07G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMIGPAPSPAAAAAAAVSPSCYASPAASSVRRRGVVGVVRCAPDSGRGGDGGGGGGKGKLRVGSPIVIVEAPVMLKTAASVPSLRHNAGQVKAGDVGRVMARKPKDVWAVRLAIGTYLLDGKYFKTLDVDDDDTASPDE >OGLUM07G03500.1 pep chromosome:ALNU02000000:7:3341647:3345273:-1 gene:OGLUM07G03500 transcript:OGLUM07G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLLTDSFELPRGGSSRDGDIEMGMQADPSDNLKGFLKKVDAIESLIAKLTNLLHKLQTANEESKAVTKARDMKAIKQRMEKDIDEVGKIARMAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQVLREAIRQEYRDVVERRVFTVTGSRPDEETVDNLIETGRSEQIFQEAIQQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVDAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIILLLIIVVIIVVAVIQPWKKGA >OGLUM07G03510.1 pep chromosome:ALNU02000000:7:3348142:3351878:1 gene:OGLUM07G03510 transcript:OGLUM07G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALG6, ALG8 glycosyltransferase family [Source:Projected from Arabidopsis thaliana (AT5G38460) TAIR;Acc:AT5G38460] MGRLGRAHAGPYAAVQAHGLRCWPSTARANGPSCSAQIKAGVPCRAWAGYSKDVPGPAHSASYAPMLRRAARLKRRRRNLVQEDSPSSAPDPAAVALEKDALSGAGGAPVEPRSGGCAARQAVDASVRAVAAAASARAACEDSSAGRLGGCRISRYTSASVARGDFANNQGEPMAKTKKPRSSAPDPPAHLPWHHPPAPPVSTALLISLAALLLRVLVSVGPYSGQGVAPKFGDYEAQRHWMELTLHLPSSDWYRNTSANDLAYWGLDYPPLSAYQSRLHGLLLNASLPDAVALRSSRGFESPESKLLMRWTVLSSDLMVFFPAALWFVWVYFKGGVGGTGEERMAGWTWLLASCLINPCLVLIDHGHFQYNCISLGLTLGAIAGVLSGNELVAAALFSLSINHKQMSLYFAPAFFGHLLGKCIKRKYPIVEVMKLGFVVLGTFAFVWWPFLHSYEAAMQVISRLAPFERGIYEDYVANFWCSTSVLIKWKRLFAIKPLKLMSLSATILAFLPSLVQQIRSPSNLGFLYSLLNSSISFYLFSYQVHEKSILLPLLPASLLALQEPHLYGWLMYFGLFSMYPLICRDHLLLQYIAVLGLFVLIYYSPGGSSKKGMSIPSGAKAVLSLALLCSLLLQVLYLQIEPPKRYPFLFDALMMFICFSQFVILTLYTNYKQWMLNSHSRSVGRKKDL >OGLUM07G03520.1 pep chromosome:ALNU02000000:7:3354271:3357320:1 gene:OGLUM07G03520 transcript:OGLUM07G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEARPAPPDPNDARPRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPTPAPAPAAVPPSAPVPSTVVPPVAAPPSALLPMSAVGASAMSPMQFAGTPGANVPKNDMRNVIGGQGGRKRKIG >OGLUM07G03530.1 pep chromosome:ALNU02000000:7:3355360:3356138:-1 gene:OGLUM07G03530 transcript:OGLUM07G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLMSFFGTLAPGVPANCIGDMADAPTADIGSKADGGAATGGTTVDGTGADGGTAAGAGAGVGVGSGGGRGKRDSKDHLACWMCHCIPEIRILEELKKEKAVWIPASSATTMNKGISSQQAPTCR >OGLUM07G03540.1 pep chromosome:ALNU02000000:7:3368294:3370943:1 gene:OGLUM07G03540 transcript:OGLUM07G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDKQCETVDAVGMVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKFAVKSKDSKKDKEKKKSDKKDDEHDDEDEEGKKKEKEMKEKKKDKSDKKEEGKKKKDGDEEEGKKKEKKKDKDGDEKEGKKEKKKDKDGDEEEEGKKKEKKKKDKGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHVAAA >OGLUM07G03540.2 pep chromosome:ALNU02000000:7:3369842:3371583:1 gene:OGLUM07G03540 transcript:OGLUM07G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMEEEKKSKEEIHLKIKSKDKSSGDEDEKKEIEIEVKAKIVDKEEVKLDSDDGAKFAVKSKDSKKDKEKKKSDKKDDEHDDEDEEGKKKEKEMKEKKKDKSDKKEEGKKKKDGDEEEGKKKEKKKDKDGDEKEGKKEKKKDKDGDEEEEGKKKEKKKKDKGDKEKTNDPAKLKAKLEKIDTKIQDLQAKKEDILRQLKEQLKEELEGGKSKNAIEEKPAQTLEKGIEHNKPIEEKPAETVEGSRECKNNEKEETHILSKYFSTLSKPHSSFTVGMMAGTSRSKKGGEDPDLEQWYSSLAALIASLVAECTEAASSKGGSPEAATRKR >OGLUM07G03550.1 pep chromosome:ALNU02000000:7:3371352:3378089:-1 gene:OGLUM07G03550 transcript:OGLUM07G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPPPREEVVVFAVNGERFELRRDGGDPGESLLEFLRSRTRFTGAKLGCGEGKATPQPTTPPPPRLLGFRGCGACVVVVSAYDAEADEVAHAAVSSCLTLARGLHHRAVTTTEGLGSSRRGLHALHERLAGFHASQCGFCTPGVCMSLAGALAAAEGNGKKAASAAEGFSRLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNCFWNKGDATASVSKLPPYKEGSIAAFPEFLKDEIRSSLGIDHSISSASMGSLSSWYQPKNVEEYYKLIGSLSSSSDKSRTKVVVGNTSSGVYRDAELYDRYIDLRAIPELNSVSKDAKGVGIGAAMTISQVIEILRGEGNSYKDVVFCKIADHMEKVASQFVRNMASLGGNLIMAQRDEFASDIATVLLAAGSSVCIQVSSERMNVTVERFLDMAPCDCKTLLLRIYIPHCTPSGISSSSESVNKTGDKPASSVLFETYRASPRPIGNAVSYLNSAFLAKLSSDETSGNCILEKLCLAFGAYGTQHAVRATNVESLLVGKPITASLLLEACTVLKKTIVPGEGTRHAAYRSSLAVAFLFSFLYPITKGTFKPVEAVHLNSHIISDNNGNMNRGPDTHVDVSPKEINNVKSDLHGNDRILESSKQVIEISEDYLPVGLPAKKVGAELQASGEAIYVDDIPSPKDCLHGAFVYSTKPLAHVKSIELNPSLEQLKTVAIVTAKDIPKGGSNVGANTIFGPEPLFGDPLTKWAGEPLGIVVAETQKTANIAASRALVEYSMENLDAPILSIEEAVRRSSYFEILPFLLPQKIGDFSKGMEEADQKIYSTEVNLHSQYYFYMETQTALAIPEEDNCMVVYSSSQCPEVAQETIAKCLGLPCHNVRVITRRVGGGFGGKAVRSLPVATACALSAFKLQRPVRIYLDRKTDMIVTGGRHPMKIRYSVGFKSDGNITALHIELLVNAGITQDVSPVIPHNFIEALKKYNWGAFSYDARICKTNIATRSAMRGPGEVQGSYVAEAIIEHVAAVLSTDVNLVRQRNLHTVESLSLYHSECMEDALGYTLPSICNQLITSANYQHQLEMIRSFNKSNRWKKRGLSVVPIVHKFASRPTPGKVSILNDGSVAVEVGGIELGQGLWTKVKQMAAFGLGQLWTDRRQELLERVRIIQADTLSVIQGGWTTGSTTSESSCEAVHRACNILVDRLKPLKEQLQEKQGTVSWDELISQAKMVGVDLSAKELYVPGASGSYLNYGAAASEVEIDLLTGATTILRSDLIYDCGRSLNPAVDLGQVEGAFVQGIGYFMNEEYVTNSDGLVVSDGTWTYKIPTVDTIPKQFNVKLLNSGVHKKRVLSSKASGEPPLLLAASVHSATREAIRAAREEYHCSRSGSSPPFFDLEVPAIMPTVKELCGLDNVEKYLESICSK >OGLUM07G03560.1 pep chromosome:ALNU02000000:7:3380359:3388720:1 gene:OGLUM07G03560 transcript:OGLUM07G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aaRS and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52520) TAIR;Acc:AT5G52520] MASLLRLPSLLKPSAAVARPSALLRRRCRAGTAASVSASRSQAAAATTGAAAPAPPETRGGGDREGQVTPRSVDFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENSQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPNIAPIQVVIVPIWKKGDEKSAVMEAVSSVQNTLKEAGIRVKVDDSELRTPGWKFNFYEMKGVPIRLEIGPRDVTNKSVVISRRDIPGKQGKDFGVSMDPSILVDHIKGRLVEIQASLLQKAIAFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCFPFEQPEGAKKCFMTGNPAEEVAIFAKSY >OGLUM07G03570.1 pep chromosome:ALNU02000000:7:3385452:3388565:-1 gene:OGLUM07G03570 transcript:OGLUM07G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium/lipid-binding plant phosphoribosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G17980) TAIR;Acc:AT5G17980] MAAAETVRKLVVEVVEARNLLPKDGTGTSSPYARVDFDGQRRKTHTVPRELNPAWNEALEFNFAGVVGDVVGGGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLEKKGFFNWVRGEIGLRVYYLDEPVAPPPPPPEPPAADPAPAEAAPDAPPADADAAPEAPEKAEEAPPAASGGDDGATEKPPETDAAAAAATSAPEEEAPVMASEAVAASAEAAPEEEQILTPPPPPTPTPTPMPRQVPVPARPPPPPPEAPVERSKHDLVDKMPYLFVRVVRARGLPAGAHPHVRVAAGGRHASTREARRGAFFEWDQTFAFVRDPGATDSPGPTLEVSVWDLPPDADVSDADDRHFLGGLCFDTADVHARDPPDGPLATQWYRLEGGRRLAGADLMVATWAGTQADEAFADAWKADSPASSVAAAAASRAKVYVSPKLWLLRLTVIEAQDTLTAPPPRDAGIAVRGTLGFQSLKTRTAPVARNGGPSWNEDLLFVAAEPHADGDDCLVISLEVRHGKDAFPVGSASISLATIERRVDDRKVASKWIDLLPSDEAMKKVGKKAAMHMHGGRLHVRVCLDGGYHVADEQPYASSDFRPSARQLWRPPIGVVELGIVGCKGLLPMRTADGKGCTDAYAVAKYGPKWARTRTISDSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPPSPSQLPDGAKDAAAFSRPMGKVRIRLSTLESGRVYRGVYPLIMMLPTGAKRMGDVELAIRFAASASALDVLHMYGRPALPPMHHLSPIPAASRDALRLSAARISAAHLARSEPPLRREAATWMLDAAEPRGFSMRKLRANWTRAVAALSWVSDAARWAEDTRSWRNPTATALAHAVLVLLAWHPDLVVPTLTLHVAAVGVWKYRRRPRAPAPHPCVRASMAEAADREELDEEFDAIPSSRPPEVVRARYDRARMVGARLQAMVGDVATQAERLQALVSWRDPRATGVFVALCVFVAMALYVVPIKVVAVVAGFYYLRHPMFRDRMPAPAINFFRRLPSMSERIM >OGLUM07G03580.1 pep chromosome:ALNU02000000:7:3391635:3396906:-1 gene:OGLUM07G03580 transcript:OGLUM07G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein / regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G03790) TAIR;Acc:AT3G03790] MEASISPPGSSKQAGLRRPSPGNSLKDLCLVSKQGSIAEVESALALLKKSGGSIDGRNVFGLCALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCVASVLLQFGASLALEDTKGRTPVDLLSGPVSQANGDSPDSVAMEVFSWGSGTNYQLGTGNSHIQKLPCKVDALHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEAGELFTWGSNREGQLGYPSVDTQPTPRRVSSLKARIISVAAANKHSAAVADTGEVFTWGSNKEGQLGYGTSNSASNCIPRMVEYLKGKAFKCVSAAKYHTVALGTDGEVFTWGHRLVTPRRAVISRCLKKGGNTNLKFHRMERLQVISVAAGVMHTTVLTADGAIFYWVSSDPDLRCRQIFSMCGRNVVNISAGKYWTALATAGGDVFMWDAKKHKDDLPMFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQCKKAMLEWNGGMEELDEDIMFNDVQPDSGISGSDGVIKKGAPSLKSLCEKVAIEHILEPKNSIQLLEVAESLEAKELKKHCKDIAIRNLDYIFAVAAPSVMNASPETLANLERLLDEKSSEPWSHRRLPTVTATYPAVIDSDEEGDEAGGFLRLRDSQKSASKSYGISSYGNFLEKDSNAGQAASKQIRALRKKLQQIEMLEAKQLDGHQLDNQQLAKLESRAALEGELAELGIPTDLRTPVCVAEEKTNKKSSVSKKQKRKNKQAAHSDTPLVKREDRDQIYVKDLQEVLPVHISAEKEASVADSIKPSEHVTFINTKAISCPLENKASQPTSSKKKNRKGGLSLFLSGALDDTPKPSPPTPVVTVTPKHEGPAWGGAKVTKGSASLRDIQSEQRKTNEPITAKAKDRFEDSPDSAGRMRLSSFIPDARSTPITVTPARVVPASEGDKSTLSWSSSATSPNVSRPSLRDIQMQQEKRQTGISHSPKTRTSGFAIPSQGTSPEVGGIKDNVPNRWFKPEADAPSSIRSIQIEEQAMKDFKRFYSNVRIVKPQVQ >OGLUM07G03600.1 pep chromosome:ALNU02000000:7:3427817:3429691:1 gene:OGLUM07G03600 transcript:OGLUM07G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNAESVHNPPEIIIHVVDDLAPPPPNAIAVPPRILPPATAFRRRPPPPSEAVRAARGILFIFKCKYGQMAYSILKNGVSCFAAIAMASSVTIYFMVHPIKGDSIADERSGVRLMCGFILAVAVIWLLLSYFSCDDKCVILDDEEQQAGNPVAETRGHVQWPGGQPFYVFT >OGLUM07G03610.1 pep chromosome:ALNU02000000:7:3440326:3441464:-1 gene:OGLUM07G03610 transcript:OGLUM07G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCYRIVLITCYPPLPLEQDQGLYAKYVPFLAPFIGGALPGSCAAYHLVKHSPEWVMGVVFGSISLGFFAACTGTVSGLLGTSSATFQYSRFAAITTFTALRYDFRVFKNMAQDSLWIYMWCAWGNFRGGLAIFRVVRTSCNNRFICGDYSLP >OGLUM07G03620.1 pep chromosome:ALNU02000000:7:3448911:3450133:1 gene:OGLUM07G03620 transcript:OGLUM07G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDGHPPEFIIHVIEDLAPPPPPPPARAAAPPRILPAAAAFQPRLRPSSEANKTIRTVLFIFKVICFALIALASSTIYSTVHPNPGQSIADQRLDVQVMCGFILAVGVLWLLVSYFSLIIHDEEQGLDPLFVD >OGLUM07G03630.1 pep chromosome:ALNU02000000:7:3451622:3452697:1 gene:OGLUM07G03630 transcript:OGLUM07G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQVLLLAIVSAVALLPAMVSATDYTGKHTVTEVDGAAFHACNRQGNTLMTWNSGNDTVALDKAGKRWFFCNVDNHCELGMKLVVDVADPNAPAPASSSSSAGRLNYRVRGGAVAGAVAAAALVWF >OGLUM07G03640.1 pep chromosome:ALNU02000000:7:3460823:3461762:-1 gene:OGLUM07G03640 transcript:OGLUM07G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFVFRKLASHLSSKSTTRLFPGAAEASRNFNTLPTTGLSSVHHTNNRVINTYACVRGANTNALFSTTAKVDRLAATSDFTP >OGLUM07G03650.1 pep chromosome:ALNU02000000:7:3461952:3465276:1 gene:OGLUM07G03650 transcript:OGLUM07G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVFQEIIISLFEECIMLDRALEEMQKKESKIVDKLSFKEQMACVLLKVGRFEEAEKTYRSMLFMNPDNYNVKL >OGLUM07G03650.2 pep chromosome:ALNU02000000:7:3461952:3465148:1 gene:OGLUM07G03650 transcript:OGLUM07G03650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSIKKNKIQDIRRRGRGACSTSTRPYVLDEGWRCGASSRRPGGRPAAARWRSPWTDCCSSTCSVA >OGLUM07G03660.1 pep chromosome:ALNU02000000:7:3466078:3471800:-1 gene:OGLUM07G03660 transcript:OGLUM07G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAMLQSAAESAIQSIGLGYDIAHDIRLKYCKQRSSPDPLLIELDHGEVQDIVLPGGLTVAGVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFNGCWQKDAANTKSLAFDGWCITLYTVALSKAQIVLRDHVKQSVPSTWEPAALARFIRKFGTHVVVGIKMGGKDIIYLKQQHSSTLQAVDVQKRLKEMSDRRFLDVNGQSDFSFKDSYGKDKIDTREHRLRFVDSSPLNSYSSKEDLVMMPKRRGGRDKDILSHSEWLNTVQAEPNVISMSFIPITSLLNGVPGCGFLNHAINLYLRYKPQIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSTVSLPVNLIGPKLYVCTNMVDVGKRPVTGIRLFLEGKRSNKLAIHLQHLCSLPQILQLEDDPYNDQTPEAYDRKYYEPIGSWKRFSHVCTAPVESDDSSIVTGAHLEVVSHGFKKILFLRLHFSKVRNATSVRNPEWEGSPNLAQKSGLISTLISTHFSTAAQKPAPRPADVNINSAVYPGGPPVPVQTPKLLKFVDPTEMMRGPQDLPGYWVVSGAKLQLERGKISLRVKYSLLTAMLPDDDEFAFDEEF >OGLUM07G03670.1 pep chromosome:ALNU02000000:7:3474243:3475026:1 gene:OGLUM07G03670 transcript:OGLUM07G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIRMLTGERMRREQENAGATSASRMPAGGEETGVEGGGRGDGGRIGEAERRSPNSAPPPNAERNAAGAVAACRAFRR >OGLUM07G03680.1 pep chromosome:ALNU02000000:7:3476172:3476609:1 gene:OGLUM07G03680 transcript:OGLUM07G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGVPELYLLPAEAHAWFRRIVFEVPSLSFYLPPPPNNRHTYPCLSPATTHLSRDSASGILFVCMHGHSWSQWCSQLCSGVATYSISQLLEILYQSRRVADMQP >OGLUM07G03690.1 pep chromosome:ALNU02000000:7:3478451:3479303:-1 gene:OGLUM07G03690 transcript:OGLUM07G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGDDGHGLPRTLSHRELQAMCKRNDVHANMTNATMTDALQLLPSVDGIHKIDTTALCLPTPSRLTMKSALKAASAVGEEEQQQHGSPLPRGRRVSVKSLEAIQMDFEEGEDEMKRDREERNLGVALRSTSRRARATPTPIPTPATTRQTAAARKVEKAAPTPATLRRSQRTAARKAASPDFGACRRSPPSPLACRCFGPHRPPRERESVVGERSGDKGEGTGGGREKEER >OGLUM07G03700.1 pep chromosome:ALNU02000000:7:3480077:3482835:1 gene:OGLUM07G03700 transcript:OGLUM07G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKGSKMLQFVNYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPSKSSKTTGEREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAGAGAAMAGPGAQPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAPPVAYPQVVRPPPGQMPPPMRPPQMPIPFQRPPGVPPAFPGGPPPPPGPFMRGPPPMGPPQVRPGMPGGPPPGMRPGMPPPPFRPGMPPPPPGCSCYTNYGRFTGATFASLFYLILGLQVVFLRYQLREEILILLLSVEHSCFYARGLYVDVKS >OGLUM07G03710.1 pep chromosome:ALNU02000000:7:3488382:3493777:1 gene:OGLUM07G03710 transcript:OGLUM07G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPAPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTLPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGDNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLVAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTITQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >OGLUM07G03710.2 pep chromosome:ALNU02000000:7:3488382:3493218:1 gene:OGLUM07G03710 transcript:OGLUM07G03710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNLVVLFLVSVVMAMVPGSTQLQASQTWSLLKIQQMLGYPAVLGHWHNYTDFCYGGDYKTTSAFVECYGDSVTQLHIIGGGGGSPAPPPLPKTFSIDSFFTTLSRLPDLRVLTLTGLGLWGPLPGKVSRLAALEIVNVSGNYLYGELPLALSRLGNLQTFIADDNMLSGELPAWLGRLPVLAVLSLRNNSLEGTLPGSVSDMASLRSLSLASNNLSGNLPDMSGAKNLQVIDLANNSLGPEFPRLGRKVASVVLAGNRFSDGLPPELASFYLLERLDVSRNRFVGPFMPALLSLPSIEYLSVAGNRFTGMLSGNMSCGDNLKFVDVSSNLLTGSLPTCLAAGAVGKAADSDSDSSKTVLFSANCLATGDDTQHPSPFCKNQAIAVGIVPDQARRKPSGARSGLVAGVVAAAIAAAVLAGVAVFLAVRKASMRRAQARPPRRLVEHASSAYPSKLFADARYISQTVKLGALGIPAYRSFSLVELEAATNDFEVSNMMGQDSHGQMYRGRLSNGTPVTIRSLKVKRSQTSQSFNRHIEMISKLRHRHLVSALGHCFEYNLDDSTITQLYLVFEYVQNGNLRGRISQGTEGRKLTWVQRISTAIGVAKGIQFLHGGIIPGLFANNLKITNILLDQNLVAKIGSYNIPILSETMKSEGGSGNKYPSDSVPNGDKLDIFDFGVILLEVISGRPITSIYEVEIMKEQLQSALTAEGTAKRRSFVDPAVSKGCSDESVKTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGDSRSSEESPLSPSQIPRDLEDDQ >OGLUM07G03720.1 pep chromosome:ALNU02000000:7:3492680:3493073:-1 gene:OGLUM07G03720 transcript:OGLUM07G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLQIYWSSSKSLGICDGDSGDSSLLRESPLQSSCTCAANCRFHSTSSTDGLCTASLARHLRQISITVFTDSSEHPLLTAGSTKLLLFAVPSAVSADCSCSKNTELSEVDSVMVVSEVS >OGLUM07G03720.2 pep chromosome:ALNU02000000:7:3492241:3493073:-1 gene:OGLUM07G03720 transcript:OGLUM07G03720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHLQIYWSSSKSLGICDGDSGDSSLLRESPLQSSCTCAANCRFHSTSSTDGLCTASLARHLRQISITVFTDSSEHPLLTAGSTKLLLFAVPSAVSADCSCSKNTDRMGIL >OGLUM07G03730.1 pep chromosome:ALNU02000000:7:3494636:3498721:-1 gene:OGLUM07G03730 transcript:OGLUM07G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >OGLUM07G03740.1 pep chromosome:ALNU02000000:7:3500038:3504282:1 gene:OGLUM07G03740 transcript:OGLUM07G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0AG72] MAEHDLTARMAGHLDCHLVLPLLEFLQERHLYPEEEILEAKIRLLRGTNMVDYAMDIHKSRYGTDDVPEDMVKRRTEVVSRLTSLGEAIDNIHQNQQIGPDQIETLYQYAKFQFDCGNYSFAAQYLHQYRALCTNIERSLSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFYNHENGRNGIIDLFFQERYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLFVNYDFDGAQQKLIECEEVILNDPFLGKRIEEGNSITVPLRDEFLENARLFIFETYCRIHRSIDISMLSQKLNMRYDEGELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQFIESLKNLNMRTSMLAKNIVEPAQATQQATR >OGLUM07G03750.1 pep chromosome:ALNU02000000:7:3504757:3518669:1 gene:OGLUM07G03750 transcript:OGLUM07G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbon-nitrogen hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G55090) TAIR;Acc:AT1G55090] MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTAAHAWECLKDILSGGYTDGILCSIGMPVIFKSVRYNCQVFCLNSKIVMIRPKISLANDGNYREFRWFSAWTFKDALVDFQLPLDISEVTCQDTVPFGYGFIQFLDVSLAAETCEELFTANAPRIDLALNGVEVFVNASGSHHQLRKLSLRIDSMRNATLACGGVYMYANQQGCDGGRLYYDGCCCIAVNGDVVAQGSQFSLKDVEVLDALVDLDAVSSYRASVSSFREQASHRTNVPFVKVPYKLCKPFQSGMVPTGPVEVMYHRPEEEIAFGPSCWLWDYLRRSRASGFLLPLSGGADSSSVAAIVGCMCQLVVKDIENGDEQVKADAMRIGQYKDGEFPKDSRELAKRLFYTVYMGTENSSEGTRSRAKMLAEEIGSFHLDVPIDSIVSALLSLFERLTGKRPRYKVDGGSDTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAVHLHYSSLAEVEAAPPTAELEPIRADYNQLDEVDMGMTYEELSIYGRLRKIFRCGPVSMFQNLCHRWCGTLSPSEVADKVKHFFKYYAINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKIDELVQDMDKDGKWVNSTEGELRRRKGVRSAEGGGMGVVAVGSANPSAGS >OGLUM07G03760.1 pep chromosome:ALNU02000000:7:3515329:3518548:-1 gene:OGLUM07G03760 transcript:OGLUM07G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPAAAGVGATAAQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSILVPDSDIGYHFGTLLDNHEGVDVVLNVGGERFHAHKLVLAARSTVFRSKFFDDEDGEKNEPGENDDVQEIVIDDMEPKVFKAMLHFIYRDTLVDDNELGGSSSEGSIFDTLAAKLLAAADKYDLARLRLLCESYLCKAISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKSQSVWGQLSDGGDTSGRRVRPRT >OGLUM07G03760.2 pep chromosome:ALNU02000000:7:3515329:3518548:-1 gene:OGLUM07G03760 transcript:OGLUM07G03760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDAGDASPPPAAAGVGATAAQSRDMAASPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGYQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSILVPDSDIGYHFGTLLDNHEGVDVVLNVGGERFHAHKLVLAARSTVFRSKFFDDEDGEKNEPGENDDVQEIVIDDMEPKVFKAMLHFIYRDTLVDDNELGGSSSEGSIFDTLAAKLLAAADKYDLARLRLLCESYLCKAISVATVASTLALADRHHAMELKAVCLKFAAENLSAVIRTEGFDYLKDNCPSLQSEILRTLAGCEEECSSGGKCQSVWGQLSDGGDTSGRRSQSVWGQLSDGGDTSGRRVRPRT >OGLUM07G03770.1 pep chromosome:ALNU02000000:7:3520416:3522464:1 gene:OGLUM07G03770 transcript:OGLUM07G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGVRRRRSPMEIAAFFTAATAAAEAVVAITRNWIFYFCMRTVVFGGGLLALFIGYVMAAYSKTWLDSLSLLPLLFAAVILPVYAAVAIQNARRLERERVVWMVRKALEIEGL >OGLUM07G03780.1 pep chromosome:ALNU02000000:7:3525063:3540901:-1 gene:OGLUM07G03780 transcript:OGLUM07G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVGRWFNRAFMTIWSWIMMVTEGGYVGETISKVKEESFAAVDGTQGQTDINPHQRRYWQRHFTSGGGNTANSKAALRLVVSETIAAMTFAVASQLSGTGWSGRRRPEFQEKEAKETNCVIVFDWLIMLGKIFALNMSQYTYSVVDLSNVLLSDRPIDPCHKGMREHFVDTGYIIR >OGLUM07G03780.2 pep chromosome:ALNU02000000:7:3525063:3540901:-1 gene:OGLUM07G03780 transcript:OGLUM07G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPVGRWFNRAFMTIWSWIMMVTEGGYVGETISKVKEWTVHKGKQILTRIRGGTGSGISQAVEATRWSGRRRPEFQEKEAKETNCVIVFDWLIMLGKIFALNMSQYTYSVVDLSNVLLSDRPIDPCHKGMREHFVDTGYIIR >OGLUM07G03780.3 pep chromosome:ALNU02000000:7:3525063:3540848:-1 gene:OGLUM07G03780 transcript:OGLUM07G03780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDGHRVDGTQGQTDINPHQRRYWQRHFTSGGGNTANSKAALRLVVSETIAAMTFAVASQLSGTGWSGRRRPEFQEKEAKETNCVIVFDWLIMLGKIFALNMSQYTYSVVDLSNVLLSDRPIDPCHKGMREHFVDTGYIIR >OGLUM07G03790.1 pep chromosome:ALNU02000000:7:3541540:3543420:1 gene:OGLUM07G03790 transcript:OGLUM07G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLITTLPILHLLSLNWEASIPSTHLLPYDSNPYSTSKQQSHHPSQVSGHSFSLFLHSSLGAGEMPMQMKFILSSLSMPLLMKLLMLSSSLGLVFGMLPTLRSVFAWPSSSPSPAEGAPIHAPSRAIFLLCTMSPLLVIAVTAPTLGVVMIRNS >OGLUM07G03800.1 pep chromosome:ALNU02000000:7:3557850:3560992:1 gene:OGLUM07G03800 transcript:OGLUM07G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLDSLHNEGDDFFRPIMAQLKANLQTAWDKFVCSPMDFRTFKTVFPPVPRQNLSCDSRVYVMKFIELWSPRILLSNLLSNENICNIRVQYANRIFFHEKNQMLQTEIQNVVLNWFDSYFN >OGLUM07G03810.1 pep chromosome:ALNU02000000:7:3561112:3563427:-1 gene:OGLUM07G03810 transcript:OGLUM07G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIHPNPSHPASASASSFVSPPPLAPIPILRGEVAPGVLLLPPHRRSPVSIPPYPHLISYSALSLKKSFGTSTRHPYKIMPYLSFSFFKPMAHMSSSTRSKKSEQLILPLPPFTFFSRRGAEQILRCLASSPTACPISGRWNLRLASFSNPPPPVQSPTAAPAGGSPSLESELLEGAPVGIPSCLVTVLRVALHWWLL >OGLUM07G03810.2 pep chromosome:ALNU02000000:7:3561110:3563427:-1 gene:OGLUM07G03810 transcript:OGLUM07G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIHPNPSHPASASASSFVSPPPLAPIPILRGEVAPGVLLLPPHRRSPTSTRHPYKIMPYLSFSFFKPMAHMSSSTRSKKSEQLILPLPPFTFFSRRGAEQILRCLASSPTACPISGRWNLRLASFSNPPPPVQSPTAAPAGGSPSLESELLEGAPVGIPSCLVTVLRVALHWWLL >OGLUM07G03820.1 pep chromosome:ALNU02000000:7:3564050:3574677:-1 gene:OGLUM07G03820 transcript:OGLUM07G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyribonucleotide nucleotidyltransferase 1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT3G03710) UniProtKB/Swiss-Prot;Acc:Q8GZQ3] MLATPGALHHLLLLPPPPPPPHLAFAAPHHHAVGGGPAALLPLSRPRRVAASASTSRRGGARRRAAGARVRASVGEEAPPVVTEEASTSGGPTKFSMKIPVGDRHILVETGHIGRQASASVMVTDGETIVYSSVCLADTPNDPSDFFPMSVHYQERLSAAGRTRLIDRPLRPTMPKGFYYETQILSWVFSYDGIHSPDSLAITAAGVAMALSEVPNKQTIAGVRIGMINDQFVVNPTTEQMEDSELDLVMAGTDSAILMIEGYCDFLTEEKLLQAVETGQGAIREICKAIDGLVQKCGKKKMFDAIDLPPPELYRHVEDISGDELVKALQIKEKILRRKALSALEEKVITILSEQGYVAKDESSGVSENLADVIEEEDEDEVIVDGEVDEGEVHIKPVSRKPPRQLFSEVDVKLVFKEVSSKFLRRRIVEGGKRSDGRSPCELRPINSQCGLLPRAHGSALFTRGETQALAVVTLGDYQMAQRIDNLVDTEESKSFYLQYTFPPSSVGEVGRIGAPNRREIGHGMLAERALEPILPPEDDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTLEFGGDGKPLILSDITGAEDASGDMDFKVAGNENGISAFQMDIKVVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKAIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSSWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPDANQESSSKQQADGSTREKTPQKDNLVKMTTRRPRRKKQAEPSTAENNATASPKDLASQGSEMGTE >OGLUM07G03830.1 pep chromosome:ALNU02000000:7:3582049:3582756:1 gene:OGLUM07G03830 transcript:OGLUM07G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGGDGGGGELKLLGTWASPFVQRVRLALNLKGLAYEFIEEEIGGGKSELLLASNPVHKKVPILLHRGNPICESQVIVQYLDDAFPGAGGADLLPSDPHARAVARFWAAYIDAEFFAPWNRSFYTASEEEKAAEMGRAAAALAAIEGAFAELSKGEGFFSGEGRPGFVDVVLGGFVGSMRAYGAAVGVEVLDAGRTPLLVAWAERVAALDAARGVIPDVERVVELSRYARKK >OGLUM07G03840.1 pep chromosome:ALNU02000000:7:3587632:3591569:1 gene:OGLUM07G03840 transcript:OGLUM07G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVVVVMAAAAMAAWWAVAAVEGLGINWGTQATHPLPPKAVVQLLKDNGIAKVKLFDTDFAAMSALAGSGVEVMVAIPNKDLATMASDYGNAKDWVKKNVKRYDFDGGVTIKSISINMNMENARMTYIVKRRKYVAVGNEPFLKAYNGSFINITLPALQNVQNALNDAGIGDRIKATVPLNADVYESTVPSAGRFRPEIAGLMTDIVKFLAKNNAPFTVNIYPFLSLYLDEHFPINFAFFDGGSTPVNDGGIMYTNVFDANFDTLVAALKAVGHGDMPIIVGEVGWPTDGDKNARVDLAQRFYAGLLKRLAANVGTPARPNQYIEMYLFGLVDEDMKSVAPGSFERHWGVLRYDGQPKFAMDLTGQGRNTMLVPAKGIEYLPKTWCVINTNAKDVSKLGDNINFACTYADCTPLGFGSSCNGMDTNGNASYAFNAYFQAQSQKEEACNFQGLAVPTETDPTTAQCNFTIQIKSSSAAAPVAAGVVVAALAQLLLLW >OGLUM07G03850.1 pep chromosome:ALNU02000000:7:3595566:3596051:-1 gene:OGLUM07G03850 transcript:OGLUM07G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESWKNESEETVHTPEAPILCVNNCGFFGSSMTNNMCSKCYRDFVKVTTMAAPVVEKKAFTPASSSKTPLEPAKPDEVPAAAVEDKQAAQEPPKPPSNRCLSCRKKVGLTGFQCRCGGTFCSTHRYTEAHDCTFDYKKAGRDQIAKQNPVVIAEKINKI >OGLUM07G03860.1 pep chromosome:ALNU02000000:7:3604631:3605259:-1 gene:OGLUM07G03860 transcript:OGLUM07G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLGCDIRRPEHPMQGKARESSGVERLSRRFIGKNRGHRRALLQVLQGAAAAAATSHLIGTATGNGDKVVDKVVADLSALVIKDNSGVGGEGTTVMAPPATAMKAKNRCEACQKKVGLLGFPCRCGGMFCGAHACAFDYKAAGREVIARQNPLVIAPKINKI >OGLUM07G03870.1 pep chromosome:ALNU02000000:7:3607763:3611272:1 gene:OGLUM07G03870 transcript:OGLUM07G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISALRLLQAAAFAVLLVCLAPATAASVRRLPTTSCPDRCGNISIPYPFGIGADCARDEGFQLDCELDSPPRLVTSSQFEKPQELVSLSLADGEARVLLNPLSKCYREEEKNRLVALGCPNLGYVVDGSDNYVSGCMSACRRPPPPSSSLGNAVPRLPGRPASGAARASYPLPSTSTCRACSTSRTGRRRWTTSSVAARCLAERLQLKRRHAQQDELRVPELATYTSDGQGYRCNCSKGYESNPLPRRRMHRKLIRTKQKFFEQNGGVILQQQMHSGGGARGFRIFSMEELKKATNIFATGHVLGRGSHGVVYKGVLEDKTVVAIKKSKMMKEAQTKEFARETFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSNDTLYHYIHGKNPQS >OGLUM07G03880.1 pep chromosome:ALNU02000000:7:3611331:3615769:1 gene:OGLUM07G03880 transcript:OGLUM07G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSASPPTLHGDVKMANILLDDKLSAKVSDFGASKLAPTDEIEIATWVQGTCEYLDPEYLMTRQLTDKSDVYSFGVIVLELLTRKKALYLDGPEEDRSLVSCFTTAVKLGRHQELLDIQVRNEMSDEMLQEITHLLMRCISMNGEERLMMKEVAEMLEMLMSRPELALSGTSSYQHHPWAGAKGNAVEVQSFLSREQQSEITNSGNKMS >OGLUM07G03890.1 pep chromosome:ALNU02000000:7:3618131:3618589:-1 gene:OGLUM07G03890 transcript:OGLUM07G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGNEMQARNGGGAAMCAAGCGFFGSAATDGLCSKCYKQQQPQPRHLIGTAAGDSDKTSLKVVADLSTLVIKDNSGVGGEGTTVMAPPATVTKAKNRCEACRKKVGLLGFPCRCGGMFCGAHACAFDYKAAGREAIARHNPLVVAPKINKI >OGLUM07G03900.1 pep chromosome:ALNU02000000:7:3619045:3620781:1 gene:OGLUM07G03900 transcript:OGLUM07G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLSSSGAAAAVASQGQLPDCFVFPADRRPPASTAAVSLPVIDLSGPRDAVRRAVLDAGKELGFFQVVNHGVPPETMREMAAVCEEFFRLPAEDKAAFYSDAEENPNRLFSSTIYEVGDQRYWRDCLRLACGFPVADDTNTHWPDKPHHLRDVTEKFFVATRGLGIELLRLLCEGMGLRPDYFERDLTAGDVIINVNHYPPCPDPSLTLGLPPHCDRNLITLLLQGDVFGLQVSYNGDWINVDPVPDAFVVNFGHLLEHRAMTNSAVARTSVATFMMPPMDCLVGPAKELVGDGGQPQYRTVTFREFMRIYKTVGARRDSVEKAFKI >OGLUM07G03910.1 pep chromosome:ALNU02000000:7:3621663:3624491:1 gene:OGLUM07G03910 transcript:OGLUM07G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVFDAAILSKQEAIPAQFVWPADEAPAADDGAVDEIAIPVVDLAAFLACGGIGRDVAEACERHGFFQVVNHGVDPALLAEAYRCCDAFYARPLAEKQRARRRPGENHGYASSFTGRFDCKLPWKETMSFNCSAAPGNARVVADYFVDALGEEYRHMGEVYQEYCDVMTRLALDVTEVLAVALGLGRGELRGFFADGDPVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDDVGGLQVLPDDAAAAAGGWRAVRPRADAFVVNIGDTFAALTNGRHASCLHRAVVNGRVARRSLTFFLNPRLDRVVSPPPALVDAAHPRAFPDFTWREFLEFTQRHYRSDTNTMDAFVAWIKQRNGYESLDKY >OGLUM07G03920.1 pep chromosome:ALNU02000000:7:3626256:3627329:-1 gene:OGLUM07G03920 transcript:OGLUM07G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPDVGSYYGEQWAPPAMSSLSSLFSYSPPGTAKYAAPLASPSQQVSTTMPELETEGELKTLPAPMTIETTAAARSPEIVKVRSVWAHNLDEEANLIESLFPSFRLAAVDTEFPGTVHRPSAPAYTLTRKQKYALLKKNVDELHLVQLGLTLFDAGGRLPDLGTGGAARYVWEFNFREFNLRRHAHAPESIALLRSKGVDFDRTRRGGVDAAAFGPRLRRWLRAGLGRAGLVTFSGAYDLAYMLKMLYGGGGYRLPGDAATFEFVVRAVIGRTLYDVGKMARHCPGDMRGGLERVAGKLGVRRAVGEAHQAGSDSLLTSQMFMRMRERYFDDQDALTAVAGINFGYLNFTSCEYT >OGLUM07G03930.1 pep chromosome:ALNU02000000:7:3631263:3632048:1 gene:OGLUM07G03930 transcript:OGLUM07G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSAPAQAQAAALPLSGRVAIVTGASRGIGRAIAIHLASLGASVVVGYASSSGPAEALAAELPSAVAVKADVSDEAGARSLFDAAEAAFGGGAAHILVACAGLAVSTYPRLADTSAADFDAAFAVNARGAFLCLREAANRLRRGGGGRIVAVSSTLAATLLPGYAAYAASKAAVEAMVRVMAKEVGASGVTVNCVAPGPVATELFFAGKSEEAVERFKAGNPMGRLGEVGDIAPVVGFLCTDAAEWVNGQVIRVNGGIA >OGLUM07G03940.1 pep chromosome:ALNU02000000:7:3632646:3633416:-1 gene:OGLUM07G03940 transcript:OGLUM07G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASSQPASQPLAGRVAIVTGASRGIGRSIAAHLSALGASLVLGYASSSAEADALAAELPRAVAVKADVSDEAGVRALFDAAESAFGAGAHILVANAGVLDDRYPHLSNTPTADFDRTIAVNLRGAFLCLREAANRLPRGGRIVAITSSVVASLPPGYSAYTASKAAVEAMVRTMAKELKGTGITANCVAPGPVATDMFFAGKDEAWVKRTVDANPTGRLGDPGDIAAMVGFLCTDAAEWTNGQVIRVNGGYVS >OGLUM07G03950.1 pep chromosome:ALNU02000000:7:3636613:3636822:1 gene:OGLUM07G03950 transcript:OGLUM07G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMHRIAPAPRVFTEKVRSKSAAAVSSRQGGALLVDHADVRYGEGDVSLDGHGAASEHAGLRSPGRAV >OGLUM07G03960.1 pep chromosome:ALNU02000000:7:3638220:3641877:-1 gene:OGLUM07G03960 transcript:OGLUM07G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGTRRCFSGDAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMGNRAIAEIQFADYIFPAFDQACLRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVIFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVLDAIKATVNY >OGLUM07G03960.2 pep chromosome:ALNU02000000:7:3638220:3641877:-1 gene:OGLUM07G03960 transcript:OGLUM07G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSLREIRRAAAAGIGTRRCFSGDAAAAAAAGVAEGKVGGGAGKEVNLFTAINQALHIALDTDPRSYVFGEDVGFGGVFRCTTGLADRFGRNRVFNTPLCEQGIAGFAVGLAAMIVNEAAKFRYRSGNEFNCGGLTIRSPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVIFFEPKWLYRLAVEEVPEEDYMLPLSEAEVIRKGSDITLIGWGAQLAVLEEACEDAAKDGISCELIDLRTLIPWDKETVEASVSKTGKLLVSHEAPITGGFGAEIAASITERCFQRLEAPVARVCGLDTPFPLVYETFYMPTKNKVLDAIKATVNY >OGLUM07G03970.1 pep chromosome:ALNU02000000:7:3643663:3646791:1 gene:OGLUM07G03970 transcript:OGLUM07G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease [Source:Projected from Arabidopsis thaliana (AT2G23840) TAIR;Acc:AT2G23840] MAGKVAAPLAFRRDVVVRGTLGGGRRSGVSGLWSNGGGGGGGRLVAPPSAQWPARARGKNRSGGGGRSATKDDERAGKDEAAEAVVFVDGEDDEAAIDGDDLSGFRGLVLDLSYRPVNVVCWKRAICLEFMAKADVLEYYDQTVSSPSGSFYIPAVLRVPELLQVVKRRRVKHSLSRKNILYRDGFTCQYCSSVDNLTIDHVIPTARGGKWEWENLVTACSRCNSRKGQKTVEQANMKLLKVPKAPKEFDILAVPLTKAAFRTLKRSQGLPEEWLQYLARPSP >OGLUM07G03980.1 pep chromosome:ALNU02000000:7:3646417:3654129:-1 gene:OGLUM07G03980 transcript:OGLUM07G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEASMMEQGKAAVAAMTKTTTQPSQHVRAMPGDPTVDERERFEAMDVIFKLVLTLFVTGVSFGGAVALIVVAFLNADERLMEAPAKSVAVADGNPKTATETPRVEDYKDAAMYYGTYPAYLYGAYGGWGEYSTYLSHDGAETPTAGAYGDMYYGYSPYGYSTSGHDSQMYGSQHYQYQPTYNKQQNTTDKPSNNGKTENPAALPQGDVSANGVDSLKGQKKTNLLPKASQNTPGSNGSYGRPSGRFGNYQNQTNRTTYPCYSSQIFNGKQQKLPTGNRSLTTSNSKSKGQSRNQNTYPHLMGLQTPTSPLGPPSIYSASGMYGYNGSSYGSGLWYGSHLYGSGLYGGWNALSDGKYNPRGRGNGSYGYIHGNQDGFNELRRGPRSGLFNNQQGVGATVAPVKGQELSASDSSLSVMKDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYQEAKEKSSDSSVFLLFSVNASGQFVGLAEMVGRVDFNKTLEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNCRDTHEVKLEPGLQVLKIFKDHVCKTSLLDDFDFYDNREKMMQERKAKHQQLKKVVDEKLLNAVDTENSLLTVKSKLQETAEVEIDVLNKEPHGKAGQVDGKENGVLAVSVNGVTPEDVQLTNEKLASLSVC >OGLUM07G03990.1 pep chromosome:ALNU02000000:7:3663756:3674613:1 gene:OGLUM07G03990 transcript:OGLUM07G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRRRKREEEEEEEAEEWERRKRGRRKRRKRRRRRGGEEDPVDVLGEEVMGRVMELLDARSVARCTAVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTMIPTASKLSTYSMAIADGKRTRITKEDLCDHDWEFRFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTIITSFAGNGCIRDHYVRINRWPPMKVSRKEDWSWELSNHLYRYNSIPDTDKKGCTGPLFPVW >OGLUM07G04000.1 pep chromosome:ALNU02000000:7:3677965:3678863:-1 gene:OGLUM07G04000 transcript:OGLUM07G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENYTNRRLSSALGPRSTCSTRTVGRLVFPCPRYQLADYLHVFQLAPLLVYDIFIGTTGYYAQWCHTLGIGSQGTFIPVWMV >OGLUM07G04010.1 pep chromosome:ALNU02000000:7:3679126:3682551:1 gene:OGLUM07G04010 transcript:OGLUM07G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEGRRGWIPWARRAMGEDAEMGSLRRAAAAGEDDEKGVPESETAVKGDGAASYGYIPVPQDADGDKCVVLVPTANAADWSVAEVKGGGGGGGGGEDATATTKMIQMRPVGLGIARTRPGGDRLDGIEDDIDEVKDPGEDQAVHQPMLPVLVRGSALEKSDLESKALQRFTSIIPRVFLSCFSYLVMYHISFLIPNMKAAHIFWYVKVTVLSLFHAGILIWVVIHKILKKHSNISMHQDTPINDFKYVPRNDLFDIDEYGHYIPSRPRSELQTTSSRFRGKRSQSKSTSSREKQRNL >OGLUM07G04020.1 pep chromosome:ALNU02000000:7:3684607:3691277:1 gene:OGLUM07G04020 transcript:OGLUM07G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein containing PDZ domain, a K-box domain, and a TPR region [Source:Projected from Arabidopsis thaliana (AT1G55480) TAIR;Acc:AT1G55480] MALAHQLVANRPLLPTPAPRVPRASISNARPQPLLGRDCRLTLLRAERRTLAVARASSSSSSSQTEPKSEGGEAAAAEGEEQPYEEYEVEILKPYGLKFAKGRDGGTYIEAILPGAAADQTGKFEVGDKVLATSAVFGEEIWPAAGYGQTMYCIRQRVGPLYMKMEKRFGKWDGAAELSEKEIIRAERNSGVISNRVREIQLQNYQRKMEQKMQREEDLRMGLRLYKDGKYEEALEKFESVLGSKPEINESSIASYNVACCYSKLDRIQAGISALEDALKAGYEDFKRIRTDPDLENLRKTEEFNVLLNKYDESFINENAINAIKSLFGFNKK >OGLUM07G04030.1 pep chromosome:ALNU02000000:7:3687326:3691052:-1 gene:OGLUM07G04030 transcript:OGLUM07G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP:galactose-1-phosphate uridylyltransferases;ribose-5-phosphate adenylyltransferases [Source:Projected from Arabidopsis thaliana (AT5G18200) TAIR;Acc:AT5G18200] MAAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHAPANPSPGAGAAAGAPKPSCPFCQGRESECAPEIFRVPAPPDASPWRIRVIENLYPALRRDAEPPAPEEAGEGEATPGERAVVGFGFHDVVIETPRHDVRLWDLDAAGVGDVLLAYARRVRQLMEHPAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVTTRLNCMKEVFERLGKCSLCEFQSKDILVSETHNFSAIVPFAASYPFEIWIIPRQHSSYFHEIDKDMALDLGSLLRTMLAKLSKQLNDPPFNFMIHSAPFGVSSSCLPYTHWFLQIVPQLSLIGGFEIGSGCYINPVFPEDAAKILRELDC >OGLUM07G04040.1 pep chromosome:ALNU02000000:7:3696472:3703114:1 gene:OGLUM07G04040 transcript:OGLUM07G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVVADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >OGLUM07G04040.2 pep chromosome:ALNU02000000:7:3697015:3703114:1 gene:OGLUM07G04040 transcript:OGLUM07G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVVADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >OGLUM07G04040.3 pep chromosome:ALNU02000000:7:3696472:3703114:1 gene:OGLUM07G04040 transcript:OGLUM07G04040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVVADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYQYVLNTVIFHLYQIILCSLSLLIITFPTSNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLYLIDLGLASKWRESSGQHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >OGLUM07G04040.4 pep chromosome:ALNU02000000:7:3696472:3703114:1 gene:OGLUM07G04040 transcript:OGLUM07G04040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSSTRQARLRSRKPDDQKPAEQAAKPALPAPQRAGKRVPPPAIRGRKGAAGRRGGAAPRGRRKAVEVVDLEAGQGRGDSPKPVVGQAVVGEAKNVKAPEVVANKGLRMDGESAEKLVVADDESSLPVPERIQVGNSPEYITDRKLGKGGFGQVYVGRRVSGGTNRTGPDAYEVALKLEHRNSKGCNYGAPYEWQVYHNLNGCYGIPAVHYKGRQGDYYILVMDMLGPSLWDVWNSLGQTMSPHMGACIAVEAISILEKLHSKGGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLSCFCPPPFKQFLEVVTNMKFDEEPNYAKLISLFDSLIEVPASRPIRIDGALKVGQKRGRNHEEDEQPKKKVRLGSPAAQWISVYNARRAMKQRYHYNVADNRLQQHIEKGNEDGLYISCVASSANLWALIMDAGTGFLSQVHELSPVFLHKDWIMDQWEKNFYITAIAGSANGSSLVVMSKGTPYSQQSYKVSESFPFKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSIPKRKPVDETQETLRTSAFPSNHVKDKWAKNLYIASICFGRTVC >OGLUM07G04050.1 pep chromosome:ALNU02000000:7:3703455:3704777:1 gene:OGLUM07G04050 transcript:OGLUM07G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSRNVTNFSPHPDPTRHATPPHAEKPSPPRARRLAYQVLDQSSAPPPAEPRHATPRSEKPKSSYSTPGARSLAPACVASPPRRDAAPRHRADTSLALSLQPLPRMMEAFQGVDFAALRVWQCDSYLHADEDGRSVYHRRLGGCGGGVATTLCGPFDELVVGEPPTRYVLLRGAYGRYLGTLDPGDRERGASWRSAPSCGGPPAALASSGRYLRGNKNFLARRRSVSVDDNVDKETTCCGGRWCPSTGWSYRSWPMYCNLTSSCSLYREIRFVTAEDAAAADAGQFAGRSVQLLREKLAGIVGYDEFMLCVRTGLHGRLTPLLINLPRSQETLHIVLIRTNTTGNNQTNLICMLLLNTLNIVSKCPA >OGLUM07G04060.1 pep chromosome:ALNU02000000:7:3709720:3710304:-1 gene:OGLUM07G04060 transcript:OGLUM07G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREASSIEDGRRWLPSTTGDPDNAGDKADKEGETREHNVVFLSAPTPLSAATRRSRVCCCPQGRGPRSRDLTADRPPSSGAEGVCCLVPSPLDRPDLEASHPPPREPGGPLLGALVSSVWWNSLPGKTLLRWHRHEPMVGSEKATSGKEDGGRDGELELASPLPSPLPLSSHRLPLAATSPSTTFGALPIPVLC >OGLUM07G04070.1 pep chromosome:ALNU02000000:7:3721169:3724161:1 gene:OGLUM07G04070 transcript:OGLUM07G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKICTRSPKGSPATKGPAAKKQRASPEEKHPTGKKSAGRSQKKRKTQVEDDEIEVLSSSSQDNNVDDDSDEDWAE >OGLUM07G04070.2 pep chromosome:ALNU02000000:7:3721169:3724161:1 gene:OGLUM07G04070 transcript:OGLUM07G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGTHVYPKNKYLTLQMSRSTKGVVCEDVFESLIVFSEAWWIGTKEENPQELKLDFPKEFQNDGAVADSDFKGGAGASCDEAVTINKPPKETTTGSLSPKIESDIDSSEDSDLKDEDNTQSTSQAPSVRQSARTAGKALKYTEISSGDDSSDNDDEIDVPEDMDEKVKSPAVKNESQSEDIKPADSSAQPISAKKEPLVQATLSSMFKKAEEKKDLLLRSSEQVQRKNIQQGRRVVEDDEIEVLSSSSQDNNVDDDSDEDWAE >OGLUM07G04080.1 pep chromosome:ALNU02000000:7:3729944:3730873:-1 gene:OGLUM07G04080 transcript:OGLUM07G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAAAEWDHLLCSLLEEGRSGVAREPPATTITAAEVVAAVAQPKHGRDDTENRWKPTHALACMRVAVWFFNTVTLVLFGIVVVKVVPHCKTMEEVFACILAILTVLGILIMGYCMIKNTKEDIKAMEGSP >OGLUM07G04090.1 pep chromosome:ALNU02000000:7:3731427:3737797:-1 gene:OGLUM07G04090 transcript:OGLUM07G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G03800) TAIR;Acc:AT5G03800] MAISTSSAGPPPRLLPPQPPPTSRSLPPPPPPPPPAHGPSPPPPRLHIRALASADPRAAHAVAVKSGAAASSGARAWNAVMCGYLRAGALADARGVFERMPARDAASYSALISGHARLGSPAAAGVALLGRMRLAGMAPTEYTFVGLLTACARRGNPRLGSQVHALAVKGNSPCCGGGGSLLVDNALLGMYVKCGRFDDALKVFDGMERRDVSSWNTVLSGLVELGRYDEAFELFGDMRDSGVGADRFSLSALLAAAAEGFGLHEGAAVHAMSLKSGLEMDLSVGNALVGFYAEHGHSIEDVVDVFERMPAKDVSSWTGLLNGYMEFGLVDMAMDVFDRMPVRNFVTYNAVLTGFNHNKEGVRVTFARKSGLRGLGLFKQMLEDGLEISDVTVTGVLNACAIAADRKMSEQVQAFAIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEKWRHEESFHIAWNSLLAASFRDGEYEKALSTFLKMFTSNDAHFIDEFILTTVLGACGALGFAEFGKQMHCFAAKSGLLSARGVGNAIISMYGKCGALETAVNVFERMPSRDLVSWNALITSHLLHRQGDEILDLWSQMERLPIKPDSVTFLLVISSCSYTSSNSADKCRELFLSMSSIYGIEPAVEHYAAFVHVLGCWGHFEEAEQLIGKMPFKPSALVWRSLLDSCNRRPNMTMRRLAMKHLLALEPQDPSTYVLASNLYSESARWQCSESTRLKMREKGMRKIPARSWTFHGNSIHSFFARDRSHPQSKDIYAGLDVLILECMKAGYEPDTTFVLHDVEEYQKRHFLMYHSVKLAAMYGLLMSGHGETIRVVKNVRMCGDCHSFLEYTSAATGKEILVRDTAGVSYERDTKIVNAGSFTIEREDHTIGNILRMQLHRDPNVLFAGYKLPHPLQYKILVRVHTANQSTPTQAYTQAINDLDKELENLKQAFEDEKIRYEERPKQGY >OGLUM07G04100.1 pep chromosome:ALNU02000000:7:3741990:3744037:-1 gene:OGLUM07G04100 transcript:OGLUM07G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLSMGAYNLENPLHCPLQKLPPPPPHTVVDVAAADDGNYDERRRVPPHHLPHRPMTPACKNFVTVLFVVSTVVVLGVIARMVVVDNTSWGEALLMLPVVLLVMAIIVVIQATPCHGPT >OGLUM07G04110.1 pep chromosome:ALNU02000000:7:3755262:3765541:1 gene:OGLUM07G04110 transcript:OGLUM07G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQYQVVSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGNADDGETKHN >OGLUM07G04110.2 pep chromosome:ALNU02000000:7:3759066:3765541:1 gene:OGLUM07G04110 transcript:OGLUM07G04110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSVGGDSYDEEAAAVAVPRRPPLELDGRGAASDHRSGFIPRYQVVSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLYGFIRSYKSSCLHDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGNADDGETKHN >OGLUM07G04110.3 pep chromosome:ALNU02000000:7:3759066:3765541:1 gene:OGLUM07G04110 transcript:OGLUM07G04110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSVGGDSYDEEAAAVAVPRRPPLELDGRGAASDHRSGFIPRYQVVSSKVDTSERYFDEWQKKLPNTDEIRKSKPRSRYFTISGVELSPDNMAVATVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLIKPLDSIPLFGYRRRSYLILSGFLGALSWGLMATLVDSKYSAAFSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRLSSGERAMSHSGSGFIETSKQHIRQLWTSVKQPNIFLPTLFIFLWQATPKSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGLYNYFLKAVPLRKIFLATTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVSGGLVGAGLTQFFGVTKDSFKNLALLIVICNLSALLPLPLLGLLPEESGNADDGETKHN >OGLUM07G04110.4 pep chromosome:ALNU02000000:7:3755262:3759308:1 gene:OGLUM07G04110 transcript:OGLUM07G04110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSHCESYCAPPLCNLPCLPKSKDDSTTDDAAAASSPTPATVVAAAFAEDKPPPLQKIEAAVTANKDHDDDGDGGGDEGSKEVVVTVVPKSSLKKTNCEDSKNVVKGNVKWMDLLGKDLTQVKEFEPSESGDSDDEDGNTCICVIQREIWHVGPTAQ >OGLUM07G04120.1 pep chromosome:ALNU02000000:7:3769006:3772172:1 gene:OGLUM07G04120 transcript:OGLUM07G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHPAPRKRTIAVQRRCGVAAAAAGMAGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAADGFTPSGGASAHAVEIHPGVTKVVVRDLSAGLDGDDGAAAFELDRWRFRLPPCTLPAMATATYADGELVVTVPKGAAPDDDGDGAAAAVLGGSGVVESVLLLDVM >OGLUM07G04130.1 pep chromosome:ALNU02000000:7:3769685:3775444:-1 gene:OGLUM07G04130 transcript:OGLUM07G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGC3] MASPAAEGDAVSAGFAELERQQQLLASCTRLYKQLEEHFASLERGLAARSDSLRHKRRAAEARASAAMDSLRRREASIDGSVSRALDHLDDLASASSVPSDAAAAAEGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERARGMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGSNANKKEQSVLRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFAPGLPYSSPPISYPHAYGGYNNGMGAYNNGMAPAFHQAYYRAAGTVWFGRGKLTPTQTRLAR >OGLUM07G04130.2 pep chromosome:ALNU02000000:7:3769693:3775444:-1 gene:OGLUM07G04130 transcript:OGLUM07G04130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGC3] MASPAAEGDAVSAGFAELERQQQLLASCTRLYKQLEEHFASLERGLAARSDSLRHKRRAAEARASAAMDSLRRREASIDGSVSRALDHLDDLASASSVPSDAAAAAEGVAESLRAMCARMDSAGFFGFVVARRKEVDALRAEMPPALKCCVDPAKFVMDAVADVFPVDRREAKNPTDLAWACVLILEAAVPALADPDPEIGAARPLVPRAARERARGMAREWKEAAERKGGVEWTKPPDAHAFLQHVATFAVAEREDRGIYRRIVVSFSWRRQMPRLALTLGLEEDMADIIEELIAKGQQLDAVNFAYEAGLQEKFPPVPLLKSYLADSKKTSCTVSDNSSTSSGQSGSNANKKEQSVLRAVIKCIEDRKLEAEFPLEDLQRQLEELEKAKTEKKKATSSASSGGSSGPATKRIRASNGGPMPPAKAGRLTNNACVSSTPAATTFVRSPSHTSYAMASPYPYDRPVGHGLYCNQSPPAIREPYVYPAKEVTNFAPGLPYSSPPISYPHAYGGYNNGMGAYNNGMAPAFHQAYYRQD >OGLUM07G04140.1 pep chromosome:ALNU02000000:7:3785194:3793826:1 gene:OGLUM07G04140 transcript:OGLUM07G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDGDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVSQPDVKRIRTEAAHGGGGGSGGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKDGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLNISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKLNVIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITASSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKIAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTRELTSPVLDVVQCMSESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTTKDQTFVGAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDSDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAGKPASALVMSNDINGEVMAKPNLTCGDDQLHGGDGTYKNSMEDDLASREPVNA >OGLUM07G04140.2 pep chromosome:ALNU02000000:7:3785194:3793826:1 gene:OGLUM07G04140 transcript:OGLUM07G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDGDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVSQPDVKRIRTEAAHGGGGGSGGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKDGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLNISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKLNVIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITASSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKIAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTRELTSPVLDVVQCMSESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTTKDQTFVGAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDSDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAVSRAMGGTI >OGLUM07G04140.3 pep chromosome:ALNU02000000:7:3785194:3793373:1 gene:OGLUM07G04140 transcript:OGLUM07G04140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVASGSGRKRQLVLESSDSEADEFFVSTRRKEDGDDDAGNAGGGSGGGGDQGGEKVVTVSPEKVSGAKSTDEGGGSDKSKGSEVGKSVSQPDVKRIRTEAAHGGGGGSGGSVSKDGTGGKMLRPGFPKWRFEKPEVRAGRVLDEKDGVETKVSSSQKVKDHASSSVYERRRPEPLKPEKSTPSKTNQEVIRVQGKSGVLKIRPKNNKVASETGDGKNLPKNAKVDGDTGDGKVLPKKTTVEENGDGKILTKSGVLKLLPKNNKVAKETSDGNPRSKNTKVVGETSDAKILMKNKANRESGDDKAPKNCTVNLETSAGKILSRNTKEDLKTSDVCRQDKEKSDAIDVSQKQGADGEKRITEKLVSPILLRKSDPSVVGISLGQKMKQQNSKAQLNISSLGQRQPSLNLKDEKNKKKRLLDHKMSPENLSKKAKLNVIDQDTSRPSLEKHGIKKERKGPRYTMKQKLRGQIKDILLNNGWKIDLRRRKNKDYEDSVYVSPQGNGYWSITKAYAVFQEQSKSGKHTGKSSKHKAGVADAACNAISENDLAMLQRNVVKRRTKKELGASKKKYEDSSSRNSKDNNAGRSSGNKHQSSGVRGCALLVRGSTHSMEGNVDGYVPYRWKRTVLSWMIDMGVVSEDAKVKYMNKKGTRARLEGRITRDGIHCGCCSKILTVAKFELHAGSKEQQPYENIFLEDGGATLSQCLVDAWKKQSQSEKKGFYKVDPGDDPDDDTCGICGDGGDLLCCDNCPSTFHLACLGIKMPSGDWHCRSCICRFCGSTQEITASSAELLSCLQCSRKYHQVCAPGTMKDSVKAESNSSTDCFCSPGCRKIYKHLRKLLGVKNAIEAGFSWSLVRCFPDKLAAPPKGKAHLIHCNSKIAVAFSVMDECFLPRIDERSGINIIHNVIYNCGSDFNRLNFSKFYTFILERGDEVISAAAVRIHGTDLAEMPFIGTRGIYRRQGMCHRLLNAIESALSSLNVRRLVIPAIPELQNTWTTVFGFKPVEPSKRQKIKSLNILIIHGTGLLEKRLLATGTINQENTTVNDKMDAQTHVEATGSRTPVHSSCELPVGGDPDIKHHDDSHPLVGNSKGLTLNLPCVPEEKTRELTSPVLDVVQCMSESENTQEMKNGETDATLTSEDIIAEQKYEDKSNSSLTDSSAIPMTVDPGSCSSNETVKGEHHTSSEPSVEAILVRDKPEPSISCNVTNQEDKNSSMVPVDTTVHLATIVGNHDIQNSVEVKGMEHNTTKDQTFVGAVANNVATTEDPSDSVADCEVPIVRSIQQKDEVIADKNACATIDQTAVDDVANNFVATTENDSDSTAELGVSMERCIQQKVEVIKDKSDSPLRTSISKVMLEKSDQMKSTESDSVKMKDMAIEVKVTVENFSEAGKPASALVMSNDINGEVMAKPNLTCGDDQLHGGDGTYKNSMEDDLASREPSNGRDDLVFCK >OGLUM07G04150.1 pep chromosome:ALNU02000000:7:3797702:3800001:1 gene:OGLUM07G04150 transcript:OGLUM07G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIACKKADIDMNKRAGELTPEELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OGLUM07G04160.1 pep chromosome:ALNU02000000:7:3812845:3813909:1 gene:OGLUM07G04160 transcript:OGLUM07G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARGIALAVVLAAAAASLAASPGAAQSGGGGSCMTEIISLASCLGYMSGNSSAPKPSCCTALSSVVTSKPACLCAVLGGGASSLGVTINNTRALELPAACNVKTPPASQCSTVGVPMPSPATPTTPATPAAPAVPSETPAGTGGSKATPTTATTTTGQSASGGSVGKAASMATVVVSVAFALIHV >OGLUM07G04170.1 pep chromosome:ALNU02000000:7:3818292:3819364:-1 gene:OGLUM07G04170 transcript:OGLUM07G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMISSLGRGTTDHQSGGQRSTGQVGPVQGSVTAVDYIALPHGTNNSNCVISMPSGMAADWEVFSRDGGSYTRHTVPMDLESLSLRPRPGRGFSIGGAYGPAYRAGQLHGAHHHNLPIFITASSEKSILEKVWEANQFIFGMFLLGSYQYVTNVQSMHGSDKLKDALLIAFSILWCLLGIGFHLHFYSRNSEIRQKICSFILTAFTVMFSVLVTIHISMLLHSKKIAEAGRIIKLVFVVSVHVAIKIWFECNLGERISGWWSGCPVLPLSSAPGDVTRDNAYRLSL >OGLUM07G04180.1 pep chromosome:ALNU02000000:7:3822951:3824381:1 gene:OGLUM07G04180 transcript:OGLUM07G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVMSEVEASMMAKGNIPPHVIVVMPSSNPIIRDQLDTTTAIQDQAPSPCPDWIIMVLVLGLFIGISIFVSL >OGLUM07G04190.1 pep chromosome:ALNU02000000:7:3849547:3850470:1 gene:OGLUM07G04190 transcript:OGLUM07G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDPGMSRRTRRSTSLIACYQDQHVPSLVQQLRQDDKLKTLFQCQGMELQPPYPYEDQELQSEGDEQETPNRYHDEQEEKPHHYLDEEQEKKPFQDQDGERKIPKQYLDEDQKTVQQCQYEDKMTPNQYKDEENTTGQYQDEEQKIAKQCKEEEEEEEEEKTLEKYQDEEHKSLKAQHQCQDTERKAPGQCKTAKTKLITPPCADDVPRFSLQDLIQEKQLLIGEAKATRKLGNREKAIANHKLPPPPAASSATLAMVIKRPDGGKKSMGVIRRCVQALNQMVKAKHGSKKNKPPF >OGLUM07G04200.1 pep chromosome:ALNU02000000:7:3855684:3856825:-1 gene:OGLUM07G04200 transcript:OGLUM07G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNGVAVMFAAVVVVAGALVAGAAAQSGCTSEMVSLAPCLDYMQGNASRPTASCCAALSSVVKSRPECLSRCSDSVSGAGGRGGAECAAGCRDRVEDDADHRRELRRRERRQGGVRCDGDRVGCVCNVVCLIN >OGLUM07G04210.1 pep chromosome:ALNU02000000:7:3857987:3859590:1 gene:OGLUM07G04210 transcript:OGLUM07G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFISTMARQLCGQEEHIPALSRHSIPSIPARVAAAYNDLILHQHPHKPFSPDAPKAFLFFFFLRDIHQSVLDLTGIVLFVVEEEGGKEGGTIDRQLTMAARKSQTGVPRAPVVAVMVVVMTMLASRAASQNNGCSSVMMTLSPCLDYISGKSPIPEFTCCTTLAGVVQSDPRCLCMVLDGSAASFGISINHTRALELPGVCKVQAPPISQCTAVPTPPPAPDTPTLADEPAETNEDEPSPPPAGSAGSNKTSSATNSKKAASLMASVLIPTCALFYVF >OGLUM07G04220.1 pep chromosome:ALNU02000000:7:3858262:3859016:-1 gene:OGLUM07G04220 transcript:OGLUM07G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMPKDAALPSRTMQRHRGSDWTTPASVVQQVNSGIGDLPEMHGESVIITLEQPLFCDAAREASIVITTTITATTGARGTPVCDFLAAIVSCRSMVPPSFPPSSSTTNNTMPVKSRTD >OGLUM07G04230.1 pep chromosome:ALNU02000000:7:3860071:3862423:-1 gene:OGLUM07G04230 transcript:OGLUM07G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKKKNPSKRGRKRGGRGEGREKKVEEISSSSSSRGRGRRRMAPVKKSKKGKRKSKDSGKLKIVKYGGGAPPLPPELRGLDTEWWYTFLHKHSELDLLVSIQFPERLIRAYALAARTLAH >OGLUM07G04240.1 pep chromosome:ALNU02000000:7:3864937:3865152:1 gene:OGLUM07G04240 transcript:OGLUM07G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAEAEAGTGGGGGRDGTATKKKAAACDVAALRKCLEENKGDRSKCQDHIDAFRSSCSTNPPPPRRS >OGLUM07G04250.1 pep chromosome:ALNU02000000:7:3866005:3867711:-1 gene:OGLUM07G04250 transcript:OGLUM07G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRCDLQAPSLLSSELANGGGGLLSPRSSSSLQGFSPRSIFSVDDQAKNHPCASPRNPLSGGGQVTGLAGVLVDGEGERRCYGRTGRVLLGMMRLRVQLPQEMVLAGGGGGEMPPPPSSPIEFGVKNRDAQLALLSPVQRSPLSSAAARAAQGEAELAEDYTCVIARGPNPKMTHIFDDLVVESSAAGGDACRLFMQHRDEKAFCSSQCRYHEVHFDKRIDEASDVSFKLKN >OGLUM07G04260.1 pep chromosome:ALNU02000000:7:3877945:3883039:1 gene:OGLUM07G04260 transcript:OGLUM07G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTKCAVPLVSGAAGGGGSAELTRQLSSTQASPRFSFSSGVLPSLGSRGGGERHARLRRFIVSPYDRRYELWNNYLILLVVYSAWVTPFEFGFVPEPAGALAAADNAVNAFFAVDIVLTFFVAYTDPKTFLLQDDPRKIALRYITTWFVLDVVATIPTELARRILPPDLRSYGFFGILRLWRLHRVGILFARYPDPTNTWISAYMPNFHKASIWSRYVASMYWSITTLSTVGYGDMHAENTGEMVFTTTYMLFNLGLTAYIIGNMTNLVVHGTSRTRKFRDMIQAATSFAQRHQLPARLQEQMVSHLSLKFRTNSEGLHQQETFEALPKAIKSSISHHLFFGLVQNVYLFEGVSNDLIFQLVSEMNAEYFAPREDIILQNEAPADFYIIVSGSMLAGMAKSGDVVGEIGVLCYRPQLFTARTRSLCQLLRLDRAAFLRIIQSNIADGTIVMNNLIQYLREKKEIASIVAVAKEIDDMLARGQMDFPITLCFAASKGDSFLLHQLLKRGLDPNESDHYGRTALHIAASNGNEQCVRLLLENGADSNSRDPEGRVPLWEALCRRHQTVVQLLVDAGADLSGGDAAPYARVAVEQNDAALLGEIVRHGGDVSGPCSGDGTTALHRAVLDGNVQMARLLLEHGADADAEDVNGLTPRAVAEQGGHADMQLAFASATRHEPRKARPPPPASAIVPVPLRDGVDSSPSSSSRRGRTSSTSAASARSTPQRMANFRNSLFGVISSSHAFHHEGGYRGGGGGGGAAAERERSSSSPPLVRVAISCPESRGGKDHSSKLVFMPETLRGLLELGAARFGVSPTRVVTSGGADVDDARLVRDGDHLLLVTDKWVPPENRSRNQ >OGLUM07G04270.1 pep chromosome:ALNU02000000:7:3883736:3885359:1 gene:OGLUM07G04270 transcript:OGLUM07G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNKAVAVAVAAAMVAVVAMVAAPASGQAVAASCTASLITSFTPCFNFITGSSGGGGGNGTAAGGGAPTAECCQSVAAMINTSASCACLVLTGNVPLGIPINRTLAVTLPKACNSMSVPLQCKDTSAQIPAAGVPVAVSPAMPPLPPSPPESTAGAGSPTATATPPATSQTQTRPQVVPSSARRVATNAGFPAFLLLLAAMLF >OGLUM07G04280.1 pep chromosome:ALNU02000000:7:3887622:3888735:1 gene:OGLUM07G04280 transcript:OGLUM07G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHCCLATFLGFLPYKNDTDRAQKGTDLYSEFQTPRASCTTYAEFCLLACLLVSVKNGSEGGVDRRGGGGGGGGADGRWRGGADAVVDERVHADAAEHVAVPQLPDRERDGAVGVVLRQAGRGGEVAAGVPVRRAQRRHGGARPQHQPHPRARPPRRLQGPDAAGQQLQEWRCCSSCGTDADDSGGDRVEGDTGDARGLRRRAAPCLAGGDPRRHRRRRRLRRLRRVSSTSTTNPASLSPSVSILIGARI >OGLUM07G04290.1 pep chromosome:ALNU02000000:7:3895627:3895845:-1 gene:OGLUM07G04290 transcript:OGLUM07G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLIGLLRVPAGLAARVLARHGVTAPGSLATLYAGARALNGRLLRPRAAARPLRPRSPAACRRRSRVLAP >OGLUM07G04300.1 pep chromosome:ALNU02000000:7:3900285:3900485:-1 gene:OGLUM07G04300 transcript:OGLUM07G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGLNATGEFFRRRDEWRRHPMVGNQLRHTTPGLGIAIVAFGIYLVNVATYNRLYRPSSDHHHH >OGLUM07G04310.1 pep chromosome:ALNU02000000:7:3902260:3903427:-1 gene:OGLUM07G04310 transcript:OGLUM07G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKPSVRANLNMDYSPSLEIHVHTQEKELKKENEWASSLCSQYLNEIIPNSVSFMTNVTSHEVAICNNDGENAKLANISTTYVP >OGLUM07G04320.1 pep chromosome:ALNU02000000:7:3908114:3913922:-1 gene:OGLUM07G04320 transcript:OGLUM07G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLFKEARGPSLDSNLAPTIVLALTTVPMGWDNRRRKQASTMMNARGRGTRNGNGRNQGHGGGRAHSDVVVGANTTVLATRRWAGLEIDSVPSDEGQHDIVNYYLRCATGIGIGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVDWLNLLISDVTYDEVAICNNDGKDAKLANISTTKVHDFVYILVQHGNKLVAYVEELYEDNHANNMVQIRWFHTLNSAGIQLSPGVNDREILLSDNLQDIRVECIDGLASVLNDEHFEKFQAIANNTNLQPYLCIRHIDNNNNVKTFDIAHLQGYSEQEIFRTISGTPPVTMHPDANTPRSSDRGHHQIVENPTASDETKVQATTINILARNAAPTESASGLMTLPWRMIMRRRDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLRIRLSGRTRIGPHNMTERENPSTIGVGTVIDGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMVLFRRDQLRHSLEWIDSEWKAFAHREDIARRIPSAEDLRIRVITAREVPTREEVMRQLEGLQTNKGGSNSAKPAVEKGSSSSATKETTPDLTRHALDDLGSSNFKHVGVPASEEIITDNKGPQVNLENILKSDSLKWTERKARGSFGQRM >OGLUM07G04330.1 pep chromosome:ALNU02000000:7:3918609:3920287:1 gene:OGLUM07G04330 transcript:OGLUM07G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSFLSCLCLRCVTGGVKHIRHSCVAQGPLMPTCGLIQSYQHKIMAHWHQIVRLMNITMQMFQLVFSTVPDGFMLIRGNKMGVEFRVIAAEAAAAAMQRTALAN >OGLUM07G04340.1 pep chromosome:ALNU02000000:7:3921797:3925976:-1 gene:OGLUM07G04340 transcript:OGLUM07G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREESAKKSKLSWSKSLVRKWFNIRTKAQDFHADSETTTQGRDGGGGAGGRASFSASSASTSSAKKSRTDRSSSKRSADRVRRGRNDFDLARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNIPAKKWVSLIRRTLNKNPGASGSGVYHTPSPVLNPVVELEADFEASARRQENYSFFHRRSFHNLSRSLRMDADYMFPQPKLDRRFSVCDPVSLGGRPSDFDGNLRWLGSPDEENIDEELSNAAQCSPLPYSCNTTAPTEANDEQPNGSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPRVRGANDVKSPETILEHDRIIWLGDLNYRIALSYCSARALVEMHNWKQLLEKDQLRIQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLCYVRGESRFSDHRPVYSIFMAEVEIIRVLAKNTNMQGSRVSSLGDQMILGNFAGNHYVKWRCISPCH >OGLUM07G04350.1 pep chromosome:ALNU02000000:7:3950046:3955525:1 gene:OGLUM07G04350 transcript:OGLUM07G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDLQDSPLFSFINSLSPIEPLKSAYSASSIQAYQSLNITSISSIFTSPHDNAQKESKLSKSSFAEFSESEVCADESDKNKPSKSSNAVRLFACTSTLTQATHKITSSVSEGTVGPPEGSNDLPQPGQFDSGSPDHNTTPCHGVRSDLKQGKCRKLQAFQTAKTNTSEKRKCLFSTEVQLMDGCQPEKLNDEILGCDWDDLISATSGELIAYDEDHKGVQLAVSNAESCGFLLSKLTGDGDISDRTHPSSSTQTYYRELLMDEDQTENAQLVPDGEKNISTEEIQDNLYEANGSIPTGYKVETQQQRGMRRRCLVFEAAGYSNRIVQKESVMDLSVSTCKGKSPVQNHSNPGKTPSPRVLRGIGLHLNALALTSKDKMICQDPMSSLVPSSATQQEAHGKMLSAGENFIHPGGELLELQMDDDCSAGVFLGNDHDSSQSNSPQKKRRKSDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDAGQDITGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCEGCKNTFGKRDAAVSTEAEEMKQGGEEAENCGKEKENDLQKANAQSEDHPFLELVPITPPFDVSSSLLKPPNFSSAKPPRPTKARSNSSRSSSKAPGAVHSQKFSKIANSGLNEEMPDILRDDASPGNCVKTSSPNGKRVSPPHNALSVSPSRKGGRKLILKSIPSFPSLIGDASSGSSMNSSESAFNAASPLALDVRLYTSLLT >OGLUM07G04360.1 pep chromosome:ALNU02000000:7:3957489:3958616:-1 gene:OGLUM07G04360 transcript:OGLUM07G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTTTTLPAAAALLLLLLSAAAQLCSACPPAASQTAENNPRLQRAYVALQALRRRVTDDPKNLTGGWCGPDVCRYFGVYCAAAPDDPCAATVAGIDLNHGDLAATLPDELGLLTDLAVLHLNSNRFSGALPDTLPKLSLLHELDVSNNRLAGGFPDHILCLPNVKYVDLRFNNFCGEVPPAIFDKKIDALFLNDNHFDFELPANLGNSPASVIVLANIKLRGCIPSSVGRMAATLNELVVLNSGVRSCIPPEIGHLGELTVLDVSNNQLQGTLPESMAWMRSLEQLDVARNELAGHIPEGICALPRLRNFTYSYNYFCGEPERCLRLRRVDDRQNCIAGRPDQRPADQCLAFLHRPPVHCDAHGCFAPPGHY >OGLUM07G04370.1 pep chromosome:ALNU02000000:7:3978141:3982923:1 gene:OGLUM07G04370 transcript:OGLUM07G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKDSWVEKGCYVCIVIGYCEGGDMSEAIKKANSNYFSEERLCMWLVQLLMALDYLHVNHILHRDVKCSNIFLTKDQNIRLGDFGLAKVLTSDDLTSSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTALKPAFKAFDMQTLINKISKSVLAPLPTIYSGAFRGLIKSMLRKSPDHRPSAAELLKHPHLQPFVLELQLKLSPARNLFPDTNKASCSDDENNWKAKYSKSHSFKVDRIVKVDKVAANNGHPSSTGTAKDYQELLKQPMDELLGQLTEKVVDEVIHGNYSRVTKSPAPTPRRASSTPRIRLEPSKTFHARAAETPPSKCSLERASQPTRRASTPVNMLQTPEKRQGADILTRLKSPDVSVNSPRIDRIAEFPIPSFDDEQLHPTTKLKLYPPSITDQSITKDKCTFQVLRSDSSKNHTGDSSDPSILGTDSNPLITSSSDWMKQRRFDTTSYRQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSKSFKGTGL >OGLUM07G04380.1 pep chromosome:ALNU02000000:7:3984698:3987637:-1 gene:OGLUM07G04380 transcript:OGLUM07G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKPSEYRRQLLRARSVETSCRRVAVAYCTLRRNPNRRRRRHSLLTPIREIFFGSTCVAFCVEDEPIDVDEEHLYEVDDTVCIKDATIPYVIATQDGPTVVSQTSQEHVTSSQPLRVNAPITTQPIPRCTPPRQAKQRAALRAATLRATVSRGKRNLLDTVIDP >OGLUM07G04390.1 pep chromosome:ALNU02000000:7:3996546:3998442:-1 gene:OGLUM07G04390 transcript:OGLUM07G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A protein [Source:Projected from Arabidopsis thaliana (AT3G04790) TAIR;Acc:AT3G04790] MAAATVSVRFHPTASAARCGGGSRRSRRLSGVIRAQSAPASAAAAALTQDDLKRLAAVRAVEQVESGMVLGLGTGSTAAFAVAEIGALLASGKLSGIVGVPTSKRTFEQAQSLGIPLSTLDDHPRIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVTGLGGSGLAMPVEVVQFCWKYNQVRLQDLFNDEGCEAKLRLDEGGKPYVTDNSNYIVDLYFKTPIKDALAAGKEISALEGVVEHGLFLDMATSVIIAGTDGVSVKTK >OGLUM07G04400.1 pep chromosome:ALNU02000000:7:4008317:4012822:-1 gene:OGLUM07G04400 transcript:OGLUM07G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAASAGRICRPSWRSSSPDYVRFRAVCSPWGRGVLDPRLHPGARWMMFPEGFGRFPGHRALAGHARFLDLSASAAAALIRVPLPLLRDHYVLDSPDGLLLLQRDGDTAIRLLHPFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDIRKICAAVDVADEGIVTVMLAVEKIGRVAFAAAGDDDWVISTWKENQLDNALSFQGGSCMWDGLIHVSVIDPPRRRRREGEESVAQPLVPPPRRIATCSSEEIHLPSLVELDSELMLVGYNGSSLSRILVLRLADLAMGMIVPVANIGDHVLFIGARSLCVSPGWLPSIGGNSIVCFHAGENYLAQYHLGTDSWSPASDGQLMLSPPSRPCSLIHHIFTCCYRQFCYISSVLYGDLVSGSAKEQRADILFRGQSLNGGRCGSTDMGPERLELGRTFAATSFCIKHKSRKLSLCDSTRQGFHPRLSQTAPPQNPRRVARTFVEGHRCRACKTSSSDSTSIANPAARPETTHTQEPSCRKPTVRPTTSEQDAETTPHATPTEPRNSLASTRSSLA >OGLUM07G04400.2 pep chromosome:ALNU02000000:7:4008317:4012822:-1 gene:OGLUM07G04400 transcript:OGLUM07G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAASAGRICRPSWRSSSPDYVRFRAVCSPWGRGVLDPRLHPGARWMMFPEGFGRFPGHRALAGHARFLDLSASAAAALIRVPLPLLRDHYVLDSPDGLLLLQRDGDTAIRLLHPFTGDIAEFPPPRFPRPPAPPPGLRPHRRSDIRKICAAVDVADEGIVTVMLAVEKIGRVAFAAAGDDDWVISTWKENQLDNALSFQGGSCMWDGLIHVSVIDPPRRRRREGEESVAQPLVPPPRRIATCSSEEIHLPSLVELDSELMLVGYNGSSLSRILVLRLADLAMGMIVPVANIGDHVLFIGARSLCVSPGWLPSIGGNSIVCFHAGENYLAQYHLGTDSWSPASDGQLMLSPPSRPCSLIHHIFTCCYRQFWADILFRGQSLNGGRCGSTDMGPERLELGRTFAATSFCIKHKSRKLSLCDSTRQGFHPRLSQTAPPQNPRRVARTFVEGHRCRACKTSSSDSTSIANPAARPETTHTQEPSCRKPTVRPTTSEQDAETTPHATPTEPRNSLASTRSSLA >OGLUM07G04410.1 pep chromosome:ALNU02000000:7:4014888:4025136:1 gene:OGLUM07G04410 transcript:OGLUM07G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48120) TAIR;Acc:AT5G48120] MAKVAVGQWVPHVEAFVDVSRPPAQHSASVDALSALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKRTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTMGDELLYGMCEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAAQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVTTIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEIVSEALTCLKTAIIQMGPSDEDRLINLILLDEDIVSSIHSVASEEASGLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDSLEISAGRESQHLNNCSGPSSGAINYGALYLSVQMLSSCREVALTYKEEFSPIKSAKESWWLILEKKLDSLIHILQSLLTIDSQFVQSADRQEYVSCAVKGLIILATFPEPRLPLSASAYEDVLLTLTSVIMSKYENMHLWRLSLKALTTIGSSIVEFHASQKENIYNKVVDKISSLDEPCRTSIPLNLRLEACFEVGTSGSNCMLRVAKSLEEAVVNGRVKCSEYVVNLLECYCGRVLPWLFNFGGVNELALNFAMRLWNEIRDLATSDRIGSQDLLSSLMMGMKLVIGICTEEQQSLIVQKAYDTISSMLSLPVKSMTRHLLAVDEAVPLYSVRDTSLMCMLSSVIVGLRPQTPVPDMLMMINLFTVFLLKGQIPAAHALASIFNKNVHNSEFSHENKLDKVIDTILERCFSTISLRSNMKTSLSCADRSDDANCSEILSGSIESKDDIISGLAWLGKGLLMRGDEKVKDVSLFLLKCLCSDQSLAGISSHQEEHGISDSSYASLATSAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTKLVLYRAFGHIISNAPVPAVITEAHRILLVMVDSIAKLSQDVKDKDLVYSILLVLSGMLMDEKGKECIVENIHIIVSVLTQLVSYPHMMLPCLVFLTQKFIACDHRSYKLQSRLLMIRKGEFAKRLFVVDKHGNHLLKDSVSAMMVSFGFGIAY >OGLUM07G04410.2 pep chromosome:ALNU02000000:7:4014888:4025173:1 gene:OGLUM07G04410 transcript:OGLUM07G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G48120) TAIR;Acc:AT5G48120] MAKVAVGQWVPHVEAFVDVSRPPAQHSASVDALSALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEILCRISFKQLDVNAISTLSDFFISRLSDWQALRGALVGCLALLHRKRTVGSIIIADVKRLLETFLQNVQVQSLAAADRKLCFQILNYILDHYPEAVKTMGDELLYGMCEAIDEEKDPECLKLSFNLVEAVMKLFPDPSGLAAQYASEVFEILSKYYPIYFTHGVGDDLDATRDDLSKALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKLDSLKYLDNCIRCYGADRMGRHVTTIWFKLKEVIFSLSIDQILSTSGAKDMEKNKNEIVSEALTCLKTAIIQMGPSDEDRLINLILLDEDIVSSIHSVASEEASGLTSLQNPIQLHALGSVISILAESSAYFCTRVLQAHFARLVDSLEISAGRESQHLNNCSGPSSGAINYGALYLSVQMLSSCREVALTYKEEFSPIKSAKESWWLILEKKLDSLIHILQSLLTIDSQFVQSADRQEYVSCAVKGLIILATFPEPRLPLSASAYEDVLLTLTSVIMSKYENMHLWRLSLKALTTIGSSIVEFHASQKENIYNKVVDKISSLDEPCRTSIPLNLRLEACFEVGTSGSNCMLRVAKSLEEAVVNGRVKCSEYVVNLLECYCGRVLPWLFNFGGVNELALNFAMRLWNEIRDLATSDRIGSQDLLSSLMMGMKLVIGICTEEQQSLIVQKAYDTISSMLSLPVKSMTRHLLAVDEAVPLYSVRDTSLMCMLSSVIVGLRPQTPVPDMLMMINLFTVFLLKGQIPAAHALASIFNKNVHNSEFSHENKLDKVIDTILERCFSTISLRSNMKTSLSCADRSDDANCSEILSGSIESKDDIISGLAWLGKGLLMRGDEKVKDVSLFLLKCLCSDQSLAGISSHQEEHGISDSSYASLATSAADAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSILMPIFLSKIKESTVMTTKLVLYRAFGHIISNAPVPAVITEAHRILLVMVDSIAKLSQDVKDKDLVYSILLVLSGMLMDEKGKECIVENIHIIVSVLTQLVSYPHMMLPCLVFLTQKFIACDHRSYKLQSRLLMIRKGEFAKRLFVVDKHGNHLLKDSVSAMMGNNYW >OGLUM07G04420.1 pep chromosome:ALNU02000000:7:4025193:4026563:-1 gene:OGLUM07G04420 transcript:OGLUM07G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHNHHDVEAPAAGGSSFPPPPPAVGVAGVACTYMIERPELRWAFIRKVYAIVATQLVVTVAVAAAVYSVPAIRRFFLARTPASLAAFVLVIVAPLIVMLPTMFLRKKHPINLILLALFTICMSCAIGLGCLSSKAGIAIIEAASLTFGVVFGLTLYTFWAAKRGHDFSFLRPFLVAAFLVLVLYGLIQMLVPTGKVATTVYGCVAALVFSGFIIYDTDNLIKRHAYDEYVTAAISLYLDTVNIFMAIFTALNASDS >OGLUM07G04430.1 pep chromosome:ALNU02000000:7:4027100:4029643:-1 gene:OGLUM07G04430 transcript:OGLUM07G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYEKGGDVEAGTSGGARELYPGMTEPPEMRWALIRKIYVILSMQLLLTAAVAAVVVKVRAISHFFVSSHAGLGLYIFLIILPFIVLCPLYYYHQKHPVNLILLGLFTVAISFAVGMTCAFTSGKVILESAILTTVVVFSLTAYTFWAAKRGRDFSFLGPFLFASLIVLLVFAFIQILFPLGRISQMIYGGIASLIFSGYIVYDTDNIIKRYTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >OGLUM07G04440.1 pep chromosome:ALNU02000000:7:4031067:4037088:-1 gene:OGLUM07G04440 transcript:OGLUM07G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKIIHATKQAEDAVTKFIKSDIINEQTAKCNIAGNNVKRSSSNTAEAPGHVLMARTLELSNKESDTRTKLLERGVVTAASAAAAMISHFLRGVFNHHQEGYYIILVLFLVLGLILASTATWLARKHFAQVVALLALVPQHNAILPVTVLKHHPPTPPKLPGMWLSLFRPMLTRTLWLTMVLDMN >OGLUM07G04440.2 pep chromosome:ALNU02000000:7:4037088:4037887:-1 gene:OGLUM07G04440 transcript:OGLUM07G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGRQRLKMGPSLPPLTHPCGTVSSHANTSLAAAVAAALASPLPPPSPLMEKATTPTSVKE >OGLUM07G04450.1 pep chromosome:ALNU02000000:7:4037900:4038079:-1 gene:OGLUM07G04450 transcript:OGLUM07G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWRLPVGSLKPRPHLRLLLGLQREEAVARSSSRREPLARIFSSASNARRWPGRCRRG >OGLUM07G04460.1 pep chromosome:ALNU02000000:7:4038131:4046366:-1 gene:OGLUM07G04460 transcript:OGLUM07G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSSDSGSCDCREQLRCRGRGEKKKKKKKKKKRGFFFPLLLFLLSLVWSEMALCHRTLIDIVIKLNYGVPLSSRNARCCREERACGSGPPLSSPSGLPPPHRHNKHTTTSPLLKAVGGDFRR >OGLUM07G04470.1 pep chromosome:ALNU02000000:7:4046241:4051430:1 gene:OGLUM07G04470 transcript:OGLUM07G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSFLSESPCDEQHIHGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCAPSERPGLYLIQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKIVFGAWLRYEKRGEDIISDVLASCRKCCKEFGPLDVASEMPEGDFEILGSCDIGTSSKVSPVVTFQIRDGKVTCNRCKIASLSTPFWSMLNGPFTESQLDLVDLSENGISLEGMRAVSEFSCTYSLEDLPLETLLEILVFANTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQVFLQELPDCLNDEHVVSLFLSATEQQQCIMVGHASFLLYCLLSEVAMNIDPRTEATVCLSEKLVQLVVTPTQKQIAFHQLGCIRLLRKEYNEAEHQFSVAFSAGHVYSIAGLARIAGIRGRKGLAYDKLSSVITSSVPLGWMYMERSLYSEGDKKLGDLDKATELDPTLTYPYMYRAASLMRKKDARLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHVILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSVTVISLLEDALKCPSDRLRKGQALNNLGGVYVDCEKLDAAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIEKAKNNASAYEKRSEYCEREQTMTDLQIVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELTRAIAFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >OGLUM07G04480.1 pep chromosome:ALNU02000000:7:4063032:4066668:1 gene:OGLUM07G04480 transcript:OGLUM07G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPILNAVKEEESHGDGGGLEVVAGEDGAAAVAAGVAPRPMEGLHDAGPPPFLTKTYDMVDDAGTDAAVSWSATSNSFVVWDPHAFATVLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANENFLRGQRHLLKNIKRRKPPSHTASNQQSLGPYLEVGHFGYDAEIDRLKRDKQLLMAEVVKLRQEQQNTKANLKAMEDRLQGTEQRQQQMMAFLARVMKNPEFLKQLMSQNEMRKELQDAISKKRRRRIDQGPEVDDVGTSSSIEQESPALFDPQESVEFLIDGIPSDLENSAMDAGGLVEPQDFDVGASEQQQIGPQGELNDNFWEELLNEGLVGEENDNPVVEDDMNVLSEKMGYLNSNGPTAGE >OGLUM07G04490.1 pep chromosome:ALNU02000000:7:4067184:4068884:1 gene:OGLUM07G04490 transcript:OGLUM07G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATMALSSSFAAAAAGSAPWRGVVAAGRAAVGFPPRRRAAALVVRAQAEPEVEPTKEEAATSSSPTPTPSPAAAAPRAKPAASTGLWDVLAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLEQAGSGGGLAWFAATAAVLSAASLVPLLRGESAEARSGGVMSADAELWNGRFAMLGLVALAFTEFLTGSPLVNV >OGLUM07G04500.1 pep chromosome:ALNU02000000:7:4069513:4073153:1 gene:OGLUM07G04500 transcript:OGLUM07G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATMALSSSFAAVAAAAGGAPWRAAVRFPPRRRVALVVRAQAEPEVEPTKEETATSSSPIPSPAAAAAPKAKPAGLWDVLAFSGPAPERINGRLAMVGFVSALAN >OGLUM07G04510.1 pep chromosome:ALNU02000000:7:4075283:4080126:-1 gene:OGLUM07G04510 transcript:OGLUM07G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGG7] MGRTRWARKEKRTLDCAAPSLLLFFFLCFPLDAGGSLAVAAHQPPPRSPPRRRSLVSPHVRRCATGRPPSLRWGRGREAERQEAAAAAMRIEEVQSTTKKQRIATHTHIKGLGLDANGAAIGLAAGFVGQGAAREAAGLVVDMIRQKKMAGRALLLAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEEAESTTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVSVGDVIYIEANSGAVKRVGRCDAFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITEKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAFLGEIGQQTSLRHAIQLLSPASVVAKANGREKISKADLEEVSALYLDAKSSARLLQEQQERYIT >OGLUM07G04520.1 pep chromosome:ALNU02000000:7:4080221:4086748:1 gene:OGLUM07G04520 transcript:OGLUM07G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20740) TAIR;Acc:AT4G20740] MASPPPERAPAAEARRRRTTVYHGHRRPSPHRPTVRGGVFSDLRATTAASSQPRPPSSSSSSAPFRLADWDPSSSSSSSTPSPSATAAARRLSPLARFLLDALRRHQRWGPPVVAELTKLRRVAPELVAEVLSARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGKPVSHSQLNLLVRLHTGARRPLRALHALRRFRHEFSVQPEVHACNRVLGALAAAGHVDDTLKLFDEMSHCSVRPMPMTFAIMVRALARAGMTDKLLEMIGRMRAEVCRPDVFVYTALVKTMVRWGHMEGCIRVWEEMRRDHVDPDTMAYTTMIAGLCNAGMVEKAEELFGEMRRKGLLVDRMVYASLIDGYVSTGRVSDGCRVLKEMVDAGYRADLGIYNTLIGGLCEIEREDKAHKMFQIVVQEDLIPSSETVSPLLARYADKGEMVKFFGLVDKLVELSLPIVEILVDFLKLFACKSGNELKAVEVFNAFRRRGHYSVGIYNILIENLLKIKERKKALVLFEEMQSSDDCKPDSCFFFTTTPGELASLDEVKKPIQPTGRTSEIHLVQPGRLMA >OGLUM07G04530.1 pep chromosome:ALNU02000000:7:4099333:4105539:1 gene:OGLUM07G04530 transcript:OGLUM07G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63400) TAIR;Acc:AT3G63400] MREYHHSPTLALAAATARSPPHPLAAPRRRRTPLALGQPSPRAPHPLLRRRACRHHRREASVVVEDAMPKAKKNPHVFFDIAIGGRAAERITFELFADVVPKTTENFRALCTGERGLGVSTQKPLYFKGTNMHRILKGFMAQGGDFSRGDGRGGESIYGAKFKDENFKLKHDQPGVLSMANAGPDSNGSQFFITFVPTPHLDGKHVVFGKVVTGMPLLKKLEAVGSDTGKPTCEVKIVDCGEVSDSQNQLKGEKEKKLRRTEDSSAAEKRVKTQKPPTHDKQKKKRKHYSSDSYSSDYSDTQSSDSGSESESYSSSSLDTSSSSDHRHKRRKSSKKDKHRSAKGKSKHKKTKRKSRGTKRKSKRYRRSSDDSDSSKTGGSSSDSESEGRRTTRTKHSSKKDPDNTKTISLEKDSTLEDADKGKQTATLDNISNEGSKPSNTDGNGAGIRDDPGARARSSPIRADASLTKVDGNNGADTAEAGISRAEPVPTNGKDLAMGSTDNGQPQRVRKGRGFTQQYAFARRYRTPSPERSPVRSRYNDGRNDRWNHFNRYGRNGPYGARSPVRRYRGSPRASSPSRYPRRDRSRSRSRSPLRYRERGGYRRPSPRRSRSRSPAEHQRRDVRNRLRSGRDGGGPDHRNSSPPVNRGRSRSRSKSRDPSKSRSPDAPPAKKGSSKYNRRRSSSSRSSSPAGSKGLVSY >OGLUM07G04540.1 pep chromosome:ALNU02000000:7:4105914:4107918:-1 gene:OGLUM07G04540 transcript:OGLUM07G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63410) TAIR;Acc:AT3G63410] MKEMVSSSTFRAPGGLGFLGPSKIGLIPLRNRSGVRSRVKYIAPKCAVSSARPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPAELYHHGLKVVDVGGGTGFTTLGIVKHVDNENVTLLDQSPHQLEKARQKAALNGVNIIEGDAEDLPYPTDTFDRYVSAGSIEYWPDPQRGIREAYRVLKLGGVACLIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFQKAGFQDVKIKRIGPKWYRGVRRHGLIMGCSVTGVKRSSGDSPLQLGPKAEDVEKPVNPFTFIFRFVMGTICASYYVLVPIYMWMKDQIVPKDQPI >OGLUM07G04550.1 pep chromosome:ALNU02000000:7:4108522:4111537:-1 gene:OGLUM07G04550 transcript:OGLUM07G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPPVAGDTFAGAPPPPSQEEDAPPYGSVVLGGTFDRLHDGHRRLLKASADLARDRIVVGVCTGPMLAKKEYAELIEPVEKRMKAVEDYIKSVKPELVVQVEPIEDPYGPSIIDDKLDAIIVSKETLNGGFAVNRKREEKGLPLLKVEVVDLLSGGAEGEKLSSSALRKLEAEKANQQEGAASKDCYGQLL >OGLUM07G04560.1 pep chromosome:ALNU02000000:7:4115162:4116758:-1 gene:OGLUM07G04560 transcript:OGLUM07G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKGTRLHNFRDSNLNFAAEGMPAVKKDDVRTHRPVGMRKGRWVLGDITEVLDRNSWRLGKIAKVLKDDYFVIRVTGCMQMREFHISCLRFPHAYHGKQSAVIDKVREQSEKQTQHVDQTFHHSKMVMEEDHHSNEANDHITKRHKAINLCPSSSARNVKKKLELTRMPPDDSIPGASKKRRVDAHEVHRQTRKPQPLKVSAKNDIHRDLFCRPSSERYNDLAKNNLTKRKPDSIVRPPSQMPLQVREENECSVASCSVNFSEHSMNTDTQSVGVRNSFPDDAMSSCPSMLRQESDNVHGCDFKMDVHELELQAYQSTVRAFYALGPLTWEQESLLTNLRLSLNITNEEHLLQLRHLLSS >OGLUM07G04570.1 pep chromosome:ALNU02000000:7:4120230:4120466:1 gene:OGLUM07G04570 transcript:OGLUM07G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALGRDTAIYGSGHRGGYGFHRHYRDGWRGDHHGGHGQEHIISHIGFLPPPPPPHYPLSEFLPPPYFGAYHEPTIG >OGLUM07G04580.1 pep chromosome:ALNU02000000:7:4129351:4131217:1 gene:OGLUM07G04580 transcript:OGLUM07G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVFTQSALLCVFCFLVFNSWRLLSYNGRRAYGSIPSDDAIKYYGLEATVDIYGFNLEHGQQTGGFIWIYNTDEASAVNKVIAGWNVEPESYNDSQTHFSTWFIEGSNVCPDMRCPGFESVFSSEIVPGMVISPVSTTSGKKKYITVRVSKDQNSGDWQIYYGFNGDAKLAGYYPRSLFTSLSDKPVTILFGGYALRKDQKPSPPMGSGNAPFKNAASFRSIKFFDAGGNAHPIDFRLGFISNCYTISVIENDGFFYGGPGNIC >OGLUM07G04590.1 pep chromosome:ALNU02000000:7:4142765:4144979:-1 gene:OGLUM07G04590 transcript:OGLUM07G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLRRPPPPQNWIQLQIVEEEEVRTPFWIPPPTHPLPDPDPPYPEWILLHGKAYLHHLTNATTAAASTKDGHRIHVTFWSAPPPSLSYFTVHCPDLNHEKFAHMPRIIATDGSLALIRVTICPMYFSEEAKFNEYFIYDAAKASPPSLEPLPSPSSCREVFPDRRVGLMRRSDGGFFVAALNQNKPYQRLSSSSGKHNLILHLYDSTNGEWEIKVMDIVDSVASSAAFTFASKVINIGGRSGSMGWVDLWKGILIYDMFVGNNVLRYIPLPLPPPWVHRVLLKGCAVAVRDVVAVNGSINYFEMYPHFSHGWIARTSTWKMDSCSSSNWQDRWNFKASELRMDNPLHLKLLHDLQGDEAEDQSPLLNLHAGHPALSLQHDDGDVVYILLRAVCMDEKGCLLVIDMRNKTVREVVDCSGARTGGFRDVYRQSRISKHLYRNKPTPAKVLCVELHKQSSNNPWLTAVTHGRKRSQGEHVMAGHDQCVWKETLDMRGCALVSEIFKRSEA >OGLUM07G04610.1 pep chromosome:ALNU02000000:7:4150706:4157621:-1 gene:OGLUM07G04610 transcript:OGLUM07G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHPIQRLTLPHVSPSSPPRTPSPHARNHAAQRRSLAPSPPGGNRRRSSSAVRTTIAPRAPPYREKSPRRRPPSSPPPIALHRAPIAATPSLSLRSPPQSIPSRDSSSSTPTPNPPPPTPPPPPSTPTPVAVWRTAASLSPSDLEFFSPLPLRRLPAAAASTDIADPVSRRCPSTAEVSSDSVSTGITAAAAAVPPPPKSSRIAGLLQQTALATSSSASASTAADTEPRRQKWINLEKNKFELKRMVEEDKLLRTDTSAMCIEEQEYYQNS >OGLUM07G04620.1 pep chromosome:ALNU02000000:7:4157396:4160824:1 gene:OGLUM07G04620 transcript:OGLUM07G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAGAAIFRGTEGRAARWWCGLRRRSGVDCRRAVRGRESGAGRRDFGREEMGCGEGRRGRRGINHLWCEHIGKQGRELPLLHDGVRRR >OGLUM07G04630.1 pep chromosome:ALNU02000000:7:4158125:4160803:-1 gene:OGLUM07G04630 transcript:OGLUM07G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQERELPPLLPYVFTPQYEGGRRPSIRNTFTHQHPGMSEDQASIANYQEKGSSFQIRWIGDTIEPIPDIITNAMAEA >OGLUM07G04640.1 pep chromosome:ALNU02000000:7:4160833:4162356:-1 gene:OGLUM07G04640 transcript:OGLUM07G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACEHVRRVARSRDHRHGVLGYTPKRLNLLCPSLRRRPRPRFLRLIRRRSTYADVAASSSSSRSSSTSAPPAPEADPPTRRRAVLCRLSPQARQQWHRSTSSLDVAMNSVAVLGDGEGEIMCIATSVFACLLIRRPPLSPSRGTEGILDGGHWPCSKGRD >OGLUM07G04650.1 pep chromosome:ALNU02000000:7:4162431:4166896:-1 gene:OGLUM07G04650 transcript:OGLUM07G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEGCKRATTKKANLHNYRNVPHEQGYSIILKNLPRHATIEMVQKNFKRFGAIRPGGINITTPKHGSFSIGIIEYESHQSVQAALEEYQRSDRPLDIQRRGDKKKYYLSEAMVMMDRGSPLFSVLFSHLQQTDKYLAMDICSCKRLMPVLRSVAVDFVQQVLLKGSKSVQLSTDEQAVLDSLDLSFTDMPMRLESLHDFLKNRSLEKVEVHLQNMVLAATPRGCLGFQGILGHIIKNHLTGLSWNGQFELGDIVVCNGDEFIITKTPQRFELVEDIPEETAKAFQADLTQICNCLTYYFSIDGMMPPYFPELFSMLLNIPEYACVSKTMLEIVYEFITHNPATKPPIAVANLFSGIHGGCGAYDDDDTTQFFRTVLQDAEVGWIDDVEEYGNKVLNGVLRYEEERRKKLARHGQIEEIETLPMVSYCDSLESFVQFVRHVFQHGTNKTKEYNSHRWIIKADGSSEPLEKFEPRLQQVRSLDELIMMIAISLGKHVLKVIYELLMNFAMKGMIESVWKDYKRSSYQKSVDDDGCDQ >OGLUM07G04660.1 pep chromosome:ALNU02000000:7:4170239:4175977:-1 gene:OGLUM07G04660 transcript:OGLUM07G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTRPCVPGHLATAAAASPPFPPPPPPPSSPSLPLPSALMPPKKRRLFTPAPRHAATPPPPPPPPPTLPIPPASTPPTPPQPSASTEPSTTPPPAVDDAAARSSSSSSPAAAAAARKVRKVVKKVIVKKVVPKGTFAARKAAAAAVAAAAAVGGAAASSEAGGEAPTDDPPSDQDGGVGNEQKLDESKPATDCNAVAVVEESVCKEEEEEEEEEVALVVGKGVEKEEEAGMSERRKKMTMEVFVGGLHRDAKEDDVRAVFAKAGEITEVRMIMNPLAGKNKGYCFVRYRHAAQAKKAIAEFGNVKICGKLCRAAVPVGNDRIFLGNINKKWKKEDVIKQLKKIGIENIDSVTLKSDSNNPVCNRGFAFLELETSRDARMAYKKLSQKNAFGKGLNIRVAWAEPLNDTDEKDMQVKSIFVDGIPTSWDHAQLKEIFKKHGKIESVVLSRDMPSAKRRDFAFINYITREAAISCLESFDKEEFSKNGSKVNIKVSLAKPAQQSKQTKEDHKCSISGEGKMKTSKIRYPVQDYTHIYSGEKRPFSTLGDPYYPLRGHSCRRQEVSTYTTAASSYGVLPPATAESSLPHYHDSNRYPPHLASYYGLTGTPISSQMRQSSSRQLAQSYRSRHSKNFNT >OGLUM07G04670.1 pep chromosome:ALNU02000000:7:4179959:4182785:1 gene:OGLUM07G04670 transcript:OGLUM07G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQTRPLVSVKALEGDMATDNSSSLALAEVFRAPLRPDVVRFVHRLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKTWRKWHRRVNVHLRRVAVASALAATSVPSLVLARGHRIETVPELPLVISDSAESIEKTSQAIKILKQVGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDAPSLKKKGFILPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNVAAVLKLNPYFGTARKMATLAEAARIKARKEKLDSKRTKLSPEEAAKVKAAGKAWYKTMISDSDYAEFDNFSKWLGVTQ >OGLUM07G04680.1 pep chromosome:ALNU02000000:7:4182967:4187863:1 gene:OGLUM07G04680 transcript:OGLUM07G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G22960) TAIR;Acc:AT3G22960] MAATATAAAAHTLLHLAAPRKPSAGPPLPPTTLRLPGRRLARLTASCSSGSGNNSAADFPNPNGILVAPPSAAAAAAAASSHIDVDVATEADLRENGFRSTRRTKLVCTVGPATCGADELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNEEKGFAVAVMMDTEGSEIHMGDLGGAAAAKAEDGEIWTFSVRSFEAPPPERTIHVNYEGFAEDVRVGDELLVDGGMARFEVVEKLGPDVKCCCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGISEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQIPLEQVPSVQQKIVKLCRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEELELKDVSSSFSDKISEEICISAAKMANKLEVDAVFVYTNTGHMASLLSRCRPDCPIFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >OGLUM07G04690.1 pep chromosome:ALNU02000000:7:4198564:4201937:1 gene:OGLUM07G04690 transcript:OGLUM07G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSIGKPDDGQSLLANVEASDDHAAFDAMATSSRRPPSLRPLRHPNVASLAPKVMSISLPATPTGFAAPVAGVSDSSGIDLRRQAMASNMTQRLQQRSPTSQSNNGRLTDETTAFQSPPPTPGGGRSSMSRDKRYDSFKTWSGRLERQISHLAGIGPDIPSPAGQVVDAAVDSHHHSHTVSTPEVGRFFAALEGPELDQLRSEEELVLPVDRTWPFLLRFPVSAFGICLGMGSQAILWKRIAESPPTTRYLHVAADVNLVLWWLSVALTCAISAVYACKVVFFFEAVRREYLHPVRVNFFFAPLIACLFLAIGVPRAVAASTAALPAWLWYALMAPMLCLELKIYGQWMSSGQRRLSMVANPSNHLSVVGNFVGALLGASMGIREGAVFFFAVGVAHYVVLFVTLYQRLPTNEALPRELHPVFFLFVATPSVASVAWAAIAGEFALGARLAYFVAMFLYASLAARAVSLFGGVRFSLAWWAYTFPMTSAAAATIRYAAEVEDTRLARALCVALAAAATLTV >OGLUM07G04700.1 pep chromosome:ALNU02000000:7:4207894:4208400:-1 gene:OGLUM07G04700 transcript:OGLUM07G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEYKLVVLVFMAPWSEPWKLMKLTVERMASGLKSEEAEVGMLNVDRFKILGRLLRVEALPTFVLVKKRRAVARVVGVNREDLQSSINKHLTPSSSR >OGLUM07G04710.1 pep chromosome:ALNU02000000:7:4209225:4211383:-1 gene:OGLUM07G04710 transcript:OGLUM07G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSGAAVWWEEWQLRILVLASLGIQWLLLLAAPMRRFTIPVWFRTCIVAATPWRSTRWPATLFNRHGGNAASSRDDGWSSNSKASVVEVLWAPVLLIHLGGQRELAAYRIEDSGLWMRHAVTVVTIALYAFYKSWHRHSYGDRRLLASAILLFVIGVLSFTEKPWALRRTSRINRLAAVSSSMVQTTSKKKGASKWRYCFTELKMDKSDILHAPPGVDDTTDVVERSQQHILTDREKVLLILSDMSLLATDSHLKQEQRQRRSSEEAERDWLTLSPRAEMGSKRWLRIAFGLIYTRANVAIPGLPHILLVPFLHITAIALFAASSKRHHNAIDLKTTYVLLCLTAALDILAEFIRQLLFKLMSQRYAYARQSPSTTTSSALHRMAQPAGVLLKCAAHVSYKDGFFVCQRRNLYHILAGLIFSNLVEVNARGLDLTSYRSFAPGSRNWVLDENLRKACGEEVQDSLSGSFDRSVILWHIATDRRMKTDNRIDDINRKFLECTQAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQPHSLQMLKKDMLAEKITDKARAKVNPSIDDKHAPQYPLVHDACKLAEELFDKMDRITRCQVMYRTGYLHAKRLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPKSEPSFPDQGEPTATVASTNDDGEDLSFLFAGNPSFFLLD >OGLUM07G04720.1 pep chromosome:ALNU02000000:7:4218173:4219313:1 gene:OGLUM07G04720 transcript:OGLUM07G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNRSTPTLSPSPSRIRRADATDAEDATAAGRPYIKCNNWGDVRIVKCDRALDDWLRDDFPNHQLCKVLGPDRALFLAAPLRLGTSLDGEDNQLMVNSYHHQGVQAAAGGAVRADGVLLRMHAILPPRRRPPATSTPIAAAVEWPGSGS >OGLUM07G04730.1 pep chromosome:ALNU02000000:7:4234260:4236938:1 gene:OGLUM07G04730 transcript:OGLUM07G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLPCAMETRPPPAAAAAPAPARVSRFRRLLVRVSAAPERAGGGGGGEVREKEEKAAEMEVGSVGLDRMVLSFMEDSAPAAVERPPRGRCGSCFNGGGDGSDDEEFDFLPSGSSATAAASAAAAAGDALDALKGLVQSASMAERNLLADASRIAERCRKGGKKKADVRCAVADGLAALGYDAAVCKSRWDKTPSYPAGEHEYIDAVVAAETRLVVEVDFRSEFEVARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLRKKGLHVPPWRKPEYMRAKWLSPQVLRCSDKPPPPPPSPPPTPVSLSSFSGEFELRFDAKTPPNLSATAAGDDNDNDDEVEAKKITMVVSPSPWRPVEPEAASKKRSPPPPPRRPEGKVVTGLAAVL >OGLUM07G04740.1 pep chromosome:ALNU02000000:7:4242583:4250021:1 gene:OGLUM07G04740 transcript:OGLUM07G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRSRASAVAVLWMLAAVVAAAALDPDQDELERAFPIVEPDHGHTKLRLAKEGLEAIKRIETPIAAVAVIGPYRSGKSFLLNQLLSLTCNKGIWVWGTPIELDVNGSKVSVLYLDTEGFESIGKSNVYDDRIFALATVLSSILIYNLPETIREADISRLSFAVEIAEEFYGRGKMLLLSQQNYCGLSRGISSKENLSSKWLMKLSNGCLTTMVNRIRDSLAFMGDNSTAFSLPQPHLQRTKLCDMDDQELDPLYIERRDELKQIVTSMIKPKLLQGRTLNGKEFVSFLRQILEALNKGEIPSTGSLVEVFNKAILERCLKLYNERMERVGLPVSVDKLQLIHNLAEDEARKLFDKQHFGKHHTTRSILKLDEEMRKVFGNFGFANEYQSSKLCEAKFSECEDKMEHLQSLKLPSMAKFNAGFLRCNQSFEMECVGPAKESYERRMSKMLARSRALFIKEYNNKLFNWLVTFSLVMIVIARFVIKFFLLEVAAWVIFIFLETYTRLFWSSELLYYNPIWHMIVSSWETIVYNPMGDPNCCCAIIFSCLLALPRCQETNRKIIASFVQRFLRKLQSPKNRLIQV >OGLUM07G04750.1 pep chromosome:ALNU02000000:7:4248878:4249965:-1 gene:OGLUM07G04750 transcript:OGLUM07G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLREYVVYPPEGPRPPPPEELRERAREIARKRQQQ >OGLUM07G04760.1 pep chromosome:ALNU02000000:7:4252287:4256283:-1 gene:OGLUM07G04760 transcript:OGLUM07G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVFAVDEIPDPLWAPPPPVQPAAAAGVDDVGAVSGGGLLERCPSGWNLERFLEELDGVPAPAASPDGAAIYPSPMPAAARGSRGYGDREAVGVMPMPAAALPAAPASAAMDPVEYNAMLKRKLDEDLATVAMWRASGAIHSESPLGNKTSLSIVGSILSSQKCIEGNGILVQTKLSPGPNGGSGPYVNQNTDAHAKQATSGSSREPSPSEDDDMEGDAEAMGNMILDEEDKVKKRKESNRESARRSRSRKAARLKDLEEQVSLLRVENSSLLRRLADANQKYSAAAIDNRVLMADIEALRAKVRMAEESVKMVTGARQLHQAIPDMQSPLNVNSDASVPIQNNNPMNYFSNANNAGVNSFMHQVSPAFQIVDSVEKIDPTDPVQLQQQQMASLQHLQNGACGGGASSNGYTAWGSSLMDASELVNMELQ >OGLUM07G04770.1 pep chromosome:ALNU02000000:7:4260482:4263361:-1 gene:OGLUM07G04770 transcript:OGLUM07G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALKASTASAAAASTASASASSLSVAAAAPGRRGGAAGRVSFRGVPAPMVAIRAEAAAVGEDERVISGTFAKLKEQGKTAFIPFITAGDPDLATTAKALKILDACGSDLIELGVPYSDPLADGPVIQASATRALSKGTTFEDVISMVKEVIPELSCPVALFTYYNPILKRGIANFMTVVKEAGVHGLVVPDVPLEETNILRSEAAKNNLELVLLTTPTTPTERMEKITKASEGFIYLVSTVGVTGARANVSGKVQSLLQDIKQVTDKAVAVGFGISTPEHVKQIAGWGADGVIIGSAMVRQLGEAASPEEGLKKLEELAKSLKAALP >OGLUM07G04780.1 pep chromosome:ALNU02000000:7:4263467:4264055:-1 gene:OGLUM07G04780 transcript:OGLUM07G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKETKEHTRHGLPKRLGEIKKRDEKIGIWGYGTISPMRSTPLSLTLWAHQEAPVRLGHPTHTNSSSSY >OGLUM07G04790.1 pep chromosome:ALNU02000000:7:4267119:4272459:1 gene:OGLUM07G04790 transcript:OGLUM07G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQPPTGGAEDDFFDHFFSIPSAAAAGAGGVGGLGSGDHHPFPLALSLDAEGAGAARRLLDGGHDGGRTDRDPVQLAGLFAPVFGAAAGVQPPHLRAPPPPQVFHAQPKPGEGAMAAPQPQQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQDLVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPISVKGEASHSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGHSVKPEPNTPS >OGLUM07G04800.1 pep chromosome:ALNU02000000:7:4278899:4283777:-1 gene:OGLUM07G04800 transcript:OGLUM07G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISWTVMESVKNITDSDGIGVYHLYSCTTNSALDVPRMELFRNTMNTKIYYSDQKWQSFTGIMSPGVLCLDQSGLQFPVPPEAEHAAFLGLGPAASPLAIGTDDAGERRRGGGGGPPCLRVAERAAARTKESGAREARGGRTPALRRLGGRRRRRLARAMRLRKWFVMDKNSYMLDLYKHQTHNISDKPTYKPVTVDLLPMTGVEFALVIGESPVMGCNATGQRGIVLPITGLPWQKSYIEKHNSSSLREMHLFWVQGASSSLRELHLFWFKHSSTSAVAALQTCSNHVGMRASSEHKEDGSSPGSQAGHDARRSTGRCYVWRRRPCAWKEIRMIDEQLLVYYLVSTCSMCGQLALCIHDFTFLTPALYRGLEASNSTTLDIDDQQPRFTYVDWLGFAALY >OGLUM07G04810.1 pep chromosome:ALNU02000000:7:4285547:4286417:-1 gene:OGLUM07G04810 transcript:OGLUM07G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGJ6] MASSSSLRSTSCLASAAETDADNLCLRLGPPGSSITTTTTTGGADPAAKRSLGAKRSLESTDSMASGTCTSAAGDEHDDDTAAPAKAQVVGWPPVRAYRRNTFHQAAAAAAAAAATKKGGDEKRKQQQQGGGLYVKVSMDGAPYLRKVDLKMCKGYRELREALDLLFTKCFSATASDGCSDGQFAIAYEDKDGDLMLVGDVPWEMFISSCKKLRIMKGSEAR >OGLUM07G04830.1 pep chromosome:ALNU02000000:7:4310063:4310699:-1 gene:OGLUM07G04830 transcript:OGLUM07G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSCARKKNARNANGRRGHILILPVFSSKTGDPTCVFIQDWFLGGEEICSGRGGCRDVTRISLSDNTYRVIRTPTEDPGDGQFYLGKSSKGIYCASLPSFLRPQLQTEWVLKHDMDISHVLLNLNYDEQQRDGPWALQHYYSLL >OGLUM07G04840.1 pep chromosome:ALNU02000000:7:4310831:4311271:1 gene:OGLUM07G04840 transcript:OGLUM07G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARCSRGGRRRRRPWWGGRGTGCEPRVVAVEEDAAQREREEVRAQEAAGVDDARGATPCRRSGTLREATRREAAEDGREHVVRQRRRHIHRLSTSSSLDYRGKLGNSFSPMQLIWAQPLKPREVVVVRCQVVSLPINGPAH >OGLUM07G04850.1 pep chromosome:ALNU02000000:7:4319641:4325988:1 gene:OGLUM07G04850 transcript:OGLUM07G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosine-specific methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGJ9] MTGKKRCRAKPQKKDEDTTDKGKLDEGPLDATKEMNGVGKGDNRAACKRPRRAAACSDFKEKSVRLSDKSSVVATNGNKMEEEEMDAVKLTKLGPEVQRPCRKLIDFILHDADGKLQPFEMSEIDDFFITALIMPMDDDLEKDRQKGVRCEGFGRIEDWAISGYDEGTAVVWVSTEVADYECVKPAGNYKSYYDHFYEKAQVCVEVYRKLARSVGGNPNLGLEELLASVVRSINAIKGYSGTLSKDFVISNGEFVYNQLIGLDETANTDDEKFATLPVLLALRDGCKSRVEVSKLQPNISNGSLKINDAECKEVSEDDDEKLARLLQQEEEWKMMKQRGKRGTTSQKNVYIKISEAEIANDYPLPAYYKPSSQEMDEYIFDSEDSFYSDVPVRILNNWALYNADSRLIPLELIPMKAGAENDIVVFGSGFMREDDGSCCSTAESAKLSSSSSSNHQDAGVSIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLSVSIITLLKEQSRASKLSFADVIKKVAEFDKGSPAFVSSNVALVERYIVVHGQIILQQFSDFPDETIRRSAFATGLLMKMEQRRHTKLVMKKKVQVMRGENLNPSATMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKDADANEAKEIDDELEENEDEDAEEEAQIEEENVSKTPPSTRSRKLVSQTCKEIRWEGEAIGKTPSGEALYKCAYVRELRINVGRTVALEDDSGELVMCFVEYMFQKLNGAKMVHGRLLQKGSETALGNAANERDLFLTNECLEFELEDIKELMSVNLQSLPWGHKYRKENAEADRIERAKAEDRKKKGLPMEYLCKSLYWPEKGAFFSLPHDKLGLGNGFCSSCQQKEPDCDELQILSKNSFIYRNITYNVNDYLYIRPEFFSQEEDRATFKGGRNVGLKPYVVCHLLDVHEPAGSRKIHPASTKISVRRFYRPDDISSAKAYVSDIREIFLLTLASILFLDQVYYSENIVKVPVDMIEGKCEVKKKIDISNSDVPVMVEHEFFCEHFYDPATGALKQLPPNVKLMSVQQKATGALKKNKGKQICESDQVDSDKCTKVSKENRLATLDIFAGCGGLSEGLQQAGVSFTKWAIEYEEPAGEAFTKNHPEAAVFVDNCNVILKAIMDKCGDADDCISTSEAAEQAAKFSQDNIMNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLTVASLLEMGYQVRFGILEAGTFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKINLPDGKYYAAAKSTAGGAPFRAITVRDTIGDLPKVENGASKLLLEYGGEPISWFQKKIRGNTIALNDHISKEMNELNLIRCQRIPKRPGCDWHDLPDEKRNVNRYERVQVKLSSGQLVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPDQDRIITVRECARSQGFPDNYQFAGNIQSKHRQIGNAVPPPLAFALGRKLKEAVDAKRQ >OGLUM07G04860.1 pep chromosome:ALNU02000000:7:4330240:4331615:1 gene:OGLUM07G04860 transcript:OGLUM07G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPSTTFHFQNLPAPVRRAFHQPRSPPSPMAAEPADLYVEVEESARDDDVDVDGRSRVPVPELPPCPVLRNVFRRLPRNPDFDGATFLSKPWLELPCDGPMVIAEPQRLMPALLLPEGMALDPASRAFLSLADGRRHDIAFPHARGARCVGSTRGWLVTEGPEGVAGAAGTATIHVVHPLLPHLEFRLPDEFSLFEIQVAAPEERFLLRLTPSEKARIRAGLPVEETGAELLQRVFKTAEGLRPPEPYITDVTLSCSPASSDDDCVALCVYRHGRCLAIARPGDASWTRVEVGWEYMEPHEYRREFLSVVHHKGSFYAACYDGMVLRVSIPPPGSASPPRVDKFADAPRRESIRWARWWLAVDTASSSAGGGALVLVATERRWWKQKMYMCAFRWDDELRFWRRSKDLGGRAVFVGRGTAFVADARHLPWWPKVAMAPPVWVMPFHE >OGLUM07G04870.1 pep chromosome:ALNU02000000:7:4334426:4337091:-1 gene:OGLUM07G04870 transcript:OGLUM07G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGK1] MAQPPDAAAAAVPPPVVIDRDVWHACAVPYSGVLPGVGTLVYYIPHGHIEQCAEDPALLLSRLPDPIHPVPCTVADLVLDVDAESGEAYATISLLPGSHDDTTARRQVPAHGEPGFRFFEKQLSPADVTSNALVLPAGAEHGLPPLDIAAYQTARLFDVRDLRGKRFEFVHIWDKKRCRYMLGDLGVNDNDGWRGFVKAKRLATRDTVVFMRRGGGDGDGDGELLVGVRRAPRARGGHHPRPGVEDNKVVSEVWLAMQGVTPFEVTYYPREGTFEFVVSRDEYIGFSFSPFYPFVPGTTVHLRMNPLQIAQSISGTVRTFDHLRPWRMLEVDWDQAASPISYRIHRQVNSWQVLRQPQPAATTSAVRIRDAIVATPQVQIMAPPRPPPPTTTTGMVPVIDHDIWLACATPYSGRLPVVGSAVYYFPQGHAEQCHTCTTCLIPDNRHRLRCTSDDSYAMISLFPGDCYVTHRPLPAARDPVGGQREFCFFDKKLSPSDVAANGGGSGALFVIPKPSAAEHVLPRIPDLRVTDLQGGRWEFGHTWSDADTDRRSSSHTLAAGWSAFVKAKRLCVGDTVIFMRRRPGGEPLVGVRRKPHGGMPVGIPDKHVADAWLDASSAQPFRVTYCPWQGTAEFVVRREEVDGSPPLAPGTRVRLLMNPDDTRRRSQPPVYGTVRDVDSRSEWRMLEVDWDRDSPLAPTMNRRVNSWQVQPVQLALPPQGSDEEAAAATTSTAHAGDATTSAPSLALQVQTMASSSSSSAPIIPSRGSAFRIVNPRDGSQG >OGLUM07G04880.1 pep chromosome:ALNU02000000:7:4337660:4339431:1 gene:OGLUM07G04880 transcript:OGLUM07G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPPPPLADDGDGIVDRAMWLACAAPNSGRLPAVGSVVFYFVDGHAAQFCQFPAPLLEQLAVPGPRVFLCTVAGVRLRADALTNEAYADITLDPVADNDVPHLAPAPAPAPAAAAGGQQLRYFVKTLMISDFDFRIRFSAPMADAKGVFPPLVDAKAVQPLLVKDLQGSPMTFDYGRKGKRVTLAKVWKKFRDDMDFVDGDSVIFMRRRDDGDDDGELYVGVRRQRTLERPLRNTMRRSRSPTPPQAAVQEAVLAAAGQAAAGERFRVAYRSRQDGDEFVVPREAVDEGLRARLTSLVEVEFVWAVEDGAPPIVGPRGKVTAIATGQLWRNLEIVWDGNSEMDMSANFWQVRPVEEVDISPSTPPKRLKNCEIDDTASSSVSVDNGDEQVPTMRQRLEALFPDNI >OGLUM07G04890.1 pep chromosome:ALNU02000000:7:4342567:4343131:-1 gene:OGLUM07G04890 transcript:OGLUM07G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTATVDGSGRWCLRQRHCLRPRCRNICFRTMLKRRLVDGKRGGRRGDVYDSEAVTTATATSIFGSVAESSSYRGRSGQTWCCLGSTLHLRRFVFLLSLAE >OGLUM07G04900.1 pep chromosome:ALNU02000000:7:4362734:4364058:1 gene:OGLUM07G04900 transcript:OGLUM07G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAAKASDLYCEEPDRDDVGLAVILPDTWELPRRRVLRNPRRWALPRHHLPPRGWLVMLREGPEGVVGAAATATVHVVHPLLPHLEFRLPDEFSLFEIHAAADLEEHVVRLPLSKEARLRSGLPLAERLQRVYKPDEKNYPYITMVALSCSPAGSDDDDCVALCVYRCGRCLAIARPGDASWARVEVGWEYMEPTEYNRKFVSVVHLNGSFYAACYDGTVLRVTIPPAGSSASTLPRVEKFADRPYRSKWSMWRSRWWLAADGAGSLVFIGTERCLNPWDDERYLSVFRWDDELRFWRRPKSFGGRALFLSAGTAFFADARILPWCAGDCIYLTDDESVVTGENVTVRCYDMRSRKLYFVEDAGAKVALAPPVWVMPFHE >OGLUM07G04910.1 pep chromosome:ALNU02000000:7:4364905:4366657:-1 gene:OGLUM07G04910 transcript:OGLUM07G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEVETEVAAGAQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIVGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OGLUM07G04920.1 pep chromosome:ALNU02000000:7:4372723:4373094:1 gene:OGLUM07G04920 transcript:OGLUM07G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRNSTDRFFIVNLQPPLAFVRHFAASPTVVQQELNGWVLAARRMEDLAWAQITFQFVPYIKNLEIKTTVTPRCASETFWDSNRMQTHH >OGLUM07G04930.1 pep chromosome:ALNU02000000:7:4378642:4380177:1 gene:OGLUM07G04930 transcript:OGLUM07G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEVVPEVPVAEVEAAAAEEAVEETTAAEEKTAKPAKEKKKAGRPPKEKKEAKPAKEKKVKEAKAKKPRVAAAHPPYAEMIMEAIVALKERTGSSSQAIGKHIHANHGANLPPNFRKLLSGNLKKLTAAGKLAKVKNSFKLPSTRPAAPSAAAADAKPKAKAKTAAAATTKAAKPAVKAKAPTKAAKPATKTKTKVAAAPAAKPKASPKAKAKSATSPVKPRGRPAKSAKTSAKDSPAKKAAAKKKATAAAATKKKAVAAAAPARKGVARKTK >OGLUM07G04940.1 pep chromosome:ALNU02000000:7:4380333:4392046:1 gene:OGLUM07G04940 transcript:OGLUM07G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KU70 homolog [Source:Projected from Arabidopsis thaliana (AT1G16970) TAIR;Acc:AT1G16970] MDLDPEGLFRDDSDEDDDNVQEREANKEMVVYLIDASPKMFTPATKADEKEETHFHTIVNCITHALKTQIIGRSYDEVAICFFNTKEKKNLQELAGVYVYNVTEREPLDRPDARLIKEFSCIEDSFMSNIGSRYGITSGSRENTLYNALWVAQALLRKGSVKTVSKRIVIFTNEDDPFGGLTGAVKTDMIRTTIQRARDAQDLGLSIELLPLSRPDEEFNMLEDMTNQLKKRMMKKRKVKTLAFAITNDVCIEVNTYALIRPTTPGAITWLDSISNLPLKAERSFICNDTGALLQDPQKRFQVYNDKIVKFSTRELSDVKRVSSHHLRLLGFKPLDYLKDYHNLRPSTFIYPSDESLLKSRVHVRFTSFCLMQQIFGSTRVFVALHSSMRRLGREYISLSHRLKWFVSLAPFSELFALAFYGNPTRPQLVALIAQEEVTSAGGQIEPPGMHMIYLPYSDDVRYPEEVHLTSDDAPRATDEQIKKASNLLRRIDLKNFSVCQFSNPALQRHYGILEALALGEDEMPDVKDETLPDEEGLARPGVVKAVEEFKASVYGENYDQEEAEAAAAKAGASKKRKALTDAAAEKSAAHNWAELADTGKLKDMTVVDLKSYLSAHGLPVSGKKEALVSRILTHLGK >OGLUM07G04950.1 pep chromosome:ALNU02000000:7:4381120:4392642:-1 gene:OGLUM07G04950 transcript:OGLUM07G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAATMIMIMPYGDRNWEAMCTQIQIHGFVELGIPSSKKSWIRSCAKEGLKIEVKKAEGGKVMCYPLRSNACATQRALYKFTIV >OGLUM07G04960.1 pep chromosome:ALNU02000000:7:4392755:4394968:-1 gene:OGLUM07G04960 transcript:OGLUM07G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGGGGGGELERLYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGAGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVDGDDGDNWLRDIHMLFDEDEDEDDDADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAITVAAEMVAELDITDHEVTRIARLIDGKVAALVPGWRPGPATDDDDDDDDLRHGCRRCAELHGRFEEITFQADDDEEVQHLQGSSSDTGGSNHEPNAMGKDKEIMNINGIAQDCTVQGSEQP >OGLUM07G04960.2 pep chromosome:ALNU02000000:7:4392755:4394968:-1 gene:OGLUM07G04960 transcript:OGLUM07G04960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGGGGGGELERLYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGAGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVDGDDGDNWLRDIHMLFDEDEDEDDDADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAITVAAEMVAELDITDHEVTRIARLIDGKVAALVPGWRPGPATDDDDDDDDLVVDGDDPDAPGAAAAACCKNCRPASSSSSSCGSLVDFMSSAAVAAAAERHGCRRCAELHGRFEEITFQADDDEEVQHLQGSSSDTGGSNHEPNAMGKDKEIMNINGIAQDCTVQGSEQP >OGLUM07G04960.3 pep chromosome:ALNU02000000:7:4393989:4394968:-1 gene:OGLUM07G04960 transcript:OGLUM07G04960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGALQQQSNGHGHGVLLLAEAGYAEVDPTGRYGRFNEILGKGSSKIVYRGFDEWRGVEVAWNQVRLRDVVRGGGGGGGGELERLYGEVHLLAALRHRGIVRLHAYWVDAPRRALNFVTELFVSGTLRQYRERHRRVSAAAVRRWCAQILDGLAYLHAHSPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAFRRGAGGHARCVGTPEFMAPEVYDESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISV >OGLUM07G04960.4 pep chromosome:ALNU02000000:7:4392755:4393934:-1 gene:OGLUM07G04960 transcript:OGLUM07G04960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSDQGIKPAALYRVSDPVVRQFIERCLAPAARRPAARELLDDPFLLPLEDDGGFFSGDGGDGHGGVGVGYYNLMYNYLHQPACIDDHHACSNGGLSPSNSVDGDDGDNWLRDIHMLFDEDEDEDDDADADERVGGVDITIKGRRTDDGGVYLGLRIADKNGTGRGRIICFRFDTEADTAITVAAEMVAELDITDHEVTRIARLIDGKVAALVPGWRPGPATDDDDDDDDLVVDGDDPDAPGAAAAACCKNCRPASSSSSSCGSLVDFMSSAAVAAAAERHGCRRCAELHGRFEEITFQADDDEEVQHLQGSSSDTGGSNHEPNAMGKDKEIMNINGIAQDCTVQGSEQP >OGLUM07G04970.1 pep chromosome:ALNU02000000:7:4398222:4402074:-1 gene:OGLUM07G04970 transcript:OGLUM07G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWARGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTDNTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >OGLUM07G04970.2 pep chromosome:ALNU02000000:7:4398222:4402074:-1 gene:OGLUM07G04970 transcript:OGLUM07G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTDNTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >OGLUM07G04970.3 pep chromosome:ALNU02000000:7:4398220:4402074:-1 gene:OGLUM07G04970 transcript:OGLUM07G04970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWYEEAVGLLRRPAVAEMAVDVLLCAVPIWAAVMIGLVIGWAWRPRWTGLLYLGFRSRLRLLYVPPGLGARRLWLACTALSAFSVAPRLLSTAFGSRGKHQRKDAALADDDDAADASGDAGDCVDGRTFFEGGHHVVTEKDLEHLVQLLDNKESGDTTWQHLMERTTSNMTYKAWRREPEVGPIMYCSRTIFEDATPELVRDFFWDDEFRLKWDPMLAYFKILEEFPQNGTMIIHWIKKFPFFCSDREYIFGRRIWESGKTYYCVTKGVPYPALPKKEKPRRVELYFSSWRIRAVQSPKQDGQQSACEVTLVHYEDMGIPKDVAKVGVRHGMWGAVKKFQSGFRAYQQMRDTDNTLSRSAIMARVTTKTSIASSSCPLDQEPSNAAKTIDESENSRAVQPGFDWKWVVFGGAVAAVCVLNTGLVGKALLIGAASRRQAKK >OGLUM07G04980.1 pep chromosome:ALNU02000000:7:4407610:4408137:-1 gene:OGLUM07G04980 transcript:OGLUM07G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLTSPCLRPPPLLRRAFPSPATRLLPPQTLALRPLPLPRGLRSSPPPPRAAAEAAASAVGGLLAPLSTLEVGLRSVNLAPLRAPVAAAMSAVVRWLGVYREVLLVGVLFSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLIAFMAIDIIIMILRPQPRM >OGLUM07G04990.1 pep chromosome:ALNU02000000:7:4424943:4431352:1 gene:OGLUM07G04990 transcript:OGLUM07G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNENVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKHSDKSSTSLASMAKKSPSVTPRKPLQADSTSHSHEDDSYSVTSTVTSARTGKTKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVATKPRATKGVMKNVGKPGAANVAVQT >OGLUM07G04990.2 pep chromosome:ALNU02000000:7:4426026:4431352:1 gene:OGLUM07G04990 transcript:OGLUM07G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDESDCVVICPPNGKAGHTEVMSGRHDEDSSRGQETPSTIDSHMNENVQDGVPADQDVLKLVDQQKSSLPSSPINHGIAEQEESNHTVPQPFAPATEREDSGEGDCTPVPHPTSNGEKHSDKSSTSLASMAKKSPSVTPRKPLQADSTSHSHEDDSYSVTSTVTSARTGKTKKTTVPVAPTFICGNRLEKRGEFYTKLEEKRKALEEEKLEAEARKKEEQEEALKQLRKNLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKLTRRKSCSDTPHTPEGKNGSAACCRLHRHSIGNSKEVNSRTQCSPKSAPKTGVATKPRATKGVMKNVGKPGAANVAVQT >OGLUM07G05000.1 pep chromosome:ALNU02000000:7:4435301:4435543:1 gene:OGLUM07G05000 transcript:OGLUM07G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTAVVAAIVAVILLVFLVSGGMAARPMVNMEKTTAVPVVRKNGVVVESWTMESSSLPSGCTNGNGAGGYCRPPAPAGH >OGLUM07G05010.1 pep chromosome:ALNU02000000:7:4439032:4447262:1 gene:OGLUM07G05010 transcript:OGLUM07G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAELCDALAAAGFDGDGPLDLDSLEWPFLQGDDARRLLAWVSSRLRPANVLSATDLALYEQLELEGKLLEGEDLDFAFDSISAFSETGENQEDTFLTEESLEHIRDSKLTLRAEVSDLERQLASLEWQLDMLTAQATTITQGKKSRVSAKTNPNIQISRLDEKLAKRSLEQMNSLLGKLAATTQELSYYHSEADIGIYLSYSDFQSYIIQNLACIKELNRWFSKKFEKGPFQFVAKEDMSRGDYEGSHHLSVELKRINAISIRFARSKRQYIEAQAEYAKEEAILSTLRTQLASQQSLVHQDVHSLRRRNSEFAEELRDLSLQVKRCLSEIITSLCADLAQLEGANILQGDHNLKVLRQECYISQQKRFINYLVNQLAAHQFLKIACQIEGRAKISSAYSLLKAAAMELQGYFSVVDGRLDRYHLIGQAASVMLEEGSIDDRDTFLHAVRDILSTHSGAQAMTPSYVSAYGLVEQISDLQNELEYLHHELENVLPRERKRCIDELCRMIQTLEQILSVPFTYVQPTLTPWPVAQSLEELEIINQQVSACVNEVTMARDKKAKMLQQPSRNVQQERRVFVDFFCHPGRLENQVREMSSHVRALQE >OGLUM07G05020.1 pep chromosome:ALNU02000000:7:4451030:4453825:1 gene:OGLUM07G05020 transcript:OGLUM07G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHEERAVVAKENLPSPPLLAPPRRVAAEQGRGGDLHLASRAGERIMDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLETHKETCRQLDELKNLKNGSGNNTC >OGLUM07G05030.1 pep chromosome:ALNU02000000:7:4452130:4454720:-1 gene:OGLUM07G05030 transcript:OGLUM07G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQSIPSPPSIAEFDLLGEYGKDSWVLWYAKGDEQKYDTFLRELKLFHSRTQDTKLKLPKLRSKMLAANGKLEED >OGLUM07G05040.1 pep chromosome:ALNU02000000:7:4460566:4460865:-1 gene:OGLUM07G05040 transcript:OGLUM07G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLDGLLRGSAGRGRSGLLPDRRAYTAALAVARLQPARTLRLFDSLLHHLRRAPHDSAPHQNNSLPDTAALNASCADAGDCIRFRHLFDPDARLERAA >OGLUM07G05050.1 pep chromosome:ALNU02000000:7:4461692:4464830:-1 gene:OGLUM07G05050 transcript:OGLUM07G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGM5] MEVQPPVVDCDVWFACAAPYSGRLPAVGSRVYYFPHGHAEQCGVPLTPQFPYRHCFPCTVTQLVVSVDGADEIHATISLQPGDRDDVPHRRAVPAGCSPGPDQREFCFFEKQLSLSDVFALTLPESGANDVLPPLQRNLNAADQPLLFIKDLRGVRWKFRHVLSDHDGRHLLTTGWGEFVVAKRLVYGDSVVFMRRPDGELLLGVRRRGPDGSHPGPESSHATTAARLASRRWSLPFDVTYYPRVSTDEFVVRREVVDASPAAFAPGVPVRLLMNPDDTRRRTEMVFGTVRADDSLRAWRKLELAKRARGSGGGRSTATAGAGGLAIASTRPSR >OGLUM07G05060.1 pep chromosome:ALNU02000000:7:4465588:4484857:-1 gene:OGLUM07G05060 transcript:OGLUM07G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTPIVVVDGGAARRLSSFGNNTDTRRWNSLCMARFTSSIGKEKKARKKMVLAPTRQHYRGRLEPLVELMTMDLSNGDGSGWQWKRAARAWGKARYVVEAPIGEAEEGAGGRVKRHLLRKQQPRHEPVHGEREPWLGDEGGAVEVRVARQAAEDAAQEAARRRVGSSQASGRMTARPTSSSSSRYRSDAFATAGAIGEWSGFHHERRGVEESRVWNVVAALRGCALLPAVDVWPEAVVGGRGGGGRGPGGSGPGLPMFAEARSGRTRAPEHLSRDHRADGGRRPEYGVPQASQMSWLMMTGGGTVAAVALGSCAI >OGLUM07G05070.1 pep chromosome:ALNU02000000:7:4469622:4470947:1 gene:OGLUM07G05070 transcript:OGLUM07G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAKDVFPPLVDAKAVPSRISDDVRIWQEGQERQRVTLSKVWKKFRDIVWDGNSEMNMSVNFWQVRPAEKVDISPSTPPKRLKNCEIDTAATSVSVDNADEQVPTTRQRLEALFPDNI >OGLUM07G05080.1 pep chromosome:ALNU02000000:7:4471910:4474952:1 gene:OGLUM07G05080 transcript:OGLUM07G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAKASDLYREDDDDVGLAVILPDAWELPTRRRAASCAALPRNTDFDGASFVSKPWLALAVDGLMPGLLLPEEMALDPASRAFLSLADGRFHDIARLSPRPRGALRRELRRMARDAQGGRHRPCRPPSASPPRGCSACTSLTSRTTPTSPLSRSRAAPTTTTASTAAAAASPSLGPGTRVEVGWEYVEPDEYNRKFVGVVHLNGSFYAACYDGTVLRVTIPPAACRRPRRGWRSLRWWLAADDAGSLVFVGTESCLCPWDGDRYLSVFRWDDKLRFWHRIKSFGGRALFLSAGTAFFADARILPWCAGDCIYLTDDESVVAGSSTSSKTLGPRMTI >OGLUM07G05090.1 pep chromosome:ALNU02000000:7:4484234:4485219:1 gene:OGLUM07G05090 transcript:OGLUM07G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEFHRRVSFFRKSPNRRVCGRRRRRAAEDGGHEQFVFNGFTGANLWHGNVNATNHLFAIEFDTILNSEFNDISGTLSAGGGGQAELQKGARKKACSCGSLQRQGQPGGGRAA >OGLUM07G05100.1 pep chromosome:ALNU02000000:7:4488696:4489883:-1 gene:OGLUM07G05100 transcript:OGLUM07G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGEGKWFGAVVDGVLQSEMLLEVLLRLPAKPICRLRAVCRSWRSFTSDPLFAAAHAARHPGTALLTVGVQSFPRFCVDLVDLSGDIVKQLIPQVGKGMVVSATSDDLVFLDGKEHSIHLLDPTTGSISALPTTGSMSALRHYGDVGTDCSMLLAWFAFGQAAASPTGERKLVRIVKTLKDRYVSEVITVSRRGIGGQQWRKVENPPVHLDCFCTNGVVFKGAAYFNFDILLCSPSVLEAGCLPSFDLAMEQWSMTLQGPAKSIVEESNGTLSYHDLDCLLMLAGIKDTLCTSHWNYHFYVVDLWFLTDSEKGTWSKEYRINVDPSFYGIGDCVKVHPLLVTDEGNVVLWLQMPSEGIVQIYNPVTNTFWDITQTSIHTGVGVYTGSLLCQGSA >OGLUM07G05110.1 pep chromosome:ALNU02000000:7:4492810:4500947:-1 gene:OGLUM07G05110 transcript:OGLUM07G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRRGGDSARLLAREPDLPSTRGREEEYAFVFHKSINSSNRVAWDHLLVMKGSAEFDLLDEYGKDSWVLWYAKGD >OGLUM07G05120.1 pep chromosome:ALNU02000000:7:4500989:4501231:-1 gene:OGLUM07G05120 transcript:OGLUM07G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVELLDMGVRIAARFHSHCPQTARMYYHPPPSSSSSPSSSAAAGSADGGGGGCAGFAAKRMPRAAADTAEIILYAVV >OGLUM07G05130.1 pep chromosome:ALNU02000000:7:4507528:4509079:-1 gene:OGLUM07G05130 transcript:OGLUM07G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGN3] MAAEEGVVIACHNKDEFDAQMTKAKEAGKVVIIDFTASWCGPCRFIAPVFAEYAKKFPGAVFLKVDVDELKEVAEKYNVEAMPTFLFIKDGAEADKVVGARKDDLQNTIEKHVGATAASASA >OGLUM07G05140.1 pep chromosome:ALNU02000000:7:4509354:4514547:-1 gene:OGLUM07G05140 transcript:OGLUM07G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVARRHWSRGRRCRHRIRLLPTAVCLPPTPSNEAEGGRGKLCCGSRVHEPHARATYSPERWLKKRSLSHPSPHLKNIRRCHAMTHDGVAGSSQQGNAPHRAQHAKGIIFTRVT >OGLUM07G05150.1 pep chromosome:ALNU02000000:7:4521585:4536311:1 gene:OGLUM07G05150 transcript:OGLUM07G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVNRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHSVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIKMVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKIVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVDRNEYGRPKRLQVHDLVREISLTISKKEKFATTWDCPNSDGLTDGSRRVSLQKDGSLVQAAKCSSQLRSMLMFSEEISLSWFTDCYPSFRLLRVLCLRNCNVHKVPDAVSQLFNLHYLDLGYTKLKEIPRSIGKLNNLQTLYLNGSVLELPSEITMLTKLHHLLIDVGRFGKSASSKISCLEQLQTLRSVEANSCIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMKSLNSLSVIAEDRDQYALDLGNLKPLSRLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLRRLELWSLKSLTSVPQGFVQLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >OGLUM07G05150.2 pep chromosome:ALNU02000000:7:4521585:4536311:1 gene:OGLUM07G05150 transcript:OGLUM07G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVNRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHSVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIKMVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKIVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVDRNEYGRPKRLQVHDLVREISLTISKKEKFATTWDCPNSDGLTDGSRRVSLQKDGSLVQAAKCSSQLRSMLMFSEEISLSWFTDCYPSFRLLRVLCLRNCNVHKVPDAVSQLFNLHYLDLGYTKLKEIPRSIGKLNNLQTLYLNGSVLELPSEITMLTKLHHLLIDVGRFGKSASSKISCLEQLQTLRSVEANSCIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMKSLNSLSVIAEDRDQYALDLGNLKPLSRLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLRRLELWSLKSLTSVPQGFVQLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >OGLUM07G05150.3 pep chromosome:ALNU02000000:7:4521585:4534802:1 gene:OGLUM07G05150 transcript:OGLUM07G05150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVNRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHSVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIKMVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKIVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVDRNEYGRPKRLQVHDLVREISLTISKKEKFATTWDCPNSDGLTDGSRRVSLQKDGSLVQAAKCSSQLRSMLMFSEEISLSWFTDCYPSFRLLRVLCLRNCNVHKVPDAVSQLFNLHYLDLGYTKLKEIPRSIGKLNNLQTLYLNGSVLELPSEITMLTKLHHLLIDVGRFGKSASSKISCLEQLQTLRSVEANSCIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMKSLNSLSVIAEDRDQYALDLGNLKPLSRLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLRRLELWSLKSLTSVPQGFVQLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDP >OGLUM07G05150.4 pep chromosome:ALNU02000000:7:4534701:4537036:1 gene:OGLUM07G05150 transcript:OGLUM07G05150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTAIVAALESNNTGEKLSEAMNHSIFMLLRLRQERSERYLLSQVPTSGGGVGRPVDDHRRTLPSSSLFISDPTGGGSGLDDGDDGTGVAAAAAAIIGATKVIVSIMLPPHTFVNININVRNARITYIVKRRE >OGLUM07G05150.5 pep chromosome:ALNU02000000:7:4531846:4537036:1 gene:OGLUM07G05150 transcript:OGLUM07G05150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVEALVIAVVQKISSALAEEGSKILASKLKKQAPDLLEVTNKMRLLQSDFSMLQAFIAQVAVNRSNDMVLEAWMEQVRLAAHEAEDIVDEYTYLVGQTEGTGSFLKKAFNQAIEVKKWRKLSAQAKLVEDRLQKISEAKNRFDISFASSGRENTASYPSRHHHLSEYSYLNDDDDLVGNAEEMKRLIEWLCDAKKDRSVISICGMGGLGKTTLASSIYKKEEIKRTFICRAWITVSQNHSVKNLLKKILVQLMSKTENIMDGADTMDCVSLVEQLRRYLKGRRYLIVLDDVWSREAWPLLDNAFVKNNNGSRVVITTRIKMVASLADANYELKLTLLPKQEAWTLFCQKAFSRLDDRSCPHNLKIVAERIVEKCQGLPLALVAIGSLLSYKEMDEHEWELFYNQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGLFPEDYRIERKRLIRLWIAEGFVQDRGPETTLTDVAACYLKELASRSLLQVVDRNEYGRPKRLQVHDLVREISLTISKKEKFATTWDCPNSDGLTDGSRRVSLQKDGSLVQAAKCSSQLRSMLMFSEEISLSWFTDCYPSFRLLRVLCLRNCNVHKVPDAVSQLFNLHYLDLGYTKLKEIPRSIGKLNNLQTLYLNGSVLELPSEITMLTKLHHLLIDVGRFGKSASSKISCLEQLQTLRSVEANSCIVKNLGCLTRMRSLGIMKVLESHNTDLWTSISKMKSLNSLSVIAEDRDQYALDLGNLKPLSRLEKLMISGRLHKGAIPPVFASFTKLRSLRLCFSGLHEDPLASFAAMFQNLGHLNLYRCFDGAKLTFRAGWFPNLKHLYLSSMNELREVEVEDGAMRSLRRLELWSLKSLTSVPQGFVQLRSLQQLCIGSLMPEEFHRRLEGIDRWIVRHIPYIGDPEAMNHSIFMLLRLRQERSERYLLSQVPTSGGGVGRPVDDHRRTLPSSSLFISDPTGGGSGLDDGDDGTGVAAAAAAIIGATKVIVSIMLPPHTFVNININVRNARITYIVKRRE >OGLUM07G05160.1 pep chromosome:ALNU02000000:7:4541008:4543544:1 gene:OGLUM07G05160 transcript:OGLUM07G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTAISGSSPKKMPNTTGINPSRRAGGRSAAVGAAEPRPGGAAPLALATRLRRLQRPATAKSSGKALDIEAAAAGDHKVSRDGDDDDDDDDDAKRVSKSVQTVSLFAASASLLLFANLTAAAKAPPPPPRLPAGGALYSVNLALICLGLLTSLALSIFSILAPAARKLAVTKVQKRGMVMAVAFVLVSFLLRISMMLPAASLEWAFLLIFLLFACAEAAYLSLVYMRHVAYEPKAHSNFGALDLCVKICAIDRSGTRPVKLTLRCVLLTEADTDRVNPIG >OGLUM07G05170.1 pep chromosome:ALNU02000000:7:4563878:4575777:1 gene:OGLUM07G05170 transcript:OGLUM07G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGESGVVQDQDRVAVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLLDPNSILNPYKVLPQSVL >OGLUM07G05170.2 pep chromosome:ALNU02000000:7:4563878:4571690:1 gene:OGLUM07G05170 transcript:OGLUM07G05170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-linked oxidases family protein [Source:Projected from Arabidopsis thaliana (AT4G36400) TAIR;Acc:AT4G36400] MARRAAAGLLRRHLGPLAAGETLQARGMYPKQYGAANHAFSRFYSIQGQQRSLYGFRTNVETDDTQQSARMNFEVQKRSFSSAAAHVQRNPAYSVLNSDDVSYFKSILGESGVVQDQDRVAVANMDWMGKYKGSSQLLLLPKSTAEVSKILSYCNSRRLAVVPQGGNTGLVGGSVPVYDEVNGILTCEAGCVLENLSSYVENKGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGSVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGIVTKIAILTPAKLPSTNVAFLSCNDYISCQKLLLAARRSLGEILSAFEFMDRHCINLAMKYLEGVHNPLPVSPYNFYVLIETTGSDESYDKAKLEAFLLRSMEDGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRSRVGDMGQVLGYGHLGDGNLHLNILSTKYSDKMLAQIEPFVYEWTSKQRGSISAEHGLGLMKAEKIHYSKSSEAVQLMASIKKLLDPNSILNPYKVLPQSVLFQKPRVTVIFKAIQDKII >OGLUM07G05180.1 pep chromosome:ALNU02000000:7:4571969:4574609:-1 gene:OGLUM07G05180 transcript:OGLUM07G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEVGRIFVGGLSWDTTERTLERAFSEYGKVIETQVVLERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRTISVNKAQPRMNTDDGYGYGGGGGGGGGTYSSGARGGYRGGGDAVPSANDDCFKCGRAGHWARECPYSGGGGGGRTGRYSPPSRYGSGTGGGRGDRFGGSDRFANRYVDDRYDGGRYVDDRYGGGGRDRYATDRYPPTADRFTGDRYGASDRYASSGFTRERSYERDGGRSGGSYYRDEPRGSGGYDRGGMRMGSGDRYGTGGPARFAGSYRDRPAPYDRPSRAAARTYDDRY >OGLUM07G05190.1 pep chromosome:ALNU02000000:7:4574640:4579386:-1 gene:OGLUM07G05190 transcript:OGLUM07G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10420) TAIR;Acc:AT3G10420] MRRGLARHPEVRELVEVVMDLGRRPLARFPSGDWVISEQAVTADDLHQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAHIIGLTCRVGRAISGSAEMIRDLVVGGGSILVIGPPGVGKTTLIREIARILADEGKKRVIIVDTSNEIGGDGDVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQVLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEMISKTECRVHHKLEATVDAILAGKPPKFEARKMDYKATESGSSLVIPEREYETEPLPSYQEHLFAKAMPSEDNFDDDFNTRRTESKSVPSDDNFNDDFGSTRKTKGKTSVPGKSPVRVYTYQISEADILQVATVMGFDDELDVTDDIGAANVILASSSEMKQNHWIRNVAKYHKLPIFVVKTNTMAQIVKAVRMIVGRDKLNAPTRKQPKVVVGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKGSAGSMPKQGSSDLIVSDNGGGSSWRSWIWV >OGLUM07G05200.1 pep chromosome:ALNU02000000:7:4584927:4589437:1 gene:OGLUM07G05200 transcript:OGLUM07G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGEGKVACAAWIRRREEKEKATRVFAAYGRAGSPPAVEVLGFDSKECSLSEPLARAELGEEPGDAPRGIAVHPSGDELVCATAKGCRLFKLIFEEFTVRLISRDAPPLESVGPQKCLAFSTDGSKFAIGGEDGHIRIFHWPSMNVLLDEPKAHKSFRDMDISLDSEFLVSTSTDGSARIWKIDEGVPLVNLTRSADEKIECCRFSRDGMKPFLFCTVAKGNKVVTVVWNISDWSRIGYKRLLGKPISTLSVSMDGKYLALGSHDGDFCAVDVKKMDVSHWSKKVHLGSPVSSIEFCPTERAVISTSHQWGAELTKLNVPADWKEWQVWLILLSLFLVSAILFYMFYERSDSFWNFPMGQHQPAKPWSVLKESPPVPEDQNPW >OGLUM07G05210.1 pep chromosome:ALNU02000000:7:4599809:4602173:1 gene:OGLUM07G05210 transcript:OGLUM07G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDAGTGSSGGASPASSPPPPRQNPLRVILTAAFARQVAVGRWFTVFASLLILTASGATYIFGIYSPALKASLGYDQHTLNTVSFFKDLGANLGVLSGLINEVTPPWVVLAIGAAMNLSGYLMVYLAVAGRTAAPPVWLVCLYVFVGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGDDAKSLILLIAWLPAAETSVDPFFCFLYISIGLAAYLLVMIVVQRQFAFSRTAYSCAAAALLIVLFLPLCVVIKQEFKIHRERLELAAAAPPPHTITVLEMSKETERPPRPSSPAPAETSWVKGMFRPPARGEDYTILQALVSVDMAVLFVATICGVGGTLTAIDNMGQIGQSLGYPARSTNTFVSLISIWNYAGRVAAGFASEAFVERWRLPRPLVLTGILLLACAGHLLIALGVPRALYAASVIIGFCFGAQWPLVFAIISEVFGLKYYSTLYNFGGMASPVGSYILNVLVAGRLYDAEAGRQPGAGLAAGASRDKVCLGVNCFKKSFLIITAATVFGALVSLVLVWRTWRFYKGDIYARFRDGDGDGAVAGEGGDGRLPVDQRRRPPPPEEEESTAVNGRKE >OGLUM07G05220.1 pep chromosome:ALNU02000000:7:4605730:4614730:-1 gene:OGLUM07G05220 transcript:OGLUM07G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPAASTPGPVAVAARSTPPPTPAVQIPAVASSSSAQPAAAAQPPPAAAAVSALARDVGRQLAVVAGGGRPAPPAAPPAPIPVSSKGVAPPSRPGFGTVGERIVVRANHFLVRVSDNDMIYLYDVSLSPPPKTRRINRVVMSELARLHRESHLGGISFAYDGSKALYTAGKLPFDSMDFKIKLGKELREIEYKVTIRRAGQADLHHLHEFIAGRQRDSQQQTIQALDVVLRESPSLNYVIVSRSFYSTMFGRQDIGDGLECWKGYYQSLRPTQMGLSFNIDISSTPFFKPISVVEYVKNCLGTPTNANGPDPRRPLSDIDRLKVKKALRGVRVETTHQGKSSKYKITTITSEPLSQLNFSMDGTTQTVIQYFSQRYKYRLQYTSWPCLQSGNPSNPIYLPMEVCTIVEGQRYSKKLNDKQVTGLLRATCQPPQKREQKIIEMVQHNNYPADKVVSDFRINISNQMVTMPARVLPAPTLRYHDSGKEKTCNPRVGQWNMINKKMVGGAVVQKWTCVNFSRMHIDAVHRLCGELVYTCNAIGMVFNEMPEIEVGSAAPNNIEAALSNIHTRAPQLQLLIVILPDVNGYYGRIKRVCETELGIVSQCLKPGRKLLSLDRQFLENVSLKINVKAGGRNSVLQRPLVPGGLENTTIIFGADVTHPASGEDSSASIAAVVASMDWPEITKYKALVSAQPPRQEIIQDLFTMTEVAQNADAPAQKAEGSKKNFICGGMFRELLMSFYSKNAKRKPQRIIFYRDGVSDGQFLHVLLYEMDAIKKAIASLDPAYRPLVTFVVVQKRHHTRLFPEVHGRQDLTDRSGNVRPGTVVDTNICHPSEFDFYLCSHAGIQGTSRPTHYHVLHDENRFSADQLQMLTYNLCYTYARCTRSVCVAYYAHLAAFRARYYDEPPAMDGASSVGSGGNQAAAGGQPPAVRRLPQIKENVKDVMFYC >OGLUM07G05230.1 pep chromosome:ALNU02000000:7:4622132:4622446:1 gene:OGLUM07G05230 transcript:OGLUM07G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRVRLICSAVSLALYTAFLAFYLLCATGRSFRGVVLGPGAGGVPPHVELAWKLANWVAVLLCCVVYAYLVSSIVVSCRRSGKPAAAPAGLPPPPVQMDIC >OGLUM07G05240.1 pep chromosome:ALNU02000000:7:4626793:4632134:1 gene:OGLUM07G05240 transcript:OGLUM07G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMAAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSPSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >OGLUM07G05240.2 pep chromosome:ALNU02000000:7:4626793:4632134:1 gene:OGLUM07G05240 transcript:OGLUM07G05240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMAAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSPSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >OGLUM07G05240.3 pep chromosome:ALNU02000000:7:4627218:4632134:1 gene:OGLUM07G05240 transcript:OGLUM07G05240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMAAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSPSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >OGLUM07G05240.4 pep chromosome:ALNU02000000:7:4629079:4632134:1 gene:OGLUM07G05240 transcript:OGLUM07G05240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRAEGIKNLLLKILFPLTFPLAGSFIFDLITDRANRDSDIDLSDSPTELDPSYGSSSIREEEEEEMDSIHRAPRKLVQTENPCSTGRIVSSEFSHTEEIMAAQASDSSSEVSVNRVQDDQRMMMEDVDSLKRMVSALEEQAASIESQFHDYCDMKEQESTYQKMQIMCLGMKLEQLESQNQRLEAAAAEIRASAEEFATMRARFDAMQSKSKKIWKKNKQDLDAIDERVLALDAREAEMATRCQGFEQFMEEMKQLTLQLQKEKGTNNENVEVIVERSMRKLGSSGRDVLDGLEALRDRWAADMEEMIYLGWITAWLQHDLLVLDGGEVGTPAAIIGDETPAQPRHKGEKVVVAVAPSNEVELCKAASASSSSPSEMRGAAEPSCMMGFVAGGCRSIGRPRLLRRLRGWAGGKGGSSRRQCKIEFPTSPM >OGLUM07G05250.1 pep chromosome:ALNU02000000:7:4634671:4638655:1 gene:OGLUM07G05250 transcript:OGLUM07G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSFSSLLLPCSHGHGGGRATASTCAAAAAACLALVALVILVVSMDPRAQASSWFFLSSSSSSSSSSTLVHLAASSHAASLRKPSSWGGGNGGGGGGGKHLLVTSSSFGSGGGARGSWSRNSTSKEVLFQGGGGGGGDEMTSTAAAPTPALIIGSSSGDGVSPSRVAVTAAAAEPTAALAPAPAPEWGVGDAASGDDIIQVMPQAQRRRDVKLERLELGLAKARATIREAIQNKDNKPPLTDKDYVPVGPVYRNAYAFHRSYLEMEKVFKVFVYEEGEPPVFHDGPCRSIYSTEGRFIYAMEMENRMRTRDPDQAHVFFLPFSVVKMVKMIYEPNSHDMDPLRRTISDYINVVSTKYPHWNRSLGADHFMLSCHDWGPYVSSANGHLFSNSIRVLCNANTSEGFDPSRDVSLPEINLRSDAVDRQVGGPSASHRPILAFFAGGDHGPVRPLLLQHWGKGQDADIQVSEYLPRRHGMSYTDMMRRSRFCVCPSGYEVASPRVVEAIYLECVPVVIGDDYVLPFADVLNWPAFSVRVAVGDIPRLKEILAAVSPRQYIRMQRRVRAVRRHFMVSDGAPRRFDVFHMILHSIWLRRLNVRVIARED >OGLUM07G05260.1 pep chromosome:ALNU02000000:7:4639638:4652227:1 gene:OGLUM07G05260 transcript:OGLUM07G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 6B2 [Source:Projected from Arabidopsis thaliana (AT2G14170) TAIR;Acc:AT2G14170] MLRAALLRSGSGLRRPPMAAPLSTAAAASWLSDSASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRNTPVTTRQRIMLKYQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNIAGMHIYSRASAKGKRVQSNMGAKNHAIILPDADRDATLNALIAAGFGAAGQRCMALSTAVFVGGSEPWEDELVKRASSLVVNSGMASDADLGPVISKQAKERICKLIQSGADNGARVLLDGRDIVVPNFENGNFVGPTLLADVKSEMECYKEEIFGPVLLLMKAESLDDAIQIVNRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTVTQQWKESPAQRVSLSMPTSQK >OGLUM07G05270.1 pep chromosome:ALNU02000000:7:4658674:4659141:-1 gene:OGLUM07G05270 transcript:OGLUM07G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEEKIASARLMRQQAQVEFGRARSLRLHAVLMRRRAQVELGSVRALRDAAVRMRQEAQMDIAHARTLRVHALLMRLRAQAELVRCCNTDDGKGDNGEKAGDAGIREGSVVDSLAGADRFTDSDNIGYVVDSLANNTFVADSLEETDAEEKNN >OGLUM07G05280.1 pep chromosome:ALNU02000000:7:4666535:4667962:-1 gene:OGLUM07G05280 transcript:OGLUM07G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGQ6] MKKKKKKKKKKKKKKKKKTQWVEKEDDQEIVKLELDVSRSVSGYRSFMYELQRQLAKLTVRTAIEKRPVLPPYKYKPKCLIHVKVINKGHGESTLAIRPDNVYLIGFKTQAASWFAFKNSYNQISRATALGFDDSYTSLTGKGGYTNLKDIVVGKKSAQEAVATLAKYKKNGSVPEEEIKKALTTFILIICEAVRLVPVRTDVITVWDEAEGGKVGKVACDLTVKWKVISCAFLIFDKTRKWDPIPEAQNVRDFGSPKITTAETAADNIYLILNTKDCKIPK >OGLUM07G05290.1 pep chromosome:ALNU02000000:7:4668584:4668904:1 gene:OGLUM07G05290 transcript:OGLUM07G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNLPIINWDEVEDFDGDISDLNYDFVWDYDNEDGEDGNGRGSGNDGGCDGSDDDGGGSGGGERSNHRWSSRCR >OGLUM07G05300.1 pep chromosome:ALNU02000000:7:4676978:4677484:-1 gene:OGLUM07G05300 transcript:OGLUM07G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTSGFLASSLGTVTVSTPFSMDALICSGLALSGRRNLRRNLPLLRSTRCHCPGTSALNTCASGVSFQSIRAPAKAAVSEEAPRGKDGKMLPLLLPPEPKGKPSKGSQRSREKGSNTLLRRISDIVGWIWRLRLV >OGLUM07G05310.1 pep chromosome:ALNU02000000:7:4677015:4677500:1 gene:OGLUM07G05310 transcript:OGLUM07G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRRSNVFDPFSLDLWDPFDGFPFGSGGSSSGSIFPSFPRGASSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVDDGNILQISGERNKEQEEKTDQWHRVERSSGKFLRRFRLPDNAKPEQIKASMENGVLTVTVPKEEAKKPDVKSIQISG >OGLUM07G05320.1 pep chromosome:ALNU02000000:7:4678877:4680923:1 gene:OGLUM07G05320 transcript:OGLUM07G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLGNYSSIEGVPYCKPHFEQLFKETGSYNKSFQSPAKPASEKLTPELTRSPSKAARMFSGTQEKCATCGKTAYPLEKVTVEGQAYHKSCFKCSHGGCAISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPAPPPAAADSS >OGLUM07G05330.1 pep chromosome:ALNU02000000:7:4699077:4702013:-1 gene:OGLUM07G05330 transcript:OGLUM07G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGDSCHSHRRGGASGGDPGTASNVVVPPGTASSGGDFDHAAGETGHRGRPMLADDAGASASRAAASASDGSGLAGDDADEVMEGAAVAATGDDDEADGGSGARFFGANHKYPEKSCFIDASTVTAKDINELATSGRRGDPHPEPNESIFYGAFFTAGLGLPVTPLVAGVLEYYGLELPQLTANVVVRLAIYEYAMRVDGLRASAKHFASLHFASCQPKLVAEGGETKSLTFASVNFQVRPGLVEYFPSRAANDRWATGWLHCWFYLDVGADSGLASTNKDIYFLHLPEVNVDDPDLDDQHQSLRRVAARLSMHGITEEFLMLRVILLREGWVHSLTSGDDNTAGAYEGPISSTTMLMLVSTAISDAEKLLGKPVLKEKQEQMEQIGAQERAIRVAARFNLRLLALPSLREAEEAEAAKAGATLHGQCGHRRGSRWDLLNLRWPGPWFGRVRRDFRGLWGICLDYRAPRGGSLAGPEAEETSTHTTVVAPSASGAEPELHLGAATAPEKPEAAIHPAAAPETLSGRAGLVADPSSMRDTHAGADAVMVAGAAAVERGGRDTTDLHTEWLRVRDLQEQAAATLAEAEAARDSSRGPRRFRAATGGARPQAGSCRAGPERDRAGRLSDELAATKMALASHEEEVQASQGRFEQARLILEELNVRAIYAAQALMRAFGSIGVQGPSPPPEDSSIAEKLRWVEKAGKFVAKASAGYGIWCSWATTRMLPLLLRGKGCAHIGPSARAAPSEVTALLASGSGVNSSRRDADDFTRTVWPALGHDAAVAAMDSVTQGKKDASKV >OGLUM07G05340.1 pep chromosome:ALNU02000000:7:4704433:4706212:-1 gene:OGLUM07G05340 transcript:OGLUM07G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPDDVLAAVLRRLPPRGIAASRCVCKEWRSLVDGRRLLRADLLPLSLAGILLNYDSTWFTQFLSRPTAAAAVSCRLDYTVPPPPAYIYVKDHCNGLLLLLREECRLVVVNPATRQWELLPPPHHHHHPLPPAMGCNENEYLVFDPTRSPNYELFMVPKVPYKLREEEECEWPPSTLILPVFSSKTGSWEERAFDREGDAAGTLPAMVGSTPFCDHQCGYWRGALYWVLKHDMDISHILPNLNYDEQQRDGPWVLQHYNYRPFNFNYDDDDDEDDVELEPIVEEKFEKFEWDSNNDNVLEPGSMRENCYIYFLGFHPYKDIVFLGDEFDRVLAYNWSSSKLQDLGKVFTEFYIRLTTYMHYDKLVQSSFPYTPCWLGELPEKLKL >OGLUM07G05350.1 pep chromosome:ALNU02000000:7:4715688:4717357:-1 gene:OGLUM07G05350 transcript:OGLUM07G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRWWRRRSYAAAAADDDTAGEELRSYVVAVEVAADNRAESPPVPARVTAELIKADAAGIPNYSKGDKDDPCCDTYSLVMKCLENTKNDFKKCKTLIDKYEECSNPPKEPRLCPAHELAFEKCLQKNVGEIKVCQFWMDMMSKCLRRNKQWV >OGLUM07G05360.1 pep chromosome:ALNU02000000:7:4721817:4722457:-1 gene:OGLUM07G05360 transcript:OGLUM07G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLAKCRANNEKIYLLDDICPILHQRFNNVSIDDFSIYIYIYIYIYIYIVLAFAN >OGLUM07G05370.1 pep chromosome:ALNU02000000:7:4724973:4728656:1 gene:OGLUM07G05370 transcript:OGLUM07G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWPHSAEQHLSSGLRVAALLRPSLTKRIMPPLRLLPLLLLLAAAAAALDPPTPTPWPEQFHAVVFTNLTGSGGRLQLIDLYYDWPRGRNLNLIRGQLSGDPTYDVEWTNGTSYFFNATSCRTKLFPVGLLPPDWLAAGAVYLGRETVAGFDCHLWTKVDFVWYYEDVVTHRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPARCFSDQHTVIADPGAATVTDDRVEGEDGKLDVMNAFFRFVGAPAAAAAASV >OGLUM07G05380.1 pep chromosome:ALNU02000000:7:4725793:4728589:-1 gene:OGLUM07G05380 transcript:OGLUM07G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPLLLAAAVLASAAAALADPPPPPSPTPWPERFHAVLFTNLTQTSGGGKLQMTDLYYDWPGGRNLNLVAGQLSGDPVYDVEWTNGTSYYFDSASCRTLLFPVGILPPDWLAAGAAYLGREAVDGFDCHVWTKVDFIWYYEDVVTHRPVRWNFYTGMQQHVMSFEVGGALEDSKWQAPAYCFNDQEAATNSDTANDNEDGEVDAMSSLLKFFRAQQTAVAALLSKTISTIELAAWHIKKLD >OGLUM07G05390.1 pep chromosome:ALNU02000000:7:4730038:4730226:1 gene:OGLUM07G05390 transcript:OGLUM07G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQPRSLVDVSVEVGDVGEGCRFSPPSPQTPTDLPSLRGMEAMQLLGSLKVLRHQEREVKY >OGLUM07G05400.1 pep chromosome:ALNU02000000:7:4762777:4766352:1 gene:OGLUM07G05400 transcript:OGLUM07G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQASSSPSMFRAIPTNTNASCRRKVRASAAAAAANGGGDGKVMMRKEAASGAWKIDYSGEKPATPLLDTVNYPVHMKNLSTPELEQLAAELRAEIVHTVSKTGGHLSSSLGVVELAVALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRQTSGLAGFPKRDESAHDAFGAGHSSTSISAALGMAVARDLLGKKNHVISVIGDGAMTAGQAYEAMNNSGYLDSNMIVVLNDNKQVSLPTATLDGPATPVGALSKALTKLQSSTKLRRLREAAKTVTKQIGGQAHEVAAKVDEYARGMVSASGSTLFEELGLYYIGPVDGHSVDDLVAIFNKVKSMPAPGPVLVHIVTEKGKGYPPAEAAADRMHGVVKFDPTTGRQFKSKCSTLSYTQYFAEALIREAEADDKVVGIHAAMGGGTGLNYFHKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFAMDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGIGAVLPPNHKGTPLEVGKGRVLVGGNRVALLGYGTMVQACMKAAEALKEHGIYVTVADARFCKPLDTGLIRELAAEHEVLVTVEEGSIGGFGSHVAHYLSLSGLLDGPLKLRSMFLPDRYIDHGAPVDQLEEAGLTPRHIAATVLSLLGRPLEALQLS >OGLUM07G05410.1 pep chromosome:ALNU02000000:7:4771243:4773164:-1 gene:OGLUM07G05410 transcript:OGLUM07G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPSVSGSGGGGDLEAHLDGDVGNGNARSDSAAAAAAPELRYRGWKAMPFVIGNETFEKLGSIGTAANLMVYLTTVFHMSSLDAAVALNVFAGTTNLATVVGAFASDLYLGRYATVAAGCVSTFIGMVILTMTAGVPALHPPPCGEGRCLGATRGQLAVLGLAFAFIVAGAGGIRPCSLPFGADQFDPRTESGRRGINSFFNWYYFTLTIAVCASSTAIVYVQSSVSWWVGLAIPAALMLASCALFFAGAGLYVRVRPEGSPFAGVARVAVAAFRKRSAAAPSDADESLFRTRHASGVVSRLPYTDQFRFLDKAAVVVDAKREVGGDGHPKNPWRLCSLQQVEEAKCILRVVPVWLTCIVYYVAFAQTNTYVILQAAQSDRHLGGGGGGAGSFEVPPGSFTVFPMLALAVWIPLYDRLVVPWARRLTGREGGITPLQRMGVGMALSVLAMLVAAMAEKRRRDLAAGSPSNTGRVSRQSAFWLEPQLAALGISEAFNQVSQTEFYYREFPESMRSVAGSVLFSGLALSSYLSGVLVAAVERATRGAGAGDDGGWLAEDLNKGRLDWFYLLIAAIGAANFLAFVACAKWYRYKGSDDDDDDDHEHEQVNVADRISAAAA >OGLUM07G05420.1 pep chromosome:ALNU02000000:7:4773734:4774952:-1 gene:OGLUM07G05420 transcript:OGLUM07G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAFSTSKPKPAAGEEGGESAVVAVVIDFSASWCGPCKMMEPVFKEMAGRFTDVAFLKVDVDELAEVARTWRVEAMPTFVLARGGEEVGRIVGADKDELEKTINTLRSSSSSSATTT >OGLUM07G05430.1 pep chromosome:ALNU02000000:7:4776022:4783331:-1 gene:OGLUM07G05430 transcript:OGLUM07G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEERRSWRGCLLDWTGLPPTLGGDLCPSLRLLDAAWSPSGERRVRAVAVVGRGRGGGGMVTMSAAASWTAEDDVLLKNAVEAGASLESLAKGAVCFSRKFTLQELQDRWSSLLYDSETSGQASALIVKYETELSTSNLTKAHKLFYVRRKHLSLRKRKIESVKNQYYAMRKRICHDPCLAADFGYVITPCSCPVGSDCVCDGLFNLLEDNHLIHNVNQAPDVVNGYGHIGESYADGQDVHAKDNGHYISHRRHDKAAGTVASDGSTNCESKLYGYNFMPKNIQSSERNIASPNDLSDVQDCVQPQQPILCEESANGMTGLKALLNTDQDCIKQNQFSGNSNEILQEPGSLKAMSEHWCSQAPSAPTRKKFQGVNAPDMLTDVHHKEQEILAFSDDKKKETTNIDTLSCKVNVENGMSGSGLDDATEGEVMHSCLMDASQGEDFELLNSENILDSSLDPNLEGLGDRHANVILKDISKEHLLDIPHVSSACGNNTDPIHEKHDVADISGVDMIYTAEVPFPCAVIVCILNTEDPEIPCNDDIFTPGPVASTSTCDQNSQHNMHLVSAKPIPPLNAADLNHTDLVSDVQPLLLTMKLEPYTLEQKETLVGLNESCTVRSKSPVMPVDVSNANACTSTFHSAAEFVKQSTCGLVQHECFDNLGSVALDECIGVLDEMNSKVPDESGISCDATTQNSISAHALPDVEFLNPITTTSSPEGGGSDSEDGIPNYFDIEALILDQDLIPWDQESDFIQPEVSRFQSLESRKDLIRLERGARSNTNRSIMSHGAFAVLYGQHLKYYIKDPEVTLGRETSEEHVDIDLGKEGKANTISRQQAIINMDKGGSFHITNIGKAPIFVNSKEVPCNECTHLISDALLQIRHMKFIFHINQDAVRQHIVRSRRGTSQGKYAVFNWDEKP >OGLUM07G05440.1 pep chromosome:ALNU02000000:7:4783077:4786649:1 gene:OGLUM07G05440 transcript:OGLUM07G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATSTVPPTSPLPHSRTAPRRHLRAGLLHGSVATAAVVRACGVMTGHRRRMGSVRASPGAGGWEVAMADKEGVGVERLVEVAQRAADAAGEVLRKYFRQRVEIIDKEDQSPVTIADREAEEAMVSVILKSFPSHAVFGEENGWRCVEKSADYVWVLDPIDGTKSFITGKPLFGTLISLLYKGKPVIGIIDQPILRERWVGVDGMKTTLNGQEISVRPCNVLAQAYLYTTSPHLFAGEAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWKGNKLHWPVSAESRPESFNVVASGDARVHKQALDALQWH >OGLUM07G05450.1 pep chromosome:ALNU02000000:7:4806104:4812545:1 gene:OGLUM07G05450 transcript:OGLUM07G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGS3] MNKQINGGTKTLEATRLATQLYPPRKHSHRRPKDAIFFLLFLSLFPFFFSAVVYSSYIGQQRLWPSKGSSSSSSKRSRRRRRLGEAGGFVVSLFSLPLAAAQRRRRGEMAEKGDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMVVEIIVMYPIQHRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVTDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTVSHYCSSFANEIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFASIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPAATAAVFRDMTSYNDLNQLAEEARRRAEIARLRELTTLKGRMESVVKQKGLDLETIQQSYTV >OGLUM07G05450.2 pep chromosome:ALNU02000000:7:4806104:4812545:1 gene:OGLUM07G05450 transcript:OGLUM07G05450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGS3] MNKQINGGTKTLEATRLATQLYPPRKHSHRRPKDAIFFLLFLSLFPFFFSAVVYSSYIGQQRLWPSKGSSSSSSKRSRRRRRLGEAGGFVVSLFSLPLAAAQRRRRGEMAEKGDNLEAVLNESVDLENIPLEEVFEHLRCNREGLTSANAEQRLNLFGPNRLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWSEEEAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAIGMVVEIIVMYPIQHRDYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGEGKMHRVSKGAPEQILNLAHNKTEIERRVRAVIDKFAERGLRSLGVAYQQVTDGRKESPGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQDKDESIVALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLSEIFATGVVLGSYLAMMTVIFFWVAYKTDFFPRVFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFFVAQLIATLIAVYANWGFASIKGIGWGWAGVIWLYNIVFYLPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGTQENQLKWATAQRTIHGLQPAATAAVFRDMTSYNDLNQLAEEARRRAEIARLRELTTLKGRMESVVKQKGLDLETIQQSYTV >OGLUM07G05460.1 pep chromosome:ALNU02000000:7:4824263:4825108:-1 gene:OGLUM07G05460 transcript:OGLUM07G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLPPPICPEHANADVMFWLLRSIGGISSTTNIDSGSDKYPYIVLGPVMQEALHHNRLQRKQARMRYALLSCKQKQTFQQNHEYKLRKNASIYNCHR >OGLUM07G05470.1 pep chromosome:ALNU02000000:7:4828031:4829683:1 gene:OGLUM07G05470 transcript:OGLUM07G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59720) TAIR;Acc:AT1G59720] MLSLAASSLPSTRTHRPDAASHSSSSSVRLLRSLARSRRADLAHRALLLFRSLQSTPSPPPPHVSLPAVLSAAAFLSALPEGRQLHALAAKLGLAPSHTVVANSLLHLYSSCGLPGAALDLFRRIPDRSLVSWNTAVDALVGNGDHLAALDLFREMQRDTELAPDAYTVQSVLGACAGAGALSLGVYAHALLLRELGGDGDGEAVSRDMLINNSLVDLYGKCGALELAQQVFDRMPARDLASWNVMILTLANHGRVCESVELFDRMTQVEKMAPNAITFVAVLSACNHGGLVEEGRRYFAMMVDQYRIKPRIEHYGCMVDLLARAGFIEEALDIVAGMNCRPDAIIWRSLLDACCKKNAGLELTEAMAKLALEVPDDAVSGVYVLLSRVYASAQRWNDVGMVRRLMVEEGVKKEPGFSSIEMDGLVHQFVAGDTSHPQSEAIYEKLDEIQLKLTSAGYKPDLSEAPLVASIDNAKGAALRLHSERLAISFGLLNATPGAPIRILKNLRVCKDCHTISKLISKLYGVEIIVRDRIRFHHFKDGSCSCKDYW >OGLUM07G05480.1 pep chromosome:ALNU02000000:7:4832691:4834863:1 gene:OGLUM07G05480 transcript:OGLUM07G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFERARTVRLRGHHDKYLYAEEDESRVSQDRSASSPNARWSVEPVPHAPGVLRLRSHYGRYLSASNEPFLLGVTGRKVLQALPHRLDSSVEWVPVRDGAHARLRTRYGNYLRANGGLPPWRNSVTHDVPHRHAGWILWTVEVVEVLPESLVPAPIAADDDPAAPHYKTPSRGPSPVPTPALAPASPPRHRPASPPSYRARPPPPPPGYIEPPQGYIEPPPPEPTLARIESTESFSLPLHKVDGRAIHYHIGDDNGDIGDDQEGHSFTFNGTSLEELLERLQEETGLNDVIICSRSPINGKLMPLRLQLPPNNAAMHIVLVRESSKGRVISGS >OGLUM07G05490.1 pep chromosome:ALNU02000000:7:4835942:4838820:1 gene:OGLUM07G05490 transcript:OGLUM07G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRHVGDLEAAAYGGGRFGFTGGLEFTGLTYTVTKKQRGAGGEWEKKEVDLLHEVTGYAPKGCVTAVMGPSGAGKSTLLDALAGRIAARLGGRVALDGVEVSPGLVKRCSAYVMQDDRLFPMLTVRETLMFAADLRLGASVPAADKRRRVDALIDQLGLAASGNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVVEKVRDIACAGSTVVLTIHQPSSRILQLLDHLVILARGQLMYSGAPREVAAHLGRMGRRVPKGESSIEHLLDVIQEYDQSEFGVAALAEFCLTGLKPPKLAADGISTVSSIPPTPLLAGEEDFDHSLRSQHSRSPWSAAVAAAAAATQFTPSRRPKKDHPEIVMGTPTPLSMSAYTLSEGDYRTPPPPRHAAAVTTLGGHRGKFANTYGGEVWVLMRRNFTNIWRTPELFLSRLMVLVAMGVLMATMFTKPRDDDQGVTERLSFFVFTVCVLFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVVTYLPFLLLQSAAYAAIVWFALRLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLDSHSIPVGWKWMNTISTMKYPYEGLLMNEFQGGRVFSSHPPPAPPLTGDVILEHLKISTAEDRKWWMVLYLMGWAVFYRVLFYLVLRFASKNKRK >OGLUM07G05500.1 pep chromosome:ALNU02000000:7:4839649:4841186:1 gene:OGLUM07G05500 transcript:OGLUM07G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPRYAYPYPSQGYYNQGPYQGPPVMAPPHAQYQYQYAQPPPPPRQPGFLEGWYASPPSAAAACSTSAAATPPSYSSPEDDDGDEEEEDGRLS >OGLUM07G05510.1 pep chromosome:ALNU02000000:7:4841969:4855061:1 gene:OGLUM07G05510 transcript:OGLUM07G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSSAAAAATAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRRNQGLWPLYRGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRAPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFAYTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >OGLUM07G05510.2 pep chromosome:ALNU02000000:7:4841969:4855061:1 gene:OGLUM07G05510 transcript:OGLUM07G05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAligase [Source:Projected from Arabidopsis thaliana (AT1G07910) TAIR;Acc:AT1G07910] MSLSSTSTSSLLLLLSPRGNPRRLLPLLLPLRLSSSSSSAAAAATAMPPRRDRHHGKQPQQQWKPKATDPAAAAPAVVAAASSPASSTSSSADFAGGVERMTISPSPQGGASQVWVPRGYATSASSSSSSSSAAAAEQRIDAEKLSRVFKAAPNFEVDNNTFIQSQIRATFYPKFENEKSDQETRTRMIEMVSHGLATLEVTLKHSGSLFMYAGHHGGAYAKNSFGNIYTAVGVFVLGRLFREAWGKEAPRMQEEFNVFLEKNCISISMELVTAVLGDHGQRPKDDYAVITAVTELGHGKPKFYSTPEVIEFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATSVCKALDEIADVAVPGSKDHVKVQGEILEGLVARIVSRESSVQIEEVLRNYPLPPLDGVGSDLGPSLREICAANRSDEKQQIKALLENVGPSMCPDHSDWFGCSGLDDHQSPSANRSVVTKFLQAHPTDYTTKKLQEMIRVMKQRNFPAAFKCYWNYHKIDSLSNDSLYYKMVIHVLSDSVFRRYQQEMRLTYVSLLGFFVDVNLFKVNNMKSSIPSEDIDTSLKNINGALDSNSSAKDGLADEDSNLMVKLKFLTYKADEELGNISKAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPENQALIGAAGDLVQTENFLAILEAKRDEEGDLQAERGTAPPSPTSTSLDVVPKAEGLIVFFPGIPGCAKSALCKEILTTPGGLGDNRPLHSLMGDLIKGRYWQKVADERKKKPFRITLADKNAPNEEVWRQIEDMCRTTKAAAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYNLYDGKSRREFESELYERFGSLVKMPLLKPDRAPLPDEVKAILDEGISLFRLHQSRHGRAEPSKGAYAKEWAQWEKRLRQVLFAYTDYLNSIQVPFDFAVKEVLEQLKSVAKGDLKTPDTAKRKFGNIVFAAVTLPPADILGALPKLAEDTDANKFLNNTKLADNLTKAHVTLAHKRVHGVAAVSSYGVYQNHQVPVIFNAFLFSDKMAALEVELGTVNGEKIASRNDWPHATLWTAPGVAPKEANTLPQLVTEGKAKRVAIDPPITISGVLDFY >OGLUM07G05520.1 pep chromosome:ALNU02000000:7:4861113:4862663:1 gene:OGLUM07G05520 transcript:OGLUM07G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPAASAWSSVNSGIVLSLAAVLWTVVWNSLQSLQLHHLVGRHLARHARRLAAVVDPYLTVTVAEHDGGRMKRSDAYREVQAYLHRATCDASAGVRHLRAEPAKNPDAFVLSMADREEVADVFRGGVTVWWLAYSTPPREDDAGGGFYWGGRAARADRRFYRLSFLERDRDVVLGEYLPHVRREGRAAMVRNRQRKLFTNLAGDTWGDDGGWCESVWSHVVFEHPKTFDTLAMDPARKKDIMDDLDAFRNGKEYYARVGRAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKNKKKKDAAAAKNDTDGDKKESPPSEEEEKNKEGSKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCGFEAFKFLAKVYLGIDAHHLFDAVRALLRDVDMTPADVAENLTPKAAGDNADTCLAELVKELEKAKADKAQAKGKAAAAAAAEEEVDGDDDEE >OGLUM07G05530.1 pep chromosome:ALNU02000000:7:4867359:4867700:1 gene:OGLUM07G05530 transcript:OGLUM07G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKDAGVVDDGGNGVRLQDRVAMAEFCWIVLGFFRCTAEGALVRVVAVFYVVVLLPMLCLEVFPRAPPPVSLLNAAMGVLLMYRLYLVLRFEYFEEKKKQEEEDQAAAAAAS >OGLUM07G05540.1 pep chromosome:ALNU02000000:7:4869409:4872272:-1 gene:OGLUM07G05540 transcript:OGLUM07G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGRSKV >OGLUM07G05540.2 pep chromosome:ALNU02000000:7:4869905:4872338:-1 gene:OGLUM07G05540 transcript:OGLUM07G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQHDKATPLIAGLTVAAAALAGRYSIQAWNAYKARPVVPRMRKFYEGGFQPTMTRREAGLILGVRENAHPEKVKEAHKKVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >OGLUM07G05550.1 pep chromosome:ALNU02000000:7:4873029:4889100:1 gene:OGLUM07G05550 transcript:OGLUM07G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTHEPLTKAGISEREKRSPFPVLRHRPARRTRRERDGYAIGLGYAEAAGYSALRPPRRSPEVVVVVGGRGGGGDLVGEDSHRIGVWVFSLAAPSTTSSPAPPRTCSGCPIPERREIQAEAAYGGGADGDLAAGLPPPPPPPPDTPISLPDRTVLPRIARGHRHRLHLLSASPPRRLPSPAAAASVLLPSAAGAAAKIPHRARATYSLSLSTRFRRGYKIHEHPCKDHFQWVTSPSAQLVYVTIHA >OGLUM07G05550.2 pep chromosome:ALNU02000000:7:4873029:4874600:1 gene:OGLUM07G05550 transcript:OGLUM07G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTHEPLTKAGISEREKRSPFPVLRHRPARRTRRERDGYAIGLGYAEAAGYSALRPPRRSPEVVVVVGGRGGGGDLVGEDSHRIGVWVFSLAAPSTTSSPAPPRTCSGCPIPERREIQAEAAYGGGADGDLGDPTPATVACPSRRAAAAAAAAA >OGLUM07G05560.1 pep chromosome:ALNU02000000:7:4889317:4896045:1 gene:OGLUM07G05560 transcript:OGLUM07G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAVTTATGARWRSWAFANMGTLLAHFGSLSFFLGPLLAAYAPRRLLLTYFNLFLRRRARRLLNAVDPYITVDISECPAAARYYSRYDPVDARDTTYDEAKAYLSATCSSEARELHAEGAEEGDGLVISMRDGQDVADEFGGATMWWSSVAAEQQAAPPPPQGAAERRCLRLTFHMRHRRLVVDEYLPHVRREGREVLFSSRRRRLYTNNKMSEYASYSDEKAWSYVDFDHPTTFETLAMEPAKKKAIMDDLDAFRRSREFYRRTGKPWKRGYLLHGPPGTGKSTMVAAMANYLDYDIYDVELTVVGNNNNLRKLLIETTSKSIIVIEDIDCSLDITGDRAARRPRPPPSYRDGHDRRSSDVTLSGLLNFIDGLWSACGGERIVVFTTNHLDKLDPALIRRGRMDMHIEMSYCXPGAHPPRPHGHAHRDAFKTLAKNYLDVDAHHLFDAVEELLRDVNLTPADVAECLMTARRSGSDDTSCLEICVDELKKRAEERGKEEAEAKAREEAEAKAMAEFEEKAKEKALAKAKAVTATATAGGCRVVRWRRWTFASLGALLSNLGPVWFLVAPLLAAYAPRRLLLTYFNLVLRRRARRLLAAVDPYVTVDIPDPGAADAHQQYYHHRSRLGGRRAGDNAYEEVKAYLSAACSSEARELRAEAAAEGRGLVVSMRDGQDVADEFRGATMWWSSVDEEQQGGGARRRSQRLTFHQLHRRLVVDEYLPHVRRRGRELLFHNRRRRLYTNNKSLSYSSVYHKAWSYVNFDHPTTFETLAMEPAKKAAIMDDLDAFRRSGEFYRRAGKPWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTMVSDNNDLRKLLIETTSKSIVVIEDIDCSLDLTGDRATRRPGEIRGGGSMVTLSGLLNFIDGLWSASGGERVVVFTTNHVEKLDPALIRRGRMDMHIEMSYCRAAAFRTLAKNYLDVDAHHLFDAVDDILDKEDITPADVAECLMAAKRSSDSDVTSSLEFLVDELNKRAMENAKAVAEAKARAEAEAEAKAMADDDSEEDDDDYSDDYTDDDDYDDD >OGLUM07G05570.1 pep chromosome:ALNU02000000:7:4900101:4901534:-1 gene:OGLUM07G05570 transcript:OGLUM07G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALAGVMFVWSMLSPLLPRQLFEHFVGRFLRRHARRLAGLVDPYLTVTISEHCGERMKLGDVYEQAKAYLSHRCARRARSLRAERAARDGGGDRFLLTMGDGEEVYDVFQGATVWWNSVSSGGGRRYESPWFGGGGVVYDDDRRAYRLLFHRRHRDLVVDSYLPHVCREGRAIMLRNRRRKLFTNAGGDRYRKSAWSYVAFEHPSTFDTLAMDPAKKKDIMDDLDAFRDGKDYYARIGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVATNTDLRRLFIETKGKSIIVIEDIDCSVDLTGKRKKRSPHSAAAAAEPVDAAKDESASKVTLSGLLNVIDGLWSACGGERIVVFTTNHVGKLDPALIRRGRMDKHIEMSYCCFETFKILAKNYLAIDAHHLFDDVRSLLQDARIKITPADVAEHLMRKCATAAADEAAACLASLVKALEKKAKGKETVEEEETVVDE >OGLUM07G05580.1 pep chromosome:ALNU02000000:7:4903313:4904311:-1 gene:OGLUM07G05580 transcript:OGLUM07G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGSESDRSGVVWSPWPVASGSGWVTETSGSVWLPPCSRPRHDTARLREQGPGGGRWGGGGARQERRCCIRRRRRGIRGERRRRENGKAQLPVCPNAAAARRRGCGRGVDDEERRGVTQGRKRARWWKEEGGGRRATRTMAIAPQWDPVGTRGTPTPTPTRRGSRQEERTWANGMWCKSGGGGGGVGEGAGKRGGIYPTGRERLSLDSPLLRFLQRRFLASTASSSESES >OGLUM07G05590.1 pep chromosome:ALNU02000000:7:4904157:4911170:1 gene:OGLUM07G05590 transcript:OGLUM07G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich family protein [Source:Projected from Arabidopsis thaliana (AT5G62640) TAIR;Acc:AT5G62640] MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVREVGILKKDPEAILEQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEEKMKEKGEQPIMFSHLGPPKRRPAAEEDDRAKNPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSAGASSSMPGTEEAGPSTLPPPPPPPPLPASSEPVDPSAASLPPLPPPPPPPPKPANIAGAPGLPLPPPPPPPPGPPPREIVPGQTLLPPPPPPRPLQPSPLAGTNEFANKQTIGEGASLTDSAQAKGALPPPPPGLIRNSSEMQNANEVPGLKEDDKVTRILPPPPPQPSHLPPLPPRPPTMPSMQPDMLAPGVPRFPPPPPPPDTRPPFMAPGVNARPLPPPPPGLPPAQMQMAPFGVPPGPPPMLPPPFYPGPPIQTGDFAAFGPRPNVPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVQQSATTSSSALKPSVAPIRSEPRPSSSVSKPQSIDDSYMAFLEDMKELGALDE >OGLUM07G05600.1 pep chromosome:ALNU02000000:7:4914183:4914928:1 gene:OGLUM07G05600 transcript:OGLUM07G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSASSTGAVLLFAIAAVLLLAVRDGHCAQLCMDSTFPRTVNGSLTFCGYNGTACCNSTDDAAVQRQFAAMNISGTPCGELVKSILCARCNPYAGELFTVTTSPRTVPRLCNSTGVASRLSGGKAAAAAATDYCTTVWDTCKAVRIPGSPFQPPRGGAAAPTLTDVWQSSGDFCTALGX >OGLUM07G05610.1 pep chromosome:ALNU02000000:7:4914782:4942346:-1 gene:OGLUM07G05610 transcript:OGLUM07G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGTHGMSTILSTTSVRPSRAAMCSGVSMSMLRVRPHKGCSSSSRSATALRRCFTASCKYHIPPTGDSLRKGELWKEASPRIATRDGNGEFTVGDHACVPVTAPWSADGGGDGGSRRRSDGGSRRRGFGVTQKLKSPDDCHTSVSVGAAAPPRGGWNGEPGMRTALQVSHTVVQ >OGLUM07G05620.1 pep chromosome:ALNU02000000:7:4915350:4917653:1 gene:OGLUM07G05620 transcript:OGLUM07G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNKTRNTPLHEAVKQRRSAVALRLLAAEPNCGHTPNVDMQTPLHIAAREGLADVVDKILDQPWVPEKFVTADNVSGTALHQAVLGGHTRVVEILLMKTAPGLIDLTDAVGNTALHFAAQKNDKRMVRMLLDHRPDLAHRCNERQQSALHVAAYYGSTAAAAELLRHSPDAAEMLDREGRNAVHVAVSSGKVDALRCLLGRVRPAEVVNRGDNSGDTPLHLAAKMARIKSALMLLRDPRVDPCLLNRDGHSARSLVEERVAGGDMDAYVVYLWEKLKKYESRRCKNQQLPPVATYQSLRSRRPGSGSNDEYFELSVGTYTLVATLIATVTFAATFTMPGGYNQTTGLAIHAEHPAFKIFVVSNTVAMCSAIVVVFCFIWAWRDPVKFKLDQLTWGHRLTVVACLAMIVSLMTSVYLTVLPTERWLAYLVIAIGACTPAVVILILRWEVFYVPL >OGLUM07G05630.1 pep chromosome:ALNU02000000:7:4922804:4923142:1 gene:OGLUM07G05630 transcript:OGLUM07G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLHKAAVQGNTASLAALLGEKQLGAKILNSTTPQGNTALHIAAGLGRVAFAEAAAAEHGDLLVARNDQGDTPLHLAARAGKMAVADMLITFITMAGACWPEVSVRFLFF >OGLUM07G05640.1 pep chromosome:ALNU02000000:7:4939781:4947270:1 gene:OGLUM07G05640 transcript:OGLUM07G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRHKAAVQGSSASLAALLWEEGGAKILNSTTPQGNTALHIAAGFGHVAFVEAAVGEHGDLLIAKNNEGDTPLHLAIRAGSMAVVDKLFTFSTLAGPCWPEEEPLIMMNNAHNTPLHEAVKHRRSAVALRLLDEQPLCGRTRNMDMLTPLHIAAREGLTDVVDKIVDIPWVPEKFIATNNVNGTALHQAVLGGHTRVVEILLVKTAPGLIDLTDAVGNTALHFAAQKNDKRMVRMLLDHRPDLAHRRNDRQQSALHVAAYYGSTAAAAELLRHSPDSAEMLDKDGRNVVHVAVSKVDTLRSLLKLMSLQADVINQGDSAGDTPLHLAAKMAHVQSTLTLLKDPRVNPCLLNRDGHTARSLVEERLTGGEMDAYVVYLWEKLNKYESRRCKNLQQLPPVAVATYQVQSLRRRGHRSGSGNDEYLGPTRSWPPSSPPSPSPPPSPCPATSGLAIHADRAAFSIFLVSNTIAMCSSIAVVFCFIWAWRVPAKFNLEHLIWVHILTVIACLAMIVSLMTSAYLTVLPTKRWPAYLVITIGACTPVVVILILGKEAFYIPFVQKPLLPVDVKSHNSNGDIQI >OGLUM07G05650.1 pep chromosome:ALNU02000000:7:4948955:4949562:-1 gene:OGLUM07G05650 transcript:OGLUM07G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMQWITTVVRSCPLCVLLLVQVTHWPNGDKELLHRRDVKYSLTKALVAFYPFAGQLGVDGAGHIEIDYTGHVPPSLRCGGVVLSLASIARASAEALLPPTETPHLYRDSDVLRPQAAHHMCPVYYARSLGPLWCSAAGICGDHVKQAAASNQQVQANHHHAKQAAGTRMKK >OGLUM07G05660.1 pep chromosome:ALNU02000000:7:4952817:4953014:1 gene:OGLUM07G05660 transcript:OGLUM07G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSIVVFCFIWGWRDPVKFKLTWSHRLPRHDRLPHDLRLPHRPPHGAVAGVPGHHHRSLHSGPS >OGLUM07G05670.1 pep chromosome:ALNU02000000:7:4955743:4961257:-1 gene:OGLUM07G05670 transcript:OGLUM07G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRWRLRCMWELASVLNFLHVYRPLLNISGEFTAEDLEEALITPNSTLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLRDWWHWVAEGDIPIVASHGTEIEAYKVLEPATRLIILKAICDIRVEQEDIRNFIDSSLKHGYDLSTFRKERIGGDAYGISYWYEDDPILGHRLYREIRRVEQLKKEPGKRSRGKGGSIILPVVSYQWETVASNFDEFDDVAEKLFSSRNRTEANLGKKLKIEYLPDIEKIHKKKERLLKKQQREALLIDSFLAPDGFTTGRSLRDRKPVTYTFDEYDRSISEAIKITKKGENSAEPAAPANRRILTPRPEASSNGKVNGLSPTTNEYDGNSSKSDDYRDSDGEEESETLDRSNRRRRRSQRYTRDFVEAVSDIDPNFDSDDEIMGEAVYDEEYLRTRKQQKTSSASEEDEEFRLEEDAEDDDEEEEEYSLSTSEDLEEPQQRKKLQTRGRRGAKLRSVDEIQTGLRRSKRSSRQRINYQQYDYSDTDTEGGKEGKSDASDPDAGFDAENDTELSTSSQEQEEDEDDGPEEQKDNSDDNKMDEDHVMVENKEEQEEQPQPPPLPPQQPVEKMEAPSREIETVGRTFLDLNELAPGGGFDDGPSLTMKDEDMDNS >OGLUM07G05680.1 pep chromosome:ALNU02000000:7:4966241:4968893:-1 gene:OGLUM07G05680 transcript:OGLUM07G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVPSLAAELWRPHHHRHHLEASSVVTDQGSGSRGGGGSGRRRPRRDAGPEDDDSSKVVSTSAASGGGGGQDSVAPEAKRLKPMKSSDKNDSLRTEAGTDSGNSSKAADKNATPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKASVLDEIINYIQSLQHQVEFLSMKLEAVNSHMNNGIVAFPSKDFGAQPYNTAAGLTFDPQTTREFAQGSTSEWLHMQIGNAYERVT >OGLUM07G05690.1 pep chromosome:ALNU02000000:7:4968931:4982767:-1 gene:OGLUM07G05690 transcript:OGLUM07G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGQDDDEDERDDDAQDDQLDLHVGMKRECTLFFSPVHFTDRPPPPRRHIAAAAAAAGKLAKP >OGLUM07G05700.1 pep chromosome:ALNU02000000:7:4979577:4983288:1 gene:OGLUM07G05700 transcript:OGLUM07G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCK >OGLUM07G05710.1 pep chromosome:ALNU02000000:7:4983083:4991563:-1 gene:OGLUM07G05710 transcript:OGLUM07G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGPTSPKHDELRRLFSRFGKIEREKKIRAKLSFYYVASLGGVYIMCIGITGGRINSKTVKSTSCGVR >OGLUM07G05720.1 pep chromosome:ALNU02000000:7:4986182:4991049:1 gene:OGLUM07G05720 transcript:OGLUM07G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AGV4] MQGGGAAASAAAAAATQQHRELLERYELVRVRGRGSFAQVWEARHRRTGLSVAVKILNLAGLLASGIPIRKVEREIAVMRLLNHPHIVRFHEAIAGGDGGGHVYIVMELATQGQLYDYVTQLGRLREDDARRIFQQIISGAEYCHHNMVVHRDLKLENILMDSEMNVKIVDFGFSKFFRHNKVLSASCGSREYAAPELLAGRKYVGPPVDVWSCGVILYILFCGRLPFDSADVSELHRIIKRAEFSIPPYVPDDARDLISSMLIVRPDKRLTITEVRTHRWLQHSIPRYLAMPPLNARTQITRASIDTETVDKVVGHGFERRYLVESLENKVENEATVAYNLILNKKFDAPTRYVWTIDVYQEAGQSNTTGAAEATGSSAAGEPPVAVAGEDDGRNNGWALGGVEFHECPREAMRAIAAALRETGVVYAHDDDDRGRYGKLLCARFAGAAGVRRIIRSYLAATDDAPSSASSAASAGGGSGRGEAGHGGGAPVDDAVLESLSAAVFFEIQLYKSEGEGNYLMDLKRLSGPQLQYLNICSELSSKLRAIN >OGLUM07G05730.1 pep chromosome:ALNU02000000:7:4991652:4992055:-1 gene:OGLUM07G05730 transcript:OGLUM07G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMRRRCWCNSLARVGPDKARSRAPVGTTAFPSIGYTNREVVKARFDWHGEKIIEHELDRNGDGSLCPPSQRPTTKDRWRGRAGALFLGSLCVEMKWSRWRCSRDEMKTCLGVLRH >OGLUM07G05740.1 pep chromosome:ALNU02000000:7:4992078:4992359:1 gene:OGLUM07G05740 transcript:OGLUM07G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRGRSHPFFVGADLNPPPSSALVKRTEGSEGTGHRSSGDAGTNRSLPACAWCCLPATPRRTGSVVACPLSIWSNTAPPWSRHAVDRPGRW >OGLUM07G05750.1 pep chromosome:ALNU02000000:7:4992753:4993382:-1 gene:OGLUM07G05750 transcript:OGLUM07G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVAVVLLLLFGLAAVAVTAARIMPDDDCGDTANAAGAAGVGEAKTAFGGSDGRGGLFGGYTGPLGGGAAGFGASGGPFGGFGGGVGLGGGGGGFRPGKIHVADYLGPPVSQWKG >OGLUM07G05760.1 pep chromosome:ALNU02000000:7:4994034:4998717:-1 gene:OGLUM07G05760 transcript:OGLUM07G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINGGLVHSHRSLEDFNAGDAFSSMKRRVPNGPDPIHNRGTGESGRSPGRAKAHCKFAIEEQISSGKHQRYGEVVVHDSTHQGLNPSAHEYYARAGDVFAVV >OGLUM07G05770.1 pep chromosome:ALNU02000000:7:4998743:5002447:-1 gene:OGLUM07G05770 transcript:OGLUM07G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVMMAMRKRMRGALLALALLLTATAVVPLLLLGEAGDDGVGAVAAAPPFNASRVRAVSWRPRVFVYKGFLSDDECDHLVKLGKRKMQRSMVADNKSGKSVMSEVRTSSGMFLDKRQDPVVSRIEKRIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFHDKVNQALGGHRYATVLMYLSTVEKGGETVFPNAEGWENQPKDDTFSECAQKGLAVKPVKGDAVLFFSLHIDGVPDPLSLHGSCPVIEGEKWSAPKWIRIRSYEHPPVSKVTEGCSDNSARCAKWAEAGECEKNPALQKHIRASSSRKKEAEKKTRSKKHIPLPPQQYNVCQVSEAGIGDNIGHPIWRPHFGVIVGGDKRKTAVSGE >OGLUM07G05780.1 pep chromosome:ALNU02000000:7:5007070:5007872:1 gene:OGLUM07G05780 transcript:OGLUM07G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRKPTVGLVSSPDAVVEVGISRILLEGEERERWKWKWNRTKGSLIDGPYISALSMKSGQSQQYHLEASCEDIEIKQLSGQNSHVQWA >OGLUM07G05790.1 pep chromosome:ALNU02000000:7:5012470:5016338:-1 gene:OGLUM07G05790 transcript:OGLUM07G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRKRLGRAALLLAAAAYLAFLLLFELPSLDLFPSSDAAAGAAMPTHRPRRRELEASSSSSAFASPVLRRPATAVSPAPASAAAAAAGALPIFSSLLLLPRPNATATPFDGTAAEAFAAARPHLDHLRTAAAAAAEEASSSSTAPTCPTSISVHADGLPGDGVRTVELPCGLAVGSHVTVVARPRAARPEYDPKIAERKSGQEPLMVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSGKPVIEMNSCYRMQWGQSQRCEGYASRPADETVDGQLKCEKWIRDDDKKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGYTLEDATGLSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMCTRKSTNIVARFFVALNGKKEVNAELKREAEFFQDIVIVPFMDSYDLVVLKTIAIAEYGVRVIPAKYIMKCDDDTFVRIDSVLDQVKKVRSDKSVYVGSMNYFHRPLRSGKWAVTYEEWPEEAYPNYANGPGYVISADIARYIVSEFDNQTLRLFKMEDVNMGMWVEKFNNTRRPVEYRHDVRFYQSGCFDGYFTAHYQSPQHMICLWRKLQSGSSRCCNVR >OGLUM07G05800.1 pep chromosome:ALNU02000000:7:5031705:5034817:-1 gene:OGLUM07G05800 transcript:OGLUM07G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSRSRGDFDHLIKLLLIGDSGKFYTSSSSPPHKSELCPVLFRIPLWLFRVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >OGLUM07G05800.2 pep chromosome:ALNU02000000:7:5031705:5034820:-1 gene:OGLUM07G05800 transcript:OGLUM07G05800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPSSIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDTKRVVSTAQGQKLADEYGMKFFETSAKTNQNVEQVFFTIARDIKQRLTETVAAAAEPPTIQISRQEPDQAAASSRWSACCNT >OGLUM07G05810.1 pep chromosome:ALNU02000000:7:5038166:5041615:-1 gene:OGLUM07G05810 transcript:OGLUM07G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSSASAAAGASCRRRAIEVLAAVLVLYAVLVFVLESPLVSTSLSGGGGGGGGGGGGARYLRLAGGGVRAAPARPAKEPRLAASASVSGPSARGRRLSGMVSGLDLGLLNSSRAGPLRRPIAGAVETGARVFAELEDLDTAAFESPSAEGEAEAAKCPQSVMRSADEFHGRGRVVELPCGLTLGSHITVVATPRPAHAEGDPKIAVLKEGEQPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWKSNSDEETGWGPLQFHFDYVSSVDGFMKCENWILNADERSKESTTTWLNRLIGQKKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSVFAGSLPTTHPSFSPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALHGRKEVNVELKKEAEFFGDIVFVPFLDNYDLVVLKTLAICEYGVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSFYIGNINFHHRPLRHGKWAVTYEEWPEEVYPPYANGPGYVISSDIAGAIVSEFRDQKLRLFKMEDVSMGLWVEQFNRTRPVEFVHSTKFCQFGCVDDYYTAHYQSPRLMLCLWQKLLDGKPQCCNMR >OGLUM07G05820.1 pep chromosome:ALNU02000000:7:5051208:5052530:-1 gene:OGLUM07G05820 transcript:OGLUM07G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSQCAKVSGEAGTTASPSAAAAGGGGGSGAALPADVLFEVLLRLGPKDVCRLRGVCRSWRALTTDATFVAAHAARHRGPLLAGGVLDFDAFPSVDVLLMDLSGSVVKRIRHAATHLVLPTTNLDLLCVTEVYTCRATLLNPVTGAAVHLPEPLSTPHSLRGRSLSDFDGSFKYGRDSNGDYKVLRVLTDCHNKQCPEQLFEILSLDESSRYHMRWRAKKALPMCVRRDAIGGVVINGVVYFLLDGRPNGVKNAHRESYEMDHMALFDLCSERWISYLEGPMATHPEMNNIDEILPEPLEMSVYQNLSLSELSGALVVAQYTDYRSAEIKSYVDLWYLMDSEKQIWEKKYRIVLEMDTWDTEHIFPHAHPSLILDDGRILIYITVHLANFEGQYTRRVMRLYDPETDTLGSDLVDVKNIHSIGFFSGSLLSIQNGQGL >OGLUM07G05830.1 pep chromosome:ALNU02000000:7:5059384:5062912:-1 gene:OGLUM07G05830 transcript:OGLUM07G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucosamine mutase-related [Source:Projected from Arabidopsis thaliana (AT5G18070) TAIR;Acc:AT5G18070] MAELAAGGDQRAALLAAATLFPPPPDGARFSYGTAGFRAEGAAMGPAVCRAGVVAALRSAKLGGAAVGVVITASHNPVRDNGVKIVDADGGMLSQDWEPFADALANAPNPDALLQIVLQFAKDEDIKLGGSHSAQVLLARDTRPTGEYLLDAAVKGVNAVIGAVAVDMGILTTPQLHWMVRSKNKGLKSSETDYFSQVIDSFRCLLELVPKDKEADAINNRLIVDGANGIGGLKLEEIKAKISGLDIHVRNSGKGEGILNESCGADFVQKEKVVPLGFGPEDVGFRCASFDGDADRLVYFRIVSSSDTRIDLVDGDKILSLFVLFIREQLDIINGKDNKGNEVLPTRFGVIQTAYANGASTDFLKNIGLEVVFTPTGVKYLHKEALKYDIGIYFEANGHGTVLFSDHFVSQLESLTSEFSSKAAGSSQHQAAMRLLATSQLINQAVGDALSGMLLVEAVLQYKGWSFQNWCDLYTDLPSRQLKVKVQDRNSIVTTDAERRVCQPNGLQELIDGEISNYSHGRCFVRPSGTEDVVRVYAEASSEEAADSLAKRVAQHVERILG >OGLUM07G05840.1 pep chromosome:ALNU02000000:7:5064549:5067672:-1 gene:OGLUM07G05840 transcript:OGLUM07G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNATTWTKLHSLPIKNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVENS >OGLUM07G05850.1 pep chromosome:ALNU02000000:7:5070377:5072038:1 gene:OGLUM07G05850 transcript:OGLUM07G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYRIRFSGHGKKRKREPESQSDNHQIAVAETAMLEDSAPPPQPVHPPAAMVNCVSDDTDQGFSGAVPPPAPVVHHTNDSDVTDRYSSLVFSDQPGSIYEDELQSFVPEFPARNLFVSLPEGSHEAEPAQSVSSLADVGGPENMDDQSCSGVVFANLPELIVLPSAPSLDNQHDEAPVFFEFPESMDDIVGCFDFASMDNQSCTSAIPEEPFLPPAAMVNHDDGYASNNADQGCSGAVPLPAAVVDLPNETDGADQSCSGVGDNSTLLFSDVTGSIDEDELQSFVPEFAASNLFVSLPQGSCEADAEADSGGGVAPAQFAEFGGSESMDDSSMMYPLNFPAEAGGGGGRAAPASSWVSSQHNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGSVPPPSAVVDLPNDSDQSCSGYYEAELKVPLEYAARNPVDSPAKGGNEAEVDASNGAGSMMSSPDKQKEHSSSGVMDVEATGFGVPNSMDSLSCIDFAETMDDLSCIDFTIDDELFDLWS >OGLUM07G05860.1 pep chromosome:ALNU02000000:7:5078476:5079705:-1 gene:OGLUM07G05860 transcript:OGLUM07G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGTSRRRGRRGRRAAGRCAGLPLDALFEIMLRLPARDVCRLRAVCRSWRAVASDRAFVDAHASRHPGPYVAASFSDDDGGDESCGVDIVDLSSGDIAKTIYTDVSGSRVQRTRLDLVCLVEGPSPLDVTVLDPITGATYSPAKSISADNEDLLSSGRLIMESCAFGKVPSTGEYKVLRLLGSGTPCELYECEVMTVNGAGALQWRAIQGPQLPVCSSNNMRSVWSSNNMRSVVINGVAYFLLDYSRLYCSNDGLLIRPGNIVPFDLETEEWMGILNGPKPVARGRDMIVISSTLEIMEPLSLADLNGSLVMVHAVYGSPMDLWFLSDLEQGLWVKKYSIDFEYYNNNAYPLLLLDDEKIVFLLRGTNVLQSYDLKDDTYTDILVVPDFRSVGIYTGHLLSLEGGLN >OGLUM07G05870.1 pep chromosome:ALNU02000000:7:5082609:5088934:1 gene:OGLUM07G05870 transcript:OGLUM07G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit S, chloroplastic [Source:Projected from Arabidopsis thaliana (AT4G23890) UniProtKB/Swiss-Prot;Acc:Q9T0A4] MAPTTPSSFLRPPPLPHHHHHPRIVRLPPPSATFRVADLLGGRGLCNGEVGIRKELASDPPAAPPSTTASSDEPAESPPPAASGVDPDAFDKEMMGLTGGFPGGEVGLKDFVAKNPPPPKPAHRKGLAGGAAATTAERPRAPELPLFLPGMVVLVKNPDNAYHMYCGIVQRVTDGKVGVLFEGGIWDRLITFDLDELEGREKGPPMVNPKSVLLESLAAEMEDDVAKEEEGEEAKKKKEEEGTAAAA >OGLUM07G05880.1 pep chromosome:ALNU02000000:7:5090358:5091463:-1 gene:OGLUM07G05880 transcript:OGLUM07G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVVLSDVEVSMIEQGKTTTLPQKVSVTPEHERPEALLDANLKILLMIIVTGVSLGVFVTCLVLAFVLDLTVEGRAMVVFTALVGVVYGVAGYNIIRAC >OGLUM07G05890.1 pep chromosome:ALNU02000000:7:5096841:5099840:1 gene:OGLUM07G05890 transcript:OGLUM07G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLERLGNGSYLNMAPHPDGSNRVFLNNQAGKVFVATVPAQGSGKPLQVDAATPFLDITDEVHFDNEFGLLGLAFHPEFAKNGRFFVSYSCDKTQSASCSGRCACNSDVGCDPSKITADNGAQPCQFQTVIAEYTANASSGSPATILFSKADGYLYLMMGDGGSVGDPWNFAQNKKSLLGKIIRIDVNALPTGNSTAGWGNYGIPKDNPFSTDSKFAPEVFALGFKNPWRCSFDSGKPSDLYCADVGQSSYEEVDLVIKGGNYGWRVLEGTTAYLPLASPGGNTSAADIDAIPPVMGYAHSAVNNNVGSASITGGYVYRSGTDPCLAGRYLYADLYAQSAWAGLESPPGSGAYDVTPLPFACSGRSPIPCDAAAARSTLPSLGYIFSFGEDNAGDVYLLTSKGVYRVVDPAECGYACPIKSSAPGTSPPPGSSPSGGAAAAVVPAAAATMAALLLMGALLAL >OGLUM07G05900.1 pep chromosome:ALNU02000000:7:5104307:5105494:-1 gene:OGLUM07G05900 transcript:OGLUM07G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPRNRTTEKAHVDDEALQRYCGAWRDMQCSRRGGGGGGDAFVVGAVYHIAEDLTDITLMDAYSGEVVRRMDGLSRPGLRVCAGGDMICVVSPGDGLLRVVDVATGDLTDLSMGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNNTTWTKLHSLPIKNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKCACVLGFYSGPLAQGEEF >OGLUM07G05910.1 pep chromosome:ALNU02000000:7:5110679:5115256:-1 gene:OGLUM07G05910 transcript:OGLUM07G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYAITAPADLASSTMRLYRIRFSGHGKKRKREPESQSAHHDDGRARCAPQIAMPETALLEDSAPPPQPVLPPAAVVNSVSDGAVPPPAPVVNCDSDVTDQYSSLVFSDQPGSIYEDELQSFVPEFAARNLFVSLPQGSHEAEADVVGGALPAQSMSSFADVGGPENMDDQSCSGVVFANLSDLIVLPPVEASGAAPAPSWASSLDNQNDEALVFFEFPESMDDIVGCFDFATMDDPTCTSAISEEPFLPPAAMVNHDDGYASDNADQGCSGAVPLPSAVVDLPNETDGADQSCSGVVDDSSMVFANIHPLDSPAEGGHEAEAGAGGGRAAPAPSWVSSLDNQNDEAPMFFELPESLDDMVSCFDFAAMDGQSCTSAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGAVPPPSAVVDLPDDSDGADQSCSGMVDDSLPGYYEAELKVPLEYAARNPVDSPSKGGHDHEAEVDASGGAGSMMSSPDKEKEHSSSGVMDVEATGFGVPDSMDGLSCIDFAETMDDLSCIDFTIDDELLLLTDNVQNKKQIGRQFLCESETYSGEERFLVGFYDLSCIDFTIDDELLKVERKDEVWMNASSQSTNNHSII >OGLUM07G05920.1 pep chromosome:ALNU02000000:7:5117947:5118792:1 gene:OGLUM07G05920 transcript:OGLUM07G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSAATGRNVSSGYTLGKVPATGEHKLLHVYAAANGSQSSEVLTITTGGGGGGCQWRATRSHPPMRIEHGISRSSATVGGVVHFLSPATTSAPRDRGVECDTIAAFDLATEQWRPALMDGPLPADQRHRGQRPNLGLAALDGRLVAVHHDYPGRTINLWSLTPNATTWTKLHSLPIKNVLRGWEEEPTSHPAAAAEAKRGGRSKKRKKKEEEVRWLCREKVAQPLAMVGDGRMAVWARGCEGAVRLHDPRTGACEEVAQVEKCGCVLGFYSGPLAQGEEF >OGLUM07G05930.1 pep chromosome:ALNU02000000:7:5121810:5125651:-1 gene:OGLUM07G05930 transcript:OGLUM07G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGSAPGSSSSSNLMRTFSPREVEDQFHIYRLKDEIAAVVATIDKIYSHLQLDKGSLEKKNGFCFGLLDPVTNILINSAISELSPATAAQAVVGGGGEKAKDLNNNAAPRVEAGGGSRKRRRRGDNAADLSQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPIVASLLIIRRHGIREFDLSSQPTEAAVEVALGCAAVAAKHPDPRSLVLGWKLLSPVVEALFGSAPSSPRETTMHGDVARRVLRRLHKDNAAADRVLRLEEPMLMGGHCYGPLDPVSNIIVNTIWYEHNFPESKQFPVAMISTTMLSCIVARSLYGLVSFLCTRYRGLTPDLAMQRLLVTGVNLKAADPNFSPTPASAPSRKKCLDFSDCAQVLDDPDTSHIQHSVVEESTPSAGVDESYIAAATAGFHGYPLAQQEFLASPTGLLSKHELVSEVLHIQVCVPGSQSASDGPLSPQKLSLLRTILQRCPSSTGKLHQQQDVACRKEDHPFELHFICGVNELVSGPVRSLGEKVGDYNPWTRDKYYHTHINFLAVCKARLYDPPTLFFAECGKDGADTCWCVPVIPQKPEAGQVRCIYCEYQGNRILHPAMESFHGRDEFEKLFYGSNGSYTNDKLITNSDLEVDWVHGVQDGAIYRDCCPDSDDDEDDWIDIF >OGLUM07G05940.1 pep chromosome:ALNU02000000:7:5129119:5131504:-1 gene:OGLUM07G05940 transcript:OGLUM07G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDGLPPGLRFEPKDDELARAKNGKGSRQKRTVEGGGYWQGQRMCVDGERLVVPDGGGGGGVEIAWRKYVLSYFADGEKGSSGWVMHEYAITSPADLASSAMRLYRIRFSGHGKKRKREPDSQSAHDEHGRARCAPQIAMPETALLEDSAPPPQPVHPPAAVVDCVCDVTDQGSSLVFPDQLGSIYEDELQSFVPEFAARNLFVSLPEGSRDVVAEAALIEDLALSPQPVPPPAEVVNQADDSDGADQDCSSVFAALPDLIVLPPEEACGSGGAAPAPSWASSLDNQNDDAPAFFEFPESMDDMVGCFDFASMDNQSCTSAVSEIAVLEEPFLPPPTMVNHDNNSVSDGADQSCFGVGDNSTLVFSDLTGSIDEDELQSFVPEFVSLPQGSCEADAEADSGGGVAPAQFAEFGGPESMDDPLNFPAEASGGGDRAAPASSWVSSQDNQNDEAPMFFELPESLDDMVGCFDFAAMDGQSCTRAVSETALIEELVLPPAAMVNHHDDSVSDIADHGCSGSVPPPNSEVVDLPNDSVGADQSCSGVVDDSLPGYYEDELKDASGGAGSMMSSPEKQKEHSSSSGFMDVEATGFGVPDSMDDLRCIDFAETMDDLSCIDFTIDDELFDLWS >OGLUM07G05950.1 pep chromosome:ALNU02000000:7:5133329:5136280:1 gene:OGLUM07G05950 transcript:OGLUM07G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >OGLUM07G05950.2 pep chromosome:ALNU02000000:7:5133583:5136280:1 gene:OGLUM07G05950 transcript:OGLUM07G05950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAAGWGLGAVDGVLPPELLLDVLLRLPAGPICRLRAVCRSWLAFTTDPHFVAAHAARHPAPLLAVGVQGFPRLCVDLVDLSGNLVKQILRVGKGRVVSGSSADRVLVAGEDHSVRVLNPTTGSISILPSHRCGGADPSTIAAWFAFGQTASTGECKLVRILLNIDNSRHLSEVITIGDTDGEWRETANPPGYLGWNCTNGVVFKGAAYFILDYCFSDPSFLERGCMPSFDFATEKWSVALQGPLNRILEESNGTLSYHDLANQLMLSGLKGTLCTSHWNDQFYTVDLWFLTDSEKGTWSKDHRINVDAVFHGIGDYLKVQPLLVTDEGKIVLSMQMGSKGVVQIYDPVTDTSSDIIQISIYTGASVFTGSLLCPQSVGRALYEVLPQSWALRISTALMMFRFGVIKV >OGLUM07G05960.1 pep chromosome:ALNU02000000:7:5135990:5144877:-1 gene:OGLUM07G05960 transcript:OGLUM07G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATLFRSYPCRNERQMRTGTERPVLVTSGNTIEGKPDDLRLKDEIAAVVATIDKIYSHLQLDKGSLEKKNGFCFGLLDPVTNILINSAISELSPATAAQAVVGGGGEKAKDLNNNAAPRVEAGGGSRKRRRRGDNAADLSQRSLDGLTAFLTCLFPYLPDAEARLYLDAADADPIVASLLIIRRHGIREFDLSSQPTEAAVEVALGCAAVAAKHPDPRSLVLGWKLLSPVVEALFGSAPSSPRETTMHGDNISQ >OGLUM07G05970.1 pep chromosome:ALNU02000000:7:5144890:5146612:-1 gene:OGLUM07G05970 transcript:OGLUM07G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPLCLWVLNPATGVTVALPKNHSDEIAAGRGMMMYHGKVESHAFGKISSTGVYKALRIIRFYQRQLCEVIAVDGNNQDMWRKMQGPPATICCSKQMRCVVVDGVVYFMMEFYTTYFEIVVLPVEPGSIASFYLETEKWMTVQGPEVVHRHVQDGDSTYSELNLQLSLADSGGCLVTVHNIPPIRMDLWFLTDSETGMWVKKFSLPSQFIIVTVHPLLVLDDGRVYTRSVNKEFRSEDPGTGTCATSVVTVQRSVSVPPGTKILAVGSSPIQRLVEFGTGRYQLCLCAVPRGELRWKGKNGWTGSSNPISAGILDRSTPPSPPGSTPPTLGAVAAAAAGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVATATAAGKDDIDAAAAGKDDIDAAAAGNDEFATAAACFNAAAAGKDEFDAAAAACFNACRNPPLAAT >OGLUM07G05980.1 pep chromosome:ALNU02000000:7:5148780:5152315:1 gene:OGLUM07G05980 transcript:OGLUM07G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hexokinase 3 [Source:Projected from Arabidopsis thaliana (AT1G47840) TAIR;Acc:AT1G47840] MSAAAAIASPIPAAIAVVQQQRRGRSRGGGSGAAAVRCSAVAPTSAIAPILADLRLRCAAPLPVLRRVADAMASGMRAGLADDGAGELKMIPSHVYSLPTGNETGLFYALDLGGTNFRVLRVQLGGKDKRIIDTEFEQVSIPREIMHGITEDLFDFIASGLSRFVATEGDKFHLPQGRKRELGFTFSFPVNQTSIDSGILIKWTKGFAVSGTAGKDVVACLNAAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYIQRTEAIPKLQHLKLETGNTIINTEWGAFSDGLPLTEFDREMDDESINPGEQIFEKTISGMYLGEIVRRVLVKMAEVSDLFGHSFPKKLAEPFVLRTPHLCAMQQDTSDNLGEVESILSDVIGVSQASLLARRVTVEVSDCIIRRGGRLAGAGIIGILEKMENDSRGHIFGRRTVVAMDGGLYEKYPQYRRYMKEAVAELLGPERSNRIAIEHTKDGSGIGAALLAAANSKYAAAQISTR >OGLUM07G05990.1 pep chromosome:ALNU02000000:7:5152770:5170183:-1 gene:OGLUM07G05990 transcript:OGLUM07G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASHAGGLVTRLEQLVAAEFRLLSGVRGEVDRLRDEVAIINADLRRLSEADESAVDHSVREWMKQARELAYDAEDCIDLFFFLRISLAPPRAGALRRAWRWFFTIRPRARHRLAIDIQDALARAGPLAIRLHDRNFVVDTALPRSVWFVPASTTPSTALSKLVGVDDQVQHLSDLVKSDQKLTSDNQRDVSLKVFCIVGFAGLGKTTLAMEVCKSLEEEFHCQAMVSVSQVFDAGKDLGRLLKQIIKKVVRVTRDHRGRGLQEEQELRSIDEDDVDELAMMLGDRLDGKRLAVFRTRNFDLINKEKKSRQLINCKTDLIRYLIVIDDVWSISAWEAIMSRMPDNKCSSRIIVTTRIEHVARACSSASLEEEYYIHRVKPLQFQDAKKLFINAVFGPQQYCPEHLVEIMHKILTRCNGLPLAIVCIGRLLAGYRSSEGIEIWTRVSNSISSEMENNSTLEGMRQIITLSYNHLPHHLRACMMYLSIFPEDYTIGKNRLLYRWIAEGLVSEQRGLTIMEVAEAYFDELVSRNMIQPPRVEPYGRTVSCQVHDMMLDIVISKALESNFVSLVDGQCQGTSYGRVRRLSIQSDDIGSAIDYTKFSHIRSLTTFRPKGHRKLLDKLAKFTLLRVLDLQDCKDLQNHHMKHVCQLFLLRFLGLSGTDITELPSQINKLRHLQTLWLFNTLLDKVPESLVDLEKLERVGFSNRCNSKILLRLPRQIRKMKALQRIYSFELREDDTQLAKEIGDLAQLRVLGVILNCSNCSHKQVLTELAKSIDRCSLHELFLDDMNFQANNMNFLLELPSPPKSLRVLYIRGIIDRIPGWVQSLTHLILIELWWINLHSNEIYGVLYKLPSLSKIILGRRCCSDDKLVASTAFKFPLLRELFLFPNEGTPRVFGFEKGAMPKLETLVMNFHGEGSILDGIKHLKSLKEVRLYGWKNYNSQRSVVDQLKAESLSRQKLHQFKVIVTYNDLSAAASHAGGLVARLGQLVTAEFRLLSGVRGEVDRLKDEVAIMNSVLLPLSEVEEGAVDHFVREWMNQVRELAYDAEDCIDLFLLRVSHAPPRAGALRHGWRRLVTIGPRHRLAGDIRKLLARALAISERRVRYDIDGQALPRSVWFVPASTTVPSTAHALRPSKLVGIDDQVQHLSDLVKSERLTCDNQPDVGLKVFCIVGFAGLGKTTLAMEVCRSLEEEFACQAMVPVSQVFDAGKDLGRLLKQIIKKVVRVTSGRGLQEEQELRNIDEDDVDELAMMLGDCLDGKRYLIVIDDVWSISAWEAILSRLPDNKCNSRIIVATRIEHVARACSSASLEEEYYIHRVKPLQFEDAKKLFINAVFGPQQDCPEHLKDIMHKILTRCSGLPLAIVCIGRLLAGYRSPEGAVEMWTRVCNSTGSLMENNPTLDGMRHIMTLSYNHLPHHLRACMMYLSLFPEDYVVDKHRLLYRWIAEGLVSEQRGLTPMEVAESYFAELVNRHMIQPSCTETLGTLMGCRVHDMMLDIIVCKALESNFVSFVGGQCRDPSYGSVRRLAIQSDDLGSSIENTNLRHVRSLTTFRPQGHRKLLDRLAEFTLLRVLDLQDCKDLQNKHMKHVCQLFLLRFLSLNGTDITKLPSQINKLQHLQALWLIGQFIRPFFCGMD >OGLUM07G06000.1 pep chromosome:ALNU02000000:7:5181095:5182766:-1 gene:OGLUM07G06000 transcript:OGLUM07G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWTTVGYCLHRQLSGSYTSPGGVEVWTRVCNSSGSLMENNPTLDGMRQILTLSYNHLPHHLKACMMYLSTFPEDYAISRGRLVQKWMAEGLVPEMRGLTSAEVAEAYFDELLSRNMTTAVSYSNDGRPNSCSVHDMMLEVIVSKALESNFVSLVGGQCGSMLCGSVRCLSIQNDDIGSGIDNTNLRHVRSLTVFRPEGHRKLLDRLAEFSLLRMLDLEGCKDLRNKHMKYICQLFLLKFLSLSNTDITKLPKNLKQVGFSNRQDWRKLLRLPQHISKMKAIEELTRFELLSEDAQLAMEIGDLVHLRFLNVVLNCFECSDGQLLTELAKSIGRCSLYELKVEDMEPDSNNMNFLLNLPSPPKLLRYLCMGGNIDRIPSWVKSLTHLVHVEFWWIYLPSDEIYGALYKLPSLTKISLDRWCCSEDELSRCCPLFQMKKRSLEGVEHLTSLKDVRVRGSRDNHEMGTAVIQLKEENARRHSSNQFKVIVEYE >OGLUM07G06010.1 pep chromosome:ALNU02000000:7:5188256:5189149:-1 gene:OGLUM07G06010 transcript:OGLUM07G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAVASHANGLVTRLGQLVSEEFRLLSGVRGKVDHLKDEVAIMNAVLRRLSEVEEGAVDHFVREWMNQVRELAYDAEDCIDLFQLRIGYAPPRAGAPKRAWRLLITIGPRRRLAADIEKLLARALAISERRVRYGVDGQALPRSVWFIPPSMVPSTARALRPSQLVGIDDQVQRFSDLVKSERLTCDNQPDVGLKVFCIVGFAGLGKTTLAMEVSRSLEEEFHCQANVSVSQAFDAGKDLSGLLKRMLEQIVRVRRDLQEEQTLANIDDGDANWLAMKLREHLADKRYVRYVLLRC >OGLUM07G06020.1 pep chromosome:ALNU02000000:7:5217871:5233725:-1 gene:OGLUM07G06020 transcript:OGLUM07G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDKSFYERYSSCSPGGMLPDNLLPVPTNRRDVVPQIQAQTATPTAATWRVAVTLGGAEKQADYRCERTCSPRPCDRGGDQPQWGTLHS >OGLUM07G06030.1 pep chromosome:ALNU02000000:7:5248030:5248221:1 gene:OGLUM07G06030 transcript:OGLUM07G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDQMLLMKLEGGRPGGGAGGRGGGLMAAGVGAGTSSESDGGGQLEKETLEARCRRKAMLRA >OGLUM07G06040.1 pep chromosome:ALNU02000000:7:5248240:5248900:1 gene:OGLUM07G06040 transcript:OGLUM07G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEACNTRGFLYGVIVEAGEPMSGSSDSLHEWWKDNVSFDRAGPMALIGPAGDSPQAGGLASSCLHRLQDIQDCTLGSVLSALIQHCELPQRNFPLERGLAPPWWPTGEEAWWGRTRARRLKTSLQITPLDDDGEEETMTTKDDSDGLEDVVRGAAQDKRKREYTRSDSESSISSNFGGKFPRGSGADQLAVCSRSSRRPAPWRRKGGARSTS >OGLUM07G06050.1 pep chromosome:ALNU02000000:7:5373328:5374931:1 gene:OGLUM07G06050 transcript:OGLUM07G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLMLFGKISSTGVYKALRIIRFYHPNRQVCEVITVDGNNQGMWQKMQDSEEAIWVKKFCLPPQFNSLIVHPLLVLDDGRVFIRNRNNEFISRDPRTGARAIVFETNCSSYRRFGAYTGNLLRLCLVPRQN >OGLUM07G06060.1 pep chromosome:ALNU02000000:7:5377229:5377663:1 gene:OGLUM07G06060 transcript:OGLUM07G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSAQATASTLSQSSPWPGRGEELHEECFAQQAGKMRCSHRQSLPCASHQARGRDSLMRPRRTPSSSGRQLLLTAADPVARSSRRHHLRTGPVPRPLLPPPHGTRAAAAAAASVRPSLHRLRAALARDTASVRPSRRRLRR >OGLUM07G06070.1 pep chromosome:ALNU02000000:7:5378706:5380059:-1 gene:OGLUM07G06070 transcript:OGLUM07G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKLRRTPPCSSGTKLPPDLLFEILLCLPDKELCRLRVVCRSWHALTTDPLFIKVHGSRHTDPLFAATFWDGKSNWSSDDTTHGISIMDITPGTFFAGGVLAPNYMPACENRTPTTPKMSFLVVMTLDKSNHRTWRRKQCALVIINEDNMGRGGLMKNVVLHGIVYFMMDEDIREPAGLVPFNLETEEWMPIIRGPELLQSLVGFGEEQLYMSYFDLNYDLSVANLSGCLVTVHNIFDYSIDLWFLMDVESGIWVKKYSVGIQHAGMFSHRDAYPLLVLDGGRIVFYKPMHCYVRYDPETGTCKPEMDLLQIYDPQTNAYTNLLEIKNSHSCVRIYTGSPLSLKSG >OGLUM07G06080.1 pep chromosome:ALNU02000000:7:5385538:5386152:1 gene:OGLUM07G06080 transcript:OGLUM07G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYCSDRSSGSSHPSSRAPILARVGPYDYQPAVMCRCGAKAARVDNPGRRYYRCRNRGAGYDFFDWYEPATSSFLRELLNDLREAVLSLRREKNELQRCVEELRPKVEEQCLELGVAMNEVAQLRLVAAENEANMAAMRASNSRLEKQRVWLVLMLLGCMFVLFAVILV >OGLUM07G06090.1 pep chromosome:ALNU02000000:7:5391743:5393040:-1 gene:OGLUM07G06090 transcript:OGLUM07G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTRQPRSGGRRKRRMAAAGDGGGGVAALMPEDMMREVLLRLPAKAAARFRAVCRPRGSGGHVDLVGLAGDVVRRTRAEEGVLELSTCGDLACVVGTDRRARVLHPVTGAGADDPLPHDLAEENKPWAGWRLEERFHAFTHAFGRASSTGEYKVLRVASLSPDLRVEQLVEVLALDRAGRAHAGARWRGMPRPPFHLAGASNAGMAVVAGVVHFLAVDIPLPFLPFEHNDDDDIHHGAIARFDLDTEQWRPLLRGPLNIHQIQQDNDLSPPLLTLTELKGFLVTVHRDRSHQSSSMDLWFLINSEEETWIKEYKIQIHLRPRDFYAHPLLVLDERMIVFCVRPKGRVMVYDLETGKCRDLGVGDCVEVGVYKGCLLSSGSVVDNDKEQQSH >OGLUM07G06100.1 pep chromosome:ALNU02000000:7:5395620:5396021:-1 gene:OGLUM07G06100 transcript:OGLUM07G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPAAAAAAAATAGVGEGDFWNVGDGVVYVLDQSGPLLRMDLLLGVLRRLRGGAAVARARDACRSWRAVLSDDLSDPIVDAARRLQRRQEEPRPPRTRKRSGRRAKGDGIPPPRHGRRPRRKPAEFRMVCH >OGLUM07G06110.1 pep chromosome:ALNU02000000:7:5401536:5405967:1 gene:OGLUM07G06110 transcript:OGLUM07G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIENVVSLQNSWVGGSRTSPFPVPRSGPAEKQRACGRAGHAENHAPNPQPGPFPLAAPRSHPSTAATQPTTTPTPQHASIYFPPPTPASSLSPPLSRPRRHHHGASSSSGSGGSRGGGGGDGDGDVGGEGGFRGGQGGVRGQADGAVDVPDVRAGRRVGGRGGADAGLLLGAEGGAGGEPQVPVRAHQGPRRPKPRPQDQRHQGALPPPALQRPRQHLRLPKAVELATKLQGRADLRTVRQAAGCHAGQPQCIPWWVKRTGRGRAEERCGRVAVVGSGRSWWRRRTRGGAPPPLLRRRRRCASPARILMATA >OGLUM07G06120.1 pep chromosome:ALNU02000000:7:5418650:5426182:1 gene:OGLUM07G06120 transcript:OGLUM07G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKSSRSRPAGHSGVFPVSGAVGGGGGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYAAFIRTSKEISDLEGELLSIRNLLNTQAALIHGLSEGVQIDSLTSNTEGSAEDDISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERLASDAKLKQTLTATEIAALRRAVSDNRQKLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLNAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVVAQALSDSVEVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVAAVLLRQFRPSLEQALYSNIRRIEESTAALAAADDWILTYPPTGIRPLARSSAANLALQPKLSNSAHRFNSMVQEFFEDVAPLLSLQLGGSTMDDITKIFNSYVNLLISALPGSMEDEANIDGLGNKIVRMAESEEQQLALLANASLLAEELLPRAAMKLSSMNHSSMDDLRKRGSDKQNRMPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDEGETHLSADMYISMDNTVEEPEWAPSLIFQELYAKLNRMASIAADMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGMNPDSVLPGDDWFMDVSQEIVSMISGKGRAANGDREINSPTASVSAHSMSSFRSHGSS >OGLUM07G06130.1 pep chromosome:ALNU02000000:7:5430570:5435480:1 gene:OGLUM07G06130 transcript:OGLUM07G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPHLERLITSSMWDDAIGYICRFLPPSSGSAGQRRRGSHLSEEAQTLLLFLHMHKSFADFVAGNKAGAAWSDKTAASTPNLPASPPTATPPESAAPSTPSSCRTVPELVGFVDLPGGMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIAKLYLEMKRCLPSSGQPQGLSLEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTFLQTLIGTMISPGKKSGILSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREELEPEEDISPKRQQTTVKFGEASLSLIGVAEAEGKARLVELSI >OGLUM07G06130.2 pep chromosome:ALNU02000000:7:5430570:5434925:1 gene:OGLUM07G06130 transcript:OGLUM07G06130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPHLERLITSSMWDDAIGYICRFLPPSSGSAGQRRRGSHLSEEAQTLLLFLHMHKSFADFVAGNKAGAAWSDKTAASTPNLPASPPTATPPESAAPSTPSSCRTVPELVGFVDLPGGMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIAKLYLEMKRCLPSSGQPQGLSLEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTFLQTLIGTMISPGKKSGILSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREELEPEEDISPKRQQTTVKFGEASLSSTTYSVN >OGLUM07G06130.3 pep chromosome:ALNU02000000:7:5430570:5434925:1 gene:OGLUM07G06130 transcript:OGLUM07G06130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPHLERLITSSMWDDAIGYICRFLPPSSGSAGQRRRGSHLSEEAQTLLLFLHMHKSFADFVAGNKAGAAWSDKTAASTPNLPASPPTATPPESAAPSTPSSCRTVPELVGFVDLPGGMVKPHNVLPIGFGFRSKRHVRQQSHPQASTIAKLYLEMKRCFTVNSAPVTTGNHTYFYTEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTFLQTLIGTMISPGKKSGILSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREELEPEEDISPKRQQTTVKFGEASLSSTTYSVN >OGLUM07G06130.4 pep chromosome:ALNU02000000:7:5430570:5434925:1 gene:OGLUM07G06130 transcript:OGLUM07G06130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNIVPAYGCSGNLAAAAPPEYRCVTRLRHRRLLTFLWLHGFRSTFEAMAEETKVLVSLPHLERLITSSMWDDAIGYICRFLPPSSGSAGQRRRGSHLSEEAQTLLLFLHMHKSFADFVAGNKAGAAWSDKTAASTPNLPASPPTATPPESAAPSTPSSCRTRHVRQQSHPQASTIAKLYLEMKRCFTVNSAPVTTGNHTYFYTEGLSDKARSWMADILDLSLRAGCKRSEHHQGYPLQSSSLVAAITQTMFSTITTDTENSGIASATKTGVPASTFLQTLIGTMISPGKKSGILSVTNAGTDMHSSQEDCHTENYCQGFTPRKHPREELEPEEDISPKRQQTTVKFGEASLSSTTYSVN >OGLUM07G06140.1 pep chromosome:ALNU02000000:7:5442163:5447852:1 gene:OGLUM07G06140 transcript:OGLUM07G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKKLSVCRVESTRPSDQPKPKPTRSKPLEEEAAIRVVIGGGEAMGVVGALLGPEAEALVRLRAAAWRLRREVAADDEHWAFAYSMLHRVSRSFAIVIQQLGPDLRNAVCVFYLVLRALDTVEDDTSIPTEIKVPILQEFHHHIYNRDWHFSCGTKDCKILMDKFHYVLTAFLELGSGYQEAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGIGLSRLFHAAGLEDLAPESLSNSMGLFLQKVNITRDYLEDINEIPKSRMFWPREIWSKYADKLEDLKYENNSVKAVKCLNEMVTNGLIHTEDCLRYMSALKDITVLRFCAIPQVMALATYALCYNNVNVFRGVVKLRRGLTARIINETNSMADVYTAFYEFSSLLAEKIDDNDPNASLTRKRVNAIKETCKSSGLLKRRGYDLDRPKRNPAMIMMLLLLLVAIFLGALYRR >OGLUM07G06150.1 pep chromosome:ALNU02000000:7:5450719:5451822:-1 gene:OGLUM07G06150 transcript:OGLUM07G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGVARGTDRWPGRSRRTEAWDQSGPAAPNGGTKPVPQGIGATFLPCGIALLLRTSIPRDRTELPCLQSALARHSRIAAAWRRRWEGGH >OGLUM07G06160.1 pep chromosome:ALNU02000000:7:5451921:5459123:1 gene:OGLUM07G06160 transcript:OGLUM07G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit gamma [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH03] MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESSMNSQGGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYIKLLGGEATVGETEKDVNEFLFGSFDIPLVNLETSLRNYEPSEAPFDISSVSLETKSQPLAEKKTTGKKPTCPASALSGPVPTVDASYEKLLSSIPEFAGFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHVVFQYNCTNTIPEQLLEEVVVFVDASEADEFSEVATKSLRSLPYDSPGQTFVAFEKPEGVLATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEITSADYMLKVGVSNFRNAWESMDPESERVDEYGLGARESLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKILVRLSFGLSGPKEVAMKLAVRSDDPEISDKIHEIVANG >OGLUM07G06170.1 pep chromosome:ALNU02000000:7:5466364:5467011:-1 gene:OGLUM07G06170 transcript:OGLUM07G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRAPLPSPPPPPPLTSPPPPPSSDGEEEGAAAFSTTTTIAASGSRRRHLPGRLTTAASPPAAKPPPPPPARSGGGESTVNGPSDHRRIPSYRRAATATSPPARSGGGEGAAATSRAVGGGREERGTTERGRRRGTVSRGGGGQWRGERSENARWGRQGKDKIGVS >OGLUM07G06180.1 pep chromosome:ALNU02000000:7:5484792:5487798:1 gene:OGLUM07G06180 transcript:OGLUM07G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH05] MATVSYNIALQQLTLLYRISTTGSITGSSQVSSHISKKSAMEATREAAPMAASASSSSPLHIVMFPWLAFGHMIPFLELAKRLARRGLAVTFVSTPRNAARLGAIPPALSAHLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFAGFVAEACATGHGESTPTAAGFSRKPDWIILDFAQNWVWPIAEEHKIPCAMFSIFPAAMVAFVGPRQENLAHPRTKTEHFMVQPPWIPFPSNVAYRRRHGAEWIAAVFRPNASGVSDADRFWEMEHACCRLIIHRSCPEAEPRLFPLLTELFAKPSVPAGLLMPPPPPAAGVDDDDDDVSMDDQHIAMAMRWLDEQPERSVIYVALGSEAPLTVGHVRELALGLELAGVRFLWALRAPPSASSVNRDKCAADADLLLPDGFRSRVAAARGGLVCARWVPQLRILAHRATGGFLTHCGWSSIFESLRFALPLVMLPLFADQGLGVQALPAREIGVEVACNDDGSFRRDAIAAAVRQVMVEEKGKALSRKAEELRDVLGDEGRQEMYLDELVGYLQRYK >OGLUM07G06190.1 pep chromosome:ALNU02000000:7:5499612:5501444:1 gene:OGLUM07G06190 transcript:OGLUM07G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKLGLSLQTVTEADIFARPLWHTQPYPNSRHPALSMPDSRGCRAAGGDAVLEAKRTATAAARGDQERWHEVAGARRGGNIFVAVTVSPAAETRQSWSSCRYGRGRGRAATARRRRGTSGAAATGDHQGWTTAASAKYAVRCGGGGPPA >OGLUM07G06200.1 pep chromosome:ALNU02000000:7:5506497:5509189:1 gene:OGLUM07G06200 transcript:OGLUM07G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH07] MAATSDSTPAAAAAASSSSSPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAARLGAIPPALSSSARLRVVPLDLPAVDGLPEGAESTADVPPEKIPCATFFIVPAALVAILGPRRENLTHPRTTAEDYMVQPPWIPFPSNIAYRRRHEAEWMVAAFRANASGVSDMDRFWESEQHPNCRLIIYRSCPEVEPRLFPLLTELFAKPAVPAGLLMFPDTINNDDDTFEQSFVPPAVEWLDKQSEKSIIYVALGSEAPLTEDHVRELALGLELANVRFLWALRPPRGDGGSNDGGAAEILPDGFESRVAARGIVCTRWVPQLRVLAHRAVGGFLTHCGWGSTIESFQFGHPLVMLPFIVDQGLIAEAMAARGIGVEVARNDDGLFHRDDVAAAVRRVMVEEEGKVLARKAKELSDIVGDREQQEMYLDELVGYLQLYK >OGLUM07G06210.1 pep chromosome:ALNU02000000:7:5514966:5516511:1 gene:OGLUM07G06210 transcript:OGLUM07G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH08] MAATPPPPPPSPLHIVVFPWLAFGHMIPFLELSKRLARRGHAITFVSTPRNAGRLGAIPPAMSAHLRVVSLDLPAVDGLPEGAESTADVPPEKIACAIFSIFPAALGAFVGTKQENLAHPRTTTEDYMAQPAWIPFPSTVTYRRHEAEWIAASFRPNASGVSDADRFWDSERPSCHLIIYRSCPEAEPRLFPLLTKLYTKPAIPSGLLVPPALDDNDIGVYNRSDQSFVAVMQWLDKQPIKSVIYVSLGTEAPITADHMHELAFGLELAGVRFLWALRRPSGINCHDDMLLPSGFETRVAARGLVCTEWVSQVRVLAHGAVGAFLTHCGWGSTVESFHYGQPLVMLPFIADQGLIAQAVAATGVGVEVARNYDDGLFYRDDVAAAIQRVMVEEEGKELAHKAIELRGILGDRVRQEMYLYELIGYLQCYK >OGLUM07G06220.1 pep chromosome:ALNU02000000:7:5519413:5520537:-1 gene:OGLUM07G06220 transcript:OGLUM07G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRQGGLPESDAGERRRHHSEDRQSDTVVVAVRSFRQLRTATTGHRGSDLGYEGGSSEPASGFCRRLANGRPTRACGLPTGRCDPLFSFTLFFSNPITWMELSAPDVTLLLDAGQWRGIGVVHVGVGGKEDYGRKSSLFGTTTVTLVGAASPLGHSRGISLSMMDVSSGENHVLILENGRNDALGIVSS >OGLUM07G06230.1 pep chromosome:ALNU02000000:7:5524592:5527436:1 gene:OGLUM07G06230 transcript:OGLUM07G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH10] MITFHQSYSYIYIYTHIIRYPTLLKKIIRYPTLSNNPAMSEAVQAAADASSSSSSSPLHIVVFPWLAFGHMIPFLELSKRLASRGNAVTLVSTPRNAARLGAIPPALSANLRVVPLDLPAVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFASLVAEDCGGGSAGDGEEAAAGFSRRPDWIILDFAQNWLWPIAEEHEIPCAVFFIIPAAIVTFIGPKQENITHPRTTTEDYMVAPPWVPFPSTLTYRRHEAEWIAAAFQPNASGVSDVDRLLEMERSCCRLIVYRSCPEAEPRLFPLLNKLFARPAVPAGLLLPADIVHVEDAPNTTSDQSFVSAIQWLDKQPNGSVIYVALGSEAPITTNHVRELALGLELSSVRFLWALRPPSGINSQTRTFLPSGFESRVATRGIVCTEWVPQVRVLAHGAIGAFLTHCGWGSTVESFCFGHPLVMLPFVADQGLIAQAMAARGIGVEVARNYDDGSFYRDDVATAVRRVMVEEEGKVLARKAKEVHSILGDRAREEQYLDEFVGYLQRYK >OGLUM07G06240.1 pep chromosome:ALNU02000000:7:5531636:5533112:1 gene:OGLUM07G06240 transcript:OGLUM07G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPATSTSTTSTSSPPGDVPWLAFGHLIPFLELAKRLAARGHAAVTFLAPPRNASRLAALPPELAAYVRVVSLRLPVVDGLPEGAESTADVPPEKVELLKKAFDGLAAPFAAFLADACAAGDREGRPDPFSRRPDWVVVDFAHGWLPPIADEHRVPCAFFSIYSAAALAFLGPKAAHDAHPRTEPEDFMSPPPWITFPFTIAFRRHEAAWVAAAAYRPNASGVSDIDRMWQLHQRCHLIVYRSCPDVEGAQLCGLLDELYHKPVVPAGLLLPPDAAGDDDDGHRPDLMRWLDEQPARSVVYVALGTEAPVTADNVRELALGLELAGARFLWALRDAGERLPEGYKARVAGRSVVEAGWVPQVRVLAHAAVGAFLTPCGWGSTVESLRFGGLPLVMLPFIADQVLIERAMADRGLGVEVARDDDGDGSFRGEDVAAAVRRVMAEEEGKVFARSAREMQEALGDGERQDRYVDELAERLRRRRSLS >OGLUM07G06250.1 pep chromosome:ALNU02000000:7:5534783:5540463:-1 gene:OGLUM07G06250 transcript:OGLUM07G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTPCASSGLITPLSPVPSPLPSPPRLVSPSLSTRRLRRRRADLHHPPPRSAAAAASCYCLPPSAAYSLRPRPPTTPPPLLPRLLLATSPPRRLRRSPHPSRRELSRGAVGFRRPAASASAANAEESAPAAAANHGNSRLPRSSYVPPHLRGQAAPAAPAQAGVLPSAAAPAAQPSVGQPGVVGGPRWAGIVNGGGGGGSVGGSRQGFGVGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLYNNGSPYEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGGYGGGGYGGGGGGGGYGGGSSYGGGGQGFSSAWD >OGLUM07G06250.2 pep chromosome:ALNU02000000:7:5534783:5540463:-1 gene:OGLUM07G06250 transcript:OGLUM07G06250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTPCASSGLITPLSPVPSPLPSPPRLVSPSLSTRRLRRRRADLHHPPPRSAAAAASCYCLPPSAAYSLRPRPPTTPPPLLPRLLLATSPPRRLRRSPHPSRRELSRGAVGFRRPAASASAANAEESAPAAAANHGNSRLPRSSYVPPHLRGQAAPAAPAQAGVLPSAAAPAAQPSVGQPGVVGGPRWAGIVNGGGGGGSVGGSRQGFGVGGRGGGGGGGGGAWNSRPGGWDRRDREPDPFANSEAAEVDFEGENTGINFEAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGIMRSRPPPRSRGSRTAYPLALILSPTRELSVQIHEEARKFAYQTGVKVVVAYGGAPITQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMASDFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQRANGTHGKQALTLVFVETKRGADALENWLYNNGEYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNTPLARPLSELMQEANQEVPQWLERYAARSSFGGGGGRNRRSGGGARFGGRDFRRDRGSGGGGYGGGGGGYGGGGYGGGGGGGGYGGGSSYGGGGQGFSSAWD >OGLUM07G06260.1 pep chromosome:ALNU02000000:7:5542306:5544372:-1 gene:OGLUM07G06260 transcript:OGLUM07G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQGMMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OGLUM07G06270.1 pep chromosome:ALNU02000000:7:5544560:5548869:-1 gene:OGLUM07G06270 transcript:OGLUM07G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGAPPCSVATTAVPQRVPHGAGDEGFEAVDRAVSLAGRDSTRRMRSSALPRMRSMAGGIEGKL >OGLUM07G06280.1 pep chromosome:ALNU02000000:7:5549494:5555879:1 gene:OGLUM07G06280 transcript:OGLUM07G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial 28S ribosomal protein S29-related [Source:Projected from Arabidopsis thaliana (AT1G16870) TAIR;Acc:AT1G16870] MRRGPRGGCTAGGDASCREITNAMKDTEIVKNKDQERGGSEREEEETVAMAGTRSREEALLVLFNTAWQQGNLLTGGSGGTAGAHALTRGRGGSGRQATPPVQPPIPKPFFLLRLASPRLNPPAAEMLLGSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEESAAGEFEGGGGGAGGGDDLDVEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWSHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMSFSYPDCFCQRKKPIEFKQAVQ >OGLUM07G06280.2 pep chromosome:ALNU02000000:7:5549494:5555891:1 gene:OGLUM07G06280 transcript:OGLUM07G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial 28S ribosomal protein S29-related [Source:Projected from Arabidopsis thaliana (AT1G16870) TAIR;Acc:AT1G16870] MRRGPRGGCTAGGDASCREITNAMKDTEIVKNKDQERGGSEREEEETVAMAGTRSREEALLVLFNTAWQQGNLLTGGSGGTAGAHALTRGRGGSGRQATPPVQPPIPKPFFLLRLASPRLNPPAAEMLLGSLLRRAAVAATATAAGGGVGVGARATTGRADPPAALASLLVASRSYAKAKGGGKPAGATSNRGKVRAKDPRGVASEESAAGEFEGGGGGAGGGDDLDVEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFASFSRRDANAYVDFTLDEWKAMLPEGLPAGMMKEFQETRRCAVMVRESFLDLRDNFRRIVDPAIAAKRKDAKRQIVLDGPRSCGKSIALAMLVHWARTEGWLVFYVPQGKDWSHGGFFYRNTYNDLFDTPIQAAKILQDFLKYNENRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSEFQEPVTVRSCRPIHAKELTMVNAYRPMLHNDMMVGAFSHSTAVGKLRQDLPDVPSDARVMFPRYTVNEAETVCHYYMSFSYPDCFCQRKKPIEFKQAVQVLN >OGLUM07G06290.1 pep chromosome:ALNU02000000:7:5557183:5557959:-1 gene:OGLUM07G06290 transcript:OGLUM07G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSASASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDDAAAAAEDEAEPYEVEFEEEEEPYEVEFEVDEEEEEEDPQEVEFEVEEEEPQEVEFAADDGSDDGVVVVVGDLVDDGGVMEGEVDYVVEHFEDDDNGGGSFDDLVSDADVADDGGGGGFDDLVAEADDEAATTPAAAAAAADDARAPRTTARMSVRRPVSLLGVDYDTINDIVRKHLAEKNRRRRARTMLLLRRQRRRGTAAVAHRRCPRRHARRRIV >OGLUM07G06300.1 pep chromosome:ALNU02000000:7:5563136:5563762:-1 gene:OGLUM07G06300 transcript:OGLUM07G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSASLPEYVQFDGDLLPVAWLEGEVLAEFLAFLDDAAAAAAEDEAEPYEVEFEEEEEEPQEVEFAADDDSGDDVGGDLVDDGGVMEDDGLDYVYDDDDVELVDADFEDGSFDDGLVSDADADGGAATATAEEHAARAAEPPARNARMSVGPVKQFGGDYEAINEMIREYLQADNKRRRARRVAAAMSRLRRQRRRPAVHRRGRS >OGLUM07G06310.1 pep chromosome:ALNU02000000:7:5565358:5565582:-1 gene:OGLUM07G06310 transcript:OGLUM07G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTAEGCLGIREGRVTDGAASRMSVKPMSLGIDYAILDELIRKHVGELRQRRARRHTRRVAVATAPRLAKM >OGLUM07G06320.1 pep chromosome:ALNU02000000:7:5566224:5587813:1 gene:OGLUM07G06320 transcript:OGLUM07G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT3G11964) TAIR;Acc:AT3G11964] MAPRGDGKKGKGSGKPDQLRPKSKQFKKHSRKEEVAGEGEQQERPAAPYSAAVLAAAAADDGDFPRGGRSLLSRDEVAEARAEADADFEREERRGKRKRKGASSSGAGGDDDLGSLFGGATTGKLPRFANRVTLKNISPNMKLWGVVIEVNQKDIVVSLPGGMRGFVRSEEVHDITSQETRKDSEGSICADVVHVGQLVPCIVLRVDDDNKEGKVNKRVWLSLRLSRIYKGLSLDAIQDGMVLTAQVKSIEDHGYILHFGVSSFSGFMPKADRESAKIESGQLIQCVVKAIDKAREIVHLSSDEDLLSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFTGTADIFNLSNSFPSGSWKDDYIKNKKVNARILFVDPSTRAVGLTLNQQLLRLKVPSINVKAGEIYDKARVLRMDKRAGLFLEIPSPTPSPGFVSIHDVSDKDVKNVEKKFKEGSMARVRVLGVRHLEGVAIGTLKILFSFKVIQADTPKVGSIVSGVVERLTPAAVVVSVNGFCKGSILNEHLADHRGQAAQLKNLLKPGHEFSELLVLDVEGQNLVLSAKQSLINCASDIPSEISQMHAGSVFHGYVCNIIEAGCFVRFLGHLTGFSPKDKAVDRSVENLSNAFYVGQSVRSHILNVNAESARVKLSLQQSMCSSADCSFVQGYFLLDQKITELKYSDPSSSFHDWLNTFAIGNLVEGEVGAIEEYGVILNFQSHPDVVGLIEHHQLGDCSVEVGSSVKGLVIDLSDGVVNISLKSELVRSVSKVGKKKKRHRAAVMDLELHEEVNAIVEIVKESHVVLSIPEYNYAIGFAPLMDYNSQLLPCRNYENGQRITVVVGSMPSSGPTGRLLLLPKASGKNSSVSSSKRAKKKSDFKVGSLVEAEIIDIKPLELLLKFGSNLHGRIHITEVFDDDSNDCPFSELQIGRSVQARIVAEAEHSGKGGKNSKWELSIRPSLLQGGLEDFTPPKAELRHSIGGIVHAYVVKVDREWIWLTVSRDVMAHLFILDSSAEPGELEKFQQRYSIGQAVKGRIIGVNREKRLLRLKALDSQSLPENIGETQKPLSATVEHTKQGDIIGGRIQKILPGVGGLVIQIGPHLHGRVHYTEIVDSWVQEPISGFHEGQFVKCKVLDVSRSSEGFVRVDLSLRSSMCANSNQSRRLFDDSRIRTSRFEKINDLCPGTEVKGYVKSVNSKGCFIMVSRTIEARIILSNLSDEYVENPQNDFPVGLLVHGRVLSSEPQSGKVEVSLRKNTGSKSQKSDDISYSDLHVGDIIAGQVKRVESFGLFVTIQGSELVALCHVSELSDEPVLDIHSCHKAGDKIDEERHRVSIGMKKSYIGPDSTGDTSDDEDDEIVPEEISRNPVMGRDRNHALVLPKPESRASVLPLQVSLDESEGSDQENDNKGQEIANGTEVDDKKSNKRLKEKARKQRELEISALEERALQRDIPQTPDEFEKLVRSSPNSSFVWINYMAFLLDLADVDKARAVAERALRTINIREEEEKLNVWVAYFNLENEYGSPREDAVKKIFQRALQYCDPKKVHLALLAMYERTEQYTLADELLDRMTKRFKTSCKIWLRCIQLSLKQSKDVECIKLIVKRALLSLPQSKRIKFLSQTAILEFKCGVREEGRSRFELILREYPKRTDLWSVYLDQEIRLGDTEIIRALFERVTCLSLPPKKMKFLFKKYLEYEKSQGDEERIEHVKQKALEYF >OGLUM07G06330.1 pep chromosome:ALNU02000000:7:5587866:5593811:1 gene:OGLUM07G06330 transcript:OGLUM07G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPASASPSLPEGEVLADFLVFLDDAAAAAAAAEPYEVEMEEEEEPQEVEFAADDGSDDDVGGDLVGNGGLMEDEVDYDVDELVDADSEDGSLDIMADDGDDEAATEEEHATRAAEPPAATARNARMSVKPVKQFGGEYEAINEMIREYLQADKKRRRARRESAFEGSVFTHADVKPGMVVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKVGVELTFRVLGCKSKRITVTFKKSLVKSKLDVLASYADAKIGLLTHGWITKIEKHGCFVKFYNGVQGFVSRSELGLEPGTEAENVYHVGQVVKCRVVSVVPASRKINVTFLISTNR >OGLUM07G06340.1 pep chromosome:ALNU02000000:7:5605927:5606584:1 gene:OGLUM07G06340 transcript:OGLUM07G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREYLQADKKRRRARRVAAAMLRLRRQRRRPAEDGGAPTWPSAADVPGVERAFSSLNFSIVIYKKLESEV >OGLUM07G06350.1 pep chromosome:ALNU02000000:7:5612318:5612848:-1 gene:OGLUM07G06350 transcript:OGLUM07G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLPEYVQFDGHLLPVAWLEGEVRAEFLAFLDDAAAAAEDEAEPYEVEFAADDGIVDGGGVMEDGVDYVYDDVELVDADFEDGLVSDADLADDDDGGAAATAAAEEHAARAAEPPAGNARMSVKPVKQFGGEYEAINEMIREYLQADKKRRRARRVAAAMSRLRRQRGQPTGM >OGLUM07G06360.1 pep chromosome:ALNU02000000:7:5613509:5613964:1 gene:OGLUM07G06360 transcript:OGLUM07G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSAAAASLPEYVQFDGHLLPVAWLEGEVLAEFLAFLDDAAAAAEDAAEPYEVEFEEEEPYEVEFEEEVEFAADDDDDGGLMEDGGRGSEVDDDDSDLFKAYEEEAEQEMALLLPHIMAIPAVMARAAAPATEQETKRHQFVSDQRGWM >OGLUM07G06370.1 pep chromosome:ALNU02000000:7:5614937:5627308:-1 gene:OGLUM07G06370 transcript:OGLUM07G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor (CPSF) A subunit protein [Source:Projected from Arabidopsis thaliana (AT3G11960) TAIR;Acc:AT3G11960] MESPTAGGDGGGGEAGAASSSSSSSGPSTSSAAAAATASASASSSAVATRYLAKRVLRGSAVLHVAQGCFRSPDCVDVVLCKENSLELVVIGEDGVLQSICEQTTFGIIKDVGVLNWRCTHFGLMPKIEGKEILVILSDSGKLSLLYFCSEMHRFFAIANIELSKPGNLRHRLGRILAIDRESRFVAVSAYEDEFAFVRVSVDHKLHAPNGEIEEDAKIISTAYNTSSIHGTVWSMCFISTCLDEEYYPVVAMIVNRGSDVNDLALYGLDSHKRTIDRISTYLEAGPLALEISEIPQLYGFALMFRAGDVLLMDLRNPKDISCIHRISLSTSLIGDHVSVEDSCRGLDVDDDVAACALLELRDSGNNMMRDDSYMDIDGTDNKAVVKSRIVCSWNWEPNAMQGHPRLIFCLDDGEFHLLEFSLDMEGVKVLPECVHRGLPCKPLLWMDKGMVVGFVEMGDGMILQLENNRLVHKSAIQNVAPILDLAIADHHGEKQDQMFACCGMCPEGSLRVIRNGVNVEKLLRTDPIYHGVTGLWTLRMKRTDAYHSFLVLSFVEETRILSVGLSFNDICDAVGFQTDVCTLACGLVADGLLVQIHSKCVKLCLPTACAHPEGTLLPSPVCADWYPDVTISVGAVGHNVVVVATSNPCCLYILGVRSLSSFQYELYEIQHVQLHYEVSCISIPQEDWRLDNSSSSCATSGDFRKDFAANIRKFAVIGTHEPSVHIISLEPGEAFQQLAVGHISVYNALGTPISGCIPENVRFVAAARFYILAGLRNGMLLRFESQTSKGHRFPGSFYKESSTPCDDTSLMLIAVRRIGITPVVLVPLHDRANADIIVLGDRPWLLHSARHSLAYSSISFLPASHVTPVSSTDCPNGLLFVSENCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHSDSRTLLVLRTGLTSVSCSSDIVQIDPSNGALLSRFKCEPGETAKCMQIAKIGNDQVLIVGTSKSNGRPMMPNGEAESIKGRLILLSLETIESPRESGSFTAASNLNSSHAGSPFPEFVGYAAEELSSNSMCSSPDEVCCNQIQPELMAGHLRSLVQHTFNGAVLAVHPYLDRYVLAAAGNVLFVFGFLNESPHRIKKYTTSRTRFTITCLKTYASRIAVGDCRDGVLFYSYHENLRKLELIYSDPAQRLVGDVALLSCETAVVSDRRGSISVLSCPRLEVSESPEKNLAVHCSFYMGETAMSIQKVAFKHWLPIDDLTEPVLESVYNCVVASTLLGSIFVMIPLTSEEHQMLQDVQERLSVHPLTAPLLGNDHAEFRRRGIPSGVPPILDGDMLVQFLELTSEQQHDVLNIVSPGKKRQHDISVFQVMRALERVHYALN >OGLUM07G06380.1 pep chromosome:ALNU02000000:7:5629264:5634436:1 gene:OGLUM07G06380 transcript:OGLUM07G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFLRHADARTPRAFVQLLAAQPPRPSAAAADQCHAAATKLGFLASNLFATTALLAFYCRSRRLPEAQHLFDQMPARTAVTWNTLIHGHARSAAPGLAVAAFARMARAGVSPTASSVSSVLVACVRLEDAAAGATLHSVGLMRGFCASVVVGTALVDMYAKCHHLGAAQQVFREMEEKNVATFTALVTGFVLSRRPHDAMLLVREMERSAVAPNLMTYSSLLSSFASPEDIDHGKQVHCAVLKKGLEHDQFVLSALVTMYSKCGILEDFVKVQMSVSCQDQVSFNSVISGLSCLGRGKEAFQHFLEMRRHGTDMDVFTFASVLKAIGSSSSLLEGRQVHTLILKIGYDSVVDVQNSLISMYARHGAIGESNGVFISMEAPNLVSWNSLMSGCAQHGHGKEVVEMFEQMRRLHVQPDHITFLSVLTACSHVGLVDKGLEYFNLMKDKGYLVGARTEHYACMVDLLGRAGYLNEAEYLINGMPIKPGASVYRALLSACQIHGNLEIAIRVSKRLIELNPHDSSVHVQLSNAFAGDGRWGNAAEIREAMSEYMSGGSLYDFLHKQHNVLDLPTLLKFAVDVCRGMCYLHQMGIIHRDLKSANLLMDKDHVVKVADFGVAHFQDQGGNMTAETGTYRWMAPEIQLCCWSKVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAGKNLSIPERKKEQPLSEK >OGLUM07G06390.1 pep chromosome:ALNU02000000:7:5638027:5655792:-1 gene:OGLUM07G06390 transcript:OGLUM07G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIRRRRWHPPFPASGSKGRKKAIYEGEEKSSQAKERIGGVEIKIWISPRVGNEAHHDCSGLSGRKLHYKVVTTVTSPYERIVALLPRYSSRRAVKFLGAHSIALLLSATLGTASSMSLCFLYGNVNQSRER >OGLUM07G06400.1 pep chromosome:ALNU02000000:7:5641430:5642230:1 gene:OGLUM07G06400 transcript:OGLUM07G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQFQKPTQGQSQQQQCHYQVPTVTVAKNKAKTKCSSSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFATQAAPDSPLASRIRTLLTHKKLKKSMPQPTITFSTAVYHHARSTVPAAATSTSTSGVSPSRSNSSSLNFATNLSNFAMSGGEELQLASSQQQYDQSWALNTSLLPIGDGCDMSGSNACPVASDQDKMKAEKQGSHGMNGIQEQETFDMGNDLCDSLWDLPPICQLSCKY >OGLUM07G06410.1 pep chromosome:ALNU02000000:7:5645762:5648802:1 gene:OGLUM07G06410 transcript:OGLUM07G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGASVSMDAAASMDMWDWEVLPDQLSSSSHGGGGHGRRVLGAQETEESNLDAAAADMAVDDECKDIGVDVAVPAETKTSQEETMAAKVTEEEEEEAFQGSDAKVVDGDDDGGGEEEEEEEEEGKKAGAECVVFRVGKLRVNGIGALCSFGVAAAATVCVFLVGGRLQHHHRQQQQHKIQLQLYGDDKRMQQVVQQTSRLNQAMSSVMGGGGSTRANISFGGYYEGF >OGLUM07G06420.1 pep chromosome:ALNU02000000:7:5659544:5660608:1 gene:OGLUM07G06420 transcript:OGLUM07G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTSELLCVETKGRVFLRSHSRVWFLALMMMILIGHCPCIHALQKRNERKKYRGLFIFFLGVRFASCISECEIWSCASLVGAEARSFASIVEKKKRKTPSVGEMLLPVSVMC >OGLUM07G06430.1 pep chromosome:ALNU02000000:7:5662645:5666650:-1 gene:OGLUM07G06430 transcript:OGLUM07G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase [Source:Projected from Arabidopsis thaliana (AT4G14930) TAIR;Acc:AT4G14930] MDSSAASAAAAAAAAAPVVLVTNDDGIDAPGLRFLVGQLVAARRYRVLVCAPDTDRSGVSHSITWRPALRCKRVDIDGATAFAASGISGKLFDGLVVSGINVGNNCGCHVIYSGTVGGAREAFLYGIPSLAMVASQSSVNDLKVAAEVVMPLINTVMAEIKNGTYPQGSFLNIDIPTDAAHHKGYKITKQGRYMARIGWEQTVYKKPAVESYQTANMDVDSEKDSEVDTSSENDLLFKRVLVRRSYDEEEGDDIDHKCLVDGYITVTPLGALSHAEADVIPYYKACLSRLYDLSSSSL >OGLUM07G06440.1 pep chromosome:ALNU02000000:7:5678440:5680403:1 gene:OGLUM07G06440 transcript:OGLUM07G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQVIGLLGDCLTTDHEINNGKDRGQGVVKVIGKYLIRTRYQVTNIYQLKSRPQGVPLRVRKMLKSAQAIDEFNQDGV >OGLUM07G06450.1 pep chromosome:ALNU02000000:7:5683336:5695974:1 gene:OGLUM07G06450 transcript:OGLUM07G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MAMAATSRAPSTLAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSQGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKARGCRVVDDDLQKGDEGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >OGLUM07G06450.2 pep chromosome:ALNU02000000:7:5683336:5692109:1 gene:OGLUM07G06450 transcript:OGLUM07G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MAMAATSRAPSTLAPASFSAAGGSRRRRRCPNPRVRVGVGVRCSLDSNVSDMAVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRKGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFLSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYDKSPDGETYVKGLLLSKATSREIIKADAYVAACDVPGIKRLLPSEWRQWDTFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLKKAVGLDNLLYTPDADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVLELFPSSQGLELTWSSVVKIGQSLYRESPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEELLALRKKLIVDDSEKARGCRVVDDDLQKGDEGGGAELDSPRSVDDVVAVLEADYQRAYFLTGNFTPDIYTEDCLFEDPTIKFRGRSRYSQNLDLLVPFFDSPSLELENIEKGLRVETKFIMATWTLRTYLRLPWRPLIAIRGNTTYDLDEEYKVTRHAESWDVSALEAIGQIFVPAPKQTDDI >OGLUM07G06450.3 pep chromosome:ALNU02000000:7:5693843:5696017:1 gene:OGLUM07G06450 transcript:OGLUM07G06450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:zeta-carotene desaturase [Source:Projected from Arabidopsis thaliana (AT3G04870) TAIR;Acc:AT3G04870] MLSSLSAWLVNPRRNPLARLHMNAVASRLRKYGELPPPPLRIPPLGLGLGFCRGGGSLIGVLVWAGLRYDDLYDPYHDLDIKEALARLPREVVDARNQRLKRAMDLSMKHQYLPADAQVEQDFAIILGSFGSVPHSVCMYAKQTPFRGYLSDMLALVKKESAEREALGALPLYQRTIP >OGLUM07G06460.1 pep chromosome:ALNU02000000:7:5698145:5710035:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06460.2 pep chromosome:ALNU02000000:7:5698145:5710035:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEQMEVARSLRQNKFRIKLCEVYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06460.3 pep chromosome:ALNU02000000:7:5698145:5710035:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06460.4 pep chromosome:ALNU02000000:7:5698145:5709360:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06460.5 pep chromosome:ALNU02000000:7:5698145:5706315:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGILEDSLEERMEKAISDSDKTEIESKDADTEDNKARNCFVKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNRAQLNSLSLPSFNHYMWNSACSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06460.6 pep chromosome:ALNU02000000:7:5698145:5706315:1 gene:OGLUM07G06460 transcript:OGLUM07G06460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEMEKKVHQYLQRKGFRVAGLALLEDRTRLSATTTTTTTASSSSHSLSDAALSRSENDPARYYDGYSKLRTWAYSYLDHYKHELLRILYPLFFHCFMDLVAEGHTQEACSFFHTFREDHEHSRDLKKLESILSPLHLEYSYELLLQYLHKTQALVVLGIINERIDFQVSPGQSPLIIDDSDAVVLIGSSKDLTKQINQKEVHWGKRSSEGGKQGGSHKKIKKDKFVGTTGKNMKSETSMVSIAPRVKPELTLPMTSVEVEQSILEDLRNPCSQGENGPSQIERVSTSDEVRKSCTLFQGHSGPVYSAMFSPIGDFLLSSSADSTIRLWNTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDISSGRCVSPLVGHNSCVWSLAYSCEGALLASGSADCTVKLWDVASSTKTLKMDDTKGGSANRLRMLKALPTKSTPVYTLQFSRRNLLFAAGAPSLGS >OGLUM07G06470.1 pep chromosome:ALNU02000000:7:5719743:5723003:1 gene:OGLUM07G06470 transcript:OGLUM07G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWVLAAAAALALWAAAAEGARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHLSHQPAFDHPLLKNHTLQMRPAYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRRYGRKRHTAAANPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQFGREYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGTHTSTQMGSGRFPEEGFGKASYFKNIQVVDSSNQLKAPKGVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >OGLUM07G06470.2 pep chromosome:ALNU02000000:7:5719743:5723328:1 gene:OGLUM07G06470 transcript:OGLUM07G06470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWVLAAAAALALWAAAAEGARSPAARVHRHLKRLNKPAVKSIESPDGDIIDCVHLSHQPAFDHPLLKNHTLQMRPAYHPEGLYDDDKRSVASDNAGEKPMLQLWHQKGRCPEGTVPIRRTKKDDLLRASSLRRYGRKRHTAAANPLSIDPNMLNEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNIAGSQYDISILIWKDPKEGNWWMQFGREYVLGYWPSFLFSYLADSASMVEWGGEVVNSEPDGTHTSTQMGSGRFPEEGFGKASYFKNIQVVDSSNQLKAPKGVGTYTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >OGLUM07G06480.1 pep chromosome:ALNU02000000:7:5729434:5736700:-1 gene:OGLUM07G06480 transcript:OGLUM07G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT3G05350) TAIR;Acc:AT3G05350] MAIEAARLSPSLAAAAILGRRGPPPVALPLRRALPLLLPPLRRRLCFLAAAGGDGRAVALPSSELRKRRGGASSSSSAAPVGEDEKLRSLRRLLARPDVAIDAYIVPSQDAHQSEFIAECFMRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHDWTLMRSGNQGVPTTSEWLNEVLPSGCRVGIDPFLFSFDAAEELKDAISEKNHELVLIKDLNLVDEIWGESRPEPPKERTRVHGIKYAGVDVPSKLSFVRSQLAENGCNAVVISLLDEVAWLLNMRGSDVPNSPVFYSYLIVEDTAATLFVDNNKVSEDVLEHLEKAGVKLKPYEAILSDVERLAENGAKLWLDSSSINAAIVNVFRSSCERYVKKRGKAGRQIGKESSQGDPATGSSGVQNGTVNALYKVSPATLAKAVKNEAEVEGMKSSHLRDAAALAEFWCWLEGQVRESVPLTEVQVAEKLLEFRQKQDGFIDTSFDTISGYGANGAIIHYRPTPESCSSVGSDNLFLLDSGAQYIDGTTDITRTVHFGEPTPRQKECFTRVLQGHIALDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMIVSNEPGYYEDNSFGIRIENLLLVKEVNLPNSFGGVSYLGFEKLTFVPIQSKLVDLSLLSPSEINWINEYHDEVWEKVSPLLSGHSLDWLRKNTRPL >OGLUM07G06490.1 pep chromosome:ALNU02000000:7:5739494:5746769:-1 gene:OGLUM07G06490 transcript:OGLUM07G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISRKVLPACGSLCYFCPGLRARSRQPVKRYKSILAEIFPKTQDEEPNERRIGKLCEYCSRNPLRVPKITVSLEQRIYKELRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCELSQEVGEDEQTIALRAAALQALSAMIWFMGELSHISSEFDNVVQVVLENYRPQKMQNDGQVTKDSSDQLEQEAPKTEDSKAEDSKTEDSSPFVISAVPLWENIVNHIEHKTMVKQQEMQLSIVEVAATLAEQSIAQASAATIGAIGDLVRHLKRTFHITLGSKDSELVKWNEKFRKAIDDCLGQLAKKVTDAGPVLDMMAVMLENIASTPVVARSTAAAVYRTAQIIASVPNITYQNKVFPEALFHQLLLTMIHPDHEARVAAHRIFAIVLVPSSVAPSIQASVSGQAKKHDMQRTLSRAVSVFSSSAAIFDKLKKDKHSDNSQGDSSGLHSISEESSNAKRSNLPVSQSRRRSMKIPNFSMKRGPSVAMRAPSVALRAPSISLRVTSMSLRAPSMSIKADHGSSSHPEDEMESVLLKLSSRQITLLLSSIWAQATSPENTPANYEAIAHTYSLLLLFSGAKASVFDALTQSFQVAFSLRGYSLTEPDSLPPCRRRSLFTLATAMIIFSSRTFNVLPLIPICKQMLNERTGDPFLRLVDESKLQAVKDSVDDPSKIYGSPEDNTNALKSLSAIELSESQSRECIVSTIMNNITNMLEAELHGVKNQLLSDFSPDDMCPTSTHFFEATGDNSSPGSHDNDHHPEAVLIDLGNDHDIFGEASESTAASANAVPVTDLLSIDQLLETVCLALLNSFSMFLLYSSDEVVTDPAPHTERVSVSTDMPFKEMSSQCEALTVGKHQKMASFMSFSQDMTMDPMATNQPFQTDLSLFHDPYPHQVGVPNTNPFVDDNLYGYPQYMNMNEANPQPTYEQAQQHFLRLPASSPYDNFRRAAGC >OGLUM07G06500.1 pep chromosome:ALNU02000000:7:5760230:5761099:-1 gene:OGLUM07G06500 transcript:OGLUM07G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKHLQYIKLGEMLEDYQVRQAKGYTNGQRMMAPTAEKILDNLEPNSILKNLKISGYVGAKIPYWIAKASVNNLINLDLGGCKNWKKLPSLAEFLLLKHLRLDNLQLPSEFLLLNQLRATAAETAAVGSMRDGNLQNSYCSSIFARPRRQARCAMASVDGGGVTTSMRRRRDGLDATAARWPRWAAARQPRYDGSATTTMGDGGATVSMGDGSATASMRRWHGADSRHGRPDTAEGREESLFLFARSYPC >OGLUM07G06520.1 pep chromosome:ALNU02000000:7:5816832:5819129:-1 gene:OGLUM07G06520 transcript:OGLUM07G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFAVEAKVAGGGERREFKGKITWYVWLCGIIAATSGLMFGYDVGISGGVTAMDGFLIKFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFAASRLCTRLGRRRTMQLASVFFLGGTALCAGAASLAMLIVGRICLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLDVTIGILIANVVNYFTSSAHPSTGWRYSLGGAGVPAAVLFLGSLVITETPTSLVERGRRDAGRATLERIRGTRDVGDELDEIARACEAAAALSAEESAYRRLRRRESRPPLVIAVAMQVFQQFTGINAIMFYAPVLFQTMGFKSNGSLLSAVVTGGVNVVSTLVSIVAVDKIGRRRLLLQACGQMLIAQIAVGAIMWEHVKANGNPGEKWAMAIVVLICVYVSSFAWSWGPLGWLIPSETFPLATRTAGFSFAVSSNMLFTFLIAQAFLSMMCRMKAFIFFFFAIWIVIMAAFVFWLLPETKGVPIDEMVDTVWRRHWFWKRFFADAGDGRIGNC >OGLUM07G06530.1 pep chromosome:ALNU02000000:7:5820826:5825879:-1 gene:OGLUM07G06530 transcript:OGLUM07G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sterol methyltransferase 1 [Source:Projected from Arabidopsis thaliana (AT5G13710) TAIR;Acc:AT5G13710] MQPHDKTLPRERSHPIPPISLLAGRSSRLRRQPPPPPSPSGGAPVIDAAMSRSGAMDLASGLGGKITKDEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGVKPGMKVLDVGCGIGGPLREIAKFSLASVTGLNNNEYQITRGKELNRVAGVSGTCDFVKADFMKMPFSDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTQQCLQAAKDAGFEVIWDKDLAEDSPVPWYLPLDPSRFSLSSFRLTTVGRAITRTMVKALEYVGLAPQGSERVSNFLEKAAEGLVEGGK >OGLUM07G06540.1 pep chromosome:ALNU02000000:7:5831990:5838187:-1 gene:OGLUM07G06540 transcript:OGLUM07G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEQYGHRLRGTNLLSPVTPTPRSTVQHQTSDLCRFRGDSCRSLPVCQAVSMSMET >OGLUM07G06540.2 pep chromosome:ALNU02000000:7:5831990:5838349:-1 gene:OGLUM07G06540 transcript:OGLUM07G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGSAAYGDGDGDGEKPSAQLGQPLLPPPDQPYYAFPAAAYAPPPPPPPPPTLVFVPVTSPFLLRLRRLRPRRVPCLRAFSTRTLPLLLFLALLAGLAFLLYPSAPVARVEGLRLDSFRVNPPPLPAVDLHLALRLRVRNPGLVLPLRYRAVSAAVSYRGHLLGSAAARPGSGELGARGTTYADAEVWVDAGRVVDDVIDLIGDLAAGSLPLEIVTEVVGAVRVFRFDIPVKGLITCSVNVSPDTQKIIKSCPSGQMEEAEQYGHRLRGTNLLSPVTPTPRSTVQHQTSDLCRFRGDSCRSLPVCQAVSMSMET >OGLUM07G06550.1 pep chromosome:ALNU02000000:7:5840802:5842718:1 gene:OGLUM07G06550 transcript:OGLUM07G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMARVFLLLLLPLSSSAATAVAAPPPPRSQAQTADGVLVNGNFAMSPRKMNATVIVGRDSLPGWALRGRVEYVSGGPQPGGMYFAAAPGAHALRLGARASAAQAVAVRPGAAYALTFAATRACARDVEREEALRVAVSPSFSAPGDVPVRTLYGAGAADAWAWGFRAAERNAQVEFSNPAAADDHDGDDGLNCGPLLAAVAFKELPAPMPSKDNLIRNGDFEAGPAAIPNSTAGVLLPPKRKDATSPLPGWIVESLRPVRLVDAPHFAVPQGQRAVELVAGREGAVAQVIRTAPGRAYNLSFAVGDARDGCEGAMLVHAVVVAGGGGNATAKAAAAAVPYASRGGGGARQASLRFVASGRRARVTFYSSYYHTSAGDGVSPCGPVLDQVKVQPLMTKA >OGLUM07G06560.1 pep chromosome:ALNU02000000:7:5845748:5848549:-1 gene:OGLUM07G06560 transcript:OGLUM07G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G41820) TAIR;Acc:AT2G41820] MPPPAAFLFLACVLGASVAVAAAVAADGDGDAMRELRRALAPPDWGAAGEDGKGSYYCAWRGVTCAGGGGGAVVAIDLPRRGLRGDFSAVAGLRALARLDLSFNALRGGVPGEALGGLPGLEFLDLSMNHLSGGVPPSLAGAVGLRFLNLSNNALSGGIPDELRSLRALTELQISGNNLTGAIPPWLAALPALRILSAYENSLSGPIPSGLGLSSKLQVLNLHSNALEGAIPSSLFDLGNLQVLILTVNRLNGTIPDTIGRCSALSNVRIGNNRLAGAIPASIGDATSLTYFEADSNELTGGIPAQLARCANLTLLNLAYNRLAGEVPDVLGELRSLQELIVSSNGLSGEFPRSILRCRNLSKLDLSYNAFRGGLPESVCNGSRLQFLLLDHNEFSGGIPVGIGGCGRLLELQLGNNNLTGEIPAEIGRVKSLQIALNLSFNHLVGPLPRELGRLDKLVALDLSSNEISGEIPGDMRGMLSLIEVNLSNNRLSGAIPVFAPFQKSAASSFSGNTKLCGNPLVVDCGPIYGSSYGMDHRKISYRVALAVVGSCVLIFSVVSLVVALFMWRERQEKEAEAKMAEAGEVVVAAPQVMASNMFIDSLQQAIDFQSCVKATFKDANVVSNGTFSITYKAVMPSGMVVCVKKLKSVDRAVIHHQTKMIRELECLSHINHPNLVRPIGYVIYEDVALLLHHHMPNGTLLQLLHNVDNPDGDNQKPDWPRLLSIAIDVAEGLAFLHHVATIHLDISSGNVFLDSHYNALLGEVEISKLLDPLKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSFGVVLLEILTSKLPVDEEFGEGMDLVKWVHSAPARGETPEQIMDPKLSTVSFAWRKQMLAVLKVAMLCTERAPAKRPKMKKVVEMLQEAKNS >OGLUM07G06570.1 pep chromosome:ALNU02000000:7:5851294:5852109:-1 gene:OGLUM07G06570 transcript:OGLUM07G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRCGCAVARLSLPHRSSSDGSGRRGSTVAGLPLLTNPTMKMMVASCPVADPKTLVSGVACPPLADDITHHLRKSFFVLLAWQNVILYIYGNCLVSTGQKFPVSRGDKLGRLQLATTPWN >OGLUM07G06580.1 pep chromosome:ALNU02000000:7:5854528:5854824:1 gene:OGLUM07G06580 transcript:OGLUM07G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVEGSKADEGGDEVGEEGHARSLCSIVADVKEPEADDIDNDGIEAGRAQGDGVAEDSNEVSGESDDENNGGDNEGVLTTEAGECVRDAEVLDIGGR >OGLUM07G06590.1 pep chromosome:ALNU02000000:7:5855312:5857478:1 gene:OGLUM07G06590 transcript:OGLUM07G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGGERGGERGGFGRGFGRGGRGDRGRGGRGGRRGPRQEEEKWVPVTKLGRLVKENKIHKIEEIYLHSLPVKEHQIVEQLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWRETRFIKTPFQEYTDLLARPKGLVIEAPAEKIEA >OGLUM07G06600.1 pep chromosome:ALNU02000000:7:5868588:5868823:1 gene:OGLUM07G06600 transcript:OGLUM07G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYLMQQLHLPLVHDSFAGRLWTIAAAGVFSQLGMLGLVGSALVPALCPAPCCAAATAS >OGLUM07G06610.1 pep chromosome:ALNU02000000:7:5869101:5870828:-1 gene:OGLUM07G06610 transcript:OGLUM07G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLIFSAYVVVMSFFLCSVHAKVNMNASFHLTSGDDSLRGRSLESKKVVFDVRKHGAYGDGQHDDTKALAKAWAAACSSSQPSIVLIPKGKRYLTKHITLSGPCKSSITFMIEGTLVAPPKRSDWSKETIRHWIMFNGVSGLTVAGGGTVDGNGKIWWQNSCKTNAKLPCTEAPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTDVRISSLTITAPGTSPNTDGIHITRSKNVQVTGCIIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDTVRLYGTTNGARIKTWQGGWGYAKNIVFQNMIMENVWNPIIIDQNYCDSATPCKEQVSNVVFKNIRGTSASKEAIKLDCSRNVPCQGITLKDVKLTIKGGGSDAKSTCGNAKWKKSGIALCFQ >OGLUM07G06620.1 pep chromosome:ALNU02000000:7:5873537:5873749:-1 gene:OGLUM07G06620 transcript:OGLUM07G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAVRRRRKEAAVEGARPCAAEVAVAVAADKSRGGERERERERGGGIPSPRSNLPRGNGIRREWEWE >OGLUM07G06630.1 pep chromosome:ALNU02000000:7:5873605:5880232:1 gene:OGLUM07G06630 transcript:OGLUM07G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKKGATKNALGDPASTKTSRQPRRAAQAAASEKKVNDLITSSAKKKKSVGAPSKKNRASKGGRKLISACDAANSENEVSQVVSGIPHDQKQSDENVDGRPCNSIFSPAYHLQKECGASNFAKGLEHKGDTLGSVSSVEERTTHAQGRKEVTTSRSESTSHAVKTCVGSDHHTLNAQSAFCNTPLEEDEFSELGNLSSEVSAIYLAMQQSKLECIDEHSQDSISTEGYVDPEDTEEYDDFDPYAFIKDLPDLSLVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEPCEDADFAFPVYFNFREHTIYVRCRPYLKEFLERVANLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRDSCVYVEGNYLKDLTVLGRDLTRIMIVDNSPQAFGFQLDNGIPIESWFDDPNDQELLKLLPFLESLVGVEDVRPYIARKFNLREKVVISINAEGLVW >OGLUM07G06640.1 pep chromosome:ALNU02000000:7:5880444:5882164:-1 gene:OGLUM07G06640 transcript:OGLUM07G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLIVSASLLAVFFFLHSADADVGSNVFSIQSYGAHGDGRHDDTKALGDTWAAACSSAKPAVLLIPKGKKYLIKHTTLSGPCKSSISLMVKGSLVASPERSDWSKETIRHWILISGVTGLTVTGGGTIDGNGKIWWQNSCKTNSKLPCTEAPTALTFYSCKNLKVEYLKVVNSQQIQISVEDCTDVMVSRLSITAPETAPNTDGIHITRSRDVEVTDCMIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTANGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNVLFKNIRGTSASEEAIMLHCSSSVPCHGITLENVNLTVKGGIDLKHEHPWTLEDVEK >OGLUM07G06650.1 pep chromosome:ALNU02000000:7:5885524:5886711:1 gene:OGLUM07G06650 transcript:OGLUM07G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPRKRRRVDGGGGNGGNYPLVATPNDGVLPVDLLNAVLLRLPARPACRLRAVCRPWRAVLSDPRFAAAHAARHPDPHLVVAACDRLDAGGIELVDVYLVGASGDVAKRVPAGRCDTDAVSSARDGVALLVGNDRRLRVLDAAASAAVSLVPDGEHHPINCSFTLGRAASSSGEHKVLRIGTVVHGEPQVCAVLTLAVAGGRGQNARWREAPSPLLVVRTRRGDVAVAGGVAYFLLRRAYLADWIAAFDLEAEQWRPALVGGPPLAAWRPTRPDRPRVTLAELGGSLVVAIDDHRAATLDLWFLLAAGDGEQHWSKQYTVTMPYHRRPWRCDGESAEPVVVLDDGRIVFWVWAGGGVMRVYDPITGGHTDVATAARCAHVGVYTGNLLSLVSE >OGLUM07G06660.1 pep chromosome:ALNU02000000:7:5904189:5906638:1 gene:OGLUM07G06660 transcript:OGLUM07G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVPKIDLELVGTSD >OGLUM07G06660.2 pep chromosome:ALNU02000000:7:5904189:5906638:1 gene:OGLUM07G06660 transcript:OGLUM07G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVPKIDLELVGTSD >OGLUM07G06660.3 pep chromosome:ALNU02000000:7:5901724:5906638:1 gene:OGLUM07G06660 transcript:OGLUM07G06660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSITAPETAPNTDGIHITRSRDVQVTDCTIKTGDDCMSIEDGTKNLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTVDNVRLYGTTNGARIKTWQGGKGSAKNIVFQNMVMDNVWNPIIIDQNYCDSSTPCKQQKSAVEVSNLLFKNIRGTSASEEAIVLHCSNSVPCHGITLENVNLTVKGGSSNAKSTCQNAEWKKSGVSVHCPVVPKIDLELVGTSD >OGLUM07G06670.1 pep chromosome:ALNU02000000:7:5902152:5903995:-1 gene:OGLUM07G06670 transcript:OGLUM07G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREPDRTTGSRYKRGAPRTRRKTLSRRRRRPSREAAFAAAAALHRALSVSGRRQKPVRQPFHKMVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OGLUM07G06670.2 pep chromosome:ALNU02000000:7:5902152:5904165:-1 gene:OGLUM07G06670 transcript:OGLUM07G06670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPWGLAAAAGDQGRTTHSSQNPQPPPPPPEQRSRLRRRRRTPPRALRLRPPPDKMVRVSVLNDALKTMYNAEKRGKRQVLIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OGLUM07G06680.1 pep chromosome:ALNU02000000:7:5904196:5904503:-1 gene:OGLUM07G06680 transcript:OGLUM07G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHHLLLLQPPVRRRQSLLLLCNLIPSQWKLPLVGGWSNKLHSELSAPWKKLGATLIAIAAAVSSVTAAAVDSTRAAAASIALDAVPTITGRSSPCP >OGLUM07G06690.1 pep chromosome:ALNU02000000:7:5910512:5912243:1 gene:OGLUM07G06690 transcript:OGLUM07G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNVLLFSAYVVVMSFFLCSVHAKVNMNASSLTNGDDSLRGKSSLESKKAVFDVRKHGAYGDGQHDDTKALSKAWAAACSSLQPSIVLVPKGKRYLTKHITLSGPCKSSIMFMIEGTLVAPPRRSDWSKETIRRWIMFNGVIGLTVAGGGTVDGNGKIWWQNSCKTNAKLACTESPTALTFYSCSNLKVENLKLLNSQQIHMSVEDCTNVRISGLTITAPGTSPNTDGIHITRSKNVQVTGCTIKTGDDCMSIEDGTENLHVKNMVCGPGHGISIGSLGDHNSEAHVNNVTIGTVRLYGTTNGARIKTWQGGRGYAKYIVFQNMIMENVWNPVIIDQNYCDSATPCKKQTSAVQISNVVFKNIRGTSASNRNVPCQGITLNDVKLTVKGGGGDAKSTCRNAKWKKSGTVVPQPCASTTTV >OGLUM07G06700.1 pep chromosome:ALNU02000000:7:5957317:5962432:-1 gene:OGLUM07G06700 transcript:OGLUM07G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AH70] MDGDADAVKSGRHGSGQACQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGEDTDADDVSDYNYPASGSADQKQKIADRMRSWRMNAGGGGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKLKQDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVHPSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGSFLSSLCGGRKKASKSKKKSSDKKKSNKHVDSAVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDTQTCGINC >OGLUM07G06710.1 pep chromosome:ALNU02000000:7:5962469:5962867:-1 gene:OGLUM07G06710 transcript:OGLUM07G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISPQAFSPPPLLLLLVALPPPAPLPLHSRVLCWKPSSPRREDSSAGCGGADPGVLRILLESVSR >OGLUM07G06720.1 pep chromosome:ALNU02000000:7:5972575:5974193:1 gene:OGLUM07G06720 transcript:OGLUM07G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDQLEHRPAPSAPRAEPDDVADDVEVEAFRDIHPEPSPPHLPPPPLRQPSWDAASHRSLSSSGAGGGGGGGGGDVELFATMSREFTAMVAAGSSSAPSPDVPGDAPAAAADLNLLQLARIGENEPAAEANALAIVPAAADTGPPAPVEQVKKEEVEAKVAAWQAEEVAKINNKFKREEVVINGWESQQVDKATAWLAKIERKLEEERAKATEKARNEAAAARRKAEERRASAEARRGRKTAEVLDRANFCKAAGRVPSRRSFFSF >OGLUM07G06730.1 pep chromosome:ALNU02000000:7:5987247:5993255:1 gene:OGLUM07G06730 transcript:OGLUM07G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTVLTGVPENVTVAHASGAGLVDGAFVGAGADEAKSHHVFTFGTLRECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAAAAAATAGDGHDGAGEPVYVVMLPLLEGKFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIVDDGWQQIGTEDDDDTDEHPAVAVQEGAQFASRLTGIKENAKFQSKNGGGGGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGESLLKIWNLNKCGGVVGVFNCQGAGWCRVAKKTRVHDAAPGTLTGAVRADDVDAIAQVAGGGWDGEAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAAPGGAAVAFAPVGLLDMFNAGGAVEECAVDATATAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWSLEIHV >OGLUM07G06730.2 pep chromosome:ALNU02000000:7:5986881:5993255:1 gene:OGLUM07G06730 transcript:OGLUM07G06730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPQITVSDGRLAVRGRTVLTGVPENVTVAHASGAGLVDGAFVGAGADEAKSHHVFTFGTLRECRFMCLFRFKLWWMTQRMGSSGRDVPLETQFMLIEVPATAAAAAAATAGDGHDGAGEPVYVVMLPLLEGKFRAALQGNDDDELQICIESGDKAVQTEQGVNMVYIHAGTNPFDTITQAIKAVEKRMQTFHHRDKKKMPSFLDWFGWCTWDAFYTDVTADGVKQGLRSLANGGAPPRFLIVDDGWQQIGTEDDDDTDEHPAVAVQEGAQFASRLTGIKENAKFQSKNGGGGGEDTPGLRMLVEEVKGEHGVRQVYVWHAMAGYWGGVAPAMERYEAALAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRKVLDFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVALTRAYHRALEASVARSFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVASVAYNTVFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGESLLKIWNLNKCGGVVGVFNCQGAGWCRVAKKTRVHDAAPGTLTGAVRADDVDAIAQVAGGGWDGEAVVYAHRARELVRLPRGAALPVTLGALEYEVFHVCPVRAIAAAAPGGAAVAFAPVGLLDMFNAGGAVEECAVDATATAVALRVRGCGRFGAYFSRRPARCALDGADVGFTYDGDTGLVAVDLPVPEQEMYRWSLEIHV >OGLUM07G06740.1 pep chromosome:ALNU02000000:7:5992034:5996418:-1 gene:OGLUM07G06740 transcript:OGLUM07G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dolichyl-diphosphooligosaccharide-protein glycosyltransferase 48kDa subunit family protein [Source:Projected from Arabidopsis thaliana (AT5G66680) TAIR;Acc:AT5G66680] MAAPRHHHLALAVALLLVVAVASSSDEGGPRGRRVLVLVDDLAVRSSHSAFFASLQGRGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNSILEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVIDHINYAATDAEGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNSLVLKVLSASPSAYSANPKSKLASPPSLTGLAISLVSVMQARNNARVLISGSLDLFSNRFLKSGVQKAGSKIRHEKAGNEQFVTETSKWVFHERGHLKAVNVKHSKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQVQFYMMSPYVLKTLSTDKKGVFSTSFKVPDVYGVFQFKVEYQRLGYTGLSLSKQIPVRPYRHNEYERFITSAYPYYAASFSTMGAFFIFSFVYLYHK >OGLUM07G06750.1 pep chromosome:ALNU02000000:7:5997193:6001233:-1 gene:OGLUM07G06750 transcript:OGLUM07G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRHRGRSAPSSPLTPSSTMRAKKIFGFSVSLILINLASIMERVLTLHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGICVLFYSPLYLVFKRDRENAKLSSFKDQELIALGAELTL >OGLUM07G06750.2 pep chromosome:ALNU02000000:7:5997193:6001468:-1 gene:OGLUM07G06750 transcript:OGLUM07G06750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGVPSLPPHLITRHLAAARAVLPSCNPASSLSFSLLTLSLSPQLSSSSKQAPKGGRKKLAAAEERRKGRGRCSGRIDGYEAQGSLRPKLPAHPVFNHEIFGFSVSLILINLASIMERADENLLPAVYKEVSAAFNAGPADLGYLTFLMNFLKSIASPLAGVLTLHYDRPTVLAIGTVFWALSTGAVGVSQHFRQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGNDYWGLAGWRVAFIMVALVSLIIGILVYLYATDPRKIPGNHLLDDDDYERLHLASKDVLPPPSIWRDSLVATRSVMKVRTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFLGGVIADRLSRHFPDSARVMCAQFSAFMGIPFSWILLTVIPQSVDYWSAYAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDSKTVNLANGSAEGAYALSRGLLTMMIVPFGICVLFYSPLYLVFKRDRENAKLSSFKDQELIALGAELTL >OGLUM07G06760.1 pep chromosome:ALNU02000000:7:6016383:6017526:1 gene:OGLUM07G06760 transcript:OGLUM07G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRPCRESEEEDERHCSSSNSSTKKKKSLKLALTTTTTSSREAGYSIHKVVDDDFGARPAAAAKHNPLIRIQAQHAYSRFFAAHGTKIIAMHPASFSPGIPVFDTRTLEMAVYPPPKSRSIICPPVYASVGDRLVTFVHQYLEVLGPHPPRSAAAADDDDEPEPPPWSWTTVEPLPQFHSGLVTGYALHPDGRTIFMSIQDCVTFGTRKSTFSFDAGRLEWTRVGDWMLPFEGQAHYDRELDAWVGICRYGEGTGHLCCCDVPPSPAADAACTTTTLPAWKFCKEVMFKKGFTGHWGATLVYMGDSRFCLVDCRVPDDCDVRTTLRVLTITSFGLKYDKAGELVTTRYRAYASISYQIAGKFKRLEDPIAFWM >OGLUM07G06770.1 pep chromosome:ALNU02000000:7:6027432:6031785:1 gene:OGLUM07G06770 transcript:OGLUM07G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGAAVARSXTAAAAVPTHAEWAASLQAYYAAAGHPYAWPAQHLMAAAAAGAPYGAPVPFPMYHPGAAAAYYAHASMAAGVPYPTAEAMAAAAAAAGAVPVGKGKGKGAAASPEKGSSAAPSGDDASRSGDSGSEESSDTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQATLVPYAAVESQYPLKGRSASKLPVSAPGRAALPNATPNLNIGIDLWSTPPALAVPAGQGEASPGLALARRDGVAHLDERELKRERRKQSNRESARRSRLRKQQECEELARKVAELTTENSALRSELDQLKKACEDMEAENTRLMGDKAQYKGPTVTTTLGMSIDSSKTQHHDDEGQLHKNTNNNSNGNYVGGSHKPEANSR >OGLUM07G06780.1 pep chromosome:ALNU02000000:7:6048333:6066086:-1 gene:OGLUM07G06780 transcript:OGLUM07G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNTDTNTRTSTGEGENGNTNGGNNTNNVSTSTGAFSGSAFRRPEFCRPLGPQLRSPSSRALSSAIPSATPPHAAIPSSAAPSSTRRRPLHSPLHPPSSRPLPAAVPSSAVPFVALSSVRRPRESSSYDSHSFSDEPSNVSHYMQLLEDIPEDAHDPTDIGTWIQRADSQMLQLFGKELVALIDRSIQEINREHGQARGASEMHRLLKLAVVITMLPTSPDLLPVILHLYATLGTFPIDQLNGITKELRKCVRKILQRVCSLSQTQSGLYHVAQRGGVHKITLYVMNYVKFLWEHDSVINNIIAYQADGESENGEEWTQVDSFVQHFIGRLDALLERMARHESMMGLECISLLNNAHFILNRLRKLEVKSALQQDWILRYENQVKHQITRYLELSWLPVMSCLDAHTPTQALFPCFHLPLTTRFYEMLESTCAEQQNWRIEDPKLRNNVRKAVSSHVVQCYQAHLQKKGMKLHKYIPQEIENKLMELFEGVLQRVENSRACWIIGEASDNALCICNVWKSFSGEPFTLLTVDANAFQYTSSRFIESTPRIESIPPLSVMVCESVRKEEDVTLLRSSWCQGGMKLNQERKEAMNTECSSKNNYLMENRFPLWTNKILQDGSASFSMIGIMVAGRCTYTSTSTAVFFLPSGAGAWRRSAHRSVGSALFLLPLTATYTTLPPPLPPPPSSSISISGDGDGDLAAASSSAAVVGTGTSGTSMPGAGAYVYVSTEVDDDPRKQENA >OGLUM07G06780.2 pep chromosome:ALNU02000000:7:6048991:6066086:-1 gene:OGLUM07G06780 transcript:OGLUM07G06780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNTDTNTRTSTGEGENGNTNGGNNTNNVSTSTGAFSGSAFRRPEFCRPLGPQLRSPSSRALSSAIPSATPPHAAIPSSAAPSSTRRRPLHSPLHPPSSRPLPAAVPSSAVPFVALSSVRRPRESSSYDSHSFSDEPSNVSHYMQLLEDIPEDAHDPTDIGTWIQRADSQMLQLFGKELVALIDRSIQEINREHGQARGASEMHRLLKLAVVITMLPTSPDLLPVILHLYATLGTFPIDQLNGITKELRKCVRKILQRVCSLSQTQSGLYHVAQRGGVHKITLYVMNYVKFLWEHDSVINNIIAYQADGESENGEEWTQVDSFVQHFIGRLDALLERMARHESMMGLECISLLNNAHFILNRLRKLEVKSALQQDWILRYENQVKHQITRYLELSWLPVMSCLDAHTPTQALFPCFHLPLTTRFYEMLESTCAEQQNWRIEDPKLRNNVRKAVSSHVVQCYQAHLQKKGMKLHKYIPQEIENKLMELFEGVLQRVENSRACWIIGEASDNALCICNVWKSFSGEPFTLLTVDANAFQYTSSRFIESTPRIESIPPLSVMVCESVRKEEDVTLLRSSWCQGGMKLNQDCMDKKLISCGDGTWRNRVMVRYLQKVFFLFFSTRCAWCITIMG >OGLUM07G06780.3 pep chromosome:ALNU02000000:7:6048991:6066086:-1 gene:OGLUM07G06780 transcript:OGLUM07G06780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVNTDTNTRTSTGEGENGNTNGGNNTNNVSTSTGAFSGMPSSTSSTNLSIRFATTESSSYDSHSFSDEPSNVSHYMQLLEDIPEDAHDPTDIGTWIQRADSQMLQLFGKELVALIDRSIQEINREHGQARGASEMHRLLKLAVVITMLPTSPDLLPVILHLYATLGTFPIDQLNGITKELRKCVRKILQRVCSLSQTQSGLYHVAQRGGVHKITLYVMNYVKFLWEHDSVINNIIAYQADGESENGEEWTQVDSFVQHFIGRLDALLERMARHESMMGLECISLLNNAHFILNRLRKLEVKSALQQDWILRYENQVKHQITRYLELSWLPVMSCLDAHTPTQALFPCFHLPLTTRFYEMLESTCAEQQNWRIEDPKLRNNVRKAVSSHVVQCYQAHLQKKGMKLHKYIPQEIENKLMELFEGVLQRVENSRACWIIGEASDNALCICNVWKSFSGEPFTLLTVDANAFQYTSSRFIESTPRIESIPPLSVMVCESVRKEEDVTLLRSSWCQGGMKLNQDCMDKKLISCGDGTWRNRVMVRYLQKVFFLFFSTRCAWCITIMG >OGLUM07G06790.1 pep chromosome:ALNU02000000:7:6048357:6052560:1 gene:OGLUM07G06790 transcript:OGLUM07G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLVPVPTTAADDDAAARSPSPSPEMEMEEDGGGGSGGGKVVYVAVSGNRNKALPTLRWALRRHAPAPEGRKKTAVLVLVYVHRPATMIPIFTGAKVPSIVLKDEIVTSYRQQERRITEKFLQQYLDICTSEKVQAEAFMIENDNIAHGLIGAIQEHKISTLIMGAGIYGYPALPKKTSTQRTKLAITMEKEADPSCKILFVHKGNLFSIRPRTTSIPISVNSDVPTMAGSHIPWFSFIPPWHHDDRSSVTSSSFLTDSQTMTDNGLDPENLDHQFFENAMPMFDYDSFSLIRHESLHGLNEIASQIILSGHSQYLRQLNFDVSCNEEVRNRQFIHGIDSILGVDSMNLEEVYWKAYMEDKTIKWIYLLEYIHKIVSVSLKQIQEQHDGASSGLTLEGLSDAATKPINRLLTFASTVSKVNGSPEKLFHTLQMHRALSEASPMIQQALLGEQKEFFVRELHRILDTLEDSAREILGKLKVQIQSHDSPIIPGGSVHLVTTYLMRYITLLAHNTSSLNTILGHDHSDHLLAADGINLLLPSRLISGLIFDLGSMLQKQSKLYKPEGLQYLFLMNNEHFILQQFEREDIKLMIGTEWIQKYCHNINRYKVKYIEATWATVVSCLDKKISISLNFLQPSPLKEFISSFETEYRLQMHWKVPDPKLRIELRQTVCDYVLPAYCEFMEKHPNLEKSGDNLEDIRNKLNELFEG >OGLUM07G06800.1 pep chromosome:ALNU02000000:7:6077130:6085853:1 gene:OGLUM07G06800 transcript:OGLUM07G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKAANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSNDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENMQSQWAAFTNRLQLLITKSSTLQTSTVALEAFQQLNLDVCNIFRENKHWLRRKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSGTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGRMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSAKNRNTQKQLPVTSNPSSEHHIFMDSFPKLKLWYRQHQACLASTLSGFAHGAPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALESLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWGIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >OGLUM07G06800.2 pep chromosome:ALNU02000000:7:6077130:6085853:1 gene:OGLUM07G06800 transcript:OGLUM07G06800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSAAAAWVDWAAEYTKAAQAESRPPAEWAARVASVVAAAGDAPWSPGLAEMLARALLYGGGGAAWKYAEAALAAGLASPALLLAILSTRVIPHRFTRPTAYRLYLELLRRHGFNFAFQMKAANFKKIMQLIDDNLGLSKIFGFSTCEPGLVDAALDDEGLLELIPDKKAHWPTRSNDVSAFDGTFSEQRIDKIDKLQKMNNVITIELIGHLLHDKVITHILSLARENIKFHPIVTSNPLSSPNGRCLGASYSAQWIPIDMYLEDCLDGSIAATNSIEILSGLIKALQAVNRATWHDAFLALWIASLRLVQREREPIEGPVPHLDTRVCMLLSITTLAIVDIIEESDSEMNSNWKEKRTSDDLRKELMLSLQTLGDYESLLVPPPCIISVANQAASKAAMFVSGTNISSGYMENVNDRTTNYSGNMWHLIVESCISRNLLETSVYYWPGYINGHVNSITHALPSQLAAWSSFMKRAPLTQSLVNVLVATPAPSLAEVQKLYEVAVDGSDEDKVSAATILCGATLLRGWNFQEHTVRLVVKLLSSSDPIDFSGGESQLVKHGRMLNVIVTGISPVDYVPIFSFHGLIPELAAALMAICEVFGSLSPSVSWSPRTGEEISAHTVFSNAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVVSIRSSAKNRNTQKQLPVTSNPSSEHHIFMDSFPKLKLWYRQHQACLASTLSGFAHGAPVHKNVDSLLNLMFRKANKESTSIGSLSGSSSISNSSGPGVDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLTDFLPASLATIVSYFSAEVTRGVWKPAFMNGTDWPSPAANLSMVEEHIKKIVAATGVDVPRLVTGGSTLGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALESLAASCPWPSMPIVAALWTQKVKRWSDFLVFSASRTVFHHNNDAVFQLLRSCFTATLGMSSTTSVCSCGGIASLLGHGFGSHCSGGLSPVAPGILYLRIFRCIKDCSILAEDILRLLMLSVKDIAETTVSRHRSDKVRKTKYVMRHGQVSLSSAMTQVKVAASLGATLVWLSGGTALVQSLFQEMLPSWFLSVQDLGRGGAASGGTVYKLGGHALAYLAVYAGMFAWGIDPTPVSRRRERVMWSHFEFLASALDGKISLGCDLSLWRAYVSGFLGLVVECTPCWAHEVDLRVLRRLSAGLRQWKEDELAVALLRRAGPEAMAAAAELIIGGDW >OGLUM07G06810.1 pep chromosome:ALNU02000000:7:6090316:6099927:1 gene:OGLUM07G06810 transcript:OGLUM07G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLPLVLAAAAIASLVILVLVVFACRRWRRAVVAAAPQPPPRAAADVVAASPVRSQNEDLNKPLLEILDDHSSQSNTFPGNVVGESSKVQTSKSDTSPRSHGISDSGRTYPADSCTPQGETHVIDVTDDTSEEFHLGSTLKCTKQTSWSRPDKKHKRWGSGEDNKNGSISLKDNTYRSNLDVEVIAGPSHGISCSRQSTSPTIPITLGRVPPSDLVLKDSEVSGKHARINWNAKTLKWEIVDMGSLNGTFVNSRAVHHPNVGSRHWGEPAELADGDIITLGTSSKLSVQISLQNQRVPAGIGMASDPMVGCRSGKKLAMEDISFCQCPLQGVEQFGLFGIFDGHGGDGAARAVSKIFPENVATLLSHHETKEKVLSYSDASDVLRYAFTMTEAAIDHEYEGCTATVLLIWFDQKKDCFAQCANLGDSACVMSVNGKMIEMTEDHRVASVTERARIARAGQALKAGEVRINGLNLARMFGDKFLKEQDSRFSSEPYVSQAVHITKACTAFAVIASDGLWDVISTKRAVQLVVEGRERNSGDSASADKVANRMLSEARNLRTKDNTSVIFVDFDILRTDHCIAK >OGLUM07G06820.1 pep chromosome:ALNU02000000:7:6102398:6109311:1 gene:OGLUM07G06820 transcript:OGLUM07G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TT8 [Source:Projected from Arabidopsis thaliana (AT4G09820) UniProtKB/Swiss-Prot;Acc:Q9FT81] MAGGEAQAALQAVAQSLRWTYSLLWQLCPHQGSSLVWGEGHYNGAVKTRKSTVMQPPPAVPAAEEEDDGDHAARHRSRQLRELYDWLQQAGENSSGGVQTSSTTASRRPGAALSPEDLTETEWFFLMSASYSFPPGIGLPGRAFARRGHVWLTGANEVDSKVFLRAILAKTVVCIPVVDGVLEIGTTEKVEEDMGLIQYARGIFMDQHGIHMKPTLSQHSTSNPVTHCIHQHPIQVQMQLGITSQTKFDYSDELNADEENDDTEEEGMSGSDTNNTDTERNSGQLQLQMQDQLNMVSNDHQTMPNNAVSSELMQCEMSEVVRDGCSNNILEDEIQMLMDCQNSNCQFNLQGPDEPCHSWHFLCEELQNDYQPATEDQVASPENTHYPKTLMTILHYNTLRQQEMNIKNYLPVSEKSSFSRWTTPEGSDDNKTMISPGTTQRMLKSILMIVPSSHCSYRGAETPESRGGKGASGTRKVGAIQGDFSANHMDKASILGDTIEYVKQLRNRIQELESSSSSSRAAARAPSAAAAGRRRKRSAAAATATAAEGMSSSNGRNGGEAAEVVQVSIIESDALLELRCGCGGGGGVVLLRVMQAMQELQLEVTAVQASCAGGELLAELRAKVKGRRRSSIAQVKRAIHLVLSSSSISP >OGLUM07G06830.1 pep chromosome:ALNU02000000:7:6117862:6118911:1 gene:OGLUM07G06830 transcript:OGLUM07G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSLAPKPAAVAVAAAAAAIPRLVPSSSIDMSALSPPPPLVSVSRSMVAKHKAVVVMGATGTGKTRLAVDLALQFGGEVINADKLQLHRGLDVATNKATADERAGVPHHLIGVAHPDEEFTAADFRRAASRAAAAVAARGALPIIAGGSNSYIEELVDGDRRAFRDRYDCCFLWVDVQLPVLHGFVGRRVDDMCGRGMVAEIEAAFDPDRTDYSRGVWRAIGVPELDAYLRSCAAAGGEEERARLLANAIEDIKANTRRLSCRQRAKIVRLDRLWRIRRVDATEAFRRRGGAANEAWERHVAAPSIDTVRSFLHGEFTTAAETTAAPVPPPPLLPMFALAAAGAGV >OGLUM07G06840.1 pep chromosome:ALNU02000000:7:6141181:6148255:-1 gene:OGLUM07G06840 transcript:OGLUM07G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G19050) TAIR;Acc:AT5G19050] MTSSPSWFSGIARASSASSSAMPPGGVASAAAPLSDGAGGRGGGGGGGGGGGGVVAAVVAAGPGAGAGVGAGGKRRQVQGALFKYGPKSAQVAFKTGDFNHQVIFIGGLTDGFLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSDGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMLSEGRGMDLMPREANPDAPITAYRYHSLCAYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGNAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >OGLUM07G06850.1 pep chromosome:ALNU02000000:7:6150963:6154467:-1 gene:OGLUM07G06850 transcript:OGLUM07G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSKEDGEGPVARCRQRKHLLRDARPPPSRSPPPLPPENDHKVDTPGGFISSLFDSMPPPTLDTAAAEPSSSASAERREPPPPAPDEHQPSAAAREVAEGKRPAAAEAATTRRAMTQKAARKGKAKAVMLVAPPQPQPAKLGVGDILRALDEHFLKASQSAHEVSKLLEAARMHYHSNFAETRGFVDHSARVMQVITWNRSFKGIPQPENVRNEMDDDEWETHATTLDKLLAWEKKLYHEVKDFEVIKREYQQKLAVLNKKKQRGVTSSSLEKTKSVVSHLHTKYVVDLQTMESTVAEINRLRDQQLYPKLLELVKGMWHMWDAMYLHHKTQLKIILELKSLDISVAPRETSEQHHDRTVQLWNVVHEWHTQFDKFMTYQKQYVGSLYTWIKLNVIPIDTNLKPNSSQPHETTPPIKRVLHAWHEILGKLPDEAAKKAINTFAEIVKTILVHQEDELKLRMKIEDTRRDYGKKRRQFDDWAQKYMHQTAGILPEDRNPDGARPDPMAERKAAMEKLELSMKELEEMYVKQCRVVREKSLSLLRTNLPELFRVVSDFSLQSAGMFKGVWSIAHTNDQLDE >OGLUM07G06860.1 pep chromosome:ALNU02000000:7:6179386:6179868:-1 gene:OGLUM07G06860 transcript:OGLUM07G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVLSGGVLGAAARAVDDGRRWLRDEAGLMIKTMATEALDGGVLEVATVTNYGEFNSLRKTVVGRQTASRCSMPFEMQQPHKGIFNGDDRKQT >OGLUM07G06870.1 pep chromosome:ALNU02000000:7:6180605:6183273:1 gene:OGLUM07G06870 transcript:OGLUM07G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast stem-loop binding protein of 41 kDa [Source:Projected from Arabidopsis thaliana (AT3G63140) TAIR;Acc:AT3G63140] MAFSSTAAAASTAFKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKPVVDWAKAAGVAQFLFVSSAGIYTPSDEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGSGMQVTNISHVRDLASMVALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >OGLUM07G06870.2 pep chromosome:ALNU02000000:7:6180440:6183273:1 gene:OGLUM07G06870 transcript:OGLUM07G06870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast stem-loop binding protein of 41 kDa [Source:Projected from Arabidopsis thaliana (AT3G63140) TAIR;Acc:AT3G63140] MAFSSTAAAASTAFVSGHRRRGGACHLLSSSFLIAFPRAVAARRCGEAAAAPRRVGAVTVRAQAAAGAGKKSVLIVNTNGGGHAVIGFYLAKDLLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTSAGATTVWGDPADVGAAVGGGASFDVVLDNNGKDLDAVKPVVDWAKAAGVAQFLFVSSAGIYTPSDEPPHVEGDAVKESAGHVGVEKYIAEQFGSWASFRPQYMIGSGNNKDCEEWFFDSKITQIPPTKFSQISNRPKQRIVRGRPVPIPGSGMQVTNISHVRDLASMVALAVESPGAAAGRIFNCVSDRAVTFNGLVKMCAAAAGAQPEILHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEVLGWRSSTNLPEDLKERFAEYASSGRGQKEMSFDLDDKIIAAA >OGLUM07G06870.3 pep chromosome:ALNU02000000:7:6180430:6183273:1 gene:OGLUM07G06870 transcript:OGLUM07G06870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast stem-loop binding protein of 41 kDa [Source:Projected from Arabidopsis thaliana (AT3G63140) TAIR;Acc:AT3G63140] MEELDEPAGGPQGEVRGVRQQRQRAEGDELRPRRQDHRRRLTTPISSHLVMVKYKYKVLGDLFFS >OGLUM07G06880.1 pep chromosome:ALNU02000000:7:6183967:6187300:-1 gene:OGLUM07G06880 transcript:OGLUM07G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLVARTGRHQQRYEHGRRLVAGCIPFRYKDNNDETSDDGHKKLVEVLMINSQSGSGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVQLLGFYDFKSKTHQDKFCPEGMCRAAVFALRVKEELASWPEQSTRKRTWLTLSEAVERSRYPWVREALTTGFTTWHENWSNGNDHVDPSSR >OGLUM07G06890.1 pep chromosome:ALNU02000000:7:6233949:6244059:-1 gene:OGLUM07G06890 transcript:OGLUM07G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MDKIESRGENRTVTRRRDWKVSEAAARVLALPRAEARAVDAVLNCWAGRFARRNFPLLIREITLSGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYAKHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVTPDTFTLNIIIHCLVKIGQCGEAIELFNSMRERRTKCPPDVVTYTSIMHSYCIYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAYASRGMHRKALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKEAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYFSFGDYEKALELYTSMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVDSKVSSTKEGKLSEAESTFSSMKNSGCFPDVLTYTTLIQAYNAGGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMIRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMVTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDSGVHPTLYMFQNVLPYIWRENGMDFAATMQEKISSLRDKQTWPY >OGLUM07G06890.2 pep chromosome:ALNU02000000:7:6234846:6244059:-1 gene:OGLUM07G06890 transcript:OGLUM07G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41720) TAIR;Acc:AT2G41720] MDKIESRGENRTVTRRRDWKVSEAAARVLALPRAEARAVDAVLNCWAGRFARRNFPLLIREITLSGNLQHAVHVFRWMKNQDNYCARNDIYGMMIRLYAKHNQVDQARGLFFEMQEWRCKPDADIYNSLIHAHSRAGQWRWAINIMEDMLRAAIPPTRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSALKNGAQYSKAISYFEIMKGANVTPDTFTLNIIIHCLVKIGQCGEAIELFNSMRERRTKCPPDVVTYTSIMHSYCIYGQVENCKAIFDLMVAEGVKPNIVAYNSLLGAYASRGMHRKALAIFNLIKKNGLRPDIVSYTSLLNAYGRSAQPEKAREVFNKMKKNSCKPNKVSYNALIDAYGSAGMLKEAVGLLHEMEKDGIQPDVVSISTLLAACGRCRQITRIETILEAARSRGIDLNTVAYNSGIKSYFSFGDYEKALELYTSMRESNVKPDAVTYNILISGSSKLGKYTESLRFFEDMVDSKVSSTKEGKLSEAESTFSSMKNSGCFPDVLTYTTLIQAYNAGGGWKRAWDLFKEMEVNGIPPDAIICSSLMEAFNKGGEPERVLQLMEFMKKKSIPLNQKSYFEIIASCTMIRDWKTASEMIEYLDSSLSSISVGTLNHVLNFLGKCGKTENMMKLFYKMVTSCSTVGLSTYAVVLRNLLVVGKWRKYIEVLQWMEDSGVHPTLYMFQNVLPYIWRENGMDFAATMQEKINELPPS >OGLUM07G06900.1 pep chromosome:ALNU02000000:7:6244410:6248872:1 gene:OGLUM07G06900 transcript:OGLUM07G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPETMDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMKRSRGLGDARGEKRKSDLRNQSSSPRVEEEGIAYNSSYSDQEDGLGDDDIEKFLHSRVKRGRGAVGSRMDEPGPYLNVASRSQENEPNADTRVEEKWERRVQGPEKPLSLRSRSPDDYWRREALDGEPSSPELHKKKEKKEKSSEKKDRKERRKKKDKKSKHRHHHHHKSRQRE >OGLUM07G06910.1 pep chromosome:ALNU02000000:7:6247003:6248284:-1 gene:OGLUM07G06910 transcript:OGLUM07G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRLTHDWGGVQTVGGSLWACRLGVCGIASLEAAVGKGWRGEEIEGEECEDDDVVGACGSHCGHGVDGARRLGFNVPGDRR >OGLUM07G06920.1 pep chromosome:ALNU02000000:7:6251012:6251547:-1 gene:OGLUM07G06920 transcript:OGLUM07G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNSKLSVSVALALVALVVVVGAVVAAVAGGEDQYYGGGARAADGLFIY >OGLUM07G06930.1 pep chromosome:ALNU02000000:7:6253453:6253841:-1 gene:OGLUM07G06930 transcript:OGLUM07G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHHRYGSVYPRPTLPAKNRLPDVAAPMLPDNSEAPARWLRRSRCPALSHLLVGMYKELCAVTDGKPMDEVFPGCQRDNVKCVAASLLALCNVDIPIGISGVY >OGLUM07G06940.1 pep chromosome:ALNU02000000:7:6274575:6277941:-1 gene:OGLUM07G06940 transcript:OGLUM07G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSVLLLVVLSVLAAGMATMADHHQVYSPGEQCRPGISYPAYSLPQCRTLVKRQCVGRGAASAADEQVWQDCCRQLAAVDDGWCRCGALDHMLSGIYRELGATDVGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGPGGVCYWLGYPRTPRTGLRIIKIFCKNNRKKMASIKIVFSVLLPVVVSMLVATTTMADHHGQVVYTPGQLCTAGRGYPMYPLPRCRALAKRQCAGGAVDEQVRQDCCRQLAAIDDSFCRCPALSHMLVGMYKELGAPADGKPMDEVFPGCRRGDMKRAAASLPAFCNVDIPIGIGGVCYWLSYPMNPMTGH >OGLUM07G06950.1 pep chromosome:ALNU02000000:7:6282855:6283358:-1 gene:OGLUM07G06950 transcript:OGLUM07G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVFSALLLAIVSVLAATATMADHHKDQVVYSPGEHCQPGMGYPMYSLPRCRAVVKRQCVGHGAPAGGAVDEQLRQDCCRQLAAVDDSWCRCSALNHMVGGIYRELGATDVGHPMAEVFPGCRRGDLERAAASLPAFCNVDIPNGTGGVCYWLGYPRTPRTGH >OGLUM07G06960.1 pep chromosome:ALNU02000000:7:6285121:6285597:-1 gene:OGLUM07G06960 transcript:OGLUM07G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVVISALLVVVVSVLAATTTMADHHHQEQVVYTPGQLCQPGIGYPTYPLPRCRAFVKRQCVAPGTVDEQVRRGCCRQLAAIDSSWCRCDALNHMLRIIYRESGAADAGHPMAEVFRGCRRGDIDRAAASLPAFCNVDIPNGVGGVCYWLPGTGY >OGLUM07G06970.1 pep chromosome:ALNU02000000:7:6304704:6306360:-1 gene:OGLUM07G06970 transcript:OGLUM07G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTVKLEEVRRMQRAEGMAAVLAIGTATPANCVYQTDYPDYYFRVTNSEHLTNLKERFQRMCESSQIRKRYTHLTEEILEENPSMCVFTAPSLDARQDMVVAEPMSRITHLVFCTTNGVDMPGADYQLAKMLGLPTSVKRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEIMAMAFRGPSESHLDSLVGHALFGDGAAAVIVGSDPDEAADERPLFQIVSASQTILPGTEDAIVGHLREVGLTFHLLKDVPEFISDSVEGALTDAFMPLGVHDWNSIFWVVHPGGPAILDQVEEKVALHKARMRASRNVLSEYGNMASATVLFVLDEMRKLSADDGHATTGEGMDWGVLFGFGPGLTVETIVLHSVPITAAAPLIMQ >OGLUM07G06980.1 pep chromosome:ALNU02000000:7:6325103:6326277:-1 gene:OGLUM07G06980 transcript:OGLUM07G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGGVLLHRRSRCQLTPPSPLTAPPLSPPPPRSGAVDLLDPGPRAARSSGGNPRAARGRRAAASTGPTASQFQTALASASSRRPLTTPPSSLPELRLRHLLRQGGSATSRHGPNGQARSAGRAETEAGWDEWHNSSAGVQHPATTASKRQANKPSIHHSINRPHLKFFPSSATGVAVMHQAPVVDMAETSVPNLGMKPKIS >OGLUM07G06980.2 pep chromosome:ALNU02000000:7:6325103:6326277:-1 gene:OGLUM07G06980 transcript:OGLUM07G06980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTACGGVLLHRRSRCQLTPPSPLTAPPLSPPPPRSGAVDLLDPGPRAARSSGGNPRAARGRRAAASTGPTASQFQTALASASSRRPLTTPPSSCSSAHSSSRARSAGRAETEAGWDEWHNSSAGVQHPATTASKRQANKPSIHHSINRPHLKFFPSSATGVAVMHQAPVVDMAETSVPNLGMKPKIS >OGLUM07G06980.3 pep chromosome:ALNU02000000:7:6324513:6325654:-1 gene:OGLUM07G06980 transcript:OGLUM07G06980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAQEEQRQRQGGMNGTTAVQACSTQRRQQASDKPTSQASITPSTGEDSTIKCTMTQFEDQFSTIKPDGIQVRCHKVLVFFLHWTSDNSVCFYQ >OGLUM07G06980.4 pep chromosome:ALNU02000000:7:6324513:6324861:-1 gene:OGLUM07G06980 transcript:OGLUM07G06980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYGCCRHARCPPVRCHAADERAGAAIRMNISCCSYNTGEDSTIKCTMTQFEDQFSTIKPDGIQVRCHKVLVFFLHWTSDNSVCFYQ >OGLUM07G06990.1 pep chromosome:ALNU02000000:7:6325662:6325847:1 gene:OGLUM07G06990 transcript:OGLUM07G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRAAALPEQMPQPQLWKPGGRALLTSPCPPARGAHHSSPRLPMGIGDGDWRRLEEMVR >OGLUM07G07000.1 pep chromosome:ALNU02000000:7:6336941:6337351:-1 gene:OGLUM07G07000 transcript:OGLUM07G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYHHQDQVVYTPGQLCQPGMGYPMYPLPRCRALVKRQCVGRGTAAAAEHVRRDCCRQLAAVDDSWCRCEAISHMLGGIYRELGAPDVGHPMSEVFRGCRRGDIERAAASLPAFCNVDIPNGVGGVCYWLARSGY >OGLUM07G07010.1 pep chromosome:ALNU02000000:7:6389523:6390109:-1 gene:OGLUM07G07010 transcript:OGLUM07G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPFGVVPLLEGVFLALTSPGTKNLPHTTFYKSKVFDEVLSVLVLFLALRRGSITVKSKLLCPLGGKLGNDNIFP >OGLUM07G07020.1 pep chromosome:ALNU02000000:7:6390210:6390785:-1 gene:OGLUM07G07020 transcript:OGLUM07G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSWGPSCASEVECRPDLDDHGWIWTGKGRRAPVVALARRHTAERRGPDGGDGSGAGKVAGRARWQGRCGGCSCEVVSPCAGERGAPARQGGGAPFYGEDGGAEPAGVTGRQRRGRGPGGGEARLRTGRRVWLLSPDPAPPPSLPPTGSGLSGKEGRLPTMTRLRRGGGGGGACSRGGDRRWSWTGLGC >OGLUM07G07030.1 pep chromosome:ALNU02000000:7:6408051:6409968:-1 gene:OGLUM07G07030 transcript:OGLUM07G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRCRRGYGAAAWPAVGVAFPAPDLTAAAGGMGLNPSGQPAPRPPIGAGQPPPHDLLPPRPSACRRPGRCGVLRLLGSCPLCLSLSSGLRRLPPRRLLRVSDIGTNSASTSSFSPAHLQVDHPFRGPATTTSTTDCHRARVYSIKLPIAAASPPQAVVPPPVVHTSTSCCSAERRPPQHGYITGGLLAAASTSSCTCVASSGLSVAPLVVIIATCASTPLSSALVIVSRSRSSSSTSSFAATSPSYHCRRSRSVLPLYGYRRRCPGRWSRYFTFFFVQHDSSPASPYLPRLHFALLRQLRAAPAILPLRRSRTATVLEAFSLVSSDIGILVPCLVRAVLATPARAFVPSCPGSGKPYVTSRPSRFDYIGFSVSSTSTTAAIASPSSSSACPRAPVRPRPLYGAPCTPCGLTTSTSTSRLRLHRPRLLYARLHRPRLSRLLRFGYVDNGTKGYHPY >OGLUM07G07040.1 pep chromosome:ALNU02000000:7:6427334:6446561:-1 gene:OGLUM07G07040 transcript:OGLUM07G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASDKLVLSAIVLAVLTVAAAAAGYGGYGDVGEYCRVGKAVSRNPVPSCRNYIARWCAVAGGRLDSGKQPPRQLLEPCCRELAAVPMQCRCDALSVLVRGVVTEEGDRVAGMISQHAAPGCDAATIAGMASALTDYGRCNLQHTGVPEQAEAPAAVQALLSLLQFKHFPRVANQLSTSHEWPTR >OGLUM07G07050.1 pep chromosome:ALNU02000000:7:6514829:6517722:-1 gene:OGLUM07G07050 transcript:OGLUM07G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMVLLATRPGVVCHRHRLPVISCAANTKPSRLNLPPGPSTLPLIGSIHHFVPSSESAHGAMRRLAREHGPVMQLWFGEVPTVVASSPEAAQEVLRSKDLAFADRHMTSATAAFSFGGRDVALAPYGERWRHLRRLLTQELLTAARVRSFRRVREEEVARLVRDVSAAAASGGTAVNLTEMAAKLINDIVLRCSVGSRSKYSDEYLAALHAMVVQSFSLSVSDLFPSSKLASMVAMAPRRALANRKKMERIIEQIIQERKDQMETDTGDQAAAAERKSCSLDDLLRLQKEGGGPMPITNDVIIVLLMDMFAAGTDTSSTTLIWTMAELIRSPRVMAKAQAEVRQAFEGKNTITEDDLTQLSYLKMVIKESLRLHCPVPLLAPRKCRETCTIMGYDVPKGTSVFVNVWAICRDSKYWEDAEEFKPERFENNNIEYKGSNFEFLPFGSGHRICPGINLGLANMEFALANLLYHFDWKLPNGMLHKDLDMREAPGLIAAKHTSLNVCPVTHIAPSCS >OGLUM07G07060.1 pep chromosome:ALNU02000000:7:6523138:6524161:1 gene:OGLUM07G07060 transcript:OGLUM07G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPVAGVVEPPMARKNKVRWTGTADTTGDCCSTASSSLTGKGHSAEYTADGVRFEVPLVYLGTMVLGELLVMSQEEFGFAGDDGRIMLTCDASVMEQVMCLISRDASEEVERMFLSCMASSCHSVRGISHQFYSVGERRAIRDRLPRPAEVGLHAAAGGGAAEEVIVGVDVAVLEREAGGAGLGGDGVLLAAEGRRVTMSQCWKTAVMRVAEDELDTDKLQ >OGLUM07G07070.1 pep chromosome:ALNU02000000:7:6546077:6548367:1 gene:OGLUM07G07070 transcript:OGLUM07G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAIEGEKDRGINCSVCYCSSRHTSHFLGQRHCSILQRAEAKLSMKMCCLLQLIFSRSATAVARLIFTDASKLFILLWSVSCT >OGLUM07G07080.1 pep chromosome:ALNU02000000:7:6576911:6578821:1 gene:OGLUM07G07080 transcript:OGLUM07G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMGAIMAPVQEQDKQLVVDLAPTAADQLARMCSAGEPLWVRWRGAEVMAVEEHARMFRCEIVLASMNYQGTAIKYLIIAHIRKPLRRSRNGKDLVDTIESQNHEATDHQKGAKD >OGLUM07G07090.1 pep chromosome:ALNU02000000:7:6578288:6592422:-1 gene:OGLUM07G07090 transcript:OGLUM07G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKEPPPLGLHSSVHSPRGSQLGDVLLAGHGDPAIPHHKPLGGWLPPVHALWQALVRIMELSGAFEGRLLEDFPGCPREMQRGLAAMLITPGECNLETIHDRPSDASHLAPTQAPHLSPMQVFASSRQAAARQKSLTARPAALPAARHGEILIGVTNRD >OGLUM07G07100.1 pep chromosome:ALNU02000000:7:6613913:6614394:-1 gene:OGLUM07G07100 transcript:OGLUM07G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTERDSIGLNANVSGTSCQAYGGNLLGTMLHDVVTEGTYLCKSSPQLSAERQTSIDLGAILHKLGSRCAYGDIGLLVWEYFLPCDGLATGTHVRFPTST >OGLUM07G07110.1 pep chromosome:ALNU02000000:7:6619407:6620827:-1 gene:OGLUM07G07110 transcript:OGLUM07G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHNQQPDALTDEDAAVVAEERCTVPVKLIKKDINFSVAGVVLRVIFSHIKLGLIRSEWSLKMDCNMNNTICQDSFMMPAVLSMPCFPKVICTMVIAGGGKLCQRPKASEDVPFSPAADNRQRINIFAGGRYKVAVIKETNPLRLPFKGY >OGLUM07G07120.1 pep chromosome:ALNU02000000:7:6622922:6626525:-1 gene:OGLUM07G07120 transcript:OGLUM07G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGVERLIRGRFTLFSTVRFLLRSTFVLILPLLSSMSREDTVHRASVLFVLLWMLLIELMRKKVSSMARSSGADGGGAFSRATGSRFRLMGHCDEATKLAWIGWLIFQNTYYSDSSCRDDKVVAMFAVLWSLVVAKLLQRVFNEWKAPGVARRRRQHSPHRRLHAARRRQGGDRRRPRHPRLGTVQDCNRFTDHIHQLCFSFALFKLLRRRFEHYPMVEAGSRTSRQLLLEELLVGGAKKTFRVMRQELDFLDSYYDAGSPVAMSSPWLFIVNYFFSLVFVSTYLAAIIVVLVDVKYNMGTFKNRLPSPGLYIAVSILLVVTLVAVEFTDLLTNYILSNWFMVHLFCLQAGDGGGRVWRWVCKPAIWMFIAGRFLLFYSFRCMLRLSCRGVNVDKIKLKQVSILRVCEPVHKVLTWSPQVKLATEGQTAIVNFLEDVVRDSLKDDGNVAIGWQHQYFQGPLYLIGGGAAVSSSLPRKPLGENSLLIPRVGSGGAYEIATSLEALFEGPIYSLYSLSSYGGASVYHSGTTQGRVDPSFSLAHSLS >OGLUM07G07130.1 pep chromosome:ALNU02000000:7:6643296:6644831:-1 gene:OGLUM07G07130 transcript:OGLUM07G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCFNRRLLLLLAAAVLLSGLAVGAAAAAGRHWEWSQCQPGEAFPHNPLSGCRGYVISRACPGHGPRRPEMAKARCCRELAAVQPRCRCEALRLFMDGVGELRGCPREAQRAAAAALMAAGECDLRGGSGETERCYWPWLVGDGDVPVSRMASKNLLLSAAVLLSVLAIAAAAAAASAATTSCQPGMAIPHDPLRGCRRYVLRRACGLAAGGRLYDWSLKERCCRELAAVPAYCRCAALAYFMDGASEGRLLEDLPGCPRETQRGLAAMLTTPGECNLETIHGGPYCLELTDREMPKY >OGLUM07G07140.1 pep chromosome:ALNU02000000:7:6675661:6678756:-1 gene:OGLUM07G07140 transcript:OGLUM07G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHC5] MAEHDLTARMAGQMDCHLVFPLLEFLQERALYANKEILEAKLRLLSGTNMVDYAMDIHKSLHDTDDVPDDMVRRRTDVVSRLRALDEATAPIVSFLQNQQLVQELRPDKQYNLHMLQDRFQIGPEQIETLYQYAKFQFDCGNYSDAAVYLYQYRALCTNSERSLSALWGKLAAEILMQNWDVALDELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSIFIFFNHENGRNGIIDLFFQDRYLNAIQTNAPHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQQKLIECEQVILNDPFLGKRIEEGSFVTVPLRDEFLENARLFIFETYCRIHRCIDIGMLSQKLNMSYDEAELWIMNLVRNSKLDAKIDSVSGTLIMTTNHVNIHEQVIESLKNLNMRTFLLAKNIVEPAQAAQQAAR >OGLUM07G07150.1 pep chromosome:ALNU02000000:7:6688521:6693449:-1 gene:OGLUM07G07150 transcript:OGLUM07G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNSFLNQELVKAADGVSDWHLPSSSHGGTCHTNTRAAPITVGVPDLGCPVSSIGVATSSSLTPKEGLATASYNSVVAFPVGATMVPQQQQTQAAGSNDNPGLVKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRVGKQCRERWTNHLHPDIKKDIWTEEEDRILIEAHQTYGNSWSAIAKRLPGRSENTIKNHWNATKRSLNSKRRLRKKNSEQTVPGQPSLLENYIRSCQHMLPSEIAPPPPAPPAPFDISRYGNSGVIGASPTLPVVQEPGTSTPPGLVMFLDLLNQAIPHPPQPETMDLFNMTPEVSHLNTSGYCLQLDAGGNLSYGQLPAPALVQPHGISTQELQDTPHLSLYYPLSSFAGSHTDGTVEFDHQLSNPDGGHYGEEAGPSSVAAGGSANGMDDNDVVQMASNQFMMPSEDEGELMMAAGNSFLNQELLMVVNIMRSHGGASY >OGLUM07G07160.1 pep chromosome:ALNU02000000:7:6703254:6709197:1 gene:OGLUM07G07160 transcript:OGLUM07G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSLRRAAAGGGGARFKNVSHLLPTCSGIMDSNLNGGSLRGLGEIGCQVSCQELPFGYSGTGFPSIRRLSSEPAERPFGNKNDSEILGEDASDQLPDDNLECDDELDQHNVMIDASEAKAKNLRSQFPAIDRSGDTKLKNKTYRSYLFQIVLDAPSNSLRHVLDKWIEDGNQLERNDAMLVLFHLRKQHMYRKALQFVEWMERGKLLNFEERDYACHLDLIARSHGIETAQKYIKRVPLPFRNEVLYETLIVNCVLAGDIQKAEEVFKEIKDLCLRLTVTLCNQMILLYKRIAPGKVASVLMLMEKENVKPSAFTYRLLIDLKGRSNDLAGIEVVLNEMKAYGIEPSTSTQTMVARFYIHGGLTEKAEAVVKEMEAQLSNSKDGRHVIKSLLHLYAALNKPNDVARIWEMCTEPKLEDFLSAIKAWGELGLIEKAEETFEAMANAPEKLSSKYYNAMLNVYAQNKLLSNGKQFVERMCRDGCPNGPLTWDALINLYVNSGEVEKADSFLLNVAEENPDRKPLFTSYFFLMKGYAKNGDIHNTEKIFDRLKKAGYAARPLHYAVLLEAYVNAKVPAHGFLERMRGDNVRPTKKIVTSLDTLQKGYIAGLD >OGLUM07G07170.1 pep chromosome:ALNU02000000:7:6709508:6709891:1 gene:OGLUM07G07170 transcript:OGLUM07G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNVGSPVAAGYVQAPELLLYLCFFLVVLLVFLGFSWYMSYESAADRFTDQAWLLLMASPLALLLTPRTRRHSSRRCSSRRWAHPGAARRRVHHPGVPPDVTPENSTNKNQNSKNMGLQKLFK >OGLUM07G07180.1 pep chromosome:ALNU02000000:7:6714761:6715990:1 gene:OGLUM07G07180 transcript:OGLUM07G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial acyl carrier protein 1 [Source:Projected from Arabidopsis thaliana (AT2G44620) TAIR;Acc:AT2G44620] MAAAALRPAILRRIRLSPSPAAAAAAGAAAASQPHALARWLARPMSSHDAHLTRDEVVDRLLDVLKCHPKVDPSKVSPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDQEADKIDSLPLAIEYVANHPMAA >OGLUM07G07190.1 pep chromosome:ALNU02000000:7:6719331:6722578:1 gene:OGLUM07G07190 transcript:OGLUM07G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAHSPASTALPRRRRRSAAPPSRKTPESQALRAILRSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAIRAGAQFLMSPGTVMEILHALKESEVLYIPGVLTPTEVISASSAGAEVVKKPFPFLPMVASQGISIDSIKRYLEAGASAVVLSDAIFDKVLMRERKFDEISELANLATLRASQSGT >OGLUM07G07190.2 pep chromosome:ALNU02000000:7:6719331:6722932:1 gene:OGLUM07G07190 transcript:OGLUM07G07190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAHSPASTALPRRRRRSAAPPSRKTPESQALRAILRSRVIACLRAQDGETAMQAARAAVRGGVSVLEIVMSTPGALEVVIGDLRRSYPSLTFGVGTVLNPEDARKAIRAGAQFLMSPGTVMEILHALKESEVLYIPGVLTPTEVISASSAGAEVVKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKRYLEAGASAVVLSDAIFDKVLMRERKFDEISELANLATLRASQSGT >OGLUM07G07190.3 pep chromosome:ALNU02000000:7:6719331:6722578:1 gene:OGLUM07G07190 transcript:OGLUM07G07190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAAAHSPASTALPRRRRRSAAPPSRKTPESQALRAILRSRVIACLRAQELLVIFAEVGTVLNPEDARKAIRAGAQFLMSPGTVMEILHALKESEVLYIPGVLTPTEVISASSAGAEVVKVYPVSVMGGEVYMLALKKPFPFLPMVASQGISIDSIKRYLEAGASAVVLSDAIFDKVLMRERKFDEISELANLATLRASQSGT >OGLUM07G07200.1 pep chromosome:ALNU02000000:7:6724971:6736766:1 gene:OGLUM07G07200 transcript:OGLUM07G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHKHFSPSPKKKKKPCKFFSFFRPAGRSIFNRSRPSEITAPSSPTRPTASLALPIIFHGHRFSPIPTPEIHRKSHPPPLPPSPADQIRSDPIRSGEKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKEEERGGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIDIYLYVLSDLKAIAEKKGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OGLUM07G07200.2 pep chromosome:ALNU02000000:7:6724971:6736766:1 gene:OGLUM07G07200 transcript:OGLUM07G07200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHKHFSPSPKKKKKPCKFFSFFRPAGRSIFNRSRPSEITAPSSPTRPTASLALPIIFHGHRFSPIPTPEIHRKSHPPPLPPSPADQIRSDPIRSGEKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKEEERGGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OGLUM07G07200.3 pep chromosome:ALNU02000000:7:6725479:6736766:1 gene:OGLUM07G07200 transcript:OGLUM07G07200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYGFAGEKEEGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKVKEEERGGGKMGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIDIYLYVLSDLKAIAEKKGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >OGLUM07G07210.1 pep chromosome:ALNU02000000:7:6745915:6746841:1 gene:OGLUM07G07210 transcript:OGLUM07G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAPLMLSPVTMQAQLLCYVAMPMAPVAGGGVPLAAALCRLRNGTLERMLREMAQECTPPLVARRDRKSGATPPPPPPWWPTAEEPWWGTEVAAHLRGRRMATPVPFASPRRLAKAEKVAVLVAVVRHVAPDFGRLAAAAGRSRLTELESSIWESALRGERERRYVVMPSFILLPPPPPPPPQHAHSAESAAAHAGPEPESADQTAVDFSVSGEDTVTTGPELEQLVGDGNGATVEGEGQKTEDSPVPPLLEQHGGEDHDHHRQHGGFSGEVSGAAPEDVDWFDYDEVLRGLDELEIPSFFGGYYI >OGLUM07G07220.1 pep chromosome:ALNU02000000:7:6753715:6757909:1 gene:OGLUM07G07220 transcript:OGLUM07G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALQSLALALIYRIGASPGTRSLVCFWFREWRGEGKEKEERTERRESLSACGFVAPACCGVRASWQREFVFVVGARGVWIVLANWGVWFNEIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKYGQLFVLSLKLALWMQIIGFSMYRLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDKQSGSNDSGSTSAGQSPRLKSFASRSFVANDVEAGLRRPLNS >OGLUM07G07220.2 pep chromosome:ALNU02000000:7:6753715:6757909:1 gene:OGLUM07G07220 transcript:OGLUM07G07220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCCCCPCPAALGIGIGPRLRSFLRDYDALHQTLGRTYAVLLFFAIVLDVAWFILFSHAIWNITPEEKLGVSSSTPTYHEVNYDGRNSFLSPRSSSVRRNSMADDILGGSIYDPAYYSSLFEDVRNNTCTHQGDKQSGSNDSGSTSAGQSPRLKSFASRSFVANDVEAGLRRPLNS >OGLUM07G07230.1 pep chromosome:ALNU02000000:7:6765676:6767929:1 gene:OGLUM07G07230 transcript:OGLUM07G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKASGKGNAPKPGKGPKLGGGGGKR >OGLUM07G07240.1 pep chromosome:ALNU02000000:7:6766246:6805304:-1 gene:OGLUM07G07240 transcript:OGLUM07G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVAASSSDAPPAQAPALLVHIETDMHGWECGTLAQYQGGLGTAPDPMREKPGPDGGDLPPPLPPRALPAAPSPTASDCSCAVPHHERRRPPWLPTAGSDSDNDFPWASTGISDMKQIVHSCHHGPSEGAKYILENHLRCLTKPSMPPYLQITTSGIIARAEYKCIAMYRPQRSLLFSPTLPDPNI >OGLUM07G07250.1 pep chromosome:ALNU02000000:7:6781357:6782336:1 gene:OGLUM07G07250 transcript:OGLUM07G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIYTGYLHWVMMMDHNILIPLVSSLMMLVLGPLIIDVISLLGGGVSCFDAMTVTTRLGLRWQRSGEAAMECQGCDIPMDATVDELLDRKMASEGELKDAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >OGLUM07G07250.2 pep chromosome:ALNU02000000:7:6781357:6782336:1 gene:OGLUM07G07250 transcript:OGLUM07G07250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMDHNILIPLVSSLMMLVLGPLIIDVISLLGGGVSCFDAMTVTTRLGLRWQRSGEAAMECQGCDIPMDATVDELLDRKMASEGELKDAFYVFDRNEDGFICASELWSVMRRLGFKEGQRYEDCMRMIHTFDEDRDGRISYLEFRRMMEDAV >OGLUM07G07260.1 pep chromosome:ALNU02000000:7:6906474:6908081:1 gene:OGLUM07G07260 transcript:OGLUM07G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G47990) TAIR;Acc:AT2G47990] MAADTSKPFFPAAPNPALLPSGRKPSRLSPEASYWRSFRASELTPANEFNVTHLAFSPSSAPTLAASWSTSVLLFSGDPLSPLPRISASQDVAFSPSFRSDGSLLAVGDKKGVVRVFRADKKSPSSSGPLRTLAAHSAETRVVRYPVAGGDKVHLFTAGDDALLAYWDVPSETPVFTAPAAHRDYIRAGAASPADHNIFATGSYDRIVKLWDARMGKTSTLSFSHGELVESVLFLPSGGLLATAGGNVVRIWDVIGGGRLLHSVESHVKTVMALALAKMTNTGETRLLSAGSDGYVKSFDYGELKLTHSMRYPKELLSLACSPCGTVLVAGSSKGTIYMGRRKKKSTGEDEGEGKGVGGELDWAPTKPEKRRLAPSNYRYFLRGQNAKAKEGDLVIEKPKKVKVAEHDKLLRKFRHKDALVSALARNNPRSIVAVMEELVSRRKLVRCIENLDTEELGLLLMFLHRNATLPRYARFLMGVANKVLEMRAGDIRSDENLRGCVRNLKRMAAEEIQIQHTLQGIQGMISPMLALASR >OGLUM07G07270.1 pep chromosome:ALNU02000000:7:6910526:6911950:1 gene:OGLUM07G07270 transcript:OGLUM07G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT2G33260) TAIR;Acc:AT2G33260] MLLSSRLHLATPSSPATCPSPLRRRRRLGLPEPRTRRPPPLASKNPAPPQPQPLPLSWLSPRRQWRWRSGYGDADAAEEESPAPLVEDGVSGGGEKKSFWAAVSLIVGTAVGPGMLGLPSATIRSGPVPSTAAIVLSWVYVVSSIVLVAELSFAAMEDGGVDEVSFTGLASSTLGATLGAVVAVVYAALSFSLLVACVAGIGSLVSQLFPAVDPALANAIFPCFAGTLIAFFPFKAVDGANRALCGLMLASITALVVTGVSVGRSSMLRSLGYACWRPATILPAIPVTVLTLGFHVITPFICKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASSSGGARFDDPIKLLLSVNPAALPAVRGFAFAALATSLIGYTVSFPKQLADTVELIGQRFSPKRGIGQLSESSGGHGRNGAILTWIVLIIPIVIASFFSAAFSKALDFAGVYANCFLFGILPPVMAWIHRSQKRKR >OGLUM07G07280.1 pep chromosome:ALNU02000000:7:6947904:6948734:1 gene:OGLUM07G07280 transcript:OGLUM07G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRRVRDAEADLNLPPGFRFHPTDEELVAHYLCPRAAGRAAPVPIIAELDLYRHDPWDLPHRALFGRREWYFFTPRDRKYPNGSRPNRAAASGYWKATGADKPVLHNGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAKKGGAAAAAGAGALRLDDWVLCRLYNKKNEWEKMQSRKEEEEAMAAAQSWGETRTPESEVVDSDAFQEMDYSLPAASFDDALLPKEEARDDDWLMGMSLDDLQGLGSLLQADDLSMLAPPPAAKTEPLGAPFF >OGLUM07G07290.1 pep chromosome:ALNU02000000:7:6990687:6997014:-1 gene:OGLUM07G07290 transcript:OGLUM07G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPILLQPRRTLLSHLRRRGHDATDDDKAVLRLRRLIGSRGYASSSSDATNAAAAADIAAPRADSRRRRRSPAAKAKAKQHLYVVLTDRKDACAIHKLDIDGGGGGVDNGHLMNAGGGMASPKTLPRLPEPPLLRLQSPTVHPCSKFTTVGSSIVTMGEYFSDDYYDSDCCPEARGNTLVYDTKTAALTVVRHLPEGLVGVSHYVAAIAAGDNRLYVFNATTVDDYHDGVVGGMHCFRLTDDDGSRKKKERWSWRHPDASTPISWCYDPSRLPFDTITGKIEAYAVHPEGRTFFVSVRKLFRRVPGAVDDEGTFSYSVESGAWTRRGGWMLPFVGHGHYDGELGSWVGLHHSDDDDGRLSACRVLSARQRRAPSEVKTWICMSTLSFVYPGWSWTGILIHILLGIPFGNFWA >OGLUM07G07300.1 pep chromosome:ALNU02000000:7:6998323:7000343:-1 gene:OGLUM07G07300 transcript:OGLUM07G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGVLGVEKATVTAVAAGGGGMGVEEEIVKLFVGGADAVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPGPPGSTRLTVYLAGGQGQVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGGGHMMAPPPLMAGAAYPVLFGGGMHDAGLATPAWHHARPPPPPPY >OGLUM07G07310.1 pep chromosome:ALNU02000000:7:7050931:7051216:-1 gene:OGLUM07G07310 transcript:OGLUM07G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCRPLGLLPSFQATPYGGRSATGMTSDPLVEAAYKMTALGSTAACVDASFIFTGGRSRKEDDD >OGLUM07G07320.1 pep chromosome:ALNU02000000:7:7051317:7051860:-1 gene:OGLUM07G07320 transcript:OGLUM07G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARPSQAVAGDCATWWGGAVVAWWSLAGTPSDLWPAMAVTTRSSRSIIPSPIVFHCSGRPGLMASHPWPLPHHRHH >OGLUM07G07330.1 pep chromosome:ALNU02000000:7:7054065:7058993:1 gene:OGLUM07G07330 transcript:OGLUM07G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPVGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADSARVPSSRPHPFPGHHQFYFPPTA >OGLUM07G07330.2 pep chromosome:ALNU02000000:7:7054065:7059542:1 gene:OGLUM07G07330 transcript:OGLUM07G07330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPVGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQPISPQWPVLPQGTSMSQHPHLYASPCPGFFVNLREVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADSARVPSSRPHPFPGHHQFYFPPTA >OGLUM07G07330.3 pep chromosome:ALNU02000000:7:7054065:7058993:1 gene:OGLUM07G07330 transcript:OGLUM07G07330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPVGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADSARVPSSRPHPFPGHHQFYFPPTA >OGLUM07G07330.4 pep chromosome:ALNU02000000:7:7054065:7059542:1 gene:OGLUM07G07330 transcript:OGLUM07G07330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPVGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNLNDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADSARVPSSRPHPFPGHHQFYFPPTA >OGLUM07G07330.5 pep chromosome:ALNU02000000:7:7054065:7058993:1 gene:OGLUM07G07330 transcript:OGLUM07G07330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLNVESPGGSAATSSSSTPPPPPDGGGGGYFRFDLLGGSPDEDGCSPPVMTRQLFPSPSAVVALAGDGSSTPPPTMPTPAAAGEGPWPRRAADLGVAQSQRSPVGGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWAYDRAAIKFRGLDADINFNLNDYEDDLKQVVWNIVQLSCSKGRFIHRPVMVYDGLMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGREAVTNFDPSSYDGDVLPETDNEVVDGDIIDLNLRISQPNVHELKSDGTLTGFQLNCDSPEASSSVVTQEVPMEKRPELGPQSFPTSWSWQMQGSPLPLLPTAASSGFSTGTVADSARVPSSRPHPFPGHHQFYFPPTA >OGLUM07G07340.1 pep chromosome:ALNU02000000:7:7062886:7078230:-1 gene:OGLUM07G07340 transcript:OGLUM07G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLPSPTAAGGRLGDGLRRRRPWEGRIRRPTEELGTRRARRRPAAATFPPPQCRSHIPDPRRSSCITGLPFIDGWRRRGRAFSSGAAGRSGGLNQDKRMSNEQLEVSHVEPPIILNNKKPSIGQQGKVETNSVQTPKHNAIKNKRGRMRVAKHASGNQRNSILSYQCRHVATAATPLSITHMNTVQ >OGLUM07G07350.1 pep chromosome:ALNU02000000:7:7072156:7072957:1 gene:OGLUM07G07350 transcript:OGLUM07G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKAEARNHPQEWIVIRFLPQILVSTVLPSVTLLPRILFIHPTTVMFHMNRTKYQLIDISDDGLFVSLLTESGNTKDDLGLPTETISWGRSRLDLVKARKEEEIYALKDIGTKVHVANILITAKYAEDLYVISANNSAPMKD >OGLUM07G07360.1 pep chromosome:ALNU02000000:7:7080006:7108931:-1 gene:OGLUM07G07360 transcript:OGLUM07G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLLYLFLLSTHLLVLPHLSTLFLPELPALLLVLSATATTASMTGAGDEALTSLSSPPLPLPLPDLAGGRGQQPPWQRRQMGGGGADADNDVPNQAGAGGGRWRAGVGGEAGSTAAGPQGGRIRSRRTAVRTAPTSTPSTKGVGGIGGSISSGHASFPFYAEIEPLRKKAHLLDQENKALLAKLKLNNKPSAASPSSQKSHKLRSWPTYRRAPRRQGGRGGDGLLLSPATASHGGDDH >OGLUM07G07370.1 pep chromosome:ALNU02000000:7:7135021:7136457:-1 gene:OGLUM07G07370 transcript:OGLUM07G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNQHQAHHGHHQLHQSVEANQLPMTSASLLLAPRPPDMAAAGILPVSGGGGASSARPASMAERARMAKIPQPEPGLKCPRCDSTNTKFCYFNNYSLTQPRHFCKACRRYWTRGGALRNVPVGGGFRRNKRGTKPSNSKKPAAAVAGGVMAPPHAQLQLPFGFDGGGGGGHGSIIGGGGGGGASRLGFPELSSLHAAAAVDYQLGGGGGGDGLGLERQRLLHFPFLARSNAAVHPPPLMSTAAGISYPFGDVAAGGLGGDMPANAASVAGSAGLITQMASVKMDDIDNHPPPSAATTTASSPIEFLGLRGSLQFWGGGGGHRGGGDGAGGSAAPGGGGGGGRWSDLPAFDLSTSGNIL >OGLUM07G07380.1 pep chromosome:ALNU02000000:7:7140664:7141189:1 gene:OGLUM07G07380 transcript:OGLUM07G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSLPCSPSISVLSCPRWSIWMYTLVHRHGRGSGASAATQADGRMELDVSVGTGKKIMRNT >OGLUM07G07390.1 pep chromosome:ALNU02000000:7:7148604:7153922:1 gene:OGLUM07G07390 transcript:OGLUM07G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >OGLUM07G07390.2 pep chromosome:ALNU02000000:7:7150177:7153922:1 gene:OGLUM07G07390 transcript:OGLUM07G07390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >OGLUM07G07390.3 pep chromosome:ALNU02000000:7:7151666:7153922:1 gene:OGLUM07G07390 transcript:OGLUM07G07390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF7 family protein [Source:Projected from Arabidopsis thaliana (AT2G06530) TAIR;Acc:AT2G06530] MAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMGQMNRQLNLPGLQRIMMEFERQNERMEMTSEVMGDAIDDALEGDEDQEEETEELVNQVLDEIGIDINQELVKAPSAAVAQPAAAGKVAQAESAGGNGDGGIDADLQARLDNLRRM >OGLUM07G07400.1 pep chromosome:ALNU02000000:7:7149248:7164556:-1 gene:OGLUM07G07400 transcript:OGLUM07G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVAGGRPGGYIDYGAMNKDRIPGTPEFNHLGGSANQHTRGCEKQLHCRAHRRCGCPHHCLFAKAVEPLRSSRWCDQIRGAQIWWPDLEPYLPWRQRMEEAMPTFAVRRRRRQRLGNAAGEKEMVDAHLEAEGETRKWVTLWVINSDCADVWEMEGDTKDKDGCPPDLDLTVARGIPKPEKKSRGNASSALTSLSQPTAAAAAAAAAISNPQPLAAAAAVTDVALPATMSSGLDMSLDDLIKQSKTKPKGGAPSSSGPTRRAAPPAARAAPYPPPGPKAAGGASPYGVYSEHVAAMAGVVPRPRPPPAAAAAAARSLETGTKLHISNLDPGVTVDDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDGNPMKIDLIGNNSETSPMPPTAPLLYNPPFPNYPNSQISRSSGSSAAPPAISPPRSPPPLRPTARSALFHSTPPRLSMYHGEVAKEDNFIKVMVALETVRALEVGQEDFKVAVVLEAVVRAVVAAARGKPVEMNGVAYKNQLQILMLNWTRSRNTSKCCGSRLYEKKRNLEAQRYYTKFPSAPAY >OGLUM07G07400.2 pep chromosome:ALNU02000000:7:7149248:7164556:-1 gene:OGLUM07G07400 transcript:OGLUM07G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAWVNLVILGLSLVALLVLTTIPEVAGGRPGGYIDYGAMNKDRIPGTPEFNHLGGSANQHTRGCEKQLHCRAHRRCGCPHHCLFAKAVEPLRSSRWCDQIRGAQIWWPDLEPYLPWRQRMEEAMPTFAVRRRRRQRLGNAAGEKEMVDAHLEAEGETRKWVTLWVINSDCADVWEMEGDTKDKDGCPPDLDLTVARGIPKPEKKSRGNASSALTSLSQPTAAAAAAAAAISNPQPLAAAAAVTDVALPATMSSGLDMSLDDLIKQSKTKPKGGAPSSSGPTRRAAPPAARAAPYPPPGPKAAGGASPYGVYSEHVAAMAGVVPRPRPPPAAAAAAARSLETGTKLHISNLDPGVTVDDVQELFSEIGELKRYSVNYDKDGKSQGTAEVVFARKVDALEAIKRYDGVILDVYHGEVAKEDNFIKVMVALETVRALEVGQEDFKVAVVLEAVVRAVVAAARGKPVEMNGVAYKNQLQILMLNWTRSRNTSKCCGSRLYEKKRNLEAQRYYTKFPSAPAY >OGLUM07G07410.1 pep chromosome:ALNU02000000:7:7165558:7167132:-1 gene:OGLUM07G07410 transcript:OGLUM07G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLAVVCLLRSSISCVATVDAATDNRHSCVVSAVAARPPIPALPASSRERKQREGLGFQI >OGLUM07G07420.1 pep chromosome:ALNU02000000:7:7173044:7173325:-1 gene:OGLUM07G07420 transcript:OGLUM07G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVPFKAHCRHGCPHHHLFAKTVEPPRSFRWGDQIRPPLALGWPDLVASDFRVARSGAPKVAVINPDAKWAKTTPMMEVEARRGDPMNGIAT >OGLUM07G07430.1 pep chromosome:ALNU02000000:7:7178769:7179008:-1 gene:OGLUM07G07430 transcript:OGLUM07G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIARVNLAILCLSLIALLVLTTVPEATGGRPGGYINYGAMSKNRIHGSPQYNHQGSSANQYTRGCEKQLHCRGKRRGF >OGLUM07G07440.1 pep chromosome:ALNU02000000:7:7207297:7207521:-1 gene:OGLUM07G07440 transcript:OGLUM07G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVVVGLSLVALLVLTAVEDVGVAADNEIGYTTMNHDDIPGTPKLLHPGGPANTYTRGCEKEQDCRD >OGLUM07G07450.1 pep chromosome:ALNU02000000:7:7209270:7209771:-1 gene:OGLUM07G07450 transcript:OGLUM07G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARVNLTVLGLSSLVALLVLIIAVEDVAVVAQVIDYSSMNRDHIPGTPQLNHPGDIANKYTRGFAALAKFAMMFARKHCKNVDLLMDVYHKIIYGVLL >OGLUM07G07460.1 pep chromosome:ALNU02000000:7:7218131:7219223:-1 gene:OGLUM07G07460 transcript:OGLUM07G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIVLAYVDGSIQNGITGPEYTIPPKITFPASNRSTFEDVKNEIFRGLGYTEDDYIISIQARFDIGAPRPHYFQLIPIYEERGWKMIFEKTQTRASWHIIELYVDCKPAQMQQKIAEDDYVGEETDLAEDRIEQDDDSEHDADGSTDHSTDDEHPEPQPVVHSINSFPFMHATGKNPIKAFSDIYVLKETIADESFFGHKKQFDSPLARGKTFDSKEHLKIAIGEFHIEKNAEVKYSTSSKSKIVAECTDNSCTWRLYATPTRIDCFLFHRCIISLNIQMIGNYQELPALWTQHCRSDVPLFVIICFMASCAQIL >OGLUM07G07470.1 pep chromosome:ALNU02000000:7:7246667:7254090:1 gene:OGLUM07G07470 transcript:OGLUM07G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKEFDILGHRLGLRHHRGLLLPSLDESDVTYSECLGTVIITMY >OGLUM07G07470.2 pep chromosome:ALNU02000000:7:7246667:7254090:1 gene:OGLUM07G07470 transcript:OGLUM07G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKEFDILGHRLGLRHHRGLLLPSLDESDVTYSECLGTVIITMY >OGLUM07G07470.3 pep chromosome:ALNU02000000:7:7246667:7254090:1 gene:OGLUM07G07470 transcript:OGLUM07G07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKEFDILGHRLGLRHHRGLLLPSLDESDVTYSECLGTVIITMY >OGLUM07G07470.4 pep chromosome:ALNU02000000:7:7246667:7254093:1 gene:OGLUM07G07470 transcript:OGLUM07G07470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKEFDILGHRLGLRHHRGLLLPSLDESDVTYSECLGTVIITMY >OGLUM07G07470.5 pep chromosome:ALNU02000000:7:7250980:7254090:1 gene:OGLUM07G07470 transcript:OGLUM07G07470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTNPSRRPHPLLPPVSPSPSPFPSPGAATSASRRPRLLAPPPPPPPPPSSCPRLRLRLRLAPPHAATAARGAIGSAAPEEEEEEMGVLAFYRWLADRYPQTVSDAVEEEPVELEPGAFVPVDLRRPNPNGLKFDNLYLDMNGIIHPCFHPEGHARSYSPIPSVFSSSNQPILCSISPWIWTPSPAAGTDHLRRGAALKGNFVEQDECVARNFKQAAKLLGLDSKLEKNLLIPFREIKEFDILGHRLGLRHHRGLLLPSLDESDVTYSECLGTVIITMY >OGLUM07G07480.1 pep chromosome:ALNU02000000:7:7267636:7270243:-1 gene:OGLUM07G07480 transcript:OGLUM07G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVMGSESGWTSPAFEELLPQLPRGEQLRLETHLRDRDRRWRRMRYNNTPPPPSSTKIRRQEKERDTWMIPHVQNALRHYNARHPGGEFDVVKPLMQARVVFKGQHWFHINFWARSRSSNKIKRFFAELHYKPLITISGFVSWEQLLPDPLPAPVAIVETCTIIEEPLDQYKRSCAFCPAGFDILHPKGDRKFVCGNDKDRFYQKLIPCKQLQFGLPFM >OGLUM07G07490.1 pep chromosome:ALNU02000000:7:7271221:7271661:-1 gene:OGLUM07G07490 transcript:OGLUM07G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTLLLFLSVVATVGADDVRQVLHEPFFPIEWTPSLSPDFSSDPPTPATPVDNSGPRLLPAPLPNTIAADVLSSRSRPDPQASCGGSGGMPKAAIVVASAAVAAVLALLAIVVAFLLTSRLARHPAAARPRACWSWRNSGDAN >OGLUM07G07500.1 pep chromosome:ALNU02000000:7:7290476:7293364:-1 gene:OGLUM07G07500 transcript:OGLUM07G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSAKDRDGHTTEPVLGRGDYEAAHQKPSAAAADAAIAAATEGGAAANAPSRPPPPPRVAKSLPREQPGGRGSGRGAEGSASASPVAVGDREGKKTSTATQGGGHASSSPRRLGEKLARDHPGGGGRGAEGLASAAFPVVDRKGKRKVCAASEGAASSSSPPFERLSGEELGAGAGGRGTEASTSASAAAVVDQDEREVREWPRFALLSNSHLLEIGARCEGHKDLSADAQESTYTVVSSVVRNFSADFSWWSIMGNPMKQAIPNTCTILACAVCIEALHRLEWERLHGPGTFLCQAAAPRKLRRACIRDDILHPEEGVESKKMVLLLKKIKGMGGIRTTNAPPPAPFLLPLKSWRMYRQKGSLTRERAVHLLRTGGPYIGIIRVSLLYHFIDASVNDELVYRWVPPELRTAADVWLIDALVAGRATDNDICDLISETNGNHVIVCYGYRHRGGELQILILDNHAQSGPSRWIGFEELEKVYVLRVDPLPLDLDQLNPLPVYPISGC >OGLUM07G07510.1 pep chromosome:ALNU02000000:7:7294580:7297688:-1 gene:OGLUM07G07510 transcript:OGLUM07G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKTEDRTIKINKPEGDAEATTSQKSACCGS >OGLUM07G07520.1 pep chromosome:ALNU02000000:7:7300788:7303260:-1 gene:OGLUM07G07520 transcript:OGLUM07G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQCSAAAQRKYFTIKKRVGESRREEVAAAAAEGGEVNQQPEQERRRRRRRIPSFVAMGFFRTSFTLMFGMGCGVYVAQNYDVPNVKKLFNTYMFLAKHIEETYRKPKRDD >OGLUM07G07530.1 pep chromosome:ALNU02000000:7:7305463:7308975:-1 gene:OGLUM07G07530 transcript:OGLUM07G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAARKAAARLLSPRASTHPFSAALLLRGRAARGGSLEARLATVPHERASVLRFWVRRRRFHDARGVFDERPTRTAPVWTLTISGCARRGRYADGMRAFAEMLAEGEATPNAFVLAAVVRCCAGMGDVESGKRVHGWMLRNGVHLDVVLCNAVLDMYAKCGQFERARRVFGAMAERDAVSWNIAIGACIQSGDILGSMQLFDESPLRDTTSWNTIISGLMRSGHAADALSHLRRMAQAGVVFNHYTYSTAFVLAGMLLLPDLGRQLHGRVLIAALEGDAFVRSSLMDMYCKCGLLEAAASVFDHWSPLTRDMNFAWSTMVAGYVQNGREEEALDLFRRMLREGVAADRFTLTSVAAACANVGMVEQGRQVHGCVEKLWYKLDAPLASAIVDMYAKCGNLEDARSIFDRACTKNIAVWTSMLCSYASHGQGRIAIELFERMTAEKMTPNEITLVGVLSACSHVGLVSEGELYFKQMQEEYGIVPSIEHYNCIVDLYGRSGLLDKAKNFIEENNINHEAIVWKTLLSACRLHQHNEYAKLASEKLVQLEQCDAGSYVMLSNIYATNNKWHDTFELRVSMQERKVRKQPGRSWIHLKNTVHTFVAGDASHPQSAEIYAYLEKLVERLKEIGYTSRTDLVVHDVEDEQRETALKFHSEKLAIAFGIISTPSGTPLRIFKNLRVCEDCHEAIKYISLATGRCWVNPDKEVLAILQAELKSKQDKSFLTFIDKCIEVCKESREGSHLNPSSGLFQPNEKKDVMLKLYAVPYMILSCGWILSHTCTIMAMKKLPWHHGRVNNIINVVGLLFVNDARMATRV >OGLUM07G07540.1 pep chromosome:ALNU02000000:7:7314711:7318852:1 gene:OGLUM07G07540 transcript:OGLUM07G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGSVILQIWTPFGWTTPAPLKLNLGARAPPNRPSTSDSNIINPCFFQLLHNHHRHLRHVRNHRGKEELKTNLARMGIPSIYHTAILEFIFKIIKENLGEKRVFYAICINITNVRLSITNIIDANAFCQSANDNSGKGLRKWKATEDIQ >OGLUM07G07550.1 pep chromosome:ALNU02000000:7:7319191:7319686:-1 gene:OGLUM07G07550 transcript:OGLUM07G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPGTRREIGSGGSATTVPTMIIHMHRWYSLRMILQWQWFSRKILCIPDNLGILSHPTFQFGHSGGTIFLFSIF >OGLUM07G07560.1 pep chromosome:ALNU02000000:7:7337575:7338178:-1 gene:OGLUM07G07560 transcript:OGLUM07G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGQREAPVQWSHMSAEVERWWSIGASAVDLEVSGEGKPSPALPGLTTMGVVVSSHPSRVFVGQKPNLGSFESPTDGGGGFPSLISLETSFRHPLRRSVTLSRGRSSASLLSDLCVGAVGVCVVNFAPSRASR >OGLUM07G07570.1 pep chromosome:ALNU02000000:7:7338339:7338596:1 gene:OGLUM07G07570 transcript:OGLUM07G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLPPAMPLAPCRPPLPTAAPLYAKPASDPSNHCRTGQVQPSATPPASLPRPPCLTTSAAATADGHLAATTTVATSSAPLSPP >OGLUM07G07580.1 pep chromosome:ALNU02000000:7:7338632:7339188:-1 gene:OGLUM07G07580 transcript:OGLUM07G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSATALGRLRWRCWRFATTTAGVVAGNSGIGDGGRLAAAVTEETVAMSKDAAVEAGKELGEGSETGWTQSGVADGSGGRLGXADPVRGKLMAVVGLRDSRRRRQRRWRRARHDNDSGNGVELVAKVVVAAGRWRHHSGW >OGLUM07G07590.1 pep chromosome:ALNU02000000:7:7339830:7341894:1 gene:OGLUM07G07590 transcript:OGLUM07G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRHVDAVAAVLPVLLTILLPSAAAIGVNYGTKGDNLPPPATVAKFLANRTRIDRVKLFDTNPDIVKAFAGTGITVMVTAGNGDIPTLGTKDGAAAWVAANIAPYYPATDISLVAVGNEIINTADNALIGGLVPAMRTLRAALVAAGFRRIRVSTPHSLGILSVSSPPSASRFLDVLDRTFFAPMLEFLRKTKSPFVVNPYPYFGYNGDTIPYALARRPNPGVLDPGTGITYTSMLEAQLDSVFSAMKKLGFEDVDITVGETGWPTKAEPGQAGVSVAEAAEYNRYLIGEASSGSGTPLMPKRTFETYIFALFNENLKPGPIAERNFGLFKPDLTPMYDVGLMKDTGKSSASAPAPAKGGNASGAAVTKRDSESEAAAPADEASAPAPSSVGKKASTKATAPAPSDDGSASPEPSEGESADEKNPEEEEEEEGDDAAATTTPEGDGDSPETEAAGDDAKESEGKNNPHGHGDSSEAISVMFSVPSMLTIALSAILLHL >OGLUM07G07600.1 pep chromosome:ALNU02000000:7:7342897:7348261:1 gene:OGLUM07G07600 transcript:OGLUM07G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAFSCAGARLQQGRVGVGKCRGGGGGGGGAAVVRRSGCCLYPGGRRGLGVRGIRAELPPRACADGGGGATTSGSTVAVPDAGEVADHVKEVGAVAPPSVLPKGERGEVADVDGSGGNGKLPSGGGGGDGDNGGGGGGGDGGDGGDEGDDEFGPILSFDQVVQEVEKRGVSLPSLPADMIEAAKSVGIQKLLLLRYLDMQASAWPLGPAIRSCSLLRNRMLVDPSFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGGSASPGLLGRVRHAYDSLPSSVFEAERPGYSFSIQQRIGTYFFKGILYGTVGFFCGLVGQGIANLIMTAKRSVKKSDDDVPVPPLLKTSALWGAFLGVSSNTRYQIINGLERVVEASPVAKRVPAVSLAFTVGVRFANNIYGGMQFVDWARMTGCQ >OGLUM07G07610.1 pep chromosome:ALNU02000000:7:7349359:7349952:-1 gene:OGLUM07G07610 transcript:OGLUM07G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSGGGGGGGGGGTSIHITALDGIVNVNSLFTLAAFIGLAWRPSADGPELAGGADRLGSACAAGDRVESDLVLFHVLAFACFLFSSIVALCLKQIVRTHPHYRLRSGGGGGSAVSRTAMINRVALRVGILASAVGSVCGCGFLMMALVNVVQVKLGRLGCGAGGAAAWGAVVPLVSLVPTAMLIYIGIVFYAFTR >OGLUM07G07620.1 pep chromosome:ALNU02000000:7:7361838:7366281:1 gene:OGLUM07G07620 transcript:OGLUM07G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISPASDGVGRRRRRVLMFPIPFQGHVTPMLQLADVLRSRAGLAVTVFHAPVNAPAAAEQSAAEEDYRFVTVGAGVAGEAAALMPTGGSGSDFAGALMRLDALLRAPFDDALRQALLADDEEEATATCLVVDSNLRGVQEVAERRGVRTLALRTGGACCLVAYMAFPELCGKGVLPPLSRDQLQLDMPLDELPPLRLRDMMFSATTTHGTMATCLERLLDSARCSSGVILNTFDDLENSDLRKIANGLSVPVYAIGPLHKISIGQESSLLTQDQSCLEWLDKQEAESVLYVSFGSLASMDSQELLETAWGLVDSEIPFLWVIRPNSVQGSEQTCLPDGFEEATRGRGMVVSWAPQQDVLKHRAVGGFWTHNGWNSTLESICDGVPMICRPQFADQMINARYVQEVWKIGFELEGKLERRMIERAVRRLLCSEEGKEMRHRAKDLKNKATTCIEKGGSSNTAIDMLVNLIMSF >OGLUM07G07630.1 pep chromosome:ALNU02000000:7:7372674:7375167:1 gene:OGLUM07G07630 transcript:OGLUM07G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAVHAAVAAGAGHRRRRVLLLPLPLQGHINPMFHLASVLHARGFAVTVFHLQPAGVNAPDASLHPAFDFVAVPADGDGDGAGGDYLEATLAGILDVNRRCEAPFRERLAALLEEAAPAGGGDVACLVADAHLLTLMDVARRLGVPTLALRTGSAASFRVFAAHRMLRDMGYLPARESELDAPVTVLPPAPYRVRDVMLTAGFGGHAQDQIYELVSRAVEAVRTSSGLILNTFDALEHDELAALRRDLDVPVFDVGPLHKLSPTAPPSSLLRQDRGCLEWLDSQAPASVLYVSFGSIASVSAGELVEAAWGIANSGHPFLWVLRPGLVRGAAAAAALPDGFDAATRGRGEVVSWAPQEEVLAHPATAAFWTHCGWNSTLESVCAGVPMLLRPCFGDQPGNARYAERVWRAGLALDGGGGELERGKVEAAIRRLMEEDDGAGMRRRAGELKSRAAECITKAGSSCLIIDKLGAARSRADASTWFCLALVHIKDAGYGTGTFDHG >OGLUM07G07640.1 pep chromosome:ALNU02000000:7:7385197:7385751:1 gene:OGLUM07G07640 transcript:OGLUM07G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVRGGHAWVRRGLPALDSGAGTADSGPKRGVVWKRRDCGVAGDDGRAAAQAWWSASYGAARLGSALAVRGGAAPGVQWLAAARGSDGARGCRQRLAASSSRCSAMQGTADGQQFFVVMWPAQSCVCSVHATETNRVTPRDGEACVGAAEKDGVGGAAARCVSSGGREQENRREADILGPHNR >OGLUM07G07650.1 pep chromosome:ALNU02000000:7:7388707:7390994:1 gene:OGLUM07G07650 transcript:OGLUM07G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDGATRRGGGDGSSRRRRVLVFPLPFQGHINPMLQLAGALHGRRGGGSGGELSVTVLHTRFNAIDPSRYPELAFAEVPDGIPPDVAANGNIVDIIVALNVAMDGGESSPSFRDVLASVVAADDEGRKPRASCLIIDGNLMAVQKAAAELGLPTLVLRTGSAACLGCYLAYPALLQKGYLPPKESQLYEPVEELPLLRVRDLYYTSNANQELVRKVLGWIAETARNSNGVVINTFDELEPAELERIRRELDGDGVAIVLAAGPLHKLSPMNAGGSLHLRPDRSCIEWLDTQATGSVLYVSFGSLASLDSNEFLEVAWGLESSGQPFLWVVRPDLVKGLDKPSLPDGFERAVEGRGKVIKWAPQQEVLAHHAVGGFWTHNGWNSTLESVSEGVPMICKPQFADQMLNTRYIEAVWAVGFELVGKLERGEIKKAIKRLMVEKEGAEIRERAKELKKKMDQCLESGGSSQIAINRSLVGPMRDIHVMWPVAELESFHA >OGLUM07G07660.1 pep chromosome:ALNU02000000:7:7392148:7400915:1 gene:OGLUM07G07660 transcript:OGLUM07G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASVVGGGARHGGERRRRVLVFPLPFQGHTNPMLQLAGALHGRGGLCVTVLHTRFNALDPSRHPELAFVEVADGIPPDVAARGRVAEIILAMNAAMEATEDESGAASPSNIREVLASVVAAGEGQPRVACLVIDSHLLAVQKAAAGLGIPTLVLRTGSAACLRCYLAYDMLLQKGYLPPKESQLYEPVKELPPLRVRDLFSTDDELVFEVLARIAETVRNSNGVVINTFEELEPMELERVHGELGDDSVVTVLATGPLHRLSSMNTGSNTFNLRQDQSCIEWLDTQATRSVLYVSFGSLASMDSDEFMEVAFGLEKSGHPFLWVVRPNLVRGVERACLPDGFESAVEGRGKVIKWAPQQEVLAHRAMGGFWTHGGWNSILESICEGVPMICRPQFADQMINTRYVEAVWGAGFELEGKLEWCKIEKAIMKLMGKNEGAEMRERANELKNKVARCLEDGGSSQIAIDRLPTAGETTATMAGARDRGDGRAPRGHVVLFPLPFQGHLSPMLQLAGALHARGLAATVLHTAYNAPDAAAHPELAFVAVPSADAIARALAAAPRDGIAKIMALNAAIEASGCARDALASLMSGPERPACLVIDAALPGAQKAAAELGLPTIVLHTGSAAAFRLFRSYAMLREKGYLPAKESELNRPVEEMPPLRVSDLFDPSKYFNEEMANKILALSTETTTNSSGTVVNTFEALETPELRSVRDELGATIPVFAIGPLHKLTSNGDRSSLLDQDRSCIEWLDTKEPGSVLYVSFGSVVMVSQDEFKEVAWGLANSGSPFLWVVRPGLVIGVSGKPELPEGFVEAVEGRCKVIDWAPQTEVLAHHAVGGFWTHNGWNSTLESIYEGVPMLSRPIFGDQLVTTRYVQETWQIGFRVEGKLERGKIEEAIRRLMEGEEGAEVKQRADELKKKILICLKNGGSTQQAIDKLVDHMTSPRTAAMATQEREPERQPHAGRRVALFPLPFQGHLSPMLQLADLLRARGLAVTVLHTRSNAPDPARHRHGPDLAFLPIHEAALPEEATSPGADIVAQLLALNAACEAPFRDALASLLPGVACAVVDGQWYAALGAAARLGVPALALRTDSAATFRSMLAFPRLRDAGFIPIQGKNG >OGLUM07G07670.1 pep chromosome:ALNU02000000:7:7400947:7402465:1 gene:OGLUM07G07670 transcript:OGLUM07G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLDEAVPELEPLRVRDLIRVDGCETEALCGFIARVADAMRDSASGVVVNTFDAIEASELGKIEAELSKPTFAVGPLHKLTTARTAAEQYRHFVRLYGPDRACLAWLDAHPPRSVLYVSLGSVACIDHDMFDEMAWGLAASGVPFLWVNRPGSVRGCMPALPYGVDVSRGKIVPWAPQRDVLAHPAIGGFWTHCGWNSTLESVCEGVPMLARPCFADQTVNARYVTHQWGVGLELGEVFDRDRVAVAVRKLMVGEEGAAMRETARRLKIQANQCVAATLAVDNLT >OGLUM07G07680.1 pep chromosome:ALNU02000000:7:7408068:7409540:1 gene:OGLUM07G07680 transcript:OGLUM07G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMGKRKRPVDDGGDSSEPELPADIIAHITGRLTSQVDFLNCRNVCPSWERALRGEARRLAAAVERVPWLLLAAKADGSYLGRRQAGDVAAVQLPGRHVRLGRREICLGCSSGWLVVANDFGYARLVNPLTAATAPLPPLWRLPYLDAAHGYDGCVGSFLYVDEHHRGGPGVAFSFDGLCDLVLLKAVVVDISDGGATVAVLYRREREFAMARTGQRSWRLVNNKLDGIVDMARHGDGKLYTVHLSGKVARWKFDCNVRRSPEILESVLVIDSPYHYVVKADNNVNAITMSREYEHDHRDRAGECCYLVGAPRGTLYLLKRVYKHKQVGSDGGGGGRTQRTTATFHVWHLTWASDGGMEWPATMDGAAIYHNLATFVSYTGAVCVGKRDADAVLAGGAVYFTEDAAGYAGAAMAEDFGVRRINIRRQKSRRITRMTRLDDESMKRIKDKLEDEESEEVKPLGRCMNWPPPFWFIPSLDDSLGAAPPGK >OGLUM07G07690.1 pep chromosome:ALNU02000000:7:7416786:7418202:1 gene:OGLUM07G07690 transcript:OGLUM07G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPTENPRFEGGENGDNDYPLVATSDGEAVSSPSPSTAASTPTAASAPTGGSYDWFVRRRLFVPDWVNHPTNCSFALGRTVSTGGDQYKLLRIRTDRVLQVCSVLALGGDGINNGSFARWRKVPSPPQNVFTGHRSVAVCEKKDEFSAIEEKGLPRAERGGQPESSTAAAAAAAAVAPSLVSRRDVSEKRNRTFCHFQEWVSA >OGLUM07G07700.1 pep chromosome:ALNU02000000:7:7418436:7419301:-1 gene:OGLUM07G07700 transcript:OGLUM07G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGRLYQTELVESFRLTAPRPRLSVGMRPWCAAQMMGRTMRPWSGWVTTTSGGAPSCPSGHYDSGGDTARVRRATAPAPAPTQYAASSKNGALLSCGDDDTPVSRNGSVVTSIDKPATAAASTPLVTIPKLPAPDSLVILPSIDRPQPEFVVPDAAYLGAPAPPLSSPCRWPRVASTGARQWQGCHATWLSPVAPLSSSLSAAVALLLLVGGCWVRSSCGVFAIGFF >OGLUM07G07710.1 pep chromosome:ALNU02000000:7:7419353:7421433:1 gene:OGLUM07G07710 transcript:OGLUM07G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQIFGISCGWHFNHSIPSSDMDTGRSSQLTERVRRMPASSNSGILPLEVLFDVLVRLPAKELCRLRIVCRPWRSLTSDPLFMKTHVARHRETFFLASFKDDETHIHIMDFAGNVIKQIGIPAGHKVLCTRLDLVCVATNKNSCHVLNPVTGDVYNLPKSPAEEHTYHVNLRKPFTSFAFGHVASTGEYKVLRMFNRPGFTDLGIPQLCEVITVKGGTGQARWRGKQSREFFVECQKANSGVVVNGVVYFLIDSVYDSMIIGGDGAGIHPDFICSFDLEVEEWREDIQGPISRNFVYDMDFPDEYIAIWDQLSLAELKGYLVLVYHQSYRSSTIDLWYLIDYETRTWIKQYSIQIESFVPVRECKVKPLLVLDDGRIVVWLGSTGLLRIYDPRTSTFAEVEMRRLSEVGLYTGSVLSL >OGLUM07G07720.1 pep chromosome:ALNU02000000:7:7423255:7424339:1 gene:OGLUM07G07720 transcript:OGLUM07G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPQEADPLSPKRPLSLEQAAADGLGHAAVDGRWRRHVGTRGGWRRGVGVSGERRPLELGVNVDEAYAHLYVTLAALRGSLVVAQDDGESAEAVVVLDDGRVVFWVWDTTFSHADAGGIMLVYDPDTGGQTEVAAMAGAVHVGVYTGSLLRLRN >OGLUM07G07730.1 pep chromosome:ALNU02000000:7:7434595:7438777:-1 gene:OGLUM07G07730 transcript:OGLUM07G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAWFAFGQTNSTGEYKLLRILEDLEDGYEADPVCEVFAIGDMNGRWRKMESPPGYLDPSCTNGVVFEGAAYFFLDHWQMDPSYYFATGCIPSFDLATEQWSTALQGPVNRILEEANGTLNYADLTDRLMLAQLEGTLCTAHFNDRISAVDLWFLVDFENGMWSKEYRINVEFAFDGFGDGVQPLLVTDEGNVVLWVQIGSKGMVWIYNPVTNTSSEIVQTMASIFTGVGKWKKCKKKRSKTDVRTVD >OGLUM07G07740.1 pep chromosome:ALNU02000000:7:7448163:7452491:1 gene:OGLUM07G07740 transcript:OGLUM07G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTRARGKRRKLQGHQTPPPPPPCGADQISLLPDDALREIVTRLPTNDAARTQLLSSRWRHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDAWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDDPAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIGEFHFDSLTTVARGVKVLALDIDNLSLDMAIDFMRCFPSLEKLYIRKFSHKCNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRNDNDGTTVIIWLGLTELLCIYDPRWSTSAGYNTSYRSWLVHRKPITDGKWSLLLEQRTWRLKQGLVGVWRLEQEQQSLGNMMRLNLVEVPWHKYCWQET >OGLUM07G07740.2 pep chromosome:ALNU02000000:7:7448163:7452491:1 gene:OGLUM07G07740 transcript:OGLUM07G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTRARGKRRKLQGHQTPPPPPPCGADQISLLPDDALREIVTRLPTNDAARTQLLSSRWRHLWRSAPLNLDLRDAGDISRVLATHPGPARRFAVPDLGSSFPNRRATLDAWFAAPALDNLQELELMGSYRPLPPSAARLFPTLRVAVFSRCSFPDDPAAAAFCFPRLEQLTLEYVAVSEATLHGVLAGCAALDCLLLRGVRGCRRLSISSPTIRVVGVCVTRALKELIVEDAPRLERLLMPEVWQLLRVSVISAPKLEALGWLSNHCTLEIGTIAIKCSIGEFHFDSLTTVARGVKVLALDIDNLSLDMAIDFMRCFPSLEKLYIRKFSHKCNNVWRQKMRHKMLDPIECLDLNLKKVEVSGYCGNKSHIDFAMFFVLNGRVLELMRLECGTRRNDSKWIEKQKMCLKLDNMFGKDEEIKFGEIHLTQVLLAIDGKWSLLLEQRTWRLKQGLVGVWRLEQEQQSLGNMMRLNLVEVPWHKYCWQET >OGLUM07G07750.1 pep chromosome:ALNU02000000:7:7453705:7454706:-1 gene:OGLUM07G07750 transcript:OGLUM07G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGADGLPPGLRFDPSDDELVGRYLLRRLQGQPLPLDGVVLDADPLSAQPWRLLADHGRGGDEAFFLAEAHAKNAKGKRQKRTVEGGGFWQGQRMCVDGKKLLVPGDDDGGGGGEVLEIAWRKYVLSFFAEGERGSSGWVMHEYSVTAPADLASSPLRLYRIRFSGYGKKRKRESEDDGRAHGAPRRAEAETALFDLEVGPPPPPLLVPPPAAAAADHGTDQSSSGVTDMVFRDLPDLIADAGAALPDQNQQDWSEVADQSSFCVMGDDSSLLLPDLPGMIDDNEHQQFVARVRHATPVRPASRGSHCWWWCSIGAIGGQPELRGHGIVRF >OGLUM07G07760.1 pep chromosome:ALNU02000000:7:7460082:7461436:1 gene:OGLUM07G07760 transcript:OGLUM07G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNRRRRKTEEAEISAADRFAALPDGVLARIVSMLPYWDVIQLSAVCAAWRRLRLHRAAPVVNIDLREFVLFGAFLPGYVVLGHRAALRRMRRHVDKLRLTYFAADRCMNEEANAIIRAVAAREIRITICHGPGGAHAARARRLDEWDVDVPSTATDLDVRGSGYRAPAVYADCLRVLTLYHLELHDAPRLPSLRSLTLQSVLVAAAVPFAPGKWCPQLESLEMESCTVEYRQVDIRLQLLKLLVMDDVSVGPPCRKNDDEPFGHVTVDAPALDELVVVCSTGWAVEYESFTLRAPALRRLCWWEQFAGRLTIDVGMPGSVTEGTIEFKSNGELEEMSCREMRFYRAQLMQMLRGILPERAAGEDRRRRTDDHRDGRRRDDPGGEAHLRPSTPHLVAPRLISAMIAGSISTNI >OGLUM07G07770.1 pep chromosome:ALNU02000000:7:7461658:7464126:-1 gene:OGLUM07G07770 transcript:OGLUM07G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGARRHRRRVVMFPFPFRSHIAPMLQLAELLRGRGLAVTVVHTTFNAPDAARHPELTFVPIHERLPDAATDPGADLVEQMLALNAACEAPFREALRRVVRVGGWSEVACAVVDGQWYWYAALTAAAEVGVAALALRTDNAAALHCMLSYSRLRYSGYLPIKGNRDEVLPPVEPLRGRDLIRVDGGDAERVREFIARVDNAMRTAAMGVVINTFRAIEKPVLRNIRRHLPRIPAFAIGPMHRLLGAPEEHGLHAPDSGCVAWLHAHPPRSVLYVSLGSVARIDREVFDEMALGLAGSGVPFLWVIRPGFVTGIVSDALPLPEPLTAVVDNGMGKTSWRLAHPAIGGFWTHCGWNSTLESICEGVPMLAQPCFGDQTVNARYVTHQWGVGLELGEVFDRDCVAEAVRKLMVGEEGAAMRDKARGLKAKASKSVEDDGASNAAIDRLDYQSNRNQPESSG >OGLUM07G07780.1 pep chromosome:ALNU02000000:7:7467213:7469511:-1 gene:OGLUM07G07780 transcript:OGLUM07G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGQPVNVQKEQVNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFSKCIEKSARKAAPHPYSVYSFVIA >OGLUM07G07790.1 pep chromosome:ALNU02000000:7:7503706:7504065:1 gene:OGLUM07G07790 transcript:OGLUM07G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGFGTTVAPAVAAGRRLSHRRAAAAPPSARVARPSATKSVTAAAATEKGLFDAIFGALYKEEQLLETDPILNKVEEKAPAAASRATKAGGAPAKKAAGDGDGDGGFSFGGLFSKKE >OGLUM07G07800.1 pep chromosome:ALNU02000000:7:7504668:7510317:-1 gene:OGLUM07G07800 transcript:OGLUM07G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHK9] MATCSWAATTAAAAPPRPPARCRSRVAALRRTAAASAAAASCVLAEAPKGLKVEQADAVEPAAAAAARRDVGPDTVASIILGGGAGTRLFPLTRTRAKPAVPVGGCYRLIDIPMSNCINSKINKIYVLTQFNSQSLNRHIARTYNIGEGVGFGDGFVEVLAATQTTGESGKRWFQGTADAVRQFLWLFEDARLKRIENILILSGDHLYRMDYMDFVQKHVNKGADISVACVPVDESRASDFGLMKTDKNGRITDFLEKPKDESLKSMQLDMGTFGLRPEVADTCKYMASMGIYVFRTDILLRLLRGHYPTANDFGSEVIPMAAKDYNVQAYLFDGYWEDIGTIKSFFEANLALTDQSPNFYFYDPVKPIFTSPRFLPPTKVENCKVLNSIVSHGCFLTECSVDRSVIGVRSRLEPGVQLKDTMMMGADYYQTEAERFSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVMIMNSQNVQEAERPLEGFYIRSGITVVLKNAVIPDGTISIPSGLLHLKYIFETHKGI >OGLUM07G07810.1 pep chromosome:ALNU02000000:7:7511878:7513255:-1 gene:OGLUM07G07810 transcript:OGLUM07G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSRANGGDYPVVAVSNDGVLMVDLLHEVLLCLPARPLCRLHAVCRPWRALLSGDPVFAAAHAARHPAPHLAVAVRGRLNSYGRELVDVYVVDASSGDIVKRACAGRCDRPAEVSTHGGVALLVDNNQLLRVLDPVSGAVPVVPDYKISHPTKYLVTLARIASTGEYKVMHITKDGELKHGEQQACSVLTLAGDSVNGGRLALWRELRGTLVVAHDDHRAGVLDLWFLLAGDGDGGKVGPQHWSKLYKVTMPYHALGLPWPWDAESAEPAVVLVDDGRVVFWVWANGSSEHGRGVIRVYDPATGGQTDVAAMVGAVHVGVYTGSLLLPLLKKWELRDGVFISRADYLWFLTLKCNLGWDNTQKANFSQCKLLRWQSRIFYFSP >OGLUM07G07820.1 pep chromosome:ALNU02000000:7:7518179:7522531:1 gene:OGLUM07G07820 transcript:OGLUM07G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIFEYVYYAIKLRVAAALPPRPRCHCLRAERRPPQHGYITGGLLAIASTWSCSCVVLSDRNFAASVVFIAIRALTTSSSALGLLPLLRASPPYLQVATVAALGRWSSYLHMATDVAVQAIGSATSPSSSSSMTHRQRRRIFLDYTSLFSGNCVLLQQFSLYAVLAPRPSWRPFLLVSSDIGVWFMVVPASPVRYWQHRRTRSSRVVPGSDKPCVTSRPSRFDYIGSSASSISTTAAIASPSSSSARPRAPHSTAPHARSVARLHRHQLPDFGYIDHGYSTHGYLDHGSLTPYAMAMPTTAQRAIIRIEHSCRFILQSKCKDLCGLANNSSSDRDTEDIETGNEEVNYGTTTIDLDAETSYAKTIESEDDVLIRALTSVSEKLAAGIVKAGEPVNTLPASLFDTLKSLPGFKDNHISFYYAHLVANPHIAIAFDGLPFKNNLHWVALFISEKFPGSM >OGLUM07G07830.1 pep chromosome:ALNU02000000:7:7549612:7550142:1 gene:OGLUM07G07830 transcript:OGLUM07G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPKKGAAFALAVAIATIVMATTTTMAADLSDAEKAQFVKLHNDARAAVGVKAQVSWSEAVAAKAREHASTCRTDHIQGPYGENLWWGWSSAAGWVGKPADAMGSWVGEKPYYDRSSNSCVGGKVCGHYTQVVWSRTTQIGCARVTGCNINGRSSTLIACNYNPRGNINGERPY >OGLUM07G07840.1 pep chromosome:ALNU02000000:7:7556700:7558889:-1 gene:OGLUM07G07840 transcript:OGLUM07G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEGEERAKPNNQKPWRCPSPYVRRRATELVAPAAPTPRETKRLSDVDDPESLRWQVPVVFVYRPSAAAADPVDTIRRALAAALVPYYPFAGRLREVEGRKLVVDCTGEGVMFVEADADVRVAELEAAGLRAPFPCMDQLLFDVDGSAAVLGTPLLLIQVTRLLCGGFVLGIRLNHAMCDASGIVQFMDAVADLARGAREPAVSPAWSRELLDARKPPKLAFHLREYNDFAAAPPAAPSVGALGDMVMRTFSFSPGDVAALKGALQPHLRGRATSFDVLASFVWRARARALETPAGEDARLAIIVSFRNNGELRLPRGYYGNVCVPVTVAMPAEALRRRGSLADVVEQVREAKKTVNAEYVRSVADTLVMRGRPAIDTANLLLLSDVRLAGFHRVDFGWGEPVYGGPSHAWYGVSYLIAVKNGAGEDGVAVPVVLPAAAMERFTSEIERLRKGQQRGHFRVQTTSRI >OGLUM07G07850.1 pep chromosome:ALNU02000000:7:7566046:7571837:1 gene:OGLUM07G07850 transcript:OGLUM07G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWVATVAYTGTALACAAAATVVALRLVYRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLVLPGSAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGAVVVSLTGRSLKPSWFMMTCCFSAVPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYVACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSRFIKNAEEAAYLQNFVLCVEMLIAAIGHQFAFSYKEYAGSNARPFGGFRGSLFHALKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSSASVVSTVQDIQLVEVSVVDSKAPLASVILTHEADKTMPSHGMEETVAPSEPYDLSNLVDVELSNYSAEVPAIPDVGKQ >OGLUM07G07860.1 pep chromosome:ALNU02000000:7:7572352:7573659:-1 gene:OGLUM07G07860 transcript:OGLUM07G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVAKPFAATATAAAPRLSPCRRRRRLLAANATTARGALPLPALRKPTKPPPPPPLHPRPSLPVPTTSSDDDGDIRRKPATGATASLCSSGAGDVLRLLDALRLPPDEDVYVSLLRDCADAAEVASVHAHIAGKFAVSGLPLPLANRLVLAYAACGDIGAARQVFDEMPVKNGITWATMVSAYSDGCFHHDALQLFAQMCHQVRGITGDHYTHAIVAVLRSCARVNELQFGEQVHAFVVKKNGVCGDVGSSLLQLYCDSGQLSSARHVLEMMRFSCQEPVPEAAWTSLITAYHRDGILDDAIDVFRGMASSGIARSSFSLSSILAVCAEAKNKGCYGQQVHADAIKRGLDMNQFVGSGLLHMYAKEGQLADAARAFEAIDGKPDAVCWNAMAMAYARGGMYREATRVVYQMKAAGMNPSKLTMNEVKLACFR >OGLUM07G07870.1 pep chromosome:ALNU02000000:7:7592093:7593956:1 gene:OGLUM07G07870 transcript:OGLUM07G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRMPDSHFMASSNGGACYQNTRGTPITMGVPNLGCLVASIGMAPSSSLMPERGLATANYNLVANFPEDAAVVPQQQQLQAASSNSNSGLIKGGWTREEDEVLRQMVRHHGDRKWAEIAKSLPGRIGKQCRERWTNHLHRTLRYGSCDIPLNGIWTEEEDRKLIRAHQTYGNRWSAIARSLPGWSENTVKNRWNATKRSLNSKCRLRKKNSEQVVPRQPSLLKEYIHSCQHPLPNETAPPASFDIGGYGTGGTIGASPTLPTVHVLGGSTPLGLVMFLDLLNQATPHPPQPDLNLLNITPVVPHLNTSGYCQLDARGNCSGATVVWPAAGATPRDQRPGRPKQRRHQWQNRRHGQQWRHPDGV >OGLUM07G07880.1 pep chromosome:ALNU02000000:7:7597283:7598715:1 gene:OGLUM07G07880 transcript:OGLUM07G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAAPPAGEKMAIRVVSRRLVKAPDASIQPHLPNAGDGDFDAVVATFEAVLPSLLNRFYPLAGRIVVDPATGLPELHCHNQGAELVVGEVDVALGSLNYAVTNESVKRLLRFACGSFSVVWGNNNLLHDGQVSTMIVRMWSELARTGRISDGLPINHDRSVFRPRSPPSYGAAIDAMFTAYDDGGRLVNALTAHDSFVERLYYIEAGDIARLRDAASAGAEQQRASRVQAVSAYLWKALAGVVAASCVPEERCCMGWWVDARRRVASPALVPAMCGYCGNVTSYALGDAAVGEVLERPLAEVAAMVRESIASIDYDEYLQELVDWVVGHKTEKVMEKGGLGLGSPTTVFASFPLDTDFGFGQAALAMPIWDHGKMGCGTLAVGVRPGGDGSWLVSAYIWPRMAAALESDGVFKPLTAAYLGLV >OGLUM07G07890.1 pep chromosome:ALNU02000000:7:7601664:7602185:1 gene:OGLUM07G07890 transcript:OGLUM07G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSSSTLLQDKCELYAAGDRPSYDYCIRTLRADRASATADERGLAAIAARIARATAVATGAKIARLQRGETAPARRDGLAACAAEYAAAVRRLGRAARDVVSRSRGGAGAREMREAQTLLAEVTGAPERCDVAFEAAGGQGSPLDAADRDRARRRGRVGLRHPAADEADVM >OGLUM07G07900.1 pep chromosome:ALNU02000000:7:7602950:7603942:-1 gene:OGLUM07G07900 transcript:OGLUM07G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRLAVFFLLAVLAVMSPAAASSAAAAPAPETCVRTMQRMLSCLDFIEHRTDAVPRPCCAQLNATVAKQPCCLMHVLRGDVARLVGPGFDTARAMVNVTAACLGDASVLMSIARSCAGKPLPPLTPEYPFTTGVPPAPPQTSGATRLEGTSNTALLFALGAVAIAMLRI >OGLUM07G07910.1 pep chromosome:ALNU02000000:7:7614030:7616280:1 gene:OGLUM07G07910 transcript:OGLUM07G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSPIGMCDLVFEGERLLQAVCCFLLPEFSDEFGPVLLVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >OGLUM07G07910.2 pep chromosome:ALNU02000000:7:7613608:7616280:1 gene:OGLUM07G07910 transcript:OGLUM07G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAQFVESKDGTISVASAFAGHHEAVQDRDHKFLTKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANMEIKKADGNGALIAEQVFEKTKEKFQMY >OGLUM07G07920.1 pep chromosome:ALNU02000000:7:7617264:7620448:-1 gene:OGLUM07G07920 transcript:OGLUM07G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLVSGGGGWLQVVDLGCCSGVVPAEKMMSRSASALQVLLVFATVFAATQWATSSAMYCNDLTASVHRPHSVSITEFGAVNDGVTLNTKAFKNAIFYLSSFADKGGAELFVPAGRWLTGSFNLISHLTVSLDADAVIIGSQDSSDWPVIDPLPSYGRGRELPGANGTIDGQGELWWNWFHNHTLNYTRPPLLELMYSDRVVISNLTFMNAPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSSNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIAFGSEMSGGISDVRAEGLRFINSVHGIRIKTAPGRGGYVKNIYIADVSMDNVSIAIRITGNYGEHPDDNYDKNALPVISNITIKNVVGVNIGTAGMLLGIQGDIFSNICLSNVSLSSKSADPWNCSLVEGFSNSVAPEICEQLRPSPGPGQVCYDGNSYPVPAAQQPYKSGATRLQNPFLKFISLYS >OGLUM07G07930.1 pep chromosome:ALNU02000000:7:7634388:7636351:-1 gene:OGLUM07G07930 transcript:OGLUM07G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSTRGRSTGSGGGEESEAPAEAVHAGRISSEDAAARRQNIRGGGGASEEEEKRSLRVWSDVFAGGGGGAKASSMMNEMAVTEVEQQITRNVVRKKWSPPGLETQKTGGWGFILRYSEGQGLLAGAGRLAFVHDADSAEARACQAALLAASVQGITEVEIETDSLILVSALKS >OGLUM07G07940.1 pep chromosome:ALNU02000000:7:7636687:7643397:-1 gene:OGLUM07G07940 transcript:OGLUM07G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLASSVIHIEDYWAEEGNRKTHFQLKHSALSPAKERMAHVRENRVRDYDPYNGGTTGGAAECRWKAGPQGRDGMVIPAERHIHGGVDYVRQRRQAS >OGLUM07G07950.1 pep chromosome:ALNU02000000:7:7642799:7648408:1 gene:OGLUM07G07950 transcript:OGLUM07G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRARSSSYAAAAVALALALASVAAVAGEVFFQEKFEDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDPEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTIFTKNDKNHLIKKDVPCETDQLSHMYTLIIRPDATYTILIDNVEKQSGSIYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNFLITDDPELAKTFAEETWGKHKDAEKAAFDEAEKKKEEEEAAKAGEDDDDLDDEDAEDEDKADEKADSDAEDGKDSDDEKHDEL >OGLUM07G07960.1 pep chromosome:ALNU02000000:7:7650637:7651584:-1 gene:OGLUM07G07960 transcript:OGLUM07G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKIELDHKDMVHDSAIDYYGKRLATASSDSTVKISSIGGKSAPSQLLATLSGHYGPVWRVAWAHPKYGTILASCSYDGRVIIWKEGAGGHWSQAHVFTDHKSSVNSIAWAPYEVGLCLACGSSDGTISVMTMRADGGWDTARIERAHPVGVTAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVNGGWKLESALPSDVHTDCVRDVAWAPVLGLAKATIASASQDGKVVIWSRGKVGDKWEGKVMHDFGSPVWRVSWSLTGNILSVAAGENNITLWKQASDGQWEEVMKVEPTKPESSEEVKKAEQ >OGLUM07G07970.1 pep chromosome:ALNU02000000:7:7668583:7672643:-1 gene:OGLUM07G07970 transcript:OGLUM07G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPSGAAAASSSSSSTSSTTSSSSQSSSTRGGYMLSDRFYSPPHVRRQQMLLLQQQQLLQGQRPPSPSPSPATAPRAARQKPLPSPSPPPPPPAPAEAARQKEVERRVDAVVQSKPSVSPLPSSADVKRPPAVESAPEPARAAEEEAAGNLERFLSSTTPSVPVQYLPKTSMRGWRSGDAMNSSPYFCLGDLWEAFNEWSFYGAGVPLVLNGKDSVIQYYVPYLSAIQLYADPSKHSTRIRHPWEESDEESMDTSSESSSGTDADQLRGLENGGFQREGSESHFPSTRPLFEYLEKDPPYDADPSTPACPPSGGFNSCMNAAGKLTLPVFGLAPYKLRSSVWSSNGPHEQQLAASLMQAADDWLRDRQVHHPDFRFFLTHYNTVWR >OGLUM07G07980.1 pep chromosome:ALNU02000000:7:7686800:7687249:1 gene:OGLUM07G07980 transcript:OGLUM07G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMSPSPPLRAPLLAVAAAIVAGLLLLAPAAAQQPPPLVQQFYYYSPPPPSSPVGGGGTGGGGPSPPTNPAPPAVPCNCGTTTAPAAPSPPGVYNYSAPSGGGGGGGQLAFLSGSARSTSHLPGCGGWRHARLLASAAAPLLLIVWW >OGLUM07G07990.1 pep chromosome:ALNU02000000:7:7694974:7695472:-1 gene:OGLUM07G07990 transcript:OGLUM07G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGCQSEPMLTQGQQYEDDVKKAAIQLMYLSRAANT >OGLUM07G08000.1 pep chromosome:ALNU02000000:7:7698290:7699384:1 gene:OGLUM07G08000 transcript:OGLUM07G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARSQLAAVFLAVLVSVAPLAGAADNLQDACNRTLFPKVCIQALTTNPESRTANARRLAELSVYVAAEVGTAVAAFAHHELNGVKEDALFKCVDSCSDDIEEAVAHLSALTRELTDAKFLEVKAWLSATLGGSSTCEDTCKDAPISEIKNAVVTKSLEFEKLLRVTLDLITEASGSMSADVALPPSTGAAGGGYGYESSSAAAAPAPSESDSDVGSGSGSAASAPGPSPSDDTGYGGSSGSSSGSPSSSPSSSPSGSPSGSPSSSPSGSPSSSPSGSPAGSPAGGPASGPSSYGAASGPAEGPSSSGAASGPAEGPSPSGAAGPAEGPSSYGSTEGPSPSPSSSGSADAPGPGASAPDSEEY >OGLUM07G08010.1 pep chromosome:ALNU02000000:7:7701200:7707225:1 gene:OGLUM07G08010 transcript:OGLUM07G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02405) TAIR;Acc:AT4G02405] MPVLPWLAAAATTPVRRSPPLPATPRALLRPPASSFPPWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPSAEHYRLVTRYIDDKLQHFISNSDDLRQIVLLTDGMDTRPYRLSWPRLSVVYDVSPRRVFITASQQLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFMQWGAATDMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRALFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >OGLUM07G08010.2 pep chromosome:ALNU02000000:7:7701200:7707225:1 gene:OGLUM07G08010 transcript:OGLUM07G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02405) TAIR;Acc:AT4G02405] MPVLPWLAAAATTPVRRSPPLPATPRALLRPPASSFPPWSNCAKSGLPPRGPFATAADTPLGGSLPEPEEERDTLLDGALRAARFRDEESRRPDPLFIDPYAAVLLSLDVASEDKDLLALHLMPNGMDTRPYRLSWPRLSVVYDVSPRRVFITASQQLRGAGAKISRNCVVLHTSSESPDLQAGLNKNGFNGNRPSLWVLQGLPLFTFKSLEDLLLVIGNLAMKGSIFIGEVPRFMQWGAATDMASEQDRLENLFFTQGFRVSFVHYEEVAKDVGLGLDSPPEIHGRALFIAEQLRFSDAQMESFRMHFERIEDDADEDGFEEL >OGLUM07G08020.1 pep chromosome:ALNU02000000:7:7710255:7710470:1 gene:OGLUM07G08020 transcript:OGLUM07G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIAFLAVLAIAVKKATKAHTRTYPLEAVMLPATEQLLQPAPSPSPPPPPPQCDGQRLVGGDAAVEIDS >OGLUM07G08030.1 pep chromosome:ALNU02000000:7:7712832:7716725:-1 gene:OGLUM07G08030 transcript:OGLUM07G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNDQGFLTAIIKVESYFTTSLLGQRTYVKGNNVKISIERDRYSMLALVDDVGENFNWGPNQYIGFWKLGDVSTQSKVEITTDSQLLDWLDKGNQHGVVNIHAIVNDFGGPLQVEPSPTKRRCHPSLRYSIPCTPPLFTDLLVDATPLTLPESYNHLENFIQPVPSTQNESTTHPESTSHPDDEATSPIKKSAKKVVKKCAKRRSQDDDDDEEVRDDEKEENEEEEPQLCPNCDPLEVDSDSSYDSDAAASSDSEYDCDDLDDQIHDDDDNDSDLEHVFAYDFDNPCIDEGEI >OGLUM07G08040.1 pep chromosome:ALNU02000000:7:7720610:7724528:-1 gene:OGLUM07G08040 transcript:OGLUM07G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06920) TAIR;Acc:AT3G06920] MAAAALRTPATRRPLLAPLSILRTPTPRHLSSSSPPSQPPSSPAGELLRLLSAAPTWTPDLARAVSSTFSASPTADVVISVLRSIRNPSLAAPFFLLASSSSASAPHPLPADAYHAVLPFLHHDLAALEKVLEEMAVLGYGLPNQACADLAAALVRARRLDDAVLAVAVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNSSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQVFEETRLRGCRINIKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASRSLVCLGETVCLTCKLDQTPLQTTVYQAGWLDTTMRLDCLIFS >OGLUM07G08040.2 pep chromosome:ALNU02000000:7:7720647:7724528:-1 gene:OGLUM07G08040 transcript:OGLUM07G08040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06920) TAIR;Acc:AT3G06920] MAAAALRTPATRRPLLAPLSILRTPTPRHLSSSSPPSQPPSSPAGELLRLLSAAPTWTPDLARAVSSTFSASPTADVVISVLRSIRNPSLAAPFFLLASSSSASAPHPLPADAYHAVLPFLHHDLAALEKVLEEMAVLGYGLPNQACADLAAALVRARRLDDAVLAVAVMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVHLFTTLVRALAREGQVADALALVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLGEAEELFAQMEAERSVPCAYAYNTMIMGYGSAGRFEDAYKLLERLRERGCIPSVVSFNSILTCLGKKRKVDEALSLFEVMKKDAEPNSSTYNIIIDMLCLGGRVEEAYRILDEMEHASLFPNLLTVNIMVDRLCKARKLEEAYKIFESASQRGCNPDCVTYCSLIDGLGKKGQVDEAYRLFEKMLDAGHNANPVVYTSLIRNFFIHGRKEDGHKIFKELIRRGCKPDLTLLNTYMDCVFKAGEVEKGRMIFEDIRSYGFLPDVRSYSILIHGLTKAGQARETSNIFHAMKQQGFALDARAYNAVVDGFCKSGKVHKAYEILEEMKEKCVQPTVATYGAIVDGLAKIDRLDEAYMLFEEAKSKGIELNVVLYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALVCFQSMKEMKCPPNTYTYSILINGLCRVQKYNKAFVFWQDMQKQGLVPNVVTYTTMISGLAKVGNITDAYSLFERFKANGGIPDAASFNALIEGMSNANRAMEAYQVFEETRLRGCRINIKSCISLLDALNKSECLEQAAIVGAVLREIAKSQHASRSLVCLGETGGLA >OGLUM07G08050.1 pep chromosome:ALNU02000000:7:7725847:7729532:1 gene:OGLUM07G08050 transcript:OGLUM07G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 724 [Source:Projected from Arabidopsis thaliana (AT4G15780) TAIR;Acc:AT4G15780] MASPPGKKGEGGGGKAEWLIYAFVARGTAVLAEYTEFTGNFPALAAQCLQRLPASGGGGSGGGAPARFSYACDGHTFNFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHMQYVLDHSEEIEKTLKVQAQVSEVKNIMLENIEKVWSTFAVGCPLFFTELILMGFLFKKTLGRGEKLSELQDKTSDLQSQAQEFKKKGVKIRRKTWLQNMKIKLVVLGILLLLVIIVWVSVCQGFDCTKH >OGLUM07G08060.1 pep chromosome:ALNU02000000:7:7753094:7761894:1 gene:OGLUM07G08060 transcript:OGLUM07G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGGGRAKVTPNLAMDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSASGLSVAGQLNQSFGSTPLSSHAPTSISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPLTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKG >OGLUM07G08060.2 pep chromosome:ALNU02000000:7:7753101:7761894:1 gene:OGLUM07G08060 transcript:OGLUM07G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGGGGGGRAKVTPNLAMDEEGTRVLNITVLQRLDPAVEDILITAGHVTLYDFDTNLNQWSRKDVEGSLFVVKRNAQPRFQFVVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECEEVANLFSRILNAFSKATPKPKAPSIKSEFEELEAAPTLVEGPLEPQTSNIIPATTHVQEDPLSAFFSGAINVGSASGLSVAGQLNQSFGSTPLSSHAPTSISISQPPAVHHLLPSQTSSVISPDVHGGTGAVVNRSASLLNPSLFSPLTSSQTTMARTNPVAPTAPPQHPRITQQPHSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPVLSREKVRDALLRLVENDDFIDLVYREIVKG >OGLUM07G08070.1 pep chromosome:ALNU02000000:7:7758540:7774580:-1 gene:OGLUM07G08070 transcript:OGLUM07G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGILDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVAAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTIKLVFQPAEEGHAGAYHVLESGLLDDVSAIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREIIEAQAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCSLLASEYYLLSAARAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGGEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHASTATF >OGLUM07G08070.2 pep chromosome:ALNU02000000:7:7758540:7774580:-1 gene:OGLUM07G08070 transcript:OGLUM07G08070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSTTRLIPLLLVLTFCLALASASAWAAAAGDDDLLAAAREPGMAEWLRGVRRRIHRHPELAFEEVRTSELVRAELDAIGVPYQWPVARTGVVATIAGGGGGDGPVVALRADMDALPVQELVDWEHKSQENGKMHACGHDAHTAMLLGAAKLLQKRKNELKGTVKLVFQPAEEGSAGAYYVLQEGILDDVSAMFGMHVDPALPVGVVAARPGPFAATSGRFLATITGKGGHAAFPHDAIDPVVAASNAILSLQQIVAREIDPLQGAVVSITFVKGGEAYNVIPQSVEFGGTMRSMTDEEYFRLKIGQIVEGQAAVNRCGGGVDFMEESMRPYPAVVNDEGMYAHARASAERLLGAGGVRVAPQLMGAEDFGFYAARMPSAFFTIGVGNATTSSARAAHTTHSPHFVVDEAALPVGAAVHAAVAIDYLSKHASSILLPTLPASMLTSMAPPNASARLLLVAAAAAAVVLFAHLPTTTTAASPALKALGEDLLAAAGAAGFAGWLSGLRRRIHQRPELAFQEVRTSELVRAELDAIGVPYAWPVARTGVVATIDGGAGAGPVVALRADMDALPLQGTIKLVFQPAEEGHAGAYHVLESGLLDDVSAIFGLHVIPNLPVGVVASRPGPFMSAAARFAATFTGKGGHAGVPHDAVDPVVAVSSAVLSLQQLVSRETDPLEAAVVSITILKGGDAYNVIPESASLGGTFRSMTDEGLAYLMKRIREIIEAQAGVNRCAAAVDFLEEELRPYPATVNDDGMYGHAKAVAEAMLGEANVRVAARSMGGEDFAFYARRSPGAFFFIGVGNETTMGPAAAVRPVHSPHFVLDERALPVGAALHAAVAIEYLNKHDCSLLASEYYLLSAARAPGFAAWLRGLRRSIHRHPELAFEEVRTSELVRAELDAIGVPYEWPVARTGVVATIAGGDGAGAGTVFALRADMDALPLQELVDWEHKSEESGKMHACGHDAHTTMLLGAAKLLQSRKDDLKGTVKLVFQPAEEGYAGARYVLQEGVLDDVSAIFGLHVDPRIQVGTVTSRPGPFLAASGRFLATITGKGGHAAGPHNAVDPILTASSAIVSLQQIVARETDPLEAAVISVTFMKGGDAYNVIPESVSFGGTFRSLTSEGLSYLKKRIKEIVEAHATVHRCTATVDFMEEERIPYPATVNDEGMYRHARAVAVDVLGEDGVKVGTPFMGGEDFAFYAQRFPAAFFMIGVGNETTMRKVYPLHSPHFVVDEDVLPVGAALHAAVAMEYLNKHASTATF >OGLUM07G08080.1 pep chromosome:ALNU02000000:7:7774822:7779760:-1 gene:OGLUM07G08080 transcript:OGLUM07G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGEGHVDGPRGARVGEGSDRFSPSPRSTDSKHYRHQGRDKEEKFRAKLDAIGVPYQWPVARTGSVGGDGPIIALREDMDALLVQVWFFICLLFSPCMTCGNFSHRIRVPLPPMLCRLPRSRAGHRRALQKGREESEKGTHLSSLCSLSLSPLLARRSLGWPTAEAELGRRAD >OGLUM07G08090.1 pep chromosome:ALNU02000000:7:7778024:7778224:1 gene:OGLUM07G08090 transcript:OGLUM07G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCGPRSPLLLLCPSCDGLLRCVVVLPCPSRTTGWLLGRAAIRPRHRRINRRLCLRVRRARHPRR >OGLUM07G08100.1 pep chromosome:ALNU02000000:7:7784672:7788715:-1 gene:OGLUM07G08100 transcript:OGLUM07G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHP4] MATAHVLVFPAPAQGHLNCFLHFATALLRAGLHVTFLHTHHNLRRLGAAAAAAAAISPRLRFLSVPDGLPDDDPRRVDGLPELMEGLRTTGSAAYRALLASLVVRAAAYGRASSPTAYCRSPSTSPRSSACRRSHSGRLIELGELPFPGRGGDDGLDERVRGVPGMESFLRRRDLPIQCRHLAATTTHGDPLLTSVVAATAHSRNARALLNTAISLEHPALTHLARHMRDVFAIGPLHAMSPAPAAATSLWRHDDGCMAWLDSQPNQSVVYVSLGSLTVISHEQFTELLSGLLAAVYPFLWVLRPDCEVSGRKLTMILWQLNKPLNTNMAGNNHNDALLRQALLDVAGAGASVVPWAPQRDVLRHRAVGCFLTHSGWNSTAEGVAEGVPMVCWPFFADQQINSRLVGAVWGNGVDMKDACERGVVERSVKEAMESGEIRRSACRLAEQVKRDTGDGDLSVLEFERLVGFIRELSKVDDEPLVEKCSLLPV >OGLUM07G08110.1 pep chromosome:ALNU02000000:7:7789535:7790206:1 gene:OGLUM07G08110 transcript:OGLUM07G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEGIDTTVEGIDAAATDPRDSTRARASLFSSRARERGEGGVTGVEEGSRLLSWSRGLIDNGLMNPSMGLWDMVLVRSFFLEAAISERRLVMLSQPCSSEWCGRTATGGSMKEVLAIVRPCGRVEPSLWATGGLAAGAPGAHGGGRWASTVRWRRRFYERNFASDGEGIRLG >OGLUM07G08120.1 pep chromosome:ALNU02000000:7:7791280:7794301:1 gene:OGLUM07G08120 transcript:OGLUM07G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRPVVLVFLLLVLVITSQFEWKQQIGDAAADPADARRRHQGLAKEDAVKEKIILSQEKNIQQLNELIESLQRQLLHCRGSNNTVHTTTVSHTEVSEVDGQESIDD >OGLUM07G08130.1 pep chromosome:ALNU02000000:7:7797828:7798445:-1 gene:OGLUM07G08130 transcript:OGLUM07G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDECCCTCSNNCRDGLIVCGIVFGTLLLAVLISAFGFVRQPTFVVDDASLTRFNLSAAASSIAYNLTLTLVVHNRNWAMSVKNTKPMDAEYKFDGQPFERIQLADKGDKLGPGKTVVHRLSSGSEGAIVPALGNAGAQEYRKESAKGTFEVEVAIAGEVRYTARLTKCKIEATCPLKLQLAPPGTTSVAFQKVKCKLTKPEKNC >OGLUM07G08140.1 pep chromosome:ALNU02000000:7:7818717:7819400:-1 gene:OGLUM07G08140 transcript:OGLUM07G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCCCECGCYECYDACCDRCCCGCVSYDTRETIFYCAVCLLLVAAVVLLAVLLAAYGFIRHVSITVESASLTRFNLSSPSEATALAYNLSLTLAVRNKNWAMSIKNTKDLEAGYSFDGQRFERVKLAGEGEKHPAGKTRVYHLDSGSDNAYAALGNAGVAEFKKENATGVFEVEVAVTGEVRYQAHYTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAAADKNC >OGLUM07G08150.1 pep chromosome:ALNU02000000:7:7828266:7830471:-1 gene:OGLUM07G08150 transcript:OGLUM07G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRARSLRVHAIWMQQRAQVELGRARVLCDAAIRMRQQAQMDIARARTVHLHALRMRLQEERANLVDDVRTTMDAETCDDNTVELTIMGEVLLIGADHLINSDNVCIHAGVCSTAAAAIIIVVAACAAAATAVAIIIVATSAAAAIIIAAAGGCVVDIFVATFFI >OGLUM07G08160.1 pep chromosome:ALNU02000000:7:7843600:7844241:-1 gene:OGLUM07G08160 transcript:OGLUM07G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFDDGCGECCSSWKDFCWCLLCIAILLAIALIVVLVVAFGFVVQPSITVDDASLTRLALAATPTTALAYNLSLALTFRNRNWAMSMKNVEPLEAAYRFDGQQFDRIQLADKGAKQGPKKTVVYRLSSGSDAAAAPGLGNAGVAEFKKENATGTFEVEVGVTGKVSYTARITKCKIEATCKLKLQLAPPGQEPAAVVFQKAKCKLAKAEKNC >OGLUM07G08170.1 pep chromosome:ALNU02000000:7:7862428:7862766:-1 gene:OGLUM07G08170 transcript:OGLUM07G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVAGKDLSEILAAGSEMLAFGGVGAAPAAAATAGGGAAAAGEKEKEEEKVEEKEEEEDDIVFSLFDDE >OGLUM07G08180.1 pep chromosome:ALNU02000000:7:7865148:7865546:1 gene:OGLUM07G08180 transcript:OGLUM07G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDSGLEKTQHRHLPAVESTAARRLHAVEAELGRSAPAREIEAAARDEEEAASPCGCAATNRNLTMARSVAHVATPSAPPSSPTNTTQVCAPAVASSDASPSPKTASSSMMVSAVEDAAARRLNVTGPELG >OGLUM07G08190.1 pep chromosome:ALNU02000000:7:7869086:7871140:-1 gene:OGLUM07G08190 transcript:OGLUM07G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHQ3] MPRGLKFLNFRKYGKFRTEISGLTEPSCRLFSSYFSATFPPILAVSSTIIAAAAAAAAAGGSPHPFSGQPVDLSVHPSFKMTTCKFITSFAPGRRSIGTQPPMAAPRSLSTRVISYPDRRSATPTPKAGLRKLCVPAVDLYKVKLVSPKGVEHEFDAPGDACILDSAETAGLELPYSCRAGDCSTCAGRIEDGVVDQPNGSYLDDAQRADGYVLTCVSYPRSNCVIHTHKGREV >OGLUM07G08200.1 pep chromosome:ALNU02000000:7:7870871:7871080:1 gene:OGLUM07G08200 transcript:OGLUM07G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTPASRTGRGETDGEVDRLAGERMRRAAGGSGGGGGGDDGRGDGEDGREGGAEIGTEQAAAWFRQP >OGLUM07G08210.1 pep chromosome:ALNU02000000:7:7893109:7897436:1 gene:OGLUM07G08210 transcript:OGLUM07G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTTATAHFLLVFLASTISHSVICSALGNETDQLSSLLEFKNAISLDPEQSLISWNSSNHLCSWEGVSCSSKNPPRVTAIDLSHQGLVGRISPSLGNLTFLRNLSLATNRFTGQIPASLGRLRRLRSLYLSNNTLQGTIPSFANCSELRALFLDGNELAGGLPGAGDLPVGIEALVLSSNRLAGTIPPSLGNVTTLRKIACMNNGVGGGIPGELAALRGMEVLAVDGNRLSGGFPVAVMNMSGLAVLGLSTNGFTGELPSGIGGFLPKLRQLTIGGNFFQGNIPSSLANASNLFKLGMSDNNFTGVVPASIGKLAKLTLLNLEMNQLHARSKQEWEFMDNLVNCTELQVLSLEKNQMEGQVPSSLGNFSVQLQYLYLGLNRLSGSFPSGIANLPNLIILALDDNWFTGSVPQWLGGLKTLQSLTVSYNNFTGYVPSSLSNLSHLMELFLESNQFIGNIPPSLGNLQFLTTIDISNNNLHGSVPEEIFRIPTIEQVWLRFNNLSGELPAEVGNAKQLMYLQLSSNMLSGDLPNTLGSCENLQHIELDHNNLSGGIPPSFGKLISLKFLNLSHNKLTGSIPMLLGDLQLLEQIDLSFNHLRGEVPTKGIFKNSSAIQIDGNLGFCGGALELHLPECPITPSNTTKRKPSVLAIVIPLASMVTLALVILVLFNCKGKQKKNSMSLPSFGSEFPKVSYRDLARATNGFSTSNLIGEGRYSSVYQGQSFQGITVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMPRGDLHKLLYSTPHDDRSSNLCSISLAQRLNIVVDVSDALAYLHHNHQGPIIHCDLKPSNILLDDSMTAHVGDFGLARFKIDSKTSLGNSVSTSSFAINGTIGYVAPECAIGGQVSTAADVYSFGVVLLEIFIRKRPTDDMFKDGLSIAKYADINIPDRLLQIVDPQLVQELSLNQEDPVATDENAAHCLLSVLNIGLCCTKSSPNERISMQEKTCCTPSTTSRLSRRQFSYIDGDHHNTWGSMLLDMEQRVLMEEIVTLLPVERAVATTRFVLGLLRTDMILHTGVACRDALEMRASKQLKEATHEDLLIPNTGNFVETLYDVDCMERSSSSSQFTNSSVYVHRR >OGLUM07G08220.1 pep chromosome:ALNU02000000:7:7905450:7910898:1 gene:OGLUM07G08220 transcript:OGLUM07G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHQ6] MAMDDGAAAVLREAHRLTGHTDRVWSLAWNPSPGAGAGSVLASCGGDKAVRIWKRAADGAWQCSDVLEDTHNRTVRSCAWSPDGKLLATASFDSTTAIWEYSGGDFECVATLEGHENEVKSVSWSASGSLLATCSRDKSVWIWEMQPGNEYECVSVQQGHTQDVKMVQWHPILDVLVSVSYDNSIRVWADDGDDEWHCVQTLTEANNCGHSSTVWALSFNQKGDRMVTCSDDHTLKIWDTSADLSQPKTSDNQESWRHLSTLTGYHNRTIFSAHWSSEDIIASGAGDDAICLFAEDKSSMVEGPSYRLILKKEKAHDMDINCVRWCPQDPRMLASASDDGTVKLWELRGNALD >OGLUM07G08230.1 pep chromosome:ALNU02000000:7:7911987:7912591:1 gene:OGLUM07G08230 transcript:OGLUM07G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQVTAAPLVVTDGSEQTPSRTLTDAFHLSKADLEWFCVEVVAVLVVVITRADNAEGGGVRLGCGTGIDGDNVRGLAGDRPGCESHGAASSVGASVAGQAPQTASTTALTFSFPASTTLSPWWSPPSPRPRATSLPSTSPRCSPRAEAARQGAAHEAAKLQPVAAHPLQLSTYCGDISRHPP >OGLUM07G08240.1 pep chromosome:ALNU02000000:7:7913932:7929535:-1 gene:OGLUM07G08240 transcript:OGLUM07G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTALNGDNHNAQRNRFPFSLATTIPIPKWAMFAEENFNRSKSPTKDLVKTIRKIKSREAGLALFRYEYSTPPVGEAKGPLIPAAPTTQIGQQPKPHWASETNYPLDTVLSGFDLILHTWH >OGLUM07G08250.1 pep chromosome:ALNU02000000:7:7920975:7926916:1 gene:OGLUM07G08250 transcript:OGLUM07G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHQ9] MEASAGLVAGSHNRNELVVIRRDGGGGGGVGGRRAAEAKAACQICGDDVGEGPDGEPFVACNECAFPVCRNCYDYERREGSQACPQCKTRFKRLKGCPRVAGDEEEDGVDDLEGEFGLDGREDDPQYIAESMLRANMSYGRGGDLQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSYMGGGGGGGKRIHPLPFADPSVPVQPRSMDPSKDLAAYGYGSVAWKERMEGWKQKQERMQQLRSEGGGDWDGDGDADLPLMDEARQPLSRKVPISSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPSKEPPLVTANTVLSILSVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKRDYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGRDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAIREAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCCCCCCGNRHTKKKTTKPKPEKKKRLFFKKAENQSPAYALGEIEEGAPGAETDKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVLAGVDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNNGPLLEECGLDCN >OGLUM07G08260.1 pep chromosome:ALNU02000000:7:7940233:7940988:-1 gene:OGLUM07G08260 transcript:OGLUM07G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISHRARPRASRECRHHRDRRGDRPTSSPRVWRGSGGCHVRPGPGPCRAAAAGRAWRQPATGPDIDEVQPPPGAEEVPARPCASSILLCVPLSVGCFGSVARLVLAVELASICSVELARWNSKHQKYAKTNTEFRYIKVLAQPPPGPLPTRGGAAAWRLSGLARRRLPASSRRWPDTGKGRRSDEAATRSPPHSRRRGGLAPLQTGVGRPRCEHARLGRDWSRRRLAGRVGAWGENGVLQVGQCSGPLD >OGLUM07G08270.1 pep chromosome:ALNU02000000:7:7946848:7947786:1 gene:OGLUM07G08270 transcript:OGLUM07G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAGSVTRRPPPVLLACRSRPNNRRLIRLLPLLFAVVVLLALLPPCVHGARALNDAKEAKVAEVGDQTTTTTHAAAAAVARWSVTVREGGGGGGHGSGHAGAGHGHGSGHGRPEPAEHHTGRRSAAAGSVRPPMAASCAALLVAAVVALLLF >OGLUM07G08280.1 pep chromosome:ALNU02000000:7:7947967:7948434:1 gene:OGLUM07G08280 transcript:OGLUM07G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVRKQWLLLLVFFLLASSCVLAAGAEEKKTASTAAELAVVVRSSHGDHHGRHGRGGRKHKGKKSGAAASDRRPGGSTAIACCGVASPLLPIGCFLSSSMYLAQW >OGLUM07G08290.1 pep chromosome:ALNU02000000:7:7950471:7953501:1 gene:OGLUM07G08290 transcript:OGLUM07G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAKRSCLAISLILLLLLVPSIHGARHVAAAIKGTGADSEMVVTERTAGGGGGHGRGYTSHRSHNPNNPNDGGSGTPVVDPHNVATRGHHHRGAATRTAAGGDPRLAACMLRLGATFFLLVLG >OGLUM07G08300.1 pep chromosome:ALNU02000000:7:7960547:7961201:1 gene:OGLUM07G08300 transcript:OGLUM07G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKSIPNGGRITRHIIPTHCDGLVAFATYGRAMLVCNPATQELVVLPPGHGSGPCPRSTESTAAVGFDPWRNRYVVVRCFYCKSHNDPPVYNIGHEIFTLDIGAGDGWQRMQDPSRAISPGGRRRRLHAWGFLLLAFDAVPSSLGCTAYDNDDRLSDLVGELCYVHRVRTSMATHEVWMAAAVDDDDQEWWLRYRVDL >OGLUM07G08310.1 pep chromosome:ALNU02000000:7:7961210:7961870:1 gene:OGLUM07G08310 transcript:OGLUM07G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGNGQRWFYNFGATAAGNDGVEEEATLVAMLYKELWWHRERSKPVVKDVNMLGSRPG >OGLUM07G08320.1 pep chromosome:ALNU02000000:7:7965315:7965500:-1 gene:OGLUM07G08320 transcript:OGLUM07G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGSGLRLRRAAVQAMEELDAGRLQLVVAAAVVASGSKRTHLQMGALSSAGWGDQGGAAA >OGLUM07G08330.1 pep chromosome:ALNU02000000:7:7977853:8112891:-1 gene:OGLUM07G08330 transcript:OGLUM07G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESWPAAAVEASLPSGSGAGQRRGRMGRPAAAPASRGGDAQQQEEAVPSVMTPQRLSMQHGHFSWAVARWRMAAARQRRGRLVAGAMPGLAEGGDLLGGGKALGTDRKVDAAGIDIDGDLETNNHIAIRKSLSVNPLKKTTFQLPNVYCIQSENNT >OGLUM07G08340.1 pep chromosome:ALNU02000000:7:8018718:8020082:1 gene:OGLUM07G08340 transcript:OGLUM07G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAHGKVVKPPCVRYRVMAHGSVDLIIMSIAQPTRYVCSRTLQILVVQVEEERHLPHLSPLLLKRLKSYDFVPLLGLHTSKHWEVGRWSS >OGLUM07G08350.1 pep chromosome:ALNU02000000:7:8115032:8126347:-1 gene:OGLUM07G08350 transcript:OGLUM07G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINASERANVYERNTRRNAKIYPYRGGKTRDCVFGAEQSGLKLHYQTLSFPMYHSCCRRIPRPFACRVVPAPVARDKTLAQFLSDSSDVFCRHNPLEKVVEAFGKLWVGNAM >OGLUM07G08360.1 pep chromosome:ALNU02000000:7:8131997:8132524:-1 gene:OGLUM07G08360 transcript:OGLUM07G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVFVNNPVYNRMVTS >OGLUM07G08370.1 pep chromosome:ALNU02000000:7:8142090:8144990:-1 gene:OGLUM07G08370 transcript:OGLUM07G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFRERLIISLSYQRINPISFPVRLFQSLQYLSPAASPWTAAGSPGTDPCSLGRPYPVWQFLSPSLLSPESQIQSAHAACASQQGVSTLDLFGSRGRRHSQQLAIVPQAANVLWLLQPQQLGFPNTPIVAGRYLDIKLSFFGFVEVANISEGLLVDFV >OGLUM07G08380.1 pep chromosome:ALNU02000000:7:8146401:8149364:1 gene:OGLUM07G08380 transcript:OGLUM07G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLEDSKATVPPPPSRLLPIPAAPSPHHRAPGLCPLCPCCLLYFDRIPALNVRSGRKTQASGRCYRKGTSKINHWWYLTKHLLSRFLNSGIIVKLWKKKRGYS >OGLUM07G08380.2 pep chromosome:ALNU02000000:7:8146401:8149364:1 gene:OGLUM07G08380 transcript:OGLUM07G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLEDSKATVPPPPSRLLPIPAAPSPHHRAPGLCPLCPCCLLYFDRIPALNVRSGRKTQASGRCYRKGTSKMKKKRGYS >OGLUM07G08390.1 pep chromosome:ALNU02000000:7:8170930:8173565:1 gene:OGLUM07G08390 transcript:OGLUM07G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLIPPPLADSDGASPLPEWVLIDIWCYIGDLRNATTAESTTSTGLPIKVTFRTSRPPLLSHLCVHCPGHDLLRVTPKVIASHTDLLLLLVPFDPITALSSGTWDYFVYRAADPPLLHLILPRRAPCASTTPSHGDGEYAVAALAFASTFPSVNKDFHLHLYHGGKQQGEWASKLLTLEDQLRDKLVPLPKAAAEYRFYQETRKTIVIGGERGTVGWVDLWRGIIFCDVLDDHPVLRDMPLPLPASGNWDRLLKQTDPNYIRDVTVSLCRDSIKYIELEIVETGETHTTVQPTESYQEWVRRKPRYTSSVVLRRGWKATIWTMPIPVASWEHWRRDCHLNVKDLGINVRDPSHLKLLSKLSGCGHSKAALRSVPMVFPTISMDDDHVYFFSIAGSTDKLEAVVAVDMG >OGLUM07G08400.1 pep chromosome:ALNU02000000:7:8189324:8192804:1 gene:OGLUM07G08400 transcript:OGLUM07G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAASPGGGRRKGTGGEAPRLEKRPRESEDLESESGSDGGTGSDSDGDFVSDLREIVCLLRLIKGGADKEGQKMCEQIIASIAADIQTMLEDTKLKFEKQRQNLLKVLSNTSKEQCDSSLSKEFIKFQEQYEIFCKEKDVHVQAFRDLFSKVEVEKKALLEQFENHRKEETATLSELDNTFSEKITHVEQSLRKTEVDNTFSAKITHAEQSLRRTQFNNMFSGKKTHKEQSLRRKEFDKTFSEKVTHAEQPLRRMKQDDKSFIILRKSVGSFLEFGSDDDFDLDDD >OGLUM07G08410.1 pep chromosome:ALNU02000000:7:8192810:8197072:-1 gene:OGLUM07G08410 transcript:OGLUM07G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQPSQRPRTRARRGQRRPRPTPTPPPPPLDSGGSAWIGTCVDSSSRSIFCSKEMSASENQAAEASKGDDEKMDPPKMKIRIRLPPRKRLSNGLLKADMDGPDDRNNAQSSGVPVQTKSTIPSKQLTIPAAQCYASSISTSILWNEGNNNASSKTLPNEPNCDTSSDKLPEEASDSIPSKKLIIKAAQHYTSSISTGLCNEANNNTSSKTLTNESNCDTSSDKLPEEAIDNISSKVLTITAAQHQIDSISTGELCNEANNNTSSKILPNEPNCDISSDKQPEEANDTIPSKNLMITAGVCMDDVSNYSAKEGLCEDANDTIPSTNLMITAGVWGHDVSNYSAKEGLCEEANDIIPRKNLTIVDGVWEDDVSNYSTKEGLCEEANDTIPSKNLIITAGVWGDNVSNYSAKEGLCEETNDIIPRKNLTITAGVWGDDVSNYSAKEGLCEEANGNIPSNAHPATIKELPAKPLESTPSKNLTTIAILGEEENNNSLRLFHETDINIPSKVVLPKKSKNSQRRNLVTTAIKCEEANNDPLSRRFSEDANRNIPTRNLSDKTKNNAQSNRPTNPDRKNNPQKKLSTSAVHAAPARKNTSEIKMANSEMKPTTSFGQAAEQGINLANLKAIKQYQEFEEKVKRTVYLDYFSHQATESVIRTALNQFGTVREINFVVNYTIPFSIPQSALVIMETEKDAVAVVNMLNEFPFMMSGMPRPVRATRATAEMFNDRPRRPGNKLEFRWVGPSDADYHIVKKLKLMSRRHELDSLALVKHELEEEHFLAKHQEEILNCNQRKLEVMDSIMLTGKFTHLQHIYSVKVDEVFCNKWLVKSEEFT >OGLUM07G08420.1 pep chromosome:ALNU02000000:7:8210910:8211815:1 gene:OGLUM07G08420 transcript:OGLUM07G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNDDDMLHIDAIANGGGRTVDSGDALSHVGREIKAPGSHHWWRNGLYSRFVTPCSLGFPTGTTTFCSPLTYAPIYEPPFYFCNPSWRPTTSDQDHPQRRYRQHPRPPRSFYFLQIQCIEPNPECSRLPLHLLPFEPLTLRAMSNNYS >OGLUM07G08430.1 pep chromosome:ALNU02000000:7:8237820:8239589:1 gene:OGLUM07G08430 transcript:OGLUM07G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYHYRPVVYHHPHNQKPSGKNPGYFVSKHACAWLGCGFLSLALLHLLCCAPTATRQAAFSPLRQYINNTYSFVSTVPGGGKSCNYSEGSWVWAPGHGRRYNATNCNVKESHDCIRNGRPDTGYLDWRWQPAAAGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAFPHRLVYRGTGAYPDKYNYWRYAFPSHGVTVSFYWTPFLVRAEGKSVDDGLRHNYVHLDEPHGRWAADAGTIDVAVLAAGHWFLNGAVYYNGSAEVVGVHNAPPEFANHTLVGYAWPLRAAYRSAMERLLTSGRPRAKTLVLATFSPSHFEGKPAENPTSWVCTKTEPYREGEKEMAWVVKDTRGIVYDVVDAARARYGDGGGGGGGAVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGGVDEQKLVSDCLHFCLPGPVDTFNEILVQLLKRGR >OGLUM07G08440.1 pep chromosome:ALNU02000000:7:8241780:8241980:-1 gene:OGLUM07G08440 transcript:OGLUM07G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGVGVPFPSVGVVRSGGRGRARSGGRRSHLVKQFAQDRRPLQPPRQAKARRERRRDRGCQIRPL >OGLUM07G08450.1 pep chromosome:ALNU02000000:7:8275280:8275578:-1 gene:OGLUM07G08450 transcript:OGLUM07G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFEAKKTISSRRCVELLRSDAPKEDTTRNAIAARPKAGTRSVEDMKEHHDNASKKGNDAHERRRRRTGQRHGKAFASIFTSPTQHPTSTAIE >OGLUM07G08460.1 pep chromosome:ALNU02000000:7:8275588:8275794:-1 gene:OGLUM07G08460 transcript:OGLUM07G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLAIEEPLPSHGQHKNSLEETTTCHRCQASPHPISEQLRLHQQKQPKSVTPTTRNEADPRRRKPH >OGLUM07G08470.1 pep chromosome:ALNU02000000:7:8327411:8329209:-1 gene:OGLUM07G08470 transcript:OGLUM07G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCYVGDLPNVTTAGSTTSRVLPIHVTFRAARPPLLSHLCVHCQGLVFPRVTPKLIASHADLLLLAVPYDPLTTLSSWTWDYFIYHRAANVPPRLHRIPRPPRSMRFNESEVTIVSVGDDDEYVVAALATAGKFLSVNKDFHLDLYHSSSSHGGKQQQQGVWVSKLLTLENHLRDKLVPLPKAAAEYRFYQEMGKTIVIGGERGTVGWVDLWRGIIFCDVLDNEPVLRDMPLPLPVRSNWDRLLEQDAPNYICDVTKVVVI >OGLUM07G08480.1 pep chromosome:ALNU02000000:7:8336229:8338075:1 gene:OGLUM07G08480 transcript:OGLUM07G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCQAVLDPNNQKPSSKNAGYFLSKHTCAWLACGFVLLALLHLLCCAPAGTRPAAAFSPLLQYINNTYSFVSTVPGVGKSCNYSEGKWVWAPGHVLRYNATRCNVKATHDCIRNGRPDTGYLDWRWQPAAPGCTLPAFDAGSFLAAVRGKHVAFIGDSMARNQAQSLICLLTAAFPYRLQYRATGDPGKYNLWRYAFPSHAVTVSYYWAPFLVRAEGKSVDDSVPHNYVHLDEPGERWSADAATIDVAVLAAGHWLMNGAIYYNGSEVFGVHNAPEEFANRTKVGYAWPLRLAYRTAMERLVGASRGTPRDMVLATFSPSHFEGRPVQSPTACTRMEPYREGEKELEWVFREIRDVVYDVAAEARRGGGGGERTVRIEVLDVTKLASMRPDGHPGVYMNRDPFANGVDENMFSDCLHFCLPGPVDTFNEILVQLLKKRR >OGLUM07G08490.1 pep chromosome:ALNU02000000:7:8340686:8341229:-1 gene:OGLUM07G08490 transcript:OGLUM07G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYVRLVLTASLWILFSLAVGGATLHADESGGAGKLWSVVTVVFGWSGQPDYIDAQPAAPEEEWSMVVAAAVARECNFGSKFWTPRPHSKAAGGEIVPTEVKARCYVWE >OGLUM07G08500.1 pep chromosome:ALNU02000000:7:8343672:8347090:1 gene:OGLUM07G08500 transcript:OGLUM07G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLIPPPLADSDGASPPPDWVLIDIWCYIGDLPNATTAESTTSTGLPIKVTFRTARPPLLSHLCVHCPGLDFLRATPKVIASHADLLLLVSEDMAAWLDGLGER >OGLUM07G08510.1 pep chromosome:ALNU02000000:7:8357133:8362291:-1 gene:OGLUM07G08510 transcript:OGLUM07G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial+prohibitin+complex+protein+2 [Source:UniProtKB/TrEMBL;Acc:G8JBE5] MNIKGGGRVPVPPAGAGTLVKLVVLGGTAVYAAVNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQMVKIGLRVLTRPMPEKLPTIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMSSSANKVFLDSNDLLLNLQQLTVANKSKK >OGLUM07G08520.1 pep chromosome:ALNU02000000:7:8375805:8383558:-1 gene:OGLUM07G08520 transcript:OGLUM07G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEELRLKQEQREITWFSCVGKIADRGDQRYQILFWCYRSKMAGREGNEKSAKVQYEIDRDNHIKNNVALLKKMNLLKMVPKNMLEIAEPGSSQPMGMTDNANDQPELNPDEEMEVQADPDYIAENDEEIDDYDDVDDDAAENNTVAQETGTNLFLDGLLGRPASRAEVYVVTHTKRDGQALNKEYEEKIKSKQIAGIGLLAGAKTVSLAMATDAVRKMGEENAEVKARMEEISSEYKSYKAYAEERFARNDQRFAELKELLLMSLQGSAAGAEKQLEATHISQEHRSSSSSENRMQINETNRHTAGKGPQKQGQDASVPRGQKVQLSSSKNMSQIAESVWKLPQKKRQFDKELDSGSCTQQKDEAGQNAQVMKLAQKKQGEDVRDIALLSLTENKIVVHGRLRCKDKSAKYADGLPLGDFFEVLVDVLDNNILLPRVHGQISKLGAAVVQTNGSALNISKRARGTFACPSFSLVHW >OGLUM07G08520.2 pep chromosome:ALNU02000000:7:8375805:8383558:-1 gene:OGLUM07G08520 transcript:OGLUM07G08520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEELRLKQEQREITWFSCVGKIADRGDQRYQILFCYLGSKMAGREGNEKSAKVQYEIDRDNHIKNNVALLKKMNLLKMVPKNMLEIAEPGSSQPMGMTDNANDQPELNPDEEMEVQADPDYIAENDEEIDDYDDVDDDAAENNTVAQETGTNLFLDGLLGRPASRAEVYVVTHTKRDGQALNKEYEEKIKSKQIAGIGLLAGAKTVSLAMATDAVRKMGEENAEVKARMEEISSEYKSYKAYAEERFARNDQRFAELKELLLMSLQGSAAGAEKQLEATHISQEHRSSSSSENRMQINETNRHTAGKGPQKQGQDASVPRGQKVQLSSSKNMSQIAESVWKLPQKKRQFDKELDSGSCTQQKDEAGQNAQVMKLAQKKQGEDVRDIALLSLTENKIVVHGRLRCKDKSAKYADGLPLGDFFEVLVDVLDNNILLPRVHGQISKLGAAVVQTNGSALNISKRARGTFACPSFSLVHW >OGLUM07G08520.3 pep chromosome:ALNU02000000:7:8375805:8383558:-1 gene:OGLUM07G08520 transcript:OGLUM07G08520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEELRLKQEQREITWFSCVGKIADRGDQRYQILFCYLGSKMAGREGNEKSAKVQYEIDRDNHIKNNVALLKKMNLLKMVPKNMLEIAEPGSSQPMGMTDNANDQPELNPDEEMEVQADPDYIAENDEEIDDYDDVDDDAAENNTVAQETVDDGDLYDDIFPNKKKSKQIAGIGLLAGAKTVSLAMATDAVRKMGEENAEVKARMEEISSEYKSYKAYAEERFARNDQRFAELKELLLMSLQGSAAGAEKQLEATHISQEHRSSSSSENRMQINETNRHTAGKGPQKQGQDASVPRGQKVQLSSSKNMSQIAESVWKLPQKKRQFDKELDSGSCTQQKDEAGQNAQVMKLAQKKQGEDVRDIALLSLTENKIVVHGRLRCKDKSAKYADGLPLGDFFEVLVDVLDNNILLPRVHGQISKLGAAVVQTNGSALNISKRARGTFACPSFSLVHW >OGLUM07G08520.4 pep chromosome:ALNU02000000:7:8375805:8383558:-1 gene:OGLUM07G08520 transcript:OGLUM07G08520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEELRLKQEQREITWFSCVGKIADRGDQRYQILFWCYRSKMAGREGNEKSAKVQYEIDRDNHIKNNVALLKKMNLLKMVPKNMLEIAEPGSSQPMGMTDNANDQPELNPDEEMEVQADPDYIAENDEEIDDYDDVDDDAAENNTVAQETVDDGDLYDDIFPNKKKSKQIAGIGLLAGAKTVSLAMATDAVRKMGEENAEVKARMEEISSEYKSYKAYAEERFARNDQRFAELKELLLMSLQGSAAGAEKQLEATHISQEHRSSSSSENRMQINETNRHTAGKGPQKQGQDASVPRGQKVQLSSSKNMSQIAESVWKLPQKKRQFDKELDSGSCTQQKDEAGQNAQVMKLAQKKQGEDVRDIALLSLTENKIVVHGRLRCKDKSAKYADGLPLGDFFEVLVDVLDNNILLPRVHGQISKLGAAVVQTNGSALNISKRARGTFACPSFSLVHW >OGLUM07G08520.5 pep chromosome:ALNU02000000:7:8375805:8383558:-1 gene:OGLUM07G08520 transcript:OGLUM07G08520.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERAEDKLGAGFMIEEIRDTRFYSGSKMAGREGNEKSAKVQYEIDRDNHIKNNVALLKKMNLLKMVPKNMLEIAEPGSSQPMGMTDNANDQPELNPDEEMEVQADPDYIAENDEEIDDYDDVDDDAAENNTVAQETVDDGDLYDDIFPNKKKSKQIAGIGLLAGAKTVSLAMATDAVRKMGEENAEVKARMEEISSEYKSYKAYAEERFARNDQRFAELKELLLMSLQGSAAGAEKQLEATHISQEHRSSSSSENRMQINETNRHTAGKGPQKQGQDASVPRGQKVQLSSSKNMSQIAESVWKLPQKKRQFDKELDSGSCTQQKDEAGQNAQVMKLAQKKQGEDVRDIALLSLTENKIVVHGRLRCKDKSAKYADGLPLGDFFEVLVDVLDNNILLPRVHGQISKLGAAVVQTNGSALNISKRARGTFACPSFSLVHW >OGLUM07G08530.1 pep chromosome:ALNU02000000:7:8384304:8386322:1 gene:OGLUM07G08530 transcript:OGLUM07G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAFFLLRLISLHLALALCSGSGSDFQFAYHGFTGTNLTLDGNATVKPDGILVLTSRKTNLKGHAFFPTPLQFRTSPNGTARSFSAAFVFAIVSDYTNFSAHSMAFIMSPTKNFTTALPAGYLALLNFQNNGNTTNHLFANLTLFSREAMQVWVDYDGNTGQIDVALAPIKVAKPRKPLVSAKYDLSTVLTKWAYIGFSSATGEINSRHYLLGWSFAMNGPAPPINIANLPKLPRFGPKPPSKVLVIVLPIATATVILSLGTIATLLVLRHLRYDQLLEDWELEFGPHRFSYKDLYHATNGFKSKHLLGTGGFGQVYKGVLRKSKLEVAVKKVSHESRQGMKEFISEVVTIGCLPNRNLVQLHGYCRRKSELLLVYEYMPNGSLDKYLYCQEDKPLLDWSQRFHIIRGVASGLLYIHEKWEKVVIHHNIKASNVLIDRQMNGCLGDFGLSRLYDHGTDPQTTHVVGTMGYLAPELIRTGKASKLTDVFVFGAFLLEITCGQRPVNDYSGRYNQEMLVDWVRDHFNKGSLNETVDLRLQGDCNTDEACRVLKLGLLCSHPSANLRPGMRQVMQYLDGDTPLPDLTSTNMSFSTMALMQNEGFDSYPMSYPSSAGTIGTVSFLSGGR >OGLUM07G08540.1 pep chromosome:ALNU02000000:7:8401049:8402314:-1 gene:OGLUM07G08540 transcript:OGLUM07G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLPFLVHLFFLLCLGLSLTTRCAGDVQFIFSGFTGANLTLDGVAAVTAGGMLELTNGTLQRKGHAFYPAPVPLRGAAGPNATTTTAVESFSTSFVFGVMSDHVGLSAHGMAFVVAASRDFSSALPSGYLGLLNVTSDGDTGNRLLAVELDTMQNDEFRDINGNHVGIDINSLHSLRSYSAGYYNDDDNNNGFRNLTLISGEAMQVWVDYDRETTRIAVAKPKRPLVSARYNLSTLLKDVAYIGFSAATGGTLRSRHYVLGWSFGLGRPAPAIDITKLPKLPRTVSKDRSRILQITLPLATAAFLLTVGAAVFMLVQRNRRYSELLEDWEIEFGPHRFLYKDLFHATEGFKNSCILGIGGFGKVYRGVLPMSKSEIAVKRVSHGSRQGMKQFIAEIALVASNTATLCNYLAIVSAEVNFS >OGLUM07G08550.1 pep chromosome:ALNU02000000:7:8423253:8443033:1 gene:OGLUM07G08550 transcript:OGLUM07G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSGAASCDVSRTTTMTSASGRTMHPAAIHAPPLVPVSAQVRFSNMADSIQNGSGDITRFIYKDMFFTYPINLYELNILLKILVDEVGLEEVPDVIQNYHLCIVKNRLIDSDIIAKASQMKVIMQYGVGIEGVDVNAATEHKIKVARINGSTTGNAVSCAEMAIYLTLGILRKQKMMDTAVKRKDLGSPVGDTIFGKRVLILGFGAIGVEIAKRIRPFGVKILATKRNWSAETLPCDIDELVDKKGGPEDMYEFTGEADIVITCLLLSNETVGIVDHKFLSTMKKGSYLVNIARGHILDYDAVFDHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHTAGVTEYSFRAAAKVCTNSSKCP >OGLUM07G08560.1 pep chromosome:ALNU02000000:7:8437486:8441761:-1 gene:OGLUM07G08560 transcript:OGLUM07G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANSASKKRSIGAPSQQQVVLIQFHLLKVDLTLKTMIEDEDQCKFVQWVDPEWDSRVKKTLAGMWDMVDRGVKREASIQANMFKAFALKDRLEKEKNDELAHKNALLDMREAQLKELLYKSASEAKKMEEDNQENKTWFLIGFSSMLMIIVGLIAMLFGVYKM >OGLUM07G08570.1 pep chromosome:ALNU02000000:7:8470784:8471115:-1 gene:OGLUM07G08570 transcript:OGLUM07G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQGDKRNCNPQSSHTTYLQAISVVTASKSSTVYVSVKGNQPLTTYPISSQLKLTLFPT >OGLUM07G08580.1 pep chromosome:ALNU02000000:7:8477857:8479727:1 gene:OGLUM07G08580 transcript:OGLUM07G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08580.2 pep chromosome:ALNU02000000:7:8477857:8479199:1 gene:OGLUM07G08580 transcript:OGLUM07G08580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08590.1 pep chromosome:ALNU02000000:7:8491253:8496093:1 gene:OGLUM07G08590 transcript:OGLUM07G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08590.2 pep chromosome:ALNU02000000:7:8491253:8496093:1 gene:OGLUM07G08590 transcript:OGLUM07G08590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08590.3 pep chromosome:ALNU02000000:7:8491253:8494740:1 gene:OGLUM07G08590 transcript:OGLUM07G08590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08590.4 pep chromosome:ALNU02000000:7:8491253:8493461:1 gene:OGLUM07G08590 transcript:OGLUM07G08590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRERREQTTSPLRCFSPHRAPAMPYPRPLPPPLHSAPAQRAVLSTPCASAVLPAPAPPLPFQHHPAGSPSPPRAVAGSLLSGRRRYIVV >OGLUM07G08600.1 pep chromosome:ALNU02000000:7:8499661:8505026:1 gene:OGLUM07G08600 transcript:OGLUM07G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARLVSTTLARSSSLAAAARRPDLLASSPRGFSSMADSIQRSGSGDITRVDEVGLEEVPDVIQNYHLCVVKNRRLDSDTIAKASQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKVMDTAVKRKDLGIPVGDTIFGKSVLILGFGAIGVEIAKRLRPFGVKILATKRNWSSDTLPCDIDELVDKTGGPEDMYEFAGEADIVGIVDHKFLSAMKKGSYLVNIARGRLLDYDAVFNHLKSGHLGGLGIDVAWTEPYDPEDPILKFSNVIITPHIAGVTEYSYRTMAKVVGDVALKLHSGEPITEVEFVN >OGLUM07G08610.1 pep chromosome:ALNU02000000:7:8523869:8526191:1 gene:OGLUM07G08610 transcript:OGLUM07G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72030) TAIR;Acc:AT1G72030] MSPAATMAVLLRPSTLLPSSQSTTTSRLRFPVATPLPRCRHGGAGKKSRLLVARRRGRRDRVACLPTKEEEEEEEEVGVAGDGGEEDGDEDGYLAREGEWGVRRMVRVGEEMRRVALVQAEAFHVPVALFNDVFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEVDATSQISEAPFEKIVGVVDCTVQNEADILKNLQGVDEYLYVSGIAVLPSFRRRKVGTALLKACEALALQWRHRFMALRAYEDDDGARGLYSKAGYRVVAKDPGWVTWVGRRRRVLMIKELPIHEHHLEQQ >OGLUM07G08620.1 pep chromosome:ALNU02000000:7:8527762:8532729:1 gene:OGLUM07G08620 transcript:OGLUM07G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNENIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >OGLUM07G08620.2 pep chromosome:ALNU02000000:7:8527762:8532729:1 gene:OGLUM07G08620 transcript:OGLUM07G08620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNENIQNMNKLHSCQEKLNDHISKAKLLLEELHILEEDDEQQSGDLLDKAVSCASVMVLVHNMLKLDYTMQEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >OGLUM07G08620.3 pep chromosome:ALNU02000000:7:8527762:8532729:1 gene:OGLUM07G08620 transcript:OGLUM07G08620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAMANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNENIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >OGLUM07G08620.4 pep chromosome:ALNU02000000:7:8527762:8532729:1 gene:OGLUM07G08620 transcript:OGLUM07G08620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEATTSPQASVEDKANRVFLDFMTKVAQYDELVDAGKRALMMFHQELEHFRRPKLLTESGAISEIVKSNLSDRMRSYLEAGCTHHNENIQNMNKLHSCQEKLNDHISKAIWGFAGQSSVLCKCDGPGSQYEKIVKALCIKTTSSELEGYCQMWDLRPYIDDNVIQLAWQFVS >OGLUM07G08630.1 pep chromosome:ALNU02000000:7:8534216:8536413:1 gene:OGLUM07G08630 transcript:OGLUM07G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding METASSSASDPPPLRRRRQRQRRLVFDRRYGWIFDEWTDPADAALAGGRGMFCVLPMARSLVDVAVSSVTYAADSVSQALERCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >OGLUM07G08630.2 pep chromosome:ALNU02000000:7:8534216:8537025:1 gene:OGLUM07G08630 transcript:OGLUM07G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSAPHSLRFRFCVLPMARSLVDVAVSSVTYAADSVSQALERCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKSLQLLYNMDTSQSPVDFPCSMKKVMLASTFQQ >OGLUM07G08630.3 pep chromosome:ALNU02000000:7:8534216:8536413:1 gene:OGLUM07G08630 transcript:OGLUM07G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMSAPHSLRFRFCVLPMARSLVDVAVSSVTYAADSVSQALERCGTSSPIAYLPPLSLHRKQQTWFRELEHVGVIADTKLIPCRTMCSLGCISTDGH >OGLUM07G08630.4 pep chromosome:ALNU02000000:7:8534216:8537025:1 gene:OGLUM07G08630 transcript:OGLUM07G08630.4 gene_biotype:protein_coding transcript_biotype:protein_coding METASSSASDPPPLRRRRQRQRRLVFDRRYGWMLPMQQTQLVKLSSADRSLQLLYNMDTSQSPVDFPCSMKKVMLASTFQQ >OGLUM07G08640.1 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.10 pep chromosome:ALNU02000000:7:8579509:8581548:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.10 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MQLRREWSGHAAAVAIVHDLNPTGTRRKSGWRCRGSWLASRSSLMLIAAQHLPFVARRGKATSDGYGGGRGLMGEDGVTEQVGAGGGEDGVSESQFSQVLNVELNQIIKVMLNFAMQAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >OGLUM07G08640.2 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.3 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.4 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.5 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKRTSTGLLQDQSTKKAEADNHFQAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.6 pep chromosome:ALNU02000000:7:8576531:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVRLFEPVKIERWAIVNFSARCDMSRISRDLINWPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMHN >OGLUM07G08640.7 pep chromosome:ALNU02000000:7:8582059:8592951:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MSQGLCAGRRPPRTTPTPPSSPNAGAAPSPPRPTPPPISDPARCRAALLAQRRRRPFSSPPDAAPSPLVPGRRRACSSPTGADLLLPARSPTPAHLIQMSDNMAAKIGEIVQVHNDNPVKRVPIARPSFGREGKQIKLLSNHFTVKLSGIDAVSIKSEDDKVIDGKGIGRKVMDKVLQTYSSELAGKEFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAAGGSLGHGSPNQGDKKRSKCTHLAKKFVVGISYAAKIPLKSVALALRGSESDHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDFRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVFDFLLTNQNVRDIRDIDWPRAKKMLKNLRVKAIHNNMEFKISGLSDEPCSRQTFPMKVRNGSSEGETVEITVQEYFKSKQVDLTMPYLPCLDVGKPKRPNYVPIEVAGTNPLCHMVSLQRYTKALSSQQRATLVEKSRQKPQERMRVVTDAVKNNRYDDDPILSSCGIKIEKQLTRVDGRVLSAPTLVVGNSEDCIPNRGRWNYNNKVVRLLVMRLFEPVKIERWAIVNFSARCDMSRISRDLINCGRTKGIIIERPFTLVDEDSQSRRCTPVVRVESMFEKVKANLPGPPEFLLCVLPERKNCDLYGPWKKKNLHEMGIITQCIVPSVKMNDQYYTNVLLKINAKLGGMNSKLSLEHRHMIPIVNQTPTLILGMDVSHGSPGRADVPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLDDGKDDGIIRELLLDFYKTSQQRKPKQIIIFRPPEKAACAAAGGESGVGSRESGAGGEELGDSGEKLLRLPPQPGSLLHAFLLWKRWRGHGFLRRFLDCRVRCAVAAALRSTSGLRPSSSNVVASACATVARKAMATQHACKEVQ >OGLUM07G08640.8 pep chromosome:ALNU02000000:7:8577898:8581548:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MQLRREWSGHAAAVAIVHDLNPTGTRRKSGWRCRGSWLASRSSLMLIAAQHLPFVARRGKATSDGYGGGRGLMGEDGVTEQVGAGGGEDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFRI >OGLUM07G08640.9 pep chromosome:ALNU02000000:7:8579509:8581548:-1 gene:OGLUM07G08640 transcript:OGLUM07G08640.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute family protein [Source:Projected from Arabidopsis thaliana (AT2G32940) TAIR;Acc:AT2G32940] MQLRREWSGHAAAVAIVHDLNPTGTRRKSGWRCRGSWLASRSSLMLIAAQHLPFVARRGKATSDGYGGGRGLMGEDGVTEQVGAGGGEDGVSESQFSQVLNVELNQIIKAYQYMDQGPIPKFTVIIAQKNHHTKLFQENAPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLHDEIGFLPDDVQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFAETSSGSGGVPSSSGAVVPELPRLHADVCSSMFFC >OGLUM07G08650.1 pep chromosome:ALNU02000000:7:8581123:8581608:1 gene:OGLUM07G08650 transcript:OGLUM07G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQHPSSRPKWRHAPIPLTPVNLDEEWQQRRTPRHHGQTTGWPAADELHVSLPSSSSHLLSDPVLSHESAATSIPITRCLPPAGDKGQVLGGDEHQRGARGQPTTPAPPPTLPPGTRGVQVVDDSNGSGVPAPLTPQLHAAFSVSAIAPANFSADRHT >OGLUM07G08660.1 pep chromosome:ALNU02000000:7:8641277:8642090:1 gene:OGLUM07G08660 transcript:OGLUM07G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDCSRYLRLAPMYRIPEPLSASSSSPATAAAASYMTSSASSPARKLPSLAPAAAGEAVTPASSSSANRSRWPASPTNWSKLPPEDAPPGGTKVSLVAPGSPPRRGATVEKAFDRSSQTVTGSKGAQWSSSLDESEALRLRGGRRPSRGYYWGSTSGS >OGLUM07G08670.1 pep chromosome:ALNU02000000:7:8642150:8642401:1 gene:OGLUM07G08670 transcript:OGLUM07G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNINARVADRSNGLRGSGGAGPHRKQEERGPCRLRMVASRFWKSSSRPHDRRSPEPQSLTQAPKSPRSLEATVRLRSTTGC >OGLUM07G08680.1 pep chromosome:ALNU02000000:7:8653252:8654757:-1 gene:OGLUM07G08680 transcript:OGLUM07G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVILHLSPPQKKAIEDSGLGNLLKINKIHIYRDLCNEIARRYDKEKKAFNINGQTIITLGALREAIINSSSYDDHFIRRFILFSIGSFICPTTQRYVRSKYLNLVDDVDKMRELNWSSLTLNQLLKGILKFRENETNIEGNVVDDIRGTINCNEIPDEKAHDNDSETRSNEDFLCTSEEVYTMEF >OGLUM07G08690.1 pep chromosome:ALNU02000000:7:8662020:8663693:1 gene:OGLUM07G08690 transcript:OGLUM07G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRCCGGNGGQGIPGFALEYNPFELFVDLASPSSGYRLENLLSFSALSQDEAYCLLQNNLSDTFNILYTKEKLFPTILNFPPTHQNDENTQPYTSLVNSVQKSVHRPMLSTFKVFCAAMLRVAVFLNFVAIGLFHHCHRKAYNDKDVKVTYTLLCCTAVLEFYNPFTKVYANSLRTDVLHRSSILTTLCSWIKPCMPNMNGISKTSERPTETYQYMDDKIFQYNLFKYFIRNRKHSKMMNIAGFLGCKDYLDQQWRMNFCSSSRRITYLVLGHVKLWWRDHITDVSAYRKFNDIRGQWTVQFEGCFQQLGWSLEGAFDESVLLWHIATNFCYHHIRGSYDCEHAAMMCIHGSSYLNNRFPTWCEKCLHHKNAVQCQEMSNYMMYLLFVNPVMLMAGTRRNLFKDAYNQLKSIMKDNNTPLNENDLMQTIIAKMKQPLETSNERGFIDDAWSIAEELIKLEDTEKMWRVIEGVWVEMLCFSAARCRGYLHAKGLGTGVEFLTYVWLLLHYMGMETLAEKLARAELPNGARSSDSSTTHVGASSSKEQVAGASTSYAS >OGLUM07G08700.1 pep chromosome:ALNU02000000:7:8675276:8675557:1 gene:OGLUM07G08700 transcript:OGLUM07G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVVAYFAKVLALRVVRMWPHMFEISAPWELTDDAFNSDNDATSLRILNTITRAKTETSPGRAKAEEMFARERMCNGTVAAAGVRLPERRLW >OGLUM07G08710.1 pep chromosome:ALNU02000000:7:8675925:8678871:-1 gene:OGLUM07G08710 transcript:OGLUM07G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQRRDDDGRWRGSPHTPTLSTLTLVVEWSDMRLPHRKSSMGRGGGRGLRWEDRLPRLPMELVSRASLAKTESAVNTQELGAVD >OGLUM07G08720.1 pep chromosome:ALNU02000000:7:8694234:8700812:-1 gene:OGLUM07G08720 transcript:OGLUM07G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPRAVSLFLLSPEPTPPARPPPPPPSPGSTRPAVPFTTESRRRRPPPSAHISTATALLSRSKASSSRRHSPPPAVGPPASSIHHRRRRRPYSAAGDAPKICAVATSALLHHRRRPPPTPPRLSSSTAVDLLRRCGASY >OGLUM07G08730.1 pep chromosome:ALNU02000000:7:8701626:8702045:1 gene:OGLUM07G08730 transcript:OGLUM07G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVTSHLNGNPDSLDDQLRFVAAAADASVADGLAYYSTVTTRLLTHANSGTISSDDNVWSFAQSTALPPPSAPVFPSHMATGASRTWAPAATAFDLRDGVVDGKATWSDGGGVVHAWENAKWRHGETAPTGSGWKTR >OGLUM07G08740.1 pep chromosome:ALNU02000000:7:8747293:8747818:1 gene:OGLUM07G08740 transcript:OGLUM07G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPCGVRTYKPRPPAQNVSNSSVPKNIVPLRLEYLSSPSARDFARPPPAPISINLASRSILNSTFTFLYTSN >OGLUM07G08750.1 pep chromosome:ALNU02000000:7:8747994:8750693:1 gene:OGLUM07G08750 transcript:OGLUM07G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEYYVLNAATRSISRLPPCQTVPSGSAGLCFDARTGEYKVVRLFREKISGEPHTKCQATCCHLILLCDRRDIQIGQVTALSVIGHWSIASMSTEHVARDLMKPDFIRVIGSANSSGMSGKKNVIIATSNCKAIAYDPTSETLETILEIKGAPLRYQTTRSALGLISLFEDSLAPVCKTNEEIALSSPLAKVIKEALLRLPGDYPVQFKLVSKQWHRFIESGGFVRGYDMYNNRDRRPKIRLVGKGTGGSSGFSFANIEKLLQESPSKDTWLDAKVVCSKPCHGMNLISTELEDYLYNPCTGYRYVRGTRGALVYIPNRIPSDRFRHDHAFTTGNKNVGLRFDPLMQEHVIVELFYQWRNFKTCRYNITCSLFTCKSRHTHDFLQPPLPDGRILLNTGKKLGLYDPTKRVIENLYDLDEVLRVKQTDETLHVKDKEKTWQIQVHDGSQLKCQHSVRKFRIWLSPLEHDRFSYYEAAPASSRKNSACSNDTEIMPFVPILYEDSLASYPLAIKPRYYRIWFDFDKVMYVTNIVTGKLDSTKIFRSFPNAMFEIWY >OGLUM07G08760.1 pep chromosome:ALNU02000000:7:8775895:8776137:-1 gene:OGLUM07G08760 transcript:OGLUM07G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVRSIDPDVGPLVVKPGKTKPKVEVLLLAPHALMRIVVGASLFPRPPQHAAQLALGLCVILAAPPPLTVVGVTLPPH >OGLUM07G08770.1 pep chromosome:ALNU02000000:7:8775899:8776841:1 gene:OGLUM07G08770 transcript:OGLUM07G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGREREKGRQCSDGETASTAAAKRVPERRAPGEVGGWPRERGSWPERKVEELLFGYKESGCGGCYGVGKKGETGKMGSPEGGKADGARKLGGKL >OGLUM07G08780.1 pep chromosome:ALNU02000000:7:8829217:8832846:1 gene:OGLUM07G08780 transcript:OGLUM07G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHY7] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPAEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDEDDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGTRRRTRIKHFTGKCSDPSGLYETDPVGLA >OGLUM07G08780.2 pep chromosome:ALNU02000000:7:8829217:8833686:1 gene:OGLUM07G08780 transcript:OGLUM07G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHY7] MMSCPLLLGRALDSPSRSPAAPRRLPTPSRAAPRRQPPEGEERAAAAAAKMSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPAEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDEDDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGTRRRTRIPGKGAGQ >OGLUM07G08780.3 pep chromosome:ALNU02000000:7:8829217:8833686:1 gene:OGLUM07G08780 transcript:OGLUM07G08780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHY7] MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGIFYLYMKTAERAHLPNKLWERVKLPRNYEKAMEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKETQRHLRRMDKAEKAAQLEKNIESELKERLKKGVYGDIYNYPFKEFDTILEMEKDDVAPAEEEEEEEVEYVEGDDEMDDMEDIEDFGGLPDDEDDDDNDGETDEDDLSDEPVAKKPKGRGSDLRSNIGKKSKKLITEVERDDDMGTRRRTRIPGKGAGQ >OGLUM07G08790.1 pep chromosome:ALNU02000000:7:8833704:8836462:-1 gene:OGLUM07G08790 transcript:OGLUM07G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45100) TAIR;Acc:AT3G45100] MENVTGEGISDQIERFQTQLQMDERGRKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTGGLKVYYVPWKPFLMQNTLPTLFLTFPIVRTILIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPDRLSCDEIVIVVISRLVYRKGADLLVEVIPEVCRLFPKVRFIVGGDGPKRVRIEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPAPEDMVRAVRKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSPQTDLLERLPRYLRCGAWAGKLFCLVMIINYLLWCLLEYLQPTELIEEVPDIRPVHARLESVDDTCETQGKGT >OGLUM07G08800.1 pep chromosome:ALNU02000000:7:8836525:8838366:-1 gene:OGLUM07G08800 transcript:OGLUM07G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSHPLAAAAVEAPAPPFSAYPPPFLPSPVIFVVSGVRCHRAAILLHLRRLLLPAASTDYPLLRPPDLRSPRHRPPRGLRRPGRLRLTLDPHHET >OGLUM07G08810.1 pep chromosome:ALNU02000000:7:8839362:8844664:-1 gene:OGLUM07G08810 transcript:OGLUM07G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHZ1] MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEGTPPAQIGASRDYNVDMVPKFMMANGTLVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLFVQDYKENDPSTHKGYDLNKMTTKELISKYGLDDNTIDFIGHAVALHKEDNYLTEPAIDTLYAESVGRFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKIEFNDEGKVCGVTSEGETAKCKKVVCDPSYIPDKVRKVGKVFRAIAIMSHPIPNTADSHSVQIIIPQKQLGRKSDMQITLGLLVISIIVTKECSLVHARYVFCCSYSHNVASKGKFIAFVSAQAESENPAAELKPGIDLLGPVDELFIDTYDRFEPTNDPSSDNCFISTSYDATTHFESTVMDVLSIYTKITGKTVDLSVDLSAASAAEDDL >OGLUM07G08820.1 pep chromosome:ALNU02000000:7:8853128:8853641:1 gene:OGLUM07G08820 transcript:OGLUM07G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGTGASEGADPTSFGQGEVDVAVLGKEPTTSDGARAWRQGLPELGRRGGDREAAGNLGGGKMERGEGGGSVLYVGEGKPAMVRGGLAAASMEEEGRKERERNGQRRKTTGGWAGRHRGPSTDGGRRCRWAGEERRPEGGKGGGDGPRGGGGPEEREAEAGRMKGGRN >OGLUM07G08830.1 pep chromosome:ALNU02000000:7:8870175:8872104:1 gene:OGLUM07G08830 transcript:OGLUM07G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTMGSALYPLGEMRRSQRADGLAAVLAIGTANPPNCVTQEEFPDFYFRATNSDHLTALKDKFKRICQEMGVQRRYLHHTEEMLSAHPEFVDRDAPSLDARLDIAAEAVPELAARAAGRAIAEWGRPAADITHLVVTTNSGAHIPGVDFRLVPLLGLRPSVRRTMLHLNGCFAGCAALRLAKDLAENSRGARVLVVAAELTLMNFCGPDEGCFRTLLVQGLFGDGAAAVIVGADAERPLFEIVSAAQTIIPESDHALNMRFTERRLDGVLGRQVPGLIGDNVERCLLDMFGPLLGGGGGEWNNLFWAVHPGSSTIMDQVDAALGLEPGKLAASRRVLSDYGNMSGATVIFALDELRRQRKEAAAAGEWPEMGVMMAFGPGMTVDAMLLHATSHVN >OGLUM07G08840.1 pep chromosome:ALNU02000000:7:8889054:8889498:-1 gene:OGLUM07G08840 transcript:OGLUM07G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQHHSLRKSPCQGQLRGPLPTSSGPSPSLQRRQIQSPPCSRRQIWPPPSHDNKPQWREGGATFVAEMRGSDRLDRWLDYHRSVGFLKDYPVEALACLDGMRAAMEWVHT >OGLUM07G08850.1 pep chromosome:ALNU02000000:7:8890834:8893995:-1 gene:OGLUM07G08850 transcript:OGLUM07G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AHZ5] MSDDVIWHCIRHNHCSFMAKITTGIFFRNPYNATGICNRSSWPLANCRYATIRDHDGIFYLYMKTAERAHHPNKLWERVKLPRNYEKAIEVINKHLEFWPKLLVHKIKQRLTKMTQYRIRMRKLRLKVREKLMTMPRKQTQRDLRRMAKAEYAAQIEKTIERELKERLCGDDGMIYSYPFEDFITVLDMEKGDVDPEEDEEEEVEEYVEGDYMDDMEDMEDYEGLPGGDYGETNEDDLSDERIAKKPKVLGSDLRSNIGKKSKKPTEVELDEDIIYGYQAKDMKGVDQVVVNRFLVWMAYLPTDVAVFVLGQLAL >OGLUM07G08860.1 pep chromosome:ALNU02000000:7:8898681:8903073:-1 gene:OGLUM07G08860 transcript:OGLUM07G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWCGGFSKRDDARRSGGGANTGLILTYPQCSFGHHVKELWDEWEIQCLILVSFFLQVFLFLAASMRTRSASTILRFLLWLAYLSADSIAVFVLGHLAVHVNGPQHQLMLFWAPFLLVHLGGQENLTAFSKEDNDLWKRHLLNLVTQVVVAGYVISTSSWTDHRLQAAVVLMFLCGCLKYTGRTFCPILARPRSLRAASLHYLKFVLQEVQKGRVEEAKKYVKERFESTLDGKSSSKIIHTEAENIGYIESEVISVDTPRNDVKCILAAKDIPSMLKEFYDNPNRRRAYEIVGAQLVICHQQLYTKNILRRAFYLTIVPCHISFRSLLITLLVIVQYMFTPIALVLFMCAEKGGQLHNRADIIISYILLVGAIVLDVFAATMYVCPYLISKLPSERTKSIILWAVNSIRPLRGRKQRSQEVAQYSMITKYTMQDTAGLLSSVHKWISECSNTCGVELLDSTVTHISITEDLKELVLDKLLEFGKVKEDWNFASSRDICYYSEDSTTEVNKKKKVSRELSNYIMYLVFKCGVMLTVNSQLVHDRALREIGEKNYRQQDEQAKTSEKEAVKKFFEANEQEQGASMANEELKELDLWQSIEEALNYPVLPQACQVAAELFSIGNEAERWNLISEIWLEMLYYVAPRCGGAFHYEHLSTGGEFITHVLLLMRFLGPFLPIPTASAP >OGLUM07G08870.1 pep chromosome:ALNU02000000:7:8921931:8924128:-1 gene:OGLUM07G08870 transcript:OGLUM07G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFRAPFPFHRRTRRPSPLFSSPLLCSARSRPLRFASIVVVDPPPYVRHLAVTERKADKDHDDNNDGEGGGFFDKVKDFIQDIGEKIEDAVSFGKPTADVTGIHIPHISLEKVELIADVLITNPNPVPIPLVDIEYLIESEERKLMSGTIPDSGTIHAHGSETVKIPLLLIYDDIKSTYGDIKPGSIIPYKIRVVLHIDIPVIGRISIPLEKNGEIPVPYRPDVNVSKIKFEQFSFEEATATLHLNLDNKNDFDLGLNSMDYEVWLSNVSIASAEMKETTNIKKQEVTTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPICKEGGTTRLKKGDDDDDDDDQVFVS >OGLUM07G08880.1 pep chromosome:ALNU02000000:7:8928189:8932725:1 gene:OGLUM07G08880 transcript:OGLUM07G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATSREVFKYHPLPTPGVENSFKDEIQSKVLGTIGDVMNSFDPKSFPQHVEGALGTAGNIINSFESKLAEHKQFDFGGKTNFYGYDCADDGWGSAPLKADKPVNLRNLLGGLIAIISRGSKNSEIQPPKDTKSSVAFLGSGSDGETFLHASVYVPSAPPLLDEEALNYNVYRVVIEAEPPEWLPDSYANSCMQCAASFTVVTRGRHHCRFCGGIFCRTCSKGRCLLPAKFRERNPQRVCDACYDRLDPLQNLFINSISNATQTAKHDVMDWTSTRGWLNLPIGLTMEHEIYKAANSVRSYSQIARINPERSIPHAVLNGASGLAILTVVKAGALLTYKLGTGLVVARRSDGSWSPPSAIVSAGLGWGAQVGAELMDFIIVLRGLEAVRTFSSQMHFSVGAGLSAAAGPVGRVLEADLRAGDKGSGVCYTYSCSKGAFIGVSLEGNFVATRRDANLRFYGDPYLTTSDILMGDMQRPNAAKFLYTALDGLYSGLSR >OGLUM07G08890.1 pep chromosome:ALNU02000000:7:8941309:8944059:1 gene:OGLUM07G08890 transcript:OGLUM07G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASKKSVMTKEEQQLSPAASPAAAVMTAEADAINEEQDKAAAATTADHTAPPPPPPPAAAAVADHAGEMDMASGSGVAHLAPPAVAPAPRSSLAMAVSGLADHVLERMVKVLMRKCHPPQALYPLIGKSPLRPPWWPTGREQWWPELGAGAVVPPYRPAPLLSKAEKEVAVVAMVKNLVPDFERLFMAVRMAPSVTSRITDAEARAWDDGVAGERETYMARHPHRTTPTRAWKLMDSLKPEAVRMKLKAPKPKPQVTIKVEDAAPFLTVSAAADPAAVEAAMGAIDAMRNSSKDPDAPYYPMPSPLHGHNEVGPNDYPENPAIWKEFNRKEGQLDLLRVGKKNDRMAISDRVDGGASGSGPRKGYLVMKTYKKAQEYYRELRNKGAMASGAGVKIEDDSETESDNEDEKAKAKAKARAVYQQNKGVKTEDQSETESDNEDEQAKVMAKAKARVIPRPNKGI >OGLUM07G08900.1 pep chromosome:ALNU02000000:7:8948434:8951987:-1 gene:OGLUM07G08900 transcript:OGLUM07G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQPVKKYETGVEAPNSVSLLIVLIILFAPENPTIWKEFNRKESTRQLELLRVGIKNGGMVISDRVDGGASGVKTECESEAESDNEDEKAKAMVRAMLRTNKGVKTEDDSETESDNDDEKPKAMAMAKAIVIL >OGLUM07G08910.1 pep chromosome:ALNU02000000:7:8977149:8978972:1 gene:OGLUM07G08910 transcript:OGLUM07G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGADGLPPGLRFDPTDGELVSRFLLRRLQGKPLPLNGVILEADPLSVPPWKLLAEHGRGDEGFFFAQARAKNGKGSRQKRTVEGGGLWQGQRVCADGEKLLVPDGGGGGVEVEIVWRKYLLSFFAEGERGSSGWVMHEYAVTSPAELAASPIRLYRVRFSGHGKKRKREPQSGEDGVGRARAAPQSAGTETALLEERVMPPQPAPQSVGTEDALVEERIPPPQPVPIPPIAGTEDALDVGTEDVRGRAAPQSAGTESALLEECVLPPQTAPQITGTGVALLDEVVPPPQTVSISPPAALVDAVDDADCANQGCSGVMDDSTMVFSHLPDMITLPAEEGDAAGGAALASMDYSWADFEYPEINMDELPSCIDFTTTDPSCLDIELSMGNLHEPQSTGIESDLLEEFVPQPQPVLVPPLAALVEVADSSEGPDQGCSVVMHDSSAVFTHLSDPIVLPEEEEADRPDAPAGTMSLDYQNYSLSDFEFPEYPLLDVAGDADGADQCSSNVMDDSSMVFSHLEDLITLPAEEAEADACSAAPAPSLDNQKYSSQGIIDSEAPALSDFEFPETIDEVLNSINFTMADPSCLDMEFSMDDLLDFDPPAD >OGLUM07G08920.1 pep chromosome:ALNU02000000:7:8980772:8981551:-1 gene:OGLUM07G08920 transcript:OGLUM07G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPGWSQGVMLTPRYGSCVATERSSYYVLTPATGAVYNLPVNPAEEHVYHVQLITCTDLTLICIWACCFHGRVQGDPYLQT >OGLUM07G08930.1 pep chromosome:ALNU02000000:7:9006698:9011440:1 gene:OGLUM07G08930 transcript:OGLUM07G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRPGAGRPLPTSMPPDNLHPCRPPLHRVQLLRYLHARGEMTPTAEVDAHPPSFCCAKFHAAIAEATQASLNAFSGCSYCVLRMVPFHYSEEVFQISYHGGSLVCPWATHQLFDEIHDRGNLWIERVTGSSFEVGLDDLKLSGNNDQILQKKALEDSIIGTY >OGLUM07G08930.2 pep chromosome:ALNU02000000:7:9010663:9011658:1 gene:OGLUM07G08930 transcript:OGLUM07G08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLMVASSGDMFMPAKKWAKGICEKNTNRSSTSSNGFSKHKNTSISVFKVQRVLHEMRYYEETIMILNLMPDLVLKLVYSATANTSRYRDFDKANLFIKPK >OGLUM07G08940.1 pep chromosome:ALNU02000000:7:9007734:9010697:-1 gene:OGLUM07G08940 transcript:OGLUM07G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDDATIRPAIASDAAHLALGVRIWTSGIHELEECTSIMYFIKQLVCCPWAHQGTTVIADLEDLLTVMEGYHPQHTVAAAAEGLVADIEACLRGFSDGGMEFYIPIEPNF >OGLUM07G08950.1 pep chromosome:ALNU02000000:7:9012293:9020875:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWDKTRDLKLLPSQLRGSLQIRRTARKLIHERILAISGTPKVHVNQKNTGSYVTVDASLKEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEETEVLMMALIHEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.2 pep chromosome:ALNU02000000:7:9012293:9022389:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLLAGTRARHAPPVTLPVPLLRPPNNIAAVQLSRGAHESRRTRRKKNMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEYVTVDASLKEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEETEVLMMALIHEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.3 pep chromosome:ALNU02000000:7:9012293:9022389:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLLAGTRARHAPPVTLPVPLLRPPNNIAAVQLSRGAHESRRTRRKKNMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.4 pep chromosome:ALNU02000000:7:9012293:9022389:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLLAGTRARHAPPVTLPVPLLRPPNNIAAVQLSRGAHESRRTRRKKNMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEETEVLMMALIHEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.5 pep chromosome:ALNU02000000:7:9012293:9020875:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWDKTRDLKLLPSQLRGSLQIRRTARKLIHERILAISGTPKVHVNQKNTGSEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEETEVLMMALIHEEPGENLSDFDNDDEEAMGEKDSKHDAEEETDNSFVVPNDYLSEDEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.6 pep chromosome:ALNU02000000:7:9012293:9020875:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWDKTRDLKLLPSQLRGSLQIRRTARKLIHERILAISGTPKVHVNQKNTGSEKELKHIKEKAEKEAKRAEREKAEQKKRSKKHQEEVEREQKRRERQQAELKRQASIQKQANFMQHFLRGKKGGNMESLGNHHSMRSPHPNVFSKIEDSSATSAMDCTLSEENQLRSDEIWKLQIARWRKLYHQKELCRWGDRKNPKIELFKELKLQKCPATAPSEYVSTPSKEQSSQMEHQGSLNFSKLLDQSYDENADTSKTTNANTSSSVWLVKKLLQFDKSHRPAYYGTWTKKSSTVSARHPFKVDPLLDYDVDSDEEWEEGVQFEPLSGKLDDTCRLLSIPRVAIEELDVVLQQQKALHSFTEHALKKDRPLVIYNLDHGKAYLLDAEAITGILKVEQLCLQALCMKEYLGAPIIDVPVDFNFPIKDLEIGRLNKKGPSTPVASKSISGSDLPEFVKIISSCPYGIGKLVESLRVQFPCVPKLQLKNKIREIADFTNNRWQVKKDILDWCGLSLPPDRGIQQMQPDESGDSVQPSPQPGAKLEIHKHQIDA >OGLUM07G08950.7 pep chromosome:ALNU02000000:7:9020912:9022389:-1 gene:OGLUM07G08950 transcript:OGLUM07G08950.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQWKLLAGTRARHAPPVTLPVPLLRPPNNIAAVQLSRGAHESRRTRRKKNMDGDEAKESAMDGLASETASRIPDVSKKQPKRKRTLVDEEVASAGLQGEIDALFDYYKEVSGYQLKPEEIGCSTNDSIVACLLEESSLPYDKLVDEIYRRMELRDGVTKSFISSAVNNIGQRMSYGISDIHDQVLVDESKSKLWCWEVMNEDSYPHKKKERKRMTIHMFLFIT >OGLUM07G08960.1 pep chromosome:ALNU02000000:7:9026910:9030311:-1 gene:OGLUM07G08960 transcript:OGLUM07G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTIGDDELAALRSMLRRVHAALRAAESLSVTDHSVRLWLAELGDLEYRAEDECRRAAQLEDLKIDLLRAAAPATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGVARPAAGALVPSSSLPRCQIHSRERDLQRVVEMVCHSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAPLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTSIGQQIAKKCRGVPLAAEAAGTAMNTSITRKLDTCQEPCAASTQGELRPFADDIERCFAFCSLFPKSFVFDKDALVQLWTAQGFVDAGGERQPEDVGTGYFYDLVARSFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQQIVSGNECRTIQQTNLNRADKTSARHSSIVNNESHPEQELSLDSFCGQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIGEVPKSIGSLIHLRYLGLDNTRIQVLPESVGALFHLQTIKLNHCSSLTQLPHGIKLLQNLRCSEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDETLHDLQPNEANRVPYCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWVGSLPLDRLASIELKYCQNCEETAATWSLPSVQLVGPEFLGDVGDIPYNNRKKASFAFPALDSVHFPELKYLSIVRCGKLKVLPNFISGPKQRIRNCEKLLQPLCQNIHWNLMEYIPPSSELSYTCMAEGDISILEASCSYST >OGLUM07G08960.2 pep chromosome:ALNU02000000:7:9025628:9030311:-1 gene:OGLUM07G08960 transcript:OGLUM07G08960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPITHALRDALFQFAVKSRKLASPMLRALGRASTGPVTIGDDELAALRSMLRRVHAALRAAESLSVTDHSVRLWLAELGDLEYRAEDECRRAAQLEDLKIDLLRAAAPATGKRKREVAQLFAAAPAARLRRKIDDIWARYEEIASDRKKLRLRPGDGVARPAAGALVPSSSLPRCQIHSRERDLQRVVEMVCHSQPDGRRNYAVVAIVGMAGVGKTSLMQHVCGEEAVASRFDLALWVWVSQEFDVVGVTAKIVEAITRSRPDCSELSALHGTMVEHLTGKRCLLVLDDVWDDNPNHWDTITAPLSFCAPGSTVVVTTRSRMVAKMVTPNVYHLGCLSDEHCWLVCQRRASHGCTTATIDDELTSIGQQIAKKCRGVPLAAEAAGTAMNTSITRKLDTCQEPCAASTQGELRPFADDIERCFAFCSLFPKSFVFDKDALVQLWTAQGFVDAGGERQPEDVGTGYFYDLVARSFFQPSPSHGIDQEKFVMHDLYQELAQFVSGNECRMIQQIVSGNECRTIQQTNLNRADKTSARHSSIVNNESHPEQELSLDSFCGQDLRTFLFLSRLEQIIHGEMPLRRKIAPYGLMTDFECLRVLDLSNTDIGEVPKSIGSLIHLRYLGLDNTRIQVLPESVGALFHLQTIKLNHCSSLTQLPHGIKLLQNLRCSEIAHSNVQMPSGIRALTSLQKLPVFVVGDGSAGCGIGELDELINIRGDLHIIGLSNLDAAQAANVNLWKKEGLQKLTLEWCDILQNSDETLHDLQPNEANRVPYCRCVPQQNDRAAQVLQCLRPNSNLEELIIKGYNGSSFPSWDQSRESGIVRNYSSLYARSIEPELASFPGLTIWEVQSREIIKGAKGNTQLCKVTAT >OGLUM07G08970.1 pep chromosome:ALNU02000000:7:9041322:9045641:-1 gene:OGLUM07G08970 transcript:OGLUM07G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGSSSREGEQQEPANERLIATGGGGGGGSPVSDQELSDGEEVSDGEYQAGDDFSGYAVRGRGFVEKEHMFDKVVTPSDVGNLGRLVIPWQHAECYFPRDVPANEREGVVLRFEDDAGKPWRFLYRGSSLTLGWSHFFRKNRLDAGDMVSFYRGASEDTRDRLFIHSKRRMHILPTLGYSDPQVHINRLFQLLVRVRTMVSSFGKSGSPSPPNSPLPTVQNFTYKALKDCLQDLHYYSLLSMMGRNHKTTSHCILLCHGVLGIMGFLCSDENMKEDHRMLQVPAFDDLNYSAQDKITRMKEQTMPSSLADPIYLLPTAIRNLLYLDLSNCSDIVQLPPSLGSSLHMLSALNLSCCYSLRALSDSLVCLYDLQILLLSFCHNLQNLPVSFGDLSNLRLLDLSGCRSLRLFPSSFVNLGSLENLNLSDCIRLMGIPQNFEDLQKLEYLNFAGCYRVDLPVYCLTNLVNLKCLTLSNHTDIKDFPYSFTDLKRHLYLSRWWKYNRVHTQCNLKRLLEKIIEFTTCAYCYDAPSSILEETVREELNGKRFLLVLNDADIENQCFWTDVWKVSNVGAAGSALIVTTRSKEVASLFGAMKPYYMNPLSKEECFMVFQEHAGCGFDINNDHELTKVGWKIVEKCGGNLLCMKALSGLLWHSETALSEIDSLVGGIVPALRLCYDLLPSHLKQCFKFCSLFPKDYVFVKHHIIQLWISQGFVYPEEDSQPEDTGLQYFNEFLCRSFFQHCPFSNDHEDKFVMHELFHDLARSVSKDESFSSEEPFFSLPENICRLSLVISDSNTVVLTKEHRHLQSLMVVRRSATEYSSSFVPLLKILGLNDLLMKCGFLRALNLSCTTIVDLPGSIGRMKHLRFLAMNNTKIKSLPTEIGQLNTLQTLELKDCCCLIELPESTKNLMKMRYLDVQKEPGNIHVGMPSGLGQLTDLQTLTVFNIGDDLSHCSIRDLKNLSGLSGHVHITGLQNITAGDDAKEANLVGKQFLQALTLEWCCSSEEMEDESDKEIANQVLQNLQPNTSIQELAIQNYPGNSFPNWIKDSGLCMLVSITIDNSQDCNEIPYLGDLPCLKFLFIQKMYAVENFGQRSNSLTTDGKHAPGFPSLEILNLWEMYSLQFWNGTRYGDFPQLRGLSISRCPKLSNLPPLISLLYLSFHCGDQLPALSEFPSLKSLKIEGFQKLKSVSFCPEMPMLQKLEISDCKELVSIDAPLLSVSNLKVVRCPKLHFGGSWLEDCLMWEEFKR >OGLUM07G08980.1 pep chromosome:ALNU02000000:7:9050727:9052796:1 gene:OGLUM07G08980 transcript:OGLUM07G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRALGRASTGPVTVGDDELAALRSMLRRVHAALRDAERLSVADHSARLWLVELGDLEYRAEDVFEELEYECRRAAQLEDLKIDLLRAAGAAPTTGKRKREVAQLFAAAPAARLRRKIDDIWARYGEIASDRKRLRLRPGDGAARRPAAGALVPSSSLPRGEIHGRERDLQRVTDLVYRCKPDGGRNYTVGAIVGMAGVGKTSLAQHVCSEEAVASQFDLNLWAWVSQEFDVVGMTAKIVEAITRARPDCSELSALHGTMVEHLAGKRCLLVLDDVWDDNPIHWDTITAPLSCCAPGSTVVITTRSKMVAKMVTPNVYHLDCLSDEHSWYMCRRRASRGGATIDDELASIGQQIAKKCRALPLAAEAAGTTMNTSVTREHWNNVLESNLWADNDEAKNNVLPALKVSYDHLPAPLKRCFAFCSLFPKSFVFDKDALVQLWTAQGFIKTRGECRPEDVGAGYFYDLVARCFFQLSPSHGIGKGKYVMHDLYQELAQFVSGHECRMIHQLNLTGANKTTRHLSIVHDETNSDKELLLKSFCSHDLRTFLFLARMEQVIRGEMPCRRKIVPCGLVTDFECLRVLDLSNTDIVEVPKSIGSLIHLRYLGLDNTGIQMLPESVGALFHLQTIKLNHCSSLTQLPQGIKLLLNLRCLEIAHSNVQMPSGIRVLTSLQKLPIFKGCSVQGTILQPF >OGLUM07G08990.1 pep chromosome:ALNU02000000:7:9053250:9057185:1 gene:OGLUM07G08990 transcript:OGLUM07G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGLGQLTDLQTVTVFNIGDDLSHCSIGDLKNLCRLRGHIHITGLQNITAGDDAKEANLVDSSLGMLVSITIDDCQNCNEIPYLGDLPSLKYLFIQKMYVVESFGQRSNSLTTDGRCTESVGDILFAILEWNKQGGFPTASLSLSTNDTAAQVLQYLRPNSNLEELIMKGYNGSSFPSWNIRRNLMKYIPPPSELSYACMAEGDISIIEASCSYSR >OGLUM07G09000.1 pep chromosome:ALNU02000000:7:9057605:9061593:-1 gene:OGLUM07G09000 transcript:OGLUM07G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSAQSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTILEKDMEMTSGEGARGAMYTVPWNWKAYVYESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAVPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVLRY >OGLUM07G09000.2 pep chromosome:ALNU02000000:7:9057605:9061593:-1 gene:OGLUM07G09000 transcript:OGLUM07G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPENSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSAQSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFEMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVYESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAVPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVLRY >OGLUM07G09000.3 pep chromosome:ALNU02000000:7:9057605:9061593:-1 gene:OGLUM07G09000 transcript:OGLUM07G09000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAPPRLRAYPLALFFLPVALLGVLAGAAPVRGDLRRVVEVEGEPRSVVWAVQLSDLHLSAFHPERAADFRRHVGGALAMVNPSLVLITGDLTDAKSKDLLSSRQEKSEWLEYEELIDEVVGLSGLNKEIFYDLRGNHDSYGVPEVGGMFDLYEKHSINARLGRTGNVQSITLQNSGWKHLFVGFDSAASIGLRSPANVFGQPTDQLLVELDAALSQWDNYSSTSAVTKVTFGHFPMSFSSSTTSGGSLRDVFLKHSLSAYLCGHLHTNFGRNLKRHHRSDRNHLSAKQYYQTNIHEGTSTSIGSNNCSTTTESVAEFWEWEMGDWRSAQSMRILAIDSGHVSYTDIDFRFGSMDVIIVPTFPLDSRFMQRLSTPHDLNCQANSTSHFEMVRTLVFSKYKIISVSVKIYDSFSGSHHLVLEKDMEMTSGEGARGAMYTVPWNWKAYVYESPDRYWLQIEAKDMTGKIYYSQLRPFSVNGLTAKVRWTWKEFRVMGCQWGQLYQPIMWSTLAFLCLLILIPRTLLMLYENHMLKCRSSKTAAGSSGRHLLISFEYFAAELSKMYSVWSGMLIYLLYLVFFPWFAGNAVTENHNKMYLYYKGWSTSNLANVSTAVPYIGLPDVMVIVLPHLLFVVLPAFLIIAAIAANRAAYLVHISHKAKKDDDHYEERKCIQHVWIFRCFRKFLILLCLPIAWRHWKHCRAIVRAYEANPFMDAPIYCFGVPLLVLRY >OGLUM07G09010.1 pep chromosome:ALNU02000000:7:9078998:9081479:-1 gene:OGLUM07G09010 transcript:OGLUM07G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPLDTSISMEITAFWLWLEGNYDHTDYLERIDSFDDDHFQAIAFVAKSFVEPLNLDHCDLSNTRSPFQQEAIEGIAFYLNNVCYKALKDLHGHEETEEFPDQICRDNEGNLNDQVPLSTDDLLSKIKSLYANNQENHGESSSYRSIQYPRNHILQDTKVAIDEYASSSCLVSFLDNLSLREKHSDPVIQQPSDVPNDERTLFVTFSNGYPLSKDELYDFFMRHYGDIEDITIEEPPEPRPPLFAQVTFYSQLTLLRVLDGNKRVKFMTRGKHLWARQFVPKKKKSKNDEANLID >OGLUM07G09020.1 pep chromosome:ALNU02000000:7:9085581:9088297:1 gene:OGLUM07G09020 transcript:OGLUM07G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRWIRPEVYPLFATTGVAVGICAMQLVRNITTNPEVRVTKENRAAGVLENFDEGKRYSQHGVRRFWLSKRRDYMQALDNPTNPSTKK >OGLUM07G09030.1 pep chromosome:ALNU02000000:7:9092239:9092577:1 gene:OGLUM07G09030 transcript:OGLUM07G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACAASTGDCGWEAMRRRIRLPLCALCARIRLWQRGSDTVTGGASADLAPPSSRVDPARVKTMGRRRDGGDLRGGELVLRIHGAAAGIHGDGGTEHASLRVFHFHLLHWRN >OGLUM07G09040.1 pep chromosome:ALNU02000000:7:9092335:9098143:-1 gene:OGLUM07G09040 transcript:OGLUM07G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRTAVAEPSQLRATMRCRHRRGRAVHQAAPPPLGEDEEAVDKCARLLGLISPESLNTGDFCGGDWNIGLVGAAAPPRAGGHGFEVGRGGEVNEKGKEHTDEGEEAGEGEIENGNNGRWPLIPPVKKMKMENSEGGMLGATVSMDARRCSMDPEDKLTAAKVAAISPPAHSLHPCRIHAGGRGRQIRGRATRNRVGSALP >OGLUM07G09050.1 pep chromosome:ALNU02000000:7:9098821:9100095:1 gene:OGLUM07G09050 transcript:OGLUM07G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVRSAPSVHTRRHAYDSATRLGPGPTRGTTTKREFDLPHPVGPHPRTAYIIRQRASQRVSPKIRKRQRSLLASPRLLAPQEEEEKEEKKKQQQSKPAMAAAAAASSAAWKRWIRPEVYPLFLATGVAVSICVGQLVRNITGNPEVRVLKEKRAAGVLENFDEGKRYSQHGFRKFIDGKRPEIMPGINSFFSDPPKY >OGLUM07G09060.1 pep chromosome:ALNU02000000:7:9100242:9114630:-1 gene:OGLUM07G09060 transcript:OGLUM07G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSPRENSPRKGERYPRQRSQEGYDTRRRSRCRPGGRGANQPRPKAGADRGEPPEDGERGGGERVDRATGHGARTGVRGRQRVTRGARPQGKAEAEAEAAAAAAWRSRAVGGAVGGGVALGTNQRDSEKKEWQKQNNIF >OGLUM07G09070.1 pep chromosome:ALNU02000000:7:9117355:9117813:1 gene:OGLUM07G09070 transcript:OGLUM07G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNRGHEHAHQPSGQQTNVSSYTSPTDLVLGNHVNGSNWVNSSLSVFLEQHRLQLDRALQTHISLHNATLSAIVDSMITTALKEKDEEIARLHIMLNQLQELIINIE >OGLUM07G09080.1 pep chromosome:ALNU02000000:7:9120318:9120818:-1 gene:OGLUM07G09080 transcript:OGLUM07G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATSSPSLLLSLSPLSLSLLSFGALGRPGRRPTGRCGKGGPAAGDGDGDDDAGAGEQGGGGDGGVGAGELSPSSPRAQPRSCSSVDAATKGNPPRSARTTSAPGSLRLPEVAQRVVGLLHHRHWWFGPWALGLGLSALGPHFGDDNRFNLKPIKIISVNEERKD >OGLUM07G09090.1 pep chromosome:ALNU02000000:7:9120773:9124840:1 gene:OGLUM07G09090 transcript:OGLUM07G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAITGRGRRPPCRRGPAAVAPPARFSCRCGTHPVPRRNVLSTMLSTSTVILFGSKQITLAEITGATFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFVLDENMSVEISSPSSSKYVTVEDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKWLYELRLQSPEQVFKEEEGDLRRVMDSFRVNKTLSEARCGDLGIPNNGSHQFRVRARFH >OGLUM07G09100.1 pep chromosome:ALNU02000000:7:9123479:9126019:-1 gene:OGLUM07G09100 transcript:OGLUM07G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASACERGPSIEMVLSQEEILDRTAVYTNADATNREKELMKACTDPELVAAIVGNAKITTPGLTQLKQKQKQKGEKYLQKKTKNLTKSLPSSATFDDADRMFEVRRTPSLVDMNSVKYCCSTLSAAFSGGPRSSTVTYFDDEGDDISTDMFSSRTKGSLKNMSAPAPRTWIQLLG >OGLUM07G09110.1 pep chromosome:ALNU02000000:7:9126175:9129387:1 gene:OGLUM07G09110 transcript:OGLUM07G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGQQGGRPRRDGAAAVCDATEAAAASTAEACEAAANNIGERGCILGSCSGLLLRTAVTATCCSSTMATEEKGEERLCCFNFLGRDPKHHTSFPVSGVPNLDLVSYPTLHLTTSAASLQRGES >OGLUM07G09120.1 pep chromosome:ALNU02000000:7:9133038:9154299:1 gene:OGLUM07G09120 transcript:OGLUM07G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERSELGRQLPLRGPLKALEADIHHANTMANAIQRNYGGACVQMRLSCSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLEGSLIERDLKGKGRCKDVVSRKRLEDWRKLCNKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYRRSESCPFCRGSLKRIRSRDLWVLTNYNDVVDPVTLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >OGLUM07G09130.1 pep chromosome:ALNU02000000:7:9143400:9144392:-1 gene:OGLUM07G09130 transcript:OGLUM07G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKTDLIRYLIVIDNVWSISAWEAKLSRLPDNKCSGRIIVTTRIEHVARACSSASLEEDYYIHRIKPLQFEDAKKLFINAVFGPQQDCPQHLVETMHKILTRCTGLPLAIVCIGRLLAGYRSPDGIEMWTRVSNSIGSQMENNPTLEGMRQIITLNYNHPPHHLRACMMYLSIFPEDYDIGKNRLLYRWIAEGLVSEQRGLTLIKVAEAYFDDLVSRHMIQPPRVEPYGKEPKCRVHDMMLDITVSKALESNFVRLVGNQCQGTNSYGSVRRLSIHSDDQGYGIDNTKLSHIWSLTTFRPSGHRRLLDKLSEFTLLRVPDLQDCEDLHN >OGLUM07G09140.1 pep chromosome:ALNU02000000:7:9182804:9184990:-1 gene:OGLUM07G09140 transcript:OGLUM07G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATDPPPPPPPLTRKRGREARKRKEAAPPREPRRARSRSHVPPPPGAGDGRGGDGEEDVGFDLISRLPDAVLGDIISRLPTKDGGKTRALSKRWRPVWRTAPLNLDAGDLAPDANGAALAVLVTQILLVHAGPVRRFCIPAQQIHERPAMVEGWLGSRRFKNLEELEFTVPEDPFYGRSFLLLPPPPSTFRFSATLRVAAISQCSLPDCTATLALRFPQLRLLSLQEVIVSEHSLHSIIAGCPALEGLLLKRSFGFRCLRINSPTIRSVAFHSPCCGDHCVWKVGFHLEEVVIEDAPCLERLIHIERAMGLGVNVTVIAAPKLEACVLDDLDDGYYRLDFGKVVFKGFAVINYTTPVSSIKILALIRDNLRLDRVIELMRCFQCLEKLYITASHYGATNCWRRKHWRKLKSLDICLKTLVLDNYRGLKSQINFATFFIRNATKLENMIFTGGRSNGNAYFIARQQKLLEFEKRASKTAHFHFTTKKCYYDWVHIKDVHDLSIADPFECTC >OGLUM07G09150.1 pep chromosome:ALNU02000000:7:9193320:9193678:-1 gene:OGLUM07G09150 transcript:OGLUM07G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTSTFILFGPKQITLAETTGGTFREYIDTIDGYSFLYPKSWIQVRGAGADLLRDPFVLDENIRDIVSFSSKYVTIEDLGPPEKAAERVLQHYLTEFMSTSTKLGVRRTSNILSAY >OGLUM07G09160.1 pep chromosome:ALNU02000000:7:9209934:9210329:-1 gene:OGLUM07G09160 transcript:OGLUM07G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGDSVMDSATKTEWRRIDGDLIVKAAARRRADATVVLAPTTAVRGEGDSATKTGCWRRIDGDLQGGGREENQKMMEAWMRVSALAARSRKARSASATGGLRAAGIGQGRAGVMETVRRAAMETGSRG >OGLUM07G09170.1 pep chromosome:ALNU02000000:7:9284768:9289109:1 gene:OGLUM07G09170 transcript:OGLUM07G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGRGPKRRRSGVPEIGDAEEESPAAAAAAAEEEEGDPPGAQEEEGVDYISNLPDAILGDIVAHLPTKDAGSTQILASRWRHIWRSTPLNLDYSGLTAEKDALAGVVSRILSTHPGPCRRLCVPAHHLVERPDAVDAWLRSAALDNLNELEFFSDRYCLDAQPPLPPPPSTFRFNSTLRVATIGKCHLPDATLQTLQFPQLTHLGLEDSSDMIQK >OGLUM07G09180.1 pep chromosome:ALNU02000000:7:9292683:9294425:-1 gene:OGLUM07G09180 transcript:OGLUM07G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPSGRRAKKPRLTAPPAPAAEHRPEANDESSEPRGGEPPAGDGGGVDYLSLLPDDIAEEIITLLPTKDAARIQALASRWRALWRSAPLDLDYTDLPTDEAHARLITRILSGHRGPARRFSVDARHLVQRPDTVAGWLRSASLDKVQEIRLLAPEDACPSRWLPQPQPLRQKLPPASIFHFSSTLRVAALSRFRLSHEMAQSLHFPQLKLLQLQQIYVTDDESLHCFVAGCATLEALLLDRIYGLHGLQINSSSIKSIGVRSFSGELKIVDAPSLERLLQLGDCRLGLKVSVISAPKLETLGSFRRSGSFSKFDFGTAVIEVFHVVSLATAIQSVKILAVSNDDFVELNEVIDLMRCFPCLEKFYIEWLQTGGNNVGRRKRRNLIKCSDIPLKTVVVGNYHGGKSEINFATFFLLNARMLESLKLIIKGRNYGSKFFTKQRRLLQMGRRASRQARVDFRSVDRDHQVFNHVTGVQDLSTSDPFECQC >OGLUM07G09190.1 pep chromosome:ALNU02000000:7:9323878:9324253:1 gene:OGLUM07G09190 transcript:OGLUM07G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLKGYCKSSTAFGVRKVCNRKLVSARKFNKGLIAATNLTITVNSQCDSDGHSTHTSFMVADSPMVGVSFFGYAPGTARSVAPCAKVAMYKAL >OGLUM07G09200.1 pep chromosome:ALNU02000000:7:9330262:9330709:1 gene:OGLUM07G09200 transcript:OGLUM07G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVSTHKGGGGWADEEVGRPASLPASPSDKIEVAELAALRHENLASLRAYFYFVGAGALSSLLHDSNGAVRRACLGFTSRARIAQADPNGVAFIHGDGVVLLKMLTGKLPANTVPGFDGVDLSQWVRRGAGVDGRGVRRQHR >OGLUM07G09210.1 pep chromosome:ALNU02000000:7:9331342:9335502:-1 gene:OGLUM07G09210 transcript:OGLUM07G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSNGKARANDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLSKAGEMPSLTPPDDESIRTLYIGGLDSRVTEQDLRDQFYAHGEIETIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDEAGKQGHVAHGGMLPRAVISQQQSGDKPQPPGMEGQQQPASASYYFNIPAPPAAERTLYPSMDPQRMGALVESREGDGKPGPQQAGQGQASSSSGQSYPEPPPPYYHGGQYPPYYPPYGGYMPPPRMPYQQPPQYPAYQPMLAPPAQSQASSSQQPAPATQQLGQGPQQQTTQNGMT >OGLUM07G09220.1 pep chromosome:ALNU02000000:7:9354564:9354918:-1 gene:OGLUM07G09220 transcript:OGLUM07G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIKAKRSIKGNPLIRIASQRKQEGIKASTSAVPLSTSPYCHFCASDGHWQRNCTRFTAWLVKKGNSHRLNGSKEGSEHSE >OGLUM07G09240.1 pep chromosome:ALNU02000000:7:9385638:9396839:1 gene:OGLUM07G09240 transcript:OGLUM07G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPDPPKGFSKLSVSEAERSFLGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSIDFNDASISSPREGWYCPKNIKQYYKLVNSGLFSESFVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLGHNTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNKPLSSRRETLSDDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLHRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSRYLQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSNRGAASGN >OGLUM07G09240.2 pep chromosome:ALNU02000000:7:9385638:9397071:1 gene:OGLUM07G09240 transcript:OGLUM07G09240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMKKKVVAPVERVVFALNGERQEVAAADVDPSTTLLEFIRTRTPFKGPKLGCGEGGCGACVILIAKYNPKTDEVTEFNASSCLTLLYSIHFCSIITTEGLGNTKDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPDPPKGFSKLSVSEAERSFLGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSIDFNDASISSPREGWYCPKNIKQYYKLVNSGLFSESFVKVVVGNTSTGVYKDQDLYDKYIDIAGIPELSAIVRKDKGIEIGAATSISRTIEILNQESESTSSPNGSVVFRKLAEHMSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVTLEQFLEQPPLGHNTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTEHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNKPLSSRRETLSDDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTDGLKAPILTVEQAVQNNSYFQVPPERAPKQVGDFSKGMAEADHKIMSEEVKLASQYYFYMETQTALAIPDEDNTMTVYSSSQFPELAQNVISKCLGIPFNNVRVITRRAGGGFGGKAVRSLHIATAAALCAHTLHRPVRMYLNRNTDMIMVGGRHPMKARYSVGFKSDGKITALHLDLLINAGISADASPVIPGTIISGLKKYNWGALSFDVKLCKTNNTSKSVMRAPGDTQGSFIAEAIIEHVAAILSLDANTVRQKNFHTYDSLVLFYPDSAGESSTYTLHSIFDRLASTSRYLQRVESIKKFNSTNKWRKRGISSVPLIFKVEPRPAPGRVSVLNDGSIVVEVGGVELGQGLWTKVQQMTAFALGQLWPKGCEGLLDRIRVLQSDTLNLIQGGLTAGSTTSESSCAATLQACNMLIERLKPVMERLQLQSDTVSWDTLISQASQENINLSASAYWVPEQDSNFYLNYGAGTSEVEVDLLTGAITIIRSDLIYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNAEVLNTGYHKHRVLSSKASGEPAVVLGASVHCAVREAIRAARIEFAGNNGSGSSLLTFQLDVPAPMTVVKELCGLDIVEKYLEDLSNRGAASGN >OGLUM07G09250.1 pep chromosome:ALNU02000000:7:9397577:9398176:-1 gene:OGLUM07G09250 transcript:OGLUM07G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGRHQICRLLFLRRRPAARPPRPRDSSSSSSFLLGYQGLIPWYLLDTQGTRYQSIPGYQDLSTPRLLLLLLSLGGTYQSIPRYQGYQVPADTSVPGTTSVPYPRYQEDLAVRGDDADEVSPEHAIPRRIFGGEVAATSAMTPAR >OGLUM07G09260.1 pep chromosome:ALNU02000000:7:9407499:9421965:1 gene:OGLUM07G09260 transcript:OGLUM07G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVASPFVRNTASIGGNIILAHKYPFRSDIATILLGAAATVNLQVSSKTLHVNLEQFLEQPPLDHSTLLLSIFIPHWASDCKKEHTLVFETYRAAPRPLGNAVSYVNSAFLGHVSLDKSSGDNILSNLHLAFGAYGTKHAIRARKVEEYLTGKILSASVVLEAIRLLRETIVPVEGTTHPEYRVSVAVGFLFSFLSPLCKGVIEPGKTLSISEDLVHTDNVHNKPLSSRRETLSDDEYKPVGDPIKKYKVELQASGEAIYVDDIPAPKNCLYGEFIYSTQPLANVKSIKFKPSLASKKIITVVSAKDIPTGGRNIGSTFLFGDEEPLFGDPIAEFAGQALGVVIAETQRYADMAAKQAVVEYTTGCLKAPILTVEQAVQSNSYFQVPPERAPKQVGDFSNGMAEADHKIMSEEENVNLSASAYWVPDQDSKFYLNYGAGTSEVEIDLLTGAITILRSDLIYDSGKSLNPAVDLGQIEGSFIQGIGFFIYEEHQTNSDGLVISNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLGASVHCAVREAIQAARIEFAGGSESTSSLLTFQLDVPAPMTLVKELCGLDIVEKYLEDLSSHGVGNCN >OGLUM07G09260.2 pep chromosome:ALNU02000000:7:9407499:9417046:1 gene:OGLUM07G09260 transcript:OGLUM07G09260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKTTTTKVVAPVERVVFALNGERQEVAAADVEPSTTLLEFIRTRTPFRGPKLGCGEVYISIQLSPLRKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPAPPKGFSKLSVSEAERSFLGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGIPELSAIVRKDKGIEIGAATSISRSIEILNQESE >OGLUM07G09260.3 pep chromosome:ALNU02000000:7:9412125:9417046:1 gene:OGLUM07G09260 transcript:OGLUM07G09260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHFYSIITTEGLGNTKDGFHSIQKRMSGFHASQCGFCTPGMCMSIFSSLVNADKSKKPAPPKGFSKLSVSEAERSFLGNMCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKKGDKHPDPTKLPSYTLGGGICTFPDFLKSEIKSSLDFNDASISGPREGWYCPKSIKQYYKLVNSGLFSESSVKVVVGNTSTGIPELSAIVRKDKGIEIGAATSISRSIEILNQESE >OGLUM07G09260.4 pep chromosome:ALNU02000000:7:9407499:9411567:1 gene:OGLUM07G09260 transcript:OGLUM07G09260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKTTTTKVVAPVERVVFALNGERQEVAAADVEPSTTLLEFIRTRTPFRGPKLGCGEGD >OGLUM07G09270.1 pep chromosome:ALNU02000000:7:9434069:9434335:1 gene:OGLUM07G09270 transcript:OGLUM07G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAAVAEETCTMLDGVMGESLLTVEMVSTMLRMVATTDLARDVARLRRHDLERVCALATGVVRGGGCPPYPVLPLCLLHWQSGHLAR >OGLUM07G09280.1 pep chromosome:ALNU02000000:7:9455803:9457812:-1 gene:OGLUM07G09280 transcript:OGLUM07G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTKPMSSLLCTILSLVLILEAFTTSHGEFVYHGFSGVNLTLDGNAMVTPDGILELTNDTINLGHAFYPTPQNFRKFSNSTVQSFSLSFVFAILSVHDDISADGMAFFVAPSKNLSNTWAQYIGLLNSRNDGNRSNHMFAVELDTTQNDEFKDIDNNHVGININSLISLQAHHTGYYDDKSGFFNNLTLISGKAMQVWADYDGESAQINVTLAHLGAPKSVRPLLSSSYNLSDVLRDQSYIGFSATTGAISTRHCVLGWSFAMNSPAPAIDISRLPKLPRLGPKPRSKTLDITLPIATAIFVLAAGTVVVLLVRRRLRYMELREDWEVDFGPHRFSFKDMYHATEGFNKNNLLGVGGFGKVYKGVLQKSKVPVAIKRVSHESTQGMKEFIAEVVSIGKLRHRNLVPLLGYCRRKGQLLLVYDYMSNGSLNKYLYPADGKPSLNWAERFHVIKGVAFGLLYLHEKWEKVVIHRDIKPSNVLLDSEMNGRLGDFGLSRLYDHGTDPQTTHMVGTMGYLAPELVRTGRASTSIDVFAFGIFLLEVTCGQRPIKKDSQGNQHSLFDWVLQFLHNSSLIEAMDSRLQADFNIDEVCLVLKLGLLCSHPFTNARPSMQQVMEYLEGDTPIPEISSRHFSFTMQALMQSKGFESPDMLCPQFTSIGTFSELSGGR >OGLUM07G09290.1 pep chromosome:ALNU02000000:7:9455861:9456289:1 gene:OGLUM07G09290 transcript:OGLUM07G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSNPLLCIKACIVKLKCLDEISGIGVSPSRYSMTCCMLGLALVNGCEHRRPSFRTRQTSSILKSAWSLLSMASISLCPQVTSRRNMPNAKTSIEVDALPVRTNSGAKYPMVPTM >OGLUM07G09300.1 pep chromosome:ALNU02000000:7:9460528:9463584:-1 gene:OGLUM07G09300 transcript:OGLUM07G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLVLLQLLYLISLILPAFSANDDNQFAYSGFSGANLTMDGATITSGGLLELTNGTVQQKGHAFYPVPLRFVRSPNGSVLSFSASFVFAILSVYTDLSAHGMAFVIVPSMNFSAALPGQFLGLANIQSNGNSSNHFFAVELDTIQNKEFGDINANHAGVNMNGLRSEQSYYAGYYDDKDGNFHNLSLISREAMQVWVDYDSNNTQITVAMAPIKVARPMKPLFTASYNLTSVITDVAYVGFSSATGTINVRHCVLGWSFAMNSPAPAINLGKLPKLPRMGPKPRSKVLEIVLPVATASFVLTVGIIGLVLIRRHMRYAELREDWEVEFGPHRFSYKDLYHTTEGFKNENLLGVGGFGRVYKGTLPVSKLEIAVKRVCHESRQGMKEFVAEIVSIGRLQHHNLVQLLGYCRRRGELFLVYDYMPNGSVDKYIHSIEGKTILTWAQRWHIIKGIASCLVYLHEEWEKAVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHDDDPQTTHVVGTIGYLAPELGHTSKATPLTDVFAFGMFVLEVACGQRPINQSSLDSQTMLVDWVLEQWNKGSLVSTVDSRLEGNYNVSEAVLAIKLGLLCSHPFANARPSMRQVIHYLDGSIPLPEMSPTDLSYHMMTIMQNEGFDEYIMTSSLMSEMLRHSSSASAGLRRKWLKRSGRNGNGATGLGGYCSRAPFFV >OGLUM07G09310.1 pep chromosome:ALNU02000000:7:9468462:9476080:-1 gene:OGLUM07G09310 transcript:OGLUM07G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MEVGACVGFLPGIAANRCTCCRRRGITRRSARFGGREHFCSLLLQAPPGQALVERSTCRLGIARGSQWGKELGVVAAALRAAGLVSRAEVRDTEPGSHRGKELGVVAAALCAAGEVSRGRGSERHTTSGWGNRVQETRLGRKRDLPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMIASGLLFSKTSNGFNRLHHCFGDTAFLKQHPWSARRKVLWRHMNYQMDHFTTSCQFLHFQAKSIKSG >OGLUM07G09310.2 pep chromosome:ALNU02000000:7:9468462:9475288:-1 gene:OGLUM07G09310 transcript:OGLUM07G09310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMIASGLLFSKTSNGFNRLHHCFGDTAFLKQHPWSARRKVLWRHMNYQMDHFTTSCQFLHFQAKSIKSG >OGLUM07G09310.3 pep chromosome:ALNU02000000:7:9468483:9475288:-1 gene:OGLUM07G09310 transcript:OGLUM07G09310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: membrane; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF6, transmembra /.../terPro:IPR000620); BEST Arabidopsis thaliana protein match is: Cation efflux family protein (TAIR:AT2G04620.1); Has 123 Blast hits to 121 proteins in 39 species: Archae - 0; Bacteria - 0; Metazoa - 69; Fungi - 0; Plants - 45; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G38380) TAIR;Acc:AT5G38380] MSPRPSASAAPEGGGGASTSRSVPTARSTPLQVIHILGNFARIWSVYSLYNYLSSSGDSMVGFIFSCLVPASVIFLALQKPWKGRPLPNTQVVPTVINGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLGNGWATRTHSPLYSFGSESLEKGTQVIGMKEMVVPLTAGILSALRRVLARRVSLKNQLKRRLHAINVASATCFLFPFAMWDTILGSASDSIVKLQFPSWAYLSTVLFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLLGFLLCSVILGFGIFEATSLERSKKSPLEAHELSNGSFHNQLPISALPS >OGLUM07G09330.1 pep chromosome:ALNU02000000:7:9485281:9491688:-1 gene:OGLUM07G09330 transcript:OGLUM07G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRRRASISELAYNRRGSGKAPHDGGGHRAVAEEAEQRRTDSSQRAVMATSSNNSDFVHVEGKGVRCSPRLNKLKQSDIGLSKKTCSSLPKKSCASTSGNKKRKRAENGKEAQIIKLRCNPSNMSTIAAQLSPDQIRYVHNINFEELLLIQGCSFPKDMTLWLLNHVNTELGTLEFDGLSIPIRPLIKKVIGIPEGHMRLKLTEDTDHWLKEKFTEGGRGQSLNKAISWMLLEHNKDEFIVSFMMVALGVYLVPGSNLTVHREYLTAISDVKNIKNLNWCNHVADYLFEAIRDFRINTSINLNVRGINVPQGTPRIAHITTAHIDEVKTIATSRSKHADYDSIQIKDIESTVYRDGESPLHESPQHMLSIGYRQDVEEGVHDDATCVDEGQHTPDPQGHIAAGVDEEHMNIGQHTPDPQGAPAAAGDEEQMNMQDGQVGQDPKSTGCDANPNNACDEPPVISELLMKMKEKLKIRRTEIISTCMEQLEFILDKSDNDILSEFSTELKKLARVKGMASTSEGDAAVLGTPNFNHGPDKHTEAETRSNYKAEEIGRHSGNVDATDFAEVVAIGAVAAHDVSQFDVQNVRDNNMDGKVHPPAAHKGAMDEGAQTEEDDGKQDEDDEDKGDEKVEDSVDDEYGEDGAGGSHSAGSQGGADENNDTDDSSGDSKQGQQPIPSEEQYPGASMMDSVTNDTSLGTPVYHDVVVIEDSSQESLRANTMVPELTEPIASGERFPDGGSVPPINKQRAKRCKTNRQSVEAIAALRKGIHLDHFVNDTYEKHVVDNFDGDGGATKVNRAWITEQDFRSTLRRKCEVSNNFMWLCCSAIMKDWDSKSKDQLVSPLEKCCDAKVRRTFSKIDLKLIERLYLPVLKEHHWFLIAINLRSRIVQIYDSIRNQKYSKSEHSDVWDNVCSNLQVALDTRTNSSPFGFGDLFTVEYPETPYQVELHDCGFCALRMLECHNGRSLVGYTSDWYLAVPTGYRTWYLT >OGLUM07G09340.1 pep chromosome:ALNU02000000:7:9554395:9556899:1 gene:OGLUM07G09340 transcript:OGLUM07G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPYFLLAPLLTPLPHSASDPQPKYPITVSQIIQWRQQRKIVHMPPLCSELYFAYGLRYGRNFGTIYLRRLGLSHFIAKINISLCCLSCHSFLYISPFIVSISNKNSNISAKIISINCLKKFFISLGFILIVSSTYMYISPYSPQFPQNNLERKIQIRRMLQVQGSLRVHTFSSAAIVHAVETSDEDSDPSHFCAVPQNGKKASRKEIKRRIKKLLSSLGQKHHISKVFFRSRSEAANSNAVIDNRGGGQSDMETFVSAKSSELCSFRTDDDDSESRSFRLSPLPIFPTGGIEFRPPASPVKIIKKLPFGYIIGRQLDGAPAAAALSTKLSLSFKKLMPRLVDIQLKSKSKMIKKKVLRALKGRFGGGERRGRDGHVREGKESSDYGDGDGDGDDEDVFWRKNVRGLRCRRVEDNDLPY >OGLUM07G09350.1 pep chromosome:ALNU02000000:7:9570532:9579407:-1 gene:OGLUM07G09350 transcript:OGLUM07G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAKKRRFGRSTGKGSLGSDGLDHISCLPDAILGEIISLLPTKDAARTQAVSRRWRPLWRSTPLNLDVDSLSTQERKRTMFVSRILASHPGPARRLSLPFFRLRDRYAKLDGWLRSPALADLQELDFSYDIEDEEALYPLPPSALRFAPTLRVVELRTCHFPNTRCRRPRCGSLPPSASSNSAHAISPTEWHRRCISHAWRGSRCTASPSRRTPSMACSHAALHWRAFCWSLGFSASSWEGYRDANFQEVVIEDAPCLERLMPLYPNHGPATIRVIAAPKLEVLGVLSDGIFQLHLGTTFFQKMIAVNLTTSIQTVKVLVLDSNGPNLDVVVDFLKCFPCLERLYVVIDYNFVKYYIAKCQPVIKNIRSYDPLHPIECMELHLRKVVIRYYEGKRPDVDFAKFFVLNAKVLREMDFCSPSNRNLKWQDNQYRRLSLENKASQVAQFTFKTTSRTRNELTRNRHTHELSMSDPFDFSSCLCSSCRFFFRMMASRAKRMEEPRLSTLTTSCLRSARAKAVWKFRHWPAQRKVTTSARLRGQQGPDLIGLLPDAILGEIISLLPTKDAARTQAVSHRWRRLWRTAPLNLEEDRAAVVSKILADHPGPGRRFSVRDRYAMADGWLRSGALTALDGVAQPHPLPLPALRFAPTLRVVKLGWCDFPSGMAPRPHFPRLKQITLSDVSISEDAIHGVLSCCPALESLLLEGKSFGVRRLRIASQTLRSLGLCYSWNARDDGRLQEVVIVDAPCLQRLLTPYLNNGPATIRVIAAPKMEALGWISDGISELHLGTTYFPKTTAVNMPSSMPTVKVLALVSDGPNLDAVVDFLKCVPCLETLYITVSISLFVSLTRCRDRIAITKPTRRGVNGWYTQRPKTFSGYKSYPRIRRRSV >OGLUM07G09360.1 pep chromosome:ALNU02000000:7:9582706:9584293:-1 gene:OGLUM07G09360 transcript:OGLUM07G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVASAVVQETVSGVFSYLSSNRTEKASKRHNMERLEISHSELDLALERSSKLPITDASLLRQRKINKRAYDKCGDVLHRCKLQILEGEDSHMVKEGFTKRIFRAVKSSISSLIGMDKDEASYSDDAVRRFEWFADKAGKFVRDVETGCSLAHYRFFSPLIKHLLEGKRLCYELVQRSQTLRLEIDPVRSEERGVEAEIRLCNDNVTMLTRSFNLRLILRLSESTDIVGIIISCLQSFGPHFKSLVENAKNTVAELPTQDVLNSSARISFALPSDALYEGSATTYRPDPLCCRTHGHGVGSLELSYRFPEQVSNVHFNGYVVASDCNYRLANSTNEVIDRNIMRDWPPLQLTIAFAPHQPHHEDVQGSYEIIGGNNERIDTSMHQMEEMVVSKAIGCFNSQPEVATYSIFSWSVHGCAYFAVQKSIVPVALPLSPRPTNSTPRPEEFSREEPY >OGLUM07G09370.1 pep chromosome:ALNU02000000:7:9589966:9592713:-1 gene:OGLUM07G09370 transcript:OGLUM07G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTAPVAKKRRHDEPDCQERSEGGDADAGGIDLISVLPDEILGSIISLLPTKDAARTTVLSPRWRHLSGARRHSTSTPTAASPGRSASTSPSILEAHRGPARRLSLRSVRLRGIYARFDRWFCSAALNNLEHLDFAYPSDGRYYGIGVDPDPRPPRPLPPSALRFAPTLRTDYIGGCDFPAVAPAAAPCFPRLTRLTLYGVAISEDALHRVLAGCAVLETLGLEASSGFGAVRINSPTLRRVGFAVSAETELVIEDAPCLERLMLLDPHSGPKNVRVVRAPQLKVLGYLSDKITKLDLGTVIFQETMVVSSTASLRTVKVLVLESAGPNLDTIIGFLKCFPCLEKLYIMPSHQQTKKKQFMSNQATNPSLNQETTQGWLEHKKKNTDRTNTAARRLQHQQLPPPPKTMKNTRRYNPLEPIECLDHHLRYI >OGLUM07G09380.1 pep chromosome:ALNU02000000:7:9595690:9597510:-1 gene:OGLUM07G09380 transcript:OGLUM07G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKEPTLESGRKDHLDEHESFFLEHQESCSLKRMVKHVSRRAAREASPKTVDDGAELARYGGIGMGELIRSCAKRESESG >OGLUM07G09390.1 pep chromosome:ALNU02000000:7:9599987:9601661:1 gene:OGLUM07G09390 transcript:OGLUM07G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRTYRCRRCGFPKKGHVCAAAAAAPGDLPLLPSPEEEEKVDGISALPDDVLHTIISLLPTMGGAKTQVLSSRWLPLWRSAPLNLDDAEIPDLWEDFLLNVITEIITDHRGPTWRLSITKLARVNEFRGDLVATLDDLLRSGTLDGLEELRFHYRPNMTAPDPLPPAATRFSCLRVASFGFCSFPGAGVLGGVAFPNLQELTLLAITNSEDTLHAMISACPVLRSLLLRDNDAFRRVRISSPTLVSLGLCSRTSDMEELIIDNTPSLERLLMFRSSDKLPRVVSVFSAPKLEVLGCLSDGISDEHYGVVVWPQQLRVNSMAMLRTVKILAFRIEENSLDATVHILRCFPCVQKLHITLAEGLFVPDIHNGLVDDAAAIECLDLHLKEIVVRNYRGQKSHAAFAKFFVLNASVLKVMTFRACVRLSKKWLSNQRRLLRLREKASPNARFEFSCDGYFMDYYYNHSQRSHQLSVGDPFDD >OGLUM07G09400.1 pep chromosome:ALNU02000000:7:9602854:9603278:1 gene:OGLUM07G09400 transcript:OGLUM07G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPESSQPAKKRRNYRCRRCGFPKKGHVCVAAAAAGGVLPLLPLPEEEEKIDGISALPDDVLHTIISLLPTIGGAKTQLAEGLFVPDIHNGL >OGLUM07G09410.1 pep chromosome:ALNU02000000:7:9635680:9636208:-1 gene:OGLUM07G09410 transcript:OGLUM07G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFAFSFGAGRARGVALDGVPGVRSTTVGYISVADDPSHLLQSHCATIFADGHPPEELSGRALNREIEPFFFKSGRWLSSSITRSRPEDRKEPTPSPCCFRRRRDVPRSVVVVQALSAQARTQVSTQAPRRGTCIHSIHTETSWSST >OGLUM07G09420.1 pep chromosome:ALNU02000000:7:9638003:9638687:-1 gene:OGLUM07G09420 transcript:OGLUM07G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLDDGPRSFREMPSGNVAASVPTMKILVLQSTGPNLAAVVHLRYFPCLEKLYVRMNL >OGLUM07G09430.1 pep chromosome:ALNU02000000:7:9639145:9639549:-1 gene:OGLUM07G09430 transcript:OGLUM07G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVLHPLKEQGRECYKNLRLAMGSPCIGSQEGTFVYLLCTRNAARRQAISRQWLPLWGSTSLNLDMKVLSIDEHNQIDIAGRFLAAHWVPVHHLVLISNHL >OGLUM07G09440.1 pep chromosome:ALNU02000000:7:9641942:9655639:-1 gene:OGLUM07G09440 transcript:OGLUM07G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGRAAVTATAARREGPATTGPVGSSCGWRIRRQCSAAAGRSGGGTDAWREGGGASTYASHGEVRCGIGAEGEGGDTGARREKIAALARRQRQRQCVEGGGGCAAPRKTNVSFVGRWRSELPVEAKEVLVVQSLSSVRVAPTRSQG >OGLUM07G09450.1 pep chromosome:ALNU02000000:7:9660585:9662484:-1 gene:OGLUM07G09450 transcript:OGLUM07G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASSTKSPRKRRSQELKVGHGIHHAPGAKKEKYSCLDLLPVGLGEPKEEEASRADNISRLPEEILGTIVSLLYTRDAARTQAISRQWLPLWGSASLNLDMNALSVHEHKRIDIAGSILAAHRGPVHRLVLISDRLERCNTTFEDWLKLPGMKNNLSHLDFRFATGNTTPADQANDMTYSLVISALRFSSTLEVVSFSSCCFRDDMINQPLHFPKLRKLNLHSVATSEDALHAVISACPTLESLHVNYTIGLRRLHVRSASLRSICVGTTHGLNQEVVFQEVVVEDAPLLERLMPTLLDDGPPSIRVISAPRLHILGILPSFISRLEIGTVVIQEMPPVSVSVPTVKILVLQSVGPNLAAVVNILKYFPCLEKLYIKITLQSTTKIGLRNYVPGPVHCLEHHLKSIVLKRYQAKTPVVNFAKFFILNAKVLKVMKFGVQDITRQNEKWMTNQRRRLQLDNKASQDARFDFDSKYWSDYVESTRIDDFSVSDPFDLSLD >OGLUM07G09460.1 pep chromosome:ALNU02000000:7:9680697:9681122:-1 gene:OGLUM07G09460 transcript:OGLUM07G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEADDVDGHHQQQLSASSASARHQHGVSGASVAEVNLSTCRPFAEKVWSDLAETFFPNNPFRGISVLLPARHAWGTLKYLVPALDWAPRYGLVKFKYDLLAGITIAGLAIPQGISYAHLANLPPIIGLCTYTAHVRLSC >OGLUM07G09470.1 pep chromosome:ALNU02000000:7:9687107:9689317:1 gene:OGLUM07G09470 transcript:OGLUM07G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPLPYTAAAAAATGEDPDQIGRLPDCLLTTILSLLPLDAAARTTALSRRWRSLWPSAPLRLHDSDLPSRSQYLSAAISGILASHRGDAVSFRLSSGRPSSADLDTWLRILAGKRLQELLLQPPSEPLPLPPSLLACHSLRSADLTNCRLPAAAAATASFPHLHELTLRYCFASSPALHGLLAGCPALAALSLDRVFGCRSLRVRSRTLRSLTVSVSLRRRDEVGDELQDLAVEDGPLLERLLGHDVNWGPSIHVLHAPRLEMLGYLGVGIPSLQIGAALFHSMRAVRLAAEFRCLKTLALEMVDPQVKPVVDFLRCFPCLEALYITSHMFEPRSMETLKCDNMDYPIECLNRHLKKVVLAGYEGRRRELQLARFLVSNARVLQVMKFLCANDCKPTWLASQKRQLCWESRLSLGPQVIFEVYRKSHTRFRKHASNITLVDPFDVKT >OGLUM07G09480.1 pep chromosome:ALNU02000000:7:9691560:9703879:1 gene:OGLUM07G09480 transcript:OGLUM07G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G78915) TAIR;Acc:AT1G78915] MGAAGLPAPGCLQKFGHIVDAERFVLNMSNRGIHKALSFQCFASDGRGFGAGSTNRRKIKSKKRQKDVAQEPSKVISGGSKNRDQWAPELGTRRESKYAKTVMDKQFLEKVEAVRRSALEKKKADENKNYQAIDYDAPIESDKSTIGFGTRVGIGIAVVVFGLVFAFGDFLPYGSVSPSKESTVVSKQLSEEEIQNFKTALDGFEATLRTSPNDPTALEGAAVSLVELGEYQKASEFLEKLVKVIPDKVEAYRLLGEVKFELKDYDGSSSSYRKSLSASENIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLNEKSQTQVANLAAANDDGAPKSQDIDLIQVDLLLGKAYSDWGHISDAVAVYENLITEHPEDFRGYLAKGIILKENGKSGEAERMFIQAKFFAPDAAKALVDRYAQR >OGLUM07G09490.1 pep chromosome:ALNU02000000:7:9796772:9808509:1 gene:OGLUM07G09490 transcript:OGLUM07G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRGDILINGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAADKRARADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFHGLCLLATGRTVYFGAASDAIEPACSQVLVEKAITLAEDEMAGVSVLVSSIRGISRKFFESNGFPCPLRRNPSDHFLRMINKDFEGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFEDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRLLFLVIIKISEIVKPRMLHFLCASKV >OGLUM07G09490.2 pep chromosome:ALNU02000000:7:9796773:9808506:1 gene:OGLUM07G09490 transcript:OGLUM07G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLPRWAPTPSPTRPLRTLGTPSTGAAAASSSSSSSWRSCVPLLTTFSSVFGSSAARRGGGLSGGEPPALPPDAGRHDTVGSIAPLDGVEAAVERRRKDEMMMSATTACSRGVFLTWDDLSVTAPAAAAGCGGHGRRAVILDGLSGYARPGEVLALMGPSGCGKTTLLDALAGRLSPNMKMRGDILINGLREKLSFGTSAYVTQENVLMATLTVTEAVHYSAQLQLPDSMPAADKRARADRAIRQMGLGAVAGVRIGGRVCKGISGGQRKRVSICVELLASPALLFLDEPTSGLDSAASYHVMSRIAGLARRDGMTVVAAVHQPSTEMFELFHGLCLLATGRTVYFGAASDAIEPACSQVLVEKAITLAEDEMAGVSVLVSSIRGISRKFFESNGFPCPLRRNPSDHFLRMINKDFEGASPIPQRQATFLTKTYVLTKRSIVNMHRDAGYYWLRFVIYIAICLSIGTIFFNVGSNFASIQARASMLMFTSTLLTMMAIGGFPSFVEDMKIFRKERMSGHYGATEFVISNTLSSIPYLGLISIIPGAIAYYLTGLQRGIDHFIYFAAVLWACTMLVEGLMMIVAAVVPDFLLGIITGSGVQGVLMLNAGFFRLPSDLPKPVWKYPTYFISYHKYATQGLYKNELLGLVFEDIGGGGLTISGEYILKNYLQVELSYSKWVDLAILVAMIIIYRLLFLVIIKISEIVKPRMLHFLCASKV >OGLUM07G09500.1 pep chromosome:ALNU02000000:7:9827496:9827810:1 gene:OGLUM07G09500 transcript:OGLUM07G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAVALAVLLVAVAAALLAVAPAPARAVCNMSNDEFMKCQPAAAATSNPTTNPSADCCSALSHADLNCLCSYKNSPWLSIYNIDPNRAMQLPAKCGLTMPANC >OGLUM07G09510.1 pep chromosome:ALNU02000000:7:9846889:9849907:1 gene:OGLUM07G09510 transcript:OGLUM07G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPCVLAPHLRAPSLRAAALPALHLMRSLSSQRGGVASAAARSVLRGGGLLPVGLSSFPSSHQGACELAGASSTSSAASAPSLWLDLRLSLGLHATGNLLQSWRPSIRIVPSGSSVRLCVGRELLSRFAQGLRW >OGLUM07G09520.1 pep chromosome:ALNU02000000:7:9848296:9848598:-1 gene:OGLUM07G09520 transcript:OGLUM07G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAIPLIISLLQILIPVEYCDTFILIVLDQDTRTLYVLDPTPIDPIYENNPNARYVKQLLCIAEYLAKAMAKVCPGSRWNEDINLWRQIFLSNVPIQSR >OGLUM07G09530.1 pep chromosome:ALNU02000000:7:9854027:9855045:1 gene:OGLUM07G09530 transcript:OGLUM07G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYLRKKEVHLPRYLDPPANHPPSPPRAAGASPSRTGAIENEKRGRARSRERSAREKPRQKSKRRRCGLRGGRRPTATGRAPVGVGLLKVGRSSRQGVGAGLGRAARCAAAQQRPATRCGRAVRRRGAEARRGGGRPGRGRQAQAQCRAQAFGSERLRARHRQGGEQSKKMDRKRKGKALASQKRFEEFLEPGLCKRNYS >OGLUM07G09540.1 pep chromosome:ALNU02000000:7:9861821:9862135:1 gene:OGLUM07G09540 transcript:OGLUM07G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQATAAVLAVALVLAASAGLAHGICNLSDAGLQACKPAAAVRNPADTPSSECCDALAAADLPCLCRYKGSAGARVWVRFYGIDLNRAMTLPGKCGLTLPAHC >OGLUM07G09550.1 pep chromosome:ALNU02000000:7:9867643:9867954:1 gene:OGLUM07G09550 transcript:OGLUM07G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAQAVLLLSLVASLAAARGAQGICNMSNGDFKLCQPAAAVSDPTDGPSAECCAALGEADLACICRYKGVAGFWMRIYHIDAARAMALPSKCGLTMPTNCS >OGLUM07G09560.1 pep chromosome:ALNU02000000:7:9883405:9887891:1 gene:OGLUM07G09560 transcript:OGLUM07G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like family protein [Source:Projected from Arabidopsis thaliana (AT3G23710) TAIR;Acc:AT3G23710] MPFHFQFPWLPNNSTSSSSSPTKPPSPAIPNPFLPIQAGLASFLSSLPLPRAAFPPPPWARISSASASAASASALPVAEIEERLAGVPVYALANSSQEFVLVSSARGGGGGGGGARAAVPPPALGLLCFRREDADALLAQMDGDMAAGSTVVPVALNKVIQLKSDGVAFRFVPDSSQVANAMKLMENEGQYVNDGFPGVPVFQSRSLVLMSDNKRYRPVFFRKEDLDNSLHRASRDQQKPNPAVKMGDIQVSSLENIIKSMKDSSSSKWDDAVFIPPGFDLATSSKQSNHDN >OGLUM07G09570.1 pep chromosome:ALNU02000000:7:9888097:9888990:-1 gene:OGLUM07G09570 transcript:OGLUM07G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSSLLQLLLIAAVASQFVSSQAGSIAIYWGQNNGEGTLADTCATGNYKFVNIAFLAAFGNGQPPVFNLAGHCDPTNGGCASQSSDIKSCQSRGVKIMLSIGGGAGSYYLSSSEDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSGRRVYLTAAPQCPFPDAWIGDALNTGLFDYVWVQFYNNPPCQYSSGSTSNLADAWKQWLSVPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPVIKSSGKYGGIMLWSKYYDDQDDYSSSVKSDV >OGLUM07G09580.1 pep chromosome:ALNU02000000:7:9895601:9899039:-1 gene:OGLUM07G09580 transcript:OGLUM07G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREVAESCVDGVVMQMVAAYCGGFYAAKPELAARRIEAIGFQVGHQLTERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSVDPSVESMDATENDSTTLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACELHHFSCLLHTMQHHEAA >OGLUM07G09590.1 pep chromosome:ALNU02000000:7:9901853:9905223:-1 gene:OGLUM07G09590 transcript:OGLUM07G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFLVLFAEGAAALLLMVKIGPLRELAMRGVEQAKDGKGPAAVKTLACTLLVIFMSSVASILRIQNRGIKLGTVSPMDQVLWRTHLLEASLIGYILFLAFVIDRLHHYHRKLTILKKTANTSREEVEKLQIELQGKEDKSKEVKKLRAELASLSEKMKKLKSESEEHERQRLEAEAHVNALQKQSEELLLEYDRLLEDNQILQTQVLSLRS >OGLUM07G09600.1 pep chromosome:ALNU02000000:7:9907489:9908911:-1 gene:OGLUM07G09600 transcript:OGLUM07G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERELEELGLCGEKEGTSSSFYRRREAVDRRETGDGMVCFDLNAEKQRRVASSDWPTMAVLLVGDAWRRRIGAGEHLDNAGDEALVCRQEWYRVASKVEVTSKCVVHGSGAMATGSACRSEVELVIDARTVGTPGGRRSSRGGSHQARRRVPVTEPPRKVPTKMTKARLRTCESWSACASTLREKTKLKGIRCSVWH >OGLUM07G09610.1 pep chromosome:ALNU02000000:7:9919571:9920257:-1 gene:OGLUM07G09610 transcript:OGLUM07G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLKMIIKENCRLHPPGTLLIPRHTMKTCTIGGYSVPSKRRIYVNGMKEEDIDMDEIG >OGLUM07G09620.1 pep chromosome:ALNU02000000:7:9928426:9928728:-1 gene:OGLUM07G09620 transcript:OGLUM07G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPNIWDNPEQFYPERFEDKGIDFRGSHFELLPFGSGQRICPGIAMGVANVELVVANLLYCFNWQLPKGMKEEDIDMDEIGQLAFRKKLPLLIVPMKH >OGLUM07G09630.1 pep chromosome:ALNU02000000:7:9928756:9929926:-1 gene:OGLUM07G09630 transcript:OGLUM07G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEPISIRFSQHLNSPEILILEMIKDAFVGGINTTAVTVTWIMSELMRNPRVMQKAQAEVHNIVKNKSKVCEEDIQNMKYLKMIIKENFRLHPPGTLLIPRQTMKTCTIGAYSVPS >OGLUM07G09640.1 pep chromosome:ALNU02000000:7:9949244:9952732:-1 gene:OGLUM07G09640 transcript:OGLUM07G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLASQLLPWQPLVQLLAAVLFLLPLVYLLFFKGDGNGGVMDSASAPSPPGPPRQLPVLGNLLQIGSRPHRYFQAGARRYGPVVQVQLGSIRTVVVHSPEAAKDVLRTNDLQCCSRPSSPGKRSKPIFAHGWAIANNQSSGSRQAAALTFMLVAKPSTVEARHCCCHPEATSPSSSHCWLKELLGGERAKIGRHFAALQPSHHRSPQMLSYNYLDVAVSPYSPYWREMRKLLVIELTSIRRVQSFAYARAAEVARLVDTLAASPAGVPVDLSSALYTFSDGVIGTVAFGKVYGSAAWSSWEWGASFQEAMDETMKVLGSFSFEDFFPSSALARWADALTGAAGRRRRVFHRIDGFFDAVIDKHLEPERLSAGVQEDMVDAMVMVWREQKDEAFGLTRDHIKAILLGVDTQY >OGLUM07G09650.1 pep chromosome:ALNU02000000:7:9968213:9971441:1 gene:OGLUM07G09650 transcript:OGLUM07G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRCLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPLITKIRHERQKKHITERQKQGKTKQRERDAKELEQDIQMLPKKVTLSTQKTKVVVKVSQQQTEENLMEE >OGLUM07G09660.1 pep chromosome:ALNU02000000:7:9982361:9983149:-1 gene:OGLUM07G09660 transcript:OGLUM07G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCARRRHRHSKEKNGWEEGEQGKEGGKVLTSRQSGSGTLSVVDGRRGSSRDDAAANLNPLPNGGSARGQPRRSKQRSGCECAGNEATVAEQVQERKTEMERRGGAGLWRRFYRFVAVVSTSPQDKAFHRTPYVHSCCVG >OGLUM07G09670.1 pep chromosome:ALNU02000000:7:10003142:10010332:-1 gene:OGLUM07G09670 transcript:OGLUM07G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFLSSQPPPWPPLLQLSAAVLFFFLLPLLYLLFIRGSNGEVRGRQGNSASAPSPPGPCRQLPVLGNLLQIGSRPHRSFQAVSRRYGPVVQVQLGSVRTVVVHSPEAAEDVLRTNDVHCCSRPPSPGIDYWREMRKLFVVELTSVSRVRSFAYARAAEVARLVDTLAASPPGVPVDLSCALYQLLDGIIGTVAFGKVYGAAQWSTERAVFQDVLSELLLVLGSFSFEDFFPSSALARWADALAGLERRRRRIFRQVDGFLDSVIDKHLEPERLSAGVQEDMVDALVKMWREQQDRPSGVLTREHIKAILMNTFAGGIDTTAITAIWIMSELMRNPRVMQKAQAEVRNTVKNKPLVDEEDIQNLKYLEMIIKENFRLHPPGTLLVPRQTMQPCLIGGYNVPSGTRVFINVWAMGRDPMIWDNPEEFYPERFEDRNIDFRGSNFELVPFGSGRRICPGVAMAVASLELVVANLLYCFDWKLPKGMKEEDIDMEEIGQLSFRRKVELFIVPVKHEQYQLMGHIN >OGLUM07G09680.1 pep chromosome:ALNU02000000:7:10018292:10018888:1 gene:OGLUM07G09680 transcript:OGLUM07G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGRNRCGSHLLVSPLSPSSLPPVRCGEGLGRATAPAENEAERRRTGSSQSPARMSPWQDAPVVVGAKTATRWERVTAAWMGSGGERTRRQQRAAPAVADEGGANEVGRREAAEDVVEGVVGEHRGGGNLTAATPPPPSVPPPRLLLRRCSSVGVAATPPRPSRGARPPPLCLLLHQCRCYYSSSTDAAVPPSPFP >OGLUM07G09690.1 pep chromosome:ALNU02000000:7:10019974:10021947:-1 gene:OGLUM07G09690 transcript:OGLUM07G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFPPDRYLIVIDDIWEISTWDIIKCDIVDSNCGSRVIATTRISQVAEEVGDIYNMEPLSDSNSKRLFNRRIFGADCIGTTNNQSTEAMEKVLKKCGGVPLSIITIASLLVDKPLEDWSNVYDSIGFRPEDNEAVQNMRKILSFSYYDMPSYLKNCLLHLSIFPEDCWIEKESLIWKWIAEGLVHVEQGKGLFEAGERYFTELINKSMIQPMYYNNHEGTLDGCRIHDMVLDLICIMATEENSTVILDRMHEEHNTSLQSRNVRRLALHISWNQDIDNNLQVDMARLRSFYAFECPTSMMPLVVDFNVLRVLALEDCHITEGFFLKNLGKLHQLRYLGMRNTREVELPQEIRNLRHLQTLDVRDSGLGALPVTVYELSKLLRLCMDSFTEVPAGLGNLKSLQELWVYVSDDSCPNFALELRKLTNLKILHINWYWEVDEVSLKDLVESLRNLHSLEDLCFSSRSFGEMSGWEGWEPPRQLRKFSIDDVSIFLPRLPSWVNSTFVPHLSDLCLQVEAMQAHDLEVLARIPELRFLSVDVKDDGFSWTVPGGDGLFQNLRRCRTNIELTFLQGAMPILMEAELCVAASGGCANSYDVGLGNLLLLKTVEVWIACEDATASQVEEAELVLRHSVDAHPNHPNIDVKKFHRYVRLDFIS >OGLUM07G09700.1 pep chromosome:ALNU02000000:7:10044970:10046191:-1 gene:OGLUM07G09700 transcript:OGLUM07G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTGVMAALIPKLCKLLMKEYALQNSVKEGIAFLTSELKSMQAEVEKISKMSLDQLDSQIKIWARDVRELSYDIEDNVDTFMLCVDDFEARKKHDFTWLIDKHCKSLSKLKNHHKIANDIKHDMIQVKEAMEQYDRYNADDVASKLPTIIDPRILKLHGNVTKPVGVDKASGDLVKKLSMGNDESSQKLKMVSVVGFGGLGKTTLAKEVFGLLRVQFSYACFVSVGRKPDIEKVLKSILIEVNKQKHMYELAELSERHLTDEIREYLENRRFIKINMNVGNARMPYIVKRRE >OGLUM07G09710.1 pep chromosome:ALNU02000000:7:10081153:10082140:1 gene:OGLUM07G09710 transcript:OGLUM07G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCLFIFCFLDMRSLVAAGLVFWYFFFLLSMSWNSAANDNHLWKMNYSLFIGICHINCNSSPTPDNVQNNDVHVLNSMYQVSLDSGFNWKEAFHNKKRGIWSFTSNRALYGYCRSVIWLCNLTCDTPYHCPKDGKDGLKLGPLLPHTVITFIYC >OGLUM07G09720.1 pep chromosome:ALNU02000000:7:10089781:10090330:-1 gene:OGLUM07G09720 transcript:OGLUM07G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIFNQYGMQLAKCMEVIDNLAARFEARKTQPGIGIFKTDHVPYILCADNIIPTPSVLLLSRRWNSNHGLIQDQSEGVDGWSQTFYTRGHPLLN >OGLUM07G09730.1 pep chromosome:ALNU02000000:7:10118798:10119985:-1 gene:OGLUM07G09730 transcript:OGLUM07G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDARLLEFMEVTSCYDVTLAAQQLASCGWHLDRAVDLFYSSIESGGRPSSSSARHDGEASTSSTSASTSVRAPISARSDTLYGVPYPSAGGATCRRRPTRWESQEDAALRRQREGEASTSTSGYGGGRDDSDDERPPPASKKMKPSTLAELYRAPRELTYRGGFHSAKVHAARLSRWLLVNVQAEYGGREFASHLLNRDVWADETVAMYVRDNFVFWQADEGDSGGEGSKVCCYYKLDRAKLPAVLFVDPVTGQLMEKLHHITDPTDFLMAAEKFIDSKRPAIPTTSRANRITAPLSPPYRNHQKTPAATAAAKVCKLRVRFPDGQVVGKEFGGQCGVDALFAYCRSVVGVEQPFRVMRMPATTGAKEEVREDKNVSFEELGLNMSTVYVHLD >OGLUM07G09740.1 pep chromosome:ALNU02000000:7:10125478:10126321:-1 gene:OGLUM07G09740 transcript:OGLUM07G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFRPELMSGYRPYPALYGDISIFGGGSSSVPNELRASQTDDAPQVTQPTQPEVGDLQENDNDLHKSNRERHEPNRLSLSGPRHATGARKKTTKKQAGTSRTMTDHDDE >OGLUM07G09750.1 pep chromosome:ALNU02000000:7:10127498:10132937:1 gene:OGLUM07G09750 transcript:OGLUM07G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAAAVLLPRPPSPPPAGAPAEPNDDDGSLHSLLSSLSSFSALRLLPFPLLAFSRLRRHLPPAAGTSHLLLSPVAALLHHHRSHLRLGVQLHALSLSLGLSRHPILLPRLLSVYTSHPSLLPSAASVAADSTLPLPYNVLISSCLRHGLPLQALAAYQEMGKNGVLPDVFTYPSVLRACAEARDLVLGRAVHMHAAGAGMDGNLFFQNALMSMYAKCGDLASARKVFDGMVQRDVVSWNSMISSYAAVGQWAEAMELFRRMQDEGTEVNSVTWNTIAGGYIQMRDHRAAVGLIREMVRGGAKVDYVTLVIGLNACSRVGWLRLGKEIHGLAVRMCCDQVESVSNALITMYARCKDMECACMLFRMLECPGVVTWNTMLSSFALSDCAEEASSIFREMICRGVKPNYVTVVTYLALCARVANLQHGQELHGHIVKHGFKGYRLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGTVALRLFEQMIDSGIKPDHIIMVTVLSACSHSGLVLEGEELFDKMVISYGIKPQMEHYSCMIDLYARAGLLEKAEEMLDHTPFPPTSTMWAALVGACHDRGNIEIGERAARKLLEMRTENAGHYVLIANMYAAAGCWDELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYVVLDELSEQMRNINNCSDLDILAENIDTAVYTNKPLPSARDWDACVAVTAMEF >OGLUM07G09760.1 pep chromosome:ALNU02000000:7:10138388:10144326:1 gene:OGLUM07G09760 transcript:OGLUM07G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSATSLLLGAALATVFFLLYTSVCRDLGDGPPKSSPPRWAQEQGTAAVTPATRVVAAEQGTVRPARQEEEVVAPREEKQTKDEAASRSGHGGGAVEQQQNQQRIVMPTSQQKETPSSPPQRQQQDLGELLRRAATPDKTVLMTAINEAWAAPGSFLDLFLESFRHGEGTEHLVRHLLVVAMDGRAFERCNAVHQFCYWFRVDGMDFAAEQSYMKGDYLEMMWRRNRFQQTILELGFSFLFTDVDILWFRSPFPHLSPDAQVVMSSDFFVGDPTSPGNYPNGGLLYVRSSASTVRFYEHWQSSRARFPGKHEQFVFDRIVKEGVPPHVGATVRFLDTGHFGGFCQHGKDLGRVVTMHANCCVGLQNKLFDLRNVLEDWKTYKERVAAGNMDYFSWRVPGRCIH >OGLUM07G09770.1 pep chromosome:ALNU02000000:7:10144935:10148225:-1 gene:OGLUM07G09770 transcript:OGLUM07G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVIAGGAAGVVVETALYPIDTIKTRLQAAKGGSKIQWKGLYSGLGGNIAGVLPASAIFIGVYEPTKRKLLEMFPENLSAVAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIIRKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRDLKDRENALIGAFAGAITGAITTPLDVLKTRLMVQGQAKQYRGIISCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERNIRKVRKL >OGLUM07G09780.1 pep chromosome:ALNU02000000:7:10152293:10155995:-1 gene:OGLUM07G09780 transcript:OGLUM07G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF167) [Source:Projected from Arabidopsis thaliana (AT5G63440) TAIR;Acc:AT5G63440] MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKKKHLSRLNVKEAGKVLLKRGEGKLEKQFRMSCLGCGLFVCYRSEEELELAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >OGLUM07G09790.1 pep chromosome:ALNU02000000:7:10175048:10177637:-1 gene:OGLUM07G09790 transcript:OGLUM07G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTSLKSIIEKHSAMWQDEFVSALDNFKRDMIDLHAKRTLGAGSSGPSTHEKKNRKKRARKGDCDVEAKKLKTTSEINDVYRRCVVDSVPNRSRKADAKELATPFLRIGGFHVSLDYFREAMKPRGELNNEVMSCWIEIFNANCREDSKMKSSIKKFVFPPGLTLFPFFPWDKLICNPEKFVFESCVKWVKSINKEQKLPKLDLVTNFTKVAVDAKILIKDISKFQICSPPQYPVQSNLFDCGFFSLRYIENWDGKNLQAFNKGDMPNYRKFMTHMMVSSHLAKVDHDQLQAN >OGLUM07G09800.1 pep chromosome:ALNU02000000:7:10182530:10185923:-1 gene:OGLUM07G09800 transcript:OGLUM07G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLQMPTTGLHLRIIDYSTPRFCHVTNEDFEYVAVVDRCRMNLGYVTYGSRPWKANSFGN >OGLUM07G09810.1 pep chromosome:ALNU02000000:7:10186253:10192300:1 gene:OGLUM07G09810 transcript:OGLUM07G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTPSSSPLFGTPSTTPAFGAPSSTPAFGTPSTTPAFGTPSSTPAFGAPSSTPSFGTPSTAPAFGTPSSTPAFGAPSSTPAFGAPSSTPAFGTPSSTPAFGVAPSPSPSPFGFQQQMTPSPSPFGFAGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTEPSQRVKPVAASDIMWAEAMGKLEGMDSSDRERLWPQLVQGFKDLSYRLKLQDGVLVSDSDRLSMTRDNVKKLQRHFQADTYPWIQRLKQQELVIERRLLRIMRIVEALENRGYRIPLTKEEADLYERLAVIAKQLKGPTGDLHKRVYNLLSTSRLLASAGGTAGPIYIPSSAKVDEQSVAELLEALQQQTEAVAKLGNVMKRDTRDLEIILSEETDMAEDSVGRRALKM >OGLUM07G09820.1 pep chromosome:ALNU02000000:7:10200147:10218554:-1 gene:OGLUM07G09820 transcript:OGLUM07G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67420) TAIR;Acc:AT1G67420] MVDPAPRRRRPAAGGGGGGAAEAALVLLALAALYGAMSLVAYRVIHMRHVAPLGADAPLGDFSEGRVLHHLRRLSVDIPGRQEGSSGLEAAARYIKGQLEELAARAGPEYRIEVEESLVSGSFSMRFLRHRVTLTYRNHKNIVMRISSNVSEDQDLAFLVNGHFDSPLGSPGAADCASMLELSRLIIDSGWVPSQPVIFLFNGAEELFLLGSHGFIKTHKWNNTIGAFINIEASGSGGAVCQSGPGSWPSRIYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTVENLLPGSIQARGENLFNLVKAFTNSPMLLKENKRSNEAAMPIKDDLRAIFFDYLTWFMVIYPRGVSLGLHSLPVAIFLLAPLFLTSPNITLMSWSLTVLDLMRGMLLHAFGAILAIVIPAVAAAGLSEQAHFWGAFGLYSLITLFLIEKMGMMGSLPKPYGYFVADVIVGSVVGLVVGWCFGPVTPIASRWLAKTSILHGLLQVTVVGLAISSQLFPYSTGAPKRVVLQHTFVTDANSIVESHYGFSVVDANSLEFLFNNAPEAAKWLKDNSLLSFEEKYHSDRSSWLALYPVNFLFSGSLKFPSENEEIRKHYQHFPQMVIQKTSSNNGHRRMHLELSLGLSGESHENWSFWLEANSSEPLRIDVAVLDQYLLDRTRKLKSLFPSWADITAFTTFFSTYHL >OGLUM07G09830.1 pep chromosome:ALNU02000000:7:10252026:10255225:-1 gene:OGLUM07G09830 transcript:OGLUM07G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAIVDAIPLFFPQLKIQRRKDVFFAQSKLLASTKTFASLIAAKSIPSCSTASQDYPFPSSAPAASTIKPFLTSTDY >OGLUM07G09840.1 pep chromosome:ALNU02000000:7:10270829:10274584:-1 gene:OGLUM07G09840 transcript:OGLUM07G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMYDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVEQRGYGPMILSHAPLEEKARKGAEIRAETMTSMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYNGRFSHVACRFWFHDTSVLLLDSYAASASAVRWVCTLFVLA >OGLUM07G09840.2 pep chromosome:ALNU02000000:7:10270829:10274584:-1 gene:OGLUM07G09840 transcript:OGLUM07G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDMYDSSATASLNNSAELGGQDELDNGEGRGRGRGDGPGKAWQQDGDWLCPNTSCGNVNFAFRGVCNLCGAARPAGVSGSSAGGGGRGRGRGSDDARGGSRAAAAAAVGGPPGLFGPNDWPCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEAPLEEKARKGAEIRAETMTSMNGIAGTEIEAVMEGSGAEVETVRRKGGGTRAEITAMRGAGSEEPSVTMIAIDEYNGRFSHVACRFWFHDTSVLLLDSYAASASAVRWVCTLFVLA >OGLUM07G09850.1 pep chromosome:ALNU02000000:7:10274958:10278333:1 gene:OGLUM07G09850 transcript:OGLUM07G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQLNPQTFGGTNKLLLVLRVLARVWYKDLDSVPQYIVWEQPNAPNGQSWTIFVYTFNGEFADAFPPDDDLPPGEGPVDPNVNFEDAPAWQFGNVQNAGQDHNQGWVNWDEGEDNVNVNVPEGFMPEVPQPVDAVHHISSSLSSAPASVSTSDSTFTSVVGISSDEDVMQPVPDNSIVIPPVSVDAELFKLLCQRFPQVMFDKNFLKDASFWSAFSPVLSSVTGNSAVRSDEVLDPTPIAVVPPSDILALPDPSPEAPVERRYKKRVAGSPVVTTGLRRSSRLLAISDGHKTNVIDNSLVEPDPNQGVGKPRGISIKKLKQVAHEVGILFSGGMLHDSNFASSLSDITGSAEAAVPSDCPIPLLQKMATNLCGVPPQDVTQEGLLSPSRNDDAES >OGLUM07G09860.1 pep chromosome:ALNU02000000:7:10282769:10283656:1 gene:OGLUM07G09860 transcript:OGLUM07G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYLSPSSTRSARRRGASTSGSGDGAGKPLPPPQPRSLSVCSTRVALLPARTLHVRGRLTPAMRAARGGGTPAGAGHVPTPRRPHQGTRASAEAEGNDLCNVILEQHRRPHLPVPPLASSSPTHCHPLLFFIAIAEGCDGVGDGEGGDGLPRLPCWEEAAVRRRSDGGGSARKGGGGSVGVRRSGGGGVVQRAGVAAAMAARRGRAAAARVAFDLYYTKSWLWAKAIVAFGPFGWLLAFAKVKAG >OGLUM07G09870.1 pep chromosome:ALNU02000000:7:10285253:10287171:1 gene:OGLUM07G09870 transcript:OGLUM07G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGCSNWQESGRRWRRRGGGGDSVAATCYRRRMLPMVLPAPAPDNGGGGAAAASWWCCRCLMVVLLPPLPGAAVAAAANAAWLQFTNPFTREKRTTWARSGWDDVGILFPCESPTFSAAVNSFTVLEQQRWLVREWYGLNVRISKAVKGKAANGVQGLGSADAIRHRRGWIYLATIRPGQALLEQIKVVH >OGLUM07G09880.1 pep chromosome:ALNU02000000:7:10295442:10295965:1 gene:OGLUM07G09880 transcript:OGLUM07G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAAHTRATVWGGSVVTIGHKVSPEHVSAADGMDGDEVGQDVDILYRRRLASFHGGGLRWTGRMVQEGNERPCCSVRGWGGDEVGRGAWRACVAGQVGAAHQPAWLGMPLA >OGLUM07G09890.1 pep chromosome:ALNU02000000:7:10302380:10304215:1 gene:OGLUM07G09890 transcript:OGLUM07G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTMGPSNSSEDTKESMEHLKGKQDSFVVTTKNDHVDHSVLESSSMLNLGKQDEPDKVEILAKATKDVPEELETIETKSMNSVKNTSKNVGKRTRQDNGGSKIKKSSDHNLQGQGTDRSVKGRTMETTPGPTQYPRGRGPTRGNLPYGFV >OGLUM07G09900.1 pep chromosome:ALNU02000000:7:10307437:10307676:1 gene:OGLUM07G09900 transcript:OGLUM07G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDRGRDNHARRLSRRVSFVETTMVHVFDYNDDMAIPKEEHVASSPSQGKPAEEEEEEFVNVDVDSSYPVSAIRSVVS >OGLUM07G09910.1 pep chromosome:ALNU02000000:7:10333022:10333690:1 gene:OGLUM07G09910 transcript:OGLUM07G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEAGVTATAPTVSAIVLTATVGCHLLKISGYSQTRLVDNGERVESAKFKAAGHTWRIVFYPNGKYSMDHGAFSFYLKLIDRSKGVDAEIQFSLLPRHGADSGTLPYSKPEIMHTFRSARRNSKCGFNWFISRDEMETLQNKYVGEDDDSIILRCDIKVVNKPAIHRIGLNDLGVFCPCDDDTCKRLHKRSLQAPSGIAMESQPCLGIKGGFKRLFSYFLA >OGLUM07G09920.1 pep chromosome:ALNU02000000:7:10356105:10359723:-1 gene:OGLUM07G09920 transcript:OGLUM07G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;copper ion binding [Source:Projected from Arabidopsis thaliana (AT1G64600) TAIR;Acc:AT1G64600] MAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNRKVLQLSASFERAKGTGTELAAAAMRGAIIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTHAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLVRTSSQRAYKRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFKEQSVRADLGGGWGRIIYSPIRRGRQVQLDVCRATKRDASEGAFERVVVTQSKNPTMHHQARRSLWGDLWPF >OGLUM07G09920.2 pep chromosome:ALNU02000000:7:10356105:10359723:-1 gene:OGLUM07G09920 transcript:OGLUM07G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferases;copper ion binding [Source:Projected from Arabidopsis thaliana (AT1G64600) TAIR;Acc:AT1G64600] MAAALLPETAPRLLTPETIRAAAKQSQGIQLVPLSLRRAIKRYLRDQDKAHMNRKVLQLSASFERAKGTGTELAAAAMRGAIIDDPRAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPDFAPAKVLDFGAGPSSALWAMRAVWPKSIEKVNLVEPSKEMQRAGKNLLDNLKGLPLIHSYDSIQELNRNIEKHERRHDLSYALGEIPSLNDRITIVRQLWDLTGDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKIEKSTHAAPSEMKSIVCQEASLKNGAFVVAPCPHDGRCPLENTDKYCHFVQRLRSNGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERHAKRNPEDLIIDYDEQFPSEEDEEAPVNAEDSLVPYDSDAQELGLFHETEEEFKEQSVRADLGGGWGRIIYSPIRRGRQVQLDVCRATKRDASEGAFERVVVTQSKNPTMHHQARRSLWGDLWPF >OGLUM07G09930.1 pep chromosome:ALNU02000000:7:10365287:10365601:-1 gene:OGLUM07G09930 transcript:OGLUM07G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTAFVGFPSPFAVCRRATPCKAGGALGELLASCYSFRCPPFSPSRRRSPLSPQSCAAGYLRAPVPVVPLRLAAPPPSPHSTCLRALPLRFASSAPHSARRRA >OGLUM07G09940.1 pep chromosome:ALNU02000000:7:10382079:10383359:-1 gene:OGLUM07G09940 transcript:OGLUM07G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPAPPGETSRQIPSRDDAKHEKEAANSSSSSSSEEIDEDDFFQIEGPILSTQYSLSPPPAEGGNRDAKQSDEPHDPKRIPSAVFARSKSSTPTDWSITSNESLFSINVGNASFSKDHMFLYGKSGELGANDPLPPLPKQSPSSSPLKGEVATPEKPSTSKEKGDGRGLTDRNGDDNTDYTHSSSHRSDGSTTSFAFPILTGSAKTSGSLKDSHPELARQSTAQLTHPSEMRDENENKETPFPAVVMEAPKVEATPAAAATAPAPPAPPATTKWFPCCSCCPFCC >OGLUM07G09950.1 pep chromosome:ALNU02000000:7:10418285:10418521:1 gene:OGLUM07G09950 transcript:OGLUM07G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTDWGPIIAAVVLFILMSPGFLFQLPARARVVELGNMGTSGLSILVHAILYFCILTIVVVAIGVHVYSTKPDPID >OGLUM07G09960.1 pep chromosome:ALNU02000000:7:10425668:10426713:-1 gene:OGLUM07G09960 transcript:OGLUM07G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLACRLLAVAAWCCTPALLLCHSSHPVSASASALPALRACPFGPWQLVAPPPCRSGCHSRSSGSLVAAPSSPHPALPHAARTTAAAVCRWGLGMGIGGGWRRWSDDSDGEIWVSFTLNKPNGPTSYQREDQHWHLQKPSALCLTRLLLCAEEHEEGGGGQRAARAACRPRAARGLPPRDLAALGPGALSAADPPLPSLAETEAAW >OGLUM07G09960.2 pep chromosome:ALNU02000000:7:10425561:10426343:-1 gene:OGLUM07G09960 transcript:OGLUM07G09960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAISVRTSTGICRSVHAASQPSPVFSRCSVGTLSDPAASMRGGARGRGGWSAGGASRMPSSSCPGTAAARSSRPRPGSAVGGRSTAPEPGGDGGGVVSGEGGLSWHRERRWRRKTPPHAVIADTHRRRGEEN >OGLUM07G09970.1 pep chromosome:ALNU02000000:7:10426497:10427670:1 gene:OGLUM07G09970 transcript:OGLUM07G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPGFQSCGCGIRSGKAAARPAAMAQRGRRAAQEEQRQRQRQGGMNGTIAVQACSTKRRQQASGKPTSQASITPSTSEDSTIKCTMTRFEDQFSTIKPDGIQVRCHKVLVFFFCCTGLRTTLSDSISETAANVF >OGLUM07G09970.2 pep chromosome:ALNU02000000:7:10426497:10427263:1 gene:OGLUM07G09970 transcript:OGLUM07G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPGFQSCGCGIRSGKAAARPAAMAQRGRRAAQEEQRQRQRQGGMNGTIAVQACSTKRRQQASGKPTSQASITPSTSEDSTIKCTMTRFEDQFSTIKPDGIQVRCHKCPTGVYLSLLNFSYSCIIRFMDILCHWGTCNSSTIRNYSIVWQQFCIIRCSTVSSSTQLGSR >OGLUM07G09980.1 pep chromosome:ALNU02000000:7:10432098:10434326:-1 gene:OGLUM07G09980 transcript:OGLUM07G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRSWMPATPAGGSGGGANDGGGDGEDKKKSGEVAEAVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVVDRRTGKKALLLSSLPSANLKPPLSPEKRSSETAKKDAAEQDMGKEMIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEEPDEKNEGGEADDLKPLTEDDASYNGVAEESHSTKDHLFRVALPRSVVAVAPPESEKMAMNSLCYSYYYYPAYPYPCHQYYQYPQQNIYAAGNYPAMYGYYPHHVPEDFSDANPNVCTVM >OGLUM07G09980.2 pep chromosome:ALNU02000000:7:10433103:10434326:-1 gene:OGLUM07G09980 transcript:OGLUM07G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWRSWMPATPAGGSGGGANDGGGDGEDKKKSGEVAEAVISVPVHCDGCARKLRRSVQRLDGVEEVTVDCRTNTVIVRGPKAAVDPAGIVEVVDRRTGKKALLLSSLPSANLKPPLSPEKRSSETAKKDAAEQDMGKEMTHR >OGLUM07G09980.3 pep chromosome:ALNU02000000:7:10432098:10433058:-1 gene:OGLUM07G09980 transcript:OGLUM07G09980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIECQEMVVVMRIDLHCEACCEEIKRRILKIKGVEEVTPHMKSSQVMVRGKVEPATLVGLIHKWTGRRAAIFRAEPQHPLPPPSESPPKVDDDNEPPKVAGSTEPAEEEETKQGGDPSPSDDAQEKKEGEEADQMKDQKEEPEEKEKKEEPDEKNEGGEADDLKPLTEDDASYNGVAEESHSTKDHLFRVALPRSVVAVAPPESEKMAMNSLCYSYYYYPAYPYPCHQYYQYPQQNIYAAGNYPAMYGYYPHHVPEDFSDANPNVCTVM >OGLUM07G09990.1 pep chromosome:ALNU02000000:7:10435245:10435457:1 gene:OGLUM07G09990 transcript:OGLUM07G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWVDGNGDAIHGSTLDTEGDDELSTRCSTHGKLRTKDMNELGMVSRLRSSDRMSRSSPEKICDESNTFG >OGLUM07G10000.1 pep chromosome:ALNU02000000:7:10459743:10460210:1 gene:OGLUM07G10000 transcript:OGLUM07G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEMDWIAARFVLSAIMGVHPLVAVDDAGADDEHFPVDDAAAVHGHASPPPVALPAAVLAPEEVAGAVVCAVCTEEVAARQAVVRLPCAHWYHAGCIGPWLRIRTNCPSCRAELPREPAAADWRVPRRPAVAETAGSRLRREASYTMLAGTLPS >OGLUM07G10010.1 pep chromosome:ALNU02000000:7:10522150:10522426:1 gene:OGLUM07G10010 transcript:OGLUM07G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQMEDMSQPEDSDTAKMSKYTEARFAQYHKDTNRKLVFHKKRIFELEDEPWKLNNLHRMKDDYEDEKPIHVVKRLCTGIIFLMEGRFR >OGLUM07G10020.1 pep chromosome:ALNU02000000:7:10555542:10561987:1 gene:OGLUM07G10020 transcript:OGLUM07G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQKMSRLVSSRPCAISLLNKGGAPISICEQRRRGDPGEKIILGMEGRSSNEISSAEAVLVGALSSGVNAPTWFVLKITFLLLAFCFTAMLSLAFFSSDFMIIAHVLLLVTIGTVLFVLLNRFLAETGLVPVEQQMKEMGIHKIEATEKDKGN >OGLUM07G10030.1 pep chromosome:ALNU02000000:7:10564599:10567790:-1 gene:OGLUM07G10030 transcript:OGLUM07G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G26782) TAIR;Acc:AT3G26782] MAGASVMTSLPNPLPTPPAHPAPAFNLQRQRERSVPSPTTTASSLRALFLRAVDPSRPASWSAAVADLLSSGDAVAALATFAAAVRANPAALRPALPPALRAAAAARSLAAGHQLHLLALRSGLFPSDPYSASALLHMYHHCSRPMDARRAFDEIPDPNPVIVTAMASGYVRNNLVYHSLELFRAMIASDSASVVDEAAALVAFSASARVPDRGVTASLHALIAKIGFERNAGVVNTMLDSYAKGGSRDLEVARKVFDTMERDVVSWNSMIALYAQNGMSAEAIGLYSKMLNVGGGIKCNAVALSAVLLACAHAGAIQTGKHIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMASRAFRKIKEKNILSWSAMITGYGMHGRGQEALEIFTEMKRSGLRPNYITFISVLAACSHAGLLDEGRYWYNAMKQEFGIEAGVEHYGCMVDLLGRAGCLDEAYSLIKEMKVKPDAAIWGALLSACRIHKNVELAEMSVKRLFELDASNSGYYVLLSNIYAEAGMWKDVERIRLLVKTRRIEKPPGYSSFELKGKIYLFYVGDKSHPQHIEIYSYLEKLLERMQEAGYVPNTGSVLHDLDEEEKESALRIHSEKLAVAFALMNSVPRSVIHIIKNLRVCSDCHTAMKFITKITEREIIIRDLQRFHHFKDGLCSCRDYW >OGLUM07G10040.1 pep chromosome:ALNU02000000:7:10607278:10613624:1 gene:OGLUM07G10040 transcript:OGLUM07G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRVGVHHRNIVNMMTRMRVWGCVLMEVIIIVVHDNLLAEVLLLWTGLLMIFTVITAIVVLLVFHWMKGWGMYNGGHKHQWSQNAT >OGLUM07G10050.1 pep chromosome:ALNU02000000:7:10615684:10630583:1 gene:OGLUM07G10050 transcript:OGLUM07G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAFYKGMYNGGHKHQWSQNATCTKSTEDPVPVLCCQFSPTTMLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKVVFTVFAPSLMFASLAKTVTWFMPINIGITFMAGGTLGWIACKILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVRDEDGNPFRKRQQPLPLSWALLFIILGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSMIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >OGLUM07G10050.2 pep chromosome:ALNU02000000:7:10615684:10630583:1 gene:OGLUM07G10050 transcript:OGLUM07G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAFYKGMYNGGHKHQWSQNATCTKSTEDPVPVLCCQFSPTTMLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKQSVTKMATRFGKGSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSMIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >OGLUM07G10050.3 pep chromosome:ALNU02000000:7:10615684:10630583:1 gene:OGLUM07G10050 transcript:OGLUM07G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSRCSGDWRLAFYKGMYNGGHKHQWSQNATCTKSTEDPVPVLCCQFSPTTMLLAARGRRHPFAAARLLPIPLSSGPSFASSTTTTTSNGACSSSAADPDAVAAEVATLLSPAAISSLVRRRPSPSSPRLHPKLLLDFFYWSSPQLAPSAPAPDAFAHLAMSLCAGSLFNLANGLLIKMIRAYPSPPVVLASIHRALSDSGHRSPAVLDVLVDTYKKSGRVQDAAEVVLMMRDLGLAPSIRCCNALLKDLLRADAMALLWKVREFMVGAGISPDVYTYSTLIEAYCKVREFDTAKKVLVEMRERGCGLNTVTYNVLIAGLCRSGAVEEAFGFKKDMEDYGLVPDGFTYGALINGLCKSRRSNEAKALLDEMSCAELKPNVVVYANLIDGFMREGNADEAFKMIKEMVAAGVQPNKITYDNLVRGLCKMGQMDRASLLLKQMVRDSHRPDTITYNLIIEGHFRHHSKKDAFRLLSEMENAGISPNVYTYSIMIHGLCQSGEPEKASDLLEEMTTKGLKPNAFVYAPLISGYCREGNVSLACEVFDKMTKVNVLPDLYCYNSLIFGLSKVGRVEESTKYFAQMQERGLLPNEFTYSGLIHGYLKNGDLESAEQLVQRMLDTGLKPNDVIYIDLLESYFKSDDIEKVSSTFKSMLDQGVMLDNRIYGILIHNLSSSGNMEAAFRVLSEIEKNGSVPDVHVYSSLISGLCKTADREKAFGILDEMSKKGVDPNIVCYNALIDGLCKSGDISYARNVFNSILAKGLVPNCVTYTSLIDGSCKVGDISNAFYLYNEMLATGITPDAFVYSVLTTGCSSAGDLEQAMFLIEEMFLRGHASISSFNNLVDGFCKRGKMQETLKLLHVIMGRGLVPNALTIENIISGLSEAGKLSEVHTIFVELQQKTSESAARHFSSLFMDMINQGKIPLDVVDDMIRDHCKEGNLDKALMLRDVIVAKSAPMGCSSYLAIVDNLCRKGKLSEALNLLKEMDKRGNLQPTLVALLGIFWFRRHHHILNKLGGLYIWTHTYSLMKKKRGQMYHQPNSIQGLDDSNEEHHAKKFKANGEAACADEEATLPVSAKLAEHNEENQMEAPLLSGESEIAKKGSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKLEFKHTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVSSISLTTWSMIFMSIPSLGQSAMISAFQLTQREEVEDNNTPLEKSRSN >OGLUM07G10060.1 pep chromosome:ALNU02000000:7:10644074:10652732:-1 gene:OGLUM07G10060 transcript:OGLUM07G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGSLYQYQLKLEAFHQAMKMAVTLFGRGGGVATASGIRRRQMERRCIRPQKVGYGGRRHGVDSEPVSCWLSCQPPCSDESVDDGRSRGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >OGLUM07G10060.2 pep chromosome:ALNU02000000:7:10644074:10652732:-1 gene:OGLUM07G10060 transcript:OGLUM07G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIKQANELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >OGLUM07G10060.3 pep chromosome:ALNU02000000:7:10644074:10652732:-1 gene:OGLUM07G10060 transcript:OGLUM07G10060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAALRCNPRPSAAVALRGAAAAPQVGNEAAFELLVGATEPCLRGRRRGAGIRCQRSAAASAVVVEKKGRAVEPAREGANAGHTESELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVGCGATNVSELDELTFIKELHFGTIDQLGLDRSIVSGLSDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAALLNKLGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWVTGPAIPIVTGFLGKGWKTGAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPNAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRRAPGTLITKARDMSKTVLTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEELDHVIEELEKIAVVHLLQHRSIISLIGNVQRSSLILEKAFNVLRTNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFESGFLPEVNDILQDDSVAHSNGTVYRH >OGLUM07G10070.1 pep chromosome:ALNU02000000:7:10668820:10669609:-1 gene:OGLUM07G10070 transcript:OGLUM07G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGKELEPHGGFPAARAPPHRTTIAAARLLERAREKGIREKRMGFGFCPSAIDRRLEPRDGFPAARVPPRWTTVIATIARKVKPEL >OGLUM07G10080.1 pep chromosome:ALNU02000000:7:10671370:10696434:-1 gene:OGLUM07G10080 transcript:OGLUM07G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G31970) TAIR;Acc:AT1G31970] MGRSMLPEQQEDVSRKSKKEKKSKKDKKRKLEAEAEVVVVEAAAATSTDEATKSSKKKRAKGDLGQGEEAENGGGKVVAVTGKGSADAKYAPLSSFAATALPPQVLDCCKGFERPSPIQAYAWPYLLDGRDFIGIAATGSGKTIAFGVPALMHVRRKMGGKSAKKGVPRVLVLSPTRELAQQIADVLCEAGAPCGISSVCLYGGTSKGPQISALKSGVDIVIGTPGRMKDLIEMGICRLNDVSFVVLDEADRMLDMGFEPEVRAILSQTASVRQTVMFSATWPPAVHQLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDKSRDSRLVALLDKYHKAQRGWSAVSVHGDKAQHDRTKALSLFKEGSCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPPALTKFGTHVKKKESQIYGSHFKEIKADAPKSTKITFGDSDED >OGLUM07G10090.1 pep chromosome:ALNU02000000:7:10713344:10713769:-1 gene:OGLUM07G10090 transcript:OGLUM07G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENHFFMATTKSKGTRLLERGGAITAIYDMWDTKTVAPQKDRPNGHLPGLANLLVGHTGPGFRGVDSTASWSGTH >OGLUM07G10100.1 pep chromosome:ALNU02000000:7:10714420:10718299:-1 gene:OGLUM07G10100 transcript:OGLUM07G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRKARRGKKVRVLDETGDFITGCPTYPFEIIRAATNGFSQENEIGRGGFGIVYKGQLPNGQEIAVKKLSKENTVQGLKEFMNEVDIIYESGRAMLSWKMRMDIILDIASGLQYLHKDSRHTIIHRDLKAANVLLDADMVAKISDFGIARLFSHNGGNQDCTITDRVVGTPGYMSPEYAMDGKLSFMQDVYSFGVLLLEIISGKHNQGTSSLIAHATTCIQVGLLCVQESPDQRPPMADVIHMLSWEKALGQPRRPVVCTPMRRSPAAVVDDLGVQQTTTCGHSGRLTITDLEAR >OGLUM07G10110.1 pep chromosome:ALNU02000000:7:10749313:10750619:-1 gene:OGLUM07G10110 transcript:OGLUM07G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLFLTLLATPILLTASAAAAAAAESTLTKSSTLSLATRGWWYLGIWFTVSPDAVVWVANRDRPLSGQSSGVVAVSGARGGLVLLDVASNETSIVWSSSNSSGTAARAQLFDTGNLVLTDKDGNVLWQSFEHPTNTFLPGIRVGKDLRTGAEWSLSSWRGADDPSPGDFRYVMDTSGSPELHVWSRGRKTYRTGPWNGVRFSGIPEMTTFEDMFEFEFRVATGGEVSYQFRNRDGSPMSRVLLNESGVMQRMVWDRSAMSWSNFWSGPRDQCDNYGRCGAFGVCNVVDATVCGCIRGFAPRSPAEWYMRNTSGGCGRRTPLQCTGSGGGGGEDGFYLLRGVKLPETHGCAVDAAATLEECRRRCLSNCSCTAYAGADIRGGGSGCIQWFGDLMDTRFVDGGQELYVRLAKSELGL >OGLUM07G10120.1 pep chromosome:ALNU02000000:7:10751433:10751636:1 gene:OGLUM07G10120 transcript:OGLUM07G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLSSLLSCFLLTAAVFLHRNGASTTTHLHFYMHGAYTGPAPTAMRVVSGRSLLDGDDDSSATSWP >OGLUM07G10140.1 pep chromosome:ALNU02000000:7:10972737:10973018:-1 gene:OGLUM07G10140 transcript:OGLUM07G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERPRRRRPSVFPSDAPPGKWSPSEGVREEARTASVKACFGRLEEVALAAYFGGGKEGEVREWIRLDETKLVARELGRKRSGKGDRWTAGHG >OGLUM07G10150.1 pep chromosome:ALNU02000000:7:11008054:11021637:1 gene:OGLUM07G10150 transcript:OGLUM07G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLQAFDDTKAGVKGLVDAGVTAVPYFFRHHPDPLPIAAPSEAAAAILVIDLAKADVDRGHVVSQLASVALSHQRAVPSFVPARPTVRSSSRLTTRARPHMATVSGTDRLRDLHAFDDTKAGVKGLVDAGVTTVPYFFRHHPDPLPVAAPSEAAAAIPLIDLAKADVDRGRVVAEVRAAAETVGFFQVVNHGVAGELMEEMLAAVRRFHEEPLEAKVPYYTRDVASKVRFNSNFDLFRNGFRSPAANWRDTMFVEMFPEAPSPEEIPPPCRGVLEVYAAAVRRLGERLFELLSEALGLPVGYLGRDAGCRDGLSLSVAAHYYPACPEPEATMGATKHSDPSFLTVLLQDTSGGLQAVLPRPPEERWVCPRPCVPVVVGGGGARAAAVYRSTTAGEFLAHYNGKGLDGRSALDHFRIPAAAASPPPPPHLVPARDDAFAHLGSRRARARAHMATVSGTDCLRDLQAFDDTKAGVKGLVDAGVTAVPYFFRHHPDPLPVAAPSEAAAAIPLIDLAKADVDRGRVVAEVRTAAKTVGFFQVVNHGVDGELMDEMLAAVRRFNEEPLEAKVPYYTRDVASKVRFNSNFDLFRSPAANWRDTMFVEMFPDTPSPEEIPPPCRGVLEEYAAAVRRLGELLFELLSEALGLPAGYLGRDAGCTDGLSVAAHYYPACPEPEATMGATKHSDPSFLT >OGLUM07G10160.1 pep chromosome:ALNU02000000:7:11035672:11036324:1 gene:OGLUM07G10160 transcript:OGLUM07G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLDFGLLNSSRAGPIRRGAAVKTSTRVFADSRTSTPPPSSRRLPRRTRPNRRRGCYLMQLDEGCLWLACRQLGDDGLGWKLDMT >OGLUM07G10170.1 pep chromosome:ALNU02000000:7:11076726:11079755:1 gene:OGLUM07G10170 transcript:OGLUM07G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPDPGCHVFDCSHLHLIVVFGDSLQGRGDLTVGIEAVRWRSGGREDQCMLPPSEQTIIIIP >OGLUM07G10180.1 pep chromosome:ALNU02000000:7:11085835:11086965:1 gene:OGLUM07G10180 transcript:OGLUM07G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSGTDRLRDLQAFDETKAGVKGLVDAGVTTIPYFFRHPPDPLPVASPSEAAAAIPLIDLAKADVDRDHVVSQVTAAAETVGFFQVVNHGVAGELMDAMLAAVRRFNEEPLEAKVPYYTRDVASKVRFSSNFDLFRSPAANWRDTLVIEMWPEAPSPEDIPPPCRGVLEEYAAAARRLGERLLELLSEGLGLPAGYLGREAGCTDGLSVAANYYPACPEPEATMGATKHSDPSFLTVLLQDTSGGLQAVFPRPPEERWVDVPPVAGALVVNIGDLLQLVSNDRLRSVEHRVLPTGAAGPARVSVACFFRVEYASTRPYVPVVVGGGGARAAVYRGTTAGEFLAHFNGKGLDGRSALDHFRIPAAASSPPPPPPL >OGLUM07G10190.1 pep chromosome:ALNU02000000:7:11097699:11098527:1 gene:OGLUM07G10190 transcript:OGLUM07G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRFSAKCFGAERSHLSAWSTSAEWRATVDGRLTRRANMSNPIRYGTELRDIKAYPPRPREGALSILSLLRSTKTMMATAAFLSDPNPPLTHGESRGFGGDFMWRLWSKPGNAGKYVVLVNMYIAREMWGSVAGTHEAMRTRPRAHDGICRGVAEG >OGLUM07G10200.1 pep chromosome:ALNU02000000:7:11100187:11101380:1 gene:OGLUM07G10200 transcript:OGLUM07G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGTDRLRDLHAFDDTKAGVKGLVDGGVTAVPYFFRHHPDPLPVAAPSEAAATIPVIDLAKADVDRGHVVSQVRSAAESAGLFQVVNHGVAEELMDAMLAAVRRFNEQPAEAKAPYYTRDLGSKVRFFSNFDLFQSPAAQWRDTLYVEMVPDAPSPEEIPPPLRGVAEEFAAAVWRLGERVTELLSEALGLPPGYLAGRAGCMDGMSMAAQYYPPCPEPEKTMGTTRHSDPSFLTVLLQDESGGLQAVLPRPPEERWVDVPPVAGALVVNVGDLLQLVSNGRLRSMEHRVLPTAAGAARARVAVACFFRLEYSASLTRPRSYGPIVDSGEGGARRAAAVYRSTTPRDFLAYFNGKGLDGRSALDHFRIPAAAAAAASSAVDVVSSTTAAASIND >OGLUM07G10210.1 pep chromosome:ALNU02000000:7:11106029:11112060:1 gene:OGLUM07G10210 transcript:OGLUM07G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFFRDSTSSSRSSSDLAAGADASATASASSRTPPLPSPFPDLGVPLSAAELRATAYEILVAASRATGAKPLTYIPQSAASAASPASSLSSSIHRSLASTAAASSSKVKKALGLRRSSASSSSKRRGTRRPATVAELVRVQLGVTEQADARIRRALLRIAAGQLGKHAESLVFPLEFLQQFKASDFLDPHEYEAWQLRYLKLLEAGLLFHPLVPLKKSDISALRLRQVIHGAYDRPVETEKNSKLMVELCSAARALAGRSLIETFDECHWADGFPLNLHIYQMLIEACFDSEDGAVVDEIDEVVEMLTKTWPILGINQNFHNLCFAWALFNHFVMSGQADIELLSGAGIQLTEVVKDAKTTKDPDYCDVLISTINSIMGWTEKRLLAYHETFSASNIDSMQGIVSIGVSTAKILAEDISHEYHRKRKQETDVVVHSKIETYIRSSLRTAFAQKMEEADSKRSSRHPVPVLSILAKAIGDLATKEKTVYSPILKKWHPLATSVAVATLHSCFGNEIKQFIAGLTELTPDAAQVLKAADKLEKDLVNIAVEDSVNIDDDGKLFIREMLPYEAENVMANLVKAWVKERVDRLKGWIDKNLQHETWNPKANGENFAPSSMKMMQIIDETLQAFFQFPLTMHSTLHSDLATGLDRNIQYYVSKSKAGCGTQSTLIPQLPHLTRCDVGSKLFKKKEKPQVLMKRGSQVGSTTNGASVIPELCIRINTLYHVQTELESLEKKIKTYFRNVESIDRSTGELNIHFKLSQPACQEGIRQLCETFAYKVIYNDLSHVLLDSLYAGDTASNRVEPLLRELDPILRMVSGILHNGVRNRVITSLMKGSFDGFLLVLLAGGPTRAFTLQDSQMIENDFRALRSLYIANGRGLPEELVDKASSEVKNILPLLRTDTGTLIERFKQAISESCGSTAKSGFPMPPVPAQWSPSNPNTILRVLCYRNDEAATKFLKKAYNLPKKL >OGLUM07G10220.1 pep chromosome:ALNU02000000:7:11112530:11115450:-1 gene:OGLUM07G10220 transcript:OGLUM07G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGAEATLSQVTAVDGEDNLFQDKESRATAKERGEAAVFGLENIVTANGATSAADLAPPKDVVDEWPEPKQTHTFFFVRIRSYEDPSLKAKLEQADKECQKKIQARSHIFEALRTKRSERSNIISELKPLTAENKQYNEVVSGKLKEIEPLQKSLGKFRSENNAMRAQGAGLCSSIEELDQLIKSLNDRISHESISLDEEKRLVKEIKQLNGTRSKVIENAAKRAKMQDTVVERDTIHDQVKQIGVGIDEVKRDRQAVRDKIKVLEDQLHAVDGEIAALQDDLTAATARKDKAFEALNELRKTRDLNNTSFHQYRTISNSVRDLSARGEVEAVQQLCQNEVEKFMAQWCSSKSFREDYEKRILVSLNSRQLSRDGRMRNPDEKPIVLETQVAPPAEQEPAPLKKPAKQAKEAPAPRADVTPKDEIRAKAPAKAAKAKQPLDIDDIPDVHDDEPPKEKTKPKVDEAKLKEMKRQEEIEKNKLALERKKKQAEKQAMKAAARAEKEAEKKLKEKEKKARKRSATAGGAESEEAAESDTKSDEAVEPEAQEEEPAAPVTIKKNARHRSTVTKAKTPLPKAVLKRKKSQAFWSWGAPMAALAAALVVLLGALVYYQYYYLPASTSN >OGLUM07G10230.1 pep chromosome:ALNU02000000:7:11120885:11125370:-1 gene:OGLUM07G10230 transcript:OGLUM07G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRWHALAAVGVAACAAAAVAAGDRGFTFADAVAAPEEVGYMRKVVNFLWSGEASYHHVWPPMEFGWKIVLGILIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVLFNVIFPDWLITVLLIILFLGTSTKAFLKGVETWKKETILKREAAKRLEQIAEEPEYSPLPTGPNATAESKAPSDEAASLWQNVYWKEFGLLAFVWIAFLVLQVTKNYMPTCSTWYWVLNFLQIPVSVGVTMYEGLGLMQGRRVISSKGDEQTNLKFHQLLVYCFFGMMAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLDRFPVPYALFFTVVAFFAAIIGQHIVRKLINWLGRASLIIFILSFMIFISAISLGGVGISNMIGKITRHEYMGFDNICNYDV >OGLUM07G10240.1 pep chromosome:ALNU02000000:7:11145300:11145917:1 gene:OGLUM07G10240 transcript:OGLUM07G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVCMGGVTVVGVTMTYALYASAKTTTIHLAASGCGDVHDHSFFFNSSSFFFAPPRFYLSVVDEDRPRYQADEDSVGLEELLATCPSLTNEPVPWYQADNDAAGLGELLAACPSHADEPVSWYSPARGAEPLTPLMVAAAYGSVACLDVLLSPPYLVDPNRVSASLLSTPLHLAAIGWATSAPMAVSRLLAVSANDDDDEVEER >OGLUM07G10250.1 pep chromosome:ALNU02000000:7:11160638:11172290:-1 gene:OGLUM07G10250 transcript:OGLUM07G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIF9] MALLRAATADLLRRHLRVPLASLLHPALSPPPQSTESSCPDDPSTPGPVARRGDFFAAPLRRWPRPLIPVSSSSSVDSTGVRLYSHLSGAGSAGGDSTPPPQQSPPAKPPPPSWVDRWVPLAARPYVMLARIDKPYGTWLLAWPCFWSITMAAMPGELPDMRMLALFAYGSVLIRGVGCTINDLLDRDIDRKIERTKSRPLASGILTPTQGVGFLGFQLLLGLPFLHQLNNLSQILVVFSLPLVFSYPLMKRFTYWAQAYLGLVANWGALIGWAAMKGTIDPAIILPMYTAGTCWILVIDTIYAHQDKEDDLKIGVKSTAIRFGDSTKPWISGFGAACIANLALSGYNADLAASAHLAWHISTVDLSDPLDCNRRFVSNKWFGALIFGGILCGRLVS >OGLUM07G10260.1 pep chromosome:ALNU02000000:7:11180039:11180275:-1 gene:OGLUM07G10260 transcript:OGLUM07G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPGELPDMRMLALFACGSVLIRGAGCTINDLLDRDIDRKFLQIVKLKGQTCADSMV >OGLUM07G10270.1 pep chromosome:ALNU02000000:7:11180578:11181090:-1 gene:OGLUM07G10270 transcript:OGLUM07G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRAAAAAAAAAADLVRRVPHASLILYPALSPSPPPPPSTESFPDGPIAPGPVARNDIFAATLCRRHRHRRWPRPLIPVSSSSYDGSGARLPATVSLYSPLSSTSSGAGGDSTPPHQSPPAPPPPPSWVDRWVPLAARPYAMLARIDKPIGTWLLAWPSFWYYTTLPP >OGLUM07G10280.1 pep chromosome:ALNU02000000:7:11191658:11195855:1 gene:OGLUM07G10280 transcript:OGLUM07G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRENLNNRKDPTFAKKLKSSRRGFSILGFIQKQMANILSLDYQLDCLDYGSEKWQHADLDFETFKQLQSERGESLFTFAVDMTLKSTKALVQPTLPDGLDFWRSKLLWASRVLPMPLVGLFLISGLCLPIDDQGGYPELEALSRLDFGAALKIFLAKQLTSDFTSMTSPIEEKSVIIGERNRVATEKIQEAINRGYKRIAVLYGGGHMPDLGRRLREELNMVPADVQWVTAWSIRSRELDRESLPFLKTMAEVSGWPLNRYETLALLIFSSVLAVDLWFWELLVGTAMNWASLAGSWIEQFNGPF >OGLUM07G10290.1 pep chromosome:ALNU02000000:7:11194403:11198225:-1 gene:OGLUM07G10290 transcript:OGLUM07G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMVATMALLTCLAAGGLVVGAEEDGGGGGLGRLGSPDYGDALAKAILFFEGQRSGRLPANQRATWRGDSALTDGREENVNLTGGYYDAGDNVKFGYPMAFTVTLLGWSAVEYGAAVAAAGELGNLRAAIRWGADFLLRAHASPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITADSPGSEAAAEASAALAAAYVALKDDGDTAFSSRLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELLWASAWLFKATRDAKYLDFLTNNQGSSNPVNEFSWDSKYAGAQMLAAQEYLGGRTQLARYKDNLDSFVCALMPNSGNVQIRTTPGGLLFTRDSVNLQYTTTATLVLSIYSRVLKSSGSSGVRCSAATFSPNQISSFATSQVDYILGKNPLGMSYMVGFSTKFPRRIHHRGSSIPSIKVLSRKVTCKEGFSSWFPTSDPNPNIHVGAIVGGPDGNDQFSDNRGDSSHSEPATYINAAFVGACAAAMGQKQVVKLEEPVDNLESMVSTY >OGLUM07G10300.1 pep chromosome:ALNU02000000:7:11204783:11211847:-1 gene:OGLUM07G10300 transcript:OGLUM07G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLVGCQLVISHLKSYRFYYNPCRSLDRSIDLSRLCHQSALPLLVLALLRFFLSERNLALTEYCGWQQVQEMGRKWHHVAAALAVACAATAAATVAAAADRGLWSAAAAAVAEEGEEASHLRKVANFLWRSGGENSYHHVWPPMEFGWQIVLGSFVGFIGAAFGSIGGVGGGGFFVPMLTLIIGFDAKSSVAISKCMIMGAAVSTVYCNLKRKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIILFLGTSTKAFLKGIETWKKETIIKREAEKRSEQTSEELEYRPVPASESKPPSDEAVSILHNVYWKEFGLLAFVWIAFLALQVTKNYMPTCSTWYWVLNLLQIPVSVGVTMYEGLGLMQRRRVISSNGNEQTNLKFHQLLMYCFFGITAGIVAGLLGVGGGSILGPMFLDLGVPPQVASATATFSMMFSSSMSAVEYYFLDRFPVPYALYLTIVAFFSAIVGQRMVRKVINWLGRASIIIFTLSIMIFLSTIPLGGIGIVNWIGKIERHEYMGFEDICKFDA >OGLUM07G10310.1 pep chromosome:ALNU02000000:7:11234053:11239659:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSSGRGAVDRVRRHVHRRDDVAARALRACTPPPPAPLARIRHRLRREGWIWRPLPLLHGSRHLSAPLLCGSAIRGDVRVNPATSVVSPPSARADPPPVMMGGTEVGWQTGRWGDDLDDGDTCGLGAIAIFSPGCSAGRRGGFQAVDEGAPRRAQPSGGGGSKVGLVALDVALTFPQATMADGDPDGASCLEDEAAHKHYQQQKQDMFVSYLAM >OGLUM07G10310.2 pep chromosome:ALNU02000000:7:11234053:11239274:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTEVGWQTGRWGDDLDDGDTCGLGAIAIFSPGLDNMSVLLAVRPAGEEGFKLWTKVHRGERSQVVVPSGGGGSKVGLVALDVALTFPQATMADGDPDGASCLEDEAAHKHYQQQKQDMFVSYLAM >OGLUM07G10310.3 pep chromosome:ALNU02000000:7:11234520:11239274:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTEVGWQTGRWGDDLDDGDTCGLGAIAIFSPGLDNMSVLLAVRPAGEEGFKLWTKVHRGERSQVVVPSGGGGSKVGLVALDVALTFPQATMASYG >OGLUM07G10310.4 pep chromosome:ALNU02000000:7:11234053:11237988:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYVRICTYRSLSLCLLRALGSKNRDGDPDGASCLEDEAAHKHYQQQKQDMFVSYLAM >OGLUM07G10310.5 pep chromosome:ALNU02000000:7:11238667:11239274:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGTEVGWQTGRWGDDLDDGDTCGLGAIAIFSPGLDNMSVLLAVRPAGEEGFKLWTKVHRGERSQVVVPSGGGGSKVGLVALDVALTFPQATMAS >OGLUM07G10310.6 pep chromosome:ALNU02000000:7:11239288:11239608:-1 gene:OGLUM07G10310 transcript:OGLUM07G10310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDEMTWRLGRCESVRLNPCDLHPNVKVDSWFLSLYTSATGASRADPSSAATGGVDLATSSPPAWIPSSLRSPLVRIRHPRRREGESSDLCRLSTLRSC >OGLUM07G10320.1 pep chromosome:ALNU02000000:7:11265472:11266618:1 gene:OGLUM07G10320 transcript:OGLUM07G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAATADPAASASRPQQETAAGEQGRRWGAGEQERQHCACPALARMRNPHPQPRCVGRWLTPAHLHRRRSSHESNSKEMENHQNRRDRRMGNFRARHLIHCSGEQPNSERCFAHRTSSRGPPEGWGGRKVGERRGGGVSGVWRAPDLTLPSPPPT >OGLUM07G10330.1 pep chromosome:ALNU02000000:7:11270044:11275437:-1 gene:OGLUM07G10330 transcript:OGLUM07G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDDAAADEAEPQASAASSPPARGAADRSHTTPPSSGRRRRYSASASSAAAARPDPAEPDEVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEEQLGKLREENGSLKRSLESSKAVSANSNGALERSPRGVQRNTVQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKETETKRRLEDEHKRNELLQQQLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRREKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQINEVGTSDVNGISDVEKVKEEMASLKKSLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKIISEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAARLSQVEGMLADGKRSMQKLENDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENQTASTSNASSAQPSGYISNQAPGPSTSHHMFGRQDTEFATVPLTSSTYSSAQTPFSRPPQR >OGLUM07G10330.2 pep chromosome:ALNU02000000:7:11270044:11275437:-1 gene:OGLUM07G10330 transcript:OGLUM07G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSIATYRESLSRLAGEVDDAAADEAEPQASAASSPPARGAADRSHTTPPSSGRRRRYSASASSAAAARPDPAEPDEVSKLKEDIQKLQVSEAEIKALSFNYVAMLKEKEGALERSPRGVQRNTVQDNPLNVSKQNGYGGGASQGIQPNGLHSMTGHRKADISEGDRSFFAAKQASLENEIKQLKKQLSDNSEKETETKRRLEDEHKRNELLQQQLNELNVSKERISTNMEELHNELSEKEAKLRRLQEDLSRREKEHVSDASLQSLRSMVMALQKENSDLKIEKSRLEADLVRKKSTSQINEVGTSDVNGISDVEKVKEEMASLKKSLHDASYERDKAVQDLARLKQHLLDKDLEDQEKMDEDSKLIEELRAICDQQRAHIVQLERALKFEMAKQEESKKIISEEHQRSNEQITDLKYKLANCMNALESKNLELLNLQTALGQYYAESEAKERLGGDLAMAREELAKLSESLKVANQAIEISRREKEEVAARLSQVEGMLADGKRSMQKLENDNSRLRRALEQSMTTLNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQSNAGKGVVRGVLGLPGRLVGGIVGGNSAGKPTQASQDNQSFADLWVDFLLKETEEREKREASEAARLSQEENQTASTSNASSAQPSGYISNQAPGPSTSHHMFGRQDTEFATVPLTSSTYSSAQTPFSRPPQR >OGLUM07G10340.1 pep chromosome:ALNU02000000:7:11282123:11286537:-1 gene:OGLUM07G10340 transcript:OGLUM07G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGGLPAWMTAAAARVDLSSAGGGGGIPGSGASSSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >OGLUM07G10340.2 pep chromosome:ALNU02000000:7:11282125:11286537:-1 gene:OGLUM07G10340 transcript:OGLUM07G10340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGCSRGGGGLPAWMTAAAARVDLSSAGGGGGIPGSGASSSSSSSQPGYSGPHQQAGVAGAAADQELGMAERALSAAGAAFVSAIIVNPLDVAKTRLQAQAAGVPYYQPSQMASLGPDAILSDFRCSPSCTRGVILGSEPICPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDLFRNWIEDFTQSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMWKTLLGVVSPLASSTQNAQNYRALWTGVGAQLARDVPFSAICWSTLEPIRRKLLGIVGEEGDAASVLGANFAAGFVAGSLAAGATCPLDVAKTRRQIEKDTQKAMRMTTRQTLADIWSSGGMKGLFTGVGPRVARAGPSVGIVISFYEVVKYALHQRHIS >OGLUM07G10350.1 pep chromosome:ALNU02000000:7:11289592:11294817:-1 gene:OGLUM07G10350 transcript:OGLUM07G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G53340) TAIR;Acc:AT5G53340] MQIREGGPRRGAASAARSPMSAMMLAMFATMASFYVAGRLWQDAQSRVYLIKELDRRTGQGHSTISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYTYETNGTNSRKRPLIVIGIMTSFGRKNYRDAVRKSWLPTGSMLKKLEEEKGIVVRFIVGRSVNRGDASDREIDEENRSTKDFMILDDHTESEEESPKKTKSFFANAAESFDAEFYAKVNDDIYINVDTLSAMLKEHWDKPRVYIGCMKSGEVFSESYFRHASGEMFVISKAVAQFISINRSVLRTYAHDDVSVGSWLIGLAVKHELCVQLCDKQHFRGEEFCENYVRIEIIRNEAYHLQTMRSKLAF >OGLUM07G10360.1 pep chromosome:ALNU02000000:7:11322760:11323017:1 gene:OGLUM07G10360 transcript:OGLUM07G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAAGKGLASPRLLRRLAADPVSGMGKRARHGGFARSHSPYFESQLRRLITDAGLSVSSAEDVAIALRSCFPEFRRYKLDPFA >OGLUM07G10370.1 pep chromosome:ALNU02000000:7:11323077:11326134:1 gene:OGLUM07G10370 transcript:OGLUM07G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQVGLLSRLLLRRHVNGRSKPATAPPPPPLASSAADPVSPAALDSLPSPSPAIPIPTLAVAAAHLTVAAYLVVSAPNFDTAAGALFAPPGGRTFRSYTKEEISAHNTRTDCWVIIKDKVYDVTSYVEEHPGGDAILNNAGGDSTEGFFGPQHGFRVFEIIEDFCIGELKHS >OGLUM07G10380.1 pep chromosome:ALNU02000000:7:11326465:11327509:-1 gene:OGLUM07G10380 transcript:OGLUM07G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVDGSGAQLVVAELVRVQGLLRQLEAHLSAPCSVELCRGLAAQIVALTDRSIGIATRSFSSASGGGAHFADTAPPMPALTSCTPSPLSDGSDHQPFRTTNAKKRKTTARWTSQVRVSAAGGAEGPADDGHSWRKYGQKDILGAKHPRGYYRCTHRNTQGCTATKQVQRTDDDASLFDVVYHGEHTCRPGGASAAAAKRPHAQTLLQSLSASLTVNTDTNTPLTPENRATAPPLQQQQSVSASPVASDSYGLGGAGYGDWRCCDGDLQEVVSALATVTSAPDHAAMDAADFMSYCFDFDPAVYGGIVGTPSFFL >OGLUM07G10390.1 pep chromosome:ALNU02000000:7:11352007:11358038:-1 gene:OGLUM07G10390 transcript:OGLUM07G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSRNPPPHPSSSPRRRRRRRRSSPSIPRPDPPPPASSTAPVRRRRRRRAPTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRHSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKLKELGRELLHLENIVAAEALKEVPGAHHLTGLAEGSDLAQEIGPLSLEGSPQGPLALKGSSQGPFALKGSSGQLST >OGLUM07G10390.2 pep chromosome:ALNU02000000:7:11351934:11358038:-1 gene:OGLUM07G10390 transcript:OGLUM07G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSRNPPPHPSSSPRRRRRRRRSSPSIPRPDPPPPASSTAPVRRRRRRRAPTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRHSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKNSGENSYTWKILWPQRLSKKFQEPITLPVSQKGAISLKRSSPHDRDSHRRRGDRSRSPATNGNHKKD >OGLUM07G10390.3 pep chromosome:ALNU02000000:7:11352007:11358038:-1 gene:OGLUM07G10390 transcript:OGLUM07G10390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLSRNTHHAHGAPKSRNPPPHPSSSPRRRRRRRRSSPSIPRPDPPPPASSTAPVRRRRRRRAPTPHMSQSKEVRYTARSITPPADRNGTSKSKSPTPVRHSTSRSPPPKKSDSRSPPPRRRSTSRSPRPRRHGRSRSRSRDDDLRNPGNNLYVTGLSTRVTEEDLEKFFSKEGKVQSCHVVLDPRTKESRGFAFVTMDSVDDARRCIKYLHRTVLEGRLVTVEKNSGENSYTWKILWPQRLSKKFQEPITLPVSQKGAISLKRSSEGPLTLQGSPQGPLSLEGSPQGPLSLEGSPQGPLALKGSSQGPFALKGSSGQLST >OGLUM07G10400.1 pep chromosome:ALNU02000000:7:11358391:11358792:-1 gene:OGLUM07G10400 transcript:OGLUM07G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVARGETCSPAALDAIPYWYCGEGHGYGERLQRLGWPRSPPAWKQLIFTPSPCRRTLRVLWRRPLTPCLIGTMANGVGVAKSLPPQLXLHQPHPPLPLAPPPA >OGLUM07G10410.1 pep chromosome:ALNU02000000:7:11391622:11391897:1 gene:OGLUM07G10410 transcript:OGLUM07G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKGAFTATTYRSAAAAATVGEEESRHPPPPARTELRSTEDERGLPVKRLEDKVDDAAGKGGPVFGAGEDDGKPDLGVTGTGGG >OGLUM07G10420.1 pep chromosome:ALNU02000000:7:11392440:11396473:-1 gene:OGLUM07G10420 transcript:OGLUM07G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISVIFLTFERRGRPPQWKKKRRRKVLRAVRGFLSVLTPRIRFVPRSRMRMDRNEEEMVTNDSDPLLKRENEEAESSSQLTPPKPATLSALEIEDEETDGSSAGCCRICLETDSELGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVILVFLAVQLTIAMIGAISYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLILHCSSFNDNQDPCLAGCRNCCYGWGVLDCLPASLEACFALVVVFVVVFAILGIAYGFLAATMAEYVVEDLHGSYTPPKLDPEHEERLKMLKLL >OGLUM07G10430.1 pep chromosome:ALNU02000000:7:11409482:11410205:1 gene:OGLUM07G10430 transcript:OGLUM07G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVRGCLDPVTVAVVEKARAEGAVAADGREVVEEKWQSKSLNKPMPLSHLFFDFFHHNSHTAKSSSQKEER >OGLUM07G10440.1 pep chromosome:ALNU02000000:7:11414407:11423571:1 gene:OGLUM07G10440 transcript:OGLUM07G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGEAAFGVASLRLRGSMASASSRRAPSYRDYDVFSIASSSRAEAEDDEEALKWAALEKLPTHARVRKGIVAAADDGQGSGAAGEVVDVAGLGFQERKHLLERLVRVAEEDHESFLLKLKQRIDRVGLDFPTIEVRYEHLSIDALAHVGSRGLPTFLNTTLNSLEVKNLDPQNPLISDDFWANFSLNLLFFDPHLDVQSLANLLHVVPNKKRPLNILHDVHGVIKPRRMTLLLGPPGSGKTTLLLALAGKLGSDLKVSGKVTYNGYGMDEFVAQRSAAYISQHDLHIPEMTVRETLAFSARCQGVGTRYDMLTELARREKAANIKPDPDLDVYMKAISVGGQETNIITDYVLKILGLDICADTIVGNEMLRGISGGQRKRVTTGEMIVGPARAMFMDEISTGLDSSTTFQIVKSLGQITSILGGTTVISLLQPAPETYNLFDDIILLSDGHIVYQGPREHVLEFFESMGFKCPDRKGVADFLQEVTSRKDQQQYWARTHQPYRYIPVQEFARAFQSFHVGQTLSDELSHPFDKSTSHPASLTTSTYGASKLELLRTCIARELLLMKRNMFVYRFRAFQLLVITIIVMTLFLRTNMHHETRTDGIVYLGALFFAMVAHMFNGFSELAMATIKLPVFFKQRDYLFFPSWAYTIPTWILKIPISCFEVAITVFLSYYVIGFDPNVGRLFKQYLLLLLVNQMAAALFRFIAALGRTMVVANTLASFALLVLLVLSGFILSHHDVKKWWIWGYWISPLQYAMNAIAVNEFLGHKWNRLVQGTNTTLGIEVLKSRGMFTEAKWYWIGVGALFGYVIVFNILFTIALGYLKPSGKAQQILSEEALKEKHANITGETINDPRNSASSGQTTNTRRNAAPGEASENRRGMVLPFAPLAVAFNNIRYSVDMPPEMKAQGVDQDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAYSAWLRLPSDVDSETRKMFIEQVMELVELNPLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSCDLIEYFEGVEGVSKIKPGYNPATWMLEVTTLAQEDVLGISFTDVYKNSDLYQHEVLTKSLDSYGIVAHCRRNQSLIKGISRPPQGSKDLFFPTQFSQSFSTQCMACLWKQNLSYWRNPPYTVVRFFFSLIVALMFGTIFWRLGSKRSRQQDLFNAMGSMYAAVLFMGISYSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVVVELPYVLVQSAVYGVIVYAMIGFEWEAKKFFWYLYFMYFTLLYFTFYGMLAVGLTPSYNIASIVSSFFYGIWNLFSGFVIPRPSMPVWWRWYSWACPVSWTLYGLVASQFGDLKEPLRDTGVPIDVFLREYFGFKHDFLGVVAVAVAGFATLFAVSFSLSIKMLNFQRR >OGLUM07G10450.1 pep chromosome:ALNU02000000:7:11426909:11427135:-1 gene:OGLUM07G10450 transcript:OGLUM07G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHVTMPIACIEDVLRWCSKHVLNDLLRNGFDENYKRWVYHGEDDSDEDDVAEGNNNVAVPNMISNITSGY >OGLUM07G10460.1 pep chromosome:ALNU02000000:7:11443396:11448683:1 gene:OGLUM07G10460 transcript:OGLUM07G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAEPLAVAVAHTATAGTDHSPAPLPPPPPHCNYKSKLQEYLQQANKQLPIYCTKCKGEHHQLKFKSTVMVDGEEFSSTFCHRRVKDAEQDAAKVAYDTLLERKETETDDTDVFELIDQDVVFSKSILHEYTTKTKTDQPEYSVTKTEGSVTPYVASVSFAGHTYTGGAARNKKDAEQKAARAAVKSLLATNYTSMAKIVRSKEKLISAISPSGYNKGIDSNPTNKKLPFAPIKFTPPSIFKLYDGEIDMLSVPQALFAPLVAAEEPKVRPAAEPASNPSEQAVHVSKKHKDNKVRGPEVKEERVAQSLDSIFLCWRLTGFGDNMVLCR >OGLUM07G10470.1 pep chromosome:ALNU02000000:7:11449368:11453104:-1 gene:OGLUM07G10470 transcript:OGLUM07G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome B561-1 [Source:Projected from Arabidopsis thaliana (AT5G38630) TAIR;Acc:AT5G38630] MAAAPAARFPVFGLVRLLGLAAAAAIVVWAVHFRGGMALSSETDKLLIFNVHPVLMLIGLVVLNGEAILAYKTVPGTKKLKKLVHLALQFLAMLLSLIGLWTVWKFHDERQIDHLYTLHSWLGLSCIIFFSLQWATGFYTFWYPGGSRSGRASLLPWHVFFGLFLYVLAIATSVSGLLEKSIFMQSAKMIGRFSTEAMFMNSLGMLLVLLGALVILAVVTPGVGKIDTYRGSSE >OGLUM07G10480.1 pep chromosome:ALNU02000000:7:11456182:11458540:1 gene:OGLUM07G10480 transcript:OGLUM07G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGEFFSVGECDGQKTIDGEQVPLVLTPASSGSGERGGEALAAALRARREWVEAKVVSNSAVLLRGFGVRDAAEFDAVVGALGWPDIRYVGPAPRTHVHGRVWTANEGPLDEFIYYHHEMVLIKEFPGKVILFCEVAPPEGGETPFVPSFRVTERVMEEFPEMVEELDEKGLRYTFTALSKNDTKSMRGRGWEDAFATTDKAEAEKRKLTRVFPGRQGRRMWFNTVVGMHGKALSSATMADGAEIPTAFVARCGEIIEEESIQFRWEVGDVLILDNLATLHGRRPSLPPRRVLVATCK >OGLUM07G10490.1 pep chromosome:ALNU02000000:7:11460298:11463949:-1 gene:OGLUM07G10490 transcript:OGLUM07G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREDKKTIAPEHVLRALQDLGFREYIEEVQAAYEHHKHDTLDSPKASKFTGVEMTEEQAVAEQQRMFAEARARMNNGAAKPKEPEPEAQQQTQQPPQPQLHPQPQQPLQPQLQLHPQPQQQPSQLHPQQLLHPQSQQTPQPQPQVHPQPQQPPQLQPQPQLLQQPQLPQQLQPQSQLPPQPQQPPQLQLQSQLHPQPQQPPQLQPQPQLHQQPQPQAELQSQSQPQTEHGLDSS >OGLUM07G10500.1 pep chromosome:ALNU02000000:7:11460349:11461882:1 gene:OGLUM07G10500 transcript:OGLUM07G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLRLQLWGLLRLWVELRLQLQLRGLLRLWGELRLRLQLLGQLRLLEKLRLRLQLWRLLWLRVDLRLRLRSLLRLRMQQLLRMQL >OGLUM07G10510.1 pep chromosome:ALNU02000000:7:11499875:11512593:-1 gene:OGLUM07G10510 transcript:OGLUM07G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger (Ran-binding) family protein [Source:Projected from Arabidopsis thaliana (AT1G55040) TAIR;Acc:AT1G55040] MASSYVLSLLRRRRRRCTIPTLPPLLPRLLSSFSHCPSSLSPAKPPSLSARLSFVFDQLDALDRSRFSDHSARDAALRRIQSWRRPAALPDVLPAEAEPRPAPEPGEPVKKEPEAVDVAGKEELERMSVAEVLRREVELVHPWPEWIELMDRLAQQKYFDLGGTGGADEGCVAAAVPMDLAEVTQETGFDFSRDWTTVKNACMNFGRDRFDIVKSLPRKDLQVLVGHGCPSMDPKVVFSAKLIRKLVHLDEGDVCSSCNLRNLCSRGYILTRKEDEARTLDVMRILLFYGFDHVKETVENKPLLKLKSVKTVVRKLIHDIAKLSAVPIDPNLPPPIIRKPPPKVKQSPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNTICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHNRPPDEYTNSQMEANQSVPRKRLERPAHKSEVCNAWNFDFDDNESDGADVAAFEFADSSKARNSLSVDNTSSRGGSKFSEDNEFGINETWREGTERRFSERERVGFDDFDDEEDDIDSYELDLSKGGQTDGMSRMSYLDFEDACDSADLNGRAKIRNSKHGEEEDIIGSPEDEEFDHPSLRSSHLAASWRKPVSCNGSNNHRRESFGSESDDGIISDLDGDTNEGLKCKGGHNKHYPRRVVVRHNELDDVPFSDMDCDIGGCVQSDRRNRFSTKFSDEFHARASNSNRKKMNERFKSSDMRDGHPPFDRTRTRGTTELHDGSRDLQSNARRNWVKSGGFDDNNRPLRREGNMSKSLFT >OGLUM07G10530.1 pep chromosome:ALNU02000000:7:11625992:11642707:1 gene:OGLUM07G10530 transcript:OGLUM07G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G67320) TAIR;Acc:AT5G67320] MGAITSAELNFLIFRYLQESGFIHAAFTLGYEAGIHKGGIDGNLVPPGALITIVQKGLQYIELEANTDENDEDLAKDFALLEPLEIITKNVEELQQIVKKRKREKTQSDRDKDKGKEKERMEEHERRPGGERERERHDQEKELEKEKDRAERDRDQDKEKEKLHTERIDKVKAEEDSLAGGGPTPMDVSTTAHEISSADVTVLEGHSSEVFACAWSPAGSLLASGSGDSTARIWTIPDGPCGSITQSSPPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWNSDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGDQRPVKSFSGHQSEVNAIKWDPTGSLLASCSDDWTAKIWSMKQDKCVYDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPGGEYLASGSLDQCLHIWSVKEGRILKTYRGSGGIFEVCWNKEGSKIAACFSNNTVCLMDFRM >OGLUM07G10540.1 pep chromosome:ALNU02000000:7:11647510:11647848:-1 gene:OGLUM07G10540 transcript:OGLUM07G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARRRLLPSFFFAAGYEIESAGGAESLLPRLLRSVGRFCTELELACDTVRWAQGEVH >OGLUM07G10550.1 pep chromosome:ALNU02000000:7:11647907:11648152:-1 gene:OGLUM07G10550 transcript:OGLUM07G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGGKEDGGRSPHLLRHFLGFLKVFEEDFKEKMLARRLGLACLQRSERRREPDGGTRCALRSNDGEVRVPDDPLHQMG >OGLUM07G10560.1 pep chromosome:ALNU02000000:7:11648189:11648739:-1 gene:OGLUM07G10560 transcript:OGLUM07G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHVDDGGSCNGSAAARTSGVNGDGSIGSKNYHACVSMRLVRPERWCVRE >OGLUM07G10570.1 pep chromosome:ALNU02000000:7:11657053:11657954:-1 gene:OGLUM07G10570 transcript:OGLUM07G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding WLGSHRARKLVCCGGRGSSEAPTTVRVEAWGAKSNTSTLGLDYAGFYDQRFDVGRGEGGGALELRWLGIGDVGGNVCSRKTRIREGWWPAEVDEELAGTASTIA >OGLUM07G10580.1 pep chromosome:ALNU02000000:7:11679551:11681286:-1 gene:OGLUM07G10580 transcript:OGLUM07G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNGDVGKRSTAPALSVDWPVMAILRVDGKTTAAAQSCGRRQRKATATVAAAEIDISCAQEMVEWELKGYWFEVFGNGFQGNII >OGLUM07G10590.1 pep chromosome:ALNU02000000:7:11681312:11681799:-1 gene:OGLUM07G10590 transcript:OGLUM07G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPLVICVFFFLPFFFSLLFSFQPGTEEREGGAGAPVVERPRRRRLSVIPRIKHPGRVEEGRGREEDDRNGGDKSTAPATKEGGCGGWFRRGKRGERGKNGFAGGRQIDGEEIDRWRRRPDLAGEREFEELGFGEGNGVSLAIYRQGEAVE >OGLUM07G10600.1 pep chromosome:ALNU02000000:7:11774273:11779672:-1 gene:OGLUM07G10600 transcript:OGLUM07G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIK2] MALSCMRCSPAAGAVRRGLASAAPPAALSFARCGLRRAAALGWRVAAVTTTGVQGAKDAGLEKAARSASQSKVENGSPSEIILDDFEDLSPLSENDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCNEKMEEFLKRCFYHSGQYDSEEHFMDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSGNGWTRVIVEKPFGRDSDSSSALTRGLKQYLVEDQIFRWIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDRYGIIRDIMQNHLLQILALFAMETPVSLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTEDKTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKGAEIRVQFRHVPGNLYKRSFGTDLDTATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWELFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLTTEQKA >OGLUM07G10610.1 pep chromosome:ALNU02000000:7:11781683:11782370:1 gene:OGLUM07G10610 transcript:OGLUM07G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEVGAHDQSQGGDGYDGGGLELACPRRALAIGGKARVPSADLARRGDRDDPGGGLDLTPENEVSCRRFRPPCYRCLGLCVEKPGRATTATPVEPKGRMQMDLAPLCQIRPESGHAGRWGSAKMVWWLGGARLSSPTTTTRWPTTSKMSVASDIDDKEGHPHAGCLVASCLATRLLMAWIIGGGVVGERLAAGALMLRRFRRRGVGTMAVEVGGPKGPSPQSKAV >OGLUM07G10620.1 pep chromosome:ALNU02000000:7:11790549:11795487:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10620.10 pep chromosome:ALNU02000000:7:11790549:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.10 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10620.11 pep chromosome:ALNU02000000:7:11790502:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.11 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10620.12 pep chromosome:ALNU02000000:7:11790502:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.12 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10620.2 pep chromosome:ALNU02000000:7:11790502:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERWGHAAVVSVLLNHGADADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYVRDPIAERLP >OGLUM07G10620.3 pep chromosome:ALNU02000000:7:11790502:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERWGHAAVVSVLLNHGADADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >OGLUM07G10620.4 pep chromosome:ALNU02000000:7:11790549:11795487:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYVRDPIAERLP >OGLUM07G10620.5 pep chromosome:ALNU02000000:7:11790502:11795487:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10620.6 pep chromosome:ALNU02000000:7:11790549:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQIEELERWGHAAVVSVLLNHGADADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPVIVPYHRGGKRIHVGVQELTISLKILLHGLKLLLASSKDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >OGLUM07G10620.7 pep chromosome:ALNU02000000:7:11790549:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYATGIVEAVEDLLRC >OGLUM07G10620.8 pep chromosome:ALNU02000000:7:11790502:11795487:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPALAPPTIAQADANVNGAGFNGATPLLLACSHTGSIRFVNCLVESGADPNIPDEVKHFLPSRRQLQLLKGYFSTGVNKMWILAIGRVLDVTVTPREIEPLVCEYYISKCPRGKEDTCRSAGINYFTEDIAARSQAVARQFKSLKVKAVVALLSLSRNDTAEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQVYSCSNYWRDHDTAFFILKHLYRDIPEETPTDDPERMPIRLFYVRDPIAERLP >OGLUM07G10620.9 pep chromosome:ALNU02000000:7:11790502:11795480:1 gene:OGLUM07G10620 transcript:OGLUM07G10620.9 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERLTGSPDGRIDHVLQEKTFQHLYLSALGSHMFILVAIIGEIMILLSSFSNICTVIYLKKLQLMILKGCLFDCFM >OGLUM07G10630.1 pep chromosome:ALNU02000000:7:11799481:11804167:-1 gene:OGLUM07G10630 transcript:OGLUM07G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKFVKELWKAHMRHQDPTETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLESPESQRMLMSEFQLPYKALPHSEFEAVKDKLSQVARTIGQSAAVESVFFKVPFEEVPDLVASRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKNSNG >OGLUM07G10630.2 pep chromosome:ALNU02000000:7:11799481:11804167:-1 gene:OGLUM07G10630 transcript:OGLUM07G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit family [Source:Projected from Arabidopsis thaliana (AT1G67320) TAIR;Acc:AT1G67320] MEIVRSHRQIAAEAAAGVGCGGGAGGLPTYRVAPQLEVRLEEFELFAIDRLRVLKGIADGLSRGKRPEEMEKFVVSLVVTQFRCNISKALVLTNRKWTATIKEQEKDRLTPIVEALSNAYFGPDYSQLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEDNLRAALNKMGISGHPLEEIMDKVKNRHYQLACTMTFEAEHGVSCDTGINHPNQYFSESQKVLKAKNSNG >OGLUM07G10640.1 pep chromosome:ALNU02000000:7:11823000:11826933:1 gene:OGLUM07G10640 transcript:OGLUM07G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTVHLYDPITHILSILPYYHEIQTEPNCSDNASLIQSHSQVYSSPYHPITQSQIKCPNYTIHSTVQCTDQLQAQMPLSSQYKPYQKYKSSYYNWAILLSLPAQRTTQHKSILSPPADLAAHPCSLAQPTTRSLLCLPQATCMHRTCPTNCLQDTTGFCTHLCRLTTVAHKCHGPSQPTTPAPMLQIHKTHHQKPTNTVRKAHTEKQHQVHRGELTLSPMQIATTPGSLYKHHHSHAPLHFLLPLPDRRDLSPPPLHSLTHLLPPLHLPRRG >OGLUM07G10650.1 pep chromosome:ALNU02000000:7:11826318:11826897:-1 gene:OGLUM07G10650 transcript:OGLUM07G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQTPVATAEAELVSSAAVPVKPEEAAAKAQPEDDAPIVEDAKDDDDGDEDDDDDGDEDYGEHGAVVNKGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNVRNCTTAANNCTRQG >OGLUM07G10660.1 pep chromosome:ALNU02000000:7:11832094:11832361:1 gene:OGLUM07G10660 transcript:OGLUM07G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTIPGCYYCKQSTNGSPVGRESTTEALGRSQTRRWSISLLDGPFLDDETKLVAQQLIRICLGAAGRRKTLLLGHGSSS >OGLUM07G10670.1 pep chromosome:ALNU02000000:7:11832454:11833032:1 gene:OGLUM07G10670 transcript:OGLUM07G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMLQRRNPELSGEIDGRQRRLFMPRRRTTAMVDGATSTGLHVITNIENRAISMWYTRSRVSCELWFVGVAGDFIEQLRAPTGGREDGGISGGWRQSLMGEVRVVEEESGESCGQGLGRFGWVYDISWMGMSRARIHVHGLTAQRPVLATSSRTDGTTRMGSLQGSNGCQRWSKGEGFGRGVEDLAGTAR >OGLUM07G10680.1 pep chromosome:ALNU02000000:7:11833212:11840867:1 gene:OGLUM07G10680 transcript:OGLUM07G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKWGQCFQGPRTEEGRPQIHPAGLIRIGKGTILDVPSEDNDLFWINNSLGQNPLPSPLGPSPFFRPIPFALLSLPSSFRPQWPNNLAQPSRPKRQPPPSSFPWALPPCAMGAAVRRSTIATRRLCGFEYRLH >OGLUM07G10690.1 pep chromosome:ALNU02000000:7:11874811:11875228:1 gene:OGLUM07G10690 transcript:OGLUM07G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDITVSSRWSHYFVFVFVQLASSPMSPYLSRLHFALLRQSCVALTIPPPRRSRAVTVMEVFSASLLRHWCMIHVSYLTRVVLATSLRAFVPSCPRVWQTRHDVSSYTVRQHQLFGVIFLNDFHERITVIIFSTAF >OGLUM07G10700.1 pep chromosome:ALNU02000000:7:11878365:11879871:-1 gene:OGLUM07G10700 transcript:OGLUM07G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLIPPLKLGEEGPGQDLHASCLSMNQVEIKFLFYLYDAIPFLEDCFHEPPKDWSHVPRSHSKIAMSVPVLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVGMRSFFLVKS >OGLUM07G10700.2 pep chromosome:ALNU02000000:7:11878365:11879871:-1 gene:OGLUM07G10700 transcript:OGLUM07G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRAALLIPPLKLGEEGPGQDLHASCLSMKIGAMFQEVIPKLQLLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVGMRSFFLVKS >OGLUM07G10700.3 pep chromosome:ALNU02000000:7:11878365:11879871:-1 gene:OGLUM07G10700 transcript:OGLUM07G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVLNQSSSAPFQAIIGITSKTFYSLQSQGAISFSQVGMRSFFLVKS >OGLUM07G10710.1 pep chromosome:ALNU02000000:7:11883978:11884766:1 gene:OGLUM07G10710 transcript:OGLUM07G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATTTTGGDVQIPIGQQATVAAIATATSDGIRDSPGTSSPFRGGGGSTTPTPQRPVKAGSSSSPPPPPTTAMDKTLSSVANLAKLLPTGTALAFQSLSPSFTNRGACLTSNRYLTAALLYLCVLSCIFFSFTDSFVGGDGKLYYGVATAKGFLVFNYDAGSSSDGDDDDQRRRREVFKDLRRLRIRWVDYVHAVFTAVVFMTVAFSSTAVQSCYFPEAGDNVKQLLTNLPLGAGFLSTTVFLVFPTTRKGIGYGGQSTN >OGLUM07G10720.1 pep chromosome:ALNU02000000:7:11896014:11903802:-1 gene:OGLUM07G10720 transcript:OGLUM07G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEVASGELLVQWHAHYRAVRCLALYDFLLISGSEDGSIKVWDLLTMLDEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREEIVISFSQHMSWFLTD >OGLUM07G10720.2 pep chromosome:ALNU02000000:7:11896014:11903802:-1 gene:OGLUM07G10720 transcript:OGLUM07G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49180) TAIR;Acc:AT3G49180] MAPPQQLVLAASSTDAGVAAWDLRTGAEAIRHRTCASRPRALTVVAGRFLAASQAPAGNSAPIHYYHWDKPQVAVKSFPVEPIRALIADPEGSYLIGGGISGDIFFWEQSRLEAKTQHIYSFNQHALPVTDVACCHGAIAVSSSEDHTCKIWSLSEGRMLRSISFPAITDSVALDPRSHIFYAGGRDGKIYVTAMGIDVTSPSSDDSTIIGALDDHSKAVTSLASSTDGLILISGSEDGNVRVWDTRTQQVIRKFKHSQGPVTNVLLVTPKRVNLPPLQSLRKVCSANGGSESRAVIVPQPENDVQIFGNFSSDFLERCLDALQPGSSSRLFESGASALYGAPKQQGVEWRSKYLELQDLFVREEIVISFSQHMSWFLTD >OGLUM07G10730.1 pep chromosome:ALNU02000000:7:11925102:11927486:-1 gene:OGLUM07G10730 transcript:OGLUM07G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAVLVSNHGCSGGGGRVGVGVSGAGGGGPGEGKAEGQQGQVLALLLAALRRSVVLPCQMADADDPAAVAWGMEIGWPTDVRHVAHVTFDRLNGFLGLPVEFELEIPGHVPSASASVFGVSPESMQCCFDDNGNSVPKILLLMQERLYAQDGLKVAEGIFRITPENSQEENVREQLNRGLVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEECVELVRLLPPTQAALLNWVVEFMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLREREHDESEYSAISSQSSSSDELDEMHHHVEQGGDSGSDTDNFGDDGSQSQKDVAKVLQQNVVNEQPIGASRRHTSIDFRLPYISYGSDDDVSPNDIEECFLRRLEWNAVSKDASEIGSITVRSNQEAGQLSFSEENDGYYSTDYQSRNILLKDSVGIQSTLPRETESRAEITNDEVQDGAEVEVTLEQ >OGLUM07G10740.1 pep chromosome:ALNU02000000:7:11938103:11943898:-1 gene:OGLUM07G10740 transcript:OGLUM07G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTPLAWLPSVPLLGNMSLGRPLPKTPKKPQKRDRGESPNLKAAAVSAPPVSPPTRRQPPSSPPSPLPRRALGSRMEAEAAAKRARESGDAAAAGAGEQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLPLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDRRVSLHIGDGVAFLKNAPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPIFNIEDNEFSTKSKGPLKFYNSEIHSASFCLPSFAKRVIGSKAN >OGLUM07G10750.1 pep chromosome:ALNU02000000:7:11950894:11951549:-1 gene:OGLUM07G10750 transcript:OGLUM07G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGDCFVKHLFDVCKEEIEAGNRPMGIFTTTGWKNVVSKFAEKSGDKRTKKQLKRRIVILRYGIIV >OGLUM07G10760.1 pep chromosome:ALNU02000000:7:11960747:11979819:1 gene:OGLUM07G10760 transcript:OGLUM07G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRRRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQVKWLNKHLSKLWPFVDQAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNIQPGQIIMDIDLRWGGDPSIILAVDAVVASLPIQLKDLQVYTIVRVVFQLSEEIPCISAVVVALLAEPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLKWPHRLVVPLGVNVDTSELELKPQGRLTVTVVKATSLKNKELIGKSDPYVILYVRPMFKVKTKVIDDNLNPEWNETFPLIVEDKETQSVIFEVYDEDRLQQDKKLGVAKLAVNSLQPEATSEITLKLQQSLDSLKIKDTKDRGTLHLQVTYHPFSKEEQMEALESEKRAIEERKRLKEAGVIGSTMDALGGAASLVGSGVGLVGTGIVGGVGLVGSGIGAGVGLVGSGVGLVGSGIGAVGSGLGKAGKFMGKTVAGPFSMSRKNGSSSTAPQAEQPSA >OGLUM07G10760.2 pep chromosome:ALNU02000000:7:11960747:11964111:1 gene:OGLUM07G10760 transcript:OGLUM07G10760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISGMVMGMVVGVALMAGWSRVMQRRSRRRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQYEQMIFWVIVSAVVWKWYKKELCKFFGDIEWKIVVVCMMTCFCGSFETTRSKPKVKWLNKHLSKLWPFVDQVLKVKHFNLNIDHLNHPVTFIQSAWFLKIYQDTLHQYSVESIPLSSYLNIFH >OGLUM07G10770.1 pep chromosome:ALNU02000000:7:11985316:11985675:1 gene:OGLUM07G10770 transcript:OGLUM07G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVEAGGGGVFFRDVVDADHPRRWKPTSSAQSSTSILGQQNSHTKKGTRERNGEEGGVGVVFFKSNSTCASAGQGDDRRRAAVSYGAGALFIPCACSLYNKANQAAGPHAYGPSEG >OGLUM07G10780.1 pep chromosome:ALNU02000000:7:11985710:11989407:1 gene:OGLUM07G10780 transcript:OGLUM07G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSDWLLWLLHGEYGVSDYNNTLKVTSLGSTLAIKLLSNARVDDARFGVYSHRLDDMWLVGGASNTGGAVLRQLFTDDQLVALSHEIDPSVPSLLDYYPLPKRGERFPVSDPNMMPRLQPRPESDTAYLHGILESIARIEAN >OGLUM07G10790.1 pep chromosome:ALNU02000000:7:11989523:11989756:1 gene:OGLUM07G10790 transcript:OGLUM07G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGMWANGMQAKGYNLLKELGASMVEEVLTAGGGARNDKWTAIRGRVLGVPVRKAEQTEAACGAALLALKGANTTH >OGLUM07G10800.1 pep chromosome:ALNU02000000:7:11995732:11997459:-1 gene:OGLUM07G10800 transcript:OGLUM07G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT3G60400) TAIR;Acc:AT3G60400] MPLFRAAALRRLLSTAAASSAPPKLWNLPYRLRRAAVPAARAAVSEYLHATRCLPSSHADTIAARSPRSLHAFLAGLPAVPSSLRTSAFPSLLRRHLAFHPLNELPFFLESIGLPPTTRSDLMFLADHPSLLPAVAALAHFGFPWSRLGLLFPTVLLHLPPDLITSRLASLEACLGPLPRAAIIAACLAFPSLLENDLSSSDRLVDDLGKVFGRLGPGLGTSNDIDAFLGVCRRTRMFYDAGSEVGGIGDLVGCNNQRVFLDLEEERIGKMLKFFKGLGMAGEDVGRFLLTNPMVFYLEFGDVVISVPEYLRRVGLAVDEVNAAVEKHPYVVGKNLLQNLPGVLRAMELDHWFLEKISDGGESLRYLSPGFVLEDVSYDVEIERAFLGGMIKMKADKRAQHIDGKLEFLKSIGYGENEIATKIIAVLHSNRDTLQERFDCLLERGLEYKMLCQIVSVFPKILNQGKKMLNDKLNYMTKELGYSVEYLELFPAFLCFDLENRVKPRYTMLRWLRENGLLRRTLAPATVLANSEKRFISNLYNVHPAAPKLWLECFSSRKHMEYYLRNIYHQHADTK >OGLUM07G10810.1 pep chromosome:ALNU02000000:7:12000308:12000889:1 gene:OGLUM07G10810 transcript:OGLUM07G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKSGEGEKSGSKTISFRCSDGQAFHMPVTAAMLSTAIRKMFDKYPSIDHGGVIELPHQISSGIFPKVKEYCTKHAKVDDKGNPTVSTNTGAAAAAAAASSSSTDDEEEDLKNWDKEFVNMEVKPLHDLLLVAHLLDIKGLFDITCRKVADMLKGKTSEEMRQILNIRNDFTEEEDKAIKEQNPWVFPDPE >OGLUM07G10820.1 pep chromosome:ALNU02000000:7:12001248:12004983:1 gene:OGLUM07G10820 transcript:OGLUM07G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVWSLPEERHVVKPEIQSIIKFVKPEIQFIINLTFQLWPPPSSACSRPPSTLLELGSKIGTASLALGVVLPVAITKDPLGPVLEQCLDIPTTLPNLRHNASLNTPLLDSHGRFVSVLALPYWDTVTMEVLAVGHLPQTSSPTTSSFPASKLEERR >OGLUM07G10830.1 pep chromosome:ALNU02000000:7:12005533:12006246:1 gene:OGLUM07G10830 transcript:OGLUM07G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDQKGEGETVKEAEEGETVAAEDKGKEKVTAGDNSGEEKAGAKMISVECSDGKTFDMPLAAAMLSTVIRNSCKIVDDESDSDKPSGDGEITMVKLPHQVPSTIFPEVKKYCMKHAKVDEKGNSTATVFTNTGAAAASSSSTSVPDDDPTATEEEVLKNWDKEFVNVDQWPLYNLLLAAHFLDIQGLFDIASQKVADMLKGKNSQEMRDTLNIANDFTADEQQAIRALNPWAFPNP >OGLUM07G10840.1 pep chromosome:ALNU02000000:7:12007572:12014632:-1 gene:OGLUM07G10840 transcript:OGLUM07G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSALLRALRRPSSEAALRLAASVRVQGVTGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFSEKLSGVKEDLKVRTKQTAETIYKSVDDVLTEAEATSKKVTANVKEKMSAATEEVKESFRLGKEDTSSCKDGSPETSKHEYSETSSHSDDKSQAGTSGYTLFNKLRSTLSSASPVLSGAFAKLRDTRVSTYAKQGYEIFKDELSSSSSRKKRNHARHASAGTVEKSTRTELVIVPTKKSVLGEKWEAFKNKMRGHPAYKRVNEYTKPVVNIGQEVAEDVRERWETSDNPVVQKIQDLNESIFEETATAVSFREIRQRDPSFSLPDFAGDVQEMIKPVLTAYSKGDVKTLKKYCTKEVIERCKGERDAYASQGIFFDHKILHISDADVRETKMMGSTPIIIVGFQTQQIYCVRDREGQVTEGGQDTIQTVFYAWAMQLMDSDEVPEEESYYPVWRLREIQQVVS >OGLUM07G10850.1 pep chromosome:ALNU02000000:7:12018645:12026821:-1 gene:OGLUM07G10850 transcript:OGLUM07G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCSSSSARRDAGTPGGGNGAGNKDNAGRKGIVACGKRTDFGYDKDFEARYALGKLLGHGQFGYTFAAVDRRSSERVAVKRIDKNKMVLPVAVEDMIIMCILLWSKSWKNSQMFMKSVEVYLSITIKCLSELFMALAKLKEGTVQANEDLTLLHVFDRLCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKHFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPCAKDFVQKLLVKDPRARLTAAQALSHEWVREGGQASDIPLDISVLHNMRQFVKYSRFKQFALRALASTLNAEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIVEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKSLSQAAFDKFDVDGDGYITSDELRMQTGLKGSIDPLLEEADIDRDGKISLDEFRRLLKTASMSSRNVQTPRSVHRS >OGLUM07G10860.1 pep chromosome:ALNU02000000:7:12047343:12054499:-1 gene:OGLUM07G10860 transcript:OGLUM07G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIP4] MSAAHLLRHSRKLRSLHNALDCERSGLVRYFSTASGSFPTKGNGAEKRIGGARFPQRKQPGKELETSKVSLGLNGSYTCRRSPNNFIPNTITGLNGSLSCGQIASARSFSSSADLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGSKEIKVGEIIAVTVEEEGDIEKFKDYKPSTLAAPVAPSELKAQSEPTEPKVEEREPSKASEPKAPRTEEPSRSGDRIFSSPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGRKEALAAPGLSYTNVPNAQIRKVTANRLLSSKQTIPHYYLTVDTRVDNLIKLRGELNPLQESSGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVALQTEHGLFVPVIRDADKKGLGMIAEEVKQLAQRARDNSLKPDDYEGGTFTISNLGGPFGIKQFCAIINPPQSAILAIGSAERRVIPGSANGQYEFGSFMSATMSCDHRVIDGAIGAEFLKAFKGYIENPTSIYTGN >OGLUM07G10870.1 pep chromosome:ALNU02000000:7:12083367:12084026:-1 gene:OGLUM07G10870 transcript:OGLUM07G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHSHCWYYGGGMAVTAAAGAGAASSTSSESQPSGYDYETAGSAEVSAPGGGAGRERAARKEEGGAGAAAAGFIGVRKRPWGRFAAEIRDSTRNGVRVWLGTFDSAEAAAMAYDQAALSARGAAAALNFPVERVRESLRALALGAAGGSPVLALKGRHSKRKRRKRSELAGATATANKTTTTTSATTGGEHKQIVLELEDLGADYLEELLWMSEQTSH >OGLUM07G10880.1 pep chromosome:ALNU02000000:7:12101057:12101779:-1 gene:OGLUM07G10880 transcript:OGLUM07G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHFSHTGMYIGYTADAAASFSSSSSSSSSSSSSEMLRFDTGWPDETPAPSSVAGRRRSAGGDHRQGRGQTEAAAAFIGVRRRPWGRFAAEIRDSTRNGARVWIGTFDSAEAAAMAYDQAALSARGAAAALNFPVERVRESLHALSLGAAGGSPVLALKRRHSKRKRRKKAELLAAAAATAATANATPQTRRISKSTELTTATTDEQKRFVVELEDLGAEYLEELLWLSEINGGSDPAD >OGLUM07G10890.1 pep chromosome:ALNU02000000:7:12148710:12148973:-1 gene:OGLUM07G10890 transcript:OGLUM07G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSENARPSQPSHLHLLAADGAVDAMRVRSARWKRRPEAGKRRRPQEYARRVSRTQGPQGRATVGGAARRGAALLCCRWHRRVGRL >OGLUM07G10900.1 pep chromosome:ALNU02000000:7:12148731:12150579:1 gene:OGLUM07G10900 transcript:OGLUM07G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAQQCSAAPRRTADGGSALRPLRPGHPASVLLRSASLPCLGPPLPPGGSYSHRIHGAVGGKQVKRSLTSSSTSAALVHGANCPALSTASGTKMKLKILQWHGVASWTWNAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGSCNHAFHLHCILKWVNSQTSTPLCPMCRREWQFKG >OGLUM07G10910.1 pep chromosome:ALNU02000000:7:12151309:12152654:-1 gene:OGLUM07G10910 transcript:OGLUM07G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone and stilbene synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G34850) TAIR;Acc:AT4G34850] MVSTNAGGTASKQASSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMRNTNCDDPELKEKLTRLCTVPDPNLIICSYKYIYSTIIELACKTTTVKTRYVVMSEEILKSYPELAQEGQPTMKQRLDISNKAVTQMATEASLACVRSWGGALSEITHLVYVSSSEARFPGGDLHLARALGLSPDVRRVMLAFTGCSGGVAGFRVAKGLAESCPGARVLLATSETTIVGFRPPSPDRPYDLVGVALFGDGAGAAVVGADPTPVERPLFELHSALQRFLPDTDKTIDGRLTEEGIKFQLGRELPHIIEANVEAFCQKLMQEHPQAADKLTYGDMFWAVHPGGPAILTKMEGRLGLDGGKLRASRSALRDFGNASSNTIVYVLENMVEETRQRREEAAEEEDCEWGLILAFGPGITFEGILARNLQARARARD >OGLUM07G10920.1 pep chromosome:ALNU02000000:7:12155000:12156845:1 gene:OGLUM07G10920 transcript:OGLUM07G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVCAFTTYVSIVPDLRPSPRSHLCVNHGSISCSKTQKLHISVEASAGAPRPMEVEEPVAVRDGDVATGSCGNASEGVRGGASGWVDLWTKNEREREEAAGDFDFSAVFSG >OGLUM07G10930.1 pep chromosome:ALNU02000000:7:12158292:12158762:-1 gene:OGLUM07G10930 transcript:OGLUM07G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSPFPSILFLSVSIFFAARRDGGGGADFGTQRKEGVAVQASRGREAATALADVRRERMAVTTPTREGRGRRRCRRKEGGDGAWKEQGHRGSQAAVPTRHVEGAVALGDGAAAPAPALRGRMGRQLGERGRRRRRQLARGVGADARREETTRWL >OGLUM07G10940.1 pep chromosome:ALNU02000000:7:12159203:12161661:1 gene:OGLUM07G10940 transcript:OGLUM07G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSTKLRRAWIYHPNLYFDTSIVFASSDRHKRVPSTETFIDTVNFILRMHSGLGVNKLAVIRPLRQPGKKDIDGWVSFAVTSKARVVILNFSPYHGSHDRRYNFPCHLFNGKSGSHLQVLQLDTITLGPSPPGFCGFANLTVLTLENVLVLGDLQLLLKCPALEWLTIRMCSQLHNLYAPEPLPRLAFLCVQDCAIDKIDVQAPNLTTFKYRGRFKVIIALRECLKLKTASIASPIEDNLYYIFTELPNGLPHVERLHVNVFVKTQIPGFTQAPHKFINLRHLTMRITYEIAKRFGRNAVLQLAYFLEAAPFLVDLHLDSLKRACITGFNGNGGQVALVKFILRNAVKLEEMVIDPKGRITNQMMGEHKGRRMIKEKLVPKDKNGLLVIL >OGLUM07G10950.1 pep chromosome:ALNU02000000:7:12163103:12164870:-1 gene:OGLUM07G10950 transcript:OGLUM07G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPCSQPVLLRFAVYPERCQPLPMFTKMMPPSNISKSGKSIAYADATTLVENELTLAPSIIGQNAPSAESLLQDQTVVEIAPAAPKPKQTTKKT >OGLUM07G10960.1 pep chromosome:ALNU02000000:7:12170159:12177340:-1 gene:OGLUM07G10960 transcript:OGLUM07G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MWAVAPHCCCATRRPPLPQRVGSLPQNSFGFRIYRRRRLPSTRTMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRINKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGPKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVVGRKINWMKAGITECDLVMTVSPHYVKELASGPDKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATMVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEGNVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSHPGIEGEELAPLAKENVATP >OGLUM07G10960.2 pep chromosome:ALNU02000000:7:12170159:12176980:-1 gene:OGLUM07G10960 transcript:OGLUM07G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32900) TAIR;Acc:AT1G32900] MGRTEITMGSTPTYCSYQTNGVGALKQSPHMQFQQSYNYGVRFLKRDTLSVRINKHMAKRIATSTGICTKPRRSHMPIVCSAGMTIIFIATECHPWCKTGGLGDVLGGLPPALAAMGHRVMTIVPRYDQYKDAWDTNVLVEVNIGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGPKLYGPTTGDDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGENVVFVANDWHTGVLPCYLKSIYQAKGMYVNAKVAFCIHNIAYQGRFAREDFELLNLPDSFLPSFDFIDGHFKPVVGRKINWMKAGITECDLVMTVSPHYVKELASGPDKGVELDGVLRTKPLETGIVNGMDVYEWNPATDKYISVKYDATMVTEARALNKEMLQAEVGLPVDSSIPLIVFVGRLEEQKGSDILIAAIPEFVEGNVQIIVLGTGKKKMEEELILLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVVPICSSTGGLVDTVKEGVTGFHMGSFNVECETVDPVDVTAVASTVKRALKQYNTPAFQEMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSHPGIEGEELAPLAKENVATP >OGLUM07G10970.1 pep chromosome:ALNU02000000:7:12192800:12208841:1 gene:OGLUM07G10970 transcript:OGLUM07G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60340) TAIR;Acc:AT5G60340] MASRGGGARRTRPNVLVTGTPGTGKTTTCSLLADAVDLRHINIGDLVREKSLHDGWDEELECHIINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYMGAKLTNNIECEIFQMLLEEARESYKEEIVMPLRSDNVEDISRNVGTLTEWINNWRPSRS >OGLUM07G10980.1 pep chromosome:ALNU02000000:7:12219768:12230555:1 gene:OGLUM07G10980 transcript:OGLUM07G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:poly(ADP-ribose) polymerase 2 [Source:Projected from Arabidopsis thaliana (AT2G31320) TAIR;Acc:AT2G31320] MAAPPKAWKAEYAKSGRSSCKSCRSPIGKDQLRLGKMVQATQFDGFMPMWNHASCILSKKNQIKSVDDVEGIDTLRWDDQEKIRNYVGSAPATASSAAAISDKCTIEVAKSARTSCRRCGEKITKGTVRVSSKLEGQGWYHASCFLEMSPAAPVENFSGWEILSHEDKGAVLDLVKKDAPSSGQTSSKGSKRKNNQNDIHDCKAPKIIRSISEGTAEDKGKAVVSHDSNASSTDLQEKLKEQSDTLWKLKDELKKHVSTAELRNMLEANGQDTSGPERHLLDLLMGCFLEHWVLAQFVLASFTIMVASIIAVAMCQNGPSVHTLRQNLCAVKRNGKFLTKWIMWFKSQKVKKPERVLPPMSPEKSLCQSTQQNCPFLSEGLDKLRVSLVGQSKDVVDGWKQKLKDAGANFNATVTKDSSCLVLCGELESENAEVKKARRLKIPILRQGYLGECIRKNRVLPFDLYKVETALESSKGGTMTVKVKGRSAVHESSGLQDTGHILEDGKSIYNTTLNMSDLTRGVIEEDNGSDCYVFRKWGRVGNEKIGGTKLEEMSKIDAIQEFRRLFLEKTGNTWEAWEQKTNFQKQPGKFYPLDIDYGVRQGPKRKDIDKMKSSLAPQLLELMNMLFNIETYRAAMLEFKINMSEMPLGKLSKENIQKGFEALTEIQNLLGNTDNQELAVRESLIVAASNRFFTLIPSIHPHIIQDEDDLMVKVKMLEALQDIEIASKLVGFDSDNDESLDDKYKKLRCAISPLPHDCEDYKLEWSLELEEVFSLDRDGEFNKYSRYKNNLHNKMLLWHGSRLTNYVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRKNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFAKWRDDVVVPCGKPVPASIKTSELMYNEYIVYNTSQVKMQYLLKVRFHHKR >OGLUM07G10990.1 pep chromosome:ALNU02000000:7:12231474:12232325:-1 gene:OGLUM07G10990 transcript:OGLUM07G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTPTDDVVMTGPVAGAGDVQGAAAETFRVFVGYDSREDIAYRVCRRSLLRRSSVPVAVIPIVQQELRSAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVAELARMADPRYAVLCVHHDYAPKEATKMDGAVQTVYPRKNWSSMVLFNCAHPKNRAALTPEAVSTQSGAYLHRFMWLDDADIGEVPFVWNFLVGHNRVDTAGTAPRAIHYTSGGPWFEQYKNCEFAELWVQERDAYEAEAEEEEKEEHEAKAILHAPAAPSAVSVDA >OGLUM07G11000.1 pep chromosome:ALNU02000000:7:12257498:12258931:1 gene:OGLUM07G11000 transcript:OGLUM07G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGPLIHPVTVLEQCHVSPSPAPAAGQPRSLPLTFFDLVFLDFPPVQRLFFYDNADLRDAHDFLLRELPLFRESLAAALHHFYPLAGTLPCGIRERASPPEVAYSDGDSVRLTVAVSSDEFQDLAGDHPRDTARLRPLLPPLPKHGSSQDVVAVQVTVFPRAGICVGTTLHHAVADGSSYVHFLKTWAAIHRLGDERRKAVVVDHTPPLFDRGVVQDSDGLREAFIRDHRDLVESGDKRLDDWDLSRRPDTVLATFRFTDELLRKLGRHVEAETSARCSPYALACGAAWAGIVRARGVGGGDVARFGFVTGCKPRVSPPIPSSYFGNCLGLCFVEAKRRHLTAASASVAIWRVIAGLAEQGRALRGARGWVRGAREYAAARAVTVAGSPKLGVYAAADLGATWGGRPRKVEIASVERTGALALAESGRRGDRDGGGIEVGVALPRAEMEAFRAFHVELVRLLDATSGDAASPLPRV >OGLUM07G11010.1 pep chromosome:ALNU02000000:7:12265781:12266020:1 gene:OGLUM07G11010 transcript:OGLUM07G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEILLRWLANDEASAWWLCIEGGLAGGFAEADMHSLAWESITMGEGLAFGPATAMPVGAFSPWGVGMFSILSHGFFR >OGLUM07G11020.1 pep chromosome:ALNU02000000:7:12278533:12281880:-1 gene:OGLUM07G11020 transcript:OGLUM07G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G54650) TAIR;Acc:AT1G54650] MEGGGERAAEAEEYHCHDFEWDDLRAEVEANPAFSYHLSPFPTTVGTPETPPPPPPSEAWTSFHRRHTSGKFFKERRYLLKEFPELLNSKDSAKVLEVGCGNGSTVVPILRSSPSTTVYACDCSKETLEKANEIVCSTKGIEVKDRFHPFLLDASKETFPDWLFCKSCRSPCSSNCNMIEEYPAFLRDSPCCVGGVDFITMIFTLSAIPFDNMLATLERCVSVLKPGGLVLFRDYGLYDMTMLRFLPYQRVGFREYMRSDGTLSYFFTLDTVRELFHASGLLEVTRIVLTVF >OGLUM07G11030.1 pep chromosome:ALNU02000000:7:12285212:12286378:1 gene:OGLUM07G11030 transcript:OGLUM07G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPPRPQMKRSTPHMLVAALVFLVLLFVAGAAVTLHHKDRRPISPSTSPAAAEKITLSRKMLRDKRAEAPAASSDGGGRSEPLPRGIVQGESNLEMVSMVGDPEHGRQKASRSLLAIPVGIKNKAAVDKLVSKFPAEEFALMLFHYDGAVEQWGDLEWHGRAVHVAAAGQTKWWFAKRFLHPDVVAEYDYVFLWDEDVEVDAFDPARYLAIVRREGLEVSQPALARGSEIHHGITARQTVAGGGGEGGDVHRRFYRRARPRCDEGSTAPPCTGWVEMMVPVFSRAAWRCTWGMVQNDLVHGWGLDYKLGYCAQGDRTMKVGVVDSEYVMHRGIPSLGGGGGWSASAGRIAVRRRSFAEMQIFNRRWKEAVAADASWADPYPETAAA >OGLUM07G11040.1 pep chromosome:ALNU02000000:7:12287570:12291210:-1 gene:OGLUM07G11040 transcript:OGLUM07G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunits of heterodimeric actin filament capping protein Capz superfamily [Source:Projected from Arabidopsis thaliana (AT3G05520) TAIR;Acc:AT3G05520] MSDGEEKREIAVWFLSNAPAGEIHYAVYEAAAAEAFPEHNKAHLVALELPDRSGDIIITTYGELDKNNYLDPRTAQVATVDHIKQKCTKLRPAADEELPSAYIEDFRSALDVELSKYVGEAYPKGVCAVYCTSGKDIEGPGADFRFAAVISAAKRSPQNFCNGNWRSIWTLEFIDGLQLVEIKGKIQVGAHYFEEGNVQLDTNIDCKDSTILQSPEECAVSITNIIRHHESEYLSSLEESYMNLSDATFKDLRRKLPVTRTLFPWHNTLALSLTRDLTKELAIGK >OGLUM07G11050.1 pep chromosome:ALNU02000000:7:12301208:12306596:1 gene:OGLUM07G11050 transcript:OGLUM07G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVKTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPFLAAKPDYKKAYVTLRAPLPVSPDLFPIGLVLGERERKASAAAARRKAVEGAEVEGEGKGKHWMEDEKVGFSRAGRGKVVYGNPGRLGKKRNGGTKVKDRAGEEVGKFPWSGTRLATEKKPARKQQYAPKKKGIVLKQKSWKGSVRRRPKKKVEA >OGLUM07G11060.1 pep chromosome:ALNU02000000:7:12325170:12326261:-1 gene:OGLUM07G11060 transcript:OGLUM07G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEIAAVPNISEGLAEISTKMMDLAAQIQALAAPSAEQAMSLGAAERSDRQAMTFLRPRQHEFKQRLRGANSRRRMSCLPQPKAAVPRGRLPGVMPLISAETRLGGVVLGMASTAPLLPKPPSTTTAKRHPSPCLQAPQPVGLSPVTAVRWSLPPSLLAALQQSEPLETTREKLRATDDTPSRAAVPTFVTPTLETRRRDQDGPARALDWLPRRRGDGADRSESERWLEKLAIRSQLAASLLSAWPREENDSVSVFFQLGWLV >OGLUM07G11070.1 pep chromosome:ALNU02000000:7:12337872:12338979:1 gene:OGLUM07G11070 transcript:OGLUM07G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMPAQVPHLLLEITTEQFFVGITACRLNCLSGSSCVASTALCGGSGLCFLKVSNFVSGYRSVALPSTSFIKVGGARRGVRAGAVRVGAVVGVVPAQPEVRRRVPRRAGKPNGCGGEAAGGDREGEKQFRMEVATISSTHHLNLVRLISFCSEGRHRLLAYEFMKNGSLDAFLFTDAPGCKMPWPTRFAVAVGTARGITYLHEKCRDCIVHCNIKPENILLDEHHNAKVFDSAATASHASTLKSARRSSLGDGGETRRSPGTLMAAAPPSTKEWKMRRGGECGEQRANESDENFLHSDLTPLLPNMTEVLRGEEKSVAYAMD >OGLUM07G11080.1 pep chromosome:ALNU02000000:7:12349573:12350049:1 gene:OGLUM07G11080 transcript:OGLUM07G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASLVGEAGGLAVLLTPRGGGRRGRRLDVAPNAGVGLEGVEKRPAATRIWPAVEEAVAASVETGEEASAAEAGRCGRREDGGGDGEVEKRRRQGARWRRGGGGEGEVERRRAARLWGCRRRGEVVGGADPRGRRRGGAAEGWGGGEEAAVRGRRGV >OGLUM07G11090.1 pep chromosome:ALNU02000000:7:12375589:12379640:1 gene:OGLUM07G11090 transcript:OGLUM07G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFPGACTDLRIPDSPSPVPSPSPSSSFPRPRSPSCALAAFYFPYPRPQSPSHACHNFAPLPCSPSVTNSPLPSLSQQPASSPIAGVLAADGAAGPASTTSIAPKHSQGKAFELQICTPSPCDCFPRSCC >OGLUM07G11090.2 pep chromosome:ALNU02000000:7:12375589:12379499:1 gene:OGLUM07G11090 transcript:OGLUM07G11090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFPGACTDLRIPDSPSPVPSPSPSSSFPRPRSPSCALAAFYFPYPRPQSPSHACHNFAPLPCSPSVTNSPLPSLSQQPASSPIAGVLAADGAFSFPLTPGDPIPTSIVFPLLYAAAGPASTTSIAPKHSQGKAFELQICTPSPCDCFPRSCC >OGLUM07G11090.3 pep chromosome:ALNU02000000:7:12375589:12378935:1 gene:OGLUM07G11090 transcript:OGLUM07G11090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFPGACTDLRIPDSPSPVPSPSPSSSFPRPRSPSCALAAFYFPYPRPQSPSHACHNFAPLPCSPSVTNSPLPSLSQQPASSPIAGVLAADGAAGPASTTSIAPKHSQGKAFELQICTPSPCDCFPRSCC >OGLUM07G11090.4 pep chromosome:ALNU02000000:7:12375589:12376564:1 gene:OGLUM07G11090 transcript:OGLUM07G11090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFPGACTDLRIPDSPSPVPSPSPSSSFPRPRSPSCALAAFYFPYPRPQSPSHACHNFAPLPCSPSVTNSPLPSLSQQPASSPIAGVLAADGAAGPASTTSIAPKHSQGKAFELQICTPSPCDCFPRSCC >OGLUM07G11100.1 pep chromosome:ALNU02000000:7:12378056:12397091:-1 gene:OGLUM07G11100 transcript:OGLUM07G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIS2] MELAGVAERFHSRTVLITGATGFIAKLLVEKILRLQPGVKRLYLLVRAADQVSANRRVESESYSKTGLEFWASLPIGLNQPRRKHDGVASMLSRGLREVQAGGQMGGFGAKRMLVDKGIEVLFYMSYQIMQLPIFQPLREKYQTHFSSWFWYKVFPLAGDVSLKNFGMGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIKHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >OGLUM07G11100.2 pep chromosome:ALNU02000000:7:12378058:12397091:-1 gene:OGLUM07G11100 transcript:OGLUM07G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIS2] MELAGVAERFHSRTVLITGATGFIAKLLVEKILRLQPGVKRLYLLVRAADQVSANRRVESEIMQLPIFQPLREKYQTHFSSWFWYKVFPLAGDVSLKNFGMGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIKHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >OGLUM07G11100.3 pep chromosome:ALNU02000000:7:12378056:12380826:-1 gene:OGLUM07G11100 transcript:OGLUM07G11100.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIS2] MKSYSKTGLEFWASLPIGLNQPRRKHDGVASMLSRGLREVQAGGQMGGFGAKRMLVDKGIEVLFYMSYQIMQLPIFQPLREKYQTHFSSWFWYKVFPLAGDVSLKNFGMGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASERTIKHTMKKIGAQRAIDTWITNYGKGTLKFFPTDVATVIDIVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >OGLUM07G11100.4 pep chromosome:ALNU02000000:7:12378056:12380826:-1 gene:OGLUM07G11100 transcript:OGLUM07G11100.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIS2] MKSYSKTGLEFWASLPIGLNQPRRKHDGVASMLSRGLREVQAGGQMGGFGAKRMLVDKGIEVLFYMSYQIMQLPIFQPLREKYQTHFSSWFWYKVFPLAGDVSLKNFGMGDARLAEDIRKETNIIVHMAATVNFAERYDTALAINTMGVKHMIDFASKCTNLELVLLVSTAYVNLMKQGIMMEKPLQQWRSYDGRSDLDISEEMAFKDEKLKELVYNNASEQQLTHGSLTMVPADIVVNAMLCIISYHPQGTADFIYQIGSSMSNPIKLGQMSQTTYKYFSQIPFVGAKGDVVKVKQPNFLATMASFYETMDKHYKMPLQDMLRRGLSTTEDRHIYNHLKREYDFTVAVAEVYWPFTISKTRFDDSKMQNLMGMVTERDRELIPCNIKFINWDKYFMETHIPGVMDYESRELTRARL >OGLUM07G11100.5 pep chromosome:ALNU02000000:7:12380896:12397091:-1 gene:OGLUM07G11100 transcript:OGLUM07G11100.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIS2] MELAGVAERFHSRTVLITGATGFIAKLLVEKILRLQPGVKRLYLLVRAADQVSANRRVESEEGKKKRRCAGLFKEEIDQEARYARRYKLHVNAAG >OGLUM07G11110.1 pep chromosome:ALNU02000000:7:12400656:12402207:-1 gene:OGLUM07G11110 transcript:OGLUM07G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQASSGRLVAWPFYWAAQGCFLFVVWIIAHECGHHALQDDTLGLVLHLWLLAPYFSWKYSHQRHHSNTSSQERDEVFVPRFKSDLPWNSPYVYKYNNGPSPGYCSSACSSLSGGRCIWCSTPGVAGDQGDPPVLREYYQFDPTPVVKAIWREAKECIYFQSEDHKGVFWYSNKF >OGLUM07G11120.1 pep chromosome:ALNU02000000:7:12421691:12422911:1 gene:OGLUM07G11120 transcript:OGLUM07G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTMAVDGGRQRAVASGSLGEGTAPGLAARRWMMDGYYCATSSSSLYHTATSFHDSIVDYSSPPGMVPYDVEAFMRVNLLLLNRQMWEAGSK >OGLUM07G11130.1 pep chromosome:ALNU02000000:7:12424859:12426031:-1 gene:OGLUM07G11130 transcript:OGLUM07G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSRPTTVKEGKKLEAPRRAGSHAAVQRSPVDKPPFTLGDIRKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVVIPALPGVLRLVAWPFYWAAQGCFLFGVWIIAHECGHHAFSGHALLDDTLGLVLHSWLLAPYFSWKYTHQRHHSNTSSQERDEVFVPRFKSDLPWYSPYVYKYNNPVARLLLLVVQLTVGWPMYLVFNTWGRQYPRFASHFDPSGPIYKGRERVFIAISDIGMLAVSLALYRLAEGYGFWWVVRVYGVPLLVVNAWLVVVTYLHHTHRAIPHYDSSEWDWLRGALATVDRDYSFLNRVFHNITDTHVVHHLFPTIPHYHAVEATKAIRPVLGEYYQFDPTPIVKAIWREAKECIYIQSENHKGVFWYSNKF >OGLUM07G11140.1 pep chromosome:ALNU02000000:7:12439720:12442037:1 gene:OGLUM07G11140 transcript:OGLUM07G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGARCKAPMFLIDNCHSKARPGEEGGGGSWTVTSLVLRGLVLTPLHAYKVGVVEAHGGGIHNNDAPRLYLQFARFAAKDFTVIKWSDGWRITHCHNIVLELERSASS >OGLUM07G11150.1 pep chromosome:ALNU02000000:7:12442078:12446650:1 gene:OGLUM07G11150 transcript:OGLUM07G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTTYVQEQLRTVINRNTGTSGRRTTTVVEGKKQELLLRRSGSSAAMQRSPVDKPPFTLGDIKKAIPPHCFHRSVIKSFSYLLHDLAIAAGLLYFALVGIPALPSILRLVAWPLYWAAQGSVLTGVWVIGHECGHHAFSDYLLLDNLVGLVLHSALLTPFFSWKYSHRRHHANTGSMEKDEVYVAKKKSALPWYTPYVFGNPVGRLVYIALQLTLAWPLYLAFNLSGQPYPRLVTCHYDPYSPLFSDQERVQVLVSDAAILAVLLALHRLTAAYGLWWVVRVYGVPVMIVGALFVLITYLHHTHRALPHYDSSEWEWLRGSLATVDRDYGVLNRVLHNVTDTHVLHHLFPSMPHYHAMEATRAARPVLGEYYKFDRTPIIEATWREAKECMYVEPRERDGIYWYNNKF >OGLUM07G11160.1 pep chromosome:ALNU02000000:7:12457319:12457630:1 gene:OGLUM07G11160 transcript:OGLUM07G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEGAPARRAPAASYYECTFCKRGFTNAQALGGHMNIHRKDRSAGGKSQGGGQHHEGGGSGSGGGGGQQHGREVHLGLTLGRNEEERDGVDLELRLGHAHYP >OGLUM07G11170.1 pep chromosome:ALNU02000000:7:12468085:12469702:-1 gene:OGLUM07G11170 transcript:OGLUM07G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMRGASPVVGLVLVASVLAPGAMSAKFVFTNNCHEVLYPGVLTPATAQAFPTTGFELQPGASAAYDGVPDNWSGNIWARRLCSTDASGRFSCESGDCGTGRVECDGRGNGPPSTLSEFTLRGGSAHDTDFYDISNVDGFNVPVQVAPSAAGCSAVACAADIDASCPAELAVKGAGGAVVGCKSGCLAFDRDDLCCRGAYGTPDKCPPSQYSKFFKDKCPQAYSYAYDDKSSTFTCTSGASYQITFCP >OGLUM07G11180.1 pep chromosome:ALNU02000000:7:12471682:12475738:-1 gene:OGLUM07G11180 transcript:OGLUM07G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLPRRLLPRHLLLLPAALLLFLLLPYTPGVLRRANSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAASGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAVTIRWLDLSTSSVPVLFKI >OGLUM07G11180.2 pep chromosome:ALNU02000000:7:12472806:12475738:-1 gene:OGLUM07G11180 transcript:OGLUM07G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARPRRAASSSARLLPRRLLPRHLLLLPAALLLFLLLPYTPGVLRRANSLGRRCLPLPHAAAGDLVLLPRAAPRLKIAIVTLSDEGAASGSDQDHGRRGRSFRGVLAATARNKRSYAAANGYGLAVLPAASVDPRRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPDIPLEMILFSVIGHSDFDAAPDLILTEDFNGVNAVTIRWLDLSTSSVPVLFKVIPLCASLVYHSALLICLCIFVSLTLDFLL >OGLUM07G11190.1 pep chromosome:ALNU02000000:7:12479681:12486515:-1 gene:OGLUM07G11190 transcript:OGLUM07G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >OGLUM07G11190.2 pep chromosome:ALNU02000000:7:12480750:12486515:-1 gene:OGLUM07G11190 transcript:OGLUM07G11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGLSKGKSSPATAANATASRPDTSVALCLCWRAGHLPTAADLRLILHKLHSVCALLRMAMEGNYVAAMGMGRLENSMTLMP >OGLUM07G11190.3 pep chromosome:ALNU02000000:7:12479683:12483760:-1 gene:OGLUM07G11190 transcript:OGLUM07G11190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRMPSLLQLSSSYAPPREHSRLVIGSAPTRRCNSCMPQLLHPRTVVAEALSPAHAAAVAPVCHHRSSRAPSPPKPSVCAASAARAKRYLRSPYCAAPAVAAPPRATTAAPAATATAESLSVRRHRSPHRASTPLDHSSHHEPPPPPNPSCAAKKARDEKFDRGVADGLSIQEILENWLKLKPTIMSEWNEDRDSLVDLFGSIRDDWIENDLSGWIGANRFYPGTADALKFSSSEVYIVTTKQGRFAEALLKELAGIEFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >OGLUM07G11190.4 pep chromosome:ALNU02000000:7:12483883:12486515:-1 gene:OGLUM07G11190 transcript:OGLUM07G11190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPWVFEQVDAAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIRIPSARRSSIW >OGLUM07G11190.5 pep chromosome:ALNU02000000:7:12479683:12480378:-1 gene:OGLUM07G11190 transcript:OGLUM07G11190.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKPTRGGSVLEEGEDFVEDRLATLKNVIKEPALDQWNLYLVNWGYNTPKEREDAEGISRIQVIDLPGFSQKLK >OGLUM07G11200.1 pep chromosome:ALNU02000000:7:12506903:12510022:1 gene:OGLUM07G11200 transcript:OGLUM07G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >OGLUM07G11200.2 pep chromosome:ALNU02000000:7:12507093:12510022:1 gene:OGLUM07G11200 transcript:OGLUM07G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRILCMAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGNSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQEKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >OGLUM07G11200.3 pep chromosome:ALNU02000000:7:12506847:12510022:1 gene:OGLUM07G11200 transcript:OGLUM07G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKFAEGSYVANELDMLSLASSVYTAPLFQTEFDSVYVPEYGDVGNSQEGLFPGLFISDGFVFPPSEHENLPIESDLDGSNNNNNGQESSCAGNIYEGCNEPAKEVDGRSLSVSGDLHSANETTIPNLEPPEIHAEQEKDNATIKCDLPCEGWLKRKSNCLSHRMKGVTTVCTIVAAGALMGFVIIGQRWQQDKLHLHHFQFNIGTEGVNRIVGIFSRCKDALPSSQQLKSLLPTRVLPQEPLSA >OGLUM07G11210.1 pep chromosome:ALNU02000000:7:12516402:12517121:1 gene:OGLUM07G11210 transcript:OGLUM07G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVPINDQDSRINNYGFTEEDNISHLDLGFKFYDLTNKVHRVLGQTHCFDYVNNLSVSANMPVTGGTLWYAISDIFSTNCAIARFGRHAGISMHEHTKYMDRNFLVAVLLVLYTLDQQENWRRRLGAGSMPANEDGMEMTNGQTIEKVADGHRVEDLTASKADTKGVAIDGRRNSYTHAWQ >OGLUM07G11220.1 pep chromosome:ALNU02000000:7:12541503:12542222:-1 gene:OGLUM07G11220 transcript:OGLUM07G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALAIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQPETKPSPQPNPQPNPQPDPKPSPQPDPKPTPQPEPKQDPQPNLQPDPKPSPQPDPKPTPQPDPKQDPQPNPQPDPKPTPQPNPKQDPQPNPQPDPKQDPQPNPQPSPNADPKPNPKPKPQPEPSPNPKPEPKPKPKPEPSPNPKPNPNPKPEPQPDPKTEPKPQPERSLPKPPPLSPAIAVIVPGN >OGLUM07G11230.1 pep chromosome:ALNU02000000:7:12554265:12556586:-1 gene:OGLUM07G11230 transcript:OGLUM07G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGWCGRQQQVVSMGDDSGRPNLDKEGLLEQLLQSAALLVSWSLGRHLSGSMHNLLCCGLGASEVTARVEGVGGAGQQCPSCAVDKCKEELSRLSMLGMRRSRGGLNLMDKILAVWEAFGIWQVIYTSMNHKKQGFSYMIALAQVSEVMV >OGLUM07G11240.1 pep chromosome:ALNU02000000:7:12562960:12563250:1 gene:OGLUM07G11240 transcript:OGLUM07G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSILSLCFHLALVIALAANVPDIANGRVIEAKSDPKPADPKPKPDPTPKPQLETKPSPQPNPQPNPQPDPKLSPQSNPKPTPQPEPKQDPQPNP >OGLUM07G11250.1 pep chromosome:ALNU02000000:7:12566361:12567032:-1 gene:OGLUM07G11250 transcript:OGLUM07G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEEDNLTHLDLGFKFYDLTDNVHRVLGQTHRFDYVNKLSVSANMPVMGGTPWYALSDIFSTNHAIAWFGRHASISMHEQTKYMDRNFLVAVLLVLYTMDQQENWRRCLGAGCRPASEDGMEMTNGQTIKKVADGRGVEDLTASNADTKGVAIDRRQNSYMHARQ >OGLUM07G11260.1 pep chromosome:ALNU02000000:7:12666147:12667369:-1 gene:OGLUM07G11260 transcript:OGLUM07G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKMMTMTMSDCSRSMMSEWESELGVKGGLAEIELSRRFEELTADVISHATFGSSYKEGKQVFLAQRELQFLAFSTFLTVQISGFSYLPTMKNFKTWSLDKKVRGMLMDIIMTRHANKDVVGYGNDLLGLLLEACAPEHGESRPQLSMDEIIDECKTFFFAGHDTTSHLLTWTMFLLSTHPDWQEKLREDIAMECGDEVPTGDMLNKLKMAVQPKTLIRRKVGTDIELGGIKMPEGALLTIPIATIHRDKEVWGEDADEFRLERFENGVTRAAKHPDALLSFSSGPRSCIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKL >OGLUM07G11270.1 pep chromosome:ALNU02000000:7:12667587:12675643:1 gene:OGLUM07G11270 transcript:OGLUM07G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein [Source:Projected from Arabidopsis thaliana (AT5G41330) TAIR;Acc:AT5G41330] MASSVVTLNVGGEVFQTTAATLSRAGASSPLASLAPTPASAPHFLDRDPRLFATLLSFLRRGRLAPTSPDLDPPSPALLAEARHFGVEGALLASLSPASAFSPLALRPSALLPLAGRVPPSAVAVPPSPHPASVFAAHGGVVTRFDAALASRGSVLTPLPAVDSLVAVSPTLALAGARDFAGVHLCRYPDDAPATAREVLSWPGSPSATVLSMAATSATEVSSPWLFTSFESARRNSSAVVTFDMNSLSPVAEIGRKEVYGADVEAAIPASRLSWLGRHNLLLAAGSHSGPAGVVGDICLWDVRASATVPVWELREKEDCFADIAASEALSSLFKVGAASGEVFMADLRMLGGGGISIEPWVCIGDGQRAAAAASAGRKEGNGCRIECYLNWVFVARGGEVEVWTQVELAQEAGGKKLMRRNWVGNGPSFVIAGGSGHESVKEKTKIVSWAFGGSRMALARDDKRSIEVWDSAPAAISFNP >OGLUM07G11270.2 pep chromosome:ALNU02000000:7:12672104:12675643:1 gene:OGLUM07G11270 transcript:OGLUM07G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain with WD40/YVTN repeat-like protein [Source:Projected from Arabidopsis thaliana (AT5G41330) TAIR;Acc:AT5G41330] MAMGDFLPASTAAAFFVLLLGVNGAAAANFTFHFHNNCPETVWPAALSSAGRPPFPTTGFALPPGASLSVAGVTATWSGRMWGRHRCATGGGRFSCESGDCGTGQVACNGAGGAPPATLAEFTLGGGGGGAGALSDFYDVSNVDGFNLPVEVRPELLERRQEGAAAALCRTTSCPADINRVCPSELAVRAADRGGAVAVVACKSACLAFGTDEQCCRGRFASPDKCAPSEYSRLFKAQCPQAYSYAFDDRSSTFTCANATGYRITFCPAAAAKN >OGLUM07G11280.1 pep chromosome:ALNU02000000:7:12678767:12681818:1 gene:OGLUM07G11280 transcript:OGLUM07G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVKDGKPLKLVKVVNRDGDHNLEVSFDPTQTRPTVLATGMGEEGEPVAVAMGGGEESDTVKSMDEDEDDNEYEDDVEDEDEEDDDNNDDFMEPVLQVKRKEDKAMQGPKKKVASKHKLTKVVQAVKKRDHFSMTTRCQPNDILEKVSLLKPPQRDRLHDLDFVGFSITPDVVYCVMGLQNGHLDPPVASDTAPLGPIWEELGPGRKEKIFSLSILDKIKEGGLTISQCSQVVGSKLEHRHHRECSQHGEQRLGAVQRHSPLQSAERWKSGKRSTVYGCTAFLVLRCRVNTCYFVSKGKRKVVAANENTRKRKHMDEEAAQEATLEGFKEALVLVVTSQAFTEAEQIVCNLHKAQDHQVNVLTLICTTSDTKIAYTQKSKRRRDGLPSRDSQAVTDKISGNKFLSCVNDNTTQASIDTPPTQANDDLGEEQSKGGVESLAPMHVEDPTHVEAGQPCAGQQMHIMTIRFLFLGNDVELSNLVDKICTNVKGTPMPAITPSLVANPSPVIMPIEMGKRRPLANPKYTCPFKCASTEPLWDDNGDNATEVYKIVCNSQLPNVER >OGLUM07G11290.1 pep chromosome:ALNU02000000:7:12682304:12684542:-1 gene:OGLUM07G11290 transcript:OGLUM07G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPKKKVASKHKLTKEVQAVKKRDHFFMTTRCQPNDILEKMNGLRSRELIEYLMNCLDPNSMCLDHGGCGKLPVTSYDHLTLLPLGPTKEELGLGRKEKISSLSILDRINEGETDDFTMQCIMMILFSKLLALDSSTDITGNVVNMVSKDLEQYKDMTLYKFSPERRKSGKRSTVYGCTAFLMVYYLDNLLCKSMITNTDTPCSQFFNSNLIDQIENLTKSTKKKDGSISFGKLNLRCREITCYFVSKGKGKVAAASENTRKRKHMDEEAAQEATIEGSKEALVLVVTSQAFAEAEQIVCNLHKAQDHLVNVLTSLCTTSGNDNTTQASIDTPPTQDNDDLGEEQSKGCVESLASMHVEDPTHIEARQPCVRQQMHIIMPAIAPSPVTNPSPLIMPIEMEKRRPLANPKYTSHFKCASTEPLWDDNGDNATEVYKIVCNSQLPDAEW >OGLUM07G11300.1 pep chromosome:ALNU02000000:7:12688274:12707776:1 gene:OGLUM07G11300 transcript:OGLUM07G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFPDKPSASPLVYSPLPFHRGLLLLLLSTRIRGSGCAAREPSRRAREEGREGEVLWRSLERPMRGASAGGGGGEGFGGASSVSNNISLPNEGPSPRGTDNAECSETSSDRSNSESIKPEESAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVDAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDFRKRKLKLRPVTYLSSTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWIESGEKPIYIGFGSLLFQPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMHPFLLPVKRCFGIAT >OGLUM07G11300.2 pep chromosome:ALNU02000000:7:12688274:12707776:1 gene:OGLUM07G11300 transcript:OGLUM07G11300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFPDKPSASPLVYSPLPFHRGLLLLLLSTRIRGSGCAAREPSRRAREEGREGEVLWRSLERPMRGASAGGGGGEGFGGASSVSNNISLPNEGPSPRGTDNAECSETSSDRSNSESIKPEESAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYMVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVDAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDFRKRKLKLRPVTYLSSTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWIESGEKPIYIGFGSLLFQPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMHPFLLPVKRCFGIAT >OGLUM07G11300.3 pep chromosome:ALNU02000000:7:12688306:12707776:1 gene:OGLUM07G11300 transcript:OGLUM07G11300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKGFLPATPSEIPIQRKEIKEIIFSLLPACKDPDTDTGAPFNVDAIIANPAAYGHVHVAEALKVPIHIIFTMPWTPTCEFPHPFSRVKQPAGYRLSYQIVDSFVWLGIRDIINDFRKRKLKLRPVTYLSSTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYKPPEPLLKWIESGEKPIYIGFGSLLFQPIPEPDKLTRIIVEALEITGQRGIINKGWGGLGNLEEPKEFVYVHHGGAGTTAASLKAACPTTIVPFFGDQFFWGNMVHARGLGAPPVPVEQLQLHLLVDAIKFMMDPKVKERAVELAKAIESEDGVDGAVKAFLKHLPQPRSLEKPQPAPPSSTFMHPFLLPVKRCFGIAT >OGLUM07G11300.4 pep chromosome:ALNU02000000:7:12688274:12694457:1 gene:OGLUM07G11300 transcript:OGLUM07G11300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLFPDKPSASPLVYSPLPFHRGLLLLLLSTRIRGSGCAAREPSRRAREEGREGEVLWRSLERPMRGASAGGGGGEGFGGASSVSNNISLPNEGPSPRGTDNAECSETSSDRSNSESIKPEESAMPSSIFDKKISIKKKLRLLSRMAILKDDGTVEVDIPTNAEAASLDLSSNDYCNEAFSGEPLASSDFQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQIYGHRVRLATHANFKDFVVTAGLEFYPLGGDPKLLAGYLA >OGLUM07G11310.1 pep chromosome:ALNU02000000:7:12714487:12715937:1 gene:OGLUM07G11310 transcript:OGLUM07G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLGDSERKPSPFRADSGMLLSIVTPLGGTAMVSLLSMVNAFPLPISKSPIICSICTPSPPLSLPTKLFQPGDWDKVEEIKVATPAGAECRRCILPPIVCSSCYAPPAPSSCSSHGCSCSPSNSSPPAAEACDGSRQQAHGIAAAPPHPEEKKPVKSNLKKALPAAVAAQEEKNRVSLVVSRKVTWPDAHGKDLAHVLEFHPR >OGLUM07G11320.1 pep chromosome:ALNU02000000:7:12725775:12728004:-1 gene:OGLUM07G11320 transcript:OGLUM07G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDVTFVTQVIDTLIA >OGLUM07G11320.2 pep chromosome:ALNU02000000:7:12724753:12728004:-1 gene:OGLUM07G11320 transcript:OGLUM07G11320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDENTGDESNDEWWRDSVESPDNRYNSPRLLPNEAPESPIIWADERHGLPRFQPQNNRVLPRFSFTNRSSSRSDWHRIRRPSRQSLARRGLLNRPYRNNSDYHGFRPQLYDQPNGSSHRSGINRSLDDPSFVPRRQRLRYTHRSHHIRD >OGLUM07G11320.3 pep chromosome:ALNU02000000:7:12725775:12728004:-1 gene:OGLUM07G11320 transcript:OGLUM07G11320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYLYAVPTRMAVDVTFVTQVIDTLIA >OGLUM07G11330.1 pep chromosome:ALNU02000000:7:12728931:12729489:1 gene:OGLUM07G11330 transcript:OGLUM07G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHDKVLAVAHRIQKMKEIFLHSIPIKEHQIVEQLVPRPKEEVMKITPVQKQTRAGQRTRFKVFMVVGDGDDHIDLDVKCAKAKTIFGTIILAMFFVVPVADKCGYVTVRMMLPPMGSSIMATRVPKKVLQFASIEDIFTSSRGSNKTLSNFVKASSIHLCYVKC >OGLUM07G11340.1 pep chromosome:ALNU02000000:7:12732431:12733336:1 gene:OGLUM07G11340 transcript:OGLUM07G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLALPAAIFCVVVVAASLGGAAATGKTGRITVYWGQTSSEGGLREACGTGLYSTVIISFLTDFGGGNYKLNLAGHAWSAVGPDVKYCQSKSVLVLLSIGGGVGRYSLASQADAKAVADHLWNFYLGGTSTKSRPFGDAVLDGVDFDIELGSNAHYGDLARYLKAYSGRKPGGRKVWLTAAPQCPFPDRMLGEALRTGLFDRVHVQFYNNPVCNYRASNVAAFTSAWNKWAASLPGSSVYLGLPAASGAANNGYVAPATLKQKVLPIVQKSKNYGGIMLWSRYWDNQTGYSKSVKSAV >OGLUM07G11350.1 pep chromosome:ALNU02000000:7:12741443:12743498:-1 gene:OGLUM07G11350 transcript:OGLUM07G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIAQGSHRAIGITSMAFLYCALDNIYHYVAARKALASDCSLSVPGHFIMGWFASFWNEVQMTTSLACPTINQEFGLFDNRALHVQWVISMAAVDLFVSCTVGSVTYKRGDHFGNQLTRFRDINTAPKNSAPATQTSVDPGVSKGTPTQQQKLAERRALKLRSRPHRHHPPQAQSLHRSCEARIKTVGKNIEEIRACIAHSDNVATIASFQASQQELLALSPRSETYSEGLAIPRAPDDIGTPPIDLFASEFPDIAALLDGGADPETGLYLDSPIFPDATQEDHVDSHLPENNEACTEANPDTGREETTLTGAEKLSSESKKLSMEIEYIKHKSHKHRTGTLMQGKAPNVVSAHGLKHVWSEIFQELLKQCPVQKDIVLKETSINLDLWSNFFSKPPPEIIRLMEGLRVLKGALSEEVPLPTTNLILAQQDQINQHVDMLRTT >OGLUM07G11360.1 pep chromosome:ALNU02000000:7:12743592:12743971:-1 gene:OGLUM07G11360 transcript:OGLUM07G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDIHELSSAHNVRCYGHTNFLDALTKNLFRYHPLLMAMYKLYTTYMLNEGPGLVTIATWVNHFFGNHPSFLPDGFANPTEPLYEDKGFHVELRNDRPTAIMCNLEMSYIYSYP >OGLUM07G11370.1 pep chromosome:ALNU02000000:7:12744370:12745806:-1 gene:OGLUM07G11370 transcript:OGLUM07G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYYSEKFMTIPCRAGSGISEFYLEIKKTHEEEHNATNFKQNPIRYGNSERPQCGRRVKIVARKDIEPTVALPESVQPLNTDVAEKTNDFMSRVASCLNIKRGSQHINKEEKDSLTRSCNWG >OGLUM07G11380.1 pep chromosome:ALNU02000000:7:12753586:12764184:1 gene:OGLUM07G11380 transcript:OGLUM07G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATPTHAPHNPASSSPPPPPLLASSHSHFRLASSHPHLFRGSPRSRLRLIRDPGRADPRAGGGGRVVGVSAAGRTASSAAAPAAEMEWVRVLEEGVFRFDASGAARAAAAPSFSFAEPRRREAAREGADAPAVVPACHVVGDAQKVLIKLPAGTSFYGTGEASGPLERTGKRVFTWNTDAWGFGPGTTSLYQSHPWVLAVLPDGKALGVLADTTQRCEIDLREVSTIKFSAPSAYPIITFGPFNTPSEVMTSLSHAIGTVSMPPKWSLGYQQCRWSYDSSEKVLKVVRTFREKGIPCDVVWMDIDYMDGFRCFTFDSSRFPDPKSMVDDLHSIGCKAIWMLDPGIKKEEGYFVYETGSENEVWIQKADGSPFIGEVWPGDCVFPDFTCKRTRTWWASLVKDFISNGVDGIWNDMNEPAVFKSTTKTMPVSNIHRGDDDIGGVQNHSYYHNVYGMLMARSTYEGMAKANTEKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSVPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGLGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHMKGTPVAAPVFFADPQDPELRKIETSFLLGPLLVCASTVPDKGAHECSHKLPKGNWLPFDFGDSHPDLPVLFLQGGAILPIGRPIKHVGEASLDDDLSLIISLDENGKAEGVLFEDAGDGYGFTQGNYLLTYYVAEFHSSVVSVKVLKTEGSWRRPKRNLNISILLGGGAMISSRGIDGEEVHLTMPFDSEVSSLVATSELEQKKRLEMIKPIPDMDEPAGQEGAELSKTPVDLKSGDWMLKVVPWIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVMRRYLEQSGEEESVCLEGDIGGGLLLQRHISILKDNPKIFQIDSSIQARNVGAGSGGFSSILFEVQEYLDTLKYVFLLKVGEWMLVDNCAGLSLVNRFDPSQVSKCLVHWGTGDVNMELWSEERPVSKETPLRICHQYEVIQTN >OGLUM07G11390.1 pep chromosome:ALNU02000000:7:12776134:12780049:1 gene:OGLUM07G11390 transcript:OGLUM07G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPPAGGALELGVGSSGRAACGCAYLRGRDGGRGGNLDSSDGRQRVSTKLFVGNGDGASTLPVSLGEPNRTNLLDLGMGSCKGSTSEQLEGHTAPHVASVLLKGLLHHLGQSKRSIGAMADNATKRQARRICEVTLRAAHDFFIFGLFYSRDGMWALGSGLFYFLSHVVCCGLWAM >OGLUM07G11390.2 pep chromosome:ALNU02000000:7:12776133:12780049:1 gene:OGLUM07G11390 transcript:OGLUM07G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSPPAGGALELGVGSSGRAACGCAYLRGRDGGRGGNLDSSDGRQRVSTKLFVGNGDGASTLPVSLGEPNRTNLLDLGMGSCKGSTSEQLEGHTAPHVASVLLKGLLHHLGQARRICEVTLRAAHDFFIFGLFYSRDGMWALGSGLFYFLSHVVCCGLWAM >OGLUM07G11400.1 pep chromosome:ALNU02000000:7:12781186:12782451:1 gene:OGLUM07G11400 transcript:OGLUM07G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTFRRWSDDLTPDLVSRVADCCPVKDYASCRAVCRAWRSALPSLASRPLAPVAAADAGVAVSLGVCSQNARRWSRLVGLHKPSGLDAETCRCVGGTRDGWLALVGAAAGKPASGAVLLFNPLTGAEIPLHASLYDPECERAPKVVFSPSPTARDFAAVSMCRPNRLAVQRATEGYSSSLVVDTEALMDGAVLADIAYSEEGKAKVVYCLTTHGAVHVLHLDRRRRRRGRLWAVEVEPLAAGAFSTPYDTIARHTDAKSVALCGGALYQVWRRPGGAGSAVAPAGMLDQRLLRVSESEVFVLRYDPGARGPLWVEVKDLGGHAVFLGANDAAVRVVVDSSELVGDCLYYWDNTAAPEGGYEAFVFNVASRGSARRLPVAGGVSSPLWYFLPAWEKTNLKKPVQYDDSLPVQYDDEPDIGA >OGLUM07G11410.1 pep chromosome:ALNU02000000:7:12784428:12787356:-1 gene:OGLUM07G11410 transcript:OGLUM07G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAIAALPPELVSEILLRLRPDEPEHLFRASLVCKAWLRAICDPVFLRRYRAFHGSPPLLGLLHRLRVIDGDPAPRIARTTAAPLSPDPAFLRALDCRHGRVLLHASNHGLIVWDPVTGEQHRLPEAGILFCAVGGCDHLDCHGGPFRVVFVATDDDDELVKGSVYSSETGVWSTPATLDDGYQSWEERWQAARSRGEYYRTPYVHPKRCALVGDEIYFTLRNGNTIIEYNWGKNRLSMFDPPTSDMYYIALTVMENGLLGFAGIEGSSLYVWSRKVNPQGAAEWVICKVIELEKTIPVTDLSDGACVVGSAEGLGVIFVSSGAGLFTIELKSKRVKKVEEPGVYFSVLPYMSFYTPDH >OGLUM07G11420.1 pep chromosome:ALNU02000000:7:12793854:12805885:1 gene:OGLUM07G11420 transcript:OGLUM07G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKEAMVWVRILEEGVFRFDASEAAWAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVELPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVNDLHSIGCKAIWMLDPGIKNESGYFVFDSGSESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGHQNHPYYHNVYGMLMAKSTYEGMKLANSAKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTLVTAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLKHVGEAKLDDDLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKGPNRNLKINILLGGGAMVSTHGIDGEDIHLTMPTESEVSSLVATSELELKKQMIQPIPEIDKPLGKEVAELSEIPIDLNGEDWLVNVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCKEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKKNPKIVKIDSSIRAKQGADHSASNGIKREILPDSRELTFEGDLRPNGEWMLVDKRTNLSLVNCFNLSQVSICKLHWGTDHLNMELWSEQRSVSKDTPLRICHHYEVRKIN >OGLUM07G11420.2 pep chromosome:ALNU02000000:7:12793854:12806045:1 gene:OGLUM07G11420 transcript:OGLUM07G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEKEAMVWVRILEEGVFRFDASEAAWAAAGPSLSFAVPRRREEPRAGGDRPAIVPVCEVVGDVQRVVVELPSGTSFYGTGESSGPLERTGKLVITWNTDAWDYGPGTTSLYQSHPWVLAVLPDGKALGVLADTTCRCEVVKTFRERGIPCDVVWMDIDYMDGFRCFTFSHRFPDPKCMVNDLHSIGCKAIWMLDPGIKNESGYFVFDSGSESDVWVQKEDKQPFVGEVWPGDCVFPDFTCERARSWWSGLVRQFVSNGVDGLWNDMNEPAVFNTATKTMPESNIHRGDANIGGHQNHPYYHNVYGMLMAKSTYEGMKLANSAKRPFVLTRAGFIGQQRYAAMWTGDNVSNWEHLHMSIAMVLQLGLSGQPFAGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHSDKGSLDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYLSHTNGTLVTAPVYFADPQDLELRKIETSFLLGSLLVCASTCPDKGAHESAQKLPKGIWLPFDFGDSHPDLPMMYLRGGAVLPIGLPLKHVGEAKLDDDLSLIIALDENGKAEGVLFEDDGDGYEFLQGNYLLTYYVAELHSSVVTVKVARTEGSWKGPNRNLKINILLGGGAMVSTHGIDGEDIHLTMPTESEVSSLVATSELELKKQMIQPIPEIDKPLGKEVAELSEIPIDLNGEDWLVNVVPQIGGRIISMTHLPSDSQWLHSTNRINGYEEYNAAEDTAGCKEEYKVIRRYREQSGKEESICLEGDIGGGLVLQRQISICKKNPKIVKIDSSIRAKQGADHSASNGIKREILPDSRELTFEGDLRPNGEWMLVDKRTNLSLVNCFNLSQVSICKLHWGTDHLNMELWSEQRSVSKDTPLRICHHYEVRKIN >OGLUM07G11430.1 pep chromosome:ALNU02000000:7:12818185:12820441:1 gene:OGLUM07G11430 transcript:OGLUM07G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFTARRSSPELVTPARPTPRETKLLSDLDDQWTLRYYETVVGFFRVCPKMAGGLPGGDNIAAKVIKAAVAEALVYYYPVAGRLRADLVPGANNKLAVDCTAEGVAFVEATADVRLEELGEPLLPPYPCVEEFLGDAGDTRHILDKPLLFLQVTQLKCGGFVIGLHMCHCIFDAFGLLQFIKTIAGFAGGEPIPSTMPVWGRESFFAARTPPSFTHVYPAYKPILDGSSAGDGDGDNDVMLATPPETMVMKYFSFGPKEISALRSLIPAHLTRSTTAFELLTAVMWRCRTSALGYEPDRRVRLMFTLNLRGRWWNREEAAVPPGYYGNAHLSPMVMATVGELARQPLADTVELMCRAKADTTRERVESMVDLLATWRERPASAFAMDRTYEVSDTKWVGGGGGALRCGVAEMVGGGTPFAGDLASKLISYHMKCKNENGEDSIVVSMLLPEPAMERFTKEMSFWLKSY >OGLUM07G11440.1 pep chromosome:ALNU02000000:7:12864020:12864469:1 gene:OGLUM07G11440 transcript:OGLUM07G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPAVYYCVILPPPLHSLLHLLECISRGCALPAALLFSGGDADADEELAAPPPGAAATAARAQADGIKSRLPVVRFSGSGADGEEEDGASSADAAGEASPRCVVCLAAVEEGAEVRQLGNCSHAFHLPCIDRWVDMGHFTCPLCRSLL >OGLUM07G11450.1 pep chromosome:ALNU02000000:7:12878917:12896301:1 gene:OGLUM07G11450 transcript:OGLUM07G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01320) TAIR;Acc:AT1G01320] MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKTLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNAPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >OGLUM07G11450.2 pep chromosome:ALNU02000000:7:12880732:12896301:1 gene:OGLUM07G11450 transcript:OGLUM07G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01320) TAIR;Acc:AT1G01320] MAPKSKRGKAKGEKKKKDEKVLPVAIDITVNLPDQSHVILKGISTDRIIDVRRLLCVNTATCAITNYSLSHELRDGRLKDGADIATLKPFTLTLVEEEYDEESAVAHVRRLLDIVACTASFGPPPPPPPPPSPKDAAADPAKEPSGSKAGSAAATGGRRTGSPPPSPAPVAKDAATKDDAAAAAAAAAAKESSASAELEAEMSGACPRLGAFYEFFSLANLTPPLHFIRRVAQPRQEEQPSDDHLFFLEAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPSEDETWGGNGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMEIKDVSASANIDEVLHSETVGNFSITVTRDSSDASCKLDTKIDGSRATGMDFKHLAERNLLKGITADENTAAHDVESLGIVNLRYCGYVAVAKVNNIEKAKVNTSIKPIDITDQPEGGAHALNINSLRMLLNDANSTGEKKTLNLPQNNKQEELIAAHSFVENLLKESLQKLEEEESEKQSFMRWELGACWVQHLQDQKNSDKDKKQGGEKEKKKVVDKSAKETKIEGLGKPLKALKHSKNNVDVADKGSSLGEKSMCDGTSSAESQKFKPSAVELPQGESNASENESLLKDLLSDSAFTRLKDSETGLHQKSPPELIEMALKYYDEVALPKLVKLSEKLSHVQSLCVHEMIVRAFKHIVRSAIAATSDMRQLALAIAAALNLLLGVPEPEVFTSSDGVRPLVWKWLVAFLKKRYEFELTEQHYHDVRKYALLRGLCHKVGIELAPRDFVMDSAFPFQKQDIISLVPVHKATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNEESKGRDSESSKRRYSSIKVLSNSNGGSNVASPEVSPRDSTSANADEDKQIIEPSQDDTVNFVAEAEIKQNLKSVEYSASSEQPVERAEVINVPREVVQEELVEPEDGWQPVQRPKSAAGSGKQMKHFNPTTRKMYDPDNHDPQYTSQYKARNSYPNSRYYFLKKRTVVPATYTDPHQHMKVQTSSARFGRKIYKAVTYRIKPGSTSTEAQDASAEQMSGKAESQMAYSQVHSTTSVDHKESEPHGTLVTSSGNAPSYKDVALARPGTIAKAQIQKSRDDVVQNQPSLGQIIAQEMKDSLVDTHQVEQGSVSANINNPKEVGNIPEEIQHSEDIKVSDRELDTGDIDTDGSPNDEKSLNGSNPANDHTSQEPVSCSNENAAVEFAESSNSAKDEQSRKSDMEIFEEALPTSIGPIAVSASTANTEGLAGAGNEKSKPNLLLNSIDLREMPNKKLSAAAPPFNPSPPAILSPLAVSVGLPPPGAIPGVAPWPVNVPMHPGHSTMVPSGPPLCTSPHHLYPPAPRSPNLLHPVPFIYPPYSQPQVIPSSTFPMNTNIFRPNHYGWQPYMNAPSSEFVPGSAWPSNHPVDFTPTPHVVNPISQSLADTHIQSDAAVVSIGPSLDSNTMAVKEEMEATMVGSGNLISNKRPADDQDKQLKDPVRIELNPDMPGDNAHGICATDHLRSTVKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKLVYNRVVRENDIFRPSTVSFAEVVSSGN >OGLUM07G11460.1 pep chromosome:ALNU02000000:7:12899154:12900296:1 gene:OGLUM07G11460 transcript:OGLUM07G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGRNMLAPLLVLNLIMYLIVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWRHDSLATNAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHTGLFGGGDGAYRDHDYGVGAGAAAGEPKGTARV >OGLUM07G11470.1 pep chromosome:ALNU02000000:7:12935287:12937311:-1 gene:OGLUM07G11470 transcript:OGLUM07G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKRNGGQDSSLMAGRRQGVSAAAACQRGFAGRSAEADMHSLARESRTMGEGLAYGPAAATPGEPNVSY >OGLUM07G11480.1 pep chromosome:ALNU02000000:7:12975125:12976894:1 gene:OGLUM07G11480 transcript:OGLUM07G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHTPRGWYFGTRAKIGIWGSPNQGRFQESGVSILVTSNELEDLNALEAGFHVYPDLYNDNNVHFFTHWTKDTDRSTGCYNLKCGGFVPAEGAELTPGQAVAPASTYDGEDHYISISLHTDPNSGDWVLFRDDLEKPLFLGHFPKELCPKLNGGAPRMAWTGFVSYPKNEPSPAMGSGHFPLEGERKAAYIKNIKLFDSKARAHDPYMEDLLPVLDRPDCYHLSIVDFVVKDRVYFYYGGPSGCIG >OGLUM07G11490.1 pep chromosome:ALNU02000000:7:12977629:12982290:1 gene:OGLUM07G11490 transcript:OGLUM07G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREPVFAGTNDTMELSSDAAPSPANLSRTATAICSTPAFAREMVAGGVAGVVAKTAVAPLERVKLMRQVGAAPRGAGAVQMLREIGRGEGVAGLFRGNGANALRVFHTKALHFMAYERYKRFLLGAAPSLGDGPVVDLLAGSAAGGTAVLATYPLDLARTRLACAAAPPGAAAAGMSGVLRSAYREGGGVRGVYRGLCPSLARVLPMSGLNFCVYEALKAQIPREEEEHGARGWRRAAKVACGVAAGLVASTATYPLDVVRRQIQLGGGGGGGTLQAFRAIVRAQGARQLYAGLGITYVKKVPSTAVGLVAYDYMKSLLMLPASGPKANGSSQLLFLVFRAHAFQTVKRGVGFPAT >OGLUM07G11500.1 pep chromosome:ALNU02000000:7:12983010:12985370:-1 gene:OGLUM07G11500 transcript:OGLUM07G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRYYHHHLKVRALLCGFGGGCFPTRTLPSPSPWSPPLTHFIKHLLGSPAALSGTATGAACEPCSLTLHFLRNTCGLSEDEAAAAAARVRLRSTKKAHAIVALFRGIGFSATDIAHLVTSNPSLLSYRADATLMPKIEFFRRELGLTDAEIRRLVLANPYRVLGYSLKRCIRPNYLILRDLLGSDKNVTAAVLQSTDLIHGDVRGILLPKIKILQDYGATNDVIVKLVTTHPRALMHRASRFEESLAAMKELGVRPSSGMFPYSFGLFARLHPRKWKGRMDNFLSLGWTKEQVIEAFVRHPYCMSVSNDKVKLIWQFLAKKLRWTADYVARSPMVLSFSYDKRILPRCTVLNLLASRGIFNRDIKKSHLVLGEKKFKEKYVTPYQDEIPECSVNYL >OGLUM07G11510.1 pep chromosome:ALNU02000000:7:13002252:13002629:1 gene:OGLUM07G11510 transcript:OGLUM07G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVFDGEGRRYGLTCGYSDYTMCYRLFGGAREFGLFRANNREVRDVAVGKDKLMKVFTFRSPVLRPVEVDLDDGHPDSALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHSPKLAQMMLD >OGLUM07G11520.1 pep chromosome:ALNU02000000:7:13006543:13007113:-1 gene:OGLUM07G11520 transcript:OGLUM07G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPENAFVTVQEGTLCLAIVVMTKQQPVSILGNLAQQNIHVGSPPQTAQGAASQRSILAAGRRAVGEEDGADGEQDRKSEDAAAVVVHLHRSRARAVSGVAGGSRRVERAGTASSRVGAVGHLSGSPFSSVPTAAVSLLPCRRPRRRLPGLSDGPTPRSHTPLQPPDLLPTRQLPCWLLQREEK >OGLUM07G11530.1 pep chromosome:ALNU02000000:7:13007503:13008357:-1 gene:OGLUM07G11530 transcript:OGLUM07G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDVASESAWDPRGPHMSECQLRGAASLGRRFSYCLIPHSVNISSALNFGALANVTVPGAASTPLVAGDVETYYTMVLDSVEVGNKTVASVASSRIVMDSGTTLTFLDPALMGPLVDELSHRCSRRTGCCSCATSCPGGRWKPERESQITSINISRSPDTPSWNLVQIITVIM >OGLUM07G11540.1 pep chromosome:ALNU02000000:7:13029571:13030287:1 gene:OGLUM07G11540 transcript:OGLUM07G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALRDKLRAASGSCPPANYRDGAVVLENAVLLSLLAELGASTPTCVYFGQAPRGQQTNLLLGRLGLARGSVAAWHITEAFTDGELNLVIGDGGDGRHGMEVLVFDAEGRRYGLTCGYSDYAMCYRLFGGAVEFRRFRANNSEVRDVAVGKDKLMKVFTFRSPALRPVEVDLDDGHPDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIRKHYPKLAQMMLN >OGLUM07G11550.1 pep chromosome:ALNU02000000:7:13046922:13047641:1 gene:OGLUM07G11550 transcript:OGLUM07G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQANSALQVISGNPGALREMLRSASGSCPPANYRDSVVLEDGAAVLQSRLAELGASTPTCVYFGQAPRGQQTSLLLGRLGLARGSIAARHITEAFTDGELDRVIGDGGDGPHGMEVPVFDAEGRRYGLTCGYSDYAMCYRLFGAAGEFGRFRANNREVRDVAVGKDKLMKVFTFRSPALRPVEVDLDDGHPDGALGMIVLFYDLDAKEAVKNELLDTDTLTVNQIMKHSPKLAQMMLD >OGLUM07G11560.1 pep chromosome:ALNU02000000:7:13060696:13065413:-1 gene:OGLUM07G11560 transcript:OGLUM07G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIZ2] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVTSAARARRTAPSCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM07G11560.2 pep chromosome:ALNU02000000:7:13060698:13065413:-1 gene:OGLUM07G11560 transcript:OGLUM07G11560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIZ2] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVTSAARARRTAPSCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM07G11560.3 pep chromosome:ALNU02000000:7:13060698:13065413:-1 gene:OGLUM07G11560 transcript:OGLUM07G11560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIZ2] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVTSAARARRTAPSCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPESAKDSCATVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM07G11560.4 pep chromosome:ALNU02000000:7:13060696:13065413:-1 gene:OGLUM07G11560 transcript:OGLUM07G11560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIZ2] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGGNLPPPPSSPNCSLDSPLIDIAGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPESAKDSCATVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM07G11560.5 pep chromosome:ALNU02000000:7:13060698:13065413:-1 gene:OGLUM07G11560 transcript:OGLUM07G11560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AIZ2] MEASAGLVAGSHNRNELVVIRRDGDPGPKPLRQQNGQVCQICGDDVGLNPDGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRFKRLRGKSHPQCRIGGNLPPPPSSPNCSLDSPLIDIAGCARVPGDEEEDGVDDLENEFNWRDRNDSQYVAESMLHAHMSYGRGGVDVNGVPQPFQPNPNVPLLTDGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPESAKDSCATVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERLHQMRNDGGGKDWDGDGDDGDLPLMDEARQPLSRKVPIPSSQINPYRMVIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQTSQLAPIDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKYSIEPRAPEWYFQQKIDYLKDKVAPYFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDIEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYMLNLDCDHYINNSKAIKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGDRKSKKKTTKPKTEKKKRSFFKRAENQSPAYALGEIEEGAPGAENEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSNHCPLWYGYGGGLKCLERFSYINSIVYPFTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFATGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >OGLUM07G11570.1 pep chromosome:ALNU02000000:7:13081016:13081556:-1 gene:OGLUM07G11570 transcript:OGLUM07G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGMNTTEEAPQAGHCGRTCENDDLWRF >OGLUM07G11580.1 pep chromosome:ALNU02000000:7:13095156:13095377:-1 gene:OGLUM07G11580 transcript:OGLUM07G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVASSGGVMSVAGSGGLEEEDTSTVASLARRSAKALRAAVDVVIAAESARVGSLGAPCRWRVLQTVLAMA >OGLUM07G11590.1 pep chromosome:ALNU02000000:7:13104022:13107099:-1 gene:OGLUM07G11590 transcript:OGLUM07G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVSPTTPGPCAARRPHRPSCTATTCIGSPRRRWRLARFQDSAAAAPPAGRLTRPPPPPSPSSSQPLPVPAPRTTAERLGSLREMRRVWWVCGLGYWVQGFRCFPWLALNFHLTRGLGLTPAALQLVQNAGNLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLMAWGTLAVIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIVLAAGSLLGNLSGGYVLLRTQEPKTMFSAFSILLGLQLALSLSTKETLPSSHRNWNIRHVRTSLSDNLRKQFSNLRTAISEEQIFYPLMWIMTSFAVVPILSGTMFCFQTQHLKLDPSVIGLSKVVGQVMVLSLTVLYNKYLKKIPLRRLVAGVQTMYALAVLSDLVLVKQVNLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSQCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSSLIGVSGGDYTSFPLCILLQSLAALLPLGWISFLPEKWTADDKILKPR >OGLUM07G11600.1 pep chromosome:ALNU02000000:7:13144295:13146291:-1 gene:OGLUM07G11600 transcript:OGLUM07G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSQQESSDLHVHHLPIASVIGVEDHTEEADDAAVDYHDDGSEGQDEVSNKRMKRHTDDQIKHLESVFERCTYLGGNQRVELAKKLGMEERQVKFWFQNRRTRKKMHDERQEGMWLQEENDVLHAENKVLKEAIWANICFTCGSPVVPAIPTVHHRYLSFQNMRLADELQHATAVFNMVAQDADVGLPPVFPLTDVSPLPQFGFVTGNNQTSLEQHHGKDQQHASNGKW >OGLUM07G11610.1 pep chromosome:ALNU02000000:7:13207022:13207213:-1 gene:OGLUM07G11610 transcript:OGLUM07G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEVEAGTGGGGDGEAAEEVGKRVESTAAMGRRWAEEPIAEASVQVESEVGRRGGFEWSRS >OGLUM07G11620.1 pep chromosome:ALNU02000000:7:13227246:13227878:1 gene:OGLUM07G11620 transcript:OGLUM07G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPGSRGLVGSENLIRKNSFFTENSIHTHDEKAGEREGGEARRRSRICAESELPRRRGERRASPTGREEGATVAPAFTTGYACCRPPRPSICARRNSPATPPPDPEEEEVRHSSRPAAQRRQVPAVPYYCAIELPCPPLLRRRLRRCCTGEHQAPPLLRPATPALSPLGLPLHPAATLPDRPAVVSTRAAAPLGHPVMVEGEEESSVRA >OGLUM07G11630.1 pep chromosome:ALNU02000000:7:13229982:13232072:1 gene:OGLUM07G11630 transcript:OGLUM07G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDRAGCRIRIPQPHGGRIRWAAIVGRRPRRPVVRWEGQQSAIEGGLGEDGFRRSMMVASVARVKVDLTQETTDPNVPLAKKAKKCSSEVWSHSDMYEKKTVGYDGTEIVELWEKGKKYSYTSRCESNSAQQYFGVT >OGLUM07G11640.1 pep chromosome:ALNU02000000:7:13238840:13244609:-1 gene:OGLUM07G11640 transcript:OGLUM07G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ00] MAMWRAPSAAGQLLGRALASTAAQTSAGSKKVVGVFYKGGEYADKNPNFVGCVDSALGIRGWLESKGHRYIVTDDKEGINCELEKHIEDAHVLITTPFHPAYITAERIKKAKNLELLLTAGVGSDHIDLPAAAAAGLTVAEITGSNTVSVAEDQLMRILLLLRNFLPGHHQIVNGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLMYHDRVKIDPELEKEIGAKYEEDLDAMLPKCDVVVINMPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACASGHVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHCSGTTIDGQLRYAAGVKDMLDRYFKGEDFPAQNYIVKAGQLASQYQ >OGLUM07G11650.1 pep chromosome:ALNU02000000:7:13254689:13257875:-1 gene:OGLUM07G11650 transcript:OGLUM07G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ01] MAMWRAAAGHLLGRALGSRAAHTSAGSKKIVGVFYKGGEYADKNPNFVGCVEGALGIREWLESKGHHYIVTDDKEGLNSELEKHIEDMHVLITTPFHPAYVSAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELMRILILLRNFLPGYQQVVQGEWNVAGIAYRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKYEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKMKKGVIIVNNARGAIMDTQAVADACSSGQVAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >OGLUM07G11660.1 pep chromosome:ALNU02000000:7:13270706:13270966:1 gene:OGLUM07G11660 transcript:OGLUM07G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRRRHASAAPLQLCTALPLEETKRGVCDGNPEGSGGEGTAERATGGGVLGRAASRRDQATKESRERRGWDCGEGDRRVGDSRVA >OGLUM07G11670.1 pep chromosome:ALNU02000000:7:13287046:13289701:1 gene:OGLUM07G11670 transcript:OGLUM07G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSILKDVTQESHSWRVRVRVTRFSKYNSDDNPPVPFRLDLVLLDEEGTMMDAQIHGRHINAFEPVLKENQVYYITYFEVAEARTTYRLTDNPIMAKFTKYTQIKQIGPVPESFPQYACEVVSFETLRAWIDETEGSTEGSSKHQITHGRETLPISLWGPHATEFDAEGVQAEAQKYPVIMLFVGVTSKIREGQLTLYGSTICGWYSNPMIPEVVALKQSLTKKPHEISWFGQHSTTKHASHATVPDIANLNPHDIMGNTYTVNMSRWYIACEKCKRTATQNGSFHKCVKCGVTIPETRYRLAVHGVHPSDFKKDCPITADFTFFGPVAEELIGVPPLTLVALVQGRRDLVPTEIARLYGTQLTVKVSASRRSLQMSRISYQVESMTVLPPEVPDELPAIENIQSLIPTDTTDKAESSSIRNQITDSETPLHTITKQTSQNIMTDIISAMPATDVIAQPATTIIQVS >OGLUM07G11680.1 pep chromosome:ALNU02000000:7:13292065:13296320:-1 gene:OGLUM07G11680 transcript:OGLUM07G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTHVLSTAYQPLDRDIGRFARDPLLRSAFLASLPRHSLSSPNPSRLPSIRRRLRHHFLRRAPTAGAPIFVAPSSPSPSARHHSAVLAGRILSPLRSSSVSRFSGTLRDGARKSGERNVVDDERPDNDRAGDSGLSRRCQILLGFLCFVLLFTIFCLIIWGVKGVSCCSLTARDQPSSETVSSKTGDPTLGVLSDITNLSAVELRRKRARKRNPKRKSIWCYYERH >OGLUM07G11690.1 pep chromosome:ALNU02000000:7:13388582:13389013:-1 gene:OGLUM07G11690 transcript:OGLUM07G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSAVLGIIGVHLGAHGDAIDRVNFYHDASFLLVSLAAGEVTIWGALAFTSLYVVAVAFTHGRSPSKGHDAEADHMADAFSELCNVAEKKFYGDQEPLLPETTPPVDFLHVVAGGEGVSACQETCNSLTAHTLNLTESLKL >OGLUM07G11700.1 pep chromosome:ALNU02000000:7:13401989:13403515:-1 gene:OGLUM07G11700 transcript:OGLUM07G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIPEADCRPELFLPPGTNIEVPWDARTPRVDFTFQGPIHKTDEYFAAVIVKPQPPVHLIDQLIQEVANIITHQHQMQVVRIQRYPLALCIVQLSSTLARDVLVGGELVLLGKWFHARFVNHDQLANWRNSPYTREAYGGLEQTWTFHVYVLNGTPADVLPGDEDLLPIWQMLPAPHHNQANQQDGIWGNMDAQGNDQNEEEQQGENHMQQQHLGWQIVPYGLPIPALQINKLPEFFCLAVAQGVFSPLLLALQPPLCSPISVIPRWSLIQTTWEVKMNLVFFQELGLSPSPAKRQKITSVGKNVARTLLFSDEPSSLQNEVQATPKPRKQRQKGPISTDNLRRSPRFMGQDKLNLAFDIPKKKSKVLPVKSLLPAPGKGLPPPTPVAHLQKIGVEKCGLLPEEVAEAKLLKAKK >OGLUM07G11710.1 pep chromosome:ALNU02000000:7:13436746:13437459:-1 gene:OGLUM07G11710 transcript:OGLUM07G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEVVLAPDPEGVIQRSRLEKDQEIGAQPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRGGFLAAAARKEQAIDMVESEVEESADQSLPAPELQPPLSRTLLPRALVIAALPTSTRWIPWPAASR >OGLUM07G11720.1 pep chromosome:ALNU02000000:7:13451543:13452151:-1 gene:OGLUM07G11720 transcript:OGLUM07G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVIMATPPLPPPPRARCVVRLKLPPAWTPEEDARLQRLAKENGFRRWSRVARSMPRRSARSCRDRWRHHLARDVYHRPFTARDDDELLRLHYRLGDRWKEIGRAVYGRTSRVMKHRWRELRRSGFPLAAAARKEQALAMVDNMVESEVDESDQSLPPPELQRSLADALASSFGCCSLAHDHAMDPLAGSLALGFACMAV >OGLUM07G11730.1 pep chromosome:ALNU02000000:7:13454979:13461294:-1 gene:OGLUM07G11730 transcript:OGLUM07G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRDPLATPSSRMYYRRQRKASSEVNANVFVPGGQNGISFHASNRAHDWGYGGVREEWEASYARKLQLINFLSSLHQRTANSLITTRMDANMDTPLEQKQKDSSAIIVLDSDDEDEAERCEQLASENNKQQAPSGPTSPCTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQSPLQTSWQPSIQYERVILQRRPEEQRVQDLVAASHAEKIAETQVFLTLPTLPNERKRRKSEPTTLVDGDGGTNLGKGKRKNHQNQAAVDSILDLQQNVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRTEQLEVLKSWEARMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRVWQ >OGLUM07G11730.2 pep chromosome:ALNU02000000:7:13454226:13461294:-1 gene:OGLUM07G11730 transcript:OGLUM07G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRDPLATPSSRMYYRRQRKASSEVNANVFVPGGQNGISFHASNRAHDWGYGGVREEWEASYARKLQLINFLSSLHQRTANSLITTRMDANMDTPLEQKQKDSSAIIVLDSDDEDEAERCEQLASENNKQQAPSGPTSPCTTWIVSSAKDQVNGTLHVDGVQSTQIVPYGQNAPLINQSPLQTSWQPSIQYERVILQRRPEEQRVQDLVAASHAEKIAETQVFLTLPTLPNERKRRKSEPTTLVDGDGGTNLGKGKRKNHQNQAAVDSILDLQQNVVPLQQNDVPSQSYRTMIEEEKPVKESDGLEDLWKDFSLAAECTKLDTNEDMSNEKDVDDENEMDDDCNHDIRIHEDLGHVCRICGMIVRKAETIIDYQWKKASRTRTNYYESRSKDADDIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLAKYPSARPLVVLPKGILGTWKREFQRWQVEDIPLYDFYSVKADKRTEQLEVLKSWEARMSILFLGYKQFSRIICGDGDGNIAAACRDRLLMVPNLLILDEGHTPRNRETDVLASLKRVQTPRKVVLSGTLFQNHVSEVFNILDLVRPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSTKQKEIVHKIEAYEKFKRSAVGTALYIHPCLSEISEGDAADRASNLTDATVDSLIESIIIKDGVKAKFFFNILSLANSAGEKLLAFSQYILPMKFLERLLVKRLGWHVGKEIFMISGDTSADDREVAMDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRPGGCVHLLHPRPEGDDKDRGKTTQGRLGAYEVELFVLLQGRFFPAYAYHLIVVVTNYLLHMF >OGLUM07G11740.1 pep chromosome:ALNU02000000:7:13469649:13473268:-1 gene:OGLUM07G11740 transcript:OGLUM07G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ13] MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGTSFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPR >OGLUM07G11740.2 pep chromosome:ALNU02000000:7:13469649:13473268:-1 gene:OGLUM07G11740 transcript:OGLUM07G11740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ13] MRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGTSFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPR >OGLUM07G11740.3 pep chromosome:ALNU02000000:7:13469651:13471839:-1 gene:OGLUM07G11740 transcript:OGLUM07G11740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ13] MALSVSLELSHSPPLWHLPSLCHHRPGIPDPRKSWTVKQPKRICSRRAAEIQWPEQPRRRTREPLRRGTVSPRLPVPDHIPLPPYAGTNRLPDVDPNRQLHDCESIARMRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKVTEECMLRGISACKHGTSFKTIGQRISEYVDEYGYSIDPFVGHGIGKIFHSEPIIWHTYDYEPGYMVAGQTFTIEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPR >OGLUM07G11740.4 pep chromosome:ALNU02000000:7:13469651:13471839:-1 gene:OGLUM07G11740 transcript:OGLUM07G11740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ13] MALSVSLELSHSPPLWHLPSLCHHRPGIPDPRKSWTVKQPKRICSRRAAEIQWPEQPRRRTREPLRRGTVSPRLPVPDHIPLPPYAGTNRLPDVDPNRQLHDCESIARMRAACELAARVLEYAGTLVKPWVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRNGDIINIDVTVYLNGYHGDTSRTYLCGEVDESTMQLVKARHSQSVEPTLSMGGTQCTLWDDGWTAVTVDGSLTAQFEHTILVTGDGAEILTMHPR >OGLUM07G11740.5 pep chromosome:ALNU02000000:7:13471963:13473264:-1 gene:OGLUM07G11740 transcript:OGLUM07G11740.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ13] MVRSNRASTNTAFSSPSRNVGDALRPA >OGLUM07G11750.1 pep chromosome:ALNU02000000:7:13479229:13481839:-1 gene:OGLUM07G11750 transcript:OGLUM07G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKLVEVTQLFSRFKAAFARNDFDTCVDLLSQLKVRLTKFPSLPPSFQQTPNAVEELKIARDIYEHAVVLSVKIEDQDAFERDFCQLKPYYMDTCGIIPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLPVTALENPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDELAGCSEKGYDYMSIAEARQVLMFSSDKELHQYIAEEHPEWEIKDGSVFFQKAKETQPCKEIPSLQVINQTLSYARELERIV >OGLUM07G11760.1 pep chromosome:ALNU02000000:7:13485937:13487748:1 gene:OGLUM07G11760 transcript:OGLUM07G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTINVASATSRFLLAGGNGGSGGGGASRVSFAANRVGRRMVVVRAEEEAAAPPPPAAEEKPAEAEAAVATKEPAAAKPPPIGPKRGTKVKILRRESYWYNGTGSVVTVDQDPNTRYPVVVRFAKVNYAGVSTNNYALDEIQEVK >OGLUM07G11770.1 pep chromosome:ALNU02000000:7:13487925:13494677:-1 gene:OGLUM07G11770 transcript:OGLUM07G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40360) TAIR;Acc:AT2G40360] MGHSDGDHGSDLSADDSPWSEGSWSDDDDEGSLSFEDSGEGSDAESDEPDAPAVEESDSSEDEVAPRNTIGDVPLEWYKNEEHIGYDITGSKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHTNVDPYPDYVDWFEYDGKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRKGWIKFDKPKDEPNFYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPLKFDCLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPRTCYLEFKGHNGPVKSLSVEATGQWIASGSSDGTIRVWEVETGRCIKVWNVGGVVHRIAWNPSPDRHILAAVVDHDLLLLNAEVGDEDAQMKTKGLLQIEELAQEEDNGDKKPAVKWVKHEKFDGIMLIHHKAVSTVEWHFKGDYFTTVVPSLPIAAVFHPSQKMFFVATKKFVQIYDLQKAQLVKKLESGVREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKNHSKDITNVTFHRKYPLFASSSEDCTAYVFHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPRQPWLFTAGADSVVRLYCD >OGLUM07G11780.1 pep chromosome:ALNU02000000:7:13501521:13502038:1 gene:OGLUM07G11780 transcript:OGLUM07G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFRHHPQLGVQRHKRRPICGRRRAGGAAEGRREEGVQLRQLAAAKAARRWQVAAQGGIISITNV >OGLUM07G11790.1 pep chromosome:ALNU02000000:7:13515836:13522673:1 gene:OGLUM07G11790 transcript:OGLUM07G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDTSPSSSAPSSPAASSDSIDLNFLPFLKREPKSEPASPERGPLPLPAAAPPPPPPPPPPPPPPQVQAATVATPVPATPDLSAAAVMTPLQSLPPNPEEETLLAEYYRLATLYLSSAGAAGVIVPAAAPEASAGAVAQPGSGSGAKKRRPRSSELVRVSSLSVQDQIYFRDLVRRARITFESLRGILLRDDERAEVLGLTGVPGFGAVDRRRVRADLRAAALMGDRDLWLNRDRRIVGPIPGISVGDAFFFRMELCVLGLHGQVQAGIDFVTAGQSSSGEPIATSIIVSGGYEDDDDRGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRAVKSKRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQESMGSVNFRLAEQLKVNALTFRPTGYLSFDISMGREIMPVALYNDVDDDRDPLLFEYLARPIFPSSAVQGKFAEGGGGCECTENCSIGCYCAQRNGSEFAYDKLGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLRNRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTHQQSEIMAANGDCLVRPSRFPPRWLDWGDVSDVYPEYVAPNNPAVPDLKFSIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNAAYPHLMIFAMENIPPLRELSIDYGMIDEWVGKRITEACIWDDVSSLPMRKAKSQLIVIH >OGLUM07G11800.1 pep chromosome:ALNU02000000:7:13527359:13532242:-1 gene:OGLUM07G11800 transcript:OGLUM07G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDAARYAHSEAHHAVATRDHAALRRVLDALPRARRPEEIRTEADSVAEEARAEAASAVIDRRDVPGRETPLHLAVRLGDAAAAEMLMAAGADWSLQNEQGWSALQEAICAREEALARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSDDGKVPPGSLCMINHKDKEVMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERTESVGPWKAKVYDMHHVMVSVKSRRVPGAMTDEEFFSACNENDTESEGFDDVLTEEEKKQLEAALKMDSPDGAGGEGQSDTFVGPRHSCVEPREREIPIEDLSISGNGDSKHDKKGWFGHWGKRVQSSKLEGTKKMAPPRSSLCVDEKVSDILIESPSNVQTRPGRHSVDVVRGDESRRGKERDYRRPAASSECGHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPVAQSSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFVIPADYVWTTPEEKKKKTQENKSKSKKGRNAAA >OGLUM07G11810.1 pep chromosome:ALNU02000000:7:13549060:13549521:-1 gene:OGLUM07G11810 transcript:OGLUM07G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPVAYAIAPPAGGPTSTPAVDAVAPPTGAAPPDPARAASVPPPPAAEGHGPPPSCRRSAGSAGPPCLPSAGSARKGRGGAACRQIGRPHASPSAGSARGGRGGATVVARRRLLSLPFFPPAVKGEGGRGRAPLAVAVGQPGEGKRGEERRG >OGLUM07G11820.1 pep chromosome:ALNU02000000:7:13551104:13552869:-1 gene:OGLUM07G11820 transcript:OGLUM07G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGHMRCATAWCSARHSSELIEELAAAAVIPGGGIGAGLWQRRLLAEEEALRAPEEETFPGGFLRSVAVPDEGIGVELRRRRFLAKESALRAPKAATFPGGFQRPAARWHLHGEDLVLTLRHPCLRRARSARWSRRRSRIVWLAGEERKEREWKRATCGLGDKRRLRRKALYCGEPGQ >OGLUM07G11830.1 pep chromosome:ALNU02000000:7:13554546:13557037:1 gene:OGLUM07G11830 transcript:OGLUM07G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQAAAVVVVSRKATDPVRGGLKQIRRGRGCDKFVVAVVGLAAAPTGPLAEASLSITTAEPPLLLPSSPLHFLSLSFFVREQERSGGGILELMEQEIGGGGAGDLLRRQSSSTSLAFPSVRTASEPKLKVRLSCHLQELYLLHEIELAEFGGGDGAWGRVWLRRDPPLLRGAASSTAIAALLAGDCAERLPPTTASATLAAPQPSQPLHTAAAAVAAALAAAPCRRQWSRGREAQQGEEKERERDNMDLAHIILWADLDPTCQKPR >OGLUM07G11840.1 pep chromosome:ALNU02000000:7:13558300:13569948:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASVTSAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESERRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFENAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALYFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPVTEETKHQLPQAGLPTSSNSLSPVPHCARLPWLHCPTGLSPGFSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEEANVAMVAMYIKTLNQFNPKHENLTRVSYTIAGP >OGLUM07G11840.2 pep chromosome:ALNU02000000:7:13558300:13567284:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASVTSAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESERRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFENAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALYFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPVTEETKHQLPQAGLPTSSNSLSPVPHCARLPWLHCPTGLSPGFSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEPRLPMKNSRGWDPPRHCWIQKYALEQEVSILAAGPAGGPPAAPQHRGQPAGGTVAPSAARFHCTAKAGQRRASGAMSEVTALLNEVDAFTDLDHMGERASVSPEAYTVKFSDTAQTRPADPPPTP >OGLUM07G11840.3 pep chromosome:ALNU02000000:7:13562258:13569948:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGFSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEEANVAMVAMYIKTLNQFNPKHENLTRVSYTIAGP >OGLUM07G11840.4 pep chromosome:ALNU02000000:7:13562258:13569948:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGFSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEEANVAMVAMYIKTLNQFNPKHENLTRVSYTIAGP >OGLUM07G11840.5 pep chromosome:ALNU02000000:7:13562258:13568042:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSNSLSPVPHCARLPWLHCPTGLSPGFSRSGLAHSEAQDDRLWLQIRWPNHGRPASTSVACGRPASELASTMAVVGNQHMGFKVKEKIEDRVESEKIVIFDAQTDVRVETGSQMAMKAGYIYTVPFLWTGLLYSKEEANVAMVAMYIKTLNQFNPKHENLTRVSYTIAGP >OGLUM07G11840.6 pep chromosome:ALNU02000000:7:13558300:13562025:1 gene:OGLUM07G11840 transcript:OGLUM07G11840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLPPAVAARLAAVRADPAAVPLPFFNSLLSALASSHAHLPLHLFRRLLLPRRRPDAFTLSSLAASLLPPAHSPSASVTSAAAAAGCLHAFSLRLGLLRADPVLANSFLLLYLRAASPGLARRLFDEMPARTASTYNTLISHSPPGVDVWPVVRHMVEDGCVPDRFTVSSILPACESERRGRELHCFALKSGMCGAGDFHVGSSLVSMYFRVGQPGHARRVFDGMEQRNVVSWTAMVGGFTESGMFENAVDAFRAMWVIGAVLPNRIALISVLSAVEALTDLAAGKQVHGFAVRMGLSGEVSLNNALIVMYVKCGVLWYARQIFDDGRWCKDVISWCSMIQGYGLHGKGAEAVALFDQMHISGVKPDSITGLGVLSACSRAGLVFKGLEIYNSLVKDYGVHPTEEMSACIVDLLGRSGMINQALYFIKSMSIEPGPSVWGALLDASIVHNNKEIQDLSCRYLLRLEEGNPSNLVSVSNLHAFEGSWNIVEHVRAKIKQGALKKIPVTEETKHQLPQAGLPTSSKRDT >OGLUM07G11850.1 pep chromosome:ALNU02000000:7:13565927:13566347:-1 gene:OGLUM07G11850 transcript:OGLUM07G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEARRCPALAVQWNRAALGATVPPAGWPRCCGAAGGPPAGPAARILTSCSSAYFWIQQWRGGSHPREFFMGRRGLIAQGPHHNYFVRATHKTIENKNEVTIWVMSNACKNCRLIDGMIDARNWW >OGLUM07G11860.1 pep chromosome:ALNU02000000:7:13570792:13572510:-1 gene:OGLUM07G11860 transcript:OGLUM07G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVVWVNGPIVVGAGPAGLSVAACLRERGVPSVLLERADCIASLWQRRTYDRLRLHLPKHFCELPGMPFPDAYPEYPDRRQFVDYLQAYAARAGVEPRFNQSVTSARYDDAAGLWRVRAEDVSVDAAGDVTEYIGRWLVVATGENAERVVPEIDGADDFEGPVSHVAEYKSGAAYRGKRVLVVGCGNSGMEVCLDLCHHNALPAMVVRDSKVHVLPREMLGVATFSVAVFLHRFLPLWVVDRILVVLAWLFLGDLAKIGITRPSRGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIRRLLRGGAELVDGRRVPADAVILATGYQSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMAWNHQTATTR >OGLUM07G11870.1 pep chromosome:ALNU02000000:7:13577174:13577838:-1 gene:OGLUM07G11870 transcript:OGLUM07G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMVERCCTRPRDITFCWCLGWSRSCLPGSGKLGNVTPFAFLVVSLGLLCKWLPSGALR >OGLUM07G11880.1 pep chromosome:ALNU02000000:7:13593693:13594658:1 gene:OGLUM07G11880 transcript:OGLUM07G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAADAGGDADVAVAAAATETRQPFTALSRLTPAITLARVLQVSEGNNYGSSDVGSYEYDYEDEEDYKEELRMPGNKSRSDMGARGSSHPTPLHPHREEKEGREKKRGAEEEEDVPPSPPFLEPPLHGTNV >OGLUM07G11890.1 pep chromosome:ALNU02000000:7:13611665:13613359:1 gene:OGLUM07G11890 transcript:OGLUM07G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARSAPDGKPASSEDGDGRDIPYESKDNEGEGSARLGRVVFKAGYHGNGNKVRSGLACDPIWRYNGMKDGGGEDGTARTVGLLP >OGLUM07G11900.1 pep chromosome:ALNU02000000:7:13622508:13629537:1 gene:OGLUM07G11900 transcript:OGLUM07G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWHTGSKLDGKSKRRYKVKQKDCFFDARRKKKEIDRGFAGNLVADIQQKIIPGVTHWQSPNYFAYYPSNSSTAGFLGEMLSAAFNIVGFSWITSPAATELEVIVLDWFAKMLQLPSQFLSTALGGGVIQGTASEAVLVALLAARDRALKKHGKHSLEKLVVYASDQTHSALQKACQIAGIFSENVRVVIADCNKNYAVAPEAVSEALSIDLSSGLIPFFICATVGTTSSSAVDPLPELGQIAKSNDMWFHIDAAYAGSACICPEYRHHLNGVEEADSFNMNAHKWFLTNFDCSLLWVKDRSFLIQSLSTNPEFLKNKASQANSVVDFKDWQIPLGRRFRSLKLWMVLRLYGVDNLQSYIRKHIHLAEHFEQLLLSDSRFEVVTPRTFSLVCFRLVPPTSDHENGRKLNYDMMDGVNSSGKIFLSHTVLSGKFVLRFAVGAPLTEERHVDAAWKLLQDEATKVLGKMV >OGLUM07G11910.1 pep chromosome:ALNU02000000:7:13632690:13633317:-1 gene:OGLUM07G11910 transcript:OGLUM07G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVWVQSSSPHFISLSLKQLQSVLKKDEPLESECFNMAICKFMYEKIQTIHKTKEAISNHCLDLQFWNATGFGKDPVHHDNVDLAKTISSWSKIHYKLSQCKSYAMLEALSWS >OGLUM07G11920.1 pep chromosome:ALNU02000000:7:13634510:13635689:1 gene:OGLUM07G11920 transcript:OGLUM07G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWGVTWGGSGRQLRSPCFVDLGTASVFGILRKKNSQGLPQAEPNPKGQATVDLQSVWAMTPFSKMDTNLRCQSYPAARRNGISHFHRFLILFTM >OGLUM07G11930.1 pep chromosome:ALNU02000000:7:13657952:13663751:-1 gene:OGLUM07G11930 transcript:OGLUM07G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLHRAYKLSLLQIIMRDHAHSVMKSGLPGDGSLLLETNLGKSLVLVRCLNLNAELFGSMWYKWITYTGNGRLVVWKGHLHENFYGDLQIRLILIDELIIFIRVWDQQHTRNLTRWRSAWTHFDCVVTTLMRVDKRENYELTNEDVLAQFSVEYSTENCTLVDMGDFYVQKNHLTCLLSEDEFVNDDVSTITYDEATLEFNCQDIL >OGLUM07G11940.1 pep chromosome:ALNU02000000:7:13669756:13670482:1 gene:OGLUM07G11940 transcript:OGLUM07G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTSTTTTTLLRLRSSEGKVLVAPAWDGRPSATAAAAAPPPLETGAVLFWVGRALAEAIGGESGDGDWEAQFLRCLQQDGLAAEDVAAAVEKLRGIDALAGVVPDFTLAAAAAAHRHPSSSAAPETSASCHSHSNSRADASPDRAAASRARGRQRREEEEEEAADRGHRKTRQAGAAASDDGVQSSGTSAAAAAATTGASLRGRRGLPELHALQVNNSEIQTLLAWELLLV >OGLUM07G11950.1 pep chromosome:ALNU02000000:7:13673542:13682552:1 gene:OGLUM07G11950 transcript:OGLUM07G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRISSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMVTG >OGLUM07G11950.2 pep chromosome:ALNU02000000:7:13673228:13682552:1 gene:OGLUM07G11950 transcript:OGLUM07G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRRDDVRSAAQSTIHGSSSSAPTSSPVPDYPISGSVKPVLNYSIQTGEEFALEFMRDRAIPKKHLVSGMSHDQNVASGAGLKDPRGLLGAHRTGAESRFDAAIFLTTDIQQTEGIERKSFAENENRSRHVSTSSVPRISSRSGSSQRLSHGYASSESSDSSRRIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIYNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNGEGSQKLRIFLVSSIDFDDMSFSLGSMDSDSGIQYVVAINGMDVGTTKPSSGHGLGNTSINELDQFINLNNDSNQPNSSRDGSNLYSMSASTAVPPALISVPLPVTLSSDSTANLYPYHSHGMQHVQGSDYSLPASSERFYDIEGQTSIPLSVPSGYRYTSQCTPYSGTTSLQSFDQQSYHDSMMEGSMKEEKQPSVRVPLQKNDLDYFQSLENMSVPVIHHDSSSTNYMNSDVPVTTSIQEGLKSSLQPSDSAKSLETYTASKAMSAAQDSECNEDDRHSSGAFASGCSDFQVDMMDHSNKNPPPRSGRVFHSERIPREQAGSLNRLSKSDDSLNSQFLILQSQSGVAKESIAEASDPAIEGTEKSNLDARAINLNDPATVDSVTPEKECANTVQQTSTFSEQLLGEKRSSTDMSTRNVEKNMHAAENAVAKCNLNDATSDGTKIVNQQADHSAVPHHVSWDTPNPAIPTDVGCDPFVPSTSSLDDSHKEPIIPKKDNKDIVGGMSERTSPDILSDFFANTAAQSLSPFNEPVLSLNMHNYEPQRWSFFRNLAQNEFEHKNKEQDLAKIEEGVYPLVHAEHDAVNVKNVAPQNDVHLETYPVSSGINLDSSILPPGFISSQDNPPMTKNVEGFQVDNPYTNMHEMMPSVPEFEEPKFEEGKAVGPVMDASFKDNNFEYLQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLANEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPASCDPEWRRLMEQCWAPDPSQRPAFTEIAGRLRAMVTG >OGLUM07G11960.1 pep chromosome:ALNU02000000:7:13683521:13685326:1 gene:OGLUM07G11960 transcript:OGLUM07G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPSSAATATVLLLLALLGVAAGGGEDGQKRAAGVYIVIVQPPADGADTVAYHTCILAAALGSEGRAKEALLYSYQAVASGFAAKLTPPELAALQKHPAVLQVRPDQMYHVVDNLN >OGLUM07G11970.1 pep chromosome:ALNU02000000:7:13691168:13696200:1 gene:OGLUM07G11970 transcript:OGLUM07G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLD-domain containing nucleolar protein [Source:Projected from Arabidopsis thaliana (AT2G05590) TAIR;Acc:AT2G05590] MLAWKEKVADRLARLLADSPASPSSAAATPPPQPAAAAAVEPQATPFPVEHFTSPKKGSLSSYVMSLLPTSNPGHERTSPSSQHMKPLPPESLPKRWRGNDFLWHDPPLALSEESGSESERDERNGNSNNEQILQSHRPIDNSNGNEETSTSDCTDSLYYLTEKSTFISPKLFGFFQSSLPGTLKGCHWVLLYSTWKHGTSLRTLFRRSENLQGPCLLIVGDMRGAVFGGLLNGPLRPTEKRKYQGTNQTFVFTTIYGEPRLFRPTGANRYYYLCLNDALAFGGGGSFALCLDEDLLHGTSGSCQTFGNSCLAHSPDFELKNVELWGFTHSWGRST >OGLUM07G11980.1 pep chromosome:ALNU02000000:7:13703250:13709749:1 gene:OGLUM07G11980 transcript:OGLUM07G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERISTNQLYNSGIPVTVPSPLPAIPATLDENIPRIPDGQNVPRERELRSTPMPPHQNQSTVAPLHGHFQSSTGSVGPLRSSQAIRFSSVSSNEQYTNANLYNSQPPSSGSSSTLNYGSQYGGFEPSLTDFPRDAGPTWCPDPVDGLLGYTDDVPAGNNLTENSSIAAGDELAKQSEWWNDFMNYDWKDIDNTACTETQPQVGPAAQSSVAVHQSAAQQSVSSQSGEPSAVAIPSPSGASNTSNSKTRMRWTPELHERFVDAVNLLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGGNFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGTDKAVDASTSAEGTKPSSDLPESSAVKDVPENKSGNR >OGLUM07G11990.1 pep chromosome:ALNU02000000:7:13713598:13714434:1 gene:OGLUM07G11990 transcript:OGLUM07G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRVSFCKKVSLTLTSRNPSRHDSPLSLSLLCHHDGRHLTSPPATTSPTCPHLPSSISLPLSQYVAAPTENAPPSDLAVASSITIHRRLGLEMS >OGLUM07G12000.1 pep chromosome:ALNU02000000:7:13713759:13714019:-1 gene:OGLUM07G12000 transcript:OGLUM07G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRCADATLGCRACTRCIHAAIRPCSRTQLLRRRHAPASCCKPQLISNPSLRWIVMLLATARSEGGAFSVGAATYWERGKEMEEGR >OGLUM07G12010.1 pep chromosome:ALNU02000000:7:13723184:13729730:-1 gene:OGLUM07G12010 transcript:OGLUM07G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALLDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEETAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGTEINNPINEVEKQANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >OGLUM07G12010.2 pep chromosome:ALNU02000000:7:13723184:13729730:-1 gene:OGLUM07G12010 transcript:OGLUM07G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALLDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEETAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGTEINNPINEVEKQANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >OGLUM07G12010.3 pep chromosome:ALNU02000000:7:13723184:13729730:-1 gene:OGLUM07G12010 transcript:OGLUM07G12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPFFSPHEAFARASTASSPAAAATVAVAAPAPDPSPATGHADAAEVAVFRLLLPQAFSDADAMRLYAAIAPLRRLFPTLQVRVETLGVGASSDDADGDGDGGGGGGGGRVAVVLGPASPARRVEASSSSGEPLELSPAQEALVALLDSGWVVHLNGEAWGAERMTCLVLVEAGRLEAASGKGILWTIANESGAEVRVTPWGGEGGAAYAAQPPEEVVEITGDGTTVRRALVSVSSCLQGDGPLGSSTSAHSVNPILTQTFPKVPEPEMGSLYSDMSTERANTSIPHIDCPQGATGIEQTECVMQFSFRLLCPVTLAGGLIGKNGMVIKAIEVNSGASVDVGGPVHRCMERAITVSALEKPGQKFSMVENAVLRIFDRMQVVESNMHSRPNNPLHCSARVLILKGQFGYLVGPGGSLIKHMNNTTRTKMKILEETAVPACASQYELITGEPMNVRDALSLVCEKLRNHCFSSEKTTYGNGHVPSSAIDELTTSSQVNISSTGQYSAGNLSRVDHRLSQNEIDSVQNSISAFDLGCLGSPQIQKPTIGCGTEINNPINEVEKQANGNGTGINNLNTGMQNENGIDVSNHGATSLEEKKLLRGIKTATITRITYEVAVCGDNGNDFTMIREMSGADVTAHYPLPETSDGMIVISGTPDEAQSALAMFLDLVKEGQ >OGLUM07G12020.1 pep chromosome:ALNU02000000:7:13743379:13779495:-1 gene:OGLUM07G12020 transcript:OGLUM07G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGASPLSTCSSSAATSTFSATGSRGAASAHIAALTSPGKNMVYTASETRNEGRYKVGINGLEPVKCTISQDGYCCYDQKSKAYKTCYPNASECWSNCRKLEARV >OGLUM07G12030.1 pep chromosome:ALNU02000000:7:13801506:13802008:1 gene:OGLUM07G12030 transcript:OGLUM07G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGHISRQPLPPIAGVSVPDPAISMPSVVLFPPIARPSVLDPIVVIRTAVEEEGMRCTVEKEEPRHAVATPVLMPDLAVAIDSSHCKPVDTGSNHHEDANCGGGGDEAHVMEEPRHVVPVLITGSSRLLPAPPWATSGGFK >OGLUM07G12040.1 pep chromosome:ALNU02000000:7:13818562:13819896:1 gene:OGLUM07G12040 transcript:OGLUM07G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATASRKGDRDCPELPPDTLRLIWASLPIKSRVRARAVCAAWSSALPDKIDPFPWLLRLPPAHGDAAAAAASSPAVFFPSTGTSAGFELPFHRPGTRCVGMHDGWIAAVDVDLGVRILDPLSGARVDLPPLTACPGVGFGRGRASRRLHEQVEYRQSPTAVTEFFPVDTFLDSVLVKIAFSAPGGADDGDGEVGAFAVAVFWDRVVYTAAGLGEWRQLTTPNAGTRCHPEKVVDVVHAGGGRFFGLTATDETHVTYLAPTALFDIQVFDLSACGGGGGGGDPVEASKLPVARLRPRQALRRQKFPSADVFCARLFLLDGTPHVVLRLWDVLARADEMAVLASDPGDPLGWRAAGDLRGRALLVGNGCAAPVRAPGGAIGGDRVYFADKVSCFARESNRRLTGVGTFDVKSGSLEMLWKDGAGDDPLEAGRAPTWFAPPSFFR >OGLUM07G12050.1 pep chromosome:ALNU02000000:7:13835149:13836020:1 gene:OGLUM07G12050 transcript:OGLUM07G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSRIKITGQFKPCVHMGCFELEAFVELNQRSRWWQCPTCLKNYSLDNIIIDPS >OGLUM07G12060.1 pep chromosome:ALNU02000000:7:13839735:13840980:1 gene:OGLUM07G12060 transcript:OGLUM07G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAASCHWRQHGGWSTTMAVAGCCGNGALTAEAATQSSWRRSWALSCCLTPQGWLLGESPILAPLSPDGRWRRFSVASLLEDIVLAPPSYRTISSVFLCWSSGGRSRLAAAGPVLAFSWSCVLALSVCEGWYIFSFSWLRPSRVVIL >OGLUM07G12070.1 pep chromosome:ALNU02000000:7:13893201:13895449:1 gene:OGLUM07G12070 transcript:OGLUM07G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMKKKVESKHNNKDMKMALKHDIPLEVLQEGIPTVLRGAPDNEVQAGRVIRVSSATGFKEALQQAGPGAPLKVYVVSYLPPSAAAQIREMTTTTRGGKEAQEKKPSASATIAKAQPQQDQDKAASASATIAKAQPQQDQASATIAKAQPQEQEKAADKLSSSAIIAKAQPQPHDQETATAPPQPTKSLSAPFKFKVKLRSHSKEDDKLKNAGSNAQEKKPTTVKFKVDSPNPNASIGEEEEKGNIAGFIIANIHVLEAKEMAMMAMEGDMSVLEELQKRISSSPDLSPHDVQVQLPTTANNLGTDKGKNILFSLKKRYLLAHLQAGHSRMATNILKYVDLAQYNSFIEEADPEKASKAMDAVKAQYELEYPGKHSPGWMAKQVLGVAAHMYWEKKKAKIMGEDGLWAGDKTTVLTRLKKQEQKDRKTRQQEVAHRRRPSR >OGLUM07G12080.1 pep chromosome:ALNU02000000:7:13942503:13944376:1 gene:OGLUM07G12080 transcript:OGLUM07G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJ57] MATVDGTTAPSSGGKTATVASESGGGRYGGPPPPAKCSGANLALRALLFAVSLSALVVLVTAKQTVMVPFVIRPPQFILAPVPAKYTHSPALIYLLAALCATCFYSLITAISSVRLLSSSACSAKTLFYLILLDVFYAAVMASATGTAGAVAWVGLKGNSHTRWNKICNVYGKFCRHIGSSTFLALIAAIVLVVLAFLNAYSLYRRSR >OGLUM07G12090.1 pep chromosome:ALNU02000000:7:13945896:13946652:1 gene:OGLUM07G12090 transcript:OGLUM07G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLLILPFQNRAPTLVFPSPVPLPPLSRARFFPPFRHRLHSRFAIAAQKPQSKAASPSPTAFS >OGLUM07G12100.1 pep chromosome:ALNU02000000:7:13946347:13948085:-1 gene:OGLUM07G12100 transcript:OGLUM07G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTWSGAGAGVAVTRCRRPAPELEDVLHDAAEPVAGPEHGVAFLPVEHGVSIAALIDSGAKDEGGGGWVQNGGNGGGGGYGNAGRGGNDAGWLSDWESAAAAPGS >OGLUM07G12110.1 pep chromosome:ALNU02000000:7:13968698:13969490:-1 gene:OGLUM07G12110 transcript:OGLUM07G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDKPSNYESSHPFFLLPQQQWPYIQLQKTHGTTHKTILQAHTLDRSLIKRTTIFSPPLSLSLARSLVILQAASTPSSPPALLQLLLCVCCLLLLLLVNSQSRSSDASRPVVVPMRRAAARSALVVADAAVAAGDASCRQELAAARAPASCHQEQGRGGGGRRGRAPRGESGLRPTPRLPIGAKDGGGGGRGGGGGGRKPPRVEEERWGGEEAAGRRGEGNGMGWKRVHAQVRVGEEIISLRSPVLSW >OGLUM07G12120.1 pep chromosome:ALNU02000000:7:14012083:14012854:1 gene:OGLUM07G12120 transcript:OGLUM07G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLLDLRNAVWSAREQVNGLEAALWNRISTPKKESNEVQGLRAAVEKMEAANSVLLESVIANWNECKIVVLFEMTVKNQVI >OGLUM07G12130.1 pep chromosome:ALNU02000000:7:14031118:14036968:-1 gene:OGLUM07G12130 transcript:OGLUM07G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVIMGDPDPLPMVSSTTGAIISLLHKLTALEAQHPTLGSLRKNLESLLNGDVLKLARRRRARGDALISEWMLQVRGLIYDMEDWIDGCLTRPPSKPVADFWCSDVAVQVEEFQADIQDAQDRCRRYHLLSRDPTPDADADADAEPSKDNTIDGGAKLLYGEAPCLVAIDEPKRVIVEHMMDDKQDRRKVVSIFGTRGIGKTALATEAYRELLLHGKFDCGAFVYLGRNPSAKAIIMSVLDQVEPNWSYVVDSQGGNSNFCVTRPWEEQEVIAKLWAVLQRRSYFVVLDDVRSIWTWKVISSAMPNNEKPAIGRILITTCSKDVAESCLIHPGDYVHKMESLGKDDSKTLFDRKISVPGKYLPLEISQEMLQLQERCGGIPLAITVTAGLLFCKSACSPQKSQMIDQYHSKAQPMREILEISYIDLLLPVKSCFLYLSAFPENNIIKKDCLIRRWIAEGFIPKRDNESLWETAERYFEELIARRLVQPAFDDDDDQPIGCTVHGAVLDYMVSLSAQENFITVGAELKSRLLPCDRARRFCLVSSDENSSCIDDELSRSHLSRVRSLAFCGDATRMPDVSAFILVRVLDLEGTKGLEERQLESIGCLSLLRYLGLRGTEVTSLPQELMALKHLSFLDLRGTTVKRVAEWTKLVTLLADNLVIPREIEGMPNLEEVSKVLQGHDGSLPHEVAGFVNKWRQLRVLGVKFGRLNHHHETDRQGVKHFLEEVVKSNLQFILLDNYLHQLLDVLVDSWAHKRPYHLRKFELRIGGCLQQVPKNIASVIALTHLHIRVSQVEEEGVRALGSLPNLVLLKLHSETSSRLTMSSNDGFPCLMVFWYVGNWMGLQFQERAMPQLRRLVLHPNVEEAIPDFHFSIQHLLYLVQNDTLAASGVEAYIREQVYKNPNHPALELSRREQRSREHGAEQPVIAIRSVEDWMRWIDQDKLVVVHFTMEGCLASHMMDPVFAQLATRKLPNVVFFTVDIDEVRSIADQFDVSGSPTFMFLKGGEIKATVKGAKEEKLIYVLEREVAKMSSLMD >OGLUM07G12140.1 pep chromosome:ALNU02000000:7:14058715:14069148:1 gene:OGLUM07G12140 transcript:OGLUM07G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAVIPLLLRLSRTLGQKIVVVIQENKMLHGQVKRSLESISREMVMAATDIESSNDMTRAGPAHEAKIVLLKELAMDIEDFIDLNRVPTEQSGFFHNVIGLDPRPEIVESLNKFKDGIQRVRDWKPDDGAGSSQQLGEGDRRGAATWPAPDHHPDFDKSSRDELLRWLLPAPEGQPQELKVISIVGCRGIGKTALARAVYQACEYDFISWVVASECSNSEDLVRKVLEHNSGSTSRIEQPSLREFLQDKRYLVVIDDMSDADVWRDIKDEFPQNGKSSRIIVTTSIHSVAAECSRGRSFVYAMQGLGETESGEIFWEKIGESERSSTALKNALGDIIKKCGGLPLALISAARYLRKKGQVECFLTGGLTTELCWRVSRELGDKILQGQETEFVRINRALLQCYKHLPDYTHRNCLLYASVFPKGHPIRSKALIRRLIAEGLVAAHSTLTEEEVVTGCLHQLIDRSIAEPLVINNAEVANFRVYSIMLEFIICKAISENFVALVQKGDSEKTVCNRGSKGPILHIKVRRLSVQDGSEEAVKKVKKDIELCYMRSLTVCQSDFVNILGIKVCKLLRVLDLGGCKGVNNIVAGVICKLQCLKYLSLRGTDVDNLPPQIRELKTLETLDIRQTCVKTLHLEVIKLPLLAHLFGQFELPSNGITVEMSTRSKLQTLAGVCIRQGEDKSFENIILHARNLRKVKIYQTSTSDLSDIFHRNKMARLSSAGLLQLGSKPLSVSIDSTDLSTEFVSYLKAPSAITSIKLRGNLQNLPAAATLKHLVGLHKLTLISTGLSVEALSALQNLHYLQYLKLKEDISGCRWWEGGTFVVHRGGFPSLTRLCFEAPKLPQIIFQRGSMQTLTILELLSTTFSSQTCDDQYESWFGVQGILHLGNLNEVILHYSTDNAKMQDWKEAALWHENKPSVKRQPQPQ >OGLUM07G12150.1 pep chromosome:ALNU02000000:7:14070840:14078437:-1 gene:OGLUM07G12150 transcript:OGLUM07G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQNPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLIADADEMLNSRLSEDNDLPLHHSSRNSAVPLIAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFANAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDNQAFATAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSSNCEC >OGLUM07G12150.2 pep chromosome:ALNU02000000:7:14070840:14078437:-1 gene:OGLUM07G12150 transcript:OGLUM07G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMHSQFMEILAVIALHSAEILRLSGYMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQNPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLIADADEMLNSRLSEDNDLPLHHSSRNSAVPLIGFTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFANAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDNQAFATAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSSNCEC >OGLUM07G12150.3 pep chromosome:ALNU02000000:7:14070840:14078437:-1 gene:OGLUM07G12150 transcript:OGLUM07G12150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNMFYRRKRSWRAGDLVSRSTLQLLDFDDGSPPEYAWRRKLSSHANRLKEFNVTFREAIKMMKLGLRLWSYIREEASHGRKAPIDPFTRESDKPSASQGVPLGGMGSGSISRGFRGEFKHWQIIPGSCEMSPVMANQFSIFITRGGNKKYSSVLAPGQHDSLKKSSDDGISSWDWKLRGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTIVNTGKERAKVSLVMTWAVGFPIIPAVMTANNNPPVTFAVAACENQNVNVTVLPAFGLSGESSVTAREMWGTLSQDGCFDRDNFSAGPSMPSSLGDTVCAAVCASTWVEPHGRCTVVFSLAWSSPKVKFKKGNAYYRRYTKFYGTSPRSAINLVQDALMKYKHWEEEIDKWQNPILHDERFPEWYKVTLFNELYFLVAGGTVWIDSASLIADADEMLNSRLSEDNDLPLHHSSRNSAVPLIGFTPHIIDDRENVGKFLYLEGIEYFMWCTYDVHFYASFALLELFPKIELSIQRDFATAVLREDKSRVRFLADGTWGTRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQVYRDFAATGDMSFGKDVWPAVCTAMEYMEQFDHDDDGMIENDGFPDQTYDAWTVRGVSAYCGCLWLAALQAAAALARTLGHHDYAERCMLKFANAKPVFEAKLWNGSYFNYDSGTSYNSRSIHADQLAGQWYTASSGLPPLFDEGRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMDNQAFATAEGIFIAGWSEEGYGYWFQTPEAWTIDGHYRSLIYMRPLAIWAMQYALSPPRTILEAPRVNTMDRTSYISPSTLQFLQDSVRKMTPKNSCFGSNSSNCEC >OGLUM07G12160.1 pep chromosome:ALNU02000000:7:14093680:14100173:1 gene:OGLUM07G12160 transcript:OGLUM07G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAMVAATTTMTMTSGGRRLAIARRAGGVGRGGTRRGAGVQGSRPATRRDLGEVSISMILKFEIIFRLHNRIAFVLEFGVNVTTGMRALGLQDPVALVSISRWSPNQGAPQLAIILNPSFLRHRWGLCPGWPYWAAAMGLSDLTLSTELEPIGLSSTEQGTLIGSRQVEPRGKSMGTAIDSEPTAIDLFNELHCSKLKGFGRLRIFGVFGWQVPHVSVTGKRTRNSCSITRHGVLWPWRHGGSSAAASASFVGDGARRLRQTAAEAEEVEANAVAQHRAPGWRGDGARRREADAGVEVERETAARKGGLSLGALPAAAAREGDGDDDGAAPGGSTEQQRRWRLLTVEMAAAALDEGEEKEGGGQRYL >OGLUM07G12170.1 pep chromosome:ALNU02000000:7:14173741:14180450:-1 gene:OGLUM07G12170 transcript:OGLUM07G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSDKRTDRCLSAVSVQYLLM >OGLUM07G12170.2 pep chromosome:ALNU02000000:7:14179026:14180450:-1 gene:OGLUM07G12170 transcript:OGLUM07G12170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLQLFSCLFVIVSVTVSLVPQVCSSMALIDR >OGLUM07G12180.1 pep chromosome:ALNU02000000:7:14173882:14177469:1 gene:OGLUM07G12180 transcript:OGLUM07G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPALLTSIPNIALLLGTLTAEQISRVRRVKDEVTKLKRQFEQMLDIIKELEQMNHHDAKTKHWMRQLRDYVYRTEDIIDLYRIDAERRKAIESAEKLERHIKLLLSCPKGVSVGCRVSSSIHKLNLDIEKISRESSTLQRRYSAMHNQLIGSLSDTIPYHESNIVGCGVADNTRKLVKLIRTTSDQGTIVFAIAGTVGIGKTTLARQIYHNREMLQAFDLQLWVCVNKDADYLTLLKKIITAAGGNSSGLDHSREKLESKLNSSIKERRFFLVLDDVWDETTWENMLENQLQCAAPGSRILMTTRHKHVAKRMGAVHIHHVNRLRGEDGWDLLRSRARLDKDEETVYMQAIGRKIVERCDGLPMVIKAVGGVLRCCEPTCNEWQNVCDSIFSVLPDDVQALIRLSYIDLPSPLKRCFLYCSLFPADFVIRRRYVTQQWVSEGFIEATHNSALEEVAEEHYRVLIERSLLQPELGLDGEDGARMPNIFRWLAKELSREENFSGDLGNMQSPFEPRRLSFASQPVETVPQGIKKLTHLRTLLFFENRNLDSNGHGLGRTFRRLTLLRVLDLHSSNVEHVPNALGNVVHLRYLNLSRTRVRELPDSITNLRLLQFLILNDCEDLNYLPRGVEQLRNLRSLEISGHNNLRHPKISLGRLCELSCMRGFLVKAAAIEGNQFNASGWPLTELSSVSKLTSLQILRLERATRVDALESALSQKVNLRELELCCVNEQDNQEFAIRDVFEVLCPAPRLVVLKLQKYFGQEYPSWIAESKLPNLQCLELQGCSCKRLPALGELPQLRSLVLIDLKNLDIVGSELRGRLHANKAAFPRLEKLDFNGLDILLSWIDLQDSDLPFLRSVRFVRCWKLESVPSVIWSRTKLGNINIDECPDLRWSSNGSD >OGLUM07G12190.1 pep chromosome:ALNU02000000:7:14182628:14186514:-1 gene:OGLUM07G12190 transcript:OGLUM07G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRNTRSSSFLLLARAAAATKRCSSSSATMRRSSSVLLLALLLPPPRATAALAGAEFFPFIRLRRPRSSPFAAPAGSEVIPFAAPAAAGAEVFPIRRRRRTPHQYECTGVVLAFHITNIVCENTLDARLEVVFRKKLPEVPIQLKLTFWTLDHLTSRCDITTIRDMKLS >OGLUM07G12190.2 pep chromosome:ALNU02000000:7:14184957:14186514:-1 gene:OGLUM07G12190 transcript:OGLUM07G12190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRNTRSSSFLLLARAAAATKRCSSSSATMRRSSSVLLLALLLPPPRATAALAGAEFFPFIRLRRPRSSPFAAPAGSEVIPFAAPAAAGAEVFPIRRRRRTPHQYECTGRSGGVVLAFHITNIVCENTLDARLEVVFRKKLPEASFLDPERILRMYCTFSSACIDTMQVPIQLKLTFWTLDHLTSRCDITTVIATYKPLHSPFYWIGAGGGTLICSLEIQ >OGLUM07G12200.1 pep chromosome:ALNU02000000:7:14189522:14195690:-1 gene:OGLUM07G12200 transcript:OGLUM07G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPDARLDAAVFQLTPTRTRFDLVVIVNGRKEKIASGLLNPFLAHLKVAQDQIAKGGYSITLEPSSGVGAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQLEDAISIQSNDNLGLRSKGDAFLFAIWKVEDHGGKLTESNEGTRANHSPDADKAIVIYQPGSQPTPAVHDETTTHEENSKVQLLRVLETRKNVLRKEQAMAFARAVAAGFDIDNLGYLIAFAERFGASRLMRACSQFIELWKRKHETGQWIEVEPEAMSTRSEFPPFNPSGIVFVGDSMKQNTETMSVSNGEANGEDASKAEHKPGQQMGYQAAYPPWAMHPPPYHMQGMPYYPGVNPYYPPYPPVDDPRYHYSGRKSSRKHSSDSKESEVLDEGSDGSSSERGSSHGHKSHKKGKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSEDGSQDSDDSHSKKRHGKHKSSSSKKKEGAKTNFDSGDDYNNKDESSYGQDADQGNWNAFQSFLMRAEEKIRSNDADMFSGEKAPPSRKKNNVNTADPILLAGGDSGDVYEQRGAGFDPVNGRSRAIRLQSNDELMMSGEGGRYMDGEIKEIEAGGGRYRRGTGEDFMLYGQERSVDRRSALDPLAEARYRNPNQVDKNGYVAADESFIIPLRSGSQDNVGPEYRAAIDIDVELPTNTKKTSDGKAGTQLFYEPDELMPERGSEDASFGYDPAMDYESNMLVRAVKVEDSNDEDVSHSNDGDVKKPEKEKIRSKDGSDKRKKDAILRRLSAPKTPLNDAQKRAQNMRAYKADLQKLKKEQEEEQMKRLERLKLERQKRIAARSNGKSDPPKASREHANGLSKSVPSLTGLKKEKSGSTESFSERLKRLAEPKSIGGADHLSNPKSVTTDHSRRRSMGKSLVLTIKWWCLASEIADEKFESCYNGSGVLIFRFHIIVFILLIERWLVIAVNLFLFMAHTILWMYLVEKLVTVLEVNGYGVIFTVEKKVKKYQGVSNQL >OGLUM07G12210.1 pep chromosome:ALNU02000000:7:14201102:14202993:1 gene:OGLUM07G12210 transcript:OGLUM07G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGEEAGTVLLSFPLLSSAASLKREKQEARRLHQGCRRGLHQPHPRYPLMPTPPLDPRCCIVLLGEIWKLQWHGYHVRSSLLVDAPCSSVPDVHATGRHELLLPALHRLACIDEEYLRMPGVKKGVAFRSLAARSAVSSGPARPRHPSCRGSSISICSVHSCRWMHILMETRKEHEKIMPVVVVPLECHPRHVLCQRSSPAANTKGQPRTTTPQLMTGFGGRRCDALWTVLFFFWYRVYMCL >OGLUM07G12220.1 pep chromosome:ALNU02000000:7:14209199:14210731:1 gene:OGLUM07G12220 transcript:OGLUM07G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFTPSLQGIKNVKSESGVILTKPFLEVCKHILPVLDKFGSAMSIVKNDIGGNITRLETKYASDPSKYEQLHSMVKVEIRSKTAKSSSSCTNGLLWLTRTMDFLVALFHNLVQHPDWQMSQACSDAYSKTLKKWHGWLASSSFSEYLTIPEFQVAIKLAPDRKKFMEIISGSGDINADIEKFCATFSPLLAENHRFLVAVYNRFVYFQASVGMDDLKAS >OGLUM07G12230.1 pep chromosome:ALNU02000000:7:14218466:14220953:1 gene:OGLUM07G12230 transcript:OGLUM07G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKMKKGILRPFRYISNMMDGKEAAQDMQIGFPTDVKHVAHIGWDGPSVPNNNNTAGAPSWMKDYHSAPLDSASFRSDRGGSAAANPWASQEIVVDGGSLGDTSFSETRSEAGGSMDITAGDSPPSPDSRRSRRHRSRGSAATSSMDCTAADGGAAPEKKDKAKKSSRGKNRKKDKSDKSAAAGAGDDASGATCQDLPAVPKKSNRRKNKGGSEGTGAAAASKADGAGAGGEDAAAPEPPATEEAQDHD >OGLUM07G12240.1 pep chromosome:ALNU02000000:7:14225097:14230137:-1 gene:OGLUM07G12240 transcript:OGLUM07G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQQSKEELVYQQVNYGNADGIRALRAQGAGKTPLMVASMRPDLINVVQVLIELGANVNAYRPGSYCGTALHHAAKKGLEQTVHLLLSHGANPFITNDDCHTALDLAREKGHVNVVRAIEGRISLFCGWMRENYGPGFLEAFAPQFLTRKIWAVILPREARNQTRPLKLELTIYPELQASKPQAVIKLWKCQLEEPKFNQANPSVTIFDKGTRTRYKLLPVCEGDKQQLQWFYSACCGIPQVASMVPAQPANAPLPNPSSASSLPSVISTPSKEDAELAMAINASIQSAIAEGVPDVQPITTTTATNDWGNPPSNSLNGWGPPDTSAPSKTSGQVPVVTSSSSTYNGWDVPGTSSGQSSSKQNKSQNSTFVVPQEALPSLPVPTAPPLAVGTFYDGPIQYPSIDSTPVDVTMPSADGGTAVSSAKPAENEGDAKPAESDANASNSGNTPPGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRAKINQIIRLYAV >OGLUM07G12250.1 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.10 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.10 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.2 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.3 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPQEPPPVPCFGQNFCPQIYPFENQLLEFASGTPLCSLYCLQLTLSPLPPKFASKTVITCEPLMVCPLNINNELILTNIYVMGKQHNLCVPKN >OGLUM07G12250.4 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.5 pep chromosome:ALNU02000000:7:14269598:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAERDGEERRRPLLLSAAATAAISSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSAVHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRR >OGLUM07G12250.6 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.7 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPQEPPPVPCFGQNFCPQIYPFENQLLEFASGTPLCSLYCLQLTLSPLPPKFASKTVITCEPLMVCPLNINNELILTNIYVMGKQHNLCVPKN >OGLUM07G12250.8 pep chromosome:ALNU02000000:7:14269755:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.8 gene_biotype:protein_coding transcript_biotype:protein_coding MQEALGSGHIYCVKYPSITIIPFIHQNCVIAIYGLTGHSTTNFCCKHGTHFLVCHAHRYSPLCNLFHKNLHLCLALVKIFVLKSIHLRISYWNSHQGLLYVPSIVFSSLFPHYLQSLLQKLSSHVNLLWCVPLTLTTN >OGLUM07G12250.9 pep chromosome:ALNU02000000:7:14269598:14279263:1 gene:OGLUM07G12250 transcript:OGLUM07G12250.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEAERDGEERRRPLLLSAAATAAISSALRGGGGGGGGGAGWGVGPEVSAAEVRSAASISSASYYPPPPALHHDVYPPDIHSAVHSPSPSPAAPTAPHPHGGLAIVPQGPYPYGGEYQPSHDGRR >OGLUM07G12260.1 pep chromosome:ALNU02000000:7:14272031:14273224:-1 gene:OGLUM07G12260 transcript:OGLUM07G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEIGGHLKLLRLLLQCQAEDDNDLGTVTLLFQLIIDKYFCKGNKQESYGTVLNSEELKYEDIVKEK >OGLUM07G12270.1 pep chromosome:ALNU02000000:7:14290386:14290702:-1 gene:OGLUM07G12270 transcript:OGLUM07G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGQGEGCLQVEQTSVAAGHGHGNGRCSGSHSTMEPTNITVAGRRQESPLSLNGMLVAEVSSIRGLEIKIWVAF >OGLUM07G12280.1 pep chromosome:ALNU02000000:7:14292122:14294478:-1 gene:OGLUM07G12280 transcript:OGLUM07G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMNWVSRKIHLYNVTMGLYMLDWWERCLFNFTVIVKSMSTWFDNAIQGTKVDPPFKLLNN >OGLUM07G12290.1 pep chromosome:ALNU02000000:7:14312185:14312764:-1 gene:OGLUM07G12290 transcript:OGLUM07G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARIRVRQKLYQLIHFRKLGALNST >OGLUM07G12300.1 pep chromosome:ALNU02000000:7:14315651:14317384:-1 gene:OGLUM07G12300 transcript:OGLUM07G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >OGLUM07G12300.2 pep chromosome:ALNU02000000:7:14315651:14317384:-1 gene:OGLUM07G12300 transcript:OGLUM07G12300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQENFGTSTSRGSESPENFEVFPPKAVALHRLRWNMNKGSEKWLCYGGAAGIIRCQRI >OGLUM07G12310.1 pep chromosome:ALNU02000000:7:14337979:14339021:-1 gene:OGLUM07G12310 transcript:OGLUM07G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPEATGAPPQTEGEEVKDGVEVCVFDESPEVFSRAVHAISELTAGEPEPNFPDTEVERLASSITFLR >OGLUM07G12320.1 pep chromosome:ALNU02000000:7:14359226:14368654:-1 gene:OGLUM07G12320 transcript:OGLUM07G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G24970) TAIR;Acc:AT5G24970] MAGPTSRRVLLLVARRAGHLRRHHHHHHRDGLVLARSLQAAAAAAASSPPPLPASPPARSFSSAFSSVHGERPSSEYAKIRKESLESQFGRILGSSSRTLFADRGFGPFLAMYRAATISFHVMKLTIWHLLLNDVHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPNAYCQELSKLQDQIPPFPTRIAIRTIESQLGSRISDLFADISPEPVAAASLGQVYKAHLHSGELVAVKVQRPGMTPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYVLEGRNAERFARLYSHDLGGNSSGDGTSIKVPKVYWNFTRKSILTLEWIDGIKLTDAERIGKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHGVADALRVSFGDGRRQSNDFQGVMSHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLEDPSPDMRKILRQLLICDDGSIRWNRLERLIAAISEQSESSNKSEDRSGENAANKPGWRSFDMHSVVAATEDLFHFILSRKGWRVRVFLVQDIVKASDAFLQEATFPGIFDEEGTTGELHPERSKMIRRVVHGVQSFRQAISLAPDAWTAMLFRTLLKPESQKFILDVFLALAMHSCYKIPETSWICMSRFLNYLDRQGR >OGLUM07G12330.1 pep chromosome:ALNU02000000:7:14375428:14380218:1 gene:OGLUM07G12330 transcript:OGLUM07G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G15430) TAIR;Acc:AT3G15430] MATGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVGRTIFRPTEIKALKGISCKQVATGLSFTVILTTDGQVYTCGSNTHGQLGHGDTIDRVTPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSGFAFEMWVYLKQL >OGLUM07G12330.2 pep chromosome:ALNU02000000:7:14375428:14379511:1 gene:OGLUM07G12330 transcript:OGLUM07G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT3G15430) TAIR;Acc:AT3G15430] MATGEKAPRAFSMEELPGHLIGEVLTSGRLAAGDLARLEATCRALRPLAEHAASRLCAARAAFAAMGPAARGELLERCGGSWKKVLRFLQSVEQSSGTVHTSSGNMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVPFPSLSRVVNISASHNHAAFVTELGEVFTCGDNSSLCCGHGEVGRTIFRPTEIKALKGISCKQVATGLSFTVILTTDGQVYTCGSNTHGQLGHGDTIDRVTPKIVELFEGLAPVVQVAAGASYTFAVTDDGTVYSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGYVYTWGRGYCGALGHGDENDKTSPELISSLKSQVAVQVCARKRKTFVLTDEGSVFAFGWMGFGSLGFPDRGSSDKVMRPRVLDSLRDHYVSQISTGLYHTVAVTNRGIVFGFGDNERAQLGQEYIRGCLKPTEIMFQKSMEEIVIAAPSG >OGLUM07G12340.1 pep chromosome:ALNU02000000:7:14388284:14390084:1 gene:OGLUM07G12340 transcript:OGLUM07G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKKAKVKSRRAAKSDRLSSLPLEIKADILSRLNVQDAVRTNILSSAWRSTWTTIPEMLLCDCTYTSCQGSVTSAPPKFITLVDMALSLHRGPLHGITILGSKNYHDVFGRWMHKLSMKSPNSVTIKLTSASRYRIPSCFFSISDLEHLDIKNCIISLPQMFKGFEWLTTLDLENFSSTDSDIDNLISCCPELSVLVLKSFEGISCLNIRAPELEILEVDGRFEDFHLDAPNLETANVTLHKAQEYQSVPVVHGGKSYLKQALGSLSDIEKPVINGYFLTYLSKGCIMTKIPAVFDHLEMMLLEICFWDQREILTAVSLFQNAPILKKLELWSFPWDNDFGPMSLWDQDQTSIADLTLQMDHLVTVSVNDFLGLDYEVDFVGKLLSWAPVLEEVKINVDCTRAFSLGSKVLKKLLALPRVSDKAKIIVT >OGLUM07G12350.1 pep chromosome:ALNU02000000:7:14415061:14417263:-1 gene:OGLUM07G12350 transcript:OGLUM07G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGLDVQRRSMDRRRIRPDVEIESVADDSANYVQNIRLVIDIGDRTIQVPLNGHTVVQNISRQAAASVAGDSSSAGGVSEKAGGGGEEWLQEQDGLLCMRGWLMAAATLFAAMAFQAALQPPAWMPRPRDWFAALLAADPAAAAVTRDQAGKAMLYLIVSTCTFATSLAVLLMLLAVGGGGGCASRRVTARLISNMMTAVALFAAATFALCVADDYRLMAFVGTVVAVYAAVTVVFVSGVKMMRIRIAEQFIRLVIDIGDRTIQVPLNGHTVVQNIGRQAAAAVAGGVSEKAGSAGGSGEEWLQQQGGFGLLCMRGWLDGGGGHAVRRHGVPGGATAAGWMPRPRDWLAVLLAVGDGGSGCASRRVTARLITNMMTAVAQFAADTFALCAADDYSLPAHGVRRHRRGRVRRHHRRVRSGAILLCRLGEATPAMVVAGIPRL >OGLUM07G12360.1 pep chromosome:ALNU02000000:7:14453603:14453872:-1 gene:OGLUM07G12360 transcript:OGLUM07G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATGGEHSVQIRGEQVEAAGKMATPEKWLNRFVRVVALVERTGNALGTLAFTWATVILLGGYPTELDSKNDYWFITVIVFLEDFRYE >OGLUM07G12370.1 pep chromosome:ALNU02000000:7:14467141:14470321:1 gene:OGLUM07G12370 transcript:OGLUM07G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAERLLLLLLLLAASVAWETNAHTPTVIRRQQQDGEAVAPPPLIHPLRPRLGSGGQLAARAGGVACDSWRLGVEAHNVIGWRTVPAECEGYVGHYMLGGAYRRDSAVVVDEAVAYAESIRVAGDGKAIWVFDIDETSLSNLPYYAKHGFGATPYNDTSFREYVAEGSAPALPETRRLYRRLLELGVKPVFLTGRTEDQRAITVANLRRQGYTGWEKLLLKPAAHVAGGLQLSAVAYKSGERQKLQDAGFVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >OGLUM07G12380.1 pep chromosome:ALNU02000000:7:14490152:14490364:1 gene:OGLUM07G12380 transcript:OGLUM07G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRSAAVGEGERGERGGAGGEEEAGAVQRRSARRRSVLGAAALGSAARRPAVEDGGGKGGRQRRRPSG >OGLUM07G12390.1 pep chromosome:ALNU02000000:7:14502837:14504967:1 gene:OGLUM07G12390 transcript:OGLUM07G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGGTAATERLIRSAQKSTNQLKALLAGGGGGGGRSSGAVEVILADISDSLSQALASLMLRAACDDQSLPAAAPPPPPPEASLLPSYGQCVVANSGGRSVSKRKAQRRSRADGSSRRIILELGDRDDSYPWRKYGQKDILGARFARSYYRCAQMLGCTARKQVQQSDDDPSQLEITYIGLHTCGGDRPSSPAPTNPADGPRCDAATSSHRLLPSALQQKLEEHVPAASDDMMMACTPSWLFIPSPACSQSELLSEGESIGILLSLNT >OGLUM07G12400.1 pep chromosome:ALNU02000000:7:14545078:14546377:-1 gene:OGLUM07G12400 transcript:OGLUM07G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPSQNPAQYRATLPATLRDAATTPSRSASSSAPYRGPEPSIPRRHRQQLLQVGTPPSQNSARYRARRWAIPHRRRSATPQLLRAAPARTRHTSLRAGVLLRPPRQTPRLDLLEE >OGLUM07G12410.1 pep chromosome:ALNU02000000:7:14548078:14549407:-1 gene:OGLUM07G12410 transcript:OGLUM07G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDSVAAVAGDGDADDDDVLPPAPFDPAADGAQGGLGALRRGHRFATSYSSFGTAASEDDLAGAGAGTDGGVGAGMPLGSSSNGGAAYGYGGSGDVMNGHVDQIGDVMGGGVVVGDGGGIDDDLFAGAGDGDDGPVLPPPEAMKEEGILRREWRRQNALMLEEKERKERERRGEIIAEADEFKRSFAEKRKLNGDTNRAQNRDREKTDPPSSPWMVQLFLEKQEKFHGEAEKQYWKAIAEMVPHEIPGLEKRGKRREKQSAEANAKAKQPGVVVVQGPKPGKPTDLSRMRQVLMKLKQTPPPHMAPPPPQPAKDTGGDTDANKDGEAEKAAGEIEKKAAGGEKEAAAGPPVTAAAAADAQANKAAAEETAKK >OGLUM07G12420.1 pep chromosome:ALNU02000000:7:14551872:14561703:-1 gene:OGLUM07G12420 transcript:OGLUM07G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSLESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNNVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNCFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGTIEVHNEKSGLILGSRMSQPLTSWFVLMLSKLKLSTATNY >OGLUM07G12420.2 pep chromosome:ALNU02000000:7:14551872:14557131:-1 gene:OGLUM07G12420 transcript:OGLUM07G12420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWVRGPGQSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSLESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNNVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNCFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPSSSLHMQSGRSSPSQLLEIRNQVPMSGSFVPRTQGTNLQAPSIYGYTQNLPSSSSSHVASVGSLNNMQWTNLIQQNPGMPNLTNRQFRGTIEVHNEKSGLILGSRMSQPLTSWFVLMLSKLKLSTATNY >OGLUM07G12420.3 pep chromosome:ALNU02000000:7:14551872:14557131:-1 gene:OGLUM07G12420 transcript:OGLUM07G12420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWAWVRGPGQSSSLCYGDTHPDALLNKEKQIRADEKAYRVNLNNYHSNMVESLKKWKKRWLSSDDPEIMFRDNNLAKHKQGDTRPKVTSSEMPLKVAQSSDVSKFMSYIEISRTQHNLVKSMKQSGDGIKTKHLTRVIGNLDKFHVKPYGTLIDDEQRRLREHWLNISCNDIPAAFEVLKNNRVTTEKLRNLLGLELGEKNVSIMRKADQLAGITKELGQHGACENDGSTDLQDALVEQLSEDMLQGGNDHCPSRQDCDDDETKHIETSADHHDSQGRENSDLQAQDYKGTSCADRSISFCASNVEEQNEDFVNTKFSNDGPDVQAEDFKEISYTDTTIIDHSLESRQIKTTCYTTAPIDTRESQNTQAQSLEGITYTGPSMHAHEQNQGLKGTRYKIMIDKGHSANDISLVNSYPEMNNVTMDSKEVENTTVIPSNSSTLLSNTSGGQIPVEEHLNGQAAKGVKDLWELPEPDDSYYLPLENSSVYNGSGGLQIGHRHLPAGQQGSVVCMENGILSQQQSQVTIAAAFPMDNPASFMQPCSNRQSNGQVQTVANDIGMLPYSLEHTDCIGQSTDLHSLDNNRFSQPTHFPSPLQEQQLVDQSNSVLYDELHKNLYSDVSFQTKGNNSILEQHSFASSGSMDHRYNCFPQEHQPHDNWPAMESNNCLPQALPVGSSNTDGSLFSALAQYRLPLILGSRMSQPLTSWFVLMLSKLKLSTATNY >OGLUM07G12420.4 pep chromosome:ALNU02000000:7:14558374:14561703:-1 gene:OGLUM07G12420 transcript:OGLUM07G12420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRMAAGKQKKRIIRSTNSDQNRTWKKSKVESSNCHISLKSQIALKWDDYQKRVVPQKEQVGILWSDLAPFIDSRQKHDSGLADVTYIPPETFSLENLRSVLSYKVWDTCLTEADRKFLIQFLPTEIDAEENVHLLLKGQNYHFGNPSLSWQR >OGLUM07G12430.1 pep chromosome:ALNU02000000:7:14570213:14576348:-1 gene:OGLUM07G12430 transcript:OGLUM07G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVTDEVARDTEEDLGVADADEVSRAPRATPTRIWVSPMRLPAVADEDLGVTDADEVSRAMPRRNLVLRGLIFGSGIFRTYHRPFPAYLHPTIPRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPPPPPPPPPTTREEERLSPLPQRPRATDVWAPPHRFYNLAASPPPLYHLLARLSLSLSLTRTRLAAATAAAAPLSPAGRGGEVFLRCTDLMAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDLMAYLVSGCKPNENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM07G12430.2 pep chromosome:ALNU02000000:7:14570213:14576348:-1 gene:OGLUM07G12430 transcript:OGLUM07G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVTDEVARDTEEDLGVADADEVSRAPRATPTRIWVSPMRLPAVADEDLGVTDADEVSRAMPRRNLVFLRCTDLMAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDLMAYLVSGCKPNENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM07G12430.3 pep chromosome:ALNU02000000:7:14570213:14574016:-1 gene:OGLUM07G12430 transcript:OGLUM07G12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRLAVARVAPDGGAAGRRRRRGRPVVAVPTAAGRGRGRGGAVAASPPTEEAVQMTEPLTKEDLMAYLVSGCKPNENWRIGTEHEKFGFEVDTLRPIKYDQIRDILNGLAERFDWDKIVEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGIGFQPKWALSDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGYLSLRSHIWTDTDNNRSGMLPFVFDDSFGFERYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMVGKLPQAPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSISDMTSDWTNEEREMLRRKVPVTGLKTPFRDGYVRDLAEEILQLSKNGLERRGYKEVGFLREVDAVISSGVTPAERLLNLYETKWQRSVDPVFQELLY >OGLUM07G12430.4 pep chromosome:ALNU02000000:7:14574016:14576348:-1 gene:OGLUM07G12430 transcript:OGLUM07G12430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKIWVSPTMLRAVADEDLGVTDEVARDTEEDLGVADADEVSRAPRATPTRIWVSPMRLPAVADEDLGVTDADEVSRAMPRRNLVLRGLIFGSGIFRTYHRPFPAYLHPTIPRRRPRFLSAETSASALRGAAGLGFPPAAELGAEAPAPPPPPPPPPPPTTREEERLSPLPQRPRGVRI >OGLUM07G12450.1 pep chromosome:ALNU02000000:7:14578806:14581671:-1 gene:OGLUM07G12450 transcript:OGLUM07G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMPTTRLALVVLVGSGKLALDIPEHHRRRRKLQLERALIIHDCGFCLLRMLECHNGRTLVGYNTVLMNGKK >OGLUM07G12460.1 pep chromosome:ALNU02000000:7:14584723:14588340:-1 gene:OGLUM07G12460 transcript:OGLUM07G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIIVLASVLLLDVIAFGLAVAAEQRRSKASPPPLPLTPFLSPTGLWRSRLLTYVRGLLFLQATVTPDSEKLYDYCVYDSDIATGYGVGALLLLAAAQAVVMLASKCFCCGRGLKPGGSRACALILFLFAWLTFLIAEACLMAGSIRNAYHTRYRGMFVGESVSCETVRKGVFAAGAAFTFFTAILSEFYYVSYSKSRDAAGGAPYGGSNIGMGTYS >OGLUM07G12470.1 pep chromosome:ALNU02000000:7:14607059:14607424:1 gene:OGLUM07G12470 transcript:OGLUM07G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKQAGHGKLPHEQQLQASTELMHHSLGYARSMALGCAAKLGVADAIHRAGGCATLDGLHAALSLHPSKLPFLRSVMRVLVASGVFAQVEEEEDDNEDIAGAGGYYRLTPVSSLLVTAC >OGLUM07G12480.1 pep chromosome:ALNU02000000:7:14608164:14613649:1 gene:OGLUM07G12480 transcript:OGLUM07G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLRSGGEEETAFEMVHGVGLWGACNRAPELGEHFNDAMAADSRFIMDMAINGSGRQVFDKITSLVDVAGGTGAAARAVAAAFRHIKCTVLDLPHSVLHDWSDEDCIKILKRCKGAIIPSRDAGGKIIIIDVVVGSSSEAICRGTQQMFDLIISVLTPGKERDEEEWCKIFKEAGFTKYKISPVLGFRSIIEVFP >OGLUM07G12480.2 pep chromosome:ALNU02000000:7:14608164:14613649:1 gene:OGLUM07G12480 transcript:OGLUM07G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWLRSGGEEETAFEMVHGVGLWGACNRAPELGEHFNDAMAADSRFIMDMAINGSGRQVFDKITSLVDVAGGTGAAARAVAAAFRHIKCTVLDLPHSVLHDWSDEDCIKILKRCKGAIIPSRDAGGKIIIIDVVVGSSSEAICRGTQQMFDLIISVLTPGKERDEEEWCKIFKEAGFTKYKISPVLGFRSIIEVFP >OGLUM07G12490.1 pep chromosome:ALNU02000000:7:14617290:14619250:1 gene:OGLUM07G12490 transcript:OGLUM07G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEERRKPSPAESRQWTQRFFQVLGAGEPLPAPAELPAAYSALVRGVLSSAAVSASASASPRVSCTLTVSPAAINAYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSTAYLSAARLNSEVEVEAQILRKGRSVVVTTVEFRLKDTKKLCYSSRATFYIMPVASL >OGLUM07G12500.1 pep chromosome:ALNU02000000:7:14644619:14647898:-1 gene:OGLUM07G12500 transcript:OGLUM07G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQLWPHYPQRNSNNEGAVYDKEHEKDGSPTESINVDDKIQAMNAPAMVELEGETDPLEVKFRFQFSYVDTTAMS >OGLUM07G12510.1 pep chromosome:ALNU02000000:7:14650076:14660555:1 gene:OGLUM07G12510 transcript:OGLUM07G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECGSSSEIVGVVKVKVVRGTNLAVPAAAAMAVVACCAATSSSSSSSSQLHCGTVTSLLSGCAAFVRWRGGGGGAQLPSPGTPCCDGVAGLYAVAADSADNWRAVCRCMARLVRRHSSNASAIALLPGVCGVVSPWTFTAGNTNSNRPYCRRSHVDVVPRRWNPWRRTNSPEKRPKGLLMKGLSTSEAISACSAEVYDRDTFVDDPMGAAFFELRPLVEAAAASRRRRTTSGVHSKEDGAAVVPRSGSSVVWSASEGKAAQGLVLRLAGGVESGEVELQLELEWHGGAAGDTSMIDRLIDRNS >OGLUM07G12520.1 pep chromosome:ALNU02000000:7:14656768:14656947:-1 gene:OGLUM07G12520 transcript:OGLUM07G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVDKPFINKPFGLFSGEFVRRHGFHLLGTTSTWLLDAVPHSRSSHGISSQPSFLPP >OGLUM07G12530.1 pep chromosome:ALNU02000000:7:14656975:14657193:-1 gene:OGLUM07G12530 transcript:OGLUM07G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCVAVYLDYFLLINNHAEKVMICLAFVPWQALLHAYHHGRPKARMELGLLLEPGPGALNAERAAADMSKVL >OGLUM07G12540.1 pep chromosome:ALNU02000000:7:14668730:14673636:1 gene:OGLUM07G12540 transcript:OGLUM07G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAASPPRSGDASPSSPLLPSPTSPDRRSGGGGGGGGGGLLPGLRGAARFLGRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLPESPSVPLRVWLAGYVLQCLFHVLCVTVEYRRRREARGGGGFGADQGAAADGDFKLSIVKHLESANTMFSFIWWIIGFYWISVGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASEEDINNLSKFKFQTMGDADKLVAGIAAPVGGVMTECGTNPPVEHFLSAEDAECCICLCPYEDGAELRELPCNHHFHCTCIDKWLHINATCPLCKFNIIKSNLGPEDV >OGLUM07G12550.1 pep chromosome:ALNU02000000:7:14673577:14675397:-1 gene:OGLUM07G12550 transcript:OGLUM07G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVERRSPSLAESRQWTRRFLRSLGVDGPLPAAAELPAAYSALVRGVLSSAAVSVVPASSPASPRVSCTLTVSSAAVNAYNTLHGGMVAAVAEAVGMACARAAAGDKEMFLGELSAAYLSAARLNTEVEVEAQILRKGRSVVVTTVEFRLKGTKKLCYTSRATFYIMPVASL >OGLUM07G12560.1 pep chromosome:ALNU02000000:7:14678743:14683332:-1 gene:OGLUM07G12560 transcript:OGLUM07G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLLQASTELMNHSLGYIRSMALGCAAKLGVADAVHHAGGRATMDDLRAALSLHPSKLPYFLRRVMRVLVASGVFAHDEEEDDDDIYRLTPVSSLLVTATGGNGGTSRLPFVLLQLSPPLCVTPATSMAEWLTSGEEETPFEMTHGAGLWTVCSRAPELGELFNDAMAADSAFIMDVNIRGAGRQVFDKITSLVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPADHRGRDVVKFVAGDMMDFIPRADALLLKFVLHDWSDEDCMKILKRCKEAIPSREAGGKVIVIDVVVGSSTQAMCHGTQLLFDLLISTTLPGMQRGEKEWCKVFKEAGFTDYKISPPW >OGLUM07G12570.1 pep chromosome:ALNU02000000:7:14688569:14688874:-1 gene:OGLUM07G12570 transcript:OGLUM07G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFQTLAALPGRSLRVIVAELARQAAPTPPQQPITLQLDQRPPHTQEPSCSKLTARPTTSEQDAENPHATPTKELPGIDWKQPSLRREEHLRRKERAPHR >OGLUM07G12580.1 pep chromosome:ALNU02000000:7:14698898:14700493:-1 gene:OGLUM07G12580 transcript:OGLUM07G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGYEDEPPEPEIEEGAEEEPENNNEDAVDDVVGAEDDKEQEKTAHPRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEVKFRFQFSRHRLHFN >OGLUM07G12590.1 pep chromosome:ALNU02000000:7:14738486:14740931:-1 gene:OGLUM07G12590 transcript:OGLUM07G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEQLVQASTELMHHSLGYVRSMALGCAAKLGVADAIHRAGGRATLHDLHAALSLHPTKLPFLRRVMRVLVASGVFAQVKEEEEDHYRLTPVSSLLVTAGRTLLPFVLLQHSPLCVTPATSMAEWLKTGEEETAFEMAHGAGFWGACRRAPELGDFFNDAMAADSAFIMDAAIRGARQVFDKITSLVDVAGGTGAAARAVAAAFPHIKCTVLDLPHVIDSIPVDHGDVVQFVAGDMMDFIPQADALLLKFVLHDWSDEDCVKILKRCKEAIPSKDTGGKVIIIDVVVGSSSQAMCYRTQLLFDLTISMLTPGMERDEKEWFKIFNEAGFTEYKISPVLGIRSIIEVFP >OGLUM07G12600.1 pep chromosome:ALNU02000000:7:14750338:14751112:-1 gene:OGLUM07G12600 transcript:OGLUM07G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNLKADAVLLKSVLHDWSDEDCIKILKCCKEAIIPSKDAGGKIIIIDVVVGSSSEAICQGTQHLVDLNISVLTPGKERDEEEWFKMFTKYKISPVLGFRSIIKE >OGLUM07G12610.1 pep chromosome:ALNU02000000:7:14755040:14756088:1 gene:OGLUM07G12610 transcript:OGLUM07G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFCSKNVKLSVELKNYVKDIAEFLHHSSKFYIVAMNNTFMKQDRVDGHILQQPITYNYRRYVSSTSTKQIDCRQQYIFCEGYFDALCHNHPSLPSKCNARLHEKTTAILIAFTLYVLSYVC >OGLUM07G12620.1 pep chromosome:ALNU02000000:7:14771907:14772134:1 gene:OGLUM07G12620 transcript:OGLUM07G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTHELRQGGSDDGVEVGGVDNANIVEVRGSDGVKLLGPDSVLASASGGKKQGSGAVAAIRMPMLKVADFKGGR >OGLUM07G12630.1 pep chromosome:ALNU02000000:7:14776387:14777429:1 gene:OGLUM07G12630 transcript:OGLUM07G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHRRVNSANQGRIKRGEREEQIFGRGERVGREIKRGRGASLLSELRALGGVASILSLRSTTFVYVFDLDRGGGDELGLRGLHES >OGLUM07G12640.1 pep chromosome:ALNU02000000:7:14778538:14791040:1 gene:OGLUM07G12640 transcript:OGLUM07G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 8 [Source:Projected from Arabidopsis thaliana (AT4G25450) TAIR;Acc:AT4G25450] MAISTWSTRLLLSPSASASSHRPNPTRRLAFPSPISGSTRGGVHALRRQAPRAYISAPASGPDAYPSPALDAAAAAADVAAAIASSDAVTWAGVWALLSPHRARIAVSLAALLACTTCTLSMPLFSGRFFETLIGRGSEPLWRLLSKIAVLYTLEPIFTIVFVINMTVIWEKVMARLRSQIFRRILIQKMVFFDRHKVGELTGLLTSDLGTLKSVITGTLCILFTLSTELAPVLGLLMVSVSVLVALFKRSTVPTFKSYGIVQARISDTASETFSAIRTVRSFGGEKRQISMFDNLALAFQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRGTFASVERINSILSAEDIDDSLAYGLAKELEDSNGAVHENGTANKHYMSALKSSSSCSNLAWSGDIHLEGVHFSYPLRSDVEILNGLDLTIECGKITALVGPSGAGKSTVVQLLARYYEPTHGRITVAGEDIRVFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDEIIKAAKAANAHEFIVSLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNSPILILDEATSALDTTSERLVQEALNHLMKGRTSLVIAHRLSTVQNAHQIAVCSDGKIAELGTHAELVASGGRYASLVGTQRLAFE >OGLUM07G12650.1 pep chromosome:ALNU02000000:7:14798329:14800290:1 gene:OGLUM07G12650 transcript:OGLUM07G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLTSSTVFWLTTAVAFLLINTVILRALQKRKSSPAAAAAAAPPPVVQGVGLVRFVRAMARDGPLEAIREQQAKLGSVFTASAPLGLFKVTFLIGSEVSSHFYVAPDSEISMGRLYEFTVPIFGPGVLYGVDLETRKEQIRFNWDILKPRSLKASVGAMAEEVENYFSRWGDQGTVDLKHELEQVLMLTASRCLLGKELRESVPGKLCELFGELDNGLHLISGLLPYLPIPAHRRRDRARQRLGEIITEVIRLRRNSSRGAAGTDENNDDMLQCLINSRYKDGCAMTDAEIAGLVVALMFAGKHTSSGVSIWTGVHLLSNPNHLAAVVAEQDRLMASCPGRTDDYHRLDYDTVQEMRSLHCCVKEALRLHPPVAAVRQAYKHFTVQTKEGKEYTIPGGHMVVSTILVNHYLPHIYKDPHVFDPQKFAPGREEDKVAGRFSFLSFSAGRHACAGESFSYTQIKVLWSYLLSNFEIKMVSPFPETEWSTVIPEPKGKVMVSYRRRTAPK >OGLUM07G12660.1 pep chromosome:ALNU02000000:7:14821252:14825011:1 gene:OGLUM07G12660 transcript:OGLUM07G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASPISSVPPSVLPHQSAPPAPISPIRVASEGHPTNLLRATSGTPAPAYRVSLDDIAS >OGLUM07G12670.1 pep chromosome:ALNU02000000:7:14829561:14836469:1 gene:OGLUM07G12670 transcript:OGLUM07G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLTTVALLLTTVVIWTALQKRKRGEACPAAVAPPPIVQGTALVRFLRAMARDGPLEVIREQLAKLGSVFMASAPLGLFKVTFLVGAELGFLIDGVTAKPALTKNGLATSMDHVTSSTIARGAMSWVAATVALLLTTAVILTALQKRKISSPAAAAPPVVRGAGLVRFARAMARDGPLEAIREQQAKLGSVFTAIAPFGLFKVTFLIGPEVSSHFYLAPESEMGQGSIYRFTVPLFGPEVGYAVDPDTRAEQMRLFWDVLKPRSIEARVGAMAEEVQNYFSRWGEQGTVDLKKELERVLMLIASRCLLGREVRESMVDEVYELFRDLDNSLHLISTMLPYLPTPAHRRRDRARQRLGEIFTEVIRSRRNSGTADNGDDVLQRLIDGRYKDERALTDVEVVGLLVALVFAGKHSSSSVSTWTGINLLSHPNHLAAVIAEQDRLMASRARTDDDHDRVNYDTVQEMTTLHRCIKEALRLHPPAVAMFRQARKHFTVQTKEGKEYTIPGGHTVMSTILVNHHMPNVYKDPHVFDPSRFARGRGEDKAAGPFSFLAFGAGRHSCAGESFAYTQIKVIWSHLLRNFELKMVSPFPETSWRMVTPEPKGTVMISYRRRNLTCK >OGLUM07G12680.1 pep chromosome:ALNU02000000:7:14849741:14853078:1 gene:OGLUM07G12680 transcript:OGLUM07G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTTKNELRPSDANERRSEVDVYYGLGVAVTPVIVNLTSQLLSFYTATTFKLNASIAANSAVVVVLELSFHVHQQALCYSSHCLTGDISFPLHRIAVQASRAVIFFFASEKSSDLFASGIGMCTFVHVHINTIRDESKAWTGGRRKEHHAHEIDRHQCNLVGNNGSPCIRRVRGDGNGGSTGIWIVGSGGNGGTAEI >OGLUM07G12690.1 pep chromosome:ALNU02000000:7:14865175:14865564:1 gene:OGLUM07G12690 transcript:OGLUM07G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSDCYCRPKYIDYTLITPYGSLSFLQPNRNLCIASFWSSIITLVLHLQHHMDQFNMPCPKKVVHDKKVSKITLFRGTRILFNYNGDIRFSYSVGFLMAVYTSSFRL >OGLUM07G12700.1 pep chromosome:ALNU02000000:7:14888054:14889178:1 gene:OGLUM07G12700 transcript:OGLUM07G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVCRDKEEHRRCVAACLVKGAYVVENDLNRRRMWGKELAPAWWENFGFRTVDVINDDVIDDNDQIVTGTIYEHETPPGGGEPRHPLSPRYVVAFRGTMTWHPKAFVDLYLDLQVLFNTLQDSQRFRLAKAAVQKLVDTIHKGTGVCDHAVGGRCVVWLVGHSLGASVALEVGRVMMTEQGYNLPTFLFNPPQVSPAPVINLLHPNEKAKRHLHAASSLLKVGLGKIMNSHEEHMEKLFERLSPWTPELYVHESDPICQGYIDYFEQRQLVQERFRGIGNSAMKLSYRDMFFSVLGKNKERPHLLPSALLWKNSRVDDDVEDHKKLSKCKMLQEQLHQYKKLAFNAHSLEHWWKPDNELSLTKTQYMYSYPSA >OGLUM07G12710.1 pep chromosome:ALNU02000000:7:14913663:14920570:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPVAPVSRGAAAKRREERAVLAEKTKHRRRRRAVVDLRRPLLQTRAAAIPGGGGARKPLPIPQPHTQQVAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM07G12710.2 pep chromosome:ALNU02000000:7:14913600:14920570:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM07G12710.3 pep chromosome:ALNU02000000:7:14913663:14919910:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPVAPVSRGAAAKRREERAVLAEKTKHRRRRRAVVDLRRPLLQTRAAAIPGGGGARKPLPIPQPHTQQVAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM07G12710.4 pep chromosome:ALNU02000000:7:14913600:14919910:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM07G12710.5 pep chromosome:ALNU02000000:7:14913663:14919879:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPVAPVSRGAAAKRREERAVLAEKTKHRRRRRAVVDLRRPLLQTRAAAIPGGGGARKPLPIPQPHTQQVAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHRKIPELKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQCIERGLLAACSESPKQGGS >OGLUM07G12710.6 pep chromosome:ALNU02000000:7:14913663:14920570:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTASPPPVAPVSRGAAAKRREERAVLAEKTKHRRRRRAVVDLRRPLLQTRAAAIPGGGGARKPLPIPQPHTQQVAASRLPLLLHSSPVNVNVILSCCFFHFQFHPFSLVHAFVRATPNTQQGRGAIDMVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQS >OGLUM07G12710.7 pep chromosome:ALNU02000000:7:14913600:14920570:1 gene:OGLUM07G12710 transcript:OGLUM07G12710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPAGADPPPFLQTRMDMAASSSSSSLSQSHSQSQTQAHKVEEEEHAADPPSPSRNASSKYDFVKVKVWLGENADHYYVLSRFLLCRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVVLVCGTACTGKSTIATQLAGRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYFMDEEKRDDNSKMEKKVAECEKSPASVESKTERQQENELHEKRMDDSQECMSEEGGISEGLSCAKSHVISSSDSAYSKEKNPRAEDEGHKDLDLQKNNTTKKDKPAAEPIVVPIVLRMSDFDHKALLEEWTATRASRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTVVDISANSFPQTLDWLHSYLLQS >OGLUM07G12720.1 pep chromosome:ALNU02000000:7:14920755:14923275:1 gene:OGLUM07G12720 transcript:OGLUM07G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATHVAALLCVASIAAFPSPPRRGKWEHVYPIIGSSENVHRAHQLAKMCHNSQLFWHHKNYGIATFLAQKIGEAREPSKQMGNCQRKEELGKEVLYMIAFEIKRKRKNCI >OGLUM07G12730.1 pep chromosome:ALNU02000000:7:14923919:14925342:-1 gene:OGLUM07G12730 transcript:OGLUM07G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJE9] MHLTLEFGGGLELLLEKSTKVHKVDLQPNDGDGKVVMKGLLAWVKSNLIKERPEMFLKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >OGLUM07G12740.1 pep chromosome:ALNU02000000:7:14927691:14930434:1 gene:OGLUM07G12740 transcript:OGLUM07G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGATVGSGTKRIEVENMEVFKETVELISLCEDLTYKTRLTNDETTQKPWSMEEQVWSESERKPWSSTLLPPYLHTREVVHLGHDETWLL >OGLUM07G12750.1 pep chromosome:ALNU02000000:7:14930977:14933931:-1 gene:OGLUM07G12750 transcript:OGLUM07G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLNLVFPVIATAWCLLLLKAGSSSPPPPTAPAPALPPSNPCIAHERDALLDLKAGLQDPSNYLASWQGDNCCDEWEGVACSKRNGHVATLTLEYAGIGGKIRPSLLALRHLKSMSLAGNDFGGEPISEFFGELKNMQYLTLNDANFSGLIPPHLGYLSKLIDLEFMSYTDGPKLYSTNLAWLSRLANLQYLYLDGVNLSTAFDWAHSLNMLPSLQHLSLRNCGLRNAIPPPLHMNLTSLEVIDLSGNPFNSPVAVQNFFWPFWDLPSLEEIYLEGCGLQGILPEYVGNSTSLVNLVLNFNDLTGLPTTFWRLSNLKLLRLAQNNISGDIEKFLDKLPDNGLYVLELYGNNLEGSLPAQKGRLGSLYNLRISDNKISGDLPLWIGELTNLTNLELDSNNFHGVITQFHLANLASLKILGLSYNTLAIVADHNWVPPFKLWIAGLKSCGLGPRFPGWLRSQDRITMMDISNTSIADSIPDWFWTTFSNTRYFVLSGNQISGVLPAIMNEKMAAEVMDFSNNLLEGQLQKVPENLTYLDLSKNNLSGPLPLDFGAPFLESLILFENSLSGKIPQSFCQLKHLEFVDLSANLLQGSFPNCLNISQAGNTSRADLLGVHQSVMSNIIMLNLNDNNLSGPFPLFLQKCQNLIFLDLAFNRFSGSLPAWIDKLSTLALLRLRSNMFTGEIPPQLTKMKELQYLDLAYNSFSGAIPWSLVNLTAMSHRPADNDSLSYIVYYGWSLSTSNVEVIMLANLGPYNFEESGPDFSHITSATNESLLVVTKGQQLEFRSGIIYMVNIDLSCNNLTGHIPEDISMLTALKNLNLSWNHLSGVIPTNIGALQSIESLDLSHNELSGQIPTSLSAPASLSHLNLSYNNLSGQIPYGNQLRTLDDQASIYIGNPGLCGPPLSRNCSESSKLLPDAVDEDKSLSDGVFLYLGMGIGWVVGLWVVLCTFLFMQRWRIICFLVSDRLYDRIRASFTKQSGRN >OGLUM07G12760.1 pep chromosome:ALNU02000000:7:14984249:14985868:-1 gene:OGLUM07G12760 transcript:OGLUM07G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2 iron, 2 sulfur cluster binding [Source:Projected from Arabidopsis thaliana (AT5G51720) TAIR;Acc:AT5G51720] MATLFCAAACRLPVSPPAAAPGRARRGLVVAVRAEAGVGGINPSIRKEEEKVVDTVLAGELSKPLTPYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLVKK >OGLUM07G12770.1 pep chromosome:ALNU02000000:7:14999795:15001450:1 gene:OGLUM07G12770 transcript:OGLUM07G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKEVGLHEHQAHKRQRLAHVPIYGKMKGSIVVTGLGINYPTIWILSDDSFKKWRKIILTI >OGLUM07G12780.1 pep chromosome:ALNU02000000:7:15004611:15007635:1 gene:OGLUM07G12780 transcript:OGLUM07G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEAKKDSASNPPGGGGGGGGGEEEEDSSLAVGEAAVGVGEAGGGGGGGEKVDREGEVEEEEGKEDVEEGGVCKDLVLVEDAVPVEDPEEAAATAALQEEMKALVESVPVGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRNAIANEKYCERHMHRGRKRPVQLVVEDDEPDSTSGSKSASGKATEGGKKTDDKSSSSKKLAVAAPAAVEST >OGLUM07G12790.1 pep chromosome:ALNU02000000:7:15008425:15011885:-1 gene:OGLUM07G12790 transcript:OGLUM07G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTQQASSVCAGVWGLDPQVIAPVIEWESRTFSRPVIALICFGAIAFFPSVLLPSSPFMWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELESAATTINFINVLNRGALNMEYKTSKLNFGSMKQSSSAIALDFKCHLNVLKVKEVNRYGVRNDYNILGF >OGLUM07G12790.2 pep chromosome:ALNU02000000:7:15010346:15011216:-1 gene:OGLUM07G12790 transcript:OGLUM07G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMAGMSFGYFYGFLIITAAMSIGMSLPFFIGSAFHSKIHRWLEKWPKKAAFVRLAGEGDWFHQFRAVALLRISPFPYIVFNYASVATNVKYGPYIAGSMAGTVHETFLAIYSGKLLQSLAVATTQGSFLSVDQIIYNGLGFSVAAVSTAAITIYAKKALQKLQADDELC >OGLUM07G12800.1 pep chromosome:ALNU02000000:7:15055602:15062727:1 gene:OGLUM07G12800 transcript:OGLUM07G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGIQFHGSMTWDGEKTWSRFLAPKSCSGFFHCTLLRICTTLEHFMALNSLLDLMQSSDYMYFHQQRVQNIPYCRLTCRLSYPISVQKSIVVLS >OGLUM07G12810.1 pep chromosome:ALNU02000000:7:15065670:15076442:1 gene:OGLUM07G12810 transcript:OGLUM07G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPRGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSNA >OGLUM07G12810.2 pep chromosome:ALNU02000000:7:15065670:15076442:1 gene:OGLUM07G12810 transcript:OGLUM07G12810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPRGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSNA >OGLUM07G12810.3 pep chromosome:ALNU02000000:7:15065670:15076442:1 gene:OGLUM07G12810 transcript:OGLUM07G12810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPRGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQVGSLFCCLLLLLPASISKRAITETAIHVCAQEDKDCDDADWWMDAERIMVQIEEQIGSQSNA >OGLUM07G12810.4 pep chromosome:ALNU02000000:7:15065670:15074940:1 gene:OGLUM07G12810 transcript:OGLUM07G12810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPRGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQEDKDCDDADWWMDAERIMVQIEEQIGSQSNA >OGLUM07G12810.5 pep chromosome:ALNU02000000:7:15065670:15074940:1 gene:OGLUM07G12810 transcript:OGLUM07G12810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primases [Source:Projected from Arabidopsis thaliana (AT5G52800) TAIR;Acc:AT5G52800] MAAHDPKDDVDRLFACFKCGVSPPRGGSSSSSTPTPDAAEKHEAPSSAVIKFTGRKQMSPVVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDLVPSAGVWATFPRQEEAIRFCKAHAYTNVFSYQDHLSGQRRFLVSTYDEFWKRYNNMDPQIRHHYEVIQDGSPCHIYFDLEFDPRLNKMRDADEMVDILVAATFSALHDKYSIEGQEEWIIELDSSTEEKFSRHLIIRIPKTAFKDNSHVGAFISEICSRIASQRAANPNFDKLYITKDSSCTGRADHLFMDIAVYSRNRCFRLAFSSKSGKKSFLVATERFKHKNMSDKELFMESLICRLDDDCDKLLTCKLDLDCKKTLHFDSEASMIRIQGRNSKDSIGTYRNDFPVSNTYGISPFPALDVFIESIASFGNVSVCQGVDTVSILEENIKAIMRAAYYQKCYDPDCQGYRSPLRPVPWDVIPELSSISDSAQREYQGEVVEINIEGSNRNDEYLCNGTKSVTESGEDDPSWWEEAVKFADSIENTDHGPDTRDQVGSLFCCLLLLLPASISKRAITETAIHVCAQEDKDCDDADWWMDAERIMVQIEEQIGSQSNA >OGLUM07G12820.1 pep chromosome:ALNU02000000:7:15080375:15081073:1 gene:OGLUM07G12820 transcript:OGLUM07G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELVLLDFWASPFGQRCRIALAEKKLPYDYSEQELLGAKSDLLLRSNPIHAKVPVLLHGDGDGRAVCESLAILEYLDDAFPDATPRLLPSAADDPYARARARFWADYVDKKVYPVGTRLWKVKGEEGVRAAAGARGELVEALRTLDGELGEKEFFGGEFGFVDVALVPMMPWVYSFARYGGFSVEEECPRVAAWARRCMERDSVAGSLRSPEEIYDFIGLLRKHYGIDD >OGLUM07G12830.1 pep chromosome:ALNU02000000:7:15108915:15110090:-1 gene:OGLUM07G12830 transcript:OGLUM07G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRRQAHLQLMLRERTYNNTRKLAAELLGGNAESGARICGSYSEKISMSSDELALMMMLDGCFILHLLLKHDTSAAEQRVQGDNVVDDDDDDDWTQVIGRCWIWNLVKYDLLLLQNQIPFFVILALHRLLIISVNNGQESEPQRLISGGLQLFSTLYPLRKDAKFTIDCDQVHHLLHLVYLSILPPNNSSDSEFADQQQNLSLEALPFWIPSVKELMDSGVKFRKKTNAQVFMDITFQRGVLEIPELKTFDHSNFVLRNLIAFEQCYPDTRFHITSYVAFMGCLLRTQEDARMLHLKGILINGTTKEEYANGFFSQINSGAYSSSDRNYLGGLTEEIMKNHGKHHNRWRAALTHNYCINPWVIISVIVAFVLLLLAVTNTIVALLNRFKR >OGLUM07G12840.1 pep chromosome:ALNU02000000:7:15139199:15141649:1 gene:OGLUM07G12840 transcript:OGLUM07G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTCRLAAAPLGLAPLPRRPTTVAFAVAATGVKYGLRASRSVAIRAADGTGGETEVPEIVKAAQDAWAKVEDKYAVTAIGVAALVGLWTAIGAIKAIDRLPLLPGVLELVGIGYTGWFTYRNLIFQPDREALVSKIKSTYNEITGSSS >OGLUM07G12850.1 pep chromosome:ALNU02000000:7:15148047:15148256:1 gene:OGLUM07G12850 transcript:OGLUM07G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVAIVAELLEEYTAAVARAMELLLSRAPPRIFPRRVRFLVLRSLPFASPPPSPLSPPPPFTVAAGTR >OGLUM07G12860.1 pep chromosome:ALNU02000000:7:15157800:15159598:1 gene:OGLUM07G12860 transcript:OGLUM07G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPKSILLLVFAVLAATATGAASLPPSAARPSRRGGPGGILPLLPILPDSPAPPARPSDRSGGPGGILPILPILPDQPAPATEVRPPNRPPPSWGVIPLPRIIPDRPPSLAAPAPAPVPSPAPAAAVEPSQCMPSLAGLVSCIDYLNDVYRVGSKPMAACCGDFRKLVDEAPVCLCHAMEGGDIDEMMPEPINVARLMSSLPTACGVPLPVDTLAKCKTEPVPPLTTVPFAPTHP >OGLUM07G12870.1 pep chromosome:ALNU02000000:7:15287398:15287718:1 gene:OGLUM07G12870 transcript:OGLUM07G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVAAYLMATIGGNASPTKDDVRAILGAVGADVDEGKLGYLFDQVAGKDLAEILAAGSEMLAFGAAPAAAAATAGGAAAAGEKEEEEKEEEEDDDDIVFSLFDDE >OGLUM07G12880.1 pep chromosome:ALNU02000000:7:15291242:15293069:1 gene:OGLUM07G12880 transcript:OGLUM07G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNTMKKNRPTCHRLFQYRTISLTRVFPVGGAAAADEQGLPARAFDTSFPRIQVSLALSILDPDDVSSPLAVLELISTAPRLRFSGEVSNLCNALQAICLSLSIYLQLRSRNN >OGLUM07G12890.1 pep chromosome:ALNU02000000:7:15293196:15293563:1 gene:OGLUM07G12890 transcript:OGLUM07G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSELLTTTWVRCRSGGDATEKAALTTAGAPFHLAATAAARQRRWSGAGWRGGQRRCPLGSAETAAMREGEAGVAAWASSSLATLGWALARSEAGSAAERGESSEKRE >OGLUM07G12900.1 pep chromosome:ALNU02000000:7:15299352:15300791:1 gene:OGLUM07G12900 transcript:OGLUM07G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGATRQWRDTWMFVSFSAQIRAMPNVELPIKPSCSSRWMASYGLDSLPDKVKFPNYDIYID >OGLUM07G12910.1 pep chromosome:ALNU02000000:7:15301198:15306958:1 gene:OGLUM07G12910 transcript:OGLUM07G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT1G51110) TAIR;Acc:AT1G51110] MAAAAAAAAAGGFLHLGASRVPSRQHSAGAAPRLRAGASCARGQQPRRRAPPPPLAVAAAEEAYTGAETELLDALAGVQGRGRGEVESAVQALEALGGLPDPTNSSLIEGSWQLIFTTRPGSASPIQRTFVGVDSFKIFQEVYLRTDDPRVINVVKFSESIGELKVEAEATIEDGKRILFRFDRAAFSFKFLPFKVPYPVPFKLLGDEAKGWLDTTYLSQTGNIRISRGNKGTTFVLQKSADQRQLLLSAISAGKGVKEAIDDLTSSRQGTEADLNTLAGEWQLLWSSKTEDESWSSVASAGLKGVQIIKEDGQLKNLVRPFPGVSLNASGNICKNEDGNNFNLSINEGAIQAGGLQFPLDARGEFVMEILYIDNKIRISDINQHKLVHVRIANRT >OGLUM07G12920.1 pep chromosome:ALNU02000000:7:15307627:15311320:-1 gene:OGLUM07G12920 transcript:OGLUM07G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHVKSALLSGHRGPHHLAASAAAAASFHSTPPLQRKRKTQWHHRFSYYEKRRRNRESKRTMLRNMSEYAEYLFQSWRDEDDKNDESSGPSWFRGHRWVRNPKNNGFRPHDFYFGNFRSRGGFEFCTSDEDEPETVFRNAFRGQQTFYWSFDSDDFCRRNHRRSHSESSRRWSYETDDEDETPAQTEVSLARQALGLSTSGPLKLEDVKSAYRTCALRWHPDRHNGSTKATAEEKFKHCSAAYQTLCDSLASA >OGLUM07G12930.1 pep chromosome:ALNU02000000:7:15314686:15319890:1 gene:OGLUM07G12930 transcript:OGLUM07G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLRRVLLQLVLLAGVAFRGVRFDDAADAAAAAQGSSDLFELPSPSPTLALPGGGGGGDEGASTEIIAAPWPGRHGLFTPPRSTSQPARAVVQPAADFGSQLQFYDNGTIQLVDLLSKLPRWQFSTGPPLSKHITTSKPDLNYVIYLDGSETSDLIEVHNGSGVRLPWKLEEFIAETPYIRDSFVTIGSKVSTTFVVNADSGEIIYKHSLPVALNEVGGPLVEEIPSKLDAARSGTSANIIVVVRTDYSISASDLGEHLFNWTRTSFTANYYARYGHQDMLAQSSCLRGNIPCIRTEGPPIKLYLPDSSSDNAIVLRHVNEVSAVDALEPLLPPKKLPQPAGESNVALDSAQNQTADIALGHFVPADTELTNSVTKFSYRWLFPTFLMLLIMACLVKLADASKYCRQFVIRFLKPFMRDEKLMDPRGKSEGTSKRRKARKKDGLINSTQIFSASDKEGNGTGGSTEAQSNKAHDSTNVELPNGLNGRQIGKLCVYSKEIGKGSNGTVVFEGSYGGREVAVKRLLRSHNDIASKEIENLIASDQDPNIVRMYGFEQDNDFVYISLERCRCSLADLIQLHSVPPFSNTKGTDIELWRQDGLPSAQLLKLMRDVVAGIVHLHSLGIIHRDLKPQNVLISKEGPLRAKLSDMGISKRLQEDMTSVSHHGTGFGSSGWQAPEQLRHGRQTRAIDLFSLGCLIFYCITKGKHPFGEYYERDMKIINNQFDLFIVDHIPEAVHLISQLLDPDPEKRPTAVYVMHHPFFWSPELCLSFLRDTSDRIEKTSETDLIDALEGINVEAFGKNWGEKLDAALLADMGRYRKYSFESTRDLLRLIRNKSGHYREFSDDLKELLGSLPEGFVQYFSSRFPKLLIKVYEVMSEHCKDEEAFSKYFLGSSA >OGLUM07G12940.1 pep chromosome:ALNU02000000:7:15321358:15325771:1 gene:OGLUM07G12940 transcript:OGLUM07G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSLLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVMDDDHRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQLTTFQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNRTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >OGLUM07G12940.2 pep chromosome:ALNU02000000:7:15321358:15325771:1 gene:OGLUM07G12940 transcript:OGLUM07G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain group 40 [Source:Projected from Arabidopsis thaliana (AT5G17240) TAIR;Acc:AT5G17240] MEALLRWAAELGVSDSPSAPSPSSCLGRSLLIADFPDAGGRGLAAARDLRRGELVLRAPRAALLTSDRVMDDDHRIASSVASHLPRLSSVQTLIICLLSEVGKGKSSNWYLYLSQLPSYYTILATFNDFETEALQVDEAIWVAQKALRGIRSDWEEATPLMKGLGFKPKLLMFKSWIWAFATVSSRTLHIAWDDAGCLCPIGDLFNYAAPNDDNSSTDEDRDDMMHQETNKMLDQTDFDSSEKLTDGGYEDVNEYRLYARKRYRKGEQVLLAYGTYTNLELLEHYGFLLGENPNEKIYIPLDLDLCMIGSWPRDSLYILPNGHPSFALLCALRLWTTPRNRRKALSHQIYSGSLLSVENELEILKWLVKKCKETLQQLPTTIEFDDNLLVLLCKLQNRTSCITEMNRSIFEQEFAPFFRFHGFKLDCSIHSKLPVRLLRSLERWGLAVQWRCNYKRTLTKCIVHCKSLVHELSLQQNQQ >OGLUM07G12950.1 pep chromosome:ALNU02000000:7:15328963:15335434:1 gene:OGLUM07G12950 transcript:OGLUM07G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGGQHQRHQQQQQQPGGYGRGGGRGRGRDGAPYSGGRGRGQDGSYPGGRGGGYGGGGGGQPYYGGGGGGGGGGGQGRGYYDDGGDGRGYQRGMEGGGGGGRGGYRGDGDGGYGRGGGGYQGDGERGYGRGRVGGGGGGGGYRGDDEGSSYGRARGGGGGGYHGDGEAGYGRGRGGRDYDGGRGGGGRRGGRGGGGSSYHQQPPPDLPQAPEPRLAAQYAREIDIAALRAQFKGLTTTTPGAASSQFPARPGFGAAGEECLVKANHFFVGLKNDNFHHYDVAIAPDPVLKGLFRTIISKLVTERRHTDFGGRLPAYDGRANLYTAGELPFRSRELEVELSGSRKFKVAIRHVAPVSLQDLWMVMAGCPAGIPSQALQLLDIVLRDMVLAERNDMGYVAFGRSYFSPGLGSRELDKGIFAWKGFYQSCRVTQQGLSLNIDMSSTAFIEPGRVLNFVEKAIGRRITNAITAGYFLNNYGNELMRTLKGVKVEVTHRGNLRKKYRIAGFTEQSADVQTFTSSDGIKTVKEYFNKKYNLKLAFGYLPCLQVGSKERPNYLPMELCNIVPGQRYKNRLSPTQVSNLMNITNDRPCDRESSIRQTVSSNQYNSTERADEFGIEVDSYPTTLKARVLKAPMFFRTSVLTFKVVNGATIKSWACVNLCDGLDNRVVEAFCLQLVRTSKITGLDFANVSLPILKADPHNVKTDLPMRYQEACSWSRDNKIDLLLVVMTDDKNNASLYGDVKRICETEIGVLSQCCRAKQVYKERNVQYCANVAIKINAKAGGRNSVFLNVEASLPVVSKSPTIIFGADVTHPGSFDESTPSIASVVASADWPEVTKYNSVVRMQASRKEIIQDLEGIVRELLNAFKRDSKMEPKQLIFYRDGVSEGQFQQVVESEIPEIEKAWKSLYAGKPRITFIVVQKRHHTRLFPNNYNDPRGMDGTGNVRPGTVVDRVICHPREFDFFLCSHAGIKGTSRPSHYHVLRDDNNFTADQLQSVTNNLCYIYTSCTRSVSIPPPVYYAHKLAFRARFYLTQVPVAGGDPGAAKFQWVLPEIKEEVKKSMFFC >OGLUM07G12960.1 pep chromosome:ALNU02000000:7:15363311:15370685:1 gene:OGLUM07G12960 transcript:OGLUM07G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMAQEGSEASVASSPPAPPPPSSSSSSAAAAASWWRDMHHPYGAASAPSWLPPPSAAAPRWPPTMAAAHQHHHHRTSSSGAEDDLSASNATITSFTNTSTTNHSGLSMDSSGEAAAAAAAAAAESHLIWNQVLMGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSADYKKQQGQQEISSPVKTSSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >OGLUM07G12960.2 pep chromosome:ALNU02000000:7:15364910:15370685:1 gene:OGLUM07G12960 transcript:OGLUM07G12960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMARGAAGGEVGRSMPAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGGGGGGGGGGWPEHQFTAAAALEKHLSSAAAAGYGGALAHHHHAAGAPERLTANLSDLVSNWSIAPPNHGHHVGGAAACDNPAVAAAMAAAHGGGNVKQSGSSFLDSGGGGGGAMLQQESSSSTGTGGGGQDFLRPMGLAAGSSSYSSMLGLSSRMYGGGGTATMDVPWGSSNAGAARSLSDLISFGGGAMDKPPPPPPSSAPARTSSADYKKQQGQQEISSPVKTSSSGGGGKEGKKKRSEEAAGSEGSTKKSKHEATSPTSSLKSQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKSSSSKDYNAWGGLDRKEKADAEVDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >OGLUM07G12970.1 pep chromosome:ALNU02000000:7:15363619:15364080:-1 gene:OGLUM07G12970 transcript:OGLUM07G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLSAAAAAAAAAASPEESMLRPEWLVVEVLVKEVMVALDADRSSSAPDDDVRWWWCWCAAAIVGGHRGAAADGGGSQLGADAAPYGWCMSRHQEAAAAAEEEEEEGGGGAGGELATEASEPSCAMHCMRVVVVVVAGRRRRIEKEKWRREK >OGLUM07G12980.1 pep chromosome:ALNU02000000:7:15373825:15378469:-1 gene:OGLUM07G12980 transcript:OGLUM07G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVRSRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDKRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRNSECPWLHAKCKVLTAASWKLQVIQGDFLPEVVNIYDSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGSGAYKGRNEEHKCCQ >OGLUM07G12980.2 pep chromosome:ALNU02000000:7:15373825:15378469:-1 gene:OGLUM07G12980 transcript:OGLUM07G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G73710) TAIR;Acc:AT1G73710] MSPREQTALLSRQRHWRRARDLLDRMRALPGYAPSAIHYGVVLRHLARARRWGELRRVWARMAREGAPPPTNQAYAALADALAKAGHARDSLLLLRHMRARGVAPDEVSMSTFVRILKDVGRYSDAATLFDNWCNGRFEVGFIDLDYSALDSGGPMQFLLEEMCHGNVDDAGASGIQGVARIPKLAVTYNTMIDLYGKAGKLKDAMDMFMGMPDYGVAADTCTFNTLINIFGSCGNVKEAEALFASMIVRGTNPDVKTFNVMMTLFASKGDVEGVLKHYYHIGKMGLSADTVSYGIVLRVLCERKMVHEAEDVIVGIMRSGTCVPEQSLPVVMKMYIDQGLLDKANAFFDRHCRGDEVSSKTFAAIMDAFAERGLWEEAEHVFYSHRGVRSRGIVEYNVMVKAYGAAKRYDRVSPLLEHMNESGISPDECTFNSLIQMFATGGYPQRAKKLLGKMKYAGFKPKCETYAAAITTLSRNYLVSEAIYLYNEMKASGVEPNVVVYGVLIDTFAETGQLEEALHYNNLMEESGIAPNQIVLTSLIKAYSKANCWKEAQDLYSRMKNMDGGPDIVASNSMLNLYANLGMVTKVKEIFDCLRRNNQADDVSYTSMISLYKNMGLLNESVRVAHDLQNSGLLSDCASYNAVMACFVAKGKLRECAELVQQMQEGNILPDASTFGMIFSIMKKIQIAPEEVSQLQSAYNDKRSSSSQAVIAFLFLIAGMHAAALNICEKYMKPVLTIDQCACNVAFKVYASCGEVDKAFSLFMQMHELGLKPDTATYIHLTTCYGEYGMPGGKMKAIFLLISQLRNEMLIQFTQSPDMLTRMPMAPCEMQSVDSCLMETASDPSTSSSYRKATKHPGEHDFGGADILECNAQLLELSQEELHNKISVPIWKLEYMNLNKDQHPGSGAYKGRNEEHKCCQ >OGLUM07G12990.1 pep chromosome:ALNU02000000:7:15381617:15386405:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVQAAGTAMLVPLAPYPTPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVAREVHTEVALVIFTLLCVTLPDG >OGLUM07G12990.2 pep chromosome:ALNU02000000:7:15384106:15386654:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYVTFLREVDVGRGLVALCAHWLKLIVALLSTSLIYQEVLSSLAFTGFFRTEIFLAFSSASLESFCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSWAQSQLVCSGCRNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVAREVHTEVALVIFTLLCVTLPASNH >OGLUM07G12990.3 pep chromosome:ALNU02000000:7:15384106:15386647:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYVTFLREVDVGRGLVALCAHWLKLIVALLSTSLIYQEVLSSLAFTGFFRTEIFLAFSSASLESFCRERKGDFSCLTVAGICEASTSDAIGSGSWHSNAGSPCPISNSWAQSQLVCSGCRNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVPNPLTLAMSLEKYTLKLLCK >OGLUM07G12990.4 pep chromosome:ALNU02000000:7:15381617:15386891:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLGFFPVGKGKVISVA >OGLUM07G12990.5 pep chromosome:ALNU02000000:7:15381617:15386405:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLGAQSQLVCSGCRNLLMYPAGATSICCAVCGTVTAVPAPEQKSSCNVHENKERLKGNTNGFRAPQRCARRVDGACTQVAREVHTEVALVIFTLLCVTLPDG >OGLUM07G12990.6 pep chromosome:ALNU02000000:7:15381617:15385014:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHGGFKRLVITIGTPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLGKSPYSYLLHFLYCYYSFSIKI >OGLUM07G12990.7 pep chromosome:ALNU02000000:7:15381617:15385014:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.7 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLGKSPYSYLLHFLYCYYSFSIKI >OGLUM07G12990.8 pep chromosome:ALNU02000000:7:15381617:15385014:1 gene:OGLUM07G12990 transcript:OGLUM07G12990.8 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVAAARRGEPYIARLICRRARRQHGRSTGATVDLDGSLTRQACHHTVLEPGRPSEGLVLRPSSSSPSRSYKIGITPVNEKRVQPTTSPRSRTGVIVDRAILAEDKKHAPRSESPWLKKPMHGVSGSTAMASTPWSSMPPSSHSLGKSPYSYLLHFLYCYYSFSIKI >OGLUM07G13000.1 pep chromosome:ALNU02000000:7:15381634:15382147:-1 gene:OGLUM07G13000 transcript:OGLUM07G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPLLAAATAFIDAGFVTTVRQRRADLYACGHMEPRSGRRRPEAAVGSGGRRRRWRREGEAEAAAAAAGNRDGARGRRRRRRTAALGGGGGGRGRGRDEREKGTLEAAARVWGWVARGFFDKTPRLLHFRARSLFVLRVKYLDFLRN >OGLUM07G13010.1 pep chromosome:ALNU02000000:7:15388902:15391120:-1 gene:OGLUM07G13010 transcript:OGLUM07G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKTVGRFFRRYPSIFGSLVFLVILYKFFFGWFTFLLATSPIFLFAGFFLGIILAYGEPNIPENDHVYKKIEKAYNRNVRDNSKSAGGVTLPSIASSEERLAKHNNTEKVTNGRSHIGAFSSESGSGESDGSETDTHPMLHTFHHLRSATSSSRSSQDGDSNDSSIEDGNENQEGKDDNEHEEKNGKVVAWTADDQKNILNIGCLEIERNQRLENLIARRRARKYIDRNLIDFGISDSLPKIEELSKFNVQIPAIFAPRKNPFDLPYNEDNFPESAPSAPLNMLSKFDLPFDEANESSSTGGANSNHVDSTTVFSQSQKDTMFRRHESFTPGAPFLSDFWQDTQPSRFRPYFVTEKMANEGISVPNLEGEASEKSSVEDSDSTSSVTDQENHKLVLEDSPNQNLGPQMSQMDEQPHRSQNAREVPLALDIEPPLLISDSSDDDISLPGGNINDREEAQENGNLNLSQNASLEDPSVIEYPHQMEMISNEFHQLSPHSNDNDSLSSSTEATEPPELNSIELPANEVEFINEIPIADPIYDISPSRSEKPASIGSTIDAVLLQEGNTHTSDVEMSMDGEDSVSRIEASEIPAPSLASVQESKPREKETSEVKEQDNSGHDGGNQDSVSHANSKVPVISSKPSISGLSNRKFYDYDLYIHTLAIFYHILIGKTILSWVL >OGLUM07G13020.1 pep chromosome:ALNU02000000:7:15396624:15401569:1 gene:OGLUM07G13020 transcript:OGLUM07G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGAVEHVEGDTGSPCKHMQYIKNRRGRGGKKALPPRSHPPEKVNQPNSAPPSPPPSSPRLASSSLPPSIPKPTSPPPNGGTNHHHPISAAAGEVAGGTTRARTHARPPDLGKAEEDMSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGLVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDAKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSGSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSAANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQANTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >OGLUM07G13020.2 pep chromosome:ALNU02000000:7:15397070:15401569:1 gene:OGLUM07G13020 transcript:OGLUM07G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQASLSPSSSGDEEEEDEGVEGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVHTQVYTLPLPLLHDPRQDRASALRGAARRVPDPSFPLFLPQDRREIASRRRSSVEDLGLCRSKWNSISAAAAISRKFVALKIQKSAPEFAQAAFHEIEFLSEITKRDPSNCKCIIQLIDHFKHAGPNGQHICLVFEFLGDSLLKLVQYNRYNGIGFGLVREICRSILIGLDYLHRELGIIHSDLKLENILLISTIDPSKDPIRSGLKPNLERPEGNPNGEVMLNPIEKKLKMRARRVLAKLAEKKKSAAEYARAERSLDGIDMTCKIVDFGNACWADKQFTDFIQTRQYRAPEVILGSGYSFPVDMWSFACIAFELATGEMLFTPKEGQGYSEDEDHLALMMEVLGKIPKKIATMGTKSKEYFDRHGDLKRIRRLKFSSIERVLVDKYKISESDAREFAEFLCPLFDFAPEKRPTAAQCLQHKWLQYSDGKNYGTLNISDAKNASVTCSPGTTASSDSKSIDVTRNIGDKPDSYAERADAKCNTSKKSIINSNSENSDVQPNTASVGNRNSKIDDVNSNTGSITNKDSKNTVIKPSIGSFANRNAETVDVKPNIGSITSRGDSGSDTKSNIGSVASKDAKTIDAKPSTSSITSQDSKIGDGRSNIDGIANRDAKTADVKHNIRSVVNTYLRNFDVKHNTGNIVDGGVKHSDLKPGTVSAANVDSKSISAKPSTGSFENSDAKSISAKTSTGSFDNSDARNIMKANTGIVANNDVKDTDVQANTESVTSGDDLNDIDRKPNIGRVAASIQRLESSIGKVQSGKYR >OGLUM07G13030.1 pep chromosome:ALNU02000000:7:15403321:15416719:1 gene:OGLUM07G13030 transcript:OGLUM07G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARGESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSTDAERQRLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSVERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGKEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVRPAKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNIILKLLETGEVGALLPVVATLLQFSPEELTKCQHGVLSAVASSPATAVPDGGSTPNSFFSRFTF >OGLUM07G13030.2 pep chromosome:ALNU02000000:7:15403321:15416225:1 gene:OGLUM07G13030 transcript:OGLUM07G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARGESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSTDAERQRLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSVERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGKEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAAVRPAKQDAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNIILKLLETGEVGALLPVVATLLQFSPEELWLHRQQLRYQTVDPRQIHSSQDSHSSRGT >OGLUM07G13030.3 pep chromosome:ALNU02000000:7:15403321:15416225:1 gene:OGLUM07G13030 transcript:OGLUM07G13030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi-localized GRIP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G66030) TAIR;Acc:AT5G66030] MDPDENPTPPPPYQEAEAAVPVDEQPPPPVEEEQAEAARGESAAPVEQDAAAAEGGGGDRAAGGERSREELERVVMELGFQNDYLKSQIAAAEGGSGAAESELVKGLKEQVERLRKEVEEHKQTQKATEAALEHVNVAYAEADAKVQDLTAKLTQAQQKMDKELKERDEKYVELDTKFQRLHKRAKQRIQDIQKEKDDMEARFNEINQKAEQASSLQSAAQQELERARQQASEALRSTDAERQRLRTVNSKLRTNLDEARVALEARNNVLEKLRQSMFEKEQLLEQTQASLQSAEEKRNASIAELTAKHQKQLESLEAQLTEVSVERTKASETIQSLQMLLVEKDSEIAEIEAASTGEAARIRAAMEELKGELAHLKDQHEKERQNWETTCESLRTKLEASESACHISVIESTKVKSQLELELSKQNQLLQTKDSDLLAAKDEISRLESEFSAYKVRAHALLQKKDAELNTAKNSDLIKAHEEAIREAEKEISAALAERDKAIHDLQIAQSKYGKEIEARDLALADSDKKLKNVMAKLDSLTSKFLSEKESWEKNMASVEESWRLKCESVKAESNGHAGDELKKNLVELTVKHEKLKEEHDSFRDIADRMLEEKDREVAKLLRENKDLHNSLEAKAADAMDIELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNIILKLLETGEVGALLPVVATLLQFSPEELWLHRQQLRYQTVDPRQIHSSQDSHSSRGT >OGLUM07G13040.1 pep chromosome:ALNU02000000:7:15427410:15428055:-1 gene:OGLUM07G13040 transcript:OGLUM07G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLSPSLMMTMQQRSWLEPKVNDHDKEKELNKGDKAQLRLRSGLTPTTQAQALAIKGELGWGLAVKPFAREVEILKMAQPAEGFKVMVERVARELERVEKLVVQEFTRERAEYLV >OGLUM07G13050.1 pep chromosome:ALNU02000000:7:15447941:15448441:-1 gene:OGLUM07G13050 transcript:OGLUM07G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPPPPSSHQIMSASPVPHRVPSPAPIDDEEDKAKRKKTEVEVEGDDEGAKHSKTGLIQRRFKVSQEMLDDLMAHPLKPFVGVCLDDVPEGEQRDRLAADMEAVRKVHAELMDVVAQYKATGEAYMLVEAPEDDDDLAQVDDVQATLEMADRMLRQLLEQ >OGLUM07G13060.1 pep chromosome:ALNU02000000:7:15451117:15451539:-1 gene:OGLUM07G13060 transcript:OGLUM07G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTPAPATTIHEEDNAKKKKKTLKYKVPQAVLDYLVAHPPNPVFVGPRLDVMPAGEKRDSLAAGGEALRKVHAELTDMLAQYKATGEAYVVMDDDDDHLPRVSAADVRSALQMVDDMFDVIDSYSAPPPPPPSDDMLDQ >OGLUM07G13070.1 pep chromosome:ALNU02000000:7:15464379:15466240:1 gene:OGLUM07G13070 transcript:OGLUM07G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATTNPRSDRWSVCLFSLKPRTIPTRREKPSPADQNHPPRRRRRHRRRKQFVSPVGVRPVVRSMDRRPPLAVSPRRLRPRPHRAAAAGAAPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAVAAGKENRHVDDEVSLDLTAMAMPTPTPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKTSAASSSFAGAKSPAAKERRASTRSSARRF >OGLUM07G13070.2 pep chromosome:ALNU02000000:7:15464433:15466240:1 gene:OGLUM07G13070 transcript:OGLUM07G13070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRPPLAVSPRRLRPRPHRAAAAGAAPRPPVACSVQTPPGSIKKATTPMRSSFCALPTSRLEPTPRAKLDFAAAPSPARAAVAAGKENRHVDDEVSLDLTAMAMPTPTPTWTASPLPPPTSPLFERGRLYDLYSARRNERLKRKHGFPAGEEEAEAMAADPCVAVELSKRRGAKKMTGAESVRRSMPAAADFSAAGRAATSTLGLRSSLRSSKEMKKTSAASSSFAGAKSPAAKERRASTRSSARRF >OGLUM07G13080.1 pep chromosome:ALNU02000000:7:15472890:15484230:1 gene:OGLUM07G13080 transcript:OGLUM07G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPALIALSIPPTPFRPRHPARPLAPPPSPHHRSRPSHRRRSRSRRRRATSPVPSRGGSPPCSTRATTMTRVAVVGAGVSGLAAAHEAARGGGGVRVTLYEREDSLGGHARTVAVDGDAGPVDLDLGFMVFNRVTYPNMMEWFEELGVEMELSDMSFSVSAQLQDGDEQTMEWGSRNGLAGLLAQKTNAVSPAFWRMIREILKFKDDVLTYLEEHDKNPDLDRNETLGHFIQSHGYSRLFQQAYLVPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVNRVREELESLGCQIKTGCEVQSVSALEGGGYRVVEAGGTEEAYDSIIFAVHAPDALNILGDEATHDERRILGAFQYVYSDIYLHCDKSLMPRNPSAWSSWNFLGTTTSGVCVTYWLNLLQNIEESAGRGRRPFLVTLNPPRVPDHVLLAWKTSHPVPSVAAAAAAGELRRVQGCRGLWFCGAYQGYGFHEDGLKAGMAAARGLLLAANGGAGERRLLANPRQMVPSWTEAGARLLVTRFLAGYVSVGNLTLLEEGGTMFNFGEAGKKCQAKCVMRVHDPLFYWKVATEADLGLADAYINGYCSFVDKKQGLLNLLLILIANRDANKQSSTSTSRIRGWWTPMLLTAGVASAKYFLRHVSRKNTVTQTRQNISQHYDLSNDFFSLFLDPSMTYSCAVFKDEDESLEAAQQRKVSLLIHKARVERDHHVLEIGSGWGSLAIQVVKQTGCKYTGVTLSEEQLKYCQRKVKEAGLEDHMTFLLCDYRQIPTVRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLSRITSAMSTSSRLCIEHLENIGYHYYPTLIRWRDNFMANREEIKSLGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVLSRPGNDKLLPFADNPYATFPAA >OGLUM07G13090.1 pep chromosome:ALNU02000000:7:15489340:15491065:1 gene:OGLUM07G13090 transcript:OGLUM07G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAAQDPTTQGRHLRAEQAASEHQDLRFTHCCQVHPKLLHCLASDKYYPFPSSAPAASTIKPFLTSTGILFILGIPIFFEVSRWISYQ >OGLUM07G13100.1 pep chromosome:ALNU02000000:7:15516587:15517433:1 gene:OGLUM07G13100 transcript:OGLUM07G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTRMMSLPATCGVAPPVEALTNGTSAAVDACFYSCCCSISISRTINVIDSNKLDGCLLIRCNQEED >OGLUM07G13110.1 pep chromosome:ALNU02000000:7:15523142:15523947:1 gene:OGLUM07G13110 transcript:OGLUM07G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARLHPPRMWRLPARTSRARGAGAAAAAASEYGHGRSRRARVPPARTGMGSRPDGEAVVVPEKLRSPPIQLLLHDEIRAAPNPVDGPVEDWAVLGMGRHWTPRMVEQGELAPRVHGTINSILKNEQEGHAPWGACVRRRGRSRGGRGGWGAGAAVAGEDGSWRGCGRGRRGRSRGVGMAAANDGADAAAVAAEDGLGGGCGHSFPIRRRAALLWRKSSSSRRSFPISTPLAAPSPSAAVLLSSGAMLPNRH >OGLUM07G13110.2 pep chromosome:ALNU02000000:7:15520912:15524337:1 gene:OGLUM07G13110 transcript:OGLUM07G13110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRWPPLLLLLLFLLAAATATGNAPPPEPKPARWPDVFHARLFTNLTNHSAASTGPPLRVADLYYDWPRRRNLNLIRYQLAAADDPLYDVEWDNGTTFYFDSRSCRTERFPVGVLRPGWLADGGGVVYLGRWRTGGIECDVWDKLGFVVYYQEVATGRPVRWNFLDKTGIQQFVMSFEVGVTLEDDSQWQAPAHCFPAAAADEDEEEEEEEDEEQDEMIKSSYDHIEGVDDGLDAARLLRRLAGAAAF >OGLUM07G13120.1 pep chromosome:ALNU02000000:7:15540769:15543684:-1 gene:OGLUM07G13120 transcript:OGLUM07G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLLSILSSSFLLLLAASSSSTPRASACERCVRNGKAAYSPSLSPIPPGGGGGCGYGAMAMEMELNGGFLAAGGPRQHRGGLGCGRCFQMRCRNAEVCSNAGVRVVLTDFHRSNSTDFLLGGPAFAGLAKPGMAHKLKKLDALSVEYRRIPCDYKDKNLSILVEEQSKRPNNLVIKFLYQGGQTDILAVDVAQVGSSDWRFMTRVYGPVWSIDRAPNGPLQFRAVVTGGYDGKWVWADREVLPANWQPGQVYDTGARIADVARESCLDCATLDWK >OGLUM07G13130.1 pep chromosome:ALNU02000000:7:15576827:15577189:1 gene:OGLUM07G13130 transcript:OGLUM07G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLRLAEISRKWSGSGSSKVTSPTAAAAACPRGHFAAYTRDGSRFFVPIACLASDTFRELLSTAEEEFGSPGGRPIVLPCSADRLHQILAAFRSASGKNKCSPPSGSGGRAGGRTKIW >OGLUM07G13140.1 pep chromosome:ALNU02000000:7:15590667:15595592:-1 gene:OGLUM07G13140 transcript:OGLUM07G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing protein-related [Source:Projected from Arabidopsis thaliana (AT3G22660) TAIR;Acc:AT3G22660] MARLAAEDPLVRDEAILDDDDDDVDTDEEESESEDDSGEEFHAEPSKKAVYNKEGILEKLEDIAWPENVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPADYYAEMVKTDAHMHKIKGRLLSEKKKIEEAEERKKAREAKKRAKEVQAQKEKERAKQKKEQIESVKKWRKQRQQGGFAKGNDDGPDLNFEGDEGFKQSKKKRPGVSPGDRSGGLAKKGKQGKNRKSRDSKFGHGGRKGLKKQNTAETTNDFRGFNQMDKSQNKRRKMDGPRVRFAFFYAP >OGLUM07G13150.1 pep chromosome:ALNU02000000:7:15596416:15608085:-1 gene:OGLUM07G13150 transcript:OGLUM07G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSVSKNVKRRGLMNLLFENETTMSSYACSASTDID >OGLUM07G13150.2 pep chromosome:ALNU02000000:7:15596416:15608085:-1 gene:OGLUM07G13150 transcript:OGLUM07G13150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQELPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSVSKNVKRRGLMNLLFENETTMSSYACSASTDID >OGLUM07G13150.3 pep chromosome:ALNU02000000:7:15597397:15608085:-1 gene:OGLUM07G13150 transcript:OGLUM07G13150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAESCGSRGGESPPPPRTRGASAGGRRRKAEAYREVLRRIRGGGGALDDDELWAHFYSLPARYALDVNVERVDDVLLHKRLLDQAREPMNGLVFDVRRSQAATLDGSTEVEQSTSFKHEVQDPQCSSFTSRDQRCFHEIIFACDDKPKLLSQLTALLGELGLNIQEAHAFSTSDGYSLDIFVVDGWSHEVDVLRDALRRGVEKIKYKAWPLVQSMPTRTGHELMEDSPPADFVQIPADATDVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVRHKNVVQFIGACTRPPILCIVTEFMRGGSIFDFLYNFRGTFQLPDVLRIASDVSKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDQRADVFSFGIVIWELLTGKLPYEDMTPLQAAVAVVQKDLRPIIPADTHPMLAGLLQKCWQKDPALRPTFSEILDILNSIKEAVRSSGHQKRHSGRSYSRQRRSG >OGLUM07G13160.1 pep chromosome:ALNU02000000:7:15613126:15613302:1 gene:OGLUM07G13160 transcript:OGLUM07G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLVVDVVAIRDWSWHPVLLSGRRVIEMHQDEYGAAVKKEKVEPEIDLEEAADCGA >OGLUM07G13170.1 pep chromosome:ALNU02000000:7:15628633:15642739:-1 gene:OGLUM07G13170 transcript:OGLUM07G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT2G06210) TAIR;Acc:AT2G06210] MASVYIPVQGTEEEVRVALDQLPADASDILDILKAEQAPLHLWLIIAREYFKQGKIDQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAQQKEVHFKEATQCYNRASRIDETEPSTWIGRGQLCVVKHDLQMASDSFKIVLDEDGSNFPALLGQASVYFLMGDSEQQHKKALDYYRNSLDLYKRALRAYTSCPAAVRLGIAFCRYKLGQSDKARQAFQRLDPENIDALVALAIMDLQTNEAGGIRRGMEKMRRAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAFYNLARSYHSKGDIETAGRYYMASVNEISKPQDFVLPFFGLGQIQLKFADYKSSLASFEKVLEVHPENCESLKAIGHIYAKSGENDKAIETFKKVTRIDPKDHQAFMELGELLVQSDWATAMEYLKTARNLLKKAGEKIPIELLNGIGLLHFEKGELEMAEQSFKEALGDGFWVSIIDGSVGSSVVNWSIQYRDQSFFQQLEEEGTPLELPWDKVTTLFNYARLFEELHDTVKASLFYRLIIFKYPDYIDAYLRLAAIAKEKNNLQLSIELIGDALKIDDKYPNALSMLGSLELQGDETWLTAKEHFREAKDASEGKDTYSMLQLGNWNYFAANRPEKKAPKFEATHREKAKELYSNVLKQHRGNMFAANGIGILYAEKAQWDIAKELFTQYQNCLRKFFYNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHIEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALADEARRKAEEQRKAQLERRKQEDELKQVMQQEQHFERVKEQWKTSSNTPGKRKDRSKHEDEEGGSEKRRKKGGRRRKDQKTKAHYGEEEEDEYRDEPEAEDDYANTARSNDGGDSEKAPGHLLAAAGLEDSDAEEDDMGHPQSAIERKRRAWSESEDDEPVQRPVQPSAGENDLSD >OGLUM07G13180.1 pep chromosome:ALNU02000000:7:15647469:15647998:-1 gene:OGLUM07G13180 transcript:OGLUM07G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASIGGEGGSAPLSSIYDDGICRQWFAKMLVCALLSCFVYDGFWPATVHIRSFSSNLKGSGSATNGSEAISDIFCFLREPCDLFTIWMCAWKKGIGRKYMVLSLMDERHGCELDTDRDGIQNSWENILDFYNG >OGLUM07G13190.1 pep chromosome:ALNU02000000:7:15649555:15649776:-1 gene:OGLUM07G13190 transcript:OGLUM07G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVSTYSSPSSLSPFLSFLPPSRSLLSQGMGMPVTEDGAAGDGGSPGGAVLLTGNVIVWAATSFLSLYTG >OGLUM07G13200.1 pep chromosome:ALNU02000000:7:15650046:15650969:-1 gene:OGLUM07G13200 transcript:OGLUM07G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNCPHRRLEQAGSCLVPVAVAQLPPGAQLAVVVASVGGRRSAVLCCEGAAAPEPYHRGGWSAAAMEVALGGLVVARPATKRLRRSPRPPAAAVARAPRLAVRRRRVLKRQELLLSQLHELPPHLPVQPADLAAPAAADGAYRAVRRVGQALAPGATLDLEVGGVEEHQSGNEDARWSTARWSMVELTRRKLRAKALVRAIPSYDPTFQRK >OGLUM07G13210.1 pep chromosome:ALNU02000000:7:15651040:15668942:1 gene:OGLUM07G13210 transcript:OGLUM07G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLSQIEHNLPMPPHLLSRPLVDAIKAELERLFLDKVVANLGLCVSVYDIRSVEGGFIFPGEGCSTYKVSFRLLMFRPFVGEVLVGKISGYDEKGLHVSLDFFSDISIPGHLMQYGTARALDGRWMLKTEDGDELYLDLDDEGSIKGDGLGLLAWWAADEEEGEAEAEAEE >OGLUM07G13220.1 pep chromosome:ALNU02000000:7:15667316:15668215:-1 gene:OGLUM07G13220 transcript:OGLUM07G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic drought-induced stress protein of 32 kD [Source:Projected from Arabidopsis thaliana (AT1G76080) TAIR;Acc:AT1G76080] MASTAAFLSTLAGSTSLGGATPASGGGSGRSKTARFLRRRRRGGAVRAAVSGTEQAPETTKKKGGGGGDERVVQVHSAEELDGALRAAKERLVVVEFAASHSVNSSRIYPCMVELSRTCGDVDFLLVMGDESDATRELCRREGITAVPHFTFYKGAEKVHEEEGIGPDQLAGDVLYYGDHHSAVVQLHSRADVESLISDHRGEGGKLVVLDVGLKRCGPCVKVYPTVVKLSRTMADTTVFARMNGDENDSCMEFLRDMDVVEVPTFLFIRDGDIVGRYVGSGRGELIGEILRYNGVKVT >OGLUM07G13230.1 pep chromosome:ALNU02000000:7:15707432:15713197:1 gene:OGLUM07G13230 transcript:OGLUM07G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJM1] MARIKPKQLLIQSKTKKAPTRISYSTIVTWNLIVILVVLSLYATYRHWHHRPMLETEMDLPRAEHVGRSEDSTKTSRPSYAVIDTAKGSITIEIYKDASADVVDRFVSLCKSDHFKGMPFRHVIKNFVIQGGDFDFNGAAQEWILKAKASGENALSPKHEAFMIGTTKNPNNKGFDLFITTAPIPDLNDKLVVFGQVINGQDIVQEIEEVDTDEHYQPKTPIGILNITLKQQALS >OGLUM07G13240.1 pep chromosome:ALNU02000000:7:15715164:15721320:1 gene:OGLUM07G13240 transcript:OGLUM07G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Histone deacetylation protein Rxt3 (InterPro:IPR013951); Has 34444 Blast hits to 20801 proteins in 1175 species: Archae - 64; Bacteria - 2390; Metazoa - 15568; Fungi - 3729; Plants - 1886; Viruses - 208; Other Eukaryotes /.../9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G08450) TAIR;Acc:AT5G08450] MSGAPKRSHEEGSHSTPAKRPLDDSSLYSSPSGKIIQPGSSDFHGSFEHDGRFAKVQRIEPRDDKRPSLAHRMPIGPSNFVDHSISSDGRLESKQNKDPRDTKVDVREAKADTRDVYSDPRVEFPSNKVETDVKTDNRADDNDIRADRRIHADYKGDAKLDKDGHPTAISNIAWKDNKEHRGKRNIEQPSDNADWRFPRPGLQGTDESSKGPVPADERSKDAHESTGENKTEPKTEDKFRDKDRKKKDEKHRDFGTRDNDRNDRRIGIQLGGNSVERRENQREDRDAEKWDRERKDSQKDKEGNDREKDSAKESSVATEKENAILEKTASDGAVKSAEHENKTVEQKTLKDDAWKSHDRDPKDKKREKDMDAGERHDQRSKYNDKESDDTCPEGDIEKDKEALGSVQRKRMARSRGGSQASQREPRFRSRMRDGEGSQGKSEVSAIVYKAGECMQELLKSWKEFEATPEAKSAESVQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQDSYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHYNRYELLPLTIWPSPEVIFLTSVEHSSFISPPPVTASFHRYELCFSGEKARLVGSSSNAADAETEKHQNSSHHHSQNGDRASLEHELRDLFRWSRCKKAMPESSMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSSN >OGLUM07G13250.1 pep chromosome:ALNU02000000:7:15722735:15726014:1 gene:OGLUM07G13250 transcript:OGLUM07G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWAAEGGGRGQGLRRGLRSRPVTARKTAVTAAGDRAEGRGRGQRSRQPGTARGAAVEGGDGAEGGGTSPGAFSSQAQAMISAGSGLKRWEQEQQLKDEPEKTGVACPESRQLWWWTLQAIRSPNCLPVNEPSFHNWLCESRMKIGERHRRGFDTVVTLVVWTIWKERNN >OGLUM07G13260.1 pep chromosome:ALNU02000000:7:15836985:15840367:1 gene:OGLUM07G13260 transcript:OGLUM07G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSAMPSPSPSSSAAAAAAAASGCCLDRLWRACGGCGAAAASAAGWTVCALLTCVFAVVGSLVGVFIGAFMGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSESGKYSIIFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFIDNNDLFETGNTGGMSRDLINRIPKTIFSAATNPDQETDNCCAVCLQDFGASQFVRVLPHCQHTFHARCIDNWLFRHASCPLCRAGVHIDHIHM >OGLUM07G13270.1 pep chromosome:ALNU02000000:7:15841520:15846009:1 gene:OGLUM07G13270 transcript:OGLUM07G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAGTMELEILGINFGCVLAALADAKIPEKDCLLPLASKLLGYAIVAASTTVKLPQECLLRLMSKILGYHVVAASAIGKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQVLGGKIDPALFEVLYASQHAIFFFARLPQIWKNFMNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSGILLVLITVNYIHS >OGLUM07G13280.1 pep chromosome:ALNU02000000:7:15847660:15851068:-1 gene:OGLUM07G13280 transcript:OGLUM07G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJM6] MGPTRQSHSLLLTFLLVGAAAAAAAASPEDGSPFLRLPTASDLPVPAGQLPRSAAVGLIRALNLHPRDASPSPSSRGDGVVPAGTLVERPIHLASMATGKSGGSSAEDLGHHAGYYRLPNTHDARLFYFFFESRGSKGEDDPVVIWLTGGPGCSSELALFYENGPFHIADNMSLVWNDFGWDQESNLIYVDQPTGTGFSYSSNPRDTRHDEAGVSNDLYAFLQAFFTEHPNFAKNDFYITGESYAGHYIPAFASRVYKGNKNSEGIHINLKGFAIGNGLTDPAIQYKAYTDYSLDMGLITKSQFNRINKIVPTCELAIKLCGTSGTISCLGAYVVCNLIFSSIETIIGKKNYYDIRKPCVGSLCYDFSNMEKFLQLKSVRESLGVGDIQFVSCSPTVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEEPFTVDGKEAGILKSYGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSNASSSFQRLDFTM >OGLUM07G13290.1 pep chromosome:ALNU02000000:7:15858830:15862662:-1 gene:OGLUM07G13290 transcript:OGLUM07G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKGLLKPRPTPQQQLREWQRKLRNECRVLDRQIRDVQREEKNVEKSIREAAKRNDIGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRKQRINQASTSRVPEERQAVAEGADDDEEDLEEIRARLAKVRS >OGLUM07G13300.1 pep chromosome:ALNU02000000:7:15864020:15864845:-1 gene:OGLUM07G13300 transcript:OGLUM07G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNVSVSVSLEGNISSLPNSIINDSNGKDTSFINHAAIAWAEMRRQWTGDQEKVPKEASQEPIISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >OGLUM07G13310.1 pep chromosome:ALNU02000000:7:15869759:15871013:-1 gene:OGLUM07G13310 transcript:OGLUM07G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFFLPGFNGFGKSVLGRAFSREGQQHGGGRHRGGRGGRTNVKKTSPTPLRENMKRVVGRNTRVAEEPVD >OGLUM07G13320.1 pep chromosome:ALNU02000000:7:15872388:15872678:-1 gene:OGLUM07G13320 transcript:OGLUM07G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAATSRIYEALKMLQPPPWVHARPHLCLYHVTLVVLTTNLSSPLFCPPFPLALHFVGSSSVTIARAFIPDTINKAIGTDKKATEYADLCDFLIS >OGLUM07G13330.1 pep chromosome:ALNU02000000:7:15875072:15884457:1 gene:OGLUM07G13330 transcript:OGLUM07G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQFFLHGLSCHWYHAKPYHPMSTFWFFSPLSKQNQLRVFHMGKYEPEAPIATVEDPISSHDKLENTEMVASLEMLGNMTDKPLAPLEMLPKVKLSVETPPAPMSPPMRFGNGGCHIDLNDQPPEVEKLVDETLKISGEEIKANMELPAPVSPPRSFGTGGCGCDLNEMPEDNDEGLAPELKGTKDSPRIGRAHHVAQLPPEQGRSNGCQTEAARGLVDRGCQSGWGDTCHHGEDSSIMPFSTGRLANYLSLRTTAEESDWTSQRQSALAGGGGVGVAISPRVEDGKFGPMGGLGQGPETLRTSIPCRQMSPPMRFGNSGCHIDLNDQPPVEEKLAAEAVKISGEEKMLIKADKEYPTPPVSPPRSFGTGTFYLSLFSERARRRAGGSSARRRADNGDGLARQGGAVRRRRRRRVGDGSDSRCKEEQRRGGKGPVAVWLVSVRRSGKEKEGWRRRRQLTEEEHQRVGGWRQIGSSPPLQSRRRQWLYDEEEGRWLGGDSDDHCASKGNVQRRRPRGKSDVDSL >OGLUM07G13340.1 pep chromosome:ALNU02000000:7:15887611:15888797:-1 gene:OGLUM07G13340 transcript:OGLUM07G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNGGEEMKVESGVVGEVGGEEEGGGAEEEEEVRQVPGRRVAKQRWRLPAVDPATWIPTTATDGGARRGKVASLCSTAIQTRLGDFDVRADELEKLREEPNSNNTRPTWFHALEPRDSLGPAPLFCGTDSLSWLHCSRAMAPRYRLGPAVQSCGTGSVAHPDSA >OGLUM07G13350.1 pep chromosome:ALNU02000000:7:15888825:15889220:-1 gene:OGLUM07G13350 transcript:OGLUM07G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAPQPGPARAGAGTSGCKCGTGWCSDGQGVGGSPHRLLSHLLDTRFRKEEEERVDARGQGAAPPLSSSSPPHHRRCHLPHRLLPPFMCSHVPNPEADGRPITTIFIAIPFAATAVPSFLQPPDHRIRWL >OGLUM07G13360.1 pep chromosome:ALNU02000000:7:15896038:15896232:1 gene:OGLUM07G13360 transcript:OGLUM07G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRRRSRGGLNPVATDDVEDDGDSAEDGGGHRVCERRESAPAHLSSMPSSTLGQQQASRCKR >OGLUM07G13370.1 pep chromosome:ALNU02000000:7:15929176:15931497:1 gene:OGLUM07G13370 transcript:OGLUM07G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJN5] MAASAAAPATVAALLVAVAAIMAASPAGAQPSPGYYPSSVHRAMAFSRDYTNKWGPQHQTLSADQSSLTIWLDKTCGSGFKSRKSYRNGYFAARVKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTADFHHYAILWNPDAITFFVDDVPIRRYERKSELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVARFDRFTVAGCAPSAPASCRPVPASPAGAGLTPRQYAAMRWAQQSHMVYYYCQDYRRDHSLTPEC >OGLUM07G13380.1 pep chromosome:ALNU02000000:7:15934367:15936498:1 gene:OGLUM07G13380 transcript:OGLUM07G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Papain family cysteine protease [Source:Projected from Arabidopsis thaliana (AT3G54940) TAIR;Acc:AT3G54940] MAAAPARLVVLVLVAVVVVVVGGDGDAGVIRQVTDGGYWPPGLLPEAQFAAFVRRHGREYSGPEEYARRLRVFAANLARAAAHQALDPTARHGVTPFSDLTREEFEARLTGLAADVGDDVRRRPMPSAAPATEEEVSGLPSSFDWRDRGAVTDVKMQGACGSCWAFSTTGAVEGANFLATGNLLDLSEQQLVDCDHTCDAEKKTECDSGCGGGLMTNAYAYLMSSGGLMEQSAYPYTGAQGTCRFDANRVAVRVANFTVVAPPGGNDGDGDAQMRAALVRHGPLAVGLNAAYMQTYVGGVSCPLVCPRAWVNHGVLLVGYGERGFAALRLGHRPYWIIKNSWGKAWGEQGYYRLCRGRNVCGVDTMVSAVAVAPPPP >OGLUM07G13390.1 pep chromosome:ALNU02000000:7:15936984:15947579:1 gene:OGLUM07G13390 transcript:OGLUM07G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSCAGNAETENSPFPFPLLPLEAAGEAGGHMECAAKGLVAEPCAGEARRRCGGCGAVAYCSRAHQTVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPAPNHTFPSLRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHSVSTPLTSWKDYYRWRSLPLQSPVAVLLHWDSKPHLIVAPNAGIAAYPSWIPTIEIIRKVGIPAIFTDFCEEAAHLASSCITSITGQPLRVPIQVNPFRQPVAVDNSALCLPCYSNCFVFGMQNCEFVSP >OGLUM07G13390.2 pep chromosome:ALNU02000000:7:15938087:15947579:1 gene:OGLUM07G13390 transcript:OGLUM07G13390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MECAAKGLVAEPCAGEARRRCGGCGAVAYCSRAHQTVHWGFHKEECARLAEQMSRIDMLKQFPFTFSVESPAPNHTFPSLRCFLLESFKLHQKGLWKSECICDPEVTSVKDLSKTTDWSMGSALCPCTEPEHSVSTPLTSWKDYYRWRSLPLQSPVAVLLHWDSKPHLIVAPNAGIAAYPSWIPTIEIIRKVGIPAIFTDFCEEAAHLASSCITSITGQPLRVPIQVNPFRQPVAVDNSALCLPCYSNCFVFGMQNCEFVSP >OGLUM07G13400.1 pep chromosome:ALNU02000000:7:15944458:15946792:-1 gene:OGLUM07G13400 transcript:OGLUM07G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKDSPYAFIIVPYTCLQKQAARTAATMSGGSGFPGFHKHGYDRDYARPLFRVSSFSDNGGGEEQERYTPSPPRGRSMSRTTSTAPRLSPSVSKLSMKKLQQVVNEKSLEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAISNAITNLYATVFGTCHRLQPLPPEKKAMWNREMDCLLSICEYIVEFSPKVQAMPDGSTHDVMATSPRSDILMNLPALEKLETMLLEILDSFEKTDFWYIDQRKQSFSDSMKFQRSEDKWWLPEPCVPESGLSDRMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYIETLPKSGKVGVGDAIYRYMSAGDQFSPDHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASMTHVVSKWENVTELNADGDKNLILASRARSLLLCLKQRFPGLSQTTLDTSKIQYNKDIGQAILESYSRVLESLAYNIVSWIDDVLIADGNARKGHNIRMQKQEFSKLSPQQY >OGLUM07G13410.1 pep chromosome:ALNU02000000:7:15951032:15959269:1 gene:OGLUM07G13410 transcript:OGLUM07G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRVRCFAMAPARCMSLNLAVRYADVINSVILQNRMIFCLAQTLLWRMYSSHLSGYLM >OGLUM07G13410.2 pep chromosome:ALNU02000000:7:15952039:15959269:1 gene:OGLUM07G13410 transcript:OGLUM07G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRDDFLPRTDTPLEDVFKSLVWVPDVVLCIQLAMPFMWKVPYRHVYT >OGLUM07G13410.3 pep chromosome:ALNU02000000:7:15952039:15959269:1 gene:OGLUM07G13410 transcript:OGLUM07G13410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIACCLPVVECVYCLACARWACQHCFHTGGYDSETWGLASPNEFEPVPRLCRLILAVYEDDLEHPQWAPPGGYGIEPRWVVHRKTYEHTGGHAPTYLLYVDHHHSDVVLAVRGMNMAKESDYAVLLDNSLGQRRFDGGYVHNGLLKAAEWLFDAECDVLRDLLERNPGYTLTFAGHSLGSGVVAMLALVAVHNRDRLGGVERKRVRCFAMAPARMIFCLAQTLLWRILPCLLCGRCLIDTCIPESAMLRDPRRLYAPGRLYHIVERKPFRCGRYPPVVRTAVPVDGRFEHIVLSCNMISDHAIIWIEREAQRGLDLMLENERTMKPPETQRMDDEIAIERDHDEEQKAALRRAVALGVADVNVPSAYGTFSENLTPEADEASPVLPDSGRRRTVWDEWIARIFEKDESGKMIPRT >OGLUM07G13420.1 pep chromosome:ALNU02000000:7:15962435:15966459:1 gene:OGLUM07G13420 transcript:OGLUM07G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAALPPAARSAEALAAEVGGHAHAAADELRGLARRLDAAGAAAAGAEAREARDAGARAWLREILDALYELGDARDDFRRAADAAAAARRQREEGRRSFLHWFTIPPNLYGMRYKTLKTSINSLNMKMDGILQKGSELGLLPIDQEILNERKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFGMIVWVSVFNDFDDIGLLSAVVTAAGGNPKEAKNRTQLELMLASMLKEKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDVQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVSQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEEIATVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRIKELPESINYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTSVPEDDPSGWPLEHLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKSQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYAKHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESAGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFRLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYTKSSGDFHVDQRIGEINKPPVVLGHGTAAGGLSIPDHSVEISSQIGVSRVPMNRISTLRRVVRSYLVPYLIMVMVAMQFLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >OGLUM07G13420.2 pep chromosome:ALNU02000000:7:15962435:15967043:1 gene:OGLUM07G13420 transcript:OGLUM07G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIATAALPPAARSAEALAAEVGGHAHAAADELRGLARRLDAAGAAAAGAEAREARDAGARAWLREILDALYELGDARDDFRRAADAAAAARRQREEGRRSFLHWFTIPPNLYGMRYKTLKTSINSLNMKMDGILQKGSELGLLPIDQEILNERSEISLEVIPDDYIVGDIENEANKLIDILTDRKSAKTLVAIVGGSGTGKTTLAWKIHDDHRTRNAFGMIVWVSVFNDFDDIGLLSAVVTAAGGNPKEAKNRTQLELMLASMLKEKRFLLVLDDVRGHQIEENSLEAHWHVCGHGSRILITTRDENVATKLNASYIHQVKELSFQNCWSLLCCNACLDENLHGNTLRNIGIMIIQKCKKIPMAVKIIGAVLRRKEQTQESWQRVYEFEGWSFDDLRGDVQGLTGAIYLGYHDLPSHLKQCLLYLSLFPEGSTIRQQFVSQLWISEGLIDRQDDCSAEKIAEEYYEELISRNFLQLETGNRDITRCTMHDQIRSFLQFFVKDKICSGEVKPGTNGTSSEGLRHVWISGTSTTTNLEEIATVTSLKTVILYKNPLRNQGLDKLFKGLKYLHVLDLGGTEIRYIPRTLEFLVHLRLLNLSLTRIKELPESINYLRNLQFLGLRYCNWLHTLPKGIGNLHRLQTLDLRGTSLHQVLPSLVNLKQLSTLHGFTVNRTSVPEDDPSGWPLEHLKDLNALRSLQILKMEKVSDFSRVKEAMLEMKSQLKDLEICCSNDDRLAEAREDDSRTLKQIFDSLSPPQCLKSLKIVSYYAKHFPNWLPCLTNLQRLVLSDCKFCEHMPDLSKLNQLKFLTITGCSKLLTVEQESAGVTQAFPKLEQLHLKDMPKLVSWIGFASGDMPSLVKFRLESCPKLKCLPEGLKYSRVLRSVQIRHADSLEVIQDLPVLKELNVQACNELKIVSNLPLLEVLTIRRCPRLDDVSGVHYLSHVCITDKELRKLPDWLSIHAPVLQTFNIVGVSELLDRLLPNGEDWEIIRQIDKVYANLLDESPFFTYTKSSGDFHVDQRIGEINKPPVVLGHGTAAGGLSIPDHSVEISSQIGVSRVPMNRISTLRRVVRSYLVPYLIMVMVAMQFLSYYLHNRSTREIWLVQTLFIFFATVFLLLLVFLD >OGLUM07G13430.1 pep chromosome:ALNU02000000:7:15962961:15966138:-1 gene:OGLUM07G13430 transcript:OGLUM07G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITRALCVSEEWLGHSILLAQVRHMLTEFAITKNKPLEICEARKPIGKVFKRPPTRIILRI >OGLUM07G13440.1 pep chromosome:ALNU02000000:7:15968226:15973359:-1 gene:OGLUM07G13440 transcript:OGLUM07G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAEEPLLLAALRNAGRAVPILRFQTILAGYTKDVVRSLGELAANEIAKVLCVKNEINKLKRKFETMSAIIRDAEQTVVQYETTRDWLKQLRGIAYEAENIIDRCRIEQERLQMFQPQECNPSSLFKCCRDVAVDYIIANDIHELNQELESIRSESTLLHLNPVAEDQIRLDLDVAPHLEPDIVGREVENDSDNLIQLLTRDYNTTCPLFAIIGTIGVGKTTLARKVYHKAAAMFDTRLWVHVSKDLQHLTMWSDGMFSKAEIAEQQALLLSYLRDKKFLLVIDDVWGENVWDGRLEIQAQHGAPGSRVLVTTRDERVARRMGAIHLHRVKMLNEDDGWWLLRTRAFLDESAGNMQDMGRRIVQKCNGLPMAIRRIGCHLRDVDPKEDEWGRVYSSDFCGISARIRSTINMSYLELPYYLKRCFLYCALYPEGSVIERQCITRQWIAEGFIVTQTNSTQRHSATVEEEAERCYDELLGRSLLLPENEACDVVGSKMPHLFRSFALLQSQDENFTGNPQDIGDVFKPCRLSVANGGVESIRNGLKKLRNLRTLLLSGSTLNDRALSDIFLKFTHLRVLDLGNTQIDCVTASLGRMAHLRYLSFANTQIREIPGTIENLRMLHFLILRNCFRLNSLPESVGRLKNLRSLDMSGAGLNIVSFKFSQMRELNCLQGFLVSPSGAQHKSGWPFQELSSLSKLTSLQMLRIERELNAEGAKQSALREKRHLKELELCCSIDEQTTLMGRAENIKDVFEELAPAPSVVSIKMANYYGHEFPSWLSFPGLSALQRLTIDGCSHCSQLPSLGQMSNLKYLAIIDSNLSATIGPELRGKPDNGVAFPKLEQLLISEMSNLKSWSGIEEGDMPSLVDFRLERCPKLDSLPRWLEHCTALRSLRIDHADSLKTIENLPSLRELEVHRNKKLKRILNLESLEDLKVVHCLVLKVVHGVPSLRAVHSDDRNSTELPQWLQPQQPFVLKRLDIIGTEELLDKCSSASSTYWSAIQHADHVFAYLPDDTFYFSYTKSNSNFHRSARSLARSLYNSQSFVMPSITPSNDVIVPEENIRRNKRIEIGRSRSQSWVRTELFAVLLFVAAHLFFLSTTHASSH >OGLUM07G13450.1 pep chromosome:ALNU02000000:7:15984945:15985889:1 gene:OGLUM07G13450 transcript:OGLUM07G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAAYETAASDTDNARPRAGVDPQLLMAARRGDSKLLEEKLNDDRVEAAASEEAVVVVDVVPPPPRPTPPPPPPAAADVIPASSSSLPAAPPPPPPPPLPLDGVTAEGDTLLHVVAECGDGLEFRHCARLIYDYDREKHLLDAPNGNGDTPLHCAAAAGNAEMISCLLDFAAPATTEIYTEAEKKVAYLRVHNNRGETALHHAVRAAAAAAAGNKDKKELALDCINRLMAADPQLAAIPLPNEKAASPLYLAISLGEIDIAEHLFVISEGNLSCSGPNGRNVLHAAVSFPQGKYRLCFKLSVVLLLAITT >OGLUM07G13460.1 pep chromosome:ALNU02000000:7:15991241:15992743:1 gene:OGLUM07G13460 transcript:OGLUM07G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADMRQQEGGDHRHVPAHDDLLSRLTSQRDNENGSTPLHLAASMNGLPSALYISVWCPVVFEPRRRPKPVVLLLDANESMVYQADNQGMYPIHIAALVGSLETVKILLKRCPDCATLRDATGRTFLHAAVEKKRFEVVNYVCHWKRKERFALILNAQDNNGDTALHRAVHAGNLLVFQHLISCHKVRLDVPNKEGMRPIDVSWSMMPLTHYYTWDPRIHIRTLLLKLGAPYGESRGDLFDDKRHTITVDTKFKGGEEKISENVTTAAQVLALFSVLITTVTFASAFTLPGGFRSAGDGGGAAGTPLLAGRGSYAFDAFILADALAFICSFVATAKLLYAGVPAFGLETRLRSINGAYSQMMNSGRSLVATLALGLYVVLLPPVGRTIAIAIAAAMVMLSLQLSKESEGIESLFAIAIAIPRNWKLSIWDFVVGFPIYVWERYWSFILIFGLPAIRKWARAG >OGLUM07G13470.1 pep chromosome:ALNU02000000:7:15994854:15995105:1 gene:OGLUM07G13470 transcript:OGLUM07G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLLERLRLKSGPPPSPPPGCWIGGGARWWQAEGTRVCRTAPPRRLGLHIARSRRTASSSPSPGRDAHGRPQRRLLLYHFE >OGLUM07G13480.1 pep chromosome:ALNU02000000:7:16016205:16019043:-1 gene:OGLUM07G13480 transcript:OGLUM07G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDILLGPHSYAALCLVTLIIGWLTHWVYKWMNPPCNGKLPPGSMGFPVVGETFQFFRASPSVDMPSYYKQRLERYGPLFKTSLVGQPLVVSLDPEVNRFIFQQEGKLFRSWYPETANNIFGKESLTTYNGAVHKFIRSFASKLFGLENLKESLLPELENSMRESFASWASKPRIEVQDGVSDMIFDLVAKKSIGLNVTQSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRKNVRNTLTDVMKERLSAPEKKYGDLVDLIVEELQSEKPVIDENFAIDALAALLFTSFATLSSTLTVALKFLNDNPKIVEELKEEHDVILKKREVMNSGFTWEEYKSLKFTSQVTNEITRISNVAPGVFRKTLTDVQVNGYTIPSGWLVMISPMAVHLNPELFEDPLKFDPWRWTEEKRSSLLRNYMPFGGGIRLCLGAEFSKLFIALFLHILVTEYRWKEIEGGEVLRISEIMFPQGYHIQLIPRT >OGLUM07G13490.1 pep chromosome:ALNU02000000:7:16029312:16031655:1 gene:OGLUM07G13490 transcript:OGLUM07G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDAAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLKILRKNVDSLDLAVNQLLRLP >OGLUM07G13490.2 pep chromosome:ALNU02000000:7:16029312:16031655:1 gene:OGLUM07G13490 transcript:OGLUM07G13490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDAAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLYPLLVFINLFSWIYVI >OGLUM07G13490.3 pep chromosome:ALNU02000000:7:16029312:16031655:1 gene:OGLUM07G13490 transcript:OGLUM07G13490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAAAAASPDPPEDPSPSPSSAPSPAAAAPPPGPRELAAAMEAVERDAAAISDSYASLFASLRVALANVTSTSAENMECLGDVVGRLQESALEASSKGNKYINSCLR >OGLUM07G13500.1 pep chromosome:ALNU02000000:7:16032704:16035739:-1 gene:OGLUM07G13500 transcript:OGLUM07G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNLKLGVEVASAHDLLPKEQGTCNPYVEIEFDDQKFRTAIKERDINPVWNEQFYFNISDPSRLTEKDLEAYVYHANRASNSKTCLGKVRISGTSFVSHSDATPLHYPLEKRTILSRARGELGLRVFLTDDPSVRVSAPGQEFDFISTPTTAQEQVAANAIPNPFQETRADQVRQFQHLPKEQHQHRPQPMTAQPYYPESSYGQQQQKTYSAVGNKAEGPPPPVMRMYAQGPQQQPVEFQLKETSPTLGGGRVIGGRVIPGEKAGAYDLVEKMQYLFVRVVKARDLPHMDITGSLDPYVEVHLGNYKMKTRHFEKNQRPEWDEVFAFPREVMQSTSLEVIVKDKDFIRDDYVGRVSIDLNEVPLRVPPDSPLAPEWYRLVGKEGHRDKGELMLAVWYGTQADECFPSAIHAGSEPIDSHLHNYIRGKVYPVPRMWYVRVNVIGAQDIFPMENHIPDVFVKVRLGHQMLKTRPARSPTRNFMWNEEMMFVAAEPFEEDLIIQIEDRVAQNKDEVIGETMIPLARLPRRADHKPVLPAWFDLRRPGLIDLNQLKEDKFYAKVQLRICLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGLLEVGILSANGLNPTKTKHERGSCDAYCVAKYGQKWVRTRTIVDNLNPRFNEQYTWDVFDHGTVLTIGLFDNCHISADSNHSSSPGHMDKPIGKVRIRLSTLETGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLLNVLFTYSRPLLPKMHYAQPLSIVQQEMLRHQAVQLVAQRLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGFIAAGKWFGDVCQWKNPVTTVLVHVLFIMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISHADMTNPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATSMFLLFCLLTAVILYVTPFQVIALCLGFFWMRHPRFRHKVPSAPVNFFRRLPAKTDSLL >OGLUM07G13510.1 pep chromosome:ALNU02000000:7:16095303:16095565:-1 gene:OGLUM07G13510 transcript:OGLUM07G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPLCRRRGWCRREDAAHRGKGTTGAARGGRSRAPQMRCRPRRACVGTASEVPAAKGRAQFTARRASAAKDRAPPAGGRAPWLNW >OGLUM07G13520.1 pep chromosome:ALNU02000000:7:16119920:16121765:1 gene:OGLUM07G13520 transcript:OGLUM07G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMLALHAGEGVGNMSLGLAGQGQGLNINKEKERNQGTNALSAPPPIPSKSFLASLPTIAILSPPTSPSIRITAQRRKKKKKNSLAGAPMANSASGLAVNDECKFKFQELKARRGFRFIVFKIDDKAMEIKVERLGQTAEGYEDFAATLPADECRYAVYDLDFVTDENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIIRARAH >OGLUM07G13530.1 pep chromosome:ALNU02000000:7:16123975:16134562:-1 gene:OGLUM07G13530 transcript:OGLUM07G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKVLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAVQVEQSMSHFLYTRLLNYLNFTTRVQSCFVTAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIEHQNPADAVWRGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >OGLUM07G13530.2 pep chromosome:ALNU02000000:7:16124057:16134562:-1 gene:OGLUM07G13530 transcript:OGLUM07G13530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSLYVAAASTAASAAALQWWAGSLLDGDADAAGGGGGGGGGDWLGTVLGSHVTVALLANLAAHVFLVLILSLKTLFFVQLTSSETRKVLEHIINYVLYKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADVLWIKLCVGFCKSCNSQLFWLMFFEPLSIGFETLQSIMVHGFQLFDIWQRHQMDSGVDYLDFQKTYKQAAGSFSEWRGRLVRNFGFVIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIASFWKRIKTYAKLRKALSSLDGALPDATYDEICAYDDECAICRVGPRINGWLLMSNLSKTSLSVISRSHKIYSREQLNAGLNQQRVPGHEHPIEHQNPADAVWRGAGLDSSWAPPWSSPGMDNPSSSSAVTSVGLSGVQMMMRQLTSVTDNYGHPDATWSLWPEPVAGSSVVPSSSSRPDGASAAGLRFRGTARPANGSMSEVLSMVDRVREVLPHIPDELIIEVHFYFLSTLVLAITLRTGMH >OGLUM07G13540.1 pep chromosome:ALNU02000000:7:16146797:16154493:1 gene:OGLUM07G13540 transcript:OGLUM07G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLILRCYIMGRREYLLLEQLIKQANHRNRSLKFKYPTLHFASPPKFPIPPRRRRRRAIPGPPLPMDAGDASRLGESLDAVSAAFQSRVMELQELVLARNMYPATAIPDLAAVDVSLTAMEAQLQAVRRRLQEEREAFPKAKKLVQQSLKQQRRLQLMLANMPTGMREDVFATPLEHNSSMMFPESLNFSSAVPEVRDHDLKIKEEPTAPPKKKGRGPAPRWYISTEELDSLSSYMRGRLTLEKVNIAINEVASYADGNAHLVACPKKKLSEDTWEKALELRDIAARESVKGKHFFLETDIKGPGLKLDTTGKAILTGRHRYPPGYLRCRHQHASLLLPDRDRVDTHTPPTTGRPPRTGEQDGEAAVLRQGWVKKGPWTPEEDLMLASYIQEHGAGNWRAVPTNTGVMRCSKSCRLRWTNYLRPGIKRGNFTEQEEKLIVHLQALLGNRRDHMEVISLMKDGTFIDWDIVDNIWNHAFSFYPEEHPMLIVEPSTNTGQQREKYLLTLKIMKA >OGLUM07G13550.1 pep chromosome:ALNU02000000:7:16161234:16174430:-1 gene:OGLUM07G13550 transcript:OGLUM07G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEKKKEMQDPRTQAIASTIRVVPNFPKPGIMFQDITTLLLNPPVFKDTIDLFVERYTGKGISVVAGVEARGFIFGPPIALAIGAKFIPLRKPNKLPGEVMSKEYELEYGADCLEMHVGAVQPGERALVVDDLVATGGTLCAAIVLLERAGAEVVECACVIELPELKGRERLNGKPLYILVESHS >OGLUM07G13560.1 pep chromosome:ALNU02000000:7:16188081:16194566:1 gene:OGLUM07G13560 transcript:OGLUM07G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJR1] MGSCGNGRSSEYDDPASLEKMEELVLPLKLMPLHTNGWLYDMRLSSPTATCVINSSSGSFDPIYRAWTKKYPSALNAFDHIVAYGKGKKIALFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVGEHDSVTDHRSSINSNRKQGKGVKIFQAGTEFLPMINEVFRLLIDKTKAIDGVKVENNKFCVSVHYRNVEEKNWQLVSQCTNDVLKVYPRLRLTHGRKVLEVRPVIDWNKGKAVEFLLDSLDLANCKNVLPIYIGDDRTDEDAFKVLRDDKRGFGILVSSVPKDSHALYSLIDPSEVMEFLKRLVMWKKEEASHNK >OGLUM07G13570.1 pep chromosome:ALNU02000000:7:16193511:16196290:-1 gene:OGLUM07G13570 transcript:OGLUM07G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-ureidopropionase [Source:Projected from Arabidopsis thaliana (AT5G64370) TAIR;Acc:AT5G64370] MDSSNGERPPQGEDAPAAAAGSIGGYESLHRLLQSNLSPELFKEASRLLLGLNCGRALEAISLPEATSALAKAHNFDVQAFRFDADKEYLRQPQVVRVGLIQNSISIPTTSHFADQKKAIMEKVKPMIDAAGDAGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQQLAKKYNMVIVSPILERDVNHGEIVWNTAVVIGNHGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGINICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLMISDMDLNLCRQIKDKWGFRMTARYDTYASLLSEYLKPDFKPQVIVDPLINKSA >OGLUM07G13580.1 pep chromosome:ALNU02000000:7:16199669:16200347:1 gene:OGLUM07G13580 transcript:OGLUM07G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPNPKSIETCNVKRQSIFFLHHTSLAGIVLHVCEDVRLLENGQIPELFEIYAEESHFELIVSILDAAKGVPFAINALNPICVAPPEFPAEIHPEIPPDIPHEIPANIPASIVGGFDQLTTEEAEVREADIFDNEEYVGVDDECLYVSASK >OGLUM07G13590.1 pep chromosome:ALNU02000000:7:16204751:16211084:1 gene:OGLUM07G13590 transcript:OGLUM07G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II aminoacyl-tRNA and biotin synthetases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17300) TAIR;Acc:AT4G17300] MQCVLTPDTEGYDQIDSITTGASVLVEGVIASSQGGKQKVELKVSKISVIGESDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVASPIITASDCEGAGEQFYVTTLISNSAEGGSLVKDIPSTKDGRVDWSQDFFCKPAFLTVSGQLNGETYATALSDVYTFGPTFRAENSNTSRHLAEFWVKYVLENCKEDMDFFNTWIEKGIIDRLNDVVEKNFVHLSYSDAIELLVGSKKKFEFPVKWGLDLQSEHERYITEVAFGGRPVIIRDYPKEIKAFYMRENDDGKTVAAMDLLVPRVGELIGGSQREERLDYLEARLDELNLNKDSYWWYLDLRRYGSVPHAGFGLGFERLVQFATGMDNIRDTIPFPRVPGSAEF >OGLUM07G13600.1 pep chromosome:ALNU02000000:7:16211203:16214527:-1 gene:OGLUM07G13600 transcript:OGLUM07G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJR5] MAPAATGGGGGEDDAAVPLLLPVLPPAAGWCSWEWEDVAISAGLVAVQLAGAAYTVVLAPVLALGLDPLFLVTFGSLATGLFTLPFAINLERKRWPVTVFQALMLHGMKKTSPAIASTMPNLAPAFIFVVAACLGFERVDLSCRYTRAKIAGTVLCLAGAVTMSVLQSPAAAAATRSSSHRTTTAAAANAGGGDWAVGCACLLGAVLVVSGTTVLQAATMVHFPAPFTLCSGASLAGAALTGAMLRVTIDGWIMEAYGGLVSSVCVMFQTWALEKKGPVVVSLFSPTQTVGSAIFSALFLGRVVHPVSMLGMVFLFSGLYVVLWAKKKECQVDRMVEDGTANDIEKPLLFQD >OGLUM07G13600.2 pep chromosome:ALNU02000000:7:16212164:16214527:-1 gene:OGLUM07G13600 transcript:OGLUM07G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJR5] MAPAATGGGGGEDDAAVPLLLPVLPPAAGWCSWEWEDVAISAGLVAVQLAGAAYTVVLAPVLALGLDPLFLVTFGSLATGLFTLPFAINLERKRWPVTVFQALMLHGMKKTSPAIASTMPNLAPAFIFVVAACLGFERVDLSCRYTRAKIAGTVLCLAGAVTMSVLQSPAAAAATRSSSHRTTTAAAANAGGGDWAVGCACLLGAVLVVSGTTVLQAATMVHFPAPFTLCSGASLAGAALTGAAG >OGLUM07G13600.3 pep chromosome:ALNU02000000:7:16211201:16212146:-1 gene:OGLUM07G13600 transcript:OGLUM07G13600.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJR5] MFQTWALEKKGPVVVSLFSPTQTVGSAIFSALFLGRVVHPVSMLGMVFLFSGLYVVLWAKKKECQVDRMVEDGTANDIEKPLLFQD >OGLUM07G13610.1 pep chromosome:ALNU02000000:7:16217560:16218306:-1 gene:OGLUM07G13610 transcript:OGLUM07G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQEALVDIETDAEQLLLARHQLVENDRIRNGNREALTALRKRAKTTKTSVPTPFEIIMKGLEGTSGKQLVKEICPTCGDHDPKEDTWLMFPGSDIFARVPFHVAHTVLDKDQERLDYDTKKLQSFVKEKSFVISEKGALADRISPGIVKSLVSLTDKPK >OGLUM07G13620.1 pep chromosome:ALNU02000000:7:16226742:16236683:1 gene:OGLUM07G13620 transcript:OGLUM07G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGGGGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKSVHCSSLELASLNVRNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >OGLUM07G13620.2 pep chromosome:ALNU02000000:7:16226742:16236683:1 gene:OGLUM07G13620 transcript:OGLUM07G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGGGGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELVGKHLPTNKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKSVHCSSLELASLNVRNKSAAAECFLRTELCLEGLINEIREDIGILTLLAEVLCLLDMLVNSFAFTISTKPVDRYTRPEFTDDGPMAINAGRHPILESLHNDFVPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >OGLUM07G13620.3 pep chromosome:ALNU02000000:7:16226742:16236683:1 gene:OGLUM07G13620 transcript:OGLUM07G13620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGGGGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKSVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >OGLUM07G13620.4 pep chromosome:ALNU02000000:7:16226742:16236683:1 gene:OGLUM07G13620 transcript:OGLUM07G13620.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS-like protein 4 [Source:Projected from Arabidopsis thaliana (AT4G17380) TAIR;Acc:AT4G17380] MEGDAAGAGGGGGGGGDRSSIVIGLIENRAKEVGVAAFDLRSASLHLSQYIETSCSYHNTKTLLHFYDPMVAIVPPNKTAADGMVGVSELVGKHLPTNKKITMARGCFDDTKGAVMVKNLSARDPSALGLDTYCKQYYLCLAAASATIKWIESERGVTFNGSFDHMNIDSTRTRLLRANLLQPLKDIQTINTRLDCLDELMSNEELFFGLTQGLRKFPKESDKVLCHFCFKPKKDTDEVLKPANGRKSQGLISDIIVLKTALDAIPFLSKVLRGAKSFLLRNIYQTICENPKYASMRKRIGDVIDEDVVHSRAPFVACTQQCFAIKAGIDGLLDVARRSFCDTSEAIHNLANKYREDFKLPNLKIPYNNRLGFYFIVPQKDITERLPNKFIQVVRHGKSVHCSSLELASPNNLFLSEASNMVLVMGPNMSGKSTYLQQICLIVILAQIGCYVPAQFASLRVVDRVFTRIGTGDNVENNSSTFMTEMKETAFIMQNVSSKYYGSLIVVDELGRATSSSDGLAIAWSCCEYLLSTKAYTVFATHMESLSELATIYPNVKILHFEVDLRNDRLDFKFRLKDGVRKVPHYGLLLAKVAGLPSSVIDTAASITSRITEQEMVRKDDNCEQYRSLQMAYQVTQRLICLKQSNQGDDYIREALQNLKDGYAAGRLT >OGLUM07G13630.1 pep chromosome:ALNU02000000:7:16237760:16243835:-1 gene:OGLUM07G13630 transcript:OGLUM07G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAAAALSPPGAAGAAVMGVFKYNFAAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDSGNAISDEEILKTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLRLLYGARYSDGDATVILRYYCFYVICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLTSIIRSLKSRDKLA >OGLUM07G13630.2 pep chromosome:ALNU02000000:7:16237760:16243835:-1 gene:OGLUM07G13630 transcript:OGLUM07G13630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAEAAAALSPPGAAGAAVMGVFKYNFAAQFLSRVTPFLYNSWFVRQLSADDCAAYALQLPLFINCVLFLSREGFRRACLRNDSDRLSAMMDCDKQLLHMCMLFTGQTFRKLMLQEGEKFVLVWFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYATFAQLASGQNPQNISNLEGSLLGALKLIMLIGLVVISFGPSYSYTLLRLLYGARYSDGDATVILRYYCFYVICLAMNGTSEAFLHAVANEDKLKQSNDMLLLFSAIYIVLNVVLIKSAGAVGLIAANSINMLLRITYSAAFIKDYFKGSFSFRHCLPAGWGVLLISGLTTAFSERMFLNRNRFKQTLPIHMAIGIMCLGFSSLEIYRGEKQFLTSIIRSLKSRDKLA >OGLUM07G13640.1 pep chromosome:ALNU02000000:7:16252425:16254736:1 gene:OGLUM07G13640 transcript:OGLUM07G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSPTRRKEESPSPSPAMGTRAAGKPKTTAAVGARAARGNKQAGAATKREEATAPAPAPAITSTGSSQSPPPEVEPSTRKVEGGEGDSALAKRVRDDEEDKEAAQAFNFRHFWNFLFSAQANFEDIKEENPNEWMIDLIAADIPPMRHTDDPGAIYAKCYDAVQVYSVEVKQIKCGLRWPIEVFGHVAVRDSLDRKRNLVFNRGRDDCQTLTAEDSSLVLTGPSRYVLAMDNPDFEVELKVKGIAETEDKVLSSHAFTFNCIYDDGSSVKRRVASNKRSTVQITFALIPETVEATFEVKVVDGSLDPSLRPHFFASTHHHPSTKCVLLDPREGAMVISDNNSVKLTRSVVSVEVLGGLKLTAEALDDEKAVVPRTTIIFEPQRDGRVDGFLDLNCCKMVVKVSWSRLSIC >OGLUM07G13650.1 pep chromosome:ALNU02000000:7:16256981:16262484:1 gene:OGLUM07G13650 transcript:OGLUM07G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:small G protein family protein / RhoGAP family protein [Source:Projected from Arabidopsis thaliana (AT5G61530) TAIR;Acc:AT5G61530] MPLAESPQWRQKATNFFSSSSFKLKQAGQSAGDNIADVAGKVGSVVKSRWAVFQEARQRQPPPGETVQERFISAAATTGVLLRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLVLVRCADYLVISGLSNEYLFKSEGEKKVLQQLVSLYNEDSGAPLPDGVNPIDVAALIKCYLASIPEPLTTFSLYDELRDARVSIADLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDSGTDLRNHLRFTLKAPPKIVDTTSNTTEWDLLDEDDVDASSQIPLDDASPPDYSSIEVIQSLIEHHNAIFTDANETVWR >OGLUM07G13660.1 pep chromosome:ALNU02000000:7:16273639:16275096:1 gene:OGLUM07G13660 transcript:OGLUM07G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJS7] MDMVAATPAAHVLVFPCPVHGHITCMLHFATGLLAAGLHVTFLHSDHNLRRAAAASSPRLRYVSIPDGLPVEHPRDVGRIVELMESLKTKASVAYRSLLASLLLGDATGGFPPVTCVVADGIMSFAVDVAEELGIPALAFRTDSACSFLAYLSLPRLLELGELPFKDGDDLDEPVRGVPGMESFLRRRDLPSQCRDCSDPDNDPLLQIVYGFTAHSGNARALVLNTAASMERAALAHIAPHMRDVFAIGPLHAMSPTAPAAGGSLWREDDGCLAWLDGQADRSVVYVSLGSLTVISLEQFTEFLHGLVAAGYPFLWVLRPDMVGASQSATLREAVAAAGKSKARVVEWAPQRGVLRHRAVGCFLTHAGWNSTLEAAVEGVPMVCWPFFTDQQINSRFVGGVWRTGLDMKDVCDAAVVARMVREAMESGQIRASAQALAREVRRDVADGGSSTAEFKRLVEFIVELSTTAVAAIKDEEYVASYVNI >OGLUM07G13670.1 pep chromosome:ALNU02000000:7:16287289:16288811:1 gene:OGLUM07G13670 transcript:OGLUM07G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJS8] MAAAAAAAHVLVFPAPGQGHINCMMHFATGLVGAGLHVTFLHTDHSLRRLGGAAAAGGADSPRLRFMSIPDGLPNDHPRAVGDIVELLESLRTNGSVPYRSLLASLVRAGDDGASSGGFPPVTCVVADGSMPFAADVAEEIGVPSLVFRTASACSVLAYLSVDRLFELGEVPFPADGDLDEPVRGVPGMESFLRRRDLPGNFRNCTENQNDPIVQMLIEVTAHSRGARAVVLNTAASMEGPALAHVAPRMRDVFAIGPLHAMFPVPAAAGSLWRADDGCVAWLDGQPDRSVVYVSLGSFAVISLEQFTEFLHGLVAAGYPFLWVLRPDMVGASQSAGALREAVAAAEKNNKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAGEGVPTVCWPFFADQQINSRFVGAVWGTGLDMKDVCDAAVVERMVREAMESGEIRASAQALAREVRQDVADGGSSAAEFERLVGFIKELSNQHDGQFIQA >OGLUM07G13680.1 pep chromosome:ALNU02000000:7:16290390:16293535:1 gene:OGLUM07G13680 transcript:OGLUM07G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGKASFFCIMHLWLALFFMCGDLFGRNWFSVDVRVQGYVTIDAAGRKIYTKGSTRQWVVESDSFNIEFVLSSLSVELTWGPNQSPAIWYFHKSIGEDVRLLEDGQIPELFEMYAEESHFELIVSILDAAKGVPFAINALNPICVVPPEIPAEIHPEIPPQFPADIPHEIPADIPANTVGGSDHPTTEEAEVREADIFDNEEEYVGVDDEHIYVPASKQQVLKWH >OGLUM07G13690.1 pep chromosome:ALNU02000000:7:16294123:16294581:-1 gene:OGLUM07G13690 transcript:OGLUM07G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPRRGESLATTNFELHFFDFFPNPLSFPFMQQGARCYLWIWENLLREYVEEMVAYCHAGEFDSLRETCDELRWQLLDVQGRNIELCSILEAKEVQLQLYRDELNQSKREVAEMKHKMESEKAMLQWALYMLMFICVLCVSVVVGKNYLG >OGLUM07G13700.1 pep chromosome:ALNU02000000:7:16319920:16324022:-1 gene:OGLUM07G13700 transcript:OGLUM07G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTVLPLVLVMLLLPLAAIASGDPPWQHYCGSSGNYTAGSKYQANLQALAATLPSTASSSSPALFAKDAAGAGDVEPDRVFALTLCRGDTASANASSSSCADCASRAFRDAQSVCPYSKEVAVYYDPCLLYFSGDDFLSSPANPAQVRLYDVDRSTRRGGGGADFVTLVRALLSYTMQWAVPYNSTGGGAAAVRWYTTVRMDVVTPPLFSLMQCTPDMSGGDCRQCLQDLVGNTTFNGSVSGVRNIGARCGYRYDTYKFYGGEPKLKIGSLSEINSTAPSSPPPPPPVTETRSGRKKVLTVALLVPLIALCPVVIFCFAWIRRLRNHYSCVISLLITQINVAKKGYNGQRRSIKALETRRKRFRIEDATSNFSEDKKLGEGGFGSVYKGQLPNGLEVAVKRLAAHSSQGLVEFKNEIQLIAKLQHTNLVNLRGCCIQGEENLLIYEYMPNKSLDFFIFDLKRAALLNWKTRLNIIEGITQGLLYLHKHSRLCIIHRDLKASNILLDRDMNPKISDFGLAKIFDSNDVQRNTKRVVGTYGYMAPEYASEGCFSLKSDVFSFGVLVLEIISGKRNAGFHQYGDFFNLLGYAWQLWKDGSWHELVDPSLVSEGQMMEIKKCMKVALLCVQENAVDRPTMSAVVKMLSSELKMLPEPKQPAFFNVRMKHGELSNTAPSSINDVTITIVNGR >OGLUM07G13710.1 pep chromosome:ALNU02000000:7:16346346:16346774:-1 gene:OGLUM07G13710 transcript:OGLUM07G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEPDSGRAHGYDNGSVEVSGGTAEAENGTRGSAGRRRRPVWCVRGGAVRLPGEKPVSVLFETLTDSGGGAFVIYLLGGVV >OGLUM07G13720.1 pep chromosome:ALNU02000000:7:16346844:16347090:-1 gene:OGLUM07G13720 transcript:OGLUM07G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEVEERQRQERTMAAALGRSSAPALHWPDPVPSSISGKGCQIPSVVTSKEALAAGKSARRRATGKTGEQRCQR >OGLUM07G13730.1 pep chromosome:ALNU02000000:7:16365151:16366019:-1 gene:OGLUM07G13730 transcript:OGLUM07G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGAATAMPTLLMTIFLVALVSGGRVASQPQPQEAPAPAPEGTGSSSGACTAVLAKLADCVQYATAGSPLRQPPGSCCTEVERGVKDPAAVGCVCTLLAGNTYGLPLNLTRAAGLPAACGAPPTALSNCNVPSPKGGDRSGSSPKSAATPAPITIVVFVATVAAVFCYL >OGLUM07G13740.1 pep chromosome:ALNU02000000:7:16366918:16371530:1 gene:OGLUM07G13740 transcript:OGLUM07G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJT5] MDAATVAGYFKDKSILITGSTGFLGKIFVEKILRIQPDVKKIFLLVRAADTSSAEQRVLNEEKISPLAGDIINENLGLESLEILKLSKEIDIIVNGAATTNFYERYDVSLASNVLGAKYVCKFAKKCANLKMFLHISTAFVSGEQEGLLLEKVFQIGETLKEGCHLDIAAELQLVESVKAELTHSTNARQFGWPNTYVFTKAMGEMLVGHFGRELPVVIIRPSIVSSIYHDPLPGWIEGTRTIDSIISAYAKQTIPHFIGAGHVILDVIPGDMVVNAMLVAMAVHWSERGQVIIHVTSSQQNPLSTSTMLDLMYRYFTANPQTMGKNGKVVKTKRLNITNKTGFRAYMFLKYKLPLEI >OGLUM07G13750.1 pep chromosome:ALNU02000000:7:16378727:16380175:-1 gene:OGLUM07G13750 transcript:OGLUM07G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJT6] MAAAHVLVFPWPVQGHINCMLHFATGLLGAGLHVTFLHSDHTLPAAAAASPRLRYASIPDGLPDGHPRHAGAAVRLMESVQTQSSSYRSLLAKLARGDGDGGGFPPVTCVVADGLLPFAVDVAEELGVPALSFRTASACSFLAYLSVPRLFELGELPFPAGGDLDEPVRGVPGMESFLRRRDLPGQCRNCTELQNDPLLEMVIDFTARSRNARALVLNTAASMERAALDHIKSNMRDVFAIGPLHVMSPAPAASLASQWREDDGCMAWLDGQADRSVVYVSLGSLPVISPEQFTEFLSGLVAAGHPFLWVLRPDMVTARLQHADLQEAVTAAAAGHSKARVVEWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFVDQQINSRFVGGVWGTGLDMKDVCDAAVVARMVREAMESSEIKASAQALSQQLRRDVADGGSSATEFKRLVAFIEQLSTTARTAAAAMDDEGKRKSYS >OGLUM07G13760.1 pep chromosome:ALNU02000000:7:16391420:16391623:-1 gene:OGLUM07G13760 transcript:OGLUM07G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVCDAAVVARMVREAMESSEIRASAQALARQLGRDIADDGGSSAAEFQRLVGFIKELSQSTHGGQ >OGLUM07G13770.1 pep chromosome:ALNU02000000:7:16400075:16401811:-1 gene:OGLUM07G13770 transcript:OGLUM07G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGGRPGYPMIEPNLLALARSKMEKKMICRKCYARLPYKATNCRKKKCGHTNELRPKKKLVSKLSV >OGLUM07G13780.1 pep chromosome:ALNU02000000:7:16422903:16424818:1 gene:OGLUM07G13780 transcript:OGLUM07G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT3G16250) TAIR;Acc:AT3G16250] MGATMQLVVGLAGVASSSPRLAPPCAMATATTTSSCSVRKQSCSCCGAAHLPRRLQHKQQRRCGIRVRAVETDAAAGGEAEAAPEEPPSVDFAFVAPRLLPDGTPDVHYRTACGGQKLRDIMLDNYIDLYGPYDKLLLNCEGGGECGTCIVEVVEGGELLSPKTDVEKELLKRKPKTWRLACQATVGNPDSTGQMVIQQLPEWKIHEWDK >OGLUM07G13790.1 pep chromosome:ALNU02000000:7:16431227:16448041:1 gene:OGLUM07G13790 transcript:OGLUM07G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPAPAHVLVFPWPIQGHLNVMLHLAVALAGAGVHVTFLHTDYNLRRLGAAAAAAVASPWLRFMSVTDGLPDDHPRTVANLGEISRSLHTAGRAAYRALLASSSQLVPADAAGGGAFPPVTTVVADALLPFAIDVAEELGVPALAFRTASACSFLAYMSVPRLVELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSPCRHHGANNNDDAAALLGRLVDAAVHCSKARALILNTAASLEAPALAHIAPRMRDVFAVAVCEPVTGQSSTGARRAGRPPPAPMGAAAAHVLVFPMPLQGHINVMLHLATALAAAAGVHVTFLHTDHNLRRLGNAAAATTAGSPRRLRFLSVPDGLPDDHPRSASDVPVMVDSLLGAGQAAYRALLGSLLVGSGGAGGFPPVTSVVADALLTFAIDVAEELGVPALAFRTASASSLLAYMSVPRLFELGELPFPPGGDLDEPVRGVPGMEGFLRRRDLPSTFRRHGNDHDVHPKLQMLVDLTTGSCKARAVILNTAASLEAPALAHIAPRVRDVFAVGPLHAMSPAPAAATSLWREDDGCMAWLDGQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGHPFLWVLRPDMVTARLQHADLQEAVAAAAGHSKARVVRWAPQRDVLRHRAVGCFLTHAGWNSTLEAAAPALAHIAPRMRDVFAVGPLHAMSPAPAAATSLWRADDGCMAWLDCQADRSVVYVSLGSLTVISPEQFTEFLSGLVAAGNPFLWVLRPDMVTARRRHADLQESVTAAAGDSKARVVGWAPQRDVLRHRAVGCFLTHAGWNSTLEAAVEGVPTVCWPFFTDQQINSRFVGGVWRTGLDMKDVCDAAVVARMVREAMESGEIRASAQSVARQLRRDVAEGGSSATELKRLVGFIGELATPIQHAKSESEV >OGLUM07G13800.1 pep chromosome:ALNU02000000:7:16467523:16480622:-1 gene:OGLUM07G13800 transcript:OGLUM07G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDPLVGSEIHGFLTYPDLNYEKLVAEAAARWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGRTVQEAHEKLKIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRQTAEENAMAPPNPEPEVADVPTVNLIHYTSPLTSADSTSGHTELSLPEEINSHGGISASSETGNHDSSLEEFWANLLESSIKNDPKVVTSACGGSFVSSQQINNGPKNSGNIFNTSMASNAIPALNVVSETYATNHGLNQVNANHFGALKHQGDQTQSLLASDVDSQSDQFISSSVKSPMDGNTSIPNEVPARQNSLGLWKYLDDDSPGLGDNPSSVPQSFCPVTNERLLEINEISPEWAYSTETTKVVVIGNFYEQYKHLAGSAMFGVFGDQCVAGDIVQTGVYRFMVGPHTPGKVDFYLTLDGKTPISEICSFTYHVMHGSSLEARLPPSEDDYKRTNLQMQMRLARLLFATNKKKIAPKLLVEGTKVANLMSALSEKEWMDLWNILSDPEGTYVPVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGRERMVATLLSAGANPSLVTDPTPESPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTEQSPSKTRLTKLQSEKFEHLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKHDHLFIRL >OGLUM07G13800.2 pep chromosome:ALNU02000000:7:16467523:16480622:-1 gene:OGLUM07G13800 transcript:OGLUM07G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGWDPLVGSEIHGFLTYPDLNYEKLVAEAAARWFRPNEIYAILANHARFKIHAQPVDKPVSGTVVLYDRKVVRNFRKDGHNWKKKKDGRTVQEAHEKLKIGNEERVHVYYARGEDDPNFFRRCYWLLDKDLERIVLVHYRQTAEENAMAPPNPEPEVADVPTVNLIHYTSPLTSADSTSGHTELSLPEEINSHGGISASSETGNHDSSLEEFWANLLESSIKNDPKVVTSACGGIFDKAMTIDITVVDQQINNGPKNSGNIFNTSMASNAIPALNVVSETYATNHGLNQVNANHFGALKHQGDQTQSLLASDVDSQSDQFISSSVKSPMDGNTSIPNEVPARQNSLGLWKYLDDDSPGLGDNPSSVPQSFCPVTNERLLEINEISPEWAYSTETTKVVVIGNFYEQYKHLAGSAMFGVFGDQCVAGDIVQTGVYRFMVGPHTPGKVDFYLTLDGKTPISEICSFTYHVMHGSSLEARLPPSEDDYKRTNLQMQMRLARLLFATNKKKIAPKLLVEGTKVANLMSALSEKEWMDLWNILSDPEGTYVPVTESLLELVLRNRLQEWLVEMVMEGHKSTGRDDLGQGAIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYHGRERMVATLLSAGANPSLVTDPTPESPAGLTAADLAARQGYDGLAAYLAEKGLTAHFEAMSLSKDTEQSPSKTRLTKLQSEKFEHLSEQELCLKESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEASEIVAAMKIQHAFRNYNRKKAMRAAARIQSHFRTWKMRRNFINMRRQVIRIQAAYRGHQVRRQYRKVIWSVGIVEKAILRWRKKRKGLRGIASGMPVVMTVDAEAEPASTAEEDFFQAGRQQAEDRFNRSVVRVQALFRSYKAQQEYRRMKIAHEEAKHDHLFIRL >OGLUM07G13810.1 pep chromosome:ALNU02000000:7:16485361:16490678:-1 gene:OGLUM07G13810 transcript:OGLUM07G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquinol-cytochrome C chaperone family protein [Source:Projected from Arabidopsis thaliana (AT5G51220) TAIR;Acc:AT5G51220] MSRWRAAAARISAAAESRLLSRAFSRVGTPAPQPPCRGFSKASAAAAASSRGPAAAAATATATAAGARPEVRLNSMFLSKPCSLALPPDSPLRVEDPKFEGIKHLMLTLLLFYSKQSKSIRGANVVYHRITSQVDKSDIFDVFQLEKTFKTTFSLLVLHMWLILRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVKYDSAISPEARQDDLVNVIWRNVYADEGSEAMDAAAALARYTRREATCLSLTDKEAMFSGNFKFTALLPNSPSPAKPVR >OGLUM07G13820.1 pep chromosome:ALNU02000000:7:16490938:16494822:1 gene:OGLUM07G13820 transcript:OGLUM07G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MELVSSSRSVLPRCGGGGGVAAAPFRTASASSRRKGTSGFVALGCDGEGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGQQVIFHYVGYNESGRRIDSTYIQGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDKPYTILGL >OGLUM07G13820.2 pep chromosome:ALNU02000000:7:16491082:16494822:1 gene:OGLUM07G13820 transcript:OGLUM07G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MELVSSSRSVLPRCGGGGGEGIDPFRRILPEWACSCCSVQDGFRQLEAQGDERIRVGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDKPYTILGL >OGLUM07G13820.3 pep chromosome:ALNU02000000:7:16490938:16494886:1 gene:OGLUM07G13820 transcript:OGLUM07G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MELVSSSRSVLPRCGGGGGVAAAPFRTASASSRRKGTSGFVALGCDGEGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGQQVIFHYVGYNESGRRIDSTYIQGSPAKIRLGNKTLVPGKHDTAGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >OGLUM07G13820.4 pep chromosome:ALNU02000000:7:16491082:16494886:1 gene:OGLUM07G13820 transcript:OGLUM07G13820.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G60370) TAIR;Acc:AT3G60370] MELVSSSRSVLPRCGGGGGEGIDPFRRILPEWACSCCSVQDGFRQLEAQGDERIRVGKKSVAEGTVRRRAALALLLASPAMSVAFSAHGKTKSRNPYDERRLLQQNKKIQEANRAPDDFPNFIREGFEVKVVTSDNYITRDSGLLYEDIKVGTGNSPKDGQQVIFHYVGYNESGRRIDSTYIQGSPAKIRLGNKTLVPGKHDTAGFEEGIRDMKPGGKRRIIIPPELGPPVGPSTFFSAKQFEVFDIELLAVQDCQRRTIAFYSDVVCS >OGLUM07G13830.1 pep chromosome:ALNU02000000:7:16497801:16508748:1 gene:OGLUM07G13830 transcript:OGLUM07G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT4G38050) TAIR;Acc:AT4G38050] MPTQRYVYEDPARAPARGGRADARRANGVERVAAVNGGARNGNGGGAHAAAGAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEDGGAPAVASETAPLYGESGLRCGVTENPGWVPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTILLVSGLTTILHTFFGSRLPLIQGSSFVYLAPALVIANSEEFRNLSENKFKHIMRELQGAILVGSVFQIILGYSGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISVPLILLVLLCTLVPFSVAVVWAYAFFLTAGGAYNFKGCNSNIPSSNILMDSCKRHLETMRRCRTDASNAWRTAAWVRVPYPFQWGPPTFHFKTSIIMVIVSLVASVDSLSSYHATSLLVNVSPPTRGVVSRGIGFEGISTLIAGIWGTGTGSTTLTENIHTLENTKMASRRALQFGAVLLVIFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISMSVPAYFQQYEPSTNLILPSYLLPYAAASSGPVRSGSNGLNFAVNALLSINVVVALLVALILDNTVPGSRQERGVYIWSDPNSLEMDPASLEPYRLPEKISCWFRWAKCVGI >OGLUM07G13840.1 pep chromosome:ALNU02000000:7:16504282:16508275:-1 gene:OGLUM07G13840 transcript:OGLUM07G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVENRGGGGFGSKRSRNDVSVREGDWTCPQCGNVNFSFRNVCNRGACGAPRPSPSLSPRVPPPPAAGYDRPHLGYDRPHLFYGGAGTPPPIPLGSGSYGAPYPHLGLRYGYGPPVGPPASYGLFSSYGQPGPMGSPMGGMGYGPGPELGRYGYGFRGSPMPVSSPWSGGALVENNDSSASRKRRGGPDGMAENDWICPKCENVNFSFRNSCNMKKCGAPRPSPGSNATPSRKDKDAPEGSWTCPECNNLNYPFRTACNRKGCGSSRPAAATAN >OGLUM07G13850.1 pep chromosome:ALNU02000000:7:16511328:16513093:1 gene:OGLUM07G13850 transcript:OGLUM07G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWLLRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMNQVGSVQLRINTKEKMIADHSGNKEDK >OGLUM07G13860.1 pep chromosome:ALNU02000000:7:16517695:16521421:-1 gene:OGLUM07G13860 transcript:OGLUM07G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT1G02090) TAIR;Acc:AT1G02090] MAMDAERRQAELIEQFSAQAAALSSSAPQLAALVLEATSHPALFAFSELLSLPALSKLTGTQYASSLDVLRLFAYGTLKDYKSNSGNLPALLPDQVRKLKQLSVLTLAESTKVLPYDQLMQELDVSNVRELEDFLINECMYSGQIGSAAEVQFATGRDLTPDQLNNMIDTLSDWLGTSDNLLHQIQEKIKWADTMSEVNKKHQKEFEDKVEEAKKSIKADIDLRGHDDFLSEPGGIMDFEEDRIRPKRRRQPMA >OGLUM07G13870.1 pep chromosome:ALNU02000000:7:16531745:16534250:1 gene:OGLUM07G13870 transcript:OGLUM07G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSESGGHPSMFLAATSWHCAHPPSPTVSTHWDAASHGARGAAAASSPTSPRAASTNPPSATSAEPLHTCVFSGSVAGPSRQAALSYLTTQPSRMARPLLAAPHLARRRDAAAGVEARDAVRSRDVRAALRHGERRRVGEWLRRRTWRSRHHHRWMTGWRGARRSKRAYSPGAAVRGFLVPDRSGRRELYAQICGGGDKDLLVCVRRVGESVVAAPLRRVEARGRRGGEALLSLRGPPAADGGGALPLHVRPAVEGDQARPPRVRPAVEDAEGGGAPPLPLQLHVRPAVEGAVEDVEGGGALPLPLRVRPAVVEGGVGVGAEPQALVAGVGDAAQGGEAVVVAVADDKSAARESASIELGVVYQQMEAVWVKIEREVEERRKNREIAKKTRDWASAVDSTKRSEAKIDDLIKQYDSHSRRASELRLKMVNLQARPISPPPRPAASTPVSSFASQRRLATRSSSTSPVLPPSSFC >OGLUM07G13880.1 pep chromosome:ALNU02000000:7:16535036:16535479:-1 gene:OGLUM07G13880 transcript:OGLUM07G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNGALSGAGRSISSTTKKKAEAASRSPQQQPDHDVEAMEPDAEWEIRPLSPDPPELEEALRRLAEAERITGDERAAAAARARPGDKRSVSELPAGWKWEWDDSVAMAKRYKCNYWDDPAYAGVLQDIGPLLARGAAVINYADRDP >OGLUM07G13890.1 pep chromosome:ALNU02000000:7:16538456:16540423:1 gene:OGLUM07G13890 transcript:OGLUM07G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03560) TAIR;Acc:AT1G03560] MRRFCHVPLCRRVAAASHGGHPHQPPLPPPEWIEPYTDLSDPSPSASASASASASSAPTPSPWLPRVVSLVLRSPPATLAADLRAFCATFLLRLSPAFVAAALRSPHLLPNPLPSLHFFRSLPSAVAADLLSHPHHLVSCYVSLLRSFAHSPDAAATAAAAPHARQLVAELREHGDSALRHLTPSSSASLIRSLAALGLAEELLWAWKAMRLAGVEPSRLIYNCLLDGLVNAGLLDTAVNVFDAMSTEDQVRPDVVSYNILIKGYCRAGRAQDAMARLSEMQEQAKLTPDKVTYLTLMQCHYSEGTFPVCIGLFQEMEERGMGNDIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCAANAAIYTALIDSMGKFGREKEAMALFERMKDSGLKLDSVTYGVIVNCLCRFGKLDEAVACFRSCQEKGISVNAIFYTSLIDGFGKAGMVDQSKELFEEMIAKGFVPDSYCYNVLIDGLAKSGRMDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKFWDAMIDKGITPTAAAFRTLASGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKAGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFQ >OGLUM07G13900.1 pep chromosome:ALNU02000000:7:16547000:16554788:1 gene:OGLUM07G13900 transcript:OGLUM07G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT1G02120) TAIR;Acc:AT1G02120] MASPAVASPSRTPSRGPPAAAADTTAPSPASPPRRLASAPPAVDASSGSSPESARSGELAAAAATPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFHNAIEIIAGTKRHFFGSFLSRDEAFRIIVEGWEQHVSDARLLLERQDAKSGNSSDENGYVLLEGAKETKQDDDSSPLDRSVNGTAVTSGSNDSGDSDVNISKRSSEVLENESEDKCTAATALNPFILGPFDDEAPNVPEPFALITESKFQVPVEVLFNMLLSDSSFGFLDDFHKKCGDKGAKFGSCQEVQKLRVYKNRHLMIQTSQQIGDAPYGDHFTVEGIWDVEQDSLDESSCYLRVYINVAFSKKTIFRGKIDQSTKDECRDVFGLWVKLGHDLLKQDSSCHSRGPSSSTNVDDPLGTTLSSENPLENRDPGSSSAPDEPVVRSIVHSIHDHQQSISSQLGPVLALTLVAIIVLMQVTIIVLLLRSPKVYMVSQETSPSSFSYSKESIEWVQKRLNLLGEEMRMAESHLEMMQHEFAWLKSHLERLQRLRSSSS >OGLUM07G13910.1 pep chromosome:ALNU02000000:7:16612328:16613888:1 gene:OGLUM07G13910 transcript:OGLUM07G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSVAVVIALLAAFLTPLAVYLARRASLPPPPPRRNLPPGSLGLPLIGQSLSLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVLVAGPAANKVVFHHEALAPKQPRSLAAIIGRRNILELVGDDHRRVRGAILQFLRPDMVRRYVGKIDGEVRRHLAARWAGRRTVAVFPLMKTLAFDVIATLLFGLDRGAIREQLADAFDGMHEGLWTVPVDLPFTPFRRGLMASARARRLVEAIVREKAAKLEHGESSPSDDLISFLLSLRDGDTGGGGQLLTEEEIVDNSVLALVAGHDTSAVLLTFMLRHLANDPATLAAMAQGKNSTLPSPDEHAEHEEIARGKRDGGEALTWEDVAKMKLTWRVAQETLRMVPPVLGSFRRAPVDVEFEGYTIPRGWQIFWSPSVTHMDPAIFHEPTKFEPSRFDGTAAAAAYSFVPFGGGPRICPGMELARVETLVTAHYLVRHFRWKLCLGEEKNTFLRDPMPTPHDGLPVELDHIAPLC >OGLUM07G13920.1 pep chromosome:ALNU02000000:7:16615307:16617353:-1 gene:OGLUM07G13920 transcript:OGLUM07G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSRVVSKKRFRLAIAMALATTSSHALSCYLHPRWRRRGNVAVSPRSEGGGARRKEEVEIVIVGAGVAGLATAASLRRLGVGATVLEQGASLRAGGTSLTLFKNGWRVLDAIGVADELRAKHLRIQGMKMRSASSPASAAGGVLREFSFEEEAPGQEVRAVERRALLEALASRLPPGAISFSSKVRRVAVAGQQQGPGGATELELEDGRRIVAKVVVGCDGVNSPIARWMGFSEPRYVGHMAFRGLARYDGDGGQPFEAKVNYIYGRGMRAGFVPVSPTRVYWFICFNRPSPGPKITDPAALKREALELVRGWPEDLLAVMRDTPDDNVVRTPLVDRWLWPGLAPSASRGGVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLATAAASEGGEASSYGEAMRAYERERWGRVFPLTARAGLVGALVQWGNPAVCAARDGVVIPRLVRLGPFLEHTNFDCGLLEPSAAAAAPSP >OGLUM07G13930.1 pep chromosome:ALNU02000000:7:16618671:16620739:-1 gene:OGLUM07G13930 transcript:OGLUM07G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AJV8] MEQSFIMIKPDGVQRGLIGDIISRFEKKGFYLRGMKFMNVERSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVATGRRIIGATRPWEAAPGTIRADYAVEVGRNVIHGSDSVDNGKKEIALWFPEGLAEWRSNLHPWIYES >OGLUM07G13940.1 pep chromosome:ALNU02000000:7:16621272:16634962:-1 gene:OGLUM07G13940 transcript:OGLUM07G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G25120) TAIR;Acc:AT4G25120] MSRWKENASPLPLHPGGSSTLLPRKRPPPSPPPPQPPCPPPRRPLADVTGNALRQRGSGGGGCGYGYSTPAPKAPRPSCGFLLDDDEGMDEAFLREVDAICEEHERSSARKDKEAGEAPPSIPSEPESGVSGDAFRKEENAIGEEGDAQPLATSQEEMEDADEEEISELWFGDDSLPPAISIATGGGEFEDAFWNISDITEEVHHTGSSAKCQEDMDGKNSDGPSVPSVICHEEREGELVDAFLEDLDAIHQGDATKGQEEPQETELEIEENEGCVPKKYYEYLQSLNDRQREAACSDVTIPLMIVAGPGSGKTSTMVGRVLTLLKEEFPPSNILAMTFTTAAASEMRDRIGTVVGKAVAKEIVISTFHSFCLQLCRTHAEKLGRTSEFIIYGNGQQRRAVIEAERLLESDKNNGLGDANKNCDGDIKNSFKDKAKKWQKFVTQAKASGRTPEEYEKKGDLTGASILRHYNEILRSCNALDYHDFINSSITLLTKFPEVYDECRNTWQAIVVDEFQDTSAMQYYLLKTLASHNRITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEVRLSKNYRSTRAIVEAATALIHNNTKRQSHKLVETDNPSGNKIIVKECHSEDSQCAFVIDKIIETTSSSVEGCHFGKIAVLYRRQITGKAFQASFRNRKIPFNIHGVAFYRKKVIKAIMAILKTTLPGCDDDAPWHQAFKAILPGDKEEKKKIIHHIEKISLSRKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSALDSLSKLVEREQSVSVVISSAGDMLPQKYLLEKRAIVDADGGKLLNEDNDIRSVLQFLMDDVSDFLSTHFSSSVDTSKTEEKGCASTLKAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTLLQPSRFLREIPAHLLEVQGEGTVRRTPEQPVNIPFDQPEGDTSVERPMVVRNETSPFPEMDQPCLANDFLKRFEIEDRAIISHIFHQWAKKQAFQNPKRLLDKIGFVIDERLRGKGYKRKDVLCKLKSFLSGDEAFGYAQYEHFQKQRIENSMGSSEPTPKQISYLRNLGCTITPTSRLHASHLIEKGPHVKDI >OGLUM07G13950.1 pep chromosome:ALNU02000000:7:16636931:16637568:1 gene:OGLUM07G13950 transcript:OGLUM07G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVRTLDECITLEVEGSDTIGDVKVKIHDKNGIPADDQRLMHAGRQLDDRRTLADYGIQTEHTLRLAIRLRRRGQPPHTRAQAQ >OGLUM07G13960.1 pep chromosome:ALNU02000000:7:16641295:16641531:1 gene:OGLUM07G13960 transcript:OGLUM07G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWIPSMEAGIKDLNDPGDQATGDATARAAITASLRTITVTSGLSTRPDFAANLFSVYSSAGRPVLAAAELRARPPT >OGLUM07G13970.1 pep chromosome:ALNU02000000:7:16641637:16643482:1 gene:OGLUM07G13970 transcript:OGLUM07G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARRRSVRDAVRLFDEMPERLLNETPTMLTEGLADEGKKLFTRMKEYSLEPNLKHYACMVDRLGRASSRGRRYGFYNANRT >OGLUM07G13980.1 pep chromosome:ALNU02000000:7:16643205:16645426:-1 gene:OGLUM07G13980 transcript:OGLUM07G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRMTAKPGVVTTDQERALPESRTTATDAPEAAGLEVTTDEPLAWKPKHLQITQSSYKEPGTAQELPHQLLHKSKAMDMFAQMLQVKWMRCYYWVMLLCDALGSSCCC >OGLUM07G13990.1 pep chromosome:ALNU02000000:7:16648995:16652857:1 gene:OGLUM07G13990 transcript:OGLUM07G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPMSDTCAIVACTVALEGMHRKVYEESNGVGTFPAAWQAAGSWNEQLRLACERKGVWKAREGANVGDVLIKIQELAGVVTSVPGLLMPLLRWEKHSSGLTRERVAELIDLGPCIGRLWVCPWYHHFNADNGWVYRGCGRDKHARDECKELYEDKVMGSHAVVCLAYRFWEEGEEMHVLVLDNHDDDGPQRWIDVEELDAIFTLSVECLTNEDVSPTKALFG >OGLUM07G14000.1 pep chromosome:ALNU02000000:7:16653646:16654242:-1 gene:OGLUM07G14000 transcript:OGLUM07G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSGTPPRAALLRATLRRAAASRLGSNFQLPSGVAGFNLAKHRANPTQEEGYNRLLFPFAREMAEGKHLHRPQHLLVVSFLNPDSKHVKDLTSHLD >OGLUM07G14010.1 pep chromosome:ALNU02000000:7:16680058:16682153:1 gene:OGLUM07G14010 transcript:OGLUM07G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKCPDCATLRDAKGRTFLHSAVEAEGYRVVEYACRRMPKEFSSVLNMQDNNGDTALHRAVHLGNLPVFNCLTRNPHVHLNIPNKYELTPLDLSWITVPSSFYYDSNPRGLIQLSLQFVGAPCGASRPDLLSQKHIPKIDNDKVSAHLTNASQMLGIVSVLVATVTFASAFTLPGGYQTGSDNAAGTPLLAGSYAFDAFILSDTLAFICSCMATFSLIFAGVPAMDISIRCRYFEISALLLRSSGRSFVVAFALGLYLVLAPVAHTIATAVCVIIFVSSLYGNSEAWQILRVADMARARLGTRMHVAWTLGLTFYNVFVNLFLNFWSFIIIFGLPAVIRKVHARE >OGLUM07G14020.1 pep chromosome:ALNU02000000:7:16688294:16693963:1 gene:OGLUM07G14020 transcript:OGLUM07G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGWLRLQLCTETGPLHRRLGHLPEQSVATFFLMSAITRAPSLCGCQRMWLDTVMEKGNRRWEAALGWEWHKYNHIFCVTDMGDWYDKLSQSFRDTAKEVLAKTDIDPNVRCFPKRQMKRITNNHSTTLGRGGFSVVYKGRLDDGRSVAVKQYNWRTQKKEFTKEVIIQSQCSHKNVVRLLGCCVEAAAPILVTEFVPNGNLSDLLHGNSGLLPVTLETRLQIALDVAEALGVAKLCDFGISRLLSMDSDEYTGFVIGSKGYVDPVFCQTGRLSQKCDVYSFGVVLLELFTRKKGIDDMKVCLAEIFACASRKGDEHKLFDMDIVTNENMEFLQGIGRVALECIKFEVEERPEMRLVLEQLLSLKRSRDKSIHEMLVVRKEIEVFLRGCGFGRFILSKESVDDLICNLKIVLKECASGKAYIGKSRGTPLMAIKMSTAVTEKWKDMLGNEIAVQSRIKHMNVAKLIGYCLDHSDGTVLIYEYGAISLYDVLFGDAGKIYRPFTCDLRLKIAIGAAEGIAHLHSLGVVHGDVSINDILLDHVSSSLVKIAGYGTSGLPDIDKALDSLETGHGKKEHDVYSFGLVLLTLFTWKKVSLPDDLKSEPDRPVLLHQEAIRGRRCNHLEMIKGLASRCLTSEATKRPSMVEVAKHLNFILIFPERRKTCHDLAIYQSRMLSD >OGLUM07G14030.1 pep chromosome:ALNU02000000:7:16726015:16726845:1 gene:OGLUM07G14030 transcript:OGLUM07G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVKTADEATQAPAVSPISTAEAYAPPVGTLLDPKLFMAASRGDNNGLKELLGPDDDEVVIVDVDPVPAAPSSSSASGSSHHQLQQLDDHAVTSNEGDSLLHVVATRSGGGDGDRFVACANTIYYHGSSNGALLAARNHKGDTPLHCAARAGGARMVACLVALKTTEVVAAPAGDGPGVEEFLRMRNQCGETALHQAVRAACTACIDELLLVDPMLATVPQEGEGGASPFYLAFSLGKLDIARHLLDKTNGQLSYSGLDGQNVLHAAISRGQGT >OGLUM07G14040.1 pep chromosome:ALNU02000000:7:16753756:16758296:1 gene:OGLUM07G14040 transcript:OGLUM07G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRTLRRPLPRKGIKTHMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEETKKAKESKLTTKKRDKRTWTAEEEKLLIDILYDMNDSSWKVDTGHKSGYLTFIEKEMAKVLPRADLKADPHIKSKVKILKKQLSYILEIQQNGSGFGWDDENKMVTRDRDIYMGWAKD >OGLUM07G14040.2 pep chromosome:ALNU02000000:7:16753756:16758296:1 gene:OGLUM07G14040 transcript:OGLUM07G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRTLRRPLPRKGIKTHMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEED >OGLUM07G14040.3 pep chromosome:ALNU02000000:7:16753756:16757288:1 gene:OGLUM07G14040 transcript:OGLUM07G14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSRTLRRPLPRKGIKTHMAKDVGFKGGDELFYAIPGYSLENGIDKLHDDHSVRKMLNFAKKGKSMEIYIKHLEQGVSATPIFGQDVEDNHVEETKKAKESKLTTKKRDKRTWTAEEEKLLIDILYDMNDSSWKVDTGHKSGYLTFIEKEMAKVLPRADLKADPHIKSKVKILKKQLSYILEIQQNGSGFGWDDENKMVTRDRDIYMGWAKV >OGLUM07G14050.1 pep chromosome:ALNU02000000:7:16759075:16761829:-1 gene:OGLUM07G14050 transcript:OGLUM07G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDGELTPNREKPYVAGGGGDGDGRDGEDRLNALPNDILVHILLRVGTGGAARTSVLSRRWRHLWYLLPELDFGPEADAHSIRAALAAHESPSLRRLLVRAADVGPRRIAEWLPVAARRLAGDLFLVNSMKDSELIEQGTFLELPCFGSATSLSLELGFLGLAVPPSGVFARLTNLSICGVHFHGPCELGDAVSSPQFPSLKKLTVLSAHRMNNFNIHSNSLVEIVLHGIGLQQLNVVAPALELLDVNCHFSDGSVQSQPVANISAPKLEILRWSTTNLDQSSVNFGKMSYLKGLFIAYFYVYGEEDRNHDCLRLLQHVQFDAIPRLSILLTYFPTINGDIYLMEDMTVLPDIVFLNLIFSSSGHCIGPSLFHVLRMTTGVRRLKLELHNHYKREFCGSDCVCDLPPNWTSEELVLNSLREVQITNLRGTENEFAVVERLFSWAAVLKQMTINFHNSITVSTARELCEMLLSFSRPEISMKFYINQGSRKVLYVPED >OGLUM07G14060.1 pep chromosome:ALNU02000000:7:16765203:16766600:1 gene:OGLUM07G14060 transcript:OGLUM07G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPSPPVRVVSTRTVKPSPPRPRERIPLTTWDVSLLAADYIQKGLLFRPPPATLHLVEHLAAALADALHVYYPVAGRFVTDKHPGGGGGCSVSIDCDGQGAQIVHAIADGVSMADILPPDVDVPTGLVRSFFPLDDAVNYDGHELPLFVVQVTELVDGGVFLGFMYNHALSDGTAFWDFLNAWAEIARARVENARPALAAVATSRAPLLERWSPDGGAAAPVVLPYDDLAGLIARTTTTTTPPLLRERMLHFSAESLAALKERARRELLAAGDAAGAAAVTRFQALSSLLWRSPRFDMYGCDFGWGKAVAARSGKGNKYDGKVSLFPGRDGGGGGIDAEVELAPEHMAALEEDGEFWAAVTPDHLLLVNDDNKA >OGLUM07G14070.1 pep chromosome:ALNU02000000:7:16769217:16789178:1 gene:OGLUM07G14070 transcript:OGLUM07G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAGTVGMAGIGGNVTFGTAGIGGNVTAGTMVGIVGFGMAGTAGMAAAGAGAGASVVSARRRAAWPVLPLPARSTAATAMAIASKFELEAIEILLALPTELATPSTANIFSHAADAHAEGGGAKNGIGTDGIGGSVTLGTAGIDGIGGSVTFGTAGIGGNTAFGTAGTAGIGGKLAAGTAGTAGTVGTADTAGIGGSATLGTAGMGGNAAFGTVGTAGIGGRVAAGTAGMAGIGGKVTAGTVGTGTAAGGVASARWRAAWQLLLLPASKTAMASTVARRPEVEAMAERSQWLASLAIRPYAEGGGAKNGIGTDGIGGSVTLGTAGIDGIGGSIMQHAHQAHGILVTATSDPPSLTFPSSTAAAADAPDARGGRTAAAAAARRLGRRGARQPPATCRVPAIIAGKLPPHCCSASARRLLGFDYEWPGGASLVWPRTRRGEERKTAARVARMTVVAAGTAGMAGIGGNVTAGTVGTGTAAGGVASARWRAAWQLRLLPASKTAMASTVARRPEVEAMAERSNTGPHISVDTINDPRGGAKNGIGTDGIGGSVTLGTAGIDGIGGSVTFGTAGMGGSTAFGTAGTAGIGGNVAAGTAGTAGIGGSATAGTVGTAGTAGIGGSATLGTAGMGGNAAFGTVGTAGIGGSVAAGMAGMAGIGGKVTAGTAGGVASARRRAAWQLLLPVSTTAMASTVAKRTELEAMARLAVASSWSHIDVVVVVVAVAATVADEEVALQ >OGLUM07G14080.1 pep chromosome:ALNU02000000:7:16769310:16775997:-1 gene:OGLUM07G14080 transcript:OGLUM07G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLQVAGGCLAPLLPSRRAAAAAAVRPPRASGASAAAAVEEGKVRLGGSDVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDKSVDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRLGRGSVISALKDSLSRLGVSSVELYQLHCRVCIWTMASTSGLLATVLAMAVLLAGSSSSCQAARHLADATPPAAVPVPTVPAVTLPPMPAIPAVPAATLPPMPAVPTVPNAALPPMPAVPKVALPPMPAVSAVPTVPAVPAVPAASLPPMPAVPAVPNAVLPPMPAVPKVTLPPMPSMPAVPKVTLPPMPSLLGIFVYGGLGYKHISNLLAMAIAVAAVLLAGNGNTGHAARRLADTTEAPAPAPAAAIPAVPAMPKPTIPTIVPAVTLPPIPAVPKVTLPPMPAIPTVPAVTMPPMPAVPTVPAVTLPPMPAVPTVPPNTVVVPAAVVPALPKVALPPMAAVPNVPMPFLAPPPKA >OGLUM07G14080.2 pep chromosome:ALNU02000000:7:16769310:16775997:-1 gene:OGLUM07G14080 transcript:OGLUM07G14080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLQVAGGCLAPLLPSRRAAAAAAVRPPRASGASAAAAVEEGKVRLGGSDVAVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDKSVDCGITFFDTAEVYGAGISGAINSESLLGRFIKERQQKEQVEVAIATKFAALPWRLGRGTMASTSGLLATVLAMAVLLAGSSSSCQAARHLADATPPAAVPVPTVPAVTLPPMPAIPAVPAATLPPMPAVPTVPNAALPPMPAVPKVALPPMPAVSAVPTVPAVPAVPAASLPPMPAVPAVPNAVLPPMPAVPKVTLPPMPSMPAVPKVTLPPMPSLLGIFVYGGLGYKHISNLLAMAIAVAAVLLAGNGNTGHAARRLADTTEAPAPAPAAAIPAVPAMPKPTIPTIVPAVTLPPIPAVPKVTLPPMPAIPTVPAVTMPPMPAVPTVPAVTLPPMPAVPTVPPNTVVVPAAVVPALPKVALPPMAAVPNVPMPFLAPPPKA >OGLUM07G14090.1 pep chromosome:ALNU02000000:7:16777242:16780369:-1 gene:OGLUM07G14090 transcript:OGLUM07G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMALQVVAAGGGCCCHRPVLGPGRRRRLVAAARAVASNAAAAAKVSEEGKVRLGGSDVAVSKLGIGAWSWGDTTYWNDSEWDDRRLQEAKAAFDTSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFYDDFIQEKRLRDAYARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLSGKYTPEKPPTGPRANTYTPEFLTKLQPLMNRIKEIGESYGKNPTQVSLNWLTCQGNVVPIPGAKNAGQAQEFAGALGWSLTGDEVEELRSLAREIKGIKMPIEES >OGLUM07G14100.1 pep chromosome:ALNU02000000:7:16787095:16787565:-1 gene:OGLUM07G14100 transcript:OGLUM07G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLTGSSSCQAARLLADATPPAVPAVTLPPMPAIPAIPAATLPPIPAVPTVPNAALPPMPAVPKVALPPMPAVPAVPTVPAVALPPMPAVPAVPAATLPPMPAVPAVPNAVLPPIPAVPKVTLPPMPSIPAVPKVTLPPMPSVPMPFLAPPPSA >OGLUM07G14120.1 pep chromosome:ALNU02000000:7:16799655:16807361:1 gene:OGLUM07G14120 transcript:OGLUM07G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIAARWVVGKALSPVLDGLVEAWAASRELGPNVDALKMELLYARAMLNNVRGREIHNPDLNELLQKLRDLAYDADDVLDELDYFRIQDELDDTSEAAAEHAKGCVGNLFLNTHHTAKAAGKLLGFSSCSSCAARCCAWPRNTMLAIGKHLPCSNFHDDDGGDSVHDDSKKSVKPTPKLKFDRVGLSKKMKIIVEQLQPVCAKVATILNLELLGSHLTMQSRSAKSRPVTTPTSIEPTLYGRDTIMKRIIDSITQGKCCEEFLTVLPIVGPGGIGKTTLIQHMYNSQLVQNHFQIKVWICVSQSFSVGKLIEEIKEKLPKEGENKNGSAEELIERKLKSKRFLLILDDIWKCESDDWKRLLVPLSKGQTKGNIIIVTTRFPVVTETVKTIDNKILLEGLDDVEFEELFLAYVFGPGKSRNGRQDLLDIGKDIVKKLKGSPLAAKTVGKLLSNHLDQYHWKRVLESKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNSTELIRFWIGLDILHSESQNKALEDIALSNIDSLVNHGFFKREETDGHTCYIIHDLLHNLALKVASLECVSLHCSNVKSVEIRPSTRHLSIITYGANDTDGIMDENFKSEMIKLKKRLKVENMQTLMIFGQVDENFVGCFHDLFKEASALRVLYLPAMPFLVGSILDKFSGFVHLRYLRLGFRRFIYDNTTHLPTSLSRFYHLRILDLQEWNGSFDLPGDISNLAKLHNFLVPKSKNHPAISNVRKLQFLQELKGFEVNRKDVGFELKQLGYLMELRELSIDNLEKVHTKEEAAEAKLLNNNRLRKLTLKWKEGRTSTDANNEDQILETLQPHNNLQELSIHWHGGSSCPKWLGRELSVKFLETFCLYNVVWNILPPLGEVFLVGRPGEESIGHKTSQNFHSLKRLELVGLPNLRKWVAKEVFPMFFSLLEVLIVKNCNELTELPFSYYTYCTSEEDVKATCFPRLRELKIRNCQKLVSLPPIPYTQTLCSVKIRYVGTGLTSLVYSSKSSVLEVRGNKDLNVLDDNVLAFRNLNQLQDLKIIGCPPLEEQHLQTLTSLKRLHLGGSSIAFNPTIDRSDVKWQISIEYLAINDWPGSGKELTQLLFHLPKLSVLRLSGCHKITRLSVALKQQQISAQVESTQVTDSNHQQQQKAEDLDLLEEEEVTQLDVDREDENDNRLLLFPVHLTNSLHELYIEDCTELILIPHPLPIGHNNMEEEGIGGGWGLQALCSLLKLEIIGCPVLLSLQYEAPACLFPSSLQYLYIRGPMEGVQMLDLSNLTSLTELFIQDCREYLSKGLWPLLTRGQLSNLFVYKTYGLFAGVLDSILRGMQEEQEQLHLLEHSSKLQVLGTDDLAGILVKPICRLLSSSLTNLTLRGNSEVERFTNEQEEALQLLTFLQDLKFIHYDKLQCFPAGLHRLSNLKRLHIQSYPSIQSLPKDGLPDSLQLLEVRYRGNEKLIKQCKMLKKTNPKIELKIGVDELVSAVQGLEWSAPSSSQPSAAEAVRGHIRLFRPPRSA >OGLUM07G14120.2 pep chromosome:ALNU02000000:7:16799655:16808277:1 gene:OGLUM07G14120 transcript:OGLUM07G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGIIAARWVVGKALSPVLDGLVEAWAASRELGPNVDALKMELLYARAMLNNVRGREIHNPDLNELLQKLRDLAYDADDVLDELDYFRIQDELDDTSEAAAEHAKGCVGNLFLNTHHTAKAAGKLLGFSSCSSCAARCCAWPRNTMLAIGKHLPCSNFHDDDGGDSVHDDSKKSVKPTPKLKFDRVGLSKKMKIIVEQLQPVCAKVATILNLELLGSHLTMQSRSAKSRPVTTPTSIEPTLYGRDTIMKRIIDSITQGKCCEEFLTVLPIVGPGGIGKTTLIQHMYNSQLVQNHFQIKVWICVSQSFSVGKLIEEIKEKLPKEGENKNGSAEELIERKLKSKRFLLILDDIWKCESDDWKRLLVPLSKGQTKGNIIIVTTRFPVVTETVKTIDNKILLEGLDDVEFEELFLAYVFGPGKSRNGRQDLLDIGKDIVKKLKGSPLAAKTVGKLLSNHLDQYHWKRVLESKEWELQAGDHDIMPALKLSYDYLPFHLQQCFSYCALFPEDYKFNSTELIRFWIGLDILHSESQNKALEDIALSNIDSLVNHGFFKREETDGHTCYIIHDLLHNLALKVASLECVSLHCSNVKSVEIRPSTRHLSIITYGANDTDGIMDENFKSEMIKLKKRLKVENMQTLMIFGQVDENFVGCFHDLFKEASALRVLYLPAMPFLVGSILDKFSGFVHLRYLRLGFRRFIYDNTTHLPTSLSRFYHLRILDLQEWNGSFDLPGDISNLAKLHNFLVPKSKNHPAISNVRKLQFLQELKGFEVNRKDVGFELKQLGYLMELRELSIDNLEKVHTKEEAAEAKLLNNNRLRKLTLKWKEGRTSTDANNEDQILETLQPHNNLQELSIHWHGGSSCPKWLGRELSVKFLETFCLYNVVWNILPPLGEVFLVGRPGEESIGHKTSQNFHSLKRLELVGLPNLRKWVAKEVFPMFFSLLEVLIVKNCNELTELPFSYYTYCTSEEDVKATCFPRLRELKIRNCQKLVSLPPIPYTQTLCSVKIRYVGTGLTSLVYSSKSSVLEVRGNKDLNVLDDNVLAFRNLNQLQDLKIIGCPPLEEQHLQTLTSLKRLHLGGSSIAFNPTIDRSDVKWQISIEYLAINDWPGSGKELTQLLFHLPKLSVLRLSGCHKITRLSVALKQQQISAQVESTQVTDSNHQQQQKAEDLDLLEEEEVTQLDVDREDENDNRLLLFPVHLTNSLHELYIEDCTELILIPHPLPIGHNNMEEEGIGGGWGLQALCSLLKLEIIGCPVLLSLQYEAPACLFPSSLQYLYIRGPMEGVQMLDLSNLTSLTELFIQDCREYLSKGLWPLLTRGQLSNLFVYKTYGLFAGVLDSILRGMQEEQEQLHLLEHSSKLQVLGTDDLAGILVKPICRLLSSSLTNLTLRGNSEVERFTNEQEEALQLLTFLQDLKFIHYDKLQCFPAGLHRLSNLKRLHIQSYPSIQSLPKDGLPDSLQLLEVRYRGNEKLIKQCKMLKKTNPKIELKIVNSKMQSSSTNHG >OGLUM07G14130.1 pep chromosome:ALNU02000000:7:16808387:16812945:-1 gene:OGLUM07G14130 transcript:OGLUM07G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFFRKQPQKRSNIPRRPSTFAATHRALPSPFRPRYGAARLRRRGDQSTQTKASLADSFLADLDELSDNEAYPEEENAEAVGMDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKPGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVAEESSLGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSRNRTVKPPVHGNLLGSGTQSTYFSETGTFSKIKRT >OGLUM07G14130.2 pep chromosome:ALNU02000000:7:16808387:16812764:-1 gene:OGLUM07G14130 transcript:OGLUM07G14130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDGGEDMLDLESLNYDDLDSVSKLQKTQCYNDIMQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVQKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTNGKPLSEENLAKTIEACERALTLDAAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKKKNLAGFSSATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKPGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGVAEESSLGYGMLGQAGSGKLRVSAAQSKLAAKVAKKFKEKSYGSSGATSGLTSRNRTVKPPVHGNLLGSGTQSTYFSETGTFSKIKRT >OGLUM07G14140.1 pep chromosome:ALNU02000000:7:16820779:16822863:1 gene:OGLUM07G14140 transcript:OGLUM07G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSCFLHQSTARLAARVASPSPATRTHLLVCRAQKQEGATDADVSRRAALALLAGATAAVGVKVAPAAAAYGEAANVFGKPKTNTEFIAYSGEGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVIINPTSKKTITEFGSPEEFLAQVDYLLGKQAYSGKTDSEGGFESDAVATANILESSAPVVGGKQYYSVTVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >OGLUM07G14150.1 pep chromosome:ALNU02000000:7:16824067:16824720:1 gene:OGLUM07G14150 transcript:OGLUM07G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVADFGLARLVNRGDTHVSVSGMRGTPGYAAPETWMQSGVTEKCDVYSFGMLLLEIAGRRRNFDEAAPESQQWWPMAAWTRYERGELMMADDDAAVVNHPSGEICSGGDGEAVVTVAEADDERRCKEAVERMYQVAFWCVQQRPEARPPMGAVVKMLEGEMDVAPPVNPFLHLMAAPAPVTNPWATTTTTTASSGNAVSENVVVSHGSDGIVSL >OGLUM07G14160.1 pep chromosome:ALNU02000000:7:16836148:16837278:1 gene:OGLUM07G14160 transcript:OGLUM07G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANPNQSFDDFNKQASSNFNSFLAIVVVLSVVSIAGSVAIVYLVYRCVKKNGLPAVNINTNASFAPYTVVPDSQIRDATVERFLKEIAGEKPIRFTAQQLAGFTNNYSARLGAGGFGTVYKGMLPNGLTVAVKRLHVGGHGDGWSTSQEQFMAEVGSVGRIHHINLVRLFGFCFDADVRALVYEYMDNGALDAYLFDRSRAVPVATRRAIAVGVARGLRYLHEECQHKIVHYDIKPGNVLLDGGLTPKVADFGLARLASRGDTHVSVSGMRGTPGYAAPEMWMQASVTEKCDVYSFGVLLFEIVRRRRNLDDGGAPGSQQQWFPMLAWSNH >OGLUM07G14170.1 pep chromosome:ALNU02000000:7:16837318:16855825:1 gene:OGLUM07G14170 transcript:OGLUM07G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQERETVERMCKVAFWCVQQQPEARPPMSAVVRMLEGEVDIDAPPVNPFQHLVASPAAALRWTSTTDSAESDNTLRSGSRQSAEVIIPIGSLQLIATIIFVVAFAALLVKVYRGAESCGAAVAAEMKATAHYAVVPDAAMRSATVERFLWEMAHEKPIRFTPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLAVAVKVLRGGMDRRRSEEQFMAEVGTIGRTHHINLVRLFGFCFDAAVRALVYEYMGNGALDAYLFDRSRDVGVPARRAIAVGVARGLRYLHEDEMELRELSACVERAHLPRRAGGGGRDLPVMAGKKQGS >OGLUM07G14180.1 pep chromosome:ALNU02000000:7:16858749:16863180:1 gene:OGLUM07G14180 transcript:OGLUM07G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRQCGRGKVRLFRPVAPAAESAVEAAESAWRRLAGGSRGCGLGGFLSTKSGARAFVLSVELLCVLSASARFVVVDLRLDLKRSRYIQRIEAAVPEEKERQLGGMHHAVYTYKPQLRSGSTLVRIC >OGLUM07G14180.2 pep chromosome:ALNU02000000:7:16858749:16863180:1 gene:OGLUM07G14180 transcript:OGLUM07G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRQCGRGKVRLFRPVAPAAESAVEAAESAWRRLAGGSRGCGLGGFLSTKSGARAFVLSVELLCVLSASARFVVVDLRLDLKEWLEEIPLPEEKERQLGGMHHAVYTYKPQLRSGSTLVRIC >OGLUM07G14180.3 pep chromosome:ALNU02000000:7:16858578:16863180:1 gene:OGLUM07G14180 transcript:OGLUM07G14180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRRQCGRGKVRLFRPVAPAAESAVEAAESAWRRRFFSPHRACXVAPAAESAVEAAESAWRRRFFSPHRACSCGGKQRLRLRWFSLHQIRRPCVPEEKERQLGGMHHAVYTYKPQLRSGSTLVRIC >OGLUM07G14200.1 pep chromosome:ALNU02000000:7:16865077:16866327:1 gene:OGLUM07G14200 transcript:OGLUM07G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASDLGRDVVVVPPAGVMDDDDESTWTPWPDLQPELAGMVFCRLLSHGDRLRFRAVCRRWRLAARQQHPLPPALPWLNLDGRITYQSLPDGEVHRIPVPDELQAGGTVVCRGSFDGWLLYDRSEQLECFLMNPISKARIDLPYHWHCDDDDDAILPDYGEEEEGQRTMCFGENAVRKIAVCSPDLIAAVIAGSGVFFYRPGMHSTWLFASGGPCFARDIAYYNGKLYSISSDGELFVHEFSDSISADIVIGIAPQAYSCQGYSWRSTFYLVISCATGRLMMVRWRWHLPIFYNVRRWGVDELRKEIKLDVFEADLEKRRWLEVKELGDQALFLGTSCSRAILSSDYGSCIFFSSLNITRLCSDGIINGIGDCAYCVYDMKNDTFRFDNPVSIKREGLSYGSDGRWRADWFFPCE >OGLUM07G14210.1 pep chromosome:ALNU02000000:7:16869438:16872061:1 gene:OGLUM07G14210 transcript:OGLUM07G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFKEQICSSWFVSASDYPLLSERAIYGEIGSLHTFMRYICHKSWLALHVDIDIWSSSLFQSVRRRLNNKK >OGLUM07G14210.2 pep chromosome:ALNU02000000:7:16869438:16872061:1 gene:OGLUM07G14210 transcript:OGLUM07G14210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLRMSLSLFLNIYISSLIFLIVFHVIFFGPWYPGLVSRMAEEKSDMLIIIQDCAVKV >OGLUM07G14210.3 pep chromosome:ALNU02000000:7:16869438:16872061:1 gene:OGLUM07G14210 transcript:OGLUM07G14210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRYICHKSWLGEWFLPQKIMNYLALKLPDWWWVFGLDQALHVDIDIWSSSLFQSVRRRLNNKK >OGLUM07G14220.1 pep chromosome:ALNU02000000:7:16872582:16874051:-1 gene:OGLUM07G14220 transcript:OGLUM07G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGPRRLPTPFEPRPVDGLIGGDTADSDSGGTAIVGNMMTTGPGAALALAAAGTTTTASTSLAESSWFGGRYLFSVPNGQDIVNGLSGGYTANSSSGVMISTAIGNMMTTGSGAALAAATTTTSGIATTGMATTSLAESSGIGGRLVQDQEEAGSSGSYIVPFPNGHGVLDHDGLTGKATPYEPPPSWIPWIESPSLFGGWRFGSDAVAGGGDKDIVDLSPAGNAHDELPSDGLNLGSAGDAIINTTASSSRCGLVDVLNEDMVTEILLRLPPEDPALFARLQLVCKQWHAILGDPCFIRLLRKFHDPPPMLGYFINEDEPGKPMEIARFVHMTTTFRASPDIYDLASAVDSRHGLVLFYVSVCSDEEERFVVWDPMVEEEEEEEQWIDGFPFPVETQYWTVAVMCGLLECHNDHLHCHGGPFLVVAACTRTMDSYTSLRMYSSYTDGWSDEILHKEKDKIDTKACVLVGRKAFPPHRPRRQNTRV >OGLUM07G14230.1 pep chromosome:ALNU02000000:7:16874479:16874788:-1 gene:OGLUM07G14230 transcript:OGLUM07G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMIALLPVLDYILNFDRDKWVAHRKMANTSVGPTGRWEECGLETIDERRGESLTNRSTLFT >OGLUM07G14240.1 pep chromosome:ALNU02000000:7:16885058:16886072:-1 gene:OGLUM07G14240 transcript:OGLUM07G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLRRIRDGGAMLLLVLLAVAAQAQQEYEVTSSSSSLPSRRGAGEGHQQLPWAQQEYEVTSAATAGPCDTYLVFRSSPPLYASAVSISNLLNVTATPGDEVEMEEHQNWKKNALVLYDLVISQPLEWPSLTVQWLPSHSRRANHLLLADATLPLPPCLAAAAAAASGAVPAPPVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKSDVDVVLRGHEAKGYGLAPAQSTVPFQVSISTSRSTIAADVPTCRSAASPSRGFAATLTKMTKGGREREMRKGEKRGKRERRLMWTPDMWVPC >OGLUM07G14250.1 pep chromosome:ALNU02000000:7:16886671:16887687:1 gene:OGLUM07G14250 transcript:OGLUM07G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRAQHRILPRVIRRAPHIRPRSQARAAAAEPSRFFSRPWSSPSGRERKDRGERRAAAMRAKWKKKRMRRLKRKRRKMRQRSK >OGLUM07G14260.1 pep chromosome:ALNU02000000:7:16888668:16896673:1 gene:OGLUM07G14260 transcript:OGLUM07G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPPAAAAGAEVNGLSDDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNIFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINNGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGNDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANSAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >OGLUM07G14260.2 pep chromosome:ALNU02000000:7:16888668:16896673:1 gene:OGLUM07G14260 transcript:OGLUM07G14260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPPAAAAGAEVNGLSDDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNIFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINNGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGNDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANSAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >OGLUM07G14260.3 pep chromosome:ALNU02000000:7:16888668:16895525:1 gene:OGLUM07G14260 transcript:OGLUM07G14260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSDDSDPDIDEDLQRDLDALRQSCILSGNDPDAAVAQVSACLAAPPAAAAGAEVNGLSDDDDEEEDEDLALVRSIRENLLLNKASPSSPLPRPICAWPPSDSEDDEDDLETLRAIQRRFSHYHSGTSSGSEMNTKTEASKEGSGNIFGNELDEEFDAEKHNKEATTRTGFPKAALLLVDALKKNRACQKFIRRKMITIEAKIEENKDLRDRVKCLLDYQLSCRKAFGKILCQKEDPRVRLISSRKPCAQSTKNKDKKTPALFLGPADNPHVSKYKMVLKQLPMSLQKQPWSDVEKEKLAKGIKQQYQEALILNSINNGSSTGDFSAVDMAYALTNTAGNFEVTPESLRSVLPLINWDKIAAMYLPGRSGAECESRWLNFDDPLINHNAWTAREEKRLILTVQQQGMNNWINIAVTLGTHRTPFQCLVRYQRSLNHCILNKDWAEEEDLQLQAAVNTFGTNWQLVSASMDGRTGNQCSNRWRKTLNPERSRVGRWSLDEDKRLMVAVKLFGSGSWNKIAQFIPGRTQSQCNERWRNVLDPDIDLGEWRPEEDSILLASVDEFGPCWSKIAGAKIPHRTDNMCLRRWRKLCQDKLPSVKAAQQIKKSILQCNFVDRETERPAIGPSDLMPLVRSKVDGNDENTVSAKVRKPRKRSRIPCEDNVLPGDTSNSSPSMNLPLSESIDAEAAVNTTTANSKKKPSRSRSKKQTDENLAVCDVNNSSNCSSGARKRKRSITDKNQVVQKKMKGSISGDNEAVVETVGTISADNEVATKRKTGSTSVGEEGTTKKTTRGSLSGKGEVNKRMRGSISGVQKGATKQRMRGSVSTDNHGAVMKSKRAPSRKSAEENSKADSMANSAFGSDLPTVASEDRDADNGNVKNGRLKSMPRPKQINMTEGSADKFSTSTRLADCMSFGRINGSSRVARHLCVSVKPLSNMIQSNGPSDVSAKDPTSAETDPTSVENSNTA >OGLUM07G14270.1 pep chromosome:ALNU02000000:7:16897303:16899344:-1 gene:OGLUM07G14270 transcript:OGLUM07G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDPKRKPRYLSKILMVALLTAMCVVMLTQPPCHRRTPSVFSIHEPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNMGAIKVLQNLFPEPGQLQFIYADLGDPKAVNRIFAENAFDAVMHFAAVAYVDNAEGFCSPNPTVQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPKPELREHGRISGACFDAALGIIPGLKVKGTDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINSELNWTAQHTDLLESLRVAWTWQKKHRSGYGPPQAMVL >OGLUM07G14280.1 pep chromosome:ALNU02000000:7:16912264:16913056:1 gene:OGLUM07G14280 transcript:OGLUM07G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAALGSDDGCSPAELRPSRYESQKRRDWQTFTQYLAAHRPPLELRRCSGAHVLEFLRYLDRFGKTRVHEPPCPSYGGRSPSAAGPYCDFGSVLGGAHGAHGGHGGGGGGFYGAGVYLPFLYNTFS >OGLUM07G14290.1 pep chromosome:ALNU02000000:7:16914686:16915180:1 gene:OGLUM07G14290 transcript:OGLUM07G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSTTFYVCADALPVFVQERHIHIRDRDSPQRLPPPLLRDRQHRRRVPAAGVPVAGVRGDHVLRRRSLRWRCCFSRGVEMFDGTPIVGLSKAVKMSVMDANQAYARHHFDGGHLRGDRADVLAQQAGMDIGRWKCLLVTVAFGFFFRFLFYIVLLFGSKNKRR >OGLUM07G14300.1 pep chromosome:ALNU02000000:7:16916527:16918190:1 gene:OGLUM07G14300 transcript:OGLUM07G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEDGDHAATTTTEQRYDDDGHLVPSSGGQEEEGSGGRDVVVPGGHVAEDYRSGVGVPVGRDAGGATSSPPQPVHVTPSILVGSIHAPVFQGELVGMKFGVGSGSMGAGTSATRRLPATGFGALPTSSMAEDSADHADDDHLAEEEEEEEEHYIDDGPLVPSSGGQEEEGSGGRHVFVPGGHDGEEDHPDDLVADLDLDLLVDGVVGPVPGGHLNADAPAFVPTTRGRQDLYSALSSSAPAAGYRYRHYITSSALAEAGHVSPFLGLPYATAFDSPLDRELVGPSSAPPPCSAASRAWLVRCSSPLSDSEWTRRSILAREAANTPASTVTGRGRFEFVPIPGAPYAPPPSFAPIAAGAGPAARPLQQLAFGLEEHKTKLCAEYYSRGLGCPRGNTCKYAHGEDDLRLVVAVGSLADAGEGSSSSDSSSAALGGEDKYKTKLCKTFTSGGLCLFAANCRFAHGEVELGKKEPCWYFFSGQTCPRGDTCGFRHSY >OGLUM07G14310.1 pep chromosome:ALNU02000000:7:16926106:16928623:-1 gene:OGLUM07G14310 transcript:OGLUM07G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPESARLSVGGVSPDMGDTELRDHFGRYGDVADIWLRRDRLTGLPRRFAFVQFMHPANAALALADHNHVVNGQKRPFRRGA >OGLUM07G14320.1 pep chromosome:ALNU02000000:7:16930016:16941107:1 gene:OGLUM07G14320 transcript:OGLUM07G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDADRNRPGRGRESLPGGATSSSSPPCSNFDGLNAQAATSIPAAFGSGPTTTTTGGGDSPGDSSRIASHLVELEDTRRSADFVPSRHDAIYVPSGRPNPLRPIGHREDYYSGSGSATAFFPISRDPVHVPISRDIALPPIGHPSQGRLKSGSSSASAGDDMINSSAAVSHSTGAGGGFSSRHAPASDEAKKQQRAMAIRRQQQQQQQLQLAMEIWRQQQQQLAAAMWQEQQQQEDEMAIRKQQKQDRSMVFWQQLRAMTPSSASSSSSSSSAAVADNLAPSAAAAAEGSPSPTTPTTGADQKNVGGDNDADYRRLPEHMSTTNDEATTSSTSSSPPSELLDALDTVAKAVGDAVRFAFKMDPKTTFLAHGLADFVASAAARDALSPIFAKLAAAAAAQYNGADHRGAGFAATQQIIPISARLFVFGVSKKRTTELDLRRHFKRYGYVADIWLRRRGGYAFLYIEIAQPKLPVERPMAKYLCQRVCPVDNSLVRIGDRVLSTLALLGNYYKASDYITNLRRYGFMEGNMLMFDSVVDYISEDCQRCSVSSVVKKSDANKPAVHYGNNISSTTPSVGDDATAKFCRYCLQFVAPGDSSNCGELIHNDSSLIYQESFRRFNYGLKINDKWFPVGAFVGDMSSAEAEELENFYRPLSICRLKDDQIGLAGRMMFGSGAAAGTVATTRSLALAAPVPRGATSVLARPTATADFVPSSRDTVYVPVGHHVVNLLPIGHHVNLGSASAGDATISSSGAPAGAYQYMTNPYDAMINSSALAVAGAHPHMVNPPYVGASSSRLPTASAECYRDWLEWLHDTMAEQQQPPPPVQFKSHHLAPSTDMADPNGHHRRLPAGAPGRLNLGSATADFVPSSRDTIYVPASRDNPLLPIGQFPQDHVKSGSASAAGNDSARDFSSSRRLDVIAEAQGAAWGWDALWEDTGFAHCLRDPKTVLCWRYMYGGDDYCDLGRDCFDAHGYNDLQSKIRTGVAAASRSYSVPHPDLDLDLSDVSQFAGVFSSQQQPPASDEWNFAVGNMQQQQQRSMTPSASSRAAAATDADGEDPPPPPPHPPSPSAEGSASPPTTPGTPTTGADEKNVGELNDVDYPLLLPDAPAPAPAPAPAPPPPPPPPRPRPRPTTMRSRKARLCERWMQDGECPNGNGCHDAHGLEDQIRVAVKKTTDRGHRHTTQGTIKPISTKQYSD >OGLUM07G14330.1 pep chromosome:ALNU02000000:7:16941738:16942898:1 gene:OGLUM07G14330 transcript:OGLUM07G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPNGRRRLPTGDPDQANASPSRRVSAVDGVTGGEGGPTYSHFPPGAYEPTDVAYGIRDAANDERARARARHDQGGGAHDHHHDRPRQDQRGEAHLHDLPGEKSEVPDVGPSDQQGKEASDTDMAPLAALAKRSYDVNFPPLHEHRAAPVPAPAPASAPAPAGTMGSSSAQVQGDGAPDNHDHDPRHLPRQDQRGGAHPDDLHGEKTIGSGSDILDDSKRGMINAGPQHGRITTSNGGSGSGSDKGKGVSYAGDKPASSSSSSSSAGQQGSDTDKTPSAAAASSYAVNFPPLLPAPAPVPAPAPAPAVAGAMGVANAHHKTALCSKWRKGRCHNGAACRYSHGEEEQRIVPEMRVGGGGRPCPELAAAKGWCRYGLNCKYCHGGV >OGLUM07G14340.1 pep chromosome:ALNU02000000:7:16944606:16945715:-1 gene:OGLUM07G14340 transcript:OGLUM07G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSPEISARLFVGRVAPGTGKAELRRHFKVYGDVADIWLRHDRPFAFVYIRVAQPTKSSGGLANEMSKYLCQRVCRIVDKSDEANKAVHGNISSTRLASETTSARFCGYCQRAVTPGGNCDGLVHTDACLIYQESFVHYPYCVAVADEWFPVGCFIGDVSNAE >OGLUM07G14350.1 pep chromosome:ALNU02000000:7:16946290:16949419:1 gene:OGLUM07G14350 transcript:OGLUM07G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARETSKYEISNVPMMSCPMIRGCSWLKFLFIRKNLLAAAAFTYCNFISGLISGLVFAICSTWYLDVSGSSDSMPFSLI >OGLUM07G14360.1 pep chromosome:ALNU02000000:7:16953517:16955332:-1 gene:OGLUM07G14360 transcript:OGLUM07G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCEDELGAGDVILRGVEEVEEEEDDELVLPGFRFHPTDEELVTFYLRRKIAGKRLSIEIIKEMDIYKHDPSDFLKTSTVGSEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPICSAATAAAGGDCIGLKKSLVYYRGSAGKGTKTDWMMHENITHKKQPQPQLAVAAAPAPAPVPDATSSITGSLESDSAGDDVVEYMNTLQPPPPPPPASNVNGGYSNQHYFQEQWNSSSNDNTTVFHQHAAAPPPEPSPATAMAGFGHDQSVLSSPAPSDFYYKDGCNDDIYRMVMELADPSLFYDHIYA >OGLUM07G14370.1 pep chromosome:ALNU02000000:7:16965742:16970760:-1 gene:OGLUM07G14370 transcript:OGLUM07G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSTVVGEMESSLERVRRQLSSTSSRHLLQGPLLKRSDTLRKWNERWVILDPATGKMEYKIRRSDAAVRGIIVFDSTSTVTLSPMNFHASQLVLQAHKEAVNSLGGNGPTKLGKVATVVAVANATAIEASKEVEAAMKVSLRAALGSTTNKLSKGQLDDLTIMMETLRVKDDELHQLLQDIRARDATIREITDKLQETAEAAETAASAAHSIDEQRRFLSSELERLKQDQEKQIEFSLLRLRESEEKAKLLSEEREHLLKERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRINDAASRLDSATKEKEELVALVDALQLQIRSQDTSTKQVCEERSELCSTSSKHVDMEDDNVDKACLSDTDPIPITENIVDLDDDGVDIPTIGVTEWNNPHSSEVSDVREVTTEPEDNSLDIPVDSQPVSENAFHG >OGLUM07G14380.1 pep chromosome:ALNU02000000:7:16971091:16971885:1 gene:OGLUM07G14380 transcript:OGLUM07G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDEECIYVDEEWVYVDDFSKEAAAPVEEASPVDSSGDKDDDDDKSGSTAATAADVAAVGGELPGGATASEAVDADDDDSTGVTSDEEEVVSSDDDAYSDTDLDKYLEYYEDDIADGLDSLKIGGDAIPPIFLDDPPPPIDDDAAAAEEKEPEHSPPINSAAVEEEPAYATEPQQLCFDGQFGYLAGGGYSYGYGGGGAYYGDLYGGVYHYPATYFPSYYLPRQPGAGVYQPPTYMSYPDGFDPYAGDIFAPPPPPPPPEN >OGLUM07G14390.1 pep chromosome:ALNU02000000:7:16972852:16981664:-1 gene:OGLUM07G14390 transcript:OGLUM07G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GYF domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G42950) TAIR;Acc:AT5G42950] MAATPDRANADLRRRLAVDAPPPPQQIAKDKQGLDTEMPLSPQWLMKVGENKEPSLLGVRSDGSKIPGNDEDSSFSVKRKDVFRASVLDGETGRRERWRDDEREPNSAPRWNRWRETDKEHGDARKLERWSDDSSKYSVDGRRPPQERWSDSSNKEGNYDQRRDNKWSARRGPNDKESENWRDRWGDSGKDGDAAREKGFSHYIAHGKDGNSHEKDAERDDNISRSWKSSYPVGRGRGDSSHHPSQNTQKSSATYGYGRGKPDNEIPSFPGSRGKFTSGSTNTASSGSSRPFHLGLLSDRPGGTSGDRTAFRYSRMKLLDIYRTSHVTDFKMPLGDCEELSAFMQEETLEPLALSAPTADEAAILKAIDKGDIINSGVHQASKDGPVGKNGREDQQGGMEDVKGETAASLRGFPGNTDLPARADSLRPETSAYVVPQRSRLIGEHRPGPTADYIQQMPFALDQESKVAGITGVDGFVTPTYPNPESLSLYYKDPQGQVQGPFSGADIIGWFEAGYFGIDLLVRVANAPPDAPFLLLGDVMPHLRAKARPPPGFAATKPSDMLMPETLPTGNFVSSSNTHAGSASVGAFDSGLSRKDGAVEAQNRFLESLMSNSVRDPSAEMLALTAGMTEYGSSGIGNITVSGGETGNSMNYLLAQKRLLERQKSLQNPGSFWSGDSIPAAQVQNKDIEASALHTKLHPPMADPPRQALQSQNVDLLAMLHSAEKPQAPASNSGLPAWSNYPEAKNLDPRGHGVDLTQGSLNMHGASVQSSQQATTAIQQQNFMPLNMSQIAHLGPEKLRAEISQDPQLLTRLQHHQQPDDLYGSQRTPLPTGDSSNLGLQKMKEVLEADRVLTAHGTQEGQQPSDPSIMNKKGMEGVGPSQSSVPSLLPHEIFGGVPSKDHYSHPQKLEDKVNVNTQLKASMVNPMLTEVANRREDDSDQQEVRSHERGIGIGKTGIMSENILGSGSTEVIWAAPSAPKEFPEAPFDPKPETLSSHISNQVQDLKISSENILGGSEPTVATEVKASDTQDTKKSEKKKKQKKKQAGADVAKGAPKRVTSQQPRQETLVGSDQGGAKHDLQDDAEELFWGSPIKVESSSKSADPPLGLESSLVLPAKSFSEDYDTNKGEWEPNAATANQRGWKPTQGPRPKSLLEIQAEEQLRAQRGLAMENAKPAVSAASVSSIPWNGMLTTSDQQSTAVSKSVDGLESVGDSRNRRSQLHDLLAEEVLARSSNTDNEDMGNTNDMAFPPLSSAVVQPDAPAFDDTDFIEAKDSKKSKKKATKAKGSAVKAPAPVVSFDSSTVSVPTEKGKPSKQSHQEKEILPAPPSGPSFGDFVPWKSDQASAVPAPAWSSESAKVQRPLSLRDIQREQERRSAVAQQQPPSPTPAKVSINQRNHANVPSWQASGSSPSKAVAPVQMSSNASSRSKSNAEDDLFWGPSEHAKQDKKQSEFPTLSSQTRSSTIKDQSPMNRQKSQASRLPLSTAPTANHAGKGKAEAANRQTEAMDFRDWCESEWARLTGTNDTSFLEFCIKQPTVEAEMLLRENLGSFDRNGEFIDKFLNYKAFLSTDVIEMAFQLPARAVRGDGAGRANHASAAKAASSNETELDGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVED >OGLUM07G14400.1 pep chromosome:ALNU02000000:7:16983595:16985646:1 gene:OGLUM07G14400 transcript:OGLUM07G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLYSPSHASRRLSTSITFAGGLDVTSELVIAAVAAALLLVLLLAACACCSWCCRRRSATQRWQNHHAAAFGYQGNTTAYYYHHTGGGKPQWAATKTGAPSTPPNMMMHPTTRTGPHAVVRPPLVPPPPPPVPAGLDENAFGYDELAAATGGFSEGNMLGQGGFGYVYRGVLGDGKEVAVKQLSAGGGQGEREFQAEVDMISRVHHRHLVPLVGYCIAGAQRLLVYDFVPNRTLEHHLHEKGLPVMKWTTRLRIAVGSAKGLAYLHEECNPRIIHRDIKSANILLDNNFEPLVADFGMAKLTSENVTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELLTGRRPADRSSYGADCLVDWARQALPRAMAAGGGGGYDDIVDPRLRGEYDRAEAARVAACAVACVRHAGRRRPKMSQVVKVLEGDVSPEELGDGARPGQSAMSSSSGDSSSGSGSYTAQMERVRRTAASPASPEYYSSEYQGYGCPSPASSAGDNASSGEHDNQWRKAHR >OGLUM07G14410.1 pep chromosome:ALNU02000000:7:16992628:17013455:1 gene:OGLUM07G14410 transcript:OGLUM07G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVTTTATMTILCVLSFTDSLSYLPRVPGQERQQKGEQPQLPLLGRRALPSHPLPSPRSVRGEETAAREDRRGEHGSALAAARGARAWGLGRWSATVSLDLVTIDAARPRGAWLTIQIYKIAITIHKSAIMILRPGNELA >OGLUM07G14420.1 pep chromosome:ALNU02000000:7:17015844:17023139:1 gene:OGLUM07G14420 transcript:OGLUM07G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPRHAHTRDGTGRCCATGTVPRHGAARPDRFRASVPRRRSPRPCAAALYHAAALPGCGSEQCRTAVLRDRRSRRMKARHRLGLAFPSCGGAAALLGHGGDGAAMLPLRRQSPLVTTFSPGVWQSAIMQSPSKISYAEV >OGLUM07G14430.1 pep chromosome:ALNU02000000:7:17031288:17032166:-1 gene:OGLUM07G14430 transcript:OGLUM07G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLRVVKQGRGMAVVAQSGGRGLSMAATSAGAAGGGDLVPKLLRLGCPQPGAAAAPLVAGAGVTTTTATAMAGAAKGATFPPRSGAMAKQDLVGVEKELRFGSAAMAAPTPTKGAKGAAFPRPGPGALVTLDLVPKKLSFDPLPGAAAVGVGEAPKKVVTSSPSSPPKNARLYGTGSSRGGWPCQAPPEALDNLERRILDDMKQSHEALKTSINDHTTKNILGVEAAIAEMGLAMFGSLNTAQACWLAVRFDLMCVFCYKKYCSLILISNQY >OGLUM07G14440.1 pep chromosome:ALNU02000000:7:17032980:17035295:-1 gene:OGLUM07G14440 transcript:OGLUM07G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMALRSLARKVMPAPATLRRAPPPSRSLHRHSLEDKLRGTASPGTTAPTGTTFEERNPELYAELVKRLSDQKRNSRRMRLAGASAYCVVFVTLLYLGDREKRLFEKSFGVGK >OGLUM07G14450.1 pep chromosome:ALNU02000000:7:17043333:17050699:1 gene:OGLUM07G14450 transcript:OGLUM07G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >OGLUM07G14450.2 pep chromosome:ALNU02000000:7:17043333:17049859:1 gene:OGLUM07G14450 transcript:OGLUM07G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:succinate dehydrogenase 1-1 [Source:Projected from Arabidopsis thaliana (AT5G66760) TAIR;Acc:AT5G66760] MWRGCVSRGLRSLSKGKGSSSSAPVSAAARLFSTASSSYTVVDHSYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDSEGTCQGVIALNMEDGTLHRFRATNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTMKGDNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAETAKPGEKQKPLQKSAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLITKAWESYHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEQWMKHSLGYWENEKVRLAYRPVHMNTLDSEVESFPPKARVY >OGLUM07G14460.1 pep chromosome:ALNU02000000:7:17049471:17053300:-1 gene:OGLUM07G14460 transcript:OGLUM07G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT2G21710) TAIR;Acc:AT2G21710] MAAATLPPSHHRLHLHLHLHTPKPSQPRRLRLAAAASRLQNPTTTHYPNLPPPAPPPSTSLVAAEEASLAPRRTYRFPGSVPSSSPTLPDTADGGLAAAAAVADDAVLRRALEVRRAVAGEVLVAALRGGKVGGMTYIRNLTSRMAPFVDRVVVEAAAMRRDRPELAHQSFNARARVYIEESGLVALVKWFKHNSMTYPQIAKVVCSSSGNLEKVRRMIKWLRSIHVKGEYLGRVLAKGDTFLNRSFEELEEIIYYLESCGVRKDWIGHVVGRCPQLLNLSMDELETRVRFYTDMGMNDNDFGTMVYDYPKALGFFSLEEMNSKVQYLKEFGLTTEELGKLMAFKPQLMACSIEERWKPLVKYLYHLNISRDGMKRMLVVQPTIFCLDLETVIAPKVQFLQDIGVRSDAVGGVLVIFLMTKAAVKQEDIGKVIALDPQLLGCSIVRKLEVSVKYLRSLGIYHFVLGQMVTDFPTLLRYNVDVLRPKYQYLRRVMVRPLIDLVEFPRFFSYSLEDRIVPRHQTLVENRINMKLRYMLTGSDEDFAQRVREAVERRARFEAGNVEASDSPATTDGAEATAPAVAASQDYWEADTSYIDRAGRAKL >OGLUM07G14470.1 pep chromosome:ALNU02000000:7:17054129:17058467:-1 gene:OGLUM07G14470 transcript:OGLUM07G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVRLRRLLVVVILVVVEVVVAQQQQQQERLASGSDLAGLFSLRASLGIRAREWPARVDPCGGRWVGVTCLGGRVVGVSVAGFRRTRVGARAPRFAVDGVRNLTALEVFNASGFPLPGEMPAWFGTGLPPPLAVLDLRSAAVNGTLPPDLGVSGNLTSLLLSGNSLSGAVPGSLLSVPGLRFLDLSGNNFTGVLPNVTALAGGGAASLFNVSGNSLYGVVSDAIGPLKGRFQVVDLSSNYFDGVWNVSDGNVDVRMNCFSGAPGQRNRVDCEEFYRRAGVRLVDALAPAPSPETSPGTTTKNNKSRISKGVLIGVIAAAATLMVVFFGALVFCLARQKAGRRSGRGRGVDTNEESTRGVRRRDSSVNPVTSPPVAVSPSANCGHKDPVVVSGEFTFEQLVHATGGFGDDNLLKHGHSGDIYHGVFESGSQVVVKKVNAQSVNKHAGELDFYKRYSHERIVPLLGHLAKDEEEFMAYKYMPKGDLTNALHKKPVDTEDGLPSLDWITRLKIATGVAEAMCFLHDECRPPLVHRDIQATSVLLDDKFEVRLGSMSDVCAQQSGGSQSVFSRLLRSSRSLDKNISGPPATCSYDVYCFGKVMLELVTGKFGVSGSNDAASEEWMTNTLNRIDMNDKESISRIIDPLLIVDEDHLEEVWAMAIVAKTCLNSKPSRRPSARYVLRALENPLKILRMASRSNSARLRSSSSRSSWQSAFLQGNRYQSLETASSSGQMLDRKHSTRSHGSGGETSFSFKRASREIAPEPEGFEENVVV >OGLUM07G14480.1 pep chromosome:ALNU02000000:7:17061523:17069497:-1 gene:OGLUM07G14480 transcript:OGLUM07G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSILSNRAIQILVVGKQPLESVRRYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLICEYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >OGLUM07G14480.2 pep chromosome:ALNU02000000:7:17061525:17069497:-1 gene:OGLUM07G14480 transcript:OGLUM07G14480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKLRKQRSGRLIETLKMERVRTILTHRYPYPHEHSRHLMIAVFAIWLFFVSSDNLQTLIMKLDKNFKWWSMYIAWIFLAALYHLPSFQSMGLDLRMNLSLFLTIYISSLIFLIVFHVIFLGLWYLGLVSRMAEKKPEMLTIIQNCAVISIACCVLYSHCGNKTITRDKSIDRRTASWVAFSLWKKHDDNSLISKLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYEELASNGSGHSNDISPVYSLWATFIGLYIANYVVERSTGWALTHPLTMSEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMVSVTLFVGRFDMRMMQAAMNKTPDESKSSDLFYDHLDGKDELWFDFIADTGDGGNSTYAVARLLAQPSLAIKSDGSRQTFPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRKYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKRSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCQQKVGESDSVILITHEPNWLLDWYWGDKTGTNVEYLICEYLKGRCKLRMAGDLHHYMRHSFIESKEPVHVQHLLVNGCGGAFLHPTHVFENFREFYGNKYETKIAYPSYDDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFRILREDSWADRVNSFFTAMWNVVFEILEHSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAVAHLTSAVILMLLMELAIEICIRNNLLATSGYHTLYEWYRKVESEHFPDPTGLRTRLEQWTLGLYPACIKYLMSAFDIPEVMAVTRSTICRKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYICINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTFAVDKVPKDWMLDPDWDMEPKEPFQMSYTRKFPSKWRAASGSDPTNAVRIVDHFVIPRTPPDSPTSGSAS >OGLUM07G14490.1 pep chromosome:ALNU02000000:7:17073587:17076529:1 gene:OGLUM07G14490 transcript:OGLUM07G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRTAAPMAAAAMFRLSAPPRHAARLARFLGGASSASSDAAVASGEFVPWHNGGGVLHRAASVDPTVVVEAGAVVHSGAVLGKDVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVGDDGQMLHAKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRRYLNFHVNYLVHVF >OGLUM07G14490.2 pep chromosome:ALNU02000000:7:17073587:17076238:1 gene:OGLUM07G14490 transcript:OGLUM07G14490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRRTAAPMAAAAMFRLSAPPRHAARLARFLGGASSASSDAAVASGEFVPWHNGGGVLHRAASVDPTVVVEAGAVVHSGAVLGKDVVVGSGAVVGPSVSIGQSTRIWYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVGDDGQMLHAKIGNHVEIGANTCIDRGSWRDTVIGDETKIDNLVQIGHNVVIGKCCMICGQAGIAGSATLGDYVTLGGRVAIRDHVSIASKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRIFSKKDGGRRYLLSEADAYPILSNGITDIFYLNI >OGLUM07G14500.1 pep chromosome:ALNU02000000:7:17085706:17090116:1 gene:OGLUM07G14500 transcript:OGLUM07G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHHHHLAVVLLAAVAAAATAAAGGEADALLAVKAALDDPAGALASWTTNTTSSPCAWSGVACNARGAVVGLDVSGRNLTGSVPGAALSGLQHLARLDLAANALSGPIPAALSRLAPFLTHLNLSNNGLNGTFPPQLSRLRALRVLDLYNNNLTGALPLEVVSMAQLRHLHLGGNFFSGGIPPEYGRWGRLQYLAVSGNELSGKIPPELGNLTSLRELYIGYFNSYSGGIPPELGNMTDLVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPRELGKLASLSSLDLSNNALAGEIPATFADLKNLTLLNLFRNKLRGDIPEFVGDLPSLEVLQLWENNFTGGIPRRLGRNGRFQLLDLSSNRLTGTLPPDLCAGGKLETLIALGNSLFGAIPPSLGKCTSLTRVRLGDNYLNGSIPEGLFELPNLTQVELQDNLISGGFPAVSGTGAPNLGQISLSNNQLTGALPAFIGSFSGVQKLLLDQNAFTGEIPPEIGRLQQLSKADLSGNSFDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNQLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHPGAPGTDHGGRSHGGLSNSFKLLIVLGLLALSIAFAAMAILKARSLKKASEARAWKLTAFQRLEFTCDDVLDSLKEENIIGKGGAGTVYKGTMPDGEHVAVKRLPAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKVAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGKKPVGEFGDGVDIVQWVKTMTDSNKEHVIKILDPRLSTVPVHEVMHVFYVALLCVEEQSVQRPTMREVVQILSELPKPTSKQGEEPPSGEGAVSDLVVPAESAEANEAKEQQQQQLNSPSSPPPDLISI >OGLUM07G14510.1 pep chromosome:ALNU02000000:7:17106239:17111914:1 gene:OGLUM07G14510 transcript:OGLUM07G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSLSS >OGLUM07G14510.2 pep chromosome:ALNU02000000:7:17106457:17111914:1 gene:OGLUM07G14510 transcript:OGLUM07G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSLSS >OGLUM07G14510.3 pep chromosome:ALNU02000000:7:17106235:17111914:1 gene:OGLUM07G14510 transcript:OGLUM07G14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMERQETKVATTTAAAFNLAESGYGDRPDLDDDGREKRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSLSS >OGLUM07G14510.4 pep chromosome:ALNU02000000:7:17106712:17111914:1 gene:OGLUM07G14510 transcript:OGLUM07G14510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAADERLAAGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPAVLVAFSVITWFCSSLLADCYRSPDPVHGKRNYTYGQAVRANLGVAKYRLCSVAQYVNLVGVTIGYTITTAISMGAIKRSNCFHRNGHDAACVASDTTNMIIFAGIQILLSQLPNFHKIWWLSIVAAVMSLAYSTIGLGLSIAKIAGGAHPEATLTGVTVGVDVSASEKIWRTFQSLGDIAFAYSYSNVLIEIQDTLRSSPAENEVMKKASFIGVSTTTTFYMLCGVLGYAAFGNRAPGNFLTGFGFYEPFWLVDVGNVCIVVHLVGAYQVFCQPIYQFAEAWARSRWPDSAFVNGERVLRLPLGAGDFPVSALRLVWRTAYVVLTAVAAMAFPFFNDFLGLIGAVSFWPLTVYFPVQMYMSQAKVRRFSPTWTWMNVLSLACLVVSLLAAAGSIQGLIKSVAHYKPFSLSS >OGLUM07G14520.1 pep chromosome:ALNU02000000:7:17114898:17119642:1 gene:OGLUM07G14520 transcript:OGLUM07G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding PCRSFPPSAAAAAGHLQCSPVFSTTWSRAERRTSCGTSSGITVSEREATPGRQIPSPYSSALQQSLHIPTASSLSIFQHTPADSPYSTLHITTASSQQLFCNTIYRLLYLSTRPPQHSSSLFSSSPPHHK >OGLUM07G14530.1 pep chromosome:ALNU02000000:7:17115781:17119419:-1 gene:OGLUM07G14530 transcript:OGLUM07G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREFCEEMESQICQFGTFLEVNNQHSATPKGKTIPVKCTDCLPGVASRSLTVIPLEVPHDVLLSAREAKTQYNSTFEHNLSGTNHYRMVRRGRRKGVRYIEEDRDRSLTLSKRRDGLFKLANDLSLLTDASVAICLHDNNKAQFFGAPSVKPVVDAFVSEAEPFADEQLKAKLTSMQSELVQLENEEEEKDKKTEESIQRFKEAQEESLGMGMTKHLFSRLEDLSHDDMRELLDVLLPLQQDFKKRLPPLRRGSKLQIGGSSAWAHQQPSCSHFLASHRPFTPLLPGGTSGVPMIPPPPVPGSPWSHIFPLRPPLFPSPELVPSQQLPPVSPPQNTVAPPPMHAPLVQQPLTNQSSAVPLLTQWQMRFGDQPPAEVQACTPIEQPQNDNAVHTPTFSDSFLSELLADVSDDGIATAEPLCSPPIDDQFLADIDWLAELDTIDGNL >OGLUM07G14540.1 pep chromosome:ALNU02000000:7:17120423:17123650:-1 gene:OGLUM07G14540 transcript:OGLUM07G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G13410) TAIR;Acc:AT5G13410] MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPGGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNDKDPFH >OGLUM07G14540.2 pep chromosome:ALNU02000000:7:17121333:17123650:-1 gene:OGLUM07G14540 transcript:OGLUM07G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G13410) TAIR;Acc:AT5G13410] MDLVRALAAIPARHPLPPSSLTKARRHGPQPSTTVLAPVPGGMLDRRRLLLIPAISISIGSFDKGAAKAEFADMPALRGKDYGKTKMKYPDYTETESGLQYKDLRVGDGPSPKKGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKIGSGQVIPAFEEAISDMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPSQ >OGLUM07G14550.1 pep chromosome:ALNU02000000:7:17128642:17130803:1 gene:OGLUM07G14550 transcript:OGLUM07G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRVLVGNLSLKMPVAPRPAGAGAGVHPSTSPCYCKIRLNKLPYQTADAPLLLPPSPEASAAPAPAPATGALAAAFHLSKADLDRLTAKPSLFGSRTARLKIVVYAGRRGTTCGVGGGSGRLLGKVVIPLDLKGASAKPVVYHSSWICIGKRGRKPSSVSAANAQLNITVRAEPDPRFVFEFDGEPECSPQVLQVQGSMKQPMFTCKFSCRSNSDLRSRSMPADMGSGGRNWLMAFGSDRERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSKSNPGAWLVLRPGDGTWKPWGRLECWRERGAGAAAGDGLGYRFELVLPDPTGMGVGVSVAESTIPASKGGRFAIDLTATQQFGRSGSPACSPCGSGDYGMWPFGSCRGFVMSAAVQGEGKCSRPAVEVGVQNVGCAEDAAAFVALAAAVDLSMDACRLFSHRLRRELSASRSDLLR >OGLUM07G14560.1 pep chromosome:ALNU02000000:7:17139778:17144834:1 gene:OGLUM07G14560 transcript:OGLUM07G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRERTLHLWLVEGKQAKRREVADWPKIVVDCVSCTYGSTCGWVEGKQAKRAPLVAGSKIKFDLAAHWYYA >OGLUM07G14570.1 pep chromosome:ALNU02000000:7:17146735:17148774:-1 gene:OGLUM07G14570 transcript:OGLUM07G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVLLLMMNFVMMVIATFPEFTYNGFSGARNLLLDGAASVGEDGILSLTNGSGGAQQQGRCFYPYPIPLQYTGGETRSFTSTFVFAVTCSGSGSCGDGMTFVISSTADFPAASSPAYLGLANPHDASSNPFVAIELDTVADPDATNGNHVAIDFNSLTSNLSRPAGYIYDDEAAVGNSSFQALKLSSGDPMQVWVSYDAGAARLDVTLALVPMFMPSVPLLSYNVSLSRLLSAADDSPMATKAYFGFTASTGGDPGGAGATHQVLGWSFSNGGLPLDYSLLPLKRAHQGQDDSRSRRANRKSFVTWLPAAASMLAVLAAMAACLVLRWCWKKNARSRENWEAELGPRRFAYRDLRRATDGFKHLLGKGGFGRVYGGVLSASGMPIAVKRVSSESRHGMTQFTAEIIILGRLRHRNLVRLLGYCRHKEELLLVYEHMPNGSLDKYLHEHTYSSNCRTLGWPQRLHVIKCVAAGLLYLHDDWEQVIVHRDVKASNVLLDADMNGRLGDFGLARLHDHGADAHTTHVAGTRGYLAPELTRFGKATKATDVFAFGAFVLEVACGRRPMGHNARGELLVLVEWVRDAWAAGGGGSVVDTMDPRLEEYSGEEAELVLKLGLLCSHPLPAARPGMRLVMQYLDGDVPLPEFSPDYLCIKDVDQVQVGEYTPSVATTITGLSGGR >OGLUM07G14580.1 pep chromosome:ALNU02000000:7:17149912:17154941:1 gene:OGLUM07G14580 transcript:OGLUM07G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVVGGGDGWWQWRCEIRRSVGKSSAGASSCWRRRQRCHPRLGGRRLVPCVGCGGFSCPSLVPPPRLLPLVPLHQSHLRRLLLSLTSSMMVLVLGGLASLLCLISRNHAEFVIRVELGPHARFRSIGFLLEFLRFNDELRGEPLLSPVKLTPISTAQHHTSVLCRFRGGSRDGDYRFVKRFARLMRL >OGLUM07G14590.1 pep chromosome:ALNU02000000:7:17166205:17171002:-1 gene:OGLUM07G14590 transcript:OGLUM07G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDDDWFWAPTPVVVGETTSKPQPPVAGKTKKVEEQHPRRPGEPDCSYYVKFGSCKFGISCVYNHPDPRRQHGADDKKPEQFPRRPGEPDCSYYVKFGSCKFGMNCRFNHPTRMPVPPQEYFPGNACHCHHSEGKSKVEQVNLNVLGLPLRAGTWLCSYYMNRGICKFGTNCKFDHPDPGSDHEKWVVSSNANQVSSQVNIYSVLDHEESNEHTFTSEEVHQPRNPSFHKRISYTRDQLLQLGQNVEVPKDILKFCQDTNVELNGEDKISGFGAEKDHVQTPSYKRFDAIDSRDWHSRSAQTNWEQKFWDKFSEAKQLYAISWKQEKFNKPDQSSFHFDSKVQDDPISVLVKAEVPLSIQRGIISGKDEDVINLIFEMVVAEPAFCSMYAQLCTYLNQNLTPFPPEDCDCEEITFKQALLNKCQEIFESAHTVRAEIDKLIGQDREMEQPDKERVVKLETLGNIHFIRALQKKKLITNKIIDHIVQAVMDCGKFRFEPLGKVDLLNIIFEGMLDSDSAGAESNICVNVMIGGNKSSIAANDVEMTRKNWHRIQRYDTKCHYQTRLYPMSENQLENTNSHQKLFI >OGLUM07G14600.1 pep chromosome:ALNU02000000:7:17192130:17197070:1 gene:OGLUM07G14600 transcript:OGLUM07G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWYEKLSQSFRDTANEVLAKADIDPNVRCFTRRQMKHITNNYGTVLGKGGFSVVYKGKLDNGRSVAVKQYNWRTQKKEFTKEVIIQSQCSHRNIVRLLGCCVEADAPMLVTEFVPNGNLSELLHGKSGQLPVSLETRFQIALDVAEALVYMHCSQNHPILHGDIKPSNILLGDKHVAKLCDFGISRLLCMDNDEHTGFVIGSKGYMDPVYCETGRLSPKCDVYSFGVVLLELITRKKGIDDQSRNLAGMFARSSRDKRHELFDKEIAADENIDFIEEIANLALDCLKSEIEDRPQMKEVLKQLWSIKRPEILRQERRLAELRERRIMTLREIEVMLCGSGFERFVTKADIDSIIGDPEQESTSETFSGKSDVAMGKVYMGRLKNTPLIVIKMSVEVDEDWKQTFFYEMIMQSRIKHWNVAKLFGCCLDHVDAPVLVYEYGEMSLHDALFGNAWQSIEHPFISYVRLQIATDAAEGLAHLHSFDMVHGDVRTANVIVDVFSGSKLEMPRISTFRAKIAGFGTTKLLSLDKAQYARFLTENIHYKDPHFLITGLMTKEHDVYGFGGVLVELFTGNMIQMHDINTVIKYFDSVFAICHHLEEIKELASWCVAPEVTERPTMAKVVRCLHDILKDQQRPCPCPCKSMH >OGLUM07G14610.1 pep chromosome:ALNU02000000:7:17197408:17201020:-1 gene:OGLUM07G14610 transcript:OGLUM07G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein [Source:Projected from Arabidopsis thaliana (AT5G51150) TAIR;Acc:AT5G51150] MPFLSTPSFDLSAGAEPTLGPRSPPPPPDAAHPPTPQQAAPASEAAARRLREAEERLREAIQELHRHQHGGGGDGDGDGKGVDGDEEGGGGGGGGWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRFRKKETPFNAILAGSVAGLAIVALDDSSRRRTLSLYLLARLAQCAYNSAKSKNRFHFWGSHWRHGDALLFSLASAQVMYSFVMRPESLPKSYQDFIQKTGPVAEPVYKAVRDCCRGGHVDLIGLSAYLANKKNSNLINLTKSPPIIPCSVIHPDRASCLAHNVSVSSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTFFQAAICLHRKVATKDHKLVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRHLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPNPNASYSYLQKLNVLEQPRTQQGPENGLTSSEKYNLEAIPGL >OGLUM07G14620.1 pep chromosome:ALNU02000000:7:17203806:17209198:1 gene:OGLUM07G14620 transcript:OGLUM07G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) TAIR;Acc:AT4G17050] MERTEVEGEAGQVGNGIRVTKWGPPTNHRPRVCRRRRLTSTPLSLSSPLLSSPPQIPQKKKKPRHREHAARLLPPPAPGEKMMLPRLLLLVVASALPLASVAAGAVGVGEGFCSAEPSAASGGCSGVRPPLYWKATNPTLAPAHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRDPLI >OGLUM07G14620.2 pep chromosome:ALNU02000000:7:17203806:17209302:1 gene:OGLUM07G14620 transcript:OGLUM07G14620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycine aminohydrolase [Source:Projected from Arabidopsis thaliana (AT4G17050) TAIR;Acc:AT4G17050] MERTEVEGEAGQVGNGIRVTKWGPPTNHRPRVCRRRRLTSTPLSLSSPLLSSPPQIPQKKKKPRHREHAARLLPPPAPGEKMMLPRLLLLVVASALPLASVAAGAVGVGEGFCSAEPSAASGGCSGVRPPLYWKATNPTLAPAHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWINTLGAYLISPAIGAHFTMYLAKMHDGSKSALPPKGVERLIFVLQGSILLSEESGNTHTLLVDSYAYLPANMKHSVISDEVTTLVIFERRYTTIEGYHPDLIVGSTDKQPLLETPGEVFELRKLLPTSLPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDTIWMAPFVPQWYAALGKTKTRYLLYKDVNRDPLI >OGLUM07G14630.1 pep chromosome:ALNU02000000:7:17213169:17217839:1 gene:OGLUM07G14630 transcript:OGLUM07G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0AK45] MYKQGGGGGGGGGAGLDRKRISDALDKHLEKAVAAAAAAASPSTSRGSAGGRGGGDHQRLVVPSSASSMPKGRCSEGESESDSEASDVSGSDGEDHSWISWYCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >OGLUM07G14640.1 pep chromosome:ALNU02000000:7:17222560:17225851:1 gene:OGLUM07G14640 transcript:OGLUM07G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFFYSREKNKNKNKKQSRSSPTLTVDKDDFRDEMSQKANRSCSLVVASSPRSILELYEERAHELRDFRLAELRSSTGNFSRELKIGEGGFGSVYKGFLKTSCGHLGLRNDSGNVVVAVKKLNPNGMQGHKQWLAEVQFLAVVDHPNLVKLIGYCRTDDGEQGPQRLLVYEFMPNKTLEYHLFNKAYPTLPWKTRLSIALGVAKGLQYLHEGLEIQVIYRDLKSSNVLLDEEFRPKLSDFGLAREGPVDGQTHVSTAVMGTYGYAAPDYVETGRLTARSDVWSFGVVLLELLTGHRAFDRSRPRPDQKLVDWARRHPAGTRWFSRLPDPRLAGRYSHRAAQDVAALAWRCLADRAGERPSMAEAARALERAARHGELDGPPEPPEEGSPPPPRCHAGSGSSSPEAKKAATAKRRRMAHLAKLAAGASAAPAARRLVHMKMTKAAATCNAGTQHVET >OGLUM07G14650.1 pep chromosome:ALNU02000000:7:17224555:17225865:-1 gene:OGLUM07G14650 transcript:OGLUM07G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRACVACSCCLRHLHVHQPPRRRRRARASRQLGQVRHSPPLRRRCLLRLRGGGTGAGVAARRRGRSLLRRLGGTIQLAVPRRALQGPRRLRHGRALPGAVGEAPPRERRDVLRGAVRVPPRESRVGEPREPPGARGVAARPVDELLVGAWPAPVEGAVAGEELEQHDAEAPDVAPRREAARLHIECK >OGLUM07G14660.1 pep chromosome:ALNU02000000:7:17226822:17229037:-1 gene:OGLUM07G14660 transcript:OGLUM07G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit [Source:Projected from Arabidopsis thaliana (AT5G47030) TAIR;Acc:AT5G47030] MLRHAARRLATTRAAAAAGRSSRALSTAEVPAEAATDSAFAEAWKKVAPNIEAPATPMSLMQPRPPTPAAIPSKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVSTIAELKPGVLSVHEGNDITKYFVSSGFAFVHANSIADIVAVEAVPLDQIDPAAVQQGLAEFNAKLGSASTELEKAEAQIGVDVHSALNAALAG >OGLUM07G14670.1 pep chromosome:ALNU02000000:7:17230494:17235277:-1 gene:OGLUM07G14670 transcript:OGLUM07G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLTVNFVLPYKSEIANKELTVDFFILPSNSKQADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGDYGYVTVFI >OGLUM07G14670.2 pep chromosome:ALNU02000000:7:17231974:17235277:-1 gene:OGLUM07G14670 transcript:OGLUM07G14670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLTVNFVLPYKSEIANKELTVDFFILPSNSKQADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVK >OGLUM07G14670.3 pep chromosome:ALNU02000000:7:17231974:17235277:-1 gene:OGLUM07G14670 transcript:OGLUM07G14670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHAARRLATTRAAAGRSSRVLSTAEVPAEAATDSAFAEAWKKVAPNIETPATPMSLMQPRPPTPAAIPSKLTVNFVLPYKSEIANKEADCYGSWRRQSALAIHHLPMGTIAAKILPWEAPSRETLLRTINAALDDGNVDDVLQAFANYKTLHGLPEPRVLDRMIVSLSYASSRRWLQRAFDMVLSVYQCNGNLLNCGSLMKLALALARDQMPIPASTVVRIILESGKLPDVDMLTMVYLHMVKSQVGSYLAADVLCETCECFLEQIGDRRQLKKLDPIKSNVTLFNMVLKSCVDFKCMIKAQRIMELMSLVGVVADVNTVAIASLVFEMVGQRVELVNMKRSIDSFASLPFIQHYLYFYGSLLNLHFKYNDMDAAAQLLVDLYRQQKPRAFVGDSVHKQGVIQIGSGNLKTGFRIMFDPIKVDKGFVLDTESQFGLLAVIDGNIRPSEKALAKFIVGCLKASKVRALSSFLITLHKEDLKGPSHSDVISACILMGWLHAAHDILDDLESAEIPVLICTYMSLLRAYEKENKPEEVNRFLQQIQKKAYTMADFHTNPSFTIKDVAKIVKDEMPLRNSSLLSSLVQEIEHYSSREHLTFEFNNSILFFCKANMMDDALSTYKRMREQNVRPSLHTFCHILCGYSSLGMHREIAMLWGEIKRRLEYGELTVDRDLLDCLILNFLNAGYFARVMEVLSYMANRKMYCDKWKYKQVFLKLHKNLYRNLNLLHEKTEEQSKRIEDVRAFRSWAGVK >OGLUM07G14680.1 pep chromosome:ALNU02000000:7:17239891:17240860:-1 gene:OGLUM07G14680 transcript:OGLUM07G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRAFAYYRQSSPVHTEAEAEVGSWLFSTNCLVSYESSLHRVFNSVVFLPVSRIPVKTQEDWGKYI >OGLUM07G14680.2 pep chromosome:ALNU02000000:7:17238010:17240860:-1 gene:OGLUM07G14680 transcript:OGLUM07G14680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLRAFAYYRQSSPVHTEAEAEVGSWLFSTNCLVSYESSLHRVFNSVVFLPVSRIPGGGGGAGWTDGGGGKRWAGVDSGHVGGMHGAVETLLRRREFARVPSRSLPKFAAPSFVSRAAATFVSPAAAATCASRVPRRHPPSVADP >OGLUM07G14690.1 pep chromosome:ALNU02000000:7:17248982:17259414:1 gene:OGLUM07G14690 transcript:OGLUM07G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREATTPPAPSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >OGLUM07G14690.2 pep chromosome:ALNU02000000:7:17248982:17259414:1 gene:OGLUM07G14690 transcript:OGLUM07G14690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense transcripts 1 homolog [Source:Projected from Arabidopsis thaliana (AT5G47010) UniProtKB/Swiss-Prot;Acc:Q9FJR0] MATQPPSSSAAADLYETASQPDPPASAAGDAYTFLEFNTQGDDFDYPDFPELSQPARSAPPTSAPGVPGSAGSPSPSSSSWPPPPPPPPDASQDPDLAPREATTPPAPSSSPSPRASAKARASAAAADGLASGVAALSFEEPLGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKAENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQQVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDSLTVRWDIGLNKKRIAYFVFPKEDNELRLVPGDELRLRYSGDSSHPAWQSVGHVIKLTAQEEVALELRASQGVPVDLNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREILQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSDFPSNCFYEGTLQNGVTVNERQTPGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFLGGGQGVMQGASFGAAGTNPVADKRSGRGKGHSFVPFGPPNGAHKPGVHPSGYPLPRMPFPPFAGAHSQPYAIPTRGSLHGPIGAVPPVPQPGNRNFGPRGNTGGPIGGHLAHQQSSQQAMGGMGSAFNFPGLENPSSQPSGGGPMSQTGLMTQDFFGDDFKSQGSHVAYNIADFSTQASQGGYGVDYSQGPQSGYPGNYLNQNAHPGYSHMGAANDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHFGMAGPGLQSQPMMNPLYSQSYAHYNTQPQSLQPPPQ >OGLUM07G14700.1 pep chromosome:ALNU02000000:7:17264836:17266160:1 gene:OGLUM07G14700 transcript:OGLUM07G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIEFRRRVQAGGDSRHGKRVGRGGASGRGGHLKEDTLSKEYIRVQVTHGIDEIGGIEPPRSIPSIGNFAAHDDVAGELAIFRGTSTSWVVVAGAVSLAPVVVAGAAPVAVEIAVGELGFADNGEWGTEMVEPDRDGWLVSSQPGA >OGLUM07G14710.1 pep chromosome:ALNU02000000:7:17268076:17271326:-1 gene:OGLUM07G14710 transcript:OGLUM07G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G44610) TAIR;Acc:AT2G44610] MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLKSSNANSSQSQAQAGGCSC >OGLUM07G14720.1 pep chromosome:ALNU02000000:7:17276391:17285483:1 gene:OGLUM07G14720 transcript:OGLUM07G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 1 [Source:Projected from Arabidopsis thaliana (AT2G13560) TAIR;Acc:AT2G13560] MAAASISRSLLRRSRCGGGEHHLLLLARGFVTAECHRPVVLHKRGSDILHDPWFNRGTGFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDMQRLQKYARDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGEEYVAIIDEFMEAVFARWPNVIVQFEDFQSKWAFRLLQRYRKTYRIAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEERTNIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPEEAFSILGEKIIFASGSPFSDVDLGNGKIGHSNQGNNIIGLGTLLSGARVISDGMLQAAAERLASYMKEEEVLEGIVYPPISRIRDITKEVAAAVVREAVAEDLAEGYRDMDARELARLSEVEETVEYVQQNMWSPVYPTIVYKKD >OGLUM07G14730.1 pep chromosome:ALNU02000000:7:17287149:17288833:1 gene:OGLUM07G14730 transcript:OGLUM07G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin-like B1 [Source:Projected from Arabidopsis thaliana (AT4G17030) TAIR;Acc:AT4G17030] MAQLLRRHLPVILSLILFLSKATADANFTVSRAAYYPNSDIKGTENGACEYGAFGATLNNGDVSASASLYRDGVGCGACYQVRCTNPYYCSPNGVTIVITDSGASDGTDFILSQHAFTRMAQSTDAGTALLTLGVVGIEYRRVSCTYPNKNIVFKITESSNFPNYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLSRTHGAVWATVSPPSGPLSIRMLFSSGAPRGGDTWLVPTNIVPQNWTAGATYDSGVQVQLQ >OGLUM07G14740.1 pep chromosome:ALNU02000000:7:17295281:17295904:1 gene:OGLUM07G14740 transcript:OGLUM07G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLADPAAEGFRIPFLPSDSDLLDCLLRPKIASGRVDSRFAPLVHDVADAFALPPAQLAATHAPAPGAAGAEAWYFFSARPRARARAGSNRAAARAVGGGRRWCSVGAKKAVEGGGYCQRFRYKERTASGVVAPRWMMVEYGVAQEHDGEGVAQEHCGEGVAELVLCKIFRSPEPSRRPESGSPSSSSSASASPSCSGGRKRKAAE >OGLUM07G14760.1 pep chromosome:ALNU02000000:7:17305419:17306411:1 gene:OGLUM07G14760 transcript:OGLUM07G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXORDIUM like 1 [Source:Projected from Arabidopsis thaliana (AT2G35150) TAIR;Acc:AT2G35150] MGRLPLLLLLAAAAISTAGGAPVYRADYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEAAAQAVLRDFLASLSAPAAPFPAVSDWWARAPRLYADQTGANVTGAFAVAGERSDAGYSHGASLRRIDMQSVIRSAVYAYPDPLPLDPYSGVYLVLTSPDVQVEEFCRAVCGFHYFTFTSVVGVTVPYAWVGNSGTQCPGKCAYPFAAPDYGGGGGGGGGGQVLRPPNGDAGVDGMVIVLGHELAELATNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGLVGNVSRAADGASYNVNGVNGRRFMVQWLWNPVRGACYGPNSSS >OGLUM07G14770.1 pep chromosome:ALNU02000000:7:17308450:17315100:1 gene:OGLUM07G14770 transcript:OGLUM07G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALVRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLRFLEAYEPGWTASQQDLELSFTEP >OGLUM07G14770.2 pep chromosome:ALNU02000000:7:17308361:17315100:1 gene:OGLUM07G14770 transcript:OGLUM07G14770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G12940) TAIR;Acc:AT3G12940] MDDAEANILASLGRVRLCDLAAADGLPSDGYKMCVSALTQSLAQYSAAVVELPPADGALVRSGLDSARLFFHQRGYGSGEAGGGGGGGSGDAREWCKTSGYYADPQMWLEMYDFRPGITPVEPNGVAELPPSGLPDMFSVLGKVSREVLDAISFSLNLRSSTFTELLDNIPLRSQEVSSSVLSACCHSRPSMEGAQQHSVASQDDEQLLMFSDQENQIDKTLLTLVKSDRSGLYIKDLQGRWILVDGDLGPLDIVVYPGLALYRETAGYVNPAVHKTEVGNLQECMFGRCSLVFKLMPRSVARLSGEEMRAAGHGVDAQFQVPILVNDFMQTEHSANQLFPKNNEPSPHVEQEASYNFVMKRKKEGRKTKALPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCSLRDCESHIKSLDRPCENIRTEIGWPPGVPFVHPHDLPNKAKLRFLEAYEPGWTASQQDLELSFTEP >OGLUM07G14780.1 pep chromosome:ALNU02000000:7:17312841:17325741:-1 gene:OGLUM07G14780 transcript:OGLUM07G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 4 [Source:Projected from Arabidopsis thaliana (AT5G44800) TAIR;Acc:AT5G44800] MKHLNDVRVGSKVARMYLVQANCVGGYKGRYFYECVECDLGGNLLCCDSCPRTYHLECLNPPLKRAPPGNWQCPRCRTKKVSLKLLDNADADTSKRERTRRMRTSTTSDSPSPSPQNKASFNTSRGAAFRDDEPGAKDNEVEKMKPLILHLKKRSTKELSTDTTSSKSGLLGKSSEEKQEKHGSALKVKKHLHPMELSPKKYKNKKQHSHRDSKRSEAKKVQYLASDVDSDSSMEPSTSLEHSESPPPKRKSLDGRTPASSTKKGKKKVKFVDKKHPENAVHITEKEHGGAGDKITTQGDLQVDRILGCRLQTSQIISPAHASSEQIDMAPPSASGAPEPSQALSKGLHEEIQSSNSDTNVTEDACADELANDGGENNLDCSDAQKESNVRSHGHKESLNAKEIMNTASACSADQIVTVKDAGAVQTNVTASVNGEYETVTDIPEEKNDTKHPVSKADTEVHTKQEHTPDSKLHGKIQETELKEHDGTTYEFLVKWVGKSNIHNSWISESELKALAKRKLENYKAKYGTDEIEEALIKWCALPYDECTWERLDEPIMVKYAHLVTQFNKFESQALDKDKGGSHAKPREHQEFNMLVEQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEYKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARARSIIRQYEWHEGDASQMGKIKKSHKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNTLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLASFEEKFNDLTTTEKVEELKNLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGSPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTWEFGPKTFERVDGSVSVAERQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILHLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSDVAVKDNNEASGAKNDVAEVEFKHKRKTGGLGDVYEDRCADGSAKFIWDENAITKLLDRSNVPSTVAESTDGDLDNDMLGTVKSIDWNDELNDDPGATEDIPNIDNDGCEQASEAKQDAANRVEENEWDKLLRVRWEQYQTEEEASLGRGKRLRKAVSYRETFATIPNEALSEDSDEEDEPKREYTAAGLALKEKYEKLRARQKERIAQRHVIKNYADDRLEEFMKLYDSSANPLRIVEDPNPVQPSGAKRLGESTAEMKQSSKKTKRYPEIPQELYAKLPGNAASSKHHPKAADISNSGTPHHLLPVLGLCAPNADQMNSYKGSVCGPSTKEQKRASGELANKPLLTPAVDHSSEQKHDGQPTPCKPMFPGSSEETLRRLNNIIPDSYFPFQPIPPISGKGIGDHVENPVSSIPSFQGKLGLPNFSLEDSIPLKHLKSVPDLFPNLSLGTSNEYLRNCVPELPNSSFLPSFMADIAGTSKQKNKFMADMSGLLPGLAINPVQQIHSSMPENHKKVLDKLMMRAQYSSSKFLKNASNKFLKKSLKPDYWSEDELDALWIGVRRHGRGNWEAMLRDPKLKFLSHRSHEELASRWILEEQKIIEEPMPTATRSSNSTSFPGISDAMMSRALNGSSFSKLRMEPPKLQSHLTDIQLGCNDIPTRFSHVEPTNYMNLSEGGPSLTPWQDFKNRSGHSGDFPGPLDKWEKPDMGLIPPFMPNPFMKESIGSLPINRHSSNSIQQNEAGSSSHESILHGFSDGQAKLFHEMQRRVKLGKLPIEMNLNHTKLSDPLAENSGDFESSKPNKLPHWLQEAVRAPPSKPPECELPATVSAIAQSVCLLLGEQKPAIPPFPIPGPRLSLPKDPRSAPKKRRVHKVQQASSLVDHSKTTVGQGDHNSTPSAPLSMEAAPASPAVIKNSDTPSLNLNSPSSSSAGSRGQDASTPSTFEEPERTMEVSEPASVAAATCPSRPEPPETGTHRTEFSAVDDMDTGSCRSPVRDTPDPDNQKSELSGSGNTPTELSVLPLVDAPGTSSEPAVVPVSSDEESTQEGVPGKAVSTGDQEKRTPPDESENSGAANPVSAAQTADEDKVDEMITDEH >OGLUM07G14790.1 pep chromosome:ALNU02000000:7:17329944:17340632:1 gene:OGLUM07G14790 transcript:OGLUM07G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVARRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQIVVEADGSRVDGGTDMESICEGLRVVAISEGDGEDIAAAEAARVQEKSDEEFARMLQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >OGLUM07G14790.2 pep chromosome:ALNU02000000:7:17329944:17340632:1 gene:OGLUM07G14790 transcript:OGLUM07G14790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVARRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARVMRFAQKVTNSQEAIDSVQATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >OGLUM07G14790.3 pep chromosome:ALNU02000000:7:17329944:17340632:1 gene:OGLUM07G14790 transcript:OGLUM07G14790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptide-N-glycanase 1 [Source:Projected from Arabidopsis thaliana (AT5G49570) TAIR;Acc:AT5G49570] MVARRFVVRQGGGGGGGGEAEEHEVEYDTEHGLDILRLQIFSLTSVPPELQKIVVEADGSVVDDGTDLEAISEGLRLVAITGEEEEAEAAAAAEAARAQEKSDEELARMIQAEEEALLLQQYSIRNDGGEEFRERVEPYMHQVLMYEDPMRQEAARKTVPMDELQEKALVSLAKEGNFSPSKDEEDHAFLLQLLFWFKQSFRWVNAPPCDSCGRETFNVGMGTALPSEIKFGANRVEIYRCNYCSSTTRFPRYNDPYKKLGKDAVENGPIALHSTVEVLDMKLVWWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRIITSEDTVSAILSSITGKYRSGLSIDGLTALENRDKKESEELSKAAYLEVDTSISLPGRQSGSVEWRKARLELSQVESLACSSCPARKCVDAHVSKIYDALSALLSHFCDGDIPKERVIEVFDSLKCLMQNLKDAKFKSRRATLDKKTQLVFEEIFPSVERLLCAMSLKAELGTDGKCSVTTVGNAVHTSLALPVAMDVVDEILSNYKSNAVCTKGHQFPRGNRLCSGSVLASGEQLPIGIATAAFDGIRSSKWEEPDGAKGCWIIYRMLDGQTCELDSYDLMSANDVPERDPMDWVLEGSTDGGSTWNTIDARSSVIFDSRFYRKTFTVDKRYKANAFRFRFLRVRESNGNPRFQIGSIDLYGKST >OGLUM07G14800.1 pep chromosome:ALNU02000000:7:17345825:17347399:-1 gene:OGLUM07G14800 transcript:OGLUM07G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLVRYISEHGHSCWSSVPKHAGLQRCGKSCRLRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASKTLLHGGGGGGAANPSGNGLAQFQSNNGAAAAGTTPFTISSPAKAAAYDVAPPAIPPALYDVVLPANPAGGMLMAHDHHHHHQVAAAAAPVGYPYADHGGNGGGVLMSFRDQNAGVHGAASMDFMNGSSSSSSMEQLGGGGGMSSNGNGSFSASMAAFMDEEAAMWATAVAPPGMGGLAGMDQVAQQQQQQQVLVQDAAVGVAPTTLMMHGGGAATAGAMVVDKSVEMVDVSSAVYGGATATAFDLDLMVESCGMFCGGGGAGNAMEQLQWDC >OGLUM07G14810.1 pep chromosome:ALNU02000000:7:17359958:17360170:1 gene:OGLUM07G14810 transcript:OGLUM07G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGTWLCVGSEGARQQQGDKVAMASLPSSSKSSFARLGSSSSVTPTRLPTRSSAMLIRLARSSLGSLV >OGLUM07G14820.1 pep chromosome:ALNU02000000:7:17370143:17373908:1 gene:OGLUM07G14820 transcript:OGLUM07G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSFSISADSWAPHHSGSKPPLPRASPRPRRPLLPPISSSSPLSFPPRRLEKEEKSRARRPKMPATPTIIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGAVFVNQLVKWDEKLKEDLDKMLERAKQANERRYFDDDDD >OGLUM07G14830.1 pep chromosome:ALNU02000000:7:17374280:17378175:-1 gene:OGLUM07G14830 transcript:OGLUM07G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRFFPAWVVVVMVVVVVLGSCSAAAAAGDGDALMDVKNAFVEDPGGVLAGWGGGGGNSSAFCSWAGVECDAAGARVTGLNLSGAGLAGEVPGAALARLDRLEVVDLSSNRLAGPVPAALGALGRLTALLLYSNRLAGELPPSLGALAALRVLRVGDNPALSGPIPAALGVLANLTVLAAASCNLTGAIPRSLGRLAALTALNLQENSLSGPIPPELGGIAGLEVLSLADNQLTGVIPPELGRLAALQKLNLANNTLEGAVPPELGKLGELAYLNLMNNRLSGRVPRELAALSRARTIDLSGNLLTGELPAEVGQLPELSFLALSGNHLTGRIPGDLCGGGGGGAESTSLEHLMLSTNNFSGEIPGGLSRCRALTQLDLANNSLTGAIPAALGELGNLTDLLLNNNTLSGELPPELFNLTELKVLALYHNGLTGRLPDAVGRLVNLEVLFLYENDFSGEIPETIGECSSLQMVDFFGNRFNGSLPASIGKLSELAFLHLRQNELSGRIPPELGDCVNLAVLDLADNALSGEIPATFGRLRSLEQLMLYNNSLAGDVPDGMFECRNITRVNIAHNRLAGGLLPLCGSARLLSFDATNNSFSGGIPAQLGRSRSLQRVRFGSNALSGPIPAALGNAAALTMLDASGNALTGGIPDALARCARLSHIALSGNRLSGPVPAWVGALPELGELALSGNELTGPVPVQLSNCSKLIKLSLDGNQINGTVPSEIGSLVSLNVLNLAGNQLSGEIPATLAKLINLYELNLSRNLLSGPIPPDIGQLQELQSLLDLSSNDLSGSIPASLGSLSKLESLNLSHNALAGAVPPQLAGMSSLVQLDLSSNQLQGRLGSEFSRWPRGAFAGNARLCGHPLVSCGVGGGGRSALRSATIALVSAAVTLSVVLLVIVLVLIAVRRRRSGEVNCTAFSSSLGGGGNNTNGRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELPTGETVAVKRIAHMDSDMLLHDKSFAREVKILGRVRHRHLVKLLGFVASHDVGGSMLVYEYMENGSLYDWLHGIAAGGGGGGDGERKKRVLSWDARLKVAAGLAQGVEYLHHDCVPRVVHRDIKSSNVLLDGDMEAHLGDFGLAKSVADNRKDFTDSASCFAGSYGYMAPECGYSLKTTEKSDVYSMGIVMMELVTGLTPTDKAFGGDVDMVRWVQSRVEAPSPGREQVFDPALKPLAPREESSMTEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEHKR >OGLUM07G14840.1 pep chromosome:ALNU02000000:7:17400051:17408533:-1 gene:OGLUM07G14840 transcript:OGLUM07G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >OGLUM07G14840.2 pep chromosome:ALNU02000000:7:17400051:17408533:-1 gene:OGLUM07G14840 transcript:OGLUM07G14840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >OGLUM07G14840.3 pep chromosome:ALNU02000000:7:17400051:17408533:-1 gene:OGLUM07G14840 transcript:OGLUM07G14840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEGMIDDLARSVRDILGSLGLAMPGGMTNTTFSVPLTTAPEGANNVNGRTQPGNHAQPGFSILNHQIQVSQLQPAGSIPRNMVIPDSLTTLLEYINRMDQVLQNNGTPSVDTNTQQPPRSDDAYLNQRFPSPEVLVSVIERAQQLLGGSAASALSHLAQRIQRDSGTSDASIRSQIQNESAQLGVAMQHLGAMFLELGRTMMMLRMGPSPADAFVNAGSSVYINSAGPNPIMVQPSFQNTPPFGVSSIPVLGGISGAFGIVDPSRTSAVNTHGTSTTSGSSAGMTTASAGAVNEGRQNVERTQGGNPSATSMHGLPARTVIAAIPARSTAEAPNHVLSVILPVQVRSQVAMPNQSTVSQGSQTAVGGGSQPQASVTAQVANALSANQQGQVSSSAQNTVDQGSRSVTTNGVDNVDSLVSASTQLQNELSDSNNGRTSLNAQSLVAGAGISPSNTSDPNLASEDSSTENAPNIGSIQQHPEMEGIHADNVRKPSGESTTANLVGQITTTCTDDISVNRSAENSSQKNIPLDGVSAQSIKPSASSRSEPVGLGGGLQPKRRSRTAKPPGSSSDTGEVVNSSRISNSQNAVSMGQQVLQALASQNTNVNRSHVTDSPLPSTTSQFSGGMPPRRQGGEGQVDFGSMISSVLNNPAFGNLLSNVAEQTGMGSAGDLRNMVEECAQSPAIMDTMSNLVQNVDGSGRGQGGIDLSRMMQQMMPVVSQVLGGAGARPAGTNSGQSRLQPRRSDMRVDDASDYGNSQIDLHQAREHIEQHDSPRDIFGAVLETAAQAYGEDESIEDMLEELVSDPELTDDYLKLLLQQVRQRIQSASQSGNQS >OGLUM07G14850.1 pep chromosome:ALNU02000000:7:17400076:17401290:1 gene:OGLUM07G14850 transcript:OGLUM07G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGSVHTLPPCFSNHLRNPCLFALLHWTTDCQKLGCLAHWISYCQNQLVLHHPVFSEAFHQKTEK >OGLUM07G14860.1 pep chromosome:ALNU02000000:7:17410909:17416574:1 gene:OGLUM07G14860 transcript:OGLUM07G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRICHOME BIREFRINGENCE-LIKE 13 [Source:Projected from Arabidopsis thaliana (AT2G14530) TAIR;Acc:AT2G14530] MPPPARSPAAGVRRRGALLPLAFLLLLLLLLLLHLTSSPARSPNSPLLRGSGDGEPRQRGPCDYASGEWVPDDDDPAVSGGLRYDQTCREIFKGWNCLANGKRNGRELLRWRWRPRGCELPRLDPLRFLERHRNTSIGFVGDSLNRNMFVSLVCMLRGASGEVRKWRPAGADRGFTFLRYNLTLAYHRTNLLVRYGRWSASPNGGPLESLGYNQGYRVDVDIPDQTWAEAPSFHDVLIFNTGHWWWAPSKFNPVQSPMLFFEKGIPVIPPLVPPAGLDLALKHMIIFVNKAMRPNGVKLFRTQSPRHFEGGDWNEGGSCQRDKPLSAEEVEELFSLDNNGTNVEARLVNQHLVRALEKSTFNVLNITGMSEFRADAHPSTTGGKKHDDCMHWCLPGPTDTWNDLLAANLLATES >OGLUM07G14870.1 pep chromosome:ALNU02000000:7:17423515:17424481:-1 gene:OGLUM07G14870 transcript:OGLUM07G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVLPWIALSPGPPVATSTHAGENSVVNTVCVGSTDGWRALHRTATAGGGTKTKRHTFFLHNPFTTTTIPLAELEDVLDDAFLKRNEVRKVIIRSSSCCPDGDHLVAVMTDHYNFPLILCRPGKGTWTPDSCTMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADEHGKPTVTNVERIIRQPRSPDGMIDAFRWSDDEDDDDDGDAREDDSDASSTNDDGEYSSLDDEGVVDGEDHDEVLNQEGGDNNSDGDGEIEPVTDDDDIDDVGQQWRPV >OGLUM07G14880.1 pep chromosome:ALNU02000000:7:17426468:17426914:1 gene:OGLUM07G14880 transcript:OGLUM07G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSPPMYGVAGAELRDGAVMSFSGVKLCPPCISQPSSPPSSLVHPPLLSLVLGRGASGDGYRLMRCEGDLGITLDPPHQSLEAELAVKRYCSGCVYVKGPPLLSSRSSIGDLVVLELRVARSADD >OGLUM07G14900.1 pep chromosome:ALNU02000000:7:17460456:17466593:1 gene:OGLUM07G14900 transcript:OGLUM07G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRWREIEAVGPATPRPCDLASDSDGGSPRGRVGCIVKKLSGTSSIPDDELDAANKEVAMSQSAPPSPAPMRAGVEPPTTIAGINGSRPTQLVVRTVRGRRAMEELVAMMAHCRRCELAAIADRHVVSRFSHKGRIQSMLRLRLLRQGFKVKDEVWTLPKPVRPRLPKHEHEVYTTSKCIAGNQHKGGQVLAEKSTGSVERLVSLDGLGNEQYDGQNSTSENQCQEGCKNMVKLCTQNQEYSEPSSLVRYDEHSTVDDVSPSTISTLHELCTPSSRGDNLREEDNQSLNGSWEERALWISSLGWSAPVEAMSPDSWNQDEIGDIENHTQIEFNDRPWIDSPNSWRSLCVATQADSGALSGNADICNLLESKKVSKSLESDFSNKMNNMLLTILRKQRQQHMIDDFEGYYDERLYWRQNDEPQNADQRVSAQCSLAPVSHLHQQEGWQHSSFEHQHHENQNFLEMEVRVRSEMAQVHHEIYELRKLVESCIASQVKIQHSIKEEMCSALREAGLMPSQPDTPAKRGSCCICHQTQVWTYVHLFQLRRSAEIEQQELPNLPISY >OGLUM07G14910.1 pep chromosome:ALNU02000000:7:17467022:17468092:-1 gene:OGLUM07G14910 transcript:OGLUM07G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTVFRFQVTNAGEEEEPEERQIAVDPFSLRQFSRLDIDGPLPIPSVSVDHHHHHAPHPHPGPALVLAGASASVPTSPRRVSAWGAPPTRWDAHLAVVAAAPAARVASSDVMAPPRTAISRSRSCAGAAEAELDDDEFDVILSSSERKASAPQRWGSDVPLIGAGDGAEDSTGYAAADARGKSGRRKSKRGGGAAPFTCCLYLPGLGTRRTAKPPPPTAAARASSLPSSPATFRGGGGVESDPGTARPSTMSLAMSLERFDCGSCSTSSRSGLALDGEAGSSYFDLPLELILGCDGDDEADLPVHAAFMFDSDGIRKSVLKKGVRRAAAAAARPSVGKMSTDRPDRISGRHVRF >OGLUM07G14920.1 pep chromosome:ALNU02000000:7:17469905:17475397:1 gene:OGLUM07G14920 transcript:OGLUM07G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRPGAPMMGPWSDLPSSDLPGLVIARPRALPRRVPRLALRPAPPPRRFSRWIVLPDGTFVTVSNDGGGVHRMPFRRPAALGGGRNRQQQVLAPRVGDEGAARGVVRSACFHGGAVAFLVDAADEEDARSVRAAWFLPDADALGVCFRSIGCTKAALSKDVVAAAAGAPAPVLPPLVTGGCKEPTACTKVQMN >OGLUM07G14920.2 pep chromosome:ALNU02000000:7:17470740:17475397:1 gene:OGLUM07G14920 transcript:OGLUM07G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAQKLLPLPSPIPLIGLLVSSLLLAFLPSSSVFPLQRMPDLFVRRAALSKDVVAAAAGAPAPVLPPLVTGGCKEPTACTKVQMN >OGLUM07G14920.3 pep chromosome:ALNU02000000:7:17469905:17470330:1 gene:OGLUM07G14920 transcript:OGLUM07G14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRPGAPMMGPWSDLPSSDLPGLVIARPRALPRRVPRLALRPAPPPRRFSRWIVLPDGTFVTVSNDGGGVHRMPFRRPAALGGGRNRQQQVLAPRVGDEGAARGVVRSACFHGGAVAFLVDAADEGLR >OGLUM07G14930.1 pep chromosome:ALNU02000000:7:17480404:17481771:-1 gene:OGLUM07G14930 transcript:OGLUM07G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSDLPSDLLGLVIARLPFPADRARFRAVCRAWHSALRRHVAAPPQLPWIVLPEGTFVTVSDGGVHRMAFPESNNVCIGSTDGWLALHRTDNDDDDSVDGARTTKTRHTFLLHNPFTGATVPLAELGDILDDDFFEEFRVCKVIIRSRPDDGDADDHDESLNQEGDSDNDSEIEPVGDDGIDDVGHQWQYLTGEDLIWKTTKYELEGDDYAVNGSWHLLESSGRLLMVRRECLIAAFVKQGDHTRSVDVSEADMDAGTWVPVTGGGLGGQAIFLTELFNKSMPAPAYGEVEEDTMYFVDTPDVWDLKSGTRRLFTQGIGFFDLDRTWVFPPELIV >OGLUM07G14940.1 pep chromosome:ALNU02000000:7:17485364:17489056:1 gene:OGLUM07G14940 transcript:OGLUM07G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQECMEANHRLEEKIDMILEKLNEVEANRSKFFEEMSASIKATVAVLKDAPYPPPQDPPSSMLTTCSTMCSNNDHPRATSSSSHIDKETTPTVVLDLRDGEDKVHDPCIVTKDFLEVTLTMCSMKCSSPHTEPDLTMVAVVMCATTATTSTELVVFEDTTGVAYIDTPDYSKMVHAKCSTAGLDIDGGMDQAVVVFPIMKSVSKVVPISVEPLGIFSLRLTANLKQDRPTPTKCSMKKSSAQKHASKNAWGETMKLVPSTRSEIASRQEQFTELEVQIFWELGDGEQPGTFGEYSAEAAYANYWSINLLEVTRDGILIEAIYWTLALGVITWKVISDAVLIGAGKGTWTPDSCSMPFVRVIDIAFFKDKLYLITTAEDLFAVDLAADKHGKPTVTNVERIIRQPRSPDGMIDAFRWSDDEDDDGDASSTNDDGEYSSVDDERVVDGEDHDEVFNQEGGDREIVPVSDDNDIDDVGQQWNLTWKHRKYEEFYEEEYASIGTWHLLESCDRLHMVRREWVLPFILQTDHTRKLDVFEADMDAGVWVPVTGGLVAKQSSSANSSASPWLRLHTVRLKRTRFISSIHTTCGT >OGLUM07G14950.1 pep chromosome:ALNU02000000:7:17501708:17504036:1 gene:OGLUM07G14950 transcript:OGLUM07G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAHKEEVIGKLNVRVVRGSNLIIADPLTHTSDPYVILSYGPQKVKTSVQKKNSNPVWNEVLQLAVTNPTKPVKLEVFDEDKFTADDSMGVAEFNVTDIYDAAKLDLKHVSDGARIKTIYPVGVNYLGAESHVSWKNGKVVQDITLKLSKVDSGLIVLQLEWVHVPGVTL >OGLUM07G14960.1 pep chromosome:ALNU02000000:7:17512489:17517373:-1 gene:OGLUM07G14960 transcript:OGLUM07G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHHQAAAATTVTTQDIRRAQRADGPATVLAIATANPETRRSQDEYADFYFRVTKSEHLPQLKEKLKRICKKSGIENRYTYVNDEVMKAHPEFSDRKLPSLDARVEIASNAVPELAAAAAPRAALLGLRHTVCRTILSLHGCYGGCRALQLAKELAENNRGARVLVACSEISLIAFYGPEEGCTDTDTLVAHALFGDGSGAVIVGADPVDAVERPLFEMAFASQTTVPDSEGAITVQHKKGGMEYHIARGLPEMLAGNIKRCLADAFGAIGVAARWKDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLREYGNMSGTTVIFVLNKLRCRFAADGAEGADWGALMAFGPGITAETILLRVASGLMGN >OGLUM07G14970.1 pep chromosome:ALNU02000000:7:17535056:17536685:-1 gene:OGLUM07G14970 transcript:OGLUM07G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPATAAAAAPAAVVSTHEIRRAQRADGPATVLAIGTANPETCVPQDEYADFYFRVTKSEHLPELKNKLRRICNKSGIEKRFMFVNDDVMEAHPEFADRHLTSLDARVEIVSKVVPELAAAASAKAIAEWGRPATDITHLIFSTYSGVKAPGGDRLLASLLGLRPTVSRTVLSLHGCYGGGRALQLAKELAENNRGARVLVACAESTLIAFYGPEVGCNDTIIGQALFGDGSGAVIVGADPVGAVERPLFEMAFASQATVPDSEGAITMQHKKGGMDYHIGGGVPEMLAGSIERCLVDAFGAIGVAARWRDLFWAVHPGGRRILDLIEEALGLDNGAMAASRQVLHEYGNMSGTTVIFVLNELRRRFVADGAEGADWGALMAFGPGVTVETILLRVGSGLKGN >OGLUM07G14980.1 pep chromosome:ALNU02000000:7:17555325:17556635:1 gene:OGLUM07G14980 transcript:OGLUM07G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSSPAIGGGGGGRVAVDLYPFLRVYEGGHIERLVRSTAAVAASHDDGTATSAAVRPATRDGVATRDVVVDEDTGASARLFLPGGGGEGRRLPLVLYFHGGAFVTGSAFGRLFHRYAASLAARAGALVVSVEYRLAPEHPLPEAFADGWAALRLDALWPYVTGGAAGNDDPRIDPPAEDVSSLPCRRALVAVAEKDVLSERGRRYAAQLRGGGREVTLVESEGEDHCFHLYRPARPSAVELMDRVAQFISPASSCLQAEELHLHGRRRTLCHGNATAAAATRSGAPRRQLVVSGGPTTAKLGRPKTKVCGGPACKAQTALCLGPRGMGKAQRHGFVGMGGPMPSGTNKYSVSSAALRVLC >OGLUM07G14990.1 pep chromosome:ALNU02000000:7:17557866:17566585:-1 gene:OGLUM07G14990 transcript:OGLUM07G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKPPAMENVGVDFPTDVLRRCRLVCRRWRDTVDKRTLERDVRTKMLTFVKGLDNEASAYIVDEARGRHRCVWTSSCSVDVIGTRNGLICVLDGDTGAVTVANPATRVAVRAAAAVTGVALAVLPRRVHVPCYFNKSGTFDAVYPMWIRPTAKLVTTFTTQAHIRHKLSRKIKAINSRLEDIIENKHKYKIEEANTKTTGTWKASTSISYTHKKLEYLHESDVPIHVEERKKLEKVLLTTPEDLHGKEHNPVIISVFGKSGVGKTTLVRKIFKEIGKQKQFDIQAMECFAPYLSATNILQQIVQQLTKENKNCPRNMVLKMLEEELKEQKYLLVIDGEVSGTELNNILSTLPIGHAGSRIVYITESKPEEPPSNYHHVTIELKTIDKSISKKMFLHHMEVQNLDLENHEDDIFQITGGLPLAIALLSGLMKTKESPGEWQKVFEYLKSKQSKQIDDMLSICFDDLPHELKCCFLYLAAFPANVTIEARSLVSMWVAEGFLRSKVGKSMEDIGYFYLKELSARNLVSLVQMDDDSNVSNMTVTIQNKVHEFLQFEAHEASFLEVHSGDDIPTLTSARRLSLQNYTDKYAVLANPLPKLRSIFSQFEQEPKEELETMTKSIQAYVCCSPQQGTIASMQKKNIKSHIKELLHGSEFLRVINIQGIEIGNRLTRAIGKAVHLQYLGITSCSLENIPSSIGNLTSLQTLDVRETNVRKLPKAFWMIKTLRHVFGFILKLPKQTVNLKQLHTLDSIELEDFEQGLDNTLGEMIHLEGLVIWNISNGNVEALLSALRKLESLKTLNLQGNNITSSVFTTLFLRRLKFMVLDGEMDFSSDQLNNGLALPNLTMLTLRETKVTQEFINKLAKLPSLVTLALCLGSYKDQELVFFSNKFRCLKKLKVDVEKLKKVEIKLSMLPKLKKLEIRTHDSHHYQEHEVTHQEHEQKTVISWKKENAIQVE >OGLUM07G15000.1 pep chromosome:ALNU02000000:7:17568273:17568635:-1 gene:OGLUM07G15000 transcript:OGLUM07G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIVGPLVGRLQELALGQARALVGVNADIQKLKDKLMWLQAFLREADAKRRAVSDEVTKVWVLQTRDAVFDAEDALDHYYLQLDKSSTNM >OGLUM07G15010.1 pep chromosome:ALNU02000000:7:17573454:17576819:1 gene:OGLUM07G15010 transcript:OGLUM07G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLLGLLKVRVMRGLNLAICDPLTHSSDPYVVLRHGSQKVKSSIRYHSINPEWNEELTLSITNMMLPVKIEVFDKDTFTKDDSMGDAEFGILDFVEIAKQDHSHLGDGAVMKTIHPDKENCFAAESHITWKDGKVSQDIVLKLRNTDTGEIILHLQWVNIPGVSR >OGLUM07G15020.1 pep chromosome:ALNU02000000:7:17577226:17582218:-1 gene:OGLUM07G15020 transcript:OGLUM07G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor like protein 4 [Source:Projected from Arabidopsis thaliana (AT1G28340) TAIR;Acc:AT1G28340] MQRGSLGVLRLRLWLWLLAVSASTAVLAADPSKEPFTIRISCGSFDDIRTAPTNTLWYRDFGYTGGRFANATRPSFIIPPLKTLRHFPLSDGPENCYYINNVPNGHYQVRLFFALVADPNLDSEPIFDVSVEGTLFSSLLLGWSSEDEKTFAEALVFVQDSSLSICFHSTGHGDPSILSIEVLQIDDNAYKFGPSWGKGTILRTAKRLTCGSGKPAFDEDLNGIHWGGDRFWLGVKTLSSSSDDQPISTENVIAETLLAPNFYPQSIYQSAIVGTDRQPSLSFEMDVTPNRNYSVWLHFAEIENGITAEEERVFDVLINGDTAFKDIDIIRMAGERFTALVLNKTIVVTGTTLTIVLQPLKRTRATISAIEVFEIILAEKKTLTQEVSALRTVKGLLGLPLRLGWNGDPCVPQQHPWSGVECQFDDIEGHWVIDGLGLDNQGLRGFIPSDISKLQHLQSINLSGNSIKGNIPVTLGTISGLQVLDLSYNELNGSIPDSLGQLASNLNGNYLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLHECGPHLSVAAKIGMAFGVLVAILFLVVFAACWWKRRQNIRRAQKLAAAREAPYAKSRTQFTRDMQMAKHHRPHESSRSGNDESTPHLLPS >OGLUM07G15030.1 pep chromosome:ALNU02000000:7:17586837:17593870:-1 gene:OGLUM07G15030 transcript:OGLUM07G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRATIGAMGPSAAALRAAAVVGLVVAVGLALPPLAAALRPLRERVASAGAASSSGSWGDEHAFFKRDENEISPYSWNITGTYKGSWNFAGSTNGSSRFLEFTKLKGDAVLELLSTPTKISGVHYVQGSVTFHDVLDNAHDRGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFLSNPYHLLRIFSSQVFQETSEEKNRRKNSLTYDMEKHCSVEIAAKVVRVSSNLNEGEHEKYRLEGLMESPAVDDDGECFSPILLNATSLNVEVYYNKAVNYTLMGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEVMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLFLMYSFWVPQIVTNVIRDTRKPLHPQYILGMTITRLAIPLYIFGCPSNFMRIEPDKTWCIAVTIFMGIQAAVLLLQHYFGSRCFIPHQILPEKYCYHRKVEDNTNQPIDCVICMTTIDLTQRTSEYMVGIEVQ >OGLUM07G15040.1 pep chromosome:ALNU02000000:7:17599123:17599931:-1 gene:OGLUM07G15040 transcript:OGLUM07G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVNGDSSADTTTRRNAEDFLAILLKVVSSPEVAGIDASGVVSGGGLRSLGADWNLIAAWRGLGNSGNGKDSPAMVDNVGFTATARLGGGMLREGAWVWIKRDGVKRRFARHDVGSKERERFGDGDDSSATTSVVFFAIDDENVGDREA >OGLUM07G15050.1 pep chromosome:ALNU02000000:7:17599342:17600124:1 gene:OGLUM07G15050 transcript:OGLUM07G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLMPNEGDRRHLLQLRSPSAITNPSLPGVPKTTAAHDTTGIDSGHLRRGDHLQQDRKEVLRISSCGCVGRRIAVHRRHRREGTPAGKLCGCCCFLPMPMTGGPCSISTYRI >OGLUM07G15060.1 pep chromosome:ALNU02000000:7:17601450:17607177:-1 gene:OGLUM07G15060 transcript:OGLUM07G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AK97] MSHFRQVRGAHAASSLLPFSHRPPRATTTTTPPRPNRCRRLHIHSSASHHHIHNQLHHRRASHHRRREIVVVVVRCSGRDDDGVMASTDPLLGGKEEEEGGGEVRRARRWWVGRVVDTEEAWAQTRFAVPMVLTNMSYYAIPLVSVMFSGHLGDVHLAGATLGNSWATVTGYAFVTGMSGALETLCGQAYGARMYRMLGLYLQSSLVMSAAVSVLVSVLWCFTEPLLLLLRQDPAVSAAASAFVRAQVPGLFAFSFLQCLLRYLQTQSVVAPLVACSLAPFLLHVALAHLLVNALGLGLAGAGAAVSLTFWASCLMLLAYVLRSERFAETWNGFSAEAFKFVVPTIKLATPSAVMVCLEYWAFELLVLIAGLLPNPTVSTSLIAMCSSTEAIAYMITYGFSAAVSTRVSNEIGAGNVEGAKNAVAVTLKLSVFLAAAFVLLLGFGHGLWAGLFSGSAVIAAEFAAVAPLMMASILLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFIGMPLAIFFAFKLKWYTKGLWMGLICGLTCQTCTLMVITARTKWSKIVDAMQEKKASYVA >OGLUM07G15070.1 pep chromosome:ALNU02000000:7:17630202:17631308:1 gene:OGLUM07G15070 transcript:OGLUM07G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKALCAAAVRIIAAWLERSELPLGREVVRHMLDVDGHTWSVHRAKANWFRIMGVLTWAVGLTRWLDGVQRWRSPFHHRSCPRPLPHPRLLYVFLFGIWYNRFQPRGPAGIDTRLSQADTVEPDNLEEYFDAVPPPEVFRACYERLWTLAGQEGDNGVGDWPIGAYGEGFGRSKDERGGGMEGRATSGERDVEDAGPPVDATPSTANSGEAVLAGVGCHVSKTGRKYWHGIFFERFE >OGLUM07G15080.1 pep chromosome:ALNU02000000:7:17632959:17634161:-1 gene:OGLUM07G15080 transcript:OGLUM07G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASANVLLLPEAGSGHLMSLIEAGKRLLAHGGRGDGEGPAVTVTVLVVRPATSESAAEVDAHVGRVEASGLGVRFHRLPAVEPPPMGCAAGNVQEFKSRYMQLQAPHVRAAAAELGAAALVVDFFATGVLDAAREAGVPTYVYFTSTAALLALMLRLPALEEEVPVDFEEFDGTVDVPGLPPVPAGSLPAFMGRKESPNFKWFVYHGRRFMDADGIIINTVVELEPALLAAIADGRCVPGRTAPPLYPIGPVLDLEDKPSSNARCVRWLDAQPPASVLFLCFGSMGWFDAAKAREVAAGLERSGHRFLWALRGPPAAGTVHPTDASLDELLPEGFPELERAVRSLMDDASEVGRKAREKAAEMKAVCRNAVAPGGGSSYAALQRLLGAIRGGFSTMTQ >OGLUM07G15090.1 pep chromosome:ALNU02000000:7:17644826:17645453:-1 gene:OGLUM07G15090 transcript:OGLUM07G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSSSHSSRRRRRPSIPLIKCPSCGVKQILELTATTEANRGRIFFTCPDHVKDGSGCNFWYREEAYIKFLKRSGFIDEATCAELLKEDKMKDGDEMKKSSAQEFKKEPDVGHFKQLENMIFILTKMMVLLKLIQAGGKTMQKKM >OGLUM07G15100.1 pep chromosome:ALNU02000000:7:17647031:17648521:1 gene:OGLUM07G15100 transcript:OGLUM07G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKA1] MATPAPALVLLPEWGSGHLMSMLESCKRVLLAGAGGGREFSITLLVMRPPTDEAGSEVEAHVRREAASGLDIRFHRLPAVDPPADAAGVEEFIARYIHLHAPHVRDAVAGMGRPVAALVLDMFAAPMVDVARDLGVPSYVFMSSTGAMLALMLHLPVLHDRVAVEFHEVDREVDVPGLPPLPPASMPCPVVDKKSPNYTWFVRLGDRFMDATGIIANTADELEPGPLAAIADGRCVPGRAAPPVYPIGPVLSLGGNDKRDSSEPPHECIAWLDGQPPASVVFLCFGSMGWFEAAQVVEITAALERSGHRFLWVLRGPPPAAESGTGAPDGSEHPTDANLDELLPEGFLERTKGRGMVWPTWAPQKEILAHPAIGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVPLGVDRERDNFVEAAELERAVRSLMDDASEEGKKAREKAAEMKAVCRSAVAAGGGSSHAALQRLSEALHQGAALPKK >OGLUM07G15110.1 pep chromosome:ALNU02000000:7:17660782:17662206:1 gene:OGLUM07G15110 transcript:OGLUM07G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKA2] MAIPTLVLLPTWGTGHLMSLLDAGKRLLGCRGGGGLSLTVLVMQPPRKEYASAVAATVRREEASGLDIRFRHLPAVEPPTGCAGVEEFVSRFVQLHADHVRAAVSGLDCPVAGLVIDFFCTTLLDVARELAVPAYVYFTSNAACLALLLRLPALEGEVIVEFEEMDGEVDIPGLPPVPPSSLPMPVMDKKNPNYTWFVYHGRRFMEANGIIVNTVREIERSVLAAIADGRVTPGVRAPVIHPVGPVISFTPPSDDPPHECVRWLDAQPPASVVFLCFGSMGSLAPPQVLEVAHGLERSGHRFLWVQRGAPAAGGSMNPTDADLDELLPEGFLERTRGRALVWPTWAPQKEILAHAAVGGFVTHGGWNSTLESLWFGVPMVPWPLYAEQHMNAFTLVAAMGVAVAMKVDRKRNNFVEASEVERAVRSLMGGSEEGRKAREKAAEMKAVCRKAVEEGGSSDMAVHKLYEELSTQTA >OGLUM07G15120.1 pep chromosome:ALNU02000000:7:17662493:17669583:-1 gene:OGLUM07G15120 transcript:OGLUM07G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKA3] MAPATASPATVVLIPFCVPGHLTPMLEVGKRMLRVGFCGNADGGRGAMSLTVLLAQLPELVRAPDHEETIRREAEASAAGSGPDIRFHCLPAENQHASHAREAIAGLESRVAAVVLDLFGTTLLDVTCELGLPGYVYFTSAISMLSLVLRLPALDKEVLVDFKEMDGDIDLPGLPPVPAALLPTPVMKKDCNYEWFVYHGNCYMEAAGIIINTVAELEPAILAAITDGRCVPGRRILAIYTVGPVLSFKPPPEKPHECVQWLDAQPPASVVFLCFGSMGSLTPPQPATGMPYPTDAVVNELLPEGFLERTKEKGLVWSKWAPQKEILAHPAIGGFVTHCGWNSTLESLWNGVPLVLWPLYAEQHLNVFKLVAAMGVAVEMKVDRKRDNLVEAAELERAVQCLMDDTSEEGRMAREKAAEAKAVCRNAVEEDGSSFVALQKLSQEMIHVSSK >OGLUM07G15130.1 pep chromosome:ALNU02000000:7:17676010:17678329:1 gene:OGLUM07G15130 transcript:OGLUM07G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKA4] MAEHDLTARMAAHMNCHLVFPLLEFLQWRPDRAYAVEEILQAKLRLLIQGTNMVDYAMDTHKLLHGDTDDDVVVPVPDDMVERRHEVVSRLGALTAAAAPIVSALKNHQLGPDKEHNIRLLHERFQIGPDQIEALYQYAKFQFDCGNYPDAAENLHRYRALCTSSERSLSAQWGKLSAEILNNNWDVALEELNCLKEMIDSKNSSSPLNQIQNRIWLMHCSIFIFFNHGNGSYGIIDLFFQDRYLNAIQTDAPHLLRYLAVAVVVNRRRRNMVKELVKVIQQEQHSYKDPITEFLECLYVNHDFDGAQQKLIECEQVILNDPFLGKRIEEGNSITVPLRDEFLENARLLIFESYCRIHRCIDIGMLSEKLNMSYSEAELWIMNLVSNSKLDAKIDSASGNLIMAANHANIHEQFIESLKNLDMRTFMLAKSTMEPA >OGLUM07G15140.1 pep chromosome:ALNU02000000:7:17680389:17681772:1 gene:OGLUM07G15140 transcript:OGLUM07G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHLLCFPSFLVSLFLPFTRAEQREARRAGKATVVATPAVMGEEEGGAATTAGDGCGGGGRSGRLHSGQRWDRGGDSEEPSAATALLPSQRPDAPPRRHVENLEAAACWTSSPRQSRGGARAGAARRGGAGADGGRKEYGLPPAASTLDGLGLWSEVDHLTRPRSGLRCEPREAWLPSDPSLGRRSKLRVGFVVLSGHHIDTEEVLSSPYLRVKSGTTYGG >OGLUM07G15150.1 pep chromosome:ALNU02000000:7:17683248:17684708:1 gene:OGLUM07G15150 transcript:OGLUM07G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKA6] MASSAATVVLIPFCVSGHLTPMLEVGKRMLRSRCCGDDDDGRPAMSLTVLLAQLPESHRAPEIDEIIRREAAGASEDSGFDVRFHCLPAEELPDFRGGEDFISRFMQQHASHAREAIAGLESRVAAVVLDWFCTTLLDVTRDLGLPGYVYFTSAASMLALLLRLPALDKEVAVDFEEMGGAVDLPGLPPVPAALLPTPVMKKGCNYEWLVYHGSRFMEAAGIIVNTVAELEPAVLEAIADGRCVPGRRVPAIYTVGPVLSFKPPPEKPHECVRWLDAQPRASVVFLCFGSMGSFAPPQVLEIAAGLERSGHRFLWVLRGRPPAGSPYPTDADADELLPEGFLERTKGRGMVWPTWAPQKDILAHAAVGGFVTHGGWNSTLESLWHGVPMAPWPLYAEQHLNAFELVRDMGVAVEMEVDRKRGNLVEAAELERAVRCLMDEGSEEGRMAREKAAAAKAACRNAVDGGGSSIAALRKLTQEMARMSSI >OGLUM07G15160.1 pep chromosome:ALNU02000000:7:17687077:17688578:1 gene:OGLUM07G15160 transcript:OGLUM07G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTVLLGQLSGSHRADSGFDVRFHYLPAEELLDFCGSEDFISRFMQQHSSHAREAIFGLESHVAAVVLDLFSTTFLDVTCNLDLPGYVYFTSTASLLSLVLRLLVLDQEMLVDFEEMEGVVDLLGDESRLA >OGLUM07G15170.1 pep chromosome:ALNU02000000:7:17690714:17691778:1 gene:OGLUM07G15170 transcript:OGLUM07G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPDGVRYCQQCERTTSMVLDHDTGDAICTECAIVLGNGNDPRRPAVASAATKHGGADAPADDDPLLQGSDVVAAAAAEVACSVAPTKLQAEGAAPAAPPRMRGAVVAPKVRGGGGGAVTKARGGVPDTNKSLAEGFDAIDNMASRLGLAGNVRDRGKDVLRKVEEAKVCARGRSRDVLYAACLHTACRMEGAPRTLKELIAATPDAAATKRDLGKFIHAIKRLLGSNDEEAEAGQDQAGSKATNGCGGGGGAGAVVRASDYLLRYGSAVGMSGQEVSAAQRAASRLDESLDVRRNPQSIAAAIIYMAVQRAGGGGGRSKSVREVSAATGVSESTIKDAYKDLCQHAEVLFG >OGLUM07G15180.1 pep chromosome:ALNU02000000:7:17696824:17697792:1 gene:OGLUM07G15180 transcript:OGLUM07G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDHATGDAICTGCAFDLGNGANACPEPPRWPAAAGHGDSDGDRSSSSSSVGGDVSPAAADPLLQGGEGVACSVGAPPVQPRVRGAVVPPKQMRAGGAVPPKVRCGVPDTSKALAEGFDAIAGMASRLGLADKVSDRAKEVLRKLEEARACPKGRSRDALYAACLHAACRVEGAPRTLKELIAATSDAAATKRDLGKFINAIKRHLGMEERGQDQAADMKASGGGVGVVVRAGDYLHRYGSAVGMSGQEASAARRAAGRLDSLDVRRNPQSIAAAIVYMAAQGSGGVRKSVREVSAATGVSESTIKDAYKDLCPHAALLFA >OGLUM07G15190.1 pep chromosome:ALNU02000000:7:17698636:17699417:-1 gene:OGLUM07G15190 transcript:OGLUM07G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSAVARQRRSHRYSRRRRRLPRRPSIQQRTPSIAPPTIHLPPSLQRCPCYRLQLSTHYGLHRQGSRELQFQLAGTPCAAATTAGLICNFIAFFLLLLGLILA >OGLUM07G15200.1 pep chromosome:ALNU02000000:7:17705393:17706744:1 gene:OGLUM07G15200 transcript:OGLUM07G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGSVAVHAIISFPFHTLPSMPIIFSLDTNSFHPLQRRERRRAHESSRARSKRRRGGIVAVAIDLDQAGPSRRAIVLASYSSPFPLKNHEEWSSEEGDRPHLPQHRPLTSILDSSRSDRAIAVHSRRSAAAEPFHEPPLTTKVAQHFLVPR >OGLUM07G15210.1 pep chromosome:ALNU02000000:7:17705975:17706247:-1 gene:OGLUM07G15210 transcript:OGLUM07G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERDDGVHGNRATARHGSCHCRGFAEPPCWRRARDGGKRAGRKTAALMGGGQRRRWVEKGGDWGRRTTALTGGRGMAATRGGGRRHWI >OGLUM07G15220.1 pep chromosome:ALNU02000000:7:17706290:17706514:-1 gene:OGLUM07G15220 transcript:OGLUM07G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKVRSISLFGAPFFMVLEGKWRGVRGEYNGATAWPGLVQIDCDGNDATTATLGARSGALVGTSAFPSLQWMK >OGLUM07G15230.1 pep chromosome:ALNU02000000:7:17707848:17708466:-1 gene:OGLUM07G15230 transcript:OGLUM07G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMMVQPAGIKRLRREMESRRRRSPELAPTGRVAKRSSTPPPLHPSPDDERSADVLAMPAPPPVPGRPATSAAAAATANEPTPTIEKGTQVSVRTRVGKISVTGHQTRHLVLRLDAVVVSADEDGFLDVVYKVGFPHDDPFRPVRVARDQVQPRRRPSTPPRPPTPPCAALQAMTTREVEGQVYCPEDRRSPASLCDC >OGLUM07G15240.1 pep chromosome:ALNU02000000:7:17714610:17715140:-1 gene:OGLUM07G15240 transcript:OGLUM07G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIADRRRISCEFERRRRRSRRPERVTIATTGRDAARRFATTADATAAAAAAAPPSRERVRRRVVLPPASSSYRPRHATALDVKWSPWIENNEERSAAVFARSAGRPALAGAGAGDGPVKKRAVRVRVRDRVGKIMSSISRTIHLTFRDVVKHSGFRVAACHQAVSSLKYMFLRH >OGLUM07G15250.1 pep chromosome:ALNU02000000:7:17716778:17719412:-1 gene:OGLUM07G15250 transcript:OGLUM07G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKDKARKNFSSSSSSSAASMAALAAAAAAGDGGAALPSPMEEDKKPRLVASSLAPVAGGGGGGSSSSAAVAAGASSSSSSSSMATAARRGASRAGGGAPSGGGGGGGGPRCQVERCGVDLSEAGRYNRRHKVCQTHSKEPVVLVAGLRQRFCQQCSRFHELTEFDDAKRSCRRRLAGHNERRRKSAADTAHGENCRHADQDAGRSHQGTGNPPFQIR >OGLUM07G15260.1 pep chromosome:ALNU02000000:7:17785225:17787588:-1 gene:OGLUM07G15260 transcript:OGLUM07G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSLFPGMDLTKMDAPTLTLLGAACCVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAINSFVGLLDIKGSKTFFTFLDAVKECYEALAIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRNVRLEHKTLKLLKYWTWQFVVVRPICAILMITLQLLGLYPSWVSWTFTIILNFSVSMALYALVIFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGFALEVLAAVGIIQSHHFWLDVEHIQEAIQNVLVIIEMVFFSVLQQYAYHVAPYSGADRAKFEKKNE >OGLUM07G15270.1 pep chromosome:ALNU02000000:7:17812676:17812966:1 gene:OGLUM07G15270 transcript:OGLUM07G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRSGWHVGSGGSCRHHYRCCCRWRCGRSRGGDREAYHGVPKHKTKTELLTTFQEVAIVDEVTIIEDKASCGADPYIQILAHDPRALALAWV >OGLUM07G15280.1 pep chromosome:ALNU02000000:7:17843342:17850204:1 gene:OGLUM07G15280 transcript:OGLUM07G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRRSTEKSPAETESSVSSPSERLSDEQDTPKSSPSSVQSPEISSKEAQDDNVKVKVLSERLSSAVLDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLNAATAKNSTLEDRIVHLDGALKECVRQLRRAKEELDHGIQDALAQQSREWESEKADLELRVVELKAKLEAKSEFSVNAETDASSRLASLEKENSALKVQLLAMSEEVELRTIEKELNRRAAETASKQQLESIKKIAKLEAECRRLQANARRELKRAPSSVYAESVTDCQSDCSDSWASILITELDQFKNDKSITRSASLAAADIGMMDDFLEMEKIASANSPSKSEAEDAASVQLVKLEEKIKRLAMEKADREKALHEAQRELRNTRHRAMVAEEKSVELQRQLNLVKGVQHSMETEMEAMENRRNELEGRIELAHGEITSLLDKGRILEERLESEKALTLELAAKYQQMDALEAERRELRGHLEASQSEAKNLGDKITLLEKKLEEEKAFSTRLAVRCHGIEALEEKKKGTEHELESAREEIASLQKKVSILELKIQEERALSEKLATRSRDLEALGVQTNELRSQLQSANSEIAGLNEKVKMLEEAEEKHKPLTAGLESQLRLAQAEAMRLKDHVSSLEKKLESQKNLSSAYITALDASEAQKNKFASRFELKEAEAEELRRKIRLLEEEIHKEKAQSSELGVQCQNLKEQFTSRALSQPMKPMASKELHIKKEKELARAAGKLADCQKTIASLNRQLKSLADFDEFVPGFENDSVIAEGWEENGLKLLNSANYPAQLGCLAVK >OGLUM07G15290.1 pep chromosome:ALNU02000000:7:17851481:17855535:-1 gene:OGLUM07G15290 transcript:OGLUM07G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29320) TAIR;Acc:AT1G29320] MPRTSVVESPGCPPLRALTTDILGLIKVVEARTKPAGVAKVVETWGAPDAPRAVLAASLADRAVDPVSVRDLPLFLPAVLAVARKNGVVELLNPLNGETLAGVKAAAGRAAPADSSAEEDPLATLHLFRRHALDSSMLGTFLACTEKGKAYVKSVAKENASSDMAVGSSSSWDVSNSGTVQFSSVDAGESYTMFGGKGIEVNLWDITSCSKIWSAKSPRGNSLQIFTAPWFTAGTFLCKDDHRKIVACTNNHQVRLYDTASQRRPVISVDFRESPIKAVAEDPNGHAVYIGTGRGDLASFDMRTELTLACTLFPGKLLGCFVGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTAVVIDSHFSTEELEETKSKQPDPVGAEVRKERKEKKNRTSEMDEDETRMLDHDDSDSEMHTSKRKKSGEKSKGMKKKSKKQQVA >OGLUM07G15300.1 pep chromosome:ALNU02000000:7:17856122:17856464:1 gene:OGLUM07G15300 transcript:OGLUM07G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGSSSSHQWKPSHVPYRVGPLDYQPAVMCRCRCPVKAVRWISWSTDNPGRRYYKCQHARGCGFGLEGVISGHGVTTRLPVSSVSY >OGLUM07G15310.1 pep chromosome:ALNU02000000:7:17857398:17860380:-1 gene:OGLUM07G15310 transcript:OGLUM07G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWPEDDREALNAPGYIKMPGRPRTERRREMHEPPKPTKMSKYGTVIRCTSCKQIGHNKSTCAKHHGASSSNLGSSEQLPSPSQQMVLSNTPGSSAQSRKRKAISLTTTSTIEHSKSNQSKSKAAMDSQEVVRVAAKAKVSTAQGGSARVDLQAIVPHLNSSTTASVRLTSGKATVTVSAQEPTKNKPKKRAGGSLILLPWEAKKL >OGLUM07G15320.1 pep chromosome:ALNU02000000:7:17863431:17867320:-1 gene:OGLUM07G15320 transcript:OGLUM07G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >OGLUM07G15320.2 pep chromosome:ALNU02000000:7:17863433:17867320:-1 gene:OGLUM07G15320 transcript:OGLUM07G15320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEIYHKMKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQEGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILKQPEFLSNPQAAIRNAYQLTDAKILESAAELGRGGSTAVTAILISSENSVNLVVANVGDSRAVISKSGVAKQLSVDHEPNKERHSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSEPDVVEEPIDENTDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTEQAVNRKSKDDISCIVVKFLC >OGLUM07G15330.1 pep chromosome:ALNU02000000:7:17873181:17877769:-1 gene:OGLUM07G15330 transcript:OGLUM07G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:targeting protein for XKLP2 [Source:Projected from Arabidopsis thaliana (AT1G03780) TAIR;Acc:AT1G03780] MAPDANPEAAAAPPQLQVDEGYEFCAPKFFDFVCDETEEEIRAAERWFEASASHAPSPFAPRIKESRAEVKIESLCDFTDAEPIPKEVAVEEAAGSAANPSQNSDGNVQQNKDGSIKLVHEANPSENCVTDGDHKHQESDAMLESPPAEEDEKESPKSFEFVPSNAKSADVASSTPKIQRPPPVKAVTTVPTCPKLTVKTEAFTPKVQATNSSRGLAPLTGSRAHPSALKQSMSVKRSVIKCPRELLAGKAATAANEIAQENQAVKRQKLDDGRTRQILNVKTRTLPHKGRGCGLAGSTEMSLSAMRKHRDDSHSLKEVTHYISAAEMVKKFESGTRELAIPHNRSLSHEDAATALQRRTKLMLTRPKEPEFQTSHRVRAVRVKSSAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKAPQLPEFNEFHLKTMERATRHADTCSEASSVGTIRSQSSKPLTLTAPKPPQLETALRARPPRVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFPHLKAQPTAPKEFHFSTDDRLGPPAVVDLFDKLSLCSESSYHSKKDVPRLTIPNPFNLHTDERGHEKERQLAAQLLQKQLQEEKARIPKANPYPYTTDYPVIPPKPEPKPCTRPEGFQLESLVRHEMEQQRIMEERERMEREEAQRRVVKAHPIMKEDPIPLPEKERKPLTEVQPLKLHVDERAVQRSEFDNMVKEKEITYKRLREENEFAQKIEEEKALKQLRRTLVPQARPLPKFDRPFRPQRSTKQVTRPKSPQLQVDQRGARRHAFIR >OGLUM07G15340.1 pep chromosome:ALNU02000000:7:17878824:17887860:-1 gene:OGLUM07G15340 transcript:OGLUM07G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHPANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKHEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >OGLUM07G15340.2 pep chromosome:ALNU02000000:7:17878824:17887860:-1 gene:OGLUM07G15340 transcript:OGLUM07G15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSASMAAAIEARFSGRDLIGRGSFGDVYKGFDKELHKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQTGPPLDELSIACILRDLLHAVEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLKKNPAERLSAKDLLKHRFVRNARKSPKLLDRIRERPKFPVKSSADATQNGRTHVEEDDGTGTIKVERATRDVVSPSSQGTVRKAAGWNLPDRSEGTGTVRGGLKPSQVTSTKDSRSDASHSPNTPKRTADRENQWRTSWTGSEESISTNPSQRDAQSEYGRLESSTEDNDQSISGSGTVVLRSPRASQVYPAATNHSSKPPSRFSSYEDTSISGTVVRNQIEEPETPRSSRSRLGIQEKSPNASLEDSATNLAEAKAALQAAFKKGNARERHVISKHEKESHEPRISAVNSHDAPSENADMEKGRKPRQIHDGQSAPQASGSVSSPALSSLIIPSLKEATGDKFNGPVVHTFLDSLMHLEKELPGSCEVLIGRMLHRLGSSKESSLQSLQETATSVFAKKSEPPSEPPSNKKMANTPSLAAPTVSPLARFLLTRWQNQVSQDLNSV >OGLUM07G15350.1 pep chromosome:ALNU02000000:7:17891840:17898828:-1 gene:OGLUM07G15350 transcript:OGLUM07G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASQGVVTQQQKPSGRRPVGSSQIWTTLNFPQRYGVLLPSQQTRKLKEWILTDEQQQLVNASGLGHLALTTGFTIDRSLLTAFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPIGDEAVRRMCLHFLGESPGNGEQLCGLIRLTWLYRKFHQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGSAALAHLYRGLSVAVTPNATTQFLGSATLLMVNWNPYKDMNPAIIPEYCIAADNICYSRTWLISFNIKEVYVPDRFSRQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPAETNINSLPIESITKQDAAGCSRSTSQNFSSMVEDLRNDLPVIDRYLEGQLLPVEVASFLERVGMMIKSYSPPHSSRRKDQAGQGQDSNVRSKNPRKRGKPSFFQDPSSPPNSRADRFPAVLIPYQDSKCDMVLDGTVPLLDGAEEFKEQGVMDLWQNSHLTTPSCSSLDSSSPESRKRRQQDRDEIRLPRDTENLRRSGRLCVQLKMFKHRDGMESAEAEPTNKSVIQGTHELTVCQTTERLDTWKLNEQQQQLVDASGLGNLIHTAGLVIDRIALMAFFELWSKETNTAQLNGFEMAPSLRDAAYILGIPVTGRVVTTGAVLNKSVEDLCFQYLGQVPDCRDCRGSHVKLSWLQSKFSRIPERPTNDQIMYGTRAYLLFLIGSALLPERDRGYVSPKYLPLLSDFDKVQEYAWGAAALAHLYKALSIAVAHSARKRLFGSAVLLMGWIYEYIPALRPDMYDPPEHIFPRVLKWTGSTISQPAKNVSDIRKAFSLLQVSDVNWEPYKGVDPASIPKHCAAPDNLCFSRTWLVSFNLKEIYAPDRFARQFGQEQHRPLNDVPAFQRQLWNPAVDWSLMYASEIERFQQLINAADGGDHGHTADAAAAAAADVEGVREELPTVARFLEQHRLPAELATSLSRIRGLIEASPPAPPLKRGDAAPQPQPQVIAVIPPLAVMPPREQEAPHGTGDAEAPGIVRGDADDDDAVPPKERSDEQQQQDEEEEEEQKWRRRRRREEEDGAAKGSGGGGGAVRRSSRSCVQAKRFRRVGGKGSQSSDPIVL >OGLUM07G15360.1 pep chromosome:ALNU02000000:7:17901429:17909289:1 gene:OGLUM07G15360 transcript:OGLUM07G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GTE12 [Source:Projected from Arabidopsis thaliana (AT5G46550) UniProtKB/Swiss-Prot;Acc:Q9LS28] MTTQACKKRRAVYISSESEDSGTDSEVEGSKLSKKDGVTSVYTCGHQPTSKNKVDPMNTSKSRQCGSILKKLMDHKSGWIFNTPVDPVVYGIPDYFDVIRNPMDLGTVKRKLTSKQYSNPYEFAADVRLTFSNAMKYNPPGNDVHAIADQLNKIFDSEWKLLERKWKDRNLVQEQPSLKVLKAQPAVTPKPVLPKGVTAGTNSAVSKTLATALSSKVKIKFSVRGSELTSSKDTPLQAVGRRDGTINQSLPCTKDNAKTPRIQSSEDRSESTEEEYLPEEPLSPSKALRAAMLKSRFAGTIVKAQQKALLDHGKKIDPAKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQLKLDEEMRMKREQERRAARLALHMMKKTVDIDNSDFLKDLENLSKKWELNPPGKLIVNFVDGIDLPPGLGSPLERLGLFMKKDLEEEVEHEMEDSVSPSTEIDVEEGEISFCQ >OGLUM07G15370.1 pep chromosome:ALNU02000000:7:17910618:17918401:-1 gene:OGLUM07G15370 transcript:OGLUM07G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MEGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAESDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHYRSTPRRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >OGLUM07G15370.2 pep chromosome:ALNU02000000:7:17910620:17918328:-1 gene:OGLUM07G15370 transcript:OGLUM07G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G13010) TAIR;Acc:AT5G13010] MALPLSLFQGDMDATMTTLGPEDDTGAQGLILPSRDRVMYRPPPGKSALGLDLLAHRKREAEGGNAFKPPPQKVVAAATSIDEDEKPGPAESDEKSLSSGHRGSVSRRYRGANSDERTSFKEPTITDEDGRGPSPSHRDGSYRQDTHKSRSSQGSHYRSTPRRYDDYEDRGSRDKHGERERSASIGYSSSGRRGHHDDRESHNRRDERERSTSVDYMNKRSRHEHSSRSSRTPARSDWDSGRWEWEDTPRREYRDDRSNSHRQHPSPSPMLAAASPDARLVSPWLGGNTPRYAASPWDNVSPSPAPIRASGSSKGSSYPRSGGRSHQLTFSSTSASNDRESDRSPSAADGNYEISEEMMQEMDYNADRAWYDCEEHNTMFDGDNSMYLEDDSSYKKREAQLPKRLTRKDGSLMTLAQSKKLSQMTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIVARKGSALVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTATVGDQGEIDFKEEAKFSQHMKVKAEAVSDFAKSKSLSQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDMTSSNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEAAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLSILPIYSQLPADLQAKIFQKAEEGTRKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLKVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGALTVIGWKMVEFPLDPTLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAELGPMFFSVKETDTSLLDHKKRQKEDKTAMEEEMEKLRQEQAEAARLEKEREREKRAKQQQQVSMPGLKKGSTYLRPKRMGL >OGLUM07G15380.1 pep chromosome:ALNU02000000:7:17921062:17921543:1 gene:OGLUM07G15380 transcript:OGLUM07G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATWWWTTTAAVYSNATTASSYLPVVCRALATPTRLAPDAGERHHAKLHRQHISN >OGLUM07G15390.1 pep chromosome:ALNU02000000:7:17921085:17921312:-1 gene:OGLUM07G15390 transcript:OGLUM07G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSRRYEVDERTPAQDSAELGADEAPASSRWIRRPLSRFIWIWAKPLAAELGPGCTTAPARRRRWIRDREARA >OGLUM07G15400.1 pep chromosome:ALNU02000000:7:17922824:17927626:1 gene:OGLUM07G15400 transcript:OGLUM07G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 1 [Source:Projected from Arabidopsis thaliana (AT3G14750) UniProtKB/Swiss-Prot;Acc:Q93V84] MAGRHRNPPPPSFPRGGGGGVGRGHHPPPPSLHHHRLPPPHHLDDFREPPLLPPHHRLDDFRKPHHLPPPHHHHHLDEFGEPPRHHVFAGHGGGAGPPPAPHVVAALEERLGAEIEEAHVLLGQNQRLAATHVALVQEVSAVRHELGRTARGLAAAQEEGELRLREVYERSMKMEAELRAVEEMRAELAQVRLDIQKLGAARQELMGQVQGFTQDLARSAVDLQQVAALKAEIQEIRHETQHLRSGIEVEKKGYAESYEQGQEMQKKLISVASEVEKLRAEAEKRSRAAVSGGNQVYVGGYGNPKAAYAANPYNAGYNVNQPHPQANTADSGSQFGPGSTHAPWGAYDMQRATGRR >OGLUM07G15410.1 pep chromosome:ALNU02000000:7:17928358:17933297:-1 gene:OGLUM07G15410 transcript:OGLUM07G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SH3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G18060) TAIR;Acc:AT4G18060] MDVLRKQASKFKEQVAKQQQAVIKQFSTTGYEHSDAVVIDEVELQRHQQLEKLYTSTRSGRDFQKDIVRAAEGLVSIGIRHVEVGTKFSEDCYRYGGESSASDEALAKAASLYGGALRNVEKEYEEFNRILSSQTIDPLRAMAAGAPLEDARGLAQRYSRMRHEAEILSAEIARRKQRVREAPLAEHTTKLQQSESKMIEHKASMAVLGKEAAAALAAVESQQQRITLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPTIHSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECKGVAGWFPAAYVERRENIPPNKVFPQA >OGLUM07G15420.1 pep chromosome:ALNU02000000:7:17941494:17942064:-1 gene:OGLUM07G15420 transcript:OGLUM07G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGDGRDEELLPGRSDNLPSASFFRAREEAEPRRRPAALHLFAPATGAPTPMAGIGAPTLVWKGDDEDGDLTGRMAT >OGLUM07G15430.1 pep chromosome:ALNU02000000:7:17943730:17949990:1 gene:OGLUM07G15430 transcript:OGLUM07G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLERTPLVVPEAAAAAAAASSPLRRPSPRVLREVPPPPLDPILPYLRSINKAMDELRTGPKFEAAALDRLKICVMECIDKYGDDYQYSTDPRLLKIWILYADAIGDFDKAYKQLEEKRMFLEHALLYDAYALFLFSKGKVLEAGKVYEVGISRKAEPLDHLKKMHTIFLKHLEKIVEEADADAQPKPSKIQKKEPILLDPWSESTMNNLLENINVDLKKFAGYHKSNKVYHGKVPLTSPQNVLRNKVIELGGRKYQIKGSPGTGAFAKVYKASVDGNTEDLVALKIQKPPFPWEFYMYRQLDTRVSDIERPSFGYTHEVHVYADVSVLVCDYLPYGTLLDVINSHLVLERHMDEVLCIYYTIEMLRMLETLHSVGIIHGDFKPDNMLVCYPSGEITDETFKGETRSERNQGLCLVDWGRGIDLNLFPSGAEFYGDCRTSGFSCVEMQEQRAWTFQADTYGLCVIAHMMLHGTQMSIQKTPRPDGSYMYWNVELWKNLFSTLLNATSNGSDAAVLRSLRMSFQEYLCSNRQLVGKLNQQLAKQKTSLCLS >OGLUM07G15440.1 pep chromosome:ALNU02000000:7:17980842:17981924:1 gene:OGLUM07G15440 transcript:OGLUM07G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGGAATAAAGGGGGGGVAAGRSGGGGGGGGAAAAAGAGAPDPRAEALRCPRCDSANTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRSRSGGAAPGGGVGRGGPGGGAAAAVSSAGGGAAGTSPASSLALPQPGSLPSLSSALGLTGGTSLASLLLGSGGSGGDHLGLFQAMQSVVSDAAAFEMHQQHQSQVDHLLGLGYGAAGAQIQAAKPWLHDGGATGGLLDGFYAPLLSGSIVPGLEELQVKAEATTGDHQQKSSAAAAGEQSWDLPTPSSSNVEASIIASDALMAAAAASMNPAVSAAAASTAPSAQSLLYWGNGGIGAAAAAWPDLANCGSSIATLF >OGLUM07G15450.1 pep chromosome:ALNU02000000:7:17988264:17989058:1 gene:OGLUM07G15450 transcript:OGLUM07G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYAVVMTTTTEPSCIFLGGPCSPRRADGDTVMLKKDGNKRMGSNRSNASSTGSGVLPARYDTLQSDICMVTRDARKARRGSRRERRPLRSGSLDGGTLIWRRHRAVLMEVEAYFFGCTERHQGGGIGFHGREMLGIGVMDYCV >OGLUM07G15460.1 pep chromosome:ALNU02000000:7:17997382:18004414:-1 gene:OGLUM07G15460 transcript:OGLUM07G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKE0] METQSGGSGSASGGGGGGRMRLRKTESAEMRWVVSGGAYEEDEIESSDGGGGTPAAASGSRGGCSDSDDNYEEAEMLRQRLVRTGPRADSLDVEAQDVAGMNRHQEITVGRSIVLAVQTLGVVFGDVGTSPLYAFDVMFNKYPITSKEDVLGALSLVIYTLILIPLLKYTLIALWGNDDGEGGTFALYSLICRNARVSLLPNQLRSDTRISSFQLQVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAVLIVLFTLQRFGSSKVALAVGPALFIWFCCLAGIGIYNMKTYGSAVLQAFNPMYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVKSVQLTFVFLVLPCLLLGYLGQAAFLMENLTENQQVFFLSIPNQAFWPVVFIAILAAIIASRTMTTAIFSTIKQATALGCFPRLKIIHTSRSFMGQIYIPMMNWFLLVSCLAFVTMFGSINEIGNAYGIAELGVMMMTTVLVTIIMLLIWQINIIVVLCFLTLSLGLELIFFSSVLGSVADGSWVLLVFAAVLYLIMYIWNYGTKLKYETEVKQKLSMDLLMELGCNLGTVRVPGIGLLYNELARGVPGIFGQFLATMPAIHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKSYHMFRCIARYGYKDIRKEDYISFQQLLIESLEKFMRREAQERSLESDQYDGTDSEEEVASASSRALVGPNGSINSLGVPPAEAAGTTEHPTIGSSMSFDGSLDEAIDGRGSLDDELSFIHKAKESGVVYLLGHGDIRARKESFFVKKLVINYFYAFLRRNCRRGIAALSIPPSRMMQVAMQYMV >OGLUM07G15490.1 pep chromosome:ALNU02000000:7:18027329:18032020:1 gene:OGLUM07G15490 transcript:OGLUM07G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKE1] MRAEVEKGARSPDDAHAQPRPASSCCLSRTKTKPDMKRAVSSIRSRDVAHLAAAATAPSPAAAEAWDGRFRLHKPRGQHLLTNPRVLDAIVRRAALRPGDAVLEVGPGTGNLTVRLLESPAARVSAVEIDPRMVDAVTARVDALGLAHKLTVIRADAVEAEFPEFDVCVANIPYGISSPLIAKLLFGPYRFRAATLLLQKEFARRLVAAPGDSEYNRLAANVRMVADARLLMDVSKRDFVPMPKVDSSLVEIRPRAAEPNVDLAEWLAFTRSCFGQKNKTLGAIFKQKRKVLELFRRSRCAEERCDGNANADGGSRLIALGGGDDDGDMSDGDSNEGHDGAPSFSEEEVAVFKAKIAGALESCELAGKRPSKLSNDELLRLLKLLNEQGVRFQ >OGLUM07G15500.1 pep chromosome:ALNU02000000:7:18030319:18031194:-1 gene:OGLUM07G15500 transcript:OGLUM07G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPQILPSPRLAAAAASPNSAFRPAPRLHSSAPARRGRGARLATAASASGSGSGSSPSSPEPYQPAESDDGLVELPLFPLPLVLFPDATHALHIFEFRYRIMMHTVLQTDLRFGVVFAGSGAGGAADVGCVGEVVKHERLADDRFFLICKGQERFRVARVVRTKPYLVAAVQWLEDRPPAETPAPGDDAEALATDVEALMRDVIRIANRLNGKPEKDVGDLRRGLFPTPFSFYVGNTFEGAPREQQALLELEDTAARLRRERDTLRNTLNYLTAASAVKDAFPSSPSSG >OGLUM07G15510.1 pep chromosome:ALNU02000000:7:18034485:18037407:-1 gene:OGLUM07G15510 transcript:OGLUM07G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASISSHSVALRDLKAARIGAVKQQVAAAPAARAQRARADAAAAAVEAPAPAVDYEALAQELQGASPLEIMDRALAMFGSDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQLFDKVEKHYGIRIEYMFPDAGEVQALVRAKGLFSFYEDGHQECCRARKVRPLRRALRGLRAWITGQRKDQSPGTRAAIPVVQVDPSFEGLAGGAGSLVKWNPVANVDGKDVWTFLRAMDVPVNALHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIDDQGGAAAAAAHKAGGANGNGSAGAPDIFESSGVVSLTRAGVENLLRLESRAEPWLVVLYAPWCPFCQAMEASYLELAERLGGAGGGVKVGKFRADGEQKAFAQQELQLQSFPTILLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >OGLUM07G15520.1 pep chromosome:ALNU02000000:7:18042248:18046777:1 gene:OGLUM07G15520 transcript:OGLUM07G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKE4] MAAPGACLAPRSPPAFLAGGFLAARPAALSPIPSRSGKSIAPSRRFIVSNKLAWVEDELVTRAKLRKFKEKVTFEAWENLSTTSGARTHSETILCRLQELSSVRQIHSAEGIAGMRAACKLAAHALDFAGTLIKPSVTTNEIDREVHNMIIEAGAYPSQLGYGGFPKSICTSLNECVCHGVPDSTQLQTGDIINVDVNVFLNGYHGGASRTFVCGEVDDSIRHFLKAAEECLEKGITVCRDGVNYKKIGKKISKLAYFYGYYVVDRFVGHGIGPIWHSEPLILHHANDNSGRMVEGQTFTIEPILTMEKAETVTWEDGWTTVTADGSWAAQFKHTVHMWQDYRSLAEELIDEICDESSVPGEWLVRRVCGSLEFCGILACARHVMCK >OGLUM07G15530.1 pep chromosome:ALNU02000000:7:18051356:18057681:1 gene:OGLUM07G15530 transcript:OGLUM07G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT1G11820) TAIR;Acc:AT1G11820] MGSRSRGGRRSSSLLLLLHLLSLHSSLLPWAAVSAAGGGGSGDPYVGVTIGTAVTNLLSPSDLAEFLRAQRITHVRLYDADPRMLSALASSGARAIVGVPNDELLALGSSPATASAWVARRVLPYAGANSSTPGLIAAIAVGDEVPTALPSALPVLLPAIQSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFILPLLSHLANTSAPLMLNLYPYYSMMQSNGVIPLDNALFKPLPPSLEMVDPNTLLHYTNVFDAMLDAVHVAVKNLNVTGGGVPVLVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVTDKPGTPMRPGAQASVYIYELFNEDLRPGPVSEANWGLFHGNGTPVYLLHVSGAGGFLANDTTDRTFCIASDDADEKAVQAAMDWACGPGRTDCTAIQPGQGCYEPNDVRSHASFAFDSYYQLQGKAAGSCYFQGVGMVTTTDPSHDSCIFPGSKLLSNVTKSDGANTTTAQTSDAEGSAIWRLRTGRETGFLFILRWLLSLSVVLITTNSNFWT >OGLUM07G15540.1 pep chromosome:ALNU02000000:7:18063635:18073566:-1 gene:OGLUM07G15540 transcript:OGLUM07G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYNVWSREDVVVYTRMKAIGSLVEKHKPDVIFFQEITPYILRIFQSFAWWKEYHCSEVKPEEQATKQHFCMMLSKIPMENPASWKFTNTSTGRGFLTSHGKGSVTRGYMEADIYPGTSSPAIHIATTQLESPSSGPPVAPPQTRSLERYAQAKHAVAAPGSARNVVLSGDMSWDDAVDMPFPLPAADGGGWVDAWTDLAVFNGFTANASSLKKRLDRFVCKLQDYKLGGIELIGSTENLGIDYLKKRRDYCYDELHLRPSCHLGVVLTVVVTGDPPPVHGATRRKLRDSTGSTAPPSE >OGLUM07G15550.1 pep chromosome:ALNU02000000:7:18064358:18067278:1 gene:OGLUM07G15550 transcript:OGLUM07G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLDLILRRIEEYERRRVEADQRRSADLLSLKVAVESWMPGIQKKDEHLQFLVGDEQCKVTPTPCSTECPNGGNPSTTERFIYIDEGTTLTGVLELGNGEDKVHDPYITTKDFPEVTLTTCSVKCSIPDIEPNLTMVEEVTYASTDTTSMELVVDKNTTRITYINTPDYPKVTHTKCSTLGLDVKGSAYHSRVTCQTMMGVPEGVLGPDASSEVFSPWLMAVLNQYTSMPIRCLLKCPNGDKRPLMEHPKRNRWPPPTQNHSLVKGHVLQLTLSILQCLGVRQQWMQPWLPLIGVIQEHICEQEHIMYRHWDPDATLLLFSMAQLPKEKSSQGLHAGATDGHDKCFSGRKIGQNMQGCPLKNYLQGIAHIKNLGCAFLTNGITRDDQAKVVQETKLRAMAVSLNAWGRCGYPKEIKELHVPWDTGGVFHRLGDKPNFKKRGLIQGGPHKGWLAHRHEAQAEQKGAAYKAATLATLGHRTDRSFWPRRRTAAAATWGRACLLVELYHPLPLLLHPALHPSF >OGLUM07G15560.1 pep chromosome:ALNU02000000:7:18079194:18080651:-1 gene:OGLUM07G15560 transcript:OGLUM07G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEFGKRLLRASGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDISFRHLPAVDMPTGHTGVEEWISRILRSHAPNVRAAIAGLDCPVAALVTDIFCTPALEVSRELGVPGYVYFPCSASMLALLLRSPGLDEEVAVEFEEMDGAIRIPGLPPVPPSALPSTMLDRKKSTYDWFVATGRGYTNATGVIVNTAAELEQSVLAAIADGQCTRGVPTPTVYPIGPVLSFPPPPEQQPHECVRWLDAQPPASVLFLCFGSKGLLPPPKVREIAAALERSGGHRFLWVLRGPPKDSRHGQREPTDAMLDELLPEGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSILESLWFGVPVLPWPLDAEQHFNAFTLVAHLGVAVPLGMDRRRDNFVEAAELERAVRSLMDDASEEGRKAREKAAETRAVCRKAVEEGGSSSTAFQRLTDDIVRRGAVYPEKRG >OGLUM07G15570.1 pep chromosome:ALNU02000000:7:18097291:18098742:-1 gene:OGLUM07G15570 transcript:OGLUM07G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEAGKRLLRGSGGALSVTVLLMPAPTPEAAVDIAAQVKREEASGADDIRFRHLPAVDMPTVHTGIEEWVSLILRCHGPHVRAAIAGLDCPVAALVTDIFCTPALDVAAELGVPSYVYFTSGAVMLELLLYSPVLDEEVPGEFSEMDGALNIPGLPPVPPSVLPATMLHKKKMSTYRWFLETGRCYMKATGFIVNTAAELEQSVIDAIADGRCTRGVPAPTVYAIGPVIALTPPPEQPHECVRWLDAQPPASVLLVCFGSKGLLPPPKVREIAAALERSEHRFLWVLRGPPKDSRPGQRVPTDAMLDELLPEGFLDKTKGRGLVWPTRAPQKDILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPLDNDQHANAFLLVSVLGVAVPLRLDRERDNFVEAAELERAVSSLLGGGEVGRKAREKAVAVKAACRKAVEKGGPSDAAFQRLTEEIRRGAINVPKKRD >OGLUM07G15580.1 pep chromosome:ALNU02000000:7:18117016:18118467:-1 gene:OGLUM07G15580 transcript:OGLUM07G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPTVVLLPVWGAGHFMPMIEFGKRLLRASGGALSATVLLMPAPTPQQASLIEDHVRREEAADVRFQRLPDVELPTDHTGIEEFISRVVRSHVPHVRAAIAGLARPPAALVFDIFCTPAVDASRELAVPTYVYFTSAGAMLALFLRTPALDEEVAVEFEEMDGPLDVPGLPPLPASALPDTMLQRKKSTYEWYVYTGRRYMDVTGFIVNTAAELEPSVLAAIADGRCTGGIPAPTVHPIGPVISLPSPPEQPHECVRWLDSHPPASVLLLCFGGKGIVTPPMVAAIADGLERSGHRFLWVLRGPPEDSRHGARDPTDATLDELLPEGFLERTKGRGLVWPTRAPQADILAHAAVGGFVTHCGWNSILESLWFGVPMLPWPLGAEQHMNAFTLVAAMGAAVPLVVDRKRGNFVEAVELERAVRSLMGGGEEGRKAREKALELKAICRKAVEENGSSLAIFDKITEEIFWTNTKNESVKPNKNR >OGLUM07G15590.1 pep chromosome:ALNU02000000:7:18137155:18139965:1 gene:OGLUM07G15590 transcript:OGLUM07G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRSSFAALRRVPRSIRRRQSLPPARSYRRSGLRLCRSLDRRLHRPSSRLPLPVPPPKPYRRPPGRSPSPTTTPRADRPLPPPRVASHRSPSRHQRSRVDLLRAPLQWPDPDRRRAPATNDGALPYFVGNCSSNLLLGRRRGSSPSPRLADLQRQRESTWPCILFSTLTNRDICS >OGLUM07G15590.2 pep chromosome:ALNU02000000:7:18137147:18139965:1 gene:OGLUM07G15590 transcript:OGLUM07G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding LYQSRSSFAALRRVPRSIRRRQSLPPARSYRRSGLRLCRSLDRRLHRPSSRLPLPVPPPKPYRRPPGRSPSPTTTPRADRPLPPPRVASHRSPSRHQRSRVDLLRAPLQWPDPDRRRAPATNDGALPYFVGNCSSNLLLGRRRGSSPSPRLADLQRQRESTWPCILFSTLTNRDICRLGPSPIGYWSTSWVLGVY >OGLUM07G15600.1 pep chromosome:ALNU02000000:7:18147049:18147990:1 gene:OGLUM07G15600 transcript:OGLUM07G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKPKWWLGSTGSTLPMSSQCVTPGIGSTPTISTLSSLKSLSLHRNLSGLFLISGLRHPFSIGFATASRSGPSRSSSQLKSPPSTTSPCLWTTSKWSRKRAALARRSAAPTSVGVGGTRRHVAAHTRWALRGCHGGKGLYTIGESSPGGSIRGGDTDSAHRRMCASSASNLLSCNQN >OGLUM07G15610.1 pep chromosome:ALNU02000000:7:18147349:18148458:-1 gene:OGLUM07G15610 transcript:OGLUM07G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKIKFMTYNVWSNEHVAVYRRIQAISKLIARHEPHVIFLQLSKLDADDAHIRRWAESVSPPRIEPPGLLSPMVYKPFPPWHPRSAHRVCAATCRLVPPTPTDVGAAERRASAALFLDHFDVVHRHGDVVLGGDFSWDEDLDGPLRLGPGWVDAWKELRGDGDGDGGGAWTYDAVANPMLKGWRKPEMRKRPDRFLCRLRDFKLDSVEMVGVEPIPGVTHCDDMGNVLPVLPSHHFGLLLTIAPQIHK >OGLUM07G15620.1 pep chromosome:ALNU02000000:7:18150292:18152699:1 gene:OGLUM07G15620 transcript:OGLUM07G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGEYATCVLGERLANGLEEREIDGQRARGWWRLAKLRMESWLTDHAARLQKGVPDTATPHGPIKRESHCHCRNPVTTPASSSAPKNLPASIALARLPSLPRETIPAFTSNDAPPMLSYPSIQPAASR >OGLUM07G15630.1 pep chromosome:ALNU02000000:7:18152781:18160117:1 gene:OGLUM07G15630 transcript:OGLUM07G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGNAAAAAAALLLFLFLFLFLATSALLVAGDDPYRFFTWTVTYGDITPLGVKQQGILINGQFPGPTIEAVTNDNLIINVFNKLNDPFLISWNGIQQRRNSFEDGVAGTTCPIPPGGNFTYILQVKDQIGTYFYFPSLAFHKAAGGFGAIRVLSRPMIPVPFPPPAADYPLLIGDWYKANHTDLKYMLDSGKALGFPDGLLINGRSWDGYTFNVQQGKIPCFSKIFVLKTKNAGRTYRFRISNVGLSTSLNIRFQGHTMTLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPAYDYAVVVSTRFTSKIISTTAVLRYSGSGGKSPAALPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGMVTTTRTIRLASSSATVNGKQRYAVNGVSHVNPDTPLKVADYYKIAGVFSVGTISDSPSGGGGGGAYLQTAVMGASYRDYVEIVFENPENEVQSWHIDGYAFWVVGMDGGKWSSASRQGYNLRDAVSRYTVQVYPNSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTSSTSWRDEYPIPKNALLCGRAAGRRTRPL >OGLUM07G15640.1 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRAMVVMLFVFILSVLVLGALNFYQICKPSRQAANPGCVLEDFIRWHSPPDWSEDCAASSAEVGEGSSRRGRLSERMQTKEGNLWKELWGAAKPIPAVEQAPIYDEDLAVESIFDALEVIEPSKLFEQLLAVILSVCFVAAELVLPAGSNLSKLFYDCKDYILSIYQDDISKEKLDEICKVYETMEAIVTHPEETLQIMEAPDEKSPLESKNRFKIKLNFIGKDRHPLWKRAPKDPKEEKKSSPKDEKRSSEERSTKIFSNLLDKKVSIFSKKNAKPTTEVPPPPPPSSAPGPFDDSEWTIL >OGLUM07G15640.2 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRYSKLSYVPMYC >OGLUM07G15640.3 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRYSKLSYVPMYC >OGLUM07G15640.4 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIGLKIVQQAAQKLGRAHLDEVD >OGLUM07G15640.5 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIGLKIVQQAAQKLGRAHLDEVD >OGLUM07G15640.6 pep chromosome:ALNU02000000:7:18161747:18166310:1 gene:OGLUM07G15640 transcript:OGLUM07G15640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLPPPAPSSASSSPSTTTATTTSLVSRARTAIHSAAARVLTDIKADLRDADGSGALRAPSPRPSADRHPDSIAAVVGSPRDEAPDIISSPDGDTSNIEPDSTSSTKMAFPSVSVVKQLVAAIDNGKNFKSMNDMRSNGDQLLKEKGGLSLSVVKSLVRREKDERSSSEFVGDDETQSLMYTLFKLEEHFPHDKSQCNSELHHSISLPKDLHGAPPGSFTHQIAETIGKISSVYKMAFFWQSLVLELKKLWSDGQPVPRMPLDAAPDLNCCLLHQEIQVINCCIARKKRRKAAKESLDSLLKRASIDNSNHLYSNGDSPDSEMYIKGSAGDNVLRLGADHPSENLTLLETGELVYSPTLQEGPIMTAELIKETEELVLRTGRPQIQGVSWKTSLDGTLHLIGLKIVQQAAQKLGRAHLDEVD >OGLUM07G15650.1 pep chromosome:ALNU02000000:7:18183618:18184622:1 gene:OGLUM07G15650 transcript:OGLUM07G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQLGKLVCTLLAAVLCLSCFARAHHFDDDGFGPGGFGHGPKGFGRGPGFGHDCRFGRCHGGGGGFGGGGGFRGRGGGGLGGGGGFGGGGGGGLGGGGGESGGFGGRVGGGSGAGGGLGGGGSGGFGGGSGGGVGGGGGQGGGFGAGGGVGGGSGAGGGLGGGGGGGFGGGGGGGIGGGGGKGGGFGAGGGVGGAAGGGGGMGGGGGGGFGGGGGKGGGFGADGVMGGGAGSGGGLGGGGGGGMGRGGGSGMGGGAGGGFGGGAGGGAGQGGGGGLGGGGGTGGGLGGGAGGGLGHGGGLGGGGGFSIGVGVGVGVGFGGGAGAGSVAGGGGR >OGLUM07G15660.1 pep chromosome:ALNU02000000:7:18187362:18188438:1 gene:OGLUM07G15660 transcript:OGLUM07G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRAGKLVCALLAAALCLSCFARAHHFDDDGFGPGGFGHGPKGFGRGPGFGHDCRFGRCRGGGGGFGGGGGFGGGGGGGLGGGGGFGGGGGAGGGGGLGGGGGKGGDFGGGVGGGGGGAGGGLGGGGGGGLGGGGGGGVGGGGGQGGGFGAGGGVGGGSGAGGGLGGGGGGGFGGDGGGRLGGGGGKGGGFGAGGGVGGGAGGGGGMGGGGGGGFGGGGGKGGGFGAGGGMGGGAGGGGGLGGGGGGGGMGGGGGGGMGGGAGGGFGGGAGGGAGQGGGGGLGGGGGGGLGGGGGAGGGLGGGAGGGLGHGGGLGGGLGHGGGLGGGGGFGVGVGVGVGVGFGGGAGAGGGVGGGGR >OGLUM07G15670.1 pep chromosome:ALNU02000000:7:18192724:18200310:1 gene:OGLUM07G15670 transcript:OGLUM07G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSASTSRGRRRPREEEEEEEEDEEEVVGDVASDDSADSDFVADTEEEEAADDEYDYASDGEDGAGPAAAAAAAAPAAVAPPPPQPKRLQGGRKKGAGGHGKLPLPWEVWEEANEKWLDEREAAAKGEEPPDPEAPPAVVAGVPTAEPAPEVLLPLLRFQKEWLAWALAQEASPSRGGILADEMGMGKTIQGISLVITARRLRPPAPPPRRRAASSSQGQPKRWVGCTLVVCPVVAVIQWAQEIERHTAKDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELGDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >OGLUM07G15670.2 pep chromosome:ALNU02000000:7:18192724:18200310:1 gene:OGLUM07G15670 transcript:OGLUM07G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGASSDSVRVLVYHGGRRGAQKYDFNKYDFVITTYSTIEADYRKHIMPPKTRCCYCDKLFYPNKLKVHLRYYCGPDAQRTEKQAKQESRKWGSKKGTSKRRVQKKKNDSDGEDFEERDGGSGSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTAKAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTLFEGKRAMVLLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSRTQFDSYVDAGTLMNNYAHIFDLLTRLRQAVDHPYLVAFSKTAELGDRSKNEGNENMESQCGICHDMTEDAVVTSCEHVFCKNCLIDYSATLGNVSCPSCSVPLTVDLTTRSSGEKVTPNLKGGKRSGILGRLQNLADFKTSTKIDALREEIRNMVEHDGSAKGIVFSQFTSFLDLIEFSLQKSGIKCVQLNGKMNIVEKGKAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIRSMRFVIKDTVEERILQLQEKKRLVFEGTVGDSPEAMSKLTEADLKFLFQN >OGLUM07G15680.1 pep chromosome:ALNU02000000:7:18239761:18246356:1 gene:OGLUM07G15680 transcript:OGLUM07G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTPLPDRKALDMILDKLQKKDTYGVFAEPVDPEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIHELARKKFQELRDEGIPTENLIKSEQKIRPHPSNREPIKKPVLRYSDDDLGFMSHKEQVSRPNSKDLEDDRKFKDQVKKTISRNSEDVLSSSFQKERVKKSSVRNSDDDLSSSFQKEQVKRPISRNSGDDISSSFHKEQGRKVISRNSENDRVASFHKQHDKRPTSRSSKDELPSQKKHIRKPVCTNGEEPDFSSHRDSVENPVCTNGEHVGVLSPKRLVEKPICRNRDDLGHSHKKELNNKSICGDGQDDMGYSCNGETVKKPVRMNSQDALGSDVSAATIASAGDGSNGLSMSQANAVEPQDCIAANGFMDKDISSPLDEIRSEKPDDISARESSVKPSYKSIVVDETRRKTYDTYEEQPSSESDTIFDVFCEEPKELVNVGPHSEHSYARSLARFAGSLGTQGWRLASERIQRVLPTDVKFGRGWVGEYEPPLPPILFVQNQPRSLVSSEANVQRSASMTRNNERIRPTESVNPKDMSLSLLNRISTGNNVVGVPGPLESPEIKPRLFGVTAEPQQRSTEAPSLHENHRAPGSVAKTKRAPSEQTRKGSSSSSSRPLQKQPQRPEISKGASNVLDMPSLNKMTGQPRPFFQPAEAAITQQMRKSETPKSSHPLEMAHQRLECAKGASGVHDMPSLNNTSGQPKPFFQSQEAAVPQPRNENTWVYHGRPGDGKYGTTDKSRPMSSMGFITKNQQVNAASFAMNLNGQKNVNDNVKSVGSTVMPVQVNTTNRGPDSSRNIFSAFPPAVRENQSIPSAPVAQSWISFGASSESKPTIVSPTFHDSNSGWKMPFANARPDEAKMTAVPQFFRQPVQMVRESPGQNKGLVIFPQLVQTDFSRSQGQPQWQGLVPPMQQKPNKDMLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >OGLUM07G15690.1 pep chromosome:ALNU02000000:7:18246627:18259303:-1 gene:OGLUM07G15690 transcript:OGLUM07G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASCLSVFAGAAATTVAASSRLMSRRAVACAGYAGMDDGGGGEGMMIMARRRRLVVPGIIATAGGGVRLRPATKGAYTCARAQRARGPSLATDQSLDIERANVRVAYQGSPGTAIEEMVFKAFPDCIAVPCKKFVAAFEAVDSSLADIVVLPIENSSTGSFHQNYDLLLRHKLHIVQEVQVEIELCLWALPGVQKNDLRTIFSHPEEFAQCEHSLSSLRVIKKNVDHCAAGAEIISMQNLGDAGVIGNAQAAELYGLNIVECNFQIESRPNKREPMRTQGNEKHFNYIFYVDFEASTAEVRVQNALNDLKQRATFLRVLGCYQMREVGLTAPICQLGFFNLKNS >OGLUM07G15700.1 pep chromosome:ALNU02000000:7:18264800:18267479:-1 gene:OGLUM07G15700 transcript:OGLUM07G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQDPSSPVPAAATAARPKRTSSAPIRPSDYTHSPAHHCVALRDAAGLAGVLQGLPPLAHPSRILTAADAAREARLAASVSGALDRRDVPGGDTALHLAVRLRLPSLASALAAAGADPTLQNHAGWTPLQEALCLGCKDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGAELRADTTLAGFDGLRIRRADHSFLFFGEEADAGGRHLPPGSLLVLHRGKREVHDAFAAAAAAGDEDSATSDAAAYRPGLNISSARLVPRTTWLRKEKTESVGEWKARVFDVHNVVFSFRTLKAANAGRKDFTFELAGDNDDDDDDNGDDEEFLPLEIRDDDEDGDFLVADIPPPASRRSCYVPGRRSVAAPPSHMATPQRRRNSVDVPRRLPACASVGRGEGGVFGRHATTTGGARWKEEETVKTLRPTVWLTEDFPLTVDEFLPLLDILASRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLLEPEEFFTPMSSPSLLASPGPGSIMHKPDTQKSSYLKWGLKNSRSKPVNLSQVADNTDPFTIPSDYTWVSLGSKGQDKKSSKTKKGKTKETKLS >OGLUM07G15710.1 pep chromosome:ALNU02000000:7:18275833:18276042:-1 gene:OGLUM07G15710 transcript:OGLUM07G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKEAMALAVRRAGQAAAAAMGVQRGSTARAVAAATAGLPFRPLGNAPHCGRYRLGASYWPTALSQPY >OGLUM07G15720.1 pep chromosome:ALNU02000000:7:18277053:18279357:-1 gene:OGLUM07G15720 transcript:OGLUM07G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKH1] MARTSFKLEHPLERRQAESARIREKYSDRIPVIVEKADKTDVPEIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >OGLUM07G15730.1 pep chromosome:ALNU02000000:7:18282035:18282511:-1 gene:OGLUM07G15730 transcript:OGLUM07G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVRRVEEEEEFDEGEVWEVLHHDQSNEAAAAALAGRTTKGARNNRQHEEGGGGAAAARRSKGRSSAPVAIPAAAAGSSSSSSLSSRRGGGGGEEDEEEEEEMMMMLPPHEWLARKMERMSAAAPAPEIGGGRSKGREMRKVRDAVLPKTAFSSEQ >OGLUM07G15740.1 pep chromosome:ALNU02000000:7:18291640:18296123:-1 gene:OGLUM07G15740 transcript:OGLUM07G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGAVVVSDADAADDNGHLEVIYNGNFPRDDPFRAVRVAVKDVKLQGPRPSPTPANMAAPRPTTAGKSLPRLKMQMLEKEQLRANSEAFLAFARSSMALPRRSSPRSSRPIIHRRSELAVEAQGRLVSKKPSGSGSPVNKMSFKKGDEVRVRTPLGRLGTSTTALRLVMWLGAVVVSDADXRVRTPLGRLGTSTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVSVKDVKLPAPRPAPTPANMAAPRPTTAGKSLPRLKMFMLEKEQLRAKSEALLAS >OGLUM07G15750.1 pep chromosome:ALNU02000000:7:18297359:18308145:-1 gene:OGLUM07G15750 transcript:OGLUM07G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGRSPVNEMSFKKGDEVRVRTPLGRLGTTTLRLVMWLGAVVVSDADAADDNGHLETIISSLGVQIRRGHRIQSSPMSTSPPSPPLPHLPPDLGGRHRRCCPEDPPSPGHHRLPSTIGLPPPPLHAVHRHCASLPAPAGSGGGKGVSAPPAPAGPPSPPLHAVSRHRASPPAPVGSSGGEGASRVRDEKSRKIDLISTGCEPRREKKYLIRSGSARF >OGLUM07G15760.1 pep chromosome:ALNU02000000:7:18297544:18297837:1 gene:OGLUM07G15760 transcript:OGLUM07G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQGQEGRRRPSLRQIRQGQEGRRSGGGRHGGVAVAAQWWRGGGGGPATVDPRGNSGGGALPDQAGGEAAAAKAATSTSGSFGSGGLFGSEHPVS >OGLUM07G15770.1 pep chromosome:ALNU02000000:7:18310703:18313352:1 gene:OGLUM07G15770 transcript:OGLUM07G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPAKAVKVVTMEERMTRLNRLLVMAAGTAAALAGCYAKGSGVRDGDGTGGAIQAAVATTGREVVW >OGLUM07G15780.1 pep chromosome:ALNU02000000:7:18316631:18319589:-1 gene:OGLUM07G15780 transcript:OGLUM07G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRRSGPNVGYTAHGDESREVISGDQQGAPPLLGGGRGEERRVIFFFAFSIISPSCAPAGTSLLLLRLRTKAQGGKNGTLLTPSCAWEEEAKGEKNGTLLTPSCAREGEEGRFSRRAAPRFKGYDIMKDDIGTSYGDLPDHKYFISVREFTTSAMEYIDSIRCSLLGEYKKLNVWIVKERIKCCVRSMAVELFNQHEEGYCLSRFGESNIWVAPTGHIRFRLVTRTKRTDELVCQNYLDLRNVIRQTVFRNYSMDQIPEDWRSLMSLMLHNPHEHGYLICNYAPLIPIENRILFYFRAYEHMRFVLAYTNDAAYRDILKKLPYQNRWFQITEGNYLLEASLKHKNYGVDDNPEKAHDPETFFKYYRHSNCHRLDRCFMIEEVGGYSAEQFELIFIVKYPLFLPLLQQELQRYNQLRCLKPHTLFFYGNIQDAEESCAMIYHDQLDNPQATVGELMCTLEELYQGTDLTVALHRRITRHTDEPVENEEIILQVKVLPGSRKGTKITLPYEGSHFYGQLPHDLILTLDIAPHETYILYGNDLVVHWVLRLVDALAKCTINLKTLDGRYLKIKVDEVVYPGYELVIKDEGWPIGEGLKGNLRIIFDVSFPKTLSGRQQHSIRQVLDQ >OGLUM07G15790.1 pep chromosome:ALNU02000000:7:18320595:18320999:1 gene:OGLUM07G15790 transcript:OGLUM07G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQIPFPKFQHPPKKINSARNQVIVDRLLVSILQKLGEGDTIHTIIKFLSNKLSGHEPTCKRIVAVGMGSSKSESVVAVDKVESTLCNLDRFEANAQHEVGPTTPDCNYSSPGCFNANVKQVAMATCWRTR >OGLUM07G15800.1 pep chromosome:ALNU02000000:7:18328683:18329696:1 gene:OGLUM07G15800 transcript:OGLUM07G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVLPPPATRLATRPETNGLEFHHLLRAADGGDMIVATDDQRRTLIYDVAARAVGPGHMLLSDKRVPVSAAVADRLYVLDTSHAARRATCFEALVYDGVEDPLRADWYWRRLPGPPYADDGIGRPLPGSRVTALAVVGAGIWATTAPAEDGVASVRPCSRLDPRRGVWTTPKGAGAGPGTYSFDTERQAWRREGDWELPFAGKAELVPGCNLWFGFSRADGSSSLCAADLAAAPHRACGVWEDFRPPKEWFSCGRHLVSLGSGKLCVVRFFATDPLDKWRRKDPVAIITAMEVRTMPACDGDDDGGRGERRIKVVKHTSRCIKLPNYNKGRNWVL >OGLUM07G15810.1 pep chromosome:ALNU02000000:7:18332238:18335464:-1 gene:OGLUM07G15810 transcript:OGLUM07G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05670) TAIR;Acc:AT1G05670] MLLRRAVAAAAQRSSRLSHSRPLLRRAGPACSSLTTTTTSQHRHGRRRAPPAESNALTTTAAPRPFPDYSPPRPDSPADDDLARRLAAAVLSSPNPGSLPPLPFLPLLRPLHLLLALPLLASHPHLPTILLPLLLLFPSGPRPHPHLLQSFAVAAHLAAVRDPGAARAILVRALRFPSPHRHFVEQFISTYKAFSSDPVSFDLLLLCLPSAPLLRRLRQYGISPSPESCNAVLCRLPLDEAVQLFQELPEKNTCSYNILLKALCTAGSIKDAHQLFDEMASPPDVVTYGIMVHGYCTLGELETAIKLLSEMAARGLELNPVAYTSVVALLCDKGQVSDAVRVVEDMVMHGVVLDAAVFTTVMSGFCRKGDLAAARNWFDEMQKRGLAADGVTYTALINGLCRAGELKEAERVLQEMEDKGLDVDAVTYTVLIDGYCKVGKMTEAFLVHNKMVQKRVTPNVVTYTALSDGLCKQGDVCAANELLHEMCNKGLELNIFTYNSLINGLCKAGNLEQAMRTMIDMDEAGLKPDVYTYTTIIGALCQFRELDRAHSLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKRLLEWMLEKNIHPNTTTYNSLMKQYCIEKNMKSTTEIYKGMLSQEVVPNENTYNILIKGHCKARNMKEALYFHSEMIEKGFRLTASSYNALIRLLNKKKKFTEAGRLFEKMRKERLTAEPDVYNFYIDLSFNEDNLESTLALCDELVEVTLVKSIADTDDDFAEEHISIMRFLEEMWEVLGYYRYVWDCSSWLLCNLQGLLLQAA >OGLUM07G15820.1 pep chromosome:ALNU02000000:7:18335631:18345789:1 gene:OGLUM07G15820 transcript:OGLUM07G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASIFSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLNRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDESNNQLGIDEHTSQLGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVAALHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVYLISTAGCQDILSTILSPSQWAKRKGAKQNDSSEMEAAEAAAHPST >OGLUM07G15820.2 pep chromosome:ALNU02000000:7:18335631:18347478:1 gene:OGLUM07G15820 transcript:OGLUM07G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASIFSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLNRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDESNNQLGIDEHTSQLGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVAALHPRYLPSGWNIKHSGRRDFGDFSVYKPSKKPPAADQSETLAAAAVVP >OGLUM07G15820.3 pep chromosome:ALNU02000000:7:18335631:18345547:1 gene:OGLUM07G15820 transcript:OGLUM07G15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H fold protein with HRDC domain [Source:Projected from Arabidopsis thaliana (AT2G32415) TAIR;Acc:AT2G32415] MPTANLRSRAAAAAAAAACLAALAVAALLHRRRRRGRARAPASPGLLGGRRGRRPRRACEEEEKPQARFRRVVADNSYSAFKHLRRQGAGPVGSGHHGSEAQPTSQESSQKVHPFEEEITSLLNNPPDFQNFMPGDRCPEMSTSYNWVETDAQLEDLARLLDDEKAFAVDTEQHSLRSFLGYTALMQISTQKADYLIDTIALHDVMSILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEVLSKPQKSLAYLLELYCGVTTDKTMQREDWRLRPLTPEMIQYARCDAHYLLYIANCLASELHAKTYDASDSPNDKINFFFEASHRSNMVCMQLYAKEIECPPGASSAASIFSKNLQSHGLDSYKSSEVKDLVWKICAWRDLMARMHDESLRYVLSDQAIASLAVSVPRGPTEVCSAILETETSNSTVYPSLPPPSPIVVAHAEELRYLIEDITVSMDAIFKNLLEKYKDPSRLCRLSVFNYNLVSQLSLKQKNMFSFASSGEKLLMAPTNKKASRELFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYIQRNLAKLIEDNPPAIVLLFEPKGRPEDEDNDFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRQIAKEFGVPLFVQKILNSGDISLIAGASLSEDKSNGTGVSPLQLRTAAMALLRHGSNMPLNRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPNERRRHSKKNGFSYRSQAQNVIRKSNSNGIVENNEHDPENGYAEQFSKNGVENNSHPDIDESNNQLGIDEHTSQLGSGGNKIHGPTLSKESTIYPPRMANPISDSSMEADTVQQASLGGNPANGDLDRDPCGSNNSNQAIPQNGDKKISLLGHGHHGKQVVELLLSNGGEEAINQFSQRWRQVFVAALHPRYLPSGWNIKHSVYKPSKKPPAADQSETLAAAAVVP >OGLUM07G15830.1 pep chromosome:ALNU02000000:7:18347950:18348453:1 gene:OGLUM07G15830 transcript:OGLUM07G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHHSSPRSSRPISHRRSELAVEAKGRVVSKKPSGGSPTNKMSSALAPAAVSFKKGDEVRVRTQVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVQLPAPRPDPTPVNIAAPRPTTAGKNLPRLKMFVLEKKQLRAKSEALLAS >OGLUM07G15840.1 pep chromosome:ALNU02000000:7:18349510:18353234:1 gene:OGLUM07G15840 transcript:OGLUM07G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSRRKDNGGLVTERGGEEGEAAVAELVTSTGEEPVATAPTTKPWPWSASRHARQAAASADLLDPAAQPNAITALGRLGHYATVVPAIAAAAWITQPPLRPRLRPVPSKPMRKELGERVSSGSERINLNGELTMERLHRGEGVLRLLRTTASAAASLCVATRERRSSSGGHDEEPIEPATVQTEPPYVVSLPSGDTSTIGLGFNNAACIEQNSQGLGINHSKLITEFNSNSVSDVLRSWLGFDSESDTAADKS >OGLUM07G15850.1 pep chromosome:ALNU02000000:7:18353328:18357063:1 gene:OGLUM07G15850 transcript:OGLUM07G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRRSSPRSSRPIIHRAVDAKGKKPSGRSPVNEMSFKKGDEVRVRTPLGRLGTTTLRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPNKAGKSLPRLKMFMLEKERLRANPEALLASSASSSSMALPRRSSPRSSRPIIHRAVEAKGRVVSKKPSGRSPVNKMSFKKGDEVRVRTPLGSWGG >OGLUM07G15860.1 pep chromosome:ALNU02000000:7:18357087:18357344:1 gene:OGLUM07G15860 transcript:OGLUM07G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLGAVVVSNADADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPAPRPTTAGKNLRRLKMFVLEKEQLRAKSEALLAS >OGLUM07G15870.1 pep chromosome:ALNU02000000:7:18360546:18365416:-1 gene:OGLUM07G15870 transcript:OGLUM07G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKGNRDWSDCDAAFREEAGRAIVALTEGEQGHAHGLSLATALVGRYPRSPLARAILARCYLQRNSRRQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEACDRALRVLEPTDPALHYTFVSNRYSSADDDNPFDLEYRKAHGRETIRGQRARIEKGKGLAAASPEPASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKFYCRSRGLMDMTSMLSDAEVFVKKGWSSYWICPFCSGMIYVEFTAFKSHIDEEHIVGKELLSLVPEKISDSEKELLRLWRWEPTDGDDLSGRTKILREVKEIVFELIDLGFVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSPHLQELCELLKPLTLVMQTHRGWKHQKHHNGEQESQQDSLVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQDSLLIIASRETDGSSQADRLFACLLSEPLLEDPMELCFSKWRECFVDGPDILNNISRALGKAKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSAQVEMLLIDAEVDYWKERLLKTCQVDCLAVISPIAKACLWAKLVNDPLEDALLAHPQVPLDAILRSLWHIRRFCDDLWEIPCISPDVKARVYRAILLHIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKAGNISAYRVVESILERLHVAQTPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTTLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIVLRWPDNKGNHINMHKVLISLAAELDISHIYEGLQSESMYTLVSAVSYDDEGRQYLCFARDEARWLIHDSTTHAESWKGLIERYSQANLRPEILFFEHGRKRDHRLLL >OGLUM07G15870.2 pep chromosome:ALNU02000000:7:18360546:18365416:-1 gene:OGLUM07G15870 transcript:OGLUM07G15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKGNRDWSDCDAAFREEAGRAIVALTEGEQGHAHGLSLATALVGRYPRSPLARAILARCYLQRNSRRQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEACDRALRVLEPTDPALHYTFVSNRYSSADDDNPFDLEYRKAHGRETIRGQRARIEKGKGLAAASPEPASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKFYCRSRGLMDMTSMLSDAEVFVKKGWSSYWICPFCSGMIYVEFTAFKSHIDEEHIVGKELLSLVPEKISDSEKELLRLWRWEPTDGDDLSGRTKILREVKEIVFELIDLGFVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSPHLQELCELLKPLTLVMQTHRGWKHQKHHNGEQESQQDSLVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQDSLLIIASRETDGSSQADRLFACLLSEPLLEDPMELCFSKWRECFVDGPDILNNISRALGKAKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSAQVEMLLIDAEVDYWKERLLKTCQVDCLAVISPIAKACLWAKLVNDPLEDALLAHPQVPLDAILRSLWHIRRFCDDLWEIPCISPDVKARVYRAILLHIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKAGNISAYRVVESILERLHVAQTPLHFEFKGESLVPQTAIVPSLLGCICLAHNLFGLHIIEKKCNCVNEVPMKTKSTFFHSINLGSVEGTTQTNILLLIQLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIVLRWPDNKGNHINMHKVLISLAAELDISHIYEGLQSESMYTLVSAVSYDDEGRQYLCFARDEARWLIHDSTTHAESWKGLIERYSQANLRPEILFFEHGRKRDHRLLL >OGLUM07G15870.3 pep chromosome:ALNU02000000:7:18360546:18365416:-1 gene:OGLUM07G15870 transcript:OGLUM07G15870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVVGSKGNRDWSDCDAAFREEAGRAIVALTEGEQGHAHGLSLATALVGRYPRSPLARAILARCYLQRNSRRQERVQLELAAVLAPRCPHIASLLIDALISMDLFDEAAEACDRALRVLEPTDPALHYTFVSNRYSSADDDNPFDLEYRKAHGRETIRGQRARIEKGKGLAAASPEPASTPEWPPETVDLGIAGDRWSRMSEEERQALLKVSFGEMKFYCRSRGLMDMTSMLSDAEVFVKKGWSSYWICPFCSGMIYVEFTAFKSHIDEEHIVGKELLSLVPEKISDSEKELLRLWRWEPTDGDDLSGRTKILREVKEIVFELIDLGFVSLNLLYIMHKFIMNRVRPVAPLVVSMCGSCGIGQLSSPHLQELCELLKPLTLVMQTHRGWKHQKHHNGEQESQQDSLVVHTHRGCNHHKRRNGEQESQQDSLVGITWSQDSLLIIASRETDGSSQADRLFACLLSEPLLEDPMELCFSKWRECFVDGPDILNNISRALGKAKLKFSSWEELKGIQGGVYFLPKAIFERDIDIKTYFDSWIGSAQVEMLLIDAEVDYWKERLLKTCQVDCLAVISPIAKACLWVSMVLSARTELVKHAKLVNDPLEDALLAHPQVPLDAILRSLWHIRRFCDDLWEIPCISPDVKARVYRAILLHIFRSWDQCKTCDLPSSAIFMVDSLRSFVIDEKLESFSELLKAVDKQSVCDFRNGGCGHRITRYLWYPPHFFMIVLRWPDNKGNHINMHKVLISLAAELDISHIYEGLQSESMYTLVSAVSYDDEGRQYLCFARDEARWLIHDSTTHAESWKGLIERYSQANLRPEILFFEHGRKRDHRLLL >OGLUM07G15880.1 pep chromosome:ALNU02000000:7:18368648:18370874:-1 gene:OGLUM07G15880 transcript:OGLUM07G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDDAASRHRGQLGPLLHARYLAPADLDAALALARAYAAMERFDLAARECERALALTLADDTAAAAAAEELLHLQLEPPPTKEARMLAKERLRFLLLQASSKAVAMAARDRWRAAMADDDERRRGFLTVSVEDLKAHYTGEPLSDAQMSALACAVDLAKDTGDWICWMCPDPQCMMVFLTAECFQSHVADEFFPDLQRSLPLVPERMSEEQEAELIGSCAVKLAPSDDDETERFLSKIKSTLQRIKDKKALSVDLLDNLVEFTNRWMIEEETAAAADPPQNPICSIAKLHPVALHVLALTLDMIVPGFFERSTDHDDAKLQDSCDHFDHVPVVHDDFVPSIVFEEDALRIITDGSSSNQDALFRWLSRPRRQDPVTSWSNMRQACLDNGARVLEKLIASAAALVEKVELKRGLIEMNTHESYFTKKDKLRDDPPEKLLCSEDGLNISTPEVYIQSYQDDEKGAKDNPKGGELEIQLRLTIIVLSKSFQAIVN >OGLUM07G15890.1 pep chromosome:ALNU02000000:7:18374643:18379900:1 gene:OGLUM07G15890 transcript:OGLUM07G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPRCSSPRSSCSIIHRAVEAKGRVVSKKPSGSGSPIKKMSSAPTPAPVSFKKGDEVRVRTPVGRLGTTALRLVMCLGAVVISDADDEDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPGNMAAPRPTTAGKNLPRLKMFVLENSEAFLAFSASSSSMALPRRSSPRSSRPIIHRAVDAKGRVVPKKPSGGSPPVNKVSSAPAAPAVSFKKGDEVRVRTQVGRLGNTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPANMAAPRPTTAGKSLPRLKMQMLEKKQLRANSEALLAW >OGLUM07G15900.1 pep chromosome:ALNU02000000:7:18392986:18405373:1 gene:OGLUM07G15900 transcript:OGLUM07G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MEFDRRKEAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPQAEPGGAKTKKKARGGGWVYISHDPADPEALVEVLFGVKEGGGGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLRKRVMVALRCSIRMEVPLGQTKELVVCPDYIKYLVRIANGKMEANKKRMDGFLDLLHAKGLLGSSKGCATADNESLVAKKSSDSYNCDADNNCDNGFVESSLEASYLESQDPVLQNGAKHGFGNAKRHRILPSIHKYILTQEEALPTLSGNTSHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTESPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFCPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGLRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHCSVSNVDLEGHEENCNPSDNVKVVIDTSTLGSAPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDPCVFEELLPFSENKLVGSSISLQKALEILLLCRGSILKDELAISRKASKTPHTIMRELVSALLDKKGLPGQLLEQLPTRWETLGDIIVLPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLMGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >OGLUM07G15900.2 pep chromosome:ALNU02000000:7:18392989:18405373:1 gene:OGLUM07G15900 transcript:OGLUM07G15900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Met-10+ like family protein / kelch repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT4G04670) TAIR;Acc:AT4G04670] MEFDRRKEAALAALASPAPDKSPKGGVDAPIAPLLDALNSHPDLFTTSSCSGRVSVLAQPPQAEPGGAKTKKKARGGGWVYISHDPADPEALVEVLFGVKEGGGGGGGDDELVFRFEPMIVAVECRDAAAAAALVAAAVGAGFRESGITSLRKRVMVALRCSIRMEVPLGQTKELVVCPDYIKYLVRIANGKMEANKKRMDGFLDLLHAKGLLGSSKGCATADNESLVAKKSSDSYNCDADNNCDNGFVESSLEASYLESQDPVLQNGAKHGFEEALPTLSGNTSHCLSTAALEITGEPIEKLFLWGQSACALTVGREHHILTFGGFGGPGRHARRNYSLLVNPGSGLLTELKVTESPSPRMGHTITVVGNDIYVVGGRGGPSEILNDIWVLERSNNRWSKVDCSGDFFCPRHRHAAAAVDRKVYVFGGLSDDGLCSCMNIMDTASIQWNVISPDDKWPCARHSHSLVSYGSKLFLFGGHDGLRALNDFYSFDTTTLKWNKENTNGKAPSPRFSHCMFIYKDYLGILGGCPIRESSQEIALLNLKHKIWFYVSIPSLSQCLCVRSSSVIIDDDLVIVGGGASCYAFGTRFSQPIKIDLHLLESIFKLAYNKEKEMSVQHCSVSNVDLEGHEENCNPSDNVKVVIDTSTLGSAPLVLQLEKKYAKLAKDILKKFGWLDLTRKVRVSQDNIHVLFPVSKTFHALITDKHLKVQPDDPCVFEELLPFSENKLVGSSISLQKALEILLLCRGSILKDELAISRKASKTPHTIMRELVSALLDKKGLPGQLLEQLPTRWETLGDIIVLPKTCFKDPLWESVRDDLWPLVAKSLGAQRLARQGKITPNGTRDSTLELLMGNDGWLTHHENGICYSLDATKCMFSSGNRSEKLRMGKLDCRDEVVVDLFAGIGYFVLPFLVKANAKLVYACEWNPHALEALQRNVMDNHVADRCIILEGDNRLTAPKGIADRVCLGLLPSSECSWDTAVRALRAEGGMLHIHGNVNDSDETLWLDNVVKSITNIAKTHGLSWNVTVEHVERVKWYGPHIRHLVVDVKCRAT >OGLUM07G15910.1 pep chromosome:ALNU02000000:7:18399879:18403764:-1 gene:OGLUM07G15910 transcript:OGLUM07G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCCSRATSPDSGRGGANGYGYSHQTKPAQTTPSYNHPQPPPPAEVRYTPPAMNPPVVPPVVAPPKPTPTPDTILGKPYEDVRKVYSLGKELGRGQFGVTYLCTEIASGKQYACKSISKRKLVSKADKEDIRREIQIMQHLSGQQNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYSERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLSGVPPFWAETEKGIFDAILQGEIDFESQPWPSISESAKDLVRKMLTQDPKKRITSAQVLQHPWLRDGEASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFTNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKDIISEVDTDNDGRINYEEFCAMMRGGGMQQPMRLK >OGLUM07G15920.1 pep chromosome:ALNU02000000:7:18477482:18491280:1 gene:OGLUM07G15920 transcript:OGLUM07G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDLADNLLVPRPSRLPCLLQCAHDTELRVSCALSRRGGGVRRGGHVHGEWLPPAKRAGSGAGASATMMLRVSAGGRRSLPSGIGNGSVRLRPAGEAEEVRADALTLVVDVATDDEVRAAHQFACLVVVDAHHSGSIVEKPNIDFSLKINSPVTDLAWGLQYPCLLDLEGRGKKRDSRKKAMTLLQSQAASAPVIHNKYDSFYLYIAN >OGLUM07G15930.1 pep chromosome:ALNU02000000:7:18497432:18504506:1 gene:OGLUM07G15930 transcript:OGLUM07G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLGQAKREKRREAGPLTRGVHPPCPAFLPGFAGFSSLPSHSRPRLHRRRRHLLLLVGVAAAGVFSTSSAPRSQARNHLPASARAHLPNVMVTIYRCSRQKVAWSATGCTLLISLLFFLSDSPHRILLNKKPLNFKTAPSRLLREISISSRRVQRVNNSSAEFVANLNDRNVEIVQHMEDSAHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSREGAPDVKIWLQRYSDGCWRTPQVADEQDEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGKTWSAREQLPPGILDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYVTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDDFIHITYTYNRTQIKKDFIIGQGSHKMTNKWSVLKEEFTFPEGSVPFKTCHASTIVEVQKNMFLVAYFGGTQEGVDDNGSWHSPEAVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKYSSDGGVIWSKREQLPPGILGIIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETYGVEFSYPAVIQTSDGLVHVTYTYKRTQIKHVVLQPGEV >OGLUM07G15930.2 pep chromosome:ALNU02000000:7:18497432:18504506:1 gene:OGLUM07G15930 transcript:OGLUM07G15930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLGQAKREKRREAGPLTRGVHPPCPAFLPGFAGFSSLPSHSRPRLHRRRRHLLLLVGVAAAGVFSTSSAPRSQARNHLPASARAHLPNVMVTIYRCSRQKVAWSATGCTLLISLLFFLSDSPHRILLNKKPLNFKTAPSRLLREISISSRRVQRVNNSSAEFVANLNDRNVEIVQHMEDSAHNIINATANVTSDWSIVKEEFTFPAGSAPFNSCHASTIVETEKDSFLVAYFGGSREGAPDVKIWLQRYSDGCWRTPQVADEQDEVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGKTWSAREQLPPGILDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYIEGETLGVIQPVPYVTANGTIRVLLRSFETIGRVCMADSADGGVTWSYVHETDLPNPNSGIDGVKMKDGRVLLAYNTFSRGTLKVAVSMDDGDSWNEVMTLEDTEGMEFSYPAVIQTMDDFIHITYTYNRTQIKKDFIIGQGSHKMTNKWSVLKEEFTFPEGSVPFKTCHASTIVENGSWHSPEAVDEVPNVPLWNPVLFQLPSGEILLFYKVGKTVESWSGCMKYSSDGGVIWSKREQLPPGILGIIKNKVTKDHGKTWKKYGPIYVRGKTMGVIQPVLYQTSSGTIRMLLRPSDEVGRICVAESKDSGVNWSYAQPTELPNPNSGIDGVKLKDGRVVLVYNSTSRGVLKVAVSQDDGDKWEDVLTLEETYGVEFSYPAVIQTSDGLVHVTYTYKRTQIKHVVLQPGEV >OGLUM07G15940.1 pep chromosome:ALNU02000000:7:18507308:18510243:1 gene:OGLUM07G15940 transcript:OGLUM07G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoribonuclease L-PSP family protein [Source:Projected from Arabidopsis thaliana (AT3G20390) TAIR;Acc:AT3G20390] MAWSAASTVTRAAAPAAELRLPLAAGLGRASFAVTGRLRRAVSASLSTAAAAVKKEAVQTEKAPAALGPYSQAIKANNMVFVSGVLGLNPETGKFVSESVEDQTEQVMKNMGEILKASGASYSSVVKTTIMLADLQDFKKVNEIYAKYFPAPAPARSTYQVAALPLNARIEIECIAAL >OGLUM07G15950.1 pep chromosome:ALNU02000000:7:18520250:18520834:-1 gene:OGLUM07G15950 transcript:OGLUM07G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARKQQPQGPFAGGAAAGERSFLGFQYHHHHRGGSVAPAYGDDDDLPDLAEADVWYAPSSEGGADHRGGGGGGGGGGGLEIGGGGWGGGKHKVGGLSRAFADGRQVAASAPVQVPAWPGRYADPDQAAFAEEEKRREEEDDAGDGDGWVPPHVYLARRQARSSVVEGVGRTLKGRDASRVRDAVWSRTGFDG >OGLUM07G15960.1 pep chromosome:ALNU02000000:7:18531937:18532281:1 gene:OGLUM07G15960 transcript:OGLUM07G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLERSLGGGGGGAHCDAGKKKRAVLVEEELAAAAVEEEEEEVRKQGGEKVTEVKIRITRKQLEELLRRLEEGSDGGGGGGAVVSELLCMTSSCNFRHRPEQWRPSLHVIPE >OGLUM07G15970.1 pep chromosome:ALNU02000000:7:18536720:18537721:1 gene:OGLUM07G15970 transcript:OGLUM07G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGDLMRKQLFLAEVVHLVPLLVWGRRPELRVDAGVEEEDGETPEIDENMYE >OGLUM07G15980.1 pep chromosome:ALNU02000000:7:18539932:18544152:1 gene:OGLUM07G15980 transcript:OGLUM07G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKK3] MAAAAALELRLLAPLAAPAVVVYMLIIVMSSATQIFCGQLGNVQLAASSLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAGRHEMLGVYLQRSAVLLTAAGVPLAALYACSERVLLLLGQSPEISRAAAGFARGLIPQIFAYAANFPIQKFLQAQSIVAPSAAVLAASFALHLPLSWAAVRVLGLGLPGAALALSATWWVLVAGQFAYIVRSPRCAATWTGFTWAAFHDLAAFARLSAASAVMLALEVWYFQVLILLAGMLPDPQIALDALTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSTWMVTALSAIIAAIAGVVVILLRDKLSYIFTQGEAVSRAVSDLCPLLVGTIVLCGIQPVLSGVAVGCGWQALVAYINIGCYYLIGLPLGVLLGFKFDYGIKGLWGGMIGGTLIQTLILIWITFRTDWNKEVEDARRRLDKWDDTKQPLLVNRQ >OGLUM07G15990.1 pep chromosome:ALNU02000000:7:18545620:18545946:1 gene:OGLUM07G15990 transcript:OGLUM07G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLLLRPSAAAAAPFAYAKVDKVDAEEARHLQAQYLIHKVLEGSSAARGRGRGRRPAARQVGVRLRRLRLAARSVRLRLCRGLQRHLRSLRRLVRGSSALRDSSSCS >OGLUM07G16000.1 pep chromosome:ALNU02000000:7:18548398:18552650:1 gene:OGLUM07G16000 transcript:OGLUM07G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPPPTMNGGHHAAPPPPQVSGAPPPPHGHYQQQPPPQPYYQQQQPLPPHYYQAGPPHAPPPQQPPAMWGQPPPPPPQYAPPPPQQFQLPHQQYAPPPQHYAPPPPQQQYGAQMAGGPAPGGDEIRSLWIGDLQYWMDESYLSNAFAPMGQQVTSVKVIRNKQSGHSEGYGFIEFQSHAAAEYALVNFNGRMMLNVDQLFKLNWASSGAGERRAADDGPEHTIFVGDLASDVTDSMLEEAFKTSYPSVKGAKVVFDKVTGRSKGYGFVRFGDENEQTRAMTEMNGATLSTRQMRLGPAANKKNMGTQQTYSTNGYQSSQGNSLENDPNNTTIFVGGLDSNVNEDHLKQVFTPYGEIGYVKIPLGKRCGFVQFTSRSSAEEAIRVLNGSQIGGQQVRLSWGRTPQNKQAPQQDANQWNGNYYGYQQGYDSSYYGAPNAQDPSAQNYYGYSGYGNYEQQQEPPQQQQQPPQQPPQQPQDNNFSTSFITR >OGLUM07G16010.1 pep chromosome:ALNU02000000:7:18554693:18560230:-1 gene:OGLUM07G16010 transcript:OGLUM07G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT5G54910) TAIR;Acc:AT5G54910] MRRPRSRGAAKQTRLREADEIRLLEAWIDAGKPARGTRPPPLSKSSSSPADAAAAKRGAKGAGGAPSKAAGEHPEYGACARFDELPLSKKTKDGLRKAGYTEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPALEKLYRERWGPEDGVGCIVLSPNKDLAGQIFNVFQKVGKLHGFSAACIVGNRKGLDEEKAVINNMNILVCTPGRLLQHMGETTNFDCSQIQILVIDEADQVLDKNFQEQVDNVVSQLPKVRQTLLFSATQTKSVKDLARVSLKDPEYISVHEEATTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLKSRILVFLSSVKQVKFVYEVFKKLRPGISLRCMHGRMKYEVQQAIVAEFKEGHSVLFSTDIFARGLDIEDVDWVVQVDCPENIALYIHRVGRTARYNKRGKALIFLCPEEEKMLEKLKAAEISQRYAEMLREMQEHDKEDKLEHKRILREKKLQKKLKLKRKRNEEMDAGSENSGSESDRDQRTASKGKKRYFNSDDEEGSKDAAQDRDVLAQQEALALKLLSKMHS >OGLUM07G16020.1 pep chromosome:ALNU02000000:7:18563211:18565143:1 gene:OGLUM07G16020 transcript:OGLUM07G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G21870) TAIR;Acc:AT4G21870] MDYYYPMEEEEEVHERPRFRRPVHPWQWHQWQNLLGLLSSSSPSPATAAAAQRCSHVSWEETAAAHLYSASLPGVRKEEIRVEVEDAMYLVIRTELDDGGDGDGGGGGGRRSFARKFRLPAMVDADGISAEYTHGVLRVTVPRLHTRARPVVNLAAGGGGGGGPACDPVARAA >OGLUM07G16030.1 pep chromosome:ALNU02000000:7:18566476:18571473:1 gene:OGLUM07G16030 transcript:OGLUM07G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Non-SMC condensin II complex, subunit H2-like (InterPro:IPR009378); Has 249 Blast hits to 211 proteins in 82 species: Archae - 0; Bacteria - 0; Metazoa - 145; Fungi - 8; Plants - 30; Viruses - 0; Other Eukaryotes - 66 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G16730) TAIR;Acc:AT3G16730] MEGGGGGGGGGGGEGSTSGARFPILQANRDPESNWEVDVAKSLEEYLLRICSGEISGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYTLVLNALEFLSQKKQDQENSSAQANESDPSTVPNEEDDVFSGLDDVPVEARTTLDNNIDRDDLLKKIVRPPANLLVFEGDCLDSEASELELYLLATCGFFGDFLLLDPCDAPAVSDFLQGKQSAKEDIFAGRGSSARSKSRTNVFCSPNGRSGGTGRRPTPGKVQEGNPDQTQESNPDQSQEMNANQTQEHIDDLNVNDDHWSVHPADHDFPDNDMPHPDDADAGCVDDSDDDDDPWRPLNPHEPGNLKIRTCRKVKSFARQVIGAPKRNIIASLFPMEKMDGASFKVHLSQQETHHVPEPPPLYEKLMRSLEHGEPESHLFGDLKDGHEPDIGVNDFDIHEPDMPDDVCVMDVDMDIPTYPDKNNDATLDGAQGTQDSMDAHESLEDLCRSHLDALLASIAEAEQQTELDARDRNPPFDIGSYGEQIIDTLSSRTENAGIASFSEIVSGKPKYEVARTFSALLQLVNGRSVDLDKGQTTNGLVCHTASNPFHVRLIGPNQRPEIKARFARKRVNSPSRNKGSGEPSPAQQKSPKKHGHKNGKVPVKTSIKLTPDGKRRRRSTQMLRPINLESS >OGLUM07G16040.1 pep chromosome:ALNU02000000:7:18572299:18579322:-1 gene:OGLUM07G16040 transcript:OGLUM07G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRHRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIANGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKALVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWDILANDVLFDEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIHPKPLALFLCADTFQKANSKYYYHPSCSEALGHRDLRWWRQVLFLESRKRSVIVIQAHVRGWIARQTAVRNKKLITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLLTNDHC >OGLUM07G16040.2 pep chromosome:ALNU02000000:7:18572299:18579322:-1 gene:OGLUM07G16040 transcript:OGLUM07G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREPAVSSPFRDLSNLRTPNPRAPPNPKSSASKEEPLPSATPTTRHRRGPPPPPRPGAATATPLARRLRALELDQSRSARRAESGRDGALRAFASSATSWLSLLLRDPSACGCAPSAAAARVTRDAPAHGVQGKRDAVDGERARGRSPKRHRGGEDRGGPGPRRKTMTPAMAASLRDSLREVCSLDDVTERMGSHMSREACEEVLVMMCQICKNIDNGRLKMKEHCPLVSDLRLRDKAIRIFMCYNPKWLRIGLHIVLGGDSWIQNESQKKDKEVAFLKFVLEKQLFVHMNTQPSALNKAPEGHRRACYAEAASNSILKRLFLLVAALDRAKIESGLPSESGIDGLDGGSPLLFCRQTEIKSSRQIVQESLGEVMHGEGDLLMHLNIMGYKLNYQQLALSEYDFTVGNLFEDLQDGIILCRIIQLLTSDASIILKVIAPSDTYKKRLHNCTMAIQYIKQAGFPLSDADGLSISAEDIANGDKELILALLWNMFIYMQLPVLVNETSVAQEISRLKALVSEQSISEMKSQTGLLYDWIQETLSDCRKELFSCHKTDMIADITTYQFNNIGKVLAQFLQDLPGWDILANDVLFDEKSAIILLAFLSSHLTNVRRLEQLKNLIDSKLDHQSLVTEVSPRRRSRGTNDMKCHFPQTEETDGSRSTREWAATVIQTQARRLNAMSKYCKLKNATQPCNKGHDPASSSPLKSIADSSCIDSATKLVCEDDVDCSSNSCQVLFYHDPVSTKVDFLFCRKAMAARKIQFAYRRFAHRIRSRISAAIKIQSHWRCFSVRIRFKRQIQNITTIQAVARKRSVIVIQAHVRGWIARQTAVRNKKLITIIQSYVKAYLLRKRSKQEITDDIMCLINRLIAAVSQRSISTIRQICATLSTATEHSEKCCQTIVNAGAVEILLKQINLLNRGVPDQEVLKQVLFTLRNIARFRNLQPVLANTPQAVEIVFQELLRSKTEGFFVACDILKRLCESEEGHKIARALKRHIRRLGCLVQELEKKVDLDKRNGRTGVAKDNNLRRLGEAVTLHHLLTNDHC >OGLUM07G16050.1 pep chromosome:ALNU02000000:7:18584504:18591374:1 gene:OGLUM07G16050 transcript:OGLUM07G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRAHKFQEGEKTSALAVGRMDSSSAAYGGKAVDAYRRALGTAASAAAYAVLARSMARELLPDELRAAARLGWGSRERRRLVVRSHAGGGGGGGGGGGGEYEDSNLLFDAARTYLASRLDPRDVRCLGLTVPREEGDGGRDGWRARLFIEPGDSTTDVFDGVEFTWQSVPLAAATGGAEKKAKGGDREFLLELSFDADAEHTATAMDSKHGSAPSINHDHFLDRCKVTLSGLLNLIDGLWSATSDERVIVFTTNYKERLLRPGRMDMHVYMGYCGWEAFKTLAHNYFLVDDHPLFPEIRQLLAGVEATPAEVSEMLLRCEDAGVALRGLAELLKEKKKQEARRDGQQQQKAVATAATVTAWAASLVRARVEPRPAERRTAIIRSIEGNGHGHAQCIESRFFVDAHAYLATKIDPRSMSRFFLGGGGGGRRGRNVLSMVPGDSMTDVFEGVEFKWTSVPAEGRFADTEVSLELSFDAAHTDMALRRYVPFITEEVEQARRRDRELMIFMNEGSSWRGIAHHHPATFDTLAMDPELKQSIVADLDRFLKRKEYYRSIGKAWKRGYLLHGPPGTVKSSLVAAMANHLRFNLYDLDLSEVHSNSALQRLLIGMTNRCILIVEDIDCCFSARSREDGKERKKPTLTNNDGGGGGDDDDEGDDFSEKRLTLSGLLNFIDGLWSTSGEERVIVFTTNYKDRLDAALLRPGRMDMHVYMGYCGWDAFKTLAHNYFLVDDHPLFPEIRALLAGVEATPAEVSEMLLRSEDADAALSGLVEFLEEKKEKKKKKQAMCEAGK >OGLUM07G16060.1 pep chromosome:ALNU02000000:7:18594586:18595939:1 gene:OGLUM07G16060 transcript:OGLUM07G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLADGRRPTKRPKAESGEEGPASIRTTTTIHSLGDDLLLAVFLRLPSLAALVRAALACRAWRRMVASSPAFRARFRATHGPPFLGLFFAPSAPAQAPNVPAFPSFVPSRPRDRDMAAAVRGGDFFLTSLQDRPHDEQQCWDVMEICGGHCLLMNWDDGLFAVLNPLTRRTEFVVDLSSAEFSDGACGQHHTVELTPRLICSDGHPKSFRLVVLAIDDSRVRASICSSDNTGEWEWSSLPWVDIPEPVRSDDTGCCLLNEGTMQANGSLYWGLRGSELPQCLRHCSSLDVGETKDGATCIVYAHQLNVGVLMHTKGDDGAAERWVMDRVVPLGKELERVLRAPLRDGSVLMHLVDNPRQVFVLAVRDGYAYLATSPMFHDPQSPCWFLSLCLETMKLERLFRRTFDNLVQPYIMAWPPSLVGNYGRFAVEVAP >OGLUM07G16070.1 pep chromosome:ALNU02000000:7:18605135:18605566:-1 gene:OGLUM07G16070 transcript:OGLUM07G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRRSSPLSSRPIIHRAVDAKGRVVSKKPPGSGSPINKMSSFKKGDEVRVRTPVGRLGTTALRLVMWLGAVVVSDADDGHLEVIYNGNFPRDDPFRTVRVAVKDVKLPAPRPAPTPTPANMAAPRPNTAGKSLPRLKMLAL >OGLUM07G16080.1 pep chromosome:ALNU02000000:7:18607658:18620063:1 gene:OGLUM07G16080 transcript:OGLUM07G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGVARSSVHEGWPAVGVGAGVPYADRGTVRSVSIATFQVYFILETSFQGIFTGIDNAALTTFSKPVARASLKGAAPCPNMTEEMILKDSRSTKIKNPKTPEREIVKYLTAAAAAAVGAACKCRLHAGMQEVELSGGMPAVRAPPPGGRHEAAHWPPIAAARRLVPEKVAELSRPMSGLYRIPSPFQSLSLSVPLSLYWLSVQSRAAEEPSLLPDSAGGEATAVTCGGRWHPPPRPPSPPDPVRGEAVAAGSALHPVKFDGRGGDERGGRRPPLRQIRREGRRRVAPSDVLLVSTEIVPND >OGLUM07G16090.1 pep chromosome:ALNU02000000:7:18611605:18616678:-1 gene:OGLUM07G16090 transcript:OGLUM07G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSATFSGTSRRAAAIGGQCAASCRPPGGGALTAGMPPDSSTSCIPACSLHLQAAPTAAAAAAVRINVALKFIIVYPPDNDRWCQNRSLGFPWIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINILIGRSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALATGFEKVVRAALSIPVNIPFTKFSKGLSASRRIRKLLGQIAHEREAAFHQGHCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNEPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGWQVFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIVFSSHLLMKDSAEINTEIAYHFLI >OGLUM07G16090.2 pep chromosome:ALNU02000000:7:18611605:18616750:-1 gene:OGLUM07G16090 transcript:OGLUM07G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINILIGRSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALATGFEKVVRAALSIPVNIPFTKFSKGLSASRRIRKLLGQIAHEREAAFHQGHCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNEPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGWQVFSSHLLMKDSAEINTEIAYHFLI >OGLUM07G16090.3 pep chromosome:ALNU02000000:7:18611605:18614461:-1 gene:OGLUM07G16090 transcript:OGLUM07G16090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMPFALLLALFIPILLHLVTRRKYSSYNLPPGSLGFPWIGQSISLLRALRSNTDYQWYQDRIKKYGPVSKMSVFGSPTVLMAGPASNHFVFSNQDLIFTQTKAINILIGRSIMTLSGDELKQVRSALQGYLSPEMVTKYVWKMDEEVRRHIDLNWVGHKTIKVAPLAKRLTFNIISSVMFGQGAAPFREALATGFEKVVRAALSIPVNIPFTKFSKGLSASRRIRKLLGQIAHEREAAFHQGHCSSADDFFTYMLALRSEGKHSLTVEDIVDNAILLLIAGYETSSVLITFLIRQLANEPDILGKITDEQEEIARNKGPNEPLTWNDVSRMKYTWKVAMETLRTVPALLGSFRTATKDIEYRGYHIPKGWQVFTAQIVTHLDANFFDEPSKFDPSRFDNLSSIPPYCFVPFGGGPRMCPGNEFARTETLVAMHYLVRQFRWKLCCKEEGYRKDATPMPVLGLPIVFSSHLLMKDSAEINTEIAYHFLI >OGLUM07G16090.4 pep chromosome:ALNU02000000:7:18614477:18616678:-1 gene:OGLUM07G16090 transcript:OGLUM07G16090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLESSATFSGTSRRAAAIGGQCAASCRPPGGGALTAGMPPDSSTSCIPACSLHLQAAPTAAAAAAVRINVALKFIIVYPPDNDRCA >OGLUM07G16100.1 pep chromosome:ALNU02000000:7:18651417:18652975:1 gene:OGLUM07G16100 transcript:OGLUM07G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLVVALIAVASSCVFVHFLARGATKKRRSPAAKKLPPGSLGLPVIGQSLGLLRAMRSNSGERWVRRRIDRYGAVSKLSLFGKPTVLVAGAAANRFVFFSADTGRQEHILDLVGADHRRVRGALSEFLRPEMLRMYVGRIDGEARRHVAGCWSGRAAVTVMPLMKRLTFDIIASLLFGLGPGAAARDALAGDFKRVMGGMWAVPVDLPFTAFRRSLRAAARARRLLAGITRERKAALERGAATRSSDLIACLLSLTDDRGGAPLLSEEEIVDTAMVALVAGHDTSSILMTFMVRHLANDPDTLAAMHEEIARSKRDGEALTWEDLTRMKLTWRVAQETLRMVLPIFGNFRRALEDIELDGYVIPKGWQVFWVASVTHMDAAIFHDPDKFLPSRFDSQSSSPATAKAAPPCSYVAFGGGPRICPGIEFARIETLVMMHHLVRKFRWKLCCKDTFARDPMPTPLHGLPIEIEQRISP >OGLUM07G16110.1 pep chromosome:ALNU02000000:7:18666186:18706970:1 gene:OGLUM07G16110 transcript:OGLUM07G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEVRRHIDLNWVGHKTVTVAPLARRLAFDIICSVIFGQGVGPIREALAADFETMVKAMLSIPVNIPFTKFNKGLNASRRIRKVLRQIARDMEGALQQGYSSSADDFFTYMLVLRSKGTHSLTVEDIVDNAIVLLAAGYETSSVLITFLIRCLANEPDIFGKITDEQEEIARSKSPNEPLTWDDVSRMKYTWKVALEILRTISPIFGSFRTAIKDIEYQGYHIPKGWQSISLLRALCSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTIIGRSILTLSGEELKQVRGALQGYLRPEMVTKYMRKMDEEVRRHIDLNWVGHKTVKVAPLAKRLTFDIICSVVFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLSASRRIRKVLRQIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVLLTAGYGNSAVLITFLLRYLANDPDILRKITEEQEEIASSRGPNEPLTWDDVSRMKYTWKVALETLRTVPPIFGSFRTAIKDIEYQGYHIPKGWKVFTAQSITHLDGNFFNDPVKFDPTRFDNQTSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCKEEGYRKDPLPMPVLGLPIELETRSPP >OGLUM07G16110.2 pep chromosome:ALNU02000000:7:18666186:18706970:1 gene:OGLUM07G16110 transcript:OGLUM07G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILLALLLALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRISKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSSEELKQVRGALQNFLSPEMLIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLAKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYEKDPSPMPLLGLPVELEPRCLPENAHA >OGLUM07G16110.3 pep chromosome:ALNU02000000:7:18666186:18706970:1 gene:OGLUM07G16110 transcript:OGLUM07G16110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILLALLLALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRISKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSSEELKQVRGALQNFLSPEMLIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLAKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYEKDPSPMPLLGLPVELEPRCLPENAHA >OGLUM07G16110.4 pep chromosome:ALNU02000000:7:18666186:18706970:1 gene:OGLUM07G16110 transcript:OGLUM07G16110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILLALLLALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRISKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSSEELKQVRGALQNFLSPEMLIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLAKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVAMETLRTIPPIFGSFRTATRDIEYQGYHIPKGWMVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYEKDPSPMPLLGLPVELEPRCLPENAHA >OGLUM07G16110.5 pep chromosome:ALNU02000000:7:18666186:18690739:1 gene:OGLUM07G16110 transcript:OGLUM07G16110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSILLALLLALFIPILLHLVTRFKYSSYNLPPGSLGFPFVGQSISFLRALRSNTDHQWYQGRISKYGPVSKMWLFGSPAVLMAGPAANRFIFSNKDLLFTGTRSMNLLSGRNILMLSSEELKQVRGALQNFLSPEMLIRYVSKMDEEVRRHVKVNWVGHKTVKVLPLAKRLTLDIICSVIFGQEAGSVREALATDFPAMVRAALSIPVKIPFTRFSRGLSASQRIRKLLRGIARERETLLQQQQAHGASAADDFFTYMLALRAEGAHSLTVEDIVDNAIFLLIAGYETTSVLITFMLWHLDKEPEVLAKITEEQDEIARNKGPEDALTWDDVSRMKYTWKVFTAQSVTHLDANIFPEPSNFDPARFENNSSIPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVTQFRWKLCCKEESYEKDPSPMPLLGLPVELEPRCLPENAHA >OGLUM07G16120.1 pep chromosome:ALNU02000000:7:18711083:18711799:1 gene:OGLUM07G16120 transcript:OGLUM07G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAFVVALLVALLTPLAVHLAGRARRAPPRRRNLPPGSLGLPLIGQSLALLRAMRAQHRRAMAAGQDRQVRPRVEAVAVRRADGAPRRAGGEQGGVPPAPAPKQPRSLATILGRMNILEAGARRRADAVPQAGDAAAVRGKDRRRGEVRRHLADRWAGRRTVTVLPLTKTLTFDIIATLLFGLEPGAVREQLADAFAGMLEGTRSVPVDLICRSPRSATASGRSSATARRLLEATVS >OGLUM07G16130.1 pep chromosome:ALNU02000000:7:18712423:18728444:1 gene:OGLUM07G16130 transcript:OGLUM07G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMPFALLLALLVPTLLHFVIRRKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRSALQGYLRLEMVTKYMRKMDEEVRMHIDLNWVGHKTVKAAPLAKRLTFDIICSVIFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKVLREIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEQEEIARRKGPNEPLTWNDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFSDPVKFDPTRFDNQTSLPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCEEEGYRKDPVPIPVLGLPIELETRSPPEYAHA >OGLUM07G16130.2 pep chromosome:ALNU02000000:7:18712423:18728964:1 gene:OGLUM07G16130 transcript:OGLUM07G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTMPFALLLALLVPTLLHFVIRRKYSSYNLPPGSLGFPLIGQSISLLRALRSNTDYQWYQDRIKKYGPVFKMSLFGSPTVLMAGPAANHFVFSNQDLIFTQTKAINTILGRSILTLSGEELKRVRSALQGYLRLEMVTKYMRKMDEEVRMHIDLNWVGHKTVKAAPLAKRLTFDIICSVIFGQGIGPIREALATDFETLVQALLSLPVNIPFTKFNKGLRASRRIRKVLREIAREREAALQQGHSSSADDFFTYMLVLRSEGTHSLTVEDIVDNAIVILTAGYGTTAVLITFLLRYLANDPDILGKITEEQEEIARRKGPNEPLTWNDVSRMKYTWKVALETLRTVPPIFGSFRTAVKDIEYHGYHIPKGWQVFTAQSITHLDGNFFSDPVKFDPTRFDNQTSLPPYCFVPFGGGPRMCPGNEFARTETLVTMHYLVRQFRWKLCCEEEGYRKDPVPIPVLGLPIELETRSPPEYAHA >OGLUM07G16140.1 pep chromosome:ALNU02000000:7:18731985:18733546:1 gene:OGLUM07G16140 transcript:OGLUM07G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVVVVALLVAFLTPLAVYLAGRSTTPPPRRNLPPGSLGLPLVGQSLSLLRAMRRNTAERWLQDRIDRYGPVSKLSLFGAPTVLLAGPEANKAVFLSEALAPKQPRSLAAIIGRRNMLELVGDDHRRVRGALAQFLRPEMLRRYVGRIDGEVRRHLAGRWAGRRAVAVLPLMKLLTLDVIATLLFGLARGAVRERLAAAFADMLEGLWAVPLDLPFTAFRRSLRASARARRLLAATVREKKANLEQGVSSPSDDLISYLVSLRDGDGDDDGGGRPLLTEEEIIDNSIVCLTAGHDTSAILLTFMIESPLTTPWTEHEEIARSKRDGEALTWEDVTRMKLTWRVAQETLRMVPPVFGSFRRALEDVELDGGYVIPKGWQVFWAPCVTHMDPAIYHDPGKFLPSRFDAQAAPPPPYSFVAFGGGPRICPGMELARVETLVTMHYLVRHFRWRLCCGGEENTFVRDPLPSPANGLPVELDHIAPLRCDEFNS >OGLUM07G16150.1 pep chromosome:ALNU02000000:7:18734451:18740202:-1 gene:OGLUM07G16150 transcript:OGLUM07G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGAAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHHDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLFMFFVCSTIPIIIKVLSGQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >OGLUM07G16150.2 pep chromosome:ALNU02000000:7:18734451:18740202:-1 gene:OGLUM07G16150 transcript:OGLUM07G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSKSAAKPHPRSPTTAQPPNNGSAAGAAAGGGGGGVGMGAAGATPSKNAAMAELKSRVLGALAKLSDRDTHHIAVEDLDRIIRSLPSPDAVPMLVHALASDSPGLASPARRESLRLLATLCAAHHDAAAPYLQKVMAHLARRLKDTNSDSSVRDACRDAAGQLSAVYLRPLAASAAAEAGNATVTLFVKPLFEAMGEQSKAVQGGAAACLAKTVEGAGPGPGVVGMFGKIGPRVCKLLGGQGVQAKGALLTIIGSLSQVGAISPQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATYSGRLIGDGTAPTIAALEACRFDKVKPVRDSMADAVQLWKKMAGDDSNDGKNKESADNEGKMDSPNNNDKVKGSSMAEKAAVLLKKRPTLTDRELNPEFFQKLETRITDELAVEVVVPRKTLQSHLQSEEEPEDADGDPVGPANSNGSADDEANLTQLRSSSNFQNIRDRWAGQRGSRNKDAKVRASDVEDRNESSAKDSASAAMNVPGEGPSLNNKTNWLAIQRQLSHLDRQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMARDISLSSGRRGGGPMLGFDSSPGRSSMKYNGFHEYSNSKFGRDRDGRVGFAERYFSGDGMSSGVRSSEPWDSYAYSGSRSGMNARRGLDSVSSDNRVPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGTSRAAARVAIRELDGETLNDDNQGDERGPIWESWTRAMDAIHVGDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDIALSWLQQLTDLVMDNGSGYLGIPLDAKNDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLIS >OGLUM07G16160.1 pep chromosome:ALNU02000000:7:18754056:18754355:1 gene:OGLUM07G16160 transcript:OGLUM07G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGRFTPGVARLPSLPDPAKGGRGEAGGRRRGADNGGGRSAAALPPSRSGWRPSHPGSRSIVGEGSDGGCMQQRRPAVVGGNPLPSRIWRHHGEGGG >OGLUM07G16170.1 pep chromosome:ALNU02000000:7:18773363:18775651:1 gene:OGLUM07G16170 transcript:OGLUM07G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWKSQFLNEEIFSSQIMPCAAAELANGEAAAAAACAVKVGTTGTIGSLMTRELEAIKAAPPHATAAATTPRRLRRQSSPVSVPCGASPRKIAALRKSSSSLSTTSSSGGSGRRTDRVSAEESSACKTAACRRSSSTTPASSPMLAADVDRSGGGGKAKKAAARGRRGVGGVEVVDVRCGNPMSSRLRRLGFSKLSETFA >OGLUM07G16180.1 pep chromosome:ALNU02000000:7:18811811:18816731:1 gene:OGLUM07G16180 transcript:OGLUM07G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT1G50900) TAIR;Acc:AT1G50900] MASIPCTFQLSARASSASAAAAARRSPRAAARLGWLRPSRLSAVVPASESGRVGPTCFFKFGNKDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQDIHPVDILLMLAASEGDKPKLEELLRAGAKYDVKDVDGRTALDRAADDTREFILGFAATLAA >OGLUM07G16190.1 pep chromosome:ALNU02000000:7:18813097:18821460:-1 gene:OGLUM07G16190 transcript:OGLUM07G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipases;galactolipases [Source:Projected from Arabidopsis thaliana (AT1G61850) TAIR;Acc:AT1G61850] MASWGLGWKRSSEIFHLTLDYGDLADGPHHQQQHQPPPQQQPGSPPTPSSAGSTPTSSSSSPTARRSGGSGEFGFRIELDWSAGDDEDQVALRLQSQLMVALPPPHDAVCVDLSPRGEGGGRGEEEEGAVAVAVEMRVVRRREALRSVRVARAAGSAAGSGDGAGVLSRLIRSNLAPAPAVDGAAATGVPVLADHWRSVAVLSLCNCGLLMLPVELTRLALLEKLHLDNNKLSVLPPEVGDLKKLIVLTVDNNMLVSVPAELRQCVLLEELSLENNKLVRPLLDFRSMPKLHVLRLFGNPLEFLPEILPLHNLRHLTLANIRIEALESLKSVTVQIETENNSYFVAARHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNQVAISKEENAVRQLISMISSDNRHVVEQACLALSSLGSDISSAMLLIKCDIMKPIEAVLKSFDEEELESVLQVVVTLTFVSDHVAQKMLTKDVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQEKRVSKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQILKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGVKQMTLDQCEEIYTKLGKLVFAEPAPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTVEVSSVMTESPSIGSAGTPVSGAPVGIKPINTVGTAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESSCSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAVWLKLEAATDEYIQKNFQDFKNVCELLVPRYQEEEKSSETTKSMLLSRFKPSNSGFSESNPTLGWRRVVLLVEASYSPDFGKKVNHARSLETFCSQNGIRLTLMNSASGFGKAATTLPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGNPTAKSSPPTSPLKSWQPSVHVQSLYDKLQNMPQVGVIHMALQNDSTGSILSWQNDVFVVAEPGELADRFLQCVKTSLSAMLHGCKRKGAYSLSKISCLSELVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAAHMTPEDVRWMVGAWRERIIVCSGKYGLAHGLVKAFMDSGAKAVISSAMEPPDSQSIVYHGMEVNGSLENGKFVIADDEASESEAEPVSPTSDWEDSDMEKNGDRSKDFDDEEYMAQFICLLYDKLFREGVTVDTALQQALRSHPKLKYNCHLPNVFIAETYPGIKVVVVGEAIDQAAKVAAKPRMNSLVSSAARSSAVRPSTSLTSYLAPARRSSSSLGLSPSEAASMRRMSTGWMSWFSSASILS >OGLUM07G16200.1 pep chromosome:ALNU02000000:7:18825546:18827543:1 gene:OGLUM07G16200 transcript:OGLUM07G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQSNARFAPFKDAPFALRGALGSSNSSFNNIDHLRQSSSSGQARSYTSSPLGALRPKMSPSGNRLLHTSRPLTAPVANRPLSPHLPLKKPQLSATFSISHRIFGAALGAVIISIPLATKFSLMFDV >OGLUM07G16210.1 pep chromosome:ALNU02000000:7:18828589:18832587:-1 gene:OGLUM07G16210 transcript:OGLUM07G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDLRAWVYVSDNISGKQIVQRIIMSLEPWSGVTDAALDLDSLQHKLIDIIRSKRLLLVLDGVSDDIIIVWSQLRSILRCSEPQSMVLVTTQKYSIANLVGTMGPITLNTLGQTDFRYLFEHLVFDDCFYHHYEVHLFESVCEKIADKFHGLPLAAKTVAPLLRANRNMGYWENVLRSDWWNIADHGLGINVLPALGIGCLNAALRQCLLFCSLFPRNYVFEKERVVQMWVAHGFIQSSNTGDILPENVANNWFDELVDRSFLQPTVWQGRYVMHDLIREFSVAVSSNEYYVFHRNSKVLPQFSNHISVDNDNFDLQWGHYDHKRLQTLMFFGHHRVDKNYDTLGSIVRKSTSLRVLDLSYICMSNVSQASYILSKLSHLRYLDLSFTGIKDLPEAFGNLYHLQVLDLRGCIIEKLPKNMNNLINLRHLYADSQTIALIYAVGQLTKLQELQEFRVRLEDGYKINELRDMKDLRKLCITNLEKVSSLQEAIDAKLVEKKSLDSLQLKWVYQMPESRSTSQLNKDILDGLHPHFQLKRLKILNYMGIDFPYWVQRLTDLIVVNIINCHWLSVLPPLGELPRLKKLSLFGLSSITHINDQVYGTNDVIFPYLEELHFSELFSWEQWSEAEYKLLIPHLRKLGINACSKLSLLPIETLSSSVKELHLSSCTSYISMLPTYLKRLTSLTKLSIQDCSATLLIPCHSLTLLEHLQLESCFDVHFEGGMQYFTKLKKLEVHRCFDVTQNIYEQTSLLERDSLMGGLQSLIHLVIDDRFMYYRYYHMLNTLCSIRTMKFCAFDLSEFTTEDEEWLQQLQSLQEIQFASCRNLLRLPSNLNNMLNLKKVVLNDCCKLQSLPLNGLPDNLKEFHVSGGSEVLEQQCQKTDGDEWQKISHVPYVRINGRTIQMISHDLGS >OGLUM07G16220.1 pep chromosome:ALNU02000000:7:18860877:18867307:-1 gene:OGLUM07G16220 transcript:OGLUM07G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEHPAVVLSAVISEVVQKLRRYLSNSSDSFKSTTKKLDMVEDKLSFLRLDSLQRVKPHKEEAAAAWLQDLNKAAQDAKGLLDDMESEVKAPDSSNSDVMNWLSSDDRNMIRMVYIISKLASACSQGKSMVDTPPLNESALGNEKEDKIASSSVAMTRQDKSFLDDDFLIGRDEEIAMIRDMVLDNAQYVATEITLKIREEAEKLHVPHKGWITETLHKIDMSKWTQQAIEVSPHPENEKSNKVEYILLLHTFGISGVGKSALAKFIFDDANVREHFGDISAWVYMTDRTDQLVTIEQIIYSFNPKDNISYMTSLDRAYSQLQDIIEGKRFLLVLDDVWNETCVLWNGLRSVLSKGAPGSVILVTTQLYSVANFVGTAGPVILDPLQSEDSWTFLRRYAFVEPCRSLSTEGLEEIGRKISHRLPGLPLSIKVTGATLRSQLEEADWREILNSWWWNVSDDNFAIRVISSLGSCYSALPGYLRQCFVYCSIFPRNYVFEKDNLVQMWIANGFIELDSSSGVKRLEDVDGEWFDELVNRAFLQPSARKTEYIMHDLVWDFASALSCDEYHGIDNKSVSQDVRYLSVDMDGLNTLPDKFKTEQLRTFMLLGDSPQPSNNETHLPLNNFLGNSTSLRLLALSSRSYKWLGRTSALSNVINSTKHLRYLDLSFTGITKLPYSVCSLCHLQVLGLRGCTFDKLPGDMNSLINLRHLHASSDTIAQINGIGKLTKLQELHEFHIKAEEGHRITELSDMNDLRGSLCISHLEMVTGRAEALEANIIEKNYLTALELRWSYTCRSDLSKSILGCLSPPRYLQELKLYGYSGFVLPDWVGQLKHVRVVEISSCKHLKVLPPLGQLEHLQKIKLDVLPSIVDIYSDICGTSNVIFRSLEELSFEYMGNWKSWIYAGSSNFIRNLQKLQILSCDELRKVPFESLGSATKEIIIRDCDPYDDTFSRYLQGLNGLTRLEVVCANRWYKSDKLILPCKQLVSLEYLHIKKIQEVCIKGGLWYMRNLKDLLMINCYVVVTDSNEESAHEDKQSPTQIDRAMHSLTHLTLDGNPKHIIWKLLFPKLPPSLRHLCLDGVGRRTSITEKWLQHLTSLKELEISSCYALPSSLSSLSSLKRFTLICCYNIHSIPPNSLPGNLKELKIENSSFELEARCQNPTGDAWRPEGYKIELWWRRKIDEWRERKLEHGRTKLIQMQLKKESLGIPMSRREKSPKSSKGESLYQSDNYGSLGQMMQEGHEWPRKQHMEEQSFIEKEKSSSLNEQPEEDESDKELLEEWLQQSEGDQWPEQEWESYSWVLRKLKKELDRNKDDPSSLMKEREEWLKEEERKFHSETLGKDWPNICHSQDNAFAFGIIYLPSALEDSLADAEAAKRKPRLDWK >OGLUM07G16230.1 pep chromosome:ALNU02000000:7:18869443:18873522:1 gene:OGLUM07G16230 transcript:OGLUM07G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLTIGGWFAGAVIANFVGKARSILEERHELQGDVAKMLLNVQGSLLHIRAAIDVAERRLVVDGAFTDWLQMIKGIASDAEDLLDDFETKRIKASQQNKVSEVEPVFLGREEEEDKLMSIIFPDAAQTDHLGASRMQEVTMGTASVRTVCIVGEAGVGKTALAQVIYNHPNVKEAFDLRGWVFLSERSDLNEFFKKIVRSFAAEQHPFDSDMGLEALQASSEQNLSSIIQNKRFFLVLDNAKDNLQREWRTLSAKLTGGAAGSIVLVTTRSAVLPESKVITLHAMPIDTVSTILEHHALGDNRKDCLKSIAKEIASKMHGLPLSAEVIGRLLRTKLDEKQWQNISRSEWWDNYEDKAITNHALPSLTIALEFLSAHLKECLGYCSIFPSSYLFDKNKLAHMWMCDSMQQHHESVSETREIQWFDELCSRCLVQPTVLKNKYIVNETIKYILASTTQTGCYTVEDSRRPRTNLCGFSYIAINKGDFNVSLGLREHTKVRSILIFDGQRTTRLNTALDAMLPHRSSMRVLDLSCIETNMERPPDVIITYSHLRYLDLSFTGITVFPESFCGLHHLQVLGMRGCRFNEMPRDMNKLVNLRYLYTEVCTLSLIHSIGQLTNLQCLEEFAVNGMDGHRITELKNLNYLGGHLCISNLDKVTCIEEVSDTELSRKMYIQKLVLKWQPESASSDNCMQTLSHLKPSGNIEDLEIQFYMGVLFPEWIANHCHFTMLRYIKFSGCKKLARLPPLGKLSHLKILILQHLEQIQSIGDEFYGGYDRVFPSLEELTFCGMINWQTWLDIKTPQIIPHIRKIVIKNCRRLSDLPKSVLKGSLKELELSDCKEIFRKSPCCLEYLETLKRLKVHNCLGITINIPRKLLASIEVLNLQNCKVCFEGGQEHVHSLRRYLTIDCDEEQNN >OGLUM07G16230.2 pep chromosome:ALNU02000000:7:18869210:18873522:1 gene:OGLUM07G16230 transcript:OGLUM07G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGLTIGGWFAGAVIANFVGKARSILEERHELQGDVAKMLLNVQGSLLHIRAAIDVAERRLVVDGAFTDWLQMIKGIASDAEDLLDDFETKRIKASQQNKVSGVLAHLMKNLVLVDDDIYKLKDLLALKRFLPEVEPVFLGREEEEDKLMSIIFPDAAQTDHLGASRMQEVTMGTASVRTVCIVGEAGVGKTALAQVIYNHPNVKEAFDLRGWVFLSERSDLNEFFKKIVRSFAAEQHPFDSDMGLEALQASSEQNLSSIIQNKRFFLVLDNAKDNLQREWRTLSAKLTGGAAGSIVLVTTRSAVLPESKVITLHAMPIDTVSTILEHHALGDNRKDCLKSIAKEIASKMHGLPLSAEVIGRLLRTKLDEKQWQNISRSEWWDNYEDKAITNHALPSLTIALEFLSAHLKECLGYCSIFPSSYLFDKNKLAHMWMCDSMQQHHESVSETREIQWFDELCSRCLVQPTVLKNKYIVNETIKYILASTTQTGCYTVEDSRRPRTNLCGFSYIAINKGDFNVSLGLREHTKVRSILIFDGQRTTRLNTALDAMLPHRSSMRVLDLSCIETNMERPPDVIITYSHLRYLDLSFTGITVFPESFCGLHHLQVLGMRGCRFNEMPRDMNKLVNLRYLYTEVCTLSLIHSIGQLTNLQCLEEFAVNGMDGHRITELKNLNYLGGHLCISNLDKVTCIEEVSDTELSRKMYIQKLVLKWQPESASSDNCMQTLSHLKPSGNIEDLEIQFYMGVLFPEWIANHCHFTMLRYIKFSGCKKLARLPPLGKLSHLKILILQHLEQIQSIGDEFYGGYDRVFPSLEELTFCGMINWQTWLDIKTPQIIPHIRKIVIKNCRRLSDLPKSVLKGSLKELELSDCKEIFRKSPCCLEYLETLKRLKVHNCLGITINIPRKLLASIEVLNLQNCKVCFEGGQEHVHSLRRYLTIDCDEEQNN >OGLUM07G16240.1 pep chromosome:ALNU02000000:7:18874387:18884018:1 gene:OGLUM07G16240 transcript:OGLUM07G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSTPSSVRTWCRAKSGVRGKCAFRRASGEHHSPGQRDGPQAEATSGGGGARLHHLGTACLVNADTVQEPVEVERLGPSASAGVSPACSNKRTQALVGITCPLLNRFIRHETGIVQYHLQYDTYFLITPNNKGLVRARGQSGDVIPRGFQSILVQARHGKKRFDLGARVDELADGVLHPEEEAATIGSCGRRGSLHGVDQLLRLVGGLLHLPQPRGRLFLPVGVDALQALAAEDAELVLQQIEHLHGAPVLVGVAAASQVETQLADHPGDRRRQRPSGNGEFGRGDSDNDRVRLRHRERERAKN >OGLUM07G16250.1 pep chromosome:ALNU02000000:7:18885712:18892424:1 gene:OGLUM07G16250 transcript:OGLUM07G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTVSSVSSQNKAIPPLVPDAGRKLNWFSRNPPISLPCKSGGADLNPNIASTASRLPALVNCPLRPPPRARGMVGAELTVGGWFAGAVISNLVAKVRSAMEHHAALRAAAGDMLYGVEAALPRIRILVEATERRAISRASFAAWLQQFKDAVAEAEDLLDDLETRRIRAALRASGKLGSATSLALRFLRNLVLSDGDLQRLKDVLAKLNRITTDATGFHDILKLADDDVGAMRSVLPVPATPPAVIGRDEEQQQLLKMILRPGAPPCPQDGAESCSGVSVISVVGAAGVGKTTLAQLIYSDPNVKEAFLLRGWVFTSRSCSRTGLEQDIIESFASEQEENLQRKSVSSESSLIDVVRNKKFFLVLDDVQHNLHSQWDSLRSTLARGANGSVVLLVCQSKEVANSLGATAQVPMGYLPSPVLWRVFEHHAFGNQKRASLESIGKKVLQNLHGLPLLAEAIGRLLRQRLDKAHWQKISSSPWWLFSEDEDDVALPSVAIMCEHLCDHLRKCLCYCSIFPSGYLFEKNMLIHMWIASFMQQHDGIGMKEMEKEWFDELFRRSFFQPTIWKNRYIMPDMIRKPLCSIAGKECHAASELGEQKRRLQDYRHLAISFPDFNVHLDLRKDNKLRTILLFDGRKTIKPHEAFANILSHLSGLRVLDFSYSEAKLEKAPDFIYKFTHLRFLDLSFTGMTVLPDSLCKLHLLQVLGLRGCQFKELPRAINELVNLRFLYAEAHTVSLIYKIGKLTNLQGLDEFLVGRMDGHKITELKNLNEISGQLCIGNLDKVASTDVVSDAELFKKRHLKKLVFRWGLTACKPLAEADGFMRTLAGLKPNTNLEELKIQCYMGVGFPSWMAEEQYFINLRRIHLIECKQLLTLPPLGQLPSLVVLILQGLTAIEKIGYEFCGKGYRVFPSLKEVTFLDMPNWRKWSGIEELQDLQIPPFPQLRKVQIKNCEVLIDMPVCCLKASLEELEISGCNEIFACNPSCLDRLTSLVRLKIHHCLGKIYLPCRLLESIEVLNLQRCEVYFQGGKEHLMKLRRTVTNDVHELNLDESKAISTELLVLELSEGYHYLSSCFLNKWNFEASSALEFKLSLHNSSHFSPIHHCVLNYQLIFFA >OGLUM07G16260.1 pep chromosome:ALNU02000000:7:18892468:18893943:-1 gene:OGLUM07G16260 transcript:OGLUM07G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRKRSNEAATAIGDWEGMDGVGGGDDGSDQRGVGGVHDVHCGFASSNIMRTPGLSSKRRRWRDGARSRPVDGGRLTSSDGAWVGALGASVGVGSGTAPLPCGCLRPPTRQVLRGLRIDHSECSDVKVNWTPTGGLAVAAAEATALPDMTNAERLRIRGKDKEGCNGEHNC >OGLUM07G16260.2 pep chromosome:ALNU02000000:7:18892468:18893943:-1 gene:OGLUM07G16260 transcript:OGLUM07G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRRKRSNEAATAIGDWEGMDGVGGGDDGSDQRGVGGVHDVHCGFASSNIMRTPGLSSKRRRWRDGARSRPVDGGRLTSVLRGLRIDHSECSDVKVNWTPTGGLAVAAAEATALPDMTNAERLRIRGKDKEGCNGEHNC >OGLUM07G16270.1 pep chromosome:ALNU02000000:7:18905957:18906637:-1 gene:OGLUM07G16270 transcript:OGLUM07G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPSPPGDDLGALVSAAIAAAAKKLRAFLDDDYARYESALLALDVVEAQLRALPLGGGGRGRGEGDDDDDPDVRVARLEWKLRLDGATNELEDLVGEMEAAVEAETKAARWCWAPWRRRRCRAAAEVVAGWLRSDARNKVRMELAVGRLAGVYVQGGELFDDDDEDDVAGDGDGTAASQCRDGGACQPDGMAGETTKAWPTRTNTSSSSSPPAMHNAIIECSR >OGLUM07G16280.1 pep chromosome:ALNU02000000:7:18913472:18932728:1 gene:OGLUM07G16280 transcript:OGLUM07G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEITPSGSRRSWLSSGAASLARSLRDGDDPFRRSAAASRRDAGDDEENLRWAALEKLPTYDRMRRGILRKAVDGGGDGDGAGSLFKADEVDIANLDPREGRELMERVFKAVEDDNERFLRRFRDRLDQVGIELPKIEVRYQHLDIEADVHVGKRALPTLLNATINTLEGLVSLFISSNKRKLKILNDVNGIIKPSRMTLLLGPPSSGKSTLMRALTGKPDKNLKVSGEITYCGHTFKEFYPERTSAYVSQHDLHNPEMTVRETLDFSRRCLGSGARYDMLSELTRRERNAGIKPDPEIDALMKATVVEGKQNNIVTDLVLKALGLDICADTIVGGAMIRGISGGQKKRVTTGEMLTGPATALFMDEISTGLDSSSTFQIVKYIRQVTHVMNATVMMSLLQPPPETYALFDDIVLIAEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWFLEQDHYRYVSVEEFAQNFKKFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSLESLKAVMSREWLLMKRNSFLFIFKAFQLFVLGFITMTLFLRTKMPHEKFSDTSKYVGALTASLITIMFNGFGELQLTIDKLPIFYKQRDFLFFPAWTYGLANIILKVPLSLMESSLWIVLTYYVVGFAPAAGRFFKQFLAYFWTHQMALALFRLLGAILRSMVVANTFGMFVLLLIFLFGGFLVSRKDIKPWWIWGYWTSPMMYSNNALSVNEFLASRWAIPNNDSSISAPTIGKAFLQSKGYFTGEWGYWLSIGAMIGFMIVFNILYLCALTFLRPIGSASTVVSDDDTKSELEAESNQEQMSEVINGTNGTENRRSQRGMVLPFQPLSLSFNHMNYYVDMPAEMKAQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNLTVYESIVYSAWLRLSSEVDKNTRKVFVEEVMSLVELDVLRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTAIPGVPKITEGYNPATWMLEVSSSLAEARLDIDFAEVYANSALYRSNQELIKQLSVPPPGFQDLSFPTKYSQNFLNQCVANTWKQFQSYWKDPPYNAMRYVMTLLYGLVFGTVFWRRGKNIESVNDLNNLLGATYAAVFFLGAANLLTLLPVVSVERTVFYREKAAGMYSPLSYAFAQGFVEFCYSAVQGVLYTILIYSMIGYEWKADKFFYFLFFMIAAFAYFTLFSMMLVACTASEMLAAVLVSFVLSSWNNFAGFIIPRPLIPVWWRWFYWANPVSWTIYGVIASQFADSDRVVTVPGQATTMVVKDFLEKNMGFKHDFLGYVVLAHFGYVIIFFFLFGYGIKCLNFQKRVAALAVSSSEHFVEQMEMGSAHLSSLAVCVCIFGCLAMADGQNVTGGDGSRPAELRIGALFTFDSVIGRAVMPAIELAVADVNADPGVLPGTKLSVITQDTNCSGFLGTMEALELLAKEVVAVLGPQSSSIAHVISHAVNELHVPLVSFAASDPTLSSLEYPYFVRATTSDYFQMGAIASIINQYRWREVIAIYVDDDYGRGGITALGDALAKKKSKIAYKAKLPPGASRTTIEDMLMHVNEMQSRVYVVHVNPDSGLGVFAAAKSLGMISTGYAWIATDWLSAVLDSSDHISPDRMELTQGVIMLRQHVADSDIQHSLVSRWNNLTRNGGHSSFSSYSMHTYDSVWLVAHAVEEFLSEGNAVSFSADPNLQDMKGSNLQLGSLRSLNNGEKLLDKVWHTNFTGVSGLVQFTAERDLIHPAFDILNIGGTGFRTIGYWSNVSGLSVVAPEKLHSEPLDSSTNNIELHGVIWPGQTSEKPRGWVFPYHGKPLRIGVPLRTSYKEFVMPDKGPDGVKGFSVDVFKAAVGLLPYPVSFDFILFGDGLKNPSYNDLIQKVSDNHFDAAIGDIAIVTNRTRLVDFTQPYTESGLIILAPAREIESNAWAFLKPFTFQMWSVLGVLFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSALGRFVLLVWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSPSSIGYQVGSFARSYLVQELNIAETRLVPLNSPSDYARALELGSGNGGVDAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLERIHDEWLTGTECSADDNEVGSNRLSLSSFWGLYLICGFSCVLALLIFFLRICCQYSKYNNQVGLDCPEPEIVTRSARLTTIKSIISFVDKREEEVKNALKKKPNDSLQPRTGSTGEQSTLP >OGLUM07G16290.1 pep chromosome:ALNU02000000:7:18946338:18950451:1 gene:OGLUM07G16290 transcript:OGLUM07G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras-related small GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT5G54840) TAIR;Acc:AT5G54840] MTTTTATNTATNTAAAMEVTKAVTQLCAQGGGGGGRRRGRGRPAVLRLDLRWGRLLRLAVISRVVRLVWDQLLACSSCAGGGGGRYRRLGPPPQGVAAGAVLSPLPRDADDDAAAADRDAADVEDVVSLKVSLLGDCQIGKTSFMVKYVGDDEEQNGLQMTGLNLMDKTLAVRGARIAFSIWDVAGDSQFLDHVPIACKDAVAILYMFDLTSRCTLTNVIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWTIVNEARAYARAMKATLFFSSSTHNINVNKIFKFITAKLFNLPWTVERNLTVGEPIIDF >OGLUM07G16300.1 pep chromosome:ALNU02000000:7:18951034:18951294:1 gene:OGLUM07G16300 transcript:OGLUM07G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLGSVPADTIWGKEASEKGGPLKVAAIETARIDSTPSNALLGKEASKEGGPLNNVVEDNPRKRVETKRELDKR >OGLUM07G16310.1 pep chromosome:ALNU02000000:7:18951545:18952254:1 gene:OGLUM07G16310 transcript:OGLUM07G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEGVGQGHRSRGGGGERGSARHQSGAPGLAFIAGQGGGGLQGAKPRPVTAPTDDTSPDSTAGDCPPRPRSTTASSPGTAHRRRDTRRRTASTTPHRATAHGIVALLRPGPEAGCRIQPRGRHIRSFAPRRCQPPPITRRVIILALSRRVAEEWPRRRPHSWPRGTPVARSGGGEGGRGREGAAGARVQPLCRSRERAMRGSCRLHTLPIEDKMN >OGLUM07G16320.1 pep chromosome:ALNU02000000:7:18955879:18964922:1 gene:OGLUM07G16320 transcript:OGLUM07G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDGMKSLRPDILVMTNISIFPEDPIEVLSIGLLNVNVPKTKKTYCKNKECRKHTLHKYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OGLUM07G16320.2 pep chromosome:ALNU02000000:7:18955879:18964726:1 gene:OGLUM07G16320 transcript:OGLUM07G16320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDGMKSLRPDILVMTNISIFPEDPIEVLSIGLLNVNVPKTKKTYCKNKECRKHTLHKYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OGLUM07G16320.3 pep chromosome:ALNU02000000:7:18955879:18964914:1 gene:OGLUM07G16320 transcript:OGLUM07G16320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDGMKSLRPDILVMTNISIFPEDPIEVLSIGLLNVNVPKTKKTYCKNKECRKHTLHKYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >OGLUM07G16330.1 pep chromosome:ALNU02000000:7:18966521:18968725:-1 gene:OGLUM07G16330 transcript:OGLUM07G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAASVKLSTAGTATAPKMALFKPLHLPPLFAAAAAAAAGPRPLSLSARPLYRQQDPFFLASRVASPAPPPPSATADGARPVEAAPAGAAPEEAARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPATDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAITELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLVILLPFAFAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFHTPVQPINALGAAIAILGTFIYSQV >OGLUM07G16340.1 pep chromosome:ALNU02000000:7:18974247:18977761:1 gene:OGLUM07G16340 transcript:OGLUM07G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLPSFSLSRLRARVLTHSIGTGDDSRAKHAAVASGSTTSLVSKSWQRNVCLPEGMQKTRRCGAMLPCKEEVFVNHNTWAPINHPLEVWLVLLYCSSACQPSCLYN >OGLUM07G16340.2 pep chromosome:ALNU02000000:7:18974394:18977761:1 gene:OGLUM07G16340 transcript:OGLUM07G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGHEVPYDARDVFDGLRERGRRGGGFGLSGQEMILGPNMQPWHLEAPQVIGMSVCQRACRKPGDVVPCCHAKKKFLSTTTHGHQSIIH >OGLUM07G16350.1 pep chromosome:ALNU02000000:7:18978912:18980741:1 gene:OGLUM07G16350 transcript:OGLUM07G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLVSGSSVMRSAIISCSSTSEDQQAAAAQAQPEESTWTDYFVDFMMSEEEKKRQEDHGASSYCSHGGDGVYGDCSDQKELEEEEEGEEDSMISDAASCAPAAAALPDRYKELKKLKKKVFKALDHDDSLEDTASSPVNSPKVSALTQLELSPKRRCNTRDLTKEVGIGDDRGREGMDYADAMVEGVRFVDQSQKSVTPCGELKDKGLCLFPLSMLLHYHG >OGLUM07G16360.1 pep chromosome:ALNU02000000:7:19002676:19008988:1 gene:OGLUM07G16360 transcript:OGLUM07G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELSNYLAVNTSTSVIVDRSSDGEFLRIDFNLSFPALSCEFASVDVSDVLGTNRLNITKTVRKYSIDRNLVPTGSEFHPGPIPTVSKHGDDVEENHDDGSVPLSSRNFDSYSHQYPVLVKPSWEKTAKIMRERYDPEMDGRIILAKVDCTEEIDLCRRHHIQGYPSIRIFRKGSDLKENQGHHDHESYYGDRDTESLVAAMETYVANIPRDAHVLALEDKSNKTVDPAKRPAPLTSGCRIEGFVRVKKVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKRLSAKMFNELKRLTPYVGGHHDRLAGQSYIVKHGDVNANVTIEHYLQIVKTELVTLRSSKELKLVEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTELPKSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRLVKKVELGKNI >OGLUM07G16370.1 pep chromosome:ALNU02000000:7:19009868:19010509:1 gene:OGLUM07G16370 transcript:OGLUM07G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKKTKTKPHRRACCLLLAAVAVLGALVLALYLVYRPRPPRVVATPVDVTIELFSLVPPKLKAAVGVHVVVTNPSNSAYRYGESLASVTYHGERVGASVVPRGEVEARSTRLIEPATAVDVVRVAESPHFAHDAAAGVLPFVAVTTVEGKALVLRSFEVSVSVEVVCFVQMYVFHGESTSRCVSTVRTATETVSSEPSGARGARNSVPSYD >OGLUM07G16380.1 pep chromosome:ALNU02000000:7:19012131:19012703:1 gene:OGLUM07G16380 transcript:OGLUM07G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRKPALICCGAAFAAAVVLAAVFTALYFTVLRPRPPRVTATVVGTRVSAFALIPSPALNLTFDVAVAAYNPNRAAFEYGEVVTVVRYHGDAVGEAVVPRGEVGARASAEVRAAVEVDAVEVFSSPYFPLEGIAGALPFETATTVAGKAVVLGVLKIRASSVVTCGVTVYPLRKETTSPQCTSTVHVG >OGLUM07G16390.1 pep chromosome:ALNU02000000:7:19012943:19014107:1 gene:OGLUM07G16390 transcript:OGLUM07G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLQGAAAAAAAAARIPASYQQQQEEHLPPAAASIITQQQQHSPPPAATTAASITQQQQELFRQQFQQQQHSPVQAATAAI >OGLUM07G16400.1 pep chromosome:ALNU02000000:7:19027650:19029455:-1 gene:OGLUM07G16400 transcript:OGLUM07G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKR0] MGNGKVYATVVLIRLIYAGMHILTKASFNEGASTTVFVFYRHAVAAIFLLPFAYFLEIRKKQAPPLTFRLSAKIFVHGFYGMAGTINLYSIGLNYASATSSSAIFNIVPVVAFILAVMFRMETLNLKSTHGMAKASGILLCIGGVIVLALYQGPEFKSLNHHQLLHHASAAAVAAAAHSKKNWALGIFLMTTSVVIWSFWTVKQGPLLLEYPSKLMNTTLQCVFASVQSLVIALVLERDFSRWILPGVVSLVGVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSLLLVAGLYNVLWGKSREEHGGGGGGVVVGPGAGAVVVGGGGEKEGAVAPAAADVVMAKV >OGLUM07G16410.1 pep chromosome:ALNU02000000:7:19043340:19053745:1 gene:OGLUM07G16410 transcript:OGLUM07G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPFAAGWQAMAAVGDYISKGDFQFTKEAIRRRKVTRKNTTEAVKKLRKKTEGFDSLILLWFNCLGDFVGVIYD >OGLUM07G16420.1 pep chromosome:ALNU02000000:7:19046180:19049269:-1 gene:OGLUM07G16420 transcript:OGLUM07G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKR2] MSSGGAKAYAAVVLIRIMYSGMHVMSKIALDQGMNPLVFLFYRHTTAALVLIPITFVLERRKAKPVTLKIAGKMFVHALYGVTACGDLFNLGLNYTSAASSSALYNVQPVVTFVLAVVFGMESMKLKKFHGNVKAAGILFCIAGVTILAFYEGPMFKSFNHHHLFQQGSSSSTSSSGDTHSKKQWAFGIFLMTLSNILAGLWTVLQGPLIEDTSKLMNTTLQICCASVQAFVVAVAAERDFSKWKLGWNVELGAVIYSGVVVTALSYYMQMWTIAKRGPVFLAMSMPLTFIFTIIMSSFILGDAVSLGSIFAGILLIGGLYNVLWGKNIEEKDEMNKIGASKTGLELELHDSEAQVPDDDAAKIVEADVCTQISLLLLTGELVAAIYPRWQLGAL >OGLUM07G16430.1 pep chromosome:ALNU02000000:7:19070941:19079075:1 gene:OGLUM07G16430 transcript:OGLUM07G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKWGIGSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSVRKWQAAFSTDGCLDIASVLSRIQKGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKVITAPLITEDGRPIKDPLVLLEATSSENTSDGTSTSSTNGNEIDESASRITDKLIIDWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQYLASIIQVLDPKLHDHLEILGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEDASAHKSKVSKSKLRGVRHFGKWDKDKDKENSKNGSEDTDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALKLHKKYLKKVQTKKP >OGLUM07G16440.1 pep chromosome:ALNU02000000:7:19080631:19082009:-1 gene:OGLUM07G16440 transcript:OGLUM07G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSHVTVHDIRRAQRADTTAAVLAIGTANPVTCISQADYPDYYFRITNTEHLTDLKRKLNNLCKTRQASGADKRFFHHNEEMIAAHPEFLDRATPSLDARLDIAAAAGPELAAQAAERAIVRWGRPAADITHLVVATNAGAQAPGADHRLASLLGLRPTVRRTMLHLSGCSAGAAALRLAKDLAENSRGARVLVACVELNVVAFHGPKEDYPQTLTCQGLFGDGAGAVIVGADAVRPVERPLFEMVAVSQAVIPGTEHALNMRLTEHGLDGHVSIKELIPLAAANADELLSDAFRKLGLAGVEWNDLFWVVHPGTPSILDQIERALRLEPGKLAASRKVLREYGNMLGSTLIFVLDEQRRRMEEEEGGRTAEWGVMMGFGPGFTIETMVLHLPECPKEQ >OGLUM07G16450.1 pep chromosome:ALNU02000000:7:19102347:19103708:1 gene:OGLUM07G16450 transcript:OGLUM07G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHARKIAAGDVSIDLHPFIRKYNDGRVERILRSSFVPASEDPAASRGGVATRDVVIDERNGVSARLFLPPSRDNTDIADGDHRIRLPVVLYIHGGSFCTESAFCRTYHRYAASLASRAGALVVSVEYRLAPEHPVPAAHDDAWAALRWVASLSDPWLANYADPSRTFIAGDSAGGHIAYRTAVRASREGDSHGIDIEGLIIIHPYFWGSYMLPSEVGWDGESAIRPETVENVWPFVTSGQAGNDDPWIDPPVEEVASLTCRRALVAVAEKDFLRDRGRLLAARMRGCAWAGAGDGRNVTLVESEGEDHGFHLYSPLRATSRRLMESVVRFINERSAAAAVAATSPWPAGVLPELHECSPSRARKGKMSKAQPLLSVPSRPYQGVFLNGPDLQAPRGPSAMKINNALTVGLDKASKRGFGSFATWANPNNRRAIKRPLSASVSRNIVAKNFF >OGLUM07G16460.1 pep chromosome:ALNU02000000:7:19108838:19110172:-1 gene:OGLUM07G16460 transcript:OGLUM07G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVAPITVHEHRRAERADGPATVLAIGTANPANCVTQLDYADFYCRVTNSEHLAGIKDKLDTLCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLQIAAAAVPELAATAAARAVVQWGRPATDITHLVVTTNAGAHAPGADVRFAALLGLRPTLTVLTFRGPDCPHTVNCQALFGDGAGAVIVGADAVRPVEQPMFEMVSASQTLIPGTEHVITMQLTEDGLDGNIDAKELAPLAANNVKKCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESTLQLKPGKLAASRRVLREYGNMLGSTLIFVLDEQRRRMEEEGDGAEWGVMLGFGPGFTIETMVLHAPDHDSRKKN >OGLUM07G16470.1 pep chromosome:ALNU02000000:7:19122919:19124280:-1 gene:OGLUM07G16470 transcript:OGLUM07G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAARMARAATPTPGGPCGDDASGRSGARRRWSAGRLERLPSSSSSGRRGSRGGVAAQAPTLLLFRLLRIGAVRQLERLLSSSSGPIPIHPTPVPPYRRIPISATASSSGRARFRWLISQRANDDEVVRGATRIDAISVANPCITYAIILLYYNFKILKIVIVDALS >OGLUM07G16480.1 pep chromosome:ALNU02000000:7:19127218:19128502:1 gene:OGLUM07G16480 transcript:OGLUM07G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKKARVATNGRAASSNVALDLYPFIRKYNDGCVVRFLTSTFVPASEDGGAGAARGVATRDVAIDRDNGVSARLFLPSGAAAAAGRRRLPIVLYFHGGCFCTESAFCRTYHRYAASLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRAGGNIAYHTVARASRENDDDDIQGLIMVQPFFWGAERLPSETVWDDGVSAFPPYKVDELWPFVTAGQAGNDDHRIDPADHEITSLSCRRVLMAVAGMDTLRDRGCRLAARMRGGADVTVVESEGEDHGFHLYSPLRATSRRLMESIVQFINQPSHSPAPLRWPATILSELHDTTDSPQILLPMPTRKYKAVFIDRFEKRTKTGASSATSTAVNASLAIGRGKLATKKSYGLLFGQTRAYIYVGLAAGSLQ >OGLUM07G16490.1 pep chromosome:ALNU02000000:7:19133012:19135153:-1 gene:OGLUM07G16490 transcript:OGLUM07G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGVRGGWGEEIAGDVVWFGAAPVDGEFAGVVEREENAGWARQRRGVARPEILLQSYCLVPHSVNTSSVLNFGALANITEPGVATTQLVAGDVDTYYTVVLDSVKVGNKTVASAASSRIIVDSGTMLTFLDPALMGPLIDELSRRITLPPMQSPDGLLQLCYEVAGREVEAGERITNHIDKHLYSTVESCSDNQTSRKQTEQVSPDLTLEFGSGGGGAAAALKPENACVMGQEGTLCLEMATVNSPSGVTPASPSPR >OGLUM07G16500.1 pep chromosome:ALNU02000000:7:19137225:19138532:-1 gene:OGLUM07G16500 transcript:OGLUM07G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTPAAVPVTVDELRRAQRADGTAAVLAIGTANPANCVTQADYADLYCRVTNSEHVAGFKDKLDALCVSASGSEKRFFHHTEEMINAHPEFLDRATPSLDARLEIAAAAVPELAATAAARAIVQWGRPATDITHLVVTTNAGAHAPGADVRLAALLGLRPTVRRTMIHLNGCSAGAAALRLAKDLAENSRGARVLVACVELTVLTFRRPDSPHTVTCQALFGDGAGAVIVGADAARPVEHPLFEMVSASQTLVPGTEHVITMQLTEHGLDGDIDTKELVPLAANNVKKCLSDALTPLGLDGGEWNDLFWAVHPGSPLILDHIESALQLKQGKLAASRKVLRENGNMLGSTLIFVLDEQRRRMDEEGDGAEWGVMLGFGPGFTIETMVLHAPESRKSN >OGLUM07G16510.1 pep chromosome:ALNU02000000:7:19169508:19171110:1 gene:OGLUM07G16510 transcript:OGLUM07G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQEKMVREAMAAMNGQTAADVAVNLYPFIRKYTDGRVERLLTSSYVPASEDAGRGRGGVGVATRDVVVDRDNGVSARLFLPSAAAAAGRRLPVVLYFHGGSFCTESAFCRTYHRYASSLASRAGALVVSVEYRLAPEHPIPAAYDDAWAAFRWVESLSDPWLADHGDLRRTFVAGDSAGGNIAYHTVARAGRENVGGGIQGLIMVHPFFWGPERLPCETVWDGASVFPAFGVDWLWPFVTAGQADNDDPRIDPADDELASLPCRRVLMAVAGRDTLRDRGRRLASRMRGDVTLVESEGEDHGFHLYSPLRATSKRLMQSIVQFINQPPSPCPPPPAPAPSPAMRWPATILPELDEWSSSTYSDDAANSSQILLGMPARAYKAIFVDRMDRKAAKTGRLSSNPTVNASLSIGPSGKASKTSCYGMSFGRTRSYNFRGVAAAGSGQCQPPFRGATI >OGLUM07G16520.1 pep chromosome:ALNU02000000:7:19203752:19205031:1 gene:OGLUM07G16520 transcript:OGLUM07G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSFGNEIFAAVAAVRARPSRAVAGDWATWWGGAAVAWWSDAIGSVATDGRHDQEQPQHHPFSHRLPLQWQARPDGVASMAASSPPSSLWKMTTGGTAATRGGGGTADTSKCHRRAKNIHHAPLPPSGPSTLLSSNSLWWSQRHWDDLRSVGAADKMMALGSTAACVHRRCRAPARPLPVSAAWTLCVVGVFLRGVDASFIFTVGRSRKEDDD >OGLUM07G16530.1 pep chromosome:ALNU02000000:7:19237765:19244420:1 gene:OGLUM07G16530 transcript:OGLUM07G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAETSPPSTPSTASCPTPRPDAAAAAPSMSPSLLRAARSGDERRFVKALLADPAAPDLDAVATAGGNTLLHVAAWGGHPALASLLLRRAPGLLAARNAALDTPLHLAARAGAHKVVALLVAAFSASSSSSAAADASSPSLRALTRATNRRGETPLHDAVRGGHEAAARALTAADPGLAGLCGGAGESPIYMAAAAGSLGMVRLLTKTYRNDDEEEEELPVLCSCTGPGGRTVLHAAVLTSNEMTQGLLQWNPTLVKEVDDSGSTPLHYVASVGNIPALKLLLGYDTSPAYVPDSNGLFPVHIAAKMGYGQLIYELSRYCPDCDEMLDSKGRNFLHIAVEHKKWKVVWHFCGTQELERILNVMDYEGNTTLHLAVKNADQMIVSLLMANKAVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFNIGKASGNELKKFTNISQNLVVGSVLISTVTFAAVFTLPGGYISDGHPHAGAPILWHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRALYMFFSVISMEQATRSMVAAFALGAYVVLSPVSERIALVLYLLALKLKSITVGLPPLLQTVPVTVNARVLPQPRRWQEMLQRSFSSSPIKEKNMPKYDSISLSSSAPFSNNPSAWEGAIHKLALGDGEVMDAS >OGLUM07G16540.1 pep chromosome:ALNU02000000:7:19250409:19251217:-1 gene:OGLUM07G16540 transcript:OGLUM07G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAYMQGQEEHRRCVAACLVKGVMVMMKDRSNPLAPAWWKSFGFRCRNVDASDQGSSDSGRDDEIFGATYEYEPPAGLPRHPSAPSYVVAFRGTIPTNLGDLIHDIKIVYNTFSNSNRCDITHDEVEGLLQGSANSCTMWLAGHSLGASQALDVGRSMAEEGFNLPTFLFNPPQVAGNQLAVCPNEKAKMHLYATSSLLKVGLSKIVKSHEEHMEDLFNHPSKCTLLRKANRLKKRVLKAHSLEQWWKPDNELSLTKTQYNYPSA >OGLUM07G16550.1 pep chromosome:ALNU02000000:7:19262020:19268340:1 gene:OGLUM07G16550 transcript:OGLUM07G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAAFGKEKERPHLLPTARLWKNSSMDGDAHDLQQWWRPDHERDFDHADFFDNSGPVHMMAKNGAGSPRTVVDWGKEEHRRCVAACLVKGVYIIENDSTRCRVHTNALAPPWWENFGFNLLDVIRDDSDHDDQFIIGAIYEHVPPPGEPAHPLSPHYVVAFRGTMISHPKALIDLYLDTKIMVNTLKESKRSRLANTAVKKLVVTVDKGMGGACGHGTAGSCIVWLAGHSLGASLALDVGRAMMVEQGYNLPTFLFNLPQVSPTPAIDVLLPIEKAQKAKRDIYAVSYFVKAELGKVLNPHKERMENLFKRLSPWAPELYVHERDVICKGYIDFFEQRQQVQERFREVATSAMTLSYRDMFFSVFGMEKEQPHLLPSARLWKSTSKDEDTHALQQWWKPMGEQTL >OGLUM07G16550.2 pep chromosome:ALNU02000000:7:19260379:19262018:1 gene:OGLUM07G16550 transcript:OGLUM07G16550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSDVDTADRFDISGPVHMMAKNGFSSSSMVIDWDNEEHRRCVAACLVKGVYTMENDSNRRRVYTNALAPAWWESFGFRLLRVIKDDSNNNDKFIIGAVYEHVLPALPASKPSRHPLAPHYVVAFHGTMISHPKAIMDLYLDLKVMVNTLPESKRSHLANKEVQNLVATIDKGTGSGCGGHGDGGSCIVWLMGHSLGASLALDMGRAMMAEKGYNLPTFLFNPPQVSLAPAIDVLLPTKKARRSIHAASSFLKARMGKVLKPHKERMEKLFEQLSPWAPELYVHERDLICKGYISYFEQLTGAGEGAVPWRRQVGDGVVVP >OGLUM07G16560.1 pep chromosome:ALNU02000000:7:19272364:19272892:-1 gene:OGLUM07G16560 transcript:OGLUM07G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALAQSRASLSGNPGNFFLSLAVHINFGNPATDPVRYPDDRIWEFDMAHRSNFLVDVVPGTVFVASGELPPQKAMQIAVVGTLGAGRERGEKGDSQIGGGMHANSVGLSPAEIRFEAFVTGAVLWMTEYGCRTGRVPLND >OGLUM07G16570.1 pep chromosome:ALNU02000000:7:19286657:19291241:1 gene:OGLUM07G16570 transcript:OGLUM07G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLLFVSSIGGRRSSPERSLQSLLTADEFFVSTLLQLFVSLPLCGHKDEMNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKSTRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQGEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQIIATVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVVSILKPWAK >OGLUM07G16570.2 pep chromosome:ALNU02000000:7:19286657:19291241:1 gene:OGLUM07G16570 transcript:OGLUM07G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLLFVSSIGGRRSSPERSLQSLLTADEFFVSTLLQLFVSLPLCGHKDEMNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKSTRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQNLRQIIQGEYRQGVARMVFTVTGEQPSDQVIDHLIETGSSEQIFEKAIQGIGRGQVEYRVQLHQYIICSFHVIKAGNSYNSAQMFHNCTYLQIIATVKEIHERHDVVMEIEKKLLELQQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVVSILKPWAK >OGLUM07G16570.3 pep chromosome:ALNU02000000:7:19286657:19291241:1 gene:OGLUM07G16570 transcript:OGLUM07G16570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVARGCSRSYEANKYPGCRRRRRGAAVPLLALQRQRQVAGSCRGRGQVLAGARPVEILTVGLVSLLFVSSIGGRRSSPERSLQSLLTADEFFVSTLLQLFVSLPLCGHKDEMNNLLTNSFESERDIEMGYQNSKNKSDYGLEDFFQEVQEIETLLDKMSNINHKLQEANEESKSVTKASEMKAINKRMEKDINEVGKSTRTIKVKLEEMDRNNLENRKKQGCEKGTGVDRSRMSMTIALKNKLKERMKNFQVSTDKALRGWFSQIFADMATLVDAQGETLNDIENQVQNAVDHIQRGTGELRTAKRLQKKSRKCMFIAIIILLVIAAIVVVSILKPWAK >OGLUM07G16580.1 pep chromosome:ALNU02000000:7:19291571:19293510:-1 gene:OGLUM07G16580 transcript:OGLUM07G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isocitrate lyase [Source:Projected from Arabidopsis thaliana (AT3G21720) TAIR;Acc:AT3G21720] MSSPFSVPSLIMEEEGRFEAEVAEVEAWWGTDRFRLTKRPYTARDVALLRGTLRQSYASGDMAKKLWRTLRAHQANGTASRTFGALDPVQVAMMAKHLDTVYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSMSRAERAHEPYVDYLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDIMGVETVLVARTDAVAATLIQTNVDARDHQFILGATNPRLRNRSLAAVLSDAMSAGKNGRELQAIEDEWLATAQLKTFSDCVRDAIAGLDAATDGDKQRKLQEWSAATSHDKCVPLEQARDIAAGLGVTSLFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNIAECTAFAEGVRAASPGAMLAYNLSPSFNWDASGMTDADMSEFIPRVARLGYVWQFITLAGFHADALVTDTFARDFARRGMLAYVERIQREERSNGVETLQHQKWSGANFYDRVLKTVQGVTEEQFKGSWTGPGSESSSHVLAKSRM >OGLUM07G16590.1 pep chromosome:ALNU02000000:7:19307915:19309225:-1 gene:OGLUM07G16590 transcript:OGLUM07G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHQSQDMPDGSAFGGARNDWQRRYIAEKSRAAPGPSSHGLATAPLRDAKPTLQAHADRGTRYIGSNPLSGWEQVQMRTPTRFMS >OGLUM07G16600.1 pep chromosome:ALNU02000000:7:19320163:19321940:1 gene:OGLUM07G16600 transcript:OGLUM07G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thiazole biosynthetic enzyme, chloroplast (ARA6) (THI1) (THI4) [Source:Projected from Arabidopsis thaliana (AT5G54770) TAIR;Acc:AT5G54770] MAAMATTASSLLKTSFAGARLPAAARNPTVSVAPRTGGAICNSISSSSSTPPYDLNAIRFSPIKESIVSREMTRRYMTDMITYADTDVVVVGAGSAGLSCAYELSKDPSVSVAVIEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEQEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMESRVVVSSCGHDGPFGATGVKRLQDIGMIDAVPGMRALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTIKKAAAHPELILASKDDGEIVDA >OGLUM07G16610.1 pep chromosome:ALNU02000000:7:19325370:19328253:1 gene:OGLUM07G16610 transcript:OGLUM07G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xyloglucan endotransglucosylase/hydrolase 8 [Source:Projected from Arabidopsis thaliana (AT1G11545) TAIR;Acc:AT1G11545] MGSLGRRPWVGGLTAAMIFAVAVCGFCFSGASAAAAAPTFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKERYLFGWFSMNLKLAGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGEPYIIQTNVYRSGVGGREMRHSLWFDPTADFHSYSILWNPKQIVFFVDKVPIREYRNSDKPNTFFPIMKPMYVFSSIWNADDWATRGGLEKTDWTKAPFISSYRDFTADACSWGTAAAAAAASPPSCAASTGNSWWDQPPAWALDAGQREDSAWVARNLVIYDYCDDRKRFPSPPEECLLRTTSS >OGLUM07G16620.1 pep chromosome:ALNU02000000:7:19329777:19331579:-1 gene:OGLUM07G16620 transcript:OGLUM07G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDIQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >OGLUM07G16630.1 pep chromosome:ALNU02000000:7:19336040:19345850:-1 gene:OGLUM07G16630 transcript:OGLUM07G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosyl-DNA phosphodiesterase-related [Source:Projected from Arabidopsis thaliana (AT5G15170) TAIR;Acc:AT5G15170] MEFLDVGLVEVDKWAKAHIDLVVGLQSRFARLELSNGLRLRLLRFSFPSPSSSPLRWVGFQCGGLGFRVWSQSQTAPMASSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIEAVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRLCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGSSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMMDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWFLLTSANLSKAAWGALQKNNTQLMIRSYEVDDFVPLLNLRKISEHPDFYRKTALQHSVGHHGILGVLFLPKTHQSVPQFSCTGKNNSNLNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHG >OGLUM07G16630.2 pep chromosome:ALNU02000000:7:19336040:19345850:-1 gene:OGLUM07G16630 transcript:OGLUM07G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosyl-DNA phosphodiesterase-related [Source:Projected from Arabidopsis thaliana (AT5G15170) TAIR;Acc:AT5G15170] MEFLDVGLVEVDKWAKAHIDLVVGLQSRFARLELSNGLRLRLLRFSFPSPSSSPLRWVGFQCGGLGFRVWSQSQTAPMASSSRVRVGNLVPLNEGNASSSNGSVSSIPIYLGANVVGRNHLVVVDKRVSRKHLSLHASADGSIEAVVEGPNPIIVRSEGQRRKVCAQERVKIAHDDVLELIPGEYFVKYLNVGDNHKSSTSMGSSDFKKGKRLCEDDTVVIKRNRQIMEDEALARSLQKSFAEESSTISGLGCDQMLSSLDSAGSSERNNERIHSVDYLKDVLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMMDMEWLLTACPSLRKVRHVLVIHGEDGASVELLKKVKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRVVVHTANLIHVDWNNKTQGLWMQDFPWKDAKDVNRSVSFENDLVDYLSAIKWPEFRVNLPVVGDVNINAAFFRKFDYKSSSVRLIGSVPGYHVGPNIKKWGHMKLRSVLEGCTFEQQFCKAPMIYQFSSLGSLDEKWMSEFACSLSAGKSDNGSPLGIGKPLIVWPTVEDVRTSIEGYAAGSCIPSPQKNVEKDFLRKYWARWKADHLGVLFLPKTHQSVPQFSCTGKNNSNLNNLAPGKEIKTKLVTLCWKSDEEKEQSTEIIRLPVPYQLPPKPYGTEDVPWSWDKRYTKKDVYGSVWPRHG >OGLUM07G16640.1 pep chromosome:ALNU02000000:7:19347333:19347776:1 gene:OGLUM07G16640 transcript:OGLUM07G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKPKDDFDFLEPSVLLDETHYQTGFKNGYSEGLVSGKEEGRQVGLKNGFQVGEELGFYQGCLDVWTSLVSIDQDAFSARVRKNIEQLAALLRSYPLSNPEDEQVQDIMEKIRLKFRVITASLGTKLEYQGRPTSSKQDVEDLSLV >OGLUM07G16650.1 pep chromosome:ALNU02000000:7:19348635:19350697:-1 gene:OGLUM07G16650 transcript:OGLUM07G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDWSTLTGCLVMLIAERLLANDVTDYIRFRAVCSPWRQHTEDPRVGDGLRPKYLPRSWIMLEETPPAAAPFRNRLLNTDTGAVLAVDVPELEDHDVMGPTLGGLLTLRERGGAHVLRLLHPFTRHLTELPSLVTMIHAASHDPKMVEPEYHQPTAIGLSDDHKAVAVFCGLVNKVAVARPGDSHWKWVYVPHFHLESAASLAGCFYAVSHVYIYQLESDGARGEPKLVPVAYVPVDAPSFRLTLVADDERETLMLMKEVFYVHAGEEVPPEGPDMLTMPRVCVAYAVDMAARTIALSRLGARALFMGDDRAVWASPGAFSPGVAADTVYAGRPNRLFTVHECGIEADRPLTVVLHTHGLVSGLTRHAVFESDDGEDLNPMGIVETVSSYVASDRGGAARPTMYVASHARRGRGRGV >OGLUM07G16660.1 pep chromosome:ALNU02000000:7:19358301:19359098:1 gene:OGLUM07G16660 transcript:OGLUM07G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASRPKQWATALCHAGAALRSAGAAGDWRFSDARPRLREVSALTAAETSWGQATDDILVASPDVVMTSVAGKMSWSTGERDVEGGRNREKRKKEDADYAIATGKAPYVVIVEELNSAEA >OGLUM07G16670.1 pep chromosome:ALNU02000000:7:19360809:19368676:1 gene:OGLUM07G16670 transcript:OGLUM07G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSPRFGSMISAKLASPPPSLLLPPSPRLQGRRLTPPSCTPGTPAALPSPGPDKEPEREAAGSGSGSATTPRSPAQLGSSQLHRWSRARAHRSGRRLEWPTIRDRGSGGASSPPTPTRPHPSSDEAASAAAKVAVEEEDGYGVVGRDEAAKSIYMVSDGTGWTAEHSVNAALGQFEHCLVDRGCAVNTHLFNGIDDMDRLIEIVKQAAKEGALVLYTLADPSMAEATKKACELWGVPSNDILRPTIEAIASHIGVAPSGIPRSSPSRKGQLTEDYFRRIEAIDFTIKQDDGAQPQNLNRAHIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGVNLPKSLFEIDQDKIFGLTINPVVLQAIRKARAKTLGFHGQKSNYAEMEHVRGELDHANQIFAQHPIWPVIEVTGKAIEETAAVVVRIFHDRKQKCAMPRISKRY >OGLUM07G16680.1 pep chromosome:ALNU02000000:7:19365783:19368429:-1 gene:OGLUM07G16680 transcript:OGLUM07G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASNQPVLAASDLGQSNLDAGAGAGAVGGGGFIALDVSALSSLAGDGPDTTAAPPRTPKVVRSLSRKGERKPADGDGNGAAGTGKRPPLSPLFVHVAAADDMGGLGRLVHTPVAGTPGGKSRRLGRQPAAPWLDPRRVVFFFATLSSVGTLILLYFTLSMSKMGGDSGGGGSSDAR >OGLUM07G16690.1 pep chromosome:ALNU02000000:7:19377421:19377855:-1 gene:OGLUM07G16690 transcript:OGLUM07G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNCLERARAKVTAWGAEEGDGGEDEFWGATAAEFSCGGGGSGGGARGGVPSTRKKEIIKDGGGGEGSSSPTRRVKIRMTKGQLRRLLAGAGRGAAVEDVVAEIMSMGDVHIEPVKAEEGGGGRRPPSSPSKLEPIQEDMDE >OGLUM07G16710.1 pep chromosome:ALNU02000000:7:19411622:19412143:1 gene:OGLUM07G16710 transcript:OGLUM07G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAGIIMFVLWLSLRPHRPRFFLDDFTIPNLNRQSGAVNLPVRFTVDERNPNQKIGIHYGTIFGSVYYNDLLVASGPVVQPFYQQPKGDTPLAGELTASGPTPGNPAWQRFAGDAAAGSVALRLLLNSTVRFQVQMWDTREHHMKVDCEFGLRGDGTLQQGDKNKQCTLYF >OGLUM07G16720.1 pep chromosome:ALNU02000000:7:19413604:19416372:-1 gene:OGLUM07G16720 transcript:OGLUM07G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEHLFIPTVVLGSSDKIRSTLPIEGLNSSSWMRKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLKDREVVAKKLRDHVQHPDNNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLEPQYLRDGETAIEFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFADSVLRRLEES >OGLUM07G16730.1 pep chromosome:ALNU02000000:7:19417853:19423890:1 gene:OGLUM07G16730 transcript:OGLUM07G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MAPPPGNSDELASSKKVKKSNSKEERKHKKGKHERPAASDEAPTPRSDAKGSKGKKRKHKDGEGEKEHGKRSKERKGDGEAAAEARRGDEKVRRAMEDERFAAARTDPRFRPMRRKEAKVELDSRFTSMLTDPRFSSSSAPVDKHGRRCREKGGRENPMLQYYLSQEEEEEEKKEKAKLVEEEEAGEEQQGEEESSSSDDDDDDEDEEEDDDDEVYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSVYPSEFGLELMKIESTKGPAALVDVNGSDGEYSGDDDDDDDDEEDSSDTEHDSEAENNKLRTYELNRLRYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPNYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHSDESLQSGAKRKLTREERLALLLQGEKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKKRSRDDDDDDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGKKGKKGKEQSVEDELPDIDLSKDERFSAMFNSHLFALDPTDPQYKRSAAFMRKQAGTKGAQEPSLGGRSRGRGTLPPDDVLTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSRSDR >OGLUM07G16730.2 pep chromosome:ALNU02000000:7:19417853:19423351:1 gene:OGLUM07G16730 transcript:OGLUM07G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NUC153 (InterPro:IPR012580); Has 3663 /.../t hits to 21323 proteins in 1057 species: Archae - 109; Bacteria - 2369; Metazoa - 13796; Fungi - 4858; Plants - 1657; Viruses - 489; Other Eukaryotes - 13360 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G01160) TAIR;Acc:AT3G01160] MAPPPGNSDELASSKKVKKSNSKEERKHKKGKHERPAASDEAPTPRSDAKGSKGKKRKHKDGEGEKEHGKRSKERKGDGEAAAEARRGDEKVRRAMEDERFAAARTDPRFRPMRRKEAKVELDSRFTSMLTDPRFSSSSAPVDKHGRRCREKGGRENPMLQYYLSQEEEEEEKKEKAKLVEEEEAGEEQQGEEESSSSDDDDDDEDEEEDDDDEVYSVGSDIAHYLMGRHDDTPMIDKETHRLAVVNMDWDHIKAVDLYMVMTSCLPKGGRVLSVSVYPSEFGLELMKIESTKGPAALVDVNGSDGEYSGDDDDDDDDEEDSSDTEHDSEAENNKLRTYELNRLRYYYAVVVCDSSATANHLYMNLDGTELLKTSNVFDLQFIPDSMEFKHPARDVATEAPPNYKEPNFETRALQHSKVKLTWDDDEPERKKVLRRKFTDDQLDDLDMYLASDDSASDDEGADNHSDESLQSGAKRKLTREERLALLLQGEKSEEEQTDGEDMEITFNTELEDLSKRILDRKVNNEKTVWEKHQEKMKEKRKSRKKRSRDDDDDDDDDDGYSSEDGLDEHDDFFDDEMSDEEIKPNKKQKAKAKDKGKGKGKDKLPEQHLEDEATREELELLVAADKDAGNGAKGYNLKRKKGKKGKKGKEQSVEDELPDIDLSKDERFSAMFNSHLFALDPTDPQYKRSAAFMRKQAGTKGAQEPSLGGRSRGRGTLPPDDVLTDTHDQKPDGTSTEKLETMSAVKSLKRKLTALKNTSRSDR >OGLUM07G16740.1 pep chromosome:ALNU02000000:7:19424578:19427715:-1 gene:OGLUM07G16740 transcript:OGLUM07G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAFGAVNLVLGLIQDEARLLGRVREDLQFIMQEMESMNNVLRHLVANKGSATAGDYQLRPWMKQVMELAFDSRNCVELYTQSGSGRCGWLPWAMVARHRVVTRIHELKIRAREISERQARYGIAVSHPQLRIEAMTTTEPSDASVRNQRRTYAGSSNNPSRRAILDERWFGDYCSVDEALSRLKPFTQYLYREVEPPAQLNSDDKQQLWPQLNGGDKHNNKEQPLPPLPDGGNKEQLPLPQSGGCIKQQLLPQSDGGIKHDDEGQPPPLPQSDGGNKEQQPPPHSEGGVKQQQQLQSDGNDKQQQPPQSDGSGNHNGKEQPLPQSDGGDKQQRIRVVAISVQDGTDEAAVGEIVLERYISRWKRLWSQQYHISVQRPPILSEITKIMADKLKEKDDHATENEAEDRKKLAERLIGDKVLIVLSGLNYPVLWHQVLELLSSTGCSDSAVVLCTNDSKMAKYCCDYAKDGPPVIYSFVDIYLNRALALLPHRYEEGHLKGILRNILTQCCPDVFCMKMLLHALYYNADTTEHQLEVLNTSLGKESTDQGRQDRIMAFCYQALPNSYKNCLWYSTVFTRGINMPDGVRRASLLRRWVAQGLITQVDQLSAEDEAENCFEAMLRQKLIVHSGLSGARKVKSCTVHPVVADLIDRESSTVEDLLLNNQLPLDLDLLYSIRNGMQLNPANSNITRFLNSLSSTSRLLLTVLDLEGRKGLKANDLHTVCKIHKLKYLSLRNTDVAQLPKQIGQLKLLETLDIRGTRVQVFHTVLPMLKHLLAGSIIDCPGEDIVKSKESFSTVCVPFSVATMEKLEILSRVQVSNSAKELNNIGDKLEHLKKLGVVLSGMKASLVDLFLQVDKLHRCLRSLSIRMDPPGNWDAIDAVLLRPPKLLESLHICSIRSGLPPRIKELHHLAKITLRDTFLDQNALDVLSMLKGLCYLRLCYHSFAEGALLFYNFENLMDLVIEDDIIMSVTFGYGLDKLEKMVWSFIHMEKLSGVRRLHGLTHLEFKGGTCNPQNLEKLKRKVGEHSNGVTFTLKLPQNRQG >OGLUM07G16750.1 pep chromosome:ALNU02000000:7:19431470:19436483:1 gene:OGLUM07G16750 transcript:OGLUM07G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPAAAAAVEMEFGPHPHDMLTLDGELLRVLITGDMRGGYGEHPGDGGNGGSPPHEVPPLEDRHRDPRTDGLQLTINLPDAAPAVVESGMSLSPRRSYGYLRRRIAACSWSSMARWCQARDAHAPHPARGRGVHPRQTVAVAPAARRGAGCLLGVTSNGNTALHLVASRGHAELAALIRGRAPSLVATRNRCLDTPLHCAAKAGHREVVARLLETPTGVAEAEADQLAAAATAEAALRVRNCLGATVLHEAVRHGHTEVVHLLMSRAGAAELASVASDDGVSPLYLAATTGSVRMVQELLRMLRPADDGRRSPALFTGREGHFWAVAFAFLTEKVSPELAEEILSWEPSLLTRIDSAGRSPLHFAVQYRKLDIIRLFLNTEASVARICDNDGLFPLHHAAILGSTVMIDEIMETCPDFSELVDNRGRNFLHCAVEHGQDSVVRYICQDDRFAMLLNATDSEGNTPLHLAVKYACPRVLSSLLQTARVETDIVNKDGRTAADLAHHAFAPGQSYYFLNPHALILSCLQWVRAPFTVDGVSHLPLDIKSAQGEQAQKELDDMRKSGTIASVLIATVAFAAAFTVPGGFVADDHPHARTATLARRFAFRSFVVSDTMAFVFSIVATCFLIYATGAAELPPSRRRWYSLIASGLVPLGAQFMIAAFAFGFHLVLGVANRGLLVFVYVVSSASVLLCFPGIWTPWRLGLGKATWRRARWKGLINMYQRPCSLRVLFRCIPYSFLFENIRRPLFSILITVTFFVAIALDIV >OGLUM07G16760.1 pep chromosome:ALNU02000000:7:19445948:19448460:1 gene:OGLUM07G16760 transcript:OGLUM07G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGFFQPCLDQLLPFTLSSRPAKAIAETHGHGATMDFGPCNETLDTELLHVLTSGDEVGMADLLGRGRRGHGHSQSQVAISVDDDGGRAPAGASRLLGVTTGNGNTALHVAATRGHAALAALVCATAPVLAATRNRFLDTPLHCAAKSGHRDVAACLLSEMLRAGGAASAALPLLRATNCLGATALYEAVRNGHAGVVALLMAEAPELASVANDGGVSPLYLAATVGSVDIVRALLRPLPDGTPSPASAAGPDGRTALHSAATTSKEILDWKPEGRTLLTKADSSGRTPLHFAISSQIERFDVFQLFLDAEPSLALVCDIQGSFPLHVAAVMGSVRIVVELIQKCPNNYNDLVDDRGRNFLHCAVEHNKESIVRYICRDDRFGILMNAMDSEGNTPLHLAAEYGHPRMVSLLLETMSVDVAITNRDGLTAADLAYRHLQPGLHYFLNPRAVVKNLFYCTRAPVTLEGDHARTGIPSAMEDADAPKDSGGVTSTGTVASVLIATVTFAAALTVPGGYVADDHPNAGTAASAGRFAFRAFAVSDTMAFLCSIVGTCLLVVGEAREVRPSRGRLRAYQGSAWALVTAGAQFMVAAFAFGLQVALGGGADSRWLVVFVHALCSASVLLCFPGIWAPFCLGKVIWRRAGWRGLTNLHRCPSSLEEFLWCFVTSFLAKTLLRTFLPVLIAVTLVVAIVLNIAKPNC >OGLUM07G16770.1 pep chromosome:ALNU02000000:7:19454035:19455387:-1 gene:OGLUM07G16770 transcript:OGLUM07G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAAILALILLLLPPIALAAGDRNGFRATLTRIHQLSPGKHSEAVRRDGHRLAFLSYAATAAAGKATTTGTNSSAANVQAQLENGAGAYNMNISLGTPPLDFPVIVDTGSDLIWAQCAPCTRCFPRPTPAPVLQPARSSTFSRLPCNGSFCQYLPTSFRPRTCNATAGCAYNYTYGSGYTAGYLATETLTVGDGTFPKVAFGCSTENGVDNSSGLVGLGRGPLSLVSQLAVGRFSYCLRSDVADGGASPILFGSLAKLTEGSSVQSTPLLKNPYLQRSTHYYVNLTGIAVDSTELPVTGSTFGFTQTGLGGGTIVDSGTTYTYLAKDGYAMVKQAFLSQMANATTTASGAPYDLDLCFKVSGGKAVRVPRLALRFAGGAKYDVPVQNYFAGVEADSQGRVTVACLLVLPATDDLSISIIGNLMQMDMHLLYDIDGGMFSFAPADCAKL >OGLUM07G16780.1 pep chromosome:ALNU02000000:7:19467286:19468496:1 gene:OGLUM07G16780 transcript:OGLUM07G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHPPLSYPTTPITTSTCSASPSTGHASLFPTIRSRSTQTPARTRGVAFQIGAPATLLVEPAYTAVVEAFKARMSRTYEAVNGSSLLCFLVDASKNVVTISCSD >OGLUM07G16790.1 pep chromosome:ALNU02000000:7:19468786:19470102:-1 gene:OGLUM07G16790 transcript:OGLUM07G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLVWILLLLPYIAISSTANHGVRLELTRADDRGGYAGAERVRRAANRSHRRVNGLLGAVEEPSSTARLGSDGAGATAAVHASTATYLVDIAIGTPPLPLTAVLDTGSDLIWTQCDAPCRRCFPQPAPLYAPARSATYANVSCRSPTCQALQSPWSRCSPPDTGCAYYFSYGDGTSTDGVVATETFTLGTDTAVRGVAFGCGTENLGSTDNSSGLVGMGRGPLSLVSQLGVTRFSYCFTPFNATAASPLFLGSSARLSSVAKTTPFVPSPSGGARRRSSYYYLSLEGITVGDTLLPIDPAVFRLTPMGDGGVIIDSGTTFTALEERAFVALARALAARVRLPLASGAHLGLSLCFAAASPEAVEVPRLVLHFDGADMELRRESYVVEDRSAGVACLGMVSARGMSVLGSMQQQSTHILYDLERGVLSFEPAKCGEL >OGLUM07G16800.1 pep chromosome:ALNU02000000:7:19474859:19476226:-1 gene:OGLUM07G16800 transcript:OGLUM07G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLRVIVVLVCATSSAVVDAAAGGVRVGLTRIHSEPGVTASQFVRDALRRDMHRRARFGRELASSSSSSSSPAGTVSAPTRKDLPNGGEYIMTLAIGTPPLPYPAIADTGSDLVWTQCAPCGKRCFKQPSPLYNPSSSPTFRVLPCSSALNLCAAEARLAGATPPPGCACRYNQTYGTGWTSGLQGSETFTFGSSPADQVRVPGIAFGCSNASSDDWNGSAGLVGLGRGGLSLVSQLAAGMFSYCLTPFQDTKSKSTLLLGPAAAALLNGTGVRSTPFVPSPSKPPMSTYYYLNLTGISVGAAALPIPPDAFALRADGTGGLIIDSGTTITSLVDAAYKRVRAAVRSLVKLPVTDGSNATGLDLCFALPSSSSATTSPPATLPSMTLHFGGGADMVLPVENYMILDGGMWCLAMRSQTDGELSTLGNYQQQNLHILYDVKETLSFAPAKCSTH >OGLUM07G16810.1 pep chromosome:ALNU02000000:7:19478812:19488618:1 gene:OGLUM07G16810 transcript:OGLUM07G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumen 15.0 kDa protein [Source:Projected from Arabidopsis thaliana (AT5G52970) TAIR;Acc:AT5G52970] MATNMVLLPCHALRITPSGAAAFASSPLSARPRLIFASSLGGRCSACRTPPPAAAAAAAGRDAAASWAGDLGKAVPWKAAVSSALAVALSFTCFVGIVNAKTGVNKPELLPKEFTTVIDVAGFLSPGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDFWQVDDQTIVFVADPTFGNIINFNVGALVDLDIPRSFWSRVSGKYGNMFFWKEKGEDASIEAAVMAVSRCLREPTEANNCSEVL >OGLUM07G16820.1 pep chromosome:ALNU02000000:7:19489360:19490330:1 gene:OGLUM07G16820 transcript:OGLUM07G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRPCSRSRAWSSSNLALVRRCVQGAPGAVEFLLSVVKEQHATTVDKTTSTPLLVAAKLSGSRAACTYDVAEVCLLSYRSHVISASGFRLRPFTPPKDDAAHVVDILDALTCAVERDGQQVTRGGLLKHPRGSRSRHPARDDASETTRRFTCHPPTVAALCPPLASLTEEEEERREKREVKRKNREEIRPTYYFT >OGLUM07G16830.1 pep chromosome:ALNU02000000:7:19509425:19512661:1 gene:OGLUM07G16830 transcript:OGLUM07G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVTFGAVNLVLGLIQDEARLLRGVRDDLRFIMQEMESMNNVLRHLVANKGSATDHQLRPWMKQVMELAFDSKNCVELYTQSGGGRCGWLPWTIVARHRVVTRIRELKIQAREISERQARYGIAGVANAQLPIDAMIEPSAPNQSRAWAGSSNNPSRRAILDDGWFGDYITVDEALSRLKPFTQDRYREVEPPGQLNSDDAQQPRPQLNLNGGDKNDDKERPPAPQSEGGNKEQPPPPQSGGGVRQQQPPPSQSDGGDKEQPPPTLSDGSDKDQQPPPKSDGRDEQPPPPDCGVKQQEQPPQSDDGDDKQQPPPQPDDGDQPPQSDGGDTEQQPPQSDGGDNKQKHIRVVTISVQDGTDEAVAAEAVIERFKRRWAWRGLWSPPLCLHVSVHRPPILSEITKVMVEKLKKRDDKETENEAEDRKRLGEKLEDKDVLLVLSGLNYPELWHQILDLLTSMDWSDCAVVLCTNDSKMAKYCCSNDSANDGPPIMYSLVDIYLNRALALLSHSHRYDEGPLKEILRNILAQCCLDVFCMKMLLHALYYNPDMTEHQLQFLNVSLGKESTKHGRQDRIMAFCYQALPNSYKNCLWYSTVFTRGISIPCGVRRASLIRRWVAQGLIAQVNQSSAEDEAEHCFEAMHRQKLIVSSGLSGTCKVKSCTVHPVVSDLIDRESSTVEDLLLNNQLPLDLNLLYSIRNSMLLHPANSNITKFLNSLSSSSRLLLTVLDLEGRKGLKADDLHTVCKIHKLKYLSLRNTDIAQLPKEIGQLKLLETLDIRGTRVQVFHTALPMLKHLLAGCIIDCPEEDIIKSKESFSTVSMPHAVATMEKMEILSRVKVSNSAKELNNIGDKLEHLKKLGVVLSGKKASLTDLFLQVDKLHRYLRSLSIQMDPPGNWDSIDVILLRPPKLLESLHICSIRSGLPPRIKELHQLAKITLRDTFLNQGALDVLNMLKGLRYLRLCYHSFAEGSLRFVNFENLVDLIIEDDIIMSVAFNYDLDKLEKIAWSFSHMEKFSGVRKLRTLTDLKLNGGTYNTQNLEKLRRKVNEDSDRICFHAQSTENGQGSRVVGAAPPTSTME >OGLUM07G16840.1 pep chromosome:ALNU02000000:7:19518723:19522440:1 gene:OGLUM07G16840 transcript:OGLUM07G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIVSLLLLLLLSTPNLLVAQQLPFCSNANSITHMPEGTYKTNLLQLAKNLIANVTEKQLHSFTGTASAAGNETVYGAVLCRGDSSVESCATRLRRVLATASINETSGDDSGYFQNQKNVTLYDHEFQALLSFSDKDFISSFSNEPECTVSAYLNPPPDADRAQFSVLFSELMEKIAATVVSRPASYLTGRGWFDLKSQTVYALAQCTDAMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWFQTDVKFFAGDPEVSLHMPTPSKFWIWVVIGSFSLMIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEITLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQIVRHWTVISINMEGRVSGRAAFFKSKYETSATD >OGLUM07G16840.2 pep chromosome:ALNU02000000:7:19518723:19522440:1 gene:OGLUM07G16840 transcript:OGLUM07G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLIVSLLLLLLLSTPNLLVAQQLPFCSNANSITHMPEGTYKTNLLQLAKNLIANVTEKQLHSFTGTASAAGNETVYGAVLCRGDSSVESCATRLRRVLATASINETSGDDSGYFQNQKNVTLYDHEFQALLSFSDKDFISSFSNEPECTVSAYLNPPPDADRAQFSVLFSELMEKIAATVVSRPASYLTGRGWFDLKSQTVYALAQCTDAMPPENCRSCLDGIIDEGKKMVGGGLTGGAVLGMRCSLWFQTDVKFFAGDPEVSLHMPTQQARFELRLLSMAVQNVINLWRIEEGNSGFSLYDFSQIKEATQNFSRENKLGQGGFGAVYKGLLPGGLEVAVKRLSACSVQGLLEFKNEIQLIAKLQHKNLVKLLGCCIEGEHEKMLVYEYLQNRSLDVFIFDFVKGAQLTWSKRLRIIDGIAQGILYLHNHSRVCVVHRDLKASNILLDSDMTPKISDFGMARIFGSNMIESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEIISGKRTAGFYPYDGKLCNLISYAWQLWRSGQGHELVCCRIGNNHKVIQRCIQVALLCVQERADDRPSIDQVVTMLNSEEITLPKPNQPAYFYVRSSGSDDSSCNNSISITLARQIVRHWTVISINMEGRVSGRAAFFKSKYETSATD >OGLUM07G16850.1 pep chromosome:ALNU02000000:7:19519650:19523180:-1 gene:OGLUM07G16850 transcript:OGLUM07G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGWPVYTSGEPTKRPTRAHGGAAQPNHSQGRRERERDLTATRRILSSPARRIPRRHPSSNVAVGEGGRPSLSEYGRAPGTRGVEKPSDLRDKGISR >OGLUM07G16860.1 pep chromosome:ALNU02000000:7:19545395:19550333:-1 gene:OGLUM07G16860 transcript:OGLUM07G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVVLFFFFLSPPLAATAAYTEHSCNGTRGNFTEGSAFGLNLELLAAELPANASSSRSLFASAAVGAAADRVFSLALCRGDMRDAAACAGCVSGAFQRLRALCGRDRDATYYHDLCVVRYSGDDFLSRPDDNSPVINALDANASTYFGWDGRNATTRSFFLSLVGTLFGEMAMYGSYNSSARRYASAVMYVNPQLPTVYGLAQCTPDLSPAQCWHCFQGLQEQNRQWYDGRQGGRILGVRCNFRYESYQFYAGTPDVRIGLQDVAPSPTANNGTNHRKTLVIVLSVSITVFCFMLVGCLLLIKKLRKGDGRKSNRQLEAHSRNSSKTEEALKLWRIEESSTDFTLYDFGDLAAATDNFSEDHRLGRGGFGPGELSDGAEIAVKRLAAQSGQGLKEFKNEIQLIAKLQHTNLVRLVGCCVQEEEKMLVYEYMPNRSLDFFIFDQEQGPLLDWKKRLHIIEGVAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTNRVVGTYGYMAPEYASEGIFSVKSDVFSFGVLLLEIVSGKRNSGHQHYGEFVNLLGYAWQLWREERGCELIDPTLGECSGSEAAAIIRCVKVALLCVQDNATDRPTMTDVAAMLGSDGVPLPDPLPPPHYQLRVSGDDYDDGGRGSPAGGGFRPSRWRFTDSCSTNEVTITTIEEGR >OGLUM07G16870.1 pep chromosome:ALNU02000000:7:19551833:19553921:-1 gene:OGLUM07G16870 transcript:OGLUM07G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSSARASPSCPSATTSTSWIAAMASSSAAATCLFHYAVCNPATKEWVMLPDANWAIEENRTACLCFDPAISSHFHVLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMPDPDGDIGIIHQTQGRLCAFNIDPNDIFKLSIWLLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCQPYLPYVPMFSEALPNGRWRRRECKQEHIQWCTNKKLHTILDIKGSKDW >OGLUM07G16870.2 pep chromosome:ALNU02000000:7:19551833:19553609:-1 gene:OGLUM07G16870 transcript:OGLUM07G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLPNKRRNPAASLTDELIVEILRRLPIRSVCQFKCVSKSWRRLIADHEHRKKLPQTLSGFFYKSINYERCPSTARHFTNVTGKGMACLSSARASPSCPSATTSTSWIAAMASSSAAATCLFHYAVCNPATKEWVMLPDANWAIEENRTACLCFDPAISSHFHVLEYVEEEDDSYVTLVTGVEIYSSETGLWTLHENGWNDEVVVSLSVNRRSVFLNGFLHSVTPADEIVAVDMEGKKWRKIPMPDPDGDIGIIHQTQGRLCAFNIDPNDIFKLSIWLLEDYDTDNWILKHTVSSMKLFGGKKYQLDYDYQVIAVHPECNLIFFVYGWHNTLMAYEMDRKEVRVIRKLGHESCQPYLPYVPMFSEALPNGRWRRRECKQEHIQWCTNKKLHTILDIKGSKDW >OGLUM07G16880.1 pep chromosome:ALNU02000000:7:19561773:19567871:1 gene:OGLUM07G16880 transcript:OGLUM07G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLLLLAAVSSPAPAAGDGGGALPVLNPISSFCNTTAVRRTYLPNSTFEANLNGLFAVLSRNASASGYAAGAFGAAPDTAYGLLLCRGDFTGNDCSAARLASSFQQAASSCLYSKDVAVYYDQYQLRYSDQDFLAGAGAGANNEPETAAFNMNNVSDAGDVAAFDALVEELVNAVADRASNASRRYAAGKAGFAPEAMTVYAIAQCTPDLSPPQCRGCLAGIIDQMPKWFSGRVGGRILGVRCDFRYEKDPFFKIPNDMVVLSPLPDPSSQGSSSSSSGGLWIVAIVVPVAVLLLGFLGCFLWIRRRRRRVINMAGTVSVPTMSMEMEQVLKLWRIEESGSEFSLYDFDQIADATDNFSDACKLGQGGFGPVYKGQLPDGLEIAIKRLSSCSVQGLMEFKTEIQLIAKLQHTNLVRLLGCCVQADEKMLIYEYMHNKSLDCFIFDTEKGAMLNWDKRFRIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDREMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIISGKRTAGFYQYGKFFNLTGYAYQLWQEGQWHELVDQALGEDFPAMEVMKCVQVALLCVQDSADDRPNMSDVIAMLGSEGVTMPEPRQPAYFNVRISSLAVSSSSFGESYCMSNVTLMEEDGR >OGLUM07G16890.1 pep chromosome:ALNU02000000:7:19587967:19590137:1 gene:OGLUM07G16890 transcript:OGLUM07G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPMGRRNPAASLTDDLVVEILRRLPVRSVCRFKRVCRSWRNLIADREHRKKLPQTLSDFFYKSSNGERCPCSAHHFTNVSGKGIPLIYPSFSFLPQCDTVVPLDCCNGLLCRCFQPGPNNSDDEVWVFHYVVCNPATKEWVMLPDANWANGETRIACLCFDPAISSHFHVLEYVEAEYEDVTGVEIYSSETGLWTLHVSGWGDDVVVRHWANPRRVFLNGFLHSVTGAADIVVVDMEGKKWRTIAMPEPEGDTGIIHQTQGCLCAFNVDPHDIFKLSIWILEDYDTDNWILKHTVSSLRLFGGKEYRFGFDYQIIAVHPECNLIFFVYGWDKTLMAYEMDRKKVRVIRNLGHDSFEPYLPYVPLFNESLADGC >OGLUM07G16900.1 pep chromosome:ALNU02000000:7:19595593:19596702:-1 gene:OGLUM07G16900 transcript:OGLUM07G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPVGRNLVASLTDDLIVDILRRLPVRSVCRSKGVCPYWRDLIADHDHRKKMPQTLSGFFYTSFSEERSAAEHHFTSVTGIGMPLISPTFAFLPQCKEVIPLDSCNGHLLCRCLQFDGVSKFHYAVCNPTTEEWVMLPDANWAIGENRIACLCFDPAISPHFHVVEYVEDEAECVTGVEIYSSKTGLWTLHENGWNGVVFVSLSVNRRSVFLNGFLHSVTPADEIVAVDIEGKKIPVPDPDNDIGIIHQTQGCLCAFNIYLLDIFKLSIWFLEDCDTNNWILKHTVSSLDLFEGKNYRLDFEYQVIAVHPECNLIFFVSGLDNTLMAYEMDRKEVRAIRNLGHDYCWPYLPYVPLFSELLTDGR >OGLUM07G16910.1 pep chromosome:ALNU02000000:7:19596732:19605677:-1 gene:OGLUM07G16910 transcript:OGLUM07G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQWNLPIEPSRDPYVKYSLYGGLRLGTTQREVGLASTQRRRGNGRDIHDALDNGNPSEASHRREKKGEEKQKEEEEEGKGDGRMTCGSLCDFGNVNRETVEVHTYRKDTPTQRHAYLTASPSLRGFELFSALAFSVTGGRTARQPAQWRRFTGEGEGARLPTCTTVSTARARGASEAKRRSKRQSNPHLLTVHLLESLFADSRIAG >OGLUM07G16920.1 pep chromosome:ALNU02000000:7:19609604:19610722:1 gene:OGLUM07G16920 transcript:OGLUM07G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRATILLLVLALVASQLSAADDDALVDDGHTPPPFHMCGILPGPYAANSTYEANLRYLAATLPAKVMNGSSSSSVDVLAGERPNLIAASASCNSSSSEYHDCGACVAEAFRCARRLCPYSRHAVVHLGGGACSVRLHNWYKRIAFQVSLQVIGVACVLFMFLREWRDRKRGTAKLLP >OGLUM07G16930.1 pep chromosome:ALNU02000000:7:19612509:19613639:-1 gene:OGLUM07G16930 transcript:OGLUM07G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSLANMSVAVVITAFSPNVWVNAALRFVCRFGRSIVGTSAMVLSTELVGKWWRNTESPRWLLVHGRKQEAIEALRQIASLNGGEGITMSSFTMLDMCAVEVGDGVAGGEGMLAMLRSICEWWWALQRLATITTASFSIGVVYYGMPLSVGSLSFDLYSVAYNAAAELPSSFLSWLLMGRFNRRSSMVELTAVSGLCSLACVVIPADPEAGTGGLRLAAELSSFFASCGTTRSSRTTASRRGHGRTASTCAAAPGRHPAPPRASRLPLRCTLAW >OGLUM07G16940.1 pep chromosome:ALNU02000000:7:19617647:19619296:1 gene:OGLUM07G16940 transcript:OGLUM07G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMVKGRFAPNSSYEANLRRVAATLPAMVANGSSSSSGVDVLVGERPDQISASAFCGANSSAAPEYSDCGACVAKALRYARRLCGYSRHAMVDLGACRVSYHDVGRVELEIQASLAVRIFDEHTSSWWRIVLTHDFPMMVVFQVIGVAWVLFMFLQEWRDGRRRRAQANRLP >OGLUM07G16950.1 pep chromosome:ALNU02000000:7:19631180:19633023:1 gene:OGLUM07G16950 transcript:OGLUM07G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAIILLVLALAAPPLAAAAAAAATDAVDDDGGGHRPPIHLCGGAVQGRFARNSSYEANLRHVAATLPAMVANGSSPSNRSSCVGILAGGRPDQISASAFCCNSSAPAYSDCGACVAMAFRYARWLCGYSRRAMVDLGACRVGYHDVERMEREMRAVSAVRHTSSWWKIVSIYDFPMMVVVEVIGMACVMFIFLQEWGDGRRRRAQANRLP >OGLUM07G16960.1 pep chromosome:ALNU02000000:7:19641501:19646620:1 gene:OGLUM07G16960 transcript:OGLUM07G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRGHLPACVAAVAAAAALLTSLLPPPVAGQPLGNFCGDSGNYTANSTYQGNIRSLSATLPKNASSSRTLFATATLGAVPDIVYALALCRGDTANASACAGCVAAAFQDAQQLCPYDKDATVFYDACALRFSNQNFLASTNGDNKFLILMNTQNVSAPAKVFDAAVGVLINATADYAAANSSRRFGTGEEGFNGSKIYGLAQCTPDMATATCRSCLGGIVGMMPKYFSGKQGGRILGLRCHYRYEIYPFFNGVSLLQLPAASLGAPPAPSPAPVNVTPPATTGGGGNKTGRVLAIALPIVAAILAAVVICFYIWKRKTERARKPSIADPTDPEDIGSIDSLILSISTLRVATDNFDDSNKLGEGGFGAVYKGVLPSDQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLLGVCLEEHEKLLVYEYMPNKSLNTILFDPDRSNVLDWWKRLKIVNGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDFNPKISDFGLARLFGNDQSQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVTGRKNNVSYDSEQSVDLLSLVWEHWLAGTAVELADSSMAGHCPGDQILKCVHIGLLCVQEDPTERPMMSMVNVMLSSSTVSLQAPSRPAFCIQKSSVNSDSYSEPFRGANHSTDRSPMSPNEVSITELEPR >OGLUM07G16970.1 pep chromosome:ALNU02000000:7:19647462:19652391:1 gene:OGLUM07G16970 transcript:OGLUM07G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTLLLAFLVALPGGAKAWHFCGSSGDVFAPRSTYQSNLALLSAGLAKNASASPALFAAGGVGDPPDTAYGLALCRGDTTNATACGACVAAAFQDGQQLCAYAREATVFYDPCYLRFSHRNFLAADGDNFAAYFSKVRNVTAPAEVFDAAVVALLNATADHAAAASSPRRFATGVEAFRGWGVGDIYALVQCTPDMSPAGCRSCLAGIISWVNDPDYFSGSPTGRVLGVRCNYWYDVHPFFPGSPLLRLDAPAFDVAPPAPSPAPVAADTTPPADRAGSVFICFKRRKASKNQNTPVIPAPNKIKRGNCAIFDLPTLQIATDNFSDSNKLGEGGFGTVYRGKLGNGQKVAVKKLSQAQYTREGLNQLHNELQLLAELQHKNFVRLLGFCSHQDEMMLVYEHIKNESLDIFLFDTSRAKTLNWEQRYNIILGIAKGILYLHEDSSIRIIHRDLKANNILLDENMNPKIADFGLGRLLGGGHTQTKTARVVGTYGYMAPEYALFGKVSPKIDIFSFGVLVLEIVTGRRNSSFDNTYNAVNLLTEVWNCWTKGTALQLADQSLDGYSESKVLRCIHIGLLCVQESPIDRPSISSVILMLTRRRIKLQQPRQPAFFFGGDFSSVYQQQHRHRNYMYDKSGVIVEDKFSVNDVTNTDPYPR >OGLUM07G16980.1 pep chromosome:ALNU02000000:7:19652831:19673406:1 gene:OGLUM07G16980 transcript:OGLUM07G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALTLCRGDANASACESCLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNSQNASATAEVFDAAVATLLNATSGYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGGIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLSAPAASAAPPPAPGPANMTPPAPTGERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRRRSRSKQQHSYSMQMGEDIESVDSLFIDLSTLRAATGNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDRRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITRRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTAMATFARHRVLLCRLAAALLLAPLAAAQPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAAAADDDGSPKFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEMGPFFAGGSMLRLPAPANSTPTAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIESTDSLFIDLSTLRAATSNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSGQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTVLFGTIFYSEFNNCTNLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSCNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTNSAAATASRLAWYVLLAVKLPVVPHTCHGYAAPRGASASSTTAAVSSIERQPARCAMDTADTVKRWRQATYVGRPTRDRSSPGRMGLTFRHGNADLSQLAMQVAAQSCRARFVRQRRERGIVRCILPAAAMLTVVVIVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAAAGAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPSSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDDDSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEDFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISTGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLLGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDIEKLIRTPDRYGVAGPKVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTQPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >OGLUM07G16980.2 pep chromosome:ALNU02000000:7:19652831:19673611:1 gene:OGLUM07G16980 transcript:OGLUM07G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHRVRCLLAAALLLAPMAAAQPWQFCGQSGNFSANSAYQSNLRQLSATLPKNASAALFAAGSLGTVPDIVYALTLCRGDANASACESCLCPYNKDVFIVYDLCYLRFTNRNLLASATDNGSPMMLMNSQNASATAEVFDAAVATLLNATSGYAAANSSRRFATGEEAFDAADPTIYGLSQCTPDMSPDDCRSCLGGIIALIPQYFGRKRGARVIGTRCNYRYEVYPFFAGGSMLRLSAPAASAAPPPAPGPANMTPPAPTGERKKNKSGTALAIALPLVVVLLATVAICFSVQRRRRRSRSKQQHSYSMQMGEDIESVDSLFIDLSTLRAATGNFSETNRLGEGGFGSVYKGVLPNGEEIAVKRLSMSSGQGIEELKNELVLVAKLQHKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTILFDLDRRRELDWGKRFRIINGIARGLQYLQEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREITRRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSLSFDQSNDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNVMLSSSTVSLQSPSKPSFFIPKSDTDSNIYSESHPLTSQSTASTAMATFARHRVLLCRLAAALLLAPLAAAQPWQFCGQGGNYSANGTYQSNLAGLSATLPKNASASRTLFAKDSLGAVPDIVYALALCRGDVANATACESCVATAFQDAQQLCPYDKDAFIVYDLCYLAFSNRNILAAAAAADDDGSPKFLMNTQNASAPAEVFDAAVATLLNATSSYAAENSSRRFATGEEAFDTAATPTIYGLSQCTPDMSPDDCRSCLGRIIALIPRYLSRRKGGRAIGMRCNFRYEMGPFFAGGSMLRLPAPANSTPTAPTGGRKKNKSGTALAIALPLVVILLATVAICLSVQWWRRRSRSKQQPSNSIQMAEDIESTDSLFIDLSTLRAATSNFSESNRIGEGGFGSVYKGVLPSGEEIAVKRLSMSSGQGIEELKNELVLVAKLQQKNLVRLVGVCLQEHEKLLVYEYMPNRSIDTVLFGTIFYSEFNNCTNLEKRKELDWGKRFRIINGIARALQYLHEDSQLRIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQTREVTSRVVGTYGYMAPEYAMRGHYSVKSDVFSFGILMIEIVTGRRSSGSYSFDQSYDLLSLVWEHWTMGTILEMMDPSLTSHAPRDQMLKCIHIGLLCVQDNPADRPMMSTVNIMLSCNTVSLQSPSKPSFFIPKSGTDSNIYSESYPQTSQPTNSAAATASRLAWYVLLAVKLPVVPHTCHGYAAPRGASASSTTAAVSSIERQPARCAMDTADTVKRWRQATYVGRPTRDRSSPGRMGLTFRHGNADLSQLAMQVAAQSCRARFVRQRRERGIVRCILPAAAMLTVVVIVVAVLLPLAAAQQTMQFSSCASGAYAANSTYEANLAVLAAALPGNASTAAAGAAAGYATATVGAVPDQVSALALCRGDANATACRACVAASFRVARRDCPSSKDATTYQDGCIVRFSDQRFLDFVGVNSPVSELYSDDDSNITAVPVAWFNAAVVALMNATVDTAVAAGSGSNNTKKYFATAVEDFDPKHYPKIYGMAQCAPVMTAAQCRSCLGGFVSSIPWFLNGKPGGRVLGIWCNLRYSVKPFYQGQPMLQISAPPEPPPPAMPSLAAPPTRTARRRRVAGISTGVACFVVLMLILSAYAFIRFKRKKAAKKDNLLKKMARGKCTIFDLATLKEATENFSEKHKLGEGGFGTVYKGKLPDGQEIAVKKLIESCGHGLNQLHNEVLVLAELQHKNLVRLQGFCVHKDEMLLVYEYIKNGSLDNFLFAHASRGNALSWDQQYNIIFGIAKGIMYLHEDSSIRIIHRDLKANNILLDEDMDPKIADFGLARLLGGGHTHTKTTRIVGTYGYMAPEYAIHGNVSPKIDIFSFGVLVLEIVTKRKNCSYGDGTTDTVNLLSDVWSCWTEGVISQVIDQSLEGHFRNQALRCIHIGLLCVQSDPDDRPDIPSVIFMLTRDNMELQPPTQPAFFFNGNSNSASQTSDQRVYVYDRSGKIYEEDISANGITLTDIYPR >OGLUM07G16990.1 pep chromosome:ALNU02000000:7:19664908:19669902:-1 gene:OGLUM07G16990 transcript:OGLUM07G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRAGCLSMLLTAAVVLLALAPRGAAYPWQVCGTTGNFTANSTYQANLEAVAAALPQNTSSSPDLFATAMVGAVPEQVSALALCRGDANATECSGCLATAFQDVQNMCAYDKDAAIYYDPCILYYSNVPFLSSVDNAASTNRVNLQNVTSDPGRFNGMVAALVNATADYAAHNSTRRYASGEAVLDRESEFPKVYSWAQCTPDLTPAQCGDCLAAIIAKLPRLFTNRIGGRVLGVRCSYRYEVNPFLNGPVMGHLTAPPIPTASPPAAPAAAAAGEGKDYNVPRLVLVILLPTIAALVLINILVWLCFWRRMERLRSGATQPYSSNSAESENISSVESMLIDISTLRAATGCFAERNKLGEGGFGAVYKGTLPDGDEIAVKRLSKSSAQGVGELKNELALVAKLQHKNLVRLVGVCLEQEERLLVYEFVPNRSLDQILFDADKRQQLDWGKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDMNMNPKISDFGLARLFGRDQTQGVTNLVIGTYGYMSPEYAMRGNYSLKSDVFSFGVMVLEIVTGKKNNDCYNSLQSEDLLTLVSTNHMILLVCSWKNEVWEQWTARAVSEAVDPVMGGGFSWSDVMRCIHIGLLCVQENPADRPVMSSVVMMLGSDTVSLRAPSKPAFCARRNGGGGGGAGASSGGTSSGTSTAASVQGK >OGLUM07G17000.1 pep chromosome:ALNU02000000:7:19674117:19679384:1 gene:OGLUM07G17000 transcript:OGLUM07G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAGYGILAVVLVLLPPSATPAGIADCDLGVGNTYVGNSTFEANLNHLAAELPGNVSAAHTGGFAVATVGADPDQVFALALCRGDVNATACRACVAAAFVDGKKACPGINGVTVYEDACVVRFSGQRFMDFLSPDQWQVTEMIWVPEQASESVNAPAVGWFNAAVAKILAALVDHAVATATGNNSTTKKYFATGEEDFDPNIYGFAQCVPDLTPEQCKECLNTFLFQAKQVYFGKSLSWVGMNSVWCRLMYSVRPFYGGRAMLQLSAPPPPAAEAPVLSLEPGAGKKKSAAGIAAVVASSVVVLLILSFFAVVLFRRRSKVTETDHQLRKITRAQCLIFDLPALQEATDNFSDNNKLGEGGYGIVYKGVLSDGQEVAVKKLLGTSEHGLDQLQNEVVLLAELQHKNLVKLQGFCLHQGETLLVYEYVKNGSLDNFLFDTSRGNTPNWEQLYNIIFGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLEEGHTNTRTTRIVGTFGYMAPEYAIHGNVSTKIDVLSFGVLVLEIVTGRRNLNSDDHDRGNLLSDVWNCWTKGTVTQLIDQSLEEQFRRQALRCIHIGLLCVQSDPDDRPHMSSVIFMLSRENMNLQPPAQPAFFFDGDSNSCSQPFEQPVYNRPNAIYEEDISVNRMTVTDIYPR >OGLUM07G17010.1 pep chromosome:ALNU02000000:7:19679749:19681778:-1 gene:OGLUM07G17010 transcript:OGLUM07G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHHLLRILLATALPLLFLSHADAGELGVCYGRDADNLMDPHSVVNLLKANGITMVRIFDADPAVLRALANTGIKVMVALPNTDLGSAGWDPSYAQWWVENNVVPYYPATLINGVAVGNEVFDQAPHLTQQLVPAMRNVHAALVRLGLADAIRVSTPIAFSAVEVSFPPSAGAFQDDIAQSVMSPMIDFLQQTDSYFMVNLYPFFAYADPSTGISLEYAMFRPNAGVFDPVSGVTYYSLFDAELDAVYYAINKVSGSNERASLAQAGGRVPIRVSESGHPSGGRIRSGVTEANADGDSVATKANAQAYNNGLAKRVLFGASNMEDVSAYIFALFNENKKGGPSIESNFGLFYPDGTKVYDVDFHGGGTCPTKESWCVANAAIGNARLQGALDWACSNGADCSAIQQGKVCYEPNTMVAHASYAFNDYYQRNGKASSACNFAGAAYIVYKPSPSICDPNPSWCVANAEVGDMRLQAALDYACSSCADCSAIQPGGRCFDPNTKVAHATYAFNDYYQTAGRASGSCDFGGAASIVNQAPRIGNCVLPPSKTSSIEHILLKTEGSLPEAQ >OGLUM07G17020.1 pep chromosome:ALNU02000000:7:19685433:19693168:-1 gene:OGLUM07G17020 transcript:OGLUM07G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGYGYGILAVVLVLLPPSATATPAGIANCDLGFGNTYVANSTFEANLNLLAAALPANVSAAPAGFAVATVATDPDKVFALALCRGDVNASACSACVAAAFVDGKKACPGINGVTMYEDVCVVRFSGQRFMGFLSPDQWQVTEMLWAHDQASESVNVPAVGWMDKPWRASMCRGLAASLPVRGEPAAAVVGIPLPLSWGSSPLAAEAHRLPARTTTCMVVSVSLPCWTEITHYYSPSPCERTWIELLFAHAGNTQMDRRLILSVAVAVAVALLVPRAAGEPWPVCGQDFGTFTPKSRFSANLQLIAAALPRNASSSPDLYATAVDVGAVPEQVTAAALCRGDVSASSCLGCLTQAFADLPNSCGNSRDAATYYDRCMVSYSAINFLSGGAGGEDPARIDTYTVNNDNKVTSEQGRYNRLVAALVNATADYAAYNSTRRYASGEADFDAALPKVYSLAQCTPDLSPARCRSCLAKIVAQELWSYKDDIGGRTLSIRCSFRVETKPFLNGTTMVRLPATSAPSPAPPVNATPSAATPGRETKYKVPRLVLIILLPIIAAVNLVVCFCVWRRKRPVITKAKQTNANYYAEADDVDSVDSMLMDISTLRAATGDFAESNKLGEGGFGAVYKGVLPDGNEIAVKRLSKSSTQGVQELKNELALVAKLRHKNLVSFVGVCLDQHERLLVYEFVPNRSLDLILFDTEKREKLDWEKRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGIARIFGQDQTQAVTNRVVGTYGYMAPEYMMRGNYSVKSDAFSFGVMVLEIVTGRKNNDFCNNTHQSEDLLTTIWERWMAGTVDEMVDPAMSAYVSASDVRKCVHVALLCVQENPADRPVMSSVVMMLDSETVSRQVPSKPAFFARNGGAKPGVASDESTASV >OGLUM07G17030.1 pep chromosome:ALNU02000000:7:19695752:19699276:1 gene:OGLUM07G17030 transcript:OGLUM07G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPAISVSDDQKLTPINKSEPDTSTSVQPPVQFSVTMMASHVALSVAVAAVALLLLALPRAAESYPWGLCNDTAGDFPARRSSYLASINLIAATLPGNASASPDLFATAEGVGAAPDQVSALALCRGDANASTCLACLTQAFLDLPNACAYDKVAAIFYDSCLLAYSNATIAAGDFSSEKIPIYGFYSNANATTEQARFNRLVAALVNATADYAARNSTRRRYASGEADFNAEFPKVYSWAQCTPDLTPASCRSCLAQIIGRGIGFFENRVGGFVRSVWCSFQYSTTPFLDGPMLVRIQGTSGASPAPAPAPSPAAVVPAVNQTPPAATPTPEGGRKYSVPGLVLIILLPTIAAINVVVGLCFLRRRRPVTEAKRTYANYSTEAEDIENLDSMLIDISILRSATGDFAESNKLGEGGFGAVYKGVLPDGYEIAVKRLSKSSTQGVEELKNELALVAKLKHKNLVSLVGVCLEQQERLLVYEFVPNRSLDLILFDTEKSEQLDWEKRYKIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFGRDQTQAVTKNVIGTYGYMAPEYLTRGNYSVKSDVFSFGVMVLEIVTGRKNNHSYNSQQSEDLLTMIWEQWVAGTVLEMVDPSMNSFFSESDVMRCIHIGLLCVQGDPAERPVMSSVVLMLGTDTVELHAPAKPTLFARKGGDESGVAFGGMSIVSLEEQS >OGLUM07G17040.1 pep chromosome:ALNU02000000:7:19699459:19702251:1 gene:OGLUM07G17040 transcript:OGLUM07G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARGSLLASVRGIGDLEKSLFCVRVANPAGAGRRRPSLPSTRAHRPLPSAKPLPLPAAPEHASAATSNRHRFLSPPPHLSLPPLPAAPKPNAAPGCVAAAHRRIRAGEGRRLQIRAGEAALLAALCPSPSQAPEPPLPVGDLSNT >OGLUM07G17050.1 pep chromosome:ALNU02000000:7:19702634:19705933:1 gene:OGLUM07G17050 transcript:OGLUM07G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAGYGILAVAAAVVVLTLPSAVRAAPTNICDMGVATYAANSTFEANLDRLGAELPANVSAARATGGYAVATVGAAPDLVYALALCRGDVNATACGACVAAAFADGKRSCPGIKGATVPGDGCVLRYSGQSFMNFLSTEQWQVSEILWYPDHASDSIKVQDVGWFNAAVAKILAALVERAWATTTTASNSTGNSKKYFATGEEEFDPKIYGFVQCVPDLSPEQCKGCVRALHDQAKINYMGNSLPWVGVYSVWCSLMYSVRPFFGGRAMLQLSPPAVDAPVGNHEPGAGKKKSVAGLAAGLSCSVGVFLILSVVVFVRFRRRTKAAETDHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTTGHGLDQLHNEILLLAELQHKNLVRLQGFCLHQEQTLLVYEYIKNGSLDNILFDISKGNSLNWEQQYNIILGIAKGVLYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHSRTTRVVGTFGYMAPEYVADGNVSTKIDIFSFGVLVLEIVTRRRNSDSGDHDNVNLLTDPDPDERPHISSVIFMLTRENMELHPPAQPAFFFGTESASSSPSCGQRSYVYDRYGFASVSVNDVTLTEPYPR >OGLUM07G17060.1 pep chromosome:ALNU02000000:7:19713904:19715608:1 gene:OGLUM07G17060 transcript:OGLUM07G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCSILPSLADREIWDATASLVEIIEAQGHRHGRLGSAPEQVSLAHRRRRCRGVRRDALGAIQSKQRSHELEIQAKQPEKSSRGLQRFEAEKTLEGEGETGCHAGVERRWWSPGRSDGSRGWPRREATTASNGSCVAELETKGERRRRRRGRDSREWGIGRFAAGPPLPVVSDWVSAQLPHA >OGLUM07G17070.1 pep chromosome:ALNU02000000:7:19715407:19717244:-1 gene:OGLUM07G17070 transcript:OGLUM07G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSHQLRALLVAAALPLLLLSRADAGEIGVCYGRDASNLIDPPEVVKLLNANSITMVRIYDTDPTVLNALANTGIKVMVMLPNKDLASAGADVGSATDWVKNNVVPYLNQGTLINGVAVGNEVFKQQPDLTGMLVSAMQNVQTALANLNLADGIKVSTPIAFDALDVSFPPSDGRFKDSIAQSVMKPMIDFLVRTGSYLLVNLYPMYAAADPSTHISIEYATFRPNSGVLDGKTGIMYFSLFDAELDAVYAAISKVSGGSLRASLAQGDQMLVQVAETGHSSGNTFGGPVVVEADADLNAIATIPNAKAYNNGLIRRVLSGSPGKHDVSAYIFSLFNENLKPGPATEGHFGLFYPNGQQVYEVNFQGGRSPCPTNASWCVANPNVDNAALQRALDWACNNGADCSAIQLGKACYEPNTLVAHASYAFNDYYQRKGQASGTCNFNGVAFIVYKPSPSICDPNPSWCVAKEGVGEAQLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTAGRASGSCDFAGAASIVTQQPSEFMLIL >OGLUM07G17080.1 pep chromosome:ALNU02000000:7:19717321:19725706:-1 gene:OGLUM07G17080 transcript:OGLUM07G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGIKVTVAIPNADLAAAGQDLRSATDWVTNNVLPYRSRGTMINGISVGNEVFKQKPELTGMLVSAMRNVHKALENLNLANDVKVSTPIAFDALKQSSPPSAGEFKDEIAQSVMKPMIDFLKQTGSYFMVNLYPYFAYVAQPDKISLEFATFRPNAGVLDGNTGIRYFSLFDAQLDAVYAAINRVSGGSLMVSMARRDGILSVQASESGFPSGGRFPLFSMLAAADTDSVATIADAQAYNNGLIRRVVSRASGMRDVSAYIFSLFNENEKPGPTIERNFGLFYPNGQKVYEVDFRGGGGGGACPTKTSWCVARTDVGSAALQSALDFACGNGADCSAIQQGSVCFEPNTLVAHASYAFNDYYQRTGQASGTCNFPGAASIVFKPSPSICDPNPSWCVAKSEVGDAQLQNAIDYACGSCADCSAIQPGARCFDPDTKVAHATYAFNDFYQTTGRASGSCDFAGAASIVNQQPKIGNCVLPPNNAGTEQTAIEDQSTANLPATAWQPLASHYRSVVAQHIIIEKTRMPRPAKFDRLEDGLLHGNIVAAGDGSLHGEEEGEQGGADGGGGRWVGKLELADHHYSRMANPLCLL >OGLUM07G17090.1 pep chromosome:ALNU02000000:7:19750198:19756852:1 gene:OGLUM07G17090 transcript:OGLUM07G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKY6] MQMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSSVQSRVSPLPPSDPVTVTIEEKLNVTVKRDGGVNNFDVQGTLALQVLNDTDGFIQLQIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEAAEMFDLHNVVISIPLPALREAPSVRQIDGEWRYDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLIENQDVPGLSFKTHPNINKDLFNSQQVVGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWASVSGSETYVNIEYEAAEMFDLHNVVISIPLPALREAPSSNRSGSMKFVVPPADPSTFFPISIGFSASSTFSDLKVTGIRPLKDGNPPKYSQRARLVAANYQVV >OGLUM07G17100.1 pep chromosome:ALNU02000000:7:19783688:19797568:1 gene:OGLUM07G17100 transcript:OGLUM07G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKY7] MVVLAASIISKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAICLGNKENVTVQQVKQYCEMESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQP >OGLUM07G17100.2 pep chromosome:ALNU02000000:7:19795852:19797568:1 gene:OGLUM07G17100 transcript:OGLUM07G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0AKY7] MESHEEKAHKLMMQSKINETRDVMKKKASELDKMKMERGKLDKGGYSAISGPRVVEKAFGDMSITGSGFGSGSGLGGLSMDMDSFASKPKGGRPSAAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQP >OGLUM07G17110.1 pep chromosome:ALNU02000000:7:19811119:19811888:1 gene:OGLUM07G17110 transcript:OGLUM07G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPFRRRPPTPRPLPSAPPPESVCFSLPFSPLPPSHSLRTLLWSEQEPWTVHSSALT >OGLUM07G17120.1 pep chromosome:ALNU02000000:7:19814175:19817950:1 gene:OGLUM07G17120 transcript:OGLUM07G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNFRNTRSFRQETGLCKNLLQEYAQKMNYAIPSYICTKPASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTALLAIQGQSEGSANGATKHIVVPGKKVGKEVEKRPIETPKPLKAKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEDEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVQPNEEAISAKQEPSIDAATLQPKEEAMKTGCVALVLCLNISVDPPDVIKISPYARKECWIDPFSMAPPKALETIGKTLHSQYERWQPKARYKLQLDPTLEEVKKLCNTCRKFATRTERVLFNYNGHGVPKPTANGEIWVFNKVNVAKTLHKLLHCLSMCVFDEVPFFYFLV >OGLUM07G17130.1 pep chromosome:ALNU02000000:7:19826718:19827917:1 gene:OGLUM07G17130 transcript:OGLUM07G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVAAGGAVDGDRGREVEAAGGGGAEVRCSGEAQVPIRHRANHRWPLPPVEGPPQPDPTIAAPPQLDPIVTRPLLLDLAATVGSGYCKASDHGGIEALAIVEEEELRHAVTAVVVVAPEADGGGCAPPGTGDGRLAILPPSRESGYTIVIISLPTTVVVVVALSAGVKSDHHKATPVAAVVTTVVIAPVDRRWGKGDGC >OGLUM07G17140.1 pep chromosome:ALNU02000000:7:19829480:19831394:1 gene:OGLUM07G17140 transcript:OGLUM07G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSLASSHLADLHRAGGASPSLQPRCIRSSSASGALAAARSASSPWPDPARLPSSLLKPTIQASDVILEAASELGFLDTVTAVKPSTAPVAACRRRWCCRGGRPHPPPSTVHIGARHRRPRPSSMPQPVVASSTPELVAGAAAITTQDPLPSTPHAGACRRRRLCHHAGSSAVHATMPHAGARRWLRPPRWSSSLAAATSTPELGGGGRVRAVDVSLICAAPMVAFVLTSEGGGQGAIPLGQYPNGIPVYSSPK >OGLUM07G17150.1 pep chromosome:ALNU02000000:7:19831640:19838637:1 gene:OGLUM07G17150 transcript:OGLUM07G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGILAVVLAAVVVTLPPPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGGYAEGAAGAAPDQVIYALALCRGDVNASACRACVEAAFVDAKDVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTFNPKIYGFAQCVPVLTPEQCKECLRSLHDNAKAVYMGNSLRWVGMYSVWCRLMYSVRLFYGGRATLQLSAPPPPAVETPAEAGAGKKKSAAGVAAGLACSVLVLLILSAFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTSGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNLLFVEHFVTLDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRQNMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >OGLUM07G17150.2 pep chromosome:ALNU02000000:7:19831640:19838637:1 gene:OGLUM07G17150 transcript:OGLUM07G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGILAVVLAAVVVTLPPPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGGYAEGAAGAAPDQVIYALALCRGDVNASACRACVEAAFVDAKDVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTFNPKIYGFAQCVPVLTPEQCKECLRSLHDNAKAVYMGNSLRWVGMYSVWCRLMYSVRLFYGGRATLQLSAPPPPAVETPAEAGAGKKKSAAGVAAGLACSVLVLLILSAFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKGVLSDGQEVAVKKLLGTSGHGLDQLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNLLFDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRQNMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >OGLUM07G17150.3 pep chromosome:ALNU02000000:7:19831640:19838637:1 gene:OGLUM07G17150 transcript:OGLUM07G17150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGYVYGGILAVVLAAVVVTLPPPATAAPVAECDPGVANTNVTGNSAFDRNLGLLAAALAANASAAGAPGGYAEGAAGAAPDQVIYALALCRGDVNASACRACVEAAFVDAKDVCPGGISLYEDACLIRFTGQSFMDFLRPDKWQVSQMTFNPKIYGFAQCVPVLTPEQCKECLRSLHDNAKAVYMGNSLRWVGMYSVWCRLMYSVRLFYGGRATLQLSAPPPPAVETPAEAGAGKKKSAAGVAAGLACSVLVLLILSAFAFVRFKRRTKAVEADHPLKKITRAQCMIFDLPTLQEATENFSENNKLGEGGFGSVYKLHNEVLLLAELQHKNLVRLHGFCLHQGETLLVYEYIKNGSLDNLLFDINRGNALNWEQQYNIILGIAKGILYLHEDSSLRIIHRDLKSNNILLGEDMEPKIADFGLARLLGEGHTHTRTTRVVGTFGYMAPEYAIDGNVSTKIDIFSFGVLVLEIVTRRRNCNSDDHDLVNLLSDVWNCWTKGTVSQMIDQSLHGYSQSQALRCIHIALLCVQSDPNDRPQISSVIFMLTRQNMELQPPAQPAFFFGGGSASSSPSFGQRSYVYDRCGFDNISVNGVTLTEPYPR >OGLUM07G17160.1 pep chromosome:ALNU02000000:7:19845419:19847501:-1 gene:OGLUM07G17160 transcript:OGLUM07G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALAHLLLAAALPLLFLSRADGGEVGVCYGRDGNNLIDPPSVVSLLKAKGITMVRIYDADPTVLNALANQNIKVMVAMSNRDLAAGAAKDFNSALSWVKNYVLPYYRSSQINGVAVGNEVFQQAPDLTSQLVSAMRNVQAALARLGLADAIKVSTPISFDSVKVSFPPSTGVFQDNIAQSVMSPMIDFLQQTNSYLMVNFYPYIAWANSNGQISRDYAVFGPNASPVVDQASGITYHSLFDAQLDAVYFAIDHVSGGSVRVSMAQARRGRPSPRIPVKCTECGHPSGGRLPQLSTLDDVQVDVATKANAQAFNNGLISRALFGATGMPDVSVYIFALFNENLKGGASVEQNFGLFYPDGTEVYQVDFHNGGGGNVCPTKASWCVANSAVGSTRLQAALDWACSNGADCGAIQPGKTCFAPNTLVAHASYAFNDYYQRKSQASGTCDFSGAAFIVYKPSPSICDPNPSWCIAKPEVGDTRLQNALDYACGSCADCSAIQRGAQCFDPDTKVAHATYAFNDYYQTAGRASASCDFNGAATIVTQQPRAVNGFHWFSFNHILQRLETAYSHQTTLECTEDSAVRGWD >OGLUM07G17170.1 pep chromosome:ALNU02000000:7:19851071:19851599:-1 gene:OGLUM07G17170 transcript:OGLUM07G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGAVFLLEGIVLCSTCFFGLRLEDPALRCLWSDHRKSKLLLATMAYVFLQWCRRKFFFFVLRVLPGFPLKPCLCEVFGPGFPLKTGPSLFFFNENRLSLSSSEVFDRFRKIR >OGLUM07G17180.1 pep chromosome:ALNU02000000:7:19851658:19851893:-1 gene:OGLUM07G17180 transcript:OGLUM07G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGKESELLGRLRWGGCALGFLACLQVCWGESELLVGDKLGNDNPPPLSLVALWLPGVS >OGLUM07G17190.1 pep chromosome:ALNU02000000:7:19852178:19852738:-1 gene:OGLUM07G17190 transcript:OGLUM07G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSSDDDGVGRRRAVAPWRRREEVEGRRRRGRPDPAVSFVDLAVSFVDLAAVGVRGDGGGVVKVRAAAALGRRPEGKGRPASGRLFLGFGGREGSWRCRRPAVCEGDGRRRRCQPAKIEPSLGERCMWPVEVRDGDRRRWLLPAKVVSRWILVVEVAGEVAGLLGGRRWVGRAVAAVARSFGKP >OGLUM07G17200.1 pep chromosome:ALNU02000000:7:19860010:19861074:-1 gene:OGLUM07G17200 transcript:OGLUM07G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASELRALAAVSAAAAAAMAYARFATARLAPGVPRLSALLPVLALLPFLPFAFASIHLRTISAFSLVWLCAFKLLLLAAGRGPLHPSLPLVRFAACAALPIKVVDDEKRKPTTSTSSSSRRLAPAFVLSYAAKAAVFAALVSARCYREGMPAYAVVAFDGAHVYLMLELFLASAAAAARVVLGAELEPQFDRPYLATSLADFWGRRWNLMVPAVLRPSVYLPVRARHGAAAGVAAAFLVSGVMHEVLFYYITLDPGCTTGEVTAFFALHGACVVAERWWREEARRRAWRWRAPRRAVATAMTLAFVTGTGSWLFFAPATRSGLDKAIVAECEGFMAFLEEAGWKAAAAARLLPS >OGLUM07G17210.1 pep chromosome:ALNU02000000:7:19862343:19883370:-1 gene:OGLUM07G17210 transcript:OGLUM07G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDDAAPAATKISISGAALAALLQRCAMADGDCDGLLSGRASTTPAPPPSLSDYDDHVPAKSTPAISLSISGHSSLSRPSSLSDPLGRFHPSAAGPASIGFFSSRRRTALRPSMRELSLAHSLSKSLALAHPLLFLLVSPSSPNLSTHSYDHRAFLLIGSRLVPTSLHVVNVGPVFRDQYHSFAPDSPMPWLPLAQHSSSSSAAAGDAHTIGEQNAVDGVVDGFGLGKLQGILGSAAGQAAEMDGMYAGMLRRLEKLAREVEKRNLLVLKQSRHGICIIVWKKKAHGTEDENGGTSCGKLVWKGRLALCSAMCRGSVLLLRVHAALLLLAALPALIAGQPWEICGENGNYTANSTYQANLKQLAAALHKNVSSGGRLFASGAVGAVPDAVYALALCRGDINASACADCVGTIFQDAQQLCPYRKEVSIVYDSCYLRFSNLDFLSSADNSGVVDLYNTGNVSGDVGRYDRAVTGLLNATARYAAGNTNASSSSRLFATGVMVGFDAQFPKIYAMAQCSPDLSPAQCGLCLGAMVARWWQTFEPNTQGARSVGARCNMRVELYSFYNVPSMLQLQAEAVAPSPSPAPAPAGKPPAVPGTTGGKRRNGAGKVLAIVMPIVAAILAVTVIGFCFWKRRRPGKTPPPGPLRSASRSEDFESIESLILDLSTLRIATDNFSENNKLGEGGFGVVYKTDAEKSSLLDWGRRLKIINGVARGMQYLHEDSQLKIVHRDLKASNVLLDSDYNPKISDFGLARLFGGDQTQDVTNRVVGTYGYMAPEYAMRGHYSVKSDVFSFGVLVLEIVTGRRNSGSYYSEQSGDLLSIIWEHWTMGTIMEMVDRSMGERAAGGEIARCIHVGLLCVQENPASRPAMSAVNVMLSSGTVSLKAPSRPAFYIRKGGGDDGGGTGSYSGSFVGTLPSSGRSAPMSPNEVSITELEPR >OGLUM07G17220.1 pep chromosome:ALNU02000000:7:19886295:19890702:-1 gene:OGLUM07G17220 transcript:OGLUM07G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKKKTSWSQIVKNGRPTNMSVTTRNLRPQDLGAVIFGCTNDTIAECHSRQLFGLPRTHLSYVQNIKEGLPLFLFNYDDRKLYGIYEAASNGKFCPESNAWSQDGKGKTSYPAQVAMRIKVWCVPLAESQFRGAILANYYQRMPGAPGQKLHFFQFELDHAQTRALMDMFTPSPSTNNGWSPPVAQLSDEHVRSSWAPVYAGNNGLKSEKIVKSYADVVNNNKFEQVRTGDVVHVDAEHASSGNEHVNGFDDLDCGDTTPESEEYALSGKEVEVQQQRQQQQQEQSGQQDKLSFKGVLEKLKMLSVQQRKSTFYANATETESIDAYSCKDVQDDLPENLDSEVDQFSWGHSKLLMHSLDSESCTEVKLVDIVKELYERIAIMEKKQAWSNKELKYLQGVNERLLKRVVELKGTVKTLNSKIDPLTLDDSLSQFVEQCLGSEDVIYLVGGFDGFSHLPSLDSFSPSLDVLTPLKSMAVGKSYASTVALDGKIFVLGGGDGVCWFDTVDCYDRRRDDWTTCPSFTHDKGSLAAVSFNGKIYAYGGGDGNECFSDVEVFDPAYGKWIKNQSMLDKRFALAGVELNGAIYAVGGFNGVQYLSSAERLDPREPSWKRLPKLSTGKGCHTLAVLDDKIFSIGGYDAEAKTMVATVELYEPRMPSWVMAEPMNYNRGYHSSAVLGGSIFAFGGVKGEGDAILDVVERYKEGCGWVTTESRSIGRRCYCSGIVL >OGLUM07G17230.1 pep chromosome:ALNU02000000:7:19896860:19899000:1 gene:OGLUM07G17230 transcript:OGLUM07G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTRDLASSLRHNVGPPSSSQRFSDGAPPHRHTSAEAATAHAYSPAPAPRKAPSAAAATTIATVHSRSSLFPIGCSRSRRPPHPPPPLPPTEPRLSPATDADGAEVVSSLCRRFPALLLVEEIIPGKQLWIPNSGMLYWSCAAPRDKHEMEKRRILYHEKKLSLLVLM >OGLUM07G17230.2 pep chromosome:ALNU02000000:7:19896811:19899000:1 gene:OGLUM07G17230 transcript:OGLUM07G17230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTRSAPRSPSPPRSAHRSPRASSLCTSSPRDDPPPDQRPRLLAPPQRRTSLFLATVQRRRTTAPPYQRRGCHRPRLFAGAGAEEGPFRRRRHHHRHRALEVVPLPHRLQPEPTSSPSAAAAAADGAEVVPSHRRRRSRGRLQPMPPFSCCAAPRDKHEMEKRRILYHEKKLSLLVLM >OGLUM07G17240.1 pep chromosome:ALNU02000000:7:19897140:19897561:-1 gene:OGLUM07G17240 transcript:OGLUM07G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVIEDGSMNGIPGKRRHRLETTSAPSASVAGDNLGSVGGSGGGGWGGRRLRLQPMGKRDDLECTVAMVVAAAAEGAFLGAGAGE >OGLUM07G17250.1 pep chromosome:ALNU02000000:7:19907435:19921511:-1 gene:OGLUM07G17250 transcript:OGLUM07G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLENLAVTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTKLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIIAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRQLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSTVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTCPACSDGAMRRRSSVVHAVLLLLVAAAALPLAAAQPWPVCGTGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGRDAVYGLLLCRGDMSPSDCFDCGTRVGDDVAQACNGTKDAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNITSADVAGYDRAVTELLSATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASASAPAPDVVPATTLVKKNSASKILVIALPVVAVAIVAAISLCMWTLRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTREVTNHIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTAKRNSGPYFAEQNEDLVNVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMREGEEVVDEAGIVTGRVELKWIENKINRHEQGRLKNELVLVAKLQHKNLVRLVDVCLEEHDKMVIYEYMPNRSLDTILFGSFSIAKISAVQLYKCTTQVPHANHASSSLDWGRRLKIIHGIARHLQYLHEESRLKIIYRDLKTSK >OGLUM07G17250.2 pep chromosome:ALNU02000000:7:19910976:19921511:-1 gene:OGLUM07G17250 transcript:OGLUM07G17250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLENLAVTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTKLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIIAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRQLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSTVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTCPACSDGAMRRRSSVVHAVLLLLVAAAALPLAAAQPWPVCGTGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGRDAVYGLLLCRGDMSPSDCFDCGTRVGDDVAQACNGTKDAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNITSADVAGYDRAVTELLSATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASASAPAPDVVPATTLVKKNSASKILVIALPVVAVAIVAAISLCMWTLRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTREVTNHIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTAKRNSGPYFAEQNEDLVNVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPAPMAHRPISLSDGSSGYSQTVTQLSPR >OGLUM07G17250.3 pep chromosome:ALNU02000000:7:19910976:19921511:-1 gene:OGLUM07G17250 transcript:OGLUM07G17250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLENLAVTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTKLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPALAKAERHPGTDTNEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKGILHGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHRNLVRLVGFCLDEGERLLIYEYMSNKSLDTFLFEQKRQLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSTVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTCPACSDGAMRRRSSVVHAVLLLLVAAAALPLAAAQPWPVCGTGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGRDAVYGLLLCRGDMSPSDCFDCGTRVGDDVAQACNGTKDAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNITSADVAGYDRAVTELLSATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASASAPAPDVVPATTLVKKNSASKILVIALPVVAVAIVAAISLCMWTLRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTREVTNHIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTAKRNSGPYFAEQNEDLVNVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPAPMAHRPISLSDGSSGYSQTVTQLSPR >OGLUM07G17250.4 pep chromosome:ALNU02000000:7:19910976:19921511:-1 gene:OGLUM07G17250 transcript:OGLUM07G17250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLENLAVTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTKLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPVKADGLTPASAPAPDVVPAITGGKNNSGSKILVIILPIVSVAIIIAVISLCIWNARKKRRLAKAERHPGTDTNEDFESVKSTLLSLASLQVATDNFHESNKIGEGGFGAVYKRQLDWAVRFKIIEGIARGLQYLHQDSQKKIVHRDMKASNILLDADMNPKIGDFGLARLFGQDQTREVTSRIAGTFGYMPPEYVLRGQYSTKSDVFSFGILVIEIVTGQRRNSGPYLSEQNDEDILSTVWRHWEEGAIAEMIDHSLGRNYSETEVLKCVNIGLLCVQQNPVDRPTMADVMILLNSDTTCTMPALAPRPAYLIDGTSGYSQTCPACSDGAMRRRSSVVHAVLLLLVAAAALPLAAAQPWPVCGTGGNYTAGSTYESNLLRLASTLRANASASPTLFASGVRGAGRDAVYGLLLCRGDMSPSDCFDCGTRVGDDVAQACNGTKDAILVYNQCYAQFSDSGDFLAATNNSGAYSLLISGTNITSADVAGYDRAVTELLSATVRYAVENSTRLFATGQRVGADPGFRNIYSMAQCSPDLSPAQCRSCLDGLVGQWWTGFLFPRNGEGARVAGPRCYLRSELGSGFYTGAPMVLLRADGLSPASASAPAPDVVPATTLVKKNSASKILVIALPVVAVAIVAAISLCMWTLRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTREVTNHIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTAKRNSGPYFAEQNEDLVNVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPAPMAHRPISLSDGSSGYSQTVTQLSPR >OGLUM07G17250.5 pep chromosome:ALNU02000000:7:19907435:19921511:-1 gene:OGLUM07G17250 transcript:OGLUM07G17250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSLVAHAVLLFAAVALPLAAAQPWPVCGSSAGTYTAGSTYETNLENLAVTLRANASSSPTLFASGALGSAPDTVYGLLLCRGDLSSSDCADCGTNVWRDAGPTCNRTKDAILVYNQCYAQFSDRGDFLAATNNSGGVSRLISGTNISSTDVAGYDRAVTELLNATVRYAVENSTKLFATGQRVGNDTGFSNIYSMAQCSPDLSPAQCRSCLDGLVGQWWKTFPLNGQGARVAGPRCYLRSELGPFYTGSPMVRLPNSASKILVIALPVVAVAIVAAISLCMWTLRKKSRSAKAEHLSELDASEDLESVKSTLLTLGSLQVATDNFDESKKLGEGGFGAVYKGLLFGQEVAVKRMAKGSNQGLEELKNELVLVAKLHHKNLVRLVGFCLEDGERLLVYEYMPNKSLDTFLFDAEQRRQLDWATRFRIIEGVARGLQYLHQDSQKKIVHRDMKASNVLLDADLNPKIGDFGLARLFGQDQTREVTNHIVGTFGYMAPEYVIRGQYSTKSDVFSFGILVLEIVTAKRNSGPYFAEQNEDLVNVVWRHWTEGNIVEMIDYSLDRNYPEAEVQKCVNIGLLCVQQNPVDRPTMADVMVLLNSDATSSLPAPMAHRPISLSDGSSGYSQTREGEEVVDEAGIVTGRVELKWIENKINRHEQGRLKNELVLVAKLQHKNLVRLVDVCLEEHDKMVIYEYMPNRSLDTILFGSFSIAKISAVQLYKCTTQVPHANWGRRLKIIHGIARHLQYLHEESRLKIIYRDLKTSK >OGLUM07G17260.1 pep chromosome:ALNU02000000:7:19940863:19941769:1 gene:OGLUM07G17260 transcript:OGLUM07G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSSVALLAAVMAVAAVASTAVAKDYTVGGSYGWDTYVDYDKWAAGKTFIVGDTITFKYEPYHNVVEVPAETDYDGCVSTNPVSVHSGGNTTFELAAAGTRYFICSIPRHCLNGTMHVKVTTVPYSASAAAAAAAADAGPSPAPLPSPPADEQQHKSNSASSPAAGPSSSAASGPRHRKQPAVAVAGLALAALVAMAA >OGLUM07G17270.1 pep chromosome:ALNU02000000:7:19942676:19945257:-1 gene:OGLUM07G17270 transcript:OGLUM07G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWQQQQHVAPATAAPNTCAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPATAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >OGLUM07G17270.2 pep chromosome:ALNU02000000:7:19942676:19945257:-1 gene:OGLUM07G17270 transcript:OGLUM07G17270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLAAAAGFDAAPAGGFYWTTPAPPQAALQPPPPPQQQQQHVAPATAAPNTCLVVLFFMYLLLYIIMTYGILKYKFIFFTSAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPATAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >OGLUM07G17270.3 pep chromosome:ALNU02000000:7:19942676:19945257:-1 gene:OGLUM07G17270 transcript:OGLUM07G17270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEGSTWVFDCPLMDDLAAAAGFDAAPAGGFYWTTPAPPQAALQPPPPPQQQQQHVAPATAAPNTCAEINGSVDCEHGKEQPTNKRPRSESGTRPSSKACREKVRRDKLNERFLELGAVLEPGKTPKMDKSSILNDAIRVMAELRSEAQKLKESNESLQEKIKELKAEKNELRDEKQKLKAEKESLEQQIKFLNARPSFVPHPPVIPATAFTAPQGQAAGQKLMMPVIGYPGFPMWQFMPPSDVDTTDDTKSCPPVA >OGLUM07G17280.1 pep chromosome:ALNU02000000:7:19946182:19947091:1 gene:OGLUM07G17280 transcript:OGLUM07G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSPPSSQQVRLQQRWTCRSRAPSPAPLLPPPATGRRRRRVAADSQKTVASLRVRAIAAESEQASPLPEPPPLLTEEEEEEEEEKMLANYVPVYVMLPCSWAWSPRRTSWRTRRG >OGLUM07G17290.1 pep chromosome:ALNU02000000:7:19960091:19963502:1 gene:OGLUM07G17290 transcript:OGLUM07G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL16] MSVLPHFIKPSSVSNKTVKLVFSEHTQPATMAGNLLANYVQVNVMLPLDVVTVDNKFEKVDETRAQLKKLTEAGVDGVMVDVWWGLVEGKGPGSYDWEAYKQLFRLVQEAGLKLQAIMSFHQCGGNVGDIVNIPIPQWVRDVGANDPDIFYTNRGGARNIEYLTLGVDDQPLFHGRTAIQMYVDYMKSFRENMAECLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAEAAKAGHPEWELPDDAGEYNDTPEKTRFFTDNGTYVTEKGKFFLTWYSNKLIKHGDKILDEANKVFLGCRVQLAIKISGIHWWYRVPNHAAELTAGYYNLDNRDGYRTIARMLTRHRACVNFTCAEMRDSEQSSEAKSAPEELVQQVLSAGWREGLHVACENALGRYDATAYNTILRNSRPTGINKNGPPEHKLFGFTYLRLSDELLEGQNYSTFKTFVKRMHANLGYNSNVDPLEPLQRSMPEMPIGKILQAAHPKLAPFPFDENTDLPV >OGLUM07G17300.1 pep chromosome:ALNU02000000:7:19983905:19987922:1 gene:OGLUM07G17300 transcript:OGLUM07G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTVTVGGGGEHRVQVAAAKPGGGQQQQGKKAAAPEKWLNGFVRLVALVERVGNALDTLAFTWATVVLLGGYPTCLTALGLVQRFLVCDSHNLSRSRQRRWQQQQVRLPIVLPNQRPLGWNWLIAIVCILDVWKLLIPLPHKKNVMAAVVLYAMVILLILGKSMTPKFQPSLRNPLRRAISLWSPLAAILLMTPAIQHGHSRVFVRNITGNGSISTITGDFAPTRSTVVAKWIVFLLLLISVLVVTISRLQFPRIIKLLDSARGRKLVSWRRSIQNICMFAALVMLVLTTDGFFRFLIIGMLVIVAVMVSSGNLQIPAAVLRVVFAGLALSHLMALHKDNKEDEETNLVPSLIVFYAMVMAQGILYTVACILEIFSFIPRRSLIRRAGFRGQLGVEYVNMYYAYALEKCMEKAVFAPKKTSLGNFAMDSLNSDSPKNHLYGIQLMHSLLEKETTRVRLLEKLITSTKTMARIISMLGWTSPNYMMVRLYAAKVTAELAKDLQVIAVPRAMQLVSALLDTDGKLKKGNPLLQTMNKRRGRIPFSAQKIAKRKDRMQLGMQMIPQKQRQQETLQGTDNLPETQTRSTHIHEQNCIIRSWQKISEYWTVPKEHSLTYHDQLPTLGMLIVDKLASCDQNNCVEIDRVTDLIPKIIGFTSFRSDTTNSEAQQMVLVKSSLKVLQRLTSIEGEIGKALRYKISKHPFLLRNLTDILRDNIRDQELKKLAVGILRNISIDGNTRQEIGNLKVLITRLMKAFLNLRRTSNTNVDFLLPKVAGQALAMLAMDNVHNCLVMLKEPEFITKLKNMILNQDEKYIYVAPSLLRSMCQHAQAKLTELDLKELSHTLREVLERIMNAEGAELEILIGLSSQICKVNPEEFAQELDHGHNRQRFVKKLVDALNANMKPSVHCPGIRKVILEQSIYMMECNSHYANCFNEFRMMDALSIVEETPSRVENYMVFLGDVGFIECGTPLFALVDRAKELMVRQWLQGINSAN >OGLUM07G17310.1 pep chromosome:ALNU02000000:7:19996168:19997220:-1 gene:OGLUM07G17310 transcript:OGLUM07G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVRTLTRVALTIVVFLLLLPSHALAAAVAKDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLMKYDPTTGYLDVLQSGMTYPNGLAISTDRSHLVVALTGPCKLVRHWIEGPKAGTSETFAELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELDHVAVVKAT >OGLUM07G17320.1 pep chromosome:ALNU02000000:7:19997832:20000097:1 gene:OGLUM07G17320 transcript:OGLUM07G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL19] MRKPLGVVTAENELEDAAGLRARLRRLRREAGVDGVMADVWWGIVEGAGPARYEWRAYRELFRVAQEEGLKVQAIMSFHACGGNVGDAVTIPLPRWVRDVGDADPDVYYTSPGGARNHEYLTIGVDDRPLFHGRTAIQLYADFMKSFRENMADFLDSGLIVDIEVGLGPAGELRYPSYPESQGWEFPGIGQFQCYDKYLEEDFRAAATEAGHPEWELPGDAAGEYNDTPEDTRFFAADGGTYLTEAGRFFLTWYSSKLLEHGDRVLDEANMAFLGCSLKLAAKVSGIHWWYRHPSHAAELAAGYYNVPGRDGYRPVARMLARHDGAVLNFTCAEMRDSEQPPEAMSSPERLVRQALSAAWREGVEAACENALSRYDRRGYNQMLLNARPNGVGPAGGGGGAPPRRVAAVTYLRLSDELLTATNFRAFKAFVRKMHADQDCCPDPARYGRPMRPLERSGPEVAIERLLDATAPEPPYPFDGETDMSVGGGLAELIDWVFDKVEWIFC >OGLUM07G17330.1 pep chromosome:ALNU02000000:7:20003489:20004547:1 gene:OGLUM07G17330 transcript:OGLUM07G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLGTGRVGTLTRVALTVLVFLLLLPSHALAAAVARDTSATLVETLPLPTTLVGPESVAFDKFGDGPYSGVSDGRILRWDGADKGWTTYSHAPGYNVAKCMAPKLHPAELTESKCGRPLGLRFHNTSGNLYIADAYKGLMRVGPRGGEATVLATEADGVPFKFTNGVDVNQVTGEVYFTDSSTRFQRSQHEMVTATGDSTGRLMKYDPTTGYLDVLKSGMTYPNGLAISTDRSHLVVALTGPCKLVRHWIDGPKAGTSEPFAELPGYPDNVRPDGKGGYWVALHREKTESPYGSDTHLLAVRIGRKGKILQELRGPKNVRPTEVIERGGGKLYLGSVELGHVAVVKATAA >OGLUM07G17340.1 pep chromosome:ALNU02000000:7:20006645:20006912:-1 gene:OGLUM07G17340 transcript:OGLUM07G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAREGGGRPRRCQPPQQGWLRDFCDDEPCGGESACSRPNDGSIGILSSFFSQSLREEAEVAHHHRCWRGRAEAGRDDVDHLS >OGLUM07G17350.1 pep chromosome:ALNU02000000:7:20010286:20012558:1 gene:OGLUM07G17350 transcript:OGLUM07G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAMASMTGLSQGVQLPAGPRRAGGRSRLAVVRADAAAADVQTGRRAVLGLVATGIAGGALAQAALAEAAKPIKLGPPPPPSGGLPGTLNSDQARDTDLPLKERFYLQPLPPAEAAARAKESAQDIINLKPLIEKKQWPFVRDDLRLRASYLRYDLKTVINSKPKDEKKGLKDLTGKLFATIDGLDHAAKIKSPEEAEKYYTLTKSALGDVLAKLG >OGLUM07G17360.1 pep chromosome:ALNU02000000:7:20013708:20015350:-1 gene:OGLUM07G17360 transcript:OGLUM07G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L28 family [Source:Projected from Arabidopsis thaliana (AT4G31460) TAIR;Acc:AT4G31460] MSFRAREMYKKVVRRVGGEGKLPAELMASVKNLLPDSKVVMGRAKRGIYAGRHIQFGNKVSEDGGNKSRRTWKPNVQEKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGVAWKAKIEKMYSQLAQMEVGFFSPEEETKIEQGFEEARAAKREHRREARRALAKQTQLEAGNAGGDKTAEAASNVAVKS >OGLUM07G17370.1 pep chromosome:ALNU02000000:7:20017230:20018731:1 gene:OGLUM07G17370 transcript:OGLUM07G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVEAVVVCLLIIAMDVVAGVLGIHAEKAQNQGRRLRILFIECRQPVRHAYELGVAAAAVLAASHAIANVVGGCSCACSRDRRATPNRQMASFALVISWIVLVVGLALLILGALPNAERKAAKCGLPRHHFLSIGGILCFVHGLFCLVYYASANAAKREEGGRHT >OGLUM07G17380.1 pep chromosome:ALNU02000000:7:20023352:20024447:1 gene:OGLUM07G17380 transcript:OGLUM07G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL25] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASTVDDDDN >OGLUM07G17390.1 pep chromosome:ALNU02000000:7:20025472:20029160:1 gene:OGLUM07G17390 transcript:OGLUM07G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL26] MAGRGKAIGSGAAKKAMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGSAKAAAGDDDN >OGLUM07G17400.1 pep chromosome:ALNU02000000:7:20028187:20033092:-1 gene:OGLUM07G17400 transcript:OGLUM07G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL27] MMRHCRREWLLALCLISVQLLIPTGCEGVLVAASDMSPPALTPPLINQVDQLVEHAWVKCGLDKRTLENVRHHFNYNHVLAILRRMSGQDIKDTSPDIDGGTSVLSLEMRDTILNCLSKRNFMSIAGQDGAKILSADYIKALIASLRTDLAQESSTTKSIPEQAGKPVPGKTSTPKPVNKPTDSVSSPPDRSYKSAPTEKENPPTKSVAEKKKDSSGMPNAFIGLSIAGIALMAHLCLCCFMCHGTSSSDLRDDKPLLTLNPSNLSAASKSSQGNPIDVNKLGVVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPLPEGANNVNMVHPEGANNMNVVHPEGANNVNMVHPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPVPTPPIPKPLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPLPPLKPGANVGAVENSNEAKTKLKPFFWDKVTANPAQSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRALGVSPQEVCSAVKEGSELPSDLIQTLIRWTPSNDEELRLRLYTGELFQLGPAEQFLRVIIDIPYIFQRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSGVSSVKTDDLSDNSEQTEDGYKQLGLEVVSSLGDELQDVRKAAILDADQLAMSVASLGHKLVKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKIQLLVKDTIDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQQLRADSSSSSSDDES >OGLUM07G17400.2 pep chromosome:ALNU02000000:7:20028187:20033092:-1 gene:OGLUM07G17400 transcript:OGLUM07G17400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL27] MMRHCRREWLLALCLISVQLLIPTGCEGVLVAATASKSSQGNPIDVNKLGVVSLKSEAGQNGDVKLISKEGTNNVNVVHPVSSVSESTLMPPPVMPLPEGANNVNMVHPEGANNMNVVHPEGANNVNMVHPEGANNVNVNMVHPVGSLSESTPMQPPVMPPPVPTPPIPKPLSPPAPQAPMPPLKASPVPPPEPSPPPAPKAAPPPPPPKSTGPGPPRPPPPAMPGSSKTRPLPPLKPGANVGAVENSNEAKTKLKPFFWDKVTANPAQSMVWDHLKSGSFQFNEQLMENLFGYNSTDKSSDTKKDLSSKDAAQLIRILDPKKAQNLAISLRALGVSPQEVCSAVKEGSELPSDLIQTLIRWTPSNDEELRLRLYTGELFQLGPAEQFLRVIIDIPYIFQRLDALLFMANLPEEASNVKQSFATLEVACQELRNSRLFMKLLEAVLKTGNRMNVGTFRGGAQAFRLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRAERAAKEQNSGVSSVKTDDLSDNSEQTEDGYKQLGLEVVSSLGDELQDVRKAAILDADQLAMSVASLGHKLVKTNEFLNMDMKSLDEDSGFHRKLTHFVQQSQTDITFLLEEEKKIQLLVKDTIDYFHGSAGKDEGLRLFVIVRDFLAMLDKVCKEVKEASKVAPVKAKAKQPSQSLQSFRDPRVNLFPAIQQLRADSSSSSSDDES >OGLUM07G17410.1 pep chromosome:ALNU02000000:7:20035132:20036215:1 gene:OGLUM07G17410 transcript:OGLUM07G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSVPDVCTYELLLWARKELENLEVVTADDSGGGGGNTVHGDKAPVDGKGEAATPAKRADVSGGQECGVVRLEDVQRVETPAAEITNAMKDTEIVKNKDQERGGSEREEEETTVAMAGTGSREEALLVLFDTAWQQGNLLTGGSGGTAGALALTRGRGGSGRQATPLVAGLRRRMESCRW >OGLUM07G17420.1 pep chromosome:ALNU02000000:7:20062191:20063906:1 gene:OGLUM07G17420 transcript:OGLUM07G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHQLLKYRLTGANVVYEIPTENNLQNSPWQANPLKYEFSDSPYTPLSSQFECDNLSALTNTPDNQSSTETISAQPISPLEADSSYRQTGILLRENIQVGADPLYATSRHNMQHALREIETVLMAPDTDDATTSTKHEFEEIKPAQLVRQRSRTWSHESRQPLPGVGRSQFASGGYPTASYEFRPEKRQRELREDPQMIVKQLLTRCAEALSEDRTEEFHKLVQEARGVVSINGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESKELLSYMRILYNICPYFKFGYMAANGAIAEALRTENNIHIIDFQIAQGTQWITLIQALAARPGGPPCVRITGIDDPVSEYARGEGLDIVGKMLKSMSEEFKIPLEFTPLSVYATQVTKEMLEIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFGETMEYYSAMFESIDANLPRDNKERISVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFRPYPLSSYVNSVIRKLLACYSDKYTLDEKDGAMLLGWRSRKLISASAWH >OGLUM07G17430.1 pep chromosome:ALNU02000000:7:20065796:20069062:1 gene:OGLUM07G17430 transcript:OGLUM07G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18975) TAIR;Acc:AT4G18975] MAGAFSLRLGPRIAGTPPPPASATKGEGRGGGRPTGSRALVSKKPNKEHHLWIRKDSAGSGKKALHLVNTVSKLPNEKEAVYGALDKWTAFEPEFPTIAAAKALEMLKRRRQWLRIIQVAKWLMSKGQVLTWTTYDTLLLALFMDGRIDEAESIWNNIIQTHTRSVPKRLFSRMILIYDTRHCPDKVLEVIYADMEELGVHPDEDTTRRIGRAFVTLGQEDKEKIVLEKYLKKYKYMHFNGERVRVRRGGPLA >OGLUM07G17440.1 pep chromosome:ALNU02000000:7:20069976:20073365:1 gene:OGLUM07G17440 transcript:OGLUM07G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAVEDAGMDEVQKRLMFDDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVKVVPNPDEVADVKYVSREQLKELIRKADAGEEGLKLSPWFRLVVDNFLMGWWDHVEKGTLNEAVDMETIHKLK >OGLUM07G17450.1 pep chromosome:ALNU02000000:7:20073656:20079903:1 gene:OGLUM07G17450 transcript:OGLUM07G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVSARPADRPVASQAAAPAASARPRAPATSAAAAAAAAAAVPSFLTNPSRIGRHFDEDERTPFEAASTPRRPAPSPPSFAPSPAAGPTRSGPGNAWGPRREAAPTAAPVSPAASGGGGGQIWSATRIAQASAVEKVISGRWNSSKPSSPPAAPVSVPVVVETHVAPPEMERPKSVGVRELDGGIERSVAPVRPASHEGRVGEARGVEVQERPRVGDVPERPRVGDVLPERPKLKLLPRSKPIEASEPSPVYVEEKQVHQVPVVANTVQVDVIHDVHQNVVAPKAGVAGADAEGRAVERPRLNLKARSNAVGQSDESAPKERQSLFGGARPREQVLRERGIDALASDLDKTSPVGRSKSEFAKGEQKVEAMSINPSGEKAESFPASSRGPRNADKKDYRRDTDRSDVYRPTRREDNRRVARDVEKPEQQRPEPETWRKPVEPPKPEVVAPRFGKGASALELAQAFSKSMSDTVPQSRLTSVPSPKVPQSPGTRDQVGFSRLTDNRALHSSPSQRKINGY >OGLUM07G17460.1 pep chromosome:ALNU02000000:7:20081064:20081375:-1 gene:OGLUM07G17460 transcript:OGLUM07G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFAFVRRCRRLSAPEEPAAAAVPTVVEDAAAAVAPPVEKRRRRPSGPAWKPTLGAISEDAAVASSAAAKAKPAARTKAKAKGKAAAPPPRRVVRSEYDDFR >OGLUM07G17470.1 pep chromosome:ALNU02000000:7:20094826:20099244:1 gene:OGLUM07G17470 transcript:OGLUM07G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT3G22104) TAIR;Acc:AT3G22104] MGCVLEVDVDGEEVFLVDKEVLAPFCGRIKNLAGGGEGVVGAAAAAAVARVVLRGFPGGAEAFELVARFCYTGGGGGVAVTASNACALRCAAEFMDMAAAAAQEVSTPPSLVKMTEKALDEMPQWSWQSVVEAVRQCQRLLPMADATGVFDRVVGALVSHVAVSPGDATPTSSSPESSAFRFSCDTKSSCLSARCTSRTWWFEDLAVVLGPGMVERVAGALLARGTDHGVVSRFLLYYLKCRVAGAATADDRRAMLEAAIAVMSGLDRSSVSCKGLFGILRISSPLKLPTSCHDSLVAMIGAKLDHATLDNLLVPAPPGTTSSLYDVTLVLRFLDAFLHHAAATGARGETPRLKKVGKLVDLYLAEVAPDPSLRPAMFVELATALPAAARDCHDALYRAIDVYFQVHTRLTEEEKMRICKGINYEKLSPECCKHLARNAGFPTRAAVQALASQHTVLKSLLRGGGPDEHLRPVSAAKHRAGGEDHDDLVAAGGQVVLYAGRLDLSLESQNLRSLLDGMHWRVMELEKVCSRMKTQMSKMKAARRVAGGGAAAAAGRRAASRSLPRMCS >OGLUM07G17480.1 pep chromosome:ALNU02000000:7:20099868:20100570:-1 gene:OGLUM07G17480 transcript:OGLUM07G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPLAVDHTELAMDPWWDGVCCKDHPAFFDHLSMVMTCKLDLDFKPVVSKKEMESFCQAHRSTKVGIESL >OGLUM07G17490.1 pep chromosome:ALNU02000000:7:20121614:20124995:-1 gene:OGLUM07G17490 transcript:OGLUM07G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high chlorophyll fluorescent 109 [Source:Projected from Arabidopsis thaliana (AT5G36170) TAIR;Acc:AT5G36170] MIDCPRLLLAAARASPYPSSAAHRRVCTAGVPPVPVYCRVSRRRRSSTWVNTVQSASSGSGGQSSVAESSEATEWAMQDFYALRKDVELALARVGEVRQSAGLDQLEEEIASLEKKSADSSLWDDPSKAQEILVALTEVKDRVKLLNDLKSQVEEAETIVKLTEELDSIDTGLLEEASKIIKALNKALDNFEMTQLLSGPYDKEGAVINITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATIELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMDVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTACETSDITGVMDGELDTFIRAYLKYKLSAAAEEQSVK >OGLUM07G17500.1 pep chromosome:ALNU02000000:7:20125288:20128163:-1 gene:OGLUM07G17500 transcript:OGLUM07G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPRPYWAVWINGPEGRFPSRSPPRSSSPPAPPQPPQLNSLRQQAATPSTMPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEVLQNLNKS >OGLUM07G17510.1 pep chromosome:ALNU02000000:7:20132057:20132927:1 gene:OGLUM07G17510 transcript:OGLUM07G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILHWNYVQNVKRILHTQVSTKQVTKQESTELNAAATFLWVNLVLHMKESGEWRGSHLGDLDDTGLFEELGEALVVDVVGPWPPRAAPLSLLRNFRGGLDGFLLIIIIRRLLLGLRRVPPSWSDSSGTSDPWAAPGSAKSSAAAEDEEDSKESDVASGASGRRQVERMWRRGVDGKRRRTNGAEEEGEGLGRKALWRRWRKASGVAEEGEAARVREEGEAARETGAARVLSLHLLKNDLDRPICFRRSYGSGRAAT >OGLUM07G17520.1 pep chromosome:ALNU02000000:7:20161988:20185062:1 gene:OGLUM07G17520 transcript:OGLUM07G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGSSSPAKLERDERAPVVSGGDAAYEGPDRISSLADALLHHILVFLPVVEAIRTCVLSRRWARVWTGIPRLRLDDGAAEAVGSFPALVDGVLRRYDARVNLRDLTVSAHGGEEELGGLGNDDVVSLVGAAARLVTGRFRLDVSRGINISEDYDEEANLLALPCFERATEIAISIADMAVQLTPDNHRGRTFAHLTKLNLSDTFVADEGELLSEVVSHGCPCLKTLELVDIHAGARELTIHTASLLTLCVVSINDLQLLEVDAANLRWMKVKDCFDIDAAETEGSAMSLSTPAMEEFYWEDCCPEEVKLVREPAGFLHKIACVDSASTYLSFISGSQSCSRALALKFFRSNFLLNRCAAHKKASLSGGSVGAGAGGGRGYYGGPDRISSLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATNAGHIPDHFDGILRRYAADESEEQKKFLHTVDLPYCSELELIVEKKEHTLAPTIVHLLKKSRWIKRFSLKICPKKIHIQCEPNCTCRQPPNWRDQEISLGSLEELSIEGFGGTYDEKQLVDGDRASAWAAFAARRVTGRFDLAVTTQVGHDDDAVLDLPCFERATEISLYSSGMAVRLPALDDNAAGNFTRLTRLHMSELRFSDAGEGISGVVSRWCPSLECLELEHIDGMEALTLRSDSLLSLRLAYVPLRRLDVAAGNMRKMRVKYCLDETSRCPWTGGAAMRLAAPALEELGWEDAYPDKVELISLPSCLMELAVVELPSHIIHEIGQSDFTKILKLFSRAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSELDLGVITNGHSSFGSTVVHFLRRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLAIKHISGHREAKKLVYFIMRNSKVLKKITLVFSNGHCSYRRFLRNVRKLSMTGCTIELNFPCREKYCFSGLEKIIVTKV >OGLUM07G17530.1 pep chromosome:ALNU02000000:7:20163405:20165387:-1 gene:OGLUM07G17530 transcript:OGLUM07G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCIAHKKASLSGGSVGAGAGGGRGYGGPDRISRLPDELLHHILSFVTTPEAVRISALSRRWVGVWKRVPRLHLLEEATKAEHIPDHYDGILRRYAADVDIADLAITYHWVWPEVDGDRASAWAGFAARRVTGRFDLAVTSQVGRGGDDDDARAFLDLPCFERATEISLYSSGLAVHLPAALDAAGDGDFTRLTKLQMSELRFSDAGEGISGVVSRRCPSLECLELEHIAGVEALTLRSESLLSLRLASVSRLRRLEVEAGNLRRMRVEYCFDETRSCRPWNGGGAAAVMRLYAPALEELGWEDACPDEVERIRLPTCLRELIVSELPSHIIRSIGQSDFTKILELFSGADVLKLTSPMTATLDSEEQESLIYSVQLPYYSELDLGGKSYGSSVVHFLKRNSSIRNLTLTLHSYHPEVCFLISTFHLSLPKTYLLFFGTVSYRSFTFSVIIYIXDKI >OGLUM07G17540.1 pep chromosome:ALNU02000000:7:20168303:20180533:1 gene:OGLUM07G17540 transcript:OGLUM07G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCAAHKKASLSGGSVGAGAGGGRGYYGGPDRISSLPDELLHHVLSFVTTPEAVRTSALSRRWVGVWKRVPRLHLLEEEATNAGHIPDHFDGILRRYAADVDIADLAILYHWDWPEESEEQKKFLHTVDLPYCSELELIVEKKEHTLAPTIVHLLKKSRWIKRFSLKICPKKIHIQCEPNCTCRQPPNWRDQEISLGSLEELSIEGFGGTYDEKQLLNLY >OGLUM07G17550.1 pep chromosome:ALNU02000000:7:20183005:20185064:1 gene:OGLUM07G17550 transcript:OGLUM07G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATAAVDGDRASAWAAFAARRVTGRFDLAVTTQVGHDDDAVLDLPCFERATEISLYSSGMAVRLPALDDNAAGNFTRLTRLHMSELRFSDAGEGISGVVSRWCPSLECLELEHIDGMEALTLRSDSLLSLRLAYVPLRRLDVAAGNMRKMRVKYCLDETSRCPWTGGAAMRLAAPALEELGWEDAYPDKVELISLPSCLMELAVVELPSHIIHEIGQSDFTKILKLFSRAHVLRLTSPMTATATLDSEEQESLIHSVQLPYYSELDLGVITNGHSSFGSTVVHFLRRNSSIRNLTLTLNPYHPKENKFAPCCMSNCTCHEPLKWWDQDIPLDSLEQLAIKHISGHREAKKLVYFIMRNSKVLKKITLVFSNGHCSYRRFLRNVRKLSMTGCTIELNFPCREKYCFSGLEKIIVTKV >OGLUM07G17560.1 pep chromosome:ALNU02000000:7:20192192:20193897:-1 gene:OGLUM07G17560 transcript:OGLUM07G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGSHTVAGACAPCVLVATGHYSQQTQSAMMVFLLARRPTTTTLADFLFHLKTTSNFAGHRFHTLLAKLVLADDDSPTFVLLTKLHLSETVITDDEGGYLSEVMSQGCPRLQILELVNIYSCVRELTIHTSSLLTLFLVAVNDLQWLEVDAANLTRMQVKDCFVIELKDSAMSLISMPAMGEFC >OGLUM07G17570.1 pep chromosome:ALNU02000000:7:20203366:20204664:-1 gene:OGLUM07G17570 transcript:OGLUM07G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRDRDGGDDRVALVASTSNGGRRRGLLNDLPEDILAGILGRLRDTSAAARTSLLSRRWRHVWKMGVRPPRPTTPAPCAPPSPPTPCTRPPTSNQLYVTSIHSATPDATASWLRVAAPLISGELLFCNRGSVPFHTLFDEVFRHSAIRSKKEARSSSPASREPPRFGCAWGFFAYRSLPPSGVFAALRELHLVFVRFNGGELTLDDTRMPFLEGVEIWCSRGLASLTIRLKHLIAMNLYAVRGVVWAQCRGAETQLLGCILLLPFFAVAGYKGARLHCRGGAPGAQMARLHNIFNPSCDRLLKLFSRIRCLEMLVFIEPNGEYYPQLGKSYKAEEQS >OGLUM07G17580.1 pep chromosome:ALNU02000000:7:20223597:20226700:-1 gene:OGLUM07G17580 transcript:OGLUM07G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIVPINGDGDGDGDGEGGSGTFVADNPPDPAPAPMDIDDDGDGRDRGGQGDSPATQDLLSKLNGSGGEGDSPATQDLLSKLNGSGGEGDSAAAARVPGGEGDSAPLSLALGLHLHVHGDGGGGDGVDRISNLPNDILAFILGQLGDTRNAAVTSVLSRRWINLWTQVDILILRYDKPPDSRFVQEALAAHAHATEGSETTAIRLLEVISLNRATPEATAAWLRVAAPRLTGELYFRNRSSAPFEALDLEVFSILYEAVVEQEMIWSSSWFQLPCLTEVTKITLSLGFLGLSLPQSGSFGKLRELHLEHVRFNGDYTLDDAMLPLLEYLGIRRSNGLASLTLRLESLAWMGLYDVVGIRRLDAVVPGLKALCSVGCFCYHEVDSVSIVAEELEEIEWEDFYSPQSFNFNDLPRLMMIHTHCVFCSESNEAFIEGYYQLLLNLYPRISYLDLRFVIELIRDEKSVTDSMRNNIQLPYIRILNLALETEGHVYGASVLHILTKRTTIAELTLVNQEKFKSDDACKLECICDGPPNWRETDISMRFLRKVEILNFRGEEHELDFLRVLVRVAPALKMVRIICHRSFAAWETLSAHIRGFAREATSVEVSLSE >OGLUM07G17590.1 pep chromosome:ALNU02000000:7:20228654:20229310:-1 gene:OGLUM07G17590 transcript:OGLUM07G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVYIAFLICYGVLQLHMPPLSWQRARLAAPRVTRDDGFRLRYAAGKSVALTSLFCLGFGTFGGNGFGFGGYCRAGARAAVRVIDREMSIHSTRVPRCTA >OGLUM07G17600.1 pep chromosome:ALNU02000000:7:20229629:20230623:-1 gene:OGLUM07G17600 transcript:OGLUM07G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGITGLPYTKILTLHLVTEGHSYGASVLHILTMCTRIAKLTLMIPKYFEVEDACAEICICDWLPNWRNENILLECLEEVTILYYRGEDDELDLLKLLVRGATGLRRIRIARYCSVADWEIEMLRADLRAYAEEVGRSQISLEVRQCNE >OGLUM07G17610.1 pep chromosome:ALNU02000000:7:20243801:20251288:1 gene:OGLUM07G17610 transcript:OGLUM07G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEGAATSVALPPSPSLPSSMGTSTAGAMAVGDSASSGLICLGEGGGEAGFGGFGFWREGEKTARRTNVEDEVKGKRTAPDKSSRKNGSCQDSGGTDGTQHTIILVVNPATTTATTPARIITAADVQLGTPAKTITVAWIAIYFQHLRRSITYATAERPSQIRNAMYTQCVRGGLPHSRIKTCQVHQGSVNMHVEPEITRSEQNMS >OGLUM07G17620.1 pep chromosome:ALNU02000000:7:20245989:20251762:-1 gene:OGLUM07G17620 transcript:OGLUM07G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLVPIDDSSDGEAGSATVVDVPSPAPAPAMDIDGDDDGRDRGGEGDGAAASGGSVDRLSDLSNDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQYDEPPDSRIVQEGGSATDVAAPSPAPMDIDGDDDGRDRGEGDGAAASVLAADGSSAALSLALGLHVHGDGGGADRLSDLHEDILAKILGHLRDIRNVATTAVLSRRWLDLWTLVDIIVLQYDEPPNSRIVQEVLAARARNGSTATDIRLLEVTSLNSATPDATASWLRVAAPRLTGELFFRNRSSVPSEILNEEMDVVEQLVDELGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAKLRELHLVHVQFNGELTMDDAMLPSLEWLEIRHSSGLASLTLRLAPLTQMTLYNVRRLRRLNAVLPGLEVLSVTECFLEDLEGVSIVAEELEDLRWLDMYQPGLVYFKRMPRLQMLLPPAVYPYGLQNVFFNRSCQMLLNLCPSIDGLLLIVEIELEQGAKHGVRPLMEGITQLPHIKILCLNLLTRGHAYGASVLHILTMCTCIAVLGLRIEEDFQVENACPVNCLCDRPRNWRDKDISMRSLTEIVILNFRGKQHELDLVRVLVQVAPALDLSCYVPMYEVLQALGLLWK >OGLUM07G17620.2 pep chromosome:ALNU02000000:7:20245975:20251762:-1 gene:OGLUM07G17620 transcript:OGLUM07G17620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVLVPIDDSSDGEAGSATVVDVPSPAPAPAMDIDGDDDGRDRGGEGDGAAASGGSVDRLSDLSNDILAKILGHLRDIRNVATTAVLSRRWLDLWTHVDIIVLQYDEPPDSRIVQEVENACPVNCLCDRPRNWRDKDISMRSLTEIVILNFRGKQHELDLVRVLVQVAPALDLVRITCHRSLAAFGVELLRAYVRSFASFRTSVEVSQSN >OGLUM07G17630.1 pep chromosome:ALNU02000000:7:20254321:20260384:1 gene:OGLUM07G17630 transcript:OGLUM07G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42310) TAIR;Acc:AT5G42310] MPASSLLPPTLLPHRHRLRLPPAGCSTSSSPSATRYDFDPLLSYLSTTSSSPSPPPTSVLPVTESRLAASYAAVPAREWHALLRELAATDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLSDPPSPLLLNSLLAASAAASRPAVALRLLGLLREHSFLPDLASYSHLLASLLNTRDPPDAALLDRLLGDLRESRLEPDAPLFSDLISAFARARLPDAALELLASAQAIGLTPRSNAVTALISSLGSARRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVKIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGEWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLIDAHCKGGRHDRAIELFDEMRESNCPLGTTTYNIMINLLGEEQRWEGVEAMLAEMKEQGLVPNIITYTTLVDVYGRSGRFKEAVDCIEAMKADGLKPSSTMYHALVNAYAQRGLADHALNVVKAMRADGLEASTVVLNSLINAFGEDRRIAEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFEKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >OGLUM07G17640.1 pep chromosome:ALNU02000000:7:20260841:20264004:1 gene:OGLUM07G17640 transcript:OGLUM07G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVPIDGDGDGEGEGGRAKAVTDPAPAPAPAPMDIDGDRGALDVLAPAPMAMDLDRDRGRDRDGYGDGAALVASTSNGRGRGRGHLNDLPEDILAVILRRLLDTRAAARTSLLSRRWRHVWKWVSGLFLHHYQPHDSSIVRAALAAHAVHAVTNINKLYVVCLRSATPDATASWIRVAAPLISGELAFCNRASVPFHMLFDEVFSDPIEERGALELPCFTRATKIALRLGFLGLSLPPSGVFAALRELRLSFVRFHGELTLDDTVMPFLEGLEIYSARGLASLTLRLKHLISMNLSAVRGLLRLNAVVPRLKFLTVSCCFRSSTWLVAMAGVCIVAEELQVLKWLDWYCPRLIKFSQMPRLYVLSVSPFYPYGRHRQHTKFNPSCDRLLKTFSRIRCLEMLVFIEPKEQS >OGLUM07G17650.1 pep chromosome:ALNU02000000:7:20266628:20269605:-1 gene:OGLUM07G17650 transcript:OGLUM07G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEQLVEEFGDLVVDESGAFELPCFMRVTKITLHLGFLGLSLPPSGVFAELRELHLVHVQFNGELTMDDVMLPSLERLDIRHSSGLASLTLRLAPLTLMTLYNVRWLRRLNAELPGLEVLSVIRCFHVHLEGVRILAEELEQLRWLDLYWPGLVYFSRMPRLRTLVPPAVYPYGLQRVLFNRSCQMLLNLCPSIYRVVLIVDIEPEQGGHHGVRPLMEGITQLPHIKILSLNLQTQGHAYGASVLHILTMCTGIAKLSLRNQEDFQVENACPPNCLCDRPRNWRDKDISMMSLREVEILNFRGRQHELDLVRVLVRVAPALDLVRIICHRSSTAFGVELLRAYVRSFASFRTSVEVSRSN >OGLUM07G17660.1 pep chromosome:ALNU02000000:7:20287324:20291084:1 gene:OGLUM07G17660 transcript:OGLUM07G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFQHIPHKQTPAKISRTKPIFLLSRSPPHHLLFYFNPLPVLFPPPPNLRSPXXPAPSPPPPRHSHGHATASRRPPPPPPRRLRAAARSLPRGAKNGRGWRWWRGGGRHDTRAPADARAAGGAAAARGGVVQPRRGERAAGGAAVGAVLRQVRRRRPGLLRHRGAGQPQLRRAPQRGGPVSGGALRVAPRQGHRRRRPGLRRHPLRHRLRPQAALQVALRGATRLQALRLRRHGRRRRRQVEGQARCSWPEVHGRGIIRSRKPPGPEVKRGVKTRKCNEGEIQTRRQTIG >OGLUM07G17670.1 pep chromosome:ALNU02000000:7:20293484:20298380:-1 gene:OGLUM07G17670 transcript:OGLUM07G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMDNCYAFLYIKEERGKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNFQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHRSENPGLRTTEPGLVYPPIAPLGHDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPVPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPAGTTHPDLEFFQPGPHGPF >OGLUM07G17670.2 pep chromosome:ALNU02000000:7:20293484:20298380:-1 gene:OGLUM07G17670 transcript:OGLUM07G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAAMAVVKAARPAFRGAHDGVAFAANAAFLAAGYSLCAVGPAALTDPPPSGEEEVGIDGWNSMDNCYAFLYIKEERGKKKRVLVKCLVIGDVLAIDVLDLEAQNKGPYNFQINVKDFFSEEQPKNYGNMYKNFAGLIETMNSNALSKLDEKDAGAAKNPEVETSSSIHSLVYPPIAPLGHDDAFPGPGAGFYPHSGIGGGGSMHVGPNDPRFFPSNPFPVPFGGPGSVPPGGRYDPIGPPDVPGFEPSRFVRRPRPPAGTTHPDLEFFQPGPHGPF >OGLUM07G17680.1 pep chromosome:ALNU02000000:7:20301095:20301460:-1 gene:OGLUM07G17680 transcript:OGLUM07G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLLFCLVSSQLAMTAVMGRPFPLFYGGGGGAAASIADAPTSSSSSDGGGGGGHLLHVYSLLESSFAESPMSSHHRNHSPFDRKFAGGKVILGGLAAAIFAAVFCYIRITRRKKIEPKS >OGLUM07G17690.1 pep chromosome:ALNU02000000:7:20305565:20307679:-1 gene:OGLUM07G17690 transcript:OGLUM07G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G46870) TAIR;Acc:AT3G46870] MAAASRAPLARRSLLFLRYKALPLSSPSSSSSSSTHSLLPRPPALWPPPPPPPPHGCERRRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEERLGEFVRRYVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDVFMYKDLIVALAKCKKMDEAMVIWGNMTDENLFPDAQTYAEVIRGFLRYGSPSDAMNIYEEMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGLR >OGLUM07G17700.1 pep chromosome:ALNU02000000:7:20314063:20315641:1 gene:OGLUM07G17700 transcript:OGLUM07G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRARARDSGGEEVKVEDDFVDSVLNFGGGGGGEEDGDDGEEEQQQQQAAAVAMGKEFKSKNLEAERRRRGRLNGNIFALRAVMSKEATLSDAIEHIKNLQNEVLELQRQLGDSPGEAWEKQGSASCSESFVPTENAHYQGQVELISLGSCKYNLKIFWTKRAGLFTKVLEALCSYKVQVLSLNTISFYGYAESFFTIEVKGEQDVVMVELRSLLSSIVEVPSI >OGLUM07G17710.1 pep chromosome:ALNU02000000:7:20316181:20322282:1 gene:OGLUM07G17710 transcript:OGLUM07G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MDHAELTTEQVLKRDIPWESYMANKLISGTCLQLLRRYDHKPESQRGPLLDEDGPSYVRVFLNILRNISKEDTVEYVLALIDEMLAVNPKRAALFYDNSLSGEDIYDPFLRLLLKGNWFVQEKSCKILTQIISARPKMQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >OGLUM07G17710.2 pep chromosome:ALNU02000000:7:20316376:20322282:1 gene:OGLUM07G17710 transcript:OGLUM07G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >OGLUM07G17710.3 pep chromosome:ALNU02000000:7:20316061:20322282:1 gene:OGLUM07G17710 transcript:OGLUM07G17710.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar ATP synthase subunit H family protein [Source:Projected from Arabidopsis thaliana (AT3G42050) TAIR;Acc:AT3G42050] MQNGIVPNGEASNSKSKLTSTQDVLRGLVDWLCSQLRNPTHPNCSVPTAMHCLATLLREQYVRALFVQADGVKLLIPLISPASTQQSIQLLYETCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVIMSIRNLLAKGAFAAQMIDLGLPHIVQNLKAQAWTDEDLLDALNQLEIGLKDNLKKLSSFEKYKQQVLLGHLDWSPMHKDPSFWRENINNFEENDFQILRVLMTIIDTSADTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENAEVRKNALLCVQRLFLGAKYASFLQT >OGLUM07G17720.1 pep chromosome:ALNU02000000:7:20322791:20325279:1 gene:OGLUM07G17720 transcript:OGLUM07G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSRLLEWSRPSSLNPSLVAAAPQSLRPFRTAALFPATVARWLSMAAAAARAGFRRMFSVSGFTPPPPPAARPVADPCNNLFVSGLNKRTTSDGLREAFSKFGQVIEARVITDRISGYSRGFGFVKYATVEEAGEGIKGMDGKFLDGWVIFAEYAKQREAQQPAQPAASTGYQYSNQ >OGLUM07G17730.1 pep chromosome:ALNU02000000:7:20327102:20327415:1 gene:OGLUM07G17730 transcript:OGLUM07G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM07G17740.1 pep chromosome:ALNU02000000:7:20327489:20328203:1 gene:OGLUM07G17740 transcript:OGLUM07G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEELQINQDKSILLHKQIDVMSDLHGGDDRISDLPEELLHSILLPTTADAARTSLLSRRWRRVWTSLPELSSLSGARRDPSQPCAADAVDAAYAAPTLHSLAISVETRRPIHARRPRQPVAAVRVAAPHRRES >OGLUM07G17750.1 pep chromosome:ALNU02000000:7:20327841:20328188:-1 gene:OGLUM07G17750 transcript:OGLUM07G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRDANRSHGLTRAARVDRPTGFDGDCQAMQRRGGVGGVDGVGGARLGGVASCAGQRGELGEARPDAAPSAGQEARARRVGGGREKDAVEELFGEVADAVVAAMEVAHDINLLV >OGLUM07G17760.1 pep chromosome:ALNU02000000:7:20330339:20331414:1 gene:OGLUM07G17760 transcript:OGLUM07G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYHISGDTCKVSDDTYQISCDSYHVSGDTCEVSDDTYNRVIPARYQVLIPSRYRVIPTRYHAILPRIRYQTIPITYQVILTRYHVIPIRYRSISTTYRVILARYQVIPTRYQELGVEALSAAAASSPIHAPHRTRTSLLAAASSPVHGPHRSSSPATVSSVGSTSPATMPVVHASAGGRVLHAGARRRRRCHHLAGSSAVHAPRQSSSPAPSLSPRRILRRPCDHAPRRSSPVAASSTAELIIGVTAITTSGYLATSNEQVVGKRSIPLGERYTGKIPYMLFLKDTTKLPE >OGLUM07G17770.1 pep chromosome:ALNU02000000:7:20337426:20340336:1 gene:OGLUM07G17770 transcript:OGLUM07G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCSARNSGDGGEGVDRISHLPEELIQNILVRLPSVDAAARTSVLSRRWRRVWTRLSSLSFQCDFEKPGAIDAALAAYSAPALNRLALSAAHALEAATVAPWLEFASRRVAGNLTLNFSVAGGLELPACERATSINLHVGHLRLPPAGSFAALASLAIVGARMQGGDFEAVCSPRCPRLRRLAVRSVTLVGGAADVSVRSGSLEWLVFMAQGVGRLEVAAPRLRYFRAEAKAAAGDVSDVSVASPVLEDVAWYGEFDPRRHRFAEAGHRLRKLMVMDMPTAALMRRFYIVDELVLSFGISPGIRGYKTFLNATSMIAKCEVLEVQVTTRRHAFSSAVLHLLRKSVGVAKLLIRLPRMGNKSCTEGCPCSLTDSCNTDKIQLDSLKEVEILEFQGEFNQTKFINLLLDCHAPILKKVYVRIPKDVKSISNTKSKKIRSIIDGHPEIDVEFKLWS >OGLUM07G17780.1 pep chromosome:ALNU02000000:7:20343842:20344918:1 gene:OGLUM07G17780 transcript:OGLUM07G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPDDGRRSEIVMDAAGRSCEVDEEDYISGLPDDLLHNILLRLRSTAAAARTSVLSRRWLRVWAHLPDLELGNFRAPAAAVDSVLDSIDAAMAASQAPAIDSLCITVEKENRTRQLPLAAIPAHRVIAWLRFASRRRVRRLNLSLPADDDMAARAPRRRVGGEEKTMEALPIDLLAMERATSIVLDLGHRFRLRLMMIPPPVAGGSGAFTKLTALTIIAAAVESRELEALVSSHCPRLERLSIIGVKLLGGGGAASSFSIRSDSLTSLYIHLRDSGLEEVVAPRLEKLHASGDTTSLPPCWPRSLGKTSIVPTSAMASAAARSRSPAPRVVCGGYASRHPVRSGICCRDSTPSTGWI >OGLUM07G17790.1 pep chromosome:ALNU02000000:7:20360858:20370264:1 gene:OGLUM07G17790 transcript:OGLUM07G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLAFTVKRSAPELVAPSRATPRELRPLSDIDDQDGLRFYRSGLHFFRGRGGGGADPAAVVRRGLADALVPYYPVAGRIREVEAPARKLVVDCTGDGVVFVEADADVSLSDFGDVLCPPFPCYQELLCEPDGNCAAVIGRPLLFIQVTRLRCGGFVFGLQICHNIADAAGTVQLLRAIGEMSRGMPAPTVPPVWARELLMARSPPVVTHRHPEYDETAAGGNHDVLAHHEPLVQRAFFFGPKEMSALRELAAPAGAAAGKRISRFDMLAAFLWQRRAAALEYDDNDQVRVMFVVNARGRSPPLPAGFYGNAFAFAVAACTAGRLRDSPLADVVGMVAAAKARAASEGNLQSVADLMAQRGRPRFGRAARAYLVSDVTRAGFEGVDFGWGEGAYGGPAAATLATFHLTVKDASGEEVIAVPMCLPAPAMERLELDVQMSLNH >OGLUM07G17800.1 pep chromosome:ALNU02000000:7:20372560:20377149:-1 gene:OGLUM07G17800 transcript:OGLUM07G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL72] MAMAAASAMRPLAPLFLFFFFSLAASASDNILANSSLADGQKLVSAGGVFELGFFTPPGSTTAARFLGIWYRDIDPPTVVWVANRDAPVSGTAGSLAVVVNGGGGGGGGRLVLGDGSGRVVWSSAPSNVTASDPVAARLLDSGNFVLAGGGGAGDVIWQSFDYPSDTLLPGMKFGWDLTTGLDRYLTTWRSAGDPSPGDYTFKIDPRGAPEGFIWYNGTSPVYRNGPWDGLQFSGEPEMEPNNTSFRFEFVANRTDVYYTFVVDGGGGGGVLSRFVLNQSSAQRYVWLPQAGGWSLYWSLPRDQCDQYAHCGAYGVCDVGAASMCGCPAGFAPASPRNWELRDSSAGCERRTRLNCTGDGFLPLRGVKLPDTTNATVDAAIAVDQCRARCLANCSCVAYAASDVRGGGSGCIMWSSPLVDIRKFSYGGEDLFMPTNGDDSSRKNTVLAVVLSLSGVVLLALAAFFVWDKLFRNKVANPVRFQSPQRFTSFDSSIPLNQVQDRKMEDETRHSNELNVTLFDFNTIAFSTDNFANLAKLGEGGFGPVYKGELDGGQTVAVKRLSKFSTQGLDEFKNEVMLIARLQHVNLVRLLGCCIHGEERMLVYEYMENKSLDNFIFDKARSAQLNWSKRFNIILGIARGLLYLHQDSRFKIIHRDLKAGNILLDGDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLELVSGRKNRGMYSSGEQTSLLSHAWRLWREGNALALLDEAVAGGGGGGGGYSRSEVLRCVQVGLLCVQERPEDRPHMAAVFMMLGNLSAVVPQPRHPGFCSDRGGGSTDGEWSSTCTVNDVTVTIVEGR >OGLUM07G17810.1 pep chromosome:ALNU02000000:7:20391257:20394002:1 gene:OGLUM07G17810 transcript:OGLUM07G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNAVAAGAYTGPIGYAAAAAAGALAAGGAVAVLVAFTLPTPDQPLPPLPPIVVRCRKLLDDIRRAAPRSHHRFQVASGALAEADRAIAAGAWGGLHKPLLLVVRAFALDALGQRRRALRALDAALAGRLPRRERGDALVKRAEINLDYYRRCFFPCPARLDRAAADLKEALCFVPDNARARARCSASARERRAATRRRIWLGHAAVNGVETW >OGLUM07G17830.1 pep chromosome:ALNU02000000:7:20409536:20414705:-1 gene:OGLUM07G17830 transcript:OGLUM07G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL74] MASTAAIAALALVFFVLLCVRDGGGVDAADTLSQGQSLGANDMLVSANGTFKVGFFTPAGGDPGKVYLGVMYATSNVQTVMWVANRDAPVRTAAGAASATVTGSGELLVKEGDRVAWRTNASAAGRSNHTLKIRDDGNLVISGSDAAGTDVEWESFHHPTDTFVPGMEIALRQTNGDRTLYTSWRSDADPATGDFTLGLDASAQLYIWRSQGGKNSTYWRSGQWASGNFVGIPWRALYVYGFKLNGDPPPIAGDMSIAFTPFNSSLYRFVLRPNGVETCYMLLGSGDWELVWSQPTIPCHRYNLCGDNAECADDNGQPICTCFTGFEPKSPQEYNNGNWTQGCVRSVPLTCSSERNNTTAGGAGAGGGDGFTVIRGVKLPDFAVWGSLVGDANSCEKACLGNCSCGAYSYSTGSCLTWGQELVDIFQFPTGTEGPKYDLYVKVPSSLLDKSSGRWKTVVVVVVVVVVVVLLASGLLMWKCRRRIKEKLGIGRKKAQLPLLRPARDAKQDFSGPAQSEHEKSEEGKNCELPLFAFETLATATDNFSISNKLGEGGFGHVYKGRLPGGEEIAVKRLSRSSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFDPERRGLLDWRTRFQIIEGVARGLLYLHRDSRLRVVHRDLKASNILLDRDMNPKISDFGMARIFGGDQNQVNTNRVVGTLGYMSPEYAMEGLFSVRSDVYSFGILILEIITGQKNSSFHHMEGSLNIVGYAWQLWNGDRGQELIDPAIRGTCPAKEALRCVHMALLCVQDHAHDRPDIPYVVLTLGSDSSVLPTPRPPTFTLQCTSSSSGRDMYYRDKEESYSANDLTVTMLQGSSLIG >OGLUM07G17840.1 pep chromosome:ALNU02000000:7:20418040:20418799:1 gene:OGLUM07G17840 transcript:OGLUM07G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTEKRHRSAGEKRKKKTKKKKKKKKKKKKKKKKKKKGERNSNPLHYRIDEPETNQPCIAGNKASWRGERTVKCRTCHTEKAVGVR >OGLUM07G17850.1 pep chromosome:ALNU02000000:7:20423880:20430289:-1 gene:OGLUM07G17850 transcript:OGLUM07G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL76] MAASPPPPRPLLLLLPLLLVWGVVVAAAAATDTLRQGESLTGAATLVSSPSGVFEVGFFAPDPKLPSRLYLGIWYRSISPRTVVWVANRAAPATAPSPSLTLAANGELRVLDGSAADADAPLLWRSNASTQSAPRGGYKAVIQDTGSLEVRSDDGTLWDSFWHPSDTMLSGMRIAVRTPGRGPSEPMRFTSWTSETDPSPGRYALGLDPANSGQAYIWRDGNVTIWRSGQWTGQNFVGIPWRPLYLYGFKPANDPNLGAYYTYTASNTSLQRFVVMPNGTDICYMVKKSAQEWETVWMQPSNECEYYATCGANAKCTAMQDGKAKCTCLKGFQPKLVDQWNMGNWSQGCVRSPPLGCQVNQSGDGFLSIPNIKWPDFSYWPSTVQDENGCMNACLSNCSCGAYVYMTTIGCLLWGSDLIDMYQFQSGGYSLNLKLPASELRSHHAVWKIATIVSAVVLFVLLVCLFLWWKRGRNIKDVMHKSWRSMHTSTRSQQNSGMLDISQSIPFEDDTEDGKSHELKVYSFDRIKAATCNFSDSNKLGAGGFGPVYMGKLPGGEEVAVKRLCRKSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQGEEKILVYEYMPNKSLDAFLFNPEKQGLLDWRKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDKDMNPKISDFGMARMFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDIYSFGVLMLEIITGKRALSFHGQQDSLNIAGFAWRQWNEDKGEELIDPLIRASCSLRQVLRCIHIALLCVQDHAQERPDIPAVILMLSSDSSSLPMPRPPTLMLHGRSGETSKSSEKDQSHSIGTVSMTQLHGR >OGLUM07G17860.1 pep chromosome:ALNU02000000:7:20442500:20443306:-1 gene:OGLUM07G17860 transcript:OGLUM07G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPASHPVDLPLAAAPPPVKAPTPRPPTPASLQPESPGVFFTAAAAAAPVGSSHRRIAIAVDLSDESAYAVRWAVANYLRPGDAVILLHVRPTSVLYGADWGSVDLSLPAANPNPSGDPPSAEDDAEAAARKMEDDFDAFTASKADDLAKPLKDAGIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSKGFGASRRTSKGRLGSVSDYCVHHCVCPVVVVRFPDDGVAEGGEAGGASELAVGEEVLHPVPEEDAEYHDATEEHKGN >OGLUM07G17870.1 pep chromosome:ALNU02000000:7:20446425:20450902:-1 gene:OGLUM07G17870 transcript:OGLUM07G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPAAAGRTGRNNNNDAGLADPLLPGGGGGGGKDKYWVPADGEEEEEEICRGEDGGRPPAPPLLYLTFKVSGVLLHPYRLLTLVRLIAVVLFLAWRLKHRDSDAMWLWWISVAGDFWFGVTWLLNQASKLNPVKRVPDPSLLRRRFDDGGLPGIDVFINTVDPVDEPMLYTMNSVLSILATDYPADRHAAYLSDDGASLAHYEGLIEAARFAALWVPFCRKHRVEPRAPESYFAAKAAPHAGPAPPEEFVGDRRLVRREYEEFKARLDALFTVIPQRSEASVGNANTKGAKATLMADGTPWPGTWTEPAENHKKGQHAGIVKVMLSHPGEEPQLGMPASSGHPLDFSAVDVRLPMLVYIAREKRPGYDHQKKAGAMNAQLRVSALLSNAPFIFNFDGDHYINNSQAFRAALCFMLDRRHGDDTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGVQGPSYVGTGCMFRRVALYGADPPRWRPEDDDAKALGCPGRYGNSMPFINTIPAAASQERSIASLDETAAMAELEEVMACAYEDGTEWGDGVGWVYDIATEDVVTGFRLHRKGWRSMYCDMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSRNCPLLAGRRLRPMQRVAYTNMTAYPVSALFMVVYDLLPVIWLSHHHGEFHIQKPFPTYVAYLVAVIATIEVIGLVEIRWAGLTLLDWWRNEQFYMIGATGVYPAAVLHIVLKRVLGLKGVRFKLTAKQLAGGARERFAELYDVHWSPLLAPTVVVMAVNVAAIGAAAGKAVVGGWTAAQLAGASAGLVFNVWVLVLLYPFALGIMGKWGKRPCALFALLVAACAAVAAGFVAVHAVLAAGSAAPSWLGWSRGATAILPSSWRLKRGF >OGLUM07G17880.1 pep chromosome:ALNU02000000:7:20458976:20459837:-1 gene:OGLUM07G17880 transcript:OGLUM07G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFDDRHILLFYKGPKVYSSHVDARESDHPATRQRQSGSGIVQRIDRKEAIKILIWVVRAGHKQSLDVCIIL >OGLUM07G17890.1 pep chromosome:ALNU02000000:7:20490142:20494555:-1 gene:OGLUM07G17890 transcript:OGLUM07G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGGDGGAGGCSNGGGGGAVNGAAANGGGGGGGGSKGATTRRAKVSPMDRYWVPTDEKEMAAAVADGGEDGRRPLLFRTFTVSGILLQPYRLLTLVRLVAIVLFFIWRIKHPYADGMFFWWISVIGDFWFGVSWLLNQVAKLKPIKRVPDLALLQQQFDLPDGNSNLPGLDVFINTVDPINEPMIYTMNAILSILAADYPVDKHACYLSDDGGSIIHYDGLLETAKFAALWVPFCRKHSIEPRAPESYFAVKSRPYVGSAPEDFLSDHRYMSREYDEFKVRLDAIFTVIPKRSDAYNQTHAEGVKATWMADGTEWPGTWIDPSENHKKGHHAGIVQVMLNHPSNLPQLGPPASTDSPVDFSNVDVRLPMLVYIAREKRPGYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSKAFRAGICFMLDRREGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRVALYGVDPPRWRSDDGNIVDSSKKFGSLDSFISSIPIAANQERSIISPPALEEPILQELSDAMACAYEDGTDWGKDVGWVYNIATEDVVTGFRLHRTGWRSMYCRMEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHNCPLLAGRRLNFMQRIAYVNMTGYPVTSVFLLFYLLFPVIWIFRGIFYIQKPFPTYVLYLVIVIFMSEMIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLAVLHIVLKCFGLKGVSFKLTAKQVASSTSEKFAELYDVQWAPLLFPTIVVIAVNICAIGAAIGKALFGGWSLMQMGDASLGLVFNVWILLLIYPFALGIMGRWSKRPYILFILIVISFVIIALADIAIQAMRSGSVRLHFRRSGGANFPTSWGF >OGLUM07G17900.1 pep chromosome:ALNU02000000:7:20517649:20520714:1 gene:OGLUM07G17900 transcript:OGLUM07G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGATRRGQPQRRMARRMWERPMVVVDWRRVARRRWSLVPGAYQKGGGVLTQGDPQFWKSEIFCVYPYQSXLPKLSPIKRVPVTTVDPVDEPILYTVNTILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRSPENYFAMKTQAYKGGVPGELMSDHRRVRREYEEFKVRIDSLSSTIRQRSDVYNAKHAGENATWMADGTHWPGTWFEPADNHQRGKHAGIVQVLLNHPSCKPRLGLAASAENPVDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNVMLRVSALLSNAPFVINFDGDHYVNNSQAFRAPMCFMLDGRGRGGENTAFVQFPQRFDDVDPTDRYANHNRVFFDGTTLSLNGLQGPSYLGTGTMFRRVALYGVEPPRWGAAASQIKAMDIANKFGSSTSFVGTMLDGANQERSITPLAVLDESVAGDLAALTACAYEDGTSWGRDVGWVYNIATEDVVTGFRMHRQGWRSVYASMAPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLAGRRLHLLQRVAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQRPFGEYLLYLVAVIAMIHVIGMFEVKWAGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLVTGKGIYFRLTSKQTAASSGDKFADLYTVRWVPLLIPTIVIMVVNVAAVGVAVGKAAAWGPLTEPGWLAVLGMVFNVWILVLLYPFALGVMGQWGKRPAVLFVAMAMAVAAVAAMYVAFGAPYQAELSGVAASLGKAAAASLTGPSG >OGLUM07G17910.1 pep chromosome:ALNU02000000:7:20540615:20556691:1 gene:OGLUM07G17910 transcript:OGLUM07G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATAASTISAAAAVTRRNNVALRVDATNGDAAARADGQNGRRLPAAKRVNDVGGDVWVAVDEADVSGASEAGEAAGGGGARGGSAGGRAAGEAVLLNHPSCIPRNGMAASAENPVDFSGIDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDVDPTDRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWTLVLLYPFALGIMGQWGKRPVVLFVATVMAVAAVAIMYVAFGAPYQAELSGVAASLGKAASLTGPSG >OGLUM07G17920.1 pep chromosome:ALNU02000000:7:20572763:20573577:-1 gene:OGLUM07G17920 transcript:OGLUM07G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSSARRRCRRSSRQPRTRQWAKVEDKYAVTAIGVATLVDLWTAIGAIKAYTTQHICFHIYLLPIIKRKTDERRKKITREQIQAEPDTVGYAPILNKSPYGLEEKGGQDAVRATNIDGDGAIAHAHTSCHRALAGTAGQRGGGKEAVVGGSTQGPSAARRRGCDVDVRLLAQRRHAARRWLFLRTAHHRCPPETCAALAGDGCLVTLAPQESPPPSSLFA >OGLUM07G17930.1 pep chromosome:ALNU02000000:7:20594073:20613083:-1 gene:OGLUM07G17930 transcript:OGLUM07G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPASVAGGGEDSNGSSSLIDPLLVSRTSSIGGAERKAAAGGGGGAKGKHWAAADKGERRAAKECGGEDGRRPLLFRSYRVKGSLLHPYRALIFARLIAVLLFFGWRIRHNNSDIMWFWTMSVAGDVWFGFSWLLNQLPKFNPVKTIPDLTALRQYCDLADGSYRLPGIDVFVTTADPIDEPVLYTMNCVLSILAADYPVDRSACYLSDDSGALILYEALVETAKFATLWVPFCRKHCIEPRSPESYFELEAPSYTGSAPEEFKNDSRIVHLEYDEFKVRLEALPETIRKRSDVYNSMKTDQGAPNATWMANGTQWPGTWIEPIENHRKGHHAGIVKVVLDYPIRGHNLSLKDSTGNNLNFNATDVRIPMLVYVSRGKNPNYDHNKKAGALNAQLRASALLSNAQFIINFDCDHYINNSQALRAAICFMLDQREGDNTAFVQFPQRFDNVDPKDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHWRQDNITPEASKFGNSILLLESVLEALNQDRFATPSPVNDIFVNELEMVVSASFDKETDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGTAPINLTERLHQIVRWSGGSLEMFFSHNNPLIGGRRLQPLQRVSYLNMTIYPVTSLFILLYAISPVMWLIPDEVYIQRPFTRYVVYLLVIILMIHMIGWLEIKWAGITWLDYWRNEQFFMIGSTSAYPTAVLHMVVNLLTKKGIHFRVTSKQTTADTNDKFADLYEMRWVPMLIPTMVVLVANIGAIGVAIGKTAVYMGVWTIAQKRHAAMGLLFNMWVMFLLYPFALAIMGRWAKRPIILVVLLPIIFVIVALLATASTMSAAAVTRRINAGGLRVEVTNGNGAAGVYAVAVAAPGSPTAKRVNDGGGKDDVWVAVDEADVSGASGGDGARPTLFRTYKVKGSILHPYRFLILVRLIAIVAFFAWRVRHKNRDGAWLWTMSMAGDVWFGFSWVLNQLPKLNPIKRVADLTALADRQQHGTSSGELPGVDVFVTTVDPVDEPILYTVNSILSILAADYPVDRYACYLSDDGGTLVHYEAMVEVAKFAELWVPFCRKHCVEPRAPESYFAMKTQAYRGGVAGELMGDRRRVRREYEEFKVRIDSLFSTIRKRSDAYNRAKNGKDDGENATWMADGTHWPGTWFEPAENHRKGQHAGIVQVLLNHPTSKPRFGLAASVDNPLDFSGVDVRLPMLVYISREKRPGYNHQKKAGAMNALLRVSALLSNAPFIINFDCDHYVNNSQAFRAPMCFMLDRRGGGDDVAFVQFPQRFDDSVAGDLAAVTACGYDLGTSWGRDAGWVYDIATEDVATGFRMHRQGWRSVYTSMEPAAFRGTAPINLTERLYQILRWSGGSLEMFFSHSNALLACRRLHPLQRIAYLNMSTYPIVTVFIFFYNLFPVMWLISEQYYIQQPFGEYLLYLVAIIAMIHVIGMFEVKWSGITLLDWCRNEQFYMIGSTGVYPTAVLYMALKLFTGKGIHFRLTSKQTTASSGDKFADLYTVRWVPLLIPTIVVLAVNVGAVGVAVGKAAAWGLLTEQGRFAVLGMVFNVWILALLYPFALGIMGQWGKRPAVLFVATVMAVAAVAIMYAAFGAPYQAELSGVAASLGKAASLTGPSG >OGLUM07G17940.1 pep chromosome:ALNU02000000:7:20618803:20641350:-1 gene:OGLUM07G17940 transcript:OGLUM07G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKRKICSSFSFLILSIFHLYTSTSALTDTISRVQSLSGSTTVVSKEGNFELGFFSPGNTGNLYVGIWFRTTSKKAVIWVANRDNPVTSATSPELKISEDGNLVLLNKFGEPKWSSNGTWNKPRKSIVAVLLDNGNLILRDQGNSSDVIWQSFDHPTDTILSGQRFGINKITGEYQDRVSWKDPEDPAPGPFSNHVDLIRLNQYVSLWNQSKVYWQSGNWTGQAFTSIPGMPLNTEYNYVFINNSHQLKFIYTTKDVSIITRIVLTVNGQLQCHTWSNKSEEWIVQWSLPAALCDVYSVCGPFGVCKTGFDEKCYCLPGFRPVSSRSWDLGAWNQGCVRKTDISCVDSNKHNGQQEKHAFLKIANIKVPGNPMQLNVQSEEECRSICLNNCICTAYAHQHECIVWNSELRDLKQLSDGNVDAIDIYVRLAASDLQVQYNEHKTHHMRLIAVLGSTFVALCAFGAIIWTFRKRNATQKAFSNDDSLILYSYSFLQHCTKNFSDKLGQENVLVDADFSPKVADFGLAKLMDRNFSRALTTMRGSLPITSKADVYSYGMMLFEIISGKRNTELMESKTIKYFPVWAAVRISNGDISEVFDHRMHDTNVQELERACKVACWCIQDNEAHRPTMQQIVHILQGTRDVVLPSIPAFLQNLVEEYDPASYS >OGLUM07G17950.1 pep chromosome:ALNU02000000:7:20643298:20646645:1 gene:OGLUM07G17950 transcript:OGLUM07G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >OGLUM07G17950.2 pep chromosome:ALNU02000000:7:20643298:20646673:1 gene:OGLUM07G17950 transcript:OGLUM07G17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPMVLTSARNCFSPWLSPSSLFTAMAVESGSFPLNTVPKAPPPSFSEKLLVTCCRSLYRKAISAPPVVLDMRRVLSRRHFWNTMNNTIARIIKAAATPPTTAPMIIFLLFLESGSSDAASLRKSVPPPFPLYWSWRLIKSPCQTKQPLLL >OGLUM07G17960.1 pep chromosome:ALNU02000000:7:20643649:20646123:-1 gene:OGLUM07G17960 transcript:OGLUM07G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL88] MAPVFFLLLFSQIFLCTAVDTINSTTPLSGTQKIVSKGGRFALGFYTPPQGNNTASGTGNYYIAIWYNNIPLQTTVWTANSDVPVSDPTTASLSIGSDGNLVLLDQSKNRQLWSTNVSVAANSTVAVIQDGGSLDLMDATNSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNNANPSPGLFSLELDPNGTTQYFIQWNDSITYWTSGPWNGNIFSLVPEMTAGYNYNFRFINNVSESYFIYSMKDDSIISRFTIDVNGQIKQWTWVPASENWILFWSQPRTQCEVYGLCGAYGSCNLNVLPFCNCIKGFSQKFQSDWDLQDFTGGCKRNVPLQCQTNSSSAQTQPDKFYSMVSVRLPDNAQSAVAASSQACQVACLNNCSCNAYTYNSSGCFVWHGDLINLQDQYNGNGGGTLFLRLAASELPDSKKSKKMIIGAVVGGVAAALIILAIVLFIVFQKCRRDRTLRISKTTGGALIAFRYSDLQHVTSNFSEKLGGGAFGTVFKGKLPDSTAIAVKRLDGLSQGEKQFRAEVSTIGTIQHVNLVRLLGFCSEGSRRLLVYEYMPKGSLELQLFHGETTALNWAIRYQIALGTARGLNYLHEKCRDCIIHCDVKPDNILLDESFVPKVSDFGLAKLLGRDFSRVLTTMRGTRGYLAPEWISGVPITPKADVFSYGMMLFELISGRRNADLGEEGKSSFFPTLAVNKLQEGDVQTLLDPRLNGDASADELTKACKVACWCIQDDENGRPTMGQVVQILEGFLDVNMPPVPRSLKVLDESPDVINFFSDVSSSQTSQMHNSTASSQTKSSTSGGSQFQST >OGLUM07G17970.1 pep chromosome:ALNU02000000:7:20656505:20658920:1 gene:OGLUM07G17970 transcript:OGLUM07G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0AL89] MEEYKRSRNSQGRQGKQKLLTQHSYLLNHLLGFLCSGDRAAGRPAARVHFWAPTFKWGISIANVADFAKPPEMISYPQQVVVACSGVIWARWGMVITPINWNLSSVNVAMAVTGVCQLSRKIRHDYFSDEKSATASLEG >OGLUM07G17980.1 pep chromosome:ALNU02000000:7:20661859:20662524:1 gene:OGLUM07G17980 transcript:OGLUM07G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFACFSAAAVADEAEAGARHHHHRSGGGGGRRHRGTSSSLRSKLFGGRTTKAGSKKYSSAAALDDVYDAAEWSSSSVPWSSTLALLLDSARSSSSSSTTTTTAPCSRSRSLSSLSDALSPPAARRAPERRGRTPRPAAGVAAVIVCLVMVMLCGRVGATALASAAFYLFPRRWRPVGAIEAAESAAASPEHDWPSSATDQETTTKRKVVKEGFLARNCKK >OGLUM07G17990.1 pep chromosome:ALNU02000000:7:20667345:20670149:-1 gene:OGLUM07G17990 transcript:OGLUM07G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] MAAAAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCTSVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQALVYLISLVAILVSLIAFSVTRHNIYLYMGLSSVLLIAIYTGYFRRRIRKQFNIRGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >OGLUM07G17990.2 pep chromosome:ALNU02000000:7:20667345:20670149:-1 gene:OGLUM07G17990 transcript:OGLUM07G17990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLAC8 family protein [Source:Projected from Arabidopsis thaliana (AT1G11380) TAIR;Acc:AT1G11380] MAAAAREEEEASTAARSRQESEGEEEEVMAVLDFDMLCTSVALAAERRKDSAAAAAATVEAGGGGGGGGGGGVQRMWEGDVVLDCLEDRRIALEAACCPCYRFGKNMRRANLGSCFLQGTDSSLDDCVLHLICPCCTLCQEARTLEINNVQCGVWHGRGDTICLGSNGEGNKAFAALHKSSFVPIKSPELCGMDRTSNGANEHEPLVPSDLPEQ >OGLUM07G18000.1 pep chromosome:ALNU02000000:7:20671335:20721124:1 gene:OGLUM07G18000 transcript:OGLUM07G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSFKVIWIAQCPDKLVAFVFSSVTGQWRATASPCWGDLSPAFSRPACRSLLRRSYAYGCFYWMMGDSGNLLAGEGKIGMFAFCNCIDIYALELYSTTMQNEGRVASKWSFESAILMPSRDGFRVLGVTGKELCLQVSPICVSGCYLLEFSTNPSCKKLEFVRRVIRGVRTSLPFMTFKLEWFCGTTYAIISPDMWLICTQLRLRLRHALVGLRRFELQGWLAMPPSLAAGAVPAGGGGGGGGAGCATIQMFNSSADCNADQFVNQLGITILHGATQDKA >OGLUM07G18000.2 pep chromosome:ALNU02000000:7:20671335:20721124:1 gene:OGLUM07G18000 transcript:OGLUM07G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSFKVIWIAQCPDKLVAFVFSSVTGQWRATASPCWGDLSPAFSRPACRSLLRRSYAYGCFYWMMGDSGNLLVLDMCKMNFSVVKLPSSPPGRDIVECAIVEAGEGKIGMFAFCNCIDIYALELYSTTMQNEGRVASKWSFESAILMPSRDGFRVLGVTGKELCLQVSPICVSGCYLLEFSTNPSCKKLEFVRRVIRGVRTSLPFITKPTAMASPAWQPPHRLQPPPPAQLDLTDELLEEVFVRLPTAADLARASTACASFRRLITGHAFLRRFRRLHPPPVLGILAAGFLAAQPPHPSAAAARALADPDAADFSCSFLPSRDRWCLLHFSDGRYLLSAIPERSDPAPDHRALVREFAVCDPLYRRYLLLPPIPDDLASVVNQSEIVNFEPFLCPATEDEEDDTMFRVICLAQCEAKLVAFIYSRGSGQWHAVEFDGWRELTRGTSNPYPSGEPELSARYYAHGCFCWVMHWVNKLLVLDARSFEFSSIDLPPGPSSRRMVIVEALEGKLGLFTVCNDNALYYFLWYDILENDDEGALQWCMKEIIPLHENFNYNILGAKETLFSLNLRTFKLEWFCGTTYAIISPDMWLICTQLRLRLRHALVGLRRFELQGWLAMPPSLAAGAVPAGGGGGGGGAGCATIQMFNSSADCNADQFVNQLGITILHGATQDKA >OGLUM07G18010.1 pep chromosome:ALNU02000000:7:20679093:20680322:-1 gene:OGLUM07G18010 transcript:OGLUM07G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPAHRQLDALPDDLLAEVLIRLPSLADLGRACASCASFRRVVTDPAFLRRARALHPPSLLGFCGASPGAGGFHFHPAEAPHPSAPAARGVLRAADFGFSFLPSPDPLGWVVRDVLGGRFLLDRDVVEEGAAAASRILAVCDPLFRRYLLLPQIPQDLAAASALRQSRRGDTFFAPIGEEECAAAVAETSFKVIWIAECADKLVAFVFSSVTGQWRATASVCWGDLSPAFSRPACRSMSRRSYAYGCFYWMMGDSGKNLLVLDMRRMDFSVLELPSSAPGHDIVECAIVEEGEGKIGMFAFRNYIAGYALQIYSAKMQNEGKAAAGKWSFETAMVVPFDEFGILGATSRELYLKVPPSFARGCYSLEFSTNPSCKYLESVRRVISGVPPSLSFLYVGYPPSLSSPSI >OGLUM07G18020.1 pep chromosome:ALNU02000000:7:20714972:20721849:-1 gene:OGLUM07G18020 transcript:OGLUM07G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCYAKLIDKLISIAICRGVEHLNLETYLYSANDQRPSPAPYKFPLSLFADGKGLSVTKLILAECTLSIPVGFAGFKSLVELSFTEMHISEDMIQTLIENCPNLECFRLRLCSGARHLKIASPHLQLREIMQFTYRGPCISMVLSSVPLMEHACLDYEGRRDGESVKYILGKLSQDFSLLTSLSIVLNTYRLKNPVIPEVVPTPFKNLKSLTLGAIMHCNDDIGWVTMLLEVAPVLESFQIELLTNEKREHPGGVLWEPSDNAHRHLRQVKFYRFRMRQADVALAGLLLARAPLLQTMTFSRGSVHRSPGQTAQYVEAAADWTAEQRSAITRRLETCNAFGARLEFRRKRKRGLGKAAGARKRAREQHPGVAKLRKQHGSTFEDRISELPDDLLITILGHLDTRSSAATSVLSRRWQHLWKSVPKLRFSQHDIVPQTELSRFLRAHEYVFFKPSLCSWKRRVRVNLDRRIRLTDMYRTRIFSSSLTGFLHKSNAGDKNNTKISSLFLSCTMEDRYVNLVDKLVSIAVCRGVEDLNLTTSFYYDGQRRSTTPYEFPLSLFTDGKGLSLTELKLCECTLNIPIGFDGFKSLVKLSLTRMPISEDMIHTLFENCLKLECFHLNHCWGANHLFAGPGANHLKIASHDLQLRDIMVNSCEQITHMELVAPKLQQFRYRGPSISMMLGSVPSIEHACLHYEDSRDGESVKYILGKLSQDFPLLTSLSIDFDTYELKNPVIPGGLPTAFKNLRSLMLRVTMHSNDDLAWATMLLEVAPALESFQIELISNKKREHPGGVLWEPSDFEHHRLRQVKF >OGLUM07G18030.1 pep chromosome:ALNU02000000:7:20725812:20742378:1 gene:OGLUM07G18030 transcript:OGLUM07G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASASCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWYENLLGIDGGYVLLQGIPKGLFASKWIITGLRIFVRVTHHRCRHRAYEMEFYYSAAVRWGIARLAKLRCFRRRRRRAAKPNAATASPAARPAPTPTPKPTPTQPSLAVLSDDVLREIFVRVPSHADLARAATACAGFRRVITEPSFLRRFRAAGHPPALLGFLDAGGGFVPAEPPHPSAAAAAAGAAARDVVDFACPFLPSSPNPWRRRDVLDGRILFSRGAVGGGGGGGGEVDGQGDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDDGGGGGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSGQMDAGLSLDHPSGVLVLFAGMVTSVGKDSTSETAPPRWIELVRRTNAVVTRSISSNLESSSSDLEIEFLPQGSTPSPPESSKSDAWMEAWSCKSDYATLLRLQPTDLAAHAAAAAADGCSGAYRAVRRVGQALAPGAALDLEVGGVEEHQSGNEDGKWSTARSSMRRSLPPSPAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTKQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVGGCFYWTVPSADKILVLDALKMEFSVINYAHRVEDGFRACVAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDGDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAKPFYLILNVAMDEAVLLSPYSCRASVLLGSAGGSKWCCHSVHPDVLEPWTIYHSSHGEIHSTLVSIEKPVPVAVPELSSLFPRPRFMYYAVCDPLFKRYVMLPSIPDELTASERSLVNFALCLAPSQEDEADTSFRVMCVTRYKTKLVVFVFSSVTRQWGIGTSSSWSSLGTEEPPNFLGRFDYVDGCFYWTVPWPDKILVLDGLKMEFSVINYAHRVEDGFRACIAVDREGDPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMEPEEIEYYSLNVKTAEFEMVCAMDLDKEYFSVCPYFRFSPPSAKPCV >OGLUM07G18030.2 pep chromosome:ALNU02000000:7:20725812:20742378:1 gene:OGLUM07G18030 transcript:OGLUM07G18030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRQNPAPAPPPPPPVILTDDLLEEIFIRLDTPADLARASASCPPFRRVITDPSFLRRYRALYPPPLLGILPRDADAFLPAEPPHRSAPAAGAVDLSCAFLPDRHTWRRRDVRDGRILFSREEEYYAPDDDGADVLLMDLAVCDPFSGRYAILPEIPQDLIDPLDLEGQSFLCFEPFLAPATAADDDEDEVGGASFRVMYMARGLTKLMAFIFPWEAGEWRAVEYDGWAALINGTSTWLAEMFWRFHVHGNICWLLDWANKLLILDTTTNQLSTIEMVPGSWKKDIVFLETEEGQLGLFVLINNFYTSFDLYYAIWQDNDKGVKQWRVIEAIQLPLNYWPLRVKMDHHRPQNLRQRCRSMFVFRWMSRLFGLRGFVGLTMPSKLLTCLPVTHHRCRHRAYEMEFYYSAAVRWGIARLAKLRCFRRRRRRAAKPNAATASPAARPAPTPTPKPTPTQPSLAVLSDDVLREIFVRVPSHADLARAATACAGFRRVITEPSFLRRFRAAGHPPALLGFLDAGGGFVPAEPPHPSAAAAAAGAAARDVVDFACPFLPSSPNPWRRRDVLDGRILFSRGAVGGGGGGGGEVDGQGDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDDGGGGGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSGQMDAGLSLDHPSGVLVLFAGMVTSVGKDSTSETAPPRWIELVRRTNAVVTRSISSNLESSSSDLEIEFLPQGSTPSPPESSKSDAWMEAWSCKSDYATLLRLQPTDLAAHAAAAAADGCSGAYRAVRRVGQALAPGAALDLEVGGVEEHQSGNEDGKWSTARSSMRRSLPPSPAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTKQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVGGCFYWTVPSADKILVLDALKMEFSVINYAHRVEDGFRACVAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDGDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAKPFYLILNVAMDEAVLLSPYSCRASVLLGSAGGSKWCCHSVHPDVLEPWTIYHSSHGEIHSTLVSIEKPVPVAVPELSSLFPRPRFMYYAVCDPLFKRYVMLPSIPDELTASERSLVNFALCLAPSQEDEADTSFRVMCVTRYKTKLVVFVFSSVTRQWGIGTSSSWSSLGTEEPPNFLGRFDYVDGCFYWTVPWPDKILVLDGLKMEFSVINYAHRVEDGFRACIAVDREGDPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMEPEEIEYYSLNVKTAEFEMVCAMDLDKEYFSVCPYFRFSPPSAKPCV >OGLUM07G18030.3 pep chromosome:ALNU02000000:7:20727278:20742378:1 gene:OGLUM07G18030 transcript:OGLUM07G18030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLEFYYSAAVRWGIARLAKLRCFRRRRRRAAKPNAATASPAARPAPTPTPKPTPTQPSLAVLSDDVLREIFVRVPSHADLARAATACAGFRRVITEPSFLRRFRAAGHPPALLGFLDAGGGFVPAEPPHPSAAAAAAGAAARDVVDFACPFLPSSPNPWRRRDVLDGRILFSRGAVGGGGGGGGEVDGQGDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDDGGGGGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSGQMDAGLSLDHPSGVLVSPSLARWIELVRRTNAVVTRSISSNLESSSSDLEIEFLPQGSTPSPPESSKSDAWMEAWSCKSDYATLLRLQPTDLAAHAAAAAADGCSGAYRAVRRVGQALAPGAALDLEVGGVEEHQSGNEDGKWSTARSSMRRSLPPSPAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTKQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVGGCFYWTVPSADKILVLDALKMEFSVINYAHRVEDGFRACVAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDGDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAKPFYLILNVAMDEAVLLSPYSCRASVLLGSAGGSKWCCHSVHPDVLEPWTIYHSSHGEIHSTLVSIEKPVPVAVPELSSLFPRPRFMYYAVCDPLFKRYVMLPSIPDELTASERSLVNFALCLAPSQEDEADTSFRVMCVTRYKTKLVVFVFSSVTRQWGIGTSSSWSSLGTEEPPNFLGRFDYVDGCFYWTVPWPDKILVLDGLKMEFSVINYAHRVEDGFRACIAVDREGDPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMEPEEIEYYSLNVKTAEFEMVCAMDLDKEYFSVCPYFRFSPPSAKPCV >OGLUM07G18030.4 pep chromosome:ALNU02000000:7:20727278:20742378:1 gene:OGLUM07G18030 transcript:OGLUM07G18030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKLEFYYSAAVRWGIARLAKLRCFRRRRRRAAKPNAATASPAARPAPTPTPKPTPTQPSLAVLSDDVLREIFVRVPSHADLARAATACAGFRRVITEPSFLRRFRAAGHPPALLGFLDAGGGFVPAEPPHPSAAAAAAGAAARDVVDFACPFLPSSPNPWRRRDVLDGRILFSRGAVGGGGGGGGEVDGQGDDPGFMDLAVCDPLSRRYVLLPAVPADLAASAQLHNLLDLQPFFAPPRDDDDDDGGGGGGGGTSFRVMYMARCQSKLVVFTFSSDTQQWSSTSYDGWGILVAATPSQETALTQRHHAHGCIFWFLRWAKKLLVLDTFTMELSTINLPSSELIEIQQVAIVESARGGIGMFAMVDEILDSTFDMFYVVWDPEGANKWPLERLMKLPVEFRYNLVGAAGGYLLVQGISVQGPVQDQVCFTVELKTFKVEMFCETRRTLIGADLFAGFAPSLSPPSGQMDAGLSLDHPSGVLVSPSLARWIELVRRTNAVVTRSISSNLESSSSDLEIEFLPQGSTPSPPESSKSDAWMEAWSCKSDYATLLRLQPTDLAAHAAAAAADGCSGAYRAVRRVGQALAPGAALDLEVGGVEEHQSGNEDGKWSTARSSMRRSLPPSPAASSVGSSPAFPPRKRRRSGRPPPFLGLACYDGFYPATEPHPSAERAIALARKADFEYGFVPWVPEEEAWGWFPLDARDGRVLIQSKYFPDDPDGGDFPRPRFMNYAVCDPLFKRYVMLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTKQWGIGSSSTWSSLGTEEPPNRHGLSCFDCVGGCFYWTVPSADKILVLDALKMEFSVINYAHRVEDGFRACVAVDREGTPGMLTVGEYLGNGEFRFSRIAKQSDGDSPNERLSENIIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMDPEEIEYYSLNVKTAEFEMVCAMDMDKCYFDVCPYFRFSPPSAKPCTIYHSSHGEIHSTLVSIEKPVPVAVPELSSLFPRPRFMYYAVCDPLFKRYVMLPSIPDELTASERSLVNFALCLAPSQEDEADTSFRVMCVTRYKTKLVVFVFSSVTRQWGIGTSSSWSSLGTEEPPNFLGRFDYVDGCFYWTVPWPDKILVLDGLKMEFSVINYAHRVEDGFRACIAVDREGDPGMLTVGEYLGNGEFRFSRIAKQSDRESPNERLSENTIQLPSYYNKYFTLGAAEGFIFLRGIPEDEKVEDSSSEDLYMEPEEIEYYSLNVKTAEFEMVCAMDLDKEYFSVCPYFRFSPPSAKPCV >OGLUM07G18040.1 pep chromosome:ALNU02000000:7:20762285:20763742:1 gene:OGLUM07G18040 transcript:OGLUM07G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPPSASHLRRARPPSPTASSVGSSAAAPPRKRRRSGRPPPLLGIVCADGFYPTLEPHPSAKRALAVVRKADFEYHFVPPLPRGAWGWFPLDARDGRVLIQSKFFPRDPDGGDFPRPRFMSYAVCDPLFKRYMLLPPVPDDLTANEGSLVDFGLCLAPSQEDEADTSFRVICVARYSTKLVAFVFSSVTRQWGIGSASTWSSLGTEEPPNRHGLSCFDCVDGCFFWTVPWPNKILVLDAFKMEFSIINYAHRVEDGLWACVAVDREGTPGMLTVGMHLRF >OGLUM07G18050.1 pep chromosome:ALNU02000000:7:20782928:20793086:1 gene:OGLUM07G18050 transcript:OGLUM07G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 4G [Source:Projected from Arabidopsis thaliana (AT3G60240) TAIR;Acc:AT3G60240] MGHLVQANGLMGPNSERPQGEEEGRGGDRKWERDLCPSEGTGARGTRGDPAGPAASAAATAEAEESAARARAAGAPPASLPSQLTADPSGARAPTLPPSSESTANAPPLKGEAYNLQFGSFPMNGGTGGSTMQFPARTSSAPPNLDEQKRMQAPLQFGGHNPQIPPQGVVPSSLQMSMGLHGANAPQVAQQMYIPTIQHHHQLQPPTMMHQAAGIPYGPAAHQLTPQMSGMMNVGVAPQFTPQQPNKYVTGPTRKTTTVKITHPDTHEELKLDKRMDSSGQRGLPSVQQQSQPVSTYGSPMGFYQQNSYNQSTMFYPTTSGVGQVPTVSQGPRFVSTQTVSYISPSMNTGPGSNKDNLAGSTTSGHSQVTGKPHPAGLHMEKSGVQTVTISAPPGKSDVNKLKPAEDAVSHRQKDNEAVSGVRKSGENESKASPITEKHPTPVSQPLQALAANPETTAAASFVVNSVPGDDGKSKESIQRTGSFKDSNKNATKETRNLSQEPQSASSAEDLKVHTSVKDVCCGVSLMESKGVNKESEQTNAASAAPTEMLKAADASSMDRSSARSTSESTENVQEVGKSDVAIGDSEKSGITNKVSPDLTKDDISSGSTGNESHEVCTQDLAEQLPVGASNPDNLDTATSVTDQGQLLKEPSSSVSDENVIMDRLHQSAEKMSDLVDDTVASVASSETLPESIIQNANAKGNTSGNQETGSATSSNILNVLPVPHSVASEDPLKPESMLKDQSSTAPAASARPVSREKPSVEITRTKFTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKVDFIGASESLDSSSIADHELPDESSEKEVNMGEDEGKKKVELDDWEDAADMSTPKLERSDSSNQTTEANERKRYSRDFLLTLAQSCTNLPVGFQMIEYASVLFPNLAGKSYVVDHPSPGRGADRPASRGDRRGAVIEDDRWGKSGHLFGSGRDMSMDNGPPTMNHRGAPGVMRNPRGGLINVGPIAPQMSRSGSDADRWQQKGIFPSPVTPMQVMHKAEKKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEKVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRVRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGNYQNPDEENIEALCKLMSTIGEMIDHAKAKEHMDAYFDIMLKLSTSQQLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIDEVHRDAAQERHAQSSRLARGSVVGSGPRRGAAPMDYGPRGSAAALASPSSQQVVHRGMPSHSRGFGTQDIRFEERSPLDHRTTVLPPRKDEAITLGPQGGLARGMSIRGQPLISNAELSSADSRRMVSGPNGYNSASTAREEPGSRIPDRSGRIAPNTQFAGPSNRPASQEGRSGNKSYSEDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPSVVSLWVNDSFERKDMERELLTKLFVSLCNSRNNLLSKSHLTAGLATVLGSLEDALSDAPRAAEYLGRLLARFVVESILSLQEVGTLIEKGGEEPGELVHHGIGADVLGAVLESIKVEKGDSFLNEAKASSNLKLEDFRPQHLKRSKLDAFMKA >OGLUM07G18060.1 pep chromosome:ALNU02000000:7:20793693:20797241:1 gene:OGLUM07G18060 transcript:OGLUM07G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEQEPIYDLPQLADELLEEIFLRIASPADLARASAACVTFRRLVTGRSFLRRYRSLHKPPPLLGLLGHDGFHPAEPPHPSAAAARALAHAADFSFSFLPSPGRWIQQDCLDGRVLLERSLSDNDDAGEGELVPIDTRNMADLAVCDPVSRRYVILPPIPDDLITSGEQEGLLIFETFLAPAATEEEEMVDTTTSFRVVARANYESKVVIFVFSSLTEEWHSSRSVAQAFIWFFQRYYAHGCIYWVMYLVDKLLVLDICKMVLSTINFQWDQDSPIPDILEMDDDMIGAFCLKGDLSGRTHLCYGTRRIDADVADGPPLNLDKTIPLPLPLLLSLSLDRICTIAATQGYLLFSGRTEEDDTDALYFTLEPKTMLLEKVGTMIRTSKGDRAAAG >OGLUM07G18060.2 pep chromosome:ALNU02000000:7:20793693:20797701:1 gene:OGLUM07G18060 transcript:OGLUM07G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPEQEPIYDLPQLADELLEEIFLRIASPADLARASAACVTFRRLVTGRSFLRRYRSLHKPPPLLGLLGHDGFHPAEPPHPSAAAARALAHAADFSFSFLPSPGRWIQQDCLDGRVLLERSLSDNDDAGEGELVPIDTRNMADLAVCDPVSRRYVILPPIPDDLITSGEQEGLLIFETFLAPAATEEEEMVDTTTSFRVVARANYESKVVIFVFSSLTEEWHSSRSVAQAFIWFFQRYYAHGCIYWVMYLVDKLLVLDICKMVLSTINFQWDQDSPIPDILEMDDDMIGAFCLKGDLSGRTHLCYGTRRIDADVADGPPLNLDKTIPLPLPLLLSLSLDRICTIAATQGYLLFSGRTEEDDTDALYFTLEPKTMLLEKVGTMIRTSKGDRAAAVINIALIAQESTWKTSNYN >OGLUM07G18070.1 pep chromosome:ALNU02000000:7:20809063:20831692:1 gene:OGLUM07G18070 transcript:OGLUM07G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISVPEILLRLYSPADLARASTACAAFRRLVTDRSFLRRFRSLHHPPPLLGLLCDDFYPADPAAARALAHAADFTFSFLPTPDHWWRWMPLDWRDGRVLAALVPMAKFTTDEGDEGEFVPFPRRDDVTDLAVCDPISRRYVILLAIPGDLITSGEQRDRLFDFNAFLAPATEEEMAGSSFRVVATAQCKIKLFVFVFSSRSEEWRSYQFDSGSIFAADVSSSVLVQADFFLAPRYYAHCRLYWVLKEMDKLLVLDTCEMVFFTIDLERDEHMSNIAILEEAEEDMIGVFSIRTDLDFATRTQLCYTIRQVKADAANGPPLNFDKIIPLPLPMEYMFRIIDAADGYLLLEGRLLDWFECSLEEGRPDTLYFSLESKTLDLRVGTSNYRCKDIYWFSAILITVKSMNMGHRKGTEQHWLSILLSLLRNAVDYIKLQLIVVASIRNSDLTVSSL >OGLUM07G18080.1 pep chromosome:ALNU02000000:7:20860690:20860960:1 gene:OGLUM07G18080 transcript:OGLUM07G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPHVSVPALYVRRQGGAVYSTMQGACTRREPTWGQGGKGEMRPYAHVARIQRLVTRER >OGLUM07G18090.1 pep chromosome:ALNU02000000:7:20862524:20862724:-1 gene:OGLUM07G18090 transcript:OGLUM07G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASTAVLGSSYAPSPTSPRASSPAAATPAPASVTFLGGGHAPPRPCSSAAAKIDGCMLIGLVS >OGLUM07G18100.1 pep chromosome:ALNU02000000:7:20862730:20863215:-1 gene:OGLUM07G18100 transcript:OGLUM07G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRTAARRHGGGWQHGTGDGRARVRSPRPSATSSPTSSLSTVAAVVVEHLRGGPAAVDLICAGELGSRRRRCCPRRGRRRAAPPPPRSGEEGRSSLLPMEEASSLELRRRWPQALSLVLPTPISSLHTTILGSSCAPSATSPRSVLVGGGGLARDRPRR >OGLUM07G18110.1 pep chromosome:ALNU02000000:7:20864238:20865944:-1 gene:OGLUM07G18110 transcript:OGLUM07G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMATGAKEVVVVEAYEYEFDLENPFTSPADEPIASLLDAEGHHSPSVSAAASAARREAAGFISKVRYDGELDVHPRVAYLALNYVDRYLSKRQLACERNPWAPRLLAISCLTLAAKMQRAAAISAADIQRGEEFMFDEAKIQRMEQMVLNALEWRTRSVTPLAFLGFFLSACFPQPRHPALLDAIKARAVDLLLRVQPGTSKPPPRPMPPSSPLDSASAHLLPPVHFAEVKMAEFSPSVAAAAALLAAAGEVAGAHLLGFEAGVAACPFVNSEKLRECGEVMAAACGVGPSWAAAATSAETPVTVLGHHRSASSESERTTTVGSAANSADAKRRCMGPPRQWG >OGLUM07G18120.1 pep chromosome:ALNU02000000:7:20875478:20880388:1 gene:OGLUM07G18120 transcript:OGLUM07G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosynthetic electron transfer C [Source:Projected from Arabidopsis thaliana (AT4G03280) TAIR;Acc:AT4G03280] MASTALSTASNPTQLCRSRASLGKPVKGLGFGRERVPRTTTTITCQAASSIPADRVPDMGKRQLMNLLLLGAISLPTVGMLVPYGAFFIPAGSGNAGGGQVAKDKLGNDVLAEEWLKTHGPNDRTLTQGLKGDPTYLVVEADKTLATYGINAVCTHLGCVVPWNAAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGDNPWWA >OGLUM07G18130.1 pep chromosome:ALNU02000000:7:20879080:20880210:-1 gene:OGLUM07G18130 transcript:OGLUM07G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSTPRAGGGGSGGSQHTPPLPPAPHGNGHGGGGGGGGGGGGAHVRLMCSFGGRILPRPGDHQLRYVGGETRIVSVPRAATFDALVAALAKVAPALFPAGGPSPALRYQLPQDDLDALISITSDDDVDNLIEEIDRFQGLAAASIKPPRLRLFLFASSPADHASAAAFGSVLSGAGGDSSSDQWFVDALNAPAPAPIERGRSEASSIISEVPDYLFGLDTTSDEPSPGPGGARPKVDTEMAHVEDDAPVLSRGTPPAPYVTESAPWPAPPPPYMTQQVYYVPVRPVHYLDPSSQGGYMPGPVYHIVGGGGSEARGDLYSTGGSVAAAGGGVYGVPHPMQPFPPMMYGHAPPPGAVVYSSEGKPPMEGGAHAS >OGLUM07G18140.1 pep chromosome:ALNU02000000:7:20894601:20895096:1 gene:OGLUM07G18140 transcript:OGLUM07G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATNEPLPSHGQHQLLGRDCNLSSLPSIAAPHQRAAPTSSAEATEERVAHHKNEADPRRRRPRRTPRYEGFRTEKDHPRSSMHRNFSEATPPRRTRRVTSPPLVRNRNKNAMTTPRAHGRRRRRTGQRHVKAFASVFTSHTQVPHIDDH >OGLUM07G18150.1 pep chromosome:ALNU02000000:7:20895104:20895547:1 gene:OGLUM07G18150 transcript:OGLUM07G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHKQSYLDVTKHASTSTSTHREQEARRQRATLPEPVSTPRSRRARRSPPEPCNAATRNRRPRHARSRSPPSPPPSSFLAAQLLPRLHKLPLTSTSRLPHRRRRQPSPPRPPPSATVVRRSSPRHHHQPPPPAATITGCRHQPPPV >OGLUM07G18160.1 pep chromosome:ALNU02000000:7:20896138:20897010:-1 gene:OGLUM07G18160 transcript:OGLUM07G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALB4] MVKPAAVLLLLYLPLLVTPTRIGLSRNTFVPPPNSVPTIDRTEMDVSTSPYRDLIKKWRDLVLLNTRPEVMVPEDHPVLAPQYDDTVPPARLLLPKLVANGDKTATLALRDSNIYFIGFANKAGQWFSFKDRNDLPPSFRARPLSFGVDYASIAGFRKNLPNYPLGRRQTEWAVKVLSEYDPNRTDEATIKRAVVIILLTFCEALRFFPIRNAVEIGWDSVAYITSTDADRLVCWGQISYMLEYSFMSGHSWDSEEQRTRLKNLARDCKIFNEPQALETVDVLVRSYLRC >OGLUM07G18170.1 pep chromosome:ALNU02000000:7:20909157:20909858:-1 gene:OGLUM07G18170 transcript:OGLUM07G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSLLAAVLLGVLAVAFPGAAAAVGTQPGAMEKATREPAPHVSLSCSPTAAAAVGTQPGAMAKASREPSPHVSLSCAPASSFAGEAPSTGKPPPQLSGADGIARDRLAAVSGGTN >OGLUM07G18180.1 pep chromosome:ALNU02000000:7:20914328:20916213:-1 gene:OGLUM07G18180 transcript:OGLUM07G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEALPGDVLEEVLRRLPPRSLAACRCVCRALRALVDDRRVLRADLLPLKLAGIFIGVIWVPQFFARPVLPHALDLTRLPETMLDCRNGLLLAHNVVINPATGRWARLPRSPPPPPGCSCNDVFDYLVFDPTVSTHYEVYKIPSPFGDGMSDWPPSPFIIDVFSSKTLQWEKRTYVREGEAAGTVANLLARGFNCHQRSALWRGALYVPCETDFVTRISLSDGKYQVIKSPIGLEAMGKGSFLQLGKSKDGVCAFAHDDYQLCVWFLNESCGQMNWELKHQTHLWSLLAQLKSREHLAQCKSCKQTNGHWKYYDGNLFEEQILQTDLQVDTYPENDYQAEEDEFGRHFDTDSNYYDAEEYGWASDEDGECHSECNNKYGEDELYKVDKLYNMQCQELFYFFGFHPYRDVVFLHVSSSRAVAYHLNSSKVRDLGAGWEPHISAGFSKRHHRYGIGDPSIGVGSTTGTFETHARKNRADTYFKLLSVAPNHLTSKNKLNHPSHLTTTNP >OGLUM07G18190.1 pep chromosome:ALNU02000000:7:20922354:20925697:-1 gene:OGLUM07G18190 transcript:OGLUM07G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRVRAPRCIYKPDPTRAWVSYSCPPLSSSSSSSGLLPGFLSSPCRRRRLAVEEQRQAQVNFIMSLEVAGAGVPQAQGKFLGILVCWVLGNGSLFAWNSMLTIEDYYSILFPTYHPTRVLTIAYQPFAFGITCILTYHEAKLNTRKRNLIGFALFLISSFALIMLDIGTKGRGGLGPFIGVCIISALFGTADASVQGGLVGDLSFMCPEFIQSFLAGLAASGVLTSALRLITKAAFENSQNGLRNGAILFFSITCFFELVCLLLYAYVFPKLPIVKYYRSKAAAEGSKTVASDLAAAGISNEQSIQAEEDPKKCDRLSTKELLIQNIDYAFDIFLIYVLTLSIFPGFLSEDTGAHSLGTWYALTLIAMYNVWDLIGRYLPLIKCIKLTSRKGLTGAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGFLTGPEQNALGNVLVVCLLGGIFSGVVLDWLWLIGKGW >OGLUM07G18200.1 pep chromosome:ALNU02000000:7:20928151:20931304:-1 gene:OGLUM07G18200 transcript:OGLUM07G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSWALAEKTQGYPVKLYTSLLVAITNNFRHLTTNMAYGKGEQRVATTQGKCWGIFICWLLGNGCLFGFNSMLTIEDYYTSLFPNYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIVLDVATSGRGGIAPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYVFIFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQNRANPLSEEDPSCVERLSTKQLLLQNTDYALDLFLIYLLTLSIFPGFLAENTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQVKLRSRKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVRILTEAPKGYKGPEQNALGNLLVLSLLGGIFCGAILDWLWLIGKGW >OGLUM07G18200.2 pep chromosome:ALNU02000000:7:20928151:20931304:-1 gene:OGLUM07G18200 transcript:OGLUM07G18200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSWALAEKTQGFVPLLAAPLRMHQARSYPVKLYTSLLVAITNNFRHLTTNMAYGKGEQRVATTQGKCWGIFICWLLGNGCLFGFNSMLTIEDYYTSLFPNYHPTRVVTLTYQPFVLGTTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIVLDVATSGRGGIAPFVGVCIIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGMITSALRLITKAAFENSRDGLRKGAMLFSSISCFFELLCVLLYVFIFPKLPIVKFYRSKAASEGSLTVAADLAAGGIQNRANPLSEEDPSCVERLSTKQLLLQNTDYALDLFLIYLLTLSIFPGFLAENTGSHSLGSWYALVLIASYNVWDLIGRYIPLIEQVKLRSRKVILIAVVSRFLLIPAFYYTAKYSDQGWMIMLTSFLGLSNGYLTVRILTEAPKGYKGPEQNALGNLLVLSLLGGIFCGAILDWLWLIGKGW >OGLUM07G18210.1 pep chromosome:ALNU02000000:7:20935123:20937203:-1 gene:OGLUM07G18210 transcript:OGLUM07G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIEDYYVYLFPNYHPTRMITLVYQPFVLTTTALFAYHEAKINTRMRNLAGYMLFFLSSFGVIVLDVASSGRGGIAPFVGLCLIAAAFGVADGHVQGGMTGDLSLMCPEFIQSFFAGIAASGAITSALRFLTKAIFENSRDGLRKGAMMFSSIACFFELLCVILYAFVFPKLPIVKFYRTKAASEGSLTVTADLAAGGIKSQPENPLDEEDQAFAERLSNRQLLNQNMDYALDVFMIYVLTLSIFPGFLAEDTGTHSLGSWYALVLIATFNVSDLIGRYMPLIEQIKLTSRKWLLIAVVARFLFVPAFYFTVKYYDEGWVIMLTSFLGLSNGHLTVCVITEAPRGYKGPEQNALGNMLVFFLLAGIFCGVVLDWMWLIGKGW >OGLUM07G18220.1 pep chromosome:ALNU02000000:7:20938739:20945847:1 gene:OGLUM07G18220 transcript:OGLUM07G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRYKGRGRGRGRGRGRGKGRGRAADATPVEEVDVEGAKSEEEEEEEAAAGSGGDTEAEDAKEEGASESEGEAMDVEAKESANEKEEEEAEAEADGDEGEDKSDEMDAEVGAAEEEAKEEEELKDAEVEGEGAGSDSERGEAEEADGENEEEAAATDGEKEEEAAETDGEEEAEEEAAETAGENEDEGAESDPEGEASDAEEDASEESPPSPPTRGRRRKRAATSDPPPEDDEEEGTPAQPRRRKRAATSDPPPEDDEEEGTPAPPRRRRRRKAGDRGDSPPPLPDHLRCRRSDGKKWRCQNRALPTVSFCEYHYSRANKGKKPPADGEVLAVALQRQKKNKRKVRRNVNASPASPPAATSDVTRDLPNGLMRISPGSSEPAASLPSPVTTKVGVDIPVPTQRCYRSKNAEPMPVGPVKVVPRAMGMSKAGQKTCHRCGMKKAARIVQCKNCDNRYFCNSCINKWYSGLSKKDIKTRCPVCRGSCGCKQCTLGQTKGAISKESSGDQDKLISIKICNHQLYKLLPVELNQEQLDELEIEAKIQETKISDVRVQVADEQSGSLDCNNCKLSVHRFLRSCPRCPFKLCLSCCQKIRDGNISAATPEDKFNQRLLQQESAHEDGSISCPSIELGGCGDSLLNLVYVPPSDQSEEVSSGDELDGPGNHSGVKDAVAESNGGPDRLSVGQQETVSS >OGLUM07G18220.2 pep chromosome:ALNU02000000:7:20944922:20946224:1 gene:OGLUM07G18220 transcript:OGLUM07G18220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVRVGGGSLRYGRERGEGSRPRQRERGRGGRPPRGSAHGKRRGEIRHMRPYSVGNYCCDGDGTMMTEAKVLLISPTPLPTPPLFGGGEENLYIFVIQESITSHIIFTFFMKRVRCTE >OGLUM07G18230.1 pep chromosome:ALNU02000000:7:20947433:20949584:-1 gene:OGLUM07G18230 transcript:OGLUM07G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHVAAALLLALLLAPAAATDVPSYPLSQAQSPANTSSGGSTSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPAPAPALAGEGLDGGEDEPMVPYDNQRCVDALGSALERRGVALPRPNATCDTVLCFCGIRLHQIGSLRCPAAFAVGGGGAAAAKNATPTAAVKELEKSCRNASYAGCSRCVQSLQKLKGNVSREVAGGDRARRMLGRDCQLMGLTWLLAKNKTVYIPTVSAVLRAMLYSARPTESAAAGGAPPRCSPDQENMPLAVDSLQFERASATSSSAAATVLRGGGAGVVVSLLLGCLVVFDVFL >OGLUM07G18240.1 pep chromosome:ALNU02000000:7:20958630:20963758:-1 gene:OGLUM07G18240 transcript:OGLUM07G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAVRDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCKNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSMVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTEKHVAVKTFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPG >OGLUM07G18240.2 pep chromosome:ALNU02000000:7:20958630:20963758:-1 gene:OGLUM07G18240 transcript:OGLUM07G18240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLVGIGERLLAVGTNRRAAYFSQPSHRSGYYTAVRDNGLSAKRKIPTVFSRMFSHYKVIVRKNKAGDHKCKNRISRGFRTFSGTVANSSATQHAQLAWKRLSHTYMYSGPRFPLISRAACALSFSFTRFHVVPGVMALAFGKFALSRPVLADSPHLPTMEGIVTNARDTRQFLSSMVWSIWEGVTLLIRAVHLAILFFPATALSPFADTFSVGFRRRWLRLVRRTLEIAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKATIEKAFGRKLSEIFETFEEEPVASGSIAQVHRATLRDQHTEKHVAVKVRHPGVGESIKKDFLLINLLAKASNVVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKRDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNERKPKRKLFFRPKPHIVFLDVGMTAELTRDDRDNLQQFFKAVATRDGRTAANCTLQLSKQQSCPNPVAFIEELDKTFSFWGTPEGDIFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLDKDIKQPG >OGLUM07G18250.1 pep chromosome:ALNU02000000:7:20983182:20984521:-1 gene:OGLUM07G18250 transcript:OGLUM07G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 74 [Source:Projected from Arabidopsis thaliana (AT4G05100) TAIR;Acc:AT4G05100] MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKEHGQGNWRTLPKNAGLSRCGKSCRLRWTNYLRPDIKRGRFSFEEEEAIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLTSLLKPAAAAAYYPTQADLDTLRALEPLAGYPDLLRLASAILPAATTTGAAAAAAAAEQAQLLLPWLLQAQMAQQQQQVTPPPQAAATEQFLQATSTACHQMPGLVHASPTQQLAQQPQDHMAAATCHRRGAVQHPSYDNQLDYVPALMQMASDASNLQQWSSTVSSSNNHNVNSGVSTPSSSPAAAGQINSSSTTTTTTYGLNASGDVDDAGLLINMHLSELLDVSDYM >OGLUM07G18260.1 pep chromosome:ALNU02000000:7:20993935:20998529:-1 gene:OGLUM07G18260 transcript:OGLUM07G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKESGEQWAESQAQQARLCFRKSYRSNFDSACMLMCWQIWKERNARVFEQRTRRPDQLVEAIKEEIMHWAVSLPPHARMPLLHLSPPPHRLLLAGGGRRRLLLPAARRRSLVRVRAAASAAAAAAEAYGLPFPPERAAHHRELAAAAAAVERACRLCVDVKRTLLSGDKKILEKNDQTPVTVADFGVQALISLELQRLFPSIPLVAEEDSASLRSSNTDDNSSNVLVESISSAVAEKVSNADSLLTHDDVLRAIDRGGKNAVSFDSNPASYWVLDPIDGTKGFLGGDDALYVVGLALVVNEKVVAGVMGCPNWSNATIASRKEDSAAAQPDRGILMIAHVGCGTWSRHLSVDIGQFTTAQSTWNRCLVDSCSVVNMARFCIPDSQTWNMIPLSVLFNSTMDESNPRDENEILLLSVYCGSLCKYLTVASGRASVFVLRARTKNLKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTGRRDIYPSGGVLVTNGALHGKLVEMISANHK >OGLUM07G18270.1 pep chromosome:ALNU02000000:7:20998946:21002853:-1 gene:OGLUM07G18270 transcript:OGLUM07G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLHLSLLPHRLLLVAGGRRRRRRLLLLPPSPRRVCVRAAASVELEVAAAAGEYGLPFPSERAAHHRELAAAAAAVERACRLCVDVKRSLLSGEKKIFEKNDQTLVTVADFGVQALISLELQRSFPSIPLVAEEDSASLRSSNADNSSNVLVESISSAVADNVSNTDSLLTHDDVLRAIDKGGKDSASFDSNPATYWVLDPIDGTQGFSKVDDTLYVVGLALVVNGKVVAGVMGCPNWASDTIANRKDDSIASRYDRGILMIAHEGCGAWTKRLYDEFGQFTTSKDTWNRCFVDSCSVVHKARYCLSDNQTWNMIPLSVVFNSTTDESKPRDENELLTSYVFSGSLCKYLTVAYGRASVFVLKARTKSLKSWDHTVGVICVQEAGGQVTDWRGEPLDLEADLTGRRDIYPHGGILITNGVLHNKLAELIKANY >OGLUM07G18280.1 pep chromosome:ALNU02000000:7:21005957:21007204:-1 gene:OGLUM07G18280 transcript:OGLUM07G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALC9] MASSVAAAASTFLGTRLADPAPQSGRIVARFGFGGGKKAAAKKAARPSAPTTDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNNAGEIIGTRFETGEVKSTPFQPYTEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLASDPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFSSSS >OGLUM07G18290.1 pep chromosome:ALNU02000000:7:21008681:21012396:-1 gene:OGLUM07G18290 transcript:OGLUM07G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II reaction center PSB29 protein [Source:Projected from Arabidopsis thaliana (AT2G20890) TAIR;Acc:AT2G20890] MAAISSLPFAALRRAADCRPSTAAAAAGAGAGAGAVVLSVRPRRGSRSVVRCVATAGDVPPTVAETKMNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKTTYQYDAVFALGFVTVYDQLMEGYPSNEDRDAIFKAYITALNEDPEQYRADAQKMEEWARSQNGNSLVEFSSKDGEIEAILKDISERAQGKGSFSYSRFFAVGLFRLLELANATEPTILDKLCAALNINKRSVDRDLDVYRNILSKLVQAKELLKEYVEREKKKREERSETPKSNEAVTKFDGSLNSMRH >OGLUM07G18300.1 pep chromosome:ALNU02000000:7:21017606:21018840:-1 gene:OGLUM07G18300 transcript:OGLUM07G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCNHEITAIITILLQPAGDICTEVKNTVNGGGSQTEASALLLTSLVSGIGGFPTPAYVRGRRPSNGNEMVVGHGGRWRWGA >OGLUM07G18310.1 pep chromosome:ALNU02000000:7:21019946:21025024:1 gene:OGLUM07G18310 transcript:OGLUM07G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGEDRYAAAGGGGDSGGGKLWNLCRMPFWQPGGAPATASAPPPPPSSSSSAGIHHHSAGRYGHEGGGGGAVAGDGAPAGSISSVAKSLLPARRRLRLDPPNKLYFPYQPGQQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMDYAPEMFDEQRDQAVVEKILRVVFLNVENPGPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >OGLUM07G18320.1 pep chromosome:ALNU02000000:7:21026441:21027756:1 gene:OGLUM07G18320 transcript:OGLUM07G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLVNKAYMAVTLGAAIELKEQVAKPCSSAAKRGASVLAVRPSSSAATAAAAAAEESLRMVMNMHAKPWVNGSYRNNSPLAKNDVANSGPPKKKEESYRYLSGWYKLVFRWTREGDQDRKAFTRTYVYSIEYLLIHFRCLGQKRKGVAKWRINALL >OGLUM07G18330.1 pep chromosome:ALNU02000000:7:21029808:21030029:1 gene:OGLUM07G18330 transcript:OGLUM07G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSRVWVAATVAAVRAQRERAPAAREWVAGLAPQAAALAAARAAADADGRRQAGADESLRKAMYLSCWGPS >OGLUM07G18340.1 pep chromosome:ALNU02000000:7:21031854:21036210:1 gene:OGLUM07G18340 transcript:OGLUM07G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRIAGCPSDNESCLYTAVAAALDRLSRVQEHGTIGSFPSDTAVSFGVGECDIIGGCLLPPLAYSDTSWECAVGLVTSRCCDVMPPLHATRQRTLAIDDVTQVAAVASLASSIATTRLLGEGSERACTARRRGVALAASSVRRNVAVETATGYQPGTARNLSSTRTSNLPSPRLSLRPQAMRSISVASSLDFVGDQPITFASDGDCGRAADSTETHVREPGNWAERPAECNEICCLVRKASATGGVEAVGEGEGSGEWQQIAARRRGSREVPVRGNPIWMQGRELVVLGPLTSASPQAPSLHWRQEDSPATSRLFNHTSNPYVHGN >OGLUM07G18350.1 pep chromosome:ALNU02000000:7:21033031:21034463:-1 gene:OGLUM07G18350 transcript:OGLUM07G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLSCFGRGSATASAPDQPEEAAAAAAPGPVLLELFCSQGCGASPEADAVAARMVHEDQQRAAAGEGGGGERGVVVLGFHVDYWDYRGWKDPFASSAWTVRQKAYVEALRLDTLFTPQAIVQGRAHCVGTEQDALAQAVRDAPRYPAPAMKVTFQRPNPSTLQASFTGTLRSRMEGGGSVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVRDGASAKKSVSGSVQFPLWDGFRASRCGLVLFVQNAALQVLGVQHFDLPDNV >OGLUM07G18360.1 pep chromosome:ALNU02000000:7:21039743:21042051:-1 gene:OGLUM07G18360 transcript:OGLUM07G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVVVNNGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLIKFFPSVYRKEQAAEKNQSNQYCKFDSPLLTMFTSSLYLAALVASFFASTVTRVAGRKWSMFGGGVTFLVGAALNGAAKNVLMLILGRVLLGVGVGFANQSVPLYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAVGALFLPDTPNSLIDRGHTDAAKRMLRRVRGTDDIEEEYNDLVAASEESKLVAHPWRNILQRRYRPQLTMAIAIPLFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVADIPKEYAAFVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLFTFIIAQAFLPMLCRFKFILFFFFGAWVVIMTLFVAFFLPETKNVPIEEMVLVWKSHWYWGRFIRDEDVHVGADVEMPAAGNRNGKVDPAKLAN >OGLUM07G18370.1 pep chromosome:ALNU02000000:7:21046789:21047026:-1 gene:OGLUM07G18370 transcript:OGLUM07G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVALKGRPLATLLKQLLADAPSAATGRPVATPAASGRARRYDVVDESGTDNGEEYDATDDSECDPKTQ >OGLUM07G18380.1 pep chromosome:ALNU02000000:7:21096537:21098804:-1 gene:OGLUM07G18380 transcript:OGLUM07G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAVAAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETAREASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEVYASGALTRARALVVSRPCAGASRDDVRFYVRDLFARLRVGGAEMRGEAAAALAEVLHDDEKCVRVVVSDVADGVCVLVWLLECPDACVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGGRPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASGGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPPSTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >OGLUM07G18380.2 pep chromosome:ALNU02000000:7:21096539:21098388:-1 gene:OGLUM07G18380 transcript:OGLUM07G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAATAVAAERDEQGSVTAALDAITGLVSASLAASLFPYKWQLIRDRLNRLHAGLADIATGGGEGGEGHGALAGVLGAVVETAREASELVPRSQGRHYGGGKLRLRSDLDVVAGTLDALVARVDEVYASGALTRARALVVSRPCAGASRDDVRFYVRDLFARLRVGGAEMRGEAAAALAEVLHDDEKCVRVVVSDVADGVCVLVWLLECPDACVQEEVLEAVSVIAGFEAYRGDLVVGGVIAPVIRVLDSAGGRPSAKERAARLLCKLTENSDNAWAVAAHGGVTALLNVCADYTASGGELVCAACRVLRSLAGVDEIRKYMVAEAGAAPVLVSLCRGAADEAAQIQAMELLAAIASGDSSVREAVLQEGAVESLVSVLDPASPRSSKAREVALRAIDALCLSSPPSTSRLVAAGFLDRVLVFLRSGDATLQHCALKAAHRLCHASEDTKKAMGDAGFMPELVSILHAAKSLEARDMAAEALCAMVSVHRNRKRFVQEDRNVAQVLQLLGPDDEKLSPAKRFLLSTLMHLSDSTSGRRKIMSSEHLRNLERLAETNVTDAKKIVKKLGGSKLRNIFHGIWSLQKA >OGLUM07G18390.1 pep chromosome:ALNU02000000:7:21112433:21113035:-1 gene:OGLUM07G18390 transcript:OGLUM07G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTSSSSSSSSPPPPPQDLAGGEQRQHHPLLAAAAAASLLAFLYLPRPLLPLLLSPASLSSLLVLLSLLRLGSPPPPPAEATPPPPPERAVPEAADTPPPPPPPTAPTPTPPELPSVFVETEFASSWAAKGRALEVIHEEFEAEWGPEEMGLTWTSDSDSDSYSGSDDDVDDYRDGDGMIEIELEEDNLIEIDISKCR >OGLUM07G18400.1 pep chromosome:ALNU02000000:7:21132573:21133477:-1 gene:OGLUM07G18400 transcript:OGLUM07G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSGVWTGCSKDNIRGIPKAVENQRYTADTGGRQGNRATKMEKDDGETLEERASYLQLLGLRRHGKYGISAVRATVV >OGLUM07G18410.1 pep chromosome:ALNU02000000:7:21138934:21139688:-1 gene:OGLUM07G18410 transcript:OGLUM07G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGQAKTKSSLSTSLSFSCSSTRILGRKRVAVSPAPSPSGGPHSPVRTLRKQRSTRLHMDDAVSLLESLPQDVLIKVLCKVNHSDLRPLLLVSKQVSEATVVAREQHFAFATPSSKAALRGGEEEEEEEEQEAPGAPKLQRRVARSSPVWGKNLASISVNLFEAFESEVVEM >OGLUM07G18420.1 pep chromosome:ALNU02000000:7:21139749:21140703:-1 gene:OGLUM07G18420 transcript:OGLUM07G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARLLPGPTRQRETNALHLGRGLLGAEDSGDPESFGYRRLLRFSRNPPRGEIFLGRFAFGRSEVRLLH >OGLUM07G18430.1 pep chromosome:ALNU02000000:7:21141987:21142793:1 gene:OGLUM07G18430 transcript:OGLUM07G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVVAAAASADVADEDGVRSLFDAAESAFGAGAPHIVVANAAVLDDKYPALADTATADFDRTFAVNTRGAFLCLREAANRLPRGGGGGGRIVAITSSVVGSHPTGYSAYTASKAAVEAMVRTMAKELKGTRITANCVAPGATTTDMFFAGKSEERVEEIKATNPMGRLGEAGDIAPVVGFLCTDAAEWVNGQVIRVNGGYV >OGLUM07G18440.1 pep chromosome:ALNU02000000:7:21171829:21173093:1 gene:OGLUM07G18440 transcript:OGLUM07G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIELFPTSVRNSAVGLVRQAGVLGGVVAPMLVALGRERSYWSFGVFGLAVGCLGLFVTCLPETKGRRLSDTMEDEEEAAVVFDSDDGDLLD >OGLUM07G18450.1 pep chromosome:ALNU02000000:7:21174443:21177591:1 gene:OGLUM07G18450 transcript:OGLUM07G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLHWALKCGGGGPALVSLPASSFFAGNLAGGFLLTTLADTLLGRRKMLVLSLVTMSVAGVLTAFSPNVWVYAALRFVCGFCTSTAGTSAMVLSTELVGKWWRNTVSVASFVFFSVGFMSLPALAYTLREASWRTMYVWTSLPSLCYAVLLYFLVQESPRWLLVRERKQQAIEALRQIAQLNGGKGITTLSFSMLDTCAVEVGDGVAGGEGMFATLRSICERRWAFQRLAAMMTASFGVGVVYYGLPLSVGSLSSDLYLSVVVTYNALAEFPSSVLSWLLMGRINRRSSVVALTAAAGVCSLACVAIPEGTGGRMAAEVLSFFATCTAFNIILMYSIELFPTSVRNSAVGMVRQALVLGGVAAPMLVALGRERSFWSFGVFGLAVGCLGLFAVCLPETRGRSMSDTMEEEEHKEVAAAIGDMDSNADLV >OGLUM07G18460.1 pep chromosome:ALNU02000000:7:21193579:21196296:1 gene:OGLUM07G18460 transcript:OGLUM07G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEATTTPLLASYKAKPAKAPSIDDAIETYIGATGAGQLFKAILLAFAWAFDAQQVFISVFTDAEPRWHCTAGADPSCSRAAASPCALPPGAWAWDRPAETSVVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLVSLASMSVAGVLTAFSPNVWAYAALRFVCGFGRSMVGTCALVLSTELVGKRWRDTVSVAGFVCFTVGFLSLPALAYTFREASWRSMYLWTSLPSLCYAILLYFLVQESPRWLLVRGRKHDAIETVRQIAALNGGGGITCSFSMLHACATEREDDAAGGAGGGGGGGVFATLRSMWERRWALRRLAAIMTASFGKVPEPTNLGKMVLVRPWRLNDDGEGAGGGDDGGGTSTSAWTAYGSPLARGPHRAEPGRDQGEEQEELEQVFPELRSPCGGGPRTRPSAPAIADDEVIVPGPGLTRLFVSQRETQILEEIEWRNQAIRQRQARVPVPHD >OGLUM07G18470.1 pep chromosome:ALNU02000000:7:21196754:21197154:1 gene:OGLUM07G18470 transcript:OGLUM07G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAESAEGQEGKAPASHPRSPTWSPGKLVHIFMSKRVLDHAAACCIACCPCPCAVTRSAACPSPCSRWLAS >OGLUM07G18480.1 pep chromosome:ALNU02000000:7:21198602:21199499:1 gene:OGLUM07G18480 transcript:OGLUM07G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKLLIGCFVPRGGETEAQMMMRELDEELNELFRDVLPPPRSPWKRAKEAIRRRRNGAKVAPATADAAVIVLGPAVTRMLVDQGATHLLDELELLNQAIREHYASSVF >OGLUM07G18490.1 pep chromosome:ALNU02000000:7:21199687:21202501:1 gene:OGLUM07G18490 transcript:OGLUM07G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll B-binding protein 3 [Source:Projected from Arabidopsis thaliana (AT5G54270) TAIR;Acc:AT5G54270] MWVPGALQPGPKNTAGPKPAITHEIGRHPTWQRHDPKPRPDWPRPNPEISTSPAHLRHKLPRYIYVTRSTSPSRVVLVLANPAAEAGAAAQPPPMASTIMAPTSRVLAAKTPFLGHPRPSNAPLRDIAAAATGRITMSKELWYGPDRVKYLGPFSAQTPSYLRGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGYRINGLPGVGDGNDLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLADPVANNAWVYATKFTPGS >OGLUM07G18500.1 pep chromosome:ALNU02000000:7:21201743:21210140:-1 gene:OGLUM07G18500 transcript:OGLUM07G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAAAKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPSARRPSLPSGVKLENGAAKGAVADTVMAGVKLENGAAEGAVADMVMADKKPGVEMKICVQEAKEENVVKTRIFGAKRKFSNGEVLEESPYADNIHKERKEMMVSKELPSVSPRTKTNGKPVFTDTMDYVLQKKLRVWCSSPDATWKLGQIQSVSGDDVEILLVNGEVLTLSPDRLLPANPDILDGVDNLIHLSYLNEPSVLYDLQSRYSHDLIYTKAGPVLVAVNPLKEVALYGKDFISQYRKKLNDDPHVYAIADLAFNEMRRDGVNQSIIISGESGAGKTETAKIAMQYLATLGDARGMESEVLQTNAILEALGNAKTSRNDNSSRFGKLTEIHFSETGKLSEKLFLKEADYYNYLKQSACLRIDGVDDAKRFSMLVDALDIIQISKEDQMKLFSMLAAVLWLGNISFSVIDNENHVEIVSNEGLATAAKLLGCSAPQLMNALTTRKIQAGKDNIIQKLTLTQAIDARDALAKSIYAHLFDWVVEQINHSLGTGREHTWRSISILDIYGFESFIKNGFEQFCINYANERLQQHFNRHLFKLEQEEYLEDGIDWANVEFVDNADCLTLFEKKPLGLLSLLDEESTFPKATDFSFANKLKQHLRGNSAFRGEQEGAFKICHYAGEVTYDTTGFLEKNRDPLNSELIQLLSSCKSELPKYFASVMVADSQNKSTLSWHSAVDSQKQSVVTKFKAQLFKLMQQLENTTPHFIRCIQPNSKQRPMLFEHDLVSHQLKCCGVLEVVRISRAGYPTRMTHQQFAERYGCLLLHSIASQDPLSISVAVLQQFNIPPEMYQVGYTKLFLRTGQVAALENAKNRMLHGALRIQKNFRGLCTRQEYQGLKKGAMTLQSFIRGEKARVHFDHLVKRWKASVLIQKYARRRIAATMFIDQLKYVVLLQSVMRGCLARKKYKCLKEEKDSKASHSKVIHVRNNVSQAWMYHVYPLTISIPWQETNGDYPRQPVITELQGRVSKAEAALRDKEEENEMLKQQLDQYEKKWSEYEAKMKSMEEAWKKQLSSLQLSLVAAKKSLTAEDVASRAARTDAAPMHAHYDSEDTSTGTHTPEGTEFKYQNHNSEARVAAPNSDRRINAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDDELRKLKDRFATWKKDYKSRLKETKVNLQKVGEEKSRKRWWGKKSSK >OGLUM07G18510.1 pep chromosome:ALNU02000000:7:21218595:21221746:1 gene:OGLUM07G18510 transcript:OGLUM07G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKSKKCGGGGVAAMDTSEGAPAASTAVGAPEPMDTSEGKQTSSVSVALTSINKKIKKGVQIKRSQNVRKMKAVARAISKNEKAEEKVLKAKSKKSRVQSAKSLYD >OGLUM07G18520.1 pep chromosome:ALNU02000000:7:21225136:21230950:1 gene:OGLUM07G18520 transcript:OGLUM07G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQSKAQAGAKALSEPVRVGGSAAEATLSGGELAWRPTGGSGGGGEAGRLELESEVLGVRVDGRALRVATFARGDDAAAAAARPATCGGGERRREREREVVVEMESEEAAAAWGDAMRDRLASLGRPKRLFIIVNPYGGKRGGRKIFQTEVLPLIEAAGILYTMQETKHRLHAQEIAHSLDLRKYDGIVCVSGDGVLVEVVNGLLQREDWNTAIKIPLGIIPAGHKRPLDVTSVVQGKTRFFSVLMLTWGLVADIDIESEKYRWMGSARLDFYSLLRVVSLRRYNGRVLFVPAPGYEGLGDLVEQISSCKSNGASTGVQEDRSNDFNDETCAYAGPSIDEADHEWRSLDGPFVSVWISGVPFASENVMTAPEAKFGDGYLDVAIIKDCPRSALAGLMFQMKDGSYVKSPYVEYFKVKALRIEPGMRVGSTTKGGIIDSDGEVIARGDGSHTGDEIEHLMAYGPPIQLTVDQGLATIFSPR >OGLUM07G18530.1 pep chromosome:ALNU02000000:7:21249549:21257529:1 gene:OGLUM07G18530 transcript:OGLUM07G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAQPPPTRPPVAAPPPSLAAAAPISVQPPPLQPKPPPHPQQPPQAVVSVGVGPPPPTPQHQQQQQQQQGPPGHAPPQQRPRICFNAHCKDPKSDGPRRRGWRLRNGDFAELCDRCYHSFEHGGFCETFHLEVAGWRNCESCGKRLHCGCIVSVHAFVHLDAGGVECVMCARKSHAAMAPSQIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRSTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHSHHAGENDHLTARKGVTSDSCSSVSTTFKLDSHHPSILKDDPSAVPAGLSPNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVPPPPEANNKVVVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFRMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCSDNKWDSERSSCDSAQEINMEELGEMIPIKPGAAKKTKGKVDTDNIDVSDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPPPRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >OGLUM07G18530.2 pep chromosome:ALNU02000000:7:21249549:21257529:1 gene:OGLUM07G18530 transcript:OGLUM07G18530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAQPPPTRPPVAAPPPSLAAAAPISVQPPPLQPKPPPHPQQPPQAVVSVGVGPPPPTPQHQQQQQQQQGPPGHAPPQQRPRICFNAHCKDPKSDGPRRRGWRLRNGDFAELCDRCYHSFEHGGFCETFHLEVAGWRNCESCGKRLHCGCIVSVHAFVHLDAGGVECVMCARKSHAAMAPSQIWSSSMHMAQNVADRKDNFVKSWRPPAGQFSSQWRQNNMWSMSTMQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAHEKKFDDMHDRSTTPAGMNQIMRERYANGHTQHTTLDPTYAYTLYHREGTNPNLHDHSHHAGENDHLTARKGVTSDSCSSVSTTFKLDSHHPSILKDDPSAVPAGLSPNFSSANGPKDHIRIGPTQQQQQMASSSLQKQFYSHSVIDNDFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPAISQAEGLPLKVQDATGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLVMGFRKATNLSAEQDQPTKPANGVPPPPEANNKVVVPDSSPNAAVPRPIKVNTESKSSSPVEQATACKIDKGALPQKEGPGTSSSSPLPVKRKATSVGPKIKRFRMDSEESMELKITWEEAQELLRPPPKAPSIVVVDGHEFEEYEEPPILGRRTYFVTDQSGENHQWAQCEDCSKWRKLPVDALLPSKWTCSDNKWDSERSSCDSAQEINMEELGEMIPIKPDGLDTLANLAILGEGESLPSQPTTRHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMMRREKRQQSEKDSGVPRKREPGQSSEPVPQSGSGAHPTSTSSPPPRADTNGEGPEDMSIDNKRTSSPVKNQIDLNSQPEREDEQSPKSDATRLLRDNPT >OGLUM07G18540.1 pep chromosome:ALNU02000000:7:21258383:21259475:-1 gene:OGLUM07G18540 transcript:OGLUM07G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF761) [Source:Projected from Arabidopsis thaliana (AT5G54300) TAIR;Acc:AT5G54300] MGYARTVKAATAAAAAVLVAFGVRMAAPAAAGFVADELPRAQAAAATWLTPPYLYLVINAIILSIAASSRFQPNRPQAASADASLVRPAPVPVPVPVVAVPAPAVTMPMEVPVVPVPEAMAPEPIPVEVTVREVVKTAPEAEEAEENFTISRSAWTPRRRSTAEAEAEHEALSPFADLTNSREKPLVSTRFGRKPVKASPEGSSRALGVSRPRKEQTLESTWKAITEGRAPPLARHLKKSDTWETRPGRRQSGSGGGEDAPPPATAMRKAETFNEAAGGGGGGKKVRREPSLGQDELNRRVEAFINKFNMEMRLQRQESLKHYNEMISRGSVY >OGLUM07G18550.1 pep chromosome:ALNU02000000:7:21258471:21259596:1 gene:OGLUM07G18550 transcript:OGLUM07G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASTLRFSSSCPSDGSRRTFFPPPPPPAASLNVSAFRIAVAGGGASSPPPLPDWRRPGRVSQVSDFLRCRASGGARPSVMAFHVLSSNRDGIRGEFLICCLPSGLALTGFRPNRVDTSGFSLEFVRSAKGDNASCSASASAVLLRRGVHADLEIVKFSSASSASGAVFTTSRTVTSTGMGSGAIASGTGTTGTSIGIVTAGAGTATTGTGTGTGAGRTNDASAEAACGRLGWNRDDAAMDRMMALMTRYRYGGVSHVAAAACARGSSSATNPAAAGAAILTPNATSTAAAAAVAAFTVRAYPIPQPHHRRRRRLLLYVFARRKRKRQSEAEAKAKQSKAICV >OGLUM07G18570.1 pep chromosome:ALNU02000000:7:21276812:21282177:1 gene:OGLUM07G18570 transcript:OGLUM07G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein [Source:Projected from Arabidopsis thaliana (AT5G13680) TAIR;Acc:AT5G13680] MKNLKVVTRIAQKLQLQLDGETVVVSAVDAERRRAFFVSSENFLYSVDLPAPTQQSLQWSESTLDSDAEEVVLEPGDYIVAMDYLMEKESLLLGSSTGCLLLYNVDEKTTEVVGRLEGGVKTIASSPDGALISVTTGFGQLLIMTNDWEVLFETSLDPHCDLTGDINSPNGHIQSSISWRGDGKFFATLGGLEGSSQKLTIWERESGNIHSSSDTKAFIGASLDWMPSGAKVATAHDRKTEGKCPLIVFYEKNGLERSHFSIDEPAEAVIQALKWNCNSELLAALVSCGQYDVIKVWSCSNNHWYLKQELRYTKKEGVRFYWDQTKPMHLICWTLGGQVITHRFAWTTAVSETSIALVIDGSHVLVTPLSLGLMPPPMSLFHLTFPCAVNEVSFLSDNSKNHIAAYLSNGSLCVSVLPVADTWEEFEGSGISVDPCFSESTLNNYMHLTWIDTCTLIGICCHADAFSSTPMRSSEASSLLEKNDSPYFINEIELVCSEDSLLGSACSSGWQAKISKKMPLQAAVIGISQNPAKEGSAFIQLSGGRVVEYCSKVNLFRMSAPVQVSETGSDHTFPTSCPWMTAVQCHENGMVRTLLFGLDDSSKLHVGKRLLSSNCSSFTFYSSAYGAKEQVVTHLLVTTKQDLLFIVDISEILLKNGEVTTDSHIRSHPRGKQSKEHITVWEKGAKLIGVLHGDEAAVIMQTTRGNLECIYPRKLVLVSIVQALVQGRFRDAFDMVRRHRIDFNMVVDYCGWKSFMKSAADFVKQVNNLSHITEFVCSIKNENVSSKLYETYISFPDHCATSVADTVNSHGLLSDNKVTSVLMAIRKALEVQVEESSSRELCILTTLARSEPPLLEEALNRIKVIRELELLGVDDARRKLYPSAEESLKHLLWLTEPEAVFNAALGLYDLNLSAIVALNSQKDPKEFLPFLKSLECLPPAIMKYTVDLRLGRYESALKNIVSAGDEYHEDCMKLLNANPQLFPLGLQLFTDPDKRHQILEAWGDQLSEEKCFADAAITYQCCSSYQKSLKAYRDCGDWRGVFTVAGLLKFKKEEILQLAHDLCDEFQALGKPGDAAKIALEYCSDVDRGVGYFITAREWEEALRVAYMHSRQDLVDTVRDAALECSALLISEYQEGLLKVGKYLARYVAVRQRRLSLAAKLQSEDRFMDVEDDSISEVSTSFSEMSAYTTRSTKESSASVISSSASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMSLTSGALTELKSLLVVLIQLGREETARQVQLAGDNFEVSQRAAVKLAEDTVSNDKIDENAHTLENYVKMLRAHQSADSETTCWRIKALSPPWTGVYSNSHE >OGLUM07G18580.1 pep chromosome:ALNU02000000:7:21283800:21289144:-1 gene:OGLUM07G18580 transcript:OGLUM07G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARF-GAP domain 5 [Source:Projected from Arabidopsis thaliana (AT5G54310) TAIR;Acc:AT5G54310] MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKSNSYWEAELPPNYDRVGIENFIRAKYEDKRWIPRNGSSRPSSGARDEKSSESQTSVNRGGHNQRSSFEQHRTSPAAVSKIAPVVSRTPTQAPHQPKAQPSVPKVSPPQPEKSPPNATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKEAESSSNDDSAWEGFQSAEPVPSSDKKDSAKPVESKPQSTSGIEDLFKDSPAVTVSSAPAAPQVNVKNDIMSLFEKSSMVSPYAVQQQQLAFMTPQQLALLSQQQALLMAALKAGNAPQMIPGNASLLNGNGSNPANGGLPSQSWTNLAYQNPGLAPVAAQNGATKVANNNQEFSFGNFNFSTPGAYNTSSSVPANGAASAAANKSTSPTSSSLPSQSGKEYDFSSLTQGLFSKR >OGLUM07G18590.1 pep chromosome:ALNU02000000:7:21291313:21291690:1 gene:OGLUM07G18590 transcript:OGLUM07G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWWRDARAEAAPSPRAPRCRRPRSGWYGTGQAAEEGIARAAGGGGGAACGRQGSNWARG >OGLUM07G18600.1 pep chromosome:ALNU02000000:7:21297577:21302713:1 gene:OGLUM07G18600 transcript:OGLUM07G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSASSPRVPLTDEERKAPLLPTPPSPTKLTTAVEEAEKWAYGQRFAQHHWGRASGMVTTLIPTPGKGKFERGTQVGPTCQDAMSISLPSSSLSSLLLTRRHEEGSAATVAGGGDGSMAAEKQRTGS >OGLUM07G18610.1 pep chromosome:ALNU02000000:7:21305192:21309040:1 gene:OGLUM07G18610 transcript:OGLUM07G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAIHRRAGDRGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNGLLDEAGALELDMKTAMISFNNLTRQRFIEHASSGSKSKISDEDSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFGAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATIRAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >OGLUM07G18610.2 pep chromosome:ALNU02000000:7:21305192:21309040:1 gene:OGLUM07G18610 transcript:OGLUM07G18610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAIHRRAGDRGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNGLLDEAGALELDMKTAMISFNNLTRQSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFGAQRGYEKGETDSVVSAAREFKAMLEAALVNPYKFYDDATIRAQDASVEKKISTSEDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >OGLUM07G18610.3 pep chromosome:ALNU02000000:7:21305192:21309040:1 gene:OGLUM07G18610 transcript:OGLUM07G18610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAIHRRAGDRGGGGDGGSSSASIAKHLANQSQVLKWLQDFSDKVEERAKGAAAEVNGLLDEAGALELDMKTAMISFNNLTRQSMHLKTTESMHGSLQSQVPAQDYERDILPRYKEALHIGLSSCKDHFRSKGRSTTSVFRAMSAYGPLPHIIGSEEYIHDNSCGLADDMQPLSDDFSWLREFQSESSDSRTADISESQIFGAQRGYEKGETDSVVSAAREFKAMLEAALDQVMLTGTSEAPSGRSAQEDNSEQTGLFASLQSADANPHDIYSALVREGLFDTGDEILSMDRGESADTPISGLASGLANLGTADPAERGYSINETTNEEGYLIEGDDPSPSNKDEQDDQTDAHGVSSPEPEDGVSRPS >OGLUM07G18620.1 pep chromosome:ALNU02000000:7:21310903:21312348:1 gene:OGLUM07G18620 transcript:OGLUM07G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALG6] MAGPTIVLLPAWGSGHFMSALEAGKRLLVAGGGAVSLTVLVMQAPTEIEASVVEAHVRREAASGLDVTFRRLPAVEHPTGCEATEEFMSRYVERHARHVKAAIAGLASPVAAVVVDLFFTPLLDAAHELSLPAYVYFASTAAFLALMLRLPELRDDLTVGFDGMEGTVDVPGLPPVPPSYMPVCLVSKTVKNYDWFEYHGRRFTEAKGIIVNSSVELEGAVLAAIADGRRPAPAIHAIGPVIWFDATPPPEQPHECVRWLDAQPAASVVFLCFGSIGFLDAAQVRELAAGLERSGHRFLWVLRGAPAGGVRYPTDADPGELLPEGFLEATAGRGMVWPRWAPQKDILGHAAVGGFVTHCGWNSVLESLWFGVPMATWPLYGEQHLNAFEAVASMGVAVELRRTTAKDGDAARSLVEAAEVERAVRRLMAPQGGGSSEAREKAAEVSAACRKAVEEGGSSHAALQRLVREIVRVVAGHTRPE >OGLUM07G18630.1 pep chromosome:ALNU02000000:7:21315633:21315863:-1 gene:OGLUM07G18630 transcript:OGLUM07G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCFLVDQRRKVRSSKPAAGICSRCGGCASVADMETATRFCYLLTVHRATWRAIICTFCGAMLKSYRHYRLYTS >OGLUM07G18640.1 pep chromosome:ALNU02000000:7:21321330:21325650:1 gene:OGLUM07G18640 transcript:OGLUM07G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGPDAPVVSGINSGSTVKLKDLVPAATNNVNTTFIVLDKEARAAPPHGRADAREETCLALVADETAAAHFLLWGAERDAFEPGDIVRLTGGIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWVRDPGDPRRMVQEAVVSPHSQVFKPLHTEYETILRCTFPSCLFRVAPMREGASSARDHDAVSPRPSQLASRNRSPISASAHLHSPAAGPPLGDPASESVGGAGPGARQPAHQTSRWQQRVQEEGEVWACAVRCGAAAGRSTSLRRRSPGYRASPMAHKGPDAPGVSGISRASTVKLKDLVPAATNNVNTTFIVLDKAARAPPPPHGRADAREETCLALVADETAAAHFLLWGAERDAFELGDIVRLTGAIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWARDPGDPRRMVQEAVVSPHSQVFKPLQ >OGLUM07G18640.2 pep chromosome:ALNU02000000:7:21321330:21322607:1 gene:OGLUM07G18640 transcript:OGLUM07G18640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGPDAPVVSGINSGSTVKLKDLVPAATNNVNTTFIVLDKEARAAPPHGRADAREETCLALVADETAAAHFLLWGAERDAFEPGDIVRLTGGIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWVRDPGDPRRMVQEAVVSPHSQVFKPLQ >OGLUM07G18640.3 pep chromosome:ALNU02000000:7:21322318:21325650:1 gene:OGLUM07G18640 transcript:OGLUM07G18640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKPDAPGVSGISRASTVKLKDLVPAATNNVNTTFIVLDKAARAPPPPHGRADAREETCLALVADETAAAHFLLWGAERDAFELGDIVRLTGAIFSYHRSNSLVLRAGRRGRAEKVGEFTMLFVETPNMSEVKWARDPGDPRRMVQEAVVSPHSQVFKPLQ >OGLUM07G18650.1 pep chromosome:ALNU02000000:7:21327716:21330849:1 gene:OGLUM07G18650 transcript:OGLUM07G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASASSSFHSLALAGLADKYAAGAAGRLQPSRGISTTSPALRPAAEAAARVVECSDAADEAAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >OGLUM07G18650.2 pep chromosome:ALNU02000000:7:21328517:21330849:1 gene:OGLUM07G18650 transcript:OGLUM07G18650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSRIARGSQLTQPLSRILAEGNAAATPAAYALRNAAALGQRASSASASSSFHSLALAGLADKYAAGAAGRLQPSRGISTTSPALRPAAEAAARVVECSDAADEAAAAAVPDLGPTRPGEKPRVVVLGTGWAACRFLKDVDTRAYDVVCISPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATRPGSYFFLASCTGIDTGRHEVHCTAADGDGLPANPYNFKVSYDKLVIASGSEPLTFGIKGVAENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKKRLLHCVVVGGGPTGVEFSGELSDFITRDVRERYAHVKDYVKVTLIEANEILSSFDVGLRQYATDHLSKYGVNLVRGVVKEVKPREIELSDGSRVPYGVLVWSTGVGPSEFVRSLPLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEGTGRAVLPALAQVAEREGRYLARVMSRIAAQDGGRAGRAVGSAELGEPFVYKHIGSMASVGRYKALVDLRENKDARGVSMAGFVSWLMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >OGLUM07G18660.1 pep chromosome:ALNU02000000:7:21333000:21336910:-1 gene:OGLUM07G18660 transcript:OGLUM07G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALH3] MAGRYDSNPFEEDDVNPFSEQARGKAGGQPSYGGGAFYMPNPRNVPSVSSNSRLSPLPPEPAAFGATVDIPLDSSKDLKNREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLACCLFWNVIAVTSAWVKGEGVKIWLLAIIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLVYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISKNALVGIFYFVGFGLFCVESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >OGLUM07G18670.1 pep chromosome:ALNU02000000:7:21341089:21351551:-1 gene:OGLUM07G18670 transcript:OGLUM07G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >OGLUM07G18670.2 pep chromosome:ALNU02000000:7:21341089:21351551:-1 gene:OGLUM07G18670 transcript:OGLUM07G18670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFREIAVVFYKNPVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >OGLUM07G18670.3 pep chromosome:ALNU02000000:7:21341089:21351551:-1 gene:OGLUM07G18670 transcript:OGLUM07G18670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:HOPM interactor 7 [Source:Projected from Arabidopsis thaliana (AT3G43300) TAIR;Acc:AT3G43300] MAGAAGGFVTRAFEAMLKECTANRGKFAALQQSIQSYLDTIKGAAAAGQEEGGDAAAAPITQVLASAGRVLEGTQAELVLQPLRLAFETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSPLFTDILNMVCGCVDNTSSDSKSPVNQATSKAMLTQMISIVSVPPASSAMKEEPSSSTEESENGEVSTGNQADEKITLGDALSLNRATEASPASVEELQSLAGGADIKGLEAVLDKAVELEDGKKVSGGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDSFTKNFHFIDSVKAYLSYAILRAAVSSSAVVFQYACGIFAVLLLRFRESLKGEIGVFFPLIVLRSLDSSDSPLSQRASVLRMLEKVCKDSQMLADMFVNYDCDLEGPNLFERMVSALSRIAQGSQNADTNTAASSQTVSVKGSSLQCLVSILKSLVDWEQARRDSSKQGSVAEACENDSSARSITSDEIKSQEDGRNQFEIAKAHKSTMEAAISEFNRKPARGIEYLLLNKLIENNATSVAHFLKSNSSLDKAMIGEYLGQHEEFPLAVMHAYVDSMKFSGLKFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTASDAEECAPKELLEEIYDSIVQEEIKMKDDFPDSAKTNKPRRETEERGVVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKRGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVLCMEGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSIAATVMQGSNQISRESVVQSLKELSGKPAEQVFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLSQHFIAAGSHHEEKVAMYAIDSLRQLGMKYLERAELNKFTFQNDILKPFVILMRNSHSEKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDENEHIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGCIPGGAVKPVDDVPEAHFDVTEHYWFPMLAGLSDLTLDPRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLGLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDSDWETLLKSIRDASYTTQPLELLNSVGFQKPNNQQSLSREAETNGLGSSYHDSREGGASISHIDEQDGHQETNAQTSLDNSEGLPSPSGRAQPAVSPRGQTFGQRIMGNMMDNLLVRSLTSKSKGRTDDIVPPSPVKAPDADGADKTDDEENPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKTTQQIAIMDILLSLLEFASSYNSTSNLRTRMHHIPPERPPLNLLRQELAGTAIYLEILQKSTVEHDGNDPSEDTNGHVIESDEHEKLKSLAEGKLVSFCGQILKDASDLQPSTGEAASADIHRVLDLRAPVIVKVLNGMCIMDAQIFKKHIREFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >OGLUM07G18680.1 pep chromosome:ALNU02000000:7:21354462:21364925:-1 gene:OGLUM07G18680 transcript:OGLUM07G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVGGGGGGGGGGGGGVKKEEGEEEARGRGGGGGVVGGWGTWEELVLGSAVIRHGGVAWGAVAAEVRSRSPCAFSPEECEAKFSEIQARYSACDAWFEELRKRRVAELRNDLKKSENFIGSLQSMIKSLSNSKHDDGNSECHTSHTESCSNNENTADNNSSSKALSKDRSSAASFTEEASNSQKSEKVQHCDTDSIQVNNTSAETLVKPLVEKKVCAEDGLLWGSRKKRAVRDRRTFLMADNSCRAGENTSTSHIQTDGSSEGYAKKGLKTPNLESGVSVVEKAKPNLAEILKTISAQSDCYMLQRRLDVQRKRARYKKMIRRHIDFRILHSKIKSGTTSSTKELLRDILLFVNNVLAFYPKATLEHMAAIELRNIAFRTVQESASMPSKSCGVTGAATAPLVKKNTRPVQPGSHGPHDAKRSKVSSKGTGSTVKQGEAKGSRGGSSVTANVYFGYNLNGPSFPVYFRREGTGALIDDVIGPNGSGRDSCSKMRLRLHLLILCLIILFLVYNMASYQHKQTKLEAKSRPFDTITVSDKVSSKVSKRSANRVGFLPHGIVEPYSDMELKPLWLTRSAQSKDSSQKDRCLLAIPAGIDQKRSVDAIMKKFLPENFTVMLFHYDGNVDGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYEYIFLWDEDLEVDNFHPRRYLNIVKSEGLEISQPGLDPKLSEIHHRITVRKKGWSFHRWVEGMAPVFSRSAWRCAWHLIQGDRTKNIGVVDSEYIVHRGVQTLGGPSVKRSHGKNNDPLHQKTAEAQQQMRVKAGLDMRTKVRRYSRSELRDFQKRWERATREDRAWVDPFARPRRKRKRTDRQ >OGLUM07G18690.1 pep chromosome:ALNU02000000:7:21366602:21372290:-1 gene:OGLUM07G18690 transcript:OGLUM07G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDAFSTLTGLANLKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >OGLUM07G18690.2 pep chromosome:ALNU02000000:7:21366602:21372290:-1 gene:OGLUM07G18690 transcript:OGLUM07G18690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVVKSKSLPMIASAAVFLLLLSAALPLSQSYTYEQDVFAINGLYTALGSPSVPGWITNGGDPCNEGWQGVECVVSNITSITLNAANLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDNLPITLQRFFLSGNQLSGSIPSTLSTLTLLTGLSLNNNHLSGEIPDAFSTLTGLANLKDGNPFNTSIAPSALPPAAPTPLPSVSPPAGHVPTKEPSNSSIAPAGNAPSRKNNVSAMKFVGYILVGVVSAVVLVLMVMFCLSKYKERKSRDDVYTKNQLGRSPQKLGEPKIKEVSDIKEPPVKLKNNAGKAASDAVYDSREERKPGSSMSAAPRVVTMEQKEHVIDMEKKDNFVDEQLHPPQSAVLRTEKVTVHPSVRTRKGRVPSAGKLDLTTTVKSFSIASLQQYTNSFNEENLIRDSRFGKLLEVLKIDAANSRIPADAFLELVVNISELTHPNILGLVGYCAEFDQRLLVYEHCSKMTLHDELHYVDDSNKGLSWNARLQVAVGAAKALQYLHDGCQPPIVHQNFEPSIVLLNSTLVVHISECGLAALSSRSVSQLSGRMRTLFHYEAPEVHESGLLSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAISKMVDPSIRGQCSEKALSRFVDIISSCIQHEPEFRPSMSEVVQDLTRMVSDATKASM >OGLUM07G18700.1 pep chromosome:ALNU02000000:7:21375962:21380289:-1 gene:OGLUM07G18700 transcript:OGLUM07G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGPAMAPAAGPGSGPGAVVVGSAAALAAQEEMRWRQLDSGVSAVSFGFVATAILVSMFLAMAILEHFLRSPAHRGHAGMGPPPPHPPPPGGILSRLRLLLHRRGAGEAAFPGGSDLEAARKLDGGASPEIPVYAKGVSVLMPGHDVPTFIAHPAPAPCPPERVQWPSHQPTPFAGSSSNPS >OGLUM07G18710.1 pep chromosome:ALNU02000000:7:21383124:21387710:1 gene:OGLUM07G18710 transcript:OGLUM07G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G15520) TAIR;Acc:AT3G15520] MASRAAAAMVLAGALPVSPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVALNGCVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKNGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >OGLUM07G18710.2 pep chromosome:ALNU02000000:7:21383124:21387710:1 gene:OGLUM07G18710 transcript:OGLUM07G18710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G15520) TAIR;Acc:AT3G15520] MASRAAAAMVLAGALPVSPGRPLVAAGARCCDGGIRGRVSCSSHRRSDHPSCAAEEGGVVELLKGAVAALAVIAQISVSLPADAILYSPDTNVPRTGELALRRAIPANPNMKTIQESLEDISYLLRIPQRKPYGSMEGDVKKAMKIAMDNKDAILASIPVELKEKGSKLYTSLLEEKGGLQTLLKYIKENDPDRLSVALASSLDTVAELELLQAPGLSFLLPQQYLEYPRLAGRGVVEFSVEKGDGSTFFPTAGGEPKSVATIQVVIDGYSAPLTAGNFAKLVLDGAYDGIKLKCASQAIIADNENGKNGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPSQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGREVLSQIKTGDIIRSAKLVQGRERLVLPPEAPAES >OGLUM07G18720.1 pep chromosome:ALNU02000000:7:21387773:21388777:-1 gene:OGLUM07G18720 transcript:OGLUM07G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G11090) TAIR;Acc:AT1G11090] MPDGERHEEAPDVNFWGEQPATEAEYYAAHGADGESSYFTPPGGRRLFTRAWRPRGDGAPRALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDSAIADLLAFFRSVRRREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCKISDRIRPPWPLPQILTFVARFAPTLAIVPTADLIEKSVKVPAKRLIAARNPMRYSSRPRLGTVVELLRATDELGARLGEVTVPFLVVHGSADEVTDPDISRALYDAAASKDKTIKIYDGMMHSMLFGEPDENIERVRADILAWLNERCTPREEGSFLTIQD >OGLUM07G18730.1 pep chromosome:ALNU02000000:7:21390141:21390532:-1 gene:OGLUM07G18730 transcript:OGLUM07G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPRLRGAQPLPARLVFSLNHPPAHRRRRAS >OGLUM07G18740.1 pep chromosome:ALNU02000000:7:21425244:21425615:-1 gene:OGLUM07G18740 transcript:OGLUM07G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGCARRDDLAGWLAARAERTLAVDGVHEDELVVLGPQRLATLDSGQMHARRTSTADGMCEEELADGDQIHALGGRQDRRTTRGAARFPGIPHCRTSQSHIHGYGNSDRPTMLTNGRRNTHN >OGLUM07G18750.1 pep chromosome:ALNU02000000:7:21433931:21438119:-1 gene:OGLUM07G18750 transcript:OGLUM07G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVARSGTAVDAGGDGGEDGKRRRRRWKAPREDQLGMVPGRIFSNDGRSRTATVYTQQGRKGINQDAMLVWDGFGGEDDGVLCGVFDGHGPHGHVVARRVRDSLPLRLMSAARDSGADMPAAAWRKAFARAYKAMDKDLRSHPSLDCFCSGSTAVTVLKLVRAVDTGHDTHLLSITPPSSQKCQIESAYYASTHGGSRGDFCMQGSDLYMANIGDSRAVLGSREATGGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLTEKDQFVILASDGVWDVLSNQEAVDIVSASPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASLDNISIEEGSVADPNEPQEQEPTLTRNFTVRTVAGSTQEKTLAGVDARIAGVANDQNWSGLDGVTRVNSLVQLPRFSEERAIG >OGLUM07G18760.1 pep chromosome:ALNU02000000:7:21478115:21478474:-1 gene:OGLUM07G18760 transcript:OGLUM07G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPTTHGEEVIGRPCARKSSSAGRPQGGSHRPAKRGEGHFSMVATHKEIRRSSTSARLERAEEVGRRRGKEMVVEDEAEAAQANPSTSAAATVGEEGRRDPRAPSRRRLRRRRSALCT >OGLUM07G18770.1 pep chromosome:ALNU02000000:7:21478502:21480367:-1 gene:OGLUM07G18770 transcript:OGLUM07G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLASLFLDLLFLPLASAQLGRGQTTPRGSVQQQCIGEELVGWPRCAARGGAAWLEHAKEAGGRRGIV >OGLUM07G18780.1 pep chromosome:ALNU02000000:7:21513447:21515039:-1 gene:OGLUM07G18780 transcript:OGLUM07G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPDSSSGSAPPRVLRRQQQQPGSAPELPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEVDLYKFDPWELPEKANFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPIMASGSTREKVGVKKALVFYRGKPPKGVKTNWIMHEYRLTDTSSSAAAVATTRRPPPPITGGSRGAVSLRLDDWVLCRIYKKTNKAGAGQRSMECEDSVEDAVAAYAPSSQQHATAAAGMAGSDGAGGVAAAHGGDYSSLLHHDSHEDTFLVNGLLTAEDAAGLSTGASSLSQLAAAARAAATPCDATKQLLAPSPTPFNWFEAFLPRAKEFPGGLSRSSRDIGDMSLSSTVDRSLSEAGAVAIDTGDAANGANTMPAFINPLGVQGATYQQHQAIMGASLPSESAAAAAACNFQHPFQLSRVNWDS >OGLUM07G18790.1 pep chromosome:ALNU02000000:7:21518229:21519595:1 gene:OGLUM07G18790 transcript:OGLUM07G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSVNLRSCALTTRSTERNNGQNVKQTARTHAPTHAPARREGVSRQTGVCHLQRNPAGIELGADVCSAGQRETVFVGLGARIDIDLCARSNKLGREEGEDVAFHGELEIDRCTSRGPRQGRRDSAVRDLLSLRVGSCIAVPVPVCDTNLCQYSVKAAPRVIDNWCHFALSLRRPYTVANRDGFINYVLPFSIASLGMPFIFSKL >OGLUM07G18800.1 pep chromosome:ALNU02000000:7:21524137:21524727:-1 gene:OGLUM07G18800 transcript:OGLUM07G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGLSRGRRCTIASRLNASAEAAVAATSSSRGEKQKAAVARRVEYYDEEDARGEARYDVFINHRGVDTKRTVARLLYDRLVAAGVRAFLDNQSMRPGDRLDERIGEAISQCGVAVAIFSPHYCDSEYCLRELALLVESRKKIIPVFYNIKPSDLVLPQAVVESVAHHPRDIERFKFALREVKNTVGLTYDPATG >OGLUM07G18810.1 pep chromosome:ALNU02000000:7:21529575:21533733:1 gene:OGLUM07G18810 transcript:OGLUM07G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPSPASRKATTAVGAPKSPPSPVSLPAVLLLLRRRRHPARPPPPRPPAATAHMWERGRLPRKPRPSPILVPPPPASPPPPRRLLAFLLPRSLLSLAARAMPSRRPSPLLLLLLALALAFLFLLLSPSAPSASHLSSSLASAAAAVSTPASSPPAPVKIYMYDLPAKFTYGVVRSYMAARARAGAAGAASAIPDDELRYPGHQHSAEWWLFKDLRRRGPRDRPVARVDDPADADLFYVPFFSSLSLVVNPIRPSAAAANASDAAEPAYSDESTQEELLVWLERQPYWRRHQGRDHVFICQDPNALYRVVDRISNAVLLISDFGRLRSEQASLVKDVILPYAHRINSFQGDVGVESRPSLLFFMGNRYRKEGGKVRDTLFQVLENEADVIIKHGAQSRESRRMATRGMHSSKFCLHPAGDTPSACRLFDALVSLCVPVIVSDYIELPFEDVIDYRNISIFVETSKAVQPGFLTSTLQGISSQRILEYQREIKKVKHYFEYEDPNGPVNQIWHQVSSKAPLIKLLINRDKRLVERGTNGTDCSCICSTTKELLRK >OGLUM07G18820.1 pep chromosome:ALNU02000000:7:21534979:21541151:1 gene:OGLUM07G18820 transcript:OGLUM07G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVWFSAIAAVLLAASTIAVVVVAKMTGKRNGGAAAAAAAAEAELPLPPVVSGVSLIIPVITRGPMAVADELYVKLGSVFTVSFLGVVKATFLVGPEVQGGFYSRPESEVHQGGTYRMTVPMFGRGVMYDVDVATRSEQIAEYFAKWGEQGTVDLKRDLDLLILTIASRVLLGKEVRETMFADVVASFHELMDNSMHLISFFFPNLPIPRHRRRDTASARLKELFSRAIQLRRGSGRAEDDVLQRFLESRYRDGRAMSDNEITGMLIALVVAGQHMSSSASTWTGAFLLRDPKHLAAAVDEQRRLIGDDRVDYDALTTGMSTLHRCIKEALRMHPPAPALVRTVRRGFAVRTREGKEYRMPAGHSVVSYAAFNHRLGYVYRDPDEYDPERFGPERKEDRVAGKFSFTAFGGGRHACLGEHYAFLKMKVIWSYLLRNFELELVSPFPEVELNNIMLGPRGEVMRVRFLHRKVTFLVGPEESSHFFTGLDSEISQDERKAAPTSNNGHQPSYRGATSFTQPTPELLGRDCNMSSLPSVAAPHQRVASTSSAETTEEHVAHQKKEADPRRRRPRRTQVMIVVTLIATRCLFGEVRSKMLGEVPTLLRELNDSMRLITIVFPYLPIPAHRRRDSARARLGEIFVEIVRSRRSSPGGGGAGHDDMLQCLIDARTAAPRRRAGGGRRDALHELQHQHVDRRARLLTHPEHLRAAVREQEELVLVRHRHGGDVVDHDALQRMGHLHRCVKETLRLHPPSLMLLRHARRSFVVRARGSGDAEYEVPAGHTVASPMVIHNALPHVYEDAGSFDPGRFGPAREEYRAYAADHAYTVFGGGRHACVGEALSSHLLRNFELELMLPFPETDWNDVMPGPKGKVMVLPPSHNKIISVIVGFSVQL >OGLUM07G18830.1 pep chromosome:ALNU02000000:7:21541880:21548140:-1 gene:OGLUM07G18830 transcript:OGLUM07G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha 1,4-glycosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G19900) TAIR;Acc:AT4G19900] MLPRSHSHPAARRRSGLGAQLCAVVAALLLLVSLAVLPSRLSSSSPFPLSSSGDGVSNSSLVEDEDDGAAVLDPTVTITATTTTTTTTNAAADSTTDGSSVSNPEDDPIDELDVLDEDTASGLGAADEVPASASASSLVWDHAVGVARLPFRLPAAGDPLPAGLPHLEPAHRIAAAAFGSDDEPVDLELRVEISSIAGVEDALLLKPASSAPETPLRAGWARWLEGKADYLRRDRMLRSNLEFLNPRNHPLLQDPDSPGLTSLTRGDRMVHRMLLAEIEKAASKNFERRSLQSSDIKQGMGVTEKVQQRRWGYYPGIDPHLGFNEFMEKFFEHGKCSVKVFMVWNSPQWAYGVRHQRGLESLLRQHPEACVVMLSETLELEFFQEFVKEGYKVAVALPNLDELLEGTLTHDFVSVWNEWRKTKYYPLHYSELVRLAALYKYGGIYLDSDVVVLKPLNALRNSIGVVKQVSENSSFSGAVLAFEKNSPFLAECLKEFHSTYDDELLQWNGAELMTRVIRNMSDKADDNSGHLDIKFEPSVAFYPISSTDITRYFSEADSTDERAQHDALFSRIVNDSTTFHLWNSITSSLVPEPNSLVERILNRYCLHCLDVL >OGLUM07G18840.1 pep chromosome:ALNU02000000:7:21548899:21552360:-1 gene:OGLUM07G18840 transcript:OGLUM07G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c [Source:Projected from Arabidopsis thaliana (AT5G45040) TAIR;Acc:AT5G45040] MEPTLISLVPGATADGGGRSSSSMHRLPLASRPPGPHRAAAAAHRAPQRTTTACCGRLKQEATPSFASLAVAASAAAERAATPLLAAAALLLSAASPGFLASTPSAFAQSEGAALFRKACIGCHDMGGNILQPGATLYMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGLRLAEDDIKLLAAFVKSQAENGWPKIDGDGD >OGLUM07G18840.2 pep chromosome:ALNU02000000:7:21548899:21549939:-1 gene:OGLUM07G18840 transcript:OGLUM07G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c [Source:Projected from Arabidopsis thaliana (AT5G45040) TAIR;Acc:AT5G45040] MHRLPLASRPPGPHRAAAAAHRAPQRTTTACCGRLKQEATPSFASLAVAASAAAERAATPLLAAAALLLSAASPGFLASTPSAFAQSEGAALFRKACIGCHDMGGNILQPGATLYMKDLERNGVATEDELYNITYYGKGRMPGFGEKCTPRGQCTFGLRLAEDDIKLLAAFVKSQAENGWPKIDGDGD >OGLUM07G18850.1 pep chromosome:ALNU02000000:7:21552366:21552698:-1 gene:OGLUM07G18850 transcript:OGLUM07G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGGGGSGGRQGSEPESAAAVHVPGPCAATQRALAECHRSAARGPLRPEVLCRHLNRALAECLVNSCCPGETEAVRTLCGSAGTALKRSQCQRARIGLSLCLESHQEP >OGLUM07G18860.1 pep chromosome:ALNU02000000:7:21555621:21556338:1 gene:OGLUM07G18860 transcript:OGLUM07G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHAQFVASGLLADAFAASRLILFTTSTRLLPLPFHHSLRLLHLAPSFCAARANESGEQPLGQSPLEAEQQPPPPPRGGDGGSKDATAGGNRESEDAVVVTLRRGLVGRGRGERGRCRRAASGPGGEGTGRARTPPPSRTQLHHFSFPNLSWGNHRLLRCSKNPASSPPPAAPDTPSPDKEKTAHSSTDGVGVGSLPQRGPQQPWNLRTCRSATAAPCPKGLDDAADAALE >OGLUM07G18870.1 pep chromosome:ALNU02000000:7:21557596:21558987:1 gene:OGLUM07G18870 transcript:OGLUM07G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS family transcription factor [Source:Projected from Arabidopsis thaliana (AT5G41920) TAIR;Acc:AT5G41920] MLQGVLSRAPGADAAAMKAKRAADDEEEGGERERARGKRLAAEGKQGLVVVSTGEEEEAAAETRGLRLLSLLLRCAEAVAMDQLPEARDLLPEIAELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRISGAFQAYNALSPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPTKPRSLRITGLGASLDVLEATGRRLADFAASLGLPFEFRPIEGKIGHVADAAALLGPRHHGEATVVHWMHHCLYDVTGSDAGTVRLLKSLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWGDELRRAGFRPVTLAGSPAAQARLLLGMYPWKGYTLVEEDGCLKLGWKDLSLLTASSWEPTDGDADADVAVDADVAVAGDTQHESHDS >OGLUM07G18880.1 pep chromosome:ALNU02000000:7:21565416:21566688:1 gene:OGLUM07G18880 transcript:OGLUM07G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPAPVTAYPPPAMAPLQQPTGQAPYTAPPQGNYAPPPPPGYPGNFDVGMNPPQPAQTHSRGDKAFLEGCCAALCCCCLLDMCF >OGLUM07G18890.1 pep chromosome:ALNU02000000:7:21567157:21571778:-1 gene:OGLUM07G18890 transcript:OGLUM07G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BEST Arabidopsis thaliana protein match is: exocyst complex component 84B (TAIR:AT5G49830.1); Has 132 Blast hits to 132 proteins in 25 species: Archae - 0; Bacteria - 0; Metazoa - 7; Fungi - 0; Plants - 117; Viruses - 0; Other Eukaryotes - 8 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT1G10180) TAIR;Acc:AT1G10180] MESSSEEELEEDFPGHEWITPQSSIRAAYQSQTEKGIRKICSELLELKDAIENLCGNMQSKYHAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVCRELEMWQKHCKDEHVEEKDLQTELDEILSYDTQDSKVSFLDKLDTLLAEHKIEEALLALETEEKKCMATDDPGKELDAEISTYKTALSKRKSILEDQLVRYSEQPSLSITELRKSLSGLIKIGKGSLAHQVLLKAYGSRLQKNVEAFLPTCSIYTETYSATLSKIVFSAISKVSKESSSLFGDSPMNLNRIIQWAEYEIETFARLVKENSPLPESVSALRSACICIQTSLTHCSYLESYGLKFSNLLMVLLHPYVEEVLELNFRRLRRKIVDSAKNDDILLPSPQEGSRLSSSVAPNIMLTSSGKKFMSIVNDVLDQITPMTIVHFGGTILNKFVQLFDKYVEALIEVLPGASEDDHLVESKEPIEFKAESDAQQIQLIGTAYTVADELLPAAVSKFFDIQTEKKRIGGTGEGLGSGSIYSIEYKEWKHSLQHSLDKLRDHFCLQYVLSFIYLEGKSRLDARMYLELKTDDLLWECDPSPSLPFQALFVKLRQLASVAGDVLLGKEKIQKVLLSRLTETVVMWLSNEQEFWDVFEDQSIQLRPSGLQQLILDMHFVVEIAVCGRYPHRPVQQLVSVIITRAIAAFSVRNVDPQSSLPEDEWFLDMAKVAINKQLGTSGSESELEEPVVVHDEISDSEESSISSPSTIGSEDSFASANNDDLETPVYFTDPEA >OGLUM07G18900.1 pep chromosome:ALNU02000000:7:21576522:21581660:1 gene:OGLUM07G18900 transcript:OGLUM07G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFGPLLLVLPLSSALLVLSAATAPRGRPSQVDVGRQLREELWERNQGHEMLRSWRDGDPCSPSPWEGFSCRWKDGNLFVVKLNFSSKKLQGPIPAAIGNLTELDEIDLQDNNFTGSIPESFFDLTHLLKLSVKCNPFLNNQLPHGLSISVEFSYGGCAYHSPPGASNQRIAVIGGVAGGSLACTFALGFFFICFNKREKNPQKKDCSSTRNPVFEECSTHKATNSAVQQLSLKSIQNATCNFKTLIGEGGFGSVYRGTLAHGEEVAVKVRSTSSTQGTREFNNELRLLSAVRHDNLVPLIGYCCEKDQEILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLAHLHGFAGRCIIHRDVKSSNILLDHSMCGKVADFGFSKYAPQEGDSNASMEVRGTAGYLDPEYYSTQSLSTKSDVFSFGVVLLEIVTGREPLDVQRPRDEWSLVEWAKPYIREYRIEEIVDPGIKGQYCSEAMWRVLEVASACTEPFSTFRPSMEDVVRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFASGSARFASFDATKGHLQTMPSLPG >OGLUM07G18910.1 pep chromosome:ALNU02000000:7:21583202:21587720:-1 gene:OGLUM07G18910 transcript:OGLUM07G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAGQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDQSTDNRTRELFGADKSADKGTREFFGADKSADKGSHELFGIP >OGLUM07G18910.2 pep chromosome:ALNU02000000:7:21583204:21587720:-1 gene:OGLUM07G18910 transcript:OGLUM07G18910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAGQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDQSTDNRTRELFGADKSADKGTREFFGADKSADKGSHELFGIP >OGLUM07G18910.3 pep chromosome:ALNU02000000:7:21583202:21586431:-1 gene:OGLUM07G18910 transcript:OGLUM07G18910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAGQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDQSTDNRTRELFGADKSADKGTREFFGADKSADKGSHELFGIP >OGLUM07G18910.4 pep chromosome:ALNU02000000:7:21583204:21586431:-1 gene:OGLUM07G18910 transcript:OGLUM07G18910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEVKNDEEYQMDPIEIKDGEEHSIEMLVEQPRFLEPICPEEVNEDTRVYPRVGDEYQVEIPNLATEEERMKLRSCPVDDSGIFGFDYPVGVGLAIPVTWTQKTSDHVKKEQTGFSGRSSCSSQDECNSHVTEDIPRNVPGCKVECDEHDEKLLKSAGQDINCLQNGKASDYIPLPGMTHYSWTDEEAQTFLLGLYIFGKNLVQVTKFLQCKTMGEVLSYYYGEFFRSDSYNRWAACRKVRSRRCILGLRIFSGTRQQELLSRMLAGIAREVQHPLLEVFKTFNEGTSTFEEFILSLRSTVGARVLVEAVGIGKGKYDLTGFALDPSRNHGISTRAEIPIGKACSSLSSGDIIKFLTGDFRLSKAKSNDLFWEAVWPRLLARGWHSEQPKDSSLVGKHALVFLIPGVKKFSRKKLVRGNHYFDSVSDVLSKVASEPRLLEFGVEGSNGEGGFKIENGWIHDAELEKSTVTDKKSSCYTRPSEPGCSPELMKFTVVDTSVVQGEEPCKVRSLRNLPTDASHGYMSSPHSEDSGSDSSEEHSDSEDSSQSYEHINTNQNKTGAKYVNEKKCKPPTGDRMDIDVLQKNSTFAGTMTSTNGHMSFDQGFSLMNNACSSTATILPVGTQRVHATNSSTEINFQFDQRVTPEPQIFLAASVAKRRRLVSCKNERTGRRNTAANKRQHGKQVDTAQHDVSKSNEASAGAKPFIWGSIPNSSTTINFDMSNIHLCHKQYNVPPTDEKMVLKEKSQDKHVIDLNIPQVPSDYESAVSYIVPSSDKNTHTMDRSIHSSETNRMDDCLPDINASCNGLLSEQRRQSTRSRPPTTRALEALACGFIGTKQKGAEGNFPSSSRSSRPVRRPRRLTDGAVSFPSDGEGSSSHFTDSAIIVNEWHMTNRQYQVLDNTPTDQSTDNRTRELFGADKSADKGTREFFGADKSADKGSHELFGIP >OGLUM07G18920.1 pep chromosome:ALNU02000000:7:21591739:21594855:1 gene:OGLUM07G18920 transcript:OGLUM07G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPAIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQPAFCWFTTEFHCLGHRGVPDG >OGLUM07G18920.2 pep chromosome:ALNU02000000:7:21591739:21595302:1 gene:OGLUM07G18920 transcript:OGLUM07G18920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPAIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARVGAPATQREMFEQFASLNKHQLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >OGLUM07G18920.3 pep chromosome:ALNU02000000:7:21592392:21594855:1 gene:OGLUM07G18920 transcript:OGLUM07G18920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGELADLVVVPSQPPLAGGRRDRLAALLELAAADDVDGLRGALAEGGEEAAELADGVGLWYGRSKAYEARTPLMVAATYGSAGVVSLLVGLGGCVDVNRRPGADGATALHCAASGGSRNAVAVVKLLLAAGADPATPDSAGRFPADVILAPPASPDALGDLEVLLGRRRALAVATSVASGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRGKKEYPVDPTLPDIKSSVYASDEFRMFAFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKHPYTAVPCPNFRRPGGCPSGDSCEFSHGVFESWLHPSQYRTRLCKEGAACARRICFFAHDEDELRHVPHNSGAGLLSPRASSSIDMTAAAALGLLPGSPTRHFAPPPVSPSAGSNGGAAAAHWLQGSRLRSSFNARDAAVDDLGMLLEWESQYLGALCLPPSSRPQPRLSAGLSIRPAIAPSNLEDMYASDMAMSPRFPNDQGHSVYSPAHKSALLNKLHQQKGLLSPVNTNRMYSPRALDPSSLAHSPFGGMSPRSPRTMEPTSPLSARLPSVGSPRNSTAWGTVGSPMGKVDWGVDSEELVRLRRPAQPGFGEDETDVSWVQSLVSNAELNGKRGEVQGMPGTSALMNRPDLNNQGDLLDQTVIGAWLEQMHLDQK >OGLUM07G18930.1 pep chromosome:ALNU02000000:7:21596345:21600610:-1 gene:OGLUM07G18930 transcript:OGLUM07G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein K [Source:Projected from Arabidopsis thaliana (AT4G36720) TAIR;Acc:AT4G36720] MALLAPAISGEVGLRLLLAPLSSTVVIRTASCAIGVGLPVYSTFRAIEKKDQKEKERWLLYWAAYGSFSIVEIFADQILSSVPFYYHVKFAILVWLQFPSNSGAKHVYRRYMRPFFLKHQAKIDRILNILSKELNKFVSSHEDEIHFIEHMAARGASTANYIINGPEQSEAVRTAIEGPNSTTTEEAGTPRNET >OGLUM07G18940.1 pep chromosome:ALNU02000000:7:21615147:21617468:-1 gene:OGLUM07G18940 transcript:OGLUM07G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPATVGADLQIGEKRTLSAIASLPPRLGGSAHRFLSPANTRVGGSGLLSPKRHLGSVMVLCQGLSAGHAQLLAFPAAKHNVSFARTSCLGTPAGSLSVKRARLHAERKSFSMGALRVGTGFVQNDMLASFGRDDSIKGIPSLAARHSQHRRLEVGCRASSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLVYTFIDTMTLFPGWLLLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMAIAFIQIFTVLECMRCALAGMYPNVPFISHTAFIHSDLNLFR >OGLUM07G18950.1 pep chromosome:ALNU02000000:7:21634082:21637358:-1 gene:OGLUM07G18950 transcript:OGLUM07G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVTPEGSGRGRKKQQQEQKQKQKEQKQQQQQQQKKGKKPNPFSIEYNRSSAPSGHRLVVLREPTGRDIAARYELGGELGRGEFGVTYLCTERETGDAYACKSISKKKLRTAVDIEDVRREVDIMRHLPKHPNIVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKDLVKGMLNPDPRRRLNAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEVAGIKDMFEKMDLNKDNMINFDELKLGLHKLGHQMADADVQILMDAADVDGNGSLDYGEFVALSVHLRKIGNDEHLHKAFAYFDRNQSGYIEIDELRESLADDLGANHEEVINAIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQLTTTQ >OGLUM07G18960.1 pep chromosome:ALNU02000000:7:21654750:21655748:-1 gene:OGLUM07G18960 transcript:OGLUM07G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASFMLAVLLAVAVAAAPARAVRCPPSDKQALMRVKQSLGNPATLSTWSLASADCCEWDHVRCDEAGRVNNVFIDGANDVRGQIPSAVAGLTALMSLSLFRLPGLSGPIPACLTALSNLQFLTISHTNVSGVIPDSLARIRSLDSVDLSHNSLTGPIPNSFSDLPNLRSLDLRSNKLTGCIPAGLVQGQFRSLILSYNQLTGPIPRDDAQDEINTVDLSHNRLTGDASFLFAAGRPIGKVDLSWNDLDFDLSKLVFPPELTYLDLSHNRIRGTVPRSLAALSTLQTLDLSYNRLCGPLPRLHGVIRHGCKPYEHNQCAGGAPLGGCHQS >OGLUM07G18970.1 pep chromosome:ALNU02000000:7:21658656:21659432:-1 gene:OGLUM07G18970 transcript:OGLUM07G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRLRCRRAVAVADAWCMQFFDRDTVGGWEQLGSGEVMIARGQLGKGRIALRGLAVIWLFWPGRAARDGRGQRATNARDGCATKCCSARPPASCWLSFCHLMNEQQY >OGLUM07G18980.1 pep chromosome:ALNU02000000:7:21660477:21662560:-1 gene:OGLUM07G18980 transcript:OGLUM07G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLRFVPFPAIAVGGASLRFLADNTRAVGGSGRRRCSDVVAFSSSEKGPGPGSGEEREPRAEEALRRLAELDSQLEGLSEPRERPPAPPLPPDPYMDRDMITGRGSKDELPEFSPTYVTFSTLALVILTIFTNVVFNLYIKPSVDGVDQPDRNSEVPLYAVNILGVINAELECAVDNGRVRGEKRSSTELLWCGSGPELYDLLLCR >OGLUM07G18990.1 pep chromosome:ALNU02000000:7:21662766:21668727:1 gene:OGLUM07G18990 transcript:OGLUM07G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGSEPGRPWTVSATWAPAGGAAVEDAVSFETSADDAEAVPSAVFLERLSPDGDGDAPPCEVTVHFRGKYEIHRVYVRSTARIYEIYYTTDPKDSSKDYLCTVRCGLAVKEPLPSAEESMPQWSSDASTSEKHEHETKSVSSSIDEDSWVDVKIPELHVEKNRSKSQEPNAIGAKQETTLAHYEATAEITDASPCVSLTVRLLSLQSKTSVHIEEIYIFADPVEPTNDESETRPGNMGGSSLLAMLVPSLMQMSKSRNQKMDNKYFSDASRTQLSQGCAMAVNNSPCENVVRDAEPCGTDDLNFKSAGMESKLNAIDGDTITYEKGNHYELKDSKSILLPIQTTENTQAPLTKNQSVSNTDQPVTPLMDENPNPYSRIEGKLDTLLSKMEKMELYCSRFDDGMMRPICSIESRLQRLEQQFDVFSMEIKTLQASSARMSAPDGLSDMINPQDKTDNDGKAENSASTTNRQPGLVVRAPEFSLEESFSCDKSNENAVTLRGPSMVPRLLVKAPDFVSESELACEKLHDGSFSPVDFALSSEKEPKTSPGLVVKVPEFPNDSDDELEEEKETEVGDHDDGHTKSDAALSESTVDSFKSKSHVSVDGALASALEALLGSTKKTPSSQSAACPASNSTAENTTDSSTCPFSSEQVDETSTNDGSAGQLSGTFVDANKVNTFISCEEADAVPQTYLSKANLKAEVNDLNNVLDLNMMAFAESTEPLCVPQLHTVEESKDVGSQANLKNNGSSSYMMQSIANTEHIIAPSQLPTVLESINDGQQLNGDRSTLSLAEFLVARNANFSKNVISEALSSNGGAEMHTFKRTSMESAKNIKDINQLLLQKALEVNEDDSAKFSVSGGMNSCCLRAFTDSKKRCIESSGLEASLNDSFTKSEVEHSLSDLCSMESLSAEPAREAVGSGGVTAGNCVDDPFTGSSTVNPTAGEELQKVCDLLYEYNDDVLGMAFVAKKTSKSSPSLEVLLAESSDSEAEISDAKNSDNDAGLSSARLFSTFSSSDDEAPRTDEPIIDVVDLPTPLDAYASSKNELVDDESLLDMDDPPIPPESYASVLSDMHHACLNDQSKPSGTFAEEGNGEYPDSLI >OGLUM07G19000.1 pep chromosome:ALNU02000000:7:21670284:21671345:-1 gene:OGLUM07G19000 transcript:OGLUM07G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHTAASGSRSSSSRGADDREIVISTGREIVVRSGGEEREEEVVVEEELEEPEFRDIHALSPPPTPTPTPSQPSSYHRRRRESWESAAGSRHTSIRSVGSDTAPSELFPTMSREFSAMVAAAANANANAAAAAAAAANGGDSSRAGVDDALGRIGEDELEETNPLAIVPDSNPIPSPRRAHLALPAPGDVSSAGGGHGDEVSVGQVKKEEVESKIAAWQIAEVAKVNNRFKREEVVINGWEGDQVEKANAWLKKYERKLEEKRAKAMEKAQNEVAKARRKAEEKRASAEAKRGTKVARVLELANFMRAVGRAPSKRSFF >OGLUM07G19010.1 pep chromosome:ALNU02000000:7:21683032:21683662:-1 gene:OGLUM07G19010 transcript:OGLUM07G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEGICRQVEAVLCKGEQSRSSFRPVTSGLHAHDQERSVCAVLPHAGCRVVSWELGKLWDGQFAM >OGLUM07G19020.1 pep chromosome:ALNU02000000:7:21691813:21695973:-1 gene:OGLUM07G19020 transcript:OGLUM07G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVCLPSSSSSSSACRAAPLPWSRGVVVGVRRRRTVARAAQRRGRRPGRRGLVIVDEFAGQYEEGFEDVHTEIMNYFTYKATSTVLHQLYEMNPPAYTWLYNYVVVNDPKEGKHFLIALAKERQDLAERVMITRLHLYSKWIKKCDHAKMYEKISNENLEIMRQSAQARDIIVPYTSLLPSDLGCHNSTDLYQVQNRDYSPEIFTACQVVIELIPKDKLNFTESNHNGSATIQLQEDAFYNKAVKLTKDELNGKITQSVGRAIYTDPVPLWDSTTGQLANFTTRFTFKIYAPTNDSSYGEGLAFFLSSYPSVVPNNSMDGYLGLFSNSNDQSDPLNQIVAVEFDSHKNTWDPDGNHVGINIHSIVSVANVTWRSSINDGRIANAWVTYQANSRNLSVFLSYQDNPQFSGNSSLSYSVDLSKYLPDKVSIGFSASTGKFVELHQILYWEFDSTDVHLMKTEKTKGILVISLSTSGSVVVCSIGLVCFFLCFRRIRRTTRSREKEKEKLDCDESIDSEFEKGKGPRRFQYNELVVATDNFAAERKLGEGGFGAVYQGFLKDQNIEIAIKRVAKGSTQGRKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDKHLYDGGNLLAWPLRFKITIGVASALLYLHEEWEQCVVHRDVKPSNVMLDSGFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYMAPECVTTGKASKETDVYSFGILALEIASGRRPVVPKEDNDRISLVQWVWDIYGRNEILNAIDGRLDGEFEEREVISLMVVGLWCAHPDYNIRPSIRQVISVLKFEAPLPDLPPKMPVAMYFAPPISLCRFSQSSNGTLKELERPNSYGNTSSSSATNDSCAPPSVRLPEVGY >OGLUM07G19030.1 pep chromosome:ALNU02000000:7:21706515:21708767:1 gene:OGLUM07G19030 transcript:OGLUM07G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVGDCNQIDFLPFTCDRCDHHRSYTSHQCPNANQKDVTVLICPLCAKGVRLNPNEDPNITWDTHVNSDCDPSNYQKVTKKKKCPVPGCRETLTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKPESTFPFGNMLRRSQKAESHSNSNSSSTSSSWWSSSLLTAATSFKSSAEAGMQKLSTATTQAIQKAKDGISTSSSNSGDLVEQCVQCPATFSTVGALIEHCEKSHQSNSQSSRSRVTVDVCPKCSKAFRDPVLLVEHVERDHGGTSRA >OGLUM07G19040.1 pep chromosome:ALNU02000000:7:21711272:21713412:1 gene:OGLUM07G19040 transcript:OGLUM07G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRSSMIPALLLLASLSIFKLPCCSRSSSFSYDFSNPDTLDDSDINRQGSASLDGFIDLTLSANNGIIFNKSGRGSYGHLVPLWDEATGEVTSFTTRFSFVIKFNYSGKAKYAPSDGLAFFLSSYPSEMPPFDGGGFLGLFTNNTAMNSSAAAPIVAVEFDTYQNEWDQSSDHIGIDVNSINSTVVKPLPDRSLSNVTEPMVASVSYNNSTRMLAVMLLMAPQDGGKKYELNSTVDFKSLLPAQVAIGFSAASGWSEELRNVLTWSFDSTLVVTAVRARHRSEAISVQPIGDRDERFSEDGKLGEGGFGSVYRGVLSEPAGVHVAVKRISKTSKQGRKEYASEVSIISRLRHRNLAQLVGWCHGRGDFLLVYELVPNGSLDAHLYGGGATLPWPTRYEIALGLGSALLYLHSGYEKCVVHRDIKPSNIMLDSAFAAKLGDFGLAKLVDHGDASQTTAVLAGTMGYMDPEYAASGKASTASDVYSFGIVLLEMCCGRRPVLLQEQSIRSRLLEWVWDLQGRGAILEAADERLRGGELALDAKQMECVLVVGLWCAHPDRGVRPSIKQALAALQFEAPLPALPPTMPVPTYSSSPSLALYCDAAAASSSSSSAGFSSSTSGERSSTSSSAATAESSWLLKHNNRGSEKIVLGNN >OGLUM07G19050.1 pep chromosome:ALNU02000000:7:21715278:21769088:-1 gene:OGLUM07G19050 transcript:OGLUM07G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLIRPKPISPPLSRSRRRHRRARAKPTPRLRPPGARADSVSVSPVHARGGESAAAMDSRPREADAPAEPSGGSAPAAAANGEVEITKPRNDKRGYRRVVLPNALECLVISDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSRNAFTSREHTNFFFDVNNDCLDDALDRFAQFFINPLISPDAFLREVNAVDSENQKNLLTDILRMSQLQKHICLESHPYHKFSTGNRNTLLVNPNKEGLDILEELIKFYSSHYSANLMQLVVYGKESLGNLQTLVENKFSDVRNTGRKRFSFYGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKYVSHLVGHEGKGSLFYVLKKLGWAMSLRAGEGDWSSVFSFFSVVIRLTDVGHEHMEDIIGLLFRYITLLQTSGTPKWIFDELLTIRETGFHYRDKSPPSQYVVNISSNMQGREGRTREVTEEKGDPGSDDAVIFPPEDWLIASSVPSKFSPDAIQSILNDLTPDKVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQNWVNRAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMYFHCPLSRSSPESIVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQITMVGYNDKMRTLLETVIGKIAAFEVKVDRFVVIKETITKAYENFKFQQPHQQASYYCSLILEEQKWTWDEKLAAISHTEASDLEKFLPHLLGKTFIESYFAGNMEPGEVKGVIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITWLKQRNDSGVRGLQFTIQSTVKDPANLDARVEAFLKMFEGTLYQMPDIEFKSNVNALINMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKDELIEFFDNHVKVNAPRKKILSIQVYGRLHTNEYEKVVHDEPQPHSYQITDIFSFRRSRPLYGSFKGDPPPPPLSLAVSPCQAKPNPTATARRPRGLGQSTPSAAADAMDSTSRPPETDAPAEAVPPAAAAAPAAKGDVEITRPRNDKRGYRRVVLPNDLECLLVSDPDTDKAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLDDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMNQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVKNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLIFRYITLLQTSGTPKWIFDELQTICETGFHYRDKSPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVEDLHMPKPNIFLPSDLSLKNAEKASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPNSYQITDIFSFRRSRPLYGSYKGANFASSRTQSTPTPTPPTASGGESAAAAMAGSRPARETTTDAPAEPSSDGAVAAAPSAAAAAEGDAEITRPRNDKRGYRRVVLPNALECLLVSDPDTDKAAASMNVSVGYFCDPERLPGLAHFLEHMLFYASEKYPVEDDYSKYIAEHGGSTNAFTSRERTNFYFDVNNSCLDDALDRFAQFFIKPLISPDATLREINAVDSENKKNLLSDPLRMSQLQKHFCSESHPYHKFSTGNLDTLLVNPNKEGLDTLEELIKFYNSHYSANLMQLVVYGKESLDNLQNLVENKFSDVRNTGRESFSFHGHPCSSEHLQIIVKAVPIKEGHTLRIQWPITPNIQHYKEGPCKYVSRLVGHEGEGSLFYVLKNLGWAMSLYAWEGDWSYEFSFFNVVIQLTDVGYEHMEDIIGLLFRYIALLQTSGTRQWIFDELVAISEMGFHYRDKSPPIHYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNDLTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVTPSIIQKWVNMAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTMFSRVWYKPDTMFFTPKAYVKMDFHCPLSNSSPESTVLTDMFTRLIMDYLNDFAYDAQIAGLYYFIRPSDTGFQITMVGYNDKMRTLLDTVIGKIAEFEVKVDRFAVIKETIIKDYENFKFRQPYEQAFYYCSLILEEQTWAWDEKLAAVSHIEASDLQIFLPRLLGKTFIECYFAGNMEPGEAKSVIQHVEDTLFNAPISFCKALPPSQHLTKRIVKLERGWRYYYPALCLNHQDEKNSSILHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITVLTQRNDSGVRGLQFIIQSTVKDPSNLDDRVEAFLNMFEGTLYQMPDEEFKSNVNALIDMKLDKYKNIREESAFFWKEISEGTLKFDRKEAEVAALRDLNKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPHSYQITDIFSFRRSRPLYGSFKGGVGQMKL >OGLUM07G19050.2 pep chromosome:ALNU02000000:7:21733576:21769088:-1 gene:OGLUM07G19050 transcript:OGLUM07G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWLIRPKPISPPLSRSRRRHRRARAKPTPRLRPPGARADSVSVSPVHARGGESAAAMDSRPREADAPAEPSGGSAPAAAANGEVEITKPRNDKRGYRRVVLPNALECLVISDPDTDKAAASMNVSVGYFCDPEGLPGLAHFLEHMLFYASEKYPIEDSYSKYIAEHGGSRNAFTSREHTNFFFDVNNDCLDDALDRFAQFFINPLISPDAFLREVNAVDSENQKNLLTDILRMSQLQKHICLESHPYHKFSTGNRNTLLVNPNKEGLDILEELIKFYSSHYSANLMQLVVYGKESLGNLQTLVENKFSDVRNTGRKRFSFYGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIQHYKEGPCKYVSHLVGHEGKGSLFYVLKKLGWAMSLRAGEGDWSSVFSFFSVVIRLTDVGHEHMEDIIGLLFRYITLLQTSGTPKWIFDELLTIRETGFHYRDKSPPSQYVVNISSNMQGREGRTREVTEEKGDPGSDDAVIFPPEDWLIASSVPSKFSPDAIQSILNDLTPDKVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQNWVNRAPMEDLHIPKPNIFIPSDLSLKNVEEKGSFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMYFHCPLSRSSPESIVLTDMFTRLLMDYLNDYAYDAQVAGLYYAVKPNDTGFQITMVGYNDKMRTLLETVIGKIAAFEVKVDRFVVIKETITKAYENFKFQQPHQQASYYCSLILEEQKWTWDEKLAAISHTEASDLEKFLPHLLGKTFIESYFAGNMEPGEVKGVIQHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSSLLHYIQIHQDDLKQNVLLQLLALVAKQPAFHQLRSVEQLGYITWLKQRNDSGVRGLQFTIQSTVKDPANLDARVEAFLKMFEGTLYQMPDIEFKSNVNALINMKLEKYKNIREESAFFWREISEGTLKFDRKEAEVAALRDLKKDELIEFFDNHVKVNAPRKKILSIQVYGRLHTNEYEKVVHDEPQPHSYQITDIFSFRRSRPLYGSFKGDPPPPPLSLAVSPCQAKPNPTATARRPRGLGQSTPSAAADAMDSTSRPPETDAPAEAVPPAAAAAPAAKGDVEITRPRNDKRGYRRVVLPNDLECLLVSDPDTDKVLPASLAALYLDRDGEGGLAAASMNVSVGYFCDPEGLEGLAHFLEHMLFYASEKYPIEDSYSKYITEHGGSTNAFTTCEHTNFFFDVNHDCLDDALDRFAQFFIKPLLSADATLREIKAVDSENQKNLLSDPWRMNQLQNHISLESHPYHKFGTGNWDTLEVKPKEKGLDTRLELIKFYDSHYSANLMQLVVYGKESLDNLQTLVENKFCGVKNTGRERFSFPGHPCSSEHLQVLVKAVPIKQGHTLRILWPITPNIRHYKEGPCKYVSHLIGHEGEGSLFYVLKKLGWAMSLEAGEGDWSYEFSFFSVVIKLTDVGHEHMEDIVGLIFRYITLLQTSGTPKWIFDELQTICETGFHYRDKSPPIHYVANISSNMQIYPPEDWLIASSVPSKFSPDAIQGILNELTPDNVRIFWESKKFEGQTNLTEPWYGTSYSVEAVPPSIIQKWVEKAPVEDLHMPKPNIFLPSDLSLKNAEKASFPCMLRKTLFSRVWYKPDTMFFTPKAYIKMDFHCPLSRSSPESSVLTDVFTRLLMDYLNDYAYDAQVAGLYYGVRPNDTGFQITMVGYNDKMRTLLETVIGKIAEFEVKADRFSVIKETITKEYENFKFRQPYQQAFYYCSLILEEQTWAWDEELAAHVEDILFNAPVSLCKALPSSQHLTKRIVKLERGLRYYYPALCLNHQDENSCLLHYIQIHQDDLKKNVLLQLLALVAKQPAFHQLRSVEQLGYITLLRQKNDSGVRGLQFIIQSTVKDPANLDARVEAFLTMFEGTLYQMPDTEFKSNVNALIDMKLEKYKNIREESAFFWGEISEGTLKFDRKEVEVAALRDLKKEELIEFFNNHVKVNAPQKKILSIQVYGGLHSSEYEKIVHDEPQPNSYQITDIFSFRRSRPLYGSYKGGVGQMKL >OGLUM07G19060.1 pep chromosome:ALNU02000000:7:21774428:21779849:-1 gene:OGLUM07G19060 transcript:OGLUM07G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAASRVSSAMAVAAAALVVMWAGTAAAAAAAVYEVGDKTGWTIMGNPNYTAWAASKKFHLGDTVVFTYNKQFHNVMAVSKADYKNCDARKPIATWSTGNDSVVLNATGHHYFLCGFPSHCGIGQKVDIRVAASGHSSAAPSMAPAPASGSEAPVAPGGGGGSSGHAAAAPSPHGNAAVGRSVFTAIAVSVLSVAAAGLKLI >OGLUM07G19070.1 pep chromosome:ALNU02000000:7:21780701:21786163:1 gene:OGLUM07G19070 transcript:OGLUM07G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome recycling factor, chloroplast precursor [Source:Projected from Arabidopsis thaliana (AT3G63190) TAIR;Acc:AT3G63190] MPPLHAVSPAAAAAPPRALSSAARVPQRPVLRHATIEEIEAEKSVIEDQARERMEKAIETVQNNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQINTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEEGKVAIRNIRRDAIKAYDKLEKEKKLSEDNVKDLSADLQKVTDEYMKKIEAIQKQKEQELMKI >OGLUM07G19080.1 pep chromosome:ALNU02000000:7:21792670:21793140:-1 gene:OGLUM07G19080 transcript:OGLUM07G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGGVISLAAARRHRLSFPSPLMKSGARVEVADGKQWPSLSSLPRAGIAPPPSLTLVPAPSCAALAPSRPDWDGARRPRRIKEEAAAPGEEVAQVVTSIVSAARLHFPLAADAHLSPLSPPFSLSLPSTATARAMRRANAAAGATPLPVADNA >OGLUM07G19090.1 pep chromosome:ALNU02000000:7:21798085:21804054:-1 gene:OGLUM07G19090 transcript:OGLUM07G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIREGSRRAGVSGGGGGGGPPPARRRLRSSGGGGGGSGSGGPRDSPRSERRRGERLMLNGGGGGGGRDDDDDTSDESLGDDDEDADEELAASAPRYPPVQRRSPSTAPPPSPPQPSGGGGHHHSSSSSGGGGGGYHNHHHHGGQPQMQRKGGSNPKSPIVWKAADEMIGVPVPRKARSASTKRSSHEWSVPGGGSSGGGGSGSIAGDTSQIQRPSSRPISPASGSTTGPARKKLKHLGGSGSSSASGQAPKQRPSPASAPSTAPPQPPPPKITKSPSFIQEEIEVAEVLFGLTRQFPCPSKPVESNHKLEVRDAPEAKSGNSSPAPSSSVARPSDSTSVATIAPKRKRPRLVKYDGDVRPASPAKPDLAEPSSRPETLPASRSEAKASVSAATDSGTTTATAGAQHEAMREQEKREDHRSRDSELRPGESDRRDHRPESRAEPTPPAQPSGKPDGESAAVVSEARNGEATAATKIELASDGARQEKFCIDLMAPPGKSPDRDGASDPDVDKKGLDSEMDMAARGNSEKKDAERPRRGLEINLEDDKMVQRMPADEVAPKKLTLQLDLEKPSLGDEKSPSERRPQPALQQQKPKNEIKHEKSAMPAVTPPMPIPVGSWLGSFPPFGYLGPVPALSAAGLHHPMDVKPGSSAGLQHAALLPPVRPKRCATHCFIAQQIRYNQQVTAKMQNFWTPATAAAAAAAASRSAGPFFGPRPFNMGVVPPAEAASLLVNPMQGSYPVRAHAPLQEAKAPSMATSPFQGSLSKDKAAMNNAAVAESSQRKQPPAHEAQQPGPVPNMLQGPAFIFPFNQQQAAVVAAAANAASRVGDAKPSVGSNTMPPSAAAHNSSANPGAAAMNLSFANLPPADAQLFAILQNGGYPFQVAAHAGGPPAAYRGMAPPGPAVPFFNGHVYPSHMLHPSQQQGASPQNLQKNPMSNMSASSQKHQPQQSQGLLGYAPNANAAAAASNSQSYSSGNQRPVLLPGLAHRQDSEKTVQDGPSGDDKSSHPQKGGYDHNYAVPVHLPNFALMPPTSAAGGGGQSDKKLSDHHPQQLPPASRGQGVRIDLASSPSFVVPFGSVGAPGSAPGGLDFSSLGPNHQLFQSHQEAGRHGYPQLNFATAQSVQAGQHKPQRQVSGETKSVAGDSSTHNTVDSERKKSAAAKYPGDSQQHSLSFSRQDNKSYVPPFLSGSTNDSSSRTLSLIGSEPSNAFSLGGKSANTSAPAATSAAAPSPSSIPQQQQQQQQHFLQLHKQQQQLIQHHHMNSRPRSAAPSNTGGYSDRLNMPSFQGMMYQSSAAQVGVPSQSPQLKPSSARATGAPAGVASPGASPSNLIVMKSGLHQQQAKAPMQSLSTSNHQPQSSSKMGPVTNMSTGGGDLSRSSNAPVASGSPSNSVSKSTGGSPPASGSAKGVPPAVQLPSPQQQSAKNPASTSGAKSASTNHYSSMPMPSILGQQPNVPPGSNSGSKQQSHMMKQQPFPQGGHFFISNAYTPQAPGAAGGVALGLYQKRSADKTQQQQQQPHQQSAMSGSSTMLSLCSTSMSISTTAMLTAPVNVLAAAASNNMKTHHPPAGSFMHLAAASQSPSGVPHSHMSAAQLTFGAPMSMSVKPSSDQKPAAGNGLAFFSTSSISSLYKLKCFSHETSLL >OGLUM07G19100.1 pep chromosome:ALNU02000000:7:21837938:21842113:-1 gene:OGLUM07G19100 transcript:OGLUM07G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSPTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRVMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >OGLUM07G19100.2 pep chromosome:ALNU02000000:7:21837938:21842041:-1 gene:OGLUM07G19100 transcript:OGLUM07G19100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAADDAAAGEDQALSDLREKHRIDLERLTLTSQPLRTLALFALAIGQSIKSTCLCVLKDSARLKFLVLLVASACTPLLLTNGPHEKHVQELLWYIRFGLWWVILGVASSIGLGSGLHTFVLYLGPHIALFTIKAVHCGRTDLKSAPYDTILLKMRPSWLEKDCLEFGPPMYQETIPFSKILHEVHLEAVLWGIGTALGELPPYFLSRAGRKLDELEELDASVSGEGFLSPTLHRAKRWLMSHSQYLNFPTILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAVIKTTLVITLCNNQLLDLVEKRVMWVFGNVPMVSSVLPSLVAKLKTAKSKFLSASVAASASSVVKETKWNLSFSLIWNTVVWLLIMNFIVQIITSTAQSYLKRQQELEIRKKLSATIQTVSETTGLSN >OGLUM07G19110.1 pep chromosome:ALNU02000000:7:21844640:21846788:-1 gene:OGLUM07G19110 transcript:OGLUM07G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT3G63170) TAIR;Acc:AT3G63170] MVSLRFPAATFPRLPPPRPPSRPAFAAALAAAAAAAAAAAASLTLTAKSVGRPPLPHPAPLWASISLADGAAPGSVEPRTGAAFPAETSGGRRLLGVGLRRTTILGLKSIDVYAFGVYADDHDLRQLREKYQKLPVSQLKENAELINDALERDIRMTVRLQIVYGRLSIRSVRSAFEKSVGSRLLKFGGSDTHELLQSFVSLFKDEYKLPKGSVIELSRESSHVLKISIEGEELGSIQSKLLCKSILDLYIGDDPFDKNAKESVQENMASILKN >OGLUM07G19120.1 pep chromosome:ALNU02000000:7:21849589:21853217:-1 gene:OGLUM07G19120 transcript:OGLUM07G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDLGSQQFNTIQPSTFPLSVACAAMAGGDAEEEEEEEETYTTDDALTRAGFGRFQALVLAYACVGWVAEAMEVMLLSFVGPSVKAEWGVSGAAEGLVSSVVFAGMLIGACLGGLISDRYGRRIGFLSTAVVTGIFGLLSAFSPNYASLLVLRFVVGLGLGAGHVLSTWFIEFVPAAKRGTWMVVFHCSWTVGTILEALLAWAVMPVLGWRWLHALSSAPCFILFIFFPVTPESPRYLCSVGRTMDARVILEKIARMNNSSLPPGILTYASTRRIDKVLDDSETALLITEDGGSGIDEHTSSKPGGITALRESWSYDLIRSTFLLWFVYLANYFAYYGVILLTSELSNGQRRCASVGINFMQPKDANLYRDVLVTSLAGLVLAALLVDRIGRKVSLGIMLLLSCAFLAPLAVHLGQGSVTTLLFCARTCIMGGFAVLYVYTPEIYPASSRNTGVGITSSFGRIGSIVSPVVTVSLSENCRQKEAVFFMDLMLFLAAVACALIPLETKGRQIQ >OGLUM07G19130.1 pep chromosome:ALNU02000000:7:21854497:21859327:1 gene:OGLUM07G19130 transcript:OGLUM07G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKSFVCPSVMSRSSMSSITPDLSTNLRRASRSASSSPNSVTGSRRMRRRRTTSCRGRSSSSIRRSSERSASSCAVFFPARPGGTDEEELGLGFAAEDWAGSALGLLGSEPAAEAEEVAGGALERSWRCSSWRRFWSCFCSSSDRRTKLFPPKSAVAAFRFFSSSLFRRSIAPATRRANPELSLSSAFSPPLPGERRRRRG >OGLUM07G19140.1 pep chromosome:ALNU02000000:7:21856163:21858708:-1 gene:OGLUM07G19140 transcript:OGLUM07G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKRELEKKRKAATADFGGKSFVRRSELEQKQLQKRRHEEHRQLLSKAPPATSSASAAGSDPSNPNADPAQSSAANPNPNSSSSVPPGLAGKKTAQDEALLSEERRIDELDLPRHEVVRRLRILREPVTLFGEDDADRLARLKFVLKSGVIDDIDDLDMTEGQTNDFLCDMVELRKRQRAGRDTYVKGKGKRAGGGDGGEGGAGGDNADDGDGDGRRSGDDADADKDSKRMKTKFEELCDEDKILVFFKKLLIEWNQELDEMPELEKRTAKGKSMVATFKQCARYLSPLFEFCRKQVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEEKDPGNPPSEDRLRLMPASKE >OGLUM07G19150.1 pep chromosome:ALNU02000000:7:21859863:21862607:1 gene:OGLUM07G19150 transcript:OGLUM07G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02730) TAIR;Acc:AT4G02730] MSQQQQAPAPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLAAASLDGTVALLSPSSLAAIATLRGHADGVSDISWSTDSFYLCSASDDRTLRIWDVRPVLAGLNPGSGGGGGGAQPADPNADRCIRVLKGHTNFVFSANFNPQTNSTVASGGFDCTVRIWDVKSGRCVRAIDAHSEPVTSVHFIRDGSIIVSGSHDGTCKIWDAGTGSCLKTVIDEKKPAVSFSMFSPNGKFILVAALDDTLKLCNFASGKFLKMYSGHVNRKYCLQSAFSVTNGKYIVSGSEDNCVYIWDLQGKNILQKLEGHTDTVISVSCHPTENKIASGGLDNDRTVRLWLQDG >OGLUM07G19160.1 pep chromosome:ALNU02000000:7:21862731:21868625:1 gene:OGLUM07G19160 transcript:OGLUM07G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAMLPLPLLLAALAVAAAAAGAPRPHPLDPLSAAELTAVRAAVLASPLVPARPLTFHYVGLDEPDKPDVLSYAYGSGGGASRHALPRRAFVIARAGGESHELRVDVANASSPSVLSHAVHRGAGFPTLTLEEQFAAVALPPKHPPFVESVRRRGVDMDDVLCAVFPVGWFGGDGEPRRSRVSKVLCFVAGATANFYARPLEGVTLVVNLDRMAIVGYRDRVAYPVPKAEGTDYRAGKAGPPYAGPAPGVVVQPEGRGFHIDGHVVSRQSEQADVLNPDSNRGEQPARSVRVTKAAKEKVGVQLATVAIVTMRDFASCLSQSGVQVAHSSSPGGQNMVQCTYLARLRGKSCSVTVTWSKMTMGQALSVAVDDSSNRCLCKAEIKPWLFSKRKGSKAMEVDGGALDIVWDLSSAKFAAGPEPVEGFYVALVCDLEAVLVLGDMRKDGDHRVSSDVLASNAVMIARKEHVYGKKVYSAKARFLDIGQLHHITIECDTSGLKDPSLEIRIGKKRVMQVKRLAWKFRGNQTVYVDGLPVEVLWDVHDWLFGSSNGCAVFLFQSGQSMEKLLSRSCSQNEKELQAHRFGFTLILNAWKTE >OGLUM07G19170.1 pep chromosome:ALNU02000000:7:21874799:21876631:1 gene:OGLUM07G19170 transcript:OGLUM07G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKVNSGCRGRRVVVAGTMGWLQSLLAPLKKLWIRMHSAQRKKRGIYILYEDVKSCPCEDVQILWSILVESSHGGGHHHHHPPPLRLKQ >OGLUM07G19180.1 pep chromosome:ALNU02000000:7:21877046:21884928:1 gene:OGLUM07G19180 transcript:OGLUM07G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDPSVSDEDDDLETLVPQNHAKPPSSSFHVAGLRAAVPPSAASLGRVLWSRRYLLLFVALPVLFLVLFVSLGGASSLRLPASIRLPSAAAPAADPSASRMRDAELRALYLLRSQRSGLLALFNRTAAPTNGSSSGSNAVSYADLHDALLGQIKINREIQAALLSAHRTGAAGNATEDGLDLDLPADGCRRRELPSNRRTIEWNPKKDRFLFAICVSGQMSNHLICLEKHMFFAALLGRILVVPSQKLDYQYDRVLDINHINDCIGRKVVITYEEFTEKRKKVSIDQFICYAASPPCFIDEDHVKKLKGLGISLGKIQAAWPEDAKLKEPKKRFVEDIMPKFTTDAEVLAIGDMFYADVEEEWVMQPGGPLAHKCNTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAAESETSLLQSLVVFNDRQVPLVKRPEHHNSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGASGSTFTEDILRLRRGWGSASHCDEYLCQGELPNFIAELD >OGLUM07G19190.1 pep chromosome:ALNU02000000:7:21898515:21902286:1 gene:OGLUM07G19190 transcript:OGLUM07G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKANAAAAGDLPEYAEVDPTGRYGRYNDVLGKGASKTVYRAFDEYQGMEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQKHMRVNIWAVKHWCRQILSGLLYLHSHDPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKVKDPMVRQFVEKCLATASQRLSARELLKDPFLQVDDLVFCPGDGDYSLMNYLRQPYLEHAYSNVSMMSNGLSESIDEDTPTEDRWDCEDDDIKADGIDLFNGHEDEPLGNVDITIKGRKSEDGSIFLRLRIADNDGHVRNIYFPFDIEADTALSVATEMVAELDITDHEVTRIAEMIDGEVSALVPDWRPGPGIEESQDTTYCRNCGSNVSSCGSLYAYMSSAARGCQCAELHGRFEEITFQANGEQTDLQDSGGSSDDGGGQTQHVKDKEAVHSNGFVQMGRRGPPDQFCFSSFQEQSCSPRHYEYDTSLQAKGFDMKHEVKMAKYKARKMAHLRRAIHPSLDFDNLNGERRMKSSLNKLQSFHIGKNHNFRIPTCERSPGARDAEEDPDIFNLAYHSRHPDPGAQRARHCEVDAQSSPDLMFTARSYYTGAQLPTNLPRTKSVTLNAVDA >OGLUM07G19200.1 pep chromosome:ALNU02000000:7:21905942:21906397:1 gene:OGLUM07G19200 transcript:OGLUM07G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSSGKGMSCSVLPYRRAAPAWVKSSASEVEEMIVRAAKKGQLPSQIGAILRDAHAVPLAQGVTGGKILRVLKSRGLAPEVPEDLYFLIKKAVAMRKHLERNRKDMDTKFRLILVESRVHRLTRYYRLAKKIPALFKYDSTTASTLVA >OGLUM07G19210.1 pep chromosome:ALNU02000000:7:21909252:21909563:1 gene:OGLUM07G19210 transcript:OGLUM07G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEEFMPQGLISCFGRSLSRASSGRHLEYCSRDVSGGGEDSRRMAQERSARAKLRWKAVAQEIMARRSGGGGGSGRRRKTAFSYDSKSYALNFDDQAAGAE >OGLUM07G19220.1 pep chromosome:ALNU02000000:7:21914058:21918898:1 gene:OGLUM07G19220 transcript:OGLUM07G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALP2] MEASLPFHHHHPAASSTAAHHAARLTPPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >OGLUM07G19220.2 pep chromosome:ALNU02000000:7:21914058:21918898:1 gene:OGLUM07G19220 transcript:OGLUM07G19220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALP2] MEASLPFHHHHPAASSTAAHHAARLTPPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGISAWAAYIHRG >OGLUM07G19220.3 pep chromosome:ALNU02000000:7:21914058:21918898:1 gene:OGLUM07G19220 transcript:OGLUM07G19220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALP2] MEASLPFHHHHPAASSTAAHHAARLTPPPPPRDPRATARWVPPAAAPARSRSPANLGLPPHPPRRLRLRLAPPRITAAVTGGPRRPRRRAPPPLECAGGSSSSLRRPREEEEEEEEERSSTAHAGVSLVGENKVLQMSSTVPKASNIFWHDCAVGQADRQKLLKQKGCVVWITGLSGSGKSTLACTLDRELHTRGKLSYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASFISPYRRDRESCRALLSDGSFIEVFLNMPLELCESRDPKGLYKLARAGKIKGFTGIDDPYESPLNSEIEIKEVDGVCPSPSDMAGQVVTYLEEKGFLHD >OGLUM07G19230.1 pep chromosome:ALNU02000000:7:21919609:21919998:1 gene:OGLUM07G19230 transcript:OGLUM07G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVAWVAAAARVPAELCQGQGGARGRRRRLRADEVLRALLVAPVRELERLADWLFVFFCLPLPDYYVPGSGRGGLLVARAPSSPSGGALLHYGGRYRRPLSLLLPSSSSSSSSSMSSSEEYYYYSDD >OGLUM07G19240.1 pep chromosome:ALNU02000000:7:21923375:21927616:1 gene:OGLUM07G19240 transcript:OGLUM07G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20110) TAIR;Acc:AT1G20110] MQHGDYASSAPPAAGHYYPHQFAPNPPPHPASSAADAAPPTIPASYASAPPYSVGGYSDQPPSAPSYAPPPPPPQYAGYAPPYNNPNPAPYPPESSPAPAPYYSYPPTAAGAATQHAPAAEPSPAPLPYDAPYYGGYQPPPTAGYGDDDYLNEGAYAYSGDGGSEPYGARGTAPTRSGAAMFDDYGRSIGPSSGGADQWPTGGGGGVGGSFGKIARAVPKAESHEDANGGAQKFRVKLLPEGAGSPTDVLCQIGLDGIRMLDPSTSRTLRIYPLDTLTRWDVLDSTVFAFWAKTPVDFEAKRIRLKSNSYTSNTLLDTVTAATVQFKEIGGDARGRGTVDSSKPMSQSNEKKKGFDWMFAKPVDEVKDHWVPDEAAKKCYSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRTALTAEDNAPLVRVCDRCMAEVSQRLSIAQEAANRSATVQSHEDLARKLKEEMERNRKSSGSASGGSSGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFRVSAN >OGLUM07G19250.1 pep chromosome:ALNU02000000:7:21932086:21936688:1 gene:OGLUM07G19250 transcript:OGLUM07G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAARRGCLVALLAVLFLACAAEGGAAAASAAQQQQQLRRRRHLLRRQRQVHSHLRRLNKAPLASIESPDGDIIDCVHISNQPAFDHPFLKNHTIQMRPDYHPEGLYDESKVASQQNTQTITQMWHKNGVCPENTIPIRRTKKEDVLRASSIRRYGKKKHKSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPRIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPLSNYGGSQYDINILVWKDPKEGNWWLQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKSSYFKNIQVVDSSNNLRAPSGIGSFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNPNCP >OGLUM07G19260.1 pep chromosome:ALNU02000000:7:21939496:21940777:-1 gene:OGLUM07G19260 transcript:OGLUM07G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLRPEPRAAAAAAAGSLFLAGLLVSLRTTTHRDVPMAQFIVNLNASMPASDKFILHMLDPTHMFVQPHVAEMIRSKISEFRDQNSYEKPT >OGLUM07G19270.1 pep chromosome:ALNU02000000:7:21941719:21946171:-1 gene:OGLUM07G19270 transcript:OGLUM07G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter family protein [Source:Projected from Arabidopsis thaliana (AT4G35335) TAIR;Acc:AT4G35335] MEYRRVKDQESYDVVSQKDIESPGERSLSSTSATSSLSTAGASKGKNSWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLIALYRTWNSQGVTEDNRLSTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMLFNLVAICVQDFDAVMNNGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVSVYLHSVGKPQPQK >OGLUM07G19280.1 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19280.2 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQVWLARPSSCLACLVGVVADQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19280.3 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKANKPSQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19280.4 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQVWLARPSSCLACLVGVVADQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPVSDQEKDAVRSAYLRRHPEAFWVDFGDFRFLHIKPKAVRYVSGVATAILGSGEFSAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVQYSTTVKANKPSQVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19280.5 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPTKKKMQYEVHTYEDILRHFGAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19280.6 pep chromosome:ALNU02000000:7:21948288:21952681:-1 gene:OGLUM07G19280 transcript:OGLUM07G19280.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN binding [Source:Projected from Arabidopsis thaliana (AT3G03890) TAIR;Acc:AT3G03890] MKPLLLTPAARLAAAAPSTLSRLLRLQSGRHHAHASSSSSNRLLPPLLRPPRDGFRGGRLIASSSSSSSQVWLARPSSCLACLVGVVADQMAAPADAPGGSADAFEVIRAHQEKAARLPPVEEIRTILDQSVRGVLATHSQGHVGYPSGSMVDFACDQDGSPILAVSSLAIHSKNLSENPKCSLLVAKDPEDRTDTVITVYGDATPTKKKMQYEVHTYEDILRHFGAAEFKEAKVDPISQFSTPITSHMNKDHSDDTKLIVDFAYMLDVDSLGFNVKAGYDGSVLKLRIPFPRRAQDRKDVKTLIVEMLQAAKASSSSAE >OGLUM07G19290.1 pep chromosome:ALNU02000000:7:21981700:21981873:1 gene:OGLUM07G19290 transcript:OGLUM07G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLRMKALAVAAIAASLVASAAAAHAPAPAPASDAAPAVPLAAASLAAAAFGYLFC >OGLUM07G19300.1 pep chromosome:ALNU02000000:7:21983230:21986481:1 gene:OGLUM07G19300 transcript:OGLUM07G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLGAQADTEEEALCRWPSVVPALSSHLWLRRTSTQGR >OGLUM07G19310.1 pep chromosome:ALNU02000000:7:21987490:21989379:-1 gene:OGLUM07G19310 transcript:OGLUM07G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHHFCWFLLLLLLLAAWCVRGEAEVANGGHQDLPPLLSFKSYNPAAAALESWVGGDPCSGAWIGVRCSRGRVVGVFLDNASLVGGVAPLLGLARLGVLAVRRNSLSGRLPPLDNSTSPRLRHLLVSHNQLTGGLRVSLPSLVTLRAEHNGFHGDLRALSVPMVRSFNVSRNMLDGEISGDLSRFPSSSFGENLGLCGLPLPRCVHAYNALGDSVGQSPSAAMEEASSGGSNGGLSKLSVTALMATGIGNAALMVISVAISVAMFVYMRRKLRSWKGASDAALSFEEEDKVRNREEKGQKSNGGGLVCFDGGEELRLESLLKASAEVLGKGVSGSTYKAVLEDGIVVAVKRLSALQFPGRSKAFDRHMRLAGRLRHRHVVSLRGYCNSNGERLLVYDYLPNGSLQSLLHGSNGGGGGGRSLDWAARKAILFGAAQGLNYIHTFPARPALVHANVKPSNILLDEHGAACVSECGVMRYAANIQQSIPQPPRCPPGLFLDRAAAAAGGGGWHGYAAPELASGAGAAGARATQESDVYSFGMVLLEVVTADNAGDGNGGGGGDGGEDETMGWVKIGMLCTAEAPEERPRMAQVLAMMGEFM >OGLUM07G19320.1 pep chromosome:ALNU02000000:7:21992406:21992738:1 gene:OGLUM07G19320 transcript:OGLUM07G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSPRAEEDAKETVKPIFITLKVMDQEDRRIRHTIRMADKLQVVMDMYYAKAPEVTYGTGTFLFDGIRLKGDMTPMGLEMVDGDTVDFFPVMIGGGGFFQCNLLPSSH >OGLUM07G19330.1 pep chromosome:ALNU02000000:7:21993408:21993800:1 gene:OGLUM07G19330 transcript:OGLUM07G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWSGIPAAVKVEKENEWKTPATWEWKAPATRVAGEYVTLKRGTGRFLFDGRRLRGWQTPAELQMEDGDEVNFFEELIGGAAGSGWDPPSSILA >OGLUM07G19340.1 pep chromosome:ALNU02000000:7:22010741:22011628:1 gene:OGLUM07G19340 transcript:OGLUM07G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTDKLRDLTDFYLAMVVPAAVAQGHVCRPVGVFMHYGRRVTGYETPADYDMDDGDEYCDGECQVVYGGKTFEGEKTPADCEMEDGDQIKRMSSMFVTVTVGFVSLGKNIEHAHTLRRTDKLQGLMDLCSSMMPSRYKHGCRFLFDGRFVLGSQTPDDLAQEDVDMIDLTCY >OGLUM07G19350.1 pep chromosome:ALNU02000000:7:22012535:22013089:-1 gene:OGLUM07G19350 transcript:OGLUM07G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAETDAADEFVLVTAAAAAADDDEITHEPRVRVLAGGYSEKSLDANYFAAAAAVASRRDAFDNDDEVDDAVANASEGSGDADNDEEVEMVLVEDEQGGGGDHRWQQHVVGVLCSVGLTAATAVAAGLALLLGCGGGGGGGRQKPAVAVNFRASADYKVQMRSSYHESSMTVTKLRAFDNVIR >OGLUM07G19360.1 pep chromosome:ALNU02000000:7:22013910:22014301:-1 gene:OGLUM07G19360 transcript:OGLUM07G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLALGRGSSVGATPSSSPLSSVHSHRIWLSGWQLWANRGDHAGVPQEMATCCLVPLLAMAILALYWVWDSSVYD >OGLUM07G19370.1 pep chromosome:ALNU02000000:7:22020086:22044409:1 gene:OGLUM07G19370 transcript:OGLUM07G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRSGITAAVKVEEEDDGKTPAAKRAGEYVTLKVQDTDGRAVYRTMRRTEQLQGLMDFYYDRAHGRVQRGTGRFLYDGRRLSGWQTPAELDMEDFDEVDFFEELIGRRGRQLVAGEDDADDSSSPEVEASSLITLRVKDSEGVRITRTMRTTDALDDLMDFYLGMVPADMDAAEGVFMHYGRRVTGDRTPADYDMEDGDEVSFFPDGTWTTPVTLTVTDNNGRRVTHTMRRLHILDILFDLYFAMLPSTAPREGVFIYHCRELSPKQTPDECNMKDDDEIAFSPFSKPSAFVTLTIRGNNNNGGGSVVVTRTMLRTQERLQDLIDLYFAMVPTDDERGEFDVTYCGRKVDGEKTPADYGMEDGDQLRLAPATERSRFVTINLVTMVGVKRAYPLRRTDELQGLMDLCLSREPASMYQNGCIFLYNGRRRVQGSETPDDLELKDGDTIDPGKSVHGRFAHEGSP >OGLUM07G19380.1 pep chromosome:ALNU02000000:7:22044546:22048260:1 gene:OGLUM07G19380 transcript:OGLUM07G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALR4] MREIISIHIGQAGIQVGNACWELYCLEHGIEPDGTMPSDTTVGVAHDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDENDDGEDY >OGLUM07G19390.1 pep chromosome:ALNU02000000:7:22049122:22049349:-1 gene:OGLUM07G19390 transcript:OGLUM07G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYPIWRLEPLDLRLICDEESQSRELESGSPHEKVFERLSRDGAISTVAATVTVTVTAAASVSLDVAWVSDLIN >OGLUM07G19400.1 pep chromosome:ALNU02000000:7:22052130:22053077:-1 gene:OGLUM07G19400 transcript:OGLUM07G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQVQPPVFQLQDYCYYYSQEVAAAASPAAKPTKPRGRKKGSTSHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETADAAARAYDEAARLLRGAEARTNFAPRISPDCPLAVRIRGILHHKKLKKARSAAAATAGSPGAASKKRSTTAAAAAATPTITTTSNSNSDGAGSACGGSSSSSSSTDSCDGAVKQGGGGGGAPTDASEVYRPDFVHAGAEEFDSWMFDTAFGPFPELDSFAAVDAVTPPPATASPEESSAGTPPVEMAEFERIKVERRISASLYAMNGLQEYFDKVFDASACDPFWDFSPLCH >OGLUM07G19410.1 pep chromosome:ALNU02000000:7:22056429:22064994:-1 gene:OGLUM07G19410 transcript:OGLUM07G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDQAAAAALLGGDPAAFDALLSTLMSSSNADRAAAEAAFHRLRGSHPEPLALRLASSLSSPATPADLRAMAAVLLRKLLSPTPSSDASSAAAAPVWPHLSPAGQAALKQHLLSALQSDPPKPIAKKVCDAISELAALLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLASALAHPTSPDVRIAALSAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQIAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHTAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHARVRWAAINAIGQLSTDLGPDLQVNYHQQVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVTKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGTTMENDDPITSYMLQAWARLCKCLGQDFLPYMNVVMPPLLQSAQLKPDVTITSAESDDDIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGLAQGRDESYVKQLSDYIIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDENQVRAVSDEIKNVIIASATRKRERSERSKAEDFDADEGELLKEENEQEEEVFDQVGECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTAEERRIAICIFDDIAEQCRESALKYYDTYLPFLLEASNDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVITHPEAKHADNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLGCLPIKDDKIEAKVVHDQLSSMVERSDADILGPHSQYLPKIVSIFAEVLCNGTELATDETTKRMVNLLRRFQQTLPPDFLASTFSSLQPQQQLLLQSILST >OGLUM07G19420.1 pep chromosome:ALNU02000000:7:22069548:22071677:-1 gene:OGLUM07G19420 transcript:OGLUM07G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNGGFVALLLLLSFLLSSPLPARCDAPLPVNVWPKPTSMSWAEPHMAVRVSSSFHVVAPSGNAHLLSAARRYAALLLAERYRPLVTPAVNVTAGGAGAGAGAAGRGAELGYLTLAVSDLHAPLQHGVDESYALEILPAGAAATVTAATAWGAMRGLETFSQLAWWCGRERAVLVAAGVRIEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPLELPSEPALAEKGSYGDGMRYTVDDLVSCAGEFWLPDASDWPSRLAAEPGAGQLNPLEPKTYQVMSNVINDVTSLFPDGFYHAGADEVTPGCWNADPSIQRYLARGGTLSRLLEKFVGAAHPLIVSRNRTAVYWEDVLLDQAVNVTASAIPPETTILQTWNNGGNNTRLIVRAGYRAIVSSASFYYLDCGHGDFAGNDSAYDDPRSDYGTSGGSWCGPYKTWQRVYDYDVAGGLTAEEARLVVGGEVAMWTEQVDAAVLDGRVWPRASAMAEALWSGNRDATGRKRYAEATDRLTDWRHRMVGRGVRAEPIQPLWCRNRPGMCNLVR >OGLUM07G19430.1 pep chromosome:ALNU02000000:7:22073956:22076049:-1 gene:OGLUM07G19430 transcript:OGLUM07G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMIAKFSAHFVLLLVFSLSNDVVVASAAGGDGQFTYQGFAAANLTVDGLATVTASGLLVLTNFTYQAKAHAFHPAPLRFLGESSTAAAGANASGGAGADVARSFSTAFVFAIVSGYDGLSDHGLAFVVAPTANLSAANAGQYLGFLNATNGTASGQILAVELDTIMNPEFHDISSNHVGIDANSLMSTQARPAGYYGDGDGAFRELRLNSRQPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVNTHHYVLGWSFGFDGPAPPLDLSKLPRLPRFGPKPRSKVLDIVLPLATALLVAAVLAAVFFVVWRRRRFAEVREDWEDEFGPHRFAYKDLFRATDGFKDRNLLGVGGFGRVYRGVLPESNLEIAVKRVSHDSRQGIREFVAEVVSIGRLRHRNLVQLLGYCRRKNELLLVYDYMANGSLDKYLHERNVTTLFWPERLWIIKGVASGLLYLHEDWEQVVIHRDIKASNVLLDSAMNGRLGDFGLARLYDHGTDPKTTHVVGTMGYLAPELVRTGKASPLTDVFAFGVFLLEVTCGRRPIETDEHNKRVVLVDLVLEHHRNGSIVGAADPRLTGKFDVEEVALVLKLGLLCSHPLPGARPSMRNVMQYLERGGKSAPDLSPSYVSYSMMAIMQNEGFDSFIMSGGPRLATSIGTVSGASSMTIMSEGR >OGLUM07G19440.1 pep chromosome:ALNU02000000:7:22077982:22086803:-1 gene:OGLUM07G19440 transcript:OGLUM07G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHSKTMLVVFINIVFIHCLSVNIGAAVANPAASDGRFVHHGFTAEDLTMDGLAAVTPTGLLALTNATYQTKAHAFHPAPLRFLNTSSSAAATVRSFSTSFVFAIVSDDPRFRNNVDHGLAFVVSPTKNLSTANAGQYLGLLSMADDGKPSNHVFAVELDIITNPEFGDIDSNHVGVDVNSLRSLQAKTAGYYVDGDGAFRSLQLNSQKPMQVWVDYDGQAKQLNVTLSPVQVPKPKKPLLSQAIDLSTVMAEEMYVGFSSATGVVFTHHYVLGWSFSFDGGAAPSLDFSMLPKVPRVGPTRRSVMLYVVLPIASALLFLVAFVLGVFFFVRRWHRQYAEVREDWEVEFGLHRFTYKDLFHATQGFTDKNLLGAGGFGSVYKGVLPVSNIEIAVKPVSHNSRQGMREFIAEVVSIGRIRHRNIVRLLGYCRRKGELLLVYDYKTNGSLDKCLHDNATSTTLCWPKRIHIIKGVASALSYLHKDWQQVVIHRDVKASNVLLDSEMNRLLGDFGLSRLRDHGADAKTTYVVGTMGYIAPELMHTGKATPLTDVFAFGVFLLEVTCGRRPIGESDSNEILLIDWVLKHFLSGSILDVVDPRLAGRFSFEEVNLVLKLGLMCSHPLPKARPSMDKVVKYLDGMLPAPELSPTHMSYNMMELMLQNGVGSHSLPVWSSLLDNSVGTMSSASSNRQANKLAAPRRSDANTMLREPFHCVTLFLLLLAAADRCAAATGGSGGLQFAYDGFSGANLTLDGAATVTASGLLMLTNGSIQMKGHAFHPSPLPLRAARSFSTMFVFAIFGQYADFSSHGLAFFVSASADAFAAALPGQFLGLFNGTGATTGNRSAAGVFAVEFDTLFNAEFHDLNSNHVGVDVNSLTSVKAADAGYYDDVTGQFRNLTMISRKPMQAWVDYDGGSTEVTVAMAPLGTARPKKPLLRTNVDLSDVATGAVHVGFASATGILFSRHFVLGWSFAVDGPAPPLNISSLPPLPRAWPKPRSKVLEIVLPIVSAALVAAVAMAVYAMARRRLRYAELREEWETAFGPHRFSYKDLFHATKGFSDKNLLGTGGFGSVYRGVLRKPDMEVAVKRVSHESRQGMKEFVAEVASIGRLRHRNLVQLLGYCRRKGELLLVYDYMPKGSLDKYLYDGSKHPLSWPQRFHIIRGVASGLLYLHEDWEHVVIHRDVKASNVLLDGEMNGRLGDFGLARLYDHGAVAQTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFLLEVTCGRRPIEQDEHGNRAVLVDWVTEQWSKGALVNVVDARIPSGFDPDEVSLVLKLGLLCSHPLPNARPTMRQVAQYLDGDMALPDLSPTYLSFTSLERMYKEFNRNSISYISSASMGAISDISGGR >OGLUM07G19450.1 pep chromosome:ALNU02000000:7:22088329:22096927:1 gene:OGLUM07G19450 transcript:OGLUM07G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSKWCLPCFPMASTAALPIETAFSLPAPLPSWSSSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSTGQDGGGATFFRPEQVPAGFSALGHYAQRNDRPLFGHVLVARDVSGGGLLAPPLDYAPVWSSQDGAAHFWLPTPPDGYRAIGVAVTASPDKPPRDEVACVRADFTDACEAEATVWDKDGFSAVALRPAVRGVDARGVHAGTFVLARSDATAASASALACLKNNGAAYTSCMPDLAQVNALLAAYAPQLFLHPDEPYLPSSVTWFFQNGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNSQRERVKKGDLAGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDAPQLEYLDGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAEPTWLLFDREWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAK >OGLUM07G19450.2 pep chromosome:ALNU02000000:7:22093681:22096678:1 gene:OGLUM07G19450 transcript:OGLUM07G19450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCNINYSVSALDLCRGRWKSRQAKPHLLKDGQAMRVGITRSEVIAIRHSRTGRAESLGAHWFLARASGVCLVFPWPARRRCPSRRRSLFRLRCRRGRRQLHLANSDYYYFFQSCPDHAFIINFPLDYKSNMIGTYPEKNTCTANAGVIWLNANKAALGEAGKMLTGLSEFSVSDGGFAKGSIDLGGLEVRQVTTFAKVWSTGQDGGGATFFRPEQVPAGFSALGHYAQRNDRPLFGHVLVARDVSGGGLLAPPLDYAPVWSSQDGAAHFWLPTPPDGYRAIGVAVTASPDKPPRDEVACVRADFTDACEAEATVWDKDGFSAVALRPAVRGVDARGVHAGTFVLARSDATAASASALACLKNNGAAYTSCMPDLAQVNALLAAYAPQLFLHPDEPYLPSSVTWFFQNGALLYQKGSQTPTPVAADGSNLPQGGGNDGGYWLDLPVDNSQRERVKKGDLAGAKVYVQAKPMLGATATDLAVWFFYPFNGPARAKVGPLTIPLGKIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGAWVDAPQLEYLDGGNRPSAYSSLHGHALYPRAGLVLQGDARLGVGIRNDCDRGSRLDTGGAGRCEVVSAEYLGGGGGGVAEPTWLLFDREWGPREEYDIGREINRVAKLLPRSTRERLRKLVESVFVGEGPTGPRMKGSWRNDEREAK >OGLUM07G19460.1 pep chromosome:ALNU02000000:7:22097474:22104937:1 gene:OGLUM07G19460 transcript:OGLUM07G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homogentisate prenyltransferase [Source:Projected from Arabidopsis thaliana (AT3G11945) TAIR;Acc:AT3G11945] MASLAPPPLPCRAAATASRSGRPAPRLLGPPPPPASPLLSSASARFPRAPCNAARWSRRDAVRVCSQAGAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENPQLINWWLVFKAFYGLVALICGNGYIVGINQIYDIRIDKVNKPYLPIAAGDLSVQTAWLLVVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLIANYVAAIAVAFLMPQAFRRTVMVPVHAALAVGIIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYIFFPLI >OGLUM07G19470.1 pep chromosome:ALNU02000000:7:22122128:22126771:1 gene:OGLUM07G19470 transcript:OGLUM07G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGISTIGAASRSLSSSLMAAAKEPDVENLRLIEELTSNVDAVQERVLAEILGRNADAEYLDKCGLDASDTDRATFRAKVPVASYDDLKPYVKRIANGDRSPILSTHPIIEFFTSSGTSAGERKLMPIVTDEMARREVLSSLATSVLNVYVPGLHTGKGLYFLFARSETKTPGGLTAQPALTSVYKSEHFKRAYAYTSPMAAILCEDASQSMYAQMLCGLCQRHDVLRVGAVFAAALVRAIRFLQLNWAQLAADIETGELNPRVTDPSDRECSRGDWTGIVTRVWPKTKCLNVVVTGVMAQYIPTLQYYSGGLPIVSGMYASSECFFGLNLRPLCGPSEVSYTIMPNTAYFEFLPVGEAVDASNLVELARVEDGREYEVVVTTYAGLNRYRVGDVLCVTGFHNAAPQFRFVRRQSVLLSIEADKTDEAELQRAVERASSALLRPRGASIVEYTSRACTERVPGHFSPALPHWTLAP >OGLUM07G19480.1 pep chromosome:ALNU02000000:7:22129820:22130718:-1 gene:OGLUM07G19480 transcript:OGLUM07G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLVDGDGGTATTRQVDIDCIHGTTTSKRAAGKDKAAMQECHASPPPANYFKNKADYNAAAASASAGAINYK >OGLUM07G19490.1 pep chromosome:ALNU02000000:7:22131580:22133468:-1 gene:OGLUM07G19490 transcript:OGLUM07G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPDVSAIGAALRSPAATVSEADVEKLRLIEELTSDVDAVQERVLSEILGRNAGAEYLTRCGHDAGDTGRATFRAKVPVVSYDDLKPYIQRVADGDCSPVLSTHPITEFLTSSGTSAGECKLIPVAMDDSGRRQALHGLIGPVLKLCVPGLEKGKGLYFMFVKSETKTRGGLTAWFALTSVYKSEQFKSMAIAYTNPTVAILCEDAFQSMYAQMVCGLCQRHDVVRFGAVFAAALVRAIRFLQLNWGQLAADIEAGELGPHVADPSVREAVSGILRPDAELAEFVRIECSKVDWAGIITRIWPNTKYVDAIVTGAMAQYIRTLQYYSGGLPIVSTSYASSECFFGINLRPMCDPSEVSYTIMPNMAYFEFLPVGEVVDATSLVDLARVEVGREYEVVITTYAGLSRYRVGDVLRVTGFHNAAPKFRFVRRQSVLLSVELDKTDEAELHRAVERASSALLRPRGVSVADYTSRACTERIPGHYVVYWELLMESPVGAGDGDTVDGETLGRCCLEMEEALSAVYRQGRVADGSIGPLEIRIVRPGTFEEVMDLAVSRGTSIGQYKVPRCVTVPSMVELLDSRVVSSQFSPALPHWIPTPRSD >OGLUM07G19500.1 pep chromosome:ALNU02000000:7:22134527:22135323:1 gene:OGLUM07G19500 transcript:OGLUM07G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHEEEHEESSLQAAEAELSSARIELESIKEGDLRFTTSIERTRRETARVTDEIRRLTEQEKAASAQVKQLNAKLLKARSRLEAVTAADERADETISKLAAILRQLEDDAEAAEKEKTLTETENRRAMSDAENIDAEIAAAEQRIRESVRELGAARASEAAATARLKAIVESATLATAAAATSRSSSFEYEYLTGRAEVVHAVAEMKAAAAEAWTEARRTSEEIAMRAEAIERELGEASAADAEVTNTPRRP >OGLUM07G19510.1 pep chromosome:ALNU02000000:7:22150594:22155526:1 gene:OGLUM07G19510 transcript:OGLUM07G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MSRTSKRWPPIVLVPTETLVRYAGLLCSSGTARCTAQHTHTPCTLQSSTFASHPIPHHADQFANPPPTPSLEKLNLLFLLHLSHLFSLILHSGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLHAFGCKSQYPASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >OGLUM07G19510.2 pep chromosome:ALNU02000000:7:22150594:22155495:1 gene:OGLUM07G19510 transcript:OGLUM07G19510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MSRTSKRWPPIVLVPTETLVRYAGLLCSSGTARCTAQHTHTPCTLQSSTFASHPIPHHADQFANPPPTPSLEKLNLLFLLHLSHLFSLILHSGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSKPMPLNASKHLEAANKHWLQWQQRWCGVWARKPRQFCYTNSLVLVDSPNYIAVYHDAKMSGLVCTMKN >OGLUM07G19510.3 pep chromosome:ALNU02000000:7:22150594:22155526:1 gene:OGLUM07G19510 transcript:OGLUM07G19510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-Glycosyl hydrolases family 17 protein [Source:Projected from Arabidopsis thaliana (AT3G13560) TAIR;Acc:AT3G13560] MSRTSKRWPPIVLVPTETLVRYAGLLCSSGTARCTAQHTHTPCTLQSSTFASHPIPHHADQFANPPPTPSLEKLNLLFLLHLSHLFSLILHSGAGSSQRSSLQSEVRASFLAVCIWKGEVEVEERSLLRGQDQLRLSASEKVRKVSLDNKKSDARAPKYVASEPKRRGDSVNAHGAFVGINVGTDISNPPSASDIVSILKEKKIQHVRLLDSDHQMLSALANTGIEVVVGVPNDQLLRVGQSRSTAADWINKNVAAYIPATNITHIAVGNEVLTTEPNAALVLVPALQFLQSALLAANLNTQVKISSPHSTDMISKPFPPSTATFNSTWSSIMLQYLQFLNNTASPFMLNAQPYYDYVKGQGVFPLEYALFRSLNPDSQISDPNTNLFYTNMFDAMVDATYNSMQAMNFTGIPVMVTASGWPSHGGQNEKAANVDNALAYNTNLIRHVLNNSGTPGQPNNQVSTFIFELFNEDLRAGPVSEKNWGIMFPNATTVYSLTFEDMATTNTDSPVLRGTFCVANSSAPHSALKQSLDWACGPGSANCSAIQPGQPCYKSDDIVAVASYAFNDYYHRTRASGGTCNFNSTAMVTSTDPSHGSCIFAGSTGSNGSSGGAASGPVSPDNFATQIHSCWLTHLITLLSIMVFM >OGLUM07G19520.1 pep chromosome:ALNU02000000:7:22155900:22161087:1 gene:OGLUM07G19520 transcript:OGLUM07G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATECDVNKSRRFDLGMSRRTRRSTSLITCYQDQQVQPLVQQLRQDAKLKTLFQGQDTELQPPCPYEDQELRILQAPLQCEVDAQETLNQHRDEQEEKLHHYLDEEHEKKLQDHLDEEPEKKLHHYLDEEQEKLFQDQDEEKKTPKQYLDEDQKTLQQCQDEEKAPNQYEDEDNTTGQYQDGEQKTAEQCEEEKTSEKYHDEEHKSLEAQQQCQDTKQKAQEQRKTVKKPITPPFADDVPRFSLQDLIQEKQLLIVGEAKATSKLGNGEKAIIADHKLPVPPAAGGATLAMVIKRPDGGKKSMGVIRRCVKALNQMVKAKHGSKKNKPF >OGLUM07G19530.1 pep chromosome:ALNU02000000:7:22164262:22166322:1 gene:OGLUM07G19530 transcript:OGLUM07G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 2 [Source:Projected from Arabidopsis thaliana (AT3G61470) TAIR;Acc:AT3G61470] MALVSASSSTTAVAALPGAARASSFLGGAGRSGRLLLRQAESSSALASFAVRAAAPDRPIWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGTGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >OGLUM07G19540.1 pep chromosome:ALNU02000000:7:22167677:22173308:1 gene:OGLUM07G19540 transcript:OGLUM07G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALT3] MAASARRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTTMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNKQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMLE >OGLUM07G19550.1 pep chromosome:ALNU02000000:7:22173409:22175099:-1 gene:OGLUM07G19550 transcript:OGLUM07G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGGLHQQRWHRHSPLSLVSKHALLSPVNPTQLATMLEEKQLLKGNADHTKRHANSFRFGLADDRTVVIHNTSFLAVAKPGDKCWTAVDLSDHLRPIMSFAGRFYGVTTHQRCHHGGGGQPGEPDAAAGGGRRDLTLQHRFSRMLGSAHLVDNNGELLLVHRTLSGDKRLYQAYRVDLDGRKTVPVRGLGGRAVFIGHDCSLSVSPATFPPSLVTPSTRASAVGIELAGSTSKPMITWQMEPLNTHSMKTAKRIGNIL >OGLUM07G19560.1 pep chromosome:ALNU02000000:7:22178452:22180419:-1 gene:OGLUM07G19560 transcript:OGLUM07G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPLHGSGPLRSRNASSSDEIQLRIDPVHSDLDEEIDGLHSRVRLLKGVAQEINAEAKFQNDFLSQLQMTLIKAQAGVKHNMRRMNKSIIQQGSNHVVHVVLFALFCFFVVYLLSKFSRR >OGLUM07G19570.1 pep chromosome:ALNU02000000:7:22181526:22184988:1 gene:OGLUM07G19570 transcript:OGLUM07G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVGRMKPWRRCCAAPPADDALDRRFHPRQWLILPEKPRIRYHCRLLNLSTGECILAHLPEFRGHRVFSPSTEGLVLLLHESTHVARLLNPLTHQLTDLPPVTTLLDLLLPLCDLSVDGFGLADDRTVVIHNTVFLAVAKPGDKCWTAVNLSDCLRPSMSFAGRFYGVTSDAIMVVEVSRESQTPQLVEAADLTLQHRFSRMLGSAHLVDNNIGELLLVHRTLSGNKRLYQAYRLDLDGRKTVPVRGLGGRAVFIGHDCSLSVSPATFPSIVGDAVYPGFDCGDRTGLEHIEAYHLADGTIEHSCYEDPGKEWEHPVSIADYLSSWV >OGLUM07G19580.1 pep chromosome:ALNU02000000:7:22193729:22194546:-1 gene:OGLUM07G19580 transcript:OGLUM07G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDQFAVSVNHVHALAILVVTFHYDKHPPALDQDTFAVYVARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPAQDEYAPVIYSQPPRRPSPTSRKRRGES >OGLUM07G19590.1 pep chromosome:ALNU02000000:7:22194668:22200406:1 gene:OGLUM07G19590 transcript:OGLUM07G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGSSGGGRRTVGDGGGEAPKLENFLDGNLFSDVHGQAAGGYLYSGSTVNGAGGYSNGGCGGGTIELSMIKTWLWSNQPQPQPSPPQHADQDMSTDASASSYACSDVLVGSCNGGGGGAGGTASSHGQSLALSMSTWSVASTAGGSVVVAAESSSSENRRVDSPGGAVPRKSIDTFGQRTSIYRALAGVCERRQLLTREASRSRRSQQGLHVRRWKLVGVQDDDSTRVIWRRGRGIGAAGVGEEGIPRAYLMEVLGRRLGETGWSTASAKGTCNRDAAGWRRPAAGERRRRRVRDVRAGRASGVCEGFV >OGLUM07G19600.1 pep chromosome:ALNU02000000:7:22197034:22197759:-1 gene:OGLUM07G19600 transcript:OGLUM07G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWRKRCSIQSHRASGRGLPSVQFFIRAVSGRRRAETKKQHVLRERTPARRHELSRGNNTLRS >OGLUM07G19610.1 pep chromosome:ALNU02000000:7:22202163:22209605:1 gene:OGLUM07G19610 transcript:OGLUM07G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSPLLLLLPSTRHHRASPSFFLRLGLPRTSCSCGGRCFAPVPRRSSSSESSIEELDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQVSHMSMVNCMTSNPTSRVALVSCRNAFTMIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTEEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >OGLUM07G19610.2 pep chromosome:ALNU02000000:7:22202163:22209605:1 gene:OGLUM07G19610 transcript:OGLUM07G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVGARVSHAFRSPSLLPFPSVPPLSSSSVFALRLLLPHGSLPAHSSSPLLLLLPSTRHHRASPSFFLRLGLPRTSCSCGGRCFAPVPRRSSSSESSIEELDEPLGRVPILSYGSGHMLNDITSSCWFTYLLVFLTDIGLSPSDAAVVMLSGQLADGFATIFVGELIDRFGHFKLWHAGGSILVAISFSSVFGSCLPCKLTGTISSTMETVGYSTFAAIFNVGWAVTQIANLSLYGIALLIFSLRQSVSVIVQYRWIAYVSIALGSCFVVVFLIGTEEPGLNQHCQNKRLSRISWTHWFKKVLYYQVALVYMFTRLVTNVSQALLAFYVINDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKNYFSAGAMLWILSGVGIVVLPSGMHNFMYALSITIGVANALMTVTSISMEGILVGEDLNGCAFVYGSLSFVDKVSCGLALYILESYQGSTNTRQNLELAFGYSVTRLGLGLVPAACSLLSAIIAYTMDLPDTRRRPLVEPLLA >OGLUM07G19620.1 pep chromosome:ALNU02000000:7:22210608:22212920:1 gene:OGLUM07G19620 transcript:OGLUM07G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSKPLQCLALFLLLAQLAHSAFIPKPKNRTEHKPDQLSNTYIVHANHLLKPSRFATLEHWYISMVATHSPRAATNATAAAAAVAGRILYTYDTVMHGFAVRLAADEARSLSRGAPGVTAVHQARMYYPQTTRSPGFIGLDPEYGLWRDTEFGDGVIIGVIDSGIWPESPSFNDSGLAAVRRSWKGGCVGLGARLCNNKLVGAKDFSAAEYGGASSPRDDVGHGTHVASTAAGSEVHGAGLFMFARGTARGVAPKARIAMYKCGGNWGCSDAAIIAGIDAAVKDGVDIISISLGGFPIPFYEDSLAIATFGAQREGVFVALAGGNSGPRPYTVTNVAPWMTTVGAGAVDRLFPANLTLGNGEVLVGQSLYTKMATGTTMAPLVLLDSCDEWSLSPDVVMGKIVVCLAGVYEGMLLQNAGGAGLVSMQGEEWHGDGVVADAFTLPALTLSYSKAEKLMDYFESAASPVASFSFACDTVTGENRAPTAVGFSSRGPNRVVPELLKPDVLAPGLNILAAWPRDIPVSMLNIDTRRSEFNILSGTSMACPHAAGVAALIKKRHGDWTPAMIRSAMMTTAATLDNTGRDITDEGVQEAANATFTSATPLAAGAGHVRPQLAVDPGLVYDAGVEDYVDFLCSLNYTVEQLRVFVPDTAGCALALPGGGPANLNYPSFVVAFNGSTRVRTLTRTVTKVYEKPETYSVAVSAPAGVKVTVTPATLEFKEKNEEKSYTVEFTSVAGGHVNQSWDFGHISWENRKHQVRSPVVFMWN >OGLUM07G19630.1 pep chromosome:ALNU02000000:7:22213350:22214192:-1 gene:OGLUM07G19630 transcript:OGLUM07G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDVSSPLNIGLTDPEWMCTSMILRFLLFCSVLYLLLQGKNRIGESAGETAPTRALLRFGPKRLSGHRSAAACGPSGHTARPRPSTRVLKTSHGLWPVEEAN >OGLUM07G19640.1 pep chromosome:ALNU02000000:7:22219003:22220894:-1 gene:OGLUM07G19640 transcript:OGLUM07G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPPSLPRAVFPSARRCLPSPYRFLPSTRRKRLVTVAKLAFPAELKAHTLHLVDNGGELMLVHHCFGTTRRGAGGGGFNLFNDRLTSFYYTHRVRLMLQLWMNSFVCSQRFSVIAMKKQLQDEIQQHEEAHAGAGLEAWKWKGRPRGARAVNSKYRGLEWAM >OGLUM07G19650.1 pep chromosome:ALNU02000000:7:22223951:22224142:-1 gene:OGLUM07G19650 transcript:OGLUM07G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKTAIDKELVESDTKASTSRGKCYRCRACRLAPQQLRLHSYHIIIAKGIAENEQDTECPL >OGLUM07G19660.1 pep chromosome:ALNU02000000:7:22227953:22228909:-1 gene:OGLUM07G19660 transcript:OGLUM07G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAPARDDEEPQDGYYSIVYELHAPGDDEWLLRHLWREAHPMAQAGGEAGAPVLGRMMAAMRRWWWRADPQLHLGRARLLLRRWRAGSASARRLARWRREGGVTPRVLLQSAEDIEGYFDFLSIRYPFALPSFFLRYERVMHDFAVAGGITVLTPFIWGALVAIMVGLGADMGPLLELDVLGITDGLQLDGRPVVPEPEQQVHQPDPDEPEHVYRVVLHGEEFMFLTNIPRAKFYLLIAAVFVVVFLFHPFSAET >OGLUM07G19670.1 pep chromosome:ALNU02000000:7:22230970:22234560:1 gene:OGLUM07G19670 transcript:OGLUM07G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cycloligase [Source:Projected from Arabidopsis thaliana (AT5G13050) TAIR;Acc:AT5G13050] MIKNGAASLMVRLLHLPRAASAPTYPRRRHHLALLPSLRLRVPAPAAAAAMSSAAAAQEVADQKRALRSEVRRALRALTPEQRASEDQAIQNAILNSSWFKESKRLCAYISCAQLREVDTSKVLSEVLSPNSEHGHAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPLDASGNAREEGQAFDRTGRRLGRGGGYYDTFLMRYQELAKEKGWDQPLLVALSYSVQILEEGIIPVNSTDVPIDALVSSSGIIPISPAALARI >OGLUM07G19680.1 pep chromosome:ALNU02000000:7:22234892:22243896:-1 gene:OGLUM07G19680 transcript:OGLUM07G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSYAIHRTPALPRIVSNSSSVATAEDAHRGGNYKQRPICRGDERLPMPPLHSKRRRDEHHRRDYHYDDDDYAYRASKKKKQQAAAEQAARMPNNRTTRPTTRRASSSRSRAKEESHARAMKMMSMAQIMRKTSVVVLQEEEDLTSSATRRAPAPPPREVVRVRLRLTPAPVATAEDAARGGKMPPPPPLPTKRRREVVVVDDDDASRASKKKHVLSKKEEAALARCSSAAARRPMIKNCSGGGERSQESAPAPSPSPARLGSSTAQDTLSAAIAVARSVMDKRREVSLWREEAHGGSWPRWSGPWNSTTRTSCPEPEPEGPVASHAQPLNPEGLSSPTAVARRGRRARSGGQGYGAGCSGAGGSGRVQRGRSTAAEPLLRAAARRRRGGEPQGRPAGGSRQAAAERRPASACAAAADCGLPPAGLRRGGDSASTRLGGCSRRGRGLVAWRLGRHLAISVDRRPPRHLLELMEGFKKLGPPLRRSRQAFGGPAVQPARARQRGRGGAGAAAWPPLGAQDGPTAEDHLIVVRVLSIKRQLLALKVKISSHRSHSNCYIMSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTNGPSGEGGLESAAYESMRKVFDEMLKRDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFKRMELGNVKPNHITFLAVLTACSHAGLVDNGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREGQPPLDASAIYNSSLRHFEISDSKFPLHSISLSYDNLAWMLALVEISADLWSIRSALQGTEEIGCLVRIRIKPARAARAEEDAGKQEERLPMDHPLSTTTTKRRPEGEESSGAASQPAPERVNPTGTTTPAARAAASCCVNEEALASSSHAGGRDDGASAIKKCKDGEGRQEDAKNAQESSGMTSPAVHSARATSPAPPPSRTKKETPVRAHAAVRDDDATPPRAIKKCKSDERRQEKDGESAGQCATTSSPRVQPSPTPSPAPAARPDPSAAENSLREAIERARPHMRRDIARQREAARREIASMVRTVEFNDPFISPEDVNKP >OGLUM07G19680.2 pep chromosome:ALNU02000000:7:22239765:22243896:-1 gene:OGLUM07G19680 transcript:OGLUM07G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRSYAIHRTPALPRIVSNSSSVATAEDAHRGGNYKQRPICRGDERLPMPPLHSKRRRDEHHRRDYHYDDDDYAYRASKKKKQQAAAEQAARMPNNRTTRPTTRRASSSRSRAKEESHARAMKMMSMAQIMRKTSVVVLQEEEDLTSSATRRAPAPPPREVVRVRLRLTPAPVATAEDAARGGKMPPPPPLPTKRRREVVVVDDDDASRASKKKHVLSKKEEAALARCSSAAARRPMIKNCSGGGERSQESAPAPSPSPARLGSSTAQDTLSAAIAVARSVMDKRREVSLWREEAHGGSWPRWSGPWNSTTRTSCPEPEPEGPVASHAQPLNPEGLSSPTAVARRGRRARSGGQGYGAGCSGAGGSGRVQRGRSTAAEPLLRAAARRRRGGEPQGRPAGGSRQAAAERRPASACAAAADCGLPPAGLRRGGDSASTRLGGCSRRGRGLVAWRLGRHLAISVDRRPPRHLLEFIKRQLLALKVKIFSPDACHPHPLPELTLRWQNLDLLF >OGLUM07G19680.3 pep chromosome:ALNU02000000:7:22234892:22239723:-1 gene:OGLUM07G19680 transcript:OGLUM07G19680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAVASPHFPPSWAYQIRMAASQGQFLHAISLFLQMRASVAPRSSVPASLPAALKSCAGLGLCTLAASLHALAIRSGSFADRFTANALLNLCIKLPGFHHPFGTNGPSGEGGLESAAYESMRKVFDEMLKRDAVSWNTLILGCAEHKRHQEALSMVREMWRDGFMPDTFTLSTVLPIFAECADIKRGMVVHGYAIKNGFDNDVFVGSSLIDMYANCTQMDYSMKVFDSFSDCDAVLWNSMLAGYAQNGSVEEALGIFRRMLQAGVRPVPVTFSSLIPAFGNLSLLRLGKQLHAYLIRARFNDNIFISSSLIDMYCKCGNVDIARRVFNGIQSPDIVSWTAMIMGYALHGPATEAFVLFKRMELGNVKPNHITFLAVLTACSHAGLVDNGWKYFNSMSNQYGFVPSLEHCAALADTLGRAGDLDEAYNFISEMKIKPTSSVWSTLLRACRVHKNTVLAEEVAKKIFELEPKSMGSHVILSNMYSASGRWNEAAQLRKSMRIKGMKKEPACSWIEVKNKLHVFIAHDKSHPWYDRIIDALNVYSEQMIRQGYVPNMEDVLQDIEEEQKREVLCGHSEKLAIVFGIISTPPGTTIRVMKNLRVCVDCHTATKFISKIVAREIVVRDGQPPLDASAIYNSSLRHFEISDSKFPLHSISLSYDNLAWMLALVEISADLWSIRSALQGTEEIGCLVRIRIKPARAARAEEDAGKQEERLPMDHPLSTTTTKRRPEGEESSGAASQPAPERVNPTGTTTPAARAAASCCVNEEALASSSHAGGRDDGASAIKKCKDGEGRQEDAKNAQESSGMTSPAVHSARATSPAPPPSRTKKETPVRAHAAVRDDDATPPRAIKKCKSDERRQEKDGESAGQCATTSSPRVQPSPTPSPAPAARPDPSAAENSLREAIERARPHMRRDIARQREAARREIASMVRTVEFNDPFISPEDVNKP >OGLUM07G19690.1 pep chromosome:ALNU02000000:7:22252193:22265588:-1 gene:OGLUM07G19690 transcript:OGLUM07G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAEDQKQWKVVEVQRINAESHPPPRRDDRCDDLRNDEEFQRVMDDVVFGRGYDPTRDDLRISTDPTAMVELIEYYHKMGLIEGEWVAYSFLEGVDGVELEDKTAMDEKPVKEEDDPLVEKKFFLPKLLKEDWQLAPESPDGCEIWCFSMSVSNRDSSSLSY >OGLUM07G19690.2 pep chromosome:ALNU02000000:7:22264925:22265588:-1 gene:OGLUM07G19690 transcript:OGLUM07G19690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTAEDQKQWKVVEVQRINAESHPPPRRDDRCDDLRNDEEFQRVMDDVVFGRGYDPTRDDLRISTDPTAMVELIEYYHKMGLIEGEWVAYSFLEGVDGVELEDKTAMDEKPVKEEDDPLVEKKFFLPVGNPLYFRFCNQE >OGLUM07G19700.1 pep chromosome:ALNU02000000:7:22259697:22260035:1 gene:OGLUM07G19700 transcript:OGLUM07G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSTGGCLPMGLATTPRTDVGRGQDLGGGGRRAAWICSAIDFIDMEGGVAFIDIAMDGAEGAVDVDALDDDLGVVDQARPLTRPICFTALVKICEGLKMCFTRIRRVAWI >OGLUM07G19710.1 pep chromosome:ALNU02000000:7:22274482:22275049:-1 gene:OGLUM07G19710 transcript:OGLUM07G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPRRKRNDDLLHDEEFQRVVRDIVIGPDNVPGGGHALRIIRDPATAFEELLECYRKAGLLEGQVWKRCNIFKGLEGLDNLQDEVKMEETVKEEEEEATGCRGRDASPDRPDELAKKRRLDGP >OGLUM07G19720.1 pep chromosome:ALNU02000000:7:22276803:22277530:-1 gene:OGLUM07G19720 transcript:OGLUM07G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEEKPLPAEEIQGINDEAEPHPPSRNKDFLHNEEFQRVMRDVVVGPDYVPGGYALRILTDPATAFEELLEYYRKAGLIEGQVWKRYNIFEEVEGLDNSQDEIKMEEEPMKVEEAVRCRGRDATPDCPNEVKKRRLMDSDREAVPCNLPQECLSNSWYAAV >OGLUM07G19730.1 pep chromosome:ALNU02000000:7:22280994:22282028:-1 gene:OGLUM07G19730 transcript:OGLUM07G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAAAAAAAGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYNLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPSSAGGASVGMSPCSSTQLLSAPSSSFPSPVPSYHASPASSSFPSPSRIDNPSASCLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTASRPPKIRKPDWDVDPFRHPFAVSAPASPTRGRRLEHPDTIPECDESDVSTVDSGRWISFQMATTAPTSPTYNLVNPGASTSNSMEIEGTAGRGGAEFEFDKGRVTPWEGERIHEVAAEELELTLGVGAK >OGLUM07G19740.1 pep chromosome:ALNU02000000:7:22283761:22288846:-1 gene:OGLUM07G19740 transcript:OGLUM07G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTVVFVAVDCFKNRLEISGAVDMGTNLLFLPEFLLYTCMMASSCFAYQFNPSEEAEHSYLRFADVKRQCRSVLTSASELADNAYRVKRVKRELSFEKGDWRQDAGTDPLVPFDGGDAAEDGRRPPLDPLRLATFVVTHVDDDDERRARNAVNVSGLLVLTISRTSASPEIGYHVPVVSSPVFELLPGSTKLRIVFEGVYTEAARSGNGGGERVLCMVGAGVLPTRGADGADPWGWAKNSGRAGFQPPVATDENMLLVLRYPKELTLTTRAVVGEMRSTRAMSDAAYFDTVKLVSGPTWNRQYEFRRPEELAAAAGTCRPLTSSDDGGNRARDLYKGRHLCDVLERYIPGVITARPTWRHCNSTATGAPCPFEMDRTEDAAIVGIVLHDLRCLGYDLDMAGNPGGVKVSVVFRALSPREHWYTAVQRTALSGATLSAEGVWNASAGEVSMVACRGIGGKACHFRVCLSFPATFSITGRDMMLGEITTVDVNETGGGARSSLSFRQRMPPPRLQRCVSGILPVVYRYNYTKVKLAGEFLRRNSSPSDLREIIARSLPLSYPNCGGNGDGKRSLADLADRLTLRFTAMPSLFSPPGWMERPVLHLEVFFLGQLIERFMPASDDATTRSSAIPGDEPCLQEQRLLNVSAELTIFGDLRVASSAMSLEGVYDREDGRMYLIGCRDVHHLPWRSSSARRELELEEGMDCSIEVKVEYPPPTTHWFVRSTARVQIASTRVAGDDPLHFDTVKLRAQPVRYPRRWPDFVSRAIVDSVLCVVLLTATIAAALCQLHHLKHHADVAPYVSLVMLGVQALGLVMPLFAGMEALLARVTLQPELDTTRPLPPPGSSYMLDYNPPYQAVDRTAKILAVAAFLLTLCIAWKVRRSRARLLARSPGVAARVPSDGKVFVYCSSTHLALFVVFLALNSSRDATVEQHVGLMQDMFLLPQVIGNAAWRVNCKPLAGSFYVGITAARLLPRAYDLVRPTPVADVFSDDVHASATASAISREGFFPRAGDVVMPLAAVSLAGAVFVQQRWNYAIVSRMGNSSQQQKLHHIF >OGLUM07G19750.1 pep chromosome:ALNU02000000:7:22288849:22292037:-1 gene:OGLUM07G19750 transcript:OGLUM07G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVVANLQILGLCLLHLCLAASAAAYYPDMLLETSFIPRDYARYADVARRCRSALASADELSPFDPVGAGVLARDLSFANGDWGQDAGRAPLMPSQGGDSPFLRLATFAVTHIDTDALRRRRPAMSAVNVSGVLSFTITRNCCCSSEYAVPHRQVSPEFKLLPGASRLTILFEGVYTETRSPGNDDDIGGGERVLCMVGNGVLPMRGGDSADPWAWARNAGDGSFEPPVMADGNMVLILRYPKVHTLTTRAVRGELTSTSAASHNAYFDAVRLVSRIGQYSSYLFRPEHGELAANGCSTSTTRPFVCDDGVEGNCAGDLHGGASFCDILTELSPGDHGVLAVVPNWNCNSTDEFCSRLGPFQTGGGATNTTDRMLTGFAIAMQDLRCEPHGGEKPAARVSAVFRAVSPWEDQQLAVRRTGLGGATLSAEGVWRASTGQLCMTGCLGVIDAAAVGDEACHYRVSLHVPTTFSIRRRSIIVGQITAGDGSHFPLSFHQSVPPKHPWNRFGRSEASLRVAYDYTKVENAGELLRRSEPSGFRSSSIAKALVSYPRQAGAAAAAAADEMMSLSDLADDLSLHFQPGPRLPFLPEQKVWPQWPALHLDMLSVGPLVGSLRTLPSTPVARAEIDGGVEQQQHQLLNVSAVLSLSGKMFGWSPVMSLEGVYNQEDGRMYLIGCRNVEAPWRIVSTSRDLEDGMDCSIEVRVEYPPKTTRWLFSPTATACISSTRDAGDPLHFNTTELRTTPISYRGGRRDAPPDTLTEQTIEGLVCIAMLSGTIAAAVGQLRYIASHPDVAPYVSLVALGVQAVGYTATLVTDAKMLPAWPTYNYRMYVGHLHWNMDSTVKALTLAALLLTLRLAQKVRRSRARARARSPAEPGRVPSDGAVLLRSSGVYLAGLVFVLAVHAVATHTSSTSKQEVFFVEQKAAAASHAPPSCMRTRGAVVERYVGLVKEWFLLPQVIGNAVWRVNCKPLRNAYYGGVTAVWMLPHVYRYLRPPEVYIYRPEVQDDAMAFYAKATDVVVPVVAVALALLIYVQQRWNYKIVGWSLLRTEQTKVQHVY >OGLUM07G19760.1 pep chromosome:ALNU02000000:7:22299480:22300886:-1 gene:OGLUM07G19760 transcript:OGLUM07G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFHPLAASRVRISPLIPAAAMAGTAGAAAASYAQHRRRFCAIVATAAASPVPAAAAAAATGFDFNAYMGEKAAAVNRALDASIPADEPPAALHEAMRYALLAGGKRVRPALCLAACAVVGGREAWAMPAAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLTGDALLSLSFHHMARFDSYPPDIDADKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGSTETVPLERLEYIHLHKTAALLEASVVIGAILGGGSDEQIESLRMYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLEKSREFAEKLLSDAREQLSGFDQETAAPLLHLANYIAYRQN >OGLUM07G19770.1 pep chromosome:ALNU02000000:7:22303971:22306980:-1 gene:OGLUM07G19770 transcript:OGLUM07G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKKPPAVSDVGAWAMNVVSSVGIIMANKQLMSSSGYAFSFGTLRAFARSSSSPFPSPQIWIRRFGFVPATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAVSLIILGPFADYYLNGRWLLNYNFSTGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVETEKKASAPIPRNKSDMLDDSEDVPLKARVSGLPSSDLEEGEMKS >OGLUM07G19780.1 pep chromosome:ALNU02000000:7:22312223:22326974:1 gene:OGLUM07G19780 transcript:OGLUM07G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALW1] MAQLGPNTGQLANTTPLIMKGCEPATASLFPWWLTGKIAGRAVLLAGQPGTGKTALAMGIAESLGAETPFASVAASELFSLDLSKTEEALTQAFHRSIGVRIKAEAEIIEGEVVEISIDRPVSGGSSAPSGVAAAGKIGRLTLKTTDMETVDELGGKMIEALGKEKAGMDVVALDKAFGKVTKLGRSIGRSRDAGITMLFVLRPSPSSALRASSRSARRSCIVSTLHEIDVINSRTQGFLALFTGDTGEIRAEAREQIDTKVAEWREEGKAEIPYTEDDIPKVLDIRCDEEDVEMSTAYAKKHMGKIVEMEDISRVYQLFLDVSSTHVKSKEF >OGLUM07G19790.1 pep chromosome:ALNU02000000:7:22332082:22335417:1 gene:OGLUM07G19790 transcript:OGLUM07G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNPTGTEGEPGPAVEPAPAGAGAAPVVKKKRNLPGTPDPDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHNPTRALGDLTGIKKHFCRKHGEKRWTCQRCGKRYAVQADLKAHTKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAVPAPPSPRPPDLEAEENVDKDKEEEVKEKEKEKELEENEDSPVAEVYEPQPSQAVAEVPQQCAPSPPPPPPILQEHPQPVVAVVPNVDEQEVVAKPAVIAKIEVEDERDEDVCFQEADRYKDAELEDSNLLDNDTPMLPCFLPSPSEAIGTDGSSTSCGAGSSVTNAIAPATTTSTFAGLFASVTTSSTPQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTNASDPCSFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLAASTSSSPPSKLSQGRFTTGNTPTTSHPHPHPHPHPHPPQGRYMDNVPQPPPPAKLPHRMFTDNSVQQWHHRSNQQMEMEPGPMLPGGLGLGLTYDSGNSGLPDLMMGPSALYGPKPATLDFLGLGIGGTMGGSTANGGLPALMVGGELDMGSAQAPWEEAKRKTNGRTIL >OGLUM07G19800.1 pep chromosome:ALNU02000000:7:22358488:22359952:1 gene:OGLUM07G19800 transcript:OGLUM07G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYGEKQQQQQMFASYVDASLLAASGEVQGERPRARRRRRRGARCVGGGGGGGEVDGGDPKKRRLSDEQVEMLELSFREERKLETGRKVHLASELGLDPKQVAVWFQNRRARHKSKLLEEEFSKLKHAHDAAILHKCHLENEVLRLKERLVVAEEEVRRLRSAAGSHTASGEGGDIMGLGGSGACVAGSPSSSFSTGTCQPPSFGGGGGGGDHLGDDDLVYVPEYGGYADNSVVEWFSLYGLI >OGLUM07G19810.1 pep chromosome:ALNU02000000:7:22361173:22361477:1 gene:OGLUM07G19810 transcript:OGLUM07G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPLIVVQVWDHDLDVAREDGYRFFHPLAISVSSVSVSGPNKSGTLDSEAATKSSIICMHPATLHG >OGLUM07G19820.1 pep chromosome:ALNU02000000:7:22384628:22387637:1 gene:OGLUM07G19820 transcript:OGLUM07G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTLPEAVKMGPHAIRIVVGGLGEDELSTATTVHGPERASSSSAPPEMASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >OGLUM07G19820.2 pep chromosome:ALNU02000000:7:22384312:22387637:1 gene:OGLUM07G19820 transcript:OGLUM07G19820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALPEAVAPKKKGNVRFAFACAILASMTSILLGYDIGVMSGASLYIKKDFNISDGKVEVLMGILNLYSLIGSFAAGRTSDWIGRRYTIVFAAVIFFAGAFLMGFAVNYAMLMFGRFVAGIGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLNLGWRIMLGIGAAPSVLLALMVLGMPESPRWLVMKGRLADAKVVLEKTSDTAEEAAERLADIKAAAGIPEELDGDVVTVPKRGSGNEKRVWKELILSPTPAMRRILLSGIGIHFFQQASGIDSVVLYSPRVFKSAGITDDNHLLGTTCAVGVTKTLFILVATFFLDRVGRRPLLLSSTGGMILSLIGLGAGLTVVGQHPDAKIPWAIGLSIASTLAYVAFFSIGLGPITWVYSSEIFPLQVRALGCSLGVAANRVTSGVISMTFLSLSKAITIGGSFFLYSGIAALAWVFFYTYLPETRGRTLEEMSKLFGDTAAASESDEPAKEKKKVEMAATN >OGLUM07G19830.1 pep chromosome:ALNU02000000:7:22387359:22389003:-1 gene:OGLUM07G19830 transcript:OGLUM07G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPKKKNASYAFTCAILASMASIILGYDIGVMSGASLYIKKDLKITDVQVEILMGILNIYSLVGSFAAGRTADWIGRRFTVVFAAAFFFAGALLMGFSGDYATLMVGRFVAGVGVGYAIMIAPVYTAEISPASSRGFLTSFPEVSINLGILLGYVSNYAFARLPLSLGWRVMLGVGAAPSVLLALMVLGMPESPRWLVMKGRLADAKAVLEKIADTPEEASERLADIKAAAGIPDDLDGDVVTVSKKRGGEEGQVWRELVVSPTPAMRRIVLAAVGLHFFQQASGVDSVVLYSPRVFQSAGITGDDQLLGTTCAVGVAKTVFILVAAFLLDRAGRRPLLLTSTGGMVVSLVGLATGLTVVGRSPDAQVPSWAVGLCVASILAYVAFFSVGLGPMSGVYTSEIFPLRARALGFAVAVACNRVTSGVISMTFLSLSSAITIGGSFFLYAAISSLAWVFFFTRLPETRGQTLEEIGKVFGMDDTAMEAEDSAAYRERLLATSP >OGLUM07G19840.1 pep chromosome:ALNU02000000:7:22391505:22392919:1 gene:OGLUM07G19840 transcript:OGLUM07G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRARTLTGDHLRSSSSSNQAPSWGAKRARSGSALRDRRDWSSIGNGPAWLISERVLAGDDVADYVSFRAVCRSWRQWTTSPRAHGPLDSRFHPRQWVMLRDELAVPDRRRFLNVSSGRCIQADLPELRGHRVFGPTAEGLLVLLDEATFAVRLLNPLTRQLTDLPPATTVLDPTSLMISPVHYLLHVLTVHGAGLADGSATVVVYFENARKVAAAKPCDERWTVVEPDASFASVSSFAGRFYCTTRHAIRPVIVEVRTTDHRLPPQLVVVAKLAGVPSSPRNLMPDPNFYRTPHDLHLVGNGGRLMVSHSHGGGRRSSKYSVHWVYFDSKRTVSMVVTRFRRQALFIGAYRAISVNPEAFPGISLDTVYPGFDCDDKPSSRQVGGGLDGSNVAFPHTAVDCLSLCISQPPRRSHAGGSGAKP >OGLUM07G19850.1 pep chromosome:ALNU02000000:7:22392950:22393375:-1 gene:OGLUM07G19850 transcript:OGLUM07G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSAAANRAGHLLPSPAPPATGQLLPSPSPRAPPVRDALGDDVGLLCQSTHRPASSAATGVASSRSGRGEARSAAEGAGSASGVAGFALRTSLDAASRLTLATPPPTPRGSIVAGWFSPPPPSWPAARFPAVGSGGGEGG >OGLUM07G19860.1 pep chromosome:ALNU02000000:7:22394017:22394265:-1 gene:OGLUM07G19860 transcript:OGLUM07G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLNDASKEEATPKSAAVACPRTGCGFHLKDQRRRKAQQRPKENAAPAGVAVAGPKARLSPIAFLLPRVNECSSESTAATS >OGLUM07G19870.1 pep chromosome:ALNU02000000:7:22395211:22396723:-1 gene:OGLUM07G19870 transcript:OGLUM07G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPKNKYGFVTAVLSSATPLLLGYDLVMVCGSAALPEPPGVKLLACVAVASCVLGALAAVGAQCVVGDRCTVLLSAAVLCAGALARGLATSFAAFEAGVFVNGVGMGLALMSVPAYAGELSPSSLHRGLTSHPDGFVCLGCILGGLCFSPRFLNLPVRVAWRLTVATGTAIPALLGFAVLLMPELPRWLLTKDHARRVLSRTLSLEDAELRLLETKTELGEPHDVGCDDTVATPAWRTRWREERALWLELLARPTEPVRRNIVSALVAKAFQQASGIGSMFLYVQRAFRDAGVPSDTRMTRALVAFGLVVFAFFAVSMVLLELAWLLVKALAGGCCPRRAPAPSPSPSPADHPSSPHAHRGGVAMGMKRRREQLKWARSLSATMLMSLMALVWLLLGPVQMADASSSSGWPRWLRTAVAAVNRAVRAAILWSFAWVYEVTAVYGNLLACSAIIVFAWFLVYFGVLGAKER >OGLUM07G19880.1 pep chromosome:ALNU02000000:7:22415163:22418893:1 gene:OGLUM07G19880 transcript:OGLUM07G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT5G55580) TAIR;Acc:AT5G55580] MAPPPPLAALRPAPFPLPRLLPCPASAAAHRGAVAFSLQTNVRLLKPNRRSRRSRYPYYDHDEDEDDDEAEFEFEEGEEEEEDGYETDDDLSGLEYPGVLYSNNPRAPIKKPGREKPVLKQNWEGRQPKTRDRCDTSKKVDALHAKSKASRSTGLVDIDNEVELKNESISRSLFQKLQEEYDFDDKWLPLIDYLCTFGLKESHFTNMYERHMACFQISQASAEERLEFLLSVGVKSKDMKRMLVRQPQILEYTLSNLKSHVAFLVGIGVPSARIGQIISAAPSFFSYSVEQSLKPTIRYLIEEVGIEESDVGKVVQLSPQILVQRIDSAWKSRFLFLSKELGAPKDNIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRDTDVLKVLTSLTQVLSLSLEENLKPKYLYLVNDLKNDVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCKRWAGTSLEKYHTFRQSMLLKGFSEKTGRKTLTSRR >OGLUM07G19890.1 pep chromosome:ALNU02000000:7:22419634:22422443:-1 gene:OGLUM07G19890 transcript:OGLUM07G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKCSVLLNRAREFEPSRANGGYILSTSSYPQIRQYAASPDEHLRSLPSLLPPPPGQELPLAYLRAQRQSSGNYRGIQAQRRPLIDQTGALQSSFPESICLKEELQSLSMPRNSPNAGRNLVGHPHSSSKSSSKPCHFHFFRGYCKKGVNCQFFHGSVPELHNPRQVHPFASLSKLDMEIRELLIGIPPPVAVDRLPSMYFEKYGKPLRPDGWLTESQQHGRTGCSLTSLLMGLNTIRVVEREHGQYHVVLVEDARKKYMDCLGLAHSCNLMDTGTGSNQIYMTFPVHSKFTDDDVENYFKYCLNFIVTRQFGPVSGVRIPYQEKRMFGFVSFLYTETVRLILSKGTAHFICGSRVLVKRYMEKPELRKFYRKNKQFDYREHRTSGFGVTNEHYIGNNMKKNSHRSDDLDEASAYEDSKAETA >OGLUM07G19900.1 pep chromosome:ALNU02000000:7:22426565:22434127:-1 gene:OGLUM07G19900 transcript:OGLUM07G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPPIQHLQQLPLLQSPSTRYDYVSPSLYLEPQFQSLNIPGDGLPRDYLNAQVNVLGSGVYEMHNQRQSHPRGRQRDFFGEGPDFTIYITINFDGGRLTKENIWDYFKKFGPVINVYLSCKPGNEKYTFGFVTFENADTVSLLLSKSTPHFIFGVKVRVKRYLEWTKQEQRKLPQENDHFDNVAHRTSCANAFDGMPRDYLNAQVLGSGVPELHNQRQSHPRGSQRDFFGHNQRQSHPHGSQRDFFGQSTEFTIYITIAKNILTWKNIRDYFKKFGPVINVYIPFKPDNEKHTFGFVTFENDDTAGLLLSKSTSHSISGVELPQRNDRFDNVAHRTSCANAIEGHSGQKMPNFIELSQETLTHRFGDFDSPLTHNLSEKKTESPEASCGRLLDSISAQRRAQPIGLPVSGLR >OGLUM07G19910.1 pep chromosome:ALNU02000000:7:22442033:22445772:1 gene:OGLUM07G19910 transcript:OGLUM07G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTPTSRMIHPFSNIPSQNLKQFQYSDNPQHPCHPYRAPSDTHVVPHHYGLKSHSPDAGYESQATPNKYTLDSSEGAGCMRHDSPSSQSFTTRSGSPLSQEDSHSDSTDGSPVGASCVTEDPNDLKQKLKDLEAVMLGPDSEIVNSLENSVANQLSLEPEKWVRMMGIPRGNLKELLIACARAVEEKNSFAIDMMIPELRKMVSVSGEPLERLGAYMVEGLVARLASSGISIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHISQGAQWISLLQALAARPGGPPTVRITGIDDSVSAYARGGGLELVGRRLSHIASLCKVPFEFHPLAISGSKVEAAHLGVIPGESLAVNFTLELHHIPDESVSTANHRDRLLRMVKSLSPKVLTLVEMESNTNTAPFPQRFAETLDYYTAIFESIDLTLPRDDRERINMEQHCLAREIVNLIACEGEERAERYEPFGKWKARLTMAGFRPSPLSSLVNATIRTLLQSYSDNYKLAERDGALYLGWKSRPLVVSSAWH >OGLUM07G19920.1 pep chromosome:ALNU02000000:7:22446094:22451089:-1 gene:OGLUM07G19920 transcript:OGLUM07G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY family transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT4G26640) TAIR;Acc:AT4G26640] MADSPNPSSGDHPAGVGGSPEKQPPVDRRVAALAAGAAGAGARYKAMSPARLPISREPCLTIPAGFSPSALLESPVLLTNFKVEPSPTTGTLSMAAIMNKSANPDILPSPRDKTSGSTHEDGGSRDFEFKPHLNSSSQSTASAINDPKKHETSMKNESLNTAPSSDDMMIDNIPLCSRESTRAVNVSSAPSQLVGMVGLTDSSPAEVGTSELHQMNSSGNAMQESQPESVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCDVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLSAGAVPPSQGEERYDGVATTDDKSSNVLSILGNAVHTAGMIEPVPGSASDDDNDAGGGRPYPGDDAVEDDDLESKRRKMESAAIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTNTGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTPPMKPVVHPINSNMQGLGGMMRACEPRTFPNQYSQAAESDTISLDLGVGISPNHSDATNQLQSSVSDQMQYQMQPMGSVYSNMGLPAMAMPTMAGNAASSIYGSREEKPSEGFTFKATPMDHSANLCYSTAGNLVMGP >OGLUM07G19930.1 pep chromosome:ALNU02000000:7:22453251:22468524:1 gene:OGLUM07G19930 transcript:OGLUM07G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIPPDRAQPIQFSRGDFGGATGAALPPAILSGAGDPIPHAPILLVTPTKPATTPTCRHTTRRD >OGLUM07G19950.1 pep chromosome:ALNU02000000:7:22469433:22473250:1 gene:OGLUM07G19950 transcript:OGLUM07G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0ALX8] MGLLFVESLPGPKVFKCKFCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSLGPREDRLLMTGLHTVNDIYCSCCQRLLGWRYEKAYSEDQKYKEGKYILEKHMMLKEG >OGLUM07G19960.1 pep chromosome:ALNU02000000:7:22474588:22477635:1 gene:OGLUM07G19960 transcript:OGLUM07G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55560) TAIR;Acc:AT5G55560] MPPNPTPPRRATTTTTTTRATSGVRRGEEEQGGMAVSASAGEEEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPAMVERLHAEVRLLRSLHHEHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRDRHRHVSVKALKKWARQILLGLDHLHTHDPCIIHRDLNCSNVFINGNTGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREMPYAECDSVVQIYHSVTRGVPPAALKRIRDPELRAFIERCIGQPRNRPSAAELLRDPFFAGIDDDDSTGTLG >OGLUM07G19970.1 pep chromosome:ALNU02000000:7:22481862:22485222:1 gene:OGLUM07G19970 transcript:OGLUM07G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G48130) TAIR;Acc:AT5G48130] MEPEMEVEVEVEMSPAAAKAAVFSPYSSPSTALLLQRRVVSWAKETGSPATVSVHVGDRSFNLHKDPLVSRCGYLRQAILRCGDGDGEVVELPASFPGGSEAFEVIGLYCYGDAVALDPFNVAAVRCAAEFLDVSGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLVVSRCVESLAFMACMEILDPDGDEQRRERDQPGLLAAAAARGLAGRRWDAELVKELAARDLWIKDLVALPFEFFRRIVLALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFMASTDTGDGETDANRRATAILQGVIDLLPLESSAATGGAIPVSFYFALLARSITLELSDESQKRLRELVASNLQFARVDDLPLPEPEQDAGGQSIAGSPEVRAMESIVASHVSMQRRGAEAVAELWDRYIAQIVGDPKLRPDRLAELIGVVPAGDRKSHDHLYEAIDTYIVEHPGLSGDEKASLCGHLECRKLSHEACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELLVPVSGGAAAATAYTPSPGCTTAVPTSQPLSTSSPYTDTAHATRDGRKLVRARAGDDDDDAASGYETASFRIQALEQEILSLKQTLQRHNTVKKSSSRKEASFRMDTAATPAAAAAVRRRAPVSSSSCIGSMRWGSQRRCASRILRIFARLAVFGRGSRSSSSSSTSRGKQSKCRASAEQLSSVACRTKHAARD >OGLUM07G19980.1 pep chromosome:ALNU02000000:7:22509599:22531206:1 gene:OGLUM07G19980 transcript:OGLUM07G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNGNLNYPNNPTNMSSFAPSGTGGQMSITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGITS >OGLUM07G19980.2 pep chromosome:ALNU02000000:7:22517609:22531206:1 gene:OGLUM07G19980 transcript:OGLUM07G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTARRLIRSGAVMAEVRERGRRGGEDAMEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFTDAGVAERVTMDKHMIDGRMVEAKKAVPRDDQSITSKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPAARSPAGGQNYAMSRVHSFLNGFNQGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGYGMGMNSESGMNANFGANSSFVNNSNGRQIGSFYNGSSNRLGSPIGYVGLNDDSGSLLSSMSRNVWGNGNLNYPNNPTNMSSFAPSGTGGQMSITSDGINWGGPTPGHGMGNISSLGLANLGRGAGDSFGLPSGSYGRSNATGTIGEPFSAPPNAYEVNNADTYGSSSIYGDSTWRFTSSEIDMPPFGNDLGNVDPDIKSNIPASYMGNYTVNNNQTSRGITS >OGLUM07G19990.1 pep chromosome:ALNU02000000:7:22514850:22516956:-1 gene:OGLUM07G19990 transcript:OGLUM07G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIQSLFAAAPHLRIHAARSPSLGFWYITSPICSPLHNSIASLASLLRQHAVPPPPPQAPPRPTQIPYAS >OGLUM07G20000.1 pep chromosome:ALNU02000000:7:22541097:22544641:1 gene:OGLUM07G20000 transcript:OGLUM07G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16490) TAIR;Acc:AT4G16490] MVSLAGSQIPSPGQSPCAAARSQRRGAGYSMRTIRSALLQPDSCPGSPHVAAAYDAAGADSDMENLTDSVIDFHLSELAATAGPAHPAAVAKSSSANAAATEMLELSRDFSDYSSFNSDISGELERLAAAAAAAAVVTPRSDAPQVGAVDLNELESMDLSVEAAPLERVEPFVLACVRALGPDAAPDAQRTAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQESAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTASAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAVVPLIHLVGERGSGTSEKAMVVLASLAGIVEGRDAVVEAGGIPALVETIEDGPAREREFAVVALLQLCSECPRNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGGGGCRVEPVAASSLARFIVLRCVTFSLTPTSGSAAPSIWVTYSYT >OGLUM07G20010.1 pep chromosome:ALNU02000000:7:22577229:22580787:1 gene:OGLUM07G20010 transcript:OGLUM07G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAERASIPPLKTPGERADAAGPLTPHPPRRHRAGRRGQARPRSGLETRRRVVVVFVAMESQQEKAVSAPAANGSGGAGGGELIGYVDVHVQSARDIQNICIYHKQDVYARLSLPGEGAPAASTQVINGGGRNPVFDQSLRLGVRAGDVDGALRCEVWMLSRVKNYLQDQLLGFALVPLPDVVAAEGGTLAREFPLSTNDIFHSHAGFLQLELSYIGVVPEVVPISPTPKPALADAEEHGAGGAGGAANGKEYEKIEFPDLNLVEENQIMLSEYIGLPCSAVEPQSSESLLTSEEDGDGATAETHVAGVRVVQSFSTDNSTADSAGTFRSDTPVSSVSTTESPAAAAVPATPQSNSSGNAVSSAEQKEKAASDAADAEVESSRTVQSSASPAANSPGAMSESAVDKPPVIRVNLEQEVKVDQKEIMDMYMKSMQQFTESLAKMKLPLDLDNGSDKSAAVSGAGAAASDADSSGADSGAAAAAAAKKPMAGGPEKSPKVFYGSRAFF >OGLUM07G20020.1 pep chromosome:ALNU02000000:7:22585137:22592304:1 gene:OGLUM07G20020 transcript:OGLUM07G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKRSREGDRGEASRNAPPPSPRPLGLPPSAPVGGWIGEEERGISATAHRHRRARARIASRSRETPNPTNPRRVAAGRRGGGGGGGVASSASAAAAALAAADDGAKMKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >OGLUM07G20020.2 pep chromosome:ALNU02000000:7:22585463:22592304:1 gene:OGLUM07G20020 transcript:OGLUM07G20020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >OGLUM07G20020.3 pep chromosome:ALNU02000000:7:22584614:22592304:1 gene:OGLUM07G20020 transcript:OGLUM07G20020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPRTPADVVRQTRELLIFLDLHSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLEANKDLLDTLISGYENMDIALHYGSMLRECIRHQSIARYVLESDHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLSKNYDWFFSEFNTRLLSSTNYITKRQAIKLLGDMLLDRSNSAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPTEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >OGLUM07G20030.1 pep chromosome:ALNU02000000:7:22594928:22595703:-1 gene:OGLUM07G20030 transcript:OGLUM07G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHTWVISLLLTSAVTGASRQPPATASQGPTWTGLAGEQEVEGAATASSAAPVASLFPGLPPLPPLPALPALPPLPPLPALLPPLPPLPPLPSPGTTTTRPRPPSPPPTECLTSLVELLPCVDYLTNDATAPPGACCDGFRSLVGSALICLCHGINGDMSRMISRPIDPVRMVLLPAMCSTMLPPQSLFICYTETVPPLVP >OGLUM07G20040.1 pep chromosome:ALNU02000000:7:22595439:22596865:1 gene:OGLUM07G20040 transcript:OGLUM07G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVAVRRAAVEAAAERGALAAAAMAGGRGTAKPPALRMKRWLLLPLLALRQGRSMSVLGLRSRAAAAMHQSRRSNFASGGGGMWSWNGIGSDAMLPQDNYTLVWWENSEVKLEVVRMRIRLTDLVKDHVSMKRELVRVSPVNRLLRSFPRTLNSLFRTHLAVEPGVQ >OGLUM07G20050.1 pep chromosome:ALNU02000000:7:22597049:22597726:1 gene:OGLUM07G20050 transcript:OGLUM07G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNCKELRASLSSCRRPPLSVGIASGALARPPGDAPYMCGDSSQMMAGDSKQGQRWLLQPSLRRSDEDDALHQGRLEEHKSSKERGNRARASKVGGLKELAATSLAACHGWMPCRPDLGGKSTQYPDAVKPTNSTPWIEIL >OGLUM07G20060.1 pep chromosome:ALNU02000000:7:22598699:22598902:-1 gene:OGLUM07G20060 transcript:OGLUM07G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHRSTSADMWNHCTGAPAGQHSCAEDHATPPGRSYSHRGRLLYRRPRLIAPCRPPPPPDAPLAHI >OGLUM07G20070.1 pep chromosome:ALNU02000000:7:22602807:22605352:1 gene:OGLUM07G20070 transcript:OGLUM07G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVELGTSAMVLPYLRDVCGAGGGKGRPLCSAGGRRGSLLTRQKEMRYDVRRIEPTESLKDTAARGAKPRPAPLDWAIAFACCNAHIFF >OGLUM07G20080.1 pep chromosome:ALNU02000000:7:22605884:22608122:1 gene:OGLUM07G20080 transcript:OGLUM07G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGCSNYRTLVSDLSTPTGAVAGASAAAAAAAAAHLGCPLLLLSPGRLLLRIEATGARELKRFVLWS >OGLUM07G20080.2 pep chromosome:ALNU02000000:7:22605884:22608122:1 gene:OGLUM07G20080 transcript:OGLUM07G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPAQGYPPAGYPPQQGYPPPYAQPPPQQQQHSSGPSFMEGCLAALCCCCLLDACF >OGLUM07G20090.1 pep chromosome:ALNU02000000:7:22609261:22615238:-1 gene:OGLUM07G20090 transcript:OGLUM07G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYIEKAAMSLGLDKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASVTPNNITAR >OGLUM07G20090.2 pep chromosome:ALNU02000000:7:22609261:22615238:-1 gene:OGLUM07G20090 transcript:OGLUM07G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSVASARAAAEGAATVAARGGAEPARALRLPPANGARRGVRCCCGAANPGGGSGGGGGAVAREEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDGILQDISLLHGLGIQFVLVPGTHVQIDKLLSERGRMAKYVGQYRVTDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVSGIDYGFTGEVKKIDVSRIKERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRVIPFMSLEEADMLIRKRAKQSDIAANYVKVVDEEGISHLHEDVKPFLNGSGPLGAYAASFHNGLGFNNGNGILSGEQGFAIGGEERLSRSNAYLSELAAAAFVCHGGVQRVHIIDGTVDGSLLLELFTRDGTGTMIARDVYEGTRIAREEDLLGIRKILRPLEESGVLVKRTDKELLEALESFYVVERDGSIIGCAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYDDASDYIEKAAMSLGLDKLFLLTTRTADWFVRRGFLECSIESIPEQRRKRINLSRGSKYYIKQLQPKHASVTPNNITAR >OGLUM07G20100.1 pep chromosome:ALNU02000000:7:22623772:22624767:1 gene:OGLUM07G20100 transcript:OGLUM07G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQPVDMAVKANEIMARFRPIAPKPVLPAAAAGVTGGGDGAAAVAATNRVLCQLQSRPCRARKRGRPSVVPPVSPPAGAKRKRAPAYPVPVAQLRCSAVATATRARVSVVVVPAPGSAGGVSALAPVSPSAGDSTRLSPTVVEVEDEDEERGVVLVERDLLRKLLEPKVISPRAVRPVGSTIHVESVHIDVGRTATAAAAAAPKTAEEVEAELESDSLPAVVSDSSNRVRLVNDAYKRMVGQPECPWLDAVATAASRRISGEVALVVSEPAAAAAALPETCKGFSCSAKIAWERDGKWSSVHAPCDVTRLQCESRDYVFAWRFRAAGDA >OGLUM07G20110.1 pep chromosome:ALNU02000000:7:22625451:22629665:-1 gene:OGLUM07G20110 transcript:OGLUM07G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase-related [Source:Projected from Arabidopsis thaliana (AT5G67590) TAIR;Acc:AT5G67590] MAAPLRRSLPSLGRALLSPSPAPARMLSAAASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFVSTQKWENPLMGWTSTGDPYANVGEAGLTFDSADSAKAFAEKHGWDYVVRKRHTPLLKAKSYAENFKWRGPPKAEQA >OGLUM07G20120.1 pep chromosome:ALNU02000000:7:22634572:22635432:1 gene:OGLUM07G20120 transcript:OGLUM07G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCGTGSFKDVDGKAAAPEAKKKKQGGGGGGGKKENPYASRGLDKFSTVLSELESRREKILRQVGGGGAPGEGGGGGGGEHVLVRFVQSEGKGWVPIVVKLPPEEEEQQQRKGGKNKRKQQAAATSATSSQSSTPPTSEPASPREDVIKPARPAAAAAAAAPGSAKRKAGVRWSWSDVRPRHYMPFVAVLLLASLVVFGKVFAICCTSVWWYLVPILTASSNGAGGAGGAHGVRRSKAAVKVLGKKASDKKMAVTPLLGPSHGKRGSSGVHELISPRSHPHGKKG >OGLUM07G20130.1 pep chromosome:ALNU02000000:7:22636338:22639524:-1 gene:OGLUM07G20130 transcript:OGLUM07G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAALRPTEPLPLPSGLSLAPRLKLLLTFFRADLSVRPVDEWQLKTALLAFLRDPPLSLPVLPDSDLSVRTLPDLHKRRRDEPVASGVLHVRDLSFLRPRRRNGDDEEEEAEEMTREQEEEKYFQWRSSLVEKLAGIELNLEGVKFRMSVEIPPSDDFRAMKKSWENFYASELLSSRNPVRKIAKRPDTILVRGVPSRWFAETRISSKASTLVMNCPSHFGELTPHTLFFGILLPIFRNLNISSDDEWGAKQDGTDKEIISGLNCKVWVQFENYDDFNSAMQALCARSLEKEGSRLKVDYEVTWDHEGFFRNAQYEPVRSNLEERNSSAHGRKKHYTSRIESDHRKRFRD >OGLUM07G20140.1 pep chromosome:ALNU02000000:7:22641487:22643468:-1 gene:OGLUM07G20140 transcript:OGLUM07G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRRRRCTAAPPTTAKLVLLLVVLLLQLSEGASSDGGSDAPCDFSAIFNFGDSNSDTGGLSALIAVVPPPFGRTYFGMPAGRFSDGRLTIDFMAQSLGIRYLSAYLDSVGSNFSQGTNFATAAASIRPANGSIFVSGISPISLDVQTSQFEQFINRSQFVYSNIGGIYREILPKAEYFSRALYTFDIGQNDLTMGYFDNMSTEQVEAYVPDLMERFSAAIQKVYSLGGRYFWVHNTAPLGCLTYAVVLLPKLAAPRDDAGCSVAYNAAARFFNARLRETVDRLRAALPDAALTYVDVYSAKYRLISQAKQLGFGDPLLVCCGYGGGEYNFDRDIRCGGKVEVNGTSVLAGKSCDDPSRSVSWDGVHFTEAANRFVFELIVGGKLSDPPVPLRQACRRGGGGR >OGLUM07G20150.1 pep chromosome:ALNU02000000:7:22647683:22650837:-1 gene:OGLUM07G20150 transcript:OGLUM07G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPYAAAVVVATVAVLVLVSQVSVAAGADCRFPAVFNFGDSNSDTGGLSATFGAAPPPNGRTFFGMPVGRYCDGRLVIDFIAESLGLPYLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYNNKGGIYRELLPKAEYFSQALYTFDIGQNDITTGFFINMTSEQVIAYIPDLMERLTNIIQDGAGCSVAYNEVAQLFNQRLKETVARLRKTHADAAFTYVDVYSAKYKLISDAKKLGMDDPMLTCCGYGGGRYNFDDRVGCGGKVKVNGTWVVAGKSCDDPLKRVSWDGVHFTEAANKFVFDQIAGGKLSDPPVPLRQACQISRGQ >OGLUM07G20160.1 pep chromosome:ALNU02000000:7:22653970:22654382:1 gene:OGLUM07G20160 transcript:OGLUM07G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESYGFRSFIQLCDVPIESRKVLELHVFGKLRRESKVPGLWELVGVDEAMAIAMEEAPDDYDSPSEDAPDGYDSLSEDAANGYDSPSDDAPDGYDNPSERCTLWLRQPE >OGLUM07G20170.1 pep chromosome:ALNU02000000:7:22660608:22667631:1 gene:OGLUM07G20170 transcript:OGLUM07G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUMO-activating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G21470) TAIR;Acc:AT2G21470] MASSPASAASEEEAVKAAKVLMVGAGGIGCELLKTLALSGFRDIHIIDLDTIEVSNLNRQFLFRQSHVGQSKAHVARDAVLKFRPNINITSYHANVKDAQFNVEFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQAAGGRIPLSHEQNSQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKELLFAKMFGDKNQDNDLNVRSNESGTSKSDVFERNADEDLDQYARRIYDHVFGYNIEVALENEETWKNRRRPNPIYIRDTLPEEAIRQNGSSRDINNEQEEPSAMVSLGLRNPQEIWSLADNSRVFLEALKLFFEKREKEIGNLVFDKDDQLAVEFVTTAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLHGDYKKYRMTYCLEHPSRKMLLMPIEPFEPNKSCYVCSETPLLLEVNTKTTKLREVIEKIIKSKLGMNLPLVMIGSTLVFEDGEGLEEDEAANYALNLEKVLAELPAPVVNDTKLTVEDFQQELSCSINIKHRDEFDEEKEPDGMVLSGWSAPVEKQVTSNGGNQSVASSSGADYADGIVEDISTKPGMKRKLDEVLELKENCDASSSAQVVEDDDDDDLVMLDENPKLAKKKRWQ >OGLUM07G20180.1 pep chromosome:ALNU02000000:7:22668498:22669079:-1 gene:OGLUM07G20180 transcript:OGLUM07G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPPTPTPPPHLPLAASTAVSFTPRAAAQRGRGRRSKPKPKPVAFPPPPLRRLVSSSLRRLLPRPRPLTVLFLGGGGGGGGWFGMGGRGRRRRATPAEELAALALSLALGDKLAVLADYWNASGLGEALGVWAAVWRRGGGRRRRGGGLRRLAALLLGIAFCALVCHLRGAALVDGLARTAGGRKLARIFLH >OGLUM07G20190.1 pep chromosome:ALNU02000000:7:22670128:22672715:-1 gene:OGLUM07G20190 transcript:OGLUM07G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASAAVPARLKREDYPRTKHDSLFSPWKVLVGPSDWEDHAAGKEGIQRYRVLNLPENFPGLYELGVARASDEGIRAARRWNGSGGGGVVVVYLGQADSVRARLQQYGRTGSHLDAGNPPPSAGEAETNTRATGNGLFREVFVRGYSLVFRCALMGNKQEAEKTEARLLRVFDYAWNKLQNGGLRREEILIKLEQGAVNNRSSLLSRVRHFKQEVFREKAGIKISRNGSVDVSSGIMKNMLPRIRTFVGFRPQLVNSGDNVDKEIGIRWKNTSEGNSYGKQARRSSEGYKVKRVNVIKRRTMPEQDSNDVCGVMLEDGSSCLDHPVQGRKRCELHKGRRLGRITVNPKGSSCSYSCQVEIPVVESISPLTENESESDQAQQTSELLSKFLPATVKKSSRPWYSFEAKEIKTGEAPIEDGKQETSEVIDICEAKKSDNSACTNKVISGSKKCQLHNGCKAEEFVSSRVIDLLQNEEKVKSMTVDKLSGEEISHGKYQSQENQPSGRMWFELIKLQNPTSTLSSKGQGRQKRVTGNVAAICEALTDNRCRETIPMAGRERCDAHEGIKVTDASSVPFSGSSGWPSICGARASDGSPCKNQPIAGRKRCAMHKGQRACRTPSID >OGLUM07G20200.1 pep chromosome:ALNU02000000:7:22705116:22705889:-1 gene:OGLUM07G20200 transcript:OGLUM07G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQVNVSVWRDDDYRRMVMACLIEAVYLLELERQERRDAAAVAQQWWKPFRYRLAHELVDERDGSVFGAIFERDHQPAAAVDGEAPRAVIAFRGTLLRGPTIRRDVEDELRLLARNSLRGSARLAGALQALRATIERFGSENVCLCGHSLGAGFARQVARMLASSSSSSSPPSPRHHHHAAAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKAVRTGVAAVGRWHGKALKNVAYANCILGYTRLESSRRL >OGLUM07G20210.1 pep chromosome:ALNU02000000:7:22722564:22724372:1 gene:OGLUM07G20210 transcript:OGLUM07G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQAASEQQQQQQQSASYNSRSTTSSGSRSSSHQTNASYSYYHHSSNSGGGGGGGGGYYYGGQQPPPSQYYYLEPYQEECGNAPHHQLYMDEDFSSSSSSRHFHHGAPVQQQQPPASSTPTGTAPTPPLSTSSTAAGAGHGLFEAADLSFPPDLNLDFSSPASSSGGGTASSGAVGGGGGGRWASQLLLECARSVAARDSQRVQQLMWMLNELASPYGDVEQKLASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEVAAAASSETQRFHILDLSNTFCTQWPTLLEALATRSADETPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFRFRAVHHSGDLAELDLDALDLREGGATTALAVNCVNSLRGVVPGRARRRDAFAASLRRLDPRVVTVVEEEADLVASDPDASSATEEGGDTEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMREAGTDDSAAGAGVFLAWKEQPLVWASAWRP >OGLUM07G20220.1 pep chromosome:ALNU02000000:7:22738350:22740887:1 gene:OGLUM07G20220 transcript:OGLUM07G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGVREAGRMAVLSRRWRRLPGLLPRLVIDAREFEPAALRAGGHARTKRAMERVAGAVESLLPGDRAIERLRLDAYLLRDESYTVRRVVERLNDAVDSGKVAAGGLELVFRATGGGGAPDQDQPSKRQARRLARLLAAAASPSLLPSVAELSLVNLRFTSPALASLLGRCTGLEELGMYQSDAGFGAVLDVGHARLRRLAVHTVDEAMYKKLRVSSAPRLERVVVANWFCRYAPVSFGHVPCLRELHLKNKTVYYQEPVRLSKMLASTPHLEPLTLGFSSWRIWIEPEAPKQLEPMFSKLKSLVLTGIFRGCDLSWTLFFLQAAPFLEEFILEVDKPLDAKAPSDIYGEMPKTDDVTWQVPEFQHHHLKHLEFSGFNEEEMHWRIVELVKERAVNVQSIALDDGCQACDVVANEYVLSGGIKNKKPVLLSVSQITGSPPILTLV >OGLUM07G20230.1 pep chromosome:ALNU02000000:7:22742229:22745318:-1 gene:OGLUM07G20230 transcript:OGLUM07G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM13] MASRREGPLMRGGAGGGAGQPLSRGSRIAAAVAVGVALGCVCAFLYPDGLLSRSSDAALHWPRQADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVARDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >OGLUM07G20230.2 pep chromosome:ALNU02000000:7:22742229:22745318:-1 gene:OGLUM07G20230 transcript:OGLUM07G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM13] MASRREGPLMRGGAGGGAGQPLSRGSRIAAAVAVGVALGCVCAFLYPDGLLSRSSDAALHWPRQVNRRLRAYLPRPLAFSPPAASSRGKGGESRGRGSLGFELGRLAIADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVARDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >OGLUM07G20230.3 pep chromosome:ALNU02000000:7:22742229:22745318:-1 gene:OGLUM07G20230 transcript:OGLUM07G20230.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM13] MASRREGPLMRGGAGGGAGQPLSRGSRIAAAVAVGVALGCVCAFLYPDGLLSRSSDAALHWPRQADSVACETSEGVTSLKSHVVLLERKNAEFRKQINELTMKLQLAGQGKDEVLYKAGPFGTVKAVRKNPTVIPDESINPRLAKILQQVAIKKELIVALANSNVREMLEMWFTNIKRVGISNYLIVALDDSTETFCKSKGVPFYRRDPDEGVDNIGKVGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDADVESMSDGHDNRTAYGFNDVFDEPLMGWARYAHTMRIWVYNSGFFFIRPTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHISKRTMDIYQFMNSKVLFKTVRKDANLRKLKPVIVHLNYHPDKSERMKAVIEFYVNGKQNALEHFPDGSE >OGLUM07G20240.1 pep chromosome:ALNU02000000:7:22748173:22753504:-1 gene:OGLUM07G20240 transcript:OGLUM07G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMSRSWQELGVVDTIYEDDHEEEEEEEEEEEEEEEEECFDSPTMSSSPAATSRSCSPPPAAEEFAMPAALRNAVRECGTGKCGFQSFINWNLHKYMDYNGIHQLNFSALRDPAKGLQVVRSLAIKFDAVLQDPITSQSSYLKRRLSECSDVAVDLPAGLTVDAFVDAVASCYGAEAALSPGSLAAAWAAADWLELRGEDGLARRAEDYFFQEVATDHGRAAAAAGAGAALLVRCLETLASSGGADGRWLDDVAALPVEEFEVAVEAMRARFAHDHDLMYTIVDHYLQDLETNNLNLNHRGKLTEEEKSRVCYNVNCTKLSHHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSMLLSGGHHHHAGAAGAPSSAAPPASLLKSSLSGAFGACGGFAATAAAAGDAASSMTLGDILQRDAVLRQSAHIRASMQATTQRIDSLERELAGLRTRLRRSEQAAAAAATASAAIDRASAKSASFRIPRSRLWDGEDLAAPAAAVITKDTTNTKGLKSRLVLGFKNLFGRRPVTAAAAAPPTSGERAAARVSVNDKAMSTDAPELDADADADELTRPHRRNLSIV >OGLUM07G20250.1 pep chromosome:ALNU02000000:7:22756909:22757292:-1 gene:OGLUM07G20250 transcript:OGLUM07G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTPTNPSNQPQQHKQPRPRDEGGEKITKEERGFVAKMEGLIPFVIDAIRRSNDQRGGYRGVSSQDGSSHGGGGGSRRHLIDYWELAGSAAEDARPGSVQATGAAEDERSRPPAAVVAAGSAYRRK >OGLUM07G20260.1 pep chromosome:ALNU02000000:7:22759183:22760496:-1 gene:OGLUM07G20260 transcript:OGLUM07G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSHETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQIARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLETNRYVLKNSASGETVIKHFNKEQEADQSNFRDPASNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDELSDDEGLYEDSD >OGLUM07G20270.1 pep chromosome:ALNU02000000:7:22765482:22767824:1 gene:OGLUM07G20270 transcript:OGLUM07G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAIQRVRQRRPLVHAGRRRGNPPAAAVVRGGGVGRDDLVARRGAGVAVVVAGLATARRDGAPAAGEAQPGQPDSHRGGRGGASARRAAVARRPAPAGARRHGATQPVDLRREQGDHRGGRRDTAARPRAQVGRVAGRAGERGVRAAPPVPARRLRRGLHRPRGRHPAAGLPPRDRRRARQEGRRHGPLRALQRRAREPPPRRGGRRRAPTARPHGRPGDRHGGQGRLRLALPRRHRRGPLRRRRGRRHPRPRRDGGGRLPAAEGDRHPLPPTDLRGQRCLPHHGRPRGRHPSPRRPLPILLRPPQAQNQGGGADRDATAATEREPAREANGGRCGGVTLTSFNSSPQLGGAPGVVLCFPRFRRRPGPAPAAGARV >OGLUM07G20280.1 pep chromosome:ALNU02000000:7:22776149:22777609:-1 gene:OGLUM07G20280 transcript:OGLUM07G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGFAKVLVHYYPVAGHITEPTAHGEGVWFIEAEANCSLKETLNLEHLLCIPKDKLLLCPAPEVRRLECAQAPLPQPQCCNGKRCPELGMQVDSPATYIKTRLLCHFPYGSTKFRRKTKLEVR >OGLUM07G20290.1 pep chromosome:ALNU02000000:7:22779429:22785090:1 gene:OGLUM07G20290 transcript:OGLUM07G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTVGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAVMLDLDEI >OGLUM07G20290.2 pep chromosome:ALNU02000000:7:22779429:22785033:1 gene:OGLUM07G20290 transcript:OGLUM07G20290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTVGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQERKNAVMLDLDE >OGLUM07G20290.3 pep chromosome:ALNU02000000:7:22779429:22784713:1 gene:OGLUM07G20290 transcript:OGLUM07G20290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKKSKVRGVGGGDDLIDSSDADSVGSSSTALSDLSMSYATEHVGSQEFVLDKYIDALYEKRGSTREAALSQLVDAFESFILHGLVENKYATLLSLFNSSIKKGSTKEACLASRAIGLLALTVGAGSSSHEIMEESHAQLSRVLQTWPDASKMISALDCLAVVTFVGAADLAETELSLKAMWDVIHPKSGSNVGIIRKPRPPVLAAAISAWAFLLTTIGSWRINADSWKEPIAFLSTLLGAEDRAVRMAAGEALALCFELNLLDVSFGEDDDVENGGTVGSKSKLFLDMQALKAKISSLASNLSMEAGGKGADKKNLTDQRDLFQRILDFVKYGECPEESVKISGKRDVLRVSSWSELIQLNFLRRFLGRGFLKHVQENGLLQDVFDIKTDKAETLSSTDKKIFRSGEEKGRALKLNKDRRLAQGTTRTVVVLRVSDYNSIFDLLDFISGEEECRYVGLGRVA >OGLUM07G20300.1 pep chromosome:ALNU02000000:7:22786841:22789267:-1 gene:OGLUM07G20300 transcript:OGLUM07G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G25360) TAIR;Acc:AT1G25360] MRPWRPPPTAVASLAHQCSLLLRRLAERHSPAPTCPSSSFLRALRCLHARLLTADLLHAPSHPHLTLRLIHLYTLSPDLATPAALFRSDPDPGPVAATSLVAAHAAAGRLRDAAAFFDAVPPARRDTVLHNAMMSAFARASLAAPAVSVFHALLGSGSLRPDDYSFTALLSAVGQMHNLAAPHCTQLHCSVLKSGAAAVLSVSNALIALYMKCDTPEASWDARKVLDEMPDKDDLTWTTMVVGYVRRGDVNAARSVFEEVDGKFDVVWNAMISGYVQSGMCADAFELFRRMVSENVPLDEFTFTSVLSACANAGFFVHGKSVHGQIIRLQPNFVPEAALPVNNALVTLYSKGGKIVIAKRIFDTMNLKDVVSWNTILSGYIDSGCLDKAVEVFKVMPYKNDLSWMVMVSGYVHGGLSEDALKLFNQMRAEDVKPCDYTYAGAIAACGELGALKHGRQLHAHLVQCGFEASNSAGNALLTMYAKCGAVNDARLVFLVMPNLDSVSWNAMISALGQHGHGREALELFDQMVAEGIDPDRISFLTILTACNHAGLVDEGFHYFESMKRDFGISPGEDHYARLIDLLGRSGRIGEARDLIKTMPFEPTPSIWEAILSGCRTNGDMEFGAYAADQLFRMIPQHDGTYILLSNTYSAAGRWVDAARVRKLMRDRGVKKEPGCSWIEVGSKIHVFLVGDTKHPEAQEVYQFLEVIGARMRKLGYVPDTKFVLHDMEPHEKEYILFAHSEKLAVGFGLLKLPPGATVTVLKNLRICGDCHTAMMFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >OGLUM07G20310.1 pep chromosome:ALNU02000000:7:22793584:22800515:1 gene:OGLUM07G20310 transcript:OGLUM07G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM23] MRRRVALSTAIALLVGAQLCVAAEVEVAGAGGGVVRRRSLHQPFFPIEWSPPPPMSGSEAVPPPPPAAAASATTGGGRSTTTVMNTVAIALSAGLVALAVASYSCCLLLRRRRREEEDDGDRAAKRAVGAAAAVAARVPSDVGSSSRQHRSPPPSSTASDAIYLDPLTTLVEVRQHEKSPDLRPLPLLKQPSPDLRPLPPLKRPESQPPPPPPSTPPLTTTGYSTDEEDQATYYTAPKTAMSSFSRSTSQHSTLEQTAMPPMAAPAPPQTNPPRPVRPPPPPPPPRQRLLRPLPAESPPPAALANLELTGSPVKPAVEDRGGENSGAARPPKPPHLKPLHWDKLRAISGRTTVWDQVKNSDTFRVDEEAMESLFLNSGGGGAGSSDPAARRGGSGKQERRLLDPKRLQNVAIMLKSLNVAADEVIGALVRGNPEDLGSEFYETLAKMAPTKEEELKLKGYSGDLSKIDPAERFLKDVLGVPFAFERVDAMLYRANFDNEVNYLRKSFGTLEAACEELRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGRTTLLHFVVKEIIRSEGFDSDQSAVNPGSGSKEQFKRDGLKLLAGLSSELSNVKRAATLEMDTLSGNILRLEADLEKVKLVLQLKETCSDQGASENFFQAMVVFLRRAEAEIKNMKTAEENTLRLVKETTEYFHGDATKEEPHPLRIFVVVDEFLLILDRVCRDVGRTPERVMMGSGKSFRVTAGTSLPPHRNENRRVLSSSDEDSSSS >OGLUM07G20320.1 pep chromosome:ALNU02000000:7:22803274:22805644:1 gene:OGLUM07G20320 transcript:OGLUM07G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVSSSTSRPASLPSSPHRPALRPGSLQRLLRPPDPSDDDGAAPTAPRSSRGGGRVLLQVTNITPALSGADPFSGHHGFYLRLSDSARSCYVSLHADHDDLILTNGLHIGQVIEVEHLVPSVPAPVLRHFRVLPGRYPCIQQEPADDAAAGGAAAEIKEVVSERPRRSSPTPPIPGERRARQAGGGGSPSAISYRHRSRSISNLSEAGAAARRSGAAVLGKLRKVSVTSIDGTSTDDDDEESDVSSLSSARRNWDFTGGVKDRRPVAPRRRGNSVSPSKSGPNSTITQNDDPMESVRRKAEKAFNVLSKRASAKMTRESSNCTVATPQSAAASSSIKWCESNVMWSTLSSSLLKHGKEAVKQRDMALQVVLDGLLEASTTEKLIKCLSTYSELQSDKEENPKELIDRFLKFSQELDHAIFIAQSQTKIRHVKACGSNSTSSASTKAALKAALDRKQSAILWIREAIEADLSPFSSHTRPTESPKLSLAESKPMTPLFCCSKPKCNCSKRSSRKASDGSSQGSNMSAAMDLAVALRSECNCWFLKYIDKFLDDIESETMYAPCDSQVAGLLQQLKRVDDWLNRVALHERMLSVDRTNKDIMFSEEEESDACERVRRKIYGALLRHVQYAAMALEGLNGVIDEEKDERK >OGLUM07G20330.1 pep chromosome:ALNU02000000:7:22806887:22808139:-1 gene:OGLUM07G20330 transcript:OGLUM07G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLGAHGDHCFTYEQMDESMEAMAAMFLPGLDTDSNSSSGCLNYDVPPQCWPQHGHSSSVTSFPDPAHSYGSFEFPVMDPFPIADLDAHCAIPYLTEDLISPPHGNHPSARVEEATKVVTPVATKRKSSAAMTVCPCKYLNRTVIYFSYHAVLFSMPNWIDELIQASKKSKKAGKKDPIGSDEGGNTYIDTQSSSSCTSEEGNLEGNAKPSSKKMGTRANRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMYAPIAYNGVNISNIDLNISSLQK >OGLUM07G20340.1 pep chromosome:ALNU02000000:7:22815750:22823460:1 gene:OGLUM07G20340 transcript:OGLUM07G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAPTGDPPVRVAVASGGGGGGMGLGKELREPPSDGVSSLRFSKHSDRLLVSSWDKTVRLYDAEANVPRGVFMHAASVLGCCFHDDSSGFSASADNTVRRLAFSSGGNYFLGRHDAAVSCVEYSYSTGQVITGSWDKTIMCWDPRGVNGTSHHTLVGTHNQPERVYSLSVSGYNLVVATAGRHVNVYDLRSMSRPEQQRESPLRYQTRCVQCYPNGTGFALGSVEGRVAMEFYDQSESAPYKKYSFKCHRVPEDGETKVYPVNAISFHPVHGTFATGGCDRFVNLWDGANRRKLFQFPRYPSSIAALSFSRDGRLLAVASSYTYEEGDIPHPPDAIFIRDVNEVQVKPRPKITFTEPPSVASPSV >OGLUM07G20350.1 pep chromosome:ALNU02000000:7:22826847:22834663:1 gene:OGLUM07G20350 transcript:OGLUM07G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDGKPPVPPPSTPPMDSWACGGRRSKRRGGGGGSSGSSGSSGGGESEEEYLAACLLMLARGVRDEAEVVGVAAAAKPSQHGYGCSVCGKVYGSYQALGGHKTSHRKPPSPAAEPAAGEEPSSGGMITGEAKVHRCSICLRTFPSGQALGGHKRLHYEGGAVGDAVKEKNSLKTKAAAAAAAAVATAVLKDFDLNLPAAATTPGDEAESSPPEAKRARLLLLFTSGWAMETDGMINMPLASSSGGNNIMGPHDSPARCVEYSYSPTCRYGTFATGGCDSFMAFGTALTKVPQPSNTHVTSLLVFQQGWPSASLSHPGARRFHTTMGLNEKPLVPPLSPTPVDFRAHQVFPSKHHDFDTSKSRNISGSVAIGSDSEEEYLATSLLMLAHGIRDETKDIRGMGDVKGVGVDTLELVKPSQRAYECSVCGKVYWCYQALGGHMTCHRNLFAQVVAGDELSSDGTMMVKGHKCSICRLEFPSGQALGGHMRVHYVGGVEGGSVKEKNVVKTKVTGALKLVLKDFDLNVPVVATMVGDEAESSHSEAKVRMMTLP >OGLUM07G20360.1 pep chromosome:ALNU02000000:7:22838767:22843498:1 gene:OGLUM07G20360 transcript:OGLUM07G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRASAAWVASRSSHVKVDMLEVEKVVDKIQGNVPKVEWDFEGIHYFDDGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLQSYTGPQLRQLLNWPRPLPIEEERVRLLHEVGMELERSFGGEAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDIKSITIFADYIVPAVLRELGILKYGSNLSCSIDSNSEIVPGSEEEVEIRACSVYAVEKMREFINKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >OGLUM07G20370.1 pep chromosome:ALNU02000000:7:22844750:22848628:1 gene:OGLUM07G20370 transcript:OGLUM07G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM29] MKFFAAPAGRAGLGAVVLVAASRCPLFSFFALLVLLLFQPHHQLAASHVAVNQQVSLVPDAAAAKAAGVGNGAVVDVGDEEEGSGSRWMLIVVTTTRSGGRERRRRNAALAHVEKHYFPGVVHFADAAGVYDAHFFDKIRQTEIAKLKYRLDGDVTAGEEVDAGLPAPLACLPHPSMAVRLRACGFCNGGREQWRRRVASRQPPSSCRPSPAADALVLSLLSPPKAATHRRPPQLPTQTGGE >OGLUM07G20380.1 pep chromosome:ALNU02000000:7:22851727:22853936:1 gene:OGLUM07G20380 transcript:OGLUM07G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSEGCVLRPCLQWIDGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRAAVFQSLLYEAAGRTINPVGGAVGLLSGGSWHLCQAAVDTVLRGGGIQPLPDQVDAAAAGGRDVFASTARRAVGGCSTFSTAKRSTTTTTTKNPGTPHDAAAAAPQPEPSCDLGLWLSPGSPPAPGDRRSGGRRADTPSMNSEGSVTTCGVVGGGEREPELLNLFV >OGLUM07G20390.1 pep chromosome:ALNU02000000:7:22873087:22878477:1 gene:OGLUM07G20390 transcript:OGLUM07G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFTHTAPPPPPLHPNGHGLGLGLYLDVGATRGGGGARPWSSSSSTTTLGGSGYFPSSAAASKISLGNLNSTGCMEQLLVHCANAIEANDATLTQQILWVLNNIAPADGDSNQRLTAAFLCALVSRASRTGACKAVTAAVADAVESAALHVHRFTAVELASFIDLTPWHRFGYTAANAAIVEAVEGFPVVHIVDLSTTHCMQIPTLIDMLAGRAEGPPILRLTVADVAPSAPPPALDIPYEELGAKLVNFARSRNMSMDFRVVPTSPADALTSLVDQLRVQQLVSDGGEALVVNCHMLLHTVPDETAGSVSLTTAQPPVSLRTMLLKSLRALDPTLVVVVDEDADFTAGDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEVGWKVENVLAQEGVERVERQEDRARWGQRMRAAGFRAAAFGEEAAGEVKAMLNDHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >OGLUM07G20400.1 pep chromosome:ALNU02000000:7:22879346:22884028:1 gene:OGLUM07G20400 transcript:OGLUM07G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66240) TAIR;Acc:AT5G66240] MVSMEVTDEMFKCMEVGLAFRDYNGRISSMDFHSKATNYLVTACDDESIRLYDIQNAVCLKTINSKKYGVELVCFTENPTYVLHSSKNGWDDSLRLLSLVNNCFLRYFKGHLDRVVSISLCSENGNILSGSLDRTVLLWDSRVEKAQGLLRVQGRPAVSYDDQGLVFAIAYGGYIRMFDARNFEKGPFDIFSVGNDDSEANVIKFSSDGRRLLLTTKAGRVHVLDSFHGNNIATYNVKPVVSNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDSEPPLIRWAPGSLMFLTASSELSCWVPDLSKLGSFTVSLCSSCLQNDNVR >OGLUM07G20410.1 pep chromosome:ALNU02000000:7:22884631:22927378:1 gene:OGLUM07G20410 transcript:OGLUM07G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGGGVGYGFLVVSSGVRKWRGMMGRGRRGHSLLLRWGSWETPEVVAVAILFLSPGMRDVLAVAELIARVERSPVQSSLPRWGNWGERVGGGKVATQNAV >OGLUM07G20420.1 pep chromosome:ALNU02000000:7:22928697:22929554:-1 gene:OGLUM07G20420 transcript:OGLUM07G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAADAAGGGGSVAKDTGDDFEFCVLSSGGLVSAGAGAAAADMCVADEVFSQGKLLPLRLSSAAAGDAAGLGVLPRSESVASTVGFGSRSDSRSASSSGSSSGCVSRSESSKSASSDHSAAHPPPQQQQQPRRSLSSSLFYAHPSPSPQLRTRPPRRSTGSAPPPPPATAWGIFRLGVVGAPDVYPPRSTDSKNAAAAAKVGSSRSARFEPASTAADKKHPVVGLFGDSFGCKCSPDVVEPVTLPAAAKRAKAKNKNKAGDKKAQSVRRSRILDWLEELTITKK >OGLUM07G20430.1 pep chromosome:ALNU02000000:7:22946983:22948391:1 gene:OGLUM07G20430 transcript:OGLUM07G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPATKAAGGEPKPSPATKAAGEQEEDDEEESSGGGGTEPKNRVFLWTNSELVKEHAAVFVASGDSGPSFFRRKDTGFRVFRETVAHPSSVEKAPVSEYSLFRIKFQASYLGSLFASSAIVRCFDLTRAISSCHLRRL >OGLUM07G20440.1 pep chromosome:ALNU02000000:7:22951537:22952118:1 gene:OGLUM07G20440 transcript:OGLUM07G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGDLISLCLMALAAAARGESTALALALAPPPPELHFRCSLCGKAFASYQALGGHKASHRKPSAAAAPPPAHRDVVVAAAPASSGGVAADADAASEADRRRRRHVCSLCRRGFATGQALGGHKRFHYLHGPSVSATVSSAATAASVGAAFDLNVAPIKEIAGEQRRCGEEADDDDEAESPSPAKKPRRRPG >OGLUM07G20450.1 pep chromosome:ALNU02000000:7:22952691:22964345:-1 gene:OGLUM07G20450 transcript:OGLUM07G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAASPRATTTPPSPASPSPPSPHHFPIRRPDARRRVARASPPPASPAPAAEWRRPLHVPQVLPRDEATFRFVNEANKTKSGPSIHIQTGGLRRGSGAVTSSTTINDGNIYNVVIFLETYIGDSVLSFAL >OGLUM07G20460.1 pep chromosome:ALNU02000000:7:22979904:22981342:-1 gene:OGLUM07G20460 transcript:OGLUM07G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVMVMVVVTATAMAMAVQGSRHSDFFVEGEVYCDTCRAGFVTNVTTAIQGARVRLECRHYMSASGSVERSAEGTTDATGHYRMELVEVDNRGAELVCAVALLSSPVPECPEMEVGRDRAPVTLVQDVGLATMVRRANPLGFLQTMLARYADTDTRYMAPAADGGAMPGGGSTAFSIRLQTRPLPICGDLLKSYALGTAPSY >OGLUM07G20470.1 pep chromosome:ALNU02000000:7:22983771:22984405:-1 gene:OGLUM07G20470 transcript:OGLUM07G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKERELGCSGRRADGSGGIDGLSLAHADRGGAPHARRRPMRNTRGGTDLKLELTCAEIPKEGLGNVPLNLDPACAKLPESEA >OGLUM07G20480.1 pep chromosome:ALNU02000000:7:22984888:22987242:1 gene:OGLUM07G20480 transcript:OGLUM07G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02860) TAIR;Acc:AT5G02860] MADTLAFPLPLRAPAPPPSSPISQASAHSSPPPRIFSLLSSSHPAPSSSTSRKPRLGRPGGGGQQPWHLPPSLSLPARRALLALLDDPGRATSPRDLLSGLPAPELAAVVGALGSRGQPGAALAALHAARELHGEGVLHHPRVLATAIRVMARAGRLAEASALLDAAPGPDAGAYTALVSAFSRAGRFRDAVAVFRRMVDSGVQPAIVTYNVVLHVYSKMAVPWKEVVELVASMKEHGVAPDRYTYNTLISCCRRRALYKEAAQVFDEMKASGFEPDKVTFNSLLDVYGKARRHDEAIEVIQEMERVGCPPSVVTYNSLISSYVKDGLLEQAVALKQEMEVKGIKPDVVTYTTLISGLDRAGKIDAAIVEYDEMVRNGCKPNLCTYNALIKMHGVRGKFPEMMAVFDELRSAGFVPDIVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDLAMQIYKRMMEAGIYPDVSTYNAVLSALARGGRWEQAEKLFAEMEERDCKPDEYSYSSLLHAYANAKRLDKMKALSDDIYSERIEPHNWLVKTLVLVNSKVNNLAEAEKAFLELRQKRCSLDINVLNAMVSIYGKNRMVRKVEKILSLMKESAISLSAATYNSLMHMYSRLGDCEKCENILTEIKSSGVRPDRYSYNTVIYAYGRKGQMKEASRLFSEMKCSGLKPDVVTYNIFVKSYVSNSMFEEAIELVRYMVTQGCKPNERTYNSIVEGYCRNGKLTDAKIFVSNLPQLHPGYSKQEQQNLFEVLAKYTQR >OGLUM07G20490.1 pep chromosome:ALNU02000000:7:22992211:22993513:-1 gene:OGLUM07G20490 transcript:OGLUM07G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding RHPPSPPRHPPRRPRRPRSPRRRPRLPRSRLPSRRLLPPPPRRPPPSPPSPTHPRRRRPRRRSPPRHPRRRRRAPRPPPRPPSPSPSPPSTPRRLRPPPPRPRLTPPAPPATVSPPTPREPQGGPACRRSRRRAPWRSASSPSSPKQNGVVQRREQRRRRRWQRPVRPTTFCVVFCSSILLRDGREEEEGP >OGLUM07G20500.1 pep chromosome:ALNU02000000:7:22992278:23001639:1 gene:OGLUM07G20500 transcript:OGLUM07G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWGAPAAAICVVVVAHARRATRPSATAHADAIACTLETHMHAWPTCRSAATPSPAGPGASAVDEAAGALAFLECLGDSATATGAGAGALELFFFFLDGGEGFFFLAGAGAGASGLVGMVAGASAAGEGGDATGASTGGDEAGGGVTLAALGAGAGALVDLPLQKIESPFLPRGCTPHRGGFPHGGAHMNTEHRTRPAAGRTCRSTCSVSSSALHLAAGSSSPIEEHHRLIELWRYDGQLGLVGLVLLWPDLDKNIRRSSNAARRPKVTGLPACLLVNGGDAPLIRKHPMLGALQKYSEQWLPRYNLISRWKIEVEAARSS >OGLUM07G20510.1 pep chromosome:ALNU02000000:7:23001783:23003523:-1 gene:OGLUM07G20510 transcript:OGLUM07G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERKQGFFAALKEEVVRGLSPARSRGKSPARSASPGRMLIPRRRKEKQQQPPPEKLLQQYFAEPLISRSGSLRPGGEALAPLIEGPDPERLAAGGDFAGGEESARREGFGRWVRGQLARTPSVASSAAAAASPGGSGDSFRRSDLRLLLGVMGAPLAPIPSKLADPLPLLSIKGTPIESSSAQYILQQYMAASGGYRLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPSGRGAASVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLSADPQTLKQRSEGPAEIIRHVLFGYFSQRTGLIVHIEDSHLTRIQPHSGGDAIYWETTISSALEDYRPVEGIMIAHAGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGPVGESCELPPPAAAPHGERARHPARVAAVDRAPPHHNAGGANVGRRGDKIMWRVEV >OGLUM07G20520.1 pep chromosome:ALNU02000000:7:23012001:23012636:-1 gene:OGLUM07G20520 transcript:OGLUM07G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAPPSAPAAAAAPARGGRKRKRHLVTTSPAAQVGGWATLPTDLTRLVAGRVLAGDVVDYIAFRAVCSGWRACAPSPRDPTLRKHLLRPRAWVALCDGDAARPDDACEITFFHTRTARSLRVRLPELRCHRIVGFTDGLIILLHKRTTAVRVLNPFTGVAVDLPPLAPVFHQVVKNRNSLLYMLHQRHVSDDPHCRHRLVPLHGWSARL >OGLUM07G20530.1 pep chromosome:ALNU02000000:7:23015272:23015775:1 gene:OGLUM07G20530 transcript:OGLUM07G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGINEGRLLAFLIVLYASTIAASIGRRILGARLAAWRSDGLGATCMSFAALTLAMQAMLACVLEEKPAAAAAAVARRPASGGRLPWLVAAVSWMCVTNYFVAYIALGGNVAPTSLEWTAAGVASAANLAIASVPSG >OGLUM07G20540.1 pep chromosome:ALNU02000000:7:23017588:23018148:1 gene:OGLUM07G20540 transcript:OGLUM07G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVVVYVATSAGSLFCRLMSSIASPEAAAARRRSGGLNDAVTCAVFAVLTAASQAFIACFVEEAVGPAPPATTTREERCAAWAVGVLTGVVTSLCISSYFFTYIAAGGVAPTSLQWTIAAVFSVANFVFVTPTIMRFIGRFNSARDD >OGLUM07G20550.1 pep chromosome:ALNU02000000:7:23026075:23027284:1 gene:OGLUM07G20550 transcript:OGLUM07G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKKRNPPAASWVAWLLAVSTCASVSGMFLAYISYGGFVAATSLEWPVAGVASAVNLAVAACTVLRYVRVNWDMDG >OGLUM07G20550.2 pep chromosome:ALNU02000000:7:23026277:23027283:1 gene:OGLUM07G20550 transcript:OGLUM07G20550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIMVSGDEHKVHYILNSSLIRFSLQNLSQNAFLRLIYRLFSASFFFFSQAKFLSYLITVFMVAMAVFFSSRMAGWAVCPGAGGITGG >OGLUM07G20560.1 pep chromosome:ALNU02000000:7:23028878:23029201:-1 gene:OGLUM07G20560 transcript:OGLUM07G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIAATAEREEIDSGEGIGGVRRCRWKGTTLRRRERGMRGGIGRRRSTGGKSRRRRRKGTKSVPARRKRAEEAERRREQRWTEAGRGGALVQRGQRTLIARGGSGQ >OGLUM07G20570.1 pep chromosome:ALNU02000000:7:23032375:23038005:1 gene:OGLUM07G20570 transcript:OGLUM07G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMIHGRPSPCEIPPVTCSHTKEATGAAHSLHQCSSSAAVALNTHLTVFVRAQKDQEKMRVLPLRATTALLATLLVAASFQDLTVAADGGGGGVVPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >OGLUM07G20570.2 pep chromosome:ALNU02000000:7:23032530:23038005:1 gene:OGLUM07G20570 transcript:OGLUM07G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFMIHGRPSPCEIPPVTCSHTKEATGAAHSLHQCSSSAAVALNTHLTVFVRAQKDQEKMRVLPLRATTALLATLLVAASFQDLTVAADGGGGGVVPVPDSVCDAKCQKRCSLKVAGRCMGLCKMCCHDCGGCVPSGPYASKDECPCYRDMVSPKSRRPKCP >OGLUM07G20580.1 pep chromosome:ALNU02000000:7:23032592:23032957:-1 gene:OGLUM07G20580 transcript:OGLUM07G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSAPVAAVDLGAGAGGWGRGRNRPRQLRRRGRSSGSGGGDAAAVHAGKNQRGGYPARMRRQNIRGGGGASEEEEKRSLRVWSDVFAGGGGVKYSAWRGWMDRAVVKSSAQMDGRDRFE >OGLUM07G20590.1 pep chromosome:ALNU02000000:7:23038634:23043777:1 gene:OGLUM07G20590 transcript:OGLUM07G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLITPQAAQTTTRHRWRATISSDRIWGTRAASVQTKADNGVLTREKSGAMGALCGLGSHFSTASSCQRLPGKVAVITGAASGIGKATAAEFIRNGAKVILADIQDDLGRAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVARHGRLDILYSNAGISGSSAPAPLASLDLADFDRVMAANARSAVAAVKHAARVMVPRRGGCVLCTGSTTGMLGGLAALPYSLSKAAVVGVVRLAAAELARSGVRVNAISPHAIATPLLVRSLARMNPGVSDEQLKEMVERGMSELHGAVLELEDVARAAVYLASDEAKFVTGQNHVIDGGFTVGKPMDMRVPR >OGLUM07G20600.1 pep chromosome:ALNU02000000:7:23040632:23047803:-1 gene:OGLUM07G20600 transcript:OGLUM07G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRSRRLGSLVPFAAAVVLLLLIASAAAAAAGSPDRAGPARPPLVLPLTLAYPNATRLPASSARRGLGDGHNPNARMRLHDDLLTNGYYTTRLYIGTPSQEFALIVDSGSTVTYVPCATCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNERSQCTYERQYAEMSSSSGVLGEDIMSFGKESELKPQRAVFGCENTETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGTMVLGGMPAPPDMVFSHSNPVRSPYYNIELKEIHVAGKALRLDPKIFNSKHGTVLDSGTTYAYLPEQAFVAFKDAVTNKVNSLKKIRGPDPNYKDICFAGAGRNVSQLSEVFPDVDMVFGNGQKLLLSPENYLFRHSKVEGAYCLGVFQNGKDPTTLLGGIVVRNTLVTYDRHNEKIGFWKTNCSELWERLHISEVPSSAPSDSEGDMAPAPAPSGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELDIDSRQVRVMNVTSQGNSTLIRWGIFPAGPSNSMTNTTAMGIIYRLTQHHVQLPENLGSYQLLEWNVQPLSKRSWFRDHVVSILLGILLVVLLTLSALLVLIVWRKKFRGQAAYRPVDSAVHEQELQPL >OGLUM07G20610.1 pep chromosome:ALNU02000000:7:23048739:23051180:-1 gene:OGLUM07G20610 transcript:OGLUM07G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AM55] MATEDTSHISGSSESTIPLLEQLAEVFGKLKSHTETSLQLQNGINWEDIKAHFLNLEKSYKSKCDELAEKQKALEEKKAESCRLIAEKEANVSAKERAFLKQFQELRDTAVSALSEVRQKYKVELAGILDANGSKDKKVRTSTNDMNALCASEENTTASGLGEPSEASPVDVKPRPVLKQLCEQMDTAGLLKFLSENWKKLSSLRDELSAALRCATDPARFVLGSLEGFFPSDHTSSPGNKQIILQGQRRSCIILMEAITPALATKEPGDNHPWSSEIRELAKVIAEEWKSKLAEVDLDASDGYSLEAQAFLQLLTTFNVDSVLDEDELCKLVVAVSRRKQTAELCRSLCLNERIPDIIKELVNRHRQIDAVQFIHAFGLSESFPPAPLLKTYVEELKDSLGNNGDGNAASLKDDPKTRELLALRAVIKCIEEYKLQKDYPLGPLQKRVAELKSKGEKRPLEAGRHNAKKPRTFGNSAARRPPNPVGSAGRRPSGPAGTWQRPPPPMPSYPDRYGHADRYHYTAPSATYDPPAYASYSEPYSASKPYQYTPGSVAPAPYNSNQFKVAYGGPGAPPTAGGYGSYNDAAGQSTSSSYSGYSGYHPSLPRL >OGLUM07G20620.1 pep chromosome:ALNU02000000:7:23055933:23056571:-1 gene:OGLUM07G20620 transcript:OGLUM07G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGQRCGDGVRQPPRGRGQLRCHRRSREEGRRRPLAASEEELSDGGVASTTQQGGGADGEKPAGGSGGVSDMAGRITSEAGATGSLPLLSPLPRQLALELPIHGLGAAAADVELISVGRHSSTMPCCHRAGAELPLVFSPLKLSALPPPPAFLGATRRRPTAARVARPSLPFLPARKNERKKREKKEEVI >OGLUM07G20630.1 pep chromosome:ALNU02000000:7:23059911:23061822:-1 gene:OGLUM07G20630 transcript:OGLUM07G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMTDVSTTGTALRTPAAGAVKEGDVEKLRFIDEMTTNVDAVQERVLGEILGRNAGTEYLTKCGLDGATDRAAFRAKVPVVSYDDLQPYIQRIANGDRSPILSTHPVSEFLTSSGTSAGERKLMPTIMDELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETKTPGGLTARPVLTSYYKSDHFKNRPYDPYHNYTSPTAAILCADAFQSMYAQMVCGLCQRNDVLRLGAVFASGLLRAIRFLQLNWEQLADDIESGELTPRVTDPSVREAVAAILLPDPELAKLIRAECSKGDWAGIITRVWPNTKYLDVIVTGAMAQYIPTLEFYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFEFLPVDETGAASGDATQLVDLARVEVGREYELVITTYAGLNRYRVGDVLRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGASVVEYTSQACTKRIPGHYVIYWELLTKGAGATVVDADTLGRCCLEMEEALNTVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIVELLDSRVVSSHFSPALPHWTPARRSE >OGLUM07G20640.1 pep chromosome:ALNU02000000:7:23075844:23076410:1 gene:OGLUM07G20640 transcript:OGLUM07G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPEVVQHKAANRAAEDDHTTSIPWQKLGVVDALTAEAGKLPESNPKPAVAAPHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHGFQQQHLMVGLSPTAPSSFLHHMRVNPHATILKVNRGDSSADGVVVAKFHGGQMSSSWVPFAVEDGRGSVWPGSFKASSQEQKKRTEEDLDLSLRL >OGLUM07G20650.1 pep chromosome:ALNU02000000:7:23080235:23083467:-1 gene:OGLUM07G20650 transcript:OGLUM07G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATAAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLALFGKNMVRACKILDEGGVRRVTGAPSGRSLFLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAAQLAEAVSKHQDIEFYFAPPDQA >OGLUM07G20650.2 pep chromosome:ALNU02000000:7:23080235:23083467:-1 gene:OGLUM07G20650 transcript:OGLUM07G20650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRLLLLLAVLLLTLLAATAAVVGGHGGDDVAGAEEAKPPDDDGAAAKLDVRARRWPRFPATDGQLVMGESRRKEEYICFPEHLCTCYSFFYDIVGRGEQLCCKHQLAAQLAEAVSKHQDIEFYFAPPDQA >OGLUM07G20660.1 pep chromosome:ALNU02000000:7:23085467:23087683:1 gene:OGLUM07G20660 transcript:OGLUM07G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAPSAAAAEGSQHASAAEGGSAAAAAPAAPAAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSILSQRYGAVPEAEAERAAAAVEAEAYAAVTESSSAAAAPASVEDGIEVLQAYSKEVSRRLLELAKSRAAPSPAAAAPAEGAASESEAAAAPAPVEEPLKVLSCAEQDITLEIFSCYVVLNGIPVISAMFFHKCELTIKQDNCIFALAIPLTAVITSVVTLKGLVRLILS >OGLUM07G20670.1 pep chromosome:ALNU02000000:7:23088368:23090939:1 gene:OGLUM07G20670 transcript:OGLUM07G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPNCIAGAKHTWEKASSSKQAKKKSGPRGRKPLASSATPTPTQPSPTPPPPPGSGRKSEPGAPDRSFRPPRPRRPGHVTTTRSNKTLVGEPRASSRQTAPPFENQNLFLALASPSSLASCSASSLERAAIHPREGETVTGALAGEGAGLKLNSAAGGMASFEMSELKKIGLGLSGFGVLFSFLGIIMLFDKGFLAIGNILFVSGVSLTIGPKSTVQFFTKPKNHKGSIAFGIGFFLVLIGWPFFGMLAEAYGFVKLFRGFWPTAAVYLQKSPTFGWIFHHPLVTSGKTSPGVIVALLLELDHSKGCRVTCKET >OGLUM07G20680.1 pep chromosome:ALNU02000000:7:23092196:23095577:-1 gene:OGLUM07G20680 transcript:OGLUM07G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMWPVAVMKRGAAKQDDTAAAQWVAIGPCGHRSVCARCIVQVRFVSKDRRCYLCRAPCPLVVVVKGDCINGADILAELPSSPSMITRQGRVDNFWFNVDTAAYFADEQQFKVARIACVKKSFYKPKEWWRSHRRDVCRKNESYVVESDNLPRVNKCCTVVC >OGLUM07G20690.1 pep chromosome:ALNU02000000:7:23096532:23097026:1 gene:OGLUM07G20690 transcript:OGLUM07G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGEYCKWLLDLGKGGAHDTNARNMFDGMPSQLEMSKEDQRISDPIPINSTMNKEEKWLDDALDQILERFEQMEAKRMQEENINQIFQKLEEIEVRRSKASEEMIAAIRATTAIIKGASSPTPMAPPPPAPTNCLMECPNNNITWVATNSSLIGEVLAPTVA >OGLUM07G20700.1 pep chromosome:ALNU02000000:7:23097048:23098673:1 gene:OGLUM07G20700 transcript:OGLUM07G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAPYIATKDLPKVTPTKCSMLCSSSDIKPDLILDVVLTCATTAVASREFVLVDGAIDAINISTPRMRRTSKKQATAAAGLGGWTNGGDSLVRLNLVDLSTLPLLLYPRNF >OGLUM07G20710.1 pep chromosome:ALNU02000000:7:23109848:23130377:-1 gene:OGLUM07G20710 transcript:OGLUM07G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERPALPPPPSAPQSPPFSAPASPYSALHPLLLPSPNPHLLLKPKTLTLSLSSSSLGSMPSSSPPGPAVPDAWELVTPTATVVAVGAAAVDDGGLDDCDVFPPRLHEGLGVEGEAEEAAAKEGEEDDGEEDDDDDEFGDGEWLWGWGRCRAAARRAWAAGVGAVREVLMVPGTCGCPAVRPAVWSAAGAAVVVGALLYARRRDRRERDLLVLLSQEKDKRIAQLLHQIALMSDMRSRGEAIKIIRTP >OGLUM07G20720.1 pep chromosome:ALNU02000000:7:23131664:23135428:1 gene:OGLUM07G20720 transcript:OGLUM07G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNKRGGTKPSGGAKQTRQQRSYCKQFPTNWASKVSVWPIVPGAAAAQLLRVEAATASASKSRTPPPPPPGCSAAPPLALPCFRGLRRTGSSRLEGGLAVEEGGGVWLIENSAEGNPGCGGDGHGRGGGAGAAGEGEEVRGLRRPETEAGPCEHHHAAGQGVPAAEDLLGLEKEHRKFGEEWSHQYAIHGQSWL >OGLUM07G20730.1 pep chromosome:ALNU02000000:7:23137602:23138600:1 gene:OGLUM07G20730 transcript:OGLUM07G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGGGGGGVVRLPPMNALEILRETVRVLRADPHAFTSVLFFLLCPASGCLLLSAAALEGAAVLPLARRLLAAAAASGLPLTHFVRQLAHHLAATLVASVVSFPATFTLLLAARAAAAYAVAAVYAGKPLLAGAELSLLARRAWPRLAATYALACAAVIACLSSFLALLVTACSTLKFMLYPPDIVVCAGLLTVLAFSVAYAHTIIVCNLGGVIAVLEDIAGVSALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSVVFYFTCRSSSMEILDDEGGSIEELEMMVGSNSVIR >OGLUM07G20740.1 pep chromosome:ALNU02000000:7:23140763:23145197:-1 gene:OGLUM07G20740 transcript:OGLUM07G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G69420) TAIR;Acc:AT1G69420] MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGKKVFQDVAVGLYTPLVSFVFFMYIWCAATDPADPGVLKSKKYLRLYGSGKHKHPKEFRHGISDSGLQVEGTGEKQEHEFAAASEKSTARYKDNNPSCCSSTSSVFLIIFYPLYLVFSCCQPREWSEQQASEEGMFFCSLCEVEVLKYSKHCRVCDKCVDGFDHHCRLILQSAVGVLVLVFCFVERKEFSIQIVSKLGSSFSVVPYVIVVASCTILAIVALLPIVQLLFFHILLIKKGISTYDYIIAIREQEQEEVGGQQSPQMSRVSSYTGLSSTSSFGGRRRGSWCTPPRLFLEDQFDVIPSEAGSSHNSTSKRKEDEVRRKKGSGGIKISPWALARLNAEEVSRVAAEARKKSKVLLPIRKDEYALGHETDSSYGGTSSSRIDLGPDNKRRTSRRARPHNDFSLKPVAKISADALDSHGSELVPETLSSLAPLQLEARSAFHPSRAASSANGGGSSPDSSLDSPDLHLYRVSAVSSSAAEDLQLTTLTAPGSTPQQGIELSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILSSDQSVASSGILVPKNRLS >OGLUM07G20750.1 pep chromosome:ALNU02000000:7:23148226:23149017:1 gene:OGLUM07G20750 transcript:OGLUM07G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKNRKCNASILILAKPNRLETYTASRAYLIVKGKDFQWRVEHMWLDFDLAIGNNVITDTTLLIVAGDTRQWSVLTCDLPASASLHDGFT >OGLUM07G20760.1 pep chromosome:ALNU02000000:7:23150341:23194224:1 gene:OGLUM07G20760 transcript:OGLUM07G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVGRACWRWEVARMSSSPWDHADECLHLHFILPRVLTWRRCRSCSARLDAAGAQSEVATGRPCWPKRKKPAIAMGGAHTTDSILILDRRLSNRKPTAAPEEVVHVHQEVEVGEDHRAFPQGQAVVEVLAHQLQVVAGEVELQDVCLEGVEEVEHLHGHLEEEEVEHLDDHLEGVEVVEDLGEHLEVVEEVEDLDVHLEVVVEVEGQGVEVGEVVLLNSVRLAVVEEEVERIVTRAVVVAVEEHLKMLERMGLREGEVAVAVEVGVGMEHQNLVSVMEEVVAEVEGEEEECLTSLMKLEEVAVAAVVVVVAAAVAAAFNISLISIIVYLLHGSSGRLERTRAKDVGLNERSVEHDPEHYLLMVKVITNMNALKNNISLNTAKSTNFYWDFRLHCNYSSEMVQNKLNSSI >OGLUM07G20770.1 pep chromosome:ALNU02000000:7:23184766:23190955:-1 gene:OGLUM07G20770 transcript:OGLUM07G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHLHFQLHALMLRHRPHHLQQHILMPLLHRHHRLSHVQEHHLPHLHPLALHLHHHLQVHVQVLHLLHHLQVLAQVLHHLHPLQVVVQVLHLLLLQVAVQVLHLLHPLQAHVLELHLPRHHLELVGEHLHHRLPLGEGSVVLPHLHLLVDVHHLLLEDQEHHHLQEAILVEGVGWYVLQDQVLGLQLHVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKPNDSSKSDSRRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLPDLVSAALALDQSTLDVDQVENLIKFCPTKEEMELLKNYTGDKENLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNTIDSSCDEIRSSLKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAAKSSQLLDFYMDLVSLEATSKIQLKMLAEEMQAVSKGLEKVQLEYNASESDGPVSEIFREKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTMFRKAHEENRKQAELDKKRVEKEAEAEKSKAQLASKNDSKPSNPSRQVKQTPDTKTRAASRRGKDVVRTSDGRFFSLRPAGPPSGNF >OGLUM07G20770.2 pep chromosome:ALNU02000000:7:23190955:23194922:-1 gene:OGLUM07G20770 transcript:OGLUM07G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRSEPIGGRVRRQSSSPGEGGAGEAEAAAAEEEKGEEEEGKDGALPQVLPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENQEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSIVDEDTRLGTIPNVALQNADEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPVDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPSHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSITETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >OGLUM07G20770.3 pep chromosome:ALNU02000000:7:23190955:23194922:-1 gene:OGLUM07G20770 transcript:OGLUM07G20770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPRSEPIGGRVRRQSSSPGEGGAGEAEAAAAEEEKGEEEEGKDGALPQVLPEEDAGSAARDLRALFDCCFSTDSMGEDEYRDYLSGIVAQLQDYFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQSSHMRYLHYITRQGSGPEKPPISRPLILDSIVLHVVPRFDAEGGCRPYLRVHGQDSSPSNKSAKVLYEMPKTKKHLQRYGQAEVPVKVGAFCRVQGDVVLECIHIGDNLDHEEIMFRVMFNTAFIQSNILGLNRDDIDVSWNSNNQFPRDFRAEVVFSDPGSFKPAAATVEEVDDDGDETDVASVDTGEEFYEAEEDWHDARRDPETQSTDGRTSIGDAELDGGVSREDSGSLEKHRADEDVKIVISQNLGCMSDRPVSAPAEILGNPGGLQQACENQEMPKLSNRSDQDDNAVQDIQVVAASVDSEGHKFGSICQKEDMKGVIAQTLVTAIDPSCSDEVQCQPDESAKILKYPNLDYTGFSSPRTLSIVDEDTRLGTIPNVALQNADVKIITESTVIVDNELVIYEEKTIVDNGNLTQEVKNVVNEESTTPKLDRSVIESVDSQDNKNHKMEVAKAADTTDSKMEQAKLKSGLEDAISLKKTTVQGSIVVLPATEIATKIKTKREESGGRRDVGISLPQSKIEARAKSPRISSDRRQIPDKVVPSKKMPVDHAPEAVLLEEKLGNSDQSQEQPKAVKPKTVRRWISPNKESETTSVHRPSHPPSRYDSSPAALAIHSMHTNNKFNVGKDAPLVSSGAQAVPKIQAAPPPPPPPPPPYASSSSLSMHMGSATKQQPPPPPPPPPLPPPPPPPASSGLSSIPPPPPPPPLMSFGAQTRTFVPPPPPPPPPPRCWWEYSTCTSSPASKVNCSCYFSTSATTSSITETKFWCSMPTPTSTATATSPSLSPILSSIFKCSSTATTTALVTIRSTSSSTTANLTL >OGLUM07G20780.1 pep chromosome:ALNU02000000:7:23194921:23195187:1 gene:OGLUM07G20780 transcript:OGLUM07G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPTRPPPAGELSGGGPAPTSPPGDLGIDALPPPRVPPASGARAPRRQQQQRARARWWWWWAWRERAPWDWDFASSLLPPPPPARFV >OGLUM07G20790.1 pep chromosome:ALNU02000000:7:23199667:23217712:1 gene:OGLUM07G20790 transcript:OGLUM07G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDATVRVIFVARAVMGGAIWTSHPIWASRLHGPIARPVREATAMHTRYTFHDFTPASGMHESALRGIHPWMASPATALSHGGHPAATCSPSRLRRRLRSRKLRDAVAAAMTAFSDGSSPAAATAASSLFFSDLLPAAAGEEQRREDAVTDTTVAAASGARGRLLSRSAPDESAAGVTDTAFFDATQPIAAAHHHHHHQSSHSAIGLRSPSPSPSPSPSSSRGKPSTAETRDAISEAVKNTTRPPPPPPPPPPASADRDGDTLLLLLVASERTRRSRDRETPVVVVVVVVVHAGAAGRDEESAAEFGRSGGGGAGKRELVSSERGRRIFAVGTPATYPSTVKESKGDDDADESNGNVMVLGNQQKPYTEDTKSLRQNYEPQK >OGLUM07G20800.1 pep chromosome:ALNU02000000:7:23204495:23209078:-1 gene:OGLUM07G20800 transcript:OGLUM07G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKNAVSVTPAADSSGALRERSLPRAPEAAATVVSVTASSLRCSSPAAAGRRSEKKRDEAAVAAAGEEPSEKAVIAAATASRSFRLRSLRRSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLEKVGQGTYSSVFRARELDTGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLSSSPDIKFSEAQVKCYMNQLLSGLEHCHSRRIVHRDIKGANLLVNNEGVLKIADFGLANYFDPNKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSAGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADEYWKKSKLPHATIFKPHCPYQSTLQDVFKEMPANALRLLETLLSVEPYKRGTASAALTSEFFKTKPYACDPSSLPKYAPNKEMDAKLREDSHRRKASRGHGPEASRKSRLSRAARETTTVNKQTDGKEESKTKANGTNDNSILDRTKVNGDARLFSDIQPVSVAQVKERSRHVKNDSREEIPFSGPLIVSSSSGFAWAKKPPEDRSFARSRTRSSSRGQFTAELDQDNKMPAKENQNLGLKEQPNRDMHIARANSKVREPHDAAKRAVLKKWSQLGRPDSFDSYDTYHSQNFSNAMYLGDTLSSKNSIKGDHDQGERVEYSGPLLSQSHKVDELLEKHERHIRQVVRKSWFSREYAGKQFYLAILLALTTSGIM >OGLUM07G20810.1 pep chromosome:ALNU02000000:7:23221755:23227042:1 gene:OGLUM07G20810 transcript:OGLUM07G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPPPAPTPEVFDVVIFGASGFTGRYVIREALKFLPPSSSPLRSLALAGRSRDRVAAALRWAAGPGGAAPDLPILVADASDPASLAALAARARVVLSCAGPFRLHGRQVAAACAAAGADCLDISGEPEFMERVEAEFHEAAAKNGSLIISACGFDSIPAELGFLFHSRQWAPPSVPVTVQAYLSLESDKRIVGNFGTYESAVLGVANAGELQALRRSRPRRPRPNDTYPVPEEEERVAQNKRTQGNSRQIFENIRTQRNSRQTFGKLTGSGMHK >OGLUM07G20820.1 pep chromosome:ALNU02000000:7:23226794:23229367:-1 gene:OGLUM07G20820 transcript:OGLUM07G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVPHVNRTEYQLIDISEDGFVSLLTESGNTKDDLRLPTDDSLLGQIKTGFGEGKDLVVTVMSAMGEEQICALKDIGPK >OGLUM07G20830.1 pep chromosome:ALNU02000000:7:23243379:23245751:-1 gene:OGLUM07G20830 transcript:OGLUM07G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFMDSANIAPHFADNGTRLFSVQGNFETFPQQDLVPLTAQEVHSKCITFGRAENLSFIPLATSALVSQHTGSSSVNVTPLQEILTSPSQISNVNTESIGVLQGLPASSIVLDRPTDDGYNWRKYGQKAVKGGEYPKSYYKCTHLNCLVRKNVEHSADGRIVQIIYRGQHTHERPSKRRFKDCGGISDDLDDFSGTTGTSVRSQPDYDDYCRKPIIPSGTMVAPLVKKIEDGDDQLSGSSDNQDEHDDEVRTADGASGDASANERNVPAPGQKIIVSTTSEIDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTYLGCDVKKQVERSVEEPNAVITTYEGKHIHDVPAARNKSHVVANASLLQNTKSNTYCTEQSYTTITC >OGLUM07G20840.1 pep chromosome:ALNU02000000:7:23246672:23247530:1 gene:OGLUM07G20840 transcript:OGLUM07G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFMLLLLLFLLLLKLKPLNSLHAMIPGPPPPKGSLVEHDKALGLWTIKLPSADTVVVKRTLSTVTEHPEGLPGVEESADFAEHRKSFWSSVKPAHFGVKLTSKSLLGIAQFIFTGLCIGLLGGFSFGRSLLLKFPSFFSAGWFRKSGPTEEQVSSASFKMWFVGRGYSDAARASDRGSKPDKEIITRVSGPEIGYITTPIVLVQCALVLLSQRGNLPKGGVYTPGAVFGPTDIQQRLQENGLSFDLVSTRTL >OGLUM07G20850.1 pep chromosome:ALNU02000000:7:23250071:23253505:-1 gene:OGLUM07G20850 transcript:OGLUM07G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLLGFLCLLLFAGQVAVVASDDDREVLVELKRFLQANNRFNRGEYDRWPESDASPCRWAGVTCDGRGRVTALDLSGSAISGAAFGNFSRLTALTWLDLSDNGIGGELPAGDLAQCRGLVHLNLSHNLIAGGLDVSGLTKLRTLDVSGNRFVGGAAASFVPAACGDLAVLNVSGNGFTGDITGLFDGCPKLEYIDLSTNNFTGELWPGIARFTQFNVAENNLTGGVPAATFPGGCKLRSLDLSANHFAGEFPDSIASCSNLTYLSLWGNGFAGKIPAGIGELAGLETLILGKNRFDRRIPPELTKCTSLQFLDMSTNAFGGDMQGILGEFVTLKYLVLHHNNYTGGIVSSGVLRLPLLARLDLSFNQFSGELPLEVADMKSLKYLMLPANSFSGGIPPEYGRLAELQALDLSYNGLTGRIPASIGNLTSLLWLMLAGNQLSGEIPPEIGNCSSLLWLNLADNRLTGRIPPEMAEIGRNPAPTFEKNRKDVSVLAGSGECQAMRRWIPATYPPFNFVYTVMTRENCRSIWDRLLKGYGIIPICTNSSSPVRSNTISGYVQLSGNKLSGEIPSQIGAMRNLSLLHLDNNQLTGRLPPAISHLPLVVLNVSNNSISGGIPPEIGHILCLEILDLAYNNFSGELPASLGNLTGLNKFNVSYNPLLSGDVPTTGQLGTFDELSFLGDPLITLQDRGPRRQRAPQAAIRGRGMSPRTIALWFVFSLIIAFIAGTVVFIMANLRARFPVDQDPDPESLSCENPKCGGGGGGGGKCGAFHMSATSSPPSGCSSSCVTGCSSSSEGVKVFRLDKTAFTYRDIVAATSGFSDDRVVGRGGYGVVYRGVLPDGRDVAVKKLARLRDCGGGGGGEDSGEREFRAEMEVLADRMGFTWPHPNLVTLYGWCLAGSAKILVYEYLDGGNLESLIGDHAAFGRRRRLDAAIGVARALVFLHHECRPAVVHRDVKASNVLLGRDGGVKVTDFGLARVVRPGDTHVSTMVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWSRRMAQEGWPAREAAAAAASSGAVLWDMLMLGMRCTADSPQERPDMPDVLAALLDIAGSGGGGGSSSRGGE >OGLUM07G20860.1 pep chromosome:ALNU02000000:7:23291498:23294915:1 gene:OGLUM07G20860 transcript:OGLUM07G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCIVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGGEGYKDFINESCWTPLGQSHPYSSDMSAINQFLRLQALLRYNESESRAFEVVTLACALVGGDADTTRLYHLLSVPAIVAPLIGQESYHGGLKYLQALLGSVPLAHIDDVCDAHVFCMEQPSIAGRFLCAAGYPNMKDFVDHFSAKYPEITIKLREVVGEGVRVGADTNKLTDLGFRYKYGVEETLEGSVECAKRMGLL >OGLUM07G20860.2 pep chromosome:ALNU02000000:7:23291498:23294915:1 gene:OGLUM07G20860 transcript:OGLUM07G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCRVCVTGASGYIATCLVKKLLERGCIVHGTLRNLGDEKKAAPLRELPGAAERLVLFEADMYDADTFEPAIAGCEFVFLLATPFQHEPSSKYKNTAEAAVDAMRIILKQCERSKTVKRVIHTASVTAASPLREDGGEGYKDFINESCWTPLGQSHPYSSDMSAINQALLRYNESESRAFEVVTLACALVGGDADTTRLYHLLSVPAIVAPLIGQESYHGGLKYLQALLGSVPLAHIDDVCDAHVFCMEQPSIAGRFLCAAGYPNMKDFVDHFSAKYPEITIKLREVVGEGVRVGADTNKLTDLGFRYKYGVEETLEGSVECAKRMGLL >OGLUM07G20870.1 pep chromosome:ALNU02000000:7:23295746:23301235:1 gene:OGLUM07G20870 transcript:OGLUM07G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase (SpoU) family protein [Source:Projected from Arabidopsis thaliana (AT5G15390) TAIR;Acc:AT5G15390] MVCPVQVGGRTARSPVHQPLQGLRAHRAASRRSMGLGRNVPQLNLPAQPVLMSARHSSLSSGHYSLAVGIWTAAAAVSAAAAAAATCSPPPHSPVLTGDERRRAASAAAMASRTLSVSSLASAFASIPRPRPPPPPPSRIRASPLSTAAAAASDGDAADGVDTVEQLLVTKPPASSSSSAGRGRIDRLMKLQRRADGDFPPGGAGRRWFPYLDAFRAAGGVELTSREVLEVLEPHIMEARRERIRRAVENRSYSVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDNNKRYRDNRHVSMGAEKWLDIELWSSTAECFDALKKRGYRIATTYLGNDSVCVYDMDWSQPTAIVVGNELMGISDDALKLSDLHCSVPMKGMVDSFNVSVASGILMHHAVCDRISRIGHHGDLLSEESRILLAEFYLRHRESTAGIGRSGPRPYVGLGCGQTPRYVGPGLWA >OGLUM07G20880.1 pep chromosome:ALNU02000000:7:23303502:23308267:1 gene:OGLUM07G20880 transcript:OGLUM07G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >OGLUM07G20880.2 pep chromosome:ALNU02000000:7:23304226:23308267:1 gene:OGLUM07G20880 transcript:OGLUM07G20880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEEYRDFHSPTLSCRGGARGASPGEEAAGGVGLLAEEEERMVGRRMGGRLQD >OGLUM07G20880.3 pep chromosome:ALNU02000000:7:23304226:23308072:1 gene:OGLUM07G20880 transcript:OGLUM07G20880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEEYT >OGLUM07G20880.4 pep chromosome:ALNU02000000:7:23303502:23305194:1 gene:OGLUM07G20880 transcript:OGLUM07G20880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVVKQHRCMHSASCVCIKGHLSEDALFLVFRHMNWNPRLIAVLSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPQQCRNDVLYVSDPCEHLDQGDEGDLGFFRGIFKSFATSKVKKMLIEKRARFHPSESCPYCKAKLWSMLEANMLPGSSSARLGAYEDSVEYFVCLNGHVIGIGTLLPLSDSEEVPEE >OGLUM07G20890.1 pep chromosome:ALNU02000000:7:23305677:23306715:-1 gene:OGLUM07G20890 transcript:OGLUM07G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPCSTMSSVSGAAAAAVLDDAGARPRPRGRQSYRSLGSRAAAGGGGELERPCVDEALAGAEQPPWLEGDAEQRSDQRHLGERELPRVMLTSAFVGATTLAGMLVVMVQVLLELADALHAVHDDAELRGPESEEARELQRRSPRPGTGASSGWYDLSLDGRRRNTAQPPIQDSMPNHPQPTSTRMTAGKQ >OGLUM07G20900.1 pep chromosome:ALNU02000000:7:23310896:23324329:1 gene:OGLUM07G20900 transcript:OGLUM07G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPPPPQPPVGFKVVLEVCGPEDFSVAVGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCSSDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSFLPKDIHLVFGHQDSLERLGACPKAVVISYQMLSRLRKSMMNRRWALMIIDESHNIRCTKKKHEKNEDFSKGARLTELNVLLSQTLMIRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIAKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKAQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTVMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNAMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEEDDESLLVVVPGSAYS >OGLUM07G20900.2 pep chromosome:ALNU02000000:7:23310896:23324329:1 gene:OGLUM07G20900 transcript:OGLUM07G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2 domain-containing protein / helicase domain-containing protein / HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G07810) TAIR;Acc:AT5G07810] MGITEEQRRRIEANRLAALERRKRFAEAAAADASVGWRLAKCPRFAPPPPQPTLPPPPPRTLPPPPPPPPPPPPQPPVGFKVVLEVCGPEDFSVAVGPAEGFAYPGEAECLRAVQDCISSAAPFSTTQSQSGHIFSVFKLMDYEPVLKCLKKLPGVAVQDIPYKTRNVIKNLPKFFAESCSSDKEVDGLLMKLPQHLRDALLPFQLEGVKFGLRRHGRCLIADEMGLGKTLQAIAIACCYKDEGPVLIVCPAVLRYTWAEELERWDPSFLPKDIHLGPICLAAINLTLRTNIACYILFKAAMGELTRRLKEHLLNELPPKRRQIIRLKLNASDIKTAISCIKGVNTSDEIPTIASPDNSNDSEEVNMEEADGCKKSPRNLTTQEIGIAKIPGFSEWFLNHFIMKEPADNGSLDSQSNCQKTIIFAHHLKVLDGVQVFVTEQRIKYVRIDGSTSPRERKDAVDSFRLNPEVMVAIIGITAGGVGLDFSSAQNVVFVELPKSASELLQAEDRAHRRGQTNAVNIYIFCARNTLDESHWLHLNQSLFRVSSLMNGKKDAIREIEVDQVYHLEESSKSEGKAQLGFLPLENHNADCGHGPGENVESDDMSIENFPGLNDMNFESDFFSIRTIPLHFEDDSLHTVMQNCPSPTAHEEIAFDSSSISQASVICPSMSSAKSVKARRRLSEIFQTLGLPATTDCNIEEKFLRFEVSQHTGRIHLYICVPGHDSRPRPLFENFLPEEVESPLCSDNAMKTSNRLLKRDPAFCNTFKAFVKEWLALRPIEQKRLLGKPLQLPLSLELCYLKDTNNHSTKGLLKGGSKRRVTPWCDISNPLPENAEWRKVVLHNGTSREREYTQGWTVHDEPLCKLCQGPCNGRLAKSPEYFEDLFCGLPCFEVFRSRTSGRFARQALFEIEHGICSKCKLDCHELVKNIRPLCKKKREEYIRNVAPNIASRLKLLDKLVHEPNEGNAWHADHIVPVYKGGGECTLENLRTLCVACHSEVTCAQQKERKELTKKAKELLKNTVNNQQKDEASEAAEEEDDESLLVVVPGSAYS >OGLUM07G20910.1 pep chromosome:ALNU02000000:7:23324917:23326812:-1 gene:OGLUM07G20910 transcript:OGLUM07G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPLLAAAALLVLFSGLHPAAAAASAAGEAATVVVKAAAAVSRTDDNFVCATLDWWPRDKCNYGMCPWYNASIINLDLNNTILNNAVKAFNSLRIRLGGSLQDQVTYKVGSNYGDCRSFQRDDGGLFGFTDGCLHMNRWDELNVFFQRTNTTVTFGLNALKGRRKAAGGKDTLYSGDWDARNALDLMRYTAGKGYRVESWELGNELSGSGVAARVAAAQYGRDVAVLRKAVERVYASGGGEVPKVLAPGGFYDGAWFSEMLRVSGRGAVDGVTHHIYNLGSGKDRDLAKKMQDPGYLDQVEKTFRDMAATVRGSGPWSSPWVGESGGAYNSGGKDLSDRYVNGFWYLDQLGMSSAHGTRVYCRQALVGGNYCLLNTTTFVPNPDYYGALLWHRLMGPVVLKAATTATGGAGAGGGGSPYLRSYAHCSREKPGVTVLLINLSNATAFDVSVAGGALGAAPCGGRREEYHLSPRGGDIRSQVVLLNGEPLALGPGGEIPELRPAVVGDGCAAPLRVAARGIAFVRFTEFKAPACA >OGLUM07G20920.1 pep chromosome:ALNU02000000:7:23327420:23329713:-1 gene:OGLUM07G20920 transcript:OGLUM07G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSFLAAGRRLIRLGRGRLLPAGHARSDGSTPALLRAAAAASSSASPCGHSPGRKPARPPSLQSTLWPLGHPGTLLVPEIERWAAKPGNRLRHVELERIVKELRKRRRHRQALEVSEWMNAKGHVKFLPKDHAVHLDLIGEIHGSSAAETYFNNLPDKDKTEKPYGALLNCYTRELLVEKSLAHFQKMKELGFVFSTLPYNNIMGLYTNLGQHEKVPSVIAEMKSNGIVPDNFSYRICINSYGTRADFFGMENTLEEMECEPKIVVDWNTFAVVASNYIKGNIREKAFSALKKAEAKINIKDSDSYNHLISLYGHLGDKSEVNRLWALQMSNCNRHINKDYTTMLAVLVKLNEIEEAEVLLKEWESSGNAFDFQVPNVLLTGYRQKDLLDKAEALLDDFLKKGKMPPSTSWAIVAAGYAEKGDAAKAYELTKNALCVYVPNTGWIPRPGMIEMILKYLGDEGDVKEVEIFVDLLKAAVPMNSDMTDALSRARMREEKKVKDAMRMWVGDGNQNEILSDIQ >OGLUM07G20930.1 pep chromosome:ALNU02000000:7:23330878:23333599:-1 gene:OGLUM07G20930 transcript:OGLUM07G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNGNGHYPINGAKVLQKRENNQEKMLLDKNEAFRACQKDRHYIEKLETELRNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVIDDELMRSDSQCWLLMEEVRCQEEKLKKAALQIEKLENVNLDSQCEIESLKLDLTTLEQRLFDADSFGQHVSADKAIADNKLREYELQLQEAQKTIDHLLLENKELKRLFPGGAATALTSDEQVDKTIEKIDGQDYERGGAILENMAKRSEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLEEEYKHRSCIEQAAIQQIQKLEAQVSKEQRKLSGALRKLQESHELAQKQSMEINKLKDFLGLHGILIATHPFQRFNSALNHGTVCRSCSCGFCAMLLELSNCSIEGPVDVRPVDVRSSNQKPQNQALLEWRPDEDADGEAG >OGLUM07G20940.1 pep chromosome:ALNU02000000:7:23339614:23340864:1 gene:OGLUM07G20940 transcript:OGLUM07G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGVELSGVMHRCRVCGKGFSCGRSLGGHMRSHISFGEAAAELGANGGVVGYGLRENPKKTRRLSEFDGDGDGEEVEVEEGGDGGELRACRECGKLFSSWRSLFGHMRRHASGGGGRDHDDDDDDDVDVEDEFGGGEEEEIVAPAPAAVTVIAAPPRRRRRSMRVAAPAPAPPLPVLLGGFEKEQEDVALGLLMLSRDTGVWRSPVKAETFEKPEQKKKKATAKQPPPLPLPRNGYGYGYNSDEDSALLQYGGDVAKSRKRRASYHSPNSISSKKKQQPRAAAPAKRTRYECPGCGKVFASYQALGGHRASHKRINTSCSAPKVSPATAAAAAPAPEPSSETYASLSTLSPSASPGSAAAGIGDRKANNKSSAEEEKFGGACSSDELYAELELEQRSPAAAAGFLDLNFPPASS >OGLUM07G20950.1 pep chromosome:ALNU02000000:7:23346744:23355982:1 gene:OGLUM07G20950 transcript:OGLUM07G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVWSIYFCTFPVYL >OGLUM07G20950.2 pep chromosome:ALNU02000000:7:23346744:23355982:1 gene:OGLUM07G20950 transcript:OGLUM07G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVWSIYFCTFPVYL >OGLUM07G20950.3 pep chromosome:ALNU02000000:7:23346744:23355456:1 gene:OGLUM07G20950 transcript:OGLUM07G20950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26460) TAIR;Acc:AT1G26460] MAAAAATSRLLRRHPPPLPLLLRSSISSSRPLLQQPELSPAAAADAAPATDAAPLPPKPSTGSPFYGENWRNPAAAANPSSSSLLPAVVGGGAHARAAAYSASPGAAELKETFAEWMAEQRWEEMKQLFEFWVRSLDPASGKPNRPDVDLFNHYLRAQLMSGALPIEMLDLAEQMREFEITPNTASHNLILKSMVQAQEADGAEKLIERMLQTGTQPDDESYNLVVNLLIKLNRVDSTLKYLDLMLKSGYTISSSVFVEYVRACVRSGRLDTLASVIEKCKATDQNKVLCPPWSWCVEIAEAAFEANNSKLGLFALEYLARWIARSERVIPPLHLSVDEGLVLSALSAAGRTCSTDLLNAAWSILRKSLHQKRAPTPEAYLAKIYAHSSIGQLQRAFGTLREFENTYGNFEDIDSELFSPFTSLRPLVVACCKDGYTTLDSVYVQLENLSSADSPYKSVAALNCVILGCANIWDLERAYETFEAIKEKFGLTPDIHSYNALLHAFGKRKKTEEACNVFQHLVSLGVKPNATTYGLLVDTHLVNRDAKAALAVIAEMVDAGFTPSKETLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLFNIEYSAEFASTPSPRYWANLF >OGLUM07G20960.1 pep chromosome:ALNU02000000:7:23356463:23362792:-1 gene:OGLUM07G20960 transcript:OGLUM07G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPRFLLLLPLSRRRRRRRLLSTAAEAAAAPAPAPAPGRPTDPSLLLRLCTVLYQHQHAPEDALRRRLSALPLPSAAPDDLRELFLQASARFPLSWRPVRRLLDHLTAAHGFAHSPATAARFLDVLAKSRNVDLLHSTLLSFPPALRSPAALRAAIRGLVPAREVGKVSSLLALFTDADRPRTLTFITDVVCSVCKLPDVAEKVIKQAEHRYGVSRTGRCCELLVVGYCRAGMLSDACRVWNGMERRGLDPGAAAYEEIVVTLFKNNRVADAMKVFDGMRRRGVSDGCRGGCYRAVVSWLCKEGRMWGAYMVFAEMFKRGVEVDGEVMGDLVYGLLVRRRVREGYRVFHGVKEKDIALYHGLMKGLIRIKRAREATEVFREMVATGCEPNMHTYIMLLQGHLGKRGRKGRDPLVNFESIFVGGLVKAGRTLEATKFVERTMWGGVDVPRFDYNRFLYYFSNEEGVSMFEEVGRRLKDVGHVDLGDIFLTYGAWSLFTTSAAEGAIHTLLGKLGTIVLQEAQLLGGIRGELQHLKDELESMTAFLQDLSGRDECGKQVKIWKKHVREIAYDIEDCIDEFKHQLGDSSSAGGSGPVVFFRKATHILQTTRVRHQIAKQIQELKRRTMNISARNSRYSAKHLISGTAGNSMAAYDSQANLLNVDTRITALFPERRQLVGIEPRQGNLVHWLLEAHVQQLRVVSIFGFGGLGKTTLAMTTYQSLSGRNGPFQCQAFVTVSQSFDVKVLMRDILLQITQPVNQPSSPSTGAGKGPMEGLLKGMETWNVVQLASILRQQLDNKRYLIVLDDIWSMNAWEGIRFSLPDSNNGSRIVVTTRIRAVAHTCCFHEYDRAYEIKPLTDCESRDLFFKRIFGSSICPEHLEDISAKILGKCGGTPLSIVSIAGLLASKPVHSKDLWEKIYSSLGSEIETNPSLDRLKKILELSYNDLPYHLKTCFLYLSIYPEDHNIRRKTILRRWIAERFVTGKRGLSVFEVAESYFDEFINRSIIQPVTTSFTGKVKTFRVHDVMLEIIVSKSIEDNFITLVGEQNTLFPQEKIRRLTVHSRGVKYIATREILCHVRSLSIFAGGETLQFGWMKLMRILDLEGYEFLRNRDLKDLCRLFQLEYLNLRRTHITELPTQIGNLKKLDTLDIRDTAIKHLPPGITNLPHLANLLGGRRSYNHTGRWPISEFWGLHIPNELRKMDSLTTLAQVEITTSTSHYISELSKLSRLRKLGVLMFVDDDSTWASLISALEKLSGSLRSLLLWRPDGAMNFNIVNSLSSPPIFMKSMNLRGQLTQLPCWFPLLSNITELTLRATELSAEEDLKVLGSLPSLLYLRLHHNAYIGTEFSASAGEFPSLRLLVIHLDMSEDWEARFEEGALPKLARLELSLFEEASIQEITGIEFLPSLKEVSIRACHSNIVNVEEIATSLRADAEKNINKPIVTFEEKQWVPMRSRTDPPLDHMGNLLSSSFDED >OGLUM07G20970.1 pep chromosome:ALNU02000000:7:23368254:23381303:-1 gene:OGLUM07G20970 transcript:OGLUM07G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRLHPRYQACGRHMVSNKNAMSSSVLFLAALLLLSCSSMSSAARWLEEEYPPHPAVPELPKHEEPPHPVVPELPKHEEPPHPAVVPELPKHEEPPHPVVPELPKPEVPHAAVPELPKPELPPHPAVPEHPVNTMSSSVLLLVALLLSCSSMSSEARRLEEAYPAHPAVPELPKPELPPHPVAPELPKHEEPPPHPHPAVPELPKPELPPPHPANRHTHTPPCRSFRNLXPHPHPAVPELPKPELPPHPAVPELPKHEEPPHPVVPELLPKPEVPHPAVPELPKPEVPHLAVPELPKHEEPPHPVVPELPKPVVPELPRPEVPHPAAVPELPKPEVPHPTVLGMVPRAPIPANSCKNAMSSSSSSSSSAVLLMAALLLSCSAARHLEEKAPHYPAVPEMPKPELPELPKPELPPPLPELPHPVVPELPPHPAVPEVPKLPELPPLPKPELPPHPVVPELPHYPAVPGFPKHGLPPKPELPPLPTAELPPEAEVHDPEPEAKQP >OGLUM07G20980.1 pep chromosome:ALNU02000000:7:23371798:23372559:1 gene:OGLUM07G20980 transcript:OGLUM07G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGFGGCSCSGTVGCGTSGFGSSGTAAGCGTSGLGNSGTTGFGNSGTTGCGGSSCLGSSGTARVRHLGLRQQLRHDGVRWLFVLGQLRHGGVRRQFRFRKLRHGGVSGTAGCGGGSSGFGSSGTAGCGCGGGSSCFGSSGATGCGGSSGFGSSGTAGCAGYASSRRRASLLMELHESSSATSKRTEEDMVFLEIMLSQTLNMASTFLSF >OGLUM07G20990.1 pep chromosome:ALNU02000000:7:23376410:23378987:1 gene:OGLUM07G20990 transcript:OGLUM07G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMSMSWWWAGAIGAARKRHDGGGGEQQPPFRSVALVVGSTGIVGTSLVDILPLPDTPGGPWKVYALSRRPPPPWSPPPPAAVTHLCVDLADAAAVAEALAPLTDITHVFYVALAAPHLAEARSREANAGMLRNVLAAVVPTCPALAHVALQTGSKHYIGPPESIGKLAVETPFSEDMPRHDYPNFYYDQEDVLFDAVTSSSSSSSRRAAAVTCSGLGNSGTAACGTSGFGSSGTTGCGGSSCLGNSGTTAGCGGSSCLGNSGTTGCGGSSCLGSSGTAGCGGYSSSSHRAALLMELHESSSSAARKRTEEDMAFLLETMLVGELHSIGCSTCFDV >OGLUM07G21000.1 pep chromosome:ALNU02000000:7:23381307:23381657:-1 gene:OGLUM07G21000 transcript:OGLUM07G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTKPSILLLAAALLLLSCSSIGGAARYLEEAAPAAAEEEQPAHPAVPELPKPELPELPKVPELPHPVVPELPKPELPKPELPEIPKSELPPLPKFELPPKPKFHFPEPEAKP >OGLUM07G21010.1 pep chromosome:ALNU02000000:7:23383823:23384233:-1 gene:OGLUM07G21010 transcript:OGLUM07G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNFMSSSLLFLMALLLSWSSISSAARYLEEEAAPKEEYPELPKPELPHGAAVPEFPKVPELPHPEVPELPKVPELPHPKVPELPKVPELPKPELPEHPAVPELPKPELPSLPKVELPPLPKPEFHFPEPEAKP >OGLUM07G21020.1 pep chromosome:ALNU02000000:7:23405962:23414977:-1 gene:OGLUM07G21020 transcript:OGLUM07G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAMAARKIAMSTSSLLLLLLAAALLLTGDAARILQEAAWPPYDYPKPDDQPPPLLPTPDVVPNPNQPAPLQPTPGVPPRPDLPPLPNPDAPPMNKPDSSMSSSFLFLMALLLSCSSMSTAARYLEEEYPQLPKPELSPHPAMPEPPKPELPAHPEVPELPHGAAVPELPKPEMPKVPELPHPEVPELPKLPELPHPEVPELPKPELPEHPVVPELPKPELPPSHWYPEISKRTCKPFFMVSNYTMPSIVLVAVLLLSRSSMGSSARYLEEATPADERPAHLAVPELPEIPKPELPPLPKVELPPKAEIYFPDATAKP >OGLUM07G21030.1 pep chromosome:ALNU02000000:7:23415091:23415417:-1 gene:OGLUM07G21030 transcript:OGLUM07G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIAISLLLAALLACAAARRLEEEETSSTTTTPKEEDQEEPQHPAAVFPELPVPEHELPPLPKVVGLPPLFPVAHLPPFPEVDLPPKPEFPGVPEFHFPAEQEAKP >OGLUM07G21040.1 pep chromosome:ALNU02000000:7:23419856:23421106:1 gene:OGLUM07G21040 transcript:OGLUM07G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabidopsis thaliana protein of unknown function (DUF794) [Source:Projected from Arabidopsis thaliana (AT5G61930) TAIR;Acc:AT5G61930] MPPSGLFPRRALAEGAGALGRSLPIVGGGSWTRLVHGGGGGSEAEGEEFPYADVPRPGRKWERKPYVTPMKVLIRRAKEERRARRENPCRVLERPPENGLLVPGLVGVAHQVHGAWESLLRGLTRLVEGGAAGAVKRCRFCPEVHVGVAGHDIRTCEGPGSAARNALHVWRPGTARDVVGFPYCYHLFDRVGKPRVSHKEKYDVPRLPAILELCIQAGVDVERYPAKRRTRPVYSIEGRIVDFEPDDDEDDSADDTGPSPPSLAADEAGEEMTMSELGARTLQSWLDMRAGAARLMGKYGVVTCGYCPEVQVGPRGHKVRMCKASKHQQRDGQHAWQEATVDDLVRPNYVWHVPATGHGGDGGAPSLANELKRYYGKAPAVVELCVRAGAPVPAQYRSMMRLDVVPPARDEHDLVA >OGLUM07G21050.1 pep chromosome:ALNU02000000:7:23422245:23426761:-1 gene:OGLUM07G21050 transcript:OGLUM07G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDIFFSSPSGHLAVCLERDVTATGPHILQNPNQINSPFETLPKPLFPLPAAAAAASSSPSADAAATAMDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLRLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNIICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFEGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVISQATRN >OGLUM07G21050.2 pep chromosome:ALNU02000000:7:23422432:23426761:-1 gene:OGLUM07G21050 transcript:OGLUM07G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G15550) TAIR;Acc:AT5G15550] MDIFFSSPSGHLAVCLERDVTATGPHILQNPNQINSPFETLPKPLFPLPAAAAAASSSPSADAAATAMDSGGASDPSRQVRVRFVTKLPAPLRAPPTSIAVPADLTRMGLSEIVNSLLLAASPDHQAQPFDFLVDGELVRLPLQEFLLAKGISVERVLELEYVKAVAPRKQEDPCPHDDWVSAVDGSNPRIWRDASECTHILEGHSDGITSARFINKGETEDRLHVVTASKDRSLRLFKFDTSVSIPKQIGAYKILRGHTSSVQSVAVDPSTNIICSGSWDNSIKLWSVEGSEEDGDTVSVKKRRTNSDSSGPEESQFEGSATSTFLGHTQCVSAVTWPERQTIYSASWDHSVRQWDVQTGKETWNMFSSHKSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVESHKDKVLCADWWKGDSVISGGADSKLCIASGIEIVLSY >OGLUM07G21060.1 pep chromosome:ALNU02000000:7:23432412:23436068:1 gene:OGLUM07G21060 transcript:OGLUM07G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAMVVVALVLLMSSSLVASDWCVCRSDQPQAALQKTIDYACGAGADCNSIHEQGQCFNPNTVVAHCSWAANSYFQRNRAMGATCDFTGTATLTTSDPSVSGCSFPASASAAGTSTTPTMGGTTGTMTPGTFTPGTGMGTTTGTGMGTGTTTGTGLGGLGPTGTSSMDTAAAGLHLRAGLATFCAVLLLLVAIA >OGLUM07G21070.1 pep chromosome:ALNU02000000:7:23436942:23438009:-1 gene:OGLUM07G21070 transcript:OGLUM07G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMB2] MAMGFRSADRFHRPPRLADSLVSPPVMLAGTNATTSGPKKPVSRSVKAGLQFPVGRIGRYLKKGRYTQRVGIGAPVYLAAEASPIVYLFLYQSFQPSMVNRDLLMKVLELAGNMAWDNKKNRIIPRHVLLAIRNDDELGKLLAGVTIAHGGVLPNINPVLLPKEDHREIRQAGQVPQEAGAQKSVGATPVGILGEDEGTNEMFLQAPPSCLPLTAGCNASSPAIASGDDELAPTPFRRCPSDPRQPPPPSPAIRSPLPRAGLKGNRKGEKSERRERERVEEGKGEPICQWVS >OGLUM07G21080.1 pep chromosome:ALNU02000000:7:23446500:23454141:-1 gene:OGLUM07G21080 transcript:OGLUM07G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQKRVKLDILEEIMSSQSDSALNDTDSGSQSEDIPDNNVLDSDYDGIDGLNDISKDDLLKSLKKKLTKRNFDKDAKTDYVFTRFSVKYFSKVMSALSVKQKEVIGRSCFASLLQFDRCFVPNHFASWIANHVDVKTCDIIVNDKAMVSNLHSSINVIIINENLDRNLENNSDVIQDEQPCDHNLKTSTTTCFEKSNFAKFNDRKPILSHDDMPKFQIWDSEDDVDALDNEEFTPICYVKKSSIVPDSFSPNSNEDSPDCVILGERKFSDKISNLTNQTNFMYNNLNKFHNQDQYKSYTSPERILCNVDNSVGSSYDCEPRKALRRILIPAKYCTDPYTPQRHSFPVSQYQRHIFNAVCKLSSSAWQVKVAVDIDHVHCKFTTFGGSFKHGAELSNFVLSVFCRYLFKQYHPSKSKKHYFLSSIGDELLKHHSTTDFKNVKKCFDGAGYARPVHTCDMLFFPILHQKHWFLFIADLKDKNFVFVDSLFDEDHEYQVNASSRLIENFRTVWNKFVPNHPINFQQFKTIYPPRPKQTNRVNCGIFMLKCMELWAPRILLPNMFSQKDIPNIRIQYVNQLFFHPNNSVLNTPTKTLVTEYSEVQNMIIFSLQIYYLCYCKVFKIFEYLFL >OGLUM07G21090.1 pep chromosome:ALNU02000000:7:23458661:23459626:-1 gene:OGLUM07G21090 transcript:OGLUM07G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSSRHADPLEEVEMNSASETEDDDDCRYVIQSRRDNDNDDEEGMRPATACKRRRRLDDILALLPSPPTLSTSSGSEGTISDRDHGGDVIRAGDDADPVAARQEASFPCHLCHKEFGSRKAVHGHMRVHHAENEKEPPPPPPAAMPVLPQARLPAPGASRSGGPYKCKYEGCNMEYKTHQGLGGHVAGHINRDKMATASGSGGAGKPEGKHPCNVCGKEYPTGVALGGHKRKHYRKDLDLTLSLAPPGELAAPPTPAPAPAAIAAVEAEAEVAEDGDGGEPVPTTPSTGARRNVVVRIWGVDVEKPVDDVEEQDGGSN >OGLUM07G21100.1 pep chromosome:ALNU02000000:7:23461453:23469823:-1 gene:OGLUM07G21100 transcript:OGLUM07G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVCVTGAAGYIATWLVKKLLGRGCVVHATLRDLGNSLIFHGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLQHDPTSTKYKNNTEAAVDAMRVILQQCERSKTVRRVIHTASVTAASPLREDHSGGYKDFINESCWSPLNLTYDFTNAHLNGYVSSKSLSEKELLSYNSSSSPSPAFEVVTLACALVGGDTLQPCLWSSIPVIVSPLTGDELYHNALKFMQALLGSVPLAHIDDVCDAHVFCMDQPSIAGRFLCAAGYPNMKDYIDRFAAKREREREMSRVCVTGASGYIAAYLVKKLLERGCVVHGTLRNLGDEKKTAPLRELPGAADRLVLFEADMYDADTFEPAIAGCEYVFLVATPMHHDPTSTKYKNTAEATTDAMRIILNQCERSRTGYVSSKTLSEKELLSYNGSSPSPAFEVVTLACAVVGGDTLQPCLWSSIPVILAPLTGDEPYHNSLKFLQALLGSVPLVHIEDACDAHVFCMDQPSIAGRFLCAAGYPNMKDCIDHFAAKFPDIEIKLKEVIREGVRVQADTNKLVDLGFKYRYGVEETLDSIVECAKRLGEL >OGLUM07G21110.1 pep chromosome:ALNU02000000:7:23478409:23478765:-1 gene:OGLUM07G21110 transcript:OGLUM07G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVHDALAAASLGHIKVTTSISQATIGIHIPPSASEVVHERYVIPFLERTHAPLLANLYPYFIIYNNSGGMDISFALFTASERAAAGGGGVRARGGRRSGGARGAGGSRRASTRPGE >OGLUM07G21120.1 pep chromosome:ALNU02000000:7:23483391:23483939:1 gene:OGLUM07G21120 transcript:OGLUM07G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVCSLCVYAAICRKERRELRWPGSLGAWEGFSNASDADLVAEQQIWAAVADADGDAAAKNEAFNCSNGDIYKWKQLWPVLAGKFGVEWAGYEGEERRVGLTAAMAGKEAVWAEIVAEEKLVATELGEVANWWFVDALFMDKWEFIDTMNKSKEHGFLGFRNTVRSFEAWIDKMKLYRIVP >OGLUM07G21130.1 pep chromosome:ALNU02000000:7:23494375:23505992:-1 gene:OGLUM07G21130 transcript:OGLUM07G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHKGPKVHQPTPTPHTLAPSLSRPTVKSLSSSFASCPHMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIATPIHHDPRSTKYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAARYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLNHSTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTALLRRMPGAAERLVLFEADMYDAATFEPAIAGCEFVFLLATPLIHDPLSTKYKNTTEAAVDAMHIILQQCERSKTVRRVIHTASVTAASPLREDGEGYKDFINESCWTPLDLSNRYSNVMMDAYVSSKTLTEKLLLRYNESESRAFEVVTLTCALIGGDTDTTQLYHSLSIPLIVSPLTGQELYHGGLKSLQALLGSVPLAHIDDICEAHIFCTEQQPSIAGRFLCAVGYPNMQDYVDRFAVKYPEIAIKLKGVIGKDVRVKADTNKLGDLGFKYKFTVEETLDSSVECP >OGLUM07G21130.2 pep chromosome:ALNU02000000:7:23486776:23505992:-1 gene:OGLUM07G21130 transcript:OGLUM07G21130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHKGPKVHQPTPTPHTLAPSLSRPTVKSLSSSFASCPHMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIATPIHHDPRSTKYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAARYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLNHSTPPYLTGLEEEEIEQQQSIEERSSSSRVCVTGASGYIATCLIKKLLQRGCVVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTAASPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSIPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCTEQPSIAGFLCAVLYPNMQDYVDHFVTKYPEITMKLKEVVGKDVRVQADTNKLVDLGFKYKYAVDETLSCSVECAKRLGLL >OGLUM07G21130.3 pep chromosome:ALNU02000000:7:23486776:23505992:-1 gene:OGLUM07G21130 transcript:OGLUM07G21130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERMHKGPKVHQPTPTPHTLAPSLSRPTVKSLSSSFASCPHMRAYLKEFPVARVSFRKPPIVFGQKQERARPPKLHCTEGQEEKGIEDRRMSTVCVTGGAGHIATWLINKLLCRGCVVHATLRNLGDEKKTNLLMRMPGAEERLVLFEADMYDAATFEPAIAGCDFVFLIATPIHHDPRSTKYTSTTEAAVDATRIILQQCERSKTVKRIIHTASVTAASPLREDGGGGGYKDFINDCCWTPLNFSHRYSNALLDAYLSSKTLSEKELLRYNESERPAFEVVTLACALVGGDSIQPYHTLSIPVIVSPLTGRELSHGVLKFMQASLGSVPLVHVDDVCEAHIFCMEQPSIAGRFLCAARYPNMQDYVDRFAAKYPEIEMKLKEVVGEGVRVKVDTNKLVDLGFKYKYEVDETLNHSTPPYLTGLEEEEIEQQQSIEERSCDTMSSSRPVCVTGGSGYIATCLIKKLLQRGCGVHATLRNLGDEKKTAPLKELPGAVERLVLFEADMYDADTFEPAIAGCEFVFLLATPLHHDPRSTKYKNTTEAAVDAMRIILQQCERSKTVRRVIHTASVTAASPLREDGGEGYKDFINESCWTPLDHSHSYNNTMVDDYSSSKTLTEKLLLRYNESESRAFEVVTLACALVGGDADTTQLYHSLSIPVIVSPLTGDESCHNTLKFLQALIGSVPLAHIEDICEAHIFCTEQPSIAGFLCAVLYPNMQDYVDHFVTKYPEITMKLKEVVGKDVRVQADTNKLVDLGFKYKYAVDETLSCSVECAKRLGLL >OGLUM07G21140.1 pep chromosome:ALNU02000000:7:23506372:23510261:-1 gene:OGLUM07G21140 transcript:OGLUM07G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRYTYPLLEEAGLEAWAVDILGWGFSDLEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGRCFTVKLEFVLSTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSSLC >OGLUM07G21140.2 pep chromosome:ALNU02000000:7:23506372:23510261:-1 gene:OGLUM07G21140 transcript:OGLUM07G21140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLLASSSSSSPALAPPRPSCRGRVRVAAASAGVNGRAGGGGGFPGFLPAAVERIRDEPAIRLAKRIERVPTGFSQSPILSSCVRPLKQQQDRDPVLLLHGFDRCKLQHLNVSGYCLIEKRPPGDVASKREHLYQFWRSYIRRPMVLVGPSLGAAVAIDFTVNYPEAVSKLIFISASVYAEGTRDMTRMPRFVPYAGVFLLKSLPLRYFATLLAFYKIPGGPAGLFDWVQIGRLHCLLPWWEDALVDFMMNGGYNVLNQINQVKHKCLILWGEEDGIISNKQAYRLHQELPDAILRQVRECGHIPHVEKPSEVLKHVLDFLGTERRPEKAEQGSSLPSTVGRCFTVKLEFVLSTCSVFCKRGDNNPVGGGSEAVPRRWKNWHRNILSSLC >OGLUM07G21150.1 pep chromosome:ALNU02000000:7:23517464:23523787:1 gene:OGLUM07G21150 transcript:OGLUM07G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMC4] MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >OGLUM07G21150.2 pep chromosome:ALNU02000000:7:23517464:23523586:1 gene:OGLUM07G21150 transcript:OGLUM07G21150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMC4] MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGLTTGAYVEAS >OGLUM07G21150.3 pep chromosome:ALNU02000000:7:23517464:23523787:1 gene:OGLUM07G21150 transcript:OGLUM07G21150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMC4] MAAATTAAAAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSADGVASRCMIMKAKEAEDKYIASVHSQNHIKLMRSISSKEYDSRRNKIARKFNSIYFNKGSSESAFLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHNEAMGFCLFNNVAIAADYLLNERTDLGIKKILIVDWDVHHGNGTQKMFYSDPRVLFFSVHRFDYGSFYPAEGDASYCFIGEGDGKGYNINVPWEHGKCGDADYIAAWDHVLLPVAEAFNPDIVLVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAQGRIVMALEGGYNLRSIANSVSACAKVLLGDKFRFDTPDMQPFESSWRVIQAVRDELKTFWPVLSNRLPENISLRSRPSQIELYSSGSDSEVEDLPDAIASVNIIQITDGIISESLSKLNLDEDKIATKTTSSNVMVEGPTDSVEPQNDGSAAVSTEGISSLSSTWRSELSKVYVWYASFGSNMWTPRFLCYIQGGKAEGMNIPCFGSHDTSPPRGSMWKTVPHRLFFGRSSTPCWGTGGVAFLNPEINHTENSYVCMYKITLEQFNDVLFQENRLVKENGESGKTESPDSPLIGLSEIEFVSRNKGVHLAPIKDSWYSNVLYLGEEDNLPILTMTCPSSDVERCRSGELPLCPPSKTYSATLIRGLMEGKHLDADAAASYINTAATRGL >OGLUM07G21160.1 pep chromosome:ALNU02000000:7:23526770:23529358:-1 gene:OGLUM07G21160 transcript:OGLUM07G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGRVVNALRRTSVSSNPSLLQAVRCMSSKLFVGGLSYATDDTTLKDVFSHYGDVLEARIIIDRDTGKSKGHGFITYTSSEEAAAAVTAMDGKDLQGRIVRVSTANDRAGGIRGGGGFGAGGYGSGGGYSSGGGYGTGEYGRGGGYAGNGGYGGRASEYGGYGAGGYSSSGGYNATSVPHGNAGGYGSSEALMFTILPTPMALATSAIVVSSGGSFGGNNGGISGGRFGAAGSSNLHNSANFSHGGQSSDGGSNGGNSASNFGQGGGFGENSGGYNSGQFGAAGHSNGGYSASNFSNTSFGANSSVFSSGQSGATGRNNGGNSASNFSLGGSFGANNGGISSGQFGAAGHSNGGYSTSNFSRGSGFGANSGGSSNGQYGAAHGNNGSNFSHGGGFGANNGGYSSGQFGVAGGINDSNSTNSFSHGGFDANGGGGFSGGQFGAAGGNSSIKTAARGFGGSRGGGGGAMGYGGSQVQYNGQDDLLGDDFFDDKEDM >OGLUM07G21170.1 pep chromosome:ALNU02000000:7:23536736:23539948:1 gene:OGLUM07G21170 transcript:OGLUM07G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTTQTLLTLFLLLLLAAAAAAADAGGGGEREALLRFKAGVASDPGGLLRGWTTAASPDHCAWPGVSCGGNGEVVALNVSSSPGRRLAGALSPAVAALRGLRVLALPSHALSGQLPAAIWSLRRLLVLDLSGNRLQGEIPPALACAGLQTLDLSYNQLNGSVPASLGALPGLRRLSLASNRLGGAIPDELGGAGCRSLQYLDLSGNLLVGGIPRSLGNCSKLEALLLSSNLLDDVIPPEIGRLRNLRALDVSRNSLSGSVPAELGGCVELSVLVLSNPYTPIGGSNSSDYGDVDDFNYFQGGIPDAVVALPKLRVLWAPRATLEGELPRNWSACQSLEMINLGENLFSGGIPNGLVECSHLKFLNLSSNKLTGAIDPSLTVPCMDVFDVSGNRFSGAMPVFEQKGCPSSQLPFDDLVSEYSSFFSYQALAGFRSSSFVLGTDLTSYHSFAQNNFTGPVKSLPLAADKLGMQGSYAFLAGGNNIAGQLQPDLFSKCNSSRGFIVDVSNNLITGGIPVEIGSLCSSLVVLGVAGNQLSGLIPTSIGQLNYLISLDLSRNHLGGEIPTSVKNLPNLERLSLGHNFLNGTIPTEINQLHSLKVLDLSSNLLTGEIPGALADLRNLTALLLDNNKLTGKIPSAFAKSMSLTMFNLSFNNLSGPVPANSNTVRCDSVIGNPLLQSCHMYTLAVPSAAQQGRGLNSNDYNDTSSADSQNQGGSNSFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSGRSSRRREVITFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEISPGVLVAIKRLSVGRFQGVQQFHAEIKTLGRLRHPNLVTLVGYHLGESEMFLIYNYLPGGNLERFIQERSKRPVDWKMLHKIALDIAKALAYLHDTCVPRILHRDVKPSNILLDTEYNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSVRPTMKQVVQRLKQLQPPIREHR >OGLUM07G21180.1 pep chromosome:ALNU02000000:7:23543730:23545220:-1 gene:OGLUM07G21180 transcript:OGLUM07G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPWVAMVVLVWCAASGGGVAAARPLVGGGGAVGTAKAPLQTSRPFNIAHRGSNGELPEETAAAYMRAIDEGADFIEADVTATKDGHLVCFHDTTLDATTDVADHPEFASRRRTLEVQWTNVTGFFITDFTLAELKTLRSKQRYAFRDRSYNGGESSRIITFDEFIDIAAGAASRVVGIYPEIKNPVFVNRQVRWRDGKKFEDKFVAALKRRGYGGRYMSPAWAARPVFIQSFAPTSLVYAAGLTDSPMVLLVDDTTVRTEDTSQSYDEVTSDEHLDYMREYVVGVGPWKDTVDPYAEYDYWINDVGVDGLFTDFPASLRRYQEWTTAGRKG >OGLUM07G21190.1 pep chromosome:ALNU02000000:7:23549400:23551575:-1 gene:OGLUM07G21190 transcript:OGLUM07G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRDFLGGFGGEVGGAAVAGVKGGGESDEIELSLGLSLGGCFGADLAREFKKPRLVRSSSIASLPTETEEERWRRREMQSLKRLEAKRKRLERRNSMNSGRSAGAGAGGGGRDDGQDAMYPTGFQLRRSVVSQGSTSSCMPEQGVGVGAEAMDTSSSDNASCQNKPLPRTASSGGGGGGRPPANGSMKEQPPLRTLRSLTMRTTSTGDLRKSMMEDMPMVSSRVDGPNGRKIDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVKHAGGGDVTNPLRHIVVNPSPSVFL >OGLUM07G21200.1 pep chromosome:ALNU02000000:7:23557933:23558908:1 gene:OGLUM07G21200 transcript:OGLUM07G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSKRTFAPASLEVPPPALTQQSMVTSSSPCFSTGEWILTHLKNTPSGAQVVINGSSSQPINVGDDTNVAIDEDLFASILINAF >OGLUM07G21210.1 pep chromosome:ALNU02000000:7:23570791:23574428:-1 gene:OGLUM07G21210 transcript:OGLUM07G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQVGESVAPLSPQTIGNAFVQQYYNVLHSSPGQVCKFYHDSSTLGRPDSNGTMTSVTTLTAINDEFLSTDFSSCLIKLENVDAQLSLNGGVLILVTGSIGHNGTMRHRFSQSFFLAPQESGGYFVLNDMLRYDSLQETLLTETNDSPQERLLTEINDSLPNHVDDNTHSVTFTSEPETSGNVNETADLELPSAENVNDNVENLPANDSSPEENVLVEACTEVVSSCAENIPAAAAAPAPRASTQKDVTKQSYASVVKVTKEGTPTPPDAKPKPKPKPKPTAKVTDNVEKAVSSPVKPTNAADTTSPNDKNVLVEQGYSVYVKHLPYECTAKDVEEKFRKFGAIRPGGIQVRHRQPDGFCFGFVEFESRQSMLAAIEASPISIGSKASIVEEKRTTTRVVNGVTHIENNGNARGGRFQQDNRGGGYRGDNFRGREAGFVNNGNYRDGDNMRNGFRNQNEYSGRGRGPQGNGYHQNGNGGGYHQNGNGYHQNGNRYNQNGNRYHQNGDEYYQNGNGNGHRQNGSGYYHQNGNGYRQDRIFHNGNGNGRPARFNGPRQTPVQA >OGLUM07G21220.1 pep chromosome:ALNU02000000:7:23575558:23578295:1 gene:OGLUM07G21220 transcript:OGLUM07G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G14050) TAIR;Acc:AT5G14050] MGRLQAHEKLVGFVVGCVGPLTSTRPFPSGKMSLISQNPIQKRRLETIEADDDSDEGLGSPVAAGAEDGNETKSEKRKKEKKRKKAKALEALEEKENKEREEMKLLESSLFGALYAPPQFGTEVEAVDPDKGVPSFFMDRSGGDGEDDLPVYDEGLSSEDEGDDMVGRERKPAWVDEEEVTEVDISKVARLRKLRKEGDERVISGKEYEARLRGQHAKLNRFAGWADLDRKAPLPGSSDNESDEEGRVDDILRNNDELVVKDNAKLLPDMLGFSRLVDANAQEPSSGPINSVQFHRNGQLMLVAGLDKHLRFFQIDGKRNPKIQSIFIEDCPVHKAAFLPDGSEVILSGRRKFFYSFDLVNAAVSKIGPLTGREEKSLEHFEISPDSKTIAFIGNEGYILLISSKTKQLIGTLKMNGNVRSLAFADGGNQLLSSGGDGHVYHWDLRTRKCIHKGTDEGSLAGISLCTSPDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTEIGEMKFNHDAQVLAISSRKERNGMRLVHVPSLTVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >OGLUM07G21230.1 pep chromosome:ALNU02000000:7:23580015:23584763:-1 gene:OGLUM07G21230 transcript:OGLUM07G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARVLGGGGGGGGLGDEAPELERQMGCMAGIFQIFDRRQRLLTARRRRPPPKMLPPGPGHTLPRSSSNVAAQSSSTSKIVLEKTFSKSMTENSSLSIESSRASCSSSSCSSFSSLDGNKSIQQELPYINEQLFVQRPLKSSPSLKDPVMDTRSGQSNIGFRDIVKDSINRDTGGLTVKTSVKDARRNGQYKDSPRPLLLSKSMDGTYVIGIDRSTKVPANAVESSRRFPEQSRFSCDDRRLLRPVEAQENKKPSTRLKELPRLSLDSRKETLSSSSRQKTFSYRRTDDSLMDALRPQDSPGHRRASSVIAKLMGLEEAPNATGVLTVDSYEPERSPRPAEDTQKEHPVPSPRRFCQDPRESLPKDESPAMKTKPSPRILTESAPWRQQEKIATSSKASQCRDAEVRPRTASLYAYIERRGGGLEFLECNKDFRALRILEALHAKDAKRQNDGNGALTVAAQQAGDALNTSSRHFQPPIVVMKPARSTEKQPGVSLASVDPLAGFRNLRKLQARDAPCIGEHETSTNEKVHSRISRAQSKSDEPASRASSPRPTGSSSPRTVQRKAESERRSRPPVSPKSPSKKSSEAASPGGRTRTNPSQGKNHRDNEVSKSPRSRIGMVKEVDISIMDFQKPLASTPSHKGTPSVLASDQKINSLENAPSPVSVLDTSYYHTRLSYSFKDGETHSSEECWNPNSLPDTPQSKTSSEVSQIKPENFEALIQKLEQLQSMNDEVANKKDHQYIYEILLASGLLHKELSFVAMPGQAWPSSCLINPELFLILEQTKPDFASADQTVTKSSKADTEKLHRRIVFDLVNEITAQKMNIHCSASQSAKSLQLRKYNGWRLFKDLCTEVDRLQSESSAIKCSEEDGDERMLLVEDPLNGIEDWSFDSESPSTVLEIERLIYKDLIDEVIWDEATGKMQGGQWNLKRQLSFSSTS >OGLUM07G21240.1 pep chromosome:ALNU02000000:7:23583568:23587393:1 gene:OGLUM07G21240 transcript:OGLUM07G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFERTGEEEQMPQEEMCREKKKRRSPGPGGSILGGGRRRRAVSRRWRRSKIWKMPAMHPICLSSSGASSPNPPPPPPPPSTLAGGISSSDSDSSPITSSRTSNCRAAQLRKPRSKQRLVLVELRLDLLLLLLASCTNQFI >OGLUM07G21250.1 pep chromosome:ALNU02000000:7:23598304:23598774:-1 gene:OGLUM07G21250 transcript:OGLUM07G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELRSGLVLLPPGYTPTRVARDHGKKKRGTAAGEGTERPPSRPAPVRAKSVAAELASGAELAVAWAAAGSVLAVGAEGSGGAAVGGCVQKGGNGGGGYGNAGRGGTDAGWLRDCDIAALMPDLGGNGQMHRLSADPSATRVCITWRD >OGLUM07G21260.1 pep chromosome:ALNU02000000:7:23602744:23603780:1 gene:OGLUM07G21260 transcript:OGLUM07G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGCCFVVATIARHSVPSCTVTDAFSGFSATAARPPPPPPNSSVKSGLACSVCFLLDVHMRSNKAYFCIDDAGARRRCHRLVADLDEVEDHAVVRVHVPGDERRARCAELGDVGEGAEVERRRCVDGVRDEAAGESPAERRLAAELRHEGDRVAAEPDQPVGREGAGRRGGAAELDAAHAASWSWASWVAAGGGARALLLPPPPLHHCPPAGPPPTAAASPVAATTAAASPSNRGEVR >OGLUM07G21270.1 pep chromosome:ALNU02000000:7:23602752:23603757:-1 gene:OGLUM07G21270 transcript:OGLUM07G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLRWWRRRATRQQWGEDLRAGSGGGAAGFGCSTTTAGSFPADGLVGLSGDAVSLVTQLGGEASLGRRFSCCLVPHSVNTSSALNFGTLANVTELGAASTTLVAGDVDTYYSVVLDFVKVGNKTVASAASSRIINAKISFVTPANCKILTNSQLARIEYELLILNDLMCTSNRKQTEQASPDLTLEFGGGGGGRAAVALKPENASVTVQEGTLCLAIVATTKQQP >OGLUM07G21280.1 pep chromosome:ALNU02000000:7:23618994:23623452:1 gene:OGLUM07G21280 transcript:OGLUM07G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMSCISGQAPSASPPPVAKRRSSVSSRRGGGGGGAKAVAIDEEALAAAAALVLGQRSSFGGGGGGGGGAFERSASVRYAARRQQQQQGPPLPRSSSTRPRSLADPELHPQQLLAKDLNTKDLETNIIVLVHGGGFGAWCWYKTIALLEDSGFRVNAIDLTGSGIHSYDTNKISSLTQYAEPLTSYLKSLGDNEKVILVGHDFGGACISYAMEMFPSKVAKAVFLCAAMLKNGHSTLDMFQQQMDTNGTLQRAQEFVYSNGKEQPPTAINIEKSLLKDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLVLTEEKYGSVRRFYVETTEDNAIPLHLQQGMCDMNPPEKVLRLKGSDHAPFFSKPQALHKTLVEIATMPPVKAS >OGLUM07G21290.1 pep chromosome:ALNU02000000:7:23633302:23634981:1 gene:OGLUM07G21290 transcript:OGLUM07G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLKHQVFISFSPRLLPPKKTPLTSRTLPMALSSMAAAQESSLLLFLLPTSAASVFPPLISVVFLAALLLWLSPGGPAWALSRCRGTPPPPGREAIGARIVGDVASLMSRRGEVPLRRVLHAASLDHVMATVFGKRHGDLSIQDGELLEEMVTEGYDLLGKFNWADHLPLLRWLDLQGIRHRCNRLVQKVEVFVGKIIQEHKAKRAAGGVAVADGVLGDFVDVLLDLQGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWVMARMVMHPEIQAKAQAEVDAAVGGRRGGVADGDVASLPYIQSIVKETLRMHPPGPLLSWARLAVHDARVGGHAVPTGTTAMVNMWAIAHDAAVWPEPEAFRPERFSEGEDVGVLGGDLRLAPFGAGRRVCPGRMLALATAHLWLAQLLHAFDWSPTAAGVDLSERLGMSLEMAAPLVCKAVARA >OGLUM07G21300.1 pep chromosome:ALNU02000000:7:23639535:23643354:1 gene:OGLUM07G21300 transcript:OGLUM07G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNGVVASVRENSMETTPYDDIYLEPSDLRLRGFPREDIVTSSPGAYKREWLHPLTYYLCVVSPRLLARRVVVFFINRRRQPSSQVGGIPIVAQPYLRVFVLYCFILFLLLLLLLLVCVASSNGVSDQISRHRGGETMDEAAAGQRASPLLAKNDGSSYGEESQSLLEEQEPQVKTKQSGWRAPSIILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTSSLWYGTSFFVPILGATIADTYWGNYKIVLISFIMYLLGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >OGLUM07G21300.2 pep chromosome:ALNU02000000:7:23639535:23643354:1 gene:OGLUM07G21300 transcript:OGLUM07G21300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNGVVASVRENSMETTPYDDIYLEPSDLRLRGFPREDIVTSSPGAYKREWLHPLTYYLCVVSPRLLARRVVVFFINRRRQQVATESQIRLAATGEGRPWTRPPPANAPVLFLPRMMDQAMVKNHRVYWKNRSHRLKLNNLAGEHHQSFWFSMVASLPVLQLLLFGTGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >OGLUM07G21300.3 pep chromosome:ALNU02000000:7:23639535:23643354:1 gene:OGLUM07G21300 transcript:OGLUM07G21300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGNGVVASVRENSMETTPYDDIYLEPSDLRLRGFPREDIVTSSPGAYKREWLHPLTYYLCVVSPRLLARRVVVFFINRRRQQVATESQIRLAATGEGRPWTRPPPANAPVLFLPRMMDQAMVKNHRVYWKNRSHRLKLNNLAGEHHQSFWGTVFITVGAFLPSAPALCNTESCSSMNGTQHLVYFSGLYLTAIGCGGVRSALLPLGADQFNNDSSLDIQKRRNFFSLFYICVIFGVITSGTIVVWIQENVSWAIGYGVATACIALALIGFLVGTPIFRRHEPHGSPVRSVFQVIVASFRNLALELPADSSLLYEVRRKNTQKSEPKLAHTDDFRFLDKAAIMSDLSLDQDSCTSPWRICTVTQVEELKILIRLLPIWATGIFFCVGISQMHTTFIQQGTVMNTKIGSLSIPAASLYSFEVICVTFWVFLVNKVIIPVTRACFANGAEMTQLQRIGIGRFLMIFAMAIAAFLEMKRLESVQGGDQPLSIAWQLPQYFVIAGAECFTIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYFSSAIITLIARVTGTWHSPGWIPDDLNKGHLDYYYWCLAAISAVNFVVYIYFASKYKLKKAVIQV >OGLUM07G21310.1 pep chromosome:ALNU02000000:7:23644071:23647684:1 gene:OGLUM07G21310 transcript:OGLUM07G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60960) TAIR;Acc:AT5G60960] MWRRHLLRRLLPSPATAAAASPSPAIRLLSTAAPDPAPPATLASSLAGALSALSSTPPPATSPDAYFSLHFSDVRPTNALLAEALALAPPATSRAAAELFRFLVRRRSLHPSDSALAPVVRHLARRRDFPAVRSLVQEFPSALGHDTLDAYLLSLARAGRATDAVKVFDELPPQLRTRQALTSLVSSLSAEGWPSHAEGAVKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNEVLDCICRLCRKKDPLRMPAEAEKFLVDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIKSLYQAARISEGDEMMTWMRSAGFGAKLDRKAYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGTKSYSLLIEKLTRHNLGDRANALFREAVARGVTVTPGVYKIDKKYVKAKKEKKVKKRLTLPEKMRLKSKRLYKLRMSFVKKPKRRMVRLERMIMGRENQKEAIYNFEEQ >OGLUM07G21320.1 pep chromosome:ALNU02000000:7:23651996:23657467:1 gene:OGLUM07G21320 transcript:OGLUM07G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREISALYEPKRNNEIRIFESSDEMSTDLAEYISQVSEISVKERGYFAIALSGGPLVSFLGKLCEAPYNKTLDWSKWYIFWSDERAVAKNHAESNYRITKEGFLSKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLSMGSDGHVASLFPNHPSLELKDDWITYITDSPQPPPERITFTLPVINSASNIAIVTTGDDKSEAVHLAISDNADGPEAPSSLPARMVQPTDGKLVWFLDKSAASSLDAENDDAFEQHREY >OGLUM07G21330.1 pep chromosome:ALNU02000000:7:23659712:23660153:1 gene:OGLUM07G21330 transcript:OGLUM07G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKCNGSRASSLVTLLLIAALLFPAVCYAHVEAKTVCQETEYGCTQEKCHQMCLGDGRTVASQYCRHYDTQCCCTYELQANDNDKMDDGRLHA >OGLUM07G21340.1 pep chromosome:ALNU02000000:7:23662271:23663689:-1 gene:OGLUM07G21340 transcript:OGLUM07G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRSRLARRQRSGTVGHWGGEETVNWGLSGPMLRASGIQWDLRKVDLYESYNQFDWKIQWQKEGDSLARYLVRIGETRESIKIIQRVVEKIPRGPYENLEVRRFKKAKNSEWNDFEYQFLGKKPSPNFELSKQELYARVEAPKGELGIYLKIGSMPLRRVFHLLLLMPSPRLRTEPEDTTTDFGFGNDTDAGASGGGGSGFSWRRRRASGGRNPVRSGPHATTAVEDAAGERCSCSSSPRRSFAAAKTSSRAPSARDADAAGRRRRRSRGPLCRLLLGCLGFLVACPDNTMVAESTPVRWLPLSASSTARLTWVAAKELDRTARELGAGDRTGETPLGPAMTAPTCPPPVPAVVRCGGDEDETM >OGLUM07G21350.1 pep chromosome:ALNU02000000:7:23666738:23670761:1 gene:OGLUM07G21350 transcript:OGLUM07G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLRMGVSVALLVAFSSLIPSSEAYDPLDPNGNITIKWDVLQWTPDGYVAVVSLYNYQQYRHIQSPGWKLGWVWAKKEIIWAMNGGQATEQGDCSKFKSNIPHCCKKDPEIVDLLPGTPYNMQIANCCKGGVLNSWAQDPANAIASFQVSVGQAGTTNKTVRVPRNFTLKSPGPGYTCGSAKVVRPTKFFSQDGRRTTQAHMTWNVTCTYSQIVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNKPGSCVEGNSPYLASVVNTHNKDSLTPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVTNFNYRMNYSQWNLVTQHPSFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFKKDPKSFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASTRVMSSILLPFITIWTALTFLMVYA >OGLUM07G21360.1 pep chromosome:ALNU02000000:7:23669566:23673575:-1 gene:OGLUM07G21360 transcript:OGLUM07G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSSLLLLILAATLSVAVAYDPLDPNGNITIKWDVMSWTPDGYVAMVTINNYQTYRQIMAPGWTVGWTWARQEVIWSMVGAQATDQGDCSRFKGNLPHCCRRTPAVVDLLPGVPYNQQIANCCRGGVLPAYGQSPSSAAAAFQVSVGQAGTTNRTVRLPRNFTLLGPGPGYTCGRARVVPSTVFLTADRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCDAHKPCVRSEREGKRLAVTGKKHDANAHGRGNGVAAAAAMAAPLLQCTQHMCPVRVHWHVKLNYKEYWRAKITIVNFNYRMNYTGWTLVAQHPNLDNITEVFSFDYKPVVSYGSINDTAMFYGLKYFNDQLMEAGPHGNVQSEVLMRKDARTFTFRQGWAFPRKVYFNGDECQMPPPDSYPYLPNAAPPAAASLVGSAVAMAALVFFLMAYHMSMLGS >OGLUM07G21370.1 pep chromosome:ALNU02000000:7:23678237:23678761:-1 gene:OGLUM07G21370 transcript:OGLUM07G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQARPSMERDPCPDRILDDIGASFGMGAVGGSFFHFVKGLRNSPSGARFAGGMEGVRMNAPRVAGGFAVWCGLFSACDCALVSVRQKEDPYNSIIAGAATGGILAARQGLRAVARASLQGAVLLALVSSFGIMMNRLPDAGSMPVNKTETCKEPQMPETFDVPSTPPPSFEYK >OGLUM07G21380.1 pep chromosome:ALNU02000000:7:23679147:23679818:-1 gene:OGLUM07G21380 transcript:OGLUM07G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSLFHFLKGTYNSPNGERLVGGAQAVRMNAPRVGGSFAVWGGLFSAFDCTMVFMRQKEDPWNSIIAGAATGGFLSMRQGPGAAGRSALMGGMLLALIEGAGLMLNRVLATPPPLPVDDPNLPAVMGGGGGIPGIPQVPVAPPEVASSSGGGSGWFGSLFGKKEEEKKASPSGGKSEMLESFDTPSTPIPTFEYK >OGLUM07G21390.1 pep chromosome:ALNU02000000:7:23682061:23683091:1 gene:OGLUM07G21390 transcript:OGLUM07G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWLKPDVYPLIAAMTFVTGLCTFQLTRNVFMNPDVRVNKNNRKSAVLENAEEGEKYHQHAFRRFLATQRPEVFPALNRFFAGPATVARSDRHD >OGLUM07G21390.2 pep chromosome:ALNU02000000:7:23682974:23685482:1 gene:OGLUM07G21390 transcript:OGLUM07G21390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEYVYPLIAAMSLVGGMCVFQLTRNVFMNPDVRVNKSHRQSAVLENADEGEKYHHHAFRRFLGTQRPEVFPAINRFFAGPATVPKSDRQN >OGLUM07G21400.1 pep chromosome:ALNU02000000:7:23689719:23692946:1 gene:OGLUM07G21400 transcript:OGLUM07G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:reversibly glycosylated polypeptide 3 [Source:Projected from Arabidopsis thaliana (AT3G08900) TAIR;Acc:AT3G08900] MASSTAAAAQAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPKKTIRVPEGFDYELYNRDDINRILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVSFFPPFHPSINFASVRSVSVKVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNSRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSASLPKEADTVQKCYLELAKQVRAKLGKVDGYFNKLADSMVTWIEAWDQLNPPKGAVATANGTAKSK >OGLUM07G21410.1 pep chromosome:ALNU02000000:7:23719959:23725676:1 gene:OGLUM07G21410 transcript:OGLUM07G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKFTTKAVLEVKPFCLHSSEQLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNRLPLSITSKPTKRIRGVRSSTVSGTSRQQQASAMDAPDKSHMKASVDLKRHSTQWPTSTCFFQLRQPPLCNPSSSDIDISAFGIANIY >OGLUM07G21410.2 pep chromosome:ALNU02000000:7:23719959:23725676:1 gene:OGLUM07G21410 transcript:OGLUM07G21410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKFTTKAVLEVKPFCLHSSEQLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >OGLUM07G21410.3 pep chromosome:ALNU02000000:7:23719959:23725676:1 gene:OGLUM07G21410 transcript:OGLUM07G21410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDADVALIVFSTKGKLYEFSSHSSMEGILERYQRYSFDERAVLEPNTEDQENWGDEYGILKSKLDALQKSQRQLLGEQLDTLTTKELQQLEHQLEYSLKHIRSKKNQLLFESISELQKKEKSLKNQNNVLQKLMETEKEKNNAIINTNREEQNGATPSTSSPTPVTAPDPIPTTNNSQSQPRGSGESEAQPSPAQAGNSKLPPWMLRTSHT >OGLUM07G21420.1 pep chromosome:ALNU02000000:7:23738231:23739425:1 gene:OGLUM07G21420 transcript:OGLUM07G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTELPGYVGNVSGALTSHTIWCTHAHTQSGLSNLDPKASIQVRCLPYSLATIFIQLFFST >OGLUM07G21430.1 pep chromosome:ALNU02000000:7:23740846:23741294:1 gene:OGLUM07G21430 transcript:OGLUM07G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYISNGRLHYSSVLTSNMVGMSEFVGGQLNSAKSAAAAVASTVAAAAKPGLAAGVGFVKEQGVGKSALAVGGAAVAAYFLWPTAAVGGATMNAPGAAGYVISRAAFLANPKLYFHLLRTVGAKAAAAAFL >OGLUM07G21440.1 pep chromosome:ALNU02000000:7:23741993:23742262:1 gene:OGLUM07G21440 transcript:OGLUM07G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLPPSAAKSVALRACLASCSRPQPVCPLRCSHWTRLRRLPSRPWEVPSRSERIAAKLVLDGPSHTVSCAQHNLIRKLGLVSKEGPV >OGLUM07G21450.1 pep chromosome:ALNU02000000:7:23761415:23761630:1 gene:OGLUM07G21450 transcript:OGLUM07G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASVAVGVGSAAFLYLLWSASAPEAAMMKAPGAAGYVISRAAFLANPQLYFHLLRTVGAKAAAAAFAVAA >OGLUM07G21460.1 pep chromosome:ALNU02000000:7:23763738:23763947:1 gene:OGLUM07G21460 transcript:OGLUM07G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGFVVGAAAAAVVGAAVSLLLWPVAAPVVMMKGPGAVGLLISRVAFEDNPKLYYYLLRTAGAAAAA >OGLUM07G21470.1 pep chromosome:ALNU02000000:7:23772817:23773848:-1 gene:OGLUM07G21470 transcript:OGLUM07G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMG2] MAAAATGPVPFKDADDDGTLAAAEARSPLPKEEFGDLVAALPRKEQYLDGRLYEGFWLPEHYAPGIIAFRRRFTPRADDVVLASYPKCGTTWLKALAFAAMTRAAYPADEHPLLRLNPHDVIPFVEDVFTDGHEAKLDMLPSPRLINTHTPYQLLPESVVAGDGGGGCKIVYICRDPKDMVVSLYHFMRRLQPDLSLAGVVESVADGTVPFGPMWDHILGYWRASVSRPDRVLLLRYEDLLRDGAAGEHVRAMARFMGRPFSAAEEAAGAVASVVELCSFERMKALEVNRRGTAGSYKSMPRDAFFRKGVAGDWANHMSPETAARLDGIFREKFRGTGLTFVP >OGLUM07G21480.1 pep chromosome:ALNU02000000:7:23777223:23784263:1 gene:OGLUM07G21480 transcript:OGLUM07G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARPRLDGTPSLRVEGGPARGGESDDVGLCAVAGPDWRCTDPRLVVGSVVPAAGCGLDGCGLRCLRPCPATSSLPCPSSSLRCSSPILALLLALTN >OGLUM07G21490.1 pep chromosome:ALNU02000000:7:23790643:23791954:-1 gene:OGLUM07G21490 transcript:OGLUM07G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMG4] MATAKTMRGDRDSIREGAYLGGLIWEHILGYWNTSKANPDKVLFLKYEEVLRDPTKNIEKIAEFIGQPFSDAEKEAGIVESIIELCSFEKMKASGANSTNSLHMMANEYPHESFFRKGVIGDWVNHVTPEMADSLDKFLSAKFYGSGFTFAE >OGLUM07G21500.1 pep chromosome:ALNU02000000:7:23796015:23804585:1 gene:OGLUM07G21500 transcript:OGLUM07G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLGPFRLASLTGPYHASVSARFARGTRATQPPPSSSPRQSPTPVRVPVPQLRRRRSGRSRSGAEPPMAEGERELHVRALDGRSTVVALAAAASVRDLKAALRSSFPPAQVSPSFHLFLKGTKLRVEAEIGTLAVGEGEFIVLVPFARKSQQCSPVAMAEQGQITESPRSSQVSTGANSARRGITDNLSSIPSSPHAETASRYFSSGANSAWQEIMDDLSSISSSPQDDSASKNFCAQSTIPCSGNSVEDVSTDQRLPTGNSNKRRKPCKENGNAFNEMPTSGANSAAGQPSIDKKSSIARSAATSCHGTCHLGPAEMVDHLKQGLGKEGQIVHIEEIPCRAASFAELPNHLSEATREALKSIGVSRLYSHQALAQDQLRSLLEMKNALHTDIDVNIYDGDTPREDRTWIRDNARLSFHFCNTILRYIVIDEAHSYKGAFGCHTALILRRLKRICSNIYGSHPTFIFCTATSANPREHVMELAKLDNVELIENDGSPCGFKYFLLWNPPLHMTKEGSSKDSLLTRRSSPIVEVSYLLSEMVQHGLRCIAFCKTRKLCELVLAYTREILQETAKELVDTICVYRAGYIAEDRRKIEANLFQGKLLGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCQVDSHNQKLLEQHLACAAYEHPLCVQYDADYFSSSLNSVMMALKDKGCLINNPSGPFSSSMWSYIGPEKRPSQAVSIRAIEHDKYRVIDKLNNRLLEEIEESKAFFQVYDGAVYMHQGVNYLVEELDLSSRTAFCWKADLKYYTKTRDYTDINVLGGEFAHLPPSTCKTNGVKTTAQANDCKVTTKWFGFYRIWKSNNKISDSIELNLPPYSFNSQAVWVRIPHSVKTNVEERKLQFRGGSHAASHALLNIVPLHMTCNASDLGTECANPHETRGIPDRILLYDKHPGGIGIALQIKSLFGELLLAALELVSECNCTSSAGCPNCIQTLTCGEYNEVLDKEAAILILKGVIEYERSYFEKEDGSDQGVR >OGLUM07G21510.1 pep chromosome:ALNU02000000:7:23809763:23810431:-1 gene:OGLUM07G21510 transcript:OGLUM07G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSDNESGGPSNAGEYASAREQDRFLPIANVSRIMKRALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIDPLKLYLHKFRELEGEKAIGAAGSGGGGAASSGGSGSGSHHHQDASRNNGGYGMYGGGGGMIMMMGQPMYGSPPASSAGYAQPPPPHHHHHQVVMGGKGAYGHGGGGGGGPSPSSGYGRQDRL >OGLUM07G21520.1 pep chromosome:ALNU02000000:7:23820497:23821513:1 gene:OGLUM07G21520 transcript:OGLUM07G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAAAAVPSDRPPLPWRARLLVGAVSVLHSASLRRDGTVNRFLLSLFDRVVPPNPAPDAAGVASSDHAVSDDLRVRMFFPAAAARDGGGDHLPVVVYFHGGGFVFHSVASAQFDALCRRFASAIPAVVASVDFRLAPEHGFPAPYDDGEAALRWVLAGAGGALPSPPATVFVAGDSAGGNVAHHVVARTPSSVSGLIALQPFFAGETPTASEQRLRDAPFGSPERISWLWRAFLPPGATRDHEAANVPAALRRDAERRRAFPPTMVCVGGWDAHQDRQRDYADALRAAGGAEEVVVAEFPDAIHAFYIFDDLADSKRLLTEVTAFVNRRRAAAAAS >OGLUM07G21530.1 pep chromosome:ALNU02000000:7:23823220:23825467:-1 gene:OGLUM07G21530 transcript:OGLUM07G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKISTVVLNVDLECDRCYKKIRRVLCRIQDKANIKTISYDEKNNAVMVSGPFDADKVCKKLCCKAGRIIKDMQVKGKENKGGKDAAGDKAKPAEKEAAGGGKAEIKDAAGGDKAEKKDGGKPEKEAKADKAAAAAKPEKVAKEAKPEKKVKFDVDDAPPPAAATAKPGKVQPFPAGMTQADLAPLLEKLKIAKQQQQQQQAGPEPPRGEPIAPPMMMPAAQGVAVPSIWPAPAGSLSCYSYNPAAYDQSSYYGGGGYGYGYGGGSFQAPAGYYGVAPPAAAAAAPYDHQGWYYGNRQPYYHQQQCYEDPNAGGCSVM >OGLUM07G21540.1 pep chromosome:ALNU02000000:7:23831117:23831558:-1 gene:OGLUM07G21540 transcript:OGLUM07G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGGRNAEVAMAAVLGACSRALVARSRSDAVTARNGEMMERAKDRDDRDSMAMTAFVFTTAGREYEGEEDEMEHLASVSWQWEGEEKLGAVDTSWPRNLITAGDTANIAATLPPIHHLADM >OGLUM07G21550.1 pep chromosome:ALNU02000000:7:23851977:23854546:-1 gene:OGLUM07G21550 transcript:OGLUM07G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPTWSPPHLAVTAAPTSAPAFLPLISQRRRLPSPAPARRIQLRSHCTKSPTESEPEHEDDGADDEDAAARSEHPAIIFQERLDKFRDDYRAALGLRTPPDMFRTEKYKIAVIMQKMYSSSSKILNADEKEMVSTVCRKARLALDLASEVMDVAAFGLGTTEISQRTADQMVRTYTTIFCEVANELYHNRVTMETILSFLDALGGLGAITHILVQDTVDKLHNGLSKKKITHDLDALSHKFDKEMNILKDNFKRETRIDGYKVL >OGLUM07G21560.1 pep chromosome:ALNU02000000:7:23854866:23855456:-1 gene:OGLUM07G21560 transcript:OGLUM07G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHHLSLLHLPRLSPVLHHHPRHLRLHGRTTVFQARLPSPQRTNQHRLLAVTTAPPEPEKTEQQLLLQEPVDGEASSGGGGGGGGGRTCGLPTWALIGAIAAAVALSAAAAGPAAALGPEGPLVEEFWDNMRRYALYVVTVSTGFAYTLAQPIVELLKNPVTALLIVAVLAGGGFLVSQVLNAMVGNSDFIYTYDQ >OGLUM07G21570.1 pep chromosome:ALNU02000000:7:23857004:23863161:1 gene:OGLUM07G21570 transcript:OGLUM07G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGTSHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEPDSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERRRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERLDSRNSAMSDQELAAIQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >OGLUM07G21570.2 pep chromosome:ALNU02000000:7:23856801:23863161:1 gene:OGLUM07G21570 transcript:OGLUM07G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:agenet domain-containing protein / bromo-adjacent homology (BAH) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G55600) TAIR;Acc:AT5G55600] MAKEEDGGGGPEFVRWREDFVSQERGSRVVHYYLEDAAGTSHLAVVGTERSLRHMLYVVSEDFREAQGADGGGPGVFARKWRSRREVVDWLASFLPAKSLASKLSKFGSHMGNDIGLDGYSEPDSFMGHNLGKACSSDIMWSGSSWTCGKQLYHYKAFCRNGTTISTHSFVLVMSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPYSQVISVECVDDIATVLTPEHYEKCVNTLPNSSMVGIRFCFRQYSKNKFKRFDLRSLRGYFSQAVVLSLKLPPEQEKDDGSDIIKTFEQWTPGKTKFPKQFERLYSKCLGTKICRGPQEDSIASYQKPSSKQSPRKHLSVKFIGPQNQRMPTYNVGDRIEVLSQDSGIVGCWFRCTVLKSCTNHNKLKVQYDDLQNADDSGRLEEWVPVSTLARPDKLGLRCPERRRVRPRPQQNSLADGTNLLPGAAVDVWQFSGWWEGVLVSADNISADSLQIYFPGENFFSVCQLKNLRISKDWVKSHWVDIEMKPDVLSKIPSVGVQTRQPDNLTSVERLDSRNSAMSDQELAAIQTNSSEDKQTGADQPAEVSLTDMASAFAEDQKQTVLGKRPRDDDAEQHCNGEVGIDVGKL >OGLUM07G21580.1 pep chromosome:ALNU02000000:7:23862173:23864909:-1 gene:OGLUM07G21580 transcript:OGLUM07G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G55590) TAIR;Acc:AT5G55590] MAATGVLVVVVALVFAVTVAGGGGAGVGGDGGFITWEDLSMPAGAARSSTWDDTAGGGGGGGEQRTTIVVSPDGTGHSRTVQGAVDMVPAGNTRRVKIVVRSGVYSCNGADLAGDGVPCREKVTVPITKPFVSLIGMGTGHTVITWHSRASDVGASGHQVGTFYSASVAVEADYFCASHITFEVYTHRTSSSSSSPAGSPAAAKRNSAAAAAPGAVGQQAVALRLSGDKTVLYKCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPSEESGFSFVGCRLTGSGMLYLGRAWGKYSRVVYSYCDLSGIIVPQGWSDWGDQSRTKTVLFGEYNCKGPGASTKQRVPWSRTLTYDEARPFIGRSFINGEQWLRL >OGLUM07G21590.1 pep chromosome:ALNU02000000:7:23865810:23871097:-1 gene:OGLUM07G21590 transcript:OGLUM07G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIGRSLSALFIAVVRTPQPSSPPCSAPPPLPRLSRARARARASKKRKDTGETGGVCGAGWPRLRDAAKLLVGSTSSWIRKNAAAAASPPSNCPHRPVPAAELPLPPPSSRPAMKAPADHHHHHHSSTPPPATKISIPISAAAGGAEAALLGKGRYKAWALAAIALLALWSMFAASVTIRWSSGDLAAAFGDLPDPLIDDLDPLEMEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDTQASETAVSEIARMSVHRPELEQSSNKNKCVTFGEVEMVKKSN >OGLUM07G21590.2 pep chromosome:ALNU02000000:7:23865810:23871097:-1 gene:OGLUM07G21590 transcript:OGLUM07G21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGIGRSLSALFIAVVRTPQPSSPPCSAPPPLPRLSRARARARASKKRKDTGETGGVCGAGWPRLRDAAKLLVGSTSSWIRKNAAAAASPPEMEDREKLVRRMWDVYTRTGVDRVRLPRFWQEAFEAAYEELAGDDTQASETAVSEIARMSVHRPELEQSSNKNKCVTFGEVEMVKKSN >OGLUM07G21600.1 pep chromosome:ALNU02000000:7:23872283:23873926:1 gene:OGLUM07G21600 transcript:OGLUM07G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMH7] MANACSFFLIRASKSENFMSFPVCRPDTACWSLPIKMLGSLISYSPSVNPKTENPDELIATGVLASLQNFIHKCIVAVLSYGPMPKHIAFIMDGNRRYAKFRSIQEGSGHRMGFSALIASLLYCYEMGVKYITVYAFSIDNFKRDPTEVKSLMELMEEKINELLENRNVINKVNCKINFWGKLDMLSEPVRVAAEKLMASTAENKGLVFSVCMPYNSTSEIVNAVNKVCAERRDILQREDVDSVANNGVHSDISVADLDHHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFKHLVWAILQYQRVHPCIEQSRNLAKKQL >OGLUM07G21610.1 pep chromosome:ALNU02000000:7:23881622:23889546:1 gene:OGLUM07G21610 transcript:OGLUM07G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >OGLUM07G21610.2 pep chromosome:ALNU02000000:7:23881622:23889582:1 gene:OGLUM07G21610 transcript:OGLUM07G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >OGLUM07G21610.3 pep chromosome:ALNU02000000:7:23886932:23889546:1 gene:OGLUM07G21610 transcript:OGLUM07G21610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MASQPLRLVRPSPLAGRHAAACKCSAAIPLVFGRQRLPLLVAFPRGSGSGSGSGASCSAVQESSSAAAATTVSEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFEDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYGTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGEGESSA >OGLUM07G21610.4 pep chromosome:ALNU02000000:7:23886932:23889582:1 gene:OGLUM07G21610 transcript:OGLUM07G21610.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MASQPLRLVRPSPLAGRHAAACKCSAAIPLVFGRQRLPLLVAFPRGSGSGSGSGASCSAVQESSSAAAATTVSEKKDAADAKKEATAEAKPAAKPAAKPKKPPVKPLPEMMQEEIIPPLKAALEAEDDVSQVELSFEDNRLEGSFIKDEVPYYFWAFFPNGDLTGPKGFALSSYGTEVSTIEPFLIDEKRANAKYVVFWVYKRLAGQGILPVWKEEEGEGEGEGESSA >OGLUM07G21610.5 pep chromosome:ALNU02000000:7:23881622:23885605:1 gene:OGLUM07G21610 transcript:OGLUM07G21610.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein [Source:Projected from Arabidopsis thaliana (AT3G50690) TAIR;Acc:AT3G50690] MDEAWERAVEAALQAAGEGSSSPARSLTLDGAVKCLHGRLPAAEILERYQSLEHLSIAGVGVASLAGFPRLRNLTRLTLSDNRIAGGLDHLVAAGLASLRDLDLSNNRIQDVGDLSPLANLRLVSLDLYECPVTRVKDYRSKVFGMIRTLKYLDKMDADENERPESDDDDDDGDGDGDGEEDDDDDDEDEDPGSGEVANGGVSHPRGGVASHPVEVNGVIDVDEDESDADEVVPNGGAEHHHANGFRVAAVGDEDEYVEEEDDDDEEDYEEEDDLGEEIDEDGDDEDAVVEVHDVPSSSDEEEDGIEEEDEEEDEDEEEVEDDGEEAEPESSGRVALAVGDVGEEIDGHEHGEGEDEDENGEIGEEDEERLEDDRVYEEGNDDDEEDVDDEDEDTEYLVQPIAQPQAMAVGNDFDAAEADDADEDRDEVDDDDDGGTDLPSSSQGAKRKRDDDPSGSGDDDEDDDGVEDLRPFKHH >OGLUM07G21620.1 pep chromosome:ALNU02000000:7:23890477:23893109:1 gene:OGLUM07G21620 transcript:OGLUM07G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYLLHAPAAAAAVVPSPLSLRSPPKTPFLPTSPIRVPTPRRRPAAFSSAAAAVVPIAASLLEGPVLVWAGRLCLYYALLHIGLAGSPRNPFLAHEIGDDGAGDSDLGFSKWADKLRGGAPGENEAQDKRKLVSKWKPTTKGTLKRTYRVRSVEEGRRILKEIALVLSEDDHFVDASSHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITAFPAGPLTDNDYRKAERLEMVLRMSASI >OGLUM07G21630.1 pep chromosome:ALNU02000000:7:23893676:23897873:-1 gene:OGLUM07G21630 transcript:OGLUM07G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLGHKMYDQDSPSSDSGQSHQEESAMNDSSPNERHTSTQSDNDDGHQMPDQDKTKSVSSLGNPGALPPKLDYSQSFACIPYTADAYYGGVLTGYSSHAIVHPQQNGTANSRVPLPVEPAAEEPIFVNAKQYHAILRRRQIRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLEEQKQQQEEEAASGGASSGNRTCLQNGTGSAPSASSPSEIASVSTSREFLGNHEQSHFPSAGFLPTMSFRAQNGGDGKLVANAIHQRVSMMR >OGLUM07G21640.1 pep chromosome:ALNU02000000:7:23914920:23920337:1 gene:OGLUM07G21640 transcript:OGLUM07G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20520) TAIR;Acc:AT5G20520] MVGWLKALCYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWSNYSVLPRKCWQYGESDGYPSQKGIINDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEVQSCNTSCKSEIANDGSSFLRITMSESGLGVEEAPLTYCPLTWVADMWVHPLWGPHVILSKLEGSTSEDHVPLGV >OGLUM07G21640.2 pep chromosome:ALNU02000000:7:23914920:23920762:1 gene:OGLUM07G21640 transcript:OGLUM07G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20520) TAIR;Acc:AT5G20520] MVGWLKALCYGAGGMAVVGLAALVALQERLVYVPVLPGIARAYPITPDRLRLIYEDVWLRAADGVRLHSWSNYSVLPRKCWQYGESDGYPSQKGIINDAQAALDHLVQRKDIDTSRIVVFGRSLGGAVGAVLAKNNPGKVSALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIIAEVKQPIIFLSGLQDELVPPSHMRLLYEKAFEHNKNCRFVDFPNGMHMDTWNSGGDRYWRTIQLFLDQYAPEVQSCNTSCKSEIANDDEAEE >OGLUM07G21650.1 pep chromosome:ALNU02000000:7:23921238:23928777:1 gene:OGLUM07G21650 transcript:OGLUM07G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQYNPRTVEEVFRDFKGRRAGLVRALTADVEDFFRQCDPEKENLCLYGFPNEHWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSMVAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINDLPTIFEVVSGKSKAKPPSANNHSNSKSKSSNKTKSSEPRAKQPKPQPQPPVKNEGREEEGGPDDEEGGGGGGGGGREEEHGETLCGACGESYGADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGGNGGGNGGGGGNGKRARPS >OGLUM07G21660.1 pep chromosome:ALNU02000000:7:23930842:23932726:-1 gene:OGLUM07G21660 transcript:OGLUM07G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTQISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGYPVNLYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHAPKDEDEFSKPLTAEIPVAA >OGLUM07G21670.1 pep chromosome:ALNU02000000:7:23933390:23934108:1 gene:OGLUM07G21670 transcript:OGLUM07G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASLIYKIPLDLPEDTGSENHHRQMRKLQCDALSSMTSELEPRCAPPPQGKRDLRAKTDCNRERRNLVHRLVHLARRCPAYHSPPPTPHCCRRCLTLLIRGEHVRRMWSVTS >OGLUM07G21680.1 pep chromosome:ALNU02000000:7:23934421:23951455:1 gene:OGLUM07G21680 transcript:OGLUM07G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 10 [Source:Projected from Arabidopsis thaliana (AT2G26350) TAIR;Acc:AT2G26350] MRGGGGGDAGTSSRGGGSGGAPARPRRFPEAAQPEIMRAAEKDDGYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATSYGLPPTPARRILFILYQTTVPYLAERISSRIVARGIALDDSQLDDHSERLYYHLPKRAAGIRYVFIGKPLNQRPRYQILGIFLLIQLCILGAERLRRSRGVPVLNEDGNIISDIRHGKTVDLATSSELLRLSVSSTSCYATEFYLKKKAIKHPVVRANAPFVSVPVRIPLPQPVAMSSAGVALWSGVMRSLNAPYAELRLHIRV >OGLUM07G21690.1 pep chromosome:ALNU02000000:7:23934872:23936967:-1 gene:OGLUM07G21690 transcript:OGLUM07G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNEKNDIGMVVIRGNSVVMIEALEPVPKPQ >OGLUM07G21700.1 pep chromosome:ALNU02000000:7:23958930:23967351:-1 gene:OGLUM07G21700 transcript:OGLUM07G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAMGPKMQGRTTTSLIEGRRVVGAAAIEHRRCQPVRRRIDGDANRSLEKQLPNKGTPAPLHAGALAATAAAAALAAAYTTDAGALASHPGTLLGAGAIETLDVEAHSASVAPVEPAATNLTAAGAEAVLPRGRGRGRQHHGELVLRVQRAPHLRREHGAERVHVEAARHAAVDESPPGARRGAQVADAVVVGDAAEGEAVPRVRGEGDRRLEVVGAGLVDVEVAGLAPEPDEAAEAGGAEVADMAGVAAVLAPHQDVLRVGAVSPVFHKGGLKMCKYRCCKTWLACALAGCGYKCQTIEARNGHSTPNHKDCIILNRSNKATRVFEPKANLSERLAGAGASTAAGPTTKSSTSTSSSSGLTAALASCTFPSPSTASSSSTLSNTATIPNWYPLARAGEKFSPKLTLAPFASPAAAVAAATFSTSWGTSTPSFTFASVSRTAEPGVTGDGASAALTQSTAVVSSALGRTCPCTAATCA >OGLUM07G21700.2 pep chromosome:ALNU02000000:7:23958930:23967351:-1 gene:OGLUM07G21700 transcript:OGLUM07G21700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAMGPKMQGRTTTSLIEGRRVVGAAAIEHRRCQPVRRRIDGDANRSLEKQLPNKGTPAPLHAGALAATAAAAALAAAYTTDAGALASHPGTLLGAGAIETLDVEAHSASVAPVEPAATNLTAAGAEAVLPRGRGRGRQHHGELVLRVQRAPHLRREHGAERVHVEAARHAAVDESPPGARRGAQVADAVVVGDAAEGEAVPRVRGEGDRRLEVVGAGLVDVEVAGLAPEPDEAAEAGGAEVADMAGVAAVLAPHQDVLRVGAVSPVFHKGGLKMCKYRCCKTWLACALAGCGYKCQTIEARNGIICPVPFTTIVNKANNQQTSQRLAGAGASTAAGPTTKSSTSTSSSSGLTAALASCTFPSPSTASSSSTLSNTATIPNWYPLARAGEKFSPKLTLAPFASPAAAVAAATFSTSWGTSTPSFTFASVSRTAEPGVTGDGASAALTQSTAVVSSALGRTCPCTAATCA >OGLUM07G21710.1 pep chromosome:ALNU02000000:7:23966155:23966925:1 gene:OGLUM07G21710 transcript:OGLUM07G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNYRTHCSHPQHVLVRCQYSSNSGHVCDLCAAGFRGLVGLRCKACDFDIHEACADYFQPAISFAAHPWHGLALGRVADDDRVCDLCAAACPRGGFVYRCVPCGFDVHPLCTMFPAKVRSPLHPEHELAMVLAAAAAAARQYRLCSGCGEVCGGWFYRCDACGVCLHVECLNGARAKQSAGVGSQSTGVGGVGSSQSGGGGGGGQSTGVKRSRSSLVGKLLLKAAVRVAVDAATNGLASAVLDSGSADDTSSFDQ >OGLUM07G21720.1 pep chromosome:ALNU02000000:7:23970323:23993718:1 gene:OGLUM07G21720 transcript:OGLUM07G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLRAAFPLTSSSSSPLRRLALKPSSSRAAVLRVAAMSSAIAAPVEHIVLIKVRPEAAVSGAAAAMVSSLQALSSVVPGLSYIHVGPVLRLRSPAAEALGPTHVLHSRYATKPDLAAATAGETAMRLGSSSGSGLGRSGSGRSRWRRAQERW >OGLUM07G21730.1 pep chromosome:ALNU02000000:7:23998668:24002993:1 gene:OGLUM07G21730 transcript:OGLUM07G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G22990) TAIR;Acc:AT3G22990] MSHVRSAPAGKSGGGGGSTPAKRGRPFGSTTGSGAAAAAAAAAIGDAAAPAALVGPSLQVLTALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDLRRDTTPLAKVPGLLDALLQVIDDWRDIAMPKDHTKPPRVRTLGVNTTLSGFGHENVEKVYSDTTTPSDDQTKTADSTVTKKRSAGFLFDEEGLFNVDDEGRTEKQQCAVAASNIIRNFSFMPENETAMVQHRHCLETVFQCLEDQNTEDDELITNMLETLVNLAPVLDLRIFSSSKPSFIKITEKRAVQAIMGMLASSIRVWHCAAAELIGRLIINPDNEPFLLPAIPQIYKRLVDLLSVPAVDAQAAAISALYNVAEVNMDFRLKLASERWAVDRLLKVVKTPHPVPEVCRKASMIVESLVSEPQNRMHLLVHENTFAEILTSEGKYSDTFARILYELTARPSNKVTAGQAIWGNIN >OGLUM07G21740.1 pep chromosome:ALNU02000000:7:24004408:24004911:1 gene:OGLUM07G21740 transcript:OGLUM07G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVTCKLHPGHSLTRHHYGGEEGHACVCALCERIIAGAGYRCGECGGFDAHEACLSLPMRVAFVGHPAHELTLSLLTASRWCDACRVASHAGCCVYRCVACDFDVHARCTSLLDGEQQHGRKRGVARRVGMAALRMGLFGLRVADAVTGGFGSPVIEVIETALNL >OGLUM07G21750.1 pep chromosome:ALNU02000000:7:24009893:24010732:1 gene:OGLUM07G21750 transcript:OGLUM07G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGNQLTESRTHFAHPQHPLLKTQYGGGERQPSSRHVCRICGTRVVASAGYRCDHCDFDIHEACADFFPEKMITPPPNFFGHPWSHNLALRQVTAADGSWPCTLCRGPFQHGHLAYCCGARRCGFAAHPLCTMLPGEIRSPLHRKHALTHTELIPSRLTSGPCKPAEMARVCSICRRDCSTVRTRHYRCASCMFVLHIGGCVSGVPPPPAPDGEDQGSSGPDGARGSCGGAAAAPAPAVARFLVVVAEQQLAADFPAANGMVAVINALQASLSSND >OGLUM07G21760.1 pep chromosome:ALNU02000000:7:24017224:24017721:-1 gene:OGLUM07G21760 transcript:OGLUM07G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPAAAAGAAGLHQQRRAPRVHVDVALHAAVHAAAGVGGLPAGDAPPAGREDGERELVVAMAPAELDSLRQGEAGLVDVEAAARAAAASVAVAAAGDGGEC >OGLUM07G21770.1 pep chromosome:ALNU02000000:7:24017317:24020305:1 gene:OGLUM07G21770 transcript:OGLUM07G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARYTTWLHPEHSLTRRVYGGKRGAAGVCNVCDRTISGGSYGYRCGGGACGGFDVHEACLTLPKRVKLGRRHRDHELTLSVLTASRRCVTCRETSDAGRCMYRCVECDVDVHPRCTPLLMEAGGASGRRWPQHAHCQPIVLQW >OGLUM07G21780.1 pep chromosome:ALNU02000000:7:24021996:24022757:-1 gene:OGLUM07G21780 transcript:OGLUM07G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIITHSSHPEHQLRKMKLKYPFTCNLCNQPGAIRCYRCTPCKFSIHESCPGVTAGGAPSGPAQQAGVGTQGTTVAAGAMGGASSGVGVQKTKAESTRAKLFGAGAFGGAGIMGTVATIVVTGAGKSLVGKAMDSVLGRKKETTEEGSTEAGGSAIDETPDEMNGGEDDYEGNGYDEQGDEDEGIDEDEPEEGYGEEQQQDEEEEEEQQQEEGYEGEEQEVPTSTIEDTETTEDGFFSKVIEIATNGFAGNE >OGLUM07G21790.1 pep chromosome:ALNU02000000:7:24023866:24024258:1 gene:OGLUM07G21790 transcript:OGLUM07G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRAKPASSPPSRAAPAAPGRCRRRRRRPRDRGCPLLPNPRRAAPNPRRAGGNGGRAEPDPNDGNSNLRDDGGGWRRLATVQTTATAAGDLDDDGGAPAWVQDARHRSLHRRPALLRRINEVVAASAVA >OGLUM07G21800.1 pep chromosome:ALNU02000000:7:24036679:24038873:1 gene:OGLUM07G21800 transcript:OGLUM07G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFTRKDGTFAYPEYYSYLHVQMNGGKPLYDQKCSDKEEAIVDADAADTDEDDNNAVDEPAMKAKFEDWMKEHGRIYITKKEKAHRYENFKKAMKGINELNIKRGMRSPLAAPTELADYTDEEVERLGITMADDSDWDEYLDHIHTAIARGYVFRVDDEDVCEAVKKNRREGNYSQCMTMQLIKPSCRQTAASQKERAHVINFDFLVDLVSLGQLNLLVAMFHGRQQSTAASAQLAEFIMLTTR >OGLUM07G21810.1 pep chromosome:ALNU02000000:7:24043527:24045465:1 gene:OGLUM07G21810 transcript:OGLUM07G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGRGRGRGRRGGGYGFDHPAKHTPHEDFPDITLPEMTCARATMEEKALIQSTLKFEDFWKTSCYHLEEDVPKKKNDDKEIERYSDRKRKTHSKREALASYLILTPANFPVELVQGSKRGQPSSKKLRWDRSSDDQAFEVFEKLEEKHKARASSLIFQFFKFSSAHLSKINF >OGLUM07G21820.1 pep chromosome:ALNU02000000:7:24064782:24065782:1 gene:OGLUM07G21820 transcript:OGLUM07G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLRALAPLLTRRFSARSAQAQRSAAAAATAGTSPAPRSPVSPRSQIKIFFTRAQRLTLPSISAQRDLGVGRGAVKGALALTSSLAGLLLGFLHFKQDRDDSAAGEETRKEEEEVTVNWRDVIEPSVMARFTRKDGTFAYLDYIDYLNSQMNHGGKPLYDKKCSDKEEAVVDDAAEEDNVVDEVAMKAKFEDWMSEHGRRYRTEEEKAHRYENFKKVVKALDKFNAERGTRSSLLAPLAPNELADYSQEELDGLGTLADESHWDGYLDHVHTMIARGNDIRHNENACEAVKKVLNVYP >OGLUM07G21830.1 pep chromosome:ALNU02000000:7:24068125:24070063:-1 gene:OGLUM07G21830 transcript:OGLUM07G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGIAPLLDAYFRRRFAAAGLVQASVPLDGGATTVQCWRFPPGASEELPVLVLLHGFGPPATWQWRRQVGPLSRRFRLVVPDLLFFGGSGTAAADARSEAHQAEAVAKLVAAVVGAAAARVSVAGTSYGGFVAYHVARLLGPAAVARVVIASSDLLKADADDRALLRRGGAERVEDVMLPRTPERMRRLLGLAYHRPRRFSFTPAFVLRDLAQYLYTDKIEEKKELIKGITLGDKEKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARQLGANARLEIIKNTGHMPQEEDPKRFNEALLNFLLPAPNSSL >OGLUM07G21840.1 pep chromosome:ALNU02000000:7:24072984:24076530:-1 gene:OGLUM07G21840 transcript:OGLUM07G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGADQAPPPPPPPPVAAASAAADEPRDLRVVREILHSLGLREGDYEEAAVNKLLLFAHRYAGDVLGEAKAYAGHAGRESLQADDVRLAIQARGMSSAAPPSREEMLDIAHKCNEIPIPKPCVPSGSISLPHYEDMLLNKKHIFVPRVEPTPHQIEETEDDYNDDGSNANVASPDSNYDQDLFGSISLPHYQDMLLNQNHLSVHRVEPAHDQLEKIKDDGSNDNADSSHSNYVQDSSGSVSLQHHQDMSLNQNHLFVHQVELTLDQIEEIKDDGSNDNVDSPNFNCVQDPSRSVSFPHYQVMPLNQNHLSFHQVEPMLDQVEEIKHDGSNDNVASPDSNCIQDPHYQDMLLNQDHLSVRGVELTLDQVEEIEDDCSNDNVASPDSNYDKEKNDSNKQKPSKKDSQLNTLVATGKDKVDCSTELS >OGLUM07G21850.1 pep chromosome:ALNU02000000:7:24079776:24084067:-1 gene:OGLUM07G21850 transcript:OGLUM07G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVISCAVVKCGGGGGPCHPRVSAPPPPSSPLSFARPAAERVAARRARAAAVAMEVVEDATPPAAGAVLLAGAQSRHAIFRDELVRRAFYAAEAAHRGQMRASGDPYLQHCVETAALLAELGAGPAVVAAGLLHDTVDDAGLGYGSLSVQFGAGVADLVKGVSNLSHLSKLARRNDTASRVDEADKLRTVFLAMEDARAVLIKLADRLHNMRTLDSLPKVKQQCFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYPELYEELSSNLLEFYNQDMIAAAIRRLEQALQVRGLCYHTISGRNKSMYSIYSKMARKKLDMDEIYDIHGVRVILDNKADCFTTLEIVHHLWPRIPGKFKDYINSPKPNGYQSLHTVVLSEETLPLEIQIRTRDMHLQAEFGIAAHWRYKEAVRNCCSSVPEMVEWVRWVVTWQCETLHIDHPSSLTHGAPPRAICSCSSQSDDCPFSYSKRCDHSGPILVILLENEKMSVQEFPQNSTVLDLLKRTSSYDMQLRLRLNCHVVHNLNQELKMGDVVELIPSAQCNPGAGGYAREFHQMYDHRLTVSQS >OGLUM07G21860.1 pep chromosome:ALNU02000000:7:24090416:24090856:-1 gene:OGLUM07G21860 transcript:OGLUM07G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLRKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHRLRNKFYSPAVNVERLWSMVPAEQAAEAAGAGKAPLLDVTQFGYFKVLGKGLLPEKPIVVKAKLISKVAEKKIKAAGGAVVLTA >OGLUM07G21870.1 pep chromosome:ALNU02000000:7:24093252:24101969:1 gene:OGLUM07G21870 transcript:OGLUM07G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G72560) TAIR;Acc:AT1G72560] MDDLEQAILLASDSPAAAAASPAVRAEALAYCARARDETPPSSLLHLCLYGLASSPHAHVHFWCLQTIHDALLLRRRLALPDDLALLRSSLLSLAVSSNAASPPFLRNKLAQLLALLVRFEYPHVYPSYFLDLIPPSPPLPGPTDMFARVLVSLDDDLLSQDYPRNAEEASDAGRVKDAMRAQCVPQIARHWHEAAVSLRAADPAVAAVALDAARRCISWIDVSLVANDVFVPLLFDIALSPGSVAPLAAAAVGCLSAVAAKRMDARAKVALLRSLMSAQKGFGSPDSGLKMAHLVTAYAVEALECYRKLGSSDADGAAALEMLEEVLPAVFAAAESGDDDEVDSGSVLEFLSGYVSTMKAPTEKQLGHLGQILEVVRMQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPGTTQLFIRGLLVTALSSAEVSVEDVEVALTLFYRLGEIVGEEEIRTGAGLIRELVPMLLSARFSCHTHRLVALVYLDTISRYIKFMQENDQYVPHLLTVFLDERGIHHQNAHVSCHAGYLLMRAIRLLKAKLVPYLDTILQSLQDALVQFTATDWANKDIKFSSSEDGSQIFEAVGLLIGIEEVSPDKQVQCLTALLNPLCQQIESLVMDAKAQGLEESSPRAIGLQQIIVALTMISKGFNERLVMGSRPTLGVMFKKTLDVVLQVLISFPNVKPLRSKIISFLHRMVEILGISVLPCIPIALRQLLVDNEAKDMSEFLYLINQIICKFKSSANALLEDVFPAIASHLSVILSHDAFSNGFASNTEEMRELQELEKRFYAFLLHIATHDLSTVLLTPSCRHYLENIMQLLLITSCSHKEISHRKTCVQTFVNLIKDWCSSSEIEDKLPGFRVFMIEKFATGCCLQSVLDKSFNFRDGISIALFGEIMMAQKVMYERFGENFVVNFVTKLREAHCPPDLAEQYYQKLQGSCIIYVHKALPFLRSEDVYCGNIFTEPGYRGKVP >OGLUM07G21880.1 pep chromosome:ALNU02000000:7:24104528:24106960:-1 gene:OGLUM07G21880 transcript:OGLUM07G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSRGVCKSPNREKRCQYSRRFGGIPTIAQQSELCFNGLFAKDYLLPRNIILETIKPSAYVLCKWMLISVSQNDSEKQPGYVPRDQLIETLIFR >OGLUM07G21890.1 pep chromosome:ALNU02000000:7:24108215:24114857:1 gene:OGLUM07G21890 transcript:OGLUM07G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDCKVVAAAARKKEKEAAAWPWSLWGFLLTGCLGGGGGGGKKKSGGKKVRPRGDGGGLRRLSFTDLTGAADQDLSVSLVGSNLHVFTVAELRDATRGFVSGNFLGEGGFGPVYKGLVGDGVKPGLRPQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDENRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSDYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRAVDKTRPNREQSLVEYARPCLRDPLRLIRIMDPALEGRYSPAAAREAAAVAYRCLSGSPKNRPDMSAVVDALEPLLVATDDVPLGPVVLFVAPDQEADAAAADDDDEDDKARRRQRRTRKDEQHRRRSRLRTSPKGSPRKPAVAAACRNEEFWVWHVPADHKA >OGLUM07G21890.2 pep chromosome:ALNU02000000:7:24113836:24114937:1 gene:OGLUM07G21890 transcript:OGLUM07G21890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLRCFHGAKVAAVAAAGDGGVAGRAAVPAKGGGGGVAREVKVVVRGLVGKAGKVFGRSIPAARFGHLAYISSARLVCTCCFHLLKTTREKLSLACNTN >OGLUM07G21900.1 pep chromosome:ALNU02000000:7:24116292:24119528:-1 gene:OGLUM07G21900 transcript:OGLUM07G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVGEETEEVGVEVACECCGLTEECTAPYIAGVRARYEGRWICGLCGDAVGEELGRASPPISPAEALDRHAFVCGAGRRSTAPPSPAESADDLISALRHLLRRRLGSPPLPPPRKVRSTPSSPRRDVPASAIAIVSVDTGGGGGAGGALARTESCFAALVEEYWFDWMGHGKLEKQQRYAWQKRDKRTRRNIGRIAGCSSENL >OGLUM07G21910.1 pep chromosome:ALNU02000000:7:24134337:24136971:-1 gene:OGLUM07G21910 transcript:OGLUM07G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type-6-A [Source:Projected from Arabidopsis thaliana (AT5G35590) UniProtKB/Swiss-Prot;Acc:O81146] MPEAEAAAVVTGGGSRAAGPADYERFITLFSPEGRLCQLDYAFNAVKLAGITSVGVRGDDSVYVLTHRKEDKLHDPTTITNLFAITDRIGLLATGMPGDGRAIAQEARNAAAEFRFKWGYKMSPCMLAQWIADRAQIRTQHAQIRPYGVVSMIFGIDEEKGTPELFTCDPAGQFFAHKAASAGPKEKEVMNFLEERMKSKPSLSSGTTKGVCVTILSKP >OGLUM07G21920.1 pep chromosome:ALNU02000000:7:24148152:24151669:1 gene:OGLUM07G21920 transcript:OGLUM07G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQLGLLAAVAVSLAVHVALHCPIQPLAPPPARPPAAAAARFPPNNLLQNLEKLGEGMLSAPEDVYVDDAGGEVFTATRDGWVRRMRANGSWERWGLVGDTGLLGVAPSADGAMLVCDADKGLLKVDENGRVTLLASTVEGSTIRFADAAIEASDGTVYFSDASTRFSFDNWFLDFFENRFTGRLLKYDPRTGEASVVLDGLGFANGVALPPDEACVVVCESMRFRCSRVWLKGEKAGEAEIFVDNLPGNPDNIRLGSDGHFWIALPQVRSPWLDLISRWTLTRRVIASFPALVERTKATLKGAVVAQVSLNGEIVRVLGDSEGKVINMVTSVTVQRRSLPRQPCDQLHREIIPG >OGLUM07G21940.1 pep chromosome:ALNU02000000:7:24155946:24163759:1 gene:OGLUM07G21940 transcript:OGLUM07G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFARAVEDGLKLSKRLVLPGGLPPPRPLAGMDRGVGGGGGGDASVAALLLPSAPMAYAVVTDPGAVDTPDVPSYQPYVYGRLDPPALIPLQMKEVDLSVDCALGEAAVTVRARWWLHCITRSRDCDVRIVVPMGDQGSILGAEVTVGRRSYNTQVIEVEDHTTENAAKADSGGLLKPQLFYLTISQIEGGADISATIRWSQKLHYDNGRFSVDIPFRFPYYVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKGRQGEKLSFRHEATVENWSSKDFNFSYSVYSGDLSGGVLVQPSTLRDYDDRDRFCIFLLPGGGNRKVFRKAVVFVIDTSGSMQGHPLENVKNAMSTALSELTEGDYFNIITFNDELHSFSSCLEKVNEKSIASALDWINLNFVAGGGTDIMHPLNEAMASLSSAHDVLPQIFLMTDGSVDDEHNICQTVKTELISRGSKSPRISTFGLGLYCNHYFLRMLASIGRGHYDAAFETGSIESQVLRWFRKASSTIVANISIDATAHLDELEIDSEYIPDISAKSPLCISGKYQGKFPDMVTAKGYLADMREISIELKVQHIKDIPLDKVLAAQQIGLLTAKAWLSSDKQLERKVVKLSIQNSIPSEYTSMVLLQTLEKVDAAQKVKQKLKGHKGPDEPRRIPLQCLKLGFGDRAATRENLVTGFGDVKPLETFEILNKAAGCCSRLADCLCCMCCIKACNKMNDQCAIVMTQVCAAFACLGCYECCAELCSGSDS >OGLUM07G21950.1 pep chromosome:ALNU02000000:7:24166727:24169299:1 gene:OGLUM07G21950 transcript:OGLUM07G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIINWMQNRLSTAKQDKRRTEAAAVASSARRRGGGGGESCRQEEARDEIKIAGDHLLSIGTLGNESPPRPPPAAAATAAEEVADFTIEEVKKLQEALNKLLRRAKSTKSGSRRGSTAAEHDADERSSSSSSSGGQLLLPLDRFLNCPSSLEVDRRVAAADGEFSPDTQIILSKARDLLVNTNGGGAIKQKSFRFLLKKMFVCRGGFSPSPAPPPTLKDPVESRIEKLFRTMLHKRMNARPSNAAASSSRKYYLEDKPREKMQREHLHDDEDDDENAEDIFKWDKTDSDFIVLEM >OGLUM07G21960.1 pep chromosome:ALNU02000000:7:24171660:24172961:-1 gene:OGLUM07G21960 transcript:OGLUM07G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFTNVSSEAGLKKLDEYLLTRSYISGYQASNDDLAVYSAFSTAPSSSYTNVARWFTHIDALLRLSGVTADGQGVKVESTAVPSASTPDVADAKAPAADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMTKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMMTIVDDLVSVDSLIEDYFYTEPANEYIQSCDIVAFNKICKLFHKYQSLSFYLLALFLLLY >OGLUM07G21970.1 pep chromosome:ALNU02000000:7:24177211:24180767:1 gene:OGLUM07G21970 transcript:OGLUM07G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQRQSRLFRSPSTSRDPSTSRISTPRRRRCCRGGGGGSSSLPSPAMSVMPSIRRLLLAGAAVAVVVVSCAVVCVEASVHEYAGERFAGVGNGFVLHGGSEGVYASATAESFVRFEKVAFRRTPEAASVAEEDGNRTVTVAAVIFEAGDRDAVGAVSDVVGSERALCCTPGMARRGGCTEGAVVYRAPASSNATGRWPKVLAASFLPGSLVAAFPDETVAVARTGMYSLHFVHCDASLAAGQVVAAEGKTIWKNSRGYLPGRMAPLKPFYGAMSLAFAALAALWFARYARFWREVSPLQNFATAAIALGMVEVTTWYLDLAEFDASGVRPAGTTFWAATSGAVRAAACRVLALLVAMGYGVTRPALGCGNARVAALGAAFLAAAEVLDVGDNVGIVSDHSPARRLFFILPVAALNTVFIYWIFTSLSRTISKLKARRMTAKLEMYRKFANSLTIAVALSLGWITFEVHFKTTDEHNERWRVAWVISAVWELISFFLLCTICILWAPSQNSMRFAYSREECEDDTEHDDEDDDVEDTRPLIRAGPLSYVDNWACYVTQDAKIILRTDSGVYAKAGEEYKRV >OGLUM07G21980.1 pep chromosome:ALNU02000000:7:24184167:24184970:-1 gene:OGLUM07G21980 transcript:OGLUM07G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLLKRQIEQSLPEWRDKFVSYKELKRIVASISGSPADEAAFVAALAADIDKIDSFFLEQEEEFVIRHRARILRTPIHPLQFELQEAIKKSAAAEAAEVAGIRREIVDFHGEMVLLLSYSSINYIGVGKILKKHDKRTGGALAAPVAEAVRERRHFFKTETVSRMVRECEAMMAEAAAVLPAEAAPEALAAAAEQGIFRNTVAALLTMEDVRRGSSTHGRHSLPPLTLPDSNWLRSFQPPSPIPIQ >OGLUM07G21990.1 pep chromosome:ALNU02000000:7:24187983:24188813:1 gene:OGLUM07G21990 transcript:OGLUM07G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPTNSIHPKRIHLLGRAIRPTHRKQIRPNRIVKPQQLHGHRLSLFPASPPPPPWIPQPTAGEPFRHRLTLALLPWDGLRPATSSACSSSSSPQCRPPEI >OGLUM07G22000.1 pep chromosome:ALNU02000000:7:24190971:24193237:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSMNNTWGAQLSSFTGISGGFGLASLYSPAPAVSCVYDCCWVTKVGGFMRKFLWMSKDVKSQYMWNGGAFWFLQESRLQGSDPILIMFDASTVNAVLADLFSSVYTLTKSPPVTHEEEDDLGGTYLLFPWHCSFIGDDFLINTIGPAGKEKVMVSTLSIAVLLPDHPIKLFLVFCANLLCEAHEAGDHCQKWVLQLTLNYCLATLQADEERRRRSGKPPKIVRHRHPLDLST >OGLUM07G22000.2 pep chromosome:ALNU02000000:7:24188828:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNLGGSITLLQVYAAFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRKQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNENQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFDLMLDNKINPNIIACTILVDGFMKEGLIGEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCCDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEELETKGLTPTVVTYTDLIIGYLKAGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDKSQKEKDVS >OGLUM07G22000.3 pep chromosome:ALNU02000000:7:24188828:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNLGGSITLLQVYAAFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRKQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNENQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFDLMLDNKINPNIIACTILVDGFMKEGLIGEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCCDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEELETKGLTPTVVTYTDLIIGYLKAGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDKSQKEKDVS >OGLUM07G22000.4 pep chromosome:ALNU02000000:7:24188828:24193237:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNLGGSITLLQVYAAFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRKQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNENQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFDLMLDNKINPNIIACTILVDGFMKEGLIGEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCCDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEELETKGLTPTVVTYTDLIIGYLKAGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDKSQKEKDVS >OGLUM07G22000.5 pep chromosome:ALNU02000000:7:24190971:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSMNNTWGAQLSSFTGISGGFGLASLYSPAPAVSCVYDCCWVTKVGGFMRKFLWMSKDVKSQYMWNGGAFWFLQESRLQGSDPILIMFDASTVNAVLADLFSSVYTLTKSPPVTHEEEDDLG >OGLUM07G22000.6 pep chromosome:ALNU02000000:7:24190971:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSMNNTWGAQLSSFTGISGGFGLASLYSPAPAVSCVYDCCWVTKVGGFMRKFLWMSKDVKSQYMWNGGAFWFLQESRLQGSDPILIMFDASTVNAVLADLFSSVYTLTKSPPVTHEEEDDLGGTYLLFPWHCSFIGDDFLINTIGPAGKEKADEERRRRSGKPPKIVRHRHPLDLST >OGLUM07G22000.7 pep chromosome:ALNU02000000:7:24188828:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNLGGSITLLQVYAAFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRKQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNENQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFDLMLDNKINPNIIACTILVDGFMKEGLIGEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCCDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEELETKGLTPTVVTYTDLIIGYLKAGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDKSQKEKDVS >OGLUM07G22000.8 pep chromosome:ALNU02000000:7:24188828:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNNLGGSITLLQVYAAFIRVFIELSMFEDALVTYIEAKKIGVELQLCNFLLKSLVKRKQFMYARSLFDDMKSTGPSPNVYSYSVLMSMYTHGDKPCLEEAFDLLCEMKIRGVKPTAATYGTYLYGLCRAKQVESAWDFLQVLRQRGYPCNSYCFNAVIHGFCNENQVHKAMEVFDEMKKGGVVPDVHSYSILVDAFCKQGALSLGSNLLDEMERNRVSPTLVIYSSLLHGLCKAGKVEEALELFERLKYQGFKHDQITYSIVLHGCCRHMDIEVAYGLWIDMVNHNFVPDVYNYTSLIYAFCRHRYLKEALGLFDLMLDNKINPNIIACTILVDGFMKEGLIGEAFLFLDEVRRFDIVPNLYTYKVIINGLFKGNESDDVWGFFGDMIKRGYIPDVVLYSIIIDGFVKALDLQEAFRLYHKMLDEGTMPNIFTYTSLINGLCCDDRLPEMTPLLKNMILEGLTPDRILYTSLIACYCKRSNMKKAMEIFREMKNGGISPDTFVYTCLIGGYTKVRAMDFAELLMEELETKGLTPTVVTYTDLIIGYLKAGDEKSAYRTYHNMIQRGITPDAKLSCILDLGNDADVDKSQKEKDVS >OGLUM07G22000.9 pep chromosome:ALNU02000000:7:24190971:24193541:1 gene:OGLUM07G22000 transcript:OGLUM07G22000.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSMNNTWGAQLSSFTGISGGFGLASLYSPAPAVSCVYDCCWVTKVGGFMRKFLWMSKDVKSQYMWNGGAFWFLQESRLQGSDPILIMFDASTVNAVLADLFSSVYTLTKSPPVTHEEEDDLG >OGLUM07G22010.1 pep chromosome:ALNU02000000:7:24197147:24201912:1 gene:OGLUM07G22010 transcript:OGLUM07G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRPRIRALLSGRRRTTPPPPLPGKKMPTVLLFLSAIQHCEDNNSRMIEPITQQWYAHLEANGAMPPSCLNRETFASGRQGRRWPMERIEKNGVD >OGLUM07G22020.1 pep chromosome:ALNU02000000:7:24205518:24208781:1 gene:OGLUM07G22020 transcript:OGLUM07G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARPVGVGGERATSFAMACSLLSRYVRQNGAAAAELGLGIRGEGEAPRAAPATMSLLPGEAERKKETMELFPQSAGFGQQDAIAADSAADAREQEPEKRQLTIFYGGKVLVFNDFPADKAKGLMQLASKGSPVAPQNAAAPAPAAVTDNTKAPMAVPAPVSSLPTAQADAQKPARANASDMPIARKASLHRFLEKRKDRLNAKTPYQASPSDATPVKKEPESQPWLGLGPNAVVKPIERGQ >OGLUM07G22030.1 pep chromosome:ALNU02000000:7:24215274:24220481:1 gene:OGLUM07G22030 transcript:OGLUM07G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQIEPILTGNLPPGFDTSTCRSVYVGNVHVQVTEALLREVFQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDRKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSNHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >OGLUM07G22030.2 pep chromosome:ALNU02000000:7:24215274:24220481:1 gene:OGLUM07G22030 transcript:OGLUM07G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQQQQQQKQQAAALMPPSMYAMPPPPPLHHHHHPLLAAAPPQQQSTGLVEGCKLIRKEKSSYGFVDYYDRRSAALAILTLNGKQIVNWAYASGQREDTTDHFNIFVGDLSPEVTDSALFAFFSGYSSCSDARVMWDQKTGRSRGYGFVSFRNQQDAQSAINDLNGQWLGSRQIRCNWATKGASNGEQQTSDSKNVADLTNNLTEDRKEKANEDAPENNPQYRTVYVGNLAHEVTQDVLHRLFHALGAGAIEEVRIQLGKGFGFVRYSNHAEAALAIQMGNGRILGGKPIKCSWGNKPTPPGTTSAPLPPPAAPSVTAADLLEYQRSLALSKMVSSQALMQAQAQQHLKQAMGMGAGVSQAMYDASFPNVGPSQQQLMYY >OGLUM07G22040.1 pep chromosome:ALNU02000000:7:24221429:24222184:-1 gene:OGLUM07G22040 transcript:OGLUM07G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVAKPPAAAPASRRRCFVFVERAAAAAVVGVNAAIAAVDDHRPVPAAAAAAMDDVVGRVARPARPSARAIMEGTHKQISSGGASGGYCTVPWCSICTGNNPFAIAEFLLCCNLCGVPLAGRPSFIYIGEKAFCKEECRSRYVVEEALREAREEKRRAAAAAAASPEKKKEAAAARKGGEECREGSIFFICADDL >OGLUM07G22060.1 pep chromosome:ALNU02000000:7:24227302:24229647:-1 gene:OGLUM07G22060 transcript:OGLUM07G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMN7] MAMSALKFCGECSNMLYPREDKETHTLLYACNSCEHQEPATDTCVYKRVLRKPAGEPKDILKDAATDPTLPRTRSIKCYNCGHPEAAFFQAPTKGEKGLTLYFICCNPSCGHRWRD >OGLUM07G22070.1 pep chromosome:ALNU02000000:7:24239549:24253939:1 gene:OGLUM07G22070 transcript:OGLUM07G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAPLDFALFQLSPRRSRCELVVSGNGRTERIASGSVKPFVAHLRAAEEQAAAQPPPPAIRLQLDRRAAWFSKGTLERFVRFVSTPEVLEMANTFDAEMSQLEGARKIYAQGVAGGADGAESAAAADITKKELLRAIDVRLSALKQDLVTACARASSAGFNPDTVSELVLFADHFGANRLSEACNKFMSLCQRRPDICPHYSVSSTSSQWKSFDDGNVRGSSSSDMSLDETQADQGASSNKSIIGGSVSHIHRSNSQNSVDVPPEPSAVQHPKPTIQQSVEKQEKETDALPAPAPAPAPAGGGSRRLSVQDRINMFESKQKEQTSSSGNSAACTSKVVPTKGEHRRVPSGASMDKLVRRWSNVSDMSIDLSNNDSSSLNEKRENGTPVGTPTSANLEVNSKARADGDANGLKHAVTSCQKDTSDALPLDSTTADAFSSSTLNTTSPSPLSAIASSSPQKQTAPRVEDDMVITSSIENESSFRKEVGASQGKGDVRMSGQAVSSVSTRARVKTSPRPTLPENNVTLSSPPLSQEHVQMTDEETIPIVHEVAVKKEQIVQKDNRGSRLRSKEIHAEADVVGRKDRPSRTTGKISDTRTRATSNPRANFRGSSVRDEAASTEAEVHDVNLQRKSLARKVEDSGRKVAAGSEILPQSDCSIHQGTNLSRQSSSAEQELSLHGGKVKLISDGNAVPLEQTKRPTKGSQDRHDELQKKANELEKLFAAQKLTSSRRGKSTDVQVENTPRVNEVKPPLVLPERIYTKQIVKESITNEFDANELLKMVDTEGYNNNVPQSIISLEESRGKFYDQYMQKRDAKLKEDWKLQGEQKEATIKAMRDSLERSNAEMRAKFSRSSSVPDSTYISRCAHKFPPLQSVIKDKDQGIDSFLVEEEMNSDYLSGDGSSRSADSRKHFSNKVACNQKKSIAPVHRHSSRTVSSGYANRRNLPDNPLAQSVPNFADLRKENTKPSAGLSRAAPRTQPKSFIRSKSIIEESKNISKDQSRKSQSMRKNLSPGELRDATSMNDVIYNWAPSKISNDQVEGVFAYITHTAGSTKSFLRKGNEAHPAVGIAGFAPPMFANTYQNGDDDDFLDQEEDSPDETKDEEYESIEENLRESDFPADSDSENPGINHEFGNSDDPGSENGDVSFPSDAPTLGGSKFNAFAGNMHDTPGEVPASWSTRPHLFAYANDNSDGDAFADSPNGSPSPWNSHTLDQITDADVSRMRKKWGSAQMPFVGPNASQQPRKDVTKGFKKLLKFGRKTRGADGLNDWVSASTASECDDDMEDGRDLAMGSSDDFRKSRMGYPSAYDGFVDTDVFAEQDQSLRSSIPNPPANFRLREDQLTGSSLKAPRSFFSLSTFRSKGGDARLR >OGLUM07G22080.1 pep chromosome:ALNU02000000:7:24248829:24253558:-1 gene:OGLUM07G22080 transcript:OGLUM07G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAPPLSTWLVAARSSTQAECGGADEHHHHHHQQQHQCCVGGGSSTVMFGPRRRRFLGGGARRRGAARSGMAMAVALQPERRTVEKKPDVKQRRVVITGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSTFPTRIAGEIKSFSTDGWVAPKLARRMDKFMLYLITAGKKALEHGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGAGVLVLEELEHAKQRGAKIYAEFLGGSFTCDAYHMTEPHPEEKALADSGVAREEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVAAIQAIRTGWVHPNLNLENPEKIVDVGVLVGSQKERCIVNVALSNSFGFGGHNSSILFAPFK >OGLUM07G22090.1 pep chromosome:ALNU02000000:7:24259783:24261711:1 gene:OGLUM07G22090 transcript:OGLUM07G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPLNIYEHHEIAKKALPKVIYDYINSGAEDEHTLRTCSINPTKKGIEGDWRRIPSFMGMKRTRPEGEYCIIWKNKFMTSRSCGCQQGRHVNNLTGIQHAFTHNRRSNVEPQISTSRMS >OGLUM07G22100.1 pep chromosome:ALNU02000000:7:24263189:24265117:1 gene:OGLUM07G22100 transcript:OGLUM07G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPLNIYEHHEIAKKALPKVIYDYINSGAEDEHTLRTCSINPTKKGIEGDWRRIPSFMGMKRTRPEGEYCIIWKNKFMTSRSCGCQQGRHVNNLTGIQHAFTHNRRSNVEPQISTSRMS >OGLUM07G22110.1 pep chromosome:ALNU02000000:7:24267024:24272244:1 gene:OGLUM07G22110 transcript:OGLUM07G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLEKGIFYPASISNRIYTAIEIGNLAPQTTQFEIRSIGDLNSGPFGTTQTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >OGLUM07G22110.2 pep chromosome:ALNU02000000:7:24268521:24272244:1 gene:OGLUM07G22110 transcript:OGLUM07G22110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNLPVNVREYQELAKKALPKMAYDYINGGAEDEHTLRENIAAYTRIILRPRVLVDVSKIDMSTTLLGYTMRSPIIVAPTGGHKLAHPEGEKATARAAASCNAIMVLSFSSSCKIEDVASSCNAIRFYQLYVYKNRNVSATLVRRAESCGFKALLLTVDTPMLEKGIFYPASISNRIYTAIEIGNLAPQTTQFEIRSIGDLNSGPFGTTQTNGSQLERFARATLDPSLSWKDIEWLKSITSMPIFLKGIVTAEDARRAVEAGVAGVIVSNHGARQLDYAPATIAALEEVVRAVAGAVPVLVDGGIRRGTDVFKALALGARAVMVGRPVFFGLAARGEAGARHVIEMLNGELEVAMALCGCRSVGEITRSHVMTEGDRIRSLL >OGLUM07G22120.1 pep chromosome:ALNU02000000:7:24272644:24274494:-1 gene:OGLUM07G22120 transcript:OGLUM07G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMP4] MAAEGGAAARALSQREQDIQMMLAADVHLGTKNCDFQMERYVYKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDVMVDLFFYRDPEEAKEQEEEEAALVAPDYGVVAEYAAPAADTWGGEWGTDAAAQPAAVPAQAGADWTAAPAPAAGGWDTAAAPAPGWEQGSAPVPAAAPTPNWGE >OGLUM07G22130.1 pep chromosome:ALNU02000000:7:24277070:24278955:1 gene:OGLUM07G22130 transcript:OGLUM07G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAVGPKNCHVIDIQLQGDAAAAAATSSNCVVCSTEPSPEWSTPPAPLSARYAAPPAPTAVVVRATAAGGGDLLTPDALAELAAAAAAAPEADDDWADCRSRVVLYHVARARTFFAGVLRRRPAAAADRRRSAGDVLAELTAAAATTSDGRVGRFWYHACTGTFFALKKHYKAMRVECNQEGPCYPIWLDNVFLPYDAFRSIDRKRWCRPDEQ >OGLUM07G22140.1 pep chromosome:ALNU02000000:7:24281450:24289006:1 gene:OGLUM07G22140 transcript:OGLUM07G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMP6] MGETTGERALTRLHSMRERIGDSLSAHTNELVAVFSRLVNQGKGMLQPHQIIAEYNAAIPEGEREKLKDSALEDVLRGAQEAIVIPPWIALAIRPRPGVWEYLRINVSQLGVEELSVPEYLQFKEQLVDGSTQNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLDALQGALRKAEKHLAGITADTPYSEFHHRFQELGLEKGWGDCAQRVRETIHLLLDLLEAPEPSALEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQQGLNITPRILIVTRLLPDAHGTTCGQRLEKVLGTEHTHILRVPFRTENGTVRKWISRFEVWPYLETYTDDVAHEISGELQATPDLIIGNYSDGNLVACLLAHKLGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTADLIAMNHADFIITSTFQEIAGNKETVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPFTESQKRLTSLHSEIEELLFSDVENTEHKFVLKDKKKPIIFSMARLDHVKNLTGLVELYGRNPRLQELVNLVVVCGDHGKESKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDMRGAFVQPALYEAFGLTVIEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQNDKASALLVEFFEKCQEDPNHWIKISQGGLQRIEEKYTWKLYSERLMTLSGVYGFWKYVTNLDRRETRRYLEMLYALKYRKMATTVPLAIEGEASTK >OGLUM07G22150.1 pep chromosome:ALNU02000000:7:24282225:24289480:-1 gene:OGLUM07G22150 transcript:OGLUM07G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAQGPDTRPTGTRVALTGRVSSPAKGPRIWPIQLGNFFWAEARGERREPPASSSAAGGGGGAACGSVELGGRFARRRWGSLLRRRLGSSPAASPTAASSGHRSPATMYYGWNMQPI >OGLUM07G22160.1 pep chromosome:ALNU02000000:7:24289531:24293641:1 gene:OGLUM07G22160 transcript:OGLUM07G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPNEVTSYSSLSKIDSNNQGGHLSSLVPANIYPAQDYLYEPSLEPDFPSEYDSREDPFVPTRASSNINLKTVLTGLAAIVSGTNKNQDNTLQQQSFSTDVSFLGFDKDGDVNVHPSVCVPSAPPLLETNALQYSAYREVLQADPPEWLPDSSTSVCLQCSLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRQRDPQRVCDACYDRLDPLQGILINYNSNAMQPAKHDVMDWTSTRSWLNLPVGLSMEYEIYKATNTLNRYCQVARLNPEKSIPSSILKGAKGLAVITVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAIASVGLGWGVQFGGELTDFIIVLHDRKAVKAFSSRMHLSLGAGLSAAAGPIGRAFEADVRASEKGSGLCYTYSCSKGAFVGVSLEGNVVTTRSETNLRFYGDAYLTTTDILFGKVEKPRAAQPLYSALDDLFSKMVY >OGLUM07G22170.1 pep chromosome:ALNU02000000:7:24294949:24296468:-1 gene:OGLUM07G22170 transcript:OGLUM07G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGSILGDLHLPVRRTVNAGDLWGDAGKGRDGGDGLKKRKGSSWDFDVDCDDDDDDFEADFEEFEDDYGDDDDVGFGHDDQESDMNGLKLAGFSTTKLGLGGSRKRKTRYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFGTAEEAAMAYDVEARRIRGKKAKVNFPDAAAAAPKRPRRSSAKHSPQQQKARSSSSSPASLNASDAVSKSNNNRVSSAGSSTDATAAAIAIDDGVKLELLSETDPSPPMAAAAAAWLDAFELNDLDGSRCKDNAFDHQIHKVEAAVADEFAFYDDPSYMQLGYQLDQGNSYENIDALFGGEAVNIGGLWSFDDMPMEFRAY >OGLUM07G22180.1 pep chromosome:ALNU02000000:7:24300995:24301513:1 gene:OGLUM07G22180 transcript:OGLUM07G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMQ0] MPSLLLVFLVASSAAGVAPGGGGGELKHIHLYMHDTFSGPNATEGGVVASPFNTTFGQVAVFDNELRAGEDRAASPLVGRYQGFIVGTGRSSPGYLTSATVAFTAGELNGSTLSLEGPFFGFAGTAERSIVGGTGKLRLARGYYLLKLIGKTSPETAVSEIDFFVLMYSSTH >OGLUM07G22190.1 pep chromosome:ALNU02000000:7:24303684:24309731:1 gene:OGLUM07G22190 transcript:OGLUM07G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSTLLQMLLDEESLSDGEEEFNFSAVDIVHGEFDDDEVPKRGGSVLGHAVINRKRLAGHRRLYNDYFSEEPTYLDVQFRRSTKIFKTRKFTTN >OGLUM07G22200.1 pep chromosome:ALNU02000000:7:24313205:24313780:1 gene:OGLUM07G22200 transcript:OGLUM07G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMQ2] MASSSTSSPLPALLLLVLLAASSAAGEDVAAAGGRDKLTRIRVYMHERFAGANATALAVVPSPLGANEAFGRVAVLDDELRDGPDRASSALIGRFQGVVAGTSLPGTAPPASFQSAISLVFTAGEHAGSTLSMVGPVLGFAGAIERPLVGGTGAFRMARGYCVMTAAAAAAASTAVSVVFETDLFVLLHKP >OGLUM07G22210.1 pep chromosome:ALNU02000000:7:24343977:24345188:1 gene:OGLUM07G22210 transcript:OGLUM07G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVARCGGAKKRKGEGLGEMHDDVLERVLARLPPASYFRLRGVCRRWSDAASSPTFLAACGRVPARDPWFLMLSEGEGQERRLPAVAFDAGEGEWARCGGAPGHVMPVVAASGGRVLYRAPDTGELTVANPLTGASRLLPAPPPGAALHAVAMYGSSPYRVVLITGDLPDLSMTVFDSSKNAWDDAVALSRKPDASSPERDAEGGVGGGGDDETVYFLSKSGDVMATNMQRSASRQYSSAVTCGDGGEAVAYFLSNSGAVVACDLSRRAFAELPRILPVYFEYSIDVVACGGRAYVVVLSELLGTASLRLWEFAGGAWRQVAAMPPAMSHAFHGKKADVNCVGHGDRVMVCVSSGEANGCFMCDVPTNRWEELPPCAGAGGEPMDFVAAFSFEPRMEVTV >OGLUM07G22220.1 pep chromosome:ALNU02000000:7:24348679:24352899:-1 gene:OGLUM07G22220 transcript:OGLUM07G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVADRARRAVTSSAASMRAAATRSAAPSAGAAPSAPSPPPAAAAALAMASAMVRAMSTAAAGAAPVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTFFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEAQSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKKFHSFKKIARSMGYTDDDLPLVSFQSVSKGYYGECGKRGGYMEVTGFSADVREQIYKVASVNLCSNVSGQILASLIMNPPKAGDESYESFMVEKDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRIYLPQKAIGAAQAAGTAPDAYYARRLLEATGIVVVPGSGFGQVPGTWHFRCTILPQEDKIPAIISKFKEFHEKFMDEFRD >OGLUM07G22230.1 pep chromosome:ALNU02000000:7:24357628:24359777:-1 gene:OGLUM07G22230 transcript:OGLUM07G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPETRQKKLLRIEKKLVDLGGKIVELAASMVLQSSWPNKQQTAKPATSKNWRNGHSPANHLQITSSSDLLYSAFTKSKDSFLIHMRLSCCMEMFQFQSHPDTERMILAIWGLKLTSATVRFRRIRLQLYLKHEKLASINPWKIAWR >OGLUM07G22240.1 pep chromosome:ALNU02000000:7:24360001:24360807:-1 gene:OGLUM07G22240 transcript:OGLUM07G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTATTVAAATTMMAAVAAVFLAFVLCFYIFVCAKRYRGGAPPAEGGVAARLWFLLGGGGGGGGAAGSGDAAWCYDGGLDEASMAKLPCRVVGKGEEAVDCAVCITELAAGETARVLPRCGHGFHVACVDMWLKSHSTCPLCRCPAVDEPPPAAPPPVVAPPEADPESPNFPTNVLFFGSQDEVSTGGAQSQQQHRSPPTTATPPSPPAPAVDAARVRGGLRRLLGCGGVSPPPPPHQHEHADRDIEMGLAAAGETSSPAKSPHPSS >OGLUM07G22250.1 pep chromosome:ALNU02000000:7:24366733:24368175:1 gene:OGLUM07G22250 transcript:OGLUM07G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPPRELGCTRIRSSEFIGSDFFPFLSISSRSRVHGLTSSPNVGYVLQLLLRWRGQQQIPTIRLKNMLLMTKMKAKHASHLQQYQERKKKAQPFFFLLARCS >OGLUM07G22260.1 pep chromosome:ALNU02000000:7:24370186:24378120:1 gene:OGLUM07G22260 transcript:OGLUM07G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRMRGGGGGAAMAVATGAVMLFLAATLSGVSANTDSDDVNALNVLYTSMNSPSQLTNWVSQNGDPCGQSWLGITCSGSRVTAIKLSGMGINGTLGYNMNLLTSLVELDTSKNNLGGSDIPYNLPPNLERLNLAENNFTGSIPYSISQMIALRILNLGHNHLATTNDMFNQLTNLTTFNLQNNGFNGTIDVLADLPLTDLNVANNQFTGWIPDKLKKIKNLQTNGNSFGSGPSPPPPPYQSPPYKSPPYKAPQSRQPAPPTTTVNNNLSDDGRKHSKLSGGAIAGIVVCLVVVGAIVAFFVIKKKYWSLPRGGDPEQKEPLSPIVSGFKDSLKQMKSIKIISTIGKEELQKTVSMNLKPPTRIDLHKSIDENDVTSKSFTRKISLSSIRTPAYTVADLQVATGSFCADNLIGEGLFGRVYKAKFNDHKVLAVKKINFSAFPGHPSDLFIELVANISRLNHPSLSELVGYCSEHGQCLLAYEFYRNGSLKDLLHLVDDQSQPLSWNSRVKIALGSARALEYLHETCSPSVIHKNFKSSNIFLDNELNPHLSDSGFADLIPNRESQVSDEDSGYRAPEVTMSGQYSVKSDVYSFGVVMLELLTGRKPFDRSRPRSEQSLVGWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQSEPEFRPPMSEVVQLLVRLVQRANMTRMCGSDGHSWRRDGESRDQEP >OGLUM07G22270.1 pep chromosome:ALNU02000000:7:24379735:24385130:1 gene:OGLUM07G22270 transcript:OGLUM07G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNSGVNITRHACLYETSPAYVTDQPRFLNSAVRGTTKLEPHELLKKLKEIEKDIGRTDGIRYGPRPIDLDILLYGKSHISTENLTVPHERIHERPFVLAPLVDLLGSSTDDNVEKSWHSLAKCTGGFFESWDKLGGESIIGTEGIKRVLPVGNRLLDWSERTLVMGVLNLTPDSFSDGGKFQEVEAAIAQTKLLISEGADIIDIGAQSTRPLARRLSADEELERLVPVLDAITGIPEMEGKLLSVDTFYAEVAAEAVKRGVHIVNDVSGGQIDPRILEVVAELGVPYVTMHMRGDPSTMQSEQNLLYGDVCKEVASELYKRVRQAELSGIPLWRIVLDPGIGFSKNSKHNLEVIMGLESIRTEIGKMSLGASHVPILLGPSRKRFLGEICNRVNPTERDAATMVIATAGILNGANIVRVHNVKYGVDTAKVSDALSKGRR >OGLUM07G22280.1 pep chromosome:ALNU02000000:7:24385714:24394280:1 gene:OGLUM07G22280 transcript:OGLUM07G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCRCTFTTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSRGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPMKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKFCVPPPNEHMQQSISTTSSSAQSNPRPKKSSTNQQSAESSVKKASATSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDNGANIQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRP >OGLUM07G22280.2 pep chromosome:ALNU02000000:7:24385714:24389558:1 gene:OGLUM07G22280 transcript:OGLUM07G22280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETPPPFQESAHCDVCRCTFTTFRRRHHCRNCGRTLCHEHSSYHMALPQYGIYTDVRVCYDCFNKSSSRGGVGNAGSPGSVSSAADSFSGLNLGEDDASSPMKNSAFHSAPAVIECKCGMPLCICEAPKPEPVPVKFCVPPPNEHMQQSISTTSSSAQSNPRPKKSSTNQQSAESSVKKASATSSSNSSSFLNLGLMSNDTNDKGPSEYDVTGEGLREAIKSGDIKAVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDNGANIQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRP >OGLUM07G22280.3 pep chromosome:ALNU02000000:7:24392408:24394280:1 gene:OGLUM07G22280 transcript:OGLUM07G22280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHEVISFTCQDVLVVCTSVGWTNEKHMLYLSLLEESFVSQLHDSKYSFKELFNHSPGACIHELSSKGHVKNVEAEQEHMDVDEVDRAESWIKIEHVRSPSENQDDVKVCFSDDNASSTRLIQECYARATSSGQSSTCHLGKNRHSPSRSADYECQKLVCFIYMSDMARFLQENYSCISVEGSDQNFIDEETKGSGEPNGRCSKKRLKSTANTMDDQVVPFVKAELQEAREKDADIHDNSMEADAKGHEPQG >OGLUM07G22290.1 pep chromosome:ALNU02000000:7:24395275:24397639:1 gene:OGLUM07G22290 transcript:OGLUM07G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >OGLUM07G22290.2 pep chromosome:ALNU02000000:7:24395642:24397639:1 gene:OGLUM07G22290 transcript:OGLUM07G22290.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTGEKSTVKGQTRRERPRGARPHGLTKQKRQEIKEAFDLFDTDNSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGSIDYEEFEHMMTAKIGERDSKEELTKAFSIIDQDKNGKISDVDIQRIAKELGENFTYQEIQEMVQEADRNGDGEIDFDEFIRMMRRTGYGY >OGLUM07G22300.1 pep chromosome:ALNU02000000:7:24421571:24426387:1 gene:OGLUM07G22300 transcript:OGLUM07G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDADHVGCMDSPSSIVERSLLDSTKPHMESNSATDAIPEILSNSGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKAVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQEELQQLNNKLLSKSDVKQNLDTNLRRLRSLKLELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLALAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANHGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLRRKKSFFPLMGSLLSRKTRA >OGLUM07G22300.2 pep chromosome:ALNU02000000:7:24421636:24426387:1 gene:OGLUM07G22300 transcript:OGLUM07G22300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELTCSSEESNSKENSVNSSSSPIESSGPFVYYPTPPATKDDAGNSSDHEKPNAHSEKSSQPVILKYSNGLTDHVGCMDSPSSIVERSLLDSTKPHMESNSATDAIPEILSNSGLSEAFNHSVKDEADGSSEDALEVNHLSDNVSAGAETMLTDEMNSKEDRIDQKNVAVKPKMVEEQGAAPESPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMMERRKFIQLELEKVQKEIPLYKEELEAAEMVKSQVVNELEDTRRIIEELKHNLEKAQVEEVQAKQDSELALLRAQEIEQGVADEASVIAKTQIEVAKERHEKAIAELNSVKEELKAVHEQYVTLINERDTAIKRSEEVISVGKDIEKRVEELTLELIASKGSLELAHAAHHEAEERRIGAALEKEEDCVAWDRELQQAQEELQQLNNKLLSKSDVKQNLDTNLRRLRSLKLELATYVQNVISEEAEGLAKEHGPDDAQQISGPVKEALASAQKELEEVRANIEKAKNEAKLFKLAATTLRSEMDNEKSSLVELQQREGMASIAICALEAELNRTKQEIEYVKSKEEDAQERMVELPRILQEAAQEAEDAKMVAFSVQEQVRKAREETEKTKTAAATVNTRLSAVLKEIDASKASKKLALAAVQALQESEEAGDDENSPRGVTLPLSEYYALSKKVHEAEQLAHESVTEALAQVESAKASESNSLERLCEASKRMNEKKEALERALERAERANHGKLTAEQELRKWRADHEQRRKAQEAAKRAVNPLSSSPKRIVEQKDSFYKEFSGNSYEDLVPNRKLRRKKSFFPLMGSLLSRKTRA >OGLUM07G22310.1 pep chromosome:ALNU02000000:7:24457412:24458782:-1 gene:OGLUM07G22310 transcript:OGLUM07G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVFWAKATRFSISDSSTKPLLSLSAWRTARPAFRPENQPPRRRMASRSSPRLIRPSLSVSNCFSQFLKSSTVISREDGMPLIAMAGVCKSFTRVIAHFELGGRECRLQGFFAHSGRHDICSLYFGISYDLV >OGLUM07G22320.1 pep chromosome:ALNU02000000:7:24458505:24458780:1 gene:OGLUM07G22320 transcript:OGLUM07G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRGIPSSREMTVDDFKNWLKQFDTDNDGRISRGELREAIRRRGGWFSGLKAGRAVRHADRDNSGFVDESEIENLVAFAQKTLGMKVTAW >OGLUM07G22330.1 pep chromosome:ALNU02000000:7:24461332:24462182:1 gene:OGLUM07G22330 transcript:OGLUM07G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDADADGRISRRELRDAMRRRGDRFKLGVVQPPPSRQERRRLASSTTTRSSTSWPRPLR >OGLUM07G22330.2 pep chromosome:ALNU02000000:7:24461332:24462688:1 gene:OGLUM07G22330 transcript:OGLUM07G22330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDADADGRISRRELRDAMRRRGDRFKLGVVQPPPSRQERRRLASSTTTRSSTSWPRPRESDALCC >OGLUM07G22340.1 pep chromosome:ALNU02000000:7:24465107:24465571:1 gene:OGLUM07G22340 transcript:OGLUM07G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEHKKKQQQHGGDEAAAAAKERLDRFKAWLMHFDGDGDGHISRRELRDAIRSGGARFATVRAWVNLYLADKNRNGVIDDGEIKHLMDLTEKDLDLSKLQPTPAAARPTATGAPPAMVVVSACQFQTTPLVSRTIDLRKLTAKPVLSSTANN >OGLUM07G22350.1 pep chromosome:ALNU02000000:7:24471581:24472567:1 gene:OGLUM07G22350 transcript:OGLUM07G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMVPVMAPSAPCSPRTAAEHHHLPSYCYFFSSAPTSPTRASYSGEAAAAVGVGEGDGAFDFAFGFSGQLRESTPILAAADELFEGGRIRPLNTPHPSILQLVDDSAYSSPRSPGRRRRIAAAEAAEVSSSSSSQRGRSGRAAPASSSSSASSRSRRATRSLSPFRGGGGGGADDEYPSSPPSPRTSMMRGCGSGSRKWRLKDLFLFRSASEGRATGAGSKDPLLKYTMLSSSASSAAAALHHNPQKLRGGGDGSASMRKGRGSTASASDMPYTVGRAAAEDMRRRTTTPLPFHRNSLFGYLRSNPAIHSISRKLSGSGSNRGKPAA >OGLUM07G22360.1 pep chromosome:ALNU02000000:7:24483365:24493431:1 gene:OGLUM07G22360 transcript:OGLUM07G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain-like transcriptional regulator [Source:Projected from Arabidopsis thaliana (AT4G12750) TAIR;Acc:AT4G12750] MAAVRKMAANGLAAKRENTGTKKSPLQIQMLERFYSEVQYPQSEDIAEYATSVGLTYNQVRIWFKERRRKERRETESLGAHMEKQLSARSNGFRCSSSRSSSFSRSTMYRTVNLQPEDDRYVDKGMSFTGEKHTLRSQVLFPKDYILRKVFRKDGPPLGSEFDPLPHSAPGHLRDTTDDHFYQNQRVIKKRKIVEPTTQRSSLPCGDNGPVRKHGAGKGLMTVWHAMYSHSSKIQDGSNFIDETGCLRSLRPLDDCGRIEDCDDGKLIQVPSSRVTDPKKHPPMECHLSVDESQSPVLQANQVTLVDDEELELRELQAGPNPLRCSAHLSSSGRHGCPLCKDLLSRFPPSSVKMKQPFSTRPWWSSPEMVKKLFQVVRFIYNRFGYMDVHPFTLDELAQAFHDKVREQEFDMNFWIKSLNSLTWVEILRQVLVASGFGSKHHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKKGSGGLKVSELAKSPEIVDLSISSTEIEQLIYSTLSSDITLFEKIAPSAYRLRVDPRIKGKEDSGSDTEDSGSVDDHSDASSGADESDGSHEMSFSEHEHRILRRKRKNGHENVNRCSEIDESYSGERWLLGLMEGEYSDLSIDEKLDCLVALMDVVSGADSAPRLEEPSRVVPSIPRAQPHVSGGKIKKSTRNICQSSDECFNASGSMYGLDSSMHEQSRSLRSRDYVAYSGRNDTSTGVAHQPQVVLLGSDRRYNNYWLFLGPCRADDPGHRRVYFESSEDGHWEVIDSPQELLSLLASLDSRGTREAYLLASMKKRQTCLFEAMKKHYENRDAVQPAMPSDTSHSETSSGDGASPKLSSGDGASPTSDIDNASVPTNPAENMINASSAIAIEVGRRGDEKILKWERSQTFDKWIWTSFYSCLTAVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVSFDLEERYAIHVATCRDPEDAYDVPNHKVLPSQLQALKAAIHAIEAHMPEAAFAGLWMKSSHKLWVKRLRRTSSLAELLQVLVDFVGAMDEDWLYKSSSSVSFCSYLDDIVIYFQTMPQTTSAVALWVVKLDALITPYLERADSDRALGEESVQTRTRACGEIARNR >OGLUM07G22370.1 pep chromosome:ALNU02000000:7:24494266:24498490:-1 gene:OGLUM07G22370 transcript:OGLUM07G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAVTAVLVEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSEESESESEAEREREPEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQTGDLATCIERIVLHWRFKDRDPEKIYPRSSFCINCKGATSVGNKPLSVCIRNYPGDVCRGDTVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRLKALDEKHSRGDAARRVRALSRPDAAGNSKKTTQKGKHQSQAGRPDSGSSIKKGKKRVMQSSNPDLPTKRSRNEESQASSAKQFAGGQNTKTSRARLDRSDRSTNRARMRERKADSKQNLAGGSHAQFGERNAGSGYDMQASHGYLVGVQQSPFEIVRPQRPPPFREVGNASQPHADGRSTACPHPRMGFQHPNAALAGSHPPAYYLGNTPNQFPSFASLNVRQTVHHHPLDQLGASFAPFNVPQTVYRPRPEGGYVMPQFRYSGGSNGFPR >OGLUM07G22370.2 pep chromosome:ALNU02000000:7:24494266:24498490:-1 gene:OGLUM07G22370 transcript:OGLUM07G22370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTRRRAAAAVTAVLVEEERAAAIDISSDSDAGSESGSEEDDEESTSDEDYYIDISDSDGEEGGGAGSEESESESEAEREREPEQSGVDRGEASCRKIADLLRAGRNLDGIKLVDCKAYLKKNGLSQTGDLATCIERIVLHWRGDTVLFKQKVYEKSGKRHSKCIGKRIVAGSVIKESYGKEKQQHTFTIQVFWSKGVGKLPPLYLLLVKGRNLYRMMTFRQPWLNEADRLKALDEKHSRGDAARRVRALSRPDAAGNSKKTTQKGKHQSQAGRPDSGSSIKKGKKRVMQSSNPDLPTKRSRNEESQASSAKQFAGGQNTKTSRARLDRSDRSTNRARMRERKADSKQNLAGGSHAQFGERNAGSGYDMQASHGYLVGVQQSPFEIVRPQRPPPFREVGNASQPHADGRSTACPHPRMGFQHPNAALAGSHPPAYYLGNTPNQFPSFASLNVRQTVHHHPLDQLGASFAPFNVPQTVYRPRPEGGYVMPQFRYSGGSNGFPR >OGLUM07G22380.1 pep chromosome:ALNU02000000:7:24499712:24504144:-1 gene:OGLUM07G22380 transcript:OGLUM07G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 5 [Source:Projected from Arabidopsis thaliana (AT3G50530) UniProtKB/Swiss-Prot;Acc:Q9SCS2] MGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKMTTSIAIEDVRREVKILKALAGHKNLVQFYDAYEDNDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >OGLUM07G22380.2 pep chromosome:ALNU02000000:7:24499712:24504144:-1 gene:OGLUM07G22380 transcript:OGLUM07G22380.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDPK-related kinase 5 [Source:Projected from Arabidopsis thaliana (AT3G50530) UniProtKB/Swiss-Prot;Acc:Q9SCS2] MGDEVGRGHFGYTCAAKIKKGARKGDAVAVKVIPKAKEESFWIEYFPGGKYSEDDAKAVLVQILNVVSFCHIQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRALSKTLTVDELFYLKGQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYDFFEKDGNRAIELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >OGLUM07G22390.1 pep chromosome:ALNU02000000:7:24509520:24514166:1 gene:OGLUM07G22390 transcript:OGLUM07G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPVGAEEERAPTPHGDAGEETKTEELQEYGRMAVFACYGGWSTELSEEVFTVTCPKHRQDWSKNDYVIPKTIQRDMHHAHTWGQRVERNYFSPNKLLL >OGLUM07G22400.1 pep chromosome:ALNU02000000:7:24519495:24520391:1 gene:OGLUM07G22400 transcript:OGLUM07G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEADDHTSAAATVLRELSGTRVEVARAREAAVKAWLSAMPLGEELERLRAELAAAKNRLAATAAEMPPLKSAIASTNDAAVARKEAAGEKRAAAEELRRRVDRARDELRRLRSEAAAAREEIGAVERRVLVRRQAARALQLAERAVAAEAHTLAWSAAAAAEQAARAPGAGADAAHHDVVALPARMLEELRRGVEAEERKAEARVEEAEALRRAAKARRAAAAARLAEARARKREAAEQGRRRDAENERGDNTARRSRSGGTRLAARKLPSWLCAIGRSGGRSQATAMAAHGTNR >OGLUM07G22410.1 pep chromosome:ALNU02000000:7:24522583:24523773:1 gene:OGLUM07G22410 transcript:OGLUM07G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGGGGRGDPARWLEIAGKLLAARDLVGCKRLAERAVDAEPLLPGADELLAVADVLLASQRLLPSGRPDPIAVLHLQPNPDPAEVKRSYRRLSNLLSSNPRPGADAALRCVQEAFAHLSDSSANPAPAPAPPPAPASGGDASAAAADAFWTACPYCCHVYQYQRALMGRALRCPGAGCRRAFVATEIPAAPPIVPGTDMYYCAWGFYPMGFPKAADLSTNWKPFCPMYPWNSSSPQQAPADAGNVSKQNVESNGGNVNINVNTPPSNAQPANKSGASSGVGVGPSRGRIKKTTARKKVGGGFKKNASGGVESGIEPSLLGPDSWNGVAESGSMVGARGININEVAKGTDGSSMMHFGGDEEIGFDLDVDATDAILGNLQHLPFLRDDDNARRLF >OGLUM07G22420.1 pep chromosome:ALNU02000000:7:24526594:24531660:1 gene:OGLUM07G22420 transcript:OGLUM07G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >OGLUM07G22420.2 pep chromosome:ALNU02000000:7:24526686:24531660:1 gene:OGLUM07G22420 transcript:OGLUM07G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >OGLUM07G22420.3 pep chromosome:ALNU02000000:7:24526634:24531660:1 gene:OGLUM07G22420 transcript:OGLUM07G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G24550) TAIR;Acc:AT4G24550] MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVATEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGGAVILDDCNFHESVHLDSFDIDRTLHLIPPDGEFAVMNYRITQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTIVVQMPVPSYTMRASFELEAGAIVGGSEHTLRAKLTFSQESHGNLTKEAGPVNMNFTIPMYNTSKLQFSVIQD >OGLUM07G22430.1 pep chromosome:ALNU02000000:7:24532172:24534181:-1 gene:OGLUM07G22430 transcript:OGLUM07G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLFYGLWQHVFSKAEFHVLILGVHKAGKTTLLEKVKSIYLKEEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAASSFEDAKSALEKVLHHEDLQGAPLLIFANKQELPAAVTEEELARHLHLKELDERPYMFQAGSAYDGTGIKAGIDWLVEEMERSKRTEVLMARTETAEKI >OGLUM07G22440.1 pep chromosome:ALNU02000000:7:24535448:24538103:1 gene:OGLUM07G22440 transcript:OGLUM07G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSSSTRLYYTLRRLEIERRERDSPPRRRPRCLTIKTWVHDVVGVGAGEPAKMDRRRAAELMLLSLSSQRTRMALVGGNHTAARFCTR >OGLUM07G22450.1 pep chromosome:ALNU02000000:7:24538243:24545658:1 gene:OGLUM07G22450 transcript:OGLUM07G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAARDGRAASNGRLLRLVARAALLAVVVLSLVSLRLALSPATAVGDDGELYLPVLLAEVSDRGYLRHGSRAVFVGDAGSWAPFLERHHVAVFRAGKLRELADESVDAVLFDGDAVQLGAVNRVLKLGGVAAGFATSGSSTLQLPDNYKAVFAHRSEAAIAFAVEKTTPPTTSAAVTASPVGPHRKLLALPGSKKDDALAGLEAVLLEPPQRQHRRIIRRLRPRYLPELTGDSLEGYRRRTFIDVAPSRGGGGGGGGAATWFKKHYPRGKRVFDMVRLDAADATEPAASSSAAGIAEWLEGNVREEDYVVVKAGVEAVEEILRRRAAVRRVDELFLDCDAGAGADAARRPYWECLALYGRLRDHGVAVHQWWELMNARPRRERKKRERERESEMMELMRGKRSLWGIGITGLIFYVEVLEGWRLSSDRYTHQGVGCQEQHFDLGFGFCRALQPTVRRRATALWLARELNTPRAPLHAASQRWTGRRWWCSARAGAGCRRRRAATSWAVAAGVSAMVLTALKRSLTQTKDSAAARTLLFPHSTVTVTPFFFFVPS >OGLUM07G22460.1 pep chromosome:ALNU02000000:7:24547173:24547960:-1 gene:OGLUM07G22460 transcript:OGLUM07G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVVVTTHRTVSVKTTPEMGEEEAAAMPTAILLMQCKELKTSVLTPAMVSVLWTHDKYACMLVMRGS >OGLUM07G22470.1 pep chromosome:ALNU02000000:7:24548811:24550637:-1 gene:OGLUM07G22470 transcript:OGLUM07G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLCFGASNILLCAEDSSSVLGLGGFGGGGDEVAAELGCGGGGGFDFFGFGGGAVFPIDSDEFVALLVEKEMDHQPQRGYLEKLELGGLECSWRKDAIDWICKVHSYYNFGPLSLYLAVNYLDRFLSSFNLPHDESWMQQLLSVSCLSLATKMEETVVPLPMDLQVFDAEYVFEARHIKRMELIVMKTLKWRLQAVTPFSFIGYFLDKFNEGKPPSYTLASWCSDLTVGTLKDSRFLSFRPSEIAAAVVLAVLAENQFLVFNKSLGESEIPVNKEMVMRCYELMVEKALVKKIRNSNASSSVPHSPITVLDAACFGFRSDDTTLGSSQSNSNNKDYNSQDSAPASKRRRLNTTPI >OGLUM07G22480.1 pep chromosome:ALNU02000000:7:24556993:24560465:1 gene:OGLUM07G22480 transcript:OGLUM07G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28010) TAIR;Acc:AT4G28010] MARRARLRLRLRLVRALATASASASVSGSPAAPRPTRQAAPYLAVLHRRGRAEAAACLNRHLRLLPLGEATSLLDALPPVRDAVSYNTVLAALCRRGHHDRAGALLRAMSLEPHPACRPNAVSYTVLMRALCADRRADQAVGLLRSMRSAGVRADVVTYGTLIRGLCDAAEVDKAVELMGEMCESGIEPNVVVYSSLLQGYCKSGRWEDVGKVFVEMSEKGIEPDVVMYTGLIDSLCKVGKAKKAHGVMDMMVRRGLEPNVVTYNVLINCMCKEGSVKEAIGVLKKMSEKGVAPDVVTYNTLIKGLSDVLEMDEAMWLLEEMVRGENIVKPNVVTFNSVIQGLCDIGRMRQAFQVRAMMEETGCMVNLVTYNLLIGGLLRVHKVRKAMELMDEMTSLGLEPDSFTYSILIKGFCKMWQVDRAEDLLSTMRDRGIEPELFHYIPLLVAMCEQGMVERARNLFNEMDNNFPLDVVAYSTMIHGACKAGDLKTAKELLKSMVDEGLTPDAVTYSIVINMFAKSGDMEAANGVLKQMTASGFLPDVAVFDSLIQGYSTKGEINKVLELIREMITKNIALDSKIISTLSTSLVASNEGKALLQSLPDFSAEISKGNINSPQELYHSKLSAISNCTREQN >OGLUM07G22490.1 pep chromosome:ALNU02000000:7:24562356:24564607:1 gene:OGLUM07G22490 transcript:OGLUM07G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADAESATARAATAAAAAEHAAYPRLSPEDVAPPPPPVVSPPVSANPYVVSAPSAQPPAKSARENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVHGG >OGLUM07G22490.2 pep chromosome:ALNU02000000:7:24562685:24564607:1 gene:OGLUM07G22490 transcript:OGLUM07G22490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKADAEENLREKLDVVGRRFGDAARKTEGIVGDIWQHLKTGPSIADTAMGRIAQISKVIAEGGYDKVFHQTFECLPDEKLKKAYACYLSTSHGPIMGVLYISTAKIAFCSDSPVAYVTEDNKNQSSIYKVVVPVAQLRSVTPTASQQNPAERYIQVVSVDNHDFWFMGFVNYDGAVKSLQEAVHGG >OGLUM07G22500.1 pep chromosome:ALNU02000000:7:24565627:24566538:-1 gene:OGLUM07G22500 transcript:OGLUM07G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRSSDRRGVPEDTPPAATMRAPEDTQPHRHLRPAGFLSPVSTEPGPRGFNGLWKLMDVQMKDLVIDDSMNLDESMKRMDL >OGLUM07G22510.1 pep chromosome:ALNU02000000:7:24567955:24574313:-1 gene:OGLUM07G22510 transcript:OGLUM07G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNDGRPFRPPDWGPPPHRYHHHHQNQYQYQQRYRPAQPGPRQFAVILLRGGSNLSAPPATEVEALVAGLPSPPPDSLSVSSSGRQAARLAFRSLQAAAAAARELWSLRLEGLHLLTPDVSDPALAAHAAPLIASLFAAHAARLVDSDLVSLTAARSSELAASIQAVKRRLAGHNRIRDFEQLQAQTRTLKAEKELVDAKIDEYKAAMRSIRRALLRGTEDDEEAEEEGLEVFGIGGGGEMNFARLHMIMLRECRRLKEGLPIYAYRRNILDHIFANQVMILIGETGSGKSTQLVQYLADSGLAANGSIVCTQPRKIAAISLAHRVVEESNGCYGDNFVLNSTFLDYQDFSSKIIYTTDNCLLHHCMNDMGLDGISYIIVDEAHERSLNTDLLLALIKKKLLDRLDLRLIIMSATADANRLAEYFYGCQTFYVKGRSFPVEIKYVPDISEEASFNTVPNHLRGSCATASYVYDVVKMVSIIHKNEEEGAILAFLTSQLEVEWACENFSDADAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDSGLAKESRFVPSSGLNVLKVNWISQSSANQRAGRAGRTGAGRCYRLYSESDFSMMEVHQEPEIRKVHLGTAILRILALGIRDAQNFEFVDAPNPEAINMAVKNLEQLGAVKYKCDGFELTDTGRYLVKLGIEPRLGKIMLDCFGFGLRKEGVVLAAVMANSSSIFCRVGTDEEKYKADRLKVPFCHQDGDLFTLLAVYKEWEDEHENRNTWCWQNSINAKTMRRCQETISNLENCLRHELNIIVPSYWCWNPEESTVHDKLLKRIILTSLSGNIAMFSGHERFGYQVISTDQAVKLHPSCSLLIYDSKPEWVVFTEILSVPNQYLVCVTAIDPDALCSIHPMPLIQQLEKLKLQVKVISGLGYNLLRKFCGKSGQNQQKIISLLQEEFRDDRVTVEVDFRNKEVVLFAKEQDIEKVFGIVNDALECEARMLRNECLEKSLFSGKPGDCSLALFGSGAEIKHLELEKRYLTVEVLHQNAHELNDKELICLVDTLISGVANFYKLYGNLQVASDETKWGKFTFLNPEYAEDAVSKLNGMEFHGSPLKVVPVCSSSNRGLPFPAVRAKVSWPLKQSRGLALVTCASGEAEFVVKDCFALGVGGRYINCEVSTRHENCIFVRGIPMHVTEPELYDAFRSTTTRKIVDVHLLRGTPIAAPSASLCAEALNREISSFMPKKNFPAQNFRVEVLTPEENDSVMRATITFDGSLHREAARALEHLQGSVLPCCLPWQTIQCQHVFHSTVSCQVRVYNVISQAVASLLESFRSQKGVSYNLEKNEYGIFRVKLTANATKTIADLRRPLEILMKGKTINHPDLTLSTVQLLMSRDGVADLKSVEQETGTYILYDRQSLNIKVFGLQDQVAAAEEKLIHALLQLRDKKPLDIRLRGRNLPPNLMKEMLKKFGADLEGLKREVPAVELRLNLRQHTLYVRGSKEDKQRVEEMISELVNSTKYNGLLQLPLENACPICLCEVEDPFKLESCGHVFCLTCLVDQCESAMKSHDGFPLCCLKNGCKKQLLVVDLRSLLSSEKLEELFRASLRAFVASNAGKYRFCPTPDCPSIYQVAAADAESKPFVCGACFVEICNKCHLEYHPFISCEAYKEYKEDPDATLLEWRKGKENVKVCPSCHFTIEKADGCNHVECKCGSHICWACLENFRSSDDCYSHLRSVHLSY >OGLUM07G22520.1 pep chromosome:ALNU02000000:7:24576545:24579189:-1 gene:OGLUM07G22520 transcript:OGLUM07G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFFYLLERHSSEPRTSETPRFRKLSREAPMAAGEGKAPTLAAEEHTLPPHEVPVENSSSEKSSDNSVAEVVPEKDAETPAAQDTTSVVEDKSETPEMTASSEKPEEEGSNAATEESNEAEEETIDEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >OGLUM07G22530.1 pep chromosome:ALNU02000000:7:24581630:24592401:-1 gene:OGLUM07G22530 transcript:OGLUM07G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNAAASSAKPIRCRAAVSRAAGQPLEMEEVEVAPPRAHEVRIKIICTSLCHTDITFWRMEGLHPSIFGHEAVGVVESVGEHVREVAVGDTVVPVFTAQCSECPDCLSDRSNICSKLPDVHGFMPRDGTTRFSSASTGEPIRHFLSVSSFVEYTVVDVSHVVKLDANFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGATRIIGVDLNPDKFDVGKRLGITDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSASVMAEAFQSSRMGWGKTILLGVIGSMAPIGIPPQDLLPGRSVIGSLFGGIKPKNDIPMLAQKSWSWRSS >OGLUM07G22540.1 pep chromosome:ALNU02000000:7:24592577:24598995:-1 gene:OGLUM07G22540 transcript:OGLUM07G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMNTAAAKSIRCRAAVSRVAGQPLEMEEVEVAPPRAHEVRIKILCTSICHTDITFWRMEGNHPSIFGHEAVGVVESVGEHVQEVAVGDMVVPVFAAQCSECPDCLSDRSNLCSKLPDVAGLMPRDRTTRFSSVSTGEPIRHFLSVSSFAEYTVVDITHIVKLDVGFPPAMACLLSCGISTGVGAAWKVAAVEPGSSVAVFGLGAVGLAVAQGARMRGAKRIIGVDLNPDKFDVGKRLGMTDFINPNDTGGKTVSEVIKEMTGGGGADYCFECIGSTSVMAEAFQSTRNGWGKTILLGVSGNKAPIGIPSHEILRGRSVIGSLFGGIKPKNDIPMLAQKYLDKELELEEFITHEMGFEEINRAFELLTQGKSLRCIIWMDGAKEIDNGV >OGLUM07G22550.1 pep chromosome:ALNU02000000:7:24609950:24616084:1 gene:OGLUM07G22550 transcript:OGLUM07G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTGRAHQSTTTVGTPTSLSLSLSPSLLPTSLDYSTPFASAPIIKSPPLLSPPPPLPHRTTPRLPPLLSQSTRWSLQFLASLLCWISSSCSASCSALVFGLSGRRAEAERFGRSQESLVRAGELSWEVGMERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITRILSVQYMVPDYVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >OGLUM07G22550.2 pep chromosome:ALNU02000000:7:24609950:24615663:1 gene:OGLUM07G22550 transcript:OGLUM07G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTGRAHQSTTTVGTPTSLSLSLSPSLLPTSLDYSTPFASAPIIKSPPLLSPPPPLPHRTTPRLPPLLSQSTRWSLQFLASLLCWISSSCSASCSALVFGLSGRRAEAERFGRSQESLVRAGELSWEVGMERYEVIKDIGSGNFGVAKLVRDVRTKELFAVKFIERGQKIDENVQREIMNHRSLRHPNIVRFKEVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPRNFRKTITTSSVPDFRGKPRASNSSLKPIVPLEQNITFCSVLTSHFLQRITIPEIKNHPWFLKNLPIEMTDEYQMSVQMNDINTPSQGLEEIMAIIQEARKPGDGSKFSGQIPGLGSMELDDIDTDDIDVEDSGDFVCAL >OGLUM07G22560.1 pep chromosome:ALNU02000000:7:24616597:24619188:1 gene:OGLUM07G22560 transcript:OGLUM07G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMV0] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >OGLUM07G22560.2 pep chromosome:ALNU02000000:7:24616597:24619498:1 gene:OGLUM07G22560 transcript:OGLUM07G22560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMV0] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTAGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKKSEAAEYQKLLAQRLKEQRERRSESLAKRRSKLSSAAKAAATTA >OGLUM07G22570.1 pep chromosome:ALNU02000000:7:24620541:24623779:-1 gene:OGLUM07G22570 transcript:OGLUM07G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMV1] MALATNSAAVSGGAAAAASSAPQPRLAATFLPMRRRTVSAVHAADPAKSNGPVQAAAKASSPSTVAAPEKKPVGLGKWTVDSWKAKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLADAAMGRAFVLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVVKVGRMAGQFAKPRSDSFEERDGVKLPSYRGDNINGDTFDEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQSLTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNSGQIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRRRRMRSGVNSNLPLPPLAF >OGLUM07G22580.1 pep chromosome:ALNU02000000:7:24629420:24637749:1 gene:OGLUM07G22580 transcript:OGLUM07G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKHESCLTNGYLDTRLDWVAGMIAGVRLRDLPTFIRTTDPDDVMLNITMKQCELDAPAADGILLNTFDGLERAALDAIRARLPNTIAREDGRCAAWLDAHADAAVVYANFGSITVMGRAQVGEFARGLAAAGAPFLWVIRPDMVRDAGDGDGEPLLPEGFEEEVVASGSGRGLMVGWCDQEAVLGHRETGAFLSHCGWNSTVESLAAGVPMLCWPFFSEQVTNCRYACEEWGVGVEMARDAGRREVEAAVREVMGGGEKAAAMRRKAAEWKEKAAAAAVAPGGSSRRNLKSLFAEIAGGVQPVINPV >OGLUM07G22590.1 pep chromosome:ALNU02000000:7:24636951:24637922:-1 gene:OGLUM07G22590 transcript:OGLUM07G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRITHRNGAPAAASPRANSPTCALPITVMLPKLAYTTAASAWASSHAAHRPSSLYRLEAREGRYDGGETSGPRGPRRWCWGGGRGWRRARRAPGRRRC >OGLUM07G22600.1 pep chromosome:ALNU02000000:7:24640035:24640487:1 gene:OGLUM07G22600 transcript:OGLUM07G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVSNVGEETTGNEEVEGKETTTKKKKLRKWRMPQEQIDRILSRAPLPFPRPRYREDLAASEAEKEALRQMDAYGTEAVRHMNEYRKEQQEWVKAELAAHGYVECEIDDDDNPCVCGCAYAGHGEDEGEAPRNAEEEPTLEKEKETTD >OGLUM07G22610.1 pep chromosome:ALNU02000000:7:24641897:24653698:-1 gene:OGLUM07G22610 transcript:OGLUM07G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPAAAIGRRSSILSFAAARDRCFSRRFLRAGLRPLAIPLPTGVDDDAGTTVHVWVPANPPRNPLLLLHGFGASATWQWAPYLRPLIAAGYDPIVPDLLFFGASYTRLADRSEAFQARSIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMYPDAVERVVLVCAGVCLEEKDLAGGLFPVAGVGEAADLLVPRRPEEVRRLVRLTFVRPPCIMPSCFLWDYIKVMGSDYIQEKTELLYALISERQLSDLPIISQPALIVWGERDKVFPMELAHRLKRHLGESSRLVVIRNAGHAVNLEKPKDVCRNIIEFFQEGVTEPLNDEKVFRPVCDPLVHASMHDATEDHPVRQAEMWKPLN >OGLUM07G22620.1 pep chromosome:ALNU02000000:7:24648884:24649402:1 gene:OGLUM07G22620 transcript:OGLUM07G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAASKPHVVLIPYPAQGHVTPFLRLAKALHARSFHVTFVHTEFNRARLLRSRGAAAVAGADGLPPPGQPAELDATQDIWAICEATRRTGPGHVRALVERLGREAAAGGVPPVSFVVADGAMGFAVHVTKEMGIPTYLFFTHSACGLLAYLNFDQLVKRGYVPLKGSSNS >OGLUM07G22630.1 pep chromosome:ALNU02000000:7:24654039:24654896:1 gene:OGLUM07G22630 transcript:OGLUM07G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRGEDAHSYSWGCVGSARAPRAATRVARRRRPSTEPQALEGKYNHTRNKPGITLNKPFNPDHANHE >OGLUM07G22640.1 pep chromosome:ALNU02000000:7:24655002:24658602:-1 gene:OGLUM07G22640 transcript:OGLUM07G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidases [Source:Projected from Arabidopsis thaliana (AT1G34640) TAIR;Acc:AT1G34640] MGRDEMLRRSLVALAAAVVVTGVVTASVRKAAATYGFGILAIAGVLLPDWEFFDRDYSQWLTPMPASRRTAAEAAADREHDVWK >OGLUM07G22650.1 pep chromosome:ALNU02000000:7:24668043:24668444:-1 gene:OGLUM07G22650 transcript:OGLUM07G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLASNARGAVTGYPLKLQVVKWSTKEAEPNPEFLRGMLPKIDWPALVAATQALGLPELLPEAPPTDAELSAEGAAADEGSALRRLHRALLEIHIEEGSLVCPDTDRCFPISRGVPNMLLHEDEVRN >OGLUM07G22660.1 pep chromosome:ALNU02000000:7:24669600:24676639:-1 gene:OGLUM07G22660 transcript:OGLUM07G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMKPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSSQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQTAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >OGLUM07G22660.2 pep chromosome:ALNU02000000:7:24669600:24676639:-1 gene:OGLUM07G22660 transcript:OGLUM07G22660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMKPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSSQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQTAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >OGLUM07G22660.3 pep chromosome:ALNU02000000:7:24669600:24676639:-1 gene:OGLUM07G22660 transcript:OGLUM07G22660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETGLAVSLSSVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMKPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSSQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQTAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >OGLUM07G22660.4 pep chromosome:ALNU02000000:7:24669600:24676639:-1 gene:OGLUM07G22660 transcript:OGLUM07G22660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGKNNFSRVASKVSAVLKKLKRVQDYLMLIVLHAQTLSLVRASVSKEKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMKPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSSQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQTAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >OGLUM07G22660.5 pep chromosome:ALNU02000000:7:24669600:24676639:-1 gene:OGLUM07G22660 transcript:OGLUM07G22660.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRKYGLPNQPPDIPQILLEAQNRWLRPTEICHILSNYKKFSIAPEPPNRPASGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEENENFQRRTYWLLEEGFMNIVLVHYLEVKGGKQSFSRSKEAEESAGLSNADSPACSNSFAKKFGATDNCRASSRYHPFVEMQQPVDGVMMNNMLGVSAPRYHGEMQTTTANSDNHFATHYDIAGVFNEAGAGLRGVSKTLHDSVRFAEPYPEYSAEFMKPALYSSNATMESNNLDDNSRLETFMSEALYTNNLTQKEADALSAAGIMSSQAENNSYTDGIRYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSSELPEVADLDIKSSSDAFWSSTETVNVADGTSIPINEQLDAFAVSPSLSQDQLFSIIDVSPSYACTGSRNKVLITGTFLANKEHVENCKWSCMFGDVEVPAEVLAHGSLRCYTPVHLSGRVPFYVTCSNRVACSEVREFEFRDSDARQMDTSDPQTTGINEMHLHIRLEKLLSLGPDDYEKYVMSDGKEKSEIINTISSLMLDDKCLNQAVPLDEKEVSTARDQNIEKLVKEKLYCWLVHKVHDEDKGPNVLGKEGQGVIHLVAALGYDWAVRPIITAGVKVNFRDARGWTALHWAASCGRERTVGALIANGAESGLLTDPTPQFPAGRTAADLASENGHKGIAGFLAESALTSHLSALTLKESKDGNVKEICGLGGAEDFAESSSSQLAYRDSQAESLKDSLSAVRKSTQAAARIFQAFRVESFHRKKVVEYGDDDCGLSDEHTLSLVSIKNAKPGQNDGAHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHQVRKSYRRIVWSVGIVEKIILRWRRKRRGLRGFQPVKQLEGPSPIQQLEGPSQIQTAKEEEEDEYDYLKDGRKQAEGRLQRALARVKSMTQYPEAREQYSRIANRVTELQEPQAMMIQDDMQSDGAIADGGDFMAELEELCGDGDAPMPTIL >OGLUM07G22670.1 pep chromosome:ALNU02000000:7:24683453:24685416:1 gene:OGLUM07G22670 transcript:OGLUM07G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTLITITRSPILPCPFSRRPASPARCFAGARRSRRAAASASFFSPAGGRGGGDAGAGSSLSSAAAAAALGEAAAAGGGGSDSEAILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEAKAVVLTTAEVKAAEDWQKQCGEKLANHLGTCGFESRLQAGDLKFCPRATNECKAK >OGLUM07G22680.1 pep chromosome:ALNU02000000:7:24686504:24690768:1 gene:OGLUM07G22680 transcript:OGLUM07G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLSPLFEKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIHKGKIMETVPRSRGRSRSRSPRRGYRDDYRERDYRKRSRSRDRYGRDRYRERDYRRRSRSHSYTPDDYRRRGRDSVSPARRSLSRSRSRSYSPDDYRKRGKHSQSPVRKSPSAKRSPSMSPARRSPSASPARRSPSASPVRRSPSRSPRRTPSSQEGSPVKRYDEPRRSRSPST >OGLUM07G22690.1 pep chromosome:ALNU02000000:7:24690744:24693745:-1 gene:OGLUM07G22690 transcript:OGLUM07G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGDGMMDDGPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLDMERDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGKFIPGCYTLAVAEELPEEYQSVCQDNNVQYFPPKRLNRRATDIRM >OGLUM07G22690.2 pep chromosome:ALNU02000000:7:24691226:24693745:-1 gene:OGLUM07G22690 transcript:OGLUM07G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGGGGGGDGMMDDGPKYAQIPTSFGHELRACLRCRLVKTYDQFMEQGCENCPFLDMERDHDNVVNCTTPNFTGIISVMDPGRSWAARWLRIGKFIPGCYTLAVAEELPEEYQSVCQDNNVQYFPPKRV >OGLUM07G22700.1 pep chromosome:ALNU02000000:7:24693273:24699646:1 gene:OGLUM07G22700 transcript:OGLUM07G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGQFSQPCSMNCAIHTACRFARATPKQEIENESKAGSWDIKSCNYSRTNTPIWVVTIRYATTNRPTKPSNHPNHNRIITCTPNIS >OGLUM07G22710.1 pep chromosome:ALNU02000000:7:24697250:24700123:-1 gene:OGLUM07G22710 transcript:OGLUM07G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPAPVRKSHTSTADLLTWPEGAAQELAAGATPPPNRRPHQPSEAISKVVFGGQVTEEEFESLNKRKPCSAPKWKEMTGSGIFAAEGEVEEDESANASATPIRTVSKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLQSEDESKMKRQISNAKSKELSGHDIFAPPEDPRPRNSANGSTSQTPGKNAQVSTITFGEADTDSVVKTAKKIPEKKLTDLTGNDIFKGDAAPGTAEKHLSTAKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >OGLUM07G22720.1 pep chromosome:ALNU02000000:7:24739132:24743350:-1 gene:OGLUM07G22720 transcript:OGLUM07G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPAGGGYLLGGQPARCSGGHSIGSNGEGFGGHPATSPPSYHASPVNHSLDTVAQTLSASGPWLRWVGHEPNGPAPLPPETGRAQAPR >OGLUM07G22730.1 pep chromosome:ALNU02000000:7:24744507:24745208:-1 gene:OGLUM07G22730 transcript:OGLUM07G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGSYCADATAATVCHLHCEISSVEVTGLSCPGGGALIFLRCHVPAGGGRTIQIDSRGADVDGGGGARSIDASWRDVASLRCDGSPACVRELVDRRSVVFEVRRRRRRRRIRDMVLGPAMASKLVGRAEVAWRDVVAAAAAADDDDDAAVVVERRVELAAGATAPAVMTMSVRMSVRVSETTAVPAAGLSVRPASSAAARRESINAGCEWSAGGEDDVFAMAAACVAGDAFE >OGLUM07G22740.1 pep chromosome:ALNU02000000:7:24747253:24748017:-1 gene:OGLUM07G22740 transcript:OGLUM07G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTATATATTTAGDSHSLPSSPATSPTAFLDDHPSFLRSPSSSPTFLLDLPGVVPAAAAGAQEQYHLAPAVALHQAADQRKPTRKRPRAASRRPPTTVLTTDASNFRAMVQEFTGFPATPPFPCGGAMPPSSHLLSGEGVLFPSGSGSGSAAAPAFQAMMRASSSPATNTTTSLVLDALAMLAKSRAIATAAAAAAAAPPSSGSDLYGGYGNMLAGAVPFDDGFDAADGESAGAAAGHGLFSSASQFAGESRY >OGLUM07G22750.1 pep chromosome:ALNU02000000:7:24761610:24768750:1 gene:OGLUM07G22750 transcript:OGLUM07G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSTFSGGGGGGWGWSWSLGGAQQKYRVTIVAQLPNNHAKTNMGSNNSTQNGPSNRNGSGGSTGAGTRPMQQRPCRPTANTI >OGLUM07G22760.1 pep chromosome:ALNU02000000:7:24769124:24773208:1 gene:OGLUM07G22760 transcript:OGLUM07G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMX5] MTNHAGFAADDAVTAAVPVQAAQGGRHFPPFLAPSSRLTDCKKAAAHMDLAGAGGVATVPGSWPRHAKPVSGAELDDWMEKHPSALAWFESVAAAAKGKEIVVFLDYDGTLSPIVADPDRAFMSDEMREAVRGVAKHFPTAIVSGRCIDKVFDFVKLEELYYAGSHGMDIRGPTAAASEYNHNMKAKQGDAVTFQPAADFLPVIEEVYQVLKERMASIRGSLVENNKFCLSVHYRCVDEAEWGVLDGKVRAVIEGYPDLRLSKGRKVLEIRPVIDWDKGSALQFLLKSLGYEGRDDVFPIYIGDDRTDEDAFKVLRNMGHGIGILVTKVPKETAASYTLREPSEVKEFLRKLVKIKINGDKGLIGK >OGLUM07G22770.1 pep chromosome:ALNU02000000:7:24780436:24783077:-1 gene:OGLUM07G22770 transcript:OGLUM07G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMX6] MGSVVDAPVVVEGVAENMLGDKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQDAMIKNENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIRKRFKVFVDSSLPVIEHYNAKDKVKKIDAAKPISEVFEVVKAIFAPYAKLGIQLLAPDKISWIRVMEWLNRDKKRTTEGTNGGVLSEKALLECDYISVI >OGLUM07G22780.1 pep chromosome:ALNU02000000:7:24786107:24789627:1 gene:OGLUM07G22780 transcript:OGLUM07G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKGKGKKGECDMAAAAAEAEKKGEGSTVSRGAAGERVVEDSGGGRRTIHLKSKDGKQHDVTEASARLSKTIAGMILAGGGGGGADQCIPTPEIDHETLRVVMQYCDKHAADDADEEDLKEWDEDFVDELDQDALFDVIAAANYLDIDGLLDLTCKRVADTIKGKTPEEIRKEFNIVNDLSKEEEEEIRRENPWAFEQYGEGGVGGGGGDGVGFGGEGVCIGGGGGGEGERQDSSDGEPVEVTEASARISKVIGDKIDAGRGGEAIPLPHVDKKTLKKVIEYCDEHANENSDTDEQKEELKNWDKAFIDELDEDDGSFLFLVLLASSYLKIDGLLDLTYQRVADNSKAKTTEEIRKAFSTIEIELSDKEEEEEQQEEEIRPENV >OGLUM07G22790.1 pep chromosome:ALNU02000000:7:24790332:24791553:1 gene:OGLUM07G22790 transcript:OGLUM07G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDETLGVAAANNAHAPRASATGEGTVAMAAGVITLVPPTWTTSPILSTPSHTWQRSLCLPASRSFTPRTQEILASPLTSTGDQLLRLALVTDKAMAAPPPTAMEDLAIRSSTGIEAWFSGTAWKPVPVAHVFGRIREALPATPAVETPTTCQQIEEALMRLELAAAAARTPGDDTLLPQPMSPAPLAASLPRRLEDLASDAAADKILPAPLPGALLPQEMTLMPATPPPSALEPGSLPERASSPCAIAGLFTSPPPAIIALPPRSTLPCLRPVVLTRKVKLRPRQHSQATRRSERLAKQPARPTMERCQRVLFRRLGILHDEEDASVERVLSQYMAMFDGPLPPHAVATLTAIFGLDDDDECAMDAALLPLVGEGITDVADEVEETLA >OGLUM07G22800.1 pep chromosome:ALNU02000000:7:24807100:24807804:1 gene:OGLUM07G22800 transcript:OGLUM07G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKAVMPMEVEEVEVELEVDQVEDEREAETVVEAVQKAVSDALEKVEMMEEEGEAAVAEAADKLLEEAVEKAVTEALEEALEEAGWDAAEKALSDDLEKVSLEAESARMITLESSDGEAVKVKEASARLSKTIGNIIDDGRGDEAIPLPDVSYKTLKKVVEYCDKHADEKSDTDEQKEELKNWDKAFIDELAEDDDSLVKVIMASNYLKIDGLHNLASQCKTTREQIGKA >OGLUM07G22810.1 pep chromosome:ALNU02000000:7:24810670:24811521:1 gene:OGLUM07G22810 transcript:OGLUM07G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKGKGKGKGKGKEEGEGSAMASKAAGILVVPGAAGVGNALLEAFAKVAVEKKGEVATVSELVRKLVSEGVAEEVAVTEALEHPVFDSPEKVVPEVAEEEESGGRMITLKSLDGKTVKVKEASARLSETIGNLIDDGRRRGDETIPRLFVSYKALMKVIEYCDEHANNKADTDERKEELKNWDKAFIDKLDEDNILFVEVLAASNYLKITGLSKLTDQRFVDPFNTSNKTPDAEETRVNLIPANTSATASTSRPSTSTSSPSTSTSASHSATRRGRGRRRH >OGLUM07G22820.1 pep chromosome:ALNU02000000:7:24812088:24813507:1 gene:OGLUM07G22820 transcript:OGLUM07G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDYSVYLLACGSIRLWDSNPRVWMQISKHFSVLKLTGDVFLFMNLRGNATAAAKAKANTSPSPGCAFNQNT >OGLUM07G22830.1 pep chromosome:ALNU02000000:7:24813778:24814152:1 gene:OGLUM07G22830 transcript:OGLUM07G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNVCADHGIPLPKVDIKTVRKMAEYMNKHFAITDKEELKIWDEGFINELDGDEDKYSLFKIIRASERVGFYGLLDLASDMVARKIKAGKAIDEIRKFLGVEKDFTKEEEEKIRRENAWAFEE >OGLUM07G22840.1 pep chromosome:ALNU02000000:7:24816523:24817044:1 gene:OGLUM07G22840 transcript:OGLUM07G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGAAAAAADAEEKESGSRMITLTSNEGKAFVVTEASARQSATIRSMVDDGGCVDKGFPLPNVDSKTLARVIQYSDEHGNKEPHTVDERAALAKFDRDFIAELDADKAFLYDVTMAANYLHIQGLLALTTQCVADTIKGKTPEEIRTAFGIEYDLTAQDEKEIKEEDTHA >OGLUM07G22850.1 pep chromosome:ALNU02000000:7:24823514:24824125:1 gene:OGLUM07G22850 transcript:OGLUM07G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGEAAAAEGKGEEPVAAAVVEMEGEDAVVPEAVAADAEKAEEKESGSRMITLKSNEGKAFVVTEASARQSTTIGHMIDDDCTREAVPLPNVDSKTLEKVIEYFDEHANNKADTDDEKAALDKFDKDFIGELDGDKTFLFDVTMAANYLHAQGLLDLTTQCIADTIKGKTPEEIRTAFNIAYDLTEEDQEEIKEEDAWAF >OGLUM07G22860.1 pep chromosome:ALNU02000000:7:24827237:24828241:1 gene:OGLUM07G22860 transcript:OGLUM07G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEDAAAAEEEEKESGSSRMIALKSNDEKLVEVTEASARQSRAIANLIDDGCADVIPLPNVDSKTLAKVIPYCDEHGRANSGTDEERAALRRFDADFVGELDKDKASLIDVIMAANYLNIQGLLDITCQRVADTIGSATAEEIREAFDIEDDLTEAEKKEIREENAWAFDGIPCLLVGIWFDGGIPIQEIRLQICNISQWSVLDRSGNAFPAREFALGASLVLLAWIVVRIELRMGKKHRCDFI >OGLUM07G22870.1 pep chromosome:ALNU02000000:7:24829727:24831482:-1 gene:OGLUM07G22870 transcript:OGLUM07G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAVVVVVVVAVLALACGAASDCGSSITALAGCLTYITPGSPEARPAKDCCASVKSALDSPAAVACLCGALGQDFGIKINYTRAAALPAACGGDSSALSKEIFRCLSYWSTRTIFFRFWVRINTGHRHAIFTEVGGGPISGLGDAHCSHGCCTSAFLLLPLKIFTTYDLVSETL >OGLUM07G22880.1 pep chromosome:ALNU02000000:7:24841297:24841620:1 gene:OGLUM07G22880 transcript:OGLUM07G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVVLIGTLVIILLPVTQKGMMEEVPLPPSIKRSEDMIRESLHLLFPFVQMKRSEDDTRTPASSI >OGLUM07G22890.1 pep chromosome:ALNU02000000:7:24843686:24844192:-1 gene:OGLUM07G22890 transcript:OGLUM07G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT4G37660) TAIR;Acc:AT4G37660] MPLFASKFAPLIPRLRRLSTAAAAATATAAGEDPKLSRIADQLLELSPAELDDYSALLRLKLRLSLTSSAASGAAATGAAGDAASGSAGAEEAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRSGLPKEEAEALAAKLKAAGAAVALE >OGLUM07G22900.1 pep chromosome:ALNU02000000:7:24845687:24856072:1 gene:OGLUM07G22900 transcript:OGLUM07G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPVLNDRPIDEWKVTELKDELRRRDLSIKGLKDDLVKRLAEALQGEVIDGGEETVNGTPPVENQTQDGALVLDDASGFQASAEQNVDEGPAEVAAKNEGLVSVIEASTEGGTLVLDDGSGFQASTEQNVDEGPAEVAAKNEGLASVTEASTEDGTLVLADASGIQASTEQNVDEGPFEVAAKNEGLVSVTEASKDSAIATTEVSQEAVVATAEVSPEALVAVTEVSEAPLVDVAGTNENSLGDAEATKEGDPESVPSDSNVVKEVCSHAEVHCEVIAEKTPDNGSSKKMTVDDISSDDTSTNTKLEESSAKGEPCVSIGCEILEQETKSSHLDVILSHADAVASAEEMIAESLILKKDSNENDLMYEKDQKDSDHISTDCKPVQSGPKDQVSEVNQDLESQIKCVSISPDDILVNKNDNVEGNMNAENFDLGLESKQDIVKPSSSNPSSVGDDLQTPDDDKEIPLIDMSLQDTDMSLEKKEGSPDSIYPEKLNLDRSSGDESMEEDAMETKHMDSKTIPDYLEGKSEVTLEHVSSGDESMEEDVMETKHVDSNTKPDYLEGKNDVTLEHVVKEVILLDTVTEGSSVDQKEAISQEKPVMPTEKRKAEDQEVVANNEPIKRQRLWNVDDVKPEQATSKLSGSDASKVVHPPARRRSFGRSDSTARGNSPKERMVPPPQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVCSFWMDPIKTHCYVTFSSVEEALATRNAVYNLQWPPNNGSSLVAEFVDPHEVKAKLEPPPPPPAPINPTAATAPSHQSKANQIMPPHAADTSRGLLPTPPALARLPTFNNAPAREMLPAAPKNPEPPVVVTLDDLFRKTEASPRIYYMPVSEEVVSAKLAARGKGKKAALAEYHAKLRMMKNLRDSLNARIATRLDAKTIILKDCIFDLFIEERGRAEKLEEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIAVPILAAPALHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAITPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >OGLUM07G22900.2 pep chromosome:ALNU02000000:7:24849729:24856072:1 gene:OGLUM07G22900 transcript:OGLUM07G22900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMASRRGSGGNTSSCCALCEGSNLPSCCSACVNSKLAEYHAKLRMMKNLRDSLNARIATRLDAKRKGDEQRNWRVSKAHDIKELRDYLAELKRRNAIEKKKAEQASRDLRERTALLNLAFVTLKKKRNDSSVMHTNAMKAAQMGLMATTSEHLKMQSKAMKQLCRLFPLRRVIKDGEQNDGYNGPYDVICNARLPRGLDPHSVPSEELSASLGYMLQLLSIAVPILAAPALHISGFGASCSRVWQRSSYWSTRQSQSKVYPLFIPRKNNCSVGEDNSWTESGSGNFGVQSVDSDNKSVLDSKRSNSFNFSIASSHSMERHQDLQRGISLLKTSVTAITTYYYNSLGMDVPSNLSTFEAFAKLLHMLSSLKVLRTTLQSNVASRSEKQGQQLNRSIWKASSAISSNSSLMDSVNTAITPSSLDNLLLNSNTSFLYSGKPTKHGGVPDNILEGWDMVERDVLPPPPSRVEDVAQWERAHTFNRTGSKKK >OGLUM07G22910.1 pep chromosome:ALNU02000000:7:24858969:24863170:-1 gene:OGLUM07G22910 transcript:OGLUM07G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G10020) TAIR;Acc:AT5G10020] MAALLVLVVLVVLGGGGAAGDDVAALLEFKKGISDRGRDPVLGSWSPPATPDAGGGGGGCPSGWRGVVCDGGAVVGVALDGLGLAGELKLVTLSGMRALQNLSLAGNAFSGRLPPGIGYLSSLRHLDLSGNRFYGPIPGRLADLSGLVHLNLSHNNFSSGFPTDGIRQLQNLRRIDLRSNSFWGNAGDLLAELRNAEYIDLSDNLFTGAVDLELESLSSIGNTVKYLNLSHNKLQGGFFRNETVGAFKNLEVLDLSNSGIAGMVPQIDAWFSLAVFRVAGNALSGVMPEALLQNSMRLVEVDLSRNGFSGSVPVVNSTTLKLLNLSSNTFSGSLPSTVGKCSSVDLSGNQLSGELAILRAWDGTMETIDLSSNKLEGSYPNDASQFQNLVSLKLRNNLLSGSIPSVLGTYQKLQFLDLSLNALGGPVLPFFFLSPTLTVLNLSGNNFTGTIPFQSTHSTESIALIQPVLRIVDLSSNSLSGPLPPDISNLQRVEFLTLAMNELSGEIPSEISKLQGLEYLDLSHNHFTGRIPDMPQASLKIFNVSYNDLQGTVPKSVEKFPITCFRPGNDLLVFPDGLPAGNYDDTGVAQSQSSHGHKAGVRVALIVGCIGAALLVIFIALAIYVVRSQELCGRNGFRGQITIRDLKLGRLSRPNLFKPPKDNVIPTKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYADPKEVTEPSSSGMAETSAAVPAMEPSPRAVLPTSPHFIDSRFHEEPVAFEVYSPDRLVGELIFLDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAALQSGHVLTVKWLRVGLVKHKKDFTKEIKRIGTIRHPNIISWRAFYWGPKEQERLIISDYVNGDSLALYLYESTPRRYSRLSVSQRLKIAIDLARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMTPSGTAEQILNLGALGYRAPELANTAKPMPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWVQMCNREGRGTDCFDRDITGLEESPRVMDELLAISLRCILPVNERPNMKMVCDDLCSITV >OGLUM07G22920.1 pep chromosome:ALNU02000000:7:24873961:24878556:-1 gene:OGLUM07G22920 transcript:OGLUM07G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AMZ2] MGSMEASTAPENGTASAAAAAASTACNGAGGGGGAAAAASNGSGVERRLRSSAASASWASHLPLEVGTRVMCRWRDQKLHPVKVIERRKSSTSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLETVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDSPKLFFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKSNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >OGLUM07G22930.1 pep chromosome:ALNU02000000:7:24881110:24882528:-1 gene:OGLUM07G22930 transcript:OGLUM07G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G09580) TAIR;Acc:AT3G09580] MHVHAARPVLPATPPKLRRHHAAAFRLTVTRAAAPGSYATGGGGGNKAVIVGGGLAGLAAANHLASMSVPFTLLEASDRLGGRVATDEVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFLGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKLLVGLARLRAASTPDDVILSSPETTTAAHLEKLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFELVFKRLALGDNALPEAGIGAIASQLADRLPAGSVRLNSRAAAIGQSSVTLDTGETVSGELGVIVAVEQPEAEKLLPQLPTIPAKPKKNSERSTVCLYFAADKAAVQDPILLLNGSGKGIVNNMFFATNVAPSYAPPGRPLVSVSLVGSFAGRSDADLAGEVVTELAGWFGAGEVASWTHLRTYRIGFAQPDQTPPTSPAGRDPRVGDGLYMCGDHWCYATFDGALVSGRRAAEALAKDRGLSLA >OGLUM07G22940.1 pep chromosome:ALNU02000000:7:24887405:24894865:1 gene:OGLUM07G22940 transcript:OGLUM07G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:related to KPC1 [Source:Projected from Arabidopsis thaliana (AT2G22010) TAIR;Acc:AT2G22010] MSSPGRSGFSPGLAQLLSSRDEAKVSSQKSHLVSYHDEIGHQDIERTLEHIFDLPHKSVVRPPGPIDVGFVRSVLRNQARKFDLGGKDSRKYDDGVLIVDKGAGQTKVVLDDSSICGKFKSIWGPLLVESSASFSSARANACVWNGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDSDDSYAFDGRRVTKWNNDPEQYGQMWAVGDVIGCCINLDAGEISFYRNGNFLGVAFDGIRKVGPRKGYYPAISLSEGERCHLNFGSYPFRYPVDGFNPIEAPPHFCMFAAYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLRELFRPISEGICAEFFGAAEGSQGCSEYITWGSFITLLTDVFRVREPHDFLCLDQVLELFLQFPGCSSLLQELIVALSCMCKAAPLVLTECPFSGPYPFLALACHILRHKDVMHLWWKSEDFAFSFEGFLTMKIPNKQDLQYLVPSVWWPGSSEDEVSMTLSMTTLSDAIKKIEDKHRELCSLVICFVPPTSPPLLPGSVFRSFVQSSILKARGGDHRMASSGTFNDTVLVSLYTVILHLLSEGFSMDSSGPASSSRINYGTGVGFLHKGGKRRFPTQLLLRNDAYYNVIPRLGGSLNHLLMFHQIDANEDEVQWDEGCMNDEETRITHTTAQKPCCCSITDVTVGLRFKENAKYIPSTSKGPCKSMHEKSAHIASECSAFYFVSQQSQSISLLEETDRQIREKSCMEQVRRLKEARNSYHEELVDCVRHCVWYRATVFSAWKQRGMYATCMWVVELLLVLSDSSSIFHYIPEFYVESLVTLVVKHFDDPRIYKEFMFVFENNREAINRMPRSLLSAFDNRSWIPVTNILFQLCKGFGFASSKNVEPSSSAIFQVLLRETCIHEEELFLSFLNRLFNTLSWTMTESSMSIREMQEKRQVADLQQRKCSVIFDISCSLARILEFFTREIPHAFLMGPDMNLRRLAELVVFILNHIILAADAEFFDMTLRRPGQHQEKTNCTMILAPLVGIILNLMESSSTSGHRELNDVITVFTSMDCPATIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFMHITMSADGNEEQGFSTASNEEEDNCCICFNSDSDTTFEPCHHRSCYGCITRHLLNSQRCFFCNAVVTSVTRIADSRIESRSPLAP >OGLUM07G22950.1 pep chromosome:ALNU02000000:7:24895289:24899871:1 gene:OGLUM07G22950 transcript:OGLUM07G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme [Source:Projected from Arabidopsis thaliana (AT5G64860) TAIR;Acc:AT5G64860] MATLSLPLPHLTQAIPARARARPRARPLRGIPARLLSCRAAMAVAPDKEEAAAVALDKAVKVAVAAPDRAAVAAVGVGEELPEGYDQMMPAVEEARRRRAGVLLHPTSLRGPHGIGDLGDEAVAFLAWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKAAERLLLSRWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKYAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVIGWWQTLPEEEKQTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRMPSSVSFDSLSPEAAKLKELLGLYNRL >OGLUM07G22950.2 pep chromosome:ALNU02000000:7:24895289:24899871:1 gene:OGLUM07G22950 transcript:OGLUM07G22950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme [Source:Projected from Arabidopsis thaliana (AT5G64860) TAIR;Acc:AT5G64860] MATLSLPLPHLTQAIPARARARPRARPLRGIPARLLSCRAAMAVAPDKEEAAAVALDKAVKVAVAAPDRAAVAAVGVGEELPEGYDQMMPAVEEARRRRAGVLLHPTSLRGPHGIGDLGDEAVAFLAWLRDAGCTLWQVLPLVPPGRKSGEDGSPYSGQVKLYPANLAYCVAIIEWNLYGYTLQDANCGNTLLISLEELVKDGLLMENELPDPLDMEYVEFDTVANLKEPLIAKAAERLLLSRWLEDAALFAAIDRSIDALSWYEWPEPLKNRHLGALEDIYQKQKDFIEIFMAQQFLFQRQWQRIRKYAKKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWKAMEAGGFEWWIKRINRALDLYDEFRIDHFRGLAESKVALVGSWRAGPRNAFFDALFKAVGRINIIAEDLGVITEDVVDLRKSIEAPGMAVLQFAFGGGSDNPHLPHNHEFDQVVYTGTHDNDTVIGWWQTLPEEEKQTVFKYLPEANRTEISWALITAALSSVARTSMVTMQDILGLDSSARMNTPATQKGNWRWRMPSSVSFDSLSPEAAKLKELLGLYNRL >OGLUM07G22970.1 pep chromosome:ALNU02000000:7:24904301:24907556:1 gene:OGLUM07G22970 transcript:OGLUM07G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGEDSTFLRRALVFGGVASIISPVHLESFFTRYGVVIATVLLRDATRCERVGMLVFSAESDCLYAAEMEAARPGAYLMISRILRRDFMSKFSVFLVLISELASADECSCFSRFVHVLILSVPVDDEILHNSVNLVKDAADQQSQRCSTAEAFRRMVPWRYLEADAQEDINLRCLLLRMGVRSTATPGDLYGVARSALAATGRACAVRTSPLLDPTAMVSWRLPTRKGVLPVTGDRYLDFYPLRGQLLGRAILISYQKMAFESAPLKKMANSSKSPSREAMVVFDESRDMERCGSRSAELVAFHLGLYDSGLFPLVADGAGGHGVVTRDLLPLFCLSPDFLGRVEAKT >OGLUM07G22980.1 pep chromosome:ALNU02000000:7:24918003:24922787:1 gene:OGLUM07G22980 transcript:OGLUM07G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAASAEAPSASAAKKDRHIVSWSTEEDDVLRTQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSTSAKRALAQTGCLTSGASGSAPPIKQMRPCNSDFKENMTPNMRLVGQDKSTQDSRQPLAIVYQNNQDNMNTMDTQNLVAKTAAKQLLAGEQNCVKHEGNFLNKDDPKIATLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQDLREQTEQIDVENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIQLASPAQTTTPVEANAETPASEKLSEVVKCNNPSCIEFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >OGLUM07G22980.2 pep chromosome:ALNU02000000:7:24918003:24922787:1 gene:OGLUM07G22980 transcript:OGLUM07G22980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPDLTPPAAAASAEAPSASAAKKDRHIVSWSTEEDDVLRTQIALHGTDKYEFFPLPISIDFFFLDLITIFYTVFPFPFGSWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDLLLCEAQKVLGNKWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDELFKENGSLCSSTSAKRALAQTGCLTSGASGSAPPIKQMRPCNSDFKENMTPNMRLVGQDKSTQDSRQPLAIVYQNNQDNMNTMDTQNLVAKTAAKQLLAGEQNCVKHEGNFLNKDDPKIATLLQRADLLCSLATKINTENTSQSMDEAWQQLQHHLDKKDDNDMSESSMSGMASLLEDLDDLIVDPYENEEEEDQDLREQTEQIDVENKQNSSQTSMEVTSQMVPDNKMEDCPNDKSTEDNNMEPCPGEDIPTSENLTEAAIEDSLLQCVEYSSPVHTVIQAKTDAEIAASENLSEVLEHNRLQCIQLASPAQTTTPVEANAETPASEKLSEVVKCNNPSCIEFTSPAHTVPTFLPYADDMPTPKFTASERNFLLSVLELTSPGSRPDTSQQPSCKRALLNSL >OGLUM07G22990.1 pep chromosome:ALNU02000000:7:24923279:24926854:1 gene:OGLUM07G22990 transcript:OGLUM07G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGVDGVSPYSGSASSSGGMDLGLDDSLLRYRRACFSSAATTDLDHRLLVYSPQSAPVYPPPPAGDVVVAGGCRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTATARGIPNKPPADIRSWEDTVIQAARAELSTPKPDEATTPSAQPASAQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >OGLUM07G22990.2 pep chromosome:ALNU02000000:7:24923847:24926854:1 gene:OGLUM07G22990 transcript:OGLUM07G22990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFVAASPAGSRWMAESAARRRQQRRFSSPSLRAYLTPAFDAVAAGVDGVSPYSGSASSSGGMDLGLDDSLLRYRRACFSSAATTDLDHRLLVYSPQSAPVYPPPPAGDVVVAGGCRYDSKRQAGGQTGAPGYQDFNDISSLFSPWQPSADHPTATARGIPNKPPADIRSWEDTVIQAARAELSTPKPDEATTPSAQPASAQAEPIEEDEELIIQTLYGQSNGRRLPIFRSICPE >OGLUM07G23000.1 pep chromosome:ALNU02000000:7:24927281:24928456:-1 gene:OGLUM07G23000 transcript:OGLUM07G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRNNNGGKTAEAAAAASGTANTVVALVLLLVAASAVVFLLSPPTPAATRIGRHGDGGPRREPVELAIGLAGHESWLDAVRAWAKLACLKLRPPEPREKVAWCCSRNGAAVEVRSAQPGGGEGGGEGGPGDGQGGGGARRRVGGDGGGGGSGEDHGEAQEEVVAADIAGGGAPRRRPVTLCSVLSKETVYCKCDVLKMCML >OGLUM07G23010.1 pep chromosome:ALNU02000000:7:24931089:24931580:1 gene:OGLUM07G23010 transcript:OGLUM07G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHHHRRHGHAAAAAEAEEEAPSPRPSSAGCYTFLRSASRRGGAGGGGGYRRLDSSSAAADVIRVEVGTTKGERSVFHVDQAVLEAGPVRRLLAAAGRRTRGGAVAVAVDVLLFEHLLWLQAAGDKGMLGYDDDESAAADLSEIVDFYSQDDDVDDSGHRGF >OGLUM07G23020.1 pep chromosome:ALNU02000000:7:24933457:24935523:-1 gene:OGLUM07G23020 transcript:OGLUM07G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIALYWVYAGGYQLVLHRRPLERYRLHTRAEEEEKNLVALPAVVRGVLLQQLVQAIVAMILFMVTSDSSAVVVQPPIIIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDRILGTHMPYNLVRRKEGGFEARPLRD >OGLUM07G23020.2 pep chromosome:ALNU02000000:7:24933459:24935523:-1 gene:OGLUM07G23020 transcript:OGLUM07G23020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWEGYVSDETMGTFAPIALYWVYAGGYQLVLHRRPLERYRLHTRAEEEEKNLVALPAVVRGVLLQQLVQAIVAMILFMVTSDSSAVVVQPPIIIQAFQFLVAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTVGGAISFLVSGMTPRTSVFFFCFAVLKTVDDHCGLWLPYNIFQSLFQNNTAYHDVHHQLQGSKYNYSQPFFSIWDRILGTHMPYNLVRRKEGGFEARPLRD >OGLUM07G23030.1 pep chromosome:ALNU02000000:7:24938007:24942545:-1 gene:OGLUM07G23030 transcript:OGLUM07G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related [Source:Projected from Arabidopsis thaliana (AT4G39520) TAIR;Acc:AT4G39520] MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRRKDKGGINFTSTVTNTNLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEMIWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMEHELEDEDVVQIIKKV >OGLUM07G23040.1 pep chromosome:ALNU02000000:7:24944110:24945885:-1 gene:OGLUM07G23040 transcript:OGLUM07G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAILRHLIEALLERQPILGEDSTFLRRALVFKGVTSIISPVHLESFFTRYGAVMATVLLRDAVRCERVGMLVFSAEIDCLCAAVMEAARPGAYRTISMVDDEILHNSVELVKDAADQQSRRSSTAEAFRRMVPWRYLEADAQEDINLRCLLLRMGARSTATPGYLYGVARSELAASGRACAVVAYYSSRMAMVVFDESRDIERCGSRSAELVAFHLGLYDSGLFPLVADGAGGHGVVTRDLLPLFCLSPDFLGRVVLLRGPGIAGSQFRNPASPGRGLVELESLRPSSSVRQGNLARRGFILLGLHQDMTEDYLMQYFGDVESCVVYMAKRAALVIFSTPEAAARALRTPMADGRSRDTPRDDQVVDDPLAHEDAQPLIPANYVLPP >OGLUM07G23050.1 pep chromosome:ALNU02000000:7:24959517:24961665:-1 gene:OGLUM07G23050 transcript:OGLUM07G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVRDLIEALLESQPILAEDSTFLRRALVVGGVNSLILPVHLESFFTRYGAVMATVLLRDAARGERIGMLVFFSESDCLEAAESEAARPGAYRTISRVDDEILHNSVELVKDAADQQSRRSSTAEAFRRMADAQEDIQLRCLLLRTWPRSTATPSHLYGVARTTLRATGRVCAVVVYRSRSMAMVVFDESRGIERCGSRETQLFDMHLALHDAGLYPLVADRGGGHGAAMRDLLPPFCRSPEFLGRIVVLRGPGVASLDAGEVCRRVEELHHPVEALLVHRADRLAVVVLRSRDDARALMSESGEFWRRACGPQPITTQLIGAPSPPFFPRQGLFPAVVQLATAHIHAMMLPWPRDSYLQFGRRLVELESLRTPSFVRGGNLPQRATSGVQDGASPEALLRAIRPRRELRRLHDDGGGHDHLLHPGRLLRFRMLLLTRDVSNLMEEAGPLAYEDALPVDLANDLLLPGPGAGV >OGLUM07G23060.1 pep chromosome:ALNU02000000:7:24963391:24964230:-1 gene:OGLUM07G23060 transcript:OGLUM07G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATEATLTSRYGAYYARATMARNILAPVAGTLRGHPSELHRRCILVRCLPSCTVPGELCDMFDMFASIEAIAVSGGLGMAVVVFGVAVVDCMSAAVLRTGAGFFEPVPPLHLGPPLVLAAAMDIKLALHPRRAPPHESGDGEEAMRVSWFSMLEGDRRGRPVKATTAFVASLASRREGPTPGGADGGEPRYYGTSYTYWRKDDTEFFCMEDASRRADDLGDPHKIPDKIWPYQYWFD >OGLUM07G23070.1 pep chromosome:ALNU02000000:7:24972091:24972510:-1 gene:OGLUM07G23070 transcript:OGLUM07G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEARLCTRYGAAAAKATLARNILAPVAGRLSGHPSELHRRCILLRRSRNTGATADLCERFSAYAPVEAVAVSGAPRTAVVVFETVAGWRAAAAATLSASCDTVPPLYFGPPRPRRRPRHQGAYVRVLFPVHHLDLE >OGLUM07G23080.1 pep chromosome:ALNU02000000:7:24976624:24979021:-1 gene:OGLUM07G23080 transcript:OGLUM07G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAAGGGGDGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLGETTRILKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTRMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTAAFPQQQPVIIEQHYAATPRELQLFPESATSEDSEPSQEHGISDHVTRPQARYPTPTATLPVNLFPVFPGRQDQQCSSGTSGTNEEDRIGRS >OGLUM07G23080.2 pep chromosome:ALNU02000000:7:24976624:24978627:-1 gene:OGLUM07G23080 transcript:OGLUM07G23080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRDRVNAAAAGGGGDGRLVQSGIVNKKCDKKAPKRIHKSEREKLKRDKQNDLFNELGNLLEPDRQNNGKACVLGETTRILKDLLSQVESLRKENSSLKNESHYVALERNELHDDNSMLRTEILELQNELRTRMEGNPVWSHVNTRPALRVPYPTTGVFPVQHLPHLPVTTTAAFPQQQPVIIEQHYAATPRELQLFPESATSEDSEPSQEHGISDHVTRPQARYPTPTATLPVNLFPVFPGRQDQQCSSGTSGTNEEDRIGRS >OGLUM07G23090.1 pep chromosome:ALNU02000000:7:24981483:24984229:-1 gene:OGLUM07G23090 transcript:OGLUM07G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex protein 6 [Source:Projected from Arabidopsis thaliana (AT1G26840) TAIR;Acc:AT1G26840] MDMSSIASRLGLSGSRPVVRKAAELRRLCDVTFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSSEFTTVSTSMADLCFDVFGIAKEKKDAKSIKGSRELLDVLPSKRKHDDDSDSSGESSGDDQDELDLPTYKRHKKMEKEAYNDWKSSKKPSDMALEVSSAAN >OGLUM07G23100.1 pep chromosome:ALNU02000000:7:24989144:24992429:-1 gene:OGLUM07G23100 transcript:OGLUM07G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCSGNMLRAVHVLTIAAAVLTLAPLAASYPWQACNGSSNYTANSAFQRNLGVLAAALPGNASTSPDLLANATVGGAPDTVYALAFCPPIDNQNASGCRACVASAFADARSLCPNNRGAHIIYDGCVLTFSGRDFLGAATNPADMERKLRNTENVTVSDVGEFNGAIYEVLNATADYTAAAARRFGTGEISFDPTYPVIYSMAWCTPDMAPGRCRACLADTIAQMHAYFNPNAQGARLVGVRCAARYEIYPFYTGRGMVQVPVSRPPAVPGTPGKKSKTGKILAIVLPIVAALLASAMICFCCWRRRTKATKLSLSYSSRSEDIQNIESLIMDLPTLRIATDNFAENNKLGEGGFGAVYKGSFPGGQTIAVKRLSQSSGQGIGELKNELVLIAKLQHKNLVRLVGVCLEQEEKLLVYEYMPNKSLDTFLFDPEKRKQIDWAKRFMIIKGITGGLQYLHEDSQLKIIHRDLKASNVLLDANMNPKISDFGLARLFGDDQSQETTNRVVGTYGYMAPEYALRGQYSIKSDVYSFGVLLLEIITGRKNSDSYNSEQAVDLLSLVWEHWAMKTITEMVDPYLRSDSSSLDEILRCIHVGLVCVQEDPMDRPTLSMINIMLDGNTVSAKAPSRPAFFTEMLGNINIGSSMYSQPYPVTDSTAKHSTAMSLNDVTVTEPEPR >OGLUM07G23110.1 pep chromosome:ALNU02000000:7:24996403:24999580:-1 gene:OGLUM07G23110 transcript:OGLUM07G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGGARALAAAFVLALLAAAPAASEVVCSGRRYAANSSFDASLQQVTRTLPGNASSSPLLFATLAVAGEAYALALCQGGTSAGSCNFCVAQTMRDGEHACAGDADVAMYDDICTVRFSDRDFLAATTNSPEKLVVAGSQSQKLVPSAAGRFYRLVGELLDATADYAVANSTARFATGDVGVGGYFDGEPFSKIYALAQCTPDLTPAQCGACLASAMEEMTRQVFAASSPGGKVIGERCGLRFEVFSFYTAKRNQPRKKRLPTKTPLIENTEDLEDFESIFIDLSTLQSATSNFDESNRLGEGGFGVVFKGVFPDGQEVAVKRLSNCSNQGLGQLKNELSLVAKLQHKNLVRLIGVCLEEGEKVLVYEYMPNKSLDTVLFDPEKSKQLDWGKRYNILYGIARGLQYLHEHSQLKIIHRDLKASNILLDSDMKPKIADFGMAKIFGDDQTRNATSRVVGTLGYMSPEYAMRGQYSTKLDVFSFGVLVLEIVTGRRNKIVDPSLGNHYPRGDILKCINIGLLCVQQNPVDRPPMSAIILMLSSGTVTLQAPYRPAYIFGRNRSYTETMDVPLPSGPHSSITELEPR >OGLUM07G23120.1 pep chromosome:ALNU02000000:7:25013933:25015051:-1 gene:OGLUM07G23120 transcript:OGLUM07G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPCCDKDGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQGGGAGGDGDGGAAGDAVSPKPAAQRPASSSKGQWERRLQTDIDMARRALREALTSLDDVKPPHQPDAANAAAGGGGATTGAAASAGADSPAASSTSGASQCSPSSAGYVLTTENISRMLDGWARKKGGGGGRRAAGSGPATPGATESASGSSEASEVSYGGTALSAAAAPASAFEYETKPTVTAAAAADAGDETQLSAIESWLFADADGIESGSLLDAAMDYTF >OGLUM07G23130.1 pep chromosome:ALNU02000000:7:25037006:25038791:1 gene:OGLUM07G23130 transcript:OGLUM07G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKTMKVVFSLVLLLLPLASTSAVEVKFDFMYFVQQWAPSYCSTAPHECEYEPRPPPNNFTIRGLWPSYEEWRPEYCNISDRLDPGQIEDLVNPLNQSWPSLLRNETNLELWSHEWEKHGTCSNLSQHGYFAAALALDTLTNLTGILADGGVVPSDEKTYTLGEISDALARGTGFTTYLRCSQDERNYGETLLYEVLQCVDRSGERLVNCTAPWVTRCLDPDKIKIPAWFYGQ >OGLUM07G23140.1 pep chromosome:ALNU02000000:7:25051328:25055368:1 gene:OGLUM07G23140 transcript:OGLUM07G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRTMKVVFSLALLLLPLASAVEAKFDFMYLVQQWPDSYCSTHKYCIVKPPSHFTIHGLWPSYNKRPGPHYCKNYEAYLDPKHIENLETLLNKKWPSLTLNQNNLEFWSHEWRRHGTCSKLGQHRYFKAALKLAKLHSLSKILAGAGIVPSNQNTYTFREISDALAWGTGLTTYFKCSKNKAGDTLLSEVFQCVDRYGEKLINCTPPFKKKAHSCVNVNKIKLPTWFHH >OGLUM07G23150.1 pep chromosome:ALNU02000000:7:25059592:25060971:1 gene:OGLUM07G23150 transcript:OGLUM07G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVAVAALLLAAGWPGSFCDTQAGCCFPDTGKPAAEFGIHGLWPNYAKCRPAAAAVADDDDDVVVEMVVDGGAAVERHQRRRRQKCWPEYCNDGNKLRPWEIKDLVAELDANWPTLSCKGGKSFEFWSYEWKKHGTCSGMDQHGYFAAALELKKRHDLAAVLADAGIVPSDDESYSLDSIRGAIAAATGAVPNLECNRDAAGETQLFQVYQCVDRSGKKLVDCQLPMQGKCRDKVKLPTF >OGLUM07G23160.1 pep chromosome:ALNU02000000:7:25062169:25065668:1 gene:OGLUM07G23160 transcript:OGLUM07G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALILLKRAKDAIGLASTIMTGAQLRAQTPSEISLNTIDQTVRMYVSTFVKTAEDTYHRKVNKATVLSFLCALQGLAAVSHILFEDALASVRSIQPDYSPKRDVEAINRDYQQEIQCLINKFGEASTTEALEKVSSYVAIMTTLRTSTLAHVSGRNIAACDAAPPDDRQNE >OGLUM07G23170.1 pep chromosome:ALNU02000000:7:25067603:25068817:-1 gene:OGLUM07G23170 transcript:OGLUM07G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMSTLNLSSSPLTKQQLRFGASTLSAEHVSGFSSARPAPSLCCSSSHWRAVKLRPRRRPWRRAGNRVVAQAGGYKVAILGAAGGIGQPLSLLVKMSPLVSALHLYDIANVDGVTADLGHCNTPAKVAGFTGKEELAGCLAGVDVVVIPAGVPRKPGMTRDDLFGINAGIVRELVEAVADHAPAALVHVISNPVNSTVPIAAEVLKRKGVYDPRKLFGVTTLDVVRANTFVAEMKGLPLADVDVPVVGGHAAATILPLLSKARPKTAFTDEEVEALTRRIQNAGTEVVEAKAKAGGGSATLSMAYAAARFLEASLRGLDGDADVYECSYVQCQAVPELPFFACRVKLGRDGVEEVAAAGAELRGLTEFEARALEALKPQLKKSIDKGVAYAQQQPATVAMG >OGLUM07G23180.1 pep chromosome:ALNU02000000:7:25071777:25076955:1 gene:OGLUM07G23180 transcript:OGLUM07G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGEIGGAAVFALAAAAALSAASSLGAVDFRRPLAAVGGGGAFEWDGVVPWLIGVLGGGDEAAAGGVSVGVAAWYEVWVRVRGGVIAPTLQVAVWVCMVMSVMLVVEATFNSAVSLGVKAIGWRPEWRFKWEPLAGADEEKGRGEYPMVMVQIPMYNELEVYKLSIGAACELKWPKDKLIVQVLDDSTDPFIKNLVELECESWASKGVNIKYVTRSSRKGFKAGALKKGMECDYTKQCEYIAIFDADFQPEPDFLLRTVPFLMHNPNVALVQARWAFGKDFIPNFAVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTTAINEAGGWKDRTTVEDMDLAVRASLNGWKFIYVGDIRVKSELPSTYGAYCRQQFRWACGGANLFRKIAMDVLVAKDISLLKKFYMLYSFFLVRRVVAPMVACVLYNIIVPLSVMIPELFIPIWGVAYIPMALLIITTIRNPRNLHIMPFWILFESVMTVLRMRAALTGLMELSGFNKWTVTKKIGSSVEDTQVPLLPKTRKRLRDRINLPEIGFSVFLIFCASYNLIFHGKTSYYFNLYLQGLAFLLLGFNFTGNFACCQ >OGLUM07G23190.1 pep chromosome:ALNU02000000:7:25077440:25081336:-1 gene:OGLUM07G23190 transcript:OGLUM07G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT3G28970) TAIR;Acc:AT3G28970] MAWPTGCLGFEAAAAVCPAAFQAYQKYYDIVSAFSNVNTREGLAELSQVIDGMEGLRDAIFSDIPKLMSALDLDDAHRFSIFYDFVFFISRENGQKNISVQRAVGAWRMVLNGRFRLLDRWCNFVEKYQRYNITEDVWQQLLAFSRCVNEDLEGYDPKGAWPVLVDDFVEHMHRIYNSCDCSSAMESQLNISNTFGGINPLPGSKRKCPTRLKPNEDVDLSDNFTRSVHLAPLKRLKESPVITKYGVWEYNAGTPLVHSPSDYCEDANLHNPRGCLQNSPSIVEDCLSKGFEGCISMKCSF >OGLUM07G23200.1 pep chromosome:ALNU02000000:7:25083337:25085968:1 gene:OGLUM07G23200 transcript:OGLUM07G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN25] MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNNVEDDDGA >OGLUM07G23200.2 pep chromosome:ALNU02000000:7:25083337:25085968:1 gene:OGLUM07G23200 transcript:OGLUM07G23200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN25] MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNDIANGLMSASVSTMSKTTTEHEQRKMPDVAVPALVLLTATFSHVCNSVMADWFVSRQFIPAPK >OGLUM07G23210.1 pep chromosome:ALNU02000000:7:25087090:25087647:1 gene:OGLUM07G23210 transcript:OGLUM07G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANGVDLATAGLLRLLAMGMGPSVQIPGEGGVPVMMVQHIIIGDDGGDLFSGGLGGGVPPASKAAIASLKEVKAGEDGEGGDSLGDCAICLDAFAAGKEMPCGHRFHSECLERWLGVHGSCPVCRRELPAAEQQPPEEQQSGGADAGERRRPRAVVVSYVVLGDGGRGGERGEPWNIRIEDVD >OGLUM07G23220.1 pep chromosome:ALNU02000000:7:25089192:25090995:-1 gene:OGLUM07G23220 transcript:OGLUM07G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNITIIYCYSTSSLHKRTHKSHGEIGVREEFAGDLARRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >OGLUM07G23220.2 pep chromosome:ALNU02000000:7:25089192:25090628:-1 gene:OGLUM07G23220 transcript:OGLUM07G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRSLLVILLAVSLLLVASSDAARFTRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >OGLUM07G23220.3 pep chromosome:ALNU02000000:7:25089192:25090628:-1 gene:OGLUM07G23220 transcript:OGLUM07G23220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSASGRRSNRMAMMVVEAPARPGVGVSGSAEDDVSTSDAIVEEMFGRMALQTTDYPPSGPNDRHTPKAPGT >OGLUM07G23230.1 pep chromosome:ALNU02000000:7:25096774:25097415:1 gene:OGLUM07G23230 transcript:OGLUM07G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPSRPLLRRVLSFREPLLLIPYIVGFIAAASSGFFYSYSSFLHSFARSLVPAAAAGAVVKCAYLSAAADSDEPCSSCGGEDDDVVAVVEEEEAAHLSKVEVEEIMERIGLGVSGHGEGLKARMGRDEVSRLFDADEPSFAEVRRAFAVFDGNADGFIDADDLRAALARLGFREDAAACRAMIAASCGSVDARMNLFQFVKFLETGLC >OGLUM07G23240.1 pep chromosome:ALNU02000000:7:25101202:25103814:1 gene:OGLUM07G23240 transcript:OGLUM07G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLFSTSLTPTFLPAVPCPKPAPPASACFPCALPPRAALAAPLRRRLSPVAVAVSSEVEADEEEGGAESEGEFAEDLKVFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRQTGRSRGFGFVTMSTAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDFAPRAPRGGGSNFDSSNKLYVGNLAWGVDNSTLENLFSEQGTVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGVDLDGRQIRVTVAESKPRRQF >OGLUM07G23250.1 pep chromosome:ALNU02000000:7:25104592:25105458:1 gene:OGLUM07G23250 transcript:OGLUM07G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTFTRHSLVVIVGALLLQFFFLAGLAPAAAAAGPTGQVTVFWGRNKDEGSLREACDTGLYTMVVMSFLNVHGARGGEYRLDLAGHPVGGIGGDVKHCQRKGVLVSLAIGGGAYSLPTNQSALDLFDHLWNTYLAGGGKGAVAAARPFGDAVLDGVDFFLDRATPAERSDVLATELAKRSKPPRRALHLTATTRCAFPERGGDNCTVYWEDAWGRWTAAYPRSRIYFGLPAAPAAEQDGRSGYVYPKTLYYRYVPELQKAANYGGFMIWDRYSDKQSGLSVYVKNWA >OGLUM07G23260.1 pep chromosome:ALNU02000000:7:25106745:25117318:-1 gene:OGLUM07G23260 transcript:OGLUM07G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFDANDDDDDHVHVENAAAAAAACSSSPARPTTAQPHLTNDDDHHSHVIDIDAAAAAAGGRRSCAVCMETLEWAAIGPCGHGEVCAGCALHIRVFQNNRLCCICRSPCRVVVVTNPDAIAAAGSGGGGGGWPAVSSRLPRSRTGGGYSQVEGRVGEYWYHAGMEAFFDDERQYEAAKAAAQLGPPPPPPPPPPPPPPQPSGDANENPPPPPPPLRTGVSNSDGRRARRDPPDQQCDGTAIGLFGLFIIGVFFVAGILHGNLATYDQLEPSNLSGTVTRTGVLALPSPQFTMPPLDGNGGGGAAGDHTHDVVIDVGATTTSSCVVCMEPLEWAAIGPCGHGEVCAGCALHIRVFQNNRRCCICRAPCRVVVVTSHGAIVAAAAAGGGWPAVSSRLPRSRAAAGRGGWPAVSSRLPRSRAAAGRGGGYSRREGRVGEYWYLAGMGAFFDDERQYAAAKAAARLGPPPCGDANENPPPPPPTTTTTTTRTRTGVSNSDGQGSILTQREKMEALVFILVVVVILVVMAFAWWLEGRCGGPATYVVRVGCVVLDDAGESLGWPLVGLTTAAPLGIVPLLGGVLDGSAAGDHSHVVIDVGAAGDCDSRRRSSSSCAVCMEPLEWAAIGPCGHGDVCAVCSLRIRVFQNNRRRCICRAPCRVVVVTKHVDAIAAAGGGGGWRAVSSRLPRSRTGSGGGYSQVEGRVGEHWWYHAGMRAFFDDERQYAAAARLARRRRRRVGTATVGAVGDDDHRPPQARSTGVGNEQHRISRRDPPDEQEQHVLQFVEAVLIGLLVVAAVGLVVLIICVLL >OGLUM07G23270.1 pep chromosome:ALNU02000000:7:25117803:25118434:1 gene:OGLUM07G23270 transcript:OGLUM07G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHVDGECPARGGTAAASARAAPPSSSRRRRRYCNGVYLGFLVFIVLFGFIMGALVAESMGNRRGKVVVMVLCPLTFVFFGACMFHMEWTTAVINHELEMMV >OGLUM07G23280.1 pep chromosome:ALNU02000000:7:25122294:25132885:1 gene:OGLUM07G23280 transcript:OGLUM07G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MPWRRHRVHALRLPNPSRLLARRPRLPSPRLPLAPISILLAEVAPASSSCSRRIRSPNGNNHAQTNPLLKPLIKPLCPETRRKVEKLSRYYLLSFSSARRDPSPMAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPAELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKVKVIFFSSTGERAAPFLRQAAQEYSTYASFAFVLWKEDESQIWWNSLGVESAPSLVFLKGPGTFTKSEFTNIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEASKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >OGLUM07G23280.2 pep chromosome:ALNU02000000:7:25122294:25132885:1 gene:OGLUM07G23280 transcript:OGLUM07G23280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18700) TAIR;Acc:AT1G18700] MPWRRHRVHALRLPNPSRLLARRPRLPSPRLPLAPISILLAEVAPASSSCSRRIRSPPLCPETRRKVEKLSRYYLLSFSSARRDPSPMAIPAEVRRYWLPILLAAAGFLFQLLVLPISFPPTHYYALGIERFAPVERVVEAYEQLSKEWLAETNQQTTVDIIKIRYAYELLTNPILKRDYDLFGLDHHKDVLEKVKEQYQKEHFLKIDLPLLKDSSVQSTDYAFNVLGYESFMHTIADEHPLLIMVYSKGSPRCAQFIEKWKQIGSRLDGVANTAMVELGDVQLTGHFAEQRFSKQPFFRNGLPTLVAYPADCRSPSCYMRYPAELFVDSVVDWVATSVVGLPRILYYSKETLGPEFIGKSGHHKVKVIFFSSTGERAAPFLRQAAQEYSTYASFAFVLWKEDESQIWWNSLGVESAPSLVFLKGPGTFTKSEFTNIMEEHKHQELPQLRSDTSLELGCDARGYSRAGNDIAIWYCVIVAGRPGVELSKRRQILRKAQDQLFSDVDASTSENVDSSVVVSSAAAALKDDRLTFVWLDGEVQKKLCAFYLATDYSGACGPGGFGDDNDKSELFIVRFQRNATFEALKAEKTNNLMEALQRQHTADASQLVARYKGPDEIEEASKVPDLVPEETSKEWIKSTKSIRSAGNSVKQRIQSSGFHFGDYLTDPRIGPALLMSACISMGIIWYKSNQRTQTTEDGAPPKDKTKKRRPRPKLSTSLFGQPEYPADADPEPKDARQWEMTDSDSD >OGLUM07G23290.1 pep chromosome:ALNU02000000:7:25133252:25138846:1 gene:OGLUM07G23290 transcript:OGLUM07G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWALLAAAAVLLLLSAAPRTARALRFDLESGHTKCISDEIKVNSMVVGKYHVVGPDPNFPDNPLPDSHRISLRVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >OGLUM07G23290.2 pep chromosome:ALNU02000000:7:25133252:25138846:1 gene:OGLUM07G23290 transcript:OGLUM07G23290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRPGSPAPRWALLAAAAVLLLLSAAPRTARALRFDLESGHTKCISDEIKVNSMVVGKYHVVGPDPNFPDNPLPDSHRISLRVTSPYGNSVHYAENVPSGNFAFTATEAGDYLACFWAPDHKPPVSIGFEFDWRSGVAAKDWSNVAKKGQVDVMEMELKKLEETIKSIHEEMFYLREREEEMQNLNKQTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >OGLUM07G23300.1 pep chromosome:ALNU02000000:7:25136197:25141794:-1 gene:OGLUM07G23300 transcript:OGLUM07G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase [Source:Projected from Arabidopsis thaliana (AT1G14000) TAIR;Acc:AT1G14000] MIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQFPALFMFLENQYSGYSLQEIFNGCSFCDLQSKI >OGLUM07G23300.2 pep chromosome:ALNU02000000:7:25136823:25141794:-1 gene:OGLUM07G23300 transcript:OGLUM07G23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:VH1-interacting kinase [Source:Projected from Arabidopsis thaliana (AT1G14000) TAIR;Acc:AT1G14000] MIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFSKAVIIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALAPATAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPFSNYEPYEAAKYVGEGHRPPFRSKGFTNELKELIELCWSGDIHLRPSFLEILKRLEKIKEYLAAHDHHWHLFSQ >OGLUM07G23310.1 pep chromosome:ALNU02000000:7:25147447:25150955:1 gene:OGLUM07G23310 transcript:OGLUM07G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAASTARGGHRPWTAASRGVSARRCSVAPAQVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRIQPVNRVRAMCLFVCLFGPEGAAQTEWELRGLERDYAPASFDLGVRGSLYADRSRGRRACRLRGHLEISIGCVLQRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKRTRERKIGDASSSAQSARRAPDMTLSAASKGVSAPPGLPVPGNVGDDPLPNPGVNGPTADGAFAAGVVPPAMELPMCYYVSETEQMVDRFLQTFRECCPRERGKMLTFLRRRIALNLKPRKN >OGLUM07G23310.2 pep chromosome:ALNU02000000:7:25147447:25150955:1 gene:OGLUM07G23310 transcript:OGLUM07G23310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAASTARGGHRPWTAASRGVSARRCSVAPAQVRARGPTEWWPAAARRRRAPRRHAVAAKAGAADARPSSSSDAVSYSSSISTDMPLYEPTGVSFDEYLLDRARVFRAMFPDESRSQRLSDEEWRVQMLPLQFLLLTVHPVVVMQLRHRDGVLDLRITEWELRGLERDYAPASFDLGVRGSLYADRSRGRRACRLRGHLEISIGCVLQRLAEKMKQDVDVGIVADFQRFRREKAAAAAAATGKRTRERKIGDASSSAQSARRAPDMTLSAASKGVSAPPGLPVPGNVGDDPLPNPGVNGPTADGAFAAGVVPPAMELPMCYYVSETEQMVDRFLQTFRECCPRERGKMLTFLRRRIALNLKPRKN >OGLUM07G23310.3 pep chromosome:ALNU02000000:7:25143208:25147450:1 gene:OGLUM07G23310 transcript:OGLUM07G23310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAVTAIPVFVRAENDTDTDKLQLVKKCPLHYKNQREGKANAGGDRQRLPSLQWLGADVTTHRSPLVGRSDLDLIRSDNDQSDYPTDTRLGDPTRRVALRNRVTNVRSQFIGHVTYVPPCPDPSRGGNE >OGLUM07G23320.1 pep chromosome:ALNU02000000:7:25150583:25156545:-1 gene:OGLUM07G23320 transcript:OGLUM07G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLDGASGDVVVWAAKVEVAGRESVAEIKAGALGGFLEQYNVGQKIEKMQHSSVRLSPRRRIRGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGGPCYKANNLPAFRAMRLLRKHIGSSMAGGTTPAANAPSAVGPFTPGFGNGSSPTFPGTGSPGGALTPFDAADSVMSGARRALCALLLASPIFLSLVL >OGLUM07G23320.2 pep chromosome:ALNU02000000:7:25150583:25156545:-1 gene:OGLUM07G23320 transcript:OGLUM07G23320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLDGASGDVVVWAAKVEVAGRESVAEIKAGALGGFLEQYNVGQKIEKMQHSSVRLSPRRRIRGGQAEAIGPQISPATEGEGKRRSLATGMFCVALPNADPTALQEGLNWACGQGHANCAAIQPGGPCYKANNLPASMAGGTTPAANAPSAVGPFTPGFGNGSSPTFPGTGSPGGALTPFDAADSVMSGARRALCALLLASPIFLSLVL >OGLUM07G23330.1 pep chromosome:ALNU02000000:7:25156626:25159832:1 gene:OGLUM07G23330 transcript:OGLUM07G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGYSYSPSPPPRSYRRRASSPIPRDRYGGRGRDLPTSLLVRNLRRDCRPEDLRRPFGQFGRLKDIYIPRDYYSGEPRGFGFVQYYDPDDAADAKYYMDGQVILGREVAVVFAEENRKKPSEMRSRDRISGSRGRSYDQRYSRSPRYSPPPRGRSPYRSPSYSRSPSPRYARRRMRERSYSPVESRSRSRSPVEEGYGGGSTRRERSLSVSE >OGLUM07G23340.1 pep chromosome:ALNU02000000:7:25162305:25164235:1 gene:OGLUM07G23340 transcript:OGLUM07G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGSCSGHEEEDVLLDGHAYIGNKPNHTTAVDFTRNFERLVASFWRAPPPLPSTLYVYSPDISDPAAFSEAPRIIRMVRGFILFRVVIRSRHRFCIPMEDFDYFVYNVHGRALYRITNPAPLSFHDDVVGLLPRPFRTSARCSVAALVPTPNPSVFALHVFHSDIGRWASTQVVLKDPQQDFPIKIPRNARRLLSHYPSTVITIGGEGGTMGWVDLWRGILLCDILSPDPVLRGVPLPLPRVLFKPDGEISSDHFGCPKPFRRITFINRPDGRPCLRFVDLDVSCTRLPDEDESGRPSFWFDSWSVITWSNFKMSNSFDDWKNDCMPVHADIIILKEQMRMQLLEYKLLRKKPSQDSKSVSTNAERKLENLWVSQPTPSMLPPNIVYLIARAEFMHPKAYVLAVDMIKREVHGVTEFGTMRELAPDIICIPGSVSPA >OGLUM07G23350.1 pep chromosome:ALNU02000000:7:25178776:25181760:1 gene:OGLUM07G23350 transcript:OGLUM07G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRVMGVLFSCPVDDETAAVEDAAPVAEQAVLKASLGSGGRLRIEGSLSFKTREQQSLQVETKIPAVTSPRAAPAPMPRELLRTRFADAAAAAAPESPKHEAAAVTLQKVYKSFRTRRRLADCAVLMSPTEEDEEFWSRVRSIPSDRCADAADNTEEEMNSSEQTRAIDAERGIPLASAAGSADQVN >OGLUM07G23360.1 pep chromosome:ALNU02000000:7:25183385:25187079:-1 gene:OGLUM07G23360 transcript:OGLUM07G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase 36 [Source:Projected from Arabidopsis thaliana (AT1G16280) TAIR;Acc:AT1G16280] MEVDGEARPFLLFSKPKSSKKKPKQEAEPQVHTQPEEPPNPSPSPAIEPDLRDSDKAPAAAVTEHAGDDSAAAAVPSTFAELGLSQWLVDVCDSLGMRVPTAVQRRCIPRALEGRDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLAEQFRALGAPLGLRCLAAIGGFDSLGQAKGLARRPHVVVATPGRIATLINDDPDLAKVFARTKFLVLDEADRVLDINFEEDLRVIFGSLPKKRQTFLFSATISDNLRSLLELSGNNSYFFEAYEGFKTVDTLKQLYIHVPPDAKELYLFYLLSKMNEDNIRSVIVFVSTCRTCQYLDFLLEELGHPAVSLHSHKPQSRRLAALHNFKSSKVPVLLATDVASRGLDIQTVDLVINYDVPRYPRDYIHRVGRTARATRGGLSISFITTQRDIRLLHEIEDVVGKQLGAYDGEMRDVNKDATKVFKARRLANMKMADEGHEDKVQARKEQKKRAQERKRKHDE >OGLUM07G23370.1 pep chromosome:ALNU02000000:7:25189624:25191381:-1 gene:OGLUM07G23370 transcript:OGLUM07G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium ion binding [Source:Projected from Arabidopsis thaliana (AT4G08810) TAIR;Acc:AT4G08810] MSSSDQDDHDEDAPLFRASSGDDDDHPHPRRRSSPVGEVPVAQSIIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLLPDDSLLPTGEDFNSSSSTPSAPRRDDPDQATATATAAAVNGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGECDVAWRFRNRREKSWRRYRDYRRFALVPGDGCALDINRVGKFRSGTNAARPPRQKGSKNRNPRVAPPPVDAEINDTIPIVGSEAEFRRGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNMCLSGAHTNNGKDEDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRISVRKVPSYKVTPMQLKRDKSNIIWRLFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVIRGWKAQNKQMYPNLDADTSPEALVDKVPKLIKQPMRNLYIATNEPFYNYFDKLRSYFHVHLLDDYKELWSNTSEWYNETTTLSGGRPVPFDAYMRVIVDTEVFYRAKTQVETFNNLTRDCKDGINTCNL >OGLUM07G23380.1 pep chromosome:ALNU02000000:7:25193599:25197348:1 gene:OGLUM07G23380 transcript:OGLUM07G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKTEEAEDPAPATYTDPVLSMHILKTLILYSPVTCCTAASFMPCAVTCTNGSFLNSLYREALTIEAAGLWFHMLLNWFSLSKDGTICVILKCIFRFMI >OGLUM07G23390.1 pep chromosome:ALNU02000000:7:25198968:25199477:1 gene:OGLUM07G23390 transcript:OGLUM07G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVLMARDQLLQRPRWRYERLRGDGDGDGEEAAAGVCRVRRQLRLRRRVVAAGAAGRMARGGGGGGGRKKVRLMRLVFLLPARRVAALFAELVRRLAAAAAASVVVDAAAECPTIVFSSQWGLPVLSHSASGGGGGAASAARNARLRAFYLERSLSSAGASAAASPC >OGLUM07G23400.1 pep chromosome:ALNU02000000:7:25199560:25202626:-1 gene:OGLUM07G23400 transcript:OGLUM07G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLITSGPLVPLSVGARSSPRWLGGSGCDTAAAAAPGPSGEERTGRRSGEEEEEEEHAGMDAPVAVAVPPQPQPQPPPAPAAAAPYRWSRAVARSPAAWMRLGVGGLLVGSIIFAFYEWGLPLLSEKVLLPIMRWEARSFGRHLLAIVLIASLAIFPVVLLPSSPSMWLTGIIFGYGFGFLIIMVGTAIGMSIPYFIGSLFRERLHEWLEKKWPREIALVKLASKGSWFKQFRVIVLLRISPFPYSMLNYTVTVTQIKYGPYICGSVVGMVPDALVNIYSGRLILTLAGLKYHNHRLTTVEIVYNVISITVAFLVAIGFTVYAKRAFDEMERSEGTCPEPAGIAHGSTELRAHHQECSNSSSVPIDVVYTVYSDDSLARHVLDLLPESLHGFGYNGEK >OGLUM07G23410.1 pep chromosome:ALNU02000000:7:25203767:25205816:-1 gene:OGLUM07G23410 transcript:OGLUM07G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQHRKATETGANIKLGCSKMQSLKPGKYINKPSGNANTKCRGAVTPPQLYTENQMALKGGSTMEAQFCDSKNFSNRHPNHSGKIKLELFPIDKAIQKVLQQENHNPYLELTLAPRKKISSVVQHLNIKWGNSQCARGELMLFPYDAQLDNIAGSGKWTHSDSCTAADVHAAVGSPLRFRLRYGWFEPDFEQQSHGPNLQDVHSVDKIIDNKPLDPVLMEQKQTVHLSEFPNDFAAQSVGDNAVQTVGNQSKVTPLSWIDCISNISFEELISEAAPSADNKQLLSQNNTSFSQIPINFDSFDAAIASVIGQQQASNQMKVSNPSLWDAEEACHAFALQSQTSIRTFGSGPNHSGAITSSILGTIPECVTDVDQQCFTEVWEEEPSPHIPLSCKDNVAPYISLPEFGEPELGAYCSRLLSGTDSLGLSGLLSNSLDAFQKFSVF >OGLUM07G23420.1 pep chromosome:ALNU02000000:7:25211750:25214958:1 gene:OGLUM07G23420 transcript:OGLUM07G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGGRWCCSS >OGLUM07G23420.2 pep chromosome:ALNU02000000:7:25211771:25214958:1 gene:OGLUM07G23420 transcript:OGLUM07G23420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAB GTPase homolog A5A [Source:Projected from Arabidopsis thaliana (AT5G47520) TAIR;Acc:AT5G47520] MAYDGDEEQSQDYLFKIVLLGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGKALAESQGLFFMETSALDSSNVAEAFQTVVKEIYGILSRKVFQSQEQKRSEQSLSNGKPVMLSDSNEPTSGGRWCCSS >OGLUM07G23430.1 pep chromosome:ALNU02000000:7:25216227:25217080:-1 gene:OGLUM07G23430 transcript:OGLUM07G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRSRKPRNFATFRLCPRPDAADASDRVFFRVDNNPYYVPGFADDDVLGGAAAAAVGEGDDDAPSSSASGETGPLPDHVRREILELGLPDDGYDYLAHLREIRPSISSTGGGGASAAFLPVRRHARAHFGPPVDVKAYDASRVRIGSSGKETTTATAAAVEVEVTRIENAIDPDVARLLEESGEPALAGSESESEDDDLEEDFVLVANQDDDDFVLVEIENQFEEEEENIAAADDSEEDGLKNGECKVGNSASA >OGLUM07G23440.1 pep chromosome:ALNU02000000:7:25218160:25219515:-1 gene:OGLUM07G23440 transcript:OGLUM07G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYTAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQDYMLNKLQIEESLVPKMCLDLYREYGTTMAGLKVLGYDFDYDDFHACVHGTLPYEKLKPDPVLRHLLLSLPQRKIIFTNSDKAHAATVLKKLGLEDCFEGIICFETLNPSTEPEEDDSDSTDGGSSSDSSASHSKRKILCKPSLESMEAVIEIAKLDAMKTVFFDDSPRNIAAGKAAGFHTVIVGSSAAVAGADVALESIHNIKEAVPELWEAAGEHVQAQLAQAAVDLRSAAVETTVLA >OGLUM07G23450.1 pep chromosome:ALNU02000000:7:25230762:25235119:1 gene:OGLUM07G23450 transcript:OGLUM07G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN59] MGCSWALAALVLGFLVVAVHGSEPWLNQTQVYSTNANSGSNGVFVGITLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVKSCVFRKSSRRGSSNHMESQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGADASAGLYFRGQRIWQAAMDDLMAQGMRYANQALLSGCSAGGVSTILHCDEFRGLFSGSTNVKCLADAGMFLDFVDVSGQREMRDFFNGIVSATVHHVMILLFVMTVTLLLHGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKRADPQGLWRGCRMNHASCNSNQLQFLQGFRNQMLDAVRGFSGARQNGLFINSCFAHCQSERQDTWYAGDSPRLGNKRIAEAVGDWFFDRADAKYTDCAYPCDGTCHHLTFRGDY >OGLUM07G23460.1 pep chromosome:ALNU02000000:7:25238052:25239066:1 gene:OGLUM07G23460 transcript:OGLUM07G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLCNVGLVLADRCCMHACVALLYTLVENYIRFETPNSSKDQPETRLMLKAMKNNLLEGPGHWPSFCLSHRPRKNYTLDDIGNLTSSQLSSIF >OGLUM07G23470.1 pep chromosome:ALNU02000000:7:25245422:25247935:1 gene:OGLUM07G23470 transcript:OGLUM07G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRAGGARKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPATHKPLAEVVAAAACARTPAVFSDAELILSSIGAQPPPESYMYYDGSRYSTECGAASVGGDGSLSSLSGYSQATAEFAVDGASASALLHCGGGGPTSSGGGAPPAPTAVVIPSVSSSSTLNSMVGLSPAATTTTTTDEQYGNTSHLPWLELGPSTTTPSSSSAAATVDHYGAALDELKWSDYVFDGYNHQLPPYNHGGIYGGGDSKDTAVHFDDHALGNWC >OGLUM07G23480.1 pep chromosome:ALNU02000000:7:25251876:25252286:1 gene:OGLUM07G23480 transcript:OGLUM07G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPLPSSSPPPGHDAATAAAATGTTPLHPSFRGAPPPSPSTYIIQIPKDQVLRVPPPDRAARKPPPAPPRVLCRLQRVPPPGPPRRRPVARVPPGAEERDGVLDGVDRRRRELHGGVEEAARSARCP >OGLUM07G23490.1 pep chromosome:ALNU02000000:7:25272498:25281723:1 gene:OGLUM07G23490 transcript:OGLUM07G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGWMVAAAVAAVVASWAFDAVVKLVWRPRAITRRLRAQGVGGPGYRFFSGNLGEIKRLRDEGAGVVLDVSSHDFVPIVQPHFRKWIPLYGKTFMYWFGARPTICLADVSMVRQVLSDRTGMYPKNVSNPYFARLLGKGLVLTDGDEWKRHRKVVHPAFNMDKLKMMTVTMSDCAQSMISEWESELGTKGDIVEIELSRRFEELTADVISHTAFGSSYKEGKQVFLAQRELQFLAFSTFLSIQIPGSSYLPTKKNLKTWSVDKKVRSMLTDIIKSRLNNKDVTGYGNDLLGLMLEACAPEHGESQPQLSMDEIIAECKTFFFAGHDTTSHLLTWTMFLLSTHPEWQEKLREEVATECDGKVPTGDMLNKLKLVNMFLLETLRLYGPVAFIQRRVNAELELGGITVPEGTVLSIPIATIHRDKEVWGEDADIFKPERFENGVSKAGKYPNALLSFSSGPRACIGQNFAMIEAKAVIAMILQRFSFTLSPKYVHAPTDVITLRPKYGLPMILKSLKLVVVRFLYASSSSSRFPALIGNSSIDLSHTRPACAKMGYGWALAAVLATWCLLDALSRLVWRPRAVAAALRRQGVRGPGYRLLVGSLGDINKLRADAAGAGGAAALDVASHDFIPFVQPQFRQWIPLYGRVFLYWFGWTPDMCVADVEVAKQVLSDRTGLFPKNVTTPMLLKLFGRGLVLANGDEWQRHKKVVHPAFNTDKLKMMTATMAGVARSMVSRWEEKVASHGGKVVIELSSQFEELTADVISHTAFGSSYAEGKQVFMAIKELQFIAFSSLLSVQIPGSRYFPTKKNLKVWRLDRKVRSTLMQIISNRLAAAAKEKAGGGGGGYGEDLLGLMLEASAPPELGTKRRQPPVLSMDEIIDECKTFFFAGQETTSHLLSWTMFLLSTHPDWQNKLREEAVRECAGAGAGDDDDQLPTYDMLGKLKLLNQFLLETLRLYSPVPAIRRRTAAAVEMGGVTVPGGTMLTFPIATMHRDEEVWGADAGVFDPMRFDGGGGGAMAKLLSFSTGPRACVGQSFAMVEAKAVVAAILRRFRLELSPEYVHAPTDVITLRPKHGLPMAVTRE >OGLUM07G23490.2 pep chromosome:ALNU02000000:7:25257076:25265235:1 gene:OGLUM07G23490 transcript:OGLUM07G23490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFVWMVAAAAAVASWAFIAVVVKLVWRPRAISRRLRAQAVGGPGYRFFSGNLGEIRRLRAEGAGVVLDVSSHDFVPIVQPHFRKWVSLYGKTFLFWFGAQPNICLADINIVRQVLSDRTGMYPKDLTNPYFAHLLGKGLVLIDGDEWKRHYKVVHPAFDMDKLKMMTVTISDCTGSMMSEWDSELGMKGGSAEIELSQQFQELTADVISRTAFGSSYSEGKQVFLAQRKLQFLAFSMFLTIQIPGFRYLPTKKNLKIWSLDKKVRSMLRNIIKIRLANKDTMGYGNDLLGLMLETCAPEHDESQQLSMDEIIAECKTFFFGGHDTTSHLLTWTMFLLSTHPEWMRKIRKEVTTMCGDEVPTGDMLNKMNLLNMFLLETLRLYSPVSLISRRTGTNAKFGGIKVPEGTILRIPIATIHRDKEVWGEDADEFKPARFENGVSKAAKHPNALLSFSNGPRSCIGQNFAMIEAKAVITMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKSSNMILCLLPNGVSKEAKNPNALLSFSSGPRSCIGQNFAMLEAKAEAVF >OGLUM07G23490.3 pep chromosome:ALNU02000000:7:25265109:25272547:1 gene:OGLUM07G23490 transcript:OGLUM07G23490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEWTVATAAAAVAASWAFIAVVVKLVWWPRAITRRLGAQGVAGPGYRFFSGNLSEIRRLRAEGANLVLDVSSHDFVPVVQPHIRKWIPLYGKMFLYWFGTRPNICLADMNMVRQVLSDRTGMFPKYIDNMQFARLLGKGLVLTDGDEWKRHYKVVHPAFDMDKLKMMTETISDCARSMMFEWESELGMKGGSTEIELSRWFEELTADVISRTAFGSSYREGKQVFLAQRKLQFLTFSAFLTIQIPGFNYLPTKKNLKTWSLDKKVRSMLMNIIKSRLTNKETMGYGNDLLGLMLEACVPEHGGSQPQLSMDDIIAECKTFFFAGHDTTSQLLTWTMFLLSTHQHWMEKLRKEVRMVCNDEVPTGDMLNKLKLVNMFLLETLRLYGPVSLVTRRAGTDVKLGSIKVPKGTILTIPIATIHRDKEVWGEDADEFKPERFENGVLKAAKHPNALLSFSIGPRSCIGQNFAMIETKTIIAMILQRFSFTLSPKYVHTPISVITLRPKYGLPMILRSLKVKRDSHPEVKPAMAHGRAASNV >OGLUM07G23500.1 pep chromosome:ALNU02000000:7:25257924:25266856:-1 gene:OGLUM07G23500 transcript:OGLUM07G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVSNCEVVSCPAKKKVLHSAMISSMLSCGWLPPCSGTHASNINPSSSISALPPFIPNSESHSDIIDPVQSDMVTVIILSLSMSKAGWTTL >OGLUM07G23510.1 pep chromosome:ALNU02000000:7:25267011:25269134:-1 gene:OGLUM07G23510 transcript:OGLUM07G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLLRIIGRPYLGLSVITLIGVWTYLGDNVKENLCRIMAMMVLVSIIAKFCPMHDLGPIEKESSALGCFAAFNTPFSNLSGLNSSASSPHTSLSRWIVAIGIDGALRNLDATKLDVGTSSPRYE >OGLUM07G23520.1 pep chromosome:ALNU02000000:7:25281898:25284009:1 gene:OGLUM07G23520 transcript:OGLUM07G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAAAAAAVKGRIKSRPRLPPLASPATTSTCAPAPAATARRGSHAAASQVVSHASLLLRLQSCPDFQEARRLHAAVLVGGHGHGTVLVAQLVRAYAKLGDVAHALRVFDGMPRRNSFAWNAVIKGLVDAGRFSEALEMFWGMVNDGSVAVDGFTYPPVIKACAALGAVAQGRKVWEMVEADIASGNARPNVFVQCALVDMFAKCGCLDEARNVFESMQVRDLAAWTAMIGGTVHSGNWLEVVDLFNHMRSEGFGVDSLIAATVISACGRAGELQVGTALHGCAVKSGASGDIYVSNALVDMYCKCGCVEMADCLFWSTNSKDVVSWSSLIVGYSQNGMHNVSVSLFCEMISLGINPNSSTLASILPCLSVLKLIRSGKEIHCFSIRHGLERSEFVVSALIDLYSKQGLIRVAETIFWLTLDKDLAIWNSMVAGYAVNGYSDSAFCALRLLQKVGLKPDHVTVVSVLPLCNQHNMLIQGKELHAYVIKYCINSVCSVNNALLDMYCKCGFLEVAKEVFQLMTERNTVTYNILISSFGKHNHEDQALSFFDLMKRDRIAPDKVTFVALLSCCSHAGLIDKGLHLYHSMLHDYNISPEKEHYSCIVDLYSRCGKLDEAWCFMSNMAEEPEIDVLGGLLAACRVHNRMDIAELVGKRIFEQNPNDPGYHILLSNIYADAGMWSDVTRIRTMIQERNLKKETGNSLT >OGLUM07G23530.1 pep chromosome:ALNU02000000:7:25286524:25289315:1 gene:OGLUM07G23530 transcript:OGLUM07G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >OGLUM07G23530.2 pep chromosome:ALNU02000000:7:25287469:25289315:1 gene:OGLUM07G23530 transcript:OGLUM07G23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTATCIDIILAIILPPLGVFFKFGCGIEFWICLLLTFFGYLPGIIYAVWVITK >OGLUM07G23540.1 pep chromosome:ALNU02000000:7:25291287:25293092:-1 gene:OGLUM07G23540 transcript:OGLUM07G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPAAAASPSSELTKSKKKKTKSKDAATAAAVDPPSLAEAEAKTDGYLIKPQSLVPSLDTSTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPIAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRVDKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDADRHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDARWAMDNYNDETYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLTIPGLLRFENDIDVGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKMVAEGLLDKHGKPNEKTPAEWIRNVALPTGGDAMIASIAAAPEEAKVKAEQDVVATEEVKEKKKKKKHKDEEDAADEGRKRKIEDDDASASVPVKKIKVEESAEEVEGEKSEKKKKKKKKHKGEQGSGDAEVKEEKADISDGEKVGSEKKKKKKKSKEGGDVVDPESATNGDGVEAEKSEKKKEKKKKKSRDTEEA >OGLUM07G23550.1 pep chromosome:ALNU02000000:7:25296959:25297945:1 gene:OGLUM07G23550 transcript:OGLUM07G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAGGGGGSGSAGGVGSSSGGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRGRLQLGFSKSQLVEKLRRLKRKYRNCVSRLRGSGSAFSFRSPHEQAIFEIARNIWRPTNKHGRDGDSDDEDATQVTPAPVPVNTSPNGEVKSPTSGRQRRRRRGGDFAATAPAAAPATTNMVQPIQPVQVPVSVPVKMDDTLPALSQTTMPITVTMEGSEPLRLPVMPPQPAVLDADKSCLTPLFKEMVRAVINIGSNPFGAQLSEPPHGLPMEGEKWRKQRILELEVYLKRIELLQDQVKATLEELKSSAPGT >OGLUM07G23560.1 pep chromosome:ALNU02000000:7:25300203:25312944:1 gene:OGLUM07G23560 transcript:OGLUM07G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling factor18 [Source:Projected from Arabidopsis thaliana (AT1G48310) TAIR;Acc:AT1G48310] MIRWVQGRRGYYSPSSENPQIPSPARARALNFLGEEKISPWREPRPRMAGLGGGWGGGYDDDDDWGLSAEQLDQLERDAYRKLAERKASSSAASTATSPLPSAAYSPVKNSHHHPASRVSQESCFGKVESLSPSRLSQPNASGNAVNNSQGNLSKVSVHLFLHSTGVIAAKFQYHQKLVDAVHKIPKASWNGKERVWMFPHSSLSVAEEVLSTVPGIAVEVQKLDPLVKRALTASLYAGDLRDLYGKIPTDVESKLMPFQREGVRFALQHGARTLIADEMGLGKTLQAIAVASCLHDAWPVLVISPSSLRLHWASVVLPQTGGSNKAGYRLVYSNTKGDFNLDGVFNVISYDVVPKIKDMLLDLDFKIVIADESHFLKNAQAKRTMHSLPVLQKAKYVVLLSGTPALSRPIELFTQLQALYPTVYKNVNEYGNRYCKGGFFGLYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQLETVKIKIQSCDSQETMDSLKFAQKNLINKIYNDSAEAKIPAVLDYLGTIIEAECKFLIFAHHQSMLEAIHQHLLKKKVKCIRIDGQTPVPVRQTLVTDFQNKDDIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQGKLENLGQMLDGQEKTLDVSQSDTRPSPSKQKTLDAYLKRCSNSTEADQPKLKNPRF >OGLUM07G23570.1 pep chromosome:ALNU02000000:7:25314730:25317332:1 gene:OGLUM07G23570 transcript:OGLUM07G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 family protein [Source:Projected from Arabidopsis thaliana (AT4G01790) TAIR;Acc:AT4G01790] MSKKKNKSQLPIKAPLKDAEQGSVEVAKASREGLPEKIWMKRQFAVGVNDVTRVLERMPAATAATHSGHSSTEAITDKALCRAPPVLLQVVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKESSLRLGQVVNVRTALAIGVKARDSIINKAIDEVLKTANLVAKEP >OGLUM07G23580.1 pep chromosome:ALNU02000000:7:25321102:25321686:-1 gene:OGLUM07G23580 transcript:OGLUM07G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN75] MASVIVAAACIFLSCSASVAHGRRFVRSSSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAVTKPTALSTAVSKSGYFFGRVVVFNDPMTEGRALPPSLEETTVRAQGLYLYDKKEDYSAWLAFSIVFNSTAHRGTLNLMGADPLAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYVV >OGLUM07G23590.1 pep chromosome:ALNU02000000:7:25323330:25323875:1 gene:OGLUM07G23590 transcript:OGLUM07G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARSVASPSAARVGGGSSARDVEQQKSAAAVGASSPVSSSKQHNLVKAEDGTITANGDNEQKPAADRNGFVRCMDTAARSEVINNSLQKYVIHLDGCHPLPMRNPRKRCAWCSLRNIRAACDMNFRSNETTRGNTNGCEHVSKENM >OGLUM07G23600.1 pep chromosome:ALNU02000000:7:25340685:25347063:1 gene:OGLUM07G23600 transcript:OGLUM07G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN77] MQKTSMNPVTDPVAAATGRVAIRQLPIKTQPNSQSLTPFLQLPPKPPPNLLFSSPLASVELRHRARARRRRRPSHPRRAPAMRMGKYEMGRALGEGHFGKVKLARHADTGAAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLHEVSASKTKIYMVLEYVNGGELFDKIALKGKLSEKEGRKLFQQLMDAVSYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNRGYDGSLSDIWSCGVILYVMLTGNLPFDDQNTVVLYQKILKGDARIPKWLSPGAQDILRKILDPNPITRLDITGIRAHEWFRQDYTPAMPFDDDDDNNISDGNLHMTENQDIETSPAISQINAFQLIGMSSCLDLSGFFEKEDVSERKIRFVSNYSPTSLFEKIESTVTEKGFQVQKNSGKLKVIQVCKEPANPRGHGNLLISAEVFEINESLYVVELKRSSGDCSLYRQLCASLSEDLGICKRQQLLKKDSMRQDLCRYNSSF >OGLUM07G23610.1 pep chromosome:ALNU02000000:7:25345696:25347519:-1 gene:OGLUM07G23610 transcript:OGLUM07G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKRGAAAAATAALPEQQAVHARLEKSARPDVLYATGVMRAYLRASLPLQSLRLLAGLLPRAPRLLATSFSLSVALQACGSAAAPVSVGAALHARALRSGFAAADLFVRTALVEMYAKAGRVDLARDAFDEAPLRDVFLCNVMLAAYVSRSEVAEARKVFDGMPMRDLVSWNTMIHGYAMRGEVGLAREIFDGTEDRDAFSWSSMISAYAKSRRSKEALELWREMHAASIIPDCITLVSVVSACSDLGALAVGAEVHRFVESNRIELDLKLGTALIDMYAKCGDIESAQRVFDRMPEKDVQTWSSMIIGLANHGLGHESLSLFSKMISEGMKPNGVTFVGVLIACTHVGLVSEGKKYFRSMNEVHGIEPTVEHYGCMVDLLGRSGHVEEARQLIRSMTFEPDTIIWRALLGACRIHKNVEIAEEAMAKLRVLDPLGDGHYVLLSNIYAQANSWEGVAEMRKTIRRDNIQRIPGRSSIEWDEKIHEFVSGDRLHPRSKEIYRVLEEMMDRLKQAGYKPMTGLVLQDIDEQSKERSLAEHSEKLAIAFALLTTPARSTIRITKNLRACEDCHSAMKLISLVYDRKLIVRDRNRFHHFSEGQCSCKDYW >OGLUM07G23620.1 pep chromosome:ALNU02000000:7:25347963:25350082:1 gene:OGLUM07G23620 transcript:OGLUM07G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62190) TAIR;Acc:AT3G62190] MRSSEAMELLGLPAHTRPSPSEVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASRAYQRQKAMCSSQNPFLP >OGLUM07G23620.2 pep chromosome:ALNU02000000:7:25347963:25350082:1 gene:OGLUM07G23620 transcript:OGLUM07G23620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G62190) TAIR;Acc:AT3G62190] MRSSEAMELLGLPAHTRPSPSEVKAAYRRMVMESHPDRVPTHQKSQAESKFKQISEAYSCLKDGRRYGSRMEVHVMRSGVPTGFGRSNKTLVKAPFLLIIFAAVSLGGFSASR >OGLUM07G23630.1 pep chromosome:ALNU02000000:7:25351697:25356252:-1 gene:OGLUM07G23630 transcript:OGLUM07G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYATAKTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGDIGRIGNAVTHALSSTGISLNHVPAAGARHGGAQRRRLGGRRRRGVGGAVAVVVEDVVEVQPHLLARLVVAPDEPPAAAAAAIGDARRAKEADEEEDDRGDQRWLGPSIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKADKQSKVKQPRRNQSDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVQPFSLPKKPAKSAHCHQKTAPHDFFNSKKSGASAESAAKNGTPDSGNGGGYNPKHHKPHTSQSPRPQNSNTHPHSGSGIFHHTLSSQRTNSCPPSAGHNGAPTAPLQSWPSAPPYHSPPVNYPDLNPINISGYPRGIHDNQGVNMNYHPNHSGSPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTSGSSAIKNSRSKYQAAIVLKNRCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >OGLUM07G23630.2 pep chromosome:ALNU02000000:7:25351697:25356252:-1 gene:OGLUM07G23630 transcript:OGLUM07G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYATAKTSVWWDIENCQVPRACDPNLIAQNMSSALAAAGYTGPVSISAYGDIGRIGNAVTHALSSTGISLNHVPAGIKDASDKKILVDMLFWAIDNPPPANYLLISGDRDFSNALHKLTMRRYNILLAQPPNVSQALTAAAKSVWLWKSLVAGEPPLAESPYVSSTASGNMVELDKSKNINSDSSDTTTDTNPQNGLQSDHQKGGNGKADKQSKVKQPRRNQSDNVSKPASNEENSVEVADNSKEYTTDHPTQSSMPSSSSSSSSESQDGAKVNQSSKPKVQPFSLPKKPAKSAHCHQKTAPHDFFNSKKSGASAESAAKNGTPDSGNGGGYNPKHHKPHTSQSPRPQNSNTHPHSGSGIFHHTLSSQRTNSCPPSAGHNGAPTAPLQSWPSAPPYHSPPVNYPDLNPINISGYPRGIHDNQGVNMNYHPNHSGSPHNVQPAYNSYRPPTPPSMPSNMQNAGQWGVNPGYPQPSSDPQGLIRNILGALEVLKTEKIPPIEQHISDCIRYGEANLPNFDVKKALELAIQHQAIVLKMLGPMSFYLGKNQNLWKCVNIMDINAKYPKDTFDAVHRFISSTSGSSAIKNSRSKYQAAIVLKNRCLKHLALGEVLQILYIIINTKKWFVPHSSGWQPLSFNIIVVDATTGAGGKA >OGLUM07G23640.1 pep chromosome:ALNU02000000:7:25354641:25354973:1 gene:OGLUM07G23640 transcript:OGLUM07G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN83] MATWSKPSLIAAVIFLLVSLLSSASVANGGRSGGRRLVRSYDEPCKEMRLYLHDILYDYSNSTSNSTSAAATKPTPLSTAVSSPGYFFGRMVVFNDPVTEGRALPPSTWI >OGLUM07G23650.1 pep chromosome:ALNU02000000:7:25358330:25363354:-1 gene:OGLUM07G23650 transcript:OGLUM07G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYAFETSLSIDLGRKPRADEIWISFSMPLPLARMRKIEEEGDWSGRTGKAFQC >OGLUM07G23650.2 pep chromosome:ALNU02000000:7:25360023:25363354:-1 gene:OGLUM07G23650 transcript:OGLUM07G23650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVILGEWSVNKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >OGLUM07G23650.3 pep chromosome:ALNU02000000:7:25358330:25363354:-1 gene:OGLUM07G23650 transcript:OGLUM07G23650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYAFETSLSIDLGRKPRADEIWISFSMPLPLARMRKIEEEGDWSGRTGKAFQC >OGLUM07G23650.4 pep chromosome:ALNU02000000:7:25360023:25363354:-1 gene:OGLUM07G23650 transcript:OGLUM07G23650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASEPVARAVAEEVGRWGSMKQTGVSLRYMMEFGSRPTERNLLLSAQFLQKELPIRIARRALELESLPFGLSRKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTQMIKMIKVRHNNVVPTMALGVQQLKNEQYRTRKIPTAFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPDPEPGVIGLINTELSPIQVAQAASEDARSICLREYGSAPEIDIYGDPTFTFPYVSSHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGAEDVTIKVSDEGGGIPRSGLPRIFTYLYSTAKNPPDMDCPSEGVTMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >OGLUM07G23660.1 pep chromosome:ALNU02000000:7:25371089:25375598:-1 gene:OGLUM07G23660 transcript:OGLUM07G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVHIQGRGGAPSSRCRPAHSSLVPLRGREDVATTASISDDATTSVRADPTPPTPPVLPPVLRPRAAAADPQSVRRRRCLYRRPRRPHAAHAGGPPPHIVLTPPHHRLFPPWRRDSARRLEEARLGLRSIDTPCRRSLQPYWHRRCVAPSPSRPRRRTGFESLAPPLIPGLLNPASRQSCLRTRRRHTGQGMELRKLLSSLAPYAPSPIHPPFTGGQSMAKSYSVPNPILHQDTEDLLLMLHHLKLQSMHLVDRSPDTFADPVVKKLTDVFWIYHNRLESAGFLVF >OGLUM07G23660.2 pep chromosome:ALNU02000000:7:25373907:25375598:-1 gene:OGLUM07G23660 transcript:OGLUM07G23660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVHIQGRGGAPSSRCRPAHSSLVPLRGREDVATTASISDDATTSVRADPTPPTPPVLPPVLRPRAAAADPQSVRRRRCLYRRPRRPHAAHAGGPPPHIVLTPVSGFWPSRITVCFRHGGVTPRDG >OGLUM07G23660.3 pep chromosome:ALNU02000000:7:25371089:25373871:-1 gene:OGLUM07G23660 transcript:OGLUM07G23660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPAALLAPSLRRSITVPTSKANRLRVPRAATDPRAPQPCKPSILPANKELRKLLSSLAPYAPSPIHPPFTGGQSMAKSYSVPNPILHQDTEDLLLMLHHLKLQSMHLVDRSPDTFADPVVKKLTDVFWIYHNRLESAGFLVF >OGLUM07G23670.1 pep chromosome:ALNU02000000:7:25377802:25381019:1 gene:OGLUM07G23670 transcript:OGLUM07G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPMSPELEQVDGEIQDIFRALQNGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKEDEKKNSADVNKQLNDKKQLMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVTEDNTVQMASEMSNQQLMDAGRKQMDQTDQVIERSKKVVAQTVEVGSQTAAALSQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKNIRDIPGLAPPAQNRKLLSIQSFGSL >OGLUM07G23680.1 pep chromosome:ALNU02000000:7:25381605:25387997:-1 gene:OGLUM07G23680 transcript:OGLUM07G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09450) TAIR;Acc:AT1G09450] MAREAAAPGRTAGKASAPSGHHHAGARGGGGDLWSEIMASGGGGAARIGVVYGRRRAAQEASRPRGAVDVRGVAAGEKRASFEPSKRTSWNRSLSIRGRESILFAPGTKIQPQQNPCRAQKRPPKPGNRVKRTFGGPPDLKKEKAYFEEVDAFELMEESPSPKNFGTWARGMEQNYIVHDLSAILERWKISKLAKFAASRPLFDIMETPVVPSVRSDCSLHDSYRTPEKDKGSRTNPMRRTIPSGLSDKTSIFTSFSELKIKEEPDDSSIPSLSAEAMTAFAQLLLVCNQSAPITLAEAFSTYCLYSSFNEDVHGNSTPGSIVKLGEGTFGEAFRAGSTVCKAVPFDGTSLVNGETQKKAEEVLEEVLLCLTLNNLRADRGDNVKENSCHGFIETKDFWVCQGPYDPSLICAWEDWDAKCCSENDHPNDFSNEQCYIVFVQADGGRDLEKFALLDYNEACSLLVQVTAALAVAESACEFEHRDLHWGNILLDRDETQDKNHTMGFTLQGKNMCTRTFGLNVSIIDFTLSRINTGDAILFLDLSTDPALFEGPKRDKQAETYRKMKQITNDYWEGSFPKTNVVWLIYLVDIVLQKRYSTFTSKDDRELRAFKKRLAKYDSARDCLTDSFFSDLLLSNEDAQPSTA >OGLUM07G23690.1 pep chromosome:ALNU02000000:7:25398320:25403492:1 gene:OGLUM07G23690 transcript:OGLUM07G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN93] MINNLWLRVQTGKITHETCGNKVPRPCARCSYGEGRGAGPNNSELRINNLHARLTSSFYPNNQLGLICHSEGHLCITLVSLIDDSLVHGVSDRLRHLPRQPHLGERRPWPPEQLQEELRRRAMHGDEDILYDYSNSTTNSTSAAATKPTALSTAVSSPGYFFGRVVVFNDPMTEGRALPPSLEETAVRAQGLYLLDKKDDFSAWFAFSIVFNSTARRGTLNLMGTDPNAATRDISVVGGTGDFFMSRGVATLRTDAIEGFKYFRVQMDIKLYECYASLIVAAIFLVAGLLLSSATVVHGGGGGRRFVRSYDEPCKEMRLYFHDILYDFSNSTANSTSAVVASPAALLRAAKNTTIFGTTVVFNDPMTEGTSALPPSLEETAVRAQGLYLYDGKAASTLNAWLAFSVVFNSTARRGTLSLMGADPSTATRDISVVGGTGDFFMSRGVATLRADAVEGFTYFRLLMDIKLYECYI >OGLUM07G23700.1 pep chromosome:ALNU02000000:7:25404535:25415739:-1 gene:OGLUM07G23700 transcript:OGLUM07G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 1 [Source:Projected from Arabidopsis thaliana (AT3G17360) TAIR;Acc:AT3G17360] MAGHGAGGRRASTSRAAARRVEAETNENDDLAAAAAPSSSSVVGGPAALPFMLPPRSPLAAIADPGRNPRSAPVTPKSLAGTPRAAACAAGSGVRDRTSSIGTSSRRVFDLRDLAAAEVPAEVPHFELDEDPAFWKDRNVQVLIRIRPINAAESTANGQRRCLVQDSSKTLSWTGHPDTMFTFDHVACETISQSTTATAIDYFARTEKLFRVVGLPMVENCMSGYNGCLFAYGQTGSGKTYTMMGELSKLDNELSKDSGLTPRIFEYLFARIKEEEERRREDKLKYICKCSFLEIYNEQITDLLEPSSTNLQIREDIKKGVYVENLMECYVSSVKDVMMLLLQGVANRKMAATNMNSESSRSHSVFTCVIESRWERDSMTHLRFGRLNLVDLAGSERQKSSGAEGERLKEAANINRSLSTLGLVIMTLVDVANGKNRHVPYRDSRLTFLLQDSLGGNSKTTIVANVSPSICSSSETLSTLKFAQRAKLIQNNDQLTCLKKQQNMPGSPSFKLLKSGYGNEFNSLHGVDDQSACDLELLKQKVIHLEDVLVGSLRREKSAETELRKLECEIKRLNRLVNLMESDTRHLRTTVKLRDEKIRRLELLADNQISSDGYLMDENAAMFQEIQLLQEQINDNSQLTQFALENKRLIEQVRMLEKFSKQGEREMLLTEISLLRNHFLHILEQKYARPHKNMEAQGDVTIKELETCRKELDACLENNVLLAREVNKLRCELKQYQKCGTGQVAPEVVESSVIPGINQKQHDQAGWCGSYLASIDVERQFVDVGITTDITESLELTPPSEIYSENQDSPSRLHFSDPEICDLKNSTKVPEYNSSHNLLDKGIILSGQLENECGLNSVQNDEISLVKENAEKMYGHDEISVYRQNEILHSSEQLLQDELTHIKSLNEGLKEKLIIMAEESTKLSEIIVAKDVEIATLSEEWESAIVDLTSFLTDGCSSLDDAYQNIDNMISSFPYNNHSVSEHVEKAMKVSIEKEKIISRLQIELQAAQRMGREVKEKLHILRGATLAITEAQLLDNDESQEALKLLDLMRQKDCTVQELNDNVKQKSCLFAEATEGYSRHECHLPDNVGTVAEISHNRDGSEVNQANTHYQAKLEDVLHLVEDKSNKVLALFSNFEEAQETMEEAETMLSSLLKANEELKLEKDSCRQAVELLFAERTSLINDLQELEASNSFTAQRYDKLHEQVNGCVAEMTNLATIIKESFHQVQRVTTVELFAFCSEVISFGQDLRKWIYESRSYLVNMGALLEEQGNSYAEQIRRTNSSTYAGVSQQVESCSRQLGGMNGDIFPGTYMVVDGKEKASVHVVPFGSNAELEDTNVERTFDMDYASLRREFDRKSDVAEGLSFDLKLLQESTSQAKDMKDKADEISDALVSVQRELEKKTSAMESILKQQKVLEEELAENGAALLILRSELEHSESLSSELFKENNNLKVMLEEEAMMISETKAMLEDKSKVIEGLEHEILLLNSSEEGRLMSQIKELNDNLKIISIDKGNLEEEILKLTDKLEMAVALAEENEAASIEARQAAEISKVYAEEKEEEVRILERSVEELESTITVLEEEVCNLKEEVRSYQIYKKSEAEQAQEMFIVDSTSKCDATEQLCPGRCQLEKRLKAEIIAHQDARRKIECLTMEASCKDEEVRQYKEHIAELVLHSEAQSLLFQEKYQEMEHMISKQKFGLHESNSDTGHTKFEKPSGRTRGSGSPFRCISSIVQQMNSEKDQEISVARQRIEELEGLICMLTSRLAAVDSMTHDIIRELLGVKLDMTNYANMLDQEELQKLLMASQQQIEQSKAKDVELDMLKEQFDHLIQERDSLFDDMDQRKADLLESQLLIEQLEQREQMLEAQNGILQMEKDNLQQRIMEMDEEIQLLVGSNQAIAETTFQMGSNHRSANSEFSRRLAQSDMLLSHARHEHSRLQAAKSSRTRRGSHQ >OGLUM07G23710.1 pep chromosome:ALNU02000000:7:25419142:25421121:1 gene:OGLUM07G23710 transcript:OGLUM07G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tolB protein-related [Source:Projected from Arabidopsis thaliana (AT4G01870) TAIR;Acc:AT4G01870] MEPTGTIVFASVGVTNFGFDVFSAAVPLPPMEEDAERRHTDGVSVNFNAQFVDDGGEEVAFVSERGGAAGLFRCRPGPEQRAEPLPTVEGSLFHDRPTVRGGRLYFVSAHEQPPAPFRSWAAVYATEIGSKETVRVSPPGVVDMSPAVSDSGELVAVASYGDRPWAFDFRVLETEVAVFRAADPARRVVVVGRGGWPTWHGEGTVFFHRVADDGWWSVFRVDVSPETLEPTGGERRVTPPGLHCFTPAAVGRGGGGRWIAVATRRKGRAQRHVELFDLETESFSPLTERLNPELHHYNPFFSPSGDRVGYHRFRGAGARGDSVVPYLQPVRSPVSSLRMLRVYGTFPSFSPDAAHLAMNGDFFKTPGVTILRSDGAKRWVLTREPNLFYTSWSPAESGVIFTSMGPIFETTKATVRIARLEFDAGELTTGRDEVAATLKVLTRPEAGNDAFPAVSPCGKWVVFRSGRSGHKNLYIVDAAHGEDVGAGEGTIRRLTDGEWIDTMPSWSPDGSLIAFSSNRHDPTNAAVFSIYLVRPDGSGLRRVHVAGPAGSAAADRERINHVCFSPDSRWLLFTANFGGVMAEPISAPNQFQPYGDLYVCRLDGSGLVRLTCNAYENGTPAWGPASSPAAGLESLSLGPGAGDESLGEFDEPLWLTCDV >OGLUM07G23720.1 pep chromosome:ALNU02000000:7:25424772:25426163:1 gene:OGLUM07G23720 transcript:OGLUM07G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGDGGGQHDSQRYSRRRGCHETNLQCLRLDTFALQQLISFFFVSAPSAKAAQSFVAMPGLTIGDTVPNLELDSTHGKIRIHDFVGDTYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPACVGRNMDEVVRAVDALQTAAKHAVATRVNWKPGERVVIPPGVSDDEAKEKFPQGFDTADLPSGKGYLRFTKVG >OGLUM07G23720.2 pep chromosome:ALNU02000000:7:25424772:25426225:1 gene:OGLUM07G23720 transcript:OGLUM07G23720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGDGGGQHDSQRYSRRRGCHETNLQCLRLDTFALQQLISFFFVSAPSAKAAQSFVAMPGLTIGDTVPNLELDSTHGKIRIHDFVGDTYVILFSHPGDFTPVCTTELAAMAGYAKEFDKRGVKLLGISCDDVQSHKDWIKDIEAYKPGNRVTYPIMADPSREAIKQLNMVDPDEKDSNGGHLPSRALHIVGPDKKVKLSFLYPACVGRNMDEVVRAVDALQTAAKHAVATRVNWKPGERVVIPPGVSDDEAKEKFPQGFDTADLPSGKGYLRFTKVG >OGLUM07G23730.1 pep chromosome:ALNU02000000:7:25439692:25441270:1 gene:OGLUM07G23730 transcript:OGLUM07G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTLGDVVPDLELDTTHGKIRLHDFIGDAYAIIFSHPADFTPVCTTELSEMAGYAGEFDKRGVKLLGFSCDDVESHKDWIKDIEAYKPGRRVGFPIVADPDREAIRQLNMIDADEKDTAGGELPNRALHIVGPDKKVKLSFLFPACTGRNMAEVLRATDALLTAARHRVATPVNWKPGERVVIPPGVSDEEAKARFPAGFETAQLPSNKCYLRFTQVD >OGLUM07G23740.1 pep chromosome:ALNU02000000:7:25442670:25443284:1 gene:OGLUM07G23740 transcript:OGLUM07G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AN99] MATWSNASSLIVAAVILLGLSSASVAHGRRGRRSFVRSYDETCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALSTAVSNPGYFFGEMVVFNDPMTEGRALPPSPGMEETAVRAQGLYLYDKKVAPNAWFAFAVVFNTTGRRGTLNLMGADLMSEKTRDLSVVGGTGDFFMSRGVATLSTDEASADLSYFRVKVDIKLYECYV >OGLUM07G23750.1 pep chromosome:ALNU02000000:7:25444417:25450707:-1 gene:OGLUM07G23750 transcript:OGLUM07G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANA0] MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYTSPSPTTPPTYPSPSSTPPSPAPVSPSPPTTYPPPSPTPPSPAPTGPSPPAPGLRVGYYSSSCPKAEQIVKDTVKNAVYANRGIGAGLVRLFFHDCFVELVIKLAMASMAANKLVVFVLLALLGSSNGDGYTSPGSIAGGLTVGHYKGTCDRAEEIVRDAVKNAIRGGGGDRGVGAGLIRLFFHDCFVQGCDASVLLDPTPASAAATEKAGIPNLSLRGFEVIDAAKAALEGECPGVVSCADVVAFAGRDAAYLLSGNKVYFDMPAGRYDGRVSLASETLPNLPPPFAGVDRLKQMFAAKGLDTDDMVTLSGAHSIGVAHCSSFSDRLPPNASDMDPELAASLQQQCSSSSSNGGATGDNTVAQDVETPDKLDNKYYRNVVSHRVLFKSDAALLASPETRPLVSSYAESQKQWEEKFAAAMVKMGGVGVKTAADGEIRRQCRFVN >OGLUM07G23770.1 pep chromosome:ALNU02000000:7:25461083:25462659:1 gene:OGLUM07G23770 transcript:OGLUM07G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAVLLTLLALVGSVTCQGYTGNASPPTPITYPSPPSPSPSTPPTYPPPSSTPPSPAPVSLSPPTTYPPPSPTPPSLAPTGLSPPAPGLRVGYYSSSCPKAEQIVKDTVKNAVYANRGIGAGLVRLFFHDCFVEAT >OGLUM07G23780.1 pep chromosome:ALNU02000000:7:25463814:25464854:-1 gene:OGLUM07G23780 transcript:OGLUM07G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANA2] MAKLAVLALLALLGSVACQTGGYGYGGGSPTPPITYPQPSYGGPPAAGGLRVGYYDYKCPGAEYVVRKVVGYKDAGVKAGLVRLFFHDCFVQGCDASVLLDPTPANAEPEKVGIPNLTLRGFEVIDEAKAALEARCPGVVSCADIVAFAGRDASYFHSGRAIDFDVPAGRYDGRVSHKNETIPNLPPPFADVDRLKQMFAAKGLDTVDMVALSGAHSIGRSQCSSFADRVPPPSGATTSGSDMDADLAASLRRQCTTPSDTVAQDAVTPDALDNQYYKNVMSRQVLFRSDAALTTAADTMGLVRASAYFPGWWNAKFAEAMVKMGAVAVKTAANGEIRKQCRFTNY >OGLUM07G23790.1 pep chromosome:ALNU02000000:7:25466170:25466891:1 gene:OGLUM07G23790 transcript:OGLUM07G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSDVATESVWDPRGPHISGLAGRWAGGQRAEAGEDEVRRPVTDDGRCSGGGGGDDDDAGARGPPPMVTVDTATAAPLLSRRAPCPRCSSPWWAQATSPSASPSRGLSPPEAGGIRWGNGGEGLPPMAMGDTTMAASLLSPSPTCGARTRRAATATARPRAGSARAPDVVLVPCPQLLRGGLHLRRGRVIGDDRVSGRRCLPFPPPLPPRRRDR >OGLUM07G23800.1 pep chromosome:ALNU02000000:7:25469497:25470735:1 gene:OGLUM07G23800 transcript:OGLUM07G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVAMWVACVLAMAAACQGRLRVGYYKRKCAPAEYVVRAVVGNAVRQNPGVGAGIVRMFFHDCFVQMIWGKCCICT >OGLUM07G23810.1 pep chromosome:ALNU02000000:7:25471907:25477482:-1 gene:OGLUM07G23810 transcript:OGLUM07G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELHLAAGYCAATGVYRSGHPPQFAAAAALSFPEYILPRMLLPGRRARPAFVDASTGAALSFAGLRALSLRVARALAAAGLRRGRVALLLSPNSLHFPALSLAVLSLGAVLSAANPLLTPDELARQADDAKPFLALVTGELAPKLRSIAPDVKLVLVEQLLADVAAEVDDDETLDLPAVNIGRDDAALLFYSSGTTGRSKGVVSTHGNAIAMAASLERAWGGGGGGGEKPQQYDDHDEAYGCVLPMFHMFGFSSFVMGTAALGATAVVVPGRFSVEKTMAAVEEYGVTRLLVVPPMVVKMVAAAAGDGEPSRRRLRLRQVVSSGAPLQREHMARFRSCFPAVNLGQCYGLTETTGIVTMCDLQHNDNGIDKVEMPPSSTDMTFVAVAATTTEVKERSTAGGGGGGVSIGRLMPDVEAKIVDPDSGELLPPRRTGELWVRGPSTMRGYLNNEEATALALVAAAGSVSVSGGGERWLRTGDLCYVDSRGLVHVVDRVKELIKCNAYQVAPAELEDVLATHPDIHDAAVAPYPDKEAGEIPMASVVKKQGSGHLQEDEVISFVQSKVAPYKKIRKVVFVDSIPRSPSGKILRRQLKNLLQGSILHRSRM >OGLUM07G23820.1 pep chromosome:ALNU02000000:7:25477856:25479631:1 gene:OGLUM07G23820 transcript:OGLUM07G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCHVMSVSLSPSSAFLLHSLSLTPLGAGRPAVRRRSPGRGAPTAGRRSCYGRYLSASNEPFLLGITGRKVLQAQPPGGRATDSSLEWEPVKDDALVYVRVELTAWNVAPLRCAAEYLEMTEEHAEDNLTARVEAYLKQAVPRHPCEATKALKSREELLPHAEELGIVSRCVEAISIFTSGRVMAAMAARADVRTEARESCLVSYARGTITGLSRSMRRRLASAPASSEVEQRDLLEAVVTSVPTDKCSGRVVTAKFLFAQLWTAHILLALDAALGRKAATQLEHATLEDVLIPSYSGGMKTLYDVDCVERVVRHVLAEEEHGEAGESTSAAAITEEKXWGGGRERWWRACWRCGVPAWRGWWSGRAYDELVRMVERAGLRLQMPAFSWWWDNAAVASLTFSRIAAAASPAGRRSSSPRRPPPNRLPPAGQPSPRQAEREWRGKGEERERETLTTWQPDMWGPRGSHADSAAT >OGLUM07G23840.1 pep chromosome:ALNU02000000:7:25483349:25484180:-1 gene:OGLUM07G23840 transcript:OGLUM07G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKPAATVAACALLLLATAAACHGLEVGATTGVRAPRRRPSSGPSSIYKNVLARRVLFASDAALLSSPATARMVRANARLPASWEKKFARAMVRMASIELKAAHRGEIRKNCRVVN >OGLUM07G23850.1 pep chromosome:ALNU02000000:7:25485033:25486579:-1 gene:OGLUM07G23850 transcript:OGLUM07G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVSNLKSFVEKKGLPKNLVLGSCTVLETAGQGAHGTLYKVLESAIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPSDGAISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALAVLN >OGLUM07G23860.1 pep chromosome:ALNU02000000:7:25509987:25512900:1 gene:OGLUM07G23860 transcript:OGLUM07G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 [Source:Projected from Arabidopsis thaliana (AT3G55620) TAIR;Acc:AT3G55620] MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFESELADVIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCVACNDHVALTHPDLDKATEELIADVLGVEVFRQTIAGNILVGSYCAITNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQPAAIVDDMRKSLIDSYV >OGLUM07G23870.1 pep chromosome:ALNU02000000:7:25513128:25515369:-1 gene:OGLUM07G23870 transcript:OGLUM07G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MALVGLSPRRRNRGPGRAGPNSDEAGRWAGPIRGGESSRRPHSNRQNPRRRGDPPTTSPPAISPPPPPPPPPYPASGVKAAAGCGLGLLPQWHGVFRSVRMESGSSQGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >OGLUM07G23870.2 pep chromosome:ALNU02000000:7:25513128:25514981:-1 gene:OGLUM07G23870 transcript:OGLUM07G23870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G59440) TAIR;Acc:AT5G59440] MTALACLAGKAISWSSGVKAAAGCGLGLLPQWHGVFRSVRMESGSSQGGRGALIVLEGLDRSGKSSQCARLLSFLQGKGCQAEGWRFPDRGTSVGQMISAYLANESELDDRTIHLLFSANRWEKRALMERKLLGGTTLIVDRYSYSGVAFSAAKGLDIEWCKAPENGLIAPDLVVYLDVQPEVVDGSLPMEVVEEQLKELAMSCILECQSKQLASLAW >OGLUM07G23880.1 pep chromosome:ALNU02000000:7:25515355:25521636:1 gene:OGLUM07G23880 transcript:OGLUM07G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein arginine methyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G12270) TAIR;Acc:AT3G12270] MATREHELRPEQERLGEDREEYEDGEEEEEEGEEGWDDWESDGDDAGGGGGLLCLFCSARFDSESSLFSHCASEHRFDFYRVVKETGMDFYGCIKLINFVRSKVAENKCWSCGQVFSSNSELCGHLHALEIPQLEGKVPWGDDVYLKPFLEDDSLLHSLSVFDDDDEDDCGMPMEKGGCSAGNGSLAETCESNLKSIINDGSDVIDRFEKTCTIESTDGECSGSLAQEPSDKQLKIARASAAARGIKSVDESYFGSYSSFGIHREMLGDKVRTEAYRDALLGNPSLMNGATVLDVGCGTGILSLFAAKAGASRVIAVDGSAKMVSVATEVAKSNGFLYDENMEMQQKRDTQVITVVHTKAEELNHKIQVPSNKFDVLVSEWMGYCLLYESMLSSVLYARDHFLKPGGAILPDTATIFGAGFGKGGTSLPFWENVYGFDMSCIGKEVTGNSARFPVVDILASEDIVTETAVLHSFDLATMKENEMDFTSSFELRLSESGVSQSGVTWCYGIILWFDTGFTNRFCKEKPVNLSTSPFSTPTHWSQTIFTFEEPIAMAKEESAVVSSASVGTDECPAVMIRSRISIVRASEHRSIDISIETTGISSDGRKRSWPVQIFNL >OGLUM07G23890.1 pep chromosome:ALNU02000000:7:25521891:25527082:1 gene:OGLUM07G23890 transcript:OGLUM07G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylulose kinase-2 [Source:Projected from Arabidopsis thaliana (AT5G49650) TAIR;Acc:AT5G49650] MVGRSSLPEGSLFLGFDSSTQSLKATVLNNELIIVASDIVNFDSDLPHYKTEGGVYRDPADDGHIFSPTIMWVEAFELLLEKLKPKINFSKVVAISGSGQQHGSVYWKKGSHAVLSSLDPTKSLLSQLKDAFSTMDSPIWMDSSTTKQCREIESAVGGALELSKLTGSRAYERFTGPQIRKIYQTVPQVYDDTERISLVSSFMASILVGNYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEEKLGKLAPAYAVAGRIAPYFVERLQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNSCAEKSWDVFNSYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYIVENLNDVTSNNLVEREVEEFDPPSEVRAIIEGQLLSMRGHAERFGMPNPPKQIIATGGASSNERILHSIAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNEEGSFVPISCMYQGSLEKTSLGAKLAVATGEGVEDKELLEKYTVLMRKRMEIERRLVEKIGRA >OGLUM07G23900.1 pep chromosome:ALNU02000000:7:25528154:25543233:-1 gene:OGLUM07G23900 transcript:OGLUM07G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIGNPGAIHGEINRPLPILLASRNATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQEIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSSYQWDIPTLQQQMPRVEHLTPLQAADESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDTAIGKTRLFHIAMNTKYSSHFTINYVLKSYPVENENTSLMLPTLENTKVAKNHPQHHNNMNHEVQLIHTNYLQAVSKITASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >OGLUM07G23900.2 pep chromosome:ALNU02000000:7:25528228:25543233:-1 gene:OGLUM07G23900 transcript:OGLUM07G23900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIGNPGAIHGEINRPLPILLASRNATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQEIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSSYQWDIPTLQQQMPRVEHLTPLQAADESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDTAIGKTRLFHIAMNTKYSSHFTINYVLKSYPVENENTSLMLPTLENTKVAKESATKSITIIANLSLQPLLARTMRCNSSTPTTCKQFPRLLHPNQQLFLSLSKGNHPPTTSPSCSQLKLTL >OGLUM07G23900.3 pep chromosome:ALNU02000000:7:25528154:25543233:-1 gene:OGLUM07G23900 transcript:OGLUM07G23900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIGNPGAIHGEINRPLPILLASRNATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQEIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSRYKLPLTIKDESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDTAIGKTRLFHIAMNTKYSSHFTINYVLKSYPVENENTSLMLPTLENTKVAKNHPQHHNNMNHEVQLIHTNYLQAVSKITASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >OGLUM07G23900.4 pep chromosome:ALNU02000000:7:25528154:25543233:-1 gene:OGLUM07G23900 transcript:OGLUM07G23900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIGNPGAIHGEINRPLPILLASRNATKLKQINLGQQNLKVFGLLIRLWDAKNMASASTPTIFSIDGVILDEEFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIDLIGVISHVGPYDYAGKTSSKKNRKLKIRNKDEQEQEIVLWGEYGESFDEAFVLQKSTDHKIVVAILAGLTAGTYLGKTEATSSSATQIYFDSDITEIAEYQSSYQWDIPTLQQQMPRVEHLTPLQAADESGTLDAVAFYNVAEDLVEVNATQATQNLKIDATEHAIALDTAIGKTRLFHIAMNTKYSSHFTINYVLKSYPVENENTSLMLPTLENTKVAKVQLIHTNYLQAVSKITASKSTAISITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >OGLUM07G23910.1 pep chromosome:ALNU02000000:7:25545258:25547221:1 gene:OGLUM07G23910 transcript:OGLUM07G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKSTASPLASPHALSPTAKPSAREALLHRGSPPAPRLRSNWMVRFLAILSALIWMSRNDDKSRAAVDRIKTAALSAAKGLNRSQAKHAATSAARNVPMGRRKRDQAAGRRGRRLSDRCT >OGLUM07G23920.1 pep chromosome:ALNU02000000:7:25547377:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGQDEERTSELEESTSSAWILCPWLLPSPWIDD >OGLUM07G23920.2 pep chromosome:ALNU02000000:7:25547377:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGQDEERTSELEESTSSAWILCPWLLPSPWIDD >OGLUM07G23920.3 pep chromosome:ALNU02000000:7:25547377:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGQDEERTSELEESTSSAWILCPWLLPSPWIDD >OGLUM07G23920.4 pep chromosome:ALNU02000000:7:25547377:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMIGFSPSFLKAPLQFEAGRAQANFAVHRQLFVATARSSVGGIDDGMHGQMSCAAHMTGCRRPVHRSARRDGCSAGQAILLFVLFTTSFTFRLQSRSSNLAC >OGLUM07G23920.5 pep chromosome:ALNU02000000:7:25548838:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAHMTGCRRPVHRSARRDGCSAGQAILLPRRGEDVRARGIYQFCLDLMSLASSISLDRRLTALCQSKIDLDLATNAVGHMWRWRKVM >OGLUM07G23920.6 pep chromosome:ALNU02000000:7:25548838:25556902:1 gene:OGLUM07G23920 transcript:OGLUM07G23920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAAHMTGCRRPVHRPRRGEDVRARGIYQFCLDLMSLASSISLDRRLTALCQSKIDLDLATNAVGHMWRWRKVM >OGLUM07G23930.1 pep chromosome:ALNU02000000:7:25548472:25548654:-1 gene:OGLUM07G23930 transcript:OGLUM07G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQACCRSWEGQDGKAGRYSHSHTHRQASRDAGRQDRSAPASLAPRPVKVEAAQSVVIS >OGLUM07G23940.1 pep chromosome:ALNU02000000:7:25559460:25562850:1 gene:OGLUM07G23940 transcript:OGLUM07G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLVERCGEMVVSMEMGPHGGVGGGGGAAAGKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPPPPPMPHQPYHHHHLNPFSLPPPPPAYHHHHLIQEEPATTAHCTVAGDGGEGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTTTTTTPSSTAMAAAQHHLPAAASCRLMELDSPDHSPPPPPPKTPATDGGDTVKLFGVSLHGRKKRAHRDEDDGVHDQGSEV >OGLUM07G23950.1 pep chromosome:ALNU02000000:7:25563261:25566321:-1 gene:OGLUM07G23950 transcript:OGLUM07G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLREAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEALHSS >OGLUM07G23950.2 pep chromosome:ALNU02000000:7:25563415:25566321:-1 gene:OGLUM07G23950 transcript:OGLUM07G23950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPYRITAGGGGGRQRMALPLPPKGALLAAVTTASVLSILCVLSFTDSLSYLGFQVRGVDKRESSRSYLYWGAAVDCPGKHCASCAGLGHQESSLRCALEEALFLDRIFVMPSRLCLNSVHNTRGIINQSDATSNKRWETSSCAMESLYDIDLISRTVPVVLDNPQSWYAIVSRSTKLGEEGDVAHAQGVSRQELKENTLYSKAFLINRTASPLAWFMECKDRTKRSSVMLPYNFLPSMPARKLREAANKIKVILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTHPENIKRRIAKWIPRGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILEPVIDNNYQLFMVERLIMQGARKFVKTMKEFDNDLSLCDDPKKNTKVWEEAVYTDG >OGLUM07G23960.1 pep chromosome:ALNU02000000:7:25569354:25574113:-1 gene:OGLUM07G23960 transcript:OGLUM07G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPSQIPEPEAEEAAAAAGVAVAGAASPGPAAAAAAAAAAKPGTPKQPKFPFYLPSPLPASSYKSSPANSSVASTPARGGLKRPFPPPSPAKHIRALLARRHGSVKPNEAPIPEGGEAEVGLDKGFGFSKHFFAKYELGDEVGRGHFGYTCSAKAKKGDHKGHDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFTSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTAEAKDFVKRLLNKDYRKRMTAAQALSHPWIRNSQQVKIPLDMIIYKLMRAYISSSSLRKSALRALAKTLTANQLFYLREQFELLGPNKNGYISLQNLKTALVKNSTDAMKDSRVIDFVNTVCTLQYRKLDFEEFAASAVSVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >OGLUM07G23970.1 pep chromosome:ALNU02000000:7:25583733:25587375:-1 gene:OGLUM07G23970 transcript:OGLUM07G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFARGFFVFRLVNVDSYWLMYHELNMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >OGLUM07G23970.2 pep chromosome:ALNU02000000:7:25583733:25587375:-1 gene:OGLUM07G23970 transcript:OGLUM07G23970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G26810) TAIR;Acc:AT2G26810] MADSGSASERREEGKKGREEEEEDDVVCLDPSFFVDRSYETTTFTFGSQVLHLLCLRAASTDYDLTGQLVWPGAVLMNTYLSEHPETVKDHSIIELGSGIIKKNIEMQSCSGNANAVLTAEKLEWGNYVHISNIIEKHPSGFDLILGADIYILLSYFATRLLSLITSMDVLVLKEAEKHGMHVKEVDGTRTTISNLEGVIYDITLK >OGLUM07G23980.1 pep chromosome:ALNU02000000:7:25588046:25590288:1 gene:OGLUM07G23980 transcript:OGLUM07G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLIPSLNRVLVEKLVQPKKSAGGILLPETSKQLNSGKVVAVGPGERDKDGKLIPVALKEGDTVLLPEYGGLEVKLAAEKEYLLFREHDILGTLVD >OGLUM07G23990.1 pep chromosome:ALNU02000000:7:25590865:25594199:-1 gene:OGLUM07G23990 transcript:OGLUM07G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEREFRRMLELFPVVRSRDYCAESGASSKGNTQQSRAQEATRGNINESSDQFMKKLKMVAEKKVGATKAELFCKTFEEAHKKLVYKELNLDAAQRFLNAYEKRS >OGLUM07G24000.1 pep chromosome:ALNU02000000:7:25597867:25599591:-1 gene:OGLUM07G24000 transcript:OGLUM07G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWSRTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNSTTAAADLGNGHRIQITIFTAPPPLVSYICAWERWPSLISSGELIHICNVLAHGCPGSHLPLPPELINSPMSFSTSSLCRDIAIVNGLLTIVSLRTCFDSDTGCWSWDLSTWSKPVAHLGDNKGWQKGFMVDSSDITVDATCCNVQLLPKLEGRPAMAKLQVAHPTLSLTDANVAYVMGRVDASDEKAVVLAVDMANKRLQTVSVYDAERIVDDFDYSYTQSTISQYFTTAAGVKGNLKRPLKFHAQYPHKRLGETISRSDNPMDLHEPLQLDIGFGLGTKDETEDSDNPMGLE >OGLUM07G24010.1 pep chromosome:ALNU02000000:7:25609070:25609592:-1 gene:OGLUM07G24010 transcript:OGLUM07G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSLSLSPARRLDLAWPWPPLGVLPVPWPPPGVLPTLLPFSARRGSMLWMGGVLAAPSLPVLSLLRRRRRRLEGEAVGVGCGSRDFFAGGSGGSSMASTPAVFPQWVVGCVELGGGGSSSLAAMGEFTCRSPKH >OGLUM07G24020.1 pep chromosome:ALNU02000000:7:25609697:25620587:-1 gene:OGLUM07G24020 transcript:OGLUM07G24020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARIDSRNRRGLYERGRLLRRAATRFGEGAARSVPLASSDLVLSCPLRRAGASSVSGNRPFASYEIQYKGDKKRKKGLRPES >OGLUM07G24030.1 pep chromosome:ALNU02000000:7:25621365:25623599:-1 gene:OGLUM07G24030 transcript:OGLUM07G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPARLSAPAVAAILVAALALCAATASAANVTTTTATAPSGGCYSHLFTFGNSLIDTGNFIHYSTSPGPVARSPYGETFFRRPTGRWSDGRLIVDFIVERLGFPYWTPYLAGKSREDFRYGANFAVASGTALNQLLFKKKHLSVAGITPYSLAVQVGWFKKRKEAMARSVFMVGEFGGNDYLHPLFQNKTLEWVRPLVPRVVRYIAGAVEELVGLGATTVYVPGLFPLGCVPRLLFLFRDGGAGDRDPATGCLRGLNDGLAALHNALLRRRLAELRAAHPGVTIAYADYYGEVMELVSNPAASGFDDALTACCAGGGPYNGNFTVHCSDPGATQCADPSRRISWDGLHMTEAVYRIMARGVLDGPFADPPIMSRCHGY >OGLUM07G24040.1 pep chromosome:ALNU02000000:7:25624639:25627252:1 gene:OGLUM07G24040 transcript:OGLUM07G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLTGPKSGDALFGSVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLTKQVPEEYPYKDDD >OGLUM07G24050.1 pep chromosome:ALNU02000000:7:25628276:25632570:1 gene:OGLUM07G24050 transcript:OGLUM07G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA helicase protein [Source:Projected from Arabidopsis thaliana (AT5G05130) TAIR;Acc:AT5G05130] MASTSRRGGGEDDDDEPYLLGFIVANAVGLQYYQGGRAITRRESVGLVREPHNPHDANAIRVDNARGEKIGHIGRRAAAALAPLLDAGHVAAAHGIVPKPASKRLYSLPCQVHLFARPPHAALVAAALAASGIDLIHVDHPEFALSESAIVQEQQTKRSRGDVDRLFSHVGKGGRARIAPMEAPRDVVVSELFEHQKAALGWLVHREESCDLPPFWEEDNDGGFKNVLTNQKTNERPPPLKGGIFADDMGLGKTLTLLSLIGRSKARNVGGKKARGAKRRKVEEAAEEESRTTLVVCPPSVFSSWVTQLEEHTKTGSLKVYLYHGERTKEKKELLKYDIVITTYSTLGQELEQEGSPVKEIEWFRVILDEAHVIKNSAARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLRFQPFSIKSYWQSLIQLPLERKNNGTGLARLQSLLGAISLRRTKETESGSKSLVSIPPKTVLACYIELSAEEREYYDQMELEGKNKLREFGDRDSILRNYSTVLYFILRLRQLCNDIALCPLDLKSWLPGSGSSLEDVSKNPELLKKLASLVDDGDDFECPICLAPPAKTVITSCTHIYCQTCIMKILKSSSSRCPICRRSLCKEDLFIAPEIKHPDEDSSVNLDRPLSSKVQALLKLLRRSQSEDPLSKSVIFSQFRKMLILLEGPLKAAGFNILRLDGSMTAKKRSEVIRQFGCVGPDSPTVLLASLKAAGAGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQTKEVKVVRLIVKDSIEERMLELQERKKKLISGAFGRKKGGKEHKEIRVEELQMMMGMK >OGLUM07G24060.1 pep chromosome:ALNU02000000:7:25645006:25647247:-1 gene:OGLUM07G24060 transcript:OGLUM07G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIALLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSEENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >OGLUM07G24060.2 pep chromosome:ALNU02000000:7:25645006:25647247:-1 gene:OGLUM07G24060 transcript:OGLUM07G24060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIALLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSELPLSFPQENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >OGLUM07G24060.3 pep chromosome:ALNU02000000:7:25645006:25647247:-1 gene:OGLUM07G24060 transcript:OGLUM07G24060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIALLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSEENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >OGLUM07G24060.4 pep chromosome:ALNU02000000:7:25645006:25646739:-1 gene:OGLUM07G24060 transcript:OGLUM07G24060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQSEATLLELLRRLQQLELTVDTLTVTEIGKAVSSYRKHNSKQIRHLVRLLIEGWKRIVDEWMSSRDAIVDHTPQSMHPSGLEQDERGLSSPSMDEGALFATPSTSIRLSELPLSFPQENQGSKFFDGMDDDGNTRSNGGRDNGRLYTRNQEPARRPLPPVAQQYDPDQSWKQEQSAMRQSRPQELSNGQTREQFIAAMLARPSNPESGPGRPQPRTKQHQDASPAQGRSQPMPSDKPASHHDENSVRAKLELAKNAKLELTNSAKLEVTKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQANRNWQPNGRPRNNSNFNNNRNWSR >OGLUM07G24060.5 pep chromosome:ALNU02000000:7:25646752:25647247:-1 gene:OGLUM07G24060 transcript:OGLUM07G24060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSMDYWLGFFRGAGDSIFDAIDAAIALLLHPEGAASVPSLCSSDRAEVITDDDGAVPRRDDDPVAAETERIKAILLNDQEKVILLLLLSLSLFLAILHFSY >OGLUM07G24070.1 pep chromosome:ALNU02000000:7:25655257:25655598:-1 gene:OGLUM07G24070 transcript:OGLUM07G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNTVGGGGRRGGGAQSEAVVPRSYHFSRDVELSPRARARRIVSAGLQRLRRAAATGLWRPRARPPPLLRLGLALPEHPPRPLPLQPPLRRRPRPGRRVCVLLVGARAATGK >OGLUM07G24080.1 pep chromosome:ALNU02000000:7:25656692:25657024:1 gene:OGLUM07G24080 transcript:OGLUM07G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSPESPCRTSRRPSSAVLSSSSRRGAAGARVILAWPVATSTSQRLLTRAVAILLTRTVVESGCAILHLVEVCWVNPEKAISVPSCQGMLRIIKTARNVIKLPSSSSL >OGLUM07G24090.1 pep chromosome:ALNU02000000:7:25657047:25679004:1 gene:OGLUM07G24090 transcript:OGLUM07G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLQAATLVYTARRWRSLSMRSRRRSPASHRRYIGLRPCRPMEWSRRQPGGHDIHFVIDALNLANLVEPPVTPSAEGHLAGRRLRAVIAAPPLDSEHVSSLRLRRPATLSRSSRSARKIQNLNHQILMKFSSPENSIKMKKNKEEEKFEVQTETDKDYPNCLLLPVEGVGSTTRPAPSTERSRNPFPLSSAVRSRSYGPQRRPEGISGGAESSCPDRTCACDDSPDPQILRWAH >OGLUM07G24100.1 pep chromosome:ALNU02000000:7:25679106:25684951:1 gene:OGLUM07G24100 transcript:OGLUM07G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTIDFGRKTHNDVLWSGPLRPANFIRNKFPTYKKSLNGIVIKLTDDQEMPSLKEAVAKETADLLDRSQRLSVRELAMKFEKGLNTATLLSNEVKWRQAALLERDILLKNLKNVLESLRSRVAGKHKDEIEESLSMVDILTVQLSKREDELLQQKAEVAKIATSLKLASEDARRIVEEERSNARIEIDNARAAVQKVEQLVKEQEIDPQINGKQDEDELKEKAQEARRVKMLHCPSKAMDIENEIEVLREQLAEKSSNCVHLLKELHLHQSYEKNDVSSYELEGLESLGSMLSIVSQSDGFVDLSRSTIQWFRVQPEGNKKEIISGAIKQAYAPEPHDVGRYLQAEINHCGEISVVKTASPVDPAAGLVDYVETLLRNPETEYNVVVLQVNGIKQPTDSIHILSVGKLRMRLAKGKTVIAKEFYSSTMQLCGMRGGGDAAPQSMYWQPRRDLSLVLGFETARERNSAIMLARRFAIDCNIILAGPGDKTHW >OGLUM07G24110.1 pep chromosome:ALNU02000000:7:25686127:25689011:-1 gene:OGLUM07G24110 transcript:OGLUM07G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRIHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGAGTNIQDNSLVHVSKANISGKVLPTIIGNSVTIGHSAVLHACIVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEMTFIAQSATNYINLAQVHAAENAKTFDEIELEKMLRKKFAHKDEEYDSMLGVIREIPPELILPDNILPNKAQKAVAH >OGLUM07G24120.1 pep chromosome:ALNU02000000:7:25693911:25705198:-1 gene:OGLUM07G24120 transcript:OGLUM07G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSMLLVQLITVGVLLLSKLALNDGMSPFVIIGNVEKGELVCCGLDFCKCCIWRCSSNGVVLLWAAYYQRHILFHLHELDPHCHLLDGHSAKGWEAGIGKLVWQADAVGCVVVRRRHYGGEPSESCLSYAIYFIVQARLVKVFPSTYLMTVLTSLLGSLQAFVVGVFLVHDRSEWRLKWDLQLLTVIYSGVFNTGLAFLLITWVIRRSGPIYPSMFNSLSLILTMVLDSLLLGTNIYLGSILGTVLVVLGLYAFLWGKGKELKLAATVAAQKEQQGGVILNTQKIQGYAELHNCGLAHLKSSALSSSSSSAVGEIQTVYKLNPTSRLLVQDDNSSALLLLFARPDTTVSTFFNLDAVLDLEQVISKAPTSQLVKYIVGDMFEFIPTADAILLKAVLNSWDDDSCIKILQQCKRAIPTRQAGGKILILNVVIGHGTPDNTTKEAQVLTDMYMMRGSGFEREEKEWESVFLRAGLSDYNIMPIIGPVSIIEVLP >OGLUM07G24120.2 pep chromosome:ALNU02000000:7:25693911:25705688:-1 gene:OGLUM07G24120 transcript:OGLUM07G24120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRCKCRDEIMRFACRETSKALTNRLPQSTLFFLGKCGKRDVLAMGLYFYGLRTTSATYSFIFMNLIPIATFLMAIVLRAGKLALGNWSGKLMLLGVLLCVGGTMVVNLVKGKMLHIWPTNLLKSHTQAPANPTGPRHDMVVGTLWLCGSCLSYAIYFIVQARLVKVFPSTYLMTVLTSLLGSLQAFVVGVFLVHDRSEWRLKWDLQLLTVIYSGVFNTGLAFLLITWVIRRSGPIYPSMFNSLSLILTMVLDSLLLGTNIYLGSILGTVLVVLGLYAFLWGKGKELKLAATVAAQKEQQGGVILNTQKIQGYAELHNCGLAHLKSSALSSSSSSAVGEIQTVYKLNPTSRLLVQDDNSSALLLLFARPDTTVSTFFNLDAVLDLEQVISKAPTSQLVKYIVGDMFEFIPTADAILLKAVLNSWDDDSCIKILQQCKRAIPTRQAGGKILILNVVIGHGTPDNTTKEAQVLTDMYMMRGSGFEREEKEWESVFLRAGLSDYNIMPIIGPVSIIEVLP >OGLUM07G24120.3 pep chromosome:ALNU02000000:7:25693911:25705688:-1 gene:OGLUM07G24120 transcript:OGLUM07G24120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRCKCRDEIMRFACRETSKALTNRLPQSTLFFLGKCGKRDVLAMGLYFYGLRTTSATYSFIFMNLIPIATFLMAIVLRAGKLALGNWSGKLMLLGVLLCVGGTMVVNLVKGKMLHIWPTNLLKSHTQAPANPTGPRHDMVVGTLWLCGSCLSYAIYFIVQARLVKVFPSTYLMTVLTSLLGSLQAFVVGVFLVHDRSEWRLKWDLQLLTVIYSGVFNTGLAFLLITWVIRRSGPIYPSMFNSLSLILTMVLDSLLLGTNIYLGSILGTVLVVLGLYAFLWGKGKELKLAATVAAQKEQQGGVILNTQKIQGYAELHNCGLAHLKSSALSSSSSSAVGEIQTVYKLNPTSRLLVQDDNSSALLLLFARPDTTVSTFFNLDAWFRDPAATTPFEMAHGMSPWSLTNDAMSHACVADSNLVMEIVLKEAHGIFHGLSSLIDVGGGHGAAAVAIAKVFPHITCSVLDLEQVISKAPTSQLVKYIVGDMFEFIPTADAILLKAVLNSWDDDSCIKILQQCKRAIPTRQAGGKILILNVVIGHGTPDNTTKEAQVLTDMYMMRGSGFEREEKEWESVFLRAGLSDYNIMPIIGPVSIIEVLP >OGLUM07G24130.1 pep chromosome:ALNU02000000:7:25707764:25708774:1 gene:OGLUM07G24130 transcript:OGLUM07G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRRSPSLPCAVRVQAAGFALGHRRDGSVRRLFFSLLDIHVRAKRRRPDAAGVRSVDVTIDASRGLWARVFSPSPTKGEVLPVVVFFHGGGFVLFSAASFYYDRLCRRICRELRAVVVSVNYRLAPAHRFPAAYDDGLAALRYLDANGLPEAAAVDLSSCFLAGDSAGGNMVHHVAQRWAASASPSSTLRLAGAVLIQPFFGGEERTEEELELDKAALTLSLARTDYYWREFLPEGATRDHPAAHVCGGGGGEHDVEVAEAFPAAMVAIGGFDLLKGWQARYVEALRGKGKAVRVVEYPGAIHGFCLFPELADSGELVEEMKLFVQEHRTKRVQ >OGLUM07G24140.1 pep chromosome:ALNU02000000:7:25711166:25712203:-1 gene:OGLUM07G24140 transcript:OGLUM07G24140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDRSRRSSSSPPPLPWTVRVQLAALSAAHRSDGSARRLLFYLGDLHAAASPRPDAAGVRSVDVTIDASRGLWARVFCPPTNTAAAKLPVVVYFHGGGFVLFSAASRPYDALCRRISRGVGAVVVSVNYRLAPEHRFPAAYDDGLAALRYLDANGLAEAAAELGAAVDLSRCFLAGDSAGGNIAHHVAQRWASSPSSPPASLRLAGAVLVSPFFGGEERTEEEVGLDKASLSLSLARTDYFWREFLPEGATRDHAAARVCGGERVELAEAFPPAMVVIGGFDLLKGWQARYVAALREKGKAVRVVEYPDAIHGFHAFPELADSGKLVEEMKLFVQEHSSNRMA >OGLUM07G24150.1 pep chromosome:ALNU02000000:7:25714905:25716102:1 gene:OGLUM07G24150 transcript:OGLUM07G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTAQSEGAERAVVDEAVRRIVVVDVAESGCGRTGGRRGPMVREDALETKTVTQSRRSLRKVTPKSRCSRTVKDETSRRVCQTRGQLETNARNGIANTARARQGTTMNHTPMLEESREGLRDERRQWQLGDAAAMLEKTQVANDDQCGRRGCRSANGDEDDERGNTMTRRGDTGAARCQCSGEKFTSREAMLRRAPLSVPTTSGGGPRAVALQPKGATAATRSLMA >OGLUM07G24160.1 pep chromosome:ALNU02000000:7:25719509:25724498:1 gene:OGLUM07G24160 transcript:OGLUM07G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFISLMSSPESASSGKTIPAYRRCQSCSVSYPPTTTIAGGNASASSALSPVTCAAGWSRSAPSGRNALQHHIVPEHHSKS >OGLUM07G24160.2 pep chromosome:ALNU02000000:7:25719509:25725652:1 gene:OGLUM07G24160 transcript:OGLUM07G24160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFISLMSSPESASSGKTIPAYRRCQSCSVSYPPTTTIAGGNASASSALSPVTCAAGWSRSAPSGRNALQHQSDRRAFSTGATPSSARSDSVRSSPLKHGCMSITPARWTTGLSVVVVVVVAVAVHRWATWCAMLPPALSPTTKQVETSTGPTWSGRPVAPR >OGLUM07G24170.1 pep chromosome:ALNU02000000:7:25727593:25728669:-1 gene:OGLUM07G24170 transcript:OGLUM07G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDVAAGGESNRRPPPPPPMPLGLRLQLIELSAAIDAVERRDGTVNRALYSVFVEHLMSVRADPSPDAATGAVRSFDFTIDAARGLWARVFAPAAAAPAATPMPVMVYYHGGGFALFSPAVAPFDGVCRRLCGDVGVVVVSVNYRLAPEHRYPAAYDDGVDALRFLDGNGIPGLDGDDVPVDLASCFLAGESAGGNIVHHVANRWAATWQPTAKNLRLAGIIPVQPYFGGEERSPSELALDGVAPVVNLRRSDFSWKAFLPVGADRDHPAAHVTDENAELAEAFPPAMVVIGGFDPLKDWQWRYVDVLRRKGKAVEVAELPDAFHGFYGFPELADAGKVLQDMKVFVQSNRAARATA >OGLUM07G24180.1 pep chromosome:ALNU02000000:7:25736677:25737273:1 gene:OGLUM07G24180 transcript:OGLUM07G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANF9] MASLTIVVAAIFLLSLTSASVVHGRSSRRRFVRSYDEPCMEMRLYLHDILYDYSNSTSNSTSAAATKPTALATAVPSTGGTFFGQVVVFNDPMTEGRALPPSLEETAVRAQGVYLYNSKEAFNAWFAFSIVFNSTGRRGTLNLMGADIIAEKTRDISVVGGTGDFFMSRGVATLRTDAFEGFTYFRLQMDIKLYECYV >OGLUM07G24190.1 pep chromosome:ALNU02000000:7:25738658:25740145:1 gene:OGLUM07G24190 transcript:OGLUM07G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKEEGGERAAPTVSASGVTPMATWYSKASLIAAAIFLVISLLSSATFANGGRSGRRLVRSYD >OGLUM07G24200.1 pep chromosome:ALNU02000000:7:25740190:25743811:-1 gene:OGLUM07G24200 transcript:OGLUM07G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12 protein-related [Source:Projected from Arabidopsis thaliana (AT5G40660) TAIR;Acc:AT5G40660] MAATLAGRRLILQRCAAAAAEGGRWRLLGTTAEASSSPGGREEAGGGGGDEIYLKKPAAAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYQRQKEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVQRMLASAPLPPWPLGRSGAPMWHPASAPTLESLKYTNPSTASVRSVATPRDMKKSPVPPTTEMSLVFSDMRSAPIRLSVPRRPTPCARAAAVSLSDGGGSALVPSVIGSSNTTTSPKKVPFGDTAVASAVGLAAAADVELAVLLL >OGLUM07G24200.2 pep chromosome:ALNU02000000:7:25739279:25743811:-1 gene:OGLUM07G24200 transcript:OGLUM07G24200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12 protein-related [Source:Projected from Arabidopsis thaliana (AT5G40660) TAIR;Acc:AT5G40660] MAATLAGRRLILQRCAAAAAEGGRWRLLGTTAEASSSPGGREEAGGGGGDEIYLKKPAAAAAVTTRDETSVAMPMSFMTGSVVGKRFYREATVRRADDGNGWSVMLDYRTLKSPAKRPLKLQSRTLAMAIAAEWEYQEADGIRPFTMPLMKLACTALERVPLTRKKIIDNLMKKFHQDLVFCRSPDDNELTVGVYQRQKEKIDPILDWVNTEFGFKPVVYTSFFGGKQDEGLANAVETVLKNTTDFELASIDAMAAAAHSLVIPLAIFRGKLGIEQAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVRIYGPVATNAGICPAATLASWSIWCADVAPSVGADPREKRECLKS >OGLUM07G24210.1 pep chromosome:ALNU02000000:7:25740158:25740637:1 gene:OGLUM07G24210 transcript:OGLUM07G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANG3] MTLYFHDILYDYSNSTANSTSAAAAKPTALATAVSPNGTFFGEVVVFDDPMTEGTRALPPPSLRETAAARAQGVYLYDSKEVYDAWFAFSVVFNSTGRRGTLNLMGADLMSEKTRDISVVGGTGDFFMSRGVATLRTDAVEGLVYFRLQMDIKLYECYI >OGLUM07G24220.1 pep chromosome:ALNU02000000:7:25744709:25748501:1 gene:OGLUM07G24220 transcript:OGLUM07G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPDLLAPFADLPFPPGDDFPDFPALGDDAFALEDFDLEDLDFDFDVDLFPPDAPPPVTTSSSSAAGSPEAGTSSTGDGGSKNEESADSSSPSRSGSDGGGGGSGKDGKDDEAKRRARLVRNRESAHQSRQRKKQYVEELEGKVKVMQATIADLTARISCVTAENAALKQQLSGTADAGAATLPPPMPMYPAVYPLPMPWIHPAYAMRGSQVPLVPIPRLKTQLPASTPEPPAKKARKTKKVAGVSLLGLLFLMMVCGCLVPAVNRMYGAAYTGEGAAIVPSHHGRILAVEGPQNSVSNGVDPKVPQNGSETLPALLYLPRNGKHVKINGNLVIKSIVASEKASSRLSNYGGKGSGNQEKEETSLAIPGYVAPLEAGEVMDSAFNELMALAPGDGSIYREDDGMLPQWFSEAMSGPMLNSGMCTEVFQFDLSPTTADANGIVPVYSGSVTNTSQNYTENLPSGPVQKVKNRRISYSEAIPLRGSISNDTDHFKAPPKNHSQSHAGRKPVSSVVVSVLADPREASDRDGEGRISSNSVSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >OGLUM07G24230.1 pep chromosome:ALNU02000000:7:25750878:25756701:1 gene:OGLUM07G24230 transcript:OGLUM07G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANG6] MHPYSLKSSKGAPFPPRPILVFLVAIFGFYVCYISFNQITLEYRSEENSGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >OGLUM07G24230.2 pep chromosome:ALNU02000000:7:25751676:25756701:1 gene:OGLUM07G24230 transcript:OGLUM07G24230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANG6] MHPYSLKSSKGAPFPPRPILVFLVAIFGFYVCYISFNQITLEYRSEENSGEVQAEIHCRKPRLPHEELRYVHFPKPESYSRGECSCNPVRFFVLVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWFTSAAKNECTAAFGLKWMLNQGFMDHHDDIASYFNKKGVSVIFLFRRNTLRRLISVLANDYDRDAKQLNGTHKSHVHSKEEAEILAKFKPVLDVSNLIPNIRNAEKYIRDCLDHFNTTRHMILYYEDIVRNRNALFQVQEFLGVPVRKLVSRQVKIHTSPLPGLVSNWDDVSNKLNGTQYAHFLDGADYVR >OGLUM07G24240.1 pep chromosome:ALNU02000000:7:25754648:25759173:-1 gene:OGLUM07G24240 transcript:OGLUM07G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPAGGPVAVAAASAAAAPALGISPEMYPTEDDLAYEEEILREPFKLKGWWRYLVARAAAPFAKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPISHHAYASLNNTFERALATMHKMPRVWVLYLTSLLDQRLLTRARRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQYDPSHAEDFIDFLISANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRTLYEKARDVFEEGVASVMTVQEFSVVFEAYTQFEQSMLAAKLEAAEEEGAGSEGEEEAGRKNGMDKLSKKFLADCWLNDEDDTDLRLARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPTRQVATYVEAVKTVDPMKAAGKPHTLWVAFAKMYEKHNRLDSAEEIFKKATQVNYKAVDHLASIWCEWAEMELRHNNFDKAIELMRQATAEPSVEVKRRAAAEGDEPVQLKVHKSLKLWSFYVDLEESLGTLESTRAVYERILDLRIATPQIVLNYAYLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLTKFVRRYQRSKLERARELFDEAVKQAPPQEKKVLYLQYAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMSMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVMTMCMKFAELERNLGEIDRARAIYVHASNYADPNSHPEFWNKWNEFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMANLERQLAPGPSTAPPAPSTATAPANRMMNFVSAGVQAQAESSSRQQQAAAANNEDIELPDESDEEEDDVQIAEKSVPAAVFGELGKRAAENREEESSGAQENEQLGALERIKRRRQ >OGLUM07G24250.1 pep chromosome:ALNU02000000:7:25766250:25771885:1 gene:OGLUM07G24250 transcript:OGLUM07G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCEHHVPYTLLGALLSGGGPHAAACGGAAFLRDYAERGTNALLWAALLAVTWLLVLRLAALLRLWALGARLPGPPAFPADPGLAAGDITGYLSKLHGSYGPVVRLWLGPSQLLVSVKDSRVIKELLTKAEDKLPLTGKTYNLACGKLGLFISSFEKVKSRRESLKSFLDEKLSVGASGSSFKIIQIVLDRIDSIMARDFLDCRYFSQHMAFNIVGSALFGDAFFDWSDASAYEELMMTVAKDACFWASYAVPPFWKPDYRRYRTLCAQLKILTQGIVAKSRNQNGVLSLIDLSSSQRSERMIKDPCRGVSLLDGVISSRCLNEAAEGPLSSEEEICGNIMGLMLHGISTCANLIGNILTRLALYPNLQCQLHSEIVSGHSESSELKIDDVLRMKFLLATVCESARLLPAGPLLQRCSLQQDVNLNSSITIPAGAILVIPLHLVQMEASTWGNDACQFNPNRFLKKDINFEEILAAAHKGSNGINLFTDECDKTESFLPFGSGSRACVGQKFAVLGIAMLIASLLRSYEVQPHPALSKEMESLVDSNSLHHIPNPKIILKKRSI >OGLUM07G24260.1 pep chromosome:ALNU02000000:7:25788620:25797266:1 gene:OGLUM07G24260 transcript:OGLUM07G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNGHTFQAEEGDRLSNLPDDILLDILARLNISTVAKTSALSTRWRHLPWQLTKLDLDVAEFLHAPVFNSITTVHMDQAMSSLTKAATSLLSVPQRDTSIRNLGLKLYMMGSYSSNIGSVLSQAIEAGIVKELDLAVLHEKRHIDCNDDDMLHQARAVKVFAGAFPRVIHCITRLSLYNVRLDGDIHHILFDCCTQLDYLSLEHCDDGSRAVWKINAPNSKLRHLELAVCFFGRLDLVCLPKLEYIYWEIWFTPYAPLSFSSVPSLVRISMLWMQPEQKQICPAFNNLKKLSILCIHVEFDLLWTINLLEAAPSVELLCIDTWEHVCLVNKEDEDGRKLVYGETTHPSWEISEFTGTRNWQLKELQFTGFRPLKQQLVFLKAIMEQARNLQTVILKEEEPCEDCEAIGTPLSCIKDYDFPKSKDEQDNVVEQLREKISSDSQIIFLCL >OGLUM07G24270.1 pep chromosome:ALNU02000000:7:25798781:25799768:1 gene:OGLUM07G24270 transcript:OGLUM07G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLISSPSFMASAAASSSWWSPLLARGGPVRRVSRFPWEPRNMTTISRVKGDESGKPYRRLPSQEKKHTAITQGMKTIDTRGTILEARAGDEKSNRDAASSEVSYIKYDVLGQSAKQDGCDEDDRRSETEEHVEEDEVLDPEEYTVNNILPKSRHRDGSIYMDIMDTPWKREFHIADRNETLP >OGLUM07G24280.1 pep chromosome:ALNU02000000:7:25808600:25812595:1 gene:OGLUM07G24280 transcript:OGLUM07G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDYALIEYDMSIKTGEQEKDDLQLIDGASMIGPAGLWNRPDTICIPGDYGAVDITLSRFYCSAEATVEILISEVQSSFNLLLGCLTSDLDKEIRLFDGVISESRDVKRSVERDLKFKVGVFPSSFDQHYVSFKEKIYGYDTQEIKTDFTLISVKLWVQPEQKQFCPAFNNLKTLSILYVYVEFDLLWALNLLEAAPSVELLFIDTWEHVCLVDQMDEEGRKDIYGERTSFMGDIRVYWHQKMAIEKTPVCRL >OGLUM07G24290.1 pep chromosome:ALNU02000000:7:25811975:25813485:-1 gene:OGLUM07G24290 transcript:OGLUM07G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRISLVCFLVLASSLLHCARSDGSDSQLLKGINSYRASLKVPALSENKNAACLAEQLAQQFKDQPCTNTTGANTVPGTEHQFPDYPKYLDHCHLNASVTGDGQVMPACVPGLVPDVVLTNYTKSQYNQYLNDTKFSGVGIANEGDWVVVVLSTSSGSGDYSPAPPGSNWAPSVHPFNQLILLLVGIVCLLMI >OGLUM07G24300.1 pep chromosome:ALNU02000000:7:25816735:25837902:-1 gene:OGLUM07G24300 transcript:OGLUM07G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKTLSFLTYLLKSNADHIKSYEESICKSIVNLLVTCPPDSELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSMGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTGQSSSNMATGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPTVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLVCS >OGLUM07G24300.2 pep chromosome:ALNU02000000:7:25816735:25837902:-1 gene:OGLUM07G24300 transcript:OGLUM07G24300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPINSSNMEQHSQKLLEPDLPVQVRLQLAMEVRDSLEMTHTPDYLNFLRCYFRAFSAILSNFTAPQGTENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVTIYRNFPNTVTHFFDNPNVSANIAAAVPNQHLDPTADAPGTVAVPGGGQLNPSARSFKIVTESPLVVMFLFQLYAKLVQTNIPYLLPLMVSAISIKGPDKVPPHLKTPFVELKGAQVKELLVGLKQVLNTEYRRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVRGDLSLPQGRILDAFVGKFRTLKRTIPQLLEEGEEGKEHQNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIRTLVLGMKTIIWSITHAHWPRPQQQSQQSSNLSVQPFRGLREDEVRKTSGVLKSGVHCLALFKEKDEERDILQCFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSSLLQAPKVLRPFTDVLINFLVSSKLDALKQPDSPAAKLVLQLFRFLFVAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRSMFRALNIAKFDSLMRDLIPSLQPCLNMLLSMLDGPTSEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSDVILALWSHLRPPPYTWGTKSLELLGKLGGRNRRFLKEPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHFAVSAVMQGNSMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKTKTQLLAEKSVFKVLLVAIIAANSDTSLTDEKDDFVVDLCRHFAMLFHIDSSSSSQSGYVQPVGSSLSSSMGSRSRNNTSSNLRELDPLIFMDSLVEVLSSENRQHAKAALSALNTFAETLLFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYGSTWQAQMGGVMGLGALVGKVSVDTLCIFQVRVVRGLIHVLKRLPMHANKEQEETNHVLTQVLRVVNNADEANSEHRRQSFQGVVEFLAVELFNPNTSIVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRSLRSKNIEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTKMMNAKIIMTWNKLRTACIELLCTAMAWGDLKAPNHSDLRAKIISMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLAHTRSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQSQKSWKAGDEPKIAAAMIELFHLLPPAASKFLDDLVTLVIDLERALPEDQFYSEINSPYRAPLAKFLNRYAVEAVDYFLARLSHPKYFRRFMYIICSDTGELRDQLAKSPQKILASAFSQFYSQTEAAGNQSSSVKDEGLTGAITEGFTGQSSSNMATGSDSYFNGLELISTLVKLMPEWLCNNRVVFDTLLLAWKSPSRIDRLQNEQELSLPQVMESKRLIKCFLNYLRHDRTEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYAPNLKKIILNHFLNIFQSKHYGQDHLVVAMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKILVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESENPSQIGDMLSPVIGGDPKRSSDVPTFGDDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLTPSQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFNNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLYQRVQDLIQKHLAAVTTPQISLEPSNANSIISFALFVLNALAEVQKNFIDPFIGLLLRVLQRLARDMGSSAGNHVRQGQRPEQDSSVNSRPTVDPTVISNMKTVLKLISERVMASSEFRRSMGQILQALLSEKGTDPSVLLCILDMIKAWIEDDYRLASSTGSVSSLNPKEIIAYLQKLSVVDRKSFPPSVQEEWDAKYLQLLYSLCGDTAKYQMALRQEYFHKVERQYMLGLRAKDPEMRKRFFKLYHDYVGKTLFARLQFIIQTQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMTSGPVSDRMIMPQQAPDAQESLDGTSLSFDSLTTRHAQFLNEASKLVVADVMAPLRELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWHTSIALLESHMMHMNEAKCSESLAELYRLLNEDDMRYGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCATQLGQWEVLADFGKGVENYEILLDCLWKAPDWTYMKENVIPKAQVEETPKLRLIQSFFTLHDKGTNGVGEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPASGNSGANSNHHNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPDVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCENANVAYSNAITLFKHLPKAWISWGNYCDMVFKETKDEIWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPAGRALDKYLEQLPHWVWLSWIPQLLLSLQRSEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRIAQQRMQQAMLANNAANNLSEGNARTSNLGGGNMTSDNQVHQATQSGGAAVSHDGGNLQGQESDRSNVEGGTSAGHDQGQPSSTGADGSQMPARRNNGLGWVTSAASAFDAAKDIMEALRSKHTNLANELEVLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNVLQSNVEDRFPAILKLEEESKILRDFHVVDIELPGQYFTDQEVAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRQRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGNHLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNMQAFFSNFGVEGLIVSAMCSAAQSVVSPKQSQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVAAGMINPLDFQQKVINNVEHVITRIKLISPHYLADEVNYFFKLDFCFSGYIIFSDFSHAYFPSWPLTFKMIMLSLLILSLLSYCYLERPLMHKVKYSASRGVSLVCS >OGLUM07G24310.1 pep chromosome:ALNU02000000:7:25841137:25841814:1 gene:OGLUM07G24310 transcript:OGLUM07G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGIKEETKAAAAAAAAASSEQTTAAATSNTTTVGDMADTTFKSIGDVLKLLPTATVIVYEVLTPIVTNTGDCHVANKVVTPVILVLCAFFCAFSQFTDSYVGADGKVRYGLVTARGLLPFSGGGGADGGDAAGRDFSKYRLRFGDFVHAFFSVAVFAAVALLADANTVSCFYPSLKDQQKKVVMALPVVVGALASVVFVVFPSTRHGIGYPPAKPATASLASL >OGLUM07G24320.1 pep chromosome:ALNU02000000:7:25843068:25847148:1 gene:OGLUM07G24320 transcript:OGLUM07G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:51 kDa subunit of complex I [Source:Projected from Arabidopsis thaliana (AT5G08530) TAIR;Acc:AT5G08530] MALRRALLRSAEISPDRKAALEYLHSLSRAQPTRSLTGAGLYSAGKSFSTQAATTSSTPQPPPPPPPLEKTHFGDLKDEDRIFTNLYGQHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLLKAREEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIEKHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >OGLUM07G24330.1 pep chromosome:ALNU02000000:7:25847873:25853127:-1 gene:OGLUM07G24330 transcript:OGLUM07G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVLAGFRALHPRPRRRRHGGAEGKARVTVRRLGSNGRKEAAAAAAGARRDNRGDGATGEAVTIRVATFNAAMFSMAPAVAAASSAETATETARRVTTPAAAGGRRPKGILKAQASLARTASKARVSINLQDNEISRERSKLGSTAARSTTTATTTPAATQQLNGGAEGRRRSVEEVLREVGADIIGLQNVRAEEERGMSPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKSQRVADQSDFRNVLRATIEVPRAGEVNFHCTHLDHLDESWRMKQMNAILRSSDGPHILTGGLNALDGTDYSDERWADIVKYYEEIGKPTPKAEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYTVISSKGTSDHHIVKVDVTIQDKKETDEESGNQRQRVVKINKKCSKKGLWAAK >OGLUM07G24340.1 pep chromosome:ALNU02000000:7:25870183:25872112:1 gene:OGLUM07G24340 transcript:OGLUM07G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQELSWEGFHPNSAHQLLDGMPSQPGMSKEDQRISEPVLINTTMNKEEKWLDEALDRILEKFEQMEAKRRQEDKLNQIFQKLEEIEARRSKASEETIAAIRATTAILKAASSSTPMAPPPPAPTNCLMECHNNNITWVAVNSSHIREVPAPMVAFELGDVEDKDPVPYIAAKDLPKVTPTKCSTICSSSDTKPDHTVATVVTCATLAVSSMELVAIDGTTGSTNIDTPDSTKAMPANCSTVGLDVKGGAVHARVTCQIMMGVPEGVVLPDASSEVLSPWLIAEMDLAKLMPTECLMKCLKAIKKLLVGHPKRDPWPPPWSGGVVRGGEVWHIPCLWLVQNSNSIGQGYIICHLGRHLPKNTFVQAAQCKCWKTRVGMSLCARMEQWNLLNQESCTMVALSSLQEHINGQEQILCKPWNPRDNRTSIDIILLNSWSLVHCYHLGHIVDLTCLEALAILVCHEMVKFGWAGTVYSDQDRHTVVRPARAFVRHELGIGNGSHILHVSEAGARCGSMRKLLELIRNERTFQIKIMVKNLLQELLLKYLMHMH >OGLUM07G24350.1 pep chromosome:ALNU02000000:7:25894180:25897369:1 gene:OGLUM07G24350 transcript:OGLUM07G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHEDRLSMLTDDILLSILGRVDIISAVRTSVVSTRWKHLPWLLPEFTIDVKDFLPVPQPNRIKVEHMDEAMASLTKGIRSLLTIARSEFPINRFQLKLYLINNYSRVVGPMLDKAIEVGILKDMDLSVLDEEEIVDCTDKHMLQQASSVKDLFSGYPSVLTRLTRLSLYNLCFARWDLHHHLFECCNQLRYLSLSNCDVGKNAIWKISAPNSNIIVLELDVCCFEKLEVLCLPKLERLNWDTWLCPYAPLLFDVVPNLQEVSLICGATNKHEGFILSEVLSGTTNIHTLTLDFQGEKLWMKPEGKQLFRAFSNLRKLSIHSIFSEFELLWTTNLLEAAPSLEMFDIEIWEHTCDVDREPKVFGERPNPAWKAPDVTSFRNSLLKELQIVAFRPLKQQLEFIRVVMQQAPNLGTIILKYDDPCEYCEALGIFPPRSSTECVFPKSKDEQDRVINLLKDGVCSPAQIEADDDDMLSMLNDDISLSILERVDIKTAVRTIVLSTRWKRLPWMLPELSIDPNRIEDLELKLYLINSYSSVIGPLVSEAIDIGILKDLNLAIPEEKPMADCTTK >OGLUM07G24360.1 pep chromosome:ALNU02000000:7:25903460:25907444:-1 gene:OGLUM07G24360 transcript:OGLUM07G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRQFLNLLVDNGIPRAKSLHCVKLTRHRLFNTTKPTLLPLIGDGNEPKNNNQSMEKIVLPRPSFNLQLLGQEEDRRSEMQEQRDANDDDKEVTDDEDYSMDILLPKSRHRDGSIYRGIMDIWWKKELRIADRNEKLYGYTAVRDDLDPLLNYIINFSRDDPIIVEQGSLINMMGPKRGIDLMDFALIEFDMRIKTGEQEKDDLQLIDGATLLWTPGLWNQPYSIEILGDYGAVDITLAHLHNVVEATVEVVISEVQSGFNLLLDCLTSDLNKEMRLFDGAIVESRFLKRSVVAVNWKSSIDLKFKVGASPSSFDQHCVSFKSKTHGHGTQEIKTDFALISVKVTWSTLPSGLD >OGLUM07G24370.1 pep chromosome:ALNU02000000:7:25909505:25923765:1 gene:OGLUM07G24370 transcript:OGLUM07G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 family [Source:Projected from Arabidopsis thaliana (AT3G06290) TAIR;Acc:AT3G06290] MSASGFGRDAGPLNRGPGTAPFAFGAGAGAASTPPAPAPFPSARPVAPIGPPASTAAASRFLSPRPQLAATPRPPATSPVPVPSSSAPRGPAFAHGAANPVRFPSSRPVIDPGVPAATARHVGRHLQPQPRPAASSIRSPVRPVISSRSRSTSPLTNQRIDSPADYDNGMGKRRVVNYADPLFENGSAPIEDMRTQPSEFGKTARSPTSNITSEFRPPSGFQNYQPVQAANPLEYKPNVTPAMFGNQNLHDVRAAPYPALNNNRLVPGSGRLRPALGGGASPTVLGDASQYDNSTQSVMARQEMSEHMRPVSQRFLASFQSRSLDHNISKRSRSLTLSHQDADGAEAHQDAGVNARRLIDYTDSLFDDGMVETSKRMKSPSLEFTSMVKSPSSDIRGDTRPSPAGLRSNSAAQNLRSSVDIQNASSSVPKVGNQVQFRIGDVRSPPYQIDPYSNEQNTAAVSPPKPSILGASKRIGTSLLDFTDDDNMIPSTESEREKQAKAKRLTRFSVELSRPVDNINDFVKAQKGSADKQKQASSMGKVPTGSKDDIDERSMADADSPGLAAIIGLCPDMCPEPERAERERKGDLDKYERLYGDRNQTTELLAYNRTAERDADLIRPLPVLQKTMDYLLSLLDHTYDDNFLGLYNFLWDRMRAIRMDLRMQHFFNQDAISMLEQMIRLHIIAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVLFPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPDILFAREVARACRMGNFIAFFRLARKATYLQACLMHAHFAKLRRQALASLHSGLQNTQGIPISQAVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSETDFPSGCSQLVHLKKSQRIINDVSSGPVCAPTSQKEAVASNSGGFALTRGHVHPQPSLLVKREFGLSFPGPVSPTSGRQTTSLYSGSFSPKAGNKQSSLPSSSPMSPTSGKKESVHVPFSTPPHTTKQAILPRTGWIDEQKVASPKAESNTKAADDFIPEDQDGGLVGFPRGQPDVPWTQANIQQDSALEETKFSPPLADGVSLDYSNMHGEENEFRPDGSSIDADMDEESPSHREVNFIQPESFVGSHLSDSDHKEYDDHNIGDRAADNMLPVVVSPKKIISNERLKIILRKWRHRAMDKRFIREQKNALAIAALSSLSLGPPVHQTAVVPVHAVHDLDISHAFKERHSRQQLSLSPLNVSELAGPILTERNPDARCICWKLLVLVPPGTMEFTSNYASKWLLKKLMGSGNEDAGLLFSSADLSIWTKWNSSPDTCCLSIARAIDQQIIGNDIANGTNCIIFLVSETIPWEMQKARFSSLLASIPAKSNLPLLILSGDTYNEEYDYASQSVIDRLGLGCLSEEKIASCLVIFLVADDMEGYANGFFDDEKLRGGLKWLTRNLPSQPDVTLVKTRELLLNYLNPSLDLLNSRAAPEVCPEHCISVFNKSVNQLTEEISAAAYTASNQWPAPEINLLERSSSERIFAEMFLPSIGWSLPSRIQPLVAAIKSCKLPEFSYDMSWLNKGSYMGSQIQDQKLYLEECLTKYLTKSAHLLNEAQAATEVKVMVQKGVGLELRGSQYHLVPRWVTIFRRIFNWRLAKLSTGEFSEAYVLSQHLYQTPAADSLPNGGTQHDLSASSNTTDEAAPILEDRGMAPSVSSRLSLDEIIEISCDLDAVSAPPPAKPLPPQPPTQVHYEPQPPGGVNGVLGAGDGVRMPRRTELRDLVPIERDDKLARLLEQCSKLQDRIDGTLSIYF >OGLUM07G24380.1 pep chromosome:ALNU02000000:7:25920175:25923751:-1 gene:OGLUM07G24380 transcript:OGLUM07G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSKWDNPSLYIQTRCTQPKTQPEYDFGICSVQGKHEIAPVGHRMLHARVRKTSMYRDVYSLWITEHHQRFTDYSEGEVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGSCCCAMAVVLALQSVRHAPVANPLGAVARVFLRAPAD >OGLUM07G24380.2 pep chromosome:ALNU02000000:7:25920175:25923751:-1 gene:OGLUM07G24380 transcript:OGLUM07G24380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSQDVVDMVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGSCCCAMAVVLALQSVRHAPVANPLGAVARVFLRAPAD >OGLUM07G24380.3 pep chromosome:ALNU02000000:7:25920511:25923751:-1 gene:OGLUM07G24380 transcript:OGLUM07G24380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEDPAAAFREALPAANAEMHAADEVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWREGRVAAEELSQDVVDMVAAYEDPREACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENPGPAGSQKMNGSSSGAVQPELTVFVPSEASHLNRDAATEMPSSSSGSPTEQHLSCVAPSPTHPLLGVGRTSETPKLIESERAMSQPAEASWHQRDGVVDMDRSVQRSIPTASC >OGLUM07G24400.1 pep chromosome:ALNU02000000:7:25937940:25941283:-1 gene:OGLUM07G24400 transcript:OGLUM07G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLISSPSLMASAAASWCWWSPLLARGGPVRRVSRFPWEPRNMTTISRVKGDESYRRLPSQEKKHTAITQGMKTIDTRGTILEVRAGDEKSNKDAASSEVLYAEYDILGQSAKHDGCEEDRRSEMEEHVGGIVVSEEDEVLDPEEYTVNNILPKSRHRDGSIYRDIMDTPWKKEFHIADRNETRLEAMRFSNPTNCVIRSNETCMSHVHCRMLQILSLELAKITLDGGSVELYGYIAVRDDLDPLLNYIVNCSRDDPIIVEQGSLINMEGPKRGIDMMDYALIEYDMRIKTGEQEKDDLQLIDGASMIGPAGLWNRPDTICIPGDYGAVDITLSRFNFSAEATVDILISEVQSNFNLLLGCLTSDLDKEIRFFDGVITESRGLKRSVVAVMEDCFIDLKFKVGAFPSNFDQFYVSFKEKKHGYDIQEIKTDFALISVNVTWSTLPAGLK >OGLUM07G24410.1 pep chromosome:ALNU02000000:7:25949749:25954298:-1 gene:OGLUM07G24410 transcript:OGLUM07G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEKAGSNASARVELGIHHSGGNASRHGSSDVVDLAPTTSGKGDRWIWPSVKHARNQINEWTRQVTRGLIDSLLPPGSVGPTTVIVLGNTIYLKGSWEHPFMVKNTKRKPFYCLDAGVVVHDMSYMSSSNSKQYIVVHGGFKVFKLHYSVPKLRNKHKRGRGDSNDDDLTHNP >OGLUM07G24420.1 pep chromosome:ALNU02000000:7:25955871:25956685:1 gene:OGLUM07G24420 transcript:OGLUM07G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVLNSKEAHGIFDGLNSLWSLISLDRIGGGSAGGSAVGKRCDGDVRARAEVAAAVLARKATCGISPLLHLFTRPHTTISTFVDLEGPQCHNALREGARDVLSSLTKSDASYNHAMNVACVADNTFIMDLVLNLKEAHGIFDGLNSLVDVGGGHGTAALAITKAFPGISCSVLDLEQVISKSPSPSGGLVNYIVGDMLSYIHGMTTPVSKYSNTANTRFRQ >OGLUM07G24450.1 pep chromosome:ALNU02000000:7:25999137:26000267:1 gene:OGLUM07G24450 transcript:OGLUM07G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANI8] MAPLTTVSASTADLIWSLSPSLSLVVATSMALLLVLGGVSGIRVDVIRLPSASPFPAFREAPAFRNGEECPPARGSAVEGGRVDVAMTLDANYLRGTMAAVFSILQHTACPESVAFHFLAARSDPDAGDLAAAIRATFPYLGPAVSVYRFDPSRVRGRISRSVRRALDQPLNYARVYLADTLPAGVRRVLYFDSDVVVVDDVRKLWSVDLAGHVVAAPEYCHANFTKYFTDAFWSDGELSGGAFRGRRRRPPCYFNTGVMVMDVGRWRDGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNVEGKCRSLHPGPVSLLHWSGKGKPWLRLDSRKPCAVDYLWAPYDLYKAAVPALEE >OGLUM07G24460.1 pep chromosome:ALNU02000000:7:26017669:26022726:1 gene:OGLUM07G24460 transcript:OGLUM07G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLLQELGSCIELWEYVARMHKISYTCKLSFRYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLGNLPTSKGEDEQLEEERDLIIKEIEKLVHSSV >OGLUM07G24460.2 pep chromosome:ALNU02000000:7:26017669:26022726:1 gene:OGLUM07G24460 transcript:OGLUM07G24460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFFRAASRPARPSPQELVRSIKESLLALDTRTGAKALEDVEKNVSTLRQTLSGDGEVEPNQEQVLQIALEICKEDVLSLFVQNMPSLGWEGRKDLAHCWSILLRQKVDEAYCCVQYIENHFDLLDFLVVCYKNLEVALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELSNFDIASDALNTFKDLLTKHEAAVSEFLCSHYEQFFELYTRLLTSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYIVEVSYLNIMIGLLKDTSKNIRICAFHIFKVFVANPNKPRDIIQVLVDNHRELLKLLGNLPTSKGEDEQLEEERDLIIKEIEKLVHSSV >OGLUM07G24460.3 pep chromosome:ALNU02000000:7:26015631:26017949:1 gene:OGLUM07G24460 transcript:OGLUM07G24460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFVIIVYRNLIAAAATVPLVFIFERQAHHPAAERRRRTPPLPLDCAGHPSSPPPPPSAGPPPRRRVPPPPQVDAPTAEWQVKFPSPDERRELGGPG >OGLUM07G24470.1 pep chromosome:ALNU02000000:7:26022904:26027158:-1 gene:OGLUM07G24470 transcript:OGLUM07G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAASRPMEPPTSHDILPRVLPFYHHWRKLYGPMHLIWFGRTPRLVVSEPELIREVLLTRADHFDRYEAHPMICQFEGYGLSNLHGERWARRRRVLTPAFHTENLRMIAPFVAGTVTRMLDELAERARAGAGEAEVDVAEWFQRVPQEAITFAAFGRRNYDDGAAVFRLQDELAGYATEAHSKVYIPGYRFLPTRKNRRVWQLDREIRSHLAKFVTGLQSCRSSHGDDADDGGGGGMREFMSFMAPAMTAGEIIEESKNFFFAGKETLSNLLTWTTVALAMHPEWQERARREVVALGMILNETLRLYPPAVAMIRTAKKDVELGGCVVPAGTEVMIPIMAVHHDAAAWGDDAAEFNPARFAADDDGGRRRHPMAFMPFGGGARVCIGQNMALMEAKVALAVVLRRFEFRLSPAYVHAPRVLMILSPQFGAPVIFRPLTSAEA >OGLUM07G24480.1 pep chromosome:ALNU02000000:7:26033722:26038759:-1 gene:OGLUM07G24480 transcript:OGLUM07G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSFALIHASTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGALKVGMKVVMMSPGFVYEPYSIREPIPFWKSSLPFPNTDPSASFQDRIVRVSSFKLNTKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMARGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >OGLUM07G24480.2 pep chromosome:ALNU02000000:7:26033722:26038759:-1 gene:OGLUM07G24480 transcript:OGLUM07G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial inner membrane translocase complex, subunit Tim44-related protein [Source:Projected from Arabidopsis thaliana (AT5G27395) TAIR;Acc:AT5G27395] MALARLGHAVTGRLRRPLHLLHPPPPPLTDHHASVAHSFALIHASTRARGFASSPYNAGGVIGYRGRSPVYTVKVLELLFQINHTRSMSTAAQAEPPSLSKAPTPSQTSSKVPLGALKVGMKVVMMSPGFVYEPYSIREPIPFWKRWFTPSGWRRTKEDVILEMKNAYAVSRLRKKTGYTKKEFYDQAFKIYKEVNTLMARGDTSSLRKILTERMHSTIKNELKKRQSMWSSVHWELVEPAVCIRTLRARMIGLDKNDLDKAFIQLTLEFVTKQKFEAYNTKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRITL >OGLUM07G24490.1 pep chromosome:ALNU02000000:7:26040661:26042040:1 gene:OGLUM07G24490 transcript:OGLUM07G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILAEPEPGPSSPPPPPETETEQEHAGSTSTPPTDDDVGGGGGGGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFGTGLRRETEALRDAAARAARDLPAHAHALDGLADIVAQGKDAIAQVAAAAAAAPATGHSDGGGESEPSSASGQVRYSRFEAQLRALQSDPATFTSDPEDSDDFAAWRVGFSVDERSGEIEALCYESDALEGMVEKLVPGTVESEVFWARYFYRVHRLKQQEDARAKLVKRVFAAEEDEEDLSWEVDDEDEEEQQRAEAKEEGSKQEPIEEDVERVAGDKESERIVEEKEVEAVEESRGLEKEQQNAGAPQPEVFGSSMVVVDKREKEEASVSNIEESSDKKAVTEEPRSSTGDDAVKDGAKHETSDSSKDSDYSMVSRQRTTTEEEDLEWDEIEDLGEHEEKRGSNNDASSALKEELRKRLSVAEDDEDLSWDIEDD >OGLUM07G24500.1 pep chromosome:ALNU02000000:7:26043536:26045849:1 gene:OGLUM07G24500 transcript:OGLUM07G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQAAAHFILGRTRQEQYPGPNFTQYNGPFTTFPAQSGPPESVHKSRCLVASFPSSSVRSTISSQIHLLRRRRRRRRPPPTRASQPSRMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >OGLUM07G24500.2 pep chromosome:ALNU02000000:7:26043905:26045849:1 gene:OGLUM07G24500 transcript:OGLUM07G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWGEGFVRIALDCSRDSWLGGWEDSCRVATLGEGFVLMARIQLMRDAPKFRVLTMTEAPFVPREKLFKQQQYFQNLTKHTYLKGRYDVITSVAIPLALAGTSLFMIGRGVYNMSHGIGKKE >OGLUM07G24510.1 pep chromosome:ALNU02000000:7:26047976:26049294:-1 gene:OGLUM07G24510 transcript:OGLUM07G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQCSKVVRGRGRNKRKWTADEDEELVKALCEVSLDPRFKVEGGGFKNCYSQGIENILAQRLPGRGIRSSPHVDSRLKVLKRKYYAIKDMLALPRFSWDGMRKMIQCEKELYDAHCKDHPRAKSLYGIPFPYFDTFDVIYGKDRTGREEEELSDEVVADMENENTDEVGDEDGDKDRESAGPSGRSLDVASSCKRQKKHSNGTKRSRTESNFPSPRMLKDVHSHFQSSIQHVSTMATAMELFKDVHNHFQNVVQHANAMATAMEMFRDAHDHFQGAVQSVSSAASAIERFKDAHDRFQNITHHGSMVAAVMECGTDHTQEKKMCEEPQQKAKVTAIAEIQKLGLTGSEVVFAASIFAKEPNQMEMFLALPEIYKRDYIVQMLNGMLRDPQIPHKFHDCSSPHSKNDEGVGQ >OGLUM07G24520.1 pep chromosome:ALNU02000000:7:26052621:26058225:1 gene:OGLUM07G24520 transcript:OGLUM07G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G09720) TAIR;Acc:AT3G09720] MGLSRRFLNLIVDDRSQSQGAKSLRCLDLRRHKLFNTTPPPVVLPLNGDGDGPKNKQAMHKIVLPTPSFNLRAPHFFPAAADRRVFSLDQSGRAFLLDAESPRMVILPRLQKPNLEPIALYIPCPKLDLDGYGSGSGGGNLFIMDRIVKPKAGCNHFEFEGEALIYSKHSPNILCKRWDYELLPPLPLNVRENTTSLEISSYAVGFKEEVLVVVGGGGQRLAMEKAKLSSALFAGTHFDRKRFAGDFARFRQGPPGPDVASAAAPSPEKKRKRKSKAKAKKSKKRRAEGADSASDAVEGFSVFKGLAAKKDEEDSEKKVKTSKSEDSEVVRRRKEVEREIERAAILRKRFDIHISGQNVPAPLENFEELVSRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPILMKIKPGSKEGVKAVILCPTRELAAQTTRECKKLAKGRKFYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVQKRDLHLSRVEYLVLDESDKLFELGFVEVIDSVVKACSNPSIIRSLFSATLPDSIEALARTIMHDAVRVIVGRKNSASSLIKQKLIFAGTEKGKLLALRQSFAESLNPPVLIFVQSKERAKELYKELAFDDVRADVIHADLDEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESASAYIHRIGRSGRAGRSGEAITFFTEEDKPFLRNIANVLISSGCEVPSWIKALPKLKRKKHRVNRDPISTLPDED >OGLUM07G24530.1 pep chromosome:ALNU02000000:7:26058260:26061454:-1 gene:OGLUM07G24530 transcript:OGLUM07G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDTTADHGGGGAGDVDIPMQILVQSPPLPPPTATATARHRRTHTGDSTASSYEPQRRPVEHQVSSMHAATASDDGGGVDDVVPERKVTAFALHVAVLEKAASHVGAVCFVWATVVILGGFAADLDARDFWLVTAILLVEGTRVFSRSNELDLQEQPMHLPDSAGAGDDDDDDDPPPPPHKAAAASPAIHLLPLGGWLVEARNVSYVLYWLQLLSASACVALSLLRLSTLRFAGDNGGGGGGGGNKNAYYALMLFYVLALSEAVIFLVERAYWEWVLSYRRLVEAVSGECDLGDAGVVPIKRFFYRAFSRSVEGGILDATRMDLVSFAVELLSSDSGDEQLIGAHILRGSIANRDSARRAVRKIGTSAATVERLVEMVSWKSPSKRRVRSLAAEVVLRLAGKRRNLIRVATIPGAIESISTLLETPATGGDHAGDDLAMNEMGLHIMKKLAREHGNAAKISSTRGVLSRIIHFTRTSRAALQIGAGGEGSLPAKTVLRSLQVVKNLSSTPGHTGEAIRREISDNVFVLGNIRKVLQHGGERHGKMQLTAIGVLADLAIDGDAKEKIGCTGDMITHLLDMFAGSPESAPAVAYAAQAAAHIRLQAGEVVALLALESAANCDRILREAAVVERLVMTLHHPGLQITSSRILLNLCRYSRSDHFLQLGSLTAAVPIVFKAINYLMNDLCYLISQVFKAIMVEKSSLLEVSIGLAIQITRLATPEFHKEIFGKAGVPDTDIARRLVEILKEHRTPRVKVPRMRRFVIELAITMMRGHAELVPFFRSMELEKELRSVVRSTSELESFNMFSGSIGLSRHSSTLASLVDDAMEIMKTLQDS >OGLUM07G24540.1 pep chromosome:ALNU02000000:7:26061875:26064020:-1 gene:OGLUM07G24540 transcript:OGLUM07G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTPRALLQASKKNNAVAYAKSTQVILVGYPPRRTTVAQVNASVPRALPTLSTEATTRTKQFSCSSGIALCQLASDNSHQ >OGLUM07G24540.2 pep chromosome:ALNU02000000:7:26062914:26064020:-1 gene:OGLUM07G24540 transcript:OGLUM07G24540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRTPRALLQASKKNNAVAYAKSTQVILVGYPPRRTTVAQVNASVPRALPTLSTEATTRTKQFSCSSGIALCQLASVYLLKGQGCRQSGDS >OGLUM07G24550.1 pep chromosome:ALNU02000000:7:26063044:26066382:1 gene:OGLUM07G24550 transcript:OGLUM07G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTENVLVDVAERAAQPEASWHSAMPEEQLNCFVRVVASVERVGNALGTLAFTWATVVLLGGYPTSITCVDFAYATALFFLEAARMFCPNRSEYQLFFRTRGALRPFSWNRVIVVICLNNVGLFLPSTKSKELSVSILMLVAATLPFPGVHKLKGGPLRNAISLLSPLVVMVLLIPCLWCKDTTPAPKWHLLLLARAFYTLLLVMVLLLTISKLQFPSIVRLVHRLVIHKSLSCHQVILFSCMYLAAVPLVFFSPFLLVMIVFALLTAMCGSLQIPAAVMRIVIGSICLLHQDYYGKGGHANNSDKTNLKPTLNVFYGMVLGQGILYIVARIMEFFSFFPRRSLARRGGFRGQQGVKSVDMYYTHAFEKCMNASILAPKKLNLTNFAMESLESDSRKKQLCGVRILYSLVNREPYDKQVLSKVTNSMKTVTTLIQMLGWTNQEDNQIRLLAAKITAELARGLQIVTIPGAMNSISSLMDNQNKQQIQELIIQKDSGGEENCWILKLWHQMTKKWSILEEEQWTETDVFPVLGLVTLERLATYDIVNCMEISRSMDLIPKITEFTSNNSERICVNETSQKILIDLSLKVLRRLASIGGETGITLRHKISEDPFLLGNLAEILEDSKSSQELRKLTIDILIKLAMDETTKREIGSIQVIVQMLMFAFTAQDDLPGAYSDCSMTMKAGQALSMLTLESADNCSAIMKEPGHRFFKDVARMLVHGNRYIHVAANVLQNLCKHSRVELGDSDLVELSSVLPEVLGQVMDAEGKELEVLVGLSSQICKVSPKSFSKALEQGQKEARFVEKLINGLNANMKPNPQFPGIRSVIVEQCIYMMESSRYATYFRNHELMEALIRVEKTPSRAEKYRLFLGNTGLIEHRVNLSSLVERAKQLMAVHSTQQP >OGLUM07G24560.1 pep chromosome:ALNU02000000:7:26069752:26072264:1 gene:OGLUM07G24560 transcript:OGLUM07G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESPQEWKQMQDPQFVNLGSGRFCIGRFFHNRTPNDDESGGQNVTVLTGVEFANVRDGILNLGLGLLTIESTMGLSRRFLNLIVDNCFPGAVSLLSIDLRRHKLFNTTTPADLPLISRSVSESERPPCAANANNHQKKRKKKTVKMRMLHLPAPTIDLEASSRGYSWNIKCSPLTDRKLLCTDNYGRHVLFDSETHQVEDLPFLNEPKNSTFSIFIPGAATDTNGCDDNGGGGSIIYIMERCPSHEQEQRLLSGQFEAFVHGRDNTWSSQLLPPPPFIYDPKYKRPKISSYAVLVADCGRSHICISVDHVGTYCLDTVKHTWIRVGDWTLPFTGKVEYVPELKLWFGICTSDWKLGAIDLSTILSTATMEDSQPQLVGTWKELEAPQHWSEMRCPQLANLGSGRFCIARFFHTWTTPMEPNSFGYDSIKVHSFSDFDSDSDSIEFEEHSFTVLTGTDVVPCVHDGNGAGNACSNGNNANGSNGKVELRMIKHNSKSHISDGIDGTIRLVF >OGLUM07G24570.1 pep chromosome:ALNU02000000:7:26074160:26077439:-1 gene:OGLUM07G24570 transcript:OGLUM07G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETFCGKHILAILLNHFPLAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQKQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVWPHVLLYELLFSFDELSTLPEKN >OGLUM07G24570.2 pep chromosome:ALNU02000000:7:26073603:26077439:-1 gene:OGLUM07G24570 transcript:OGLUM07G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQKQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVFVPLAPAWNT >OGLUM07G24570.3 pep chromosome:ALNU02000000:7:26074160:26077439:-1 gene:OGLUM07G24570 transcript:OGLUM07G24570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSTKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVFVVGARGAAGLVLTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLIMNLGKWAKLYQLASMGFAAFGVFLLAKRALQHFLERKRRHELQKRVHAAAAQKQAREAEGGNGTSDVDSNNKKDQLVLDICVICLEQEYNAVWPHVLLYELLFSFDELSTLPEKN >OGLUM07G24580.1 pep chromosome:ALNU02000000:7:26079020:26093438:1 gene:OGLUM07G24580 transcript:OGLUM07G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSVLIEWLFNQFQERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHHRILKQLPGSSLFEILEEDRITEEQRLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSVLRFINPHSVEEEHCQIKTNPQGSSASTVTQVDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAASNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >OGLUM07G24580.2 pep chromosome:ALNU02000000:7:26079204:26093438:1 gene:OGLUM07G24580 transcript:OGLUM07G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYAGAGNNSGFSGGGGAGGGGRDSSRLDGSPYSANNYPLSSRRQQQLAPYKLKCDKEPLNNKLGPPDYYPQTPNCPEETLTKEYVQSGYKETVEGIEEAREIVLSQIPYFCKTDIVVKCKEALKKRLRAINDSRAQKRKALSQPNKRLRSLSEHVPHGYRRKSLLDVLTRYNVPLLRATWFVKVTYLNQPQVRSTSVSTSAGGSDNHRSNQWTNDVVEYLQQLLDEFCLKEVPPSFREQSSPGLIAGVTQVKMKSEAPPAVGDIDEPLVHFKWWYMVRLIQWHLAEELLVPSVLIEWLFNQFQERVAVEALELLMPVALGMIDIITLSQTYVRMFVEILVRRLSDGPVVDNPKRAHISSVIAEVLRYMVLAVPDTFVSLDCFPLPSFVVPDVYSRGALLKITAGGGIAGSKRQDGYRYLSCGYAVCSIQKRSYDLATVANPNFQARGAAKVVQALDKALVTGNLTMAYLSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVESSLICSVFFLCEWATCDYRDCRTSLLRNVKFTGRRDLSQVHLAVSILKNKMDEINNLSRSKSSSRIAVNNTAKGSSLNNTSLAATVSDSSGLRNNAKSVDEKDKKDIFESPGPLHDIIVCWLDQHEVNSAVGFKRVDVLIIELIRSGIFYPQAYVRQLIISGITDKNDTLLDVERKRRHHRILKQLPGSSLFEILEEDRITEEQRLYEMMSTYSSERRLVLSELSTGQAFDANSRGEYASSSCVPKASDLFLASGGDKHGRVPEQVEDVKALVSSVLRFINPHSVEEEHCQIKTNPQGSSASTVTQVDTVDVKHDCEDCARTKRQKLDERASPLQGFPLIQSDEEDIWWVRKGTKLHESLKAEPAQKPIKQNSRGRAKVVRKTQSLAQLASSRIEGSQGASTSHLCESKVGCSHHKPSIDVDNVKDVDHMKIVDLSEVGKSLKRLRLLERRSVSVWLLKSSDDKTLLKWRLGDEELLSILYILDICCDLVSGARFLVWLLTKVRGGMATSGQTGRSATHIKNRENQVCQIGEALVFSSLLRYENILLATDLLPEVLSASMSRNFVLATARQPASGAFAYTRYFLKKYRDVPSVARWEKSFRTTCDQRLLAELDNGRSVDGDLVSSSGVSAGEEIDEQIRQKMNVRNSRIVPNMKDIIQRQTEEKKGITAPKSPSVDREDSYQIAHDIVLGLLECIRQNGGASLDGDPSIVASAVSAIVLNAGHTIAKHLDLSADALGDRFSRVFEIALAVEASSAITATFAPPKMQRNQFQPSPETHDVYGNHTNDLSNTGKGFVGRTTKVAAAISALVVGSVIHGVVNIERMVAVLKIKDGLDILHLLRGLRSSTNGVSRSTGTFKMENSVEVLVHWFRILLGNCRTVYDGLIADILGESYVLALSRLQRMLPLSMVLPPAYSIFAMVLWKRYTFSREDVQLYQSLSNAINDITMHQPFRDICFRNTHQLYDLLAADVGDSEFAAMLEMHNPDKGSKAMAFIPLRARLFLNSLVDCKTAGAILGDGSCASESGEAKESELKLSDRLIQLLDTLQPAKFHWQWVELRFLLDEQALLEKVAASNTSVAEAIQSLSPNAESFALSDSEKGFTEIILSRLLARPDAAPLYSELVHLLGKLQESLVVDVKWLLQGQDALLGRKSTRQQLVHIAQRKGLSTKTQVWKPWGWASLLSDVIASKSTKRKLEVTSIEEGEVVDDSADAKRPSKANPHNVDRSFEGIRSINKYLTEKALSELMLPCIDRSSAEFRSIFAGDLVKQMGTISEHIKAIARNGTKQSGLVPSGTEAASNKSSSRKGIRGGSPNIGRRAPVGNDPTPPSASALRATVWLRLQFIIRLLPLIMADRSMRHTLASAILGLLSARIIYEDADLPLPPTNTTVLRRDVDSLLEPPLDVLLDRPGESLFERLICVFHALLGNGKPSWLKSKSVSKSTTRTPRDFPAFDSEAAEGLQSALDHLEMPGIIRRRIQAAMPVLPPSRHPCISCQPPQLSLAALSPLQSGTSTSGPQQKGNSTSWVPTNVSIRNKAAFATQDPEMEVDPWTLLEDGTSCPSMSSGSNSSSGMAGDHGNLKACSWLKGAVRVRRTELTYIGSLDDDS >OGLUM07G24580.3 pep chromosome:ALNU02000000:7:26092673:26096980:1 gene:OGLUM07G24580 transcript:OGLUM07G24580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQTESVVIDVAETTVAQPEASCASQLPNTAPEKQLNLFVRVVASVERAGNALGTLAFTWATVVLLGGYPTSVNFEDFVFATTLFFLEAASLQIPAAVIRVEIALMRLLPQDYCSEGDPANDSGKINLKPTLNVFYGMVLGQGILYLAARILEFFSFFPRRPLACRGGFRGQKGVQSVDMYYEYAFEKCMNTSILAPKKMNLTTFAMDSLKSGSRKEQFCGVRILYSLVRREPYDKPVLSKVTNSRKTVTTLIQMLGWENPEDNQIRLLAAKITAELANGLRIVAISGAMNFISSLLDNHNKQQIHELTIQADSGDEENCWVLKRWRQMIKQWSILEEEQWAESDILPALGLVILERLATYDLVNCVEISRSMDIIPKITGFTSNNGEKMCVNETGQKVLIEFSLRVLRILASIGGETGITLRHKISEDPFLLDNLAEILEDSRSNQDQELRELTIDILTKLAMDESTRKEIGSIQVIIQKLMFAFVAQDGLPDSHSGCLMTIKAGQALSMLTLENADNCSAIMKEPRHGFFKDLARMLQDNRYIYVAANVLQNLCKHSRVELRDSDVLELFSVLPEVLGRVMDADGKELEVLVGLSSQICSVSPESFTKAFKQGQNEEIFVEKLINALNANSKPNAQFPGIRRVIIEQLTYMMELNSRYATYFRNHGLMEALIRVEKTPSKTEKYRLFLGKAGLMEHKVHLSSLVARAKLLIAMHST >OGLUM07G24590.1 pep chromosome:ALNU02000000:7:26092603:26097771:-1 gene:OGLUM07G24590 transcript:OGLUM07G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSKKNNVVANTKSSKLTLVGYPPRRTTVAQVKASVPRALPALSTEATTRTKRFSCFSGAVLGSWDAQLASGICGCCDD >OGLUM07G24600.1 pep chromosome:ALNU02000000:7:26101294:26102468:-1 gene:OGLUM07G24600 transcript:OGLUM07G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVVVDGGGRRRLRRKHCFRPRCRMLGSTLTNKEVFTNGCSTSATLIEQASMASYDVEAFMRVNLLLLNEQMWEAGSK >OGLUM07G24610.1 pep chromosome:ALNU02000000:7:26104773:26105381:1 gene:OGLUM07G24610 transcript:OGLUM07G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTITGEHIVQIRGEQVETGKMAAPEKRLNLFVHLVAMTERLGNALGTMAFTWATVVLLGGYPSNLDSNNDFWLATAIVFLEAISKLK >OGLUM07G24620.1 pep chromosome:ALNU02000000:7:26129411:26131041:1 gene:OGLUM07G24620 transcript:OGLUM07G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLADVLKVLKVLRRLASTEGKLGVTLRQQILEHAFVLSNLAEIMDDNGNSHELKQLAAEILKNLAMDRNTSADIGHIRVIISSLMRAFLSQDPSSSTNSNHLLWKNAGQALAMLAMESRDNCLVMLMEQGYVFIRQLTTMMQDDRFKCIAASLLWNMCEHAQSELSNSDLKELSYILRETRDRKTANLSVNCNPGLLEGEPTCSFHIVFQILTSIHICALNIDSIVLEGIMDAEGAELEVLIGLSSQICQVIPEDFAREVEHGQIKEKFIKRLVEVLNAHMKPSAHCPRIRRVIVQHAIYLMEFNSRYANDFHKCWMVEALSMVERTPSRAENYRLFSGDTGLMEHNTPLTALVARAKELMGREWVRGISSVT >OGLUM07G24630.1 pep chromosome:ALNU02000000:7:26133377:26133821:1 gene:OGLUM07G24630 transcript:OGLUM07G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGLIAVGSRVMPATLSMGARIWRASTTTTRRRRHGSADLEGVDDGGMAVRIWRASTTTT >OGLUM07G24640.1 pep chromosome:ALNU02000000:7:26135679:26138353:1 gene:OGLUM07G24640 transcript:OGLUM07G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNDNRLDYQLFFGTRGDFRLLGWNGLLIVMVYLSAVPVLLSKYVSGLSTAAIVGMVMMSVAIGRMLPLGLLCYPLRRAISLWSPLLAILLISSSIRQARDDDNDKNSNIRTLSYMHRVLCFFVLLPTISRLRFPCIVKLVGNVLCRKLLPWRQVVLNMCMLAAIVMLVFIFSAKLTYQLMIIVYEVSALLFLSSGNFQIPAAVVRVVLALISLSDGVEGVEGEKGSKHNLEPSLNIFYGMVLGQGILYIVACLVEVFSFIPRRYLIRSGGFGGQMGVEYVNSYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRKKLYGVQMLHKFLKKEQLRTKTIKKLTKDTNTVASLFDMLGWTSEGDEEIRLFAAKVTAELAGRLRVVQIPGATQLVASLLDTDHQQTTRDHFLFIDTQVGREDSPIQQVGMTEQDSLVLKYLKQMAIYCLIPVDEPSNMHQRNSHMLRWWKRITKRWSVPEEEPSTDQDFLPIQGLLIIERLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTNEAHQIMLPSLSLRVLRRLASTEGKLGVTLRQQILEHPFVLRNLEKILDDDIDAQPWKLAAMKVRQIAFPGDSNREGGFRHLTKQLQCSPAGGWISGERNPQPTPRKKGDGEAEEGVRRCTFHCRPWIGIGADSSAGVDA >OGLUM07G24650.1 pep chromosome:ALNU02000000:7:26156545:26177139:1 gene:OGLUM07G24650 transcript:OGLUM07G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAADITSGEHRVQMPVQGGGGGGGRRQKQLLAAPEKQLNCFVHILAVIERMGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLASIGRNVLVALLVDMAMLLALGQLLSTAALKLLCDPLRHAISLWSPLLAILLIGSCITMPKSYYYFQTRVFISTNSKTQWIMYLVLSLIVLLATISRLRLPCIRKLVDSFLNTKQLAWRQIILNLCMLAAIVMLVFIFSELAPYAMIVYQLCAVVVVSFGNFQIPAAVVRVVLALLRLVPQKPHKYLVDDKNGEHDSEKNLEPSLKIFYGMVLAQGILYIVACLLEVFSFIPRRYLIRRSGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHRFLKKEQLRTKTITKLTNATKTVASLFDMLGWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRTRDHFLFIDSQVGREDSPIQQVGMAEQNSPVLKYLKQMAIYFLIPVDEPSNTHQRNSRLLRWWKQITKRWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDHLLRKNAASLLWNMCENAQPELSNSDLKELSYILREGEPTCSLHIVSQSIHKCALNIDSIASWLEWSADCDGILFHRAGDPEILLFPSWWKRIGAFNCVMLVFTFNGFYGRLIMVVFQVYAFLVVSLGNFQIPVAVVRVLLALQRLVPQNYIADGVSAEQDAGSMCEHAWSELSNSDLKELSYTLQEHRLQMPPAHGGGRQGRQVVAAPEKWLNCFVRVVALMERTGNALGTLAFTWATVVLLGGYPTVPAAAVRVALALLRLAPQNYNGDEENSREKTNLAASLNIFYGMVLGQGVLYIAACLFEVFSFIPRRSLIRHGGFRGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYGVKMLHIFLQREPIRERVIAKLTASTKNMARLLSMLGWTSSSHAVVRLYAAKATVELAKSLRVITVPGTMQLVSSLLGIDGKQKRGNPLLDVDGDQEGKQDPIHSTSESQEERHDAIRDTAAEECQVQDPLQDTDNLLETHIRSNHINERNSSILRSWQRISEYWSIPKEQPLTDHDLLPALGMSILDNLASCDQNNCVEIDRVTDLIPKIIGFTSFRFVMKNNGAQQMVLAKSSLKVLQRLTSIGGEIGIALRYKISKHPFLLRNLAEILGDNSSDQELSKLVAGILRNLAIDRDTRQEIGHMQVLITRLMKAFLNLDRTSSTNVDCLLPKVAGQALAMLAMDNVHNCLVMLNEPEFTNKLKNMILIHDEKYTYAAASLLCSMCQHAQAKLTESDLKELCHTLREVLERIMNVEGAELEILIGLCAQICKVILKNLSKNWRIKKRFMKRLVDALNANMNPGGHCSGIRRVIIELSIYMMECNSHYANCFNELRMMEALSMVEEMPSRAENYRIFLGDVGFMEYSIPFIALVDRAKELMGQQCLQGCSQSQHQEGDCSAFYILDGVQFFPCCPCKRFSQTLDDGKLSMVENPSSRTENYMLFSASQVTQDSWSTAHYFPLSWLEQNS >OGLUM07G24650.2 pep chromosome:ALNU02000000:7:26156545:26177139:1 gene:OGLUM07G24650 transcript:OGLUM07G24650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAADITSGEHRVQMPVQGGGGGGGRRQKQLLAAPEKQLNCFVHILAVIERMGNALGTLAFTWATVVLLGGYPTVLRPEDDFWFATTMVFLEAARMFSRDNRLDYQLFFSTRGAFRLLGWSGLLTVAVYFSVVLVILSRSLASIGRNVLVALLVDMAMLLALGQLLSTAALKLLCDPLRHAISLWSPLLAILLIGSCITMPKSYYYFQTRVFISTNSKTQWIMYLVLSLIVLLATISRLRLPCIRKLVDSFLNTKQLAWRQIILNLCMLAAIVMLVFIFSELAPYAMIVYQLCAVVVVSFGNFQIPAAVVRVVLALLRLVPQKPHKYLVDDKNGEHDSEKNLEPSLKIFYGMVLAQGILYIVACLLEVFSFIPRRYLIRRSGFGGQMGVEYVNLYYAYAFEKCMGGAVLAPKKISLITFAMDSLNSDSSRNKLYGVQMLHRFLKKEQLRTKTITKLTNATKTVASLFDMLGWTSDGDEEIRLFAAKVTAELAGSLRVVQIPGATQLVASLLDTDHQQRTRDHFLFIDSQVGREDSPIQQVGMAEQNSPVLKYLKQMAIYFLIPVDEPSNTHQRNSRLLRWWKQITKRWSVPEEEPSTDQDFLPIQGLLILQRLANFDPGNCMEISRTTGLISKMIDFISYRNHMTSTSEAHQIMLASLSLRVLRRLASTEGKLGVTLRQQILEHTFILSNLAEIMDDNGSSHDLKQLAAEILKNLAMDRNTSEDIGHIRVIISSLMREFLSQDHLLRKNAGQALAILAMESTDNCLVMLMEPGYVFIRELTTMIHDNRYKCIAASLLWNMCENAQPELSNSDLKELSYILREGEPTCSLHIVSQSIHKCALNIDSIASWLEWSADCDGILFHRAGDPEILLFPSWWKRIGAFNCVMLVFTFNGFYGRLIMVVFQVYAFLVVSLGNFQIPVAVVRVLLALQRLVPQNYIADGVSAEQDAGSMCEHAWSELSNSDLKELSYTLQEHRLQMPPAHGGGRQGRQVVAAPEKWLNCFVRVVALMERTGNALGTLAFTWATVVLLGGYPTVPAAAVRVALALLRLAPQNYNGDEENSREKTNLAASLNIFYGMVLGQGVLYIAACLFEVFSFIPRRSLIRHGGFRGQWGVASINLYYAYAFEKYMEGGVLAPKKISLITFAMDSLNSDSPKMQLYGVKMLHIFLQREPIRERVIAKLTASTKNMARLLSMLGWTSSSHAVVRLYAAKATVELAKSLRVITVPGTMQLVSSLLGIDGKQKRGNPLLDVDGDQEGKQDPIHSTSESQEERHDAIRDTAAEECQVQDPLQDTDNLLETHIRSNHINERNSSILRSWQRISEYWSIPKEQPLTDHDLLPALGMSILDNLASCDQNNCVEIDRVTDLIPKIIGFTSFRFVMKNNGAQQMVLAKSSLKVLQRLTSIGGEIGIALRYKISKHPFLLRNLAEILGDNSSDQELSKLVAGILRNLAIDRDTRQEIGHMQVLITRLMKAFLNLDRTSSTNVDCLLPKVAGQALAMLAMDNVHNCLVMLNEPEFTNKLKNMILIHDEKYTYAAASLLCSMCQHAQAKLTESDLKELCHTLREVLERIMNVEGAELEILIGLCAQICKVILKNLSKNWRIKKRFMKRLVDALNANMNPGGHCSGIRRVIIELSIYMMECNSHYANCFNELRMMEALSMVEEMPSRAENYRIFLGDVGFMEYSIPFIALVDRAKELMGQQCLQGCSQSQHQEGDCSAFYILDGVQFFPCCPCKRFSQTLDDGKLSMVENPSSRTENYMLFSASQVTQDSWSTAHYFPLSWLEQNS >OGLUM07G24660.1 pep chromosome:ALNU02000000:7:26157249:26160323:-1 gene:OGLUM07G24660 transcript:OGLUM07G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIVIHDLCKIAQYEAQHIEQRGYRLRGIGTWQLRGRPWWWRTRNHPQDGARLGSLLAGQRLPR >OGLUM07G24670.1 pep chromosome:ALNU02000000:7:26165226:26165815:-1 gene:OGLUM07G24670 transcript:OGLUM07G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVALLISMQFPISKLASLSRISNPCTGRKSWSVDGSSSGIDQNLVIRFQHLAIRIATTRRLPASSAVTFAANNLTSASPSLVQPNILNNEATVFVELVSFGIILVLKCSFFRKLCSI >OGLUM07G24680.1 pep chromosome:ALNU02000000:7:26167877:26170617:-1 gene:OGLUM07G24680 transcript:OGLUM07G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWWKRDYHIADCNETRLEAMMHSNPTNCIIRDGICMTHSPGSMLQLLSLKLAKLPVDGGLVGLYGYIAVRADLDPLLNYIVNFSRDDPIVVEQVHIQTYLQLFQGITTNTIILYGSLINMAGPKRGIDMMDYALIEYDMRIKIGEQEKYDVQLIDGASLIGPAGLWNDSYTLRIPGDYGAVDITLSRLRWAAEATVEVVISEVQTSFDLLLGCITSGLDKEIRLFDGTITEPRGLKRSVVAVRMRSLIELNFKVGALSSSLDHCCSFKPKYHGHDTRELKTAFALISVKVCLFDHAF >OGLUM07G24690.1 pep chromosome:ALNU02000000:7:26170651:26171025:-1 gene:OGLUM07G24690 transcript:OGLUM07G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISRVKGNESGKPYRRPHISSANVIIPSQDKKYSVITQGMKNIDTKGTISDVIAGDEKIDKDVTASEVISVNYDILGQIMKNDRCGDEGRSSKMEEHMGGIVVSDDKEAIDDEEFVVDNTLP >OGLUM07G24700.1 pep chromosome:ALNU02000000:7:26174977:26177974:-1 gene:OGLUM07G24700 transcript:OGLUM07G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSRALTPAGESEPAPIHGRSPRVKVECLGNSTVSIAAKTRMRKVISVLKRVLYLAFFSCCIPNCIMPFLLAF >OGLUM07G24710.1 pep chromosome:ALNU02000000:7:26183298:26184584:-1 gene:OGLUM07G24710 transcript:OGLUM07G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMHTIRINLIRRGRLKAPLVRKKNWRASNVAQLNSRVVSIVEKPPRMTTVAHVNTRVPRPLPALSMNAMMRTKRFRCFSGGSGGTLRRRRVAASPAGHRLHENAKLPLLLSQIDWFVRPHEGRDAGDEEDGGRVEAAGNEVIPPPLGWPTRLLAAPLGQSAHHTWS >OGLUM07G24710.2 pep chromosome:ALNU02000000:7:26183252:26188171:-1 gene:OGLUM07G24710 transcript:OGLUM07G24710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGVRDRLVRCGIGCTKMLNCPYFFLRSIGSSGRMKDATLETRKTVVGSRPPATRSFHRRWGGRRGYWLRHLVNLLITLGHSATCKMPTFGVLKCI >OGLUM07G24720.1 pep chromosome:ALNU02000000:7:26184090:26187645:1 gene:OGLUM07G24720 transcript:OGLUM07G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAGDAATRRRRKVPPEPPEKHLNRFVRIIAFIERAGNGLGTLVFTWATVVILGGFSTMLTTREFNCATLLALLEATRMFSQNSRLEYQFFFRTRGAFRRPRLIRLILIVCMAEAMIGKFPMESSSSVSSRSSKVAKLVCPVILKLFGDPQLRAVSLWTPLAAILLLVPCLFLETSIPHPDRLPALRKYFALLLTAVIIVTITKLQFRRITSIMNSPCCRKMLFLRPVILFLCMCAVIAILGSLYSDSAYIVAPMAFFLIFALVLESFGNLQIPAAVVRVVIAMIQPTTVICVQSILTAVTQRTSGIYIGIPKNCTREHTYPDGTLEDTKKNVKFSLDVFYVIVLTQGALYIVACVLEIFSFIPKIHLVRQSRFRRKWGRKCVDMYYSYIFEQCVSGGALAPRIMELTSFAMDFTNSNSPSNQLYGIQMLHSFLKRKRTKALLLLRLTTSTETLNTLITMLGWTCPEDAQVRLLATKVIVELSRSLQVIGIPGSMQNISSLLDTENQLRRRNPLLYTYYSQEGKQGTIVDTGDGQEHIDQDHPHHNNNRLNSWMLGCWQLISKRKKIPKEETFIEHDLLPVLGMSILETLAECDPDNCAEISKARDLIQKIIGYTNETQPKILKGSSLKLLTRLSNTGGEIGITLRQKMSDHPFLLRNLAEILGDTEGSQEHKKLAAEILRNLAIDGNTREEIGSIRGIISRLIQAFLVQHPPSNTYSDRSLQITAGQALAMLAMESVNNCSAMLKEPGYSFIRELTAMIRDDRYKYVAASLLQNLCLHAQSNLSSSDLTELSHSLRKVLERITDMTVATELEVLIGLSSQICHVVPEDFARELEHDQMKERFVKKLVEALNANAKPTAHCPRIRRVIVEQVIYMMENNSSYADCFNECQMMEALMVVEETPSKVEKYRLFMGDAGLMEYSIPLSNLVTRAKEELMRHVT >OGLUM07G24730.1 pep chromosome:ALNU02000000:7:26188704:26189438:1 gene:OGLUM07G24730 transcript:OGLUM07G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRVRNQTHLHGMYWNKRRKSQKKRELNDGRRRASTADSGQVRWARQGRIAGEVAESVRSLGGADRAGGQRARHAGLHLGHRRRPRRIPDGAPVAGRFLEERAQKHTPGTKRQWCRLIEYFTQLREKSNKTLKRYNWCRSHPIP >OGLUM07G24740.1 pep chromosome:ALNU02000000:7:26194278:26198226:1 gene:OGLUM07G24740 transcript:OGLUM07G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAEHGIQIPAAPAAQSGQADHKAAAAAPEKCLNRFVRLLAVIESVGNAFGTLAFTWATVVLLGGYPTVLKCDFGIATAIIFLEATRMFTRNNRLDYQLFFRTRGAFRPLGWNGLMFLCAGVLGLRLRINSRLRRQMSLWSPVVAILLLASCICRSSLLAMWTVYGVLLLVVLLVTISRLQFPIITNRVHGALGRKYVFWRPFILYSCMLAAIGMPMFMIDKFYRYGIIVLDISALVIVSFGNLQIPAALVRAVLATLGLYQEDYDGHGDTKNLPQSLKIFYGMVLGQGLLYIIAAVLEIFRFIPRIHLVRRGGFTGQWGAESVDLYYAYAYDKYMEGGLFAPKRISLSNFAMDSLNSDLSKNQLYGVRMMHTLLQSDLTRARLLEKLTSSTQTMARLISMLDWSSRHHRATIRLYAAKVTAELAKNLRVETVPGTLQLASTLLDADGKPKRGHPLLDADDDHFVDILDRQDKKHDIAGDQETIEDTDNLLETPTRSMSVNDQRYIPRIWKSILEYWSIPKEQTLTDDDLLPALGMSIIYGLAGCDQNNCVEIDKVTDLIPKIIEFTSYRSAMVNSEAQQKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSNQELRRIVAGILRNLAIDRDTRQEIGRMQILITTLMKAFLDFKGLFSSDVDCLLPKVAGQALVMLSSENSHNCFVMLKEPDFIHKLKNMILIHDDKYTYVAASLLRNMCLHAQHELTESDLKELSHILREVLERTMDAEGAELEILIGLSSQICKLIPEEFSQELEHGQIKRRFIKRLVDTLNANMNPSSQCPGIRRVVLEQSLYMMEYNSRCANCFNEYQMMDALSFVELTPSRAENYMVFLGDAGFMECNTPLSALVDRAKELMGRQWLQGISSAN >OGLUM07G24750.1 pep chromosome:ALNU02000000:7:26207556:26215195:1 gene:OGLUM07G24750 transcript:OGLUM07G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAADGSVVAEHRIQIPAVASETGQADHKAVAAAPEKWLNYFVRLLAVIESVGNALGTLAFTWGTVVLLDGYPSNLIKHDFVFATAIIFLEATRIFTRNNMLDYQLFFRTRGAFRPLGWNGLMFLCAEVLGLRLRINSRLRRQISLWSPVVALSILASCIYREHRSSLPMGIVYGLLLVVVLLVTISRLQFPIIINRVQGALGRKYVFWRPFILYSCMLTAIVMSMFMVDKLYRPWIIVLDICALVIVSFGNLQIPAAIARVVLAGLCLHPKGYNGQGGTAHIVPSLKILYGMVLGQGILYIVAGMLEVFSFIPQRSLIRNGGFTGQWGVESVNLYYAYAYDKYMEGGLFASKKISLSNFAMDSLNSDLSKNQLYAVRMMNTLLQSDLTRARLLEKLTGSTQTMARLISKLDWSSRHHRATIRLYAAKVTAELAKNLRVVTVPGTLQLVSTLLDADGKPKRGHPLLDTAGDQEQTGDTDNLLETPTRSMHINDQRYIPRIWQRILEYWSIPKEQPLTDHDLLPALGMSIIHSLAGCDQNNCVEIDRVSDLIPKIIGFTSFRSAMVNSEAQRKVLLKSSLKVLQRLTSIEGEIGITLRYKISKHPFLLRNLAEILGDSSSSSNNNQELRRLVAGILRNLAIDRDTRQEIGQMKMLITRLLKAFLDSNGSFSSDVDCLLPKVAGQALVLERIMDAKGAELEILIGLSSQICKLIPEEFAQELEHGQIKRRFIKRLVDALTANMKPSAHCPGIRRVILEQSIYMMECNSRYAKCFNEFRMMDAVSMVEETPSRAEKYMFFLGDMDFMECKTALSVLVDRAKELMSRQWLHDINSAN >OGLUM07G24760.1 pep chromosome:ALNU02000000:7:26222020:26231225:1 gene:OGLUM07G24760 transcript:OGLUM07G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSILQMDGDSFVMWSMRIVYIALLVVVLLVTVSRLRFPIIINRVRRALGSKHVFWRRFILNSCMLAAIVMMLFMVDPVLQHVMILLDLCGFAILSFGNLQIPAAIVREELARMRLTQHDSFGVVESVNLYYAYAFDKYMEGGVFAPKRINLSNFAIDSINSDLSKNQLYGIRMMHTFLQRDPTRAQLLEKLTTSTQTKARLINMLDWTDGNHHTTVGKKQKEKRNRSMARPSPVEASGGPVMGDITGGGGELRVQIAANSGGQGGKVVGAAPEKCLNRFVRVVALMERTGNALGTLAFTWATVILLGGYPTVLDSKSKNDYWLVLYGFGFTLFFNYTILVLFRMFSSDKSDYQLFFRARGAFRFLGGNGLIVIVFFWDALAMLGMAASNLHLWALLLLSILLKLFLRAARRSQSCNWPCRAISLWSPVFAILLLASPILHYYHRNMHKIIFKNSFTLWIPYAVLSAIVLLVTISRLRFPNSKHVFWRQFILNSCMFAAIVMLVVMVDPNSRLDMIVIDICAAIILSFGNLHIPAALVRVSLAGKRLAEQDYFGVGDNKANLGESLTIFYSMVLGQGMLYIVAGMLEFFSFIPRRTLVRRGRFTGQWGVESVNLYYTYAFEKNMEGGVFAAKRISLSNFAMDSINSDLSKKQLYGIRMMHIFLQRDPTRAQLLEKLTTSTQTMARLISMLGWTSRNDHTTIRLYAAKVTAELAKSLRVVTVPGTMYLVSTLLDTDRKPKRRHPLLDEDDDRDPLFVDTVESQEKSQDAAGDQGQKQGSAGDTDIMLKTPTRSTHMNNPRSTYKYWERISECESIPKEQPLTFDDLLPALGMSIVYSLAGCDQNNSVEIDRVTDLIPKIIGFTSFTSATVNFEEQQKVLLKSSLKVLQRLTSIEGEIGITLRHKISKHPFLLRSLAEILGDNSITPELRKLVAEILRNLAIDRDARQEIGQIQVLITRLMKAFLNCNGPSSTNADCLLPKVAGQALAMLASENVDNCLVMSKEPEFINKLRNMILIHDDNKYIYVAASLLRNLCMHAQPELMESDLKGLSHILPAVLERIMDAEGPELEILIGLSSQICKVLPEEFSQELEHRQIKRRFIERLVDLLNANMKPSAHCPGIRRVILEQSIYMMECNSHYANCFNEYQMMDALSIVELTSSRAENYMVFLGDAGFMECRKPLLALVDRTKELMGRQWLQGINSAY >OGLUM07G24770.1 pep chromosome:ALNU02000000:7:26229474:26233264:-1 gene:OGLUM07G24770 transcript:OGLUM07G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPASTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREREPSRPFLNGV >OGLUM07G24770.2 pep chromosome:ALNU02000000:7:26230669:26233264:-1 gene:OGLUM07G24770 transcript:OGLUM07G24770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHLPPSTLLRIWSGQLPASTDSEGDPRRRRGEASNSSRRCRLGGGAGEAGAAREREPSRPFLNGYKTF >OGLUM07G24780.1 pep chromosome:ALNU02000000:7:26233973:26234434:1 gene:OGLUM07G24780 transcript:OGLUM07G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPDGSVAGVREYCNTVLVVTKLGGCAAALGAVPRRGLRQRRHLGAVPLVATRRPTHSSTRPPCLPSPACRVAPRICQILPGTGCGDVGKCDYSVMYGDGSYTKGVLVLEMLTLGGTAVQGIAIGCGHRNSGLFVGAVGLLGLG >OGLUM07G24790.1 pep chromosome:ALNU02000000:7:26237628:26247235:1 gene:OGLUM07G24790 transcript:OGLUM07G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMSQHIGQLLTDGKRTDITFEVDGELFPAHKVVLAARSPVFRAQLFGPMKDKNMKRITIEDMEASVFKALLHFMYWDELPDIEELTGLNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLRFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHSVSPCLYSNEVLDGGDANGRHRRKRDLFLLPLLRAIRGAGRKKKKKENKPRSLSPRRQIAVGGNQLCELRGGGSDRRISSAGGAVATTMMSSSSSPPPPSIPTGGGGFFRGYTKLCKGLAVILLLVHLLIQLFPSAVTYLALVPGRTIPFAWNLITGGYVELTIPGVIISIVGLLLFGKLLEPLWGSKELSKFIFVVNFSTSVCVFITAIALYYTTQEESYLYAPLSGFYGVLTGLLVGIKQLMSEQELNLFALKIKAKWIPSLVALISVIVSFFVKELVSYLPVILFGIYMSWIYLRYFQRRLETGLKGDPSEEFSFSSFFPEFLRPVLDPIASIFHRLLCGRSERADARGQTLDTTPLPGSDSIEANRRRERGQRALEQRLAEKLAAVKSSEGTSQDASDKV >OGLUM07G24800.1 pep chromosome:ALNU02000000:7:26247393:26258968:1 gene:OGLUM07G24800 transcript:OGLUM07G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLSDSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVLSLDGEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGYSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTTIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVARCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNMDPCFHHILQRPTIVGTLGMQIRCLCHLRHHHRCRRRLLLHHFILLDPIFLGRQYHHIMEIIITNHHLCRHLIMHITCSHHPIHHFQISTHTLLNPNKTHNLGIAIRPILRGINTVSMTEDPMHMIADITFIIEGITLMTEGIILMMEHIILMIEGIPSMIEGITSMIEDITLMKDQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMISTLDDWEQRSFPPHLPQNFCRASAASGNIFRYWSSDNR >OGLUM07G24800.2 pep chromosome:ALNU02000000:7:26247393:26258968:1 gene:OGLUM07G24800 transcript:OGLUM07G24800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLSDSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVLSLDGEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKAIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVARCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNMDPCFHHILQRPTIVGTLGMQIRCLCHLRHHHRCRRRLLLHHFILLDPIFLGRQYHHIMEIIITNHHLCRHLIMHITCSHHPIHHFQISTHTLLNPNKTHNLGIAIRPILRGINTVSMTEDPMHMIADITFIIEGITLMTEGIILMMEHIILMIEGIPSMIEGITSMIEDITLMKDQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMISTLDDWEQRSFPPHLPQNFCRASAASGNIFRYWSSDNR >OGLUM07G24800.3 pep chromosome:ALNU02000000:7:26247393:26258968:1 gene:OGLUM07G24800 transcript:OGLUM07G24800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPARKKRGAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMISEPEKWGLSSAKKKLLAFTEEKRKSLLAKRHGKGADFVRAVKEIVEIYDSLKNENNNKSDTSGTDVKPDVEDAAHNSNSDRGGRGEGSDLVNDNKQDSRPTSSMDHNVTNSPGSNIPAVESGRCVVNSAPDEPSSSFSKKSQNDVQQNISCALGNLTSPRKLRSSLGADLRPTEDSCGPMNSINQPCVNVISDNKQVHSFQHTCIGDNKSNSDSLPAREDSSQGTCSKPEDSTVVVDDESLNSIDDVQDIHHIEASKTEVKQNGTIDSMSTTVTFKRKRKPHTNHISNPIISVAPKTDEELQPKSSGNLSDSPNSGNEVNKSDGDEHLPLVKRARVRMGRALLEDTIVDECVISDNKTELATHENRCDKHDLYAGLGKDHSADMPPSMDPSSKIDLIMPSGDAQTACKNKEYHPKVLSLDGEAALPPSKRLHRALEAMSANATETICSSLEVQKSESILKVKGCAASGVISPSNNSLDAIAKVSRSVMTKSPTISSSGYSLDTPDGEKHILLKDIPSTIPLDLKNACSQNSLKEKVVEELHMDDKNITLTVCSRADNNVCGKAPTYSMESKASGNESMEPNGDPAHDFVKNVNGSAEPVSQANVVPSSNGNYNSVPHDDIRLAKPTVIVSDRTTIALKEHNHRMYPKGKSLSPDMMPMKELIAAAHTRRFSHSSSFIDSFLCSNGVPEPSVNVPSLKEGSSGQCSPSNHTIRFAADRIHTQQNSGAIPFDNMQQKGLNKLSGHDEASSARRAFEAFLGSLTRTKESIGRATRLALECDKQGIAGEVLKLWLDRKTLSEYIIRHHIKELEALNEASFGTSRRPSGTERALNDPLRDNEGMLVDEYGSNTGFHLPNLIGTKLLEDEEGSSSEERSFEAVTPEHEATGANEQEASQMHVAKHRLVLEEVDGDHEMEDLAPSSEAEGEAISSCQPDLTVARCATTKQNVDSVPPLPDDKPPSPPPLPSSPPPLPRPPCPVFQDSQVQGALAADRVQPDPPRTTYNIQEQHPHSVANNRSNMDPCFHHILQRPTIVGTLGMQIRCLCHLRHHHRCRRRLLLHHFILLDPIFLGRQYHHIMEIIITNHHLCRHLIMHITCSHHPIHHFQISTHTLLNPNKTHNLGIAIRPILRGINTVSMTEDPMHMIADITFIIEGITLMTEGIILMMEHIILMIEGIPSMIEGITSMIEDITLMKDQLGDKCTMKLIEEDFPHTSLQAPHFQTILMVHPPHCIVGNHRILHQGQALGGQCLLGDLSTLLALDTRWTIQFPMKEVGGGMEDTIMISTLDDWEQRSFPPHLPQNFCRASAASGNIFRYWSSDNR >OGLUM07G24810.1 pep chromosome:ALNU02000000:7:26260924:26263780:1 gene:OGLUM07G24810 transcript:OGLUM07G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANN8] MRERFFSSRFVSKMQPSRHDHLLLLPLLLLLLLGVFIATSSAAAPVSRTITVDHQGGGDFTLVQSAVNSVPDGNRDWIKIHVNAGSYKEKVTIPSQKQFIVLEGDGSWNTEITFAGHAHASIDELLNHGYSDVGGSATFDSSTFIVLADNFVARSISFRNTYNKYDKSKPVQASIYDNCTLESNMPPPPSPQQPGWVTAHARVTDADPGGLVFKGGSLLGSGQQYLGRAWNQFATVVFYQVSMTNIVVPQGWQPWNSPNVSTITFAEAGCEGPGANKTGRVAWEKQLDDDQVHKFVDISFIDDGWLSQQPQV >OGLUM07G24820.1 pep chromosome:ALNU02000000:7:26269591:26282275:1 gene:OGLUM07G24820 transcript:OGLUM07G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSPVLRVAILAAVLVLPFVGVPAPAAAQTKKFCLSQFAIASQACAILPPGSDHHHHHDDDDDDDDDDDDDDDDDDDEDDQGGGDDGGGGGGGGGGGGGGGGGDDSGGDDGGGGGGGDGDRRRNRKSMVTVDAEEVEPACVCDALLRLPPFLVKPQHKYTVKVGDSCKYTYHCGGY >OGLUM07G24830.1 pep chromosome:ALNU02000000:7:26283224:26288145:1 gene:OGLUM07G24830 transcript:OGLUM07G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G03260) TAIR;Acc:AT1G03260] MALSWPSAIRLAVAAVLLAAVGVALFTLPVDKILKDFLVWIKDNLGPWGPLVLALAYVPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIERSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFILSVVLIVCVTRIAKSSLEKALAENGDAGIPQLPVVASPSDLQQPLVIRIDTSNEDHEK >OGLUM07G24840.1 pep chromosome:ALNU02000000:7:26288956:26291643:1 gene:OGLUM07G24840 transcript:OGLUM07G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASARSRPAARARQASDQIVAWAACVLVSALSLLLLVAVSSGSGAARLTGEISVLVRTTTTATTTRSSDAVDDVAAVAAAEHCDVVDGEWVRDDDDDERRPLYEPRRCPFVDEGFRCRENGRPDDVFAMWRWQPRHCTLPRFDAKNLLETLRNRRLVFVGDSIGRNQWESMLCMLATGVAGAGDGDGGGDKAAPIYEVNGSPITKHEGALSFRFRDYNCTVEHYRSPYLIRRGRRPPRRAVRSTLQLDAMDARAHRWKDADVVVFNTGHWWSRKKLNLNMSIEAAYQRAMNTLTSWVHREVNPHKSLVIFRTYSPAHTRASSNGGCAKETTPELNSSRISLHRWPGMVNPAFEPSKSGTAAAKLHLLNITLMAAQRRDGHPSVYNVAAAAGQRADCSHWCLPGVPDAWNELLYAMIVKRFS >OGLUM07G24850.1 pep chromosome:ALNU02000000:7:26294642:26297635:-1 gene:OGLUM07G24850 transcript:OGLUM07G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma-membrane choline transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G13760) TAIR;Acc:AT5G13760] MGAADNAAAPPRGDVPERGRGGGEPEAKEREVKVVVVDEPPGAPVARLQAQRPLAPLQVTTQAPPPPMSVASGGVEPPPQVATFQPVMQTPPQVAFASLNSRVYTNGITLCVFLVHLAAATFAVGFFVFRAVKDIVQHPRSRNAQRERSLLREWLPPVEGAVALSIVLAFTWQKAVRAWPRAMVGVILWSSFGITLAVGAMLMCFSMPATVGLGVAMVMFSIGTGLYACWVTRRVGFTAQVFERAVQPVDKFRGLNGPAYLMVAAGFVWISVWCVAVIGAANYRFPGLTILGLVLSLMWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRIVARGLNLLEGEDEFMFSCAHCCLHVMNAIFEFGNSWAFVHVSFDGHPFFVLVAPWFKFVVTMADGWWCLQIAAYGRGFVQASRSTWEQFERLQGMPALVDSDITSSVCFLTGVTSGALCVALAGSWTFATHKHYTATVSLLAFFNPTSRLFDPTIPERLHKMQEGADPLVPTPRFPQQHPGSA >OGLUM07G24860.1 pep chromosome:ALNU02000000:7:26302158:26310678:1 gene:OGLUM07G24860 transcript:OGLUM07G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCRVAYVVLAALAAAPFLLLLLYGGGSPSALCLAAARSGGASRRLQYPSVAWSRVPPLPVLPSSPLPSLRASRWIIFAAAAHHPRHRPLPAVPGWQLLAVADETTPPDWSHPGAALLTLADQACLGFRSVAFLPARGHARKAAAYLFAVQRGARVIYDADARNAVLGSNLTKHFDVDLDHRQGGGVLLQYSHADPNRTVVNPYVHFGQPSVWPRGLPLHKAGEVGVEEFYTQVFSGGQFIQQGLCNGLPDVDAVFYFTRKSSEMEAFDLRFDADAPKVALPQGMMAPINSVNTLFHSPAFWGLALPVSVSPMAADVIRGYWSQRILWEIGGYLVVYPPTVHRMDNVHAHPFDDEKDIHVSVGRLIDFLMEWRSHKQTLFERILDLSYAMTEEGFWGEKDLQFMSAWLQDLVSVGYRQPRLMSLEIDRPRATIGHGDKQVFVPKKLPAVHLGVEEIGEVSTEIGNLIKWRKHFGDVVLIVHCTVPVDRVALEWRLLYGRIFRAVVILSEKSNSDLAVEVSNLAQAYKFLPKVFDRFAGAEGFMFLQDHMILNYWNLYDFDKAKLWITNKVKESWSDVPLHGNKIEWFINQGDMVKKAIANFPFQYQANYKRSVGEDKIIHCNSEIFYIPRSHIGDFSYLVQAIGSLDIHHSIAVPMLFLAMDLPSNFESKALTKLIYRTNVPSNATFATIYTAQAHAVYPMKVQNEIDFVELIRVMASGDPFLMELI >OGLUM07G24870.1 pep chromosome:ALNU02000000:7:26305916:26307472:-1 gene:OGLUM07G24870 transcript:OGLUM07G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALAAAAATAAVAAFPNFADVAGAVALLVLADSPPAPSPPPPPPTVSDELSCYSGSSASYSGTSARSCVSDSAQRGRPVDPLRVLAVVASLRRIDPKVLAKATNTLFQGESSKKRKGVWIHIDDDEDESERNSAVASEGSTVTGTASAGSTATSGRSHRPPRASGGGDQLPRRADKIMKWLSRPGAVPATETTIRAAVGDNAGTSKALRLLLKRPGCLRRSGSGGRNDPYVYMVTG >OGLUM07G24880.1 pep chromosome:ALNU02000000:7:26309931:26313855:-1 gene:OGLUM07G24880 transcript:OGLUM07G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGNVFIGVQPTVGYEGDPMRLLFSKSASPHHGFAAYHTFVEKIFQADAVTLFMPGKQVGMSDACYPDSLIGNIPNIYCYAANNPSEATVGKRRSYANTISYLTPPAENVGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQLILTRMFPLPEERMELPPNERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSTIEAVATLVNIASLDRPEDEIYSLPNILAQTVGRNIEDVYRGSDKGILADVELLRQITEASRGAITAFVERTTNSKGQVVDVTNKLSTMLGFGLSEPWVQHLSKTKFIRADREKLRTLFTFLGECLKLIVADNELGSLKLALEGSYVEPGPGGDPIHNLKVLPTGKSIHALDPQTMPTIAAMKSAKIVVDRLLERQKVDNGGKYHETIALVLRGTDNIKTNGESLAQVLWMIGVRSVADTFGHVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQTNLLDRAVKMVAELDEPEEMNYVRKHAQEQAQELGVSLREATTRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMREQRKTFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDERAPSSYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTKTVVWSAKSGQVVNWVYEEANATFIEDEAMRKRLMDTNPNSFRKLVQTCLEASEENLEKLRELYSEVEDKIEGIDRWLDIEMES >OGLUM07G24890.1 pep chromosome:ALNU02000000:7:26311887:26314645:1 gene:OGLUM07G24890 transcript:OGLUM07G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAVSCVWKTLNIVAILLQILEDGIDGVENIQVCRCANIAFVWWEAENSDGQFLLLRRERKKDCGIKSTAISTAPKPCMPCRRYRLTASYPYAFHHALELLLIAPQMARAQMFTCSTTSTHA >OGLUM07G24900.1 pep chromosome:ALNU02000000:7:26315225:26316907:1 gene:OGLUM07G24900 transcript:OGLUM07G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVVHGWLAPALSRRAETGGGRAALPCRRPRLVAVACAASSVNTATTTGESPLGSDGSAAAAMSLERAFAGGDGGGGGGIGPAVESTVEKLIFDFRFLALLAVAGSLAGSLLCFLSGCVYIKEAYHVYWTSCVRGVHTGQMVLRLVEAIGETEVDEN >OGLUM07G24910.1 pep chromosome:ALNU02000000:7:26317416:26320451:1 gene:OGLUM07G24910 transcript:OGLUM07G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0114) [Source:Projected from Arabidopsis thaliana (AT5G13720) TAIR;Acc:AT5G13720] MTTALFLRCGCLASAPALRRGGAALRPRRTPSRLVAVGATSSSGDGVTTAADAVLRGGGGADGSPAGKPRGYGLVGGANGAMAPPPPPTTKSTAVETTVERVIFDFRFLALLAVAGSLAGSLLCFLNGCVYIKEAYSVYWSGCLKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNTSTDVPSESDRALQGSSLFGMFALKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRPEQDDSVMPNL >OGLUM07G24920.1 pep chromosome:ALNU02000000:7:26322403:26326429:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >OGLUM07G24920.2 pep chromosome:ALNU02000000:7:26322403:26326429:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCTLDSLMCGVGAPLSAAQMVLEVERLLKPGGIFMLITYGDPSVRVPHLNQSGCNWKIVLYILPRPGFKGKTKRSVLDPVPMTGSGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHHRFAFKEILDKHKRSLWLVAGDFMACSSHDNR >OGLUM07G24920.3 pep chromosome:ALNU02000000:7:26322403:26326429:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQYMQMDARDMSIFSDESFDCAIDKGTLDSLMCGVGAPLSAAQMVLEVERPGFKGKTKRSVLDPVPMTGSGVLPDGFVPEDPDSHYIYVCKKLQGSTGTSSPTIHHRFAFKEILDKHKRSLWLVAGDFMACSSHDNR >OGLUM07G24920.4 pep chromosome:ALNU02000000:7:26322403:26325575:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >OGLUM07G24920.5 pep chromosome:ALNU02000000:7:26322403:26325575:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >OGLUM07G24920.6 pep chromosome:ALNU02000000:7:26322403:26325575:1 gene:OGLUM07G24920 transcript:OGLUM07G24920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPSSSSSLLSAIRRLLASLARSPPPAAAAAMPPSSPEPEEEGMGRSDAGVGGGGGGEGGGGGGGRALSYGEAEYWDARYVEEGGAPYDWYQRYAALRPFVRRFAPPESRVLMIGCGSALMSEDMVDDGYTEIMNIDISSVVIEIMRKKHFNIPQLQCILNCFSILFLSPPPLKFTIHWSPYDT >OGLUM07G24930.1 pep chromosome:ALNU02000000:7:26326061:26330179:-1 gene:OGLUM07G24930 transcript:OGLUM07G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine carboxypeptidase-like 40 [Source:Projected from Arabidopsis thaliana (AT3G63470) TAIR;Acc:AT3G63470] MEELGPFRVKSDGVSLYRNPYSWNNVANVMFLESPIGVGFSYSNTTADYSRMGDNSTAEDAYKFLVNWMERFPEYKGRDFYLAGESYAGHYVPQLAHAILRHSSTAAAGKPSSSPINLKGIMIGNAVINDWTDTKGMYDFFWTHALISDEANDGITKHCNFTDGADANSLCDDATSLADDCLQDIDIYNIYAPNCQSPGLVVSPPVTPSIESFDPCTDYYVEAYLNNPDVQKALHANITRLDHPWSACSGVLRRWVDSASTVLPIIKELLKNNIRVWVYSGDTDGRVPVTSSRYSVNQLNLPVAAKWRPWFSNTQGAGDVGGYIVQYKGNLSLVTVRGAGHEVPSYQPQRALVLVQYFLEGKTLPDCEKCGEA >OGLUM07G24940.1 pep chromosome:ALNU02000000:7:26346473:26347430:-1 gene:OGLUM07G24940 transcript:OGLUM07G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase / glyoxalase I family protein [Source:Projected from Arabidopsis thaliana (AT2G28420) TAIR;Acc:AT2G28420] MPWRDATRPINSRSRLLLVMAGCRRPTTEMGEVCKRVAPSVREEEEEENGDGGGVDPAAESSSAKLYEDVPEMPLMALNHISRLCKSVDASVRFYVKALGFVLIHRPPALDFNGAWLFNYGVGIHLVQRDDARRAPDVNPGDLDPMDNHISFQCEDMEMMEKRLNEMGIEYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPLPMAAAGNDEA >OGLUM07G24950.1 pep chromosome:ALNU02000000:7:26349284:26359547:1 gene:OGLUM07G24950 transcript:OGLUM07G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIKSAQRAALTALAPEAPYLAAGTMSGAVDMLFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAADGDSFSLGLLAGGLSDGSVAVWNPLSMINSEGKAEDALVARLEKHTGPVCGLEFSELTPNRLASGAEQGELCIWDLKNPSEPVVFPPLKSVGSSAQAEISYLTWNPKFQHILATASSNGMTVVWDLRNQKPLTSFSDSNRTKCSVLQWNPDMSTQLIVASDDDNSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSYLLTCSKDNRTICWDTVSGEIMSELPASSNGNFDIHWYRKIPGVVAASSFDVKIGIYNLEFSGLYAAGDSAIGAPARPRAPAPKWLKCPTGASFGFGGKLVSFHQAAPTQGAQVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCDKKAQESLSDEERETWGFLRVMFEDGDAARTKLLAHLGFNPPQEQTSSSTDELNRTLADTLNLDHGAPTDTSDSQFMVDNGEDFFNNPQPSETSLAEESISTNGQQIEQEMPVNVEPSDPSVDKSIQHALVVGDYKGAVNLCLAANRMADALVIAHAGGSALWESTRNQYLKNTVSPYLKVVSAMVGNDLMSFVSTWPLNAWKETLALLCTFARKEEWNVLCDTLASRLLGVGDTLAATLCYICAGNIDKAVEIWSRDLKSEDGGKTYVDLLQDLMEKTITLALATGHKSFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIAFSTEENHAASTSVHAGSINSSAYPTIQPSYSTPDPSQNLYQGTSQYAVPSNQYQDYQQQSNTAYGTYNSTYSQQPTQMFVPSNTTPVISQPNATPAPVPQQPVKAFTPTNLPGLKNPDQYQQPTLGSQLYAGANPPYTSGQSTPYQGVPPTTYHQPRPPTQFQTVPTAPPAVSSATVPGTTPSQMFPGPVANNPTSRFMPSNNPGFVQRPGLSPVQPSSPTQAQGQPQPVVAPPAPPATVQTADTSKVSAELKPVIATLTRLFDETSKAMGGSQVKKREIEDNSRKIGTLFAKLNSGDISPNVSSKLIQLCSALDSGDFATAMHLQVLLTTSDWDECNFWLAALKRMIKTRQNFRM >OGLUM07G24960.1 pep chromosome:ALNU02000000:7:26371014:26372690:1 gene:OGLUM07G24960 transcript:OGLUM07G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQQQQQHRIYILQFRKGEQDQEVACKVSTPPKAGAGRRVMYYYHDYGGGGAGGAGKNGKAAQPRAFSMRRFFGLLLLSFVSVGTLFVAPVSFFSFVHSDEGGGGAAAAARRAVEVAAAPCSGMGNDSLCCDRTSTRADICFARGDVRMHSASASFQLVSSSSGNATAAAVEEERIRPYTRKWEANVMATIDEVRLRRVPAGVAARCDVVHDVPAVVFSTGGYTGNVYHEFNDGILPLFVTSNHLRRRVVLVILEYHDWWMTKYGDVVSRLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPEKTPEGKSIRHFRTLLDDAYRGRIQYLERLERRAARSRKRRAAAAKPTTTSIALPIMAPPAKQASPSPPDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVVRPERTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFVQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAGVGKRGWDVTKKVYLDRQNVRLDLPRFREVLVGAHRHLVAGKRRRRQRESQ >OGLUM07G24970.1 pep chromosome:ALNU02000000:7:26383142:26388216:1 gene:OGLUM07G24970 transcript:OGLUM07G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSADPVITTATCAHCHREVPSPNIALHSAHCARNLQKCEHCGYMVPKKLMDEHYDENHAPMICSLCQKTVQRELWDLHKGLQCPQRMLACQYCDFELPAADIYEHQNIVSLAGSMSDCGNRLDMTSSSILNPLLLQNLQVTEARWKKKRVIQQKNNQYDPSTLMAYNASNFLSQL >OGLUM07G24980.1 pep chromosome:ALNU02000000:7:26384300:26387958:-1 gene:OGLUM07G24980 transcript:OGLUM07G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERGDPAAARRVAVELELELPLGGAPPYPGAAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLAIDRAASVAVRVSRHPARPSDALLVSVLGAPGDALSPPDQTSILEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQFSLPLPLPSLATSMRNSDTNMSRYLGIAIFHMDKDLVNVYCTSRTPPIRECKRKRSNKRNVRVKLETKFNKDKLVCLEDPNLATDTANLQTYENSFNLPSAASGTGNTSEVSLDHSELKLRNEPCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPTVEGLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRIFTAKTIDY >OGLUM07G24980.2 pep chromosome:ALNU02000000:7:26384300:26387958:-1 gene:OGLUM07G24980 transcript:OGLUM07G24980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPERGDPAAARRVAVELELELPLGGAPPYPGAAPFDLEAAVCSHGLFMMAPNRWDPASRALVRPLRLAIDRAASVAVRVSRHPARPSDALLVSVLGAPGDALSPPDQTSILEQVRRMLRLDEEDGRAAAEFQAMHAVAREAGFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMSTALCELQLELRSSSSTENFQSRTPPIRECKRKRSNKRNVRVKLETKFNKDKLVCLEDPNLATDTANLQTYENSFNLPSAASGTGNTSEVSLDHSELKLRNEPCLEDCGGDFPTPEELANLDEDFLAKRCNLGYRARRIVMLARSIVEGKICLQKLEEIRKMSVPTVEGLSTTPSTYDRLNEELSTISGFGPFTRANVLMCMGFFHMIPADTETIRHLKQFHKRASTISSVQKELDNIYGKYAPFQFLAYWCELWGFYNKQFGKISDMEPINYRIFTAKTIDY >OGLUM07G24990.1 pep chromosome:ALNU02000000:7:26389313:26402526:1 gene:OGLUM07G24990 transcript:OGLUM07G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent thioredoxin reductase C [Source:Projected from Arabidopsis thaliana (AT2G41680) TAIR;Acc:AT2G41680] MAVTRLAVAAALSAAPPSSRRRRAFFHHSCRPLPSSAVAAKALRASAAPAVDEEAPASPPPSDLGKGVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGVTGPDLMDKMRKQAERWGAELHQEDVEFVNVKSRPFVIRSSDREVKCHSVIIATGAAAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVLSNPNITVHFNTEAVDVVSNPKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIDLDDAGYILVEEGTAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCVAALSVERYLVANDLLVEFHQPVREEKEKEITDRDVEMGFDISHTKHRGQYALRKVYHESPRLVCVLYTSPTCGPCRTLKPILSKVIDEYNEHVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESNK >OGLUM07G25000.1 pep chromosome:ALNU02000000:7:26394811:26395790:-1 gene:OGLUM07G25000 transcript:OGLUM07G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMPSRAGVWRLLWRQRRKARSARRSWAWSWQQKSRWSAGRRSQSTDPASFPLDHHARAPPCHGSCATYGRNSGTAHPTSCRSASASLSTALRIAAAAAAVGGGLLGCFARCPRRGIREERNEMSRSTPGVGRVGGGGGGESADVVGWTVVVVVVRRRNAAGLSRSGDALEGGGDGEAAASIAAADSFAPVFSGFASRRAAAVAVAWRGVPRWLCWGVGATARGGYLRRGLGGRVVRRFWVGIKRRRRILEEAPARRKGDAWWRDSSRLVFGLAPRRGEL >OGLUM07G25010.1 pep chromosome:ALNU02000000:7:26396885:26400077:-1 gene:OGLUM07G25010 transcript:OGLUM07G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEDGAAAYWLRWQVLVCGALIVLPTAAAAALLPRLRRAAAPLRGTDLWVPCWARLHPGWLLGYRAFALAAAVALLVRLLLAHGVDVFFFYTQWTFLLVTIYFAFATAISAHGCWVYSNKNLKKADESHEFLSDDVENREFSTSSGEMKRDEEKITNYHEQIANEKRAGLWGRCMQIIYQTSAGATMLTDITFWGLLVPFFYRDKFGLSLVTDGMHSINAVLLLIDTFLNNMPFPWYRLAFFVFWSCSYVTFQWVLHACGAISWWPYPFLDLSSSGAPLWYLAMAIVHIPCFFLYWSIVKAKQTYFPRLFPHAYVRN >OGLUM07G25020.1 pep chromosome:ALNU02000000:7:26401693:26405092:-1 gene:OGLUM07G25020 transcript:OGLUM07G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G49080) TAIR;Acc:AT3G49080] MLLRRLLHSSRRLGHRLQTLTPASTAAASSSPVSATLPFRRPLPASRLLWPRLLSTSGRDDDPNKPWAFTPESGDPDPFAAGEGVEAPAGEDPLGSSAAGEDPWAKDFRAEDSEKGDVFEEIFKEEAATAAVASGEKAPGAGADELWTLSGEDEKDPFAEAVLGGGLEGIGGEGAAIDEADAGVDEEEEERKRQERRAREQELMETLKGPNRAFGDLIEASGITEDMIASLILLKDVRGVPGLPPLREIEDETIEKMNATSSRADVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIQPGDGKFIVNDKQFDSYFPILDHRADLLRPFTVTKTLGRWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPNLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKRYLLLFFFWSWRSVFAVGLIFSEGPFELYTHIFQPLPIMGIMRQAFWFSLMLTIDTAN >OGLUM07G25030.1 pep chromosome:ALNU02000000:7:26408614:26418055:1 gene:OGLUM07G25030 transcript:OGLUM07G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAAAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRVAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDDSDGEPSTEDKALETKVDVNDAQHSHLAENVSVNVQRSPNEKDPPNMVSSQDSLLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQISSSRSMDSKTKAELEEIALAEADVARLKQKVVELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >OGLUM07G25030.2 pep chromosome:ALNU02000000:7:26408449:26418055:1 gene:OGLUM07G25030 transcript:OGLUM07G25030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAPVAAAAERQQQQQQRGGAASASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDPYEGAVPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLERHGIKVEGILRQAADVEEVDKRMQEYEQGRTEFAQDEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAFRLESKESRINSMRVAISETFPEPNRRLLQRILRMMHTVASHTAENRMTASAVAACMAPLLLRPLLAGECEMEDDLDMNGDSSAQLIAAANAANSAQGIVTTLLEEYEGIFYDEHLRCSLSPDSQIEDSGSEESTDDETVDIKDSGFHDAENDADQELDDSERILSGKLSETSACTAGDLYDYKVADDDDSDGEPSTEDKALETKVDVNDAQHSHLAENVSVNVQRSPNEKDPPNMVSSQDSLLSMGDILSSLDAGISLPGPGPEYSVDRQSIKSNGTQMHVKRSNFWGRNNGRKSQQSELADSSGEEELAIQRLEITKNDLQIRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSAQISSSRSMDSKTKAELEEIALAEADVARLKQKVVELHLQLNQQRQHQYGSLADANDRYQHLPNHLPQNFVQSGFDMNLAFCNQEKKQRNEGPAGASHWRSIKQHVLPHGSSRPFSRKHSMDASSSDSREASTSMPADGNSMSVNIPKATEGVEYGRQAPVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGNGSANHGFPYKSSSPWNSPR >OGLUM07G25040.1 pep chromosome:ALNU02000000:7:26418865:26433581:-1 gene:OGLUM07G25040 transcript:OGLUM07G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPRAAAAAAPSPAAALLPLPRAAPLLAGRAAARSAARRLRARGTRAPPLAAARRGWGGVSPRAVLDLPRRREAAEKPAQKAADLNEILSERGACGVGFVANLKNEPSFNIVRDALVALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFNDWANKQGLAPLDRTNTGVGMVFLPQDESSMEEAKAVVAKVFTDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNADDIERELYICRKLIERATKSASWADELYFCSLSSRTIVYKGMLRSEILGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATLQSPVWRGREHEIRPFGDPKASDSANLDSTAELLLRSGRSPAEAMMILVPEAYKNHPTLSIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGACLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTEVKKSVASANPYGSWLQQSTRSIKPVNFQSSVAMDNETVLRHQQAFGYSSEDVQMVIETMASQGKEPTFCMGDDIPLAVLSQKPHMLFDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENADQVTLSSPVLNEGELESLLNDSKLKPKVLSTYFDIRKGLDGSLDKAIKVLCDEADAAVRNGSQLLVLSDRSEALEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLADVEDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLSETHQLACLQTLIQNGLRERVVLRVDGGFRSGLDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRATLAQLGFEKLDDIIGRTDLLKAKHVSLAKTQHIDLKYLLSSAGLPKWSSSQIRSQDVHSNGPVLDETILADPDISDAIENEKEVSKTFQIYNVDRAVCGRVAGVIAKKYGDTGFAGQLNITFTGSAGQSFGCFLTPGMNIRLIGEANDYVGKGMAGGELVVVPVEKTGFVPEDAAIVGNTCLYGATGGQVFVRGKTGERFAVRNSLGQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGATILREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTVQSAK >OGLUM07G25050.1 pep chromosome:ALNU02000000:7:26441557:26442900:1 gene:OGLUM07G25050 transcript:OGLUM07G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRMSIAAMSVLAVAAVLVVAGTATAAASCPATPPDAGATLQVSHAFGPCSPLGAESAAPSWAGFLAEQAARDASRLLYLDSLAVKGRAYAPIASGRQLLQTPTYVVRARLGTPAQQLLLAVDTSNDAAWIPCSGCAGCPTSSPFNPAASASYRPVPCGSPQCELAPNPSCSPNAKSCGFSLSYSDSSLQAALSQDTLAVAGDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDMYGATFSYCLPSFKSLNFSGTLRLGRNGQPRRIKTTPLLANPHRSSLYYVNMTGIRVGKKVVSVPASALAFDPATGAGTVLDSGTMFTRLVAPVYLALRDEVRRRVGAAAAVSSLGGFDTCYNTTVAWPPVTLLFDGMQVTLPEENVVIHTTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARESCTAA >OGLUM07G25060.1 pep chromosome:ALNU02000000:7:26449813:26453577:-1 gene:OGLUM07G25060 transcript:OGLUM07G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHIRARAWEATVRKVVQQQPQPQPQPGGSRRRVDLPGFMGGAAEPVASLPHRSLNHSGNRGGAAAAAAAGNARSSSASGLSNSSGGDRKYDKICIWESDGDITCDIVDGAALADEAVGPRRSIRAAGGGDGLVLAPPSPAPRIAKWVPPREAKRQGETIAKGHKNYELMLNLQLGIRHAVGKQGPVTLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGSEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRSFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSDHSIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFHEFQRQVDRDCDFLEQEKIMDYSLLVGVHFRGNREKLLSEGSTDYDINKISTPRLSRGNVDQCLTDPNRWPKIKLGANMPARAELTARKNDLEPQLIGEPTGEYYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPRQYSRRFKDFIYKAFQEDRLDS >OGLUM07G25070.1 pep chromosome:ALNU02000000:7:26455057:26455506:-1 gene:OGLUM07G25070 transcript:OGLUM07G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQQDVARRTSSAAVHGGGVARAQRGRDRMRRGPGRWRRGRTQRGGPRRRRSPTVARRRSSAVAVARWRSIAVAARQGRSEEDHGSDAIGCGEVDQGSEAWQDAARRSMAAAARQGAARRSTAAVAWQGVVRMSTAARLLQDVARGL >OGLUM07G25080.1 pep chromosome:ALNU02000000:7:26472963:26475666:-1 gene:OGLUM07G25080 transcript:OGLUM07G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G12320) TAIR;Acc:AT5G12320] MGAESEQPTAAAAATAEQAQDLIDAARYDDLEDVVALFTAGVSLDSADSQGRTALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDDEELPTKEEYINGPNLMSASRLADEELLTKEEYINGL >OGLUM07G25080.2 pep chromosome:ALNU02000000:7:26474091:26475666:-1 gene:OGLUM07G25080 transcript:OGLUM07G25080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G12320) TAIR;Acc:AT5G12320] MGAESEQPTAAAAATAEQAQDLIDAARYDDLEDVVALFTAGVSLDSADSQGRTALHMASANGHLAVVQYLIQNGANVNATNSEKNTPLHWACLNGHIEVIKALICAGASVSALNSHEKTPMDEAVTKGKMEVIDAIGAAVAQAELDGVTVS >OGLUM07G25090.1 pep chromosome:ALNU02000000:7:26478808:26479524:1 gene:OGLUM07G25090 transcript:OGLUM07G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKNGLLGWLCVVVPGRRRRLRRRGARLVLWGGERRAAAAGRMAGEVMVEHAGRLVVCRADGFRLGRPAPVLAIEDRLDAGATYLVLPVDRLPQGRDVVTAASLAALTYDRAAGAAGAPLLAGGAKSPFEYVKDDGGRTVIKVTEEFIVKAVTGRRPPAGGGGEGEDAHGAAALCSTPELRKHYEQLVGSARGRPWSPRLETIKERNGRRRVVDVVVSPTGRLSPVRLLGLDKGIK >OGLUM07G25100.1 pep chromosome:ALNU02000000:7:26481577:26484725:-1 gene:OGLUM07G25100 transcript:OGLUM07G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEKGSELRCKESFVRWVT >OGLUM07G25100.2 pep chromosome:ALNU02000000:7:26481577:26484725:-1 gene:OGLUM07G25100 transcript:OGLUM07G25100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAARLRSAGARLVVGPAAMAVGRGGGGEGRWMGTATAEAAAGIARWEPMGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGEKYGVNYSEDEILMRYRRAYAQPWGRSRLRYVDDGRPFWQHIVSSSTGCSDLQYFEELYQYYTTAKAWQLCDPDAKYVFEALRKAGVKTAVVSNFDTRLRPLLQALNCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKENQAHIIKSGLLCRLQKGSELRCKESFVRWVT >OGLUM07G25110.1 pep chromosome:ALNU02000000:7:26488646:26488960:1 gene:OGLUM07G25110 transcript:OGLUM07G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEEDRGWWNPSTSRLNFVIKFFEEKWMTCGCEQPRFEADSEKKNWIAQVAQHAFALSLDNANELLPVALLSEAMDDLAWAFQGPSSPISICNSYYSIWLKSI >OGLUM07G25120.1 pep chromosome:ALNU02000000:7:26489601:26497401:-1 gene:OGLUM07G25120 transcript:OGLUM07G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPSALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTHRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWSWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVDNTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHDNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGNSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPIRRVSRRRPVR >OGLUM07G25120.2 pep chromosome:ALNU02000000:7:26489601:26497401:-1 gene:OGLUM07G25120 transcript:OGLUM07G25120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT3G57060) TAIR;Acc:AT3G57060] MAPPFVFPSTLRDLERDGDGGGDDEPALRPQNPVAVGALRAADLEEFVKGTSFDLSDKELFCIEEQDVFDRVYSLVRDFTCLPSALKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDGIPVTHRIASHRNALKIYSFFLLSIVVAEESGADSCNGPKVAVHGRKKNAVYAWSWEAQRGRIMNLIANSLEVDLSLLFGPGGADEQYLSFVSKCTFVLCENQNVLKDEEIRNGLCRIIGAIATKHQRVSQTSASVLHLIHKFDFTVVLLAESVAAAEKRFGDGSLAISLIREIGRTDPKDYVRDSAGADNAGRFLVELADRLPKLMSTNIGVLIPHFGGESYKIRNSLVGVLGKLAAKAFKDVEGDSDAHSLRLRSKHAMLEILLERCRDVSAYTRSRVLQVWAELCEENAISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTATFEATLERYKEKLQGMEPPSPEKDELLNDSSLGEVIAGQDESVSDSCLPSSQDPKDQDPTIVDITNLEQIRALVASLEAGLRFSACITSLMPTLVQLLASSSATDVDNTILLLMRCRQFQIEGSEEALRKMLPLVFSQDKSIYEAVESAFITIYTKKSPTETAKSLLNLTIECSIGDLAALESLVSSLVSKGEISSNTITALWDYFCFHINGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACIALQRLSNEDKVKLISTGSRVFAALQGLITSLSLPEKIWYGAADKAISAIYALHPAPEIFAAQIVKKSLNSVFGVLGTDCMSNENETQNGSMLSSTPATNLGRFLFIISHIALNHLVYIETSVKKIQKQKRKNDKSEPTAEDLQADASKNSEAQGINAELGIGASVDIAIESLAEKAEREIVSNSEKNLIGYCAPFLAKLCRNLALLQKFPGLQASAMLALCKLMIIDAEFCEANLQILFTAAESAPSEVVRSNCTIALGDLVVRFPNLLEPWTEHIYARLRDPSASVRKNAVLVISHLILNDMMKVKGFINEMAVRIEDEDERISSLAKLFFHELSKKGMSSKCVRSNPIYNLLPDILGRLCNQQLKDETFCSIMQFLISSIKKDKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLVDNFKIFEHALSEDSVMNHFRTVIAKCKKFAKPDLKVCIEEFDEKLSKVHQEKKEQEATTRNAEAHKQRIGSLDKLMVTKRTGKDKGNSAEVAEETSEVVDPSTESNSEDKENMPECSDNICSEKSHTSSTFTESDGDSTEVQSARTSCKGVSRSRINKMREPEDSEDSAPIRRVSRRRPVR >OGLUM07G25130.1 pep chromosome:ALNU02000000:7:26498551:26500405:-1 gene:OGLUM07G25130 transcript:OGLUM07G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIAVPSPVPSASDDAESLRKALQVRHGRMVTTRVASAGWRADKGALTRILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHCLLSLDFWKAMILWTMDPAERDANLVHEALKKKQRDETYYMSVLIEMLVRLVSSYRYEGDECVVDMDVVRMEASQLAEAIKKKKQPCGKDEVVRIVTTRSKSQLRATFQRYREDHGSDIAEDIDSHCIGQFGRMLKTAVWCLTSPEKHFAEVIRHSILGLGTYEDMLTRVIVSRAEIDMRHIREEYRVRYKTTVTRDVVGDTSFGYKGFLLALVGRED >OGLUM07G25140.1 pep chromosome:ALNU02000000:7:26501304:26504215:1 gene:OGLUM07G25140 transcript:OGLUM07G25140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1/ASK-interacting protein 5 [Source:Projected from Arabidopsis thaliana (AT3G54480) TAIR;Acc:AT3G54480] MPPKRQRSAAGPSGEAPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRNTIEPGVYPNLESAVSAARPGDTILIAAGGTHVARNIQIKKPLCIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIEECLLQCEQNPLDYLSFPIISTAIEYDSFSSLKEQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGER >OGLUM07G25150.1 pep chromosome:ALNU02000000:7:26505508:26509501:1 gene:OGLUM07G25150 transcript:OGLUM07G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANT3] MASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >OGLUM07G25150.2 pep chromosome:ALNU02000000:7:26506934:26509501:1 gene:OGLUM07G25150 transcript:OGLUM07G25150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANT3] MDMVLQCLGVYMDSISIGLSMASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >OGLUM07G25150.3 pep chromosome:ALNU02000000:7:26507221:26509501:1 gene:OGLUM07G25150 transcript:OGLUM07G25150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANT3] MASVTYLDDAHSEVIDPPKSEEMLDVTELVDDHTQHSPKPNAMVSGNVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIQYLVSHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDKKELKLRVTGRIWKEQ >OGLUM07G25160.1 pep chromosome:ALNU02000000:7:26511940:26513049:-1 gene:OGLUM07G25160 transcript:OGLUM07G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGCSKVVSGGGNGSCGGGAKKPFHFARSLTYHHHQGHRVLPPAAKWRRHQLADEPRARPKDVVLYTTSLRGVRRTFADCSSVRAILRGFRVAVDERDVSMDAAFRRELRSLLDARGRAFSLPQLLVGGRLVGGADEVKQLHESGQLRRLLDGAAGQDPAYVCDGCGGVRFVPCTACGGGRKLFVEEEDRVQRCGDCNENGLMTLQFKTMRYGNDPTVLT >OGLUM07G25170.1 pep chromosome:ALNU02000000:7:26517747:26522490:1 gene:OGLUM07G25170 transcript:OGLUM07G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELVEACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVWWRSSRACLPEHLRKGFDSWQLWKERNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >OGLUM07G25170.2 pep chromosome:ALNU02000000:7:26517747:26522490:1 gene:OGLUM07G25170 transcript:OGLUM07G25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELVEACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >OGLUM07G25170.3 pep chromosome:ALNU02000000:7:26517747:26522193:1 gene:OGLUM07G25170 transcript:OGLUM07G25170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVEERREAMGEEVEVESEAPTTFAELGVCPELVEACDAMGWKAPTRIQAEAIPFALQGRDVIGVGQTGSGKTAAFALPIIQALLEHEHRPRFFACVLAPTRELAIQIAKQFEALGSAISLQCSVLIGGIPRTSQTISLAKRPHVVVWWRSSRACLPEHLRKGFDSWQLWKERNSRVGTPGRLLDHLTNTKGFSFNKLKYLVLDEADDLLKVDFQKAVDDILNVIPKERRTFLFSATMTEKVKKLRRACLKNPVKVEVASKYSLVDTLRQDLYVVPAKYKDCYLIHVLNKMPGSMIMVFVRTCESTRLLALMLRNLRFKAISISGQMSQDKRLGALNRFRTRDCNILVCTDVASRGLDIQGVDVVINYDFPLNSKDYIHRVGRTARAGQSGYAVSLVNQFEGEFFKLTEQFLGGEEIPARKTMNESGYHKRMRRRMEDDEEEVEEAPVSSRSRSLKKSRRR >OGLUM07G25180.1 pep chromosome:ALNU02000000:7:26524352:26537215:-1 gene:OGLUM07G25180 transcript:OGLUM07G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLREDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVETKGGEGEEGNELLSAFKACSVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSFDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGWQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYSNERPKRGVHPSRFPPGHGPLL >OGLUM07G25180.2 pep chromosome:ALNU02000000:7:26524352:26537215:-1 gene:OGLUM07G25180 transcript:OGLUM07G25180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling 5 [Source:Projected from Arabidopsis thaliana (AT2G13370) TAIR;Acc:AT2G13370] MAFFSNSGSRADSGGYNLNEKAEDEAAYESVGDRDVDLNSRQWNLNEKAEDAYHSDEEQYDGGRSGPNSSENKSVQNARKINGPSGPWGTNFLKDCGPTQTAKEEQLTSDRGMEYGSAASSHDDMDASGEDDELNRGHGEVPAEEMLSDDYYEQDGEEQSDSLLREDDPDDVDFEPESDTEKAADKDKFVDSENSDEEEDDELELSDDDEDDFVENKRQCKRLKVGGTKTSKGRKLPVQVQRKRGVSFTDEDSSGKDSDAPSDTDISHRAKKPDKLHQKTVGRKDVFSNVDSHEVRTSGRRRTARNISYAESEESDDSEEKLAKQQKVLKEDPEEEEGETIERILWHQPKGVAEEALRNGQSTQPTVISFTSDVDQSWDDVEFYIKWKGQSFLHCQWKTLSELQNVSGFKKVLNYMKRVTDELRYKRSLSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKVDGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATSILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPDMNVVVYVGNRASREICQQHEFFTNKKGGRHVKFHTLITTYEVILKDKAALSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPSKFNSKDIFVERYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVDMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVRMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKKKLESMDIDEILERAEKVANFSSGEDDATFWSRLIQPDASDMVEETLAPRAARNKKSYVEDHQLDKNSNRKRRGIDAQEKPRRRSSRTMDTAVSLPLIDGSAHQVREWSFGNLSKKDATRFVRAVKKFGNPSQIGLIVDDVGGAIAKSSFDQQLELFTLLIEGCQDAVKNNMDAKGTVLDFFGVAVKAHELIARVEELQFLARRIARYKDPVRQYRIQAPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLSLTAKIAPATLGERETFLPRAPNLDNRASALLQKEFANLRGKSSKAKGGPRQAIDNESNGGARSLRGWQKDTKIKEDNNSIKDDFKKRKVVEPEAREEGEISESEAETKYRQDKEEKWLEWCSEVLDDEQEILKRLDRLQNTSVNLPKEKVLSRIRKYLQIIGNKIGEIVDQHSESYKQSRMAMRLWNYVANFSSMSGEQLHDLYLKLSQDQMEAGVGPSHGGNFASVPPNRGPKSNQLHPSRNQRSTRSVQYVSESFNNGENTGNSEAWKRRRRSEPDNQFDNQPLYQAPPIMTNGNRLQESSSSAGILGWAPVEMRRYSNERPKRGVHPSRFPPGHGPLL >OGLUM07G25190.1 pep chromosome:ALNU02000000:7:26537235:26538140:-1 gene:OGLUM07G25190 transcript:OGLUM07G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITTPLPAAAAAAAGGIASPSTGESHLLPTPEPASTPRPRARRRRRSHRGIVIAPLDGQSPPAPSLRGPNLRETEVGGASERRHPSSSPAAARGSDRLLRP >OGLUM07G25200.1 pep chromosome:ALNU02000000:7:26540016:26543448:-1 gene:OGLUM07G25200 transcript:OGLUM07G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G21770) TAIR;Acc:AT4G21770] MPKAAASLASLLPQLWHRPVQPPPFLHRALSSSSPLLRRHRAALHSPAAPLSAAAVSTSAATVEAPATAAYPVYGRLLPCPLQDDPPRIEHLVAREDEVAVDFISRSLTLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTEPSVLCRRKSIKGKTVREAQKTFRVTDPNLRLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVTDNYVVLDKPAATSVGGATDNIEESCVVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKDFCSVFHGMIREKQVNKRYLALTTAPVSTGIITHYMRPINRAPRLVSEDHIKGWHVCQMEILDCKKVPWPSSLIRKVHKVDNCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIVGDSAYMTAAMAAIVNPSINPFGRWGQNYDSEDEKAAAVEAWISCHGKEPKSVIGLQASEISWDYEGEHHSYKAGVPWWRQDAVESDLI >OGLUM07G25210.1 pep chromosome:ALNU02000000:7:26544443:26545879:-1 gene:OGLUM07G25210 transcript:OGLUM07G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANU3] MEDSAAAAAVAVVTVPFPTQGHLNQLLHLSMLLASRGLAVHYAAPEPHLREARARVHGWDAAGALRLAAVRFRALNIPGGYASPPPDPSSPFPGHMMPLLEAFCDGARTPLAALLRELSACHRRVVVLHDRMAAFAAVEAARIPNGEALGVHCLAASYNVGWVYPAHRLLVEHGLVFHPPDACTTKEFVALAKRMGQERRRAAVAGMVVNTCRALEGEFLDVLAQIPSSDGDKLFAVGPLSPVLPDTRARGSPEESARPRHECLSWLDKQPPSSVLYISFGTTSTLRGEQIRELAAAVRRSGQRFIWALRDADRADMDTREAEAAVHGARLAEAAGGLREEIARGVGVVVTGWAPQLEILAHGATAAFMSHCGWNSVVESMSHGKPVLAWPMHSDQPWDAELVCKYLGAGVLVRPWEERHDVTPAAAIREAIERAMASGDGAALRARAAAIGEAVRAAVAEGGSLRQDMDDLVAYLTR >OGLUM07G25220.1 pep chromosome:ALNU02000000:7:26548757:26553201:1 gene:OGLUM07G25220 transcript:OGLUM07G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT2G34260) TAIR;Acc:AT2G34260] MEALHEEMPFDLAFHPSSPLVATSLITGELYLFRYAAESQPERLFAAKSHKESCRAVRFVESGNGSADCSILASDVETGKPIARLEDAHENGINRLVCLTETTVASGDDEGCIKVWDTRERSCCNTFHCHEDYISDMTYVSDSNQILATSGDGTLSVSNLRRNKVKSQSEFSEDELLSVVVMKNGKKVVCGTPSGALLLYSWGFFKDCSDRFLGHAQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDRNYLGSISHDKMLKLWDLQDLLNRQQLVQDDKLGEQDSDDSDDDGMDVDMDPNSTKGSRSTKTSKGQSSDRPTSDFFADL >OGLUM07G25230.1 pep chromosome:ALNU02000000:7:26557903:26561773:1 gene:OGLUM07G25230 transcript:OGLUM07G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine/AMP deaminase family protein [Source:Projected from Arabidopsis thaliana (AT4G04880) TAIR;Acc:AT4G04880] MEAEKEKEMREWCVALPKVELHAHLNGSVRDSTLLELAKELGDKGDIVFEDVKDVIMKNGRSLPECFRLFELYHILTTDHDTVTRITKEVVEDFAMENVVYLEIRTTPKNNEAKGMTKRSYMNAVIKGLKTVEAVEVVLFDSNSRADKTPMSELGGDTRKKKIYVRLLLSIDRRETTLAALDTVNLAMEMKDQGVIGIDLSGNPVVGEWETYLPALEHAKELGIPITIHCGEVANRNEIRAVLDFCPQRLGHVCCLNDEEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDSGLFSTSLSNEYYLVASTFGLSKAELFQLAQDAVEFVFAGDELKRSLRELFERVGKELTT >OGLUM07G25240.1 pep chromosome:ALNU02000000:7:26562217:26567752:-1 gene:OGLUM07G25240 transcript:OGLUM07G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARRRPAATAAAAAPAEAQPRRRPAAAVAAAEAADAELVLRAPNLRVVAAAMVAFLAPFSYLAFVHYPLDAALRRSILICGAMSLGGFVVVLRLVPVAARYLLRRGMWGKDINKRGLPMGEIRVPEALGIVVGIVYLVIAILFQHFNFTADSMWLVEYNAALASVCFMVLLGFIDDVLDVPWRVKLLLPTIAALPLLMAYAGGTSISIPKPLTSYVGVAVLELGSLYKLFMLLLAVFCTNSINIHAGLNGLEVGQTVIISAAVLIHNVMRIGSSTDIEAQQAHAFSIYLVLPFLTTSLALLAFNWYPSSVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPEVLNFLCSVPQLFKFVPCPRHRLPRFDPHTGLLTGTKDGNLVNIFLRIFGRCTERTLCIRLLIFQALSCLFCFWLRYMITGWYK >OGLUM07G25250.1 pep chromosome:ALNU02000000:7:26570511:26575194:1 gene:OGLUM07G25250 transcript:OGLUM07G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-specific protease 24 [Source:Projected from Arabidopsis thaliana (AT4G30890) TAIR;Acc:AT4G30890] MGSSKGNHPAASSSKVSSVSSSKPKPKPTPIRNPTPPPPPPRRRTPPPPPRGSGPGPQRPSYLSVALRRRGPPAGSAAGTPAPPRAAAAFDVAPPVAERTDPVVFPASLAEMSGGGGSSSSDDQILLFGSFTEAETKLWQPNANKTRELSEIQFGSLNFSALNLSKESNSITQGAVYPAKLSDGQNKIIITKDIACSNKKEAVAPTLPNGKPVLFNGSPTANANVSPNNGVIENNQKAVAAVLTSVPAKNVSSPAPLSVPEVDHDGIERNQNSSLVPEITENGGPAIDTPTTAAPVDELVTSLNKKDFRNKPLLPHGLKNTGNICFLNSSLQALLSCWPFVQLLQNLRNQNIPKAGYPTLNAFIEFISQFDVLDDSNVKKDEKFATIASKSVIPTMFDTVLRNFTPDVPAGTASRPRQEDAQEFLSFAMDRMHDELVKLNGNGSNSKEGMIVSSNDDDAWETVGKKNKSAIMRSQSFVPSELTAIFGGQLQSCVKATGNKASVTVQPFRLIHLDIFPDAVQKLDDALRLFAAPESLEGYRTAAGKAGLVTARKSVKIHSLSKIMILHLKRFSYGSHGCTKLFKPIHFPLELVLSRDLLSSPSSESRRYELVATITHLGANPSRGHYTADAKSASGQWLRFDDDNVTPVSLNKVLHDQAYVLFYKQL >OGLUM07G25260.1 pep chromosome:ALNU02000000:7:26577572:26578021:-1 gene:OGLUM07G25260 transcript:OGLUM07G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNPNAAPFIPAAFRRVEDFSPEWWELVKTTAWFRDHWFRQHQMYEDAAAEEDHHRQVFDDDLAALLPDDSLDLLDMVDTDDLFYTPDPPVHHKPTTTPTLDADVLRALSLNSPRLGGAGMVRHAEKPAQFVAAKGGAARHVIHQPR >OGLUM07G25270.1 pep chromosome:ALNU02000000:7:26593451:26603584:-1 gene:OGLUM07G25270 transcript:OGLUM07G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKEFPGFGVFDGVVESYDAEAGYFRVMYEDGDSEEVELGEVVGLLVGGSPVGVEMAHPLPLTPGRRPKKRRRGDEEGGVGVGAVVVMEVDSVVLAVPAGGAEPASPVVVVEGSSRDEVDGDVVSEMAEKRRRVVSPGPESSGKPLRRSARQAKAAARVAEMEAAAAVAAAAEAEAAAAAMAEAEAEAAVTPPQSGSKRKRASGAGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFLLETFVAALRCIYVNPLIDWVHFSLLRAMKGHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRTLCDDVLEIEAIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLLNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEVLGMDPHDRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSHLLPSKQHTEHEQLKSDKNGSCEQLACGKAHASDPDRLDHDTSHHKFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSPHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLSENKEGKKVGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMNSHIILAIHIILSIEERLNGLLIGPWKNTQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSEEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSTRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGRIKIPTILYSDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVDGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSNERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMIDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKISDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSLKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLNESKNKLPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDADLSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKDDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVNDHSFEKEVGDHICLQAQEDHNEKELDSHSTEKELGDHNKTEEFDGNMKVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNNTKELDSTGESICAGGEAHCLHELNNHEILKECHSLDNNLGELDNQDCQKECHNQNSPKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKEGLIIASENGKIKESIPLQTKNKPEENPVPADHDIDLQVVVNSGSQGYINDQVLVVGASSVSPPSSFQIKREVLVLLWFAMSYAGLSKTALPPLLATGGGTLACRAHT >OGLUM07G25270.2 pep chromosome:ALNU02000000:7:26595615:26603584:-1 gene:OGLUM07G25270 transcript:OGLUM07G25270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVGRAVRKEFPGFGVFDGVVESYDAEAGYFRVMYEDGDSEEVELGEVVGLLVGGSPVGVEMAHPLPLTPGRRPKKRRRGDEEGGVGVGAVVVMEVDSVVLAVPAGGAEPASPVVVVEGSSRDEVDGDVVSEMAEKRRRVVSPGPESSGKPLRRSARQAKAAARVAEMEAAAAVAAAAEAEAAAAAMAEAEAEAAVTPPQSGSKRKRASGAGRYRSVAKDLEKAAVERLPPKPELPPSSQSLDLEGLPALDVFQVYSCLRSFSRQLFLSPFLLETFVAALRCIYVNPLIDWVHFSLLRAMKGHLEDLANEGDPPAMHCIRNLNWELLDLATWPIYLAEYLLTRGSELRYGMKLTDLKLLNTEYYTQPAMVKLELLRTLCDDVLEIEAIRSEVVSRMSELDGNDELCKSTRTRRKRRASAVKNLLNSSRAPEDSSDTEDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGNWFCPECLIQKNDGFKNMVKPGRGAEVLGMDPHDRLYFGTCGYILVVESTAEDSLDSTCHYYGIFDHHSLFNVLRTCHPSYSSITNMISLFWGTAIDSFDSNGRCENNKEFSIFDAKIDCSHLLPSKQHTEHEQLKSDKNGSCEQLACGKAHASDPDRLDHDTSHHKFSLRSAVISENGNATSAKTQQDVCSYANGLPAENKIDQSPHKKISDCYIHSNPAMYVNYYSFGQIAASAAEELKDKLSENKEGKKVGQDAASFQLKTICKKYANIFALTDQKLSVELRKEKCGWCNSCQISGGVDCIFRVTDGKCMEGLQSEKNMNSHIILAIHIILSIEERLNGLLIGPWKNTQFSSYWRKAVLKASDVSSLKQPLLMLESSVRRVAFSEEWQKPADSVEVVGSAAHVLVRTSNKSSRHGSTRKPGRKPFIVELKVDSRDVGVYWRRGGRLSRQVFHWKRLPKSLTYKAVRQAGRIKIPTILYSDGSQFARRSKYIAWQAAVEMAENVAQFILQIKELEFNIRWTEILSTLPASLATKETQKIARLFKKVIVRRKRVDGTNVEYLLDFGKRENIPPVIAKHGKKLDEPSNERNRYWLSEGHLPLSLLKAYEAKALTRLLKKKDIDHLPKKMIDLKPPKPKKSGFDDLLEKAKKQVLGLCGHCDKEVKISDAVNCQYCEALFHKKHFKVPRGATDAYYVCNKCLSEKVLNVKSPQKKVVSKKNSLKKKTKKQSLKIVTRSKQIVAKSKKKMGKNKGKRGRPRKYPLNESKNKLPELRVKEPANVPKNEPAKRISKRLYSKYMKGNSNISERSAKRRRTASHYSYWLDGLRWTQNPNDDRAISFRTERVVFPCEDADLSEVFPVCRLCQKCYSGESIYIACEDCGDWFHGDIYSITLENVNNLIGFKCHRCRLKDVPVCPYVQTDNILMAQSDKDDVTSRSIEDKEDRSPTDLVAHDSLEGSHGHIIEKEVNDHSFEKEVGDHICLQAQEDHNEKELDSHSTEKELGDHNKTEEFDGNMKVLFNLNSTKELDSTSTEKELGDHNKIEEFDVNMEMFFNLNNTKELDSTGESICAGGEAHCLHELNNHEILKECHSLDNNLGELDNQDCQKECHNQNSPKELENHRSSQELDSHKSPEELDNIISPKELDCTENNEHSAAVTQSDGFLDDPFNIRISDKEGLIIASENGKIKESIPLQTKNKPEENPVPADHDIDLQVVGMVDIQKKTYISGMFCLLPNFLLLLSYKFC >OGLUM07G25270.3 pep chromosome:ALNU02000000:7:26593451:26594617:-1 gene:OGLUM07G25270 transcript:OGLUM07G25270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQALLMWSIKEAIPFTMVNSGSQGYINDQVLVVGASSVSPPSSFQIKREVLVLLWFAMSYAGLSKTALPPLLATGGGTLACRAHT >OGLUM07G25280.1 pep chromosome:ALNU02000000:7:26606944:26612423:-1 gene:OGLUM07G25280 transcript:OGLUM07G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCCPACKSCKQLSSPRRLAVQSNLSHVCHLLQWVTGLSFRTLQWAPGMVQLQSKNACRSA >OGLUM07G25280.2 pep chromosome:ALNU02000000:7:26606944:26612423:-1 gene:OGLUM07G25280 transcript:OGLUM07G25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHKLWSPWCSCCPACKSCKQLSSPRRLAVQSNLSHVCHLLQWVTGLSFRTLQWAPGMVQLQSKNACRSA >OGLUM07G25280.3 pep chromosome:ALNU02000000:7:26606944:26612423:-1 gene:OGLUM07G25280 transcript:OGLUM07G25280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHWVTGLSFRTLQWAPGMVQLQSKNACRSA >OGLUM07G25280.4 pep chromosome:ALNU02000000:7:26606944:26612423:-1 gene:OGLUM07G25280 transcript:OGLUM07G25280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSFRDSLKVLEADIQHANSLAAEFRREYDGACLQMRMSYCPAAHFFLFLVQWTDCNLAGALGLLRILIYKVYADGTTTMSAHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRRRDEDQKMVISEIDDNIEEECGICMEINGKVVLPTCSHAMCIKCYRDWYTSSLFSLWHNRDIVDMATVRKENLRRLFMYIDKLPTVIPETVFDVYDSHWVTGLSFRTLQWAPGMVQLQSKNACRSA >OGLUM07G25290.1 pep chromosome:ALNU02000000:7:26614556:26615921:-1 gene:OGLUM07G25290 transcript:OGLUM07G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAGLEEALRPFHDRASDAETRLEKLEALLLNKDGLTSGSETNSSAVKDLQSKLDAVNTECLAEKEKNKKLIIENKKLQYRITHLIRALKEADSR >OGLUM07G25300.1 pep chromosome:ALNU02000000:7:26617487:26621758:1 gene:OGLUM07G25300 transcript:OGLUM07G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLFESASGYALFHSHGVDDIGQGVDAARASVLELQRFSKAVKLAGFSPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKVKEGKKAKYSVGVMEPKVGSHISEATGIPCQCNEFVQELLRGVRLHFDHFIDQLKVVDFTFALVSTPTDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDALDKDINSFSMRVREWYSWHFPELVKIVNDNYVYSKIAKFVVNKSDLAEKDIPALADIIGDEDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEIVGARLISHAGSLSNLAKCAASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASTKNKGRIARYLANKCSIASRIDCYSELNTSVFGQKLREQVEERLDFYDKGIAPRKNLDVMKAAINDMTNAVSEDGGEKEKNDTSAKKNKKKKSKAEADGEEMDLDKPAKVAAEEADPENENKKKKKHKLEEPQDQEMAVNGNLEQDETPKKKKKKKKPEASEDVEPRTATEGKKREEKVQN >OGLUM07G25310.1 pep chromosome:ALNU02000000:7:26621714:26623389:-1 gene:OGLUM07G25310 transcript:OGLUM07G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEQAAAAAGETPRFRWDAFGSAPSEPQWEAIRGLSPKLPNRCRALMARLVCLPPPDQDGDEDEETLGALLAFWVKAMKPKRTDWLLVLKELTAMESPLLAEVLEHALLEDSFEANVRDYTKLIHIYGKQKLLQKAEDAFHAMKSRGLPCDQVMLTALMDMYSKAGDLTRAKEIFNEIGLLGLPMDKRVYGSMIMAYIRADMLDKAEDMISEMGDQQIVAGKEVYKALLRAYSYKGDSEGAQRVFDAIQFAGIVPDTKLCALLVNAYCLADRINEAMIVTRNMRSARITPCNKCIALILGAYEKVNRLEGALAFLTELEENGVIIGQEPSQLLAGWLRRLGVVQEVEQVLKDLAVDRKNTLEVDRKKNLASDRKIKRRRSKSKRSFASSLQHK >OGLUM07G25320.1 pep chromosome:ALNU02000000:7:26625530:26626128:1 gene:OGLUM07G25320 transcript:OGLUM07G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGASGGRGDEIRGRGRGRMGWIRMLTGERMRQEQEEDAGAASASRMEIGASGRRGDGSRGRWARRRDGMGRRRACTRAFANGWGEGCRSAGKSSTAEATS >OGLUM07G25330.1 pep chromosome:ALNU02000000:7:26634488:26635441:1 gene:OGLUM07G25330 transcript:OGLUM07G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKHGLASDLVVDATMVNAEGEAPRQGRHGGGPLLGHPRRRRRELLRVVVQNQNAQFESLYLVGTRLGLVAAMADTFPELSVMASDCIEMMWIQSMLYFAFYGTGKPLEMLLDRGTSKPDKYLKAKPDSNMPSQDWETTWSWLLKDGAGLLILDPYGGEMVRVAPAALYNIQYYGFWSKSGAAAAEKHIGWMRGLYGEMEPYVSKNPRGGAAAIAAVTGSLAVCRSWRGGIWLQGQSFWNHLF >OGLUM07G25340.1 pep chromosome:ALNU02000000:7:26637186:26637404:1 gene:OGLUM07G25340 transcript:OGLUM07G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRGRVARHDGRRGLGHRDDEPEVGPGSVAIATMTAVREFGGGGLKRGGGISRRGGRSRAMRNVERTVGV >OGLUM07G25350.1 pep chromosome:ALNU02000000:7:26638612:26641382:1 gene:OGLUM07G25350 transcript:OGLUM07G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFTDLHTADGLKALEQHLSGKTYVSGNAISKDDIKVFAAVPSKPGAEFPNAARWYDTVAAALASRFPGKAVGVNLPGGGAASSAAAAAPAAKDADEDDDDLDLFGDETEEDKKAADERAASKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEEHLTEEPINEFVQSCDIVAFNKI >OGLUM07G25360.1 pep chromosome:ALNU02000000:7:26642051:26645079:1 gene:OGLUM07G25360 transcript:OGLUM07G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSESDYYSEEEEELGWEEDGLGSEGEEEDAEAARERALKRLDGLGKRGVCYLSRVPPNMNPSHVRQMLSKYGEVQRIYLVPEGQGHRKHTNVRAKVYTEGWIEFSKKSVAKRVANLLNGEQIGGKKRSPFYYDIWNIKYLKKFKWDDLVGEIAEKTHIREQKLNLEIAAAKKQRDHYLSNVEKSRTLKHIQERRKKKQKTEGAEFNEVREEKIARPIPQKKPVEETDAKTKPKLPKDILAGVFGGP >OGLUM07G25370.1 pep chromosome:ALNU02000000:7:26647989:26654393:-1 gene:OGLUM07G25370 transcript:OGLUM07G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADLLKLPSGQNKPLPYVVTLLPPLVLSLLDPEIFFKALDFAGTYGGKDPFKLSLIMNRCREETSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >OGLUM07G25370.2 pep chromosome:ALNU02000000:7:26647989:26654393:-1 gene:OGLUM07G25370 transcript:OGLUM07G25370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease [Source:Projected from Arabidopsis thaliana (AT5G19500) TAIR;Acc:AT5G19500] MALTASLRCYPLLGSAAAAASSSVSLPASTRAPVLHRTTGGPRRRLQRCLCSQQYAEKQSSGSQQQQLERLFSNLNQATMKHEPGSVTSSIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWIYMVVTGLLVAEVNVNTMCELGSGGVSLVSMAMRTLGTFGVRTACISYLFIHYALLVAYVARSSEIITNSVGIPLWESAALFSLAFGGLCYFGSQRVIGAVNGFLVFSILASFTTLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRKAIVVGTAIPLALFLIWDAVILGTLPGLAGDGTIIDPLEQLRSSNGTVGPIVEAFSFLAIGTSYIGFVLGLSDFIADSAMSWSERYSDDLEAPVPPIVPGGKFTLSFVMGGALLVIFSEIFKDIMQLQGLH >OGLUM07G25380.1 pep chromosome:ALNU02000000:7:26653644:26654322:1 gene:OGLUM07G25380 transcript:OGLUM07G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTAEAKNPASCVTAGIARIPAPTCGITKDDKTNITVVPATRKIELVTLPDIQMRNSSITVHLKGKTNMHKEVERSMLCASPGSCFMVAWLRLEKSRSSCCCCEPDDCFSAYCCEHRHRCSLLLGPPVVL >OGLUM07G25390.1 pep chromosome:ALNU02000000:7:26658075:26665869:1 gene:OGLUM07G25390 transcript:OGLUM07G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:disproportionating enzyme 2 [Source:Projected from Arabidopsis thaliana (AT2G40840) TAIR;Acc:AT2G40840] MANLSRKKSLNTVTLVFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQGNELIWSGRVSVATGFTCQYNYYVVDDNKNVLRSESGEKRKLVLPEGVQDGDVVEIRDWWQDASEALFLRSAFKNVIFNGSENAKRELKTTPLNKSLEPEDIVVQFIVSCPRLGAGSTVVVTGSNPQLGRWQTQDGLKLNYVGDSIWKANCPLRKSEFPIKYPCANEYSLTSNLHESPWRGAGVAVPIFSIRSNEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPGDIKDEISQAKKQLDKKDVDYEASLASKLSIARKIFKLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLDKLVSEGTLHHDVICFHYYIQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIALSQEELLSEGLWDFDRMSRPYILQETLEEKFGSFWTVIAANFLNEYKKQHYEFKEDCNTEKKIIAKLKNSSEKSLWLEKEDSIRRGLFDLLQNIVLIRDPEDSTKFYPRFNQEDTSSFNDLDEHSKNILRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPKYIIQVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTMHTYLGCIWQTKNGLEKLFSLMFNFVSYFFQVCAPSCHDCSTLRAWWEEDGGRRSRFYQTVIGSDDEPPSRCTPEVANFIVKQHFDAPSMWAIFPLQDLLALKDKYTTRPAKEETINDPTNPKHYWRFRLHVTLDSLLDDKDIQATIKELVTSSGRSFPGKVDGAEESGEKLAKVQLNGKP >OGLUM07G25400.1 pep chromosome:ALNU02000000:7:26667574:26668026:-1 gene:OGLUM07G25400 transcript:OGLUM07G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVHPPPPPPQFALPPPPRAGAVVVLGDDDSDSESVAESCPYTCRPAPTAGGDVEMDDDEDDDGCSSCVEGDGCYDGDEVGGGGGEEAIVAEGGVWWAQLAAAAAAARGGERELRRRREEEEEEDPKRAAARQEEDRKFWEDCLASGYP >OGLUM07G25410.1 pep chromosome:ALNU02000000:7:26673198:26673506:-1 gene:OGLUM07G25410 transcript:OGLUM07G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPRSGILAPRSVVGEGGGGVLLSTSISGEDGGGDYAAAPRAPRPARERGEAEQPWLSPPGSTANSGAAAAYGLEAVGALGFTVRSLLHGYDQPQLSQHF >OGLUM07G25420.1 pep chromosome:ALNU02000000:7:26673796:26679751:-1 gene:OGLUM07G25420 transcript:OGLUM07G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKILRSPQKTRKACAILEFGSKEHARAAIEAFNGTRVVFNGSSATLVVKLADTEKEKQARKAQKAQAQPSKPLRFDLFPQLLSIFGAPQMSYLPPYNVFDYKTEGTTDPELKDLMKMTNDKLEMLVTELKSVVNLLENRVTYNDPIQPIQHALLPVEHDEKQYKPNECDSKTLEVPGDYGHTKNPLASYNTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPHFPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNIHSNHASSAANTKIRSKIEGPPRANLFVYDIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKKTYQ >OGLUM07G25420.2 pep chromosome:ALNU02000000:7:26673796:26679751:-1 gene:OGLUM07G25420 transcript:OGLUM07G25420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGEDGGERVRLFVGQVPRSMAEEDILAVVRAAARADDATVIRDRATGASRGCCFVVCSSREEADKAIAAYHNKCTLPGASRAMQVKYADGELERLAAEQKLFIGMLPRDVKENEVSALFSQYGNIRQLKILRSPQKTRKACAILEFGSKEHARAAIEAFNGTRVVFNGSSATLVVKLADTEKEKQARKAQKAQAQPSKPLRFDLFPQLLSIFGAPQMSYLPPYNVFDYKVPGDYGHTKNPLASYNTMYPHVNQGNLLQGLNTNIFPGTDPKISNLIQSAGYIQPHFPDLSGLHYPVSYAGALVGDTPQYFSDGKVNIPNIHSNHASSAANTKIRSKIEGPPRANLFVYDIPQEYGDEDLANLFQEFGRILSTKVFIDRATGVSFVSYDTPASAQAAIRRMNGSQIGGKMLKKTYQ >OGLUM07G25430.1 pep chromosome:ALNU02000000:7:26697053:26719015:1 gene:OGLUM07G25430 transcript:OGLUM07G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTSSEEELKQIMANDDVVMAPEHIAAAAVYLASDEAKYVTGHNLVVDGGHSAYKAADMRMPMHRILSRGRRTPAASSSSVTAFATASDSQRLAGKVAVITGGASGIGRATAEEFVRNGAKVILADVQDDLGHAVAAELSADAASYARCDVTDEAQVAAAVDLAKQKKQIKMFRALQIVLRGKNRGFVSHFSSTASNSERLAGKVAVITGAASGIGKATAAEFVRNGAKVILADVQDDVGRAVASELGPDAASYTRCDVTDEAQVAAAVDLAVARHGQLDVMVNNAGIVGSLARPPLGALDLADFDAVMAVNARGVLAGVKHAARVMAPRRRGSIICVASVAGVLGSVTPHPYSVSKAAVLGLTKQVI >OGLUM07G25430.2 pep chromosome:ALNU02000000:7:26689490:26697496:1 gene:OGLUM07G25430 transcript:OGLUM07G25430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRIPAASSSFLTGFATASDSQRSPSSPAAPAASAGRRRRSSSGTAYARCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGIPGDLMPTPVSALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTASTAGVIGGVAIPHYSVSKAAVLGLVRAVAGEMAYSGVRVNAISPNYIWTPMAMVSMVALYPGRSVDEHRRIVEDINEMEGATLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKQNPRSIGQDDVQNSAAHSQLTESLNIACVHCRRETNRVLGRTTTSPAFFSRFSTAASSSQKLAGKVAVITGGASGIGKATAAEFIKNGAKVIIADVQDDLGRSVAAELGRDAAYTRCDVADEAQVAAAVDLAVERHGHLDVLFNNAGVSGTVRRQDDLASMDLAGFDRVMAVNARAVVAGIKHAARVMAPRRSGCVLCTASAAGVLSVPSIPVYAISKATAIAIVRATACG >OGLUM07G25430.3 pep chromosome:ALNU02000000:7:26689490:26697496:1 gene:OGLUM07G25430 transcript:OGLUM07G25430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRIPAASSSFLTGFATASDSQRSPSSPAAPAASAGRRRRSSSGTAYARCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGIPGDLMPTPVSALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTASTAGVIGGVAIPHYSVSKAAVLGLVRAVAGEMAYSGVRVNAISPNYIWTPMAMVSMVALYPGRSVDEHRRIVEDINEMEGATLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKQNPRSIGQDDVQNSAAHSQTNRVLGRTTTSPAFFSRFSTAASSSQKLAGKVAVITGGASGIGKATAAEFIKNGAKVIIADVQDDLGRSVAAELGRDAAYTRCDVADEAQVAAAVDLAVERHGHLDVLFNNAGVSGTVRRQDDLASMDLAGFDRVMAVNARAVVAGIKHAARVMAPRRSGCVLCTASAAGVLSVPSIPVYAISKATAIAIVRATACG >OGLUM07G25430.4 pep chromosome:ALNU02000000:7:26681379:26689556:1 gene:OGLUM07G25430 transcript:OGLUM07G25430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSALDLADFDRVMAVNTRAVVAGVKHAARVMVPRRRGSIICTASTAGVIGGVAVPHYSVSKAAVLGLVRAVAGEMARSGVRVNAISPNYIWTPMAAVAFARWYPSRSADEHRRIVENDINEMDGVTLEAEDVARAAVFLASDEAKYVNGHNLVVDGGYTVGKAPNLPAPPQ >OGLUM07G25440.1 pep chromosome:ALNU02000000:7:26722549:26726436:1 gene:OGLUM07G25440 transcript:OGLUM07G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRPGTPWNNAWRSKTKDDWKVKLAWPFLRRLKNRMFRAAQLLLRETNRALGAATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKVIMADVQDDLGHSAAAELGPDASYTRCDVSDETQVAAAIDLAVKRHGHLDILYNNAGVMGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPIPNIALYAVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTAMMMHVVSQMYPGVSKDDLEKMADAAMDAGAVMEPEYVARAALYLASDEAKYVNGHNLVDPQSIAIVESLVAAPQPLQLLPFIDSLEDKTRANCHGKLAMPQEKRRSTLSTTIKRNHVEEADTVLIPARNNRRQETRGTSPAGFSMDSNSIQRLAGKVAVITGGASGIGKVTAKEFIKNGAKVITADVQDELGHSAAAELGPDASYTHCDVTDEAQVAAAVDLAVRLHGHLDILYNNAGIIGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMAPRRSGVILCTASDAGVMPIPNIAMYSVSKATTIAIVRAAAEPLSRPGLRVNAISPTGTRTPMMMHIISQMTPGVGEDDLERMADAAISAGVAIEPEYVARAAVYLAFDEAKYVNGHNLVVDGGFTTHKGDDNRMN >OGLUM07G25440.2 pep chromosome:ALNU02000000:7:26722549:26726436:1 gene:OGLUM07G25440 transcript:OGLUM07G25440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRPGTPWNNAWRSKTKDDWKVKLAWPFLRRLKNRMFRAAQLLLRETNRALGAATSPAGFVSGFSTASNSAQRLAGKVAVITGGASGIGKATAKEFIENGAKVIMADVQDDLGHSAAAELGPDASYTRCDVSDETQVAAAIDLAVKRHGHLDILYNNAGVMGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMSPRRSGVILCTASDTGVMPIPNIALYAVSKATTIAIVRAAAEPLSRHGLRVNAISPHGTRTAMMMHVVSQMYPGVSKDDLEKMADAAMDAGAVMEPEYVARAALYLASDEAKYVNGHNLVDPQSIAIVESLVAAPQPLQLLPFIDSLEDKTRANCHGKLAMPQEKRRSTLSTTIKRNHVEEADTVLIPARNNRRQETRGSLAGKVAVITGGASGIGKVTAKEFIKNGAKVITADVQDELGHSAAAELGPDASYTHCDVTDEAQVAAAVDLAVRLHGHLDILYNNAGIIGAMPQDDMASVDLANFDRMMAINARAALVGIKHAARVMAPRRSGVILCTASDAGVMPIPNIAMYSVSKATTIAIVRAAAEPLSRPGLRVNAISPTGTRTPMMMHIISQMTPGVGEDDLERMADAAISAGVAIEPEYVARAAVYLAFDEAKYVNGHNLVVDGGFTTHKGDDNRMN >OGLUM07G25450.1 pep chromosome:ALNU02000000:7:26736372:26737559:1 gene:OGLUM07G25450 transcript:OGLUM07G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSPESLIAGGFSTAASSHQRLAGKVAVITGAASGIGKATAAEFIRNGAKVIITDVNDDLGHAAAAELGPDATYARCDVADEAQVAAAVDLAVARHGRLDVMHNNAAIPGRFPQDDMASVDLADFDAMMAVNARATLAGIKHAARVMAPRRAGVILCTASAVGVLPLPAVATHSITKATIIAIF >OGLUM07G25460.1 pep chromosome:ALNU02000000:7:26748219:26752765:1 gene:OGLUM07G25460 transcript:OGLUM07G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVYLASDEARYVTGHNLVVDGGYTVHKGADTPAARKINMVSRPASSPRSSSPRWIMPVSPRALAATVGLELDKVAVRSSTEKKAAAVMAWLGLPSRRPMPLPEPSLHRRRREGHHRGEPRLTDGQIRQFRFPLVVGIGSTPRRLATVVMDVELRLVPALDMAFSPVVGAASDLIAGSAARHLAGGVEAGRRAATALAGATATAAARRMNAMWRRRG >OGLUM07G25470.1 pep chromosome:ALNU02000000:7:26754332:26754935:1 gene:OGLUM07G25470 transcript:OGLUM07G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSAALLRETKRVFGTTSTWTAGLVVNGFSTASSSHQRLAGKVAVITGGASGIGKATATEFIKNGAKVIIADIQDDLGHSVAAELGPDAAYTRCDVADEAQVAAAVGLAVKRHGHLDVFHNNAGIAGRLPGRRSPPPSS >OGLUM07G25480.1 pep chromosome:ALNU02000000:7:26755400:26756911:1 gene:OGLUM07G25480 transcript:OGLUM07G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQRTMQLVLRVKRSSGLLHQFSTAANSQRLAGKVAVITGAASGIGKASAKEFIGNGAKVILADVQHAARAMVPRRSGCVLFTGSVSGVVGGTGPTSYGVSKAAVLGVVRAVAGELARHGVRANAVSPCGVATPLSMVQVLEAYPGMSFEELKNAMAASMEQMEAGPLIDPEDVARTAVFLASDEARYINGHNLVVDGGFTVGKLLKIPKE >OGLUM07G25490.1 pep chromosome:ALNU02000000:7:26761400:26763942:1 gene:OGLUM07G25490 transcript:OGLUM07G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVFFLPAPAPQPCRTTVLLGLIERGLETGKWALSSDAGRNGDSAFYFAMTGKSRAGLTMLTGFVNRFSSVSRPERLAGKVAVITGGASGIGEATAKEFIRNGAKVIIADVQDDLGHAVAAELGPDAAYTRCDVTDEAQIAAAVDLAVACHGRLDVLHNNAGVTCSYVGPLASLDLADFDRVMAVNARAVLAGIKHAARVMAPRRAGSILCTASVAGVIGSEVPHAYSVSKAAAIGVVRSAAGELARHGVRLNAISPHGIATPLAMRGFGDVLAWADAERLKRVIEEDMNELEGAKLEAEDIARAAVYLASDEAKYITGHNLVVDGGFTVGKRLNVAHA >OGLUM07G25500.1 pep chromosome:ALNU02000000:7:26764518:26773802:1 gene:OGLUM07G25500 transcript:OGLUM07G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVAANKILRGRSRGVRPMFSSGLADRLFSSSASSSKRLEGKVAVITGAVGGIGEATAKEFVRNGAKVILADIQDDLGRAMAAELGADAASYTHCDVTVEADVAAAVDLAVARHGRLDVIIADIQDDLGRAVAGELGADAASYTHCDVTVEKDVAAAVDLAVARHGRLDVVYSNAAIAGGAPPATLAALDLDEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGVAAPAYSMSKAAVVGMVRTVARQLARDGVRVNAISPHAVPTPMAIGLFSETFPAATAEEVRRMVTRDMHELEGASLEVDDIARAAVFLASDEAKFITGHNLVVDGGFTMVNIKPPDLLHNSLEEITRSQPLQNRLGAGNQEYSRKLDDKVAVITGAASGIGEATAKEFVKNGAKVIIADIQDDLGRAVAGELGADAASYTHCDVTVEADVAVAVDLAVARHGRLDVVYSNAGVIGAPAPASLAALDLGEYDRVMAVNARSMLACVKHAARVMAPRRAGCILCTASTAAVLGGVAAPAYSMSKAAVVGMVRAVARQLARDGVRVNAITPHAIPTAMALGIMSETFPAATAEEVRRMVTREMQELEGTSLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTVGKDLLRNPPSST >OGLUM07G25510.1 pep chromosome:ALNU02000000:7:26774704:26776709:-1 gene:OGLUM07G25510 transcript:OGLUM07G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANY4] MLLLPSHQSDFDLTDRESYRRGSSVHVDWTLSNFPPRFSSLLRRKSEEERVAKSQIAFSSRRRGRLSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >OGLUM07G25510.2 pep chromosome:ALNU02000000:7:26774704:26775876:-1 gene:OGLUM07G25510 transcript:OGLUM07G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANY4] MVKAVAVLASSEGVKGTIFFSQEGDGPTSVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPTGKEHGAPQDENRHAGDLGNITAGADGVANVNVSDSQIPLTGAHSIIGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >OGLUM07G25510.3 pep chromosome:ALNU02000000:7:26776154:26776709:-1 gene:OGLUM07G25510 transcript:OGLUM07G25510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANY4] MLLLPSHQSDFDLTDRESYRRGSSVHVDWTLSNFPPRFSSLLRRKSEEERVAKSQIAFSSRRRGRLRGLFRIGGIGDLE >OGLUM07G25520.1 pep chromosome:ALNU02000000:7:26783403:26786806:1 gene:OGLUM07G25520 transcript:OGLUM07G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVARQLARDGVRVNAISPHIIPTALVTRVISETFPAATAEEVRRMVTRDMQELEGASLEVEDVARAAVFLASDEAKFVTGHNLVVDGGFTAKLSLSCAYLKSYPFIDKGRNRGVRPMFSSGLADRFFSSSASSSRKLDGKVAVITGAASGIGEATAKEFVRNGAKVIIADIQDDLGRAVAGELGADAASYTHXREKEMGNGPRGGEAGPRTEGGKIDFGRGFDLGGNGFGI >OGLUM07G25530.1 pep chromosome:ALNU02000000:7:26793248:26795502:-1 gene:OGLUM07G25530 transcript:OGLUM07G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 3A [Source:Projected from Arabidopsis thaliana (AT1G03470) UniProtKB/Swiss-Prot;Acc:Q66GR8] MLVLHRGVSEDRGDKMVQKELSQAWWFDSHNLARTSPWLTNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDTYDSESEVDDPEQEDMEEELSESEDMTKHSSQSQADQAAQLELMHTEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREFIIKNSKNSSSSSSRGFDFKKLTKDLFSAKLFTAHCKATTGPMVAL >OGLUM07G25530.2 pep chromosome:ALNU02000000:7:26793248:26794560:-1 gene:OGLUM07G25530 transcript:OGLUM07G25530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 3A [Source:Projected from Arabidopsis thaliana (AT1G03470) UniProtKB/Swiss-Prot;Acc:Q66GR8] MVQKELSQAWWFDSHNLARTSPWLTNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDTYDSESEVDDPEQEDMEEELSESEDMTKHSSQSQADQAAQLELMHTEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREFIIKNSKNSSSSSSRGFDFKKLTKDLFSAKLFTAHCKATTGPMVAL >OGLUM07G25530.3 pep chromosome:ALNU02000000:7:26793248:26794536:-1 gene:OGLUM07G25530 transcript:OGLUM07G25530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein NETWORKED 3A [Source:Projected from Arabidopsis thaliana (AT1G03470) UniProtKB/Swiss-Prot;Acc:Q66GR8] MVQKELSQAWWFDSHNLARTSPWLTNTLSELDDKTKQMLKMIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQLDLLKNGNGTRHTVFGPSSCTQSWSHQAAAAAMGGKGTTGSRSSISLCSDTYDSESEVDDPEQEDMEEELSESEDMTKHSSQSQADQAAQLELMHTEIEMLKEKNAELQKASAENEMLKQKNEELQRVSEENMALKAELAAKDEEKREVIRQLASSFDIVKKENFTLREFIIKNSKNSSSSSSRGFDFKKLTKDLFSAKLFTAHCKATTGPMVAL >OGLUM07G25540.1 pep chromosome:ALNU02000000:7:26814812:26819879:1 gene:OGLUM07G25540 transcript:OGLUM07G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >OGLUM07G25540.2 pep chromosome:ALNU02000000:7:26814848:26819879:1 gene:OGLUM07G25540 transcript:OGLUM07G25540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVAAARLGALYTTSDYASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLMTKGKSSHLFVKKKQFFRNFMTITLFGAVGTMISFFTISIAAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSIVLFNALQNFDLVHIDAAVVLKFLGNFFYLFLSSTFLGVFAGLLSAYIIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDRPGKSIGISSILLGLVLIGRAAFVFPLSFLSNLTKKAPNEKITWRQQVVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRLLLPASGHPVTSEPSSPKSLHSPLLTSMQGSDLENTTNIVRPSSLRMLLTKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSHGGR >OGLUM07G25550.1 pep chromosome:ALNU02000000:7:26822900:26827854:1 gene:OGLUM07G25550 transcript:OGLUM07G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANZ3] MSFRSVIQEVKGEIGAISRRGFRSRPGRVRRVAAAAEEPPDESSSSSAAAAVLMRESCWTQLPPELLREVLARVEESEGWWPRRRDVVACAGVCRSWRGIVREIVRTPEASGNLTFPISLKQPGPRDAPMKCFIARNRTTQTYYLYIGLTDALTDDGKFLLAARKCRRTTCTEYLISLDMNDISKRTDTYVGKLRSNFLGTKFTVYDAHPPYAGDVISKGQSARVIGSNHLSPRIPAGNYPVSHISYELNVLGSRGPRRMHCAMDSIPVSAIEQGGTAPTQTEFPLSYHESFTSIPFFKSKSVRANNSTASLLTQNGSKLVLKNKSPRWHEHLQCWCLNFHGRVTVASVKNFQLVASDESNPTNQEHDDVILQFGKVGKDMFTMDYRYPISAFQAFAICLSSFDTKIACE >OGLUM07G25560.1 pep chromosome:ALNU02000000:7:26828000:26829733:-1 gene:OGLUM07G25560 transcript:OGLUM07G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ANZ4] MCAMSSVLATHHHAARCGAVRRENAWIAPARVGFSQARRGGGRDELSAAGLGRFLGYATADHKNKNHEVDDLEPARLFVGLPIDTVTDGATVNSARGVTSGMRAVKLLGADGVELPVFWSVAQPESPDRFSWAGYRAVADMARDEGLSLRVTLHFHGSPGGAVPLLPVWVSTAAADDPDILFTDRSGGRHDDCLSFAVDELPVIHGRSPLDCYDAFFRSFADAFQDLFDSTITDVTVGLGPNGELRYPSYPPGSDGRGFTGVGEFQCYDRYMLEQLRRHAAEAGEPLWGLSGPHDAPRYGDSPDACGFFNDHGGSWQSAYGDFFLSWYAGQLVGHGDRVLAVANGALGDTPVEASAKVPFMHWWHGARSRPAEAVAGFYKSGGKNGYSPVAKMFARRGCTVIVPGMDVCMNKQHRITGSSPDQLLVQIKNACRRHGARIAGENASLVVTHTSSFSRIRSNVLTAERMRPGHFTYQRMGEAFFSPEHWPAFVEFVRGVVCGEWPDEDEDRDVADNPNAMEAQPV >OGLUM07G25570.1 pep chromosome:ALNU02000000:7:26830385:26831347:1 gene:OGLUM07G25570 transcript:OGLUM07G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSYTQKKIVGPTDIWVPHVILSLSLLPPPSHPFSISPSFSSCVGSGVRISGGDGGRGPERQAGWRVGGRWSCGPGGSGGGLSRWTTIVVAPSTVLRSHAQEVNFVRYCRQIEQGLWAIADIFVNLQRDAYFGVPPPRSRQLPSGCLIVDMANSYSEVTRVEHMEVEEKNPINVLYRDLVLSGDVFGAHRWLAALQRACDRYASLVTLGVPHHITGGMHTLCRAPSPDPELRIGHDGRLEDGPQGGAAPAPVARGPPPGTRSPPPPLEPPRRRLMSRGRER >OGLUM07G25580.1 pep chromosome:ALNU02000000:7:26833358:26835959:-1 gene:OGLUM07G25580 transcript:OGLUM07G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDDDPAKKLAVDGGVAAAARCCDFCGGLPAVVYCRADSARLCLPCDRHVHAANTVSTRHARAPLCSACRAAPAAAFRRGDGFLCSSCDFDERLRRGSIGGGGDELPLDDRAAVEGYTGCPSIGELAAILGVVGGDSDKPADDGWWSASWEEEAPQVLSLDDIIVPTTSCHGLRPLLTPPSPESSPDNGELDGEVLRQLGELARSEAAAQATFVAGDQLASWASPEFTSGHGDFGIEAASTTVPSCENETWIMSTDCTDPTDASKTDIAREEAPASSSAEPCLSSLVEISEICPSMSYSGSGIDNGGHDPSTLAIMPTQALPKKGVYDIAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFAKSN >OGLUM07G25590.1 pep chromosome:ALNU02000000:7:26838136:26840887:-1 gene:OGLUM07G25590 transcript:OGLUM07G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05100) TAIR;Acc:AT3G05100] MALPSGASATARMLTVPALLLLLSSAALLVFLILPSLSPSPAAHLCACSPPTTTHTTTTVTTTTTTASPAPVTTSPADVAWLKSQLASNSLPLLASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEATNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPRDQVLEIGCGTLRVGLHFIRFLEAAKFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSRFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRRLTELGLEYVGKHTHDSLLFNHYEIWWQHHTPELVQDKTAEEEEEEEEAEAEGWPSPSEAEEIAAAGAGDPLERVLLLYLDGSV >OGLUM07G25600.1 pep chromosome:ALNU02000000:7:26841930:26842460:-1 gene:OGLUM07G25600 transcript:OGLUM07G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAALHGHLHWLQHPEGRLTNHTAVFNMVDETFKQMTSPPVTSKFFADLLAIDKFLMASEFTNLGVDLWVMEGYGAMDERWELRHRVVLPWMRGVTLERPLLIEGGNDGDVIMGTAYGLGVYNVKSKTFRTVITVKPPDALLLSRNMLRESLVPHTFFDNQQQHPTCLPLFHFLS >OGLUM07G25610.1 pep chromosome:ALNU02000000:7:26842496:26843137:-1 gene:OGLUM07G25610 transcript:OGLUM07G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQRQTVGNLDIDSDLTYEILLRLPAKTVLCCGAVCKAWRRITTNPTFLSNHARHRPPKALLYNSFGKATSKINMELDALSVAAHHQAAVPERRRLACFPRYKNRGIGRLPRTGVSEQYCPLLASCNGLLLFRKGIGHYVICNPATRQWAEFPRLAGGGNLMECHRESGFYFHSQSGEYRLLCHCTMNRKCTTWSSRSVLMNPGGSTWKPLI >OGLUM07G25620.1 pep chromosome:ALNU02000000:7:26844861:26852904:-1 gene:OGLUM07G25620 transcript:OGLUM07G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVVGGKGAGGGRRLTLAPGASANLWSQPAGEEEDERNWWPEVLAATPCRTSLEVSTWDKKGCSRGGFSAPTKCYAVEPLCVPKPHTLGSRFWALGDESSEDEVDREERRSEEEVQGGLVLPEESFVKKAIDQGFTVDEILKAGEHLLCMSSSAKVSSCSMNSGFAGNRKLAKKMVEKVVNQKKTTCKPWKGPLPKARVSQPLTFGDVIGVALKKKKRASVASPARFTTVNGVNSPVAAENLDKANKSLASMRLPVAHTCSIMEKAAAAPPPLPPAAVRSSLDDNAKTMKFLMNLDKREKEGRIFLMSPGGQCTSDIEASKRSVELWSKGGSRIWSNSNVAKDWADYSHTSQTRKRKQSVGEQSGGAQGERSRREVTMAWRGEGSGRSDGHGRERGDGFWEEEEEFFGARFDAGRLGFEPGYGFGQQGNHGWGQQRSGFRPRGSRSFGPRRGGFASRPGRGGWENNRFSTKRFGERPLLKNESRPGGGINKAGGGSKFAAKGEVGGGVSGGGGGASAGKGKVKVGDMEVVVNQMDGAAVVTDDPETNSELAILDCDPSLFADQHHKMKESEISAIASGILDVAVGKVMNEVCDMVMEETEQPIEEEEELPLDSNLGDEEKGEQEQEQEQEELTLVENNIEEAFNERVARAANIKETSISRDRYGFGRPVGHHPSPGANI >OGLUM07G25630.1 pep chromosome:ALNU02000000:7:26853467:26854681:-1 gene:OGLUM07G25630 transcript:OGLUM07G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESARRNHADDIGGDLVYEILIRLPAKSLLRSGAVCKEWRRITTDPAFLSDHARRRPLEAVLYSSKINLDDPMVGGGGGSWGFIDIDHHCFQDDEYNSIVDLKLQPLPIAGDDHRRSPSPVGEGQLRRLSRFPEYKNHRQPEGPRLRYWQYDYFDEEEEYCVPLASCDGLVLLRKNAGDYAICNPATRRWAQLPPPTRGAARDVWCTRESGFYFHPPSGEYRLLCHCTGTRRSYPNTTASYYVLAAGGPRWRRLGVRATPINSPAVPRDMSCTKLMAPAALHGRLHWLLHPESGLAGDVVAFDTVAETFTRMAPPPVTRKTSADLLATDGCLMASEFTASSSVDLWVLDGYHGGGAIDERCCWQLRHRVVMPWEATISVPRLVAGGDDGDVILAVDGGGPGCTT >OGLUM07G25640.1 pep chromosome:ALNU02000000:7:26855237:26856637:-1 gene:OGLUM07G25640 transcript:OGLUM07G25640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQRQTVGNLAIDGDLTYEILLWLPAKTVLRCGAMSKAWRRITTNPTFLSDHAHHRPLEALLYNSFGKAAGKIDMELDTLSVAVHHHAAGIGHHVICNPTMRQWAELPRLTGGRNLIECHREFGFYFHLQYDEYHLLCHCTMNLAGRLTNHMVAFDMVAETFKQMMPPLVTTKFFANLLAMDKFLMASKFTDLGMDLWVLEGYGVMDERWELWHHVVLPWQLSATLKRPLLIEGGDSGDVIMGTTYDLGVYNVKSKIFMVVVTVKPPDALLLSRNMLRESLVPHTFFNNQQPNPTRYLNI >OGLUM07G25650.1 pep chromosome:ALNU02000000:7:26864774:26869442:1 gene:OGLUM07G25650 transcript:OGLUM07G25650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGRPSTSSPAAANASRRRDHPPPPAEAQKQGADASAEAEAAAGEKGEQPAVAVAAAGPPVKRERRSRSSRSAHAHAHAEVRIGGSFANKARGEQVAAGWPAWLSAVAGDAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >OGLUM07G25650.2 pep chromosome:ALNU02000000:7:26865415:26869442:1 gene:OGLUM07G25650 transcript:OGLUM07G25650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAREILILRRLDHPNVIKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGVLKIGDFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALESEFFKTEPHACDPSSLPQYPPSKEMDAKRRDEEARRLRAAGGRANGEGARKTRTRERPRAVPAPEANAELQANIDKRRLITHANAKSKSEKFPPPHQDGALGYPLGCSNHMEPAFEPPDPSSFSTVFPYEKGSVPTWSGPLADPSSGNQKRKHKSGRSSKQPATARAR >OGLUM07G25660.1 pep chromosome:ALNU02000000:7:26869260:26880526:-1 gene:OGLUM07G25660 transcript:OGLUM07G25660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTEAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISSGALLQSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILVMISSLCSATNALSWSMFSSSSKKPSMAAPPPPPLDGGVPVADFSIDGGGDARGDKLMENARRRIAAGNGRPAGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSKEIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >OGLUM07G25660.2 pep chromosome:ALNU02000000:7:26869260:26880526:-1 gene:OGLUM07G25660 transcript:OGLUM07G25660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAGRARRLLTSPAASGILSAPRPGCAALAGSGALLPRLDGVPSSPSPSPAPPLLARSFSATSSSRLPRNLLSPSISSQWRNEKSVCYHMAATHYSTEASDIDQPTEAVVELYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLIQILQKLRRLSNLRIDANFNDHLCMKVAEACARVGALDYGLKVLWKHNVYGITPTIGSAHYLLKHAKEKNDTKLMGSIMQVLQRNSMPLQPGTADIVFSICYNADRWDLLSKYARRFVKSGVKLHGASFDIWMDFAAKVGDSQSIWNINSLRGKSGFLLERKPESAAAMIKLLHKHSPDEKKQLVTDELQKLVAEWPAEVIKRQKKDDRKALEEALITDIPQMISSMSKLRLDISSRRRRRRPDQRRKDSPPVTGNFRMRRIRGFVFVVILVMISSLCSATNALSWSMFSSSSKKPSMAAPPPPPLDGGVPVADFSIDGGGDARGDKLMENARRRIAAGNGRPAGTTCWSEAYRSLFASCGDIMADKELQARLAWRLSGCFQEDSGRPPLPPCDAAAAHGHGHADMVHCRERLSDSESKVFLAFFLETNTLCHQLQAEAFKRSTERLVNDLTRSARSAREKLEAIEERSEKIMRESDHLRRSLSSIMSQTEHLATASEDVRARIGDVLDRSAAIFERSKEIAAAQAELRGGQAAMREELAAGMAQVEASYRSIGEEMGRLRQEAMGIEREVRAVGDAMAARMVDLQSAADDIGAAAGRSLENQMLLLDGQAKAMEGLNHLYSFQAQALQESRETIQKLAQFGQQQQEELLSRQEQIRHAHDDLMKNSESILEAQEEFRAKQASIFAALDKLYVLHNAVLVESRFIKAFFFYCCITFLVYLLTSAKQTFAIRGHLYFGLCITLVLETVVIKLGADDFSKQFLIMSKVLLIRSVFLAAAAAQILHSIFTYKDYEVLNHQLLQTLMEKVRAIEGNGSGGDQMNPWSTGSDCSSIGDCSWFFDEQLQDEVDSEIDPDFALPREICGNGSILPEEFGENSVTTSISRRYNLRPRIRPRFSEDNHIR >OGLUM07G25670.1 pep chromosome:ALNU02000000:7:26881398:26883368:-1 gene:OGLUM07G25670 transcript:OGLUM07G25670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAFLAVVCAAAMAAVSGAEEVYEFGSGASFIFGDSLVDAGNNNYIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQTDYSPPFLAPNTTGGALLNGVNYASGGAGILNGTGRIFVNRIGMDLQVDYFNITRKQLDDLLGKAKAKEFLKKKAIFSITVGSNDFLNNYLMPVLSAGTRRLYTLDARKFVVANVGPLGCIPYQKTINRVGENECVKLPNQLASQYNGRLRELLIQLNGDLAGAKFCLANVYDLVMDVITNYDSYGFETASMACCGNGGTYDGMVPCGPASSMCGDRKSHVFWDPYHPSEAANLVMAKYIVDGDSKYISPMNLRKLFSL >OGLUM07G25680.1 pep chromosome:ALNU02000000:7:26887431:26890697:1 gene:OGLUM07G25680 transcript:OGLUM07G25680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHLLLLLVVIIICYAPTSSTAAGSEVPFYEDCPSTADGTYAPNSTYQSNLAALAAELIENSTEYGSAAGSFGAAPDAVYGVALCRGDSKGPLCAGYLRDAFDAAMNRTTSSRPLCELRRNVTLFYDRFQLRFADADFVSGYGNEPEWPLNNTNVVDAPVAGRFREHVAALLNATARDAAAQPDRYGTGDSWFQEGGSMVYALVQCTRDMDPGRCGACLQRIISEMPRMLDASQIGGRVLGVRCLLRYEMASNSFFHIDNRTLHLQKQPTQQSGSSSGAKTWPIIVAVAGVAVCISCFFLFRELKRRRRRARVRSELRRLSMAVQNVITLWRLEEGNLGFKLYDFSDIKDATNNFSSESLLGKGGFGSVYKGQMPSGPEVAAKRLAACSGQGLLEFKNEIQLVARLQHRNLVRLLGCCIEGDQEKILVYEYMPNKSLDVFIFDNVKRELLDWPKRLHIIHGISQGLLYLHEHSTVCVVHRDLKASNVLLDAEMNAKISDFGIARIFGSNAAQSSTTRIVGTIGYIAPEYALDGVCSSKADVFSFGVLILEIISGKRTGGSYRYNDGKLYCLIAYAWLLWKDGRWHELIDECLGDRYHASIRTCMQVALLCVQEDAEDRKAMDEVVKMLGNEQAASQLPEPKQSAYFNVRPSGGGGGGGDAPPSACNISISMVTPR >OGLUM07G25690.1 pep chromosome:ALNU02000000:7:26891771:26892956:-1 gene:OGLUM07G25690 transcript:OGLUM07G25690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHMHDAFAQTTSIISHEEEEVTIDPTKWGAWAHRGHRLWASMSEDFWIHVYKVQRCPRSSSHDWTSCPYAHKGERARRRDTRRFAYAAVSCPDYHPREAAPGAVPSCAHGLRCRYAHGVFELWLHPSRFRTRMCSAGTRCPRRICFFAHSAAELRDDPNSIASAILTPMPMPMPMPIPMRTPDADHHPRVSAMRDQLDLIEEAMRNRLRLYSNANANANVGSSSAAAVATTTIPVSTLANGEGSIGKRCGCRRCVDEEDALLNGYPHYDLIMDLVDE >OGLUM07G25700.1 pep chromosome:ALNU02000000:7:26899090:26903680:1 gene:OGLUM07G25700 transcript:OGLUM07G25700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFMERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >OGLUM07G25700.2 pep chromosome:ALNU02000000:7:26898780:26903680:1 gene:OGLUM07G25700 transcript:OGLUM07G25700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERWRCVSVLALVLLLSNASHGRDISVQHSQQTLNYSHTLAMTLVEYASAVYMTDLTALYTWTCSRCNDLTQGFEMKSLIVDVENCLQAFVGVDYNLNSIIVAIRGTQENSMQNWIKDLIWKQLDLSYPNMPNAKVHSGFFSSYNNTILRLAITSAVHKARQSYGDINVIVTGHSMGGAMASFCALDLAINLGSNSVQLMTFGQPRVGNAAFASYFAKYVPNTIRVTHGHDIVPHLPPYFSFLPHLTYHHFPREVWVNDSEGDITEQICDDSGEDPNCCRCISTWSLSVQDHFTYLGVDMEADDWSTCRIITAENVRQLQKDLASNIIVSKHSVDVTIVEPSSQTY >OGLUM07G25710.1 pep chromosome:ALNU02000000:7:26905650:26906969:1 gene:OGLUM07G25710 transcript:OGLUM07G25710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G05675) TAIR;Acc:AT3G05675] MSGVRRAAGRPKIGDLATSDVVVRLRTPEGRDEWLYCHSGVLAAGSRYFADRLSDDWPTCQILGSRYCVEVHCQELDLSPHVTALRLLYAAEPCSRFGVRGALGVLQAAAHLACPRVAAACVDYLESAPWDEADEEEILRTIPCLGPQYECVLARLRPIDPAPVAGILLSAFRHATSTRSPPQELKSAAQEQLEYMLTEDDDAPLLAFDDDIVRAQVKDCVAALLSRFSGFTSSILMEQGEAPLGHGDAEVQQELHSLVSDISWVCQILSKLEMMKCVVVYWIGVSSDVVEAVDKACGGIGCLKTRLKVIEVSAKVLEAIAFGNIVLPTEKRCDAVNVWIGFARRTKPLVGHPEHDDDDGDAEAPKINLDSEVWQSLESAIVSIVLTLPSNSQADILSDWLQSKHAKYPDLTEAFEVWCYRSKAAKRRLSFLSHANRVS >OGLUM07G25720.1 pep chromosome:ALNU02000000:7:26907554:26911626:-1 gene:OGLUM07G25720 transcript:OGLUM07G25720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFPCSGSSGKGGVDAMSVAALSPGPRPAASAAPDRSNSSRGSGIKKDDSVRRGGSSANDGPAKIFTFRELAVATKNFRKDCLLGEGGFGRVYKGQMENGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMLLGSLENHLHDRPPGKKPLDWNARMKIAVGAAKGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQSAGEQNLVAWARPLFRDRRKFCQMADPSLQGCYPKRGLYQALAVASMCLQENATSRPLIADIVTALSYLASNHYDPNAPSAKSSRTCPSTPKAKAHRRTTSVPDAQHAADSLNWNFPDLGRKETTRGEFEQDHSEGYGSGSSSGRNDGLDVPELLALHNGQNNSEADIYHKSSVKLDAHEKQRSGSGKGSRHLRFLQEDFNKLLKS >OGLUM07G25730.1 pep chromosome:ALNU02000000:7:26916633:26929551:1 gene:OGLUM07G25730 transcript:OGLUM07G25730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILACSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLLQATADSKMVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSVIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKRVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKHGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAASKLGFANIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPDLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGPGRGRYRCIEYVNNRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFCVESMLLRLTHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLQRIFNARQLALKRIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFQIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEPRYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAVEAGYYPFCCPELF >OGLUM07G25730.2 pep chromosome:ALNU02000000:7:26916633:26929551:1 gene:OGLUM07G25730 transcript:OGLUM07G25730.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKDSQLIKKEACAWMYPYFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILACSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLLQATADSKMVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSVIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKRVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKHGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAASKLGFANIPPFFNQTAEENKQNESFQRMGSSWDTLGVPTHFQNDGSALYLLTHAFSPPSTVAVGQWLTQQSCSVSVSGIGHSNYGEKVSVDQEGANNSTLSPYMGGPALMDDSPASKMALEHSITTFPDDTVMIEPDLSNQEIKNLADWHDFSQISGGDEKDKLTPLSQIGFCDPASIGGGQQLTIISIEVITESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGPGRGRYRCIEYVNNRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFCVESMLLRLTHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLQRIFNARQLALKRIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFQIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEPRYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAVEAGYYPFCCPELF >OGLUM07G25730.3 pep chromosome:ALNU02000000:7:26916633:26929551:1 gene:OGLUM07G25730 transcript:OGLUM07G25730.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:recovery protein 3 [Source:Projected from Arabidopsis thaliana (AT1G67500) TAIR;Acc:AT1G67500] MAAAASSSSPPGTASPVLSVRIVSLDYYMAPPLPGFDFSYSHFHGGEVEEVPVIRIYGSTPAGQKTCLHIHRVLPFLYVPCKEDLLHNVEKGNSFISGLLSDLEKALQIRSSSKKKHDGAVLNRVFQPYESHIPYLLHFLIDYNLYGMGYVHVTDFKFRPPLPDDFHPKSSLHSKVDCSTESGHKVHPDNVAIRKPTIWISSTVPHSLILACSATSHCMEGTNWNVTNRHSSLMLEADSRIEGILNEKYKMYTSLLQATADSKMVRSLLSIWEELEHLRLLEEAKPVDMGRPLRDSVLRSFLHGIKYETALSMLCPKEEVSYHRVPTMEESEKLEECLKSLNDIIGTITFSQNDYCSVIDSDISAGTQQENQITNVLCLEPSEEGNTQCPVSSSAAQRTSSQLFEEHEKRVDAEALGLLSWLASSQAAEEPTTDDELVNEAILSPLFSKKSIAVALESAQLDFDGASQQECQDILDSIGPVIGEEQPNDQMSYRSSVRLGESSSLSNSIPQIDGSSDENKEVPQEDGKYKINRKRAGLPSYSSPQSSSKASKHGGNELLWGSLPLSIKKRSDLNADGHSGGAMPTEKVLSASFMSGTGKNSHANPDNTERGSSSPTGEHDPLCDSVRDLMRRRRRSFRSEQSEVGNSGDAAYIVRKENEIVNSERLELHDISSDLSNSEMYYSGSEYLQMTFARKPPMKNEVLCLEGSSAESRGELRPDPRFDAINAVSLAVEDDADNTIEVHVLIRGNNDSSHRRRNLDGVSGCDVNVFPGERELLNHLINAICSIDPDIIVGWEIQLGSLGFLAERAAHLGIGLLKRISRTPPHQMKHPPMNPVDESSQELPGASSADDVIDDASENNWSHAHASGIHVDGRIILNLWRLMRAEVKLNNYSLEAVANEVLRRKVPLVPTKILNRWFATGPGRGRYRCIEYVNNRSSLNLEILNQLDLVNRTSELARVFGIDFFSVLSRGSQFCVESMLLRLTHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYSDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSSVLGVSSYSADPQKIADLKNQLLLTPNGVLYVQPEVRKGVLPRLLEEILSTRIMVKQAMKKLSASQKVLQRIFNARQLALKRIANVTYGYTAAGFSGRMPCAEIADSIVQCGRRTLETAISFVNQHPLWKARVVYGDTDREEAFQIGKEIASSITAMNPDPVTLKFEKVYHPCFLLTKKRYVGYSYESPEQKEPIFDAKGIETVRRDTCPAVAKILEQSIRIMFEEQDLAKVRSYLERQWTRILSGKISIQDFVFAKEVRLGTYSARASSLPPAAIVATKAILSDPRAEPRYGERVPYVVIHGEPGARLVDMVIDPYGLLEVGSPYRLNALYYITKQIIPALQRVFGLVGADLNKWFNEMPRPIRETLAKRQSASGHGSFSRLGLNKKGVGKGSRIDTYYMSSHCIICGEIIQGSDTFCNNCLRNEAVVGTVVAGRTSKLEREIQHLAAICGHCGGADWIVESGIKCISLACPVFFERRKIQRELRGVSESAVEAGYYPFCCPELF >OGLUM07G25740.1 pep chromosome:ALNU02000000:7:26930503:26934632:-1 gene:OGLUM07G25740 transcript:OGLUM07G25740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP17] MDRINPNKVTSPTAGVGTTTTTSHIALLKPSSSPPPPHPPRDYLSSPLLPHSSSPSAAAAAGPPLRCWSCCCMMGAKLLLLLVASSLCLSAAIAAQQTCPADLDSKCGDAASGDWEGEFFPGIPKIKYEGPSSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGGDPFGAPTKSWPWEDGTNSLDMAKRRMRAHFEFMEKLGVDRWCFHDRDIAPDGKTLTETNKNLDEIVELAKKLQEETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYGAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLASFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATTFSFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFLTDISEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDMFLAHISGMDTLARGLRSVVKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPIVPSGKQELAEILFQAAL >OGLUM07G25750.1 pep chromosome:ALNU02000000:7:26935216:26939068:1 gene:OGLUM07G25750 transcript:OGLUM07G25750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP1/OBG family protein [Source:Projected from Arabidopsis thaliana (AT5G18570) TAIR;Acc:AT5G18570] MAPAVAVVAAAAAFPFRLFSAEARRNTKGSRSKRGSARPLKPSPPPRPSASSSAAGGGGATTFTRLPLRNAPASVEVTLDRFPTANPEPRASTFTRRNGERLGDDEEDEEEEDEVELGLRGATTFARLPLRDSPDGGDLTIGQFDAGVATQEGLRSRAISRQLVEHLDDVEEEEEEQVVSRLDIFEGAKGREARAFLPDEDDEDDDVVVFDPDYDGYSDDEEFVPTAVEQSPRGDAIAVAELEKLKYDNDDDDDDDDDDDEVVVFHPDDDEEVDVFEDYDDDEEEETKEKGVPAVMRCFDTAKIYAKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVFVEVDGDMNSLLPFRKSVHFRAGRGAHGQGRQQAGAKGDDVVVKVPPGTVVRSAAGDVELLELMRPGQRALLLPGGRGGRGNAAFKSGTNKAPRIAEKGEKGPEMWIDLELKLVADVGIVGAPNAGKSTLLTAISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGHEFLRHSERCSVLVHVVDGSGEQPEYEFEAVRLELELFSPSLVDKPYIVVYNKMDLPEASERWNKFQEKLQAEGIEPYCISAMNRQGTEDVVLAAYKVLPKDRQRMKDDEEWNGPENLNHVADAIKRERRAPMNEFEIFHDKGTNTWNVVGAGIERFVQMTNWQYSESLKRFQHALEACGVNKTLIKRGVKEGDTVVVGEMEMVWTDEPSKTRSSKTMNSKDDSVRWPEFG >OGLUM07G25760.1 pep chromosome:ALNU02000000:7:26940650:26943695:-1 gene:OGLUM07G25760 transcript:OGLUM07G25760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAPAVRRRRRLSGTAPPSEASWEAAITLARRRRDGRRGKRTPPDRQCRRRRTRKETALQRGGHGDRADCWTRSRTMYCSNCRKWRSSSLSATMETMYCCNCRLRELV >OGLUM07G25770.1 pep chromosome:ALNU02000000:7:26940782:26943902:1 gene:OGLUM07G25770 transcript:OGLUM07G25770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLQSCLFSCSPATALSIRRSPLTSPAISPAASQRNCCLPRLKTTTQSCRITTAARPPLTAVQCQKGDGGGAPPAPPRRPSDYLQEEKEKVLKHYREIISIDDGCLHTAELNLAAPNETSTETVHRTVRMYVNIVMAAADDSYDRKVSKKTVESYLRALRGLAAVTHVLLDDALEAVSHRAPMDSLAEYAFNSDVKPLYDDFQAEMNTLVHKIDKALDPHICRIAVWVMARATQITGTIIGLMVSRRKRALENARSKMVADSATI >OGLUM07G25780.1 pep chromosome:ALNU02000000:7:26944983:26955075:1 gene:OGLUM07G25780 transcript:OGLUM07G25780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGREKMSKGGCGVRVVILGGGSVQVVVPDGGGVDVQEEGKYQKENKQQEYLEAMIMAAHVAAAAWNRVAPLPTSPSRRPLQAQAVARMDRPRHAVVVRCEKKKKGAADEPAGELGPEEGGDPHQVTMVGRRCRRAGCGGAMAAPTVAEGAGSGVGREPGGEAALRGEEEGRAAAARRKSAGGAVEEQRRGGLDDTPFNYEINKIRGYWTEIHSAKISYLTVRMYVSTFVKTAEDTYHRKVDRATILSFLCALQVWLHSQPDYSPNHDVEVTNRSYQQEIKRLINNFREASTTEALEAAPLHPVMLPHQMTSKMSS >OGLUM07G25790.1 pep chromosome:ALNU02000000:7:26948683:26949016:-1 gene:OGLUM07G25790 transcript:OGLUM07G25790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLISQLPLFTLASSLAALPKLSRARCRHPLLLRAVSGEEVLLKQLQFITIS >OGLUM07G25800.1 pep chromosome:ALNU02000000:7:26957630:26958592:-1 gene:OGLUM07G25800 transcript:OGLUM07G25800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRGSGSSSSSSSSQASLMAFSEPPKPASQPSPPSSPMSERPPSGRSRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHTAYNYPPFLAPFHAPPYAAAAAAPSSVQYGGGVGAAPHIGSYGHHHHHHHHHHHGHGAASGASSVGECSTMPVMVPVDPHRSSMSSSLLDMDRNGHDFLFSGADDNSGYLSSVVPESCLRPRGGGAAADHQDMRRYSDADAYGMMGLREDVDDLAQMVAGFWGGGDAADQLGACGFPASGGAADMVASSQGSDSYSPFSFLSH >OGLUM07G25810.1 pep chromosome:ALNU02000000:7:26966357:26969020:-1 gene:OGLUM07G25810 transcript:OGLUM07G25810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSEKDKSFQNEGEQSKKKKKNKKKNLKAAKDSKSQKASKKKKLKSL >OGLUM07G25810.2 pep chromosome:ALNU02000000:7:26966355:26969020:-1 gene:OGLUM07G25810 transcript:OGLUM07G25810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDLTDEERRALRGSKFAPLPAPTAPSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLESLNPDLVKLAVRNAKETIKASKGEPSTSGRIVRHVSSFGDSSEKDKSFQNEGEQSKKKKKNKKKNLKAAKDSKSQKASKKKKLKSL >OGLUM07G25820.1 pep chromosome:ALNU02000000:7:26970038:26975087:-1 gene:OGLUM07G25820 transcript:OGLUM07G25820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAETGPAAPQDQWKSYCRTISLLAFQSFGVVYGDLSTSPLYVYKSAFSGRLNNYRDETTIFGLFSLIFWTLTLLPLLKYVIIVLNADDNGEGGTFALYSLLCRHAKFSLLPNQQSADEELSTYYQPGVGGIISSPLKRFLEKHRKLRTCLLLFVLFGACMVIGDGVFTPAISVLSAISGLKDPGPGGIPDGWVVFIACIVLVGLFALQHRGTHRVAFMFAPIVVVWLLSIGVIGLYNIIHWNHRIFLALSPHYVIKFFKMTGKDGWLSLGGVLLAITGTEAMFADLGHFTAASIRLAFVGAIYPCLVLQYMGQAAFLSRNMSAVEDSFYQSVPSLACIVVMFVTTWLMALVIIFVWQKNILLALLFVVAFGSIEAVYLSAAVTKVPQGGWAPIVFAFVFMLVMYVWHYGSRRKYLFDLQNKVSMKWILTLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHQVLVFVCVKSVPVPFVPEDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIAKFIQMEAEEAASSGSYESSEGRMAVIHTEDTTGTGLVMRDSNNEASGTSLTRSSRSETLRSLQSIYEQESGSLSRRRRVRFEIAEEERIDPQVRDELADLLDAKEAGVTYIIGHSYVKARKNSNFLKTFAIDYAYSFLRKNCRGPAVALHIPHISLVEVGMIYYV >OGLUM07G25830.1 pep chromosome:ALNU02000000:7:26982005:26992620:1 gene:OGLUM07G25830 transcript:OGLUM07G25830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMDDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLPQSRSPPKAANVSSRSPYHQPTESMSQSTLAVAAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGRVSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYAAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSSGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVIPAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQSRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSKSADHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNTQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHSANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >OGLUM07G25830.2 pep chromosome:ALNU02000000:7:26982005:26992620:1 gene:OGLUM07G25830 transcript:OGLUM07G25830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVRRRQEDARGVGLRGGAAAGGMDDDAELEEGEACGDETAFVDPDVALSYIDEKIQDVLGHFQKDFEGAVSAENLGSKFGGYGSFLPTYQRSPLPQSRSPPKAANVSSRSPYHQPTESMSQSTLAVAAPSVSKHNGSMVPLSDDSSKKEVHQSTKVERASSTQDSLNGPSKSSDHNRFKVRIKVGSDNGLARNNAAIYSGLGLDISSPSSVEDSPDGRVSLSPEFNNVPIESPRTILQIMTCFSVPGGFLLSPLRDDLVQLTQKVVPTSKKWETNANTENVQERYEGYAAKRVKSDAKKKKAVDTKRSKSRNDVSAVMKNEIDIETPAGQKIVLEALNIPLLSNPRTMEAKDGSQFEEDPMRDTLVENKDARLKERTINSDLMAIKYENVKAEAAECLENSGPGSSGMDFSAVKGEVKFKAEKAEIHVEDRNTTSEKDFQSDRKQERKIKTESKCNATGVNFEGNKVMNERTPVVGRSIGKVSSKETLLNDINEENVSKSESRRSQKEQNMNASSSSDFLEDDRGVLSSGAVKERKNDSQSKSSHPGRKPKAKSHRDVREHLPEGSYGGKDDTLENGSGLGELRPKKIWKNDSERDSDMPGTSKREISSSLKNDRHTPAEEQRMHVPPSVSAPTANAAPMLPAPVVIEEHWVCCDICQKWRLLPYEMNPSLLPKKWKCSMLQWLPGMNRCEVSEDETTNALNALYVIPAPGNGVASVGHSHVASSGLTTSNTLNVNGHVEQSRKRKNTLSDGNVSFDVSQQMQGSVYPLSNQHAPIRSKSAADSIQFPVERDSKSADHFVEKKRSKSKNHGSSSDGGHLVERSKKHSKVKSKREMDHDEYRTSKKIKKEERRQRQSGIDSNPGYDLASGDVPDEAKALPSKSMALQGSSERSDVPPSKYKSVSKYNSSEKSKRSKDGDVFLPEDKNKEHSYPSDAQKPDLSSKKRIVKEWEESQHNSTPPVSKMSIVNQSSSSKETCKDQNLKETKSKLTKSEEPFAMTDSKSIKVAHSNQTSRNLNNELFEDSTPFAVKSGMSEPPENRSSEQALDLAEPASSDLAYFQTTAVTSSSSKASGSQRRKQNFHVAKTSPIESVSSSPPRISNNDKVSHDKILGKDGSTCANTNNTQSLVKNTEVIVDNVRQARKSHESMLASEPVMNGFSQGNSDKDNELPQLTQGHASNGIISGRSLDDDLQHASGRKDSSLKSSNAARSHNHLHSANKNNLLTDGSSIQHRMAVLDTKGDSMVHENKRSVTSLQDRNGSTHYPPDGNPQSEVSFGKEKSHPKSNKHDMQNSKAQMLPSPLKESKVESHSAPLRSNASKLTAQLKRGNVENGGQHGITKQAISNPADTSSPVRKDNNSTGYALKEARDLKHKANRLKEEGKEQESTRLYFESALKYLHVASTLEPPPSIDGFKQCDAAQNLYSDTAKLCNFVGHAYEKSKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQMLQAIVQNPPGESPSSSASDIDNLNNNGLSKGPSSKDANSPQVTGNNLLLAARNQPHLTRLLAYTNDVNCAFDATRKSQMAIASAASNQENGIDGLSSVKTVLDFNFQSVNDLLRLVRLSMESISC >OGLUM07G25840.1 pep chromosome:ALNU02000000:7:26992793:26997124:1 gene:OGLUM07G25840 transcript:OGLUM07G25840.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYPSTPSFTAKPWTCRPSSSFPSPPHAGIALQTDIRSGWTSPSLSIRRNTPITSAGQSPSCASPQINAFHETRSLAGISSNTLLASPRDPPREYAVTSALPTNTSAASPDRQASACSCRTRKPSPLPAASESATVHVTASGRTAPERSIHDAKSSASAGSGNAERMVL >OGLUM07G25850.1 pep chromosome:ALNU02000000:7:26992907:26995087:-1 gene:OGLUM07G25850 transcript:OGLUM07G25850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G32430) TAIR;Acc:AT4G32430] MPLRRLRIRTLSTAAAHHPFDGIPHPSLARHRRVNPIAFSAAVRASDPASLPALHAVAVTTGLHGFAAVTNSLAARYAKTGSFPSAVGVFAAARARDVSSYNTILSAFPDPAEALDFASWMLRSGAVRPDAVTCTVALSLAAGRGEGFLVRQLHALAWRSGLAADVFVGNALVTAYSRGGSLGEARRVFDDMPARDLVSWNALICGLAQDGDCPAEVIGVFLRMLRDGDVQPDRISVCSAIPACGGEGKLELGRQVHGFAVKLGVEGYVSIGNVLVAMYYKCGAPGSARRLFDAMSERDVVSWTTAISMDGEDALTLFNGMRRDGVPPNEVTFVALMSALPADCPARGAQMVHAACLKAAVSGEAAASNSLITMYAKARRMDDARMVFDLMPRWEIIAWNAVISGYAQNGRCNDALELFSSMARCLTPNETTFASVLSAVTAVETVSMAYGQMYHSRALRMGFGDSEYVAGALIDMYAKRGSLEESRKAFDETEQRSLIAWTAIISANARHGSYGAVMSLFGDMARSGVAPDGVVLLAVLTACRYGGMVDAGRDIFDSMAADRGVELWPEHYSCVVDMLGRAGRLAEAEELMMRMPAGPSVSALQSLLGACRIHGDAEIGERVAGILTEKEPTESGAYVLLSNIYADVGDWDGVAKVRRKMRDRGVKKEIGFSWVDAGAGEALHLHKFSSDDTTHPRTEEIYAVADVLGWEMKFLKNCSQMEMILI >OGLUM07G25860.1 pep chromosome:ALNU02000000:7:26997223:27002892:1 gene:OGLUM07G25860 transcript:OGLUM07G25860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRALEVTLISARNLKKVNLITPMEVYAVVSVSGNPLARQCTLPDRHGGRNPTWNATLHLAVPAAAPGAFLHVLLRTERALGDRDVGEVFVPVADLQLAAAAHYQYLVHKVQSTTEHCGVLSLSYRLGPVVVPAPPLAADTGAVPAYLVVPCYANAPPYVYLSPANPARGEAASASPSPPPRRKRSGDFGQWLGGAVRGMLSGEAMSPDTAAYDAGYKTLTGVADGRRVNIPSLHSITSCLSKQASNRCSQLFDQSELAMAYRVLEVTLHSARDLKNVNFISRMEVYAVATISGDPLTRQCTPPDPYGGRHPAWNATLRFTVPPTAASAAGCLHVLLRAERSLGDRDIGEVIIPLADVLSGPYDLGARPPQFASYQVRKLHRSETRGVLHLSYRLGPVVAPQSVFAYPAPPPPPPPPQLFETAPPSPPYVPPPPDAYLRKPSPPSPPPAKPSPPPPPQTQTQPLAKPPAPVTPSRAGGHVAALAPPAVAKADRHVSTPSPAKADWQMVGTPTATKGASKHGSLEFERGLNAGLVGGAIGGMLVGTEMVSDAAFYHAGYRAGLADRDGWAVY >OGLUM07G25870.1 pep chromosome:ALNU02000000:7:27004112:27007326:1 gene:OGLUM07G25870 transcript:OGLUM07G25870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTPPAVAVAGESPPPLVHLAFNLYSTGFIAATATGLRVFSCFSSPLNKVFARDVEVCPEDDGGCGGGGWKVAIAEMFNEAFAAVVFRREKGGGGGTVDKICFWSIPNGRMYCMHKTLPFDGAVRGVRLVGEFLLVAGDERAALYELPHAGAPPKKVKVVETAANPLGLGAVVQPDGNARFVAAAPQRMKGMVQVHRLAEDHVYVRAHYSSLAAIALSADGRLLATAGSKGTLVRIFSTSDGKLLQATFKLRGLYEESGATAAAGDMRDRNQSTCAVVRRAGAGFEVRRFRPGSLNYSSRYDSVRIGGGDDDDDVRSVHVHGDRTVVVHAGRVDVFGLDDGRRKAAVLQRRVETGDNRAGACAVSRGPPGSPFAFACPGVNDGNLRVERWVGGFTPLVIGAHRWRVASVAMSWDAKLVATASVKGTIVRVFRVADGELLQEMRRGFDRADIYSIVFSPDSEWLAVSSDKETVHVFHINVCSPSSSKTGCQDTTQSYESYGAKAMKKYVSSIKDLLTLGYFDPERSVAQFHLRDNVKYLVAFGTRPNKNIVLIIGMDGSFYRCQFDPVNGGEMKQLEYTNFLNM >OGLUM07G25880.1 pep chromosome:ALNU02000000:7:27009349:27011930:1 gene:OGLUM07G25880 transcript:OGLUM07G25880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQRPPIKNLVAKKKKKKIIKTKRSRTQSPSPPPSPNSTAAAAAAATAARRRHEGAGREGDDDGVREAVQVHGAEPEAPPGAAGGGGARPAGRPLLPPPPQEPRLLRLRGARPPRHRRRPPAPRRGRHAHRQVHPRRRVPPHRPRPRPPRRPRPPPRLAQARHRALLPLRQLRAQVLPRPHHREHQGQRRRRRHVHGRRPARLRHRRQVGAGLQEGRHQRRRCAAPV >OGLUM07G25890.1 pep chromosome:ALNU02000000:7:27024742:27025059:1 gene:OGLUM07G25890 transcript:OGLUM07G25890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVWVFRNNGVMELEEQATSRKALVHVATSEVIRSTEALERRLGALGWERYYEDHATLQLHRRDGSADLISLPRDFSRFRSTHMYDVVVKNRDHFKVVDLHT >OGLUM07G25900.1 pep chromosome:ALNU02000000:7:27027882:27031885:1 gene:OGLUM07G25900 transcript:OGLUM07G25900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVKLLKSEMKEIRSAIQEEKGTLQTQFADIEKSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >OGLUM07G25900.2 pep chromosome:ALNU02000000:7:27027622:27031885:1 gene:OGLUM07G25900 transcript:OGLUM07G25900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVKLLKSEMKEIRSAIQEEKGTLQTQFADIEKSNEDTNQAPQPREPAQEFDTDAHMEKQTAMEA >OGLUM07G25900.3 pep chromosome:ALNU02000000:7:27027882:27029178:1 gene:OGLUM07G25900 transcript:OGLUM07G25900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVKLLKSVSLFLFHVLALFVLILRSYCSWFWHMILGNEGNQVCNSGGKGHFTDSVCRYREEIVPVRCAILSYCCQS >OGLUM07G25900.4 pep chromosome:ALNU02000000:7:27027622:27029178:1 gene:OGLUM07G25900 transcript:OGLUM07G25900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPPLTAPAPAPATPPRLAGFEQLDARIKELTSSQGELLDRIQKLKLEVQNWRSNLETQVKTSQNELLELKKGLNSEVKLLKSVSLFLFHVLALFVLILRSYCSWFWHMILGNEGNQVCNSGGKGHFTDSVCRYREEIVPVRCAILSYCCQS >OGLUM07G25910.1 pep chromosome:ALNU02000000:7:27029786:27031441:-1 gene:OGLUM07G25910 transcript:OGLUM07G25910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSESPAAAAAPAKLPATPLAGFVSLLAARRFAAAKSLLASLVTPRLLAVPFADLAAASLPRAAPRHAVTAFYDMLFRAYADSGAAARAAEAFELTVSRLGGLDPRSLTSSLLSLRRSGHLDTAADLLKQAATSCPDSVTPLSASVVVDGYCKSGRVAHARQLLDEMPRHGVKVNALCYNSLLDAYTREKDDDRVAEMLKVMENEGIEPTVGTYTILVDGLSATRDITKVEAVFEEMKSKNLSGDVYFYSSVINAYCRAGNVRRASEVFDECVGNGIEPNERTYGALINGFCKIGQMEAAEMLVTDMQVRGVGINQIVFNTMIDGYCRKNMVDKALEIKMIMEKMGIELDVYTYNTLACGLRRANRMDEAKNLLRIMIEKGVRPNHVSYTTLISIHCNEGDMVEARRLFREMAGNGAEPSLVTYNVMMDGYIKKGSIREAERFKKEMEKKGLVPDIYSYAALVHGHCVNGKVDVALRLFEEMKQRGSKPNLVAYTALISGLAKEGRSEEAFQLYDNMLGDGLTPDDALYSALVGSLHTDKKQNVKPRTN >OGLUM07G25920.1 pep chromosome:ALNU02000000:7:27038997:27040638:1 gene:OGLUM07G25920 transcript:OGLUM07G25920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLQNIEGVYKVTIDAAQHKVTVTSSVGADVLVRRLHKSGKHATVWPSPPVAAAAKQKPDEVAAAPPPAAAPAPAGERGKGAEKKAKEAAKEAGTESSEKKPEKEKKAEAKKPAKDDAAKKEGEVPEKEKGSPEPNKESAAAAADEEEGGEESGGKKGKKKKNKQKDGGGEADAAAPEKPAAKAPPAAPAPSMPAPAPTLSSPERPHGGFPYYSPQPVMSYNVAHPSASVSSYYAPTPVMSMQPTPMPPPPQMSYGYSPYPPMMMPPPPPPEYLYGPPGMRSSPPQESYSNMFNEENPSSCSVM >OGLUM07G25930.1 pep chromosome:ALNU02000000:7:27048553:27056767:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MISKYFHLNQTGPVVFFRLHSLVVVGLGARRGLDAAFHPRRPPVAIWLLLLFIHRALRSVQRELSLPPARVCISIPPPAFLAAIQEDAAGASRRPRRRCEFKPIQQCRHGRSSRSFLIRERLHERAHKEAPNYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCMMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >OGLUM07G25930.2 pep chromosome:ALNU02000000:7:27048553:27055333:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MSKLKKHLMDEEQGAWHHHRECTICGEHPHTGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCMMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >OGLUM07G25930.3 pep chromosome:ALNU02000000:7:27048553:27056767:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MISKYFHLNQTGPVVFFRLHSLVVVGLGARRGLDAAFHPRRPPVAIWLLLLFIHRALRSVQRELSLPPARVCISIPPPAFLAAIQEDAAGASRRPRRRWDLLKDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCMMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >OGLUM07G25930.4 pep chromosome:ALNU02000000:7:27048553:27053070:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MDDHNLDYKGSGALEELEMLTVNAKEAQELILTKILERNQATEYLSKFMNGSTNISAFKRHVPVVTYDKVHPYILRIATGEESSILCGEYILELLRSSGTSRGEPRLMPSILKDLDRRTYLYSLIMPIMNKYISGLGEGKAMYLLFVKAETLTDSGIPVRSVLTSYYKSPHFLHRKHDLYNNYTSPDEVILCPDSQQSMYCQLLCGLVERQHVLRIGAVFASAFLRSISFLEQHWRDLVNDIRIGQLNSSITSPACRLAMLNFLALPNPELADQVEAICSCGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYGGGAIPFVCMMYASSESYFGVNLSPLCSPADVSYTILPNMAYFEFIPLEDGLRLTDHEEVIENDKLVSLVDVKVGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSIDSDKTNEEDLHNSVTTAKKILENQNYLLLEYTSYTDISTVPGHYVLFWEIKSTHDERPAPLDAQLLESCCAAVEESLDYVYRRCRAHDRSIGPLEIRLVEAGAFDALMDLLVSHGSSINQYKTPRCIESSLALKLLNSKVIACFFSPQDPECGM >OGLUM07G25930.5 pep chromosome:ALNU02000000:7:27053317:27055333:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MSKLKKHLMDEEQGAWHHHRECTICGEHPHTGTFLKVHREKQVEFCVTQQSKAMDNGGQRLDIIFKFSTYYHITLHFSIEHY >OGLUM07G25930.6 pep chromosome:ALNU02000000:7:27056207:27056767:-1 gene:OGLUM07G25930 transcript:OGLUM07G25930.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative indole-3-acetic acid-amido synthetase GH3.9 [Source:Projected from Arabidopsis thaliana (AT2G47750) UniProtKB/Swiss-Prot;Acc:O82243] MISKYFHLNQTGPVVFFRLHSLVVVGLGARRGLDAAFHPRRPPVAIWLLLLFIHRALRSVQRELSLPPARVCISIPPPAFLAAIQEDAAGASRRPRRRCEFKPIQQCRHGRSSRSFLIRERLHERAHKEAPSR >OGLUM07G25940.1 pep chromosome:ALNU02000000:7:27058220:27066174:1 gene:OGLUM07G25940 transcript:OGLUM07G25940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLFPNVSFSHVSAPAAAGTSTEVTGGATAVFGRDASTGAPRLSLVWSGETQAKHTLEIDLSDAQIFKLGPTEWLCVSGESEAKDGVEEHILNGFMLTLNSLRQKSYSRAIKVVLRTEAESKAFYLAFQQWKHRVISGKAGEPLENGLIIGSKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPGGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLVSPPTFHTLDFTTMKEEELYEIDIPLNFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCILSQPLYVMAGQEITGRLHLVAHSAQSYTIYLTMSGWAFSISFVISFFPSIALEADGSCSFGYFLEAKMWGEGAEQGGILQTSTAKLELKEPYYRLSQPQPYVMQQDQQQQQLPSLQPQSPLWDYHYGQD >OGLUM07G25950.1 pep chromosome:ALNU02000000:7:27066501:27070584:1 gene:OGLUM07G25950 transcript:OGLUM07G25950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLGRPSSTIPHTDQRVKDGACRRRGQGPASTCPSREPRRGGPAPEHSRGRVPTAQIDPHPSHRTAARSSSSPIYPPPPDPSVSSPLEGKVRKKEKKKKRKIVSRLVSSSSPPIPEQLLETLAASIPAMAEPNPQVEREVEVEEVRRPKLRYLEFVQVAAAQATICLAGLYALAKDHAGPLRPGVDAVESAVKGVVGPVYGRFHGVPLDVLAFVDRKVDDTVQELDRHLPPTLKAASAKACAVARGVPDVARELTAEVQQSGVTGAARVAYAKVEPVAKGVYGRIQPAAKDLYVRYEPAAEHLAVSTWRSLNNLPLFPQVAQIAVPTAAYWAEKYNKVIAAAADKGYTGAQYLPAIPTERIAKVFGESSPEAQPSKSESAKTQ >OGLUM07G25960.1 pep chromosome:ALNU02000000:7:27073872:27074078:1 gene:OGLUM07G25960 transcript:OGLUM07G25960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTAKVISGGGYAHNDPVFGRHGALYMVPYVRRDMLITENHLPLLLLQKLVAVETGKEAQRNGNGHH >OGLUM07G25970.1 pep chromosome:ALNU02000000:7:27079517:27090372:1 gene:OGLUM07G25970 transcript:OGLUM07G25970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTRRSAAAKRRASSEEAASPATDGAAAGAGAAGSSTPRRRSGKRAKAEATVGTPAAKAGGADATAAAAAIDVIDSSVENLHGVARPTGAVPASSTVSNSGVKKKRTKYINVPSAEELSLWKARQAVANGRAEAWGRLISQSSESPSVPIYTTHFTVGHGGNYDLRLTESFPGSLICKLKHVKRGAALEIYVSKAVHVNGKVLDKTAKVTLVGGDEIFQQLPEERSSTSTFSATCAFQQGQYPVTKGTLDVSSKGAKLSVMPFNFGNGRPPLVPHDTEIVSSLCKTMEEQSQLASEENLQVAQHQLLKEDLKKVVVNASDISDSFDSFPYYLSENTKNALLSSAYVNLCCKESIKWTKHISSLCQRVLLSGPAGSEIYQESLVKALTKHFGAKLLIIDPSLLASGQSSKSKESESYKKGDRVRYIGSVQSTGIILEGQRAPDYGSQGEVRLPFEENESSKVGVRFDKKIPGGIDLGGNCEVDRGFFCPVDSLCLDGPGWEDRAKHPFDVMYEFASEESQHGPLILFLKDVEKMCGNSYSYHGLKNKIESFPAGVFIVGSQIHTDSRKDKSNSGSPFLSKFPYSQAILDLTFQDSFGRVNDKNKEALKIAKHLTKLFPNKVTIQTPQDELELSQWKQLLDRDVEILKAKANTSKIQSFLTRNGLECADIETSACVKDRILTNECVDKVVGYALSHQFKHSTIPTRENDGLLALSGESLKHGVELLDSMQSDPKKKSTKKSLKDVTTENEFEKRLLGDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFSKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRKKILSVILAKEDLADDVDLEALANLTDGYSGSDMKNLCVTAAHCPIREILEREKKVRASAEAENKPLPPPRSSSDVRSLRMNDFKHAHEQVCASITSDSRNMTELIQWNDLYGEGGSRKKTSLSYFM >OGLUM07G25980.1 pep chromosome:ALNU02000000:7:27091252:27092687:-1 gene:OGLUM07G25980 transcript:OGLUM07G25980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHQTNYRLPPQIIIFQTYNSAEVIKFMARVYSSFPPPPPSPPPPSTTSEQRKVFTLWMKSLVLNGRGCTVYDSTGGIVYRVDNYGSSCSDNVYLMDLRGKIVLNILKKKLAFGKWEGYKWSSGERQRDAAAGAGAGGAWFTVTRPCSSILFQRRRRPSSTCEFRSGGGGGDDGGRAMRYKMDDCSGGGGGGGKQQAWCRIVDGATGAVVAEVKGKTTAGGVALGDDVLTLTVEPNVDHALIMGMVLVYGLMNHTM >OGLUM07G25990.1 pep chromosome:ALNU02000000:7:27095190:27096626:-1 gene:OGLUM07G25990 transcript:OGLUM07G25990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP52] MAQAERERLRVLMFPWLAHGHINPYLELATRLTTTSSSQIDVVVHLVSTPVNLAAVAHRRTDRISLVELHLPELPGLPPALHTTKHLPPRLMPALKRACDLAAPAFGALLDELSPDVVLYDFIQPWAPLEAAARGVPAVHFSTCSAAATAFFLHFLDGGGGGGGGGGGRGAFPFEAISLGGAEEDARYTMLTCRDDGTALLPEGERLPLSFARSSEFVAVKTCVEIESKYMDYLSKLVGKEIIPCGPLLVDSGDVSAGSEADGGMRWLDGQEPGSVVLVSFGSEYFMTEKQLAEMARGLELSGAAFVWVVRFPQQSPDGDEDDHGAAAARAMPPGFAPARGLVVEGWAPQRRVLSHRSCGAFLTHCGWSSVMESMSAGVPMVALPLHIDQPVGANLAAELGVAARVRQERFGEFEAEEVARAVRAVMRGGEALRRRATELREVVARRDAECDEQIGALLHRMARLCGKGTGRAAQLRH >OGLUM07G26000.1 pep chromosome:ALNU02000000:7:27101216:27104251:1 gene:OGLUM07G26000 transcript:OGLUM07G26000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVALAVSFSSARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAYPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >OGLUM07G26000.2 pep chromosome:ALNU02000000:7:27101008:27104251:1 gene:OGLUM07G26000 transcript:OGLUM07G26000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFSVSPILSLKLAFAVALAVSFSVSCCAAPPSPRAVAADLLAVLAGPGAAARVPPAAESARLRACLRFLSPVLPLPAAAAISEGRAARKVLVERGGADEADGMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQRAKRNKCQLTRTPYGRRFANKDINSYLAFLFELIAARGPSVGLNVSLSRYDFFHGHLFLASGTGRLGILFHAKEYPAFDKELFPYSLGFCQAGSNVVYDDSMNLRNILWLAPLPSNETKAWLSPGVLVVLDAYPDGIIYQDMIRDYVRDVRTIYEDDFGEVAVDVNYLNVANSAPANRVFIC >OGLUM07G26010.1 pep chromosome:ALNU02000000:7:27104183:27106260:-1 gene:OGLUM07G26010 transcript:OGLUM07G26010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e family protein [Source:Projected from Arabidopsis thaliana (AT5G06360) TAIR;Acc:AT5G06360] MKKTLKMHDESTSRQKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRMEKAGKWEVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGQMYSTLGVLTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >OGLUM07G26020.1 pep chromosome:ALNU02000000:7:27107602:27111884:-1 gene:OGLUM07G26020 transcript:OGLUM07G26020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MPSQPFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRTQMERVERVRLAKEHWESQCRAFLGIPLVVCGA >OGLUM07G26020.2 pep chromosome:ALNU02000000:7:27107602:27111390:-1 gene:OGLUM07G26020 transcript:OGLUM07G26020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MKFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRTQMERVERVRLAKEHWESQCRAFLGIPLVVCGA >OGLUM07G26020.3 pep chromosome:ALNU02000000:7:27108302:27111884:-1 gene:OGLUM07G26020 transcript:OGLUM07G26020.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPX (SYG1/Pho81/XPR1) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G02860) TAIR;Acc:AT1G02860] MPSQPFAKKYEKYMKGMDEELPGVGLKRLKKLLKKCRSDLQSHENDGSSAGRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYTMWFTSKGHKSHGALIQQGKDLIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNNGAMELFGDCSLVFDDDKPTISCNLFDSMRVDISLTCSICLDTVFDPVALSCGHIYCYLCSCSAASVTIVDGLKSAERKSKCPLCRQAGVFPNAVHLDELNMLLSYSCPEYWEKRTQMERVERVRLAKEHWESQCRAFLGM >OGLUM07G26030.1 pep chromosome:ALNU02000000:7:27115381:27117860:-1 gene:OGLUM07G26030 transcript:OGLUM07G26030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRRIWPPSHLESLSRPAPSSSSRAGGPPPAGHRPPLPPAETQQPVFSNVLAWIGLDRSWTALAFKSPGLL >OGLUM07G26030.2 pep chromosome:ALNU02000000:7:27115741:27117860:-1 gene:OGLUM07G26030 transcript:OGLUM07G26030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAVAAAIFLRLRQVRRIWPPSHLESLSRPAPSSSSRAGGPPPAGHRPPLPPAETQQPVFSNVLAWIGLDRSWTGKK >OGLUM07G26040.1 pep chromosome:ALNU02000000:7:27118893:27120283:1 gene:OGLUM07G26040 transcript:OGLUM07G26040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEQGKTVVVVGVDDSEHSNYALEWTMQHLAGGGGAELVIVHAKPSPSSVVGFGAGPGSGEVVRYVEADLRKTAEDVVEKARRLCIANAMHALIEVIEGEPRYVLCNAVEKHSAGLLVVGSHGYGAIKRAFLGSVSDYCAHHAHCSVMIVKQPKAKRSRAETA >OGLUM07G26050.1 pep chromosome:ALNU02000000:7:27120171:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKITSKISVSKYLSSPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWIGRNTLLCA >OGLUM07G26050.2 pep chromosome:ALNU02000000:7:27120145:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKITSKISVSKYLCKFLLTKPQETQPKQEPPQETPSPNDADVLLGTECRGIMVLKPQKDWEKHSSLRLVGWEVMAA >OGLUM07G26050.3 pep chromosome:ALNU02000000:7:27119634:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMRCWWNLHMVGEVTLPPLTILVEGDVAGVYLGIQSIADHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKITSKISVSKYLCKFLLTKPQETQPKQEPPQETPSPNDADVLLGTECRGIMVPV >OGLUM07G26050.4 pep chromosome:ALNU02000000:7:27120171:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWIGRNTLLCA >OGLUM07G26050.5 pep chromosome:ALNU02000000:7:27120564:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKITSKISVSKYLSSPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >OGLUM07G26050.6 pep chromosome:ALNU02000000:7:27120564:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVELAHGGRGNSSSFNNSGGGGRRGGVSRHTEYRVLVTGLPSSASWQDLKDHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >OGLUM07G26050.7 pep chromosome:ALNU02000000:7:27120564:27124707:-1 gene:OGLUM07G26050 transcript:OGLUM07G26050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNQLRPYEYTTRVYDVRHDQTPRLVSSPRLASSPAACAEQPPISATRAAAPASCYFRRVLAEGRMSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKIPPRPPGFAFVEFEDPRDAEDAIRGRDGYNFDGNRLRVGAMRCWWNLHMVGEVTLPPLTILVEGDVAGVYLGIQSIADHMRNAGDVCYSEVYREGGGTIGIVDYTNYDDMKYAIRKLDDSEFKNAFSKAYIRPFILTKPKPKSRSQLQQKQESKISIEISFFPFKIRVKGALSIKPANGDAASPKKRSPSRSPPKKRSPSRSPSRQVRMMLMCFWGRSVEESWS >OGLUM07G26060.1 pep chromosome:ALNU02000000:7:27125292:27125645:-1 gene:OGLUM07G26060 transcript:OGLUM07G26060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTASLSAVAARPLSVAGLKKPLGASFQPVPRARPAAAASMAVRASASMKERATAGLTAAAMAAAMVLPDVAEAAQGSLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRT >OGLUM07G26070.1 pep chromosome:ALNU02000000:7:27126955:27128094:1 gene:OGLUM07G26070 transcript:OGLUM07G26070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPTSSPEPPEFIRGSLIPALPDDLAIHCVALLPRAAHPSLALVSRAFHTLLCRDPGPLLAARRRLRLSDPHVILSLRPPASASPLFFLLLPHPGWPPLPLPSPPVPVSSSSSVAVDGNRVFLFGGSVSGVPSPSVQILDPRTRSWSVGPRLSSPREFAAAVAHSGVLFVAGGCVPSSPFWAESLNLSSPDAKWSPVPSPPHFREKWMHGSASLAGKVLAVADRGGLAYDPAAPPTEAWAPVSPILDMGWKGRAAVVGGILYSYDYLGQVKGYDPDTDCWSKVEGLEQELPKFLCGATLANVGELLYLVWEGKWKGKGKAKGKGEARSMVVIDWAAIAVTKAEEGRLTGKVLSRDTIVFKDMPKGSAITHCIALEL >OGLUM07G26080.1 pep chromosome:ALNU02000000:7:27130563:27131006:-1 gene:OGLUM07G26080 transcript:OGLUM07G26080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGAEDYYGPYYHGGGRHGGGGGPPHALLLMAVVLALAVAGPRVLGGGAGEVITAALTDMLSPVGLLLLPVSLVFVIRILSDDRSAAVLANVLAFGAGAPDAVHRVGGSPVGVVVVLFLVLMMVYYRPSWLLRGGAGAGGEGDGE >OGLUM07G26090.1 pep chromosome:ALNU02000000:7:27134339:27135905:1 gene:OGLUM07G26090 transcript:OGLUM07G26090.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNSNHTIKLQPCFFFTTTFQRRERQYTRRCRKSRPREKPQAGSSTGHQNIPSDPAPRSRGYPPQKTPKTASRVCARNHLRHRHRHRDRGTGRHVRSQLALPDSCRVIVQRLPPEQCHHHGRFPGTNRSGTGEVGRRAWVGLTKWRRRRARCSR >OGLUM07G26090.2 pep chromosome:ALNU02000000:7:27134555:27135905:1 gene:OGLUM07G26090 transcript:OGLUM07G26090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKSTMQSMREWVVDHKLRAVGTLWLTGVASSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHRSGSGSRVHQYAKQFITPESNPQKE >OGLUM07G26100.1 pep chromosome:ALNU02000000:7:27149711:27153484:1 gene:OGLUM07G26100 transcript:OGLUM07G26100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSELIYRRGHDAQPAAGDVDGCGVMAAAGYSSSAKPPHKPPLGPLRYLLAEQRLVFALVGMAIASLVFLLAAPSSGNGGRHEVMDGGAARLAAAGLAVRQYSGVAAAAAGARVPLGLKKKGLRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGDN >OGLUM07G26100.2 pep chromosome:ALNU02000000:7:27149711:27153484:1 gene:OGLUM07G26100 transcript:OGLUM07G26100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSELIYRRGHDAQPAAGDVDGCGVMAAAGYSSSAKPPHKPPLGPLRYLLAEQRLVFALVGMAIASLVFLLAAPSSGNGGRHEVMDGGAARLAAAGLAVRQYSGVAAAAAGARVPLGLKKKGLRVVVTGGAGFVGSHLVDRLLARGDSVMVVDNLFTGRKENVLHHAGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDISRAKELLGWEPKIPLHKGLPLMVQDFRDRIFGDHKPHSVAGDN >OGLUM07G26110.1 pep chromosome:ALNU02000000:7:27157691:27162232:1 gene:OGLUM07G26110 transcript:OGLUM07G26110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGVAVAAKGGAGGKKKGSVSFVIDCSKPVEDKIMEIASLEKFLQERIKVAGGKAGNLGDSVTVTRDKTKVTVTSDGAFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRNVYELRYFNIAENEGEEED >OGLUM07G26120.1 pep chromosome:ALNU02000000:7:27159988:27162201:-1 gene:OGLUM07G26120 transcript:OGLUM07G26120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18020) TAIR;Acc:AT3G18020] MAAAAAQGERPDLGSLVDELCATGRAAEAHHRVSLLFLSSSSAAAPSRHLDGRAADGLLRRLLRARKPLLTLRLLQAAPPAALVPSLPNYNRLLALLCGSSAPLLLVLLAHRLLLRMRAAPDAASYAALLDGYARIPDPRAVRKLLDEMPRRGVAPSSLARTYLVKALLRSRDVDGAMALVDNHLWSSMERSNGEDQELKNAAFANLVQCLCIEGFFHIVFRIAEEMPQQRCGVADVFAYSQMIDSLCRSGQHHGASRIVYIMGKRGMYPSTVSYNCIVHGLCTSQKPGGRLRAYQLVMEGVRFRYHPREVTYKVLVDELCRENELAKAKDVMELMLKVIPDDDTRTRIYNMFLAALRVVDNPSEQLDVLVSMLQEGCKPDVITMNTVIHGFCKVGRAQEARRIVDDMLNGKFCAPDVVTFTTLISGYLGVGEFAEALHVLSTLMPKNQCLPNVVTYNCVLKGLLRLGLLDRAMEALEEMKSSNVIPDSVTHTVMIKGFCDAGELEKAKSFWDNVIWPSGMHDDYVYSAIFRGLCKLGKLEQACDFLYELVDSGVAPGVLCYNILVDAACKQGLKKLVYQLVKEMRRNGISPDAVTWRIIDRLHLYSDEKQDGELQMSATDGDPSSADDTVDTLISKRDEKHTPSLPSKTSKFGTVVRDDSSKYEEEEVDYSPCASEDPPNIIEPAKEEACPMNNSGAGTTMGNRGMTREEGLMKPDKQPLKREPLSRVARKVFGLL >OGLUM07G26130.1 pep chromosome:ALNU02000000:7:27177218:27178114:1 gene:OGLUM07G26130 transcript:OGLUM07G26130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVVVLLVVAAACVLGAADAKLGRLVVTGVVPCNTGSLIDIATSPAFPNADVELRCAGKLVAGATTNSNGSFAMEADLTSGLAMLIGGCKLVVDTPLIKCDANLPAAGSLVSYLQGPLTRLLGGIFRLFPAGFSFHAH >OGLUM07G26140.1 pep chromosome:ALNU02000000:7:27179603:27180471:1 gene:OGLUM07G26140 transcript:OGLUM07G26140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVLLVVIGVAVVSVVASAAPPAQPPRIQADVVVMGYLQCAGDAVAAVAAGSATTDGNGWFRMAMNTTAALSSVASGCSLVVTTPLATCDAALPATGTLQSGLRLLVSMVFFPRGFSYVV >OGLUM07G26150.1 pep chromosome:ALNU02000000:7:27181121:27181851:1 gene:OGLUM07G26150 transcript:OGLUM07G26150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMKSQLVLATLFLAGLVARGAEASIAGVVYCSLQCLTLPNLLPKATVRLQISSYEIPTAGNQGFIRRNSKGQFVVLLNVTSSEMMGSLMSGSGRVAVIAPPPAASGGASLPAAAAGGGTTLVAPVVPHSARILGATAADNTLRQILDQLQSSSDLLPGLAQGLDYLLNNATMEVLRELADDIVPTGVSYGGDTVDAYVAFDVGPFSYSPNN >OGLUM07G26160.1 pep chromosome:ALNU02000000:7:27182800:27185730:1 gene:OGLUM07G26160 transcript:OGLUM07G26160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGRNKKTKRTAPRSEDVYLKLIVKLYRFLVRRTKSHFNAVILKRLFMSKTNRPPLSMRRLVRFMEGKVPDRHAISGDQIAVIVGTVTDDKRIYEVPAMKVAALRFTETARARIINAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSNTKPYVRSKGRKFEKARGRRNSKGFKV >OGLUM07G26170.1 pep chromosome:ALNU02000000:7:27186235:27186979:-1 gene:OGLUM07G26170 transcript:OGLUM07G26170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDFIPQREAHRAATGSKRALCASDFWPSASQEAADFDHLTAPCTFTPDQAAEEPTKKRERKTLYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGAKAKVNFPNEDPPLDDPAADGHSHGGAAIPCREFMDYDAVMAGFFHQPYVVADGVPAVPAEEAPTVAYVHHHLPPQPQQDAGLELWSFDNIHTAVPM >OGLUM07G26180.1 pep chromosome:ALNU02000000:7:27191347:27192764:-1 gene:OGLUM07G26180 transcript:OGLUM07G26180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYVVLSNDTAFSWRKTSNTCYSNRGWLNNIVGEWLRNYGASLRQAASVPEGGLPALGKRLSVQEPQVNRASRGRYNSGGYQAVGGPWSLRRSPDCRSPVEPPWRPPDRRSPMESPEAAESPEPNKPPTTSGLWGPIDLRLLTDLRSWITKDREGLKLEATVDPQRRDGGNKYALRIRQPPLASRQGRACTGHYPGSAALPRQWLVSSGAPLIP >OGLUM07G26190.1 pep chromosome:ALNU02000000:7:27194298:27204732:1 gene:OGLUM07G26190 transcript:OGLUM07G26190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPVRGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >OGLUM07G26190.2 pep chromosome:ALNU02000000:7:27194298:27204732:1 gene:OGLUM07G26190 transcript:OGLUM07G26190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPVRGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRRFLCDKWNEMVDQWGYGSKGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >OGLUM07G26190.3 pep chromosome:ALNU02000000:7:27194298:27204732:1 gene:OGLUM07G26190 transcript:OGLUM07G26190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPVRGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARIVAERKVVELSTTLRLALMTVFHVPSPTNMMLVFSLPTSTFSKYPPALMYITYRVSLLFGAAATASLTVVNFPLPSFATTTSAATCCCSRLRSDADTQDGNPRRTRPYPSNGSSSAPRRRWVGRRRTMASELETRWATW >OGLUM07G26190.4 pep chromosome:ALNU02000000:7:27194298:27204959:1 gene:OGLUM07G26190 transcript:OGLUM07G26190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA dehydrogenase-related [Source:Projected from Arabidopsis thaliana (AT3G06810) TAIR;Acc:AT3G06810] MLTSGLLRPVDDAHAIDEAALLRYAAEHVAGFPSPVRGLALTQFGHGQSNPTYCIEASAPGGVTARYVLRKKPPGAILQSAHAVEREFQVLKALGTYTDVPVPKVFCLCTDASVIGTPFYIMEHLEGLIYPDNKLTGVTPTKRKTIYLAAAETLAAIHKVDVTAIGLQKYGRRDNYCKRQVERWGRQYLSSTGEGKPARYQKMLDLAHWLKEHIPKEDSSAGFGTGLVHGDYRVDNLVFHPTEDRVIGVLDWELSTLGNQMCDVAYSSLPYIIDATTSTGYSYGGFEYTGIPDGIPPLEEYLAAYCSISARPWPAASWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERARFSGKIANAMVDRAWDIINRENVLREQPARGMHVSNGPSQEFQRKHEGSISTKDQGKFVPSEKVMQLRNKLMKFMEDYIYPMESEFYKRAHSTSRWTIHPEEEKLKALAKREGLWNLFIPLDSAARARELLFEDMSHGSPGSSEELLLGAGLTNLEYGYLCEIMGRSIWAPQIFNCGPPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGTKWWTSGAMDPRCQILVLMGKTDFSAPKHKQQSMILVDVKTPGVQIRRPLLVFGFDDAPHGHAEITFENVRVPATNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMNLMVERALSRTTFGKKIAQHGSFLADLAKCRVELEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGGAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARM >OGLUM07G26200.1 pep chromosome:ALNU02000000:7:27201069:27204656:-1 gene:OGLUM07G26200 transcript:OGLUM07G26200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP88] MPPPRTKHRPLLLATTFLSLLLLLLLLSLLSTAHKAFAVADDDGHRARVLLAADGGERNADAEHAAAVDRHCAGTLHRDVCVSTLSTIPNLARKPLRDVISEVVGRAASAVRASSSNCTSYLQRPRQLRTRDRLALSDCLELFGHTLDLLGTAAAELSAGNSTAEESAAGVQTVLSAAMTNQYTCLDGFAGPSASEDGRVRPFIQGRIYHVAHLVSNSLAMVRRLPTQRRRGAEEEPLEGYGRVRRGFPSWVSASDRRRLQQQVAADVVVAKDGSGKFTTVSEAVAAAPNNSETRYVIYIKAGGYFENVEVGSEKTNIMFVGDGTWKTVIKASRNVVDNSTTFRSATLAVVGTGFLARDITVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDIYGTVDFIFGDAAVVLQNCNLYARRPDPNQKNVFTAQGREDPNQNTGIAIQGCKVAAAADLVPVQANFSSYLGRPWKTYSRTVFLQSKIDSLIHPRGWLEWNGSFALDTLYYAEYMNRGDGADTSARVSWPGYHVLTNATDAANFTVLNFVQGDLWLNSSSFPYILGLS >OGLUM07G26210.1 pep chromosome:ALNU02000000:7:27207902:27208453:1 gene:OGLUM07G26210 transcript:OGLUM07G26210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARAVRLIASRPPSTNLRSLVVVPGRRRLLSTSTEAGGAGDPSVHSGDQPSDDYPDRPPKFSGAEEATGGGDPSTAAATPSESTKERVPPFAMSGKLGSQELADPAGGSSFTQKRRRSSSSRPADSREEATPGGEEAAGRKVREEDREYYRTHKPSPLAELEFADTRKPITRATDGLSDVS >OGLUM07G26220.1 pep chromosome:ALNU02000000:7:27214820:27215336:1 gene:OGLUM07G26220 transcript:OGLUM07G26220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGETSVLLPTPGAQLLVNGIIYSSLVDLMALIPGFMDLEYATRKKEMANKFRVMLLIKCLNWMDCNMLLDNLHLTEAAFRNRSMIRKCTGEGKIMSKKPTQAQKTEV >OGLUM07G26230.1 pep chromosome:ALNU02000000:7:27221407:27222365:-1 gene:OGLUM07G26230 transcript:OGLUM07G26230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLYTLDIMFTVIAIGIVVIMGAFVPRRCRDYILVFNVLAALSALGLIKANMVYGAQMFLAAILHLVEIVTILYTFLCEVCTCIIIRLAYHIYHMIMNNHTEFCIRFVKSWFLEDLMKPVCKRWFFKYLMKPVCKMKPVCKVIERARPWAPFFLAGLVSTAYGMVIVFFAGGRPASAFFLGDFGVCFIVVGLIVIIARSQHERTTQDDKGLWAGTSLVFYILVLLLAAFIGNRTSA >OGLUM07G26240.1 pep chromosome:ALNU02000000:7:27227384:27228176:-1 gene:OGLUM07G26240 transcript:OGLUM07G26240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSLLDELDIMFTVIGFGLVVITANFVVLPHRDIKLLPVVVAIWALGFIAGKIAYKQEIPSAPFFLAGLAITGYGMGVCFSGCSLGVFGVYFIIGLIVIIAGSKLSRPELAPSGTSS >OGLUM07G26250.1 pep chromosome:ALNU02000000:7:27231206:27231956:-1 gene:OGLUM07G26250 transcript:OGLUM07G26250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSLLDALDIVFTVVTIGLVVIKGTSVVPRKRNIHVFNVLVAFWALGLIALKMLNFCYQRTGLPEKMFSPTAIHLIEIVLTLTVLGILLFLDEIEKKKKERRDRASLQGARPSAPFFLVGLAITGYGMAVFFAGGSPSAFLLGDFGVYYIIIGLIVIITGLKRAGAQSDNEGRGVGVSVLVYILVLLVATAITGKIDPRLYYCDKVV >OGLUM07G26260.1 pep chromosome:ALNU02000000:7:27264482:27264934:-1 gene:OGLUM07G26260 transcript:OGLUM07G26260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIIAPAPAAHGLRLSYTGGSCLTAASVVVLLLYLTGRFIWLYNKDAATAAAAAASSSSPSAAARCVLVRAVSMSSSLLQVFVHVPAAPDATGNAPRAPECAVCLSELRERETGRLLPVCGHGFHEECIVTWLRVNTTCPLCRAAVAAK >OGLUM07G26270.1 pep chromosome:ALNU02000000:7:27270479:27272442:1 gene:OGLUM07G26270 transcript:OGLUM07G26270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRERKKAAALHEKLQILRSITHSHALSNTSIITDASEYIKELKQKVVRLNKEIACAEAAALRQNSIPTVTVETLGHGFLINVFSDKSCPGLLVSILEAFDELGLNVLEATASCDDTFRLEAVGGENQVDEHVIKQTVLQAISNSNCSESSGDQEG >OGLUM07G26290.1 pep chromosome:ALNU02000000:7:27307159:27308912:-1 gene:OGLUM07G26290 transcript:OGLUM07G26290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP96] MAPFLVVFAVMAAAFASASGSGELTPDFYSETCPQALTTIKLVVGAAILKEPRMGASLVRMHFHDCFVNGCDGSVLLDDTDDMIGEKLAKPNNMSLRGFDVIDAIKVAVNTACLGNVVSCADILAVAARDSIVAVLLGRRDATTASIDDANDDIPNPFMDLPDLVDNFESHGLSLQDLVVLSGGHTLGYSRCLFFRSRLYNETDTLDPAYAAALEEQCPIAGDDEALASLDDTPTTVDTDYYQGLTQGRALLHTDQQLYQGGGGGDSDELVKYYGENPDKFWEDFGAAMVKMGNISPLTGDDGEIRENCRVVNQ >OGLUM07G26300.1 pep chromosome:ALNU02000000:7:27315207:27317272:1 gene:OGLUM07G26300 transcript:OGLUM07G26300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEEAPAGSGGGGGGGRAEIDTSAPFESVREAVDHFGGGAAAVWSSCLVNRMLTPPKEHDQMNGQTLQLEKELIIKESQTLDVLKELESSKRIISDLKLKVQNDSAITGHPGQTEAPGAGPEERRSSENVETDGELGGLDSQSLQPPSSVLMQLEQAKAYLTRTTADLAEIRASVESLCNEIAKEKILVERSREKVCSNTSLISSLEGELDRTTHKLQTLNDRQRRREDSSHILMEIKKVTSEIEQLKSASNASKSEATMLAAEIEQTKASIATAEVRCLAAKKMEDAARAAEALALAEIKALLSSEASAGDLQGTDGVNLSLEEYFELASKAQECDVSSRKKIEAAMLQVEEANRSKSNSLNKLEEAKLEFEKCKIALQDALKRAHAANRGKLAVEESVRRWLSESGYKRHSFHDSSKLKNAADITDVSKSFLKPTLSIGQILNLKLMGPDGYDKSVWDDTTEASNVSLGQILNRRNAVFCNSDITSQKILSGKRKKFAFTGLSVLLAKQAKRQEQEK >OGLUM07G26310.1 pep chromosome:ALNU02000000:7:27327428:27328759:1 gene:OGLUM07G26310 transcript:OGLUM07G26310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP98] MPPSTGSVPPAASTPAAGDEAAAAGRVLLGRYELGGLLGRGASAKVYLARDLLTGRDVAIKSFPNPRHGGGLRGGEEDVLLRPAPIEREAAILPRLRHRHVMRLREILATRKKVHFVLDLAAGGELFSLLDASGRMTEDLARHYFRQLISAVRYCHSRGVYHRDIKPENLLLDDAGDLKVADFGLGAVADGALHHTLCGTPAYVAPEILSRKGYNPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPAWFSPELRCLVRRILDPNPATRIDTEEIITHPWFRQDASHFAMAQLMQHGHDEEAKFKTEFKEDDMARDMTAFDILACSPGSDLSGLFGAEPGKERVFVGEPAAAVLSRVEEAGKKEGYMVTREGKKGTGPVYVKGENGGIVAKVCVFKIADAVSVVEVVKGYGAEAARFWKARLEPAMKPPAAI >OGLUM07G26320.1 pep chromosome:ALNU02000000:7:27347741:27349072:-1 gene:OGLUM07G26320 transcript:OGLUM07G26320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AP99] MAEQRGNMLMKKYEMGKLLGQGTFAKVYHARNTETSESVAIKMIDKEKVLKGGLMDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVKGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALADCKRQDGLLHTTCGTPAYVAPEVINRRGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFNTDVRRLLLRILDPNPSTRISMDKIMENPWFRKGLDAKLLRYNLQPKDAIPVDMSTDFDSFNSAPTLEKKPSNLNAFDIISLSTGLDLSGMFEESDKKESKFTSTSTASTIISKIEDIAKGLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVTPNFHLVELKKTNGDTLEYRKVLNQEMRPALKDIVWAWQGEQPKQQQQPTC >OGLUM07G26330.1 pep chromosome:ALNU02000000:7:27349378:27350182:-1 gene:OGLUM07G26330 transcript:OGLUM07G26330.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISISISISISARTKAKPIPLPPLFLLLTPSRDCGEGESPVVRISVYRRRRRRWVFFASSDNY >OGLUM07G26340.1 pep chromosome:ALNU02000000:7:27355894:27359765:-1 gene:OGLUM07G26340 transcript:OGLUM07G26340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRRARLSSSSLDLRVREAKATRELVPFEKEREARGRQSADAGGPQVPLPSDAGRRTLRSTPRLPLARLRTVASASAFVSSKMASCVGALLASKVKAPRRDWFNLSGGSGYEQVKGQSQLATQEGYRCRAAVGKGPTVGPTNCAISVPFALALINHTTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >OGLUM07G26340.2 pep chromosome:ALNU02000000:7:27355894:27359765:-1 gene:OGLUM07G26340 transcript:OGLUM07G26340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRRARLSSSSLDLRVREAKATRELVPFEKEREARGRQSADAGGPQVPLPSDAGRRTLRSTPRLPLARLRTVASASAFVSSKMASCVGALLASKVKAPRRDWFNLSGGSGYEQVKGQSQLATQEGYRCRAAVGKGPTVSESAQPRTERWAQPCHVGPTNCAISVPFALALINHTTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >OGLUM07G26340.3 pep chromosome:ALNU02000000:7:27355894:27361271:-1 gene:OGLUM07G26340 transcript:OGLUM07G26340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERGLGRGDGDELPCRKVKAPRRDWFNLSGGSGYEQVKGQSQLATQEGYRCRAAVGKGPTVSESAQPRTERWAQPCHVGPTNCAISVPFALALINHTTNGSTYSPGESRKHHAFPGTDYSDSDASKVRKAMIAAANRHQNFDSENAVSQQQCADGPRD >OGLUM07G26340.4 pep chromosome:ALNU02000000:7:27359806:27361230:-1 gene:OGLUM07G26340 transcript:OGLUM07G26340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGVAVREAMGEPIRARAHGASMQGKRRRESQEEEEEEEGDQRACPSSSSPGLHMREAEVVGKPIQA >OGLUM07G26350.1 pep chromosome:ALNU02000000:7:27361510:27361829:-1 gene:OGLUM07G26350 transcript:OGLUM07G26350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVVAAGAARRWRGGVGWGPRQRRADDGRRLGPARRRRQLGAEAASAASAGDVCICSAHSLDLHASQRTSPSSLARRANSRGSRRLDAERPSATVGGGSGDL >OGLUM07G26360.1 pep chromosome:ALNU02000000:7:27362664:27366264:-1 gene:OGLUM07G26360 transcript:OGLUM07G26360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0APA7] MAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSMLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEALYFSASLIKFREGAWLPIMLALILMAVMFIWHDTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAPVTKKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >OGLUM07G26360.2 pep chromosome:ALNU02000000:7:27362664:27366264:-1 gene:OGLUM07G26360 transcript:OGLUM07G26360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0APA7] MAPRKREPWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLEYPPEVANRSRIKEWLEKHKTLQTALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSRDQHEYAVIPITCVILVFLFALQHYGTHRVGFLFAPIVLAWLICMSMLGLYNIIHWNPQVYRALNPYYMLKFLRKTKKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTTLVYPALILGYMGQAAYLSKHHTLNSTYQIGYYISVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSENIHGQIYIPEINWLLMVLCIAVTVGFRDTKHMGNASGLAVITVMLVTTCLTSLVIMLCWHRSPALALVFFLFFGSIEALYFSASLIKFREGAWLPIMLALILMAVMFIWHDTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPAGHRSYRCIVRYGYRDVHQDVDSFEAELVESLATFIKLDALYHRCSDAGSGSEQLDDGRYERENALTVIGTNPLRRCLSYEASHDGVSSVDAARSPNGIVEVPAAAAAAPVTKKVRFVVEAASPEVEKGVVEELQELCEAREAGTAFILGHSHVQTKPGSSLLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >OGLUM07G26370.1 pep chromosome:ALNU02000000:7:27375337:27375966:-1 gene:OGLUM07G26370 transcript:OGLUM07G26370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSCCLQCNPCGGMLALFKRRPRALLRRAVGKMNSSRRRRRRAAGSFSSVRAVFWPLMSMRSDADRNDVAAADRPPASSTDDDSGGAGLRAPSPSLDTPAASTTAARVLALQAQLGEAAAASTKPSSGGDDGVEEACRSFEKHLMEMLVEERKVRDLMDVEELLCCWEKLRSPVFVQLVGRFYGELCMDLFSGRDTDVSSHSEDLSL >OGLUM07G26380.1 pep chromosome:ALNU02000000:7:27382381:27382891:-1 gene:OGLUM07G26380 transcript:OGLUM07G26380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARDVAHRPPPPSRIEPAAIARPSTPPSPSPVSVSALETIVSKGRRVVDADVVALTEALMNELVKLDSIVADGEKKRVQKYIEALDAIRAKTKKATAPPKAWPLRPPPAQQQQQRRQF >OGLUM07G26390.1 pep chromosome:ALNU02000000:7:27383393:27384208:1 gene:OGLUM07G26390 transcript:OGLUM07G26390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSWLFHKLRRRRSAARGEPDVVEAASKKQQPPMAAAAAAAPCSPSPNRASYYFASRERCLPPARAATDNHKLRDTRFPRSPQPNDDIVFDVVAVSASPARGRFDGMKAMPELKLRPILTKRATAKNDGDEGDALDSGTSAAASPTTRVRRFVHHAKPSSGRRKGRVAALPADATSRRRWRRRRCRWLYESLVVVKESADPEEDFLESMAEMIAANDVRSPRDLEELLACYLALNAAEHHRAIVGAFRRAWLHAAAATAAPPSPSPIK >OGLUM07G26400.1 pep chromosome:ALNU02000000:7:27388422:27393478:1 gene:OGLUM07G26400 transcript:OGLUM07G26400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Melibiase family protein [Source:Projected from Arabidopsis thaliana (AT3G56310) TAIR;Acc:AT3G56310] MEAPRLRLRLRLPFLLLVALVVSPPAVAAAASRMRIEPLPTAALRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRDTDDCWSNVKRGKKDQLLPDPKTFPSGIKDLADYVHGKGLKLGIYSDAGIFTCQVRPGSLHHEKDDAAIFASWGVDYLKYDNCYNLGIKPKDRYPPMRDALNSTGRQIFYSLCEWGQDDPALWAGKVGNSWRTTDDIQDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYRAHFSIWALMKASTHWVHSVITRMAPIFQYVAFGLHESNLFVLLLDQAPLLIGCDVRNMTKETMEILSNKEVIQVNQDPLGVQGRRILGQGKNGCQEVWAGPLSGNRLAVVLWNRCEESANIIVKLPSVGLDGSSPYSVRDLWKHETLSENVVGTFGAQVDVHDCKMYIFTPAVTVASS >OGLUM07G26410.1 pep chromosome:ALNU02000000:7:27394613:27400124:-1 gene:OGLUM07G26410 transcript:OGLUM07G26410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRGRRDYGGGWWSASGGSGRMTLAAVMATRAPRPAFIRREAIRAAEAAADEVVLRVQPTEEAERTRQGIIGYLKLLFGTALGCEVFAFGSVPLKTYLPDGDIDITILGNTAPDSTFISEVRGILELEEQEDGADVAITGLQFIDAEVKLIKCVIDNIVVDISFNQIGGVTTLCLLELVDHEVGNDHLFKRSIMLIKAWCYHESHILGAHRGLISTYALEVLVLYIFNIFHKSLHSPLEVLYKFLEYFSKFDWDKYCISLNGPVPLSSLPNLTGESYSVEPSGIHDELLFGPNGSCDRLIVLKKDSDGSNMNFRPKYLNIIDPIKSSNNLGRSVSKGSFYRIRGAFSFGAQNLSQILMLPTDLIPTEIFGFFVNTLKSHGRGKRSDVGNNGSFEPSLDPESEYALWEDSSDVKESDMSEDENRSPDLQRTSDSCFYNKVSGDSFSSHSPFSQEKGNNMKRHYDCAREEYLPLGRSSMEQHIYANNQSQILTPSTRINTLDVSNSCPAETNRSDLHEEKLPLSHFSPSNLLDLSGDLDLHLECLRKVQYHLESMFDWLIQEASFSGAVNNDSFNIPTQSSFSNTDGRALRPLLVSSAYTERGNLSRVYCSHSTREISQKSVSRTEVQVNAVCQQNVALPSGTNNRLALPPSPVADSEKSPVSPLHNTVDIVGTHGAGMHTLNNVSLLSGTDVLSNAFAQLSFPAVNSVDYKYCWSYTTTNNRATSSQKTNRGKGGTGTYIPRMNYHTYKERIFYYNGRSQREMLPDRPFKIKTNPIGYIRRRSSPEMRCSSSSNGGITFENTSHTPSKKQDHSSKSTVTAEGGFAQERAPASQEWNICTNMNMVDSQKPGNDEDLVRPNNESRELRTLHPSEVQNREMTASSSSSVELPHCVGNGLQESNTSQPSSPATEASSPIKTTLVEGLEFGSFEPILGTSFLCEKFCEEFPPLPARKWPAVAAVSTPVTVISSPAETGSKPEGLYQLRDEADFPPLKAGARNGFNHRVGR >OGLUM07G26420.1 pep chromosome:ALNU02000000:7:27404141:27407616:-1 gene:OGLUM07G26420 transcript:OGLUM07G26420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIAGADRDRAAETSSPPRPTKHRHSASFDGFAFGAGAGGPGPGLGKQQDGAGGVFSEVMEAKKAMSSEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQEVERLKIATGEMAKSNDAYNTGMQQVPYSPSFFQLSDQHAVQHHAGVQQLPHQFQQPHLSVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGPVAVKNEAEVVVKSEGSSISAGESNSTF >OGLUM07G26430.1 pep chromosome:ALNU02000000:7:27410737:27416697:-1 gene:OGLUM07G26430 transcript:OGLUM07G26430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSKILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAITDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREETEASKKIAWMNRDEPEGSSLSRSPQTVDTTREGDVTMFDKVDINKGHIDLNFHPTAVRDKERHGGQPRVSMVSLLEVASRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPAPVESEERTSNNDGGRVATAEQPESMTVDEAGDNQPDKAAGDSAAALA >OGLUM07G26430.2 pep chromosome:ALNU02000000:7:27410737:27416697:-1 gene:OGLUM07G26430 transcript:OGLUM07G26430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:B3 domain-containing transcription repressor VAL2 [Source:Projected from Arabidopsis thaliana (AT4G32010) UniProtKB/Swiss-Prot;Acc:Q5CCK4] MAGMAAAAAALVAAKRCMNAACGAPAPSPAGGEWRKGWPLRSGGFAVLCDKCGLAYEQLVFCDIFHQKESGWRDCSFCGKRLHCGCIASKNSFDLLDSGGVQCVTCIKNSAVQSVPSPVVPKLFSSQNNQRLFGKSDDLLSGRPLETSSLMVDARNDDLTIIAKNNLPFMVKNVEAGQSSKILRQKELENGARQIKWELPTLSIGDMGRIPFLTRSQSALESRRDENKDPTTESTTSESLSEACLNMSLGIASNGNKLEATSTVERPMLSPTTGFPEGRELTTALSPFQHAQRARHFLTRPPRVGEGAVFDPTKDMLPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDAKGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSILGDTLFSSTNENLAIVSGYSGFLQSIKGAADLHTSSIYDHHVNSADGDVSWLKTDKFGSRPDEGSLQFLKRGRNIGSKSRRLSMDAEEAWELKLYWDEVQELLRPAPTAKPTVVMIEDYEIEEYDEPPVFAKRSIFTIRSTGEQDQWIQCDDCSKWRRLPLNVIVASKWTCADNTIDSKSCSCSAPEELTPKELHIVLQQYEDMRRRRNSFGFKQNIPEMDAVSLDAFATAAVYGDVGNQGSPSVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREETEASKKIAWMNRDEPEGSSLSRSPQTVDTTREGDVTMFDKVDINKGHIDLNFHPTAVRDKERHGGQPRVSMVSLLEVASRPLENYMKQNGLTSLAGEQGSSSTCTGAATVPQPAPVESEERTSNNDGGRVATAEQPESMTVDEAGDNQPDKAAGDSAAALA >OGLUM07G26440.1 pep chromosome:ALNU02000000:7:27426174:27435853:-1 gene:OGLUM07G26440 transcript:OGLUM07G26440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMGWAVLLVVVASMAGEAAGRFVVEKNSLRVTSPAGLRGVYECAIGNFGMPQYGGTMHGVVVYPKANKKACRSFDDFDLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQTAGAAAVLVADDRLEPLITMDSPESSGTDYIEKITVPSALVTKKFGDDLKKALENGDMVNVLLDWRESLPHPDERVEYEFWTNSNDECGAKCDMQMNFVRNFRGTAQVLEKRGYTQFTPHYITWYCPEAFVLSKQCRSQCINHGRYCAPDPEQDFNIGYDGKDVVLQNLIQICLFKVGNETHKPWVWWDYVHDFSIRCPMKEKKYTRECANGVIKSLGLDLERINKCVGDPEADEENPVLKAEQDAQIGQGSRGDVTILPTLVVNNKQYRGKLEKSAVLKAVCSGFEETTEPDVCLSQEIQTNECLESNGGCWQDKTNNFTACKDTFRGRVCECPIARGVKFVGDGYTHCEASGVGRCQINNGGCWKETKNGKTVSACSNEESKGCKCPPGFKGDGIKSCEDIDECKDKLFCQCKDCSCENTWGSYECSCGGSNMLYMREHDTCISKVASSSVGWGFLWVIFFGLALAGIGAYAVYKYRLRSYMDSEIRAIMAQYMPLENQETPNQHRPVEHADI >OGLUM07G26450.1 pep chromosome:ALNU02000000:7:27438561:27440294:-1 gene:OGLUM07G26450 transcript:OGLUM07G26450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPASSSGLFRFISPRRRPQSTDIAAAATWGVFAGTAAIYLVQPFDWIKKTFFEKPEPEA >OGLUM07G26460.1 pep chromosome:ALNU02000000:7:27440477:27460338:1 gene:OGLUM07G26460 transcript:OGLUM07G26460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKQYGPKGLRWWVDTCNKRLNECHTTRAIGRGGKRKNNSPKEMAWNMEGGAQLDVCRLYVIAVTRPNRVVGAKVQEPEANKIDPGLVCLRAKGETGRARPSIPYIAAEVMTSGRTQAIIFMKLFLHGCLVTSNNDSHVAKACSINPNLTLNDATDTESADRDTAKA >OGLUM07G26470.1 pep chromosome:ALNU02000000:7:27445471:27447398:-1 gene:OGLUM07G26470 transcript:OGLUM07G26470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDGGVGDGGAEPHEVMDDLLEMREQAAMLHSMLHGTSPSSCAAAASTRQLNQLIDGVMSRLQSSSLSVMSPGGGGGGRRGSGGRKKKGATAVAGPHRRSSSGRRRSKSPFVRMVTTKELEDGRQWRKYGQKHIQDSPNNPRSYYRCTHRPDQGCMATKHVQTSESNSSEFVISYYGEHTCRDPSTIPFVVEAEAPAADYANLISFGSSGGASTSRVDPLRQSRHRLMAEAVDPTPSCSFANCHSPVLSPECASEAAALSSSLPLSAVVGSAVTTPSTSIVGSAPSDYDWPSGLAGGDMAGSFPSSPSSLGFMTGSFGNLPGDDDDMFGFDP >OGLUM07G26480.1 pep chromosome:ALNU02000000:7:27449313:27460841:-1 gene:OGLUM07G26480 transcript:OGLUM07G26480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;DNA binding;helicases;ATP binding;nucleic acid binding [Source:Projected from Arabidopsis thaliana (AT2G40770) TAIR;Acc:AT2G40770] MGRRKSRPARAVGLGPGAAAAAEPDAPSPSGSGGASKSRRAAKGEARRREDVCFEVDDSTWCLSDGDRRDLAELVMRDVRISGEGEGALDVAALHEAEREKRCSLRLRVRDAPEEGFRLGQWPVVPSDCVILEYAIAGMVISGCFDGPDEGVSGLAHLVRLRFVTLRVHAFAVSRSADSVSVASFRVRLGLMEQAFATCESLLEVTRHPWRKSFMNMMAWVRPEVMTSAAIYGMDGLARPINGGANGDFTPKKDSQFDLAAFYEAVKPSMEAGLLEEELPDLLPHLRTYQLRAANWMVQREKGNTMISSPNQHYVHSAPYCVPIDFMHKKSRMFYNPFNGNVSMHPEPSPPYVSGGILADEMGLGKTVELLACIFAHRRPYSADCSVSSNIKGADQIKRHKRERVECICGAASVTSAYQGIWIQCDICDAWQHASCVGYSPKEEMHVNDDDGDEASNNEKGTLKSKNRRKKKDRYCIAETEEKYICTLCLELIEAAQTNISSNATLIVCPSPILAQWHSEIIRHTRPGSLKVCIYEGAKNTDLTSTQKSDMAEISTADIVLTTYDVLKEDLSHDSDRHDGDRRFLRYQKRYPVTPTVLTRVHWWRLCLDEAQMVESSKTSVTEMAMRLNAQHRWCITGTPIQRRLDDLFGLLRFLKTHPFDTYRWWVDIIRDPYEKGDMVAMNYAHKFFKEIMWRSSKIHVSRELQLPPQEECFSWLIFSSIEEYFYQKQHATCMDHAHEIIRRIRDDANKSEPISDSNVVSNLYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQRTPLSMGEILQVLIGKAKVEGEEELRKIVVALNGLAGLAVIEQNNQEAISLYKEALALARENFDDFRVDPLLNLHINHNLAELLRASSEYLQECPLKEQTSEVHGARKRKETSPADSGLCGIKRKKICENSRSSLMTDNPETSKEDKNINTQVCGSEEMDVENDSGCHTSSVCLADGCLKNTCNSIREKYLSVFTSKLLIAQKDFSASFTEVSTLSMELQNQNMNWWLYALDCIEQNKDSADELIRKIDISSNKSTTGLGSTGMSSRVQTIAGLKYTIQTGIDSLQSSRQQVMDRLLELDKTMDSPKDEDIECQRYCPNCYDGNGSLCIQCELDDLFQGYEARLFLVKKSNNDSVIASVEEARDLQRRKYELNQFFRNTKTNEGSEPGDDNKNPRSAREGFLVYRHPSRIETSLRVILTHSKTMGEQSAKIAKKHLLLFEAMRKEFSQARNLSIAQTQLLRAHDEIKMSLSRLQLKEKDDEPSAVNIVTREELIPYNVQFTSEKFLSLSSLARIRGQLRYLKGLVLSNKKPLGKHGESLPESGNSVAIATSIPATGQTASDIINEPCPICQEKIFDQKMNKLQPTLGGAKNGLCALLAVNRGNADREIEDLAESAISVQGSYGTKIEAVTRRILRITSTDGAAKILVFSSWNDVLDVLEHSLAANNISYVRMKGGRKSQTALAQFKGLASSISGEKAKKSFSKMQPSQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFIHRFIVKNTIEESIYKLNRGRAVCSTIHRKSKNFKDELVLTLKDIESLFPVATLDQPSEQENKNYGGAGGHMRSLPPSVAAGLAAERRLLEQHDNQH >OGLUM07G26490.1 pep chromosome:ALNU02000000:7:27465444:27467554:-1 gene:OGLUM07G26490 transcript:OGLUM07G26490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVMFCGLVGSWQSQTPEWFAIVLQEPTGAPVYQCGGCGTTLRAKNRTGNSQEVISAPSSLGSGLPPHSKHLGSSDVASTSGSTPEAQISSGQQGADMTSRRETDDLVSARNNAPEPERVVPAEKEEEHVQSTSQQAVGNSEDLTRGDAATAADAQCSDRASEGKVQFSERREDSNTERQDVQRSDQAESDAEGKKSSEETSQSPRRDVVELPPSSVQTPDSQPEPAVLKREDDPATSPPHGHARRSPERLAPLQKRILKTVDNLKDDLSELFSKSPELNKPRTHARPPRLPRQEGYAPRDAAMAAAASIQAIRARHAAVHRPGYIARAGKPGQLAAPPPPRGLPSRRYRRCRADHPCCHDARHGPSCHHGCCPPHHGKQACTSCRGQHCCRPRTQESPAPRRPAAAAAKEVVKRRAPPRNHCRPVLKGAPFIICSSCFKLVQVPADFAVSTKTVRKLRCGSCSAVLSYSYRDPDRKKHGDQYSTDGSPAAPRGHGRRGDKFAFLDDFGHVDVSYSTEDEQPLHVSRNSSFNTVDEMAAAATQQHGSSLHRLMGYGSASDLLFRQHSPDLYESFSERTTPEAAALYDRKGKGVCVDLDDDGGDDDSDEDCSGALKRSRLRGSGWPLPGILNSKGTTGMGAIRIKS >OGLUM07G26500.1 pep chromosome:ALNU02000000:7:27472884:27478299:1 gene:OGLUM07G26500 transcript:OGLUM07G26500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSQTSSLTRTIAQDPELFTAKIILPMGPPDVISENDEFDFSDVFGTTPVQTPTGISVAGPDSPAPLAESNEGVYNDPIVIIKRSHSLVGPTSLVRQSLRLSNLTLNKTEGSSEPAEEKERNLGQLSDEEFDNATTENEGIGLDDFEILKLVGQGAFGKVFQVRKKGTSEIYAMKVMRKDKILEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHDNGIMHRDLKPENILLDADGHAMLTDFGLAKEFNENTRSNSMCGTVEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKIVKEKLKLPSFLSSEAHSLLKGLLHKEGGKRLGSGPGGSDEIKRHKWLKPINWRKLEARQIQPSFRPNVSGLTCIANFDECWTSMPVLDSPVATPVAGGAGHSSFAGFTYVRPAPFLQDVKPPSSSRLKD >OGLUM07G26510.1 pep chromosome:ALNU02000000:7:27476738:27479934:-1 gene:OGLUM07G26510 transcript:OGLUM07G26510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEHAEKREEVSELTPFDPTKKKKKKKVVIQDPSDEVDKLAEKTENLTEPGELNFTGMKKKKKKPVDLDLSINDIGDGEDILDDQVVEEEEGEGIVLGGAPRYPWEGTDRDYNYDELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >OGLUM07G26520.1 pep chromosome:ALNU02000000:7:27479964:27485490:-1 gene:OGLUM07G26520 transcript:OGLUM07G26520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSDSSGKNPTTRIHAAAAVAAALSSGESSGGFDRDRRRR >OGLUM07G26520.2 pep chromosome:ALNU02000000:7:27479964:27484962:-1 gene:OGLUM07G26520 transcript:OGLUM07G26520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAQLHCTPPFQLSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLGNPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSDSSGKNPTTRIHAAAAVAAALSSGESSGGFDRDRRRR >OGLUM07G26520.3 pep chromosome:ALNU02000000:7:27479964:27485638:-1 gene:OGLUM07G26520 transcript:OGLUM07G26520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSVSLSCAGRKRSPLSSHFTHALSRSRSLAFYHYSAATAKGGVCGGVGSTTPATAVPREAEAAGAPPSDRNCRRAFHGTPWAVLYCTDRRRAAAAVELSLLLFNPLSVADLASEKQALLDFASAVYRGNRLNWSQSTSLCSWHGVKCSGDQSHIFELRVPGAGLIGAIPPNTLGKLDSLQVLSLRSNRLAGSLPSDVTTLPSLRSIYLQHNNFSGDLPSFLNPNLSVVDLSYNSFTGEIPISLQNLSQLSVLNLQENSLSGSIPDLKLPSLRLLNLSNNDLKGQIPQSLQTFPNGSFLGNPGLCGPPLAKCLLPDSPTPSPASPSSAPTPMSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSDSSGKNPTTRIHAAAAVAAALSSGESSGGFDRDRRRR >OGLUM07G26520.4 pep chromosome:ALNU02000000:7:27479964:27483133:-1 gene:OGLUM07G26520 transcript:OGLUM07G26520.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAHHEKKFGAGFIIAVAVGGFAVLMFVVVVLVVCNSKRKGKKESGVDYKGKGTGVRSEKPKQEFSSGVQIAEKNKLVFLEGCSYTFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKKEFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYLTNGSFSTKLHGIRGVTEKTPLDWSTRVKIILGTAYGIAHVHAEGGAKLTHGNIKSTNILLDQDYSSYVSDYGLSALMSVPANASRVVVGYRAPETIENRKITQKSDVYSFGVLLMEMLTGKAPLQSQGNDDVVDLPRWVHSVVREEWTAEVFDVELIKQQNIEEELVQMLQIAMACTSRSPDRRPSMEDVIRMIEGLRHSASESRASSDEKMKDSNPPSDSSGKNPTTRIHAAAAVAAALSSGESSGGFDRDRRRR >OGLUM07G26530.1 pep chromosome:ALNU02000000:7:27499928:27502069:1 gene:OGLUM07G26530 transcript:OGLUM07G26530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVLLLVVAAVEGGAAWSFWPPAAGDEPYCLSWRVMVEANNAKNWPTVPPPCVGYVWRYMAWGQYARDVAGVADQIAAYAAQLAAGDDGLDAWVFDVDDTCLSNLFYYQAKQFGSAEYRGMSAVVFKSAMRMQLMEEGYRIRGNVGDQWSDLQGDFVGDRVFKVPNPMYFVP >OGLUM07G26540.1 pep chromosome:ALNU02000000:7:27504552:27509360:1 gene:OGLUM07G26540 transcript:OGLUM07G26540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVVAAAMAAASLCCGVAAYLYYVLWLAPERLRAHLRRQGIGGPTPSFPYGNLADMRSHAAAAAAAAGKATGEGRQEGDIVHDYRQAVFPFYENWRKQYVGNMVFLHVSRPDIVRELSLCVSLDLGKSSYMKATHQPLFGEGILKSNGNTWAHQRKLIAPEFFPDKVKGMVDLMVDSAQVLVNSWEDRIDRSGGNALDLMIDDDIRAYSADVISRTCFGSSYVRGKQIFDMIRELQKTVSTKKQNLLAEMTGLSFLFPTASGRAAWRLNGRVRALILDLVGENGEEDGDNLLSAMLRSARGGGEVAAAAEDFVVDNCKNIYFAGYESTAVTAAWCLMLLALHPEWQDRVRDEVQAACGGGGGRSPDFPALQKMKNVRRRHATNIKLLTLKLI >OGLUM07G26550.1 pep chromosome:ALNU02000000:7:27511108:27511710:1 gene:OGLUM07G26550 transcript:OGLUM07G26550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEQQQRRQQQQSVAAVRPSLGKAPSPSFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLEADRAGLAATVGAHVPDGAAGLRFEDFESLHRALGDALFGSLDVPEDGGGGGGGGDEEMKEAFKVFDVDGDGFISASELQEVLKKLGMPEAGSMANVREMICNVDRDSDGRVDFGEFKCMMQGITVFGA >OGLUM07G26560.1 pep chromosome:ALNU02000000:7:27514732:27522829:1 gene:OGLUM07G26560 transcript:OGLUM07G26560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAGGGGGELERRVMAALKASEARGDQPLVWAVEVARVVAGEGAGLPSADLAGILVSNLCFAHNSPSLWKLVGHAVASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELLRSNVTSSFLSMEAGPNRDKITKSIDDALQLSKIYGFSGIDNGHVIIFFMMFVITKLIDCTLEDCGFPSGLTEEQGSIYAIEGPQDMDLDVKGVSTEKQNEHRAQLRRKNTVMALDVLIMMVADRKIQSFLRLIFLNMPEKFSVLSQRLSLVEAHKMELETLLTANHKIDDLLMNMRRVSNTAYQPNNKRLLGVLGNIKYGGSMLGQFTGAGRAACWVIFDIYVENAIDGKHLSAISAIEVLKEMTKTLQAINEASWQETFKALWISALRLVQRAREPLEGPIPHLDSRLCMLLALIPLSIGAILKEETDVHGAQGSKSLPKTSGLVSSLQDLIQYSGLLVPPSSVVNAANAAASKAAAFKANYKSGGGNPGMMGQNDSSTKTVGNMLHLIVEACISRNLIDTSSYLWPGYVVSSGHLKDATLPQESPWLNFIQGAPLSGPLIDALIATPASSTTELDRLYHIALNGSEEEKSAAAKILCGASFVCGWNIQEYVVRMVVKLLSPPLPSNSSTQGSMSHYLAQMSTLNALLLGISYGDAIHIISLYGMVPDVAAALMPICEVFGSIPPPSNHKPAIVGEISVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNHIDFANSSASSRNSSNNIGPLNEVPAQPLYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKPVVSSGNLSSTSSSSVSGSSVSTQDDYQRPTVPAWEFLEAVPFVLEAVLTACAHGRFSSRDLTTSLRDLVDFLPASIAAIVSYFLAEITRGIWKMVPMNGTEWPSPGASLHSIEAEIKEILASAGIQIPSCYPRGVPPMLPLPMAALVSLTITFKLDKSSEYIHAISGQALENCAGGSSWPSMPIIAALWTQKVRRWHDFIILSCLRSPFGRDKDAVAQLIQSCFSSFLRSSCSGSDFTANRGVGALMGDAITGQGLQLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWADKLANGFSSSGPPQLKSGRTPLSSAACMAHQVAMLGGGLLCVAGGPLLVQVLYEETLPTLLLSAREESMKDPGPVSSTLQGYAMANMLFFCGSLLWGAERTSPVMKLSFLSRRPRVVGNHMDFMAGVLDGHILLGCDHGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIASGLRSWNEHDLALALLEQGGPQAISTVVDTLL >OGLUM07G26570.1 pep chromosome:ALNU02000000:7:27523241:27530414:1 gene:OGLUM07G26570 transcript:OGLUM07G26570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALKTYFGFSGFRSYQREIIQKVLEGRDCLVVMATGSGKSICYQIPPLVTKKTAVVVSPLLSLMQDQVMSLKQHGVKSEYLGSTQTDISVSGQAEKGAFDVLYMTPEKAVSLPSRFWSNLQAAGICLLAVDEAHCISEWGHDFRTEYKQLHMLRDLLVGVPFVALTATATQRVRGDIATSLTLRNPHIVVGSFDRPNLFYGVKSCNRSMAFINELVKDVSKNCTVHEALVAAGIKSSIYHGRMGNKAREESHRSFVRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLPSVCWLYYQRSDFMKSDFYCAEAKSQTHRKAIMESFMAAQKYCLLATCHRKFLLQYFGEDRTTDCGNCENCTRTKNERDLSKESFLLLSCIKSCGGRWGLNLPIDVLRGSRVKKIVENNFDKLPLHGRGKDYPPNWWKALGGLLLAHGTIISEYRFVNAVLLINGYNYLKETVHDTFRSISVSPNGYKFLSTADKGDGASLFLQLTAEMIELEGHGGSQCKEEGLNPLGPSESEKISEDELKIYQVLLNVRMQLAQDIGTAPYAICGDQTLRNFAKFRPSTIARLANIDGVNQHFISHYGSIFIQNITQLAKELNLPVDDLSAVESIPSAPKPTQNNLPRSLGGAKFCSWELWQKMKFSFQKVAIHLHYRRAVPIKEKTVISYILDAARDGCEMDWSRFCEEVTYEMIQTFLAIEGLGLSDQVFGTVPTDGIQSKTSEAPKTTSNGSEVGADVCDASPLTKRGQTDGSLICGDEPASKLQKIDGQGVNSTAAIGATEDAILELVEGRNGVSLEDVVKHFNGSKRECVVEMLDNLEGNFLVYKKNGCYMIM >OGLUM07G26580.1 pep chromosome:ALNU02000000:7:27530597:27535775:1 gene:OGLUM07G26580 transcript:OGLUM07G26580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APD3] MKATLLPPPPPAKRRRGPRVAVLALVLCSLLVPLAFLFDRSQSGYVTTDERRRQEVVLPEFHHVEKADGDGTVNGLNQDAPKKTPKVNSGGLQKHKQTDQHTSRISTKPKVLPSPKVDPSEAVKESTQGTREVSKVRKRLDKGTNTDEVENEKACQLEFGSYCLWSREHKVVMKDSIVKRLKDQLFVARSYYPSIAKLEGQEELTVLMKQNIQDHERVLSVSTVDADLPSFINKKMEQMEQTIARAKSCTVDCRNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLGSNDSSARKFSAAHGRHYVILSKNILAALVVINSTVNSSKDPKKIIFHILTDAQNFYAMKYWFDKKSYREAAVHVVNYEDIIKEKLTKFNVRHLYLSEEFRVLVRSTEQPAGKTRMEYLSLFSHSHFFIPEIFKDLNKVVVLDDDVVVQRDLSFLWSLDMGDKVNGAIEFCGLRLGQVRNLLGSTTVDTKSCAWMSGINVINLDKWRKHKVTENYLLLLKKFLTKDETSLRAAAFPLSLLSFQHLIYPLDERLILSGLGYDYAIDEDVARSSAALHYNGNMKPWLELGIPSYRRYWKRFLTRDDKFMDECNIIP >OGLUM07G26590.1 pep chromosome:ALNU02000000:7:27541197:27542810:1 gene:OGLUM07G26590 transcript:OGLUM07G26590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKQMASLIIKANLECEKCCKKIQKVLNKLKDKEKIINIVYENSNNRVIISGHFKPEELAHKLRCKACGVIKDIEFGKLAEAKKEEKKPDQAKKEEKKQPEEKKPEEKKKSEEEKKKGDDKKPEEGKKEEKEEKPKVKEETKATPAPSSTTVNLQFTNMCGICYPWPCSDPTHWGAGVVHPQWPQCEAPAAALPAFVPGHHPHQLPPWGGVPAPKWPCGGPSYCGGCVTCRGGGWPAAAPTCCPGPSSCRGCKGCRIVQEGKFVYEEYPAASACAVM >OGLUM07G26600.1 pep chromosome:ALNU02000000:7:27549238:27551990:-1 gene:OGLUM07G26600 transcript:OGLUM07G26600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKELGLLPASGPGTPTSVAAAAAAAHSPFMLSRQNSGRCGTAPSPLSVSSPSSWAPPPVFSRNNSISNGAGEEMVGLGDELISPANGGGPPSPFFGGDPLMDELQLQDQLAFLNEGGVPAGHQMPMFDGGECRSPGGGDGGLFSYNLGWANGGPGHRRSASVSELCLGGADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDAAGKMDPSAVEQQCQDFLIRSKSQRLAAAAFPYSPTGSLPGSPSAATKCLSLLLQQQQQQNESQRAAAAAALMLGGDEAHKFMGRPRLERADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRQAELTYSPHCLSRKQHQQGERVDFSSCTTPTGLDARDPFDMHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAIELHSRRLMGLQLLDFKSRAAAAPTPIGNPFSASQTAANATGESPPDSGELGKGSCFLLAHKKAVNGADKEESTGESSSPNTDSDQSVEHNLPDSPFASPTKSAGFARDPFAPTEAEISATASTGCSATYVGINNGVSNGGTNHLLPSALDMPSPKPYFFPMSRLASDHSAIGM >OGLUM07G26610.1 pep chromosome:ALNU02000000:7:27576842:27580444:-1 gene:OGLUM07G26610 transcript:OGLUM07G26610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPADDYYGDDDDEYDDYNPHPYGGGYDIFATYGSPIPPSPTTCYPVSSAAPTAPPPKPSPTPPPASPPPAPTPPQTRPPSPPPQQQQPRPVSPPPVAEPYYWPKPYDYGDAPREQPAYATPEVFRGWPFFAGARCHSRCGGRDYWRQFMRGLDYLFGHADGYGERRIGVDYHGVPVYANRKGGVEEAVVIQVEPPATGTVEWHHAADDPEYNYNNGNRLSWDDNAKDETYAYVQHNYSSYDRSYDQSYSLDAVSDETTWFPNQNYQHVYKEEESQYQEILSSSCAESKISAQPIYCYNQQFSEQPLHVLVEPPETVYSQKLEYYESFSTYNHHNSNDDSDMLGHSYDIQLPDEHVPDEPFEPIKPSWAMHSGYYQSCTDGASAEFENHTLSSSEFGGIASLFATSFYPQQTQIYECHGDENVSLQQNWQCNWNVVSENDFQSGYDSNHMNGSFWPFGDHSA >OGLUM07G26620.1 pep chromosome:ALNU02000000:7:27582507:27587185:1 gene:OGLUM07G26620 transcript:OGLUM07G26620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSALPGGGARPDTLADRLHRYRGVLLVILAPLALVSLVLLLMPRSPASSAAAGRRWGPLDANKYAVIFDAGSSGSRVHVFRFDANLDLLHIGDQIELFVQKKPGLSAYANNPQEAAKSLVSLLEDAKRVVPAELRGQTPVRVGATAGLRALGAEKSEEILQAVRDLLREKSSFKTQPDWVTVLDGPQEGAYEWVTINYLLGKLGKTYADTVGVVDLGGGSVQMAYAIAEKDAVKAPKPSEGEDSYVKKLFLKGTTYYLYVHSYLHYGLLAARAEILKAGNGKGYSYCTLEGHQGQYEYGNGKFEASASPSGASYSKCRDDVVKALKVDQACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEAGFVNPKAPVAKVKPSDFEKAAKRACKLNLKDAEAAYPGVQKDNIPYICMDLVYQYTLLVDGFGVGSHQEMTLVKKVPYSNAFVEAAWPLGSAIEVAS >OGLUM07G26630.1 pep chromosome:ALNU02000000:7:27597371:27599472:1 gene:OGLUM07G26630 transcript:OGLUM07G26630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRLDDWVLCRIYKKSGQASPMMPPLAADYDHDEPSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHQHALAVHPSLNQLLGVGDNFLAECYPSTASTATVAGGKRKASPAGDYAGGGHTPVKRLNGSCFDVAPQSVVGGLQATPSSVLAGLNHQMLPPQLF >OGLUM07G26640.1 pep chromosome:ALNU02000000:7:27605540:27607682:1 gene:OGLUM07G26640 transcript:OGLUM07G26640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTMSSAATSLPPGFRFHPTDEELILHYLRSRATAGQCPVPIIADVDIYKFDPWDLPSKAVYGESEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDSATGESVGVKKALVFYRGRPPKGTKTSWIMHEYRLAADPLAAAANTYKPSSSSRFRNVSMRLDDWVLCRIYKKSGQASPMMPPLAADYDHDEPSGVLDDAYSFYAPPMISTTLIPKLPKIPSISELFDEHALAQIFDAAADPPADHHQHALAVHPSLNQLLGVGDNFLAECYPSTASTATVAGGKRKASPAGDYAGGGHTPVKRLNGSCFDVAPQSVVGGLQATPSSVLAGLNHQMLPPQLF >OGLUM07G26650.1 pep chromosome:ALNU02000000:7:27613578:27634830:1 gene:OGLUM07G26650 transcript:OGLUM07G26650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHHGHGHGQYQPPATGPQHEPTFKIFCRADEGYCLTVRHDAVHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPGGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWRREHNAMVLRRFLLGGGGGRALAWSTREGKKKRVTATQQPKGRGGRVGGVDVGFVGTWRGSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSQEAGKAVLVGLYNRPHFGPSVVISVRYWAVTGTNRIRGKKRSVLLFSVSPLLKTRSPPPAGEKAGRFDFDAAQHPDPSTDIFDTTKYTHSELKAFKT >OGLUM07G26650.2 pep chromosome:ALNU02000000:7:27613422:27634830:1 gene:OGLUM07G26650 transcript:OGLUM07G26650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPARHRATMSRATATVHRRADHPHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWRREHNAMVLRRFLLGGGGGRALAWSTREGKKKRVTATQQPKGRGGRVGGVDVGFVGTWRGSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSQEAGKAVLVGLYNRPHFGPSVVISVRYWAVTGTNRIRGKKRSVLLFSVSPLLKTRSPPPAGEKAGRFDFDAAQHPDPSTDIFDTTKYTHSELKAFKT >OGLUM07G26650.3 pep chromosome:ALNU02000000:7:27613422:27634830:1 gene:OGLUM07G26650 transcript:OGLUM07G26650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPGGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWRREHNAMVLRRFLLGGGGGRALAWSTREGKKKRVTATQQPKGRGGRVGGVDVGFVGTWRGSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPLCACCHGRSKNVDFDVMDSWRVMGVQVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSQEAGKAVLVGLYNRPHFGPSVVISVRYWAVTGTNRIRGKKRSVLLFSVSPLLKTRSPPPAGEKAGRFDFDAAQHPDPSTDIFDTTKYTHSELKAFKT >OGLUM07G26650.4 pep chromosome:ALNU02000000:7:27613422:27634830:1 gene:OGLUM07G26650 transcript:OGLUM07G26650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWYKDMRHSTRVKDEEGHPAFALVNRATGLAVKHSLGQSHPVKLVPYNPEYQDESVLWTESKDVGHGFRCIRMVNNIYLNLDAFHGDKSHGGVHDGTTVVLWEWCKGDNQCWKILPWGPEAYAPPPPPAYGHQAYPPPPPNREPGHGYHPAPAFYPPQPPPSHDEPGYGYRPPPGGPPGAGYGNRLPRALASEPTVRILCRADEAYSLTVRNGAVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPEYLDESVLWTESKDVGHGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWCKGDNQRWKILPWRREHNAMVLRRFLLGGGGGRALAWSTREGKKKRVTATQQPKGRGGRVGGVDVGFVGTWRGSKMFGFGHHHNQAPAAPSDPNQIFKIFCRANENYCLTVRDGAVVLAPVNPKDEHQHWFKDMRFSTKVKDGEGMPAFALVNKATGLAVKHSLGQSHPVKLVPFNPEYEDASVLWTESKDVGKGFRCIRMVNNTRLNLDAFHGDKDHGGVRDGTTVVLWEWCKGDNQSWKILPWGPEAHSSSPGAATACTIGGVPVHTVRVFSAAGEDYCLTVRNGTACLAPKNPRDDYQHWIKDMRHSNKIRDEEGYPAFALVNKVTGEAIKHSTGQGHPVKLVPYNPEYQDESVLWTESKDVGKGFRCIRMVNNIYLNFDAFHGDKDHGGIHDGTEIVLWKWCEGDNQRWKILPWSQEAGKAVLVGLYNRPHFGPSVVISVRYWAVTGTNRIRGKKRSVLLFSVSPLLKTRSPPPAGEKAGRFDFDAAQHPDPSTDIFDTTKYTHSELKAFKT >OGLUM07G26660.1 pep chromosome:ALNU02000000:7:27635062:27636292:-1 gene:OGLUM07G26660 transcript:OGLUM07G26660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALCSGSVASPCGEVGVGFAAGRVRGAAAAAALAESVPIGGYSSKSTFPSGRVALTERKARPLPRNLEAAHGQMNLTIGKAMRWWEKCLQPNMREIESALDLADSLLNAGDKLVVVDFFSPGCGGCRALHPKIAQLAEKNPEVLFLQVNYEKHKSMCYSLHVHVLPFFRFYRGAQGRVSSFSCTNATIKKFKDALAKHGPDRCGLGPAKGLEESELMALAANRDLNFTYTPNQDLVPIADALLKEAAAPGGPWLPLPATATQLFIQGSENSLLSSGR >OGLUM07G26670.1 pep chromosome:ALNU02000000:7:27646165:27654880:-1 gene:OGLUM07G26670 transcript:OGLUM07G26670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVLWSSRTDPEPQMREIAHRFAEDIAPPSSSTRCCEDIAPRLLARSSSSPLPPLLRGHAGATAGSFFFPTAGSCFFPIPTDAAGLFARASSSPLPPLRRILAGALPDSYSEPPPEQASAPHQTSIAISLARCKASDFSDQRLIAKWSDPAAPLPRPPPRDRVDLAAPPPRPSTLSPSAMSPQQAPLLCSPPGPLTARSQSTPPSSLPRPTPRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDAAVTTDTIPSWKLSNSTRQSSCRDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKKFICKALNLLLCNCFTGNIHTFKSVLL >OGLUM07G26670.2 pep chromosome:ALNU02000000:7:27652731:27654880:-1 gene:OGLUM07G26670 transcript:OGLUM07G26670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSESFVLWSSRTDPEPQMREIAHRFAEDIAPPSSSTRCCEDIAPRLLARSSSSPLPPLLRGHAGATAGSFFFPTAGSCFFPIPTDAAGLFARASSSPLPPLRRILAGALPDSYSEPPPEQASAPHQTSIAISLARCKASDFSDQRLIAKWSDPAAPLPRPPPRDRVDLAAPPPRPSTLSPSAMSPQQAPLLCSPPGPLTARSQSTPPSSLPRPTPRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDAAVTTDTIPSWKLSNSTRQSSCRDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKSASCNY >OGLUM07G26670.3 pep chromosome:ALNU02000000:7:27646165:27653783:-1 gene:OGLUM07G26670 transcript:OGLUM07G26670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQAPLLCSPPGPLTARSQSTPPSSLPRPTPRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDAAVTTDTIPSWKLSNSTRQSSCRDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKKFICKALNLLLCNCFTGNIHTFKSVLL >OGLUM07G26670.4 pep chromosome:ALNU02000000:7:27652731:27653783:-1 gene:OGLUM07G26670 transcript:OGLUM07G26670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQQAPLLCSPPGPLTARSQSTPPSSLPRPTPRLPDHHLLQHHIVALDFCLIAVTETFINPRWPWYHDAAVTTDTIPSWKLSNSTRQSSCRDGEKYGEAAVKLLAATLGDQWVLADKRMHINNNWWRRIRRLVKSASCNY >OGLUM07G26680.1 pep chromosome:ALNU02000000:7:27646687:27653737:1 gene:OGLUM07G26680 transcript:OGLUM07G26680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPLESLRLLTPPRSAAPPKSPLPPPVRPCPSLIATICSADAATLTRPFSCEYSHAPPRPSPIAAVPNPPMLPLPSSVSNSPDAADQLMLHRRCPTPSPHSTAGPAPSLPRLASPNLLPDAPLNPSYRTPSFAAVQTAGSPTPPAALHPTFYPPLASPTAVGRTPIRRVHSTSHPCLPT >OGLUM07G26690.1 pep chromosome:ALNU02000000:7:27664407:27665511:-1 gene:OGLUM07G26690 transcript:OGLUM07G26690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEVSVINQLEEEETRLELPPGFRFHPTDEEVVTHYLTRKAQDRSFSCVVIADVNLNNCEPWDLPSKAKMGEKEWFFFCHKDRKYPTGMRTNRATASGYWKATGKDKEIFRGRGLLVGMKKTLVFYMGRAPRGEKTPWVMHEYRLDGKLPPNLPRSAKEEWAVCRVFNKDLAAKIAQMPPPPFPRNDSFDLDLDDFLHLDADLPPLIDDPFASTSTLKTEPPPPANLMHNHYGYFPLPASATNYNHSSGAMADQAIRRFCKAEASTACFSGADADVDPVVDELLSFPDSITDYSYIWKA >OGLUM07G26700.1 pep chromosome:ALNU02000000:7:27700862:27703857:1 gene:OGLUM07G26700 transcript:OGLUM07G26700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHSPDRHAAAAAGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAASSGSPPTASSGGLAPGHAGSPASSLEMFAHGAAGYSSSSSSSWPSSPPSVGMMMGNVDYGGGGDDLFAISRQMGYMDGGGGSSSSAAAGQHQQQQLYYSCQPATMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGALLPANEYGILLHSLQMGESYFLVTRSS >OGLUM07G26710.1 pep chromosome:ALNU02000000:7:27705385:27708942:-1 gene:OGLUM07G26710 transcript:OGLUM07G26710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLAAASPPHPPPPPPPPESHVPPPPQTPEKDSCEDTGDMRISEEKPCTDQELDADQTNSSSFNSSSECENQTPSNDEMTGSESKSEAAQTEGGGSSEDKVLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKSCQRYWTAGGSMRNLPVGAGRRKSKSSTANYRSILITGSNLAAPAGDAPLYQLSIKGDQTATAVKFAPDSPLCNSMASVLKIGEQSKNAKPTSTAQPRNGETQTCPASGTTSDSPRNEPVNGAVSGHQNGIVGHSGVPPMHPIPCFPGPPFVYPWSPAWNGIPAMAPPVCTAPAEPANSSDNGSTASVQWSMPPVMPVPGYFPVIPSSVWPFISPWPNGAWSSPWIQPNCSVSASSPTSTSTCSDNGSPVLGKHSRDSKPQGDDKAEKNLWIPKTLRIDDPDEAAKSSIWTTLGIEPGDRSMFRSFQSKPESREQISGAARVLQANPAALSRSQSFQETT >OGLUM07G26720.1 pep chromosome:ALNU02000000:7:27716306:27717991:-1 gene:OGLUM07G26720 transcript:OGLUM07G26720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTEQMMYHQQQVQSDSQHLSSRPGLPPEKQFLLQGGADSSSGLVLSTDAKPRLKWTSELHERFVEAVNQLGGPDKATPKSIMRLMGIPGLTLYHLKSHLQKYRLSKNLQSQANASRAQGVLGCSTTEIDKPCEGNGSPASHLDLETQTNSSSMHINEALQMQIEVQRRLNEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALGTIAVAETAATANASSSKRLQNEHTQLHHHQQQQQVGDGSVDSCLTACDCEGSHHSRSHGHRGEQDILSIGLPPFEPAAARSGKEHHYLLFPNEPSRRRSCSDERRRERSTLQASELDLSINGRSSSHSHRRENIDLNGAGWS >OGLUM07G26730.1 pep chromosome:ALNU02000000:7:27720998:27733299:-1 gene:OGLUM07G26730 transcript:OGLUM07G26730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRELDLSDEVEGEEDGTTDFVFRLAGDPIPLLPTTSSPLPLFDLQSPPSRPLAVSNRRAAVFLAHPNGFMAATTKALIEASKEAREKGKSTTRCARDCCVADIPLPGVSLLELSRDESVLAACAGSVIHFFSASSLLTDKDVEPLSSCTLEGSSTVKDFKWLNHASKAFIVLSKDGLLSQGSLGEGLKDIMENVDAVDCCKEGTHIVLSKKNTLNILLSDFKEICCMPLLFQLWSDDSDSDDASIKVDSIGWVRDDSIVVGSVRLNEEGNEEGYLVQVIRSGGNTFFENSSKSVVFTYVDFFRGIMDDVLPSGVGPNLLLGYLHRWDLMVTSNKKSIDEHISLLKWSSATDDKKTVVYLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLYLTGEGKLNIYYLARISDPSELPQTKLSAIEDSDVMKEISPVTVSGKEFTPSATSSLDKSIRPDGAELSSVQPDRDLHGSTDMKNSSPVSKAKEIVASSPAPASFLAPASNLKPGISFSFSTANSVSLSPAGSNTSSELGSSWQQSSSSNFINNQLGKGSIPSTQPVGAFGGSQNSKKDGNLSFNKSSVFTSDGSTLVKPGERNEPGFGSHPLQSSYTTDKKVPSSVGLSSKSSPSISPIKPSSAGPSSTGFRTGNLEAFPTSRGSPLPQESIDKPHDRTHAVVDHSKNFKLGAMFDTEQDLSKKFYSINDMTKELDTLLSYIEKDGGFRDACITFQERPLSMFEGDLQNFLELLQIFKNKIAEQCSRVEDLRNKMFQGTSTTRVSVRQAYMKGIVDQSSDTQYWDIWNRQKLSPEFEAKRQNILKANQNLTNQLVELERHFNNLEMNKFGETGRVASSRRAIYSSKARSSQTQISSVYNALNSQLAAAEQLSDCLSKQISALNISSPSTKRGSVAKELFESIGLDHTMDAAKFSGGTPSKSVKRYPSTREHITSISGPSKTAEPETARRRRESLDRSLASLEPQKTTVKRIAQQQRLKINSDLPFRSNKKIFDSQMAAMSQEKSSSSPTSSIVESYANKLHYPSEVLHEKTKPSGPQHNTLFKWVKESAGPSQGPQHKYPELPGQLKSSDQPPKLGSSSPLSFSYSHKDVWDNISSSNVPSSGTTHTMPKSSTLTFKTTVIPKTNTSTLPDLSPLMMGSKFSLSPLTVKTLSGDSGGASSIITKNKQGGQAMPYLGTTKGLDVSPQNMGGAFRDLNKPSLSPEPPKSALLQGKTVQLGKITETVQSPVKAKPEVAFQPPAFPPTPVAQSSPCSIKPTVPSSATSSSSTMQESAAKTSDVLSPTGPSILPSKESMTKPSSPLPDGTISSSLLSIPMPVKESSTGLNKIVSKPEVVTSEVTGTTVSASTSSSVPITEGKPSSIPATSGSLPSIPVSAPKVVPVSAESVVVTSTGKDVGPSNPSSDEDDMEEEVPSASSDLNLGALGGFGLGSVPSSSPPKSNPFGNSFTTSDNKSSGSSFTLTTSPGQLFRPASLSIPSSQPAQSSQSTSSSTFSSAFSSGLSGFGQSAQIGSGQQSGFGQPSQIGAGQQAGFGQPAQIQSGFGQPAQVGVAQQSGFGQPAQIGTAQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGSFGAGGFGGFASASASGGFSSNSGFAGGATGGGFSAAAAPAGGGFAAAATGGGFAALASKGGGFAAAASSGGGFGGAAQGSGFSSGGFGAFGGNQGSGFSAFAGSGSAGSGGPPANLLTQMRK >OGLUM07G26740.1 pep chromosome:ALNU02000000:7:27733730:27737081:1 gene:OGLUM07G26740 transcript:OGLUM07G26740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAVIVSPSAWPRAVSSAFAAAVFAFLDVVDVLLCFVYGFLDAVFEDSPVSCYCHGSHSAAALDDDDEVSDTLYHRRSAVRDALMGLVRGRSGGSPETETERRKGRSPRWSDCGCESCRAWQRHDDGRLHFVANQPPPPPNDGAVTTTQQSGEEDAIFIHGFTSSSSFWATVFRESSILNNCRMLAVDLLGFGKSPKPANCMYRLKDHVEMIERSLIDPLNLSSFHLVSHSMGCIIALALAAKHPERVRSITLIAPPYFGACEEKASQVALKRLAEKKLWPPLQFGSAVMSWYEHIGRTVCFLVCKNHLLWERLFRLITGKRDVDFLLGDLTKHTHHSAWHTMHNVICGGAMLQDRNLEAVEAAGVPVQVIHGGDDQVVPAECGRHLKAKLPGAELRLMEGCDHKTVVFGRERGFAEELRAFWSASHQNKQLAASASGHTKLTNDNMSTTGRALLVALAAVLLVTGDALLAPAGGQEQYTKAPAEAHKGYSIVPVGLPVMEKEEKAWFAGRTIFKIPPAPPCRANANAICC >OGLUM07G26760.1 pep chromosome:ALNU02000000:7:27746018:27749456:1 gene:OGLUM07G26760 transcript:OGLUM07G26760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAQPPTKPGSAFRGEHGRRLRRRRQSSGGARSHDAGRRRDEIVPAVKIAGDSTRRPNATHPPNPATPSAAASLLFCRWAGGGTPAKYFKQNPAAGASSHAVIYPKLKPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGSRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >OGLUM07G26760.2 pep chromosome:ALNU02000000:7:27746372:27749456:1 gene:OGLUM07G26760 transcript:OGLUM07G26760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASYSSTSWRVRPGLTPFRSPLQPHPQGRVQRIAIFATRIRIATPSAAMQSSSTRHRLEAVNHDHETIPERLAVVTGGSRGIGLEVCRQLALQGVTVILTARDEKRGKDAVESLCHESNLSNIIFHQLDILDGNSRASLARYINSRFGKLDILLSGKAVNLIQSVIVQTYDEAVKCLNTNYYGLKWITEALLPLLKQSPSGARIVNTTSLRSELKRMPNEKLRDELRNIDIWDEARIEAMLNEFLLDLKNERLEEAGWPTMLPAYSMSKTVVNLYTRILAKRHPEMRINCVHPGFVNTEINWNTGIIPPEEGARGAVKAALLPQDGPTGCYFDQTELGEAW >OGLUM07G26770.1 pep chromosome:ALNU02000000:7:27754890:27757226:1 gene:OGLUM07G26770 transcript:OGLUM07G26770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPILLLTAFLSSKPALCYINPGATPPQKIGTKSSGGRATYIVFVEPPPPLGHGDGEDDHRRWHESFLPLSELAGSDDEPRLVHSYTEAVSGFAARLTGGELDAVSKKPGFVRAIPDRTLQLMTTHTPEFLGLRKDAGLWRDSGYGKGVIVGVLDTGIDSSHPSFDDRGVPPPPARWKGSCRDTAARCNNKLIGVKSFIPGDNDTSDGVGHGTHTASTAAGNFVDGAAVNGLGVGTAAGIAPGAHIAMYRVCTVEGCTESALLGGIDEAIKDGVDVLSISLGSSFAADYDKDPLAIGAFSAVSKGIVVVCAAGNNGPAFATLSNEAPWMVTVAASSVDRRFSAPTRLGDGRVIDGEALDQASNSSGKAYPLSYSKEQAGLCEIADTGDIKGKIVLCKLEGSPPTVVDNIKRGGAAGVVLINTDLLGYTTILRDYGSDVVQVTVADGARMIEYAGSRNPVATITFKNRTVLGVRPAPTLAAFSSRGPSFLNVGILKPDIMAPGLNILAAWPSSVARTDAAAAPPSFNVISGTSMATPHVSGVAALVKSVHPDWSPAAIKSAILTTSDEVDNTGGPILDEQHNKTMLFGPFNTGAGHVNPTRAADPGLVYDIGVAEYAGFLCTLVGEYVLPIIVRNSSLQSCRDLPRVGQSHLNYPSITVELEKTPFTVNRTVTNVGPAESTYTANVTLAGETSLKLSVSPETLVFSKAGEKKTFAVTVSGRFTKAAQAVAVLEGSLRWVSPEHVVRSPVVLYIPVASAPPSPPMPAVGTRRGDNWA >OGLUM07G26780.1 pep chromosome:ALNU02000000:7:27768688:27771620:1 gene:OGLUM07G26780 transcript:OGLUM07G26780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHAMSCHGGGGGGEGALSRQGSVYSLTLNEVESHLGEPLRSMNLDDLLRTVLPAAAAAAETAGRKTVDEVWRDIQGASTGRHHATPMGEMTLEDFLSRAGVAVDGAAAGAHWLRGHYPPPPPPPPTTTLQYVGGSGAVVDGVYNRVDGHGVAGFLSQVGGAGRKRGGGADGVVEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENQRLREHKAVADFSTFPSCVDFLKAFLTQKLEPVMQIVPQPEPKQQLRRTTSASF >OGLUM07G26790.1 pep chromosome:ALNU02000000:7:27774749:27775294:-1 gene:OGLUM07G26790 transcript:OGLUM07G26790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVSALLLLLVPPLSALLAVAAPSLAWPSGSSSVRKLIAKKVAPEQAARDELLRVARYSSASAAAAASAVQQPGGGESSRPAAAAADDGGRPDQCAVCLSDIEEGDEVRELRCRHLFHSGCLDRWWLSARPPATCPLCRCRLLQSPSAAADDDDGDGEEDSDSDMVMFMAYLRSSSTWL >OGLUM07G26800.1 pep chromosome:ALNU02000000:7:27777590:27781962:1 gene:OGLUM07G26800 transcript:OGLUM07G26800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHEQNRKKNGIVVQASEIKIEVRKLYKRFLEIQLDLTNDLIFLQALLVQQRRWDITREAEAPILQETVAGW >OGLUM07G26810.1 pep chromosome:ALNU02000000:7:27777892:27784579:-1 gene:OGLUM07G26810 transcript:OGLUM07G26810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRTTQEKGRVSSAAGAGRRRRSPASALLLLLLLWLLLRRRGGGGADAAELGRATAAPMRLGAAGGRXGGRSARAWLCGGAGPGAGRGLGGAAGVVVIPPSCGHRIQFKLYVLDDEVIKDKLMDAIEGHVLGEAKLMAGKATTLLHFPFVSLRRERFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVANCYAWSPKRRPAPREYTIKDPKAHSVLQSDSPGSRCYSCSTGHSPVSSPIAIRCRSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVLNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFGAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMEFIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIVALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLNEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISSLRGTLKTVTDEKDLLWQEAKQLRKTISIMQNETASLKKKIEVLEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >OGLUM07G26810.2 pep chromosome:ALNU02000000:7:27777892:27784579:-1 gene:OGLUM07G26810 transcript:OGLUM07G26810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKRTTQEKGRVSSAAGAGRRRRSPASALLLLLLLWLLLRRRGGGGADAAELGRATAAPMRLGAAGGRXGGRSARAWLCGGAGPGAGRGLGGAAGVVVIPPSCGHRIQFKLYVLDDEVIKDKLMDAIEGHVLGEAKLMAGKATTLLHFPFVSLRRERFFFFGSSTASTGNGGKTPSDDDSRIKKKALDGGDSNGSSSSASNSPVTKVCRSKSRRRNLNNEEPSNPKQLRRSMSFSSPAGNSFLKDRSFSFSGDVPSSLFNESDAPDHVAKSTRLTNLLNKNEVLDRYIDGEQEATILGERQKQNSPTRSAVLNLGRPPRPQSTVPSLPRLTKEILETYPYEDVKDEHLHQLAQEDTRDTCKITTLCNASRNHAGLLDASERFSHLEDYKSESVTSVEDIYEDLQDFNHPSIDPTSEDVETDDKLLQRAKEVEAKFMVSSEKKYELNMSKNKRLSANDMFQMIQCLTEDRKQLAYELSSQIKARLTERFGAKEQCKNLKKELDIRTRRLEKEKMEVQTTLEKEMDRRSDDWSIRLSRFQCEEERLRDRVRELAEQNVSFQREVTFLEAEKVDASNKVACLEMGNKKLIDELEKVRNNCDNLQNSSVELHDCFTKAVEEKDHLRKFLEDKDGENKALHKVISRLQTICNEQERTISGLRQGYSTELDKKSVECSDKIKKRMQMEFIRLTGVEQKLRGEVQSCHLESESLRQENIALLNRIQSTGNGPRLSSIRLDQELLARVDNLQIHGLSLLDKTSQLCIKLLELMKCKRHENEAGNGIVALTVTDYTLEFQSIKGRIQSLKQSLGIISSVLTEKENIKGSSGETVVGSSPSREHTDELPLDIPELKLKEEAILNRVLNEALLSKELDVEQLESDLASSLRIQDVMRNEIQRVQDELSCMNHKAKHLELQGLKKDEIISQVQLDFQESAKEISSLRGTLKTVTDEKDLLWQEAKQLRKTISIMQNETASLKKKIEVLEEDILVKEGQISILQDNIKNPQLDFICSPRSVKEFGLE >OGLUM07G26820.1 pep chromosome:ALNU02000000:7:27783325:27788644:1 gene:OGLUM07G26820 transcript:OGLUM07G26820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMVSASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDVSDTNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERRMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFSRACDSGKEVTRVLDEDKLQFRALLPQETARGSASSSFLSTLFACCREDVPLPETPSQAEVKYLTWHRSVSSQLSPSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQILVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQHAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLSSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPTNELVKAIENLVADVGHSFPHQEQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNIDEAKDRFSRED >OGLUM07G26820.2 pep chromosome:ALNU02000000:7:27783325:27788644:1 gene:OGLUM07G26820 transcript:OGLUM07G26820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPSKIEDDRALVLCQERKRFVREAIDGRCALAAAHCDYIRSLRDTGFLLRKCFEHEASEESIPNNKSPSSFQASHMKAAMNSIRTYLEKVATPVTVTMVSASSQDPTGTSPLDHFDQIHPGDNQFSPKEKDRSGQCLDKVDDPRPFLEEGIPELEEEGERTPSNEEDGFAESKDDFANEEENFSESNDAFLSPSIETFVPVSNSNDVSDTNSSTDKAPEHHGHGSVASKDIALPNTGCQNDNPQNERRMTDIHTNENYSNSAVSPVNVVPPSGAAFPMVSKEPYPYLSISVKDLYTGMVEIERLFSRACDSGKEVTRVLDEDKLQFRALLPQETARGSASSSFLSTLFACCREDVPLPETPSQAEVKYLTWHRSVSSQLSPSRNPPGAITVMHTSTLDKLYAWEEKLYDEVKVNSAICRRYDEKCKQLRDQESRGKNQILVDFTRATVKDLHSRILVAIQKIDFISKNIEDIRDKELQPQLDELIRSLTRMWETMLECHHLQHAIMKLVSSKRSVKLSFQSESECQDALLLSAKLIKLCSDFQNWVASHKVYLSSLNLWLHKCMKPLKKRKGSRKQNVVDVSLTECAVAPIFTTCEIWIKLIDDLPTNELVKAIENLVADVGHSFPHQEQVLNGETGGEILRNNAPADVQSSLMAFLEKLEAFSAVSLQKYIDLQKNIDEAKDRFSRED >OGLUM07G26830.1 pep chromosome:ALNU02000000:7:27793058:27793714:1 gene:OGLUM07G26830 transcript:OGLUM07G26830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSCLAPHAALLPCAAFTDADITRALHFSSSMPDTSSSPSSSSSAAFLADFCGGGAGGGFVVSAPPPTMPAITCESVLVADSARPSPAGPARRHQQQQLGLGPAGGRAGKRRSRASKRAPTTYISTDPANFRLMVQHVTGVQADPASLADGAAGILPTTTTTAPFDASSGLHMLDTFAAANPLLQAEQAAALQQQPCFPTLDSSWSAVMYDGSDLL >OGLUM07G26840.1 pep chromosome:ALNU02000000:7:27795895:27800388:1 gene:OGLUM07G26840 transcript:OGLUM07G26840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAEAALQLEAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLVLMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >OGLUM07G26840.2 pep chromosome:ALNU02000000:7:27795895:27800388:1 gene:OGLUM07G26840 transcript:OGLUM07G26840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MASPRPPPASLRSFLDAHFASPEDLASAPALAELLRRECVGLDASLRRLEAQLASVSASWLARSAGARASLRRIRSRGGGFGVEEDDGEETLRSAELPALVQEIHRIDAIRLYAAFVGNLEDATFSIVRQASKLNLSSEMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLVLMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >OGLUM07G26840.3 pep chromosome:ALNU02000000:7:27795895:27800390:1 gene:OGLUM07G26840 transcript:OGLUM07G26840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family [Source:Projected from Arabidopsis thaliana (AT1G08400) TAIR;Acc:AT1G08400] MHPSAASRRSSRPYPPPGSRAPPALAHLSAASAPEAGDSEWRRMMARRRCGARSCRRLCRRSIGSTPFDSMREMQWKQEKLLQAVDAMRDIELELLRISTNRPQWTNLIMAVDSRVDKTLAILRPKALTDYRALLAALGWPPSLSSPDAANNKYSEIPNPLVLMNEANKEKYSQSFLALCALQHAQANREARQCQAKGASASMSDSKYFDKTAACFDNGLWAIDELVQPIASRLEYHFAKWSEQPEFIFALVYKIARDFMGGVDDILQPLIDRARLVGLSAKESWVTGMVKMLLGYLERQIFPVLVTSYQATDDKFEVHSSWMHLNDLMITFDKRMQLLADSGIQKIASISEGLSRSLSVFSIYSEHSDWLHMWAGVELNSAQHKLKSEMEDEINWSYSIKELGLQEITSNFLLSTREDYKAPTISEFVVKTASAMIERGHALPNRGLRIQYNRSSSVQFLNDFFLVLRERCEALQLTNTALEDDSLLKASFAINAARYCEYVLREWDDDIVFLEMGAHRKHVDEGQGQGHKHSAQHPCSFFGDEIAFLAKLGTDYLEQIMSSVLLEFEDLSWDYVQNIGLPNEQIHPVDEVLDEENLGVSPGFVASLEVVRDRTTKLMLHLNSKDFLDLWRSIAEGLDYFIYSSIRWGELTFSDQGVVQLRVDTKALLHIFRPFCLRPEAFFPFISDSLRLLAMRKTDARYLLEVLKNAKENDSCLRQQGLQHVNASQAMKILGSKRSDG >OGLUM07G26850.1 pep chromosome:ALNU02000000:7:27801551:27803583:1 gene:OGLUM07G26850 transcript:OGLUM07G26850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMGSSKCASASAPLESKVTRSSNSTTTTDNTSKASQRSSSAFSSGQFSRASSDESSAAPSLSSLKSFNMGDLRAATKNFGSNSFLGEGGFGCVYKGWIDELTLAPTKPGVGKMVAIKKLKKESFQGHKEWLAEVTYLGQLHHENLVKLVGYCSDSDSNMLLVYEYMLRGSLENHLFRRGTQPLSWAMRVNIAVDVARGLSFLHGLENPIIFRDLKSSNVLLAGDYRAKLSDFGLARNGPTGDKSHVSTRVVGTRGYAAPEYVATGHLSVKSDVYSFGVVLLELLTGRRALDAARGATAEMLVDWARPHLGDRRKVNRIMDTRLGGQYPKKQAQDMAALALRCLHHDPKLRPAMPDDVLPQLRLLQQNTKPSSSSTSAPAHRSRPFQALAS >OGLUM07G26860.1 pep chromosome:ALNU02000000:7:27805014:27810601:1 gene:OGLUM07G26860 transcript:OGLUM07G26860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVEGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFEQNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGSSNLHGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >OGLUM07G26860.2 pep chromosome:ALNU02000000:7:27805524:27810601:1 gene:OGLUM07G26860 transcript:OGLUM07G26860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRTDAASWLRELVLVYAMCWTVSLGFVEGQTGQLNVDASPQNARKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGNESSIIVGTDRTSCFEQNPVALRMEVLCDSKGTNNCPSGGVGVYNPGYWGMNIERRRVYKVGLHIRSSDAVSLTVSLTSSDGLQKLASHTITASKKQFAKWTKIEFHLKSSQTNTNSRLQLTTSKSGVIWLDQVSVMPSDTYMGHGFRKDLASMLANLKPQFLKFPGGNYAMGNYLRNAFRWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGSSNLHGLLGASQNEEVSTATIASLVKDVVDGIEFARGGPKTTWGSVRAAMGHPQPFNLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINVVSSCDKSTISPSNPADLYDVHVYTSSSDMFSRTSMFDNTTRSGPKAIVSEYAVTGKDAGKGTLVAALAEAAFLVGLERNSDVVEMASCAPLFVNDNDRRWSPDAIVFNSWQNYGCPNYWMLHFFKDSCGATFHPSNIQISSYNQLVASAITWQNSKDKSTYLKIKLVNFGNQAVNLSISVSGLDEGIKSSGSKKTVLTSSGPLDENSFQQPQKVAPVSSPVDNANEQMGVLVDPYSLTSFDLLLQPSKHSTI >OGLUM07G26870.1 pep chromosome:ALNU02000000:7:27812175:27816515:1 gene:OGLUM07G26870 transcript:OGLUM07G26870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APH3] MYKAKRTAAQKVRRCLGKYELGRAIGQGTFAKVRFAKNMETGDHVAIKILDKAKVQKHRLVEQIRREICTMKLIQHPNVVHLHEVMGSKTRIFIVLEYVMGGELHDIIATSGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKLENLLLDTAGNIKVSDFGLSAISEQVKADGLLHTTCGTPNYVAPEVIEDKGYDGALADLWSCGVILFVLLAGYLPFEDENIVSLYNKISGAQFTCPSWFSAEAKRLIARILDPNPATRITTSQVLQDQWFKKGYESPVFDDKYYPYFHDVYDAFGDSEEKHVKEAMEEQPTLMNAFELISLNKGLNLDNFFESDKKYKRETRFTSQCPPKEIINRIEEAANLLGFNIQKRNYRMRMENIKEGRKGHLNIATEVFQVAPSLHVVELKKAKGDTLEFQKFYQTLSTQLKDVVWEFEDAAEDMS >OGLUM07G26880.1 pep chromosome:ALNU02000000:7:27817368:27822186:-1 gene:OGLUM07G26880 transcript:OGLUM07G26880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANNSCPWYAQIAVGPSHTRLRMELDKKFKVLCLHGFRTSGSFLKKQISKWNPSIFQQFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDECISYLCDYMVKNGPFDGLLGFSQGATLSALLIGYQAQGKVLNDHPPIKFMVSIAGSKFRDPSICNVAYKDPIKVKSVHFIGEKDWLKVPSEELAAAFEDPVIIRHPQGHTVPRLDEASVKQLSEWSSSILEDIKNADDVAKASNVEKPSEGNTVAESGENLVEQIAA >OGLUM07G26890.1 pep chromosome:ALNU02000000:7:27824271:27826591:-1 gene:OGLUM07G26890 transcript:OGLUM07G26890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASGRLDDIADAEMDDGGGGGNRAGAGDYSSLRPMDRAGLPPYGSAGGSGGLVRPPSSAAGYSGGGGSSSPPGTPPRPHSPRMFVPQSPVTPLHRAVDGPPPVFNQILTSEQEEDHDGPPEKLIPTLLVWTLGGKNVYIEGSWDNWKSKQLVHKCGKDHCVMLGLASGVYRYRFIVDGERRFQPDRPREADIMGTISNLIDVHDYVPDSVDSVSELMAPPSPDSSYGFLAPDDKEFTKEPPALPPQLHLGVLNSRGGSGGKEGECAMPKHNVLGHVFIGKGTPPMVAALGTTFRFQSKFVTKVLYKAIQREDR >OGLUM07G26900.1 pep chromosome:ALNU02000000:7:27828784:27829197:-1 gene:OGLUM07G26900 transcript:OGLUM07G26900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVQQHGRQRHGISKPPPAKKGKAAARKYKPPQCPGAVRVVYIASPMKLTASPEEFRAVVQELTGRHSNIADRHYVDSTIDLPPPPPPPPAYCASYVASATAAAPPVAAVPPPVLTPPLPPQTFQSYDHGGQGHRW >OGLUM07G26910.1 pep chromosome:ALNU02000000:7:27831179:27839482:1 gene:OGLUM07G26910 transcript:OGLUM07G26910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G19370) TAIR;Acc:AT5G19370] MLGLRGARAAQLPYASAAVAPTPTPSFSGFARRLPLLASAALSPLPPSFSFSSASAVRRDRDPLMRPVSGALSRSRPTTRVFCSAAATAPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQRVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLEGFKVLPLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >OGLUM07G26910.2 pep chromosome:ALNU02000000:7:27831179:27838345:1 gene:OGLUM07G26910 transcript:OGLUM07G26910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rhodanese-like domain-containing protein / PPIC-type PPIASE domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G19370) TAIR;Acc:AT5G19370] MLGLRGARAAQLPYASAAVAPTPTPSFSGFARRLPLLASAALSPLPPSFSFSSASAVRRDRDPLMRPVSGALSRSRPTTRVFCSAAATAPREGKELLVQHLLVGEQDVRLLVDLEKNIITGGADLSDLAVEYSLCPSKENGGMLGWVRRGQMVPEFEEAAFGAPLNKVVRCKTKFGWHLLQVLAERDQRVVEDIPPEELHAKMQDPNFLEEAQLIDVREPDEVDKASLEGFKVLPLRQFGTWGPVMTDEFDPQKDTYVLCHHGMRSMQVAKWLQSQGFRKVYNVAGGIHAYAVKADSSIPTY >OGLUM07G26920.1 pep chromosome:ALNU02000000:7:27842491:27843572:-1 gene:OGLUM07G26920 transcript:OGLUM07G26920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APH9] MGPNVSSEKKALAAAKRRAYVTFLAGDGDYWKGVVGLAKGLRRVRSAYPLVVAVLPDVPGEHRRKLVEQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFDNIDHLFDLDKGAFYAVKDCFCEKTWSHTPQYDIGYCQQRPDEVAWPERELGPPPPLYFNAGMFVHEPGLGTAKDLLDALVVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVDLDQVKVVHYCAAGSKPWRFTGKEENMNREDIKMLVKRWWDIYNDESLDYKEEEDNADEASQPMRTALAEAGAVKYFPAPSAA >OGLUM07G26930.1 pep chromosome:ALNU02000000:7:27850431:27853993:1 gene:OGLUM07G26930 transcript:OGLUM07G26930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPHSLSADLEVALRWEGRWKKIASHRQAAGGSRATSPIHHPVSVLARRRRRRRRRSPSCEVAPASAASSLTVEHPNPYHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGERRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >OGLUM07G26930.2 pep chromosome:ALNU02000000:7:27850431:27853993:1 gene:OGLUM07G26930 transcript:OGLUM07G26930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLPHSLSADLEVALRWEGRWKKIASHRQAAGGSRATSPIHHPVSVLARRRRRRRRRSPSCEVAPASAASSLTVEHPNPYHPPSSPAASADPHPSHHHHARHAIRLHPSPPPCTTAVIRLFLFFLLHKKEVETGVGERRRVDKALLPFGSITEMMAVTNGVIHASSREPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVSTWNKQHNIKFSINFGDIIDGYCPKDKSLWAVQKVLDEFEKFDGPTYHMFGNHCLYNLPRGKLVSLLKMPTDSDRAYYDFSPCPEYRFVVLDAYDFSALGWPRDHPVTAEAMKFLEEKNPNSDKNSPDGLVGVDRRFVMFNGGVGKEQLSWLNDVLQDASARRQNVILCSHLPMDPGSASFAALMWNYDEVMAIVRQYKCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHIEVYPDKLLLVGSDKMADTEMCFEP >OGLUM07G26940.1 pep chromosome:ALNU02000000:7:27856020:27858609:-1 gene:OGLUM07G26940 transcript:OGLUM07G26940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWVRPPAPRRPLGFSAAALIYMGRQHVAHYDPSIWAVVSLMGFLLDHAQYSTAHLGGGAVALPSHPPSSSRRQPPPPSRRRLTSRSIPIRLPHHPPRPIPPHALAARSRHPLSNLAPRFSSLCSDPRAPTTCASHPTSPAASIRYLLHLHPPRLQLRPAKQPAWSRPVRSSALARSQRLTAGKVFDTTPLRRLCVLHTVSWIVSSRQVARFSTGVDNANPGAHCRLSELFRPVRTETSCVIIGRALECGRWSESVELELEGLHVELDPFVVNKVLRGLSDSGMAVRFYWWAESRPGFYHNNFAIAYIISLLFVDDNFALLSEFLGRVRSQGVAFHRSLYRVLLAGYARAGKFDSVIETFDEMVASGCREFGVDYNRFIGVMIKNCCFDLVEKYYNMALAKGFCLTPFTYSRWITALCQSNRIELVEELLTDMDKFGCFPDFWACNIYVHYLCGHNRLYDALQMVEKMTMKGTGPDVVTYTTVVSCLCDHRRFSEAVGLWEEMVRRGLKPDVVACGALIFGLCKNQKVDEAFELASRMLTLDIQLNVSIYNALISGFWRAGSIEKAYKTVSFMQRNGCEPDVVTYNILLNHYCSIGMTDKAENLIRKMEMSGVNPDRYSYNILLKGLCKAHQLDKAFAFVSDHMEVGGFCDIVSCNILIDAFCRAKKVNSALNLFKEMGYKGIQADAVTYGILINGLFGIGYSNLAEELFDQMLNTKIVPNVNVYNIMLHNLCKVGHFKHAQKIFWQMTQKEVSPDTVTFNTLIYWLGKSSRAVEALDLFKEMRTKGVEPDNLTFRYIISGLLDEGKATLAYEIWEYMMENGIILDRDVSERLISALKLKNN >OGLUM07G26950.1 pep chromosome:ALNU02000000:7:27861823:27864282:-1 gene:OGLUM07G26950 transcript:OGLUM07G26950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVGDEQCLIVGALAILACGSVFVCSKGFSSVSFKKRRTKKNEKDGCETGLVVKATTKFIFVWNFTIVLSARLVITGSTAKLEGDKRVWPPMMVLKLIGHQQPVACSSSFSSMLLYYLSLDLSGFHAILGHYKRGFSSPLNNKWHNP >OGLUM07G26960.1 pep chromosome:ALNU02000000:7:27867210:27869211:1 gene:OGLUM07G26960 transcript:OGLUM07G26960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMAREVSSEEEAGGGDELRRGPWTVEEDLLLVNYIAAHGEGRWNALARCAGLKRTGKSCRLRWLNYLRPDVRRGNMTAEEQLLILELHGRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKHLNCDVNSQQFKDLMRYLWMPRLLERIHRSSQSQSHDADDADLSVSAATSCITSDLVVDAHHPPLAMADSNDSAAMWQQHQAPHMSVAGAPPPTTTMAQHVVLPTAAASCHQMQDQFVCARAAETTTCCWSESESLPGLAGLYYDDAAAALPEFDVETMAMWGPEDDPWYTQMLGL >OGLUM07G26970.1 pep chromosome:ALNU02000000:7:27874050:27877351:-1 gene:OGLUM07G26970 transcript:OGLUM07G26970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin alpha isoform 4 [Source:Projected from Arabidopsis thaliana (AT1G09270) TAIR;Acc:AT1G09270] MPRAPPPRPSEDARLAAYKPGVDVARSRRRREDRLLAVRRSNRDAGLFKRRRDEPTPTPIPPARAATPSSQADDVPTTTTATSPPSSAPSSPPSSPPAESVPPTAADSELEGLSEMVEKVWSDDTTSQLEATVQFRRLLSDEKNPTVIKIIRADVLPRFSDFLSRHEHPQLQMEAAWVLTNIAASDYTLLVAECGAVPRLVELLESANANIRHQAIWALGNIAADVPTCREIVLDHGAVTPLLAQFREGMKVPVLRTATWALSNLCFGKLPAEVQVKPILDIISQLIHSVDEKILGDACWALCYICDGVSDGIQHVLDAGACPQLVNLLMHASANILLPVITVLVRISSGDDAQVQVLVENDILNYLAPLLARNYPKSIKKQACLIVSNISTGSKDNIQAVIDADVISPLIFLLKTSEKDIKEEAAWAISNAASGGSNDQIQYLVSRGCLEPLCNVLTYQDADLVYACLEGLQNILQAGAVGKQGQGSTVNPYAQFILECGGLDKLEDLQEVDNDAIYKLVMKLLEGYWDEEVSDDDPNLPTSNDSAETVETASEDAAQPTEPSASPNESE >OGLUM07G26980.1 pep chromosome:ALNU02000000:7:27877657:27878631:1 gene:OGLUM07G26980 transcript:OGLUM07G26980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQFYHIRKMPLLFTKSAKCHWNFVKLDPCHRCRIFHPSPSLQCGTHTSAPSSSSIPSLLPSPSLSGKQQQHVVGEQQQQVAGSNIDQPPTPFTVVYTKHSCSAALCPTPKIKSHRRQEIQVQSLICFDDLQSHGCSLLIVRSLPLLFELAHDDDSHHGDDDHDDDEGGAARKKQLLRRRRRGRRPAGGGHHAGKPAVDGLHHGPALERHRGGGSRHKLRRGGGGDGLATIAGLGIPRRRLWPLPPSSAGCCRCRRRPPAAVVCRRETGKGEERGWRKRVELTCGSHTGVTAMDGKCDGGGMDPILQSSSGTQQISQIVPAIF >OGLUM07G26990.1 pep chromosome:ALNU02000000:7:27879799:27885777:1 gene:OGLUM07G26990 transcript:OGLUM07G26990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARATDPLASRDPPTLPLYLPPPPPPPLPSPSPHHRLLPAPMAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPMRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPVIDASEASLFCNQYVVTRAIITSNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTIFFDIFAHWNWQIPVSLHGQPTNCRRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >OGLUM07G26990.2 pep chromosome:ALNU02000000:7:27879799:27885777:1 gene:OGLUM07G26990 transcript:OGLUM07G26990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASARATDPLASRDPPTLPLYLPPPPPPPLPSPSPHHRLLPAPMAPILLHLHPAFLAQMDSRRTTSLLQFLKDEGGIPSPEADKKREQVIRKLNKIVMDWAKVVAYEQRVPPMRATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFIVLRQILEDRPEVSELQTVESAKVPLMRFRFSGISVDFTYAQLPAIITSNPHLLQKLDSRSWRSLSGVRVNEQIVQLVPNAQKFQILLRCIKLWAKRRGIHCHLLGFFAGIHLAILAAYVCQRYPYGTINGLFTIFFDIFAHWNWQIPVSLHGQPTNCRRPDGSFMPILLPCTPPEFCTSNMTKGTFKKIREELMRGYALTKEPWRHDFEWVWLFAPFPYATKYEEFLRIALCAPTSEELRDWAGWVKSRFRNLILKLESIGVECDPDSTEEVDHTVFEPSIVCHWGLIYKTSTHIDISSLGEDFMKDVINDVYGKVKGTHSKLTMSIVRSSQLPKSLYSHSVYTPYIPQYMLGYQTPTDYSGAAG >OGLUM07G27000.1 pep chromosome:ALNU02000000:7:27891014:27897951:-1 gene:OGLUM07G27000 transcript:OGLUM07G27000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTSTAPHDRKLDPAASPAARWRTRREQESYSSKLLDALRLVRAASGRPSPASSREVRHAADRALAVAARGRASDTLIPVTLELGGKDAFIVCEDVDLPSVVQVAVRAALQSSGQNCAGAERFYVHKDIYSTFVSQVVKIIKSISVGPPLSGRYDMGAICMIEHSEKLQNLVNDAVDKGAEIAGRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDEEVVKLANDSKYGLGCAVFSGNQKRAIKIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKAVVEDRWWPYVKTMIPKPIQYPVSENGFEFQELLVETLYGLSVWDRLRSLVNLLKMISEQNNSPANTRKKSR >OGLUM07G27010.1 pep chromosome:ALNU02000000:7:27904828:27906075:-1 gene:OGLUM07G27010 transcript:OGLUM07G27010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRAAALALLVSLLALGVVAAEARRLDKDGLGGGGGFGGGGGVGHGGGVGIGFGGGKGGGVGVGGGGGFGGGGGVGGGLGHGGGIGGGFGGGKGGGLGGGGLGGGGGAGGGGGVGGGIGHRGGVGGGFGGGKGGGLGGGGGGGLGGGGGAGGGLGGGAGGGAGAGVGGGAGAGGGAGGGGGLGGGAGGGAGGGLGGGAGGGGGLGGGAGGGGGLGGGAGGGAGGGLGGGAGGGHGGGGGLGGGAGGGAGVGGGAGGGGGGGLGGGAGGGAGAGGGLGGGGGAGGGGGLGGGAGGGAGAGGGFGGGKGGGFGGGAGGGGGAGAGGGFGGGKGGGFGGGFGGGKGGGVGGGAGGGFGGGGGAGAGGGFGGGKGGGFGGGVGGGHGAGGGGGFGGGGGAGGGGGFGGGAGGGIGGGQ >OGLUM07G27020.1 pep chromosome:ALNU02000000:7:27906647:27907567:-1 gene:OGLUM07G27020 transcript:OGLUM07G27020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDAHGSWGLCGIYSNGIGSDRVLLYAVLEDANQNKEDSYIYSAI >OGLUM07G27030.1 pep chromosome:ALNU02000000:7:27910303:27912477:-1 gene:OGLUM07G27030 transcript:OGLUM07G27030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSKPLLLVFKFAEGNDNEPPTLMDPFSQHKSNTNNPSAWRHQMLELKGKQCLACLQGQWLLMLDAASSNHCFLVSPLDDMTIISLPPLDTPLEPLRRCAISSSPLSPDCTIVFSTFMDTYLAYTRPGEDDWWQLDTDDDDDDELLLMGDIVSCQGKMYVPTDMSSIAMLDVSSYPPHIERRGIPEPSCIHSMANAMLVESQGEVFLLRHYGYGARDSELLDIDLHRLVHATDDGGDYVWRKVDTIGDRAIFVADNCVVMSDATKAGIRPDCVYLLHQRCRHGVRLYTIRLDDRTTTFTLLPDLTSNDSIYWLLPAPISSIVDDTTQHYSGAIVHSSSKIDQENGDGISVVDIASEQASPCWCGLPTDMVEEIVSKISLIDYFRLRQVCKGWSSIVKPIHYAQRYSTYPMLMSICSTSTGVYKLFDPIVEQEYTMKNNRAGLVQSQDHFQMLLFSSRHDGWVLATRGDKYMYATNPFTGEMFEFPEATFPAGNQFDGICLSAAPTSPDCIAFSVEKDRNPTGRNRSVYVTLWRAGDEQWTMQRIDDHTPFRTAYCNPVFYDGEFYCLGTRGGLAVFNPNNTTWRVLDKPEAPVLVDGNFGDDPMPGDMYCHLLEFMGDLIAVFRPHSDGAISVFRLNRCEMAWTKLERLDGAVLFVDNWNAIMMPAPRDVACNRIYLPKFGGCNDAGDANRSAFYDFEARKYYPSYHGVTDRMNSIWVNPNL >OGLUM07G27040.1 pep chromosome:ALNU02000000:7:27914583:27914777:-1 gene:OGLUM07G27040 transcript:OGLUM07G27040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKREEGRYPLGTCKDCGGKIALNRDHECQKTKTEIAKGAKKAKKAKDKHERTNTKLKKKHN >OGLUM07G27050.1 pep chromosome:ALNU02000000:7:27917890:27920276:-1 gene:OGLUM07G27050 transcript:OGLUM07G27050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWWPLLVLAAAYALCRILLFLIPPTVPSIDVDASDVHVPISLKKNTSWYCLVYYGYLTVSGQMIPPRKGKRAQTDKVQCYEPATMKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSSKQRCQFLRILLKYILEHQDLICEISSRDTGKTMVDASLGEIMTTCEKSPGFWMRVKGKTIAARRSAVKTIIFPAANKRDFDELAPNVQ >OGLUM07G27060.1 pep chromosome:ALNU02000000:7:27921388:27931393:-1 gene:OGLUM07G27060 transcript:OGLUM07G27060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0APJ5] MLRAAAAAAAVFPSRFAAAPAVAAVEEVRSPLLRVLGALRGGRVSTLGRRARFCSNSAGSDSEAAAAEAKAEDAVAAEGEADGKASSAIVPTVLRPEDCLSVIALPLPHRPLFPGFYMPIYVKDQKLLQALVENRKRSIPYAGAFLVKDEEGTDPNIVTSSDSDKSIDDLKGKELLQRLNEVGTLAQITSIQGDQVVLLGHRRLKITEMVQEDPLTVKVDHLKEKPYDKDDDVIKATSFEVISTLREVLKASSLWKDHVQTYTQHMGDFNYPRLADFGAAISGANKFLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIEAKKEKCPAHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQQILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQAEVTDAALLALIESYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPTQEAAIVTASEEPNGGDSANKLKDETMEDPATENAAMTNADTASKEASELDLLKRTVDHDVHPAETPKEAVLTDSALSTDKLCTPEGNKDMEGAKEESADKAVEKVVIDSSNLGDYVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYIETTKVEEGDGKGALVLTGQLGDVMKESAQIAHTVGRAILLDKEPENLFFANSKVHLHVPAGSTPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAVKTIVFPAANKRDFDELAPNVKEGLEVHFVDTYNEIFDIAFQSETQTETS >OGLUM07G27070.1 pep chromosome:ALNU02000000:7:27932323:27938448:1 gene:OGLUM07G27070 transcript:OGLUM07G27070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPAREALALYFTKIYSLSKLVHLAFAQIFHQEVKK >OGLUM07G27070.2 pep chromosome:ALNU02000000:7:27932323:27938448:1 gene:OGLUM07G27070 transcript:OGLUM07G27070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPAREALALYFTKIYSLSKLVHLAFAQIFHQEVKK >OGLUM07G27070.3 pep chromosome:ALNU02000000:7:27932323:27938291:1 gene:OGLUM07G27070 transcript:OGLUM07G27070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIVYMELADPLNGEKPQVLLKLDLRYRRISWCNGSQALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPAREALALYFTKIYSLSKLVHLAFAQIFHQEVKK >OGLUM07G27070.4 pep chromosome:ALNU02000000:7:27932323:27938291:1 gene:OGLUM07G27070 transcript:OGLUM07G27070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHMGITHANSITTEPSPSPRPPLLRLLPQPRRRRHAHAKHIALSTNCKCASAASASASVEEDDVAGGLGYRHPPKQIQEILDVPPNPSYLISPRRDRIMFLQRTAMPPLSELTKPEIVLSGIRIDPGSNNMRSRMSFYTGIIVHDLMDSGTLGPAKEVHGYADGAKINFVTWSPDGQHMAFTVRYEDKVDNSVNLSLWVANAESGEARPLCISEDIRLNAIFELFVWVNDSTVLVCTIPSSRGCPPKKPLVPFGPRIRSNEHKNVVQMRATKEMLKDLHEEELFDYYATSQLILLSLDGTVKPIAPPAIYTALDPSPDEKYLMLTSVHRPYSSTVSYKRFPKKVELWTVDGTFIREVCDLPLAEDIPITSGSVRKGKRLISWRPDMPSTLYWVEAQDGGDANVEVSPRDIALVYEHWYRTRRTRTWVISPDCKDVSPRVLFERSSEDAYSNPGSPMMCRTPAGTFVIAKIKTNYEGTYILLKGKGATPKGSIPFLDLLNINTGVKERIWESSKEKYYESVLALMSYNPKCEIQLNHLKLLVSKESRMEPTQYYIKAWPDKTQVQITNYSHPYPQLALLQKEVIRYQRVDGVKLTATLYLPPGYDPSKDEPLPCLIWSYPGEFKSREAAGQVRRSPNKFARIRSNFPLLWLARGFAILADPTIPIIGERDQEANDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEVRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGNGVPCRLVILPFERHHYVARESVMHVIWETDRWLEMYCVSNSRNIQVMESTVDTTWSPAREALALYFTKIYSLSKLVHLAFAQIFHQEVKK >OGLUM07G27080.1 pep chromosome:ALNU02000000:7:27952340:27953344:1 gene:OGLUM07G27080 transcript:OGLUM07G27080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEQPEEEEVIEKLVEKITGLAAAIGKLPSLSPSPEVNALFTELVMTCIPPSSVDVEQLGAEAQDMRGRLIRLCADAEGHLEAHYSDVLAAHDNPLDHLALFPYFNNYIQLAQLEYALLARHLPAAPPPSRLAFLGSGPLPLSSLVLAARHLPAASFHNYDICADANRRASRLVRADRDLSARMAFHTSDVSHVTTDLAAYDVVFLAALVGMAAEEKARMVEHLGKHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFDVLAVHHPEGEVINSVIIARKPPVAAPALEGGDAHAHGHGAVVSRPCQRCEMEARAHQKMEDMSAMEKLPSS >OGLUM07G27090.1 pep chromosome:ALNU02000000:7:27957300:27958633:1 gene:OGLUM07G27090 transcript:OGLUM07G27090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVHVTLSASLFPFSLSLFLLPSSCRAWGCRRRPRAGGLLGPILTDLGGGFRAAASGASAHAGCFWEGSRAFRLASSVISGADRSIWSGFRGDRRWIFFWGGGGGGGLRRHRNGGGSAASPPPRRGGAVRRARLRTRVHRPLRCFLGGHLRAVTPVVAAVNNGHGMESFEGIHHSSIVAL >OGLUM07G27100.1 pep chromosome:ALNU02000000:7:27967077:27969439:1 gene:OGLUM07G27100 transcript:OGLUM07G27100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPDRRRNWLRGHRKQIIGDYLAEARAALAAAAAAAPLDGEGGEHSAATAALGLVEAVLEMSPRMEAALELRARSLLALRRYRAVADMLRDYIPSCTKPCSADDTSSSSSSSSSCSSASRTDLLSPARDRSDAASAASRFLCCFDISDLKHRVLAGFSKNTSADTQWRYLVLGQACFHLGLMEDAAALLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAISAPASRRASKSGAAGSEAESVSQLLAHVKLLLRRRAAAVAALDADLPAEAVRHFSKVLDARRGVLPHPFATACLVGRAEALRSSGRAADAIADCNRALALDPAFIPALRSRADLLESVGALSDCLRDLEHLKLLYDAALRDGKLPGPTWRPQGGVRFSEIAGAHRALTPRIQQLRGRVAGGEACSVDYYALLGVRRGCTRSELERAHLLLTLKLRPDRCASFAERLELVDEHRDLEAVRDQARMSALSLYRMLQKGYSFIMSVVQDEEAAERQRAKDAAAATAAAAAAAAAAALAREQEETAAVPEKAKISSVSVPSTNVQVQVTQAAAMPTAAMAAAAAMGSPVFQGVFCRDMAVVGTLLSRGGFDRPIPVKCEAMSC >OGLUM07G27110.1 pep chromosome:ALNU02000000:7:27972108:27979308:-1 gene:OGLUM07G27110 transcript:OGLUM07G27110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAHGGQDADNRRLFAGVRFALLGFDPVSESQYRSEMVQRGGVDTGAYGAGCTHLIVCDLLYDNPICVAARKDGTKVVSEQWVDDSLDLGEMADADRVLYRPVRDFSGIPGSQSLRICLTGYQKNWRDDIMKMASLMGAEFSKSFDALKDTHLICYKFEGEKYKVAKRENTAKRANVNLVNHQWLEDCLMAWKILPADDYTKSGWEIEIMAAQAKDSEDDEEEAGRSSSHSKRATRSARTKEIRMATLVDPHSQAPTRDPTISSCSAEITAGGHMSTPERITKAGGSTSRSLNIKSDIRNTPISADSDAYESAHFPLNGKEEAPAAQVHRAEAKDDVKMAVDASPGAHCISNIAGTTVCSDHHVHQSTTAPAMLVDKTETIGGNCLDSSNQNNVNIALWSTPSKETFSEKTLRPSGISGNVGQKDGGSTPDLNTAVDQSNTERELTLCEANLRLTGNAASKKNSQVLSYNRRRCRKSVSPEANLKPTGSPQSFEGVTPRVDFTISPSMKSDHKISDITDAESLRDVEIVKKVNKSSGALAQKRASKLSSINMKPSVSSETGTANSPFSSRESASEAATFSDPSRNSAESVILTAKEKSGPSKSNLLSYRRALKLARPVEGEKLSENSTKSKKSLRENTLALHEVDKGGSSSENGLRSEKGCAANSSADSEVDKRSSSSSLQNRDTEMSDVPQVDKTEVVAPNTDFAIVVSHQNMEVVPKSIQVTATISECETFPQEEPTSKVKNASVKRFGNASNKAATRSVKNKDEIVSFKSDGDKVEVQPEKNHARPNGAECTVFIPEEIPNSKANNAATNNSRDALQMNTALALSKTELAEKNPGSASADEYRKSSCEKVSQTADVEMPDAPIVDSTGAMFSKSGFKEVFPPENAGSCPKRLSTNTNMGGPETCTPSIVPNNRVRKAAAKRKVSAVQQNSFGDVPCKNSSAVVSEFKFVYKRASEGPRNGSKKTMDQNLQSSNEDGARDAGVSFSEDDMRDRSKILQNSQARSSKRQKAADLMDGSTDHDKENLPGNHNISKSKYGNSCTSLNSFIQAAGSGKDRLADRGVVEENDCGMLTVLEHRLFILSGHRLLRKEYKLILRRLKGRVCRDSHQWSFQATHFISPELRRTEKLFAAAAAGRWILKSDYLTACNEAGKFLEEEPFEWHGNGLNNGDTISLDAPRKWRQLRQHTGHGAFYRMQIIIYGECISPSLDTLKRAVRAGDGTILATSPPYTRFLKKPDHVDFAVVSAGTPSADAWVEEFKRQGIPCISADYLVEYICRPGQSLNKHVLFNMHDLADKSHAKLLKGQLDDVLGEATEAMQLNQLVRLVDPTTGKED >OGLUM07G27120.1 pep chromosome:ALNU02000000:7:27980122:27980388:-1 gene:OGLUM07G27120 transcript:OGLUM07G27120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYRPYSGEYQYGNGNAVVPYGGGGERRMKAVCRWVPGAWWLSDPEMKRRRRVAGYKSYAVEGKVKASIRRGLRWIKAKCSHIVRR >OGLUM07G27130.1 pep chromosome:ALNU02000000:7:27981675:27985485:-1 gene:OGLUM07G27130 transcript:OGLUM07G27130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGTGGGRVALDGGGRRRRKLDEEDDEEYVADDDEEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATPPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDRVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >OGLUM07G27130.2 pep chromosome:ALNU02000000:7:27981673:27985326:-1 gene:OGLUM07G27130 transcript:OGLUM07G27130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGGGRVALDGGGRRRRKLDEEDDEEYVADDDEEEDEDEDEEEYQQAAAPSDDGEEGGDDEAEPEDESDADFVGDEEEEEEDLEDEDDLEEVKAPRPKRPPKGKPPPRSRRRRQEDDDDDYEEEEEEDADFDPDVDEDDEEEVDEDEEEFEQDDDDSDDFAPIRARKTSTKNHVAKRKPPPGRKKKKRKPSRVSKAKPKKPTSGRRRRKRWATDDDEEEEDDADFIVEDDQEEEEDDHRPKKKAKAARKTRDVTPEPDVEASAWPAVESDTSEFEFVTSDEEAADKEAPAAEPAKTKGKKGRKRWGSGSESSSDSDYVISEQELKDLEVSMPPDAALQSPATPPRRTFLSRRVGEKGKEPEEAWKQTCGICLSEEQRATIQGVLNCCAHYFCFACIMEWSKVESRCPLCKRRFTTITKSSMADLGLGSRKAVIRVEKRDQVYQPTEEEMRRWLDPYENVVCIECNRGGDDNLMLLCDICDSSAHTYCVGLGRQVPEGNWYCGGCRSGGEGPSAQDRVVHCRESNTNPANSSSGSFGSATPSGVFQRPPPINTQPSLQGFDLNLSPRETPDEDKREESHVSADAVSTPTGRHATLDRRRAFNRRIRILLFRPRVTPNGWQNPIQSDRTIPENEQNPQSTSTPTEVNPSCSRDSSMQNQQSSSSFVQPARGLIERTYGGGSNFQQTEGAKEQLIPIVKRNLKLMCAQSPLGQSDFKNVARRATHTILALSGIAHNEDFVVSTPHPLPSHCNHACDGQEPAFLMRTCCSSCFNSFVGGVVSYIAEMFT >OGLUM07G27140.1 pep chromosome:ALNU02000000:7:27992109:27995656:1 gene:OGLUM07G27140 transcript:OGLUM07G27140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAKERRLPPALPLATLIGRELRAGGSERPSLRYGHAGFAKRGEDYFLVKPDCLRVPGDTSTAFSVFAVFDGHNGVSAAVYSKEHLLEHVMSALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDMDVGEFIVPIPHVKQVKLSNIGGRLIIASDGIWDALPSEAAAKACRGLPAELAAKLVVKQALKKSGLKDDTTCVVVDIIPSDYRLTSPQLSPKRNQSKFKSLLFGRRSHSSIGKLGGKSASFGSVEELFEEGSAMLEERLGRNLSLKATLAPLRCAICQVDQEPFESMMTEKGGSYCSSPCAPWGGPYLCLECRKKKDAMEGKRSSHSTACR >OGLUM07G27150.1 pep chromosome:ALNU02000000:7:27995784:27996869:-1 gene:OGLUM07G27150 transcript:OGLUM07G27150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKRQDRDEYDRCGGVKTAPAPEKRRKHLYVMLDDRDNAYRMHKIDVDALADSEDNDMLLLPEPALLQFGTDRHSGMCFFALGTSIFATRTPDTPALVYDTDTGGLTVGPPLPDKLRAGPDITMAMAAGNKKQIMYALYDYDTNYLNPHPMEAISWEVVPRHPHVHERHLPRVMEWTWKSVPSQPPYGRMDEIVSYAVHPDQRTFFVSVKEAFCSRSDGGNKGTFSLDTKHCEWSWHVDWMLPFERKGYYDAELDAWMGLRLTDGRVCACRVASRSSSAPPEWKLLQEKLFCKDPQERQLMALSGIRPSLVYMQGSGRFCLLECVLREGVDWKHAFGDDAHGCLLRLTIFGLKYDHQG >OGLUM07G27160.1 pep chromosome:ALNU02000000:7:28001677:28010561:1 gene:OGLUM07G27160 transcript:OGLUM07G27160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFILAGFGSPLKAEYKQLINGIGGQVLTSLEYEIATHIVPMGGREGAAIVWELDGKRVYSKEWLDSLLYVRPKPLEASSTGRTRNGSQALYPGERRVRRRINFDSYHDDGASLCSKHHDIFKCPLIQALHKENISLCGKFGAENFFYDDDNNARIGNLMEGNLKYGADLNDKNLDYESFVKMVKKEVFVRTSIPNSLSEWLCLMSSGVKGFEYLLCHHDGLMEPRQSASSFMSLHDIFLEIETSDPAAYGSVLSDLRQYNYWKSKIPNNSFLKSTKEYMDKDGKQIEYKDDVKDLLRFLRNCRHHAAQFKEDEFPSIVDHFYPKLMCDFQKAMFKQWHRLLKAELSAELQTLPADTGVL >OGLUM07G27170.1 pep chromosome:ALNU02000000:7:28010637:28011976:1 gene:OGLUM07G27170 transcript:OGLUM07G27170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVQAVDWTRHSRPAGDEEVEHNSKEEVSMAYFSRLPAGYPCRGQLLTMDHRLEENASGGEVAPELALLGAGQVACAYQDPLAVGGRFHMSTKLRWYMGCASLWAALAMKQRQRHAGCRDLPAELAAKLMQALKRNWAER >OGLUM07G27170.2 pep chromosome:ALNU02000000:7:28010637:28011969:1 gene:OGLUM07G27170 transcript:OGLUM07G27170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVQAVDWTRHSRPAGDEEVEHNSKEEVSMAYFSRLPAGYPCRGQLLTMDHRLEENASGGEVGPLGCWPGGLCLSRSIGCRGAISHVDQVKLSNIGGRLIIDQMVHGMRFPLGRSGYEAAAKACRLPRFTCRIGCKAYASSKKKLG >OGLUM07G27170.3 pep chromosome:ALNU02000000:7:28010637:28013124:1 gene:OGLUM07G27170 transcript:OGLUM07G27170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVQAVDWTRHSRPAGDEEVEHNSKEEVSMAYFSRLPAGYPCRGQLLTMDHRLEENASGGEVGPLGCWPGGLCLSRSIGCRGAISHVDQVKLSNIGGRLIIDQMVHGMRFPLGRSGYEAAAKACRLPRFTCRIGCKAYEGDTVQLESLPLSALWRNYLKDLGYAARKGML >OGLUM07G27180.1 pep chromosome:ALNU02000000:7:28012551:28014578:-1 gene:OGLUM07G27180 transcript:OGLUM07G27180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLIIANNPLARYAVLFAAAVFLLAAAQAQPTTPPPPPEMDCNGILLTYNLDRRDKIRPFVAAPDADAQPYSFRATTTVLNSGTRALRSWTLRLTFHHREILVQLDGAVLTSGADLPYNTTADNATSFSGYPQTDLLTPIATAGDLTKIQATLNLVGTLFAGPPPYVPLPSALSLADPSYTCPPAINVSTSTLSTCCVLTPEASANASAGDLDAGRASSYLPRGAGDLVITYDVLQAHETTYLAQVTLENDALLGRLDGWELSWEWLRGEFISSMRGAYPRQVGATDCVYGAQGAFYKGLDFSKVLNCDRKPAVLDLPPSRRGDEDIGGIDHCCRNGTMLPKSVDAAQSKSAFQMEVYKMPPDLNRTKLYAPANFRVSGASPLNPEYACGQPVRVTPTELPDPSGLASTTLALATWQVVCNMTAAPPSKPPSCCVTFSAFYNESVIPCRTCACGCPASPAAACSTTAPSMLLPPYALLMPFERRGREAVWWAGEKRLGVPRPMPCGDSCGVSINWHVATDYAGGWSARATLFNWEGADVAEWFLAVAMEKQAYDGFEQAFTFNATAMGNGTTMILMKGREGFQYLKRESNMSGVDYPVAGKQQSVLLFTKKRSGGGGGIDVVGGDGFPTRVLFNGHECAMPQRIPSSAHRRRLVLLMTTLIVFMSSILLVLSLL >OGLUM07G27190.1 pep chromosome:ALNU02000000:7:28017110:28018893:1 gene:OGLUM07G27190 transcript:OGLUM07G27190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APL4] MSKGAIIGASTVLVVAVVAAVCVVSFKNGGGGKEDGELSTSVKSVKAFCQPTDYQQTCEEELGKAAGNGASSPTDLAKAMFAVTSEKISKAISESSTLEELKNDKRTSGALQNCKELLEYAVDDLKTSFEKLGGFEMTNFHKAVDDLRTWLSAALTYQGTCLDGFLNTTTDAADKMKSALNSSQELTEDILAVVDQFSATLGSLNIGRRLLLADDGMPVWMSEGGRRQLLEAAGPEAGPVEFKPDVTVAADGSGDVKTIGEAVAKVPPKNKERYTIYVKAGTYKEYVSVGRPATNVNMIGDGIGKTIITGNKNFKMNLTTKDTATMEAIGNGFFMRGITVENTAGPENHQAVALRAQSDMAVFYQCEFDGYQDTLYPHAQRQFFRDCTVSGTIDFIFGNSQASKIVVLQNCLLQPRKPMDNQVNIITAQGRREKRSAGGTVIHNCTVAPHPDLEKFTDKVKTYLARPWKEYSRTIFVQNEIGAVVDPVGWLEWNGNFALDTLYYAEVDNHGPGADMSKRAKWKGVQSLTYQDVQKEFTVEAFIQGQEFIPKFGVPYIPGLLPQTQQGRMH >OGLUM07G27200.1 pep chromosome:ALNU02000000:7:28021593:28030921:1 gene:OGLUM07G27200 transcript:OGLUM07G27200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSGEDLLVTCYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLAANVDIVFPELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKVGFSHSRILRTVVQHACSRYPSLVPCSNAWAALSRKIQAAKQAEAIQKGTSKKKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVCMVVLEKMVLFNRCWDLQEFLTQLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >OGLUM07G27200.2 pep chromosome:ALNU02000000:7:28021593:28030921:1 gene:OGLUM07G27200 transcript:OGLUM07G27200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase family [Source:Projected from Arabidopsis thaliana (AT3G08840) TAIR;Acc:AT3G08840] MLIPLAHLPRPPPLTASAIFRPRAPRLLASLSLATPPPPLLAASAFASLPLRALLSESQREVEMVGESEGRPLRVGLVCGGPSPERGISLNSARSGEDLLVTCYYIDCGMNAYAISPAQLYSNTPSDFDFKLESLAQGFQSLFDFAQHLAANVDIVFPELLEKANVPFVGTPSKNCLLAFDKYNASLELNAQGFLTVPNFLVEKDKLAKSKLEEWFQSINLDKENGKVVVKPTSAGSSIGVVVAYGANDAAEKAEGIISEGIDDKVIIEVFLEGGTEFTAIVVDVGTANNSEPVVLLPTEVELQSSSNIDIQEDTIFNYRRKYLPTRQVAYHTPPRFPSEVIDCIRQGVSILFRCLGLRDFARIDGWFLPTPVTSLPSAENTGKFGNTKYGAVLFTDINLMTGMEQTSFLFQQSSKLDVTPCLLTSGNGYSSFHNQNMNGISRDVWTLPYSLVLRHTIEEVNAACIEAIDPERMELTSRLRDQVMNELGQSLSKYDWFAGFDIADKQPIKYSLQQWINHVKEAQAVCMVVLEKMVLFNRCWDLQEFLTQLASYGVHTIPKDLRATEEILKSSPDDIWNDLKAKLQTETDLEVYANALERKLQRMPANCLSRAHGVIEMPVSPPESLIFEPFIETDEIIISTKSVDDSTRHLVWKGENKWLEVTVGVVGKRGEMLSLNPSITVKESGDILSLEEKFQGGTGINLTPPPSTIMSEEALQKCKSCIETLANTLGLEGFSRIDAFVNVQNGEILLIEVNTVPGMTPSTVLIHQALTEKPPIYPHKFFRTLLDLAFARAN >OGLUM07G27210.1 pep chromosome:ALNU02000000:7:28031274:28031691:-1 gene:OGLUM07G27210 transcript:OGLUM07G27210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCGVGGMTACAGVKHEWGLTWRFEGGQGPAIAVDYDQDAGKSLAVLLASSTTTAPVGVISLLGGVVLVFFHIPTNLQTSGGGVTRRVLLRGVAMEKFQCINDC >OGLUM07G27220.1 pep chromosome:ALNU02000000:7:28048315:28049358:-1 gene:OGLUM07G27220 transcript:OGLUM07G27220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVDLMPAADDDNKKQSSTGLLHHHQLPAAADNAILHNTRHPFISTTLANSFFNRSISARVIHSSICRRLEGKVAIVTGGSRGIGEAIVRAFVHHGALVVVADIDDAGGHALAAALGPHACTYVHCDVAEEADVERAVATTLEQHGRLDVLCNNAGVLGRQTRGAKSIASLDAAEFARVLRVNALGAALGMKHAARAMVPRRSGSIVSVASVAGVLGGLGPHAYTASKHALVGLTKNAACELGEHGIRVNCISPFGVATPMLVNAWRQGQGGDHADEDQAAASEEEEVEKMEEMVRRLATLKGPTLRAGDIAEAAVFLASDESRYVSGHNLVVDGGVTTSRNVIGL >OGLUM07G27230.1 pep chromosome:ALNU02000000:7:28061392:28065528:1 gene:OGLUM07G27230 transcript:OGLUM07G27230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRGNDDDGGVVVVDGFVAILRQLGDLAQLAAEVFQGMHDQVMALSTRRRQLALRLNHLDHAAPPAVAAPQDSSSSFFCHKDYYLFVASNIDRVHWRANLILKQGLVAGGNNSLPTIIFDRIHRCRGPPNLSLLDKYDADGEGACLKRYTNPSFFTSHSACSTKLIHQRIHMAKQPPKLLLETKPTFQCSDSDNSRPQRASQCSDSMPEMDASHGFLSMFRQLKYRQTNGSPMPQMHNFQNETSSSELNISSNCSPESSIKVTQDIGASTTGTDSVSEERNLELERTSSFEAWLSPNAHNIQHDQIAEEMPHYSCNNNNGFVNHVTPNDAIGATNNGNCKDDSNTYKKAVRSKYRGGMEFIASRVSSFPRKLFRKKQDPHPLSVADSFRNMTSKILELKCNNIRDNDSNGMGSINREELLASENGEHPSPDAPFRHVSTERRYMHATRASSEDVPALAEVASDEKSKQEHSDDASEASYDKLLDEELHQSVVRQERNGSPVPQVCSTTRFSQLEREGPGKDMVPPLPPMQWLSSIKVHSGSRVASSPRLKTLRPQSPAVPNHAAGRSYSHPVRKQLETDNVQARGHFGILASHAEIAQTSASDIKSAADISIRNGICRYGFPGKDSEEINHQEKDIIQPSEGEILKTTEEVCEPTVQSDESPPEQHSEIQPQREEIHQTGNGDSDCNNKNNLRATTEEPIYSNGPQIDVHNSLDHPTDRESNTNVHVESVFFSAVEQLTKMNPPPVPRPKYSILQVGVQDRSTVRTAPGLIYPSRRLSGEIRKLPEQINAKVSCDLKPALERGSNVTVDHRNTKVATILQRVDHIRQAHAENYDIYSEVSWSDSD >OGLUM07G27240.1 pep chromosome:ALNU02000000:7:28066352:28070293:-1 gene:OGLUM07G27240 transcript:OGLUM07G27240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGMGKQGGAPGDRKPGGDGDKKDRKFEPPAAPSRVGRKQRKQKGPEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPTEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OGLUM07G27250.1 pep chromosome:ALNU02000000:7:28087004:28087706:-1 gene:OGLUM07G27250 transcript:OGLUM07G27250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0APM1] MAMVASPDDIVKSPLPPPPPPPPPPLPPAHKDKAAYNPYSGRPAHGGDDGLDGIVLVLRAAAALLALVAMALVASCRHGDWMEFTRYQEYRYLLGVAVVASLYSALQAARTFRRMRAGTAYAATFLDFAGDQAVGYLLITASSAALPITIRMRSAVVNTFTDVVAASISFAFLAFAALAFSALIAGFRLSSSSSAYNY >OGLUM07G27260.1 pep chromosome:ALNU02000000:7:28093727:28103235:1 gene:OGLUM07G27260 transcript:OGLUM07G27260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMDPPARSRRCTLLTRALLLAVAALALRLIYAAFLAGMALYPPLPAAAVLGSKTYLHSAVATPDAWRTRDWRKAVDYHATLFAPHLADGILSPTSRAVCLGAVQEALAMRELGVSTAVAVARKRSPPLAVAGNDRRLPFQDSSVDFVFAARALDSSKRPADLAAESARILKPDGHLVVLTTSAADAFSLRALQALLPSLRLLRSRQIKGPDDSTLRELVFQKIQDSTDDPVNKCTIGDHKLQLLTHAEPLIQEEPRKPWITLKRNIKNIKYLPTLADISFKRNYVYVDVGARSYGSSIGSWFRKHYPKQNHTFQVFAIEADPAFHSEYAAKKAVTLLPYAAWVKNETLNFEINADPGKEDEAKANGRGMGRIRPMAGKMSGEVRSVPAFDFAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRLFDTGAICLIDELFLECHYNRWQKCCPGERSPKYQNTYDECLELFSSLRESGVLIELKHLRWQKGSHASTGVFSFQISKTTSVSETAAMLVVQGTSVTAFEVFYDGSWHGVNCIRIRNGNLFVKFIYSGSTVEHNVDGDCLRLRSRRATCSDCSNVLKPGVDVCVQSSHTPEASSQGGTNASVLLRHDARLITIKKNHQEDKCLCLFVVILYKNQCPGNAEKVITDRRAEVVTINDIFLLQKLQPEVHEGSMKWSFSKDRLSLNKGRLISARFSSEITHLIVLSILRGMEFNIKLVEGQIVYQIIKGDQTQWNLDSMAIPPGFGNTMEIISFQLRDEALRPTITNIPITHVKKNNITEDMRFTVKSEMDSELDRALDVEILYEHVDLRRSKRLKTQPDRFTSYDTPRFLSGYKKKEASSSPTKHVRGAVHCDSPVDDSKKEVESCCVEIPGNVTQKQTGVHSPMVDEKSNSPEGQHKNTTKRTTCSLVKEKASSPEGQHEKTTKRTTCALPVKEKASSPEGQHKNTMKRTTCSLPVKEEPSSVEIEEKSSKEQSAPEVHIPRTPAQNKEKHNRPPFSCKPKLFTSSGTLGVNCEPAFCQKVGGKRKRHMCEREYKQMIDQCIGNIESEMERDSMFNFDANMMNYVQHSYREEDFTWPPSADNQEVEEDELEELWKEMDYSLTTLALLEQKQVMAQSRINMLVDNFDGLRLDCLTLTDDYRCYYQKKEKFAESGSVNESTDYFGKVGGIPCHHECILDEELGLACRLCNVVCTEAKDIFPEMFNGNDYKDRPGCSNICLDDDILDPSLLANLAPELSELKNSGSVWSAISDLDPKLLPHQRKALDFLWKNLAGSIQVEGMDNSNVSTGGCVIAHTPGSGKTLLLISFLVSYMKAHPRSRPLVLTPKAAIHTWKREFEKWGISLPLHVFHHANRSGKPLGAMDSKLRSLLNNFHRPTWTNMRLMDSLDKLFKWHAHPSVLLMTYSSFLGMTKQDSKVRNRYREFITEVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTAFQNNFEEYFNTLCLARPRFIGDIMSELVPERKRETVGRRAKHQEAVARRAFVEKVGQKIESDNKHIRSDGISLLNKLTRGFIDSFEGAKLINLPGIHVYTVFMKPTDIQEEMLAKVTMPKLGSSRFPLEVELLITIGSIHPWLIKTTKAVSTFFSPAEVKKVERYKRDFAAGCKAKFVIDLLHKSSFRGERVLIFCHNVSPITFLVKLIEMVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDSAGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTRQAIARAFRRGQERTVYVYLLVASGTWEEEKYNSNRRKAWMSKMVFLGRYVDDSSQNRVTDIDDEVLKELADEDHTGTFHMIVKQD >OGLUM07G27270.1 pep chromosome:ALNU02000000:7:28104160:28106455:-1 gene:OGLUM07G27270 transcript:OGLUM07G27270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLECRMYEAPFPEVETAVMIQVKHLAELGAYVSLLEYNNIEGMILYSELSRRRIRSIPSLIKVGRQEPAVVLRVDHDKGYIDLSKRRVSHHDRRTCEDRYSKSKFVHSIMRHLAETLHLDLEPLYHRIAWPLYRTYGHAFDAFKLIVADPDAAILDSLTYDLTETGPDGQEVTKTLPAVTPEIKDALIKNIRRRMTPQPHKIRADIDMKCFQYDGVLHIQEAMRKAEAAGNKDCPVKIKLVAAPLYVLTTETLDKHQGISVLNNAIKACGETIEKHKGKLVVKEAPRAVSEREDRLFMDDIEKLKIANEEVDGDEDSEEDTGMGDVDLTKTGVGSQ >OGLUM07G27280.1 pep chromosome:ALNU02000000:7:28106389:28112283:1 gene:OGLUM07G27280 transcript:OGLUM07G27280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTNKRAAGTDDDRPTDPKRPKVAQNGSTNGVVVPEIDEDLHSRQLAVYGRETMRRLFASHVLVSGLNGLGAEIAKNLALAGVKSVTLHDVKNVEMWDLSANFFLSENDIGKNRAAACVSKLQELNNAVLISALTEELTTDHLSKFQAVVFTDIGLDKAYEFDDYCHSHCPPISFIKAEVCGLFGTVFCDFGPEFTVLDVDGEDPHTGIIASISNDNPVLVSCVDDERLEFQDGDFVVFSEVHGMAELNDGKPRKVKNARPFSFCIEEDTTKYDMYIKGGIVTQIKEPKILRFKSLRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDKFKKEYGRYPAPGCEQDAQSFLKCAADINEALTDHKLDTIDEKLFRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTYPLDSEDIKPSNSRYDAQISVFGTKLQKKLEEANTFVVGSGALGCEFLKNLALMGVSCSPKGKLTITDDDVIEKSNLSRQFLFRDWNIRQAKSTVAAAAASAINPNLCIDALQNRACPDTENVFHDTFWEGLDVVINALDNVNARMYMDMRCLYFQKALLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPGEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCSLFEDCIRWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLQFSVSDPSHIHFIMSASILRAESFGIAIPDWAKNTSKLADAVSEVAVPQFEPKKGVSIVTDEKATSLSSASVDDASVIDDLLAKLEECAKRLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEIDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPPKVMKHQDMSWTVWDRWSIKGNLTVAELLQWFSDKGLTAYSISCGTSLLYNNMFARHKERLNKKVVDVAREVAKVDVPEYRKHLDLVAACEDDDGNDIDIPLVSVYFR >OGLUM07G27290.1 pep chromosome:ALNU02000000:7:28112392:28115307:1 gene:OGLUM07G27290 transcript:OGLUM07G27290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLRLLLLLVLSSVPLSCQLAHSTAADTQNWGQTSIVHLRNSHTRKLLGLLDDISGRTGSLHALLLEESPKQAPPHHHNRHGGHHRAAHTPAPSPAPSPSPFTAPPKSASPAAITIPISPSTPQPKAESNPAVEDAPAQPRHSWRNYGLVTAGSAVFLVMTIASVIYCRAKKVGTVRPWATGLSGQLQRAFVTGVPSLKRSELEAACEDFSNIIGSTSSCMLYKGTLSSGVEIAVLTSSTESGKEWSKECESQYRKKITNLSKVSHKNFMNLLGYCEEENPFTRAMVFEYAPNGTLFEYLHVREAENLDWMARVRISMGIAYCLEHMHQLNPPVVPRNFDSTTIYLTDDFAAKVSDLDFWNDSKGSFNSATSDETVMVEIDSMVHQYGIILLEILTGRVPYSESDGPLEHWASGYFEGKMTLAELIDPSLGSFPEDAARALCDVARWCIEPEPSKRPLMSQVAGRMKEITSLGPEGATPKVSPLWWAELEIMSGQAT >OGLUM07G27300.1 pep chromosome:ALNU02000000:7:28117973:28119736:1 gene:OGLUM07G27300 transcript:OGLUM07G27300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGGGGGSPKEAAVVVPSSGDATLGGHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRVVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIGGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQPLTCYQAVVNNLDDAHDQIDRAISTAIRESKPVYISVSCNLPAVPHPTFSRDPVPYFLSPRLSNQASLHAALDATLAFLDKAVKPVLVAGPKLRVAKAGGAFVDLADASGYAVAAMPSAKGLVPETLPRFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSCLLKKEKAVVVQPDRVTIGNGPAFGCVMMRDFLSELAKRVRKNTTAFDNYKRIFVPEGQLPECEAGEALRVNVLFKHIQRMIGGAEIGAVMAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQAVQKRVVACIGDGSFQVTAQDVSTMLRCGQRSIIFLINNGGYTIEVEIHDGPYNVIKNWDYVGLVNAIHNGEGRCWATRVRCEEELEAAIATATGDKADSLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >OGLUM07G27310.1 pep chromosome:ALNU02000000:7:28123769:28132928:1 gene:OGLUM07G27310 transcript:OGLUM07G27310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLGDGARQVFRPEISRSHLLASLLLLLLLLQSPRPINPSFALHPPPPPPPPSLRSPCLSFPSFAVAASCLVLVSPGAAMALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLEIISARGLACREDVRLLATVYFKNSISRYWRHRRDSYGISNEEKDHLRKNLLVNIREENSQIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYANSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEYVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVTRSFDHLEVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDATFNAAIGRIHPAAFAQLQQALNIA >OGLUM07G27310.2 pep chromosome:ALNU02000000:7:28123769:28132743:1 gene:OGLUM07G27310 transcript:OGLUM07G27310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLGDGARQVFRPEISRSHLLASLLLLLLLLQSPRPINPSFALHPPPPPPPPSLRSPCLSFPSFAVAASCLVLVSPGAAMALSAGDVPTMYTVLVNSLSADEAVRRPAETALAQCEARPGFCSCLLEIISARGLACREDVRLLATVYFKNSISRYWRHRRDSYGISNEEKDHLRKNLLVNIREENSQIALQLAVLISKIARLDYPKEWPDLFSLLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQRNYAEITSHLFEYTWNLWKSDVQTILQNLSMLSQRSDIDSILEQSNDLMLICDRWLLCLKIIRQLIFSGYANSSYKDKQAKLWDFAKRACTKLMKVLVTLQGRHPYSFVHQAVLPAIVDFCLNMITNPEQGGASFEEFLIQSMVFVKSVLECKEYKPSPTGRVINESSQPLSLEQRKKNFGAVASDMLKIVLPGDRVMLLCNILIRRYFIYTAKDLEEWSENPESFHHEQNLVQWTEKQRPCAEALFIVIFENYREQLAPVVVSILREAMALSPPLETDVTAGMLLKDAAYTAAGHVYYELSNYLSFNEWFHGSLSIEISNGHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMCFKLTEYVQEFDSKVQVLNFISVLLEHAGDKVIPFASQLSHFFQKIWDESAGESLLQIQLLAALRTFISSVGYQSPLSYHMLIPILQSGINVDSPDALNLLEDSVLLWETTLLNAPSIVSQLLDLFPYLVGIVTRSFDHLEVTINIIEDYIIFGGSEFLKRHGASLANILDTIVGNVNDKGLLTALPIIDLLIQLFPQEAPPLISSALQKLIFICLNQDDEHNPSRTTVRASAGAILARLLVMNTNFTGQLLSEPALLTSIQQTGISVNNNLLLSLVDMWIDKVDDANVIQQKEYAMALSVILTLHVPQVIDKLDDILSVCTTVIMGGREVKTEDDTSGDITSSSWLGNDNSGYSNTSKELRKRQVKDSDPIKQASLEDMLRDNLKACAALHGDATFNAAIGRIHPAAFAQLQQALNIA >OGLUM07G27320.1 pep chromosome:ALNU02000000:7:28133236:28146341:1 gene:OGLUM07G27320 transcript:OGLUM07G27320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDSKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTCPSKLKAAHANRKRKGKDSSVHQSVSKAQIDPVMRLLYSIWDIEEVVD >OGLUM07G27320.2 pep chromosome:ALNU02000000:7:28133236:28144579:1 gene:OGLUM07G27320 transcript:OGLUM07G27320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTYALHLAVATLLGASFAAASAYYMHRKTLDQLLRFARSLDRDHRRRNRHLLDADDDDDDDPPRDHDRRTTLPIPPGLPPLHTGREGKPIISPASTKRVGPLVRPTTPRSPVPTVSAFETIEDSDDDDENIAPDAKNNAVSLLTNGTIGSDPLPGKASQNGDTKPVPSTNMIRSQSATGSLHGAQHNPVAADILRKEPEHETFSRINITAVETPSPDEIEAYKVLQKCLELREKYMFREEVAPWEKEIITDPSTPKPNPNPFYYEQQTKTEHHFEMVDGVIHVYPNKDSKERIYPVADATTFFTDMHYILRVLAAGDIRTVCYKRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNVYREMGTINSFQNLLDNIFLPLFEVTVDPASHPQLHVFLQQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLKKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYKRGHDGNDIHQTNVPHIRIEFRHTIWKEEMELIHLRNVDIPEEIDR >OGLUM07G27330.1 pep chromosome:ALNU02000000:7:28140375:28144289:-1 gene:OGLUM07G27330 transcript:OGLUM07G27330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWALPLTGQGQMQMQQRRKPPPAAAPVAAKQPSPRRTPGPLSFAGALLSLLLVATFLYINDHGNMMPPHASPDPDLRLLQEAAHQKVNSILLSRHAPAPPPRTNTNTSSSDQHLRLINIPMSSDLDLELGGNSTSSSGVEIQFEQQQQQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLKSRGAPFAGSGGWSSRYALVDRAIAYREVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >OGLUM07G27330.2 pep chromosome:ALNU02000000:7:28140375:28144305:-1 gene:OGLUM07G27330 transcript:OGLUM07G27330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKNGMGAAADRGRLLALAHHDKLNPTKPSEAKRRFKPSIHPSIQQLPFFFFFFFLEAAFLGLFLLSPLPSLFPSFLQTEFHQRWLVGDLNPPPPPCHLLPIQGQGQMQMQQRRKPPPAAAPVAAKQPSPRRTPGPLSFAGALLSLLLVATFLYINDHGNMMPPHASPDPDLRLLQEAAHQKVNSILLSRHAPAPPPRTNTNTSSSDQHLRLINIPMSSDLDLELGGNSTSSSGVEIQFEQQQQQEEKNLRGCELYKGRWVYDAAGREAPLYRESECGFLTEQVTCMRNGRRDDSYQRWRWQPEGCDLPSFDARALLERLRNKRMMFVGDSLNRNQWESMVCLVQSAIPYGQKTLTKFVNNGSLNVFRAHEYNATVEFYWAPFLVQSNSDDPQVHSVRDRVIAWRSIAKHAANWKGVHYLVFNTYIWWLNNFQIKVLKSRGAPFAGSGGWSSRYALVDRAIAYREVLKTWAKWVDRRIDPNKTHVFFMAMSPNHFMPEAWGGSAGAVKCAMETQPIVNRTSGGLDIGTDWRLHGVARGVLRSMRRVGVRFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPRTYADCIHWCLPGLPDTWNHFLYAHIVAHAA >OGLUM07G27340.1 pep chromosome:ALNU02000000:7:28147371:28150077:-1 gene:OGLUM07G27340 transcript:OGLUM07G27340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHMDWQPVTTLGPNFSPELHSLLLSDHRASLLSLLRRQDDELRTKIKNHLLALGWTIASKPNPPGLAPRLRYVSPAGTKSYYSLRRLIQTIHLHHHPTQSQSQSQSDSCGCGDTPLLLEESDDDQYQEQQEDDAIAGYVAFMEEQNARRDRGQGNDEEQRSMAKELRIKAKDQLRSSGWTFSMKVKYNGREELRYTEPRGRSHISLITACKAYLLHHTPSTTMASCSNNNNKRPAPPAACKTATSSKKNKKKKASLQQARVLRPQPRNEEGNALTPARARTLLSLLIDKKILAPRDQLIYTTKRGLITGDGMVKCMCGGCINNNNKRRVAEYTVAEFAVHGDGDVASSSSRQPWARMFVGDGRSLSQCLVQLMMADDEAGSGRKKKKKKYLPYVWRGARVKRKWEEDDDYVCSVCHDCGELLMCDRCPSMFHHACVGLESTPQGDWFCPACTCAICGSSDLDDPPATTTTQGFSSDRMVISCEQCRREYHVGCMRERDNGLWYPEADGEGPWLCSEACSKIYLRLEELAVVQAPCRSVASGLSLVVLRRGVARDGEEEEHAKLCMALDVLHECFVTLIEPRTQTDLTADIVFNTESELGRLDFRGFYVVGLEKAGELIAVATLRVYGEEVAEVPLVGTRFARRRQGMCRLLMDEIQKLLGEMGVERLVLPAVPEMVATWTGPSFGFREMGQADRQDVAHHAILCFQGTIMCHKQLPPQPQPQPQLGHTTTTPAGRIPSPIPTPIPL >OGLUM07G27350.1 pep chromosome:ALNU02000000:7:28150338:28173792:1 gene:OGLUM07G27350 transcript:OGLUM07G27350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MAVAAARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPVVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKLSRKEDLHFTDAYFQFYFLWIQQVPLLKCKSQWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSIHHLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >OGLUM07G27350.2 pep chromosome:ALNU02000000:7:28150338:28177190:1 gene:OGLUM07G27350 transcript:OGLUM07G27350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MAVAAARDLPPTFHPNPSPLPSSMEPRLRQLRRVPLLDFVARIADLHADQASPVRKLVAEMIGEVGSKHMAYLPNVMPCLLHLLNDDTPVVARQAIKTGTTLFAKVLRQLVIQGLFSSGGIDDSLKLSWEALLKLKSAVSHMAFQPMSNEGARLLAIKFVEKTVLLYTPDPDTPPDPPNEVTEDMGFNVAWLRGGHPLLNVGDLAMEASQNLGLLLEQLKPPKLSRKEDLHFTDAYFQFYFLWIQQVPLLKCKSQWRARLLEAQNIINQADSIEHSSNRVESLPLETTSTDNSNKRNLIDDIDNAPEDGDRSNKRIRQSHHDQERTENVKNNVELTSADTPSSPSNSASTGNSEAVYQLVSMFAALAAQGDRAAGSLQILSSSIAADLLAEVVMVNMQHLPVSHPEVDQQQSPSAGQPSGAPSSSLLSACFPLLESLLKRINQNDREVDEAPQTIDSAVVPSAAGETAAIPAIPGPTSRNLPMEENSNSSSIPSDMETIEAKEPTADAARLSIEIQESSEASHASTELQGTQEHGGSFISSLPADNSSAGLSLAQSSETRSPSSSMVEASQTQFSYSSTLTSQHVLPKLVVTNIDLSDEAKDLLQKEAFLRILDCDKQDASGGSIARLPLLAHLGVEFPLELDPWELLQKHVLSDYVNNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLTVAENLRDMFPASDKSLGKLLCEIPYLPEGVLKLLEGLCSPGSNEKQDKDLQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSIHHLDEVRMKAIRLVANKLFPMASISKRIEDFANEKLNSVLEVVPADESAASEMSTPEAPKDGGSENLSSSVADSQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPSLLGIISDPPADSRDLLMQDIEVLFAVLAHLPKDEVLPVFPSIVNLPLDKFQVALSRILQGSPQNGPSLDPSEILIAIHVIDPEKEGIPLKKVIDACAACFEQRTIFTQQVLAKALNQLVDFVMDIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALNKNPVLKAPLVEHANQPNVRSTLPRSTLVVLGLAEDQQQPAPQAQSSQNQAAETSSSAADTTTEVTQESSAVS >OGLUM07G27350.3 pep chromosome:ALNU02000000:7:28149176:28164557:1 gene:OGLUM07G27350 transcript:OGLUM07G27350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G05570) TAIR;Acc:AT5G05570] MSWSLGARIFLSISNDSSVLARAGVSAFPSSFLGCGRRTRACCRLAFFFLQRVALVSCCCCCCMKPLSSTACGEEGGDEGDVGAATRLCVAQLLAAVVLDLHGECPSRRPELILSLDPKLLGHGPLLLIISLPAVAPGILLLHEGNVPRDGIIFLLFLVLVVIRFLKKKRGISATARIRLRLGLGLGRMVVEVDGLDEAAEGVVGLGSGGGDVAEPGSEARGVGLGGDGPSEREEVVLDLGPELVVLAAEEGEQGRAVVGEEQAMQLRREIGAEGGHRLPIHMLDHDSSSCCSAAAEEEEERERFVRGRRVCWVLKLESVTNQSSRKPKIDFIEAEGAGRMFFGLSFYWADHGPFHPPLLFSVPTQEREERELGEEAVSLLLACQSSPSPSPASYSISALLSDEMKRLLHKALHQGEGGTHVDVAQMDAQIALHYGIPYTASLLAFDPVQRLLALASLDGRIKIFGGDNIEGLLISPNSLPYKFLQFIQNQGFLIAISNENEIQVWNLEFRQLFYSSKWDINITAFAVVEGTFLMYLGDENGLLSVLKYGVDDGKLQKMPYNVPIHSLAEAACVSLEDPQSIVGILPQPDTFGTRVLIAYEKGLLVLWDVSEDRAISVRGYGDLHMKNQITGAQRDAGEDEDNNISAEEEREICSLCWASQGGSTVAVGYITGDILLWDMTARSSKQDNRSDEPSNVVKLQLASGSRRLPVIVLHWSSGSADSNKGGHLFVYGGDDMGSEEVLTVLSLESTTGLESTRCMSRMDLRLDGSFADMILISDSGFPYKSRTSAVFILTNPGQLNFYDGGALFSVPKSEEGKAQIEAQKFPVTVPTTDPNITVTNLYSLNGRESQSIPLKKFVVKQNAAPFMQRNMKWPLTGGVPSEMSMNENYTVERIYIAGYQDSSVRIWDATFPVLTPMFVLDGKVVGVNMDGENSAVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSESKQEVHVVQHGRGFHCHVAFLASNSPVRSLRFTASGEVLAVGYQNGQLASFDANQLSIMFTVDCASGTNSPVVSLSNYNVVTSAAKANEQQKESLQCAKSPANVLLSLTKDGHFTVHDSMNDGTSEEEQNQLSEDKFPSQGHIAKEESVLDKKQTHTVDKSQKNTRQPSHSGGSDSFLLVCCEDLVLLFSLPSLIQGSNKPLHRIKLAKHCCWSAVLTNIDGKACGFILVYQTGAIELRSLPELAILAESSLMSLSRWSYKAGMEKSMSSANGQIALVNGSELAIISLIASENAFRLPESMPCLHDKVLAAAAEAAINASMDQKRSQTPAGGILGGIIKGLKGKEENAKQKGSLSAQTMSEQLESIFLKESLVEPSIPDPDDPIEELSIDDIDIDDEIPLAPPPASSTSHVNKKTTAEEERAKLFEGSSNVEKPRMRTHQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQRTAELESGAENFASLAQELAKSMENKKWWKL >OGLUM07G27360.1 pep chromosome:ALNU02000000:7:28174200:28176778:-1 gene:OGLUM07G27360 transcript:OGLUM07G27360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0APN7] MGTYKCCLIFKRRFRWNDAPPPDDVRALFANHSAGGGPHMAADGLRAYLQATGQDGDVDMERLVEQIRQLQGRGGRIPRVGRALPLLTVDDFHRFLFSHELNPPIRHGQGQVHHDMAAPLSHYFIYTGHNSYLTGNQLSSDCSDLPIIRALQRGVRVIELDMWPNSSKDDISILHGRTLTTPVSLLKCLLSIKQHAFEASPYPVIITLEDHLTPDLQDKAAKMVLEVFGDILYYPDKDHLKEFPSPQDLKGRVLLSTKPPKEYLQAKDGNAATIKEDAKAAATDDAAWGKEVPDIHSQIHSATKHDQREDDDDTDEDEDDEEEEQKMQQHLAPQYKHLITIKAGKPKGTLLDALQSDPEKVRRLSLSEQQLAKLADHHGTEIVRFTQRNLLRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTDPEVFDPKKSLSPKKTLKVKVYMGDGWRMDFTQTHFDQYSPPDFYARVGIAGVPADSVMKRTRAIEDNWVPVWEEDFTFKLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELIPGIRAVALHDRKGIKLNNVKLLMRFEFE >OGLUM07G27380.1 pep chromosome:ALNU02000000:7:28194693:28198276:-1 gene:OGLUM07G27380 transcript:OGLUM07G27380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0APN8] MASAGGCKKKTGNSRSRSPRSPVVLRRAMLHSSLCFLVGLLAGLAAPSDWPAAAGAAVFLRTLRASNVIFSRSSNRPQQPQLVVVVTTTEQSDDPERRAAGLTRTAHALRLVSPPLLWLVVEEAPAEKHAAPPTARLLRRTGVVHRHLLMKQGDDDFSMQISMRREQQRNVALRHIEDHRIAGVVLFGGLADIYDLRLLHHLRDIRTFGAWPVATVSAYERKVMVQGPLCINTSSSSVITRGWFDMDMDMAAGGERRAAADRPPPETLMEVGGFAFSSWMLWDPHRWDRFPLSDPDASQVSHCPVPGNRSYTYQWHNCKLHKDVTLSKNGGGGSQRHHSRALLFVVHLGT >OGLUM07G27390.1 pep chromosome:ALNU02000000:7:28213243:28214946:1 gene:OGLUM07G27390 transcript:OGLUM07G27390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPFDLNSAADPQTLAPPKRGRGRPRKNPPPPPPPATDPNPHPPSGAGAGAGAGAGAGAGACPFAPGDLVWGKKLSHPAWPGEVISAAPTGAQLLVSFFGDKALAWCDAAQLRPYEPYFPVAELYDGEADDFDAALDASLLEFERRVELALTAPGRIARPFLPRDFIALLHDLAAHRMGFSNRVHAAVAKAHLRAFDKFRGLPDPPEYTLHLGLPNVSAAAATPNNCNSYPPSRRRGRKRKEVEEILDDSDEDWDPRKKGATDSDSEVDFDRKRVSKGGRGSGAPRGRPRRRPRKNNAGRPAHLKDEDEVIQETVEYQYPPAADMFLQLTSVAADPFNFKGYDSVPVILSFFSKYKDSEVPATYDDKELLQTLGGKKGRKNTARSLYPAAKEEGDLEVADGHRGRRKSAGSIYSARKAEDSYWCDIIISDFDDGDTSSDYEGRKMKRLSQNRSFNKKMKQEVAPQDEASADSPAVKQADGPAALILHFSNAEAIPSVDDINSIFRMHGPIMEGATEINKKSKIARVVFSKSADAEQAYSSSGKYNAFGPALLRYDLKYLPMAPQVP >OGLUM07G27400.1 pep chromosome:ALNU02000000:7:28217183:28219512:-1 gene:OGLUM07G27400 transcript:OGLUM07G27400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APP0] MVSPSLRIPAAARVFDPAINTRCPPPHAVVMRMRSRSRRSIAASASPPGDASIRDPISLPSKEPSASASASASFSLLFGHSPRSPPGPLTSADLMEASGDGLKVAYQGCPGAYSEAAAKKAYPSCHTVPCEYFETAFQAVENWVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVRHCLLANRGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAQLYGLDILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKKPLRIADDNCSAPLKHFDYLFYVDLEASMADPNAQNALANLKEFATFLRVLGSYPTDVSEA >OGLUM07G27410.1 pep chromosome:ALNU02000000:7:28220812:28230263:-1 gene:OGLUM07G27410 transcript:OGLUM07G27410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVERKTRIQASISVDTTNGRWPRGQVPGGIWRVHTGGSEITATPLECGAQDVRSDGGGSATRNFFWSWVKKKQGSMGSKSYPTVSDEYLAAVGKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVSSRTGGPFGTMKNPGEQSHAANAGLDIAVRLLDPIKDQLPILSYADFYQLAGVVAVEVTGGPEVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSAQMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTSNPLIFDNSYFTELVSGEKEGLLQLPSDKALMADPAFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEE >OGLUM07G27410.2 pep chromosome:ALNU02000000:7:28222868:28230263:-1 gene:OGLUM07G27410 transcript:OGLUM07G27410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQIGHHQWEVATWAGPGWNLARSHGRFRNYGNATGVRGAGCEIRRRGLRY >OGLUM07G27410.3 pep chromosome:ALNU02000000:7:28226595:28230263:-1 gene:OGLUM07G27410 transcript:OGLUM07G27410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELCRIRTFQKSLLRPLLLLQHAPCRTLQTLGRSPSPSVFRPPRRRPPLLLLLLRSSFASVSPGPAPGSGTGECPPPPPAPLPPDELASDDDAYYHEHILEATQEDQSRLVPVKAYFPCTSINLKSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKAGIIDESHCHYMVVFQYGSIVLFNVSDHEADGYLKIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDFIILRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >OGLUM07G27420.1 pep chromosome:ALNU02000000:7:28249122:28261658:1 gene:OGLUM07G27420 transcript:OGLUM07G27420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSSVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSGSNDDDDDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDALQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKDRVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGD >OGLUM07G27420.2 pep chromosome:ALNU02000000:7:28249122:28261945:1 gene:OGLUM07G27420 transcript:OGLUM07G27420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSSVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSGSNDDDDDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDALQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKDRVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >OGLUM07G27420.3 pep chromosome:ALNU02000000:7:28248961:28261945:1 gene:OGLUM07G27420 transcript:OGLUM07G27420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTAHHNQTAGSALGVGVGDANDAVPGAGGGGYSDPDGGPTSSVQPPPQVCWERFIQKKTIKVLLVESDDSTRQVVSALLRHCMYEVIPAENGQQAWTYLEDMQNSIDLVLTEVVMPGVSGISLLSRIMNHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKSKSGDESDNNSGSNDDDDDDGVSMGLNARDGSDNGSGTQAQSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPCTSNKNSKKQKETNDDFKGKDLEIGSPRNLNTAYQSSPNERSIKPTDRRNEYPLQNNSKEAAMENLEESSVRAADLIGSMAKNMDALQAARAANAPNCSSKVPEGKDKNRDNIMPSLELSLKRSRSTGDGANAIQEEQRNVLRRSDLSAFTRYHTPVASNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAPIKQGSNGSSNNNDMGSTTKNVVTKPSTNKDRVMSPSAVKANGHTSAFHPAQHWTSPANTTGKEKTDEVANNAAKRAQPGEVQSNLVQHPRPILHYVHFDVSRENGGSGAPQCGSSNVFDPPVEGHAANYGVNGSNSGSNNGSNGQNGSTTAVNAERPNMEIANGTINKSGPGGGNGSGSGSGNDMYLKRFTQREHRVAAVIKFRQKRKERNFGKKVRYQSRKRLAEQRPRVRGQFVRQAVQDQQQQGGGREAAADR >OGLUM07G27430.1 pep chromosome:ALNU02000000:7:28263085:28270967:1 gene:OGLUM07G27430 transcript:OGLUM07G27430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFACLGAGGGKMKKKKKSPPQIPPASERDNPPNLASSTVMKQDQDSFQLAANEDILVSNGSSENRRIAARTFAFRELAAATSYFRADCLLGEGGFGRVYKGYLETVDQASNLYSASCYHTANFCLIKLESLQLTVVAIKQLDRNGLQGNREFLVEVLMLSMLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDPPPGKSRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPIGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRRAIDNTRAAGEQNLVAWARPLFKDRRKFPQMADPALHGQYPSRGLYQALAVAAMCVQEQPTMRPLIGDVVTALAYLASQTYDPEAHGVHHTSRLMSPGTQGV >OGLUM07G27440.1 pep chromosome:ALNU02000000:7:28266370:28270594:-1 gene:OGLUM07G27440 transcript:OGLUM07G27440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMETRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDAEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESEKVNYLRQKLYAMNCIIDPSKGEVDTTNIAVKNRVYPNTQEILELQPIYEKIERFFELNSESVVEEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLAEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLTDKRSIQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSAQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRLELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLPVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHLEETKTVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVRHTGVRKNTSPFEVKFRSEIDALVEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLCRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSDKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDLT >OGLUM07G27440.2 pep chromosome:ALNU02000000:7:28266956:28270594:-1 gene:OGLUM07G27440 transcript:OGLUM07G27440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWWASHIRTTQSKWLDANLQEMETRVKIMLKLLGEEADTFGKRAEMYYRRRPEVINHVEEVYRAYRALVERYDHLSKELHKANHTIATACPQHDVSLLQEQDDAEFTPIRIQESTTTVQEVLNPKGHARPCAPHFTKQNAQQEIDTLQKAILVLQTEKEFVKSSYETGITKYREIEKQIADMQDQVCHIQNEFDAHASIEDDEARALMTITALRSCQGTVANLVKIFEELVRVAAGESEKVNYLRQKLYAMNCIIDPSKGEVDTTNIAVKNRVYPNTQEILELQPIYEKIERFFELNSESVVEEMAERVDELVDKVMNLELKFPKQSAQIKQLKEDNDSLKDRLDDLQDEIALRDDPSDLSEQLKLAEDELNRVKALERSVIEEEVLVSTVFSEVVSCITNISKAFGSIDPEDMTSLSAAVENDGEITSDDISTSLPEEEFRGTEEATTDDNLGRDRCRKEDASGVEGHDSLDGTDGIDDCKNGNEENFQSENRLIQEDLTDKRSIQASNNIDRIVIPGKENGFNNACEGKIDCSPSGNTKKYRDIGNDVIDNSAQGESLKGEHPPTVISQTHLPHSECLDTLTNKSDSDEKGSSVVVTVNSFGGSKRIQGLRIGGDENSMSGNSLIQEELRDDKSLKTPGYVNLVGSTNQHSLSDGSTTEEISLPKVSNSCFSDADMRLELCHTEEAISVEEWPKQDGQLIAPETMKSLNGGSKVDSSEKGGRTSLEHMNSIQDLKTSELVDAHSSRVYQQVPKVTTKSNNIASCIPHGELETRSSDGREHTRQASTLSKPGSMSLPVNSSLVAERDAPSWQEFLLDGIEGREALLLDDYTLILRNYKETKRRLAELEKKNEQHLEETKTVIRELRNANSMKYVEIQSLRDLLDPSEDISSTHSKMGFNRSNHPLDTEISVLEGIDVRHTGVRKNTSPFEVKFRSEIDALVEENLQFLVRFSMACHRMQDFDSKYQELQKGMGDFEVKKTGEPDAAAESDPAEKKLRELRTELDVWFEQNALLDQDLQLKTMSLCRLQEEIAEALRASAETDGGRFTPYEAAKFQGEVLNMQQSSDKIERELQSALKRMRELEGKVNDGLQKLRESFDLSCRRSSLVEEESSSTSYHSQFKHFPTRTRVPLRNFLFGTKPKKKSIFACINPTLQKQFSDL >OGLUM07G27450.1 pep chromosome:ALNU02000000:7:28305857:28315928:1 gene:OGLUM07G27450 transcript:OGLUM07G27450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGFARHALRRRVPAASRFFHSARPAWRSSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >OGLUM07G27450.2 pep chromosome:ALNU02000000:7:28305857:28315928:1 gene:OGLUM07G27450 transcript:OGLUM07G27450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLRAASGFARHALRRRVPAASRFFHSARPAWRSSAPVPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPSSVDESWDNFFRNFLGQAAPSSAGLSGQTIQESMQLLLLVRAYQVNGHMKAKLDPLRLDDRAVPDDLDLSLYGFTEADLDREFFLGVWRMAGFLSDNRPVLTLREILSKLEQAYCGPIGYEYMHIPDRDKCNWLRDKIETAKLKEYNKDRRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDLDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQKIHEKVNRILNEEFAKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVNPGVLKRVGQAITTLPEDFKPHRAVKKIFEQRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQENGRKHCPLDHVVMNQNEELFTVSNSSLSEFAVLGFEMGYSMENPNSLVLWEAQFGDFSNGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMAPKNLLRHKDCKSNLSEFDDVEGHPGFDKQGTRFKRLIKDRNDHKQVEEGIKRLVLCSGKVYYELDEERKKTERSDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYASMKTLGRGSFDDIKYVGRAPSAATATGFLSVHAQEQTELVKKALQAEPIKFP >OGLUM07G27450.3 pep chromosome:ALNU02000000:7:28305857:28307562:1 gene:OGLUM07G27450 transcript:OGLUM07G27450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRDLDSAALWAAVDSAAAAQASRRDHDRTHLRNLEDDEHRDRGGEVVQPARPFKVPRLLTTPPPPSPRPLQLQMAPRPHSSPNLTLTPDATRLVVVDTPPPTPTACFAAHDLFPAISVANFRKYQEAALSILDKSDYTSISGNPYIKKSGWRKISCFFNISFEIKDRSIEFDENRNVNRAEFLVRASMQLVAGFRMVGVHVIGVRKNLTNQTMMFPAQLKPELRTKPVR >OGLUM07G27460.1 pep chromosome:ALNU02000000:7:28316095:28327425:1 gene:OGLUM07G27460 transcript:OGLUM07G27460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNSASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVARQFAPDNIKNHQVTGSKRSLMERNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTVDLKDKFRNLERHLCA >OGLUM07G27460.2 pep chromosome:ALNU02000000:7:28316095:28327425:1 gene:OGLUM07G27460 transcript:OGLUM07G27460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNSASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVARQFAPDNIKNHQVTGSKRSLMERNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTVDLKDKFRNLERHLCA >OGLUM07G27460.3 pep chromosome:ALNU02000000:7:28316095:28327425:1 gene:OGLUM07G27460 transcript:OGLUM07G27460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADAFLILDFLAGNRLIPHSVFTTLLASLPSVSPHTSPRLRAGLALRALDSALSISESSEMDAPTLLRKARAVLADPDLAPFFPQHLAAPASADDAPAAAVAHLNRLLDVEWASLPPSSLEIAAERIVGSQALHSWANADHAQRSKLRLLAEKYSTSLYQFYSPLAVSAVGESTALEILDTLQRPDASTNHPGTLPQVDNAPETNSASHCAQQNDGAKSGLVKQNAEADRPQQDSTRHQQDSVQGASNSQLKESSVTMESIRGTGPDITGFMEEATPRVARQFAPDNIKNHQVTGSKRSLMERNPTASTYEWDGSDSEGKRPAAKRRLPIFERTAKPSPTAAHKTRKKWSEKQEKTLLEGVEKYGKGNWKDIKMAYPDVFEDRSTVDLKDKFRNLERHLCA >OGLUM07G27470.1 pep chromosome:ALNU02000000:7:28332237:28340346:-1 gene:OGLUM07G27470 transcript:OGLUM07G27470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQPPVSRSLSAADGGSLRRLHELSFRKMSFLAYVRKITKEHQPSGVKYQNAEAERNTTSLPLLLWGIRGPLEKPIPCAVGNTLACDEFDEVKTLSSGDERYKVEFFFVSAYLKQQYLVWLFLC >OGLUM07G27470.2 pep chromosome:ALNU02000000:7:28337064:28340346:-1 gene:OGLUM07G27470 transcript:OGLUM07G27470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQPPVSRSLSAADGGSLRRLHELSFRKMSFLAYVRKITKEHQPSGVKYQNAEAEVGRDIEIQPRCHCCYGEFVDVIMVEDKSRAKEHIKVPPS >OGLUM08G00010.1 pep chromosome:ALNU02000000:8:292:10747:-1 gene:OGLUM08G00010 transcript:OGLUM08G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVNVWYGPIRSYDRPNVHGTNWGLARKRVGYGPVRSYSRPNGPGTNRGFARKRVGYGPVRSYGRPNRPGTNGGFARKWVGYGPAERTWNERGIRSQTGHVRSFGRPA >OGLUM08G00020.1 pep chromosome:ALNU02000000:8:25906:34623:1 gene:OGLUM08G00020 transcript:OGLUM08G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSKFPRNMHLGRTLMRFLVQISTVYYCLKVKTFSRWEGMNAILASIAQVDSGDILEKKKSIVVMRKAQEEDKRTTGF >OGLUM08G00020.2 pep chromosome:ALNU02000000:8:25906:34555:1 gene:OGLUM08G00020 transcript:OGLUM08G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSKFPRNMHLGRTLMRFLVQISTVYYCLKVKTFSSWILARWKMFLPHVHAFMLA >OGLUM08G00020.3 pep chromosome:ALNU02000000:8:25906:32208:1 gene:OGLUM08G00020 transcript:OGLUM08G00020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMSKFPRNMHLGRTLMRFLVQISTVYYCLKVKTFSRKPIALTAS >OGLUM08G00020.4 pep chromosome:ALNU02000000:8:25906:32114:1 gene:OGLUM08G00020 transcript:OGLUM08G00020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMRENLSNSGKQECPSKKLQCIS >OGLUM08G00030.1 pep chromosome:ALNU02000000:8:27142:32708:-1 gene:OGLUM08G00030 transcript:OGLUM08G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKVAVALALSLIGGLSTSLGALLAILNCAPNNKTLGMLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIAEVFPEPDCNLADENDKHLENKTGNNNIAGKELMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLSLAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVIIVAYLFPSNLNPEILEGLLGLVGGVMAFLTLYEMLPIAFEYAGRKDAVKALFSP >OGLUM08G00040.1 pep chromosome:ALNU02000000:8:35255:42153:-1 gene:OGLUM08G00040 transcript:OGLUM08G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPLGSETAISPAYGEEEEEEEEEQCRICRVPAEAGRPLRHPCACRGSIRFVHDDCLLRWLATRRTSHCEVCKRLISTCPLYAANAPARLPLSEFMLGLANKLMGWFFLLLSLLAAMYIWEFVMPFTTLWIWRLALARSFARVRHLLSLRIFAHAHGHGAPLYGLMPSPDAVFACVSIRRAFLRDLPHFRDLNPLARFAAHALAPFALWIARLETRLDRRFGGLDSLQVIALHTVEASLMVVLLDVMLACVFGFIPFSLGRIILFCTSCFSFGNMDRVHSYTSTSSILLVGYGFIFSLGVLFTGFHTFDQYSRGERLTIAVFFKVLTNGMYRLFSPLRRLPGIHVMVQMALSFLRLFFRGIINLVTVANISVNLINVIAICPLFFGWSVDICASQLFGETIYQKLELLFASSFASTALHWLIGCIYLMLLSIFSSPLCLVLGPGVTIPFVHFSGEESLIQLFREPFYKFSLKLLPGLFVSAVDVAMVILVPVQIAGQLAPKVFPLDITYFDPPTKGSAFWQAPRNYAELLSGALLLRFLICNTLKYLQPGPLLQKLLLYWSATTRRVLGLLDLLIAWSAGDGECEDGNGSPRKFHHGSTSEDEYKRRFAAVRLILLVVLSSSTLVIFNSAVLIVPVSIGRALLFVIPKLPIAGGLKYNDLFAFAIGFCIISTIIAASRDLFVYMASGRTHLLASIIYKWGITALKGSPLLFIWIVIIPLLIGLLVNFLLISPFLVTANGMFVIDLFCTWFLGLLLLKFWVKLVHWTTVTPFLVYFIDERWDWKLTRAREDGFSGLRALWVLQDVLMPITLKLLTALCVPYALAKGVFPNFGYPDAVNLTVYRFAWLGGFALCVLYDLAKVFCKVLVKLHDSIRDERYLIGQRLQNYVDNS >OGLUM08G00050.1 pep chromosome:ALNU02000000:8:44619:62620:1 gene:OGLUM08G00050 transcript:OGLUM08G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVAAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENTKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRGGGREGDKATTRVTRKHTHTPGVAQNLSLSGGVGSAEWRGSEPEEEGVRRRAALCRLLALNSLPHPAPISASPPPRPAAASAALRTDAKMLPRHGIASATDGVVNRRSPRLFGRSSERKNPLNVQFERQVARLESRQQHQRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDLTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQELNTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMHLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >OGLUM08G00050.2 pep chromosome:ALNU02000000:8:44619:62620:1 gene:OGLUM08G00050 transcript:OGLUM08G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVAAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENTKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRGGGREGDKATTRVTRKHTHTPGVAQNLSLSGGVGSAEWRGSEPEEEGVRRRAALCRLLALNSLPHPAPISASPPPRPAAASAALRTDAKMLPRHGIASATDGVVNRRSPRLFGRSSERKNPLNVQFERQVARLESRQQHQRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDLTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQELNTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMHLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >OGLUM08G00050.3 pep chromosome:ALNU02000000:8:44619:62620:1 gene:OGLUM08G00050 transcript:OGLUM08G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVAAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENTKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSFMQDGYPRSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRGVGSAEWRGSEPEEEGVRRRAALCRLLALNSLPHPAPISASPPPRPAAASAALRTDAKMLPRHGIASATDGVVNRRSPRLFGRSSERKNPLNVQFERQVARLESRQQHQRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDLTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQELNTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMHLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >OGLUM08G00050.4 pep chromosome:ALNU02000000:8:44619:62620:1 gene:OGLUM08G00050 transcript:OGLUM08G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRRGAKAHKWTTQPQLGDLVLAKVKGYPPWPAKVSKPEDWDQMPVPRKVFVVFFGTREIAHIALPDLQPFTENAKSEVMDRSRNKQCPKKYIDSFAEAVVEICKAYDDLPKSSETTTCMLPDDQSEQPTEHLVKSPNNDEAPRSGQMEGDSPSDNLNTSGLGSGTEVDIKDGSRDIRDSSLAAVKRKKPKDLDQPKKKKPVTSKSAINMHLEQDCSATTVHAERELEEPKAEKEINPSEFLTLDPTVQIVCALEVPKKSKAAKQSKNAERKDNKRVNVADISGRTTPGAVLDTELKRSAEKDSKGFKKSKLMMKQSVSNESEKIDHKRIMVDKSDKLLARKSSSVFSSNKKPLPGSEQRKLDNSTDMRPAKRPKLMDRANETVKTEAKSETSLHADNEKDSALKIEKSIPAEAVSNSVPKIGVGDDRTRRSGSLLSPLARLHSQGSEPASGSTQLSAVAAKKVSSLKENFSRVGKPLAKPRRRACRFGDDDEEEQRTPPHKSSVKSIPMRGVPTEKFQSQTGVRGISSSQIGNASAMKFGVAREEKPKSIGRSPVEHEPDYTSPNQDKMHGRLQIMGKRSTTSSVDTSASLGNKTNLADRRSSGQLRMAASSEVKKTQGSSSKLLHQTPGNLHSQNPDDSEKNALLSKSENTKAKTKSGTQITATVESRISTAMQAERIGKMDHSKEQRSDFVDKAAFAEPNSDPVKSMKHLIAAAQARRNLIAAAQGKSDGLSADNTVLSSTPYGLPGLSPGPVFHIPSASRIIPESDGMQFPDSFCAITEPGQQVAMKNLLEIEHEHGKSPKTRQSSDSLSGGTDAAIARDALEGMIETLSRTKDSIGRATRHAIECSKYGIAAEIVELLVLKLENEPNLHRRVDLLFLIDSITQCSHSQKGVAGVSYVPTVQAALPRLLGAAAPPGPGARENRRQCLKVLRLWLERKIMPEGILRRYMDDIEVPNDDANTGFLLRRPSRAERSVDDPIREMEGMLVDEYGSNANFELSGILSSNVFENDDDFPGLSPAISLPVQSGRMQENEQAIAPNFVEENIRLPKDVTSDVPMEDASLLPRDKQQTDGAIPVVHDLQHEIDREQALADQNELPPLPDGPPPLPLDAPPPPPLPEGPPPLPSDSPPCQPPLPPSPPPATPPPPPPLSPSLPPPPPPPPLPSGPPPQPAPPPLPIQPPPIPPPPVPSSPSSLGYQPPAPEYFRASNSNQLNQMAGNASIQGIGNMTNFIPGGSVNTQAAVNFTPSVPPDYGNNNLYIKPQGSNGNFQFRPTGVPFQQGTFSAFPSAQTPPVRPHTHLTQMNPVGQQAVPPCNPYAVQSFPNNQSQYTSDEQWRMTSGNFSPDDQRNTWLPGARALSCAEGSNIDRSSMNPMTHQRPVLNRMPSGAPDMLFLRCCRLDLIFTHLIAGGLRNEISQIELLARGFSHGKLGLPEAVSIQLATRGVGSAEWRGSEPEEEGVRRRAALCRLLALNSLPHPAPISASPPPRPAAASAALRTDAKMLPRHGIASATDGVVNRRSPRLFGRSSERKNPLNVQFERQVARLESRQQHQRCRIFTVIPYNICCDFKPFQHTDGSSHSSSELASPEDSLDLSSSSSSSSPPIILLRLNVPHESNKSWADTSPGLLGEKSTASNSISNSDFLGNSFTKTSMNAGHTVRRKSKKKSKKHKQRCRKPTAGSEIKCKGNNCPTPAISMVDCEDLTLSPKCVGDILFEDTFSPSSSVKEASEEAHDSENDDDYPACSVASVSSVSYCDETELYRPTTACLELFGQHNKSNIRCLDNNCNTTLVHSSQETCAGSSGDCWDDNKVLLSFENERGSDPCETTECCSRDGVGDNCSSRICSPNGVGMRNGIQAVHLCSDTSSDSDFHLVISRKRARKEKKMALWKSSNGERLSAATRGRNDNYVGRSSRQIFQELNTKDLSCRQNRVGSIHLQHGVVLKNSKNTIHKPSNICMQVEPHSRVASKVSKHARILLHSSNPKEDSSRKSNSDFNEWNIDSDKKLPNAMHGIKSNCCEMRLDSPSETTAPKFTMGNCPSESGRSTNCTVGALPLQKRGLGTSRQTNDAIGTTPGPLLPGSGSAQADLVAVEWNHSFQKLCSAEMLLTEMFRVVGDAYQVQVSADAHLAAGHPITDLDTFIYLATPVIGHVPCMKGSNCSKDQLVNDSICQQNLSNISLRSIWEWYEEPGCYGLEVRACNDLSPETSFCNSSEFCAYFVPYLSAVQLFGWSRKSMNHSFGIGGGETSNTGSSLCSHPVSARLLRPFEQSMHLSESFSSIQDHGEVIFEYFETEQPSFRPPLFEKIKELVSSVNVSGHQIFGDPEKLQNVKLCDLHPASWFSVAWYPVYRVPHGKLRAAFLTYHSLGKLVPQKGSPDLTGLGSRIVSPVFGLQSYNDKGEQWFQLRRPDSKQLQIDGESSKGSRAEVLKERLRTLQRGALAAARAVVPKGGGESVNCHPDYEFFLSRCT >OGLUM08G00060.1 pep chromosome:ALNU02000000:8:45253:68944:-1 gene:OGLUM08G00060 transcript:OGLUM08G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKALAQKQVITFEGTNKKKKKKARRANSSQQQHSESESDPPNELFSRKKERSQLFSRKKEHMSLDTNGRHEILKQAHQTRQQVACQELAQPEGKVKAGLSFEQVLPWEDAFASAADKVAVLLTQQETTALALRKDPEVTIGGHQEREALEMKLYQGNLDFKDRATSKLAFKGDHGLQNEEADSPMMTEMMEQVGAGLAGVVGQAEEVGQMVEVGVVAEVAKMVKVVVAVAVVVKEAKEAGKVVNLMEAVGVPQAEVGEVVHLMEAVEDRQFKKTIPPRQTMESNEQQSHDLHRAESGICNVTGGRVEETNISLVPKKTTKTLRGTGIWSQSSGLLTCGDKQTVIREERKGREIYMIEEP >OGLUM08G00070.1 pep chromosome:ALNU02000000:8:62690:72439:1 gene:OGLUM08G00070 transcript:OGLUM08G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLVARSSSNAKNESPTASSSAAASSNSKSKEDNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSPEISENEGSISTARGSNSDGANNEKENVQGMVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIRQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAPPIPSSEPPPSALPNNSFPLQPPGGSLSTLSYTGPPPLMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGPPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVTPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFAPSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQAGPTPGMPPAGGFGVPASGNMTAMRPPRPSSGDFTFRPLVSASPTPELGASGSQVPLFHPGNQRPPMVRVEGFQRPIDMGHQGRAYATGPPHPHQHPHPHAHFGGGGFLPRNPAASALQLAGTRGFVGAFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >OGLUM08G00070.2 pep chromosome:ALNU02000000:8:62724:72439:1 gene:OGLUM08G00070 transcript:OGLUM08G00070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSKPSKISIFGAKAGFLIPKNKLPGSLVARSSSNAKNESPTASSSAAASSNSKSKEDNNNNSTQRNTKWGPDLTLDPAVRKSRASAYQSRLEQITKELISGSPEISENEGSISTARGSNSDGANNEKENVQGMVELLELERREIIGEILHLNPGYKAPEDYKPLLKETKIPLPTKTYPGHNIIGVLMGPESNAQKRLQEETGAKIRVYGIKRTNGEKSEIRQADIGEVQGAYEDLYINVSADTHDKVDAATALIELLLTPVSINSRAIEANATVSSAVSSGGADLADLRQVQNNSSQPGFLQYQSHDAHWLSTSQANAPPIPSSEPPPSALPNNSFPLQPPGGSLSTLSYTGPPPLMNIMPRNPLPIPGPQPLVSNAQQPPLQFQANPSIGPPFGRPPGIVSPQLTPSSTLPRSVRPLQTPHASGGWLNFSSVPVQSQRPSPAFMPVRPPISVTPLVSSPQLEGAAVPSFPRQSNISTSYGTQHHPSGASFAPSATMPSIPPGAPQLSPQGPSSMPVLSSPAGLTTQPPYPLPMQMRPPMATPAQMRGPPSPFPQAGPTPGMPPAGGFGVPASGNMTAMRPPRPSSGDFTFRPLVSASPTPELGASGSQVPLFHPGNQRPPMVRVEGFQRPIDMGHQGRAYATGPPHPHQHPHPHAHFGGGGFLPRNPAASALQLAGTRGFVGAFPLPPAAVEAQRPFHVLAQAQQKPIYDPFVPSGAVMSGGPGGGGGRKRKTEDDKAEYEDLMASVGVK >OGLUM08G00080.1 pep chromosome:ALNU02000000:8:72478:75794:-1 gene:OGLUM08G00080 transcript:OGLUM08G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPLPNPFPAPSSGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >OGLUM08G00080.2 pep chromosome:ALNU02000000:8:72478:75794:-1 gene:OGLUM08G00080 transcript:OGLUM08G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMDGGDGGGGGHPYHYQALLAAVHQQTVPLPNPFPAPSSGAEPPHPHNHNHNHNHNHNTHNSHNHNHNHNAAPHPCHTPTPTPTPRGFADWSASTSAFTSLAAHSSTAPSNAVHYSFSPCYAFWTHYMLNKNAYPTSFPAPHDDHLRLANNNHPRDAPGPASSYGVESFTSPSMAPNICTHMPPIEGPISAKEDKKPEILPRVVKSSDELETRNSNVEFHSETVGTLPESKQGHDSRATKLLNSGEYQVILRKELTKSDVGNVGRIVLPKKDAEASLPPLLQRDPLILHMDDMVLPVTWKFKYRYWPNNKSRMYILDSAGEFLKTHGLQAGDVIIIYKNLAPGKFIIRGEKAIHQQTTNP >OGLUM08G00090.1 pep chromosome:ALNU02000000:8:80213:81178:-1 gene:OGLUM08G00090 transcript:OGLUM08G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:high-mobility group box 6 [Source:Projected from Arabidopsis thaliana (AT5G23420) TAIR;Acc:AT5G23420] MAGKSGARTRKRVEATDSAVLKRARDGSAFTRCEACGKSVSVVLIDMHNCSLDDKIRISLEAQVVEQAVEVAASKKKSGKNNNNNGEGAKKGKRPPTAFFLFMSDFRKEYKAEHPDNKSVSAVAKEGGERWKSMSDEDKKPYLDKAAELKAEYHNGERSDENNVGGNAGEQEVDQPPKKGTDEDDQEDEDGAEEEEKNELDDDI >OGLUM08G00100.1 pep chromosome:ALNU02000000:8:86322:92979:1 gene:OGLUM08G00100 transcript:OGLUM08G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVVGDEAQVKASEETLSASTSPAQVGLVVGKLSASSDRALAYSLIPTPPTDSGAPACSLLRAKPAAKAASSGASSSLDFDDDWLAEHARQVSRMLLGGMTVIGIYIWASEASFKATSPAVLSQVLRAVSQVAPLYGTGVDERLLIHISYSPRRWACRICDMSSGRLRPCDFKYSKLLASLQTFRCTYNFEIRLPVVQAEPFKKVISKAISHLTKQVQNAKALIDGVLFLDGMDNTLEGPHNVEFLVPFKNNLPAEEGVAGLLLFAGSVSALAYLGPKESIAEVISDLKLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRAPYSFPFPRRILIPWLAGSYICDYLQQSETMEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >OGLUM08G00110.1 pep chromosome:ALNU02000000:8:94329:95696:1 gene:OGLUM08G00110 transcript:OGLUM08G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdate transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G25680) TAIR;Acc:AT2G25680] MAGVGVVVDPEAVGGGGDGGGDGRMKEGLARRAVENLRFRSVWGEVNGAMGDLGTYIPIVLSLALSRQLDLGTTLVFTGIYNAITGLLYGVPMPVQPMKSIAAAALADPSFAIPEIMAAGILTAAFVLFLGLTRLMDLVYRFVPLSVVRGIQLAQGLNFAMAAVKYIRYEQDLGKGKSLGRRPWVGLDGLVLAIAAVCFIVLVNGAGEEQEQRQQQQQQQRWWRRRLGSVPSAVVVFVVGVAFAVARHPAAVRELRAGPSRMRVVHISREAWKQGFIKGALPQIPLSVLNSVVAVCKLTRDLFPERKESPTSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVAALGVLKLALGLLLGGSMLRVLVQFPVGLLGALLLFAGVELAAAARDMSTRAEAFVMLLCTAVSLVGSSAALGFLCGMLAHALLYLRACALRERIVSSQTDL >OGLUM08G00120.1 pep chromosome:ALNU02000000:8:95757:99719:-1 gene:OGLUM08G00120 transcript:OGLUM08G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:single-stranded DNA endonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G48900) TAIR;Acc:AT3G48900] MGVKNLWDILESCKKKLPLHHLQNKKVCVDLSCWLVQMYSANRSPAFAKDKVYLKNLFHRIRALLALNCTLLFVTDGAIPSLKLATYRRRLGSISHAAKESDQPNSHPSISLRRNKGSEFSCMIKEAKRLGMALGIPCLDGLEEAEAQCASLDLESLCDGCFTSDSDAFLFGARTVYRDVFIGEGGYVICYEMEDIEKTLGFGRNSLISLAVLLGSDYSNGVNGFGPETACRLVKSVGDNLILDQILSNGVKATRKCKGKNSGNKVDDMCPKASSCEVGMTQDSDGQFRDVINAYLEPKCHSPDSEAVQRVCGQHPFLRPQLQKICEEYFDWSPEKTDQYILPKIAERELRRFSDLRSASSALGIKPLLSEIPVPCPVLAIVKQRKVHGNECYEVSWRNIEGLQVSVVPGDLVKSACPEKITEFLEKKGEEKKQKRRARPKKSGQAAVKDVDEQLQELLLGIEADSGGILGATASVCQTLTAAYTVAVEDVVDLSSPSPPLRKLSKSQKKMAEDVNVAGMNMNKMESESSFSTQSSTSDVDNQLIDLSSPLAGGDNGMKGGRRALADISNVGSHSTETDGGGGGGGGVASVGHGTTIDLSSPSPAIGDRSRVHHDDDDVIHERKARDLRMFLDSIRNELY >OGLUM08G00130.1 pep chromosome:ALNU02000000:8:95901:96926:1 gene:OGLUM08G00130 transcript:OGLUM08G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRCHTTTTSSSSVCLSAVHIDILGHLLLGLGELPKRRRRRRQINYIFHSHSVGCCQSLTHTGCSTQYATTISFNTKQQLLELFINIFHCSLP >OGLUM08G00140.1 pep chromosome:ALNU02000000:8:104035:104751:-1 gene:OGLUM08G00140 transcript:OGLUM08G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561/ferric reductase transmembrane protein family [Source:Projected from Arabidopsis thaliana (AT4G25570) TAIR;Acc:AT4G25570] MAMKAVIKGGDGGGGCMVVVAHVLAVAATAMVLVWCVHFRGGLALRSHNKQLIFNTHPVLMVLGLVVLAAEAILSYRSLSIIVSSRDARKKVHLGLHAVGLALGGVGIYAVFKYHAEADIPNLYSLHSWIGIATISLYALHWLLAFLTFFFPGASHDTRRSAVPWHALLGLLVFALAVGNAQLGFLEKLTFLQAPPLRLSRYGAEALLVNFTALVVLLLGVAVVLATANVDSTKYTAM >OGLUM08G00150.1 pep chromosome:ALNU02000000:8:104789:117082:-1 gene:OGLUM08G00150 transcript:OGLUM08G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRTMRTKTARKEAMTAPLVRWQKPWNCKHMGTQLARRLPSCATYTIAAAADAAAVSKPTMMVSQMSSRSLTPVALELMMVAAEKGSDSTIIILSWGTHTSTTH >OGLUM08G00160.1 pep chromosome:ALNU02000000:8:110008:115277:1 gene:OGLUM08G00160 transcript:OGLUM08G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSSNNHKSKSEEEGVALVQVAEEGETTTKQQQQPDSNKAAAEEEEEEAGYGYSNWWSTWVSSAVKKRVRAPGRVGIVVVVGGFVLLALLAAVATTTTTTWPQLVDFTGAVSSFLQFGNGDGARRHRPHRTSLVSIPIPFTCGSGNDTGTCPRYAASAPAPAPASMSPPPPQTSTVDYCPSYFRHIELDLAAWVASGISREAVERGRRQAHFRLLVVGGRAYVETYRRAFQTRDVFTQWGILQLLRRYPGRVPDLDLMFNCDDMPEVRAAAYPDRAAAPPLFRYCKDPSTLDVLFPDWSFWGWPEVNIRPWAPLLAEMAEEKARLPWSRREPYAYWKGNPDVSPLRQELLRCNHSLPPDDTVRLYRQDWGFANRNAFRDSNLARQCRHRYKLYVQGRSWSVSRKYILACDSPVLAVATPYQDFFSRGLAAGKHYWPIDPSRSKLCRDIRFAVRWGNAHPAQAQRMGLAGSAFATDDMAMDYVYDYMLHVLTRYASLLRYKPTVPDRAVELCPESMACPRRGRDRDFMMQSREQYVADYQPCTIPPPPLTADDATNMAHRDAEVLSNIDKMIITEDKHN >OGLUM08G00170.1 pep chromosome:ALNU02000000:8:116328:117128:1 gene:OGLUM08G00170 transcript:OGLUM08G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0APT2] MSGSDTSGSVHVDGHGHGHGKASSSYDGAGAPAPAPAPFQGHRKAGSGSSDVPFLLRSGGSGGDGLRRCLGLIDFVLRVAAFGPTLAAAISIGTSDERLSVFTNYFQFRARFDDFPAFEFFIVANAIAAGYMVLSLPFSAATIMSSKATGVKLLLLICDTIMVGLLTAAASAAAAMVYVAHEGNLRANWVPICLQFHGFCQRTSGAVIASFLAVFVLMVLIVMAAFTMPRRTHHTAS >OGLUM08G00180.1 pep chromosome:ALNU02000000:8:117636:118244:-1 gene:OGLUM08G00180 transcript:OGLUM08G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26220) TAIR;Acc:AT1G26220] MQMQAARPRVGVRPRGGIRPFPLPTLSFNNNSNRSGSACACACAVSVSDSELAARGFAVRRSSTGLDVGALNEVFARVGFPRRQEERLRRALEHSEVVWLEDSASSSAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVADLRGKGVSNIALYAEPRVVGFYRLLGFAMDPDAIRGMAFYRSRQQIQNTSS >OGLUM08G00190.1 pep chromosome:ALNU02000000:8:123512:128948:-1 gene:OGLUM08G00190 transcript:OGLUM08G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:INVOLVED IN: protein processing; LOCATED IN: mitochondrion, endoplasmic reticulum, plasma membrane, vacuole; EXPRESSED IN: 25 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nicalin (InterPro:IPR016574), EF-Hand 1, /.../m-binding site (InterPro:IPR018247), Nicastrin (InterPro:IPR008710); Has 245 Blast hits to 243 proteins in 99 species: Archae - 6; Bacteria - 10; Metazoa - 139; Fungi - 0; Plants - 46; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G44330) TAIR;Acc:AT3G44330] MSSSSPSGHLLASVSSALAVLLLLLACVELGDAAAAVGVYRLIQYDLAGAPLGSRAAALNHHAAALPLPAAADLSRSALVAPLLDLPLAFLRDYLADKKYLGGLLILLPKKLNHEPNNEDKGQVKASLAELEQLLLHQQVPYPVYFAFQDDHFDNLLADIRKIASSGQPASATTGGYKLVVSTPEPRKVASPTISNIQGWLPGLKGEGETEQLPTIAIVANYDTFGAAPALSVGSDSNGSGAVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTNKWLRSFDQRVRESIDYAICLNSVGSWDNELWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSELSSPPDFLESTGGLYDTRESADAESVIRTVRLVSESLARHIYGLKGKNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQSDVLDGMFTFYDATKATLNVYQVASVTFDLLFLLVIGSYLIILFSFLVITTRGLDDLINIFRRPPSRKVKGA >OGLUM08G00200.1 pep chromosome:ALNU02000000:8:129095:131971:-1 gene:OGLUM08G00200 transcript:OGLUM08G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGTEEGRNRFPPTQTKDVSRSGYFNRDRIVHPGYTTGTINEQFQRKTCFEMRGGKKKKKKKD >OGLUM08G00210.1 pep chromosome:ALNU02000000:8:133403:133609:1 gene:OGLUM08G00210 transcript:OGLUM08G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPARSCVSSEAEDQQAAAAAMVVAGCPRCLMYVMLAVSNTKQPRCPRCNTPVLLHFHNISSTPNKS >OGLUM08G00220.1 pep chromosome:ALNU02000000:8:149153:149968:1 gene:OGLUM08G00220 transcript:OGLUM08G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRKKKKTKLGITSLLFSSSSSSGRDTSTTTSGLPYSYSSCSNMSSSSSSAAVAWQWPSCKQPRTLSFRQQQQTMMKTMNSAYLSAGCSFASRDSHSSTCSCCRSRTASDASASADAVTRALRSDRLFFDPDASPAAAADLKLNKAKAKKTKKKVEAFGGATAMTIESSNPYRDFRESMEAMVTSGGGGGGVDDWRWLEEMLGWYLRANVKSTHGLIVGAFLDLLVSAAASPAASSSSSSPAAKGKYSSCCSACSSSSIKLEEEHQLRHY >OGLUM08G00230.1 pep chromosome:ALNU02000000:8:153093:153737:-1 gene:OGLUM08G00230 transcript:OGLUM08G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGEVRYSCRRSAVCGVASCVVAGMGCVLVVAMVVYLMFRPNLLHATAAGAELSTFSLALKEWTLSYNLSVGVDLTRHNARLALRYHSIAADAYYHDQRFAHALLPDFSQPASTNTTRITPSFQGRHQLLGGLAAAAFRREDTEGIYSIHVTMAAKTEIKLTPSAIIRLPGPNIKLDCPLRLRLHPSPSNATTTTNNHNPHHFHPTACHISY >OGLUM08G00240.1 pep chromosome:ALNU02000000:8:157547:158230:-1 gene:OGLUM08G00240 transcript:OGLUM08G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKHCEQHKDCERQRLYRRCCAAIFGILLLLLLIVLIVWLILRPTKPRFYLNDLTVVCLNVTTGGSYAGATASSGYFSFLTVTMQTTLAARNGNERVGIYYDRADVYAEYKGLRITVPTSLPPVYQGHPDLTVWSPFLSGNNVQLPPYLAVSITQDETAGYLLVTIRVDGWIRYKAGAFITGHYHLRVRCPALLIVNDGRGSYGSNSGGGNGYFRFQRAAACVVDV >OGLUM08G00250.1 pep chromosome:ALNU02000000:8:159978:162337:-1 gene:OGLUM08G00250 transcript:OGLUM08G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMAPVGRYGGDEGLQIQRQRATERRNHRQLAELSLASADLEGGGHGSRALGRWPGSAEWGGIGESLLFGLGGGKGEGVALLEQNNIQIEIETDKKPGDLSASACLS >OGLUM08G00260.1 pep chromosome:ALNU02000000:8:165104:165718:1 gene:OGLUM08G00260 transcript:OGLUM08G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPSWWLLFLLLLGVGATAAARGSKKLTPPVSTAYEWPERFHAVVVSIDLTSHDRGGGRLQLIELYYDWPHGRDLNIVRDQLSGDPPLYNVEWVNGTSYLFDTAASSCRTFQFPVGLLPPDWIATANYLGRDTVDGFECHVWSNFLFSRYYADVATGHPVRWIFNGSTRHVLEFEAGVVLQDSSKWQAPPYCFASSTPPPI >OGLUM08G00270.1 pep chromosome:ALNU02000000:8:168201:173216:1 gene:OGLUM08G00270 transcript:OGLUM08G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGQGEGSTMDQLSGDPPLYNGTSYLFDTAASSCRTFQFPVGILPRSGETPWTGSSAINFLFSRYYADVATGRPVRWIFNGNTRHVLVFEAGVILQVAGAAVLLPQPQ >OGLUM08G00280.1 pep chromosome:ALNU02000000:8:181584:182207:1 gene:OGLUM08G00280 transcript:OGLUM08G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLSSPSASQRGGGRELQGPRPAPLKVRKESHKIRKQEPVQQLRQPVIIYTMSPKVVHANAADFMSVVQRLTGAPPTAPPQPQPHHPTLLAQMPPQPSFPFHLQQQDAWPQQQHSPAAIEQAVARSSGADLPPLPSILSPVPGTVLPAIPASFFSPPSLISPVPFLGATTTSSAAPSTSPSPMGGSAYYWDLFNMQQQQHYHHQN >OGLUM08G00290.1 pep chromosome:ALNU02000000:8:183469:198951:-1 gene:OGLUM08G00290 transcript:OGLUM08G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIYERLAESGGLLQEHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSSSIVRVHVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILWSSSSSPNSNRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRTPASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCLSKEPVGVASPRRGRETTAPEYGDAVVLRKTRTREESEND >OGLUM08G00290.2 pep chromosome:ALNU02000000:8:183469:198951:-1 gene:OGLUM08G00290 transcript:OGLUM08G00290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIYERLAESGGLLQEHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSSSIVRVHVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRTPASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCLSKEPVGVASPRRGRETTAPEYGDAVVLRKTRTREESEND >OGLUM08G00290.3 pep chromosome:ALNU02000000:8:183469:198951:-1 gene:OGLUM08G00290 transcript:OGLUM08G00290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIYERLAESGGLLQEHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSSSIVRVHVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNASPSNSTNSSASTNQQKIAELQQQVGDSEIDWNLLTTGEKIATGSSADLYRGTYNGLDVAVKILRDSHFNNPSVNHENVLQFYGACTRPQKYCIVTEYMPGGNLYDFLHKQNNVLDLLTILRIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRTPASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCLSKEPVGVASPRRGRETTAPEYGDAVVLRKTRTREESEND >OGLUM08G00290.4 pep chromosome:ALNU02000000:8:183469:198951:-1 gene:OGLUM08G00290 transcript:OGLUM08G00290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVTEDEAAAAAERIYERLAESGGLLQEHDHQLQRQLRLHFRRMPARYLVDMCGGKAEEVLIHLQLLADCADPANRPVVHARFLLTIPSSSSSSSSSIVRVHVHEIVFVCLDKPKLLSQLSALVSEVGLNIREAHVYSTLDAFSLSVFLVDGWNKEEAGGLLKAIKEKVGRAAHCIIFVELGLDRQIEILYFKPSGRRRLLQTPIGGRRPEPAPPPRTAAINTRPPRARARRGAEGEMEMEAEDWGESSSPPRPHPEADMVNKEKDPEEMKRKLKERILELEKEEVPLPDDASAFVHHLSRLPKRYLFDLGVDRVDDVLLHWRILTHCAHPQNRPVFHARFQKSIPLPDSDFDPCQRLMEDLSLERRRRNDTGDNTGSMSISSRYPKTKLIHEIIFSSLDKPKLLSRLTLLLSEVGLNIREAHVYSTTDGFCLDVFVVDGWDTEETDDLIIKIKEALSHKNNTCLEGIYMTFFTSKIMIAISISKGMNYLHQNNIIHRDLKTANLLMGYHQVVKIADFGVARQGNQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELVPYDNMTPLQAALGVRQGFRLEIPSSVNPRLSKLIQRCWDEDPDVRPRLSSNLKTSYSMPRQTAGEPVGVLELRYRRNHLDRVSYQRTPASARRDQVNGNGDGQSYANHRLLRQAALTKIKSTASEEAPIKLDRHPLTTDELNHARSGDCLSKEPVGVASPRRGRETTAPEYGDAVVLRKTRTREESEND >OGLUM08G00300.1 pep chromosome:ALNU02000000:8:200653:207349:1 gene:OGLUM08G00300 transcript:OGLUM08G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0APU8] MKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQQQQNHASSSSSASDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OGLUM08G00300.2 pep chromosome:ALNU02000000:8:200653:207349:1 gene:OGLUM08G00300 transcript:OGLUM08G00300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0APU8] MKRLEEGESNWPSRKDVVACAAVCRTWREICKDIVQSPEICGKLTFPVSLKQPGPRDGLIQCFIKRDKSKLTYYLYLCLSPAVLSENGKFLLAAKRNRRATSTEYIISVDSKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLMSCQHGSRRISSRRVSPKLPTGSYPIAHVKYELNVLGTRGPRRMQCTMHSIPASAVDPEGVVPGQPEQLLPGPFEESFRSTNTSSRFSFMDRSLDFSSSRFSEISGSANQQGEDDIPEAKERPLVLRNKVPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAASSESSQLEQQQQQQQNHASSSSSASDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >OGLUM08G00310.1 pep chromosome:ALNU02000000:8:204636:208185:-1 gene:OGLUM08G00310 transcript:OGLUM08G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APV1] MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYFPRVKEILVNGVPVKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDEWCFITVKC >OGLUM08G00310.2 pep chromosome:ALNU02000000:8:204636:208185:-1 gene:OGLUM08G00310 transcript:OGLUM08G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APV1] MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEILVNGVPVKVKYCDTCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGQRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDEWCFITVKC >OGLUM08G00310.3 pep chromosome:ALNU02000000:8:204636:208185:-1 gene:OGLUM08G00310 transcript:OGLUM08G00310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0APV1] MAMAKQQRVYQVWKGNNIILCGGRFIFGPDAKATLLSFSLIAIPVAVFCYFVARHLIHMFPAYNAGYAILAVTIVLSIYRNYRYFFCFVSSAAILCIYIFSMCALHIKLLMNRDHHSVIKAIKESPASVAIMAYCFICFWFVGGLTGFHSYLIATNKTTYENLKYKYNNQPNVFDRGCMNNCSEFFCTKRAPSRINLRAIVQEDHGVAPPRISRSNVAEEETPHRPRAKVEDDLEMGLDILKTSQRRSDELGDEELGVESNGVKYRRADCSPGLDNEIPITRTKIESSSEVRDLEILPTGNAALPSSPEKKQHPDEWCFITVKC >OGLUM08G00320.1 pep chromosome:ALNU02000000:8:209901:213949:-1 gene:OGLUM08G00320 transcript:OGLUM08G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLALVLVLLASGASAASVGDTCSSEGDCGAGLHCSDCGGGGDKTCTRAKPIDPLTHGTGLPFNNYSWLTTHNSYALAGSSSATGSALITQTNQEDTITAQLKNGVRGLMLDTYDFNNDVWLCHSFQGKCFNFTAFQPAINVLKEIRTFLDGNPSEVITIFLEDYTASGSLPKVFNASGLMKYWFPVAKMPKSGGDWPLLKDMISQNERLLVFTSKKSKEASEGIAYEWSYVVENQYGNEGMVEGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCANNSAPLVSMLKTCHDLSGNRWPNYIAVDFYMRSDGGGAPLATDIANGHLVCGCDNIAYCKANSTFGTCVIPPPSPPPSPRKAPSGGKGTSAGGSSSSSGAMARVVLPKLSFFFGVALLILVILSY >OGLUM08G00330.1 pep chromosome:ALNU02000000:8:215678:217820:-1 gene:OGLUM08G00330 transcript:OGLUM08G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G21780) TAIR;Acc:AT1G21780] MERENRVETISRLAQWRIDTFGPSSYRRSDSFKIGIWNWYLSVEKARYVYVRLFPEPGRVAKERPPLARFLLRACWSGPPRRSCVSPVHEHLLRSSEDFFWQLDTMYAGRFTIDAAESSTSIWPNESIAVQNIASKSSLGCLSRMLTESIHADVTINTTDGVLKAHKAILASCSPVFESMFLHDLKEKESSTININDMCLESCSALIGFIYGTIKLDQFWKHRLSLLAAANKYSISDIKDCCEESLLEDINSSNVLERLHVAWLYQLQKLKKGCLTYLFVFGKIYDVREEINSFFQHADRELMLEMFQEVITAWKPI >OGLUM08G00340.1 pep chromosome:ALNU02000000:8:217362:224923:1 gene:OGLUM08G00340 transcript:OGLUM08G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVARRKKKVEEYMYQGRRRSGVAGRTSKLGEGSGRAGAVPWPPARAPGTASRIHIEPSPPIVGDWDWEGNQFQMPILKESERR >OGLUM08G00340.2 pep chromosome:ALNU02000000:8:217362:225805:1 gene:OGLUM08G00340 transcript:OGLUM08G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVARRKKKVEEYMYQGRRRSGVAGRTSKLGEGSGRAGAVPWPPARAPGTASRIHIEPSPPIVGDWDWEGNQFQMPILKESERR >OGLUM08G00350.1 pep chromosome:ALNU02000000:8:224669:225894:-1 gene:OGLUM08G00350 transcript:OGLUM08G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHEEEAGESPCVPPGFRFHPTEEELVGYYLARKVASQKIDLDIIQELDLYRIEPWDLQERCKYGGHGGDEQTEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSPSTRVIGMRKTLVFYKGRAPNGRKTDWIIHERKKPMPNQQQHRLSYGCIPGSYGAGAYAAVPDNYSLLLHHDNPSFAGRPLMGAAASALFANNNNNSVVDHSNILSSESKLHFSDMMPPLESPTIVDGEGYVSQASSCVDVDQQAGIVDWNLLTSLLPPPAHQLFHHLPSASSSKNSNNISSSGFIDDRD >OGLUM08G00360.1 pep chromosome:ALNU02000000:8:236935:239253:-1 gene:OGLUM08G00360 transcript:OGLUM08G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAASSASAAEMVGRAVGEVREALNEHADVVAELFGRVSSDLRSGFRPALDSFLGFFHAVDWKEPWLISMLIFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQHWKSFSSQNYFDPQGLFISVVWSGPLLLITILILVNTLVTLCLLMVRWKRAELRHRAREVRNKQD >OGLUM08G00370.1 pep chromosome:ALNU02000000:8:241384:245876:1 gene:OGLUM08G00370 transcript:OGLUM08G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >OGLUM08G00370.2 pep chromosome:ALNU02000000:8:241798:245876:1 gene:OGLUM08G00370 transcript:OGLUM08G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFSYWNGLGLILVFLLRDSELKVPSFLPNHHHSFSVPVVIQCNKLGIICLMAQQGACNVVLIANNTTLSFDDVEATFTPEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >OGLUM08G00370.3 pep chromosome:ALNU02000000:8:242364:245876:1 gene:OGLUM08G00370 transcript:OGLUM08G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQGACNVVLIANNTTLSFDDVEATFSECYNLPTPEVKDSGVNGAIYAVEPLDACSPLRKKAANGPVSPFALNAGFKAVIVYDDEDSGVLVSMAGSSSGIYIYAVFLSKASGEVLKKYSGQSDVEVWILPVYENSAWSIMAISFTSLLAMAAVLATCFFVRRHQIRRDRGRIPVTREFHGMSSQLVKAMPSLIFTKVQEDNSTSSSCAICLEDYSFGEKLRVLPCRHKFHATCVDMWLTSWKTFCPVCKRDASAGTSKPPASESTPLLSSVIHLPAESTALSSFRSTVAVSPPRPIRRHPSSQSTSRAYSISSAPRNYNLQRYYTNSPYISTSRSNVDLANMSSQWSHTPHQASMHSLRSGHLSLPINIRYTIPHVSRSDYGSASLGLSHDSRSHHGSPSYYHSSLGQQRSYLMHRTESGPSLSTMVLQSPQ >OGLUM08G00380.1 pep chromosome:ALNU02000000:8:247406:247717:-1 gene:OGLUM08G00380 transcript:OGLUM08G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKKEVRHGTAQAKVNGDDEMLRTGFINGTPLEAGKVADSQPVDLFDQARRVSQQQQQHRPEEEEDEAGCRKIAESEPVDLFSDAGRVAHQQQHKGVGRQA >OGLUM08G00390.1 pep chromosome:ALNU02000000:8:247811:255375:-1 gene:OGLUM08G00390 transcript:OGLUM08G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLCYASKVLRSHDFMATSSSVGMLVVVRYLVGGKVLLPRKKEGLEEDVKSRSSRGAIISTAIVGVGIPLSLPSPGRSSIA >OGLUM08G00400.1 pep chromosome:ALNU02000000:8:255453:255872:-1 gene:OGLUM08G00400 transcript:OGLUM08G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:A0A0E0APW4] MAATALSSQVRLPMSLRVATAPAPARVSVLPASNKLGDRLRMQATYNVKLITPDGEVELQVPDDVYILDQAEEEGIDLPYSCRAGSCSSCAGKVVSGEIDQSDQSFLDDDQVAAGWVLTCHAYPKSDVVIETHKEDDLI >OGLUM08G00410.1 pep chromosome:ALNU02000000:8:269996:280040:1 gene:OGLUM08G00410 transcript:OGLUM08G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAQQDMDPPTRDALSEALDGNRSDHSVYDAEHSGQNGIGDTKKRTSSSDLSTDDNFSSSALQSKHEHMNRDALSIDDRSVKSGDESDGAESTSGKSGSIDSTCTENDSIWIPPEAADKEYEADSVSGKIAYADDDDDYSDGIKWGRSSFPATNEEQEVSHNTRDERESAMLDAMNGQLKILVSRFLASAGISFSKGESGESWLDILTSLSWEAALLIKPDASKGKEMDPGSYIKVKCIASGTRRQSEVINGLVFKKNAAHKHMPTSCHNPRLLLLKGVLGHSDVGLSSFNSMDQEKDHLERAISKMMEICSPNVILVEKTVSRDIQELLLKEGVTLIFDMKLNRLERIARCTGSPIISFSEVLDKPKLKRCDSFHIEKFIEEHNSASDGGKRLSKTLMFLEGFPKPLGCTILLRGANSEELKKVKQVMHYTVFAAYHLILETSFFEDQRIFLNDQNASRENSVTAMAGPSANGYDPSVLCASDFPSRDDSPALRLYHATSNGYTDVKKSLSSSTKVDAPSSITNSSSSVGEDASIRYDSKPPLHSQRLPSPVPGTLRKYVGMLSHQNIYLPVTSLQETCATQIEGEVESGKEIVSNGFHVGSKVEEPAVSTANVDRSQDHQRQERIQDIMPTDSTHDKREESPVMVEDGEQHSTIDIISKEKTTNEDQADDALDTHSILILMSSQCITKQVICEQSHLSRIKYYGNFDVSLGRYLQDILQNPVLQNQKLSCSSCGEFPESHLYSYTHRNGNLTVRVKHLAPQHHLPGESEGKIWMWTRCLRCENEHGMSKSTPRVLISSEARSLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSKVAMFRYSSVEIYTTCKPQTTLQFDNPCRQDWFKEERRYVLARGIKLFSEVASMLQPLKDQLLDVTTTNCTGSLPVKDFSELEELLIKEKAFFEDSLEKTINQNENLSASVHELVDINWSYQDLLLELYLWDRRLDQLTKWVSAGQERVVSCKDPFDTVVKNIRVNQEIENKADELTCDRTTSVLSAVGLTECPSNRNYIDHQSVDIEAPVLTENQGAGCAQFSSTGGRNDEDSYTAPYQLEVDSMAQTKEVPSFEISEVQGDGIVVHPISLDQELSNAPNHFRKIPDWDTGEGWIWNSFHECQLAYRKDIQNEILDKFEIVNRYSPSHMSPLFEQHEEVSSPQFTVGPGGNILSVLEDEISSIIARALAVSGEHRHLVENETEGARLEHAKTMEKSYSFMSGSSLDSSPWSSIGSLDSEASFLSLGSSVSSDDLSGYDSLPLFSSIHPEVAVNGKVALRGKYSVTSIYAKQFQDLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFIIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQTRHGKEIKIDLLVMENLLFGHNISRIYDLKGAIFSRHVAHSNDRNTVYLDQNYVEDMRVSPIYIGGRTKHLLQRAIWNDTSFLTSVNVMDYSLLVGVDKEKHELVFGIIDYLRQYTWDKQLETWVKSSLVVPKNVSPTVVSPKEYKKRFRKFMAKHFLTVPDTWSSENSSGPSKSFGHSNNMLVEVHSDDNLLQHPIEAETVV >OGLUM08G00420.1 pep chromosome:ALNU02000000:8:278747:279694:-1 gene:OGLUM08G00420 transcript:OGLUM08G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFYSSIGQGVEALHRRLAVGEVGFMSAAFVQQAAALVRSVHAQLLEVVGRLHLPAGERWLDEYMDETSRLWDACLLVRAGASALHAYSAAAAHAIHHLYDHDDDYIHAARAINAPRRHAAGLLQDNRALLHDNIHDPASLLLLDHRSPRDLNLNAFNGFRALLYALRNATSFLLAILLSATVSSCLPDHLISTCTPLPLPTAPGYASSMARLRHRVAQEMRALAAPAAADGILMYEFRQARAAIDSLKADLDRVVATGTGYAHREDMAERAHLVKGCLAMLGSGAEAVIAELDDLFDDIVEGRKMLSDLCSHR >OGLUM08G00430.1 pep chromosome:ALNU02000000:8:291898:294496:-1 gene:OGLUM08G00430 transcript:OGLUM08G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKMGDVATIRAVLAILQWWGFNVTVIIMNKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKILKMKPLIEVAPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWRKYFEWRIWASLVPIVGGIMLTSITELSFNMFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVINWLYTYDSIVPALIIITTSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQSVNSSPRTPRSRMEMLPLVGDKQEKI >OGLUM08G00440.1 pep chromosome:ALNU02000000:8:296393:299501:-1 gene:OGLUM08G00440 transcript:OGLUM08G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNRPPPPRKRQPPPPEDHLVTYKRRRSKETQPLPLMANGANSKKDAKAQHWISWRDTLHGFLQSPAISQGGGIQTCIRHALQHNPCLLTNGVVVHTEFKGNLAHSQGEEAKVQHPNGAAGGKVVSADAAIQDAAAAASSEANKAMCNNALFDILVSQKFALLCHLLLGTFHVNKPGDVIDLEKIDAKMRNGDYAHNPALFDEDIQQMWEKFEQVGQEMTGLASNLSTISRVSYQKQASGFSEAEVAEHRIEEISLPGAVHVVTKESTTTVQLAPCDSSHSTIPKRTVPPGRDLCPCDGCGTKVDVEEGLICDECDTMYHFACVKLLNPDIKQVPAIWHCSTCSFKKKELAADTTNNVAHDCLHGGNCVLCDQLELVKTEEEDPKLPIKIELAEEREGSSVSSMGEDNEPDLSTTALSNLCKHCGTCEDDDKRFMVCGHPYCVYKFYHIRCLKTSQLAIEQQKKLGCWYCPSCLCRGCFQDKDDDQIVMCDGCDEGYHIYCMRPARNTIPKGKWYCTFCKIRRAAEGMHKYEDSVLKIHGNSKHACNVNQSKDSEGDGTET >OGLUM08G00450.1 pep chromosome:ALNU02000000:8:300893:303978:-1 gene:OGLUM08G00450 transcript:OGLUM08G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDELAGEGEVDGAELILSLPEDVLGLISAHLRPRDLLALSAASRSLRNAVSTADKAWLAQCRRLLPTASHLLAWCAALAHSSLAVCRFLHSAAPLLGLWAHQNPELGNLVLALPGFLSLVAVRSIPQELSPRLLWAPVFELLADHRGHPAFLFLHGAAHDSLFPGLLSSSLHPLANTLFLEAHTSTSTSHFSRLAFADRRRLLNALVAASRLTLPPDVATAPLFARSDADLPLLAARREAMLRLHSQSGGGMVRRAEIEALLIGARKTAALPADTAGDKMRLRRSFSAVAGFVRNSLRQMVTRSASANSRAEYAHPKHLPLDEFLRAGESVGLSLRGARMRLSIYRNWPSMHDNRFVLYKLTTQVPMPGREYAGLWGGTFGWPPGRPEDERKPGKALFFLLLSYEEDSEGKLQLIATKVLEGTHYVVHPNGSSMFIVRVGEPSTETFPWQTDEESRAVEIKRSFAGEGIATGYGFRYPGSKPGSLFVLQDGRLAFVWRENKAVLTLQRLDLEDMIRRGERVPSLPPIPNFAYLTKSYSNVFAVAPGSTSIPASPRYS >OGLUM08G00460.1 pep chromosome:ALNU02000000:8:311419:313044:-1 gene:OGLUM08G00460 transcript:OGLUM08G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLGALLFQLDGLRHDEQASLHAPQEASTMPTMSCSDLLLAMMCPLILLLIIFRCYAYATRSGGMLSRVPSPPGRLPVIGHMHLISSLPHKSLRDLATKHGPDLMLLHLGAVPTLVVSSARTAQAILRTHDRVFASRPYNTIADILLYGATDVAFSPYGDYWRQIKKIVTMNLLTIKKVHSYGQTRQQEVRLVMAKIVEEAATHMAIDLTELLSCYSNNMVCHAVSGKFFREEGRNQLFKELIEINSSLLGGFNLEDYFPSLARLPIVRRLLCAKAYHVKRRWDQLLDQLIDDHASKRRSSMLDNNDEESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDTSYIELEYAMAELIQKPQLMAKLQAEVRGVVPKGQEIITEEQLGRMPYLKAVIKETLRLHPAAPLLVPHVSVVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMAGYNGNNFNFLPFGTGRRICPGMNFAIAAIEVMLASLVYRFDWKLPIDQAANGGIDMTETFGITIHLKEKLLLVPHLP >OGLUM08G00470.1 pep chromosome:ALNU02000000:8:316323:317849:-1 gene:OGLUM08G00470 transcript:OGLUM08G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMSYSLLLPILCPLILLLLFRCYAYATRSSGMLDKLPSPPGRLPLIGHMHLIGSFPHMSLRDLATKHGPDLMLLHLGTVPTLVVSSSRMAQVILRTHDRVFASRQQSAITDILCYGATDVVFSPYGDYWRQIKKIVTTNLLTIKKICSYSQTRQQEVRLVMAKIVEKVTTHMAVDLTELLSCYTNNMVCHAVSGMFFCEEGRNQLFKELIEINSSLLGGFNIEDYFPSLARLPVVRRLLYAKAYDVKKRWDQLLDKLIDDHSSKHRSSLLDNNDVESDFIDVLLSIQQEYGLTKDNIKANLVVMFEAGTDVSYRELEYAMAELIQKPQLMAKLQAEVRGVVPKGQEIVTEEQLGRMPYLKAVIKETLRLHPAAPLLVPHVSMVDCNVEGYTIPSGTRVIVNAWAIARDPSYWENAEEFMPERFLSNTMAGYNGNNFNFLPFGTGRRICPGMNFAIAAIEVMLASLVYRFDWKLPIDQAANGGIDMTETFGITIHLKEKLLLVPHLP >OGLUM08G00480.1 pep chromosome:ALNU02000000:8:319671:321197:-1 gene:OGLUM08G00480 transcript:OGLUM08G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYYTLLLALLCPLLLLLIKRCRAKTRDDELFDKLPSSPGRLPVIGHLHLIGSLPYVSFRELAIKHGPDLMLLRLGTVPTLVVSSARAAQAILRTNDHVFASRTYSAVTDILFYGSSDVAFSPYGEYWRQVKKIATTHLLTNKKVRSYGRARQQEVRLVMARINEAAVARTTVDLSELLNWFTNDIVCHAVSGKFFREEGRNQMFWELIQANSLLLGGFNLEDYFPNLARVTTVRRLLCAKAHNVNKRWDQLLDKLIDDHATKRSSSVLDLDNEESDFIDVLLSIQHEYGLTRDNVKAILVIMFEGGTDTAYIELEYAMAELIRKPQLMAKLQAEVRSVVPRGQEIVTEEQLGRMPYLKAVIKETLRLHLAGPLLVPHLSIAECDIEGYTIPSGTRVFVNAWALSRDPSFWENAEEFIPERFLNSTAPDYNGNNFHFLPFGSGRRICPGINFAIATIEIMLANLVYRFDWEIPADQAAKGGIDMTEAFGLTVHRKEKLLLVPRLTQD >OGLUM08G00490.1 pep chromosome:ALNU02000000:8:329620:331411:-1 gene:OGLUM08G00490 transcript:OGLUM08G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPLPFVLIIFFFLLLLMLLHYYLSASTRRSSAASKSNDDLLPPSPPRLPVIGHMHLVGSNPHVSLRDLAEKHAADGFMLLQLGQVRNLVVSSPRAAEAVLRAHDHVFASRPRSAIADILANGSSNISFSPYGDYWRTARKLVAAHLLSPKKVQSLRCGREEEVGIAVAKLHEAAAAGAAVDMRELLGSFTNDVLCRAVCGRSFRREGRNRLFMELAAGNADQYAGFNLEDYFPSLAKVDLLRRVVSADTKKLKEKWDSVLGDIVSEHEKKSSLRRDDQVQMDDDQEEEESDFVDILLDRQQEYNLTRHNIHAILMDMFAAGTDTSYIALEFAMSELIRKPHLMTKLQDEVRKNTTTKMVSEDDLNNMPYLKAVVKETLRLHPPVPLLLPRLCMAQCNANGYTIPANTRVIINVWALGRDAKCWENSEEFMPERFMDSGDTIDNVDFKGTDFQFLPFGAGRRICPGMNFGMASVELMLANLMYCFDWELPVGMDKDDVDMTDQFALTMARKEKLYLIPRSHVIKIT >OGLUM08G00500.1 pep chromosome:ALNU02000000:8:335166:338038:1 gene:OGLUM08G00500 transcript:OGLUM08G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNKKRYNTNTQIVMNDMDEQPSMARSGIKSQRRLTLWLSSIDEAKLAMELNNTEPLTAVSAQAAAVLLLLLLLLRFARATTMAGDRKSVLLSKLPSPPLRLPVIGHMHLVGSLPHVSLRDLAVKHGPDLMLLRLGSIPTLVVSSPRAAEAVLRTHDLAFASRPRAMIPDIITYGATDSCYGPYGDHFRKVRKAVTVHLLNSHKVQAYRPAREEEVRLVIAKLRDAAAGAAAPVDMSELLHSFANDLICRAVSGKFFREEGRNKLFRELIDTNASLLGGFNLEDYFPSLARTKLLSKVICARAMGVRRRWDQLLDKLIDDHATRLVRRHDQDQDDDDDAQQQQDSDFIDILLYHQEEYGFTRDNIKAILVDMFEAGTDTSYLVLESAMVELMRKPHLLAKLQDEVRRVVPKGQEIVNEDNIVDMVYLKAVIKETLRLHPPAPLYIPHLSREDCSINGYMIPTGTRVFVNAWALGRDANFWDMPDEFLPERFMDFNIDFKGHDFHFLPFGSGRRMCPGIHSATVTLEIMLANLMYCFNWKLPAGMKEEDIDMTEVFGLTVHQKEKLFLVPQAA >OGLUM08G00510.1 pep chromosome:ALNU02000000:8:368785:370596:1 gene:OGLUM08G00510 transcript:OGLUM08G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLQLEAKIAAQAVVIVFFFLLLPLALLFHFARAAISSRDRKTRELILSKLPSPPYKLPVIGHMHLIGPLPYVSLRDLAAKHGRDGLMLVRLGSVPTLVVSSPRAAEAVLRTHDLAFASRPRSMVTDIIMYGALDSCFAPYSDHFRSVKKVVTVHLLNSKRVQAYRHVREEEVRLVMARLRGAAAAAAAVDVSQTLQFFANDLICRAVSGKFLCEQGRNKVFRDLMEANSNLLGGFNLEAYFPGLARMPLISKLICARAMGIRRRWDQLLDMLIDDHVVSARDRAKNDDDDDFIHVLLSLQDEYGFTRDHIKAISIDMFEAGTDTSHLVLEYAMVELIRNPHILTKLQDEVRSITPKGQHMVTEDDIADMVYLKAVIKEALRLHAPGGLTIPHLAREDCNVDGYMIPAGTRVLINLWALSRDVNYWDKPDEFLPERFMDGSNKNTDFKGQDFQFLPFGSGRRMCPGIHSGKVTLEIMLANLVYCFNWKLPSGMKKEDIDMTDVFGLAIHRKEKLFLVPQIANY >OGLUM08G00520.1 pep chromosome:ALNU02000000:8:371795:372469:-1 gene:OGLUM08G00520 transcript:OGLUM08G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAVVRQRPTVGKQAGEGESAAAAGDGGGGRPPAARRPSLLSQALASTASLANLLPTGTVMAFQLLAPTFTNNGACDATTSLLTAALLALLALSCVLASFTDSVRGPDGRVYYGLATPRGLWLLDYPPAGAGAPPPPDTSRYRLRAIDGVHALLSVGVFGVVAARDKNVVGCFWPSPAKGTEEVLGIVPLGVGVMCSLLFVVFPTTRHGIGYPVTNNTTSSS >OGLUM08G00530.1 pep chromosome:ALNU02000000:8:387095:387766:1 gene:OGLUM08G00530 transcript:OGLUM08G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAPEQKQRQEADDDDFTFPTPPQPHLFATTRHLPCSPSPSSSPPVWLLSSPIRRSFSAADCAGSPWRNARVVLRRRHATGGGGGACSPALSDYAAGFCDGAEEEEEEEEEEEERMDSLWEDLNDDDAAGKKGGDMFLASLDVSRRRSVGGAGLAEKREGGGGAAVWGLGASRSSRRRAPGLVAMMRSLKRMLVAHKGKSKVHKSDDHTTATASSCSNSGKK >OGLUM08G00540.1 pep chromosome:ALNU02000000:8:391392:393115:1 gene:OGLUM08G00540 transcript:OGLUM08G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQERNVVSIVGLGGLGKTTLVKEVYRDIGGKFDCKAFVSRCGGLPLAILTVASLLATNRIMLKEEWEYIRNSLGSQFATNPTLEGMRKILNLSYKHLPHHLRACLLYLGMYPEDYIFTMTDLVRQWVAEGFVSNLQGLSAMDVGESFFNELINRSMLQPEGTEYNGKVVSLEKIPNITLKKCIMDLPAPVKDNYCPRPSESNS >OGLUM08G00550.1 pep chromosome:ALNU02000000:8:394112:395125:-1 gene:OGLUM08G00550 transcript:OGLUM08G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEPEELQFLGMVGIYREAASILRAHRPLFARIAAAFVLPLSLLFLLHIAISHALFSHIDSDDSALDSAAPGTPAQRRLLHRLADDWLALLLFKAAYLLALLLFSLLSTAAAVFSVASVYSAKHDALSFPRVLSVVPRVWRRLAATFLAAFLLLFAYHLLFVAVFVALLVAADSGSGLAALLAFLLALAYIAGLVYLSVVWHLASVVSVLEDYKGFEAMRKSKALIQGKLWTASAIFFVLNVVFIVVEVAFRAWVVRGATHGLGAGSRLLLGLAMLAALCAVVMLALVVQTVVYLVCKSYHHESIDKSNLSDHLEVYLGEYVPLKASDVQMEQFNL >OGLUM08G00560.1 pep chromosome:ALNU02000000:8:398909:405089:1 gene:OGLUM08G00560 transcript:OGLUM08G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33440) TAIR;Acc:AT4G33440] MLPHATAHQPTPSPRPRLLPVAAAALLLASSYLALTRLPAAAPLAALVAPATARVDGDRDRDSCAGFYRRGSSGRRAASASVEEFGAVGDGVTSNTAAFRRAVAALEARAGGGGARLEVPPGRWVTGSFNLTSRFTLFLHHGAIILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLDDVVITGNNGTIDGQGRIWWDLWWNRTLNHTRGHLIELVDSTNIMISNITLRNSPFWTVHPVYCRNVVVRNLTVLAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAVKSGWDQYGISVGKPSSNIIIQRVSGTTPTCSGVGFGSEMSGGISNVIIRDLHVWNSAQAVRIKTDVGRGGYITNITIENVRMEKVKVPIRFSRGADDHSDDKYDRSALPKISDVRIRDVVGVDLQRAPMLEAVHGAVYEGICFRNVSLTVIKRQDRWHCESVYGEAHDVLPAPCEEFRRNGSSSWCGHS >OGLUM08G00570.1 pep chromosome:ALNU02000000:8:403908:408314:-1 gene:OGLUM08G00570 transcript:OGLUM08G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G13650) TAIR;Acc:AT2G13650] MSRTPSIAIPVDSDASPRKNTPETVTSPLVNGEKSIFRDQCTWESITRSFCIDNTDLEDGKAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >OGLUM08G00570.2 pep chromosome:ALNU02000000:8:403908:408314:-1 gene:OGLUM08G00570 transcript:OGLUM08G00570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgi nucleotide sugar transporter 1 [Source:Projected from Arabidopsis thaliana (AT2G13650) TAIR;Acc:AT2G13650] MSRTPSIAIPVDSDASPRKNTPETVTSPLVRGYSALGSPLRREVGNRHAEKDRDRPSHFLSLPKIQNQALLSGLAYCISSCSMILVNKYILSGYGFSAGIFLMLYQNIVSVTIVSTLSLSGVIPTEPLTWKLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHDRQVWISLTLMLTLRHVMDSAKQATKSGNLNELSMVLLNNILSVPLGIILVLGFNEVEYLFETPLLRMPMFWIVITASGVLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSIAGILLFKVRTSMENSFSILFGLLAGVFFARAKLLNNSQS >OGLUM08G00580.1 pep chromosome:ALNU02000000:8:409673:413161:1 gene:OGLUM08G00580 transcript:OGLUM08G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT1G43860) TAIR;Acc:AT1G43860] MVSFTVLSAWEKKRKKEKEKEKLSWRISSQREADVGGPFTRASHPAHEPAQLGGRPDTIQLLVSSSPLVSCRVVFSNPSKPKPRRREEAARARVWGGGGEMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRTRVEKDIDEVLQSHTVYSNVSKGVLAKSKDLLKAFSTDDHTAICLEILDKGELQVSGKEREAQLSSQFHEIATIVMDKTINPETRRPYTITMIERLMHDVHFAVDPNLTSKEQALKVIKKLTEHFPIKRAPLRVRFTAPKSKFASLTEKLEEWNANVISKDESGSQPSVVCEIEPSILRSCEERLKDVQGRVEVLSVSAHAEGGSSVDQYENTEESQSVPAVEIDPVARIGEAMQKQSISSEPENPGQGQGKQQRRCKECDVLVEDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECLVEMELADSKRDLKDYDF >OGLUM08G00590.1 pep chromosome:ALNU02000000:8:413733:416095:1 gene:OGLUM08G00590 transcript:OGLUM08G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIELPRGLPFAVDTWTPASAVKRHRFLTHAHRDHLAGITATNVAAVYASRLTILIARHIFPQLDRADAFVEVELGAPVLVPDPDGDFTVTAFDANHCPGAVMFLFEGASFGRVLHTGDCRLTPDFRFLAADYVFLDCTFAACSLHFPSKDDSIRQVINCIWKHPNAPVVYLVSDMLGQEEILMEVSKVFGSKIYVDRDKNSECYHTLSLVAPEILTDDSSSRFQVIGFPRLSERATEMLVLARAKHQPEPLIIRASSQWYAYYEPPEGSTKRKPALTEPMRDEFGVWHVCFSMHSSREELEQALRFIQPKWVISTTPPCMAIELSYVKKHCFASRLRNDDPLWKLLRLSDGNSAVSGSPLAVPTVEMIKKCEEEEVTCSVKDSFSSDGIRMRDQEPTLEDFEINVEPPVTLFGIARFGLTEEPELWKDEHESVEIDELKVQVENSATECEQWKDGISDLGSEVIDSVEIVPKEQDSAIESEQLKDCEPKDGTKAIDVTEVEVNEHTSCTESVLWKMDRSDECVTTKRGEFEAEEQKFTGRYQFWKICKPKEMEGAKLTVQGKNTVEALDQVLAIDRLAYLHDNNQEVTKEGIAPSETDQENSEVPDKGSSDSSNAIGSSKGLNANLRKLFRSMNVPVPRPLPSLVELMAASKRPRVSPAVQL >OGLUM08G00600.1 pep chromosome:ALNU02000000:8:424466:432769:-1 gene:OGLUM08G00600 transcript:OGLUM08G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSASASAAAASLLLVLVLLSPLTWAAFNWAGNGDLCGPTLGPYLGHRELTCGPHIPVSQPTSSVRTPLSGRRGGGGGGAASPELERLAMGVRGGGSALGRGGASSSKRTKQGGRGGAKRTKQQGGRQRATEPGDAHQVFDRLLKRGDRASIFDLNRALSDVARASPAVAISLFNRMPRAGATSAAPNIATYSIVIGCCRRLGRLDLAFATVGRVITTGLRMSPILFSPLLKGLCDRRRTSDAMDIVLRRMPELGCKPDVFSYTILFKGLCDDNTSQQALDLLHIMADHKERCPPDVVAYTTVINGLLREGQLDKAYSLFDAMLDRGPSPDVVTYSSIISALSKTQAMDKATQVFTRMVKNGVMPDCIMYTSLVHGYCSSGKPKEAIGIFKKMCRHGVEPDVVTYTALMDYLCKNGKSTEARKIFDSLVKRGHKPDSTTYGTLLHGYATEGALVEMHDLLDLMMKKGMQLGHHIFNMIMGAYAKHNKVDEALLLFSNMRQQGLNPDIVNYGTVLDILCTAGRVDDALSQFNSLKSEGLAPNIVVFTTLIHGLCTCDKWDKVEELAFEMIDRGICLDTIFFNAIMGNLCKKGRVIEAKNLFDLMVRIGIEPNSNTYNTLIDGYCLDGKMDEAMKLLGVMVFNGVKPSDVTYNTIINGYSQNGRIEDGLTLLREMDGKGVNPGIVTYEMLLQGLFQAGRTVAAKELYLRMIKWDTIENRNDDAEDLFAALSTNGLVTNVVTYWLMIENVVKQGLLEELDDLFLHMEKSGCTKLLHKGQFDCHAMHCICGSKLADNSEVMAAAASASLFLFPSQCRPGHRVMSHHQDSRMREVNDLLSNQLCGGTPAGLGESSATSSPAVADCFLRHSRTTCRSLSLSLSLPAAAAAAAEQPASFLPNFRHLSSFN >OGLUM08G00600.2 pep chromosome:ALNU02000000:8:416356:432769:-1 gene:OGLUM08G00600 transcript:OGLUM08G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSASASAAAASLLLVLVLLSPLTWAAFNWAGNGDLCGPTLGPYLGHRELTCGPHIPVSQPTSSVRTPLSGRRGGGGGGAASPELERLAMGLGPAVAAMSRRVLSAPDGAKVSRFNLMKLQGRQEAAAGTESHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGCPPDVVSYNTFINGLLREGRQLDTAYHLFDQMLDQGLSPDVRGHKPNSATYGTLLHGYATEGSLVEMHHLLDMMVRNIMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRTLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFNTLLNHLCKEGKVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGMVLDGVKPNEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILHGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYLLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRLLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPAVKTRAV >OGLUM08G00600.3 pep chromosome:ALNU02000000:8:416356:432769:-1 gene:OGLUM08G00600 transcript:OGLUM08G00600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSASASAAAASLLLVLVLLSPLTWAAFNWAGNGDLCGPTLGPYLGHRELTCGPHIPVSQPTSSVRTPLSGRRGGGGGGAASPELERLAMGLGPAVAAMSRRVLSAPDGAKVSRFNLMKLQGRQEAAAGTESHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGCPPDVVSYNTFINGLLREGRQLDTAYHLFDQMLDQGLSPDVVTYNSIISALSKARAMDKAAVVLARMVKNGAMPNRITHNIMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRTLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFNTLLNHLCKEGKVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGMVLDGVKPNEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILHGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYLLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRLLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPAVKTRAV >OGLUM08G00600.4 pep chromosome:ALNU02000000:8:416356:424444:-1 gene:OGLUM08G00600 transcript:OGLUM08G00600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLSAPDGAKVSRFNLMKLQGRQEAAAGTESHHAHHAFDELLHRPTTSSIVDLNRALSDAARHSPAVAISLFRRMVMVARPKVPPNLITYSVVIDCCSRVGHLDLAFAALGRVIRSGWTAEAITFSPLLKALCDKKRTSEAMDIALRRMPVLGCTPNVFSYTILLKGLCDENRSQQALHLLHTMMVADDTRGGCPPDVVSYNTFINGLLREGRQLDTAYHLFDQMLDQGLSPDVVTYNSIISALSKARAMDKAAVVLARMVKNGAMPNRITHNIMDALCMVGKVDDAMAQFGRLISEGLTPDAVVFRTLIHGLCARDKWDKAEELAVEMIGRGICPNNIFFNTLLNHLCKEGKVARAKNIFDLMVRVDVQRDVITYNTLIDGYCLHGKVDEAAKLLEGMVLDGVKPNEVTYNTMINGYCKNGRIEDAFSLFRQMASKGVNPGIVTYSTILHGLFQARRTAAAKELYLWMIKSGIKFDIGTYNIILLGLCQNNCTDDALRIFQNLYLIDFHLENRTFNIMIDALLKGGRHDEAKDLFASLLARGLVPNVVTYLLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRLLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVLLVSSGKYDQHINAIPEKYRPAVKTRAV >OGLUM08G00610.1 pep chromosome:ALNU02000000:8:427167:427433:1 gene:OGLUM08G00610 transcript:OGLUM08G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFDHFRKFVLDVHSANKKAIREGWSGRYEVNIYADGKIRELQGYCSVDDLYELPYAKGAVVYARASPFLLAQREETDDDKFKERN >OGLUM08G00620.1 pep chromosome:ALNU02000000:8:432674:436915:1 gene:OGLUM08G00620 transcript:OGLUM08G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAGDYGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKKDTMIRKEVFVSPSVLREATRIIHESEIMKEDDSNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >OGLUM08G00630.1 pep chromosome:ALNU02000000:8:437545:438183:-1 gene:OGLUM08G00630 transcript:OGLUM08G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLLALLVPATLCSRSGPPSSKHGHGGHAKPASPVVPVAPQAAALVRATCNSTAYYDVCVSALAADPSSTTADVRGLSAIAVSVAAANASGAAQAAAALANGTAPLAAAAAGDGTVQALLRACAGKYGDARDALAAAKESMGQQDYDLATVHVSAGAEYPQVCKALFRRQRPGAYPAELAAREEALNKLCSVALDIIALLTSSPSPSNNS >OGLUM08G00640.1 pep chromosome:ALNU02000000:8:442776:447700:1 gene:OGLUM08G00640 transcript:OGLUM08G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64630) TAIR;Acc:AT5G64630] MRGGTVQINWHEQQPVLTLDFHPVSRRLATGGSDHDIKIWVIASDDSDKKLPTATYHSSLSSHSSAVNVLRFSPSGENLASGADGGGIIIWKLHSTDDGEAWKVQKTLLFHHKDVLDLQWSQDGAFLVSASVDNSCIVWDAIKGSVQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCRIYANKPQGKSKNTDRMNFVCQHTLVKAEHQNHDESKPPVRAHLFHDETLPSFFRRLAWSPDGSFLVLPAGLCKYSSEVINTAYVMSRRDLSRPAIQLPGASKAIVAVRFCPVLFKLRGSQSDCFFKLPYRVIFAVATLNSLYVYDTESVAPILIHAGLHYAAITDIAWSSDAKYLAVSSRDCFCTIIEFENEELGLPYNLSGTKELDEGNTNCENMKPLKVDSMEIDAGSSKAKIKASSAAVEVTPSPPVLAQNNILMTKDVAEGNATSENDRPSAVDNMEVDVGESKAKMEVTPVAVQVTAPPVSTKNSASSKPTKKRITPIAIN >OGLUM08G00650.1 pep chromosome:ALNU02000000:8:447939:449098:-1 gene:OGLUM08G00650 transcript:OGLUM08G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPGSPGFGFPFPFYPPNPNPYAPLNPNAPKPPVMPPRPQAPPPPQRFPPPPAPPIRPPPPAPVPPPPSPPPHIVIIVVFVSFGGLLLLACLAALFCWHKKKRRETERKAEVHNLSGHVHVHKATESGPGGAKATVLSIDEDLKFQEVAGESSSAAGAGSHHTPWSWHRRQQEGKAENKAELINVTEHIHVDEKIVSGPQGQKIEILSEDEDIRFEEAGRKEKGDERSKTRITKT >OGLUM08G00660.1 pep chromosome:ALNU02000000:8:485909:486829:-1 gene:OGLUM08G00660 transcript:OGLUM08G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMTHNSSSSSSWDLDMSLGSHHHPLLFDQPPPPPPPPPPPPLPFHLHHHPLDPSPSSSLFPPPPHHHHHAHHLHHPLDLDQRRGHHDYGGGDQGGDEELRLQQEAAAGGGGGGQDGGGGGDQDADEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISDDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPGPPPPPYPAGASPAAGPSTSAVGPPGRPFLPLGGGGPMIDWVGLTRPVDIHGPTSSSSSSSMGGALGFGFGGGGGGQSSHGMH >OGLUM08G00670.1 pep chromosome:ALNU02000000:8:501435:503237:-1 gene:OGLUM08G00670 transcript:OGLUM08G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRREEMMEKGKSELRIAMEELSLPCPGDDEDEQQQRQKRSSTMDLLCVSKHIIHVLDEIGPTLLVLRQDIQQNVQRLQDVLARDPSKYSSLTAIVTEEVEEGTSKKANSCTRAILWLASAVLRILPIRSINFSKHLLEGLLNTCDQSSLREIVEKAYITTLKPWHGWISSAAYRVAQKLIPEKEIFIALLMGNCQEFEVFAKDVKVLLSIVQPLIEEANAVLVKHNLDKLKST >OGLUM08G00680.1 pep chromosome:ALNU02000000:8:503273:506139:-1 gene:OGLUM08G00680 transcript:OGLUM08G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIRVTKLWICDSGHGGHPIRDLLHRGATMTTLAYSSNDMWRWMKMQMAPPVDSLNMKHGSPCVLLLTEWKKASRIDGVGNDDEGG >OGLUM08G00690.1 pep chromosome:ALNU02000000:8:509201:514284:1 gene:OGLUM08G00690 transcript:OGLUM08G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein [Source:Projected from Arabidopsis thaliana (AT5G63640) TAIR;Acc:AT5G63640] MASEMVKAATSEKLKEMDWAKNIEICELVAQDPGKAKDVIKPIKKYLGSRSKNTQLYAVMLLEMLMNNCGEPIHKQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGVKGKFPQYYGAYYELVSAGVQFSNRPNVVVTQAQAPVPVPEPTIEPNNNSLSRRLDEGQKEVHAQPVSESSIIRKASSVMEVLKDVLDSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTSRDEVVVSQAIELNEELHKVLVRHDALLSVQPTTTVASTLKEEEEEDAESLYRRLRKGKALSEDYTDDSIPSFRSIPEDKMRRPLTIEPSNTDKKLGALNIRSPYPEARPDVLIPPPPAKHAERERFFREKSMDANLLGHLRGLSLHSRDGSSSCSGSTDYGD >OGLUM08G00700.1 pep chromosome:ALNU02000000:8:514953:525421:1 gene:OGLUM08G00700 transcript:OGLUM08G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G22620) TAIR;Acc:AT1G22620] MSAVVQMRGSIPLFWSQEAGRLSPKPDIIVQRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTARDGSIDIRASSGDLSRLSSNADSLGPTASQDIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNISKIHPDSSIASALMDLYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSSADTCEEDNFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >OGLUM08G00700.2 pep chromosome:ALNU02000000:8:514953:525309:1 gene:OGLUM08G00700 transcript:OGLUM08G00700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT1G22620) TAIR;Acc:AT1G22620] MTAEADDPTAAETLEKFRLYETRARFYLIGTSREKRWFQVLKIDRSERSELNISEDPVWYSQQEVKNLLQRISEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHPIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTQPIRSRCRNTLWTVALVHGHFKQVKLSIFGRELNVVLISRRSRHFAGTRKLADLVLSLILLRYDPTYEATKLHFDDVAQRYGHPIIILNLTKTFEKRPREMMLRREYFNAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGGVASEALDLTGFYYSGKPKVQKKRSTQLSRTTTASCGSKKANISSSQPVVLAMHSAPYKCYESSNLMVLLHGPTVGAWDGSIDIRASSGDLSRLSSNADSLGPTASQDIRKDDSKQELLGDGPCYQTGVLRTNCMDCLDRTNVAQYAYGLAALGRQLHAMGATNISKIHPDSSIASALMDLYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIRRYYSNAYTDGEKQDAINLFLGYFQPQDGKPALWELDSDYYLHVTTYGDDLISDSYHMTSSTGNSAPGESGAALSPGATLSPVPACKEDFSRMKLTSFDKLIERTCSSIRNVRLHCDADLKQSGTVGTSGMAPDAVEIQLRTPNWLFGQRKHAETVPTVKVIPVENANEGNGEDTNVSLCGELNWLSSADTCEEDNFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSEFCQGSVMDPLEHDPEKEMHYAEALSVDIDITDDATVEAEMQAALDDYQIIGSDLSIIPSCGSLAEDPSQLTRWIIGDDKLQVAQ >OGLUM08G00710.1 pep chromosome:ALNU02000000:8:525829:528644:-1 gene:OGLUM08G00710 transcript:OGLUM08G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosine monophosphate kinase [Source:Projected from Arabidopsis thaliana (AT5G47840) TAIR;Acc:AT5G47840] MASSMAATATLSPPVLSAERPTVRGGLFLPPSPATSRSLRLQSARRCGISPATRKPRSLPRAAKVVVAVKADPLKVMIAGAPASGKGTQCELIKSKYGLVHISAGDLLRAEIAAGSENGKRAKEFMEKGQLVPDEIVVNMVKERLLQPDAQEKGWLLDGYPRSYSQAMALETLNIRPDIFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTHYQNVESLLSIYEDVIVEVKGDALVDDVFAEIDKQLTSSLDKKTEMVASA >OGLUM08G00720.1 pep chromosome:ALNU02000000:8:532033:537470:1 gene:OGLUM08G00720 transcript:OGLUM08G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ01] MFRENRAASSAQLVGWPPVRAFRKNLSTPKPADADDLMNKVKLCSDEGHGSRCAAQERRSSSTMFVKVNLEGYAVGRKIDLKAHRSYDSLSQALQSMFHGFLSDGIATRDNELQQMEEGSKKRYVLVYEDNEGDRMLVGDVPWELFIASVKRLYIAQDPRVHAKLR >OGLUM08G00730.1 pep chromosome:ALNU02000000:8:535166:536428:-1 gene:OGLUM08G00730 transcript:OGLUM08G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHALQSLGQRVVAAVRLQVDLPSDSVLGWTGSCGMRAPVAIPPAELMKPRGCLPLPCSQAPRVHSHTKPWTSSTTTTDLAIVGRYAKPKLKAVHITFLKLTVILSLLFQPWCN >OGLUM08G00740.1 pep chromosome:ALNU02000000:8:539194:546929:1 gene:OGLUM08G00740 transcript:OGLUM08G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLRLDGSVRIVSLRSNVPDYPNLPPTVPPRSSTFPHPSPSSRRRRSLSPNPNPNLLPRRPRSAQRRAAPREEKKEERLAARPPTVDSTPLFSAFSATPAREKTPPSAISNNVGPAWSFTSQREGTRRGRRSESGGSFGLNRTQRINPLLQQDDDLAWRKDKVGGKDKVVDDERRRGRTALAAGGGGLATGKGRTVRAMVAVERWSEKERSRGTRQLGKMKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQERKADSVSEPLPSKQETILENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >OGLUM08G00740.2 pep chromosome:ALNU02000000:8:540097:546929:1 gene:OGLUM08G00740 transcript:OGLUM08G00740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQERKADSVSEPLPSKQETILENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >OGLUM08G00740.3 pep chromosome:ALNU02000000:8:539229:546929:1 gene:OGLUM08G00740 transcript:OGLUM08G00740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRGRKMGKKGKLKASITADASPMSPSSPSTVDASSKSPSSPSTEENGTSPAHHQADNAPVIAPPPVPEPAPPEPEKPAVSTDVQNAKPKVYSRVRLKFKSAKVLETHQGPSEAKAPVDGGGGKPASAAPEASKEVAEKAIVSPDGQKDAQAAELSGSDKDKMARKVASINIKSVGLSSVEDKNQERKADSVSEPLPSKQETILENVESETALEPRSSQELEVKQATPERQRDDRELTAALEAIKKVMKMDAAEPFNTPVDPVALGIPDYFDIIDTPMDFGTICQNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDAQENGGNDNTGDEDVKGSKGKSKQKRRRLGNDRHKNDCACAVCQVTRRKKEKDEILAVIENDTAAVNSNISDQQIMEVDSGINNAGSHDTTSSQEQPPQTDMYRRTVVADDSGTQIENHVKFFNNQPLPHDYEDEGSRPYFDEKEEVDYTDLISQEEHTSSQPNDGSEIAQHQHKEPTETSQEIEMEDYPIQKENQSFLQLCARLFPSNQSSVFRGRHSLFRQQQRQVSMKGSPLHAAITAIMKR >OGLUM08G00750.1 pep chromosome:ALNU02000000:8:553966:554352:-1 gene:OGLUM08G00750 transcript:OGLUM08G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLCYPNGEYKLVGNLSELFGDDYSKLVDDAPKYKGIFRKLSCLRAYAEMVRSRRIRSKAARRLDSLVTAAERIYDEAQQAQPGVIKQE >OGLUM08G00760.1 pep chromosome:ALNU02000000:8:554380:556161:-1 gene:OGLUM08G00760 transcript:OGLUM08G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPKGKVAVEEEDEDQDDDDGYSYSVALVGLGAQLGNGGVILVLFETPTGFALFSYDGIKLLRPHALMHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKSIIETTGLLYETDYHVRKHGESMRYAGKHLKKISGINAED >OGLUM08G00760.2 pep chromosome:ALNU02000000:8:554647:556161:-1 gene:OGLUM08G00760 transcript:OGLUM08G00760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSLEEKPKGKVAVEEEDEDQDDDDGYSYSVALVGLGAQLGNGGVILVLFETPTGFALFSYDGIKLLRPHALMHPVWLKEFQAFEDKASALSLDTGVSEQLAGMIRRFICPGQTLAVGKQEYVTIIQSNLGIKCLWNAEVMELMWGLNNLKEHLVPDGKSELSKEDCLPMCEGMKFTLNKYGFGDGN >OGLUM08G00770.1 pep chromosome:ALNU02000000:8:558372:559503:1 gene:OGLUM08G00770 transcript:OGLUM08G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKRTVLAEDSEIQIEKSVGYTDLISQELHNANIRLSHPKQENHSFLPSRVLYSGDTILNLFHQQQWFRVDEAQGSRCCDFGNESCTLKSWELVGMNQG >OGLUM08G00780.1 pep chromosome:ALNU02000000:8:561587:564106:1 gene:OGLUM08G00780 transcript:OGLUM08G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRHGALALTTSFLLAAVAVFLPGHAAARQFSTVAISNSPDATVVCALVRPKDVPDDVPGAKLRCTSMPTGEVMSYPSADVPYNAIAAGTDFLCGLMAPNGGHAAMRWWSFSDEAAANRSRPVGRRLYWGPSLRALSAGGPHVCGLSDAHDPTCWEWPGLSLPKGLDFSRIALGRDFLCGVVKGNASAMTCFGGGMAPPAFAGAASIVAVAAGHRHACAVDDDGGMSCWGEGYPDMPPGDLPADMKSMALGRNTTCILDGDDMVRCWGVEVPEEYRRASFVSIEADGDTVCGVLTTNFSVVCWGNERFHGRHLIFNDTMPGACATVGNCSSSCGFVPGSAALCGNGGGEGGEQLVVCQPCKLPLNASRLVVGNGTSKNAAAPTGSGKNRKAVKVAVTAAGVGVAALVVAGLVLYLAVVRKRGGKKNATVQLGESSTLTLCRDLEGAVIMPAPEASPPPPPPPPLGCEEFTVKELSRLTNGFAEERKIGSGSFGSVYRAKLPDGREVAIKRAERPRAASGGGRRRRRRYDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGRVDGHSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDIKPSNILLDGEWTAKVSDFGLSLASSSSSSATATPPAACSTSSTAGTVGYIDPEYYRLQELTQRSDVYSFGVVLLELVTGRKAIHRQEGGSGSPRNVIEFAVPAVEAGGVGRIMDGRVPAPRGNEAEAVSRVARIASECVRPRGRARPVMSEVVAELEWAVTLCEESVHRSSAAAQQQNSSRHGGSDVSRSESDDPSPFHTREFAGVGHGRSNSAM >OGLUM08G00790.1 pep chromosome:ALNU02000000:8:578413:582646:-1 gene:OGLUM08G00790 transcript:OGLUM08G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleic acid binding;RNA binding [Source:Projected from Arabidopsis thaliana (AT2G02570) TAIR;Acc:AT2G02570] MEDLSVEELASNLSTYKEQLREVRKIIKEKNDDPGISEYLDMEKELQEVITLTEELLATANQSGNTQNDVGLSPPNYSSGLQSEALDDPSQSHEKFAVGTKVQAVWSEDGEWYNATIEELTENGYYVSFEGWGNKEEVDPANVRSLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQMRSLPTKLRIDPNDPEDVKATKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTEFQRREKHLHLKDGSSDALDEE >OGLUM08G00800.1 pep chromosome:ALNU02000000:8:584392:587473:-1 gene:OGLUM08G00800 transcript:OGLUM08G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAFRCMSRKEHRGGGGGAAVSRSKRMGSARSGRGGGGGKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGGSMSRRIGPGSTSSRRRGDLPDSVTGAKPVQIVLENLETKKIVLVHGEGFGAWCWYKTISLLEEAGLDPIALDLTGSGIDNADTNSIATLADYSKPLIDYLNKLPENEKVILVGHSCGGASVSYALEQCPKKISKAIFLTATMVKDGQRPFDVFSEELASADVFLQESQLLIYGNGKDKPPTGLMFDKQQIKGLYFNTSPSKDTVLAAVSMRPIPLAPIMEKLSLTPENYGTVPRYFIQTLDDRMLSPDVQEKLVRENPPDGIFKIKGGDHCPFFSKPQSLNKILLEIAQIQAPTALLPGKAKTEAIEATEAKTEEEKTEKLS >OGLUM08G00810.1 pep chromosome:ALNU02000000:8:588308:600588:-1 gene:OGLUM08G00810 transcript:OGLUM08G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLLERGLPPSLPPSLPCGLGGVGRKATARQVGASLYPAAAAAAGAAAGAGAGAGGGIWVGSDQALQSYQGYFSMRNQSTNNRNQAVLFIALVTPMNHGAGMNIFSGADREREELEREGKEAAILSLSEERRRTGWWSTGQTKPDKQEKEKL >OGLUM08G00820.1 pep chromosome:ALNU02000000:8:593989:594345:1 gene:OGLUM08G00820 transcript:OGLUM08G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSAFNAFKSRVAVAWSPKLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETQEMYAARRQAEDDRRAPRPPLVVSHRPPAAATPTPTPTPTAGH >OGLUM08G00830.1 pep chromosome:ALNU02000000:8:600587:604134:1 gene:OGLUM08G00830 transcript:OGLUM08G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQNQGLPRQHQQQQEAGGGGRAGREHAHHLFDELLRRDTTSIFDLNSALSAVARESPAVALSLFNRMPRADLCTYSIVIGCCSRAGHLDLAFAALGRVIRTGWTAQAITFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALHLLHTMMADDTRGGCPPDVVSYTTVINGLLREGQLDKAYCLFDEMLDQGMSPNCITYNCLLHGYCSSGKPKEAIGIFRKMCRDGVEPDVVTYNTLMDYLCKNGRSMEARKVFDSMVKKGHKPDSSIYGTLLHGYATEGYLVQMHQLLDVMVRNVMDALCRVGKVDDAMSQFDSIISEGLTPNGVVFRTLIHGLCACDKWDKAEELAVEMIGRGICPDTIFFNTLLNHLCKEGMVTRAKNIFDLMVRVDVQRDVITYTTLIDGYCLDGKVDEATKLLEGMVLDGVKPNEVTYNTIINGYCKNGRIEDACSLFRQMASKGVNPGIVTYSTILHGLFQTRRIAAAKELYLWMIKCGIKLPIGTYNIILQGLCQNNCTDDALRMFHNLCLIDFHLQNRTFNIMIDALLKGGKHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTANSRMLNALVGKLLQKGEVRKAGVYLSKIDENNFSLEASTAESLVFLVSSGKYDQHINSIPEKYRPTAKSRAVFSQKKKIQGCLMLPVAMELKAMGGNNKKERCMKTKCKKTVSSGTIYDHKTNLVQVCHEQMKSFNNPITLSCIHVQGVKRMYIRIAMYKRRSISIYMFTALSLHFHHLIISNILYLGNCSLPPPALFSLVQLSIHHFLGW >OGLUM08G00830.2 pep chromosome:ALNU02000000:8:600587:605831:1 gene:OGLUM08G00830 transcript:OGLUM08G00830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVRVTGLGRPDAGVSRTKKQQQNQGLPRQHQQQQEAGGGGRAGREHAHHLFDELLRRDTTSIFDLNSALSAVARESPAVALSLFNRMPRADLCTYSIVIGCCSRAGHLDLAFAALGRVIRTGWTAQAITFSPLLKGLCHDKRTSDAMDIALRRMPALGCTPNAFSYNILLKGLCDENRSQQALHLLHTMMADDTRGGCPPDVVSYTTVINGLLREGQLDKAYCLFDEMLDQGMSPNCITYNCLLHGYCSSGKPKEAIGIFRKMCRDGVEPDVVTYNTLMDYLCKNGRSMEARKVFDSMVKKGHKPDSSIYGTLLHGYATEGYLVQMHQLLDVMVRNETCRKAMGGNNKKERCMKTKCKKTVSSGTIYDHKTNLVQVCHEQMKSFNNPITLSCIHVQGVKRMYIRIAMYKRRSISIYMFTALSLHFHHLIISNILYLGNCSLPPPALFSLVQLSIHHFLGW >OGLUM08G00840.1 pep chromosome:ALNU02000000:8:604235:605068:-1 gene:OGLUM08G00840 transcript:OGLUM08G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRSRSVKLVSTRAKPLEVEIAEEDERMSSSADNTVYCCIAKGRKIIYCYNSKDGDPHMETTAALCLENAPSYHRHYIHTAGSRSYGYLMADGHTFFAIIDPSVGNVGALQFLERVREVFRTVNRSGFHDSLVPAVQRLVASLEKMPHATFVLEESVEKGEPSDSSSCTSSKVPLLGRSGSRKDKKKAKEKAASAAVCEDEQHGTRGVRIDVPPEEVGGMSLERSASQSRLRRQHSSRSLWVRHVKIIIVVDAIICILLFAAWLAVCKGFQCVSS >OGLUM08G00850.1 pep chromosome:ALNU02000000:8:611320:613188:-1 gene:OGLUM08G00850 transcript:OGLUM08G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGDGKAMLKSRGGGAAGGAGGASGDEEADYCPPTPRKEWWTTGLLVRLVTVAVIFMAGVVIGLSASANVSRYYYNSHTELFFPSNTFGACERADCAPTFKSFVHPPHLAHSMSDPELFWRASLVPVADDFPFQRVPKVAFLFMTRGPLPFAPLWDRFFRGHHGLYSVYVHTLPDYKLNVSKNSAFFARQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHILPSQWRKGSEWFELNRELALRIIADNKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGSLNANRTITWVDWSRGGPHPARYGASNISEEFIQAIRNNGTRCTYNSKPTSVCYLFARKFAPSALGPLMNLTSTILDF >OGLUM08G00860.1 pep chromosome:ALNU02000000:8:615585:622460:1 gene:OGLUM08G00860 transcript:OGLUM08G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ19] MTRPVRVRSKREERERSSPPPSCLLDPDLSSAAASPPPPPWTPQGLMENFSPRTLLNSILRITVLTSDGSTARPKPIQKYCQNVCDISSIVSPLIEDLCESPEEQLNEVLRELGTAINRASGLIGNWQQTTSKIYFIWQIESVISDIQGCSLQLCQLVNSLLPSLTGRACTCIEKLQDINYENMFDLVKESSLELVETDTTSPENLSRLSSSLSLSTNLELYMEAVSLENLRARAMRSENREEMDLADKMIPLVNYMHDHLLRETQLLSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHNIRLPDPMKSLKLNFPLAVSALQDSSTTGSSPLHPTVAAKGNIPGSPEADLYMRSLNRASPPHSVVHQNSHAHVNRAGHEASIKQSSENANGSASDVSRLSLAGSETRESSLEERNAGSIGQTSEQSIEEAFQASNLDRDSHDHVGSSSVNGSLPNSGQLDAECDNGPSERTNYSSDASGEVTDGPSASSAPQREHLIPSRLADVRSRGQFVRRPSERGFPRIISSSSMDTRSDLSAIENQVRKLVDDLRSDSVDVQRSATSDIRLLAKHNMENRIIIANCGAINLLVGLLHSPDSKTQEHAVTALLNLSINDNNKIAIANADAVDPLIHVLETGNPEAKENSAATLFSLSVIEENKVRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVKYLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSSRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >OGLUM08G00870.1 pep chromosome:ALNU02000000:8:621658:622719:-1 gene:OGLUM08G00870 transcript:OGLUM08G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVSAGWSPDLAMDAYLHTLQLPRSMEYIAALAAGNQARHLLDVASSAGGGGASSSSSSSPATAVALAIAAARTGGRLVCVRDDQQGLDGVRRHLRRLGLATSAVDFQLAPSPSAAVRRLRRVDFAVVDAGVERCGEVLGAVDVDPMGAIVVVTNVFQEERTSWSSRSGHGDGSRVCSYGQVVGKGRSMVLPIGHGGMEVTKLGLGRRVGGGGLIGAHLQWQRQQKKKLVSTPKRTFLVCDGSS >OGLUM08G00880.1 pep chromosome:ALNU02000000:8:625554:635143:-1 gene:OGLUM08G00880 transcript:OGLUM08G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPAARGALGDDASGASTSQAAPGAVDGGASPDSLRNTPSNIARLEDAIEHCAARRKYLARTKSPSDGEDVRWYFCKLPLADKVDTVLVIVDLVEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWIPLREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGGNTIKLRRGFSSSGSAKPTQDELRQQKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTPYQRSTQRVLFIPCQWRKSLKLSGEQSVEKITLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSDLSLQQVSNQLNQLYTKFIKRNPGYSGKVSIYGHSLGSVLSYDILCHQESSSAPFPVDYMNMEVSSDEGHIAKSPDTVTAHESVMKEQDTSSISGHSCADNVNDVVDEGSTRTGPSCTEDTTLPTCALENSPKLTTDALPTAVDGEQIEVEKQVDNHKIACSEEGDNSSVRAKDIDSCIISRSAEGVHADVPDKDTLISSLKEEVERLKARLAQLEQHNDLVTESSAESHQGKSATHAVNLSSGKNRIGQGSTSESYTPHIRYTKLNFKVDTFYAVGSPLGVFLSLRNVRIGIGRGQDYWQNENIVEEMPCCRQMFNIFHPFDPVAYRIEPLVCEDYISKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAVTRQFKSLKVKAVAALLSLSRNDTDEDVDSNNEKEKSYGYMMMERLTGSPDGRIDHVLQEKTFQHPYLSALGSHTNYWRDHDTALFILKHLYRDIPEEPPTDDPERMPIRLFYVRDPIAEETPLTFSDNSLVKEFSRKVRTYSRKSENDSSCESS >OGLUM08G00890.1 pep chromosome:ALNU02000000:8:636608:642484:1 gene:OGLUM08G00890 transcript:OGLUM08G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEIRLSPSYTYYKHRNRKIRLDVPYIKRRRQKHELSLPTPHKAAPPHPCVKKKKIGGENLSNPNPLVPPMAESDAAAPPPEVVAPVEEQTAPAVGASTLEEAEPAAAAAPAAEGEGEHKRKLEEVDAAPDANGGGEDAKRPRVEGDGEGVVQSNESSAKVDEPVATENSDAAPAEASVDAVNGKVPPTEDSQMGSGEKPAAEAAVTEAPPQEGGGAPGQETSRIINVPNNKVGVLIGKSGETIRNLQMNSGAKIQITKDAEADANAPTRSVELVGTLESIDKAERLIKNVIAEADAGGSPALIARGFGSGQSGSEQFEMLVPDNKVGLIIGKGGETIKTLQTRSGARIQLIPQHPPEGVTLTERTVRITGNKKQIEAAKDMIKQAMSQAFSRHGAQSGGYGQQNYRPQGHGAASQWGPRSQPQPGYGYPPRGPPPQNMPYSQPYGGYPQQPPPRGGMGWDQRQGPPPHASHHGGGYDYYKQGSQPYEGQPPNYPPGPGNYNSYGPSQGPNYGQPQYPQSAPPQNYGPGYGDPRYNAPAPNQQYYGQPPAGPQQGYPPQQDPYARPYGGPGQWAPRGAPAGDGTYQAPPPTSYGPPSQQPPAYGQTYGPTTGPDGYSQQSYPQQGAQAPATYGQSAPAGPGYAQQGAQQGGYAQYPQSQPAYGDQAAQNNANYGYQGAPADPNYGNAYPQAGYGSTPASGQAGYAAAPAAGQPGYGQPGYTQPPTNPPAYDQSAQPPAQSGYAAPPANPQPAVAKGVSPQPAGYGGQWTA >OGLUM08G00900.1 pep chromosome:ALNU02000000:8:644626:650222:-1 gene:OGLUM08G00900 transcript:OGLUM08G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ23] MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTARDGVHGVLLHHRTADGRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWGKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRALYDTLRQLLLPS >OGLUM08G00900.2 pep chromosome:ALNU02000000:8:644626:650222:-1 gene:OGLUM08G00900 transcript:OGLUM08G00900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ23] MGSSELEETKAVLHMDCEKPPAISWERTFDDEGKKVAMFSMTLNDMMAIVPLMIKMLGLNLKDNAKGLASVYDPLKKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPSIYEEKPILANQFSAFISRPDGKRYSTVLSAPNADVLKGIDKAGIGSWDWKLKEKNCTYHGLFPRSWTVYNGEPDPEIKITCRQISPFIPHNYKESSFPVAVFTFTLHNSGSTPADVTLLFTWANSVGGKSELTGNHKNSRMTTADGRPPVTFAIASRETDGVRVTGCPRFTMGPSNSGDVTAKDMWDQINKNGSFVGDGNAAAAATGASRPGSSIGAAVAATTTVAAGGARAVSFALSWSCPEVKFPAGRTYHRRYTKFHGTDRDAAAERLAHDALLEHMKWESQIEEWQRPILQDKSLPEWYPITLFNELYYLNAGGTIWTDGQPPKNTSLSSATEPFNLDTFSTVANGGSAVDGILSTVAAAAARSNTAAAAAMGTALLRDGEENVGQVLYLEGMEYNMWNTYDVHFYASFALLSLFPELELNLQRDFVRGVLGAVPHDMGLNDPWFEVNAYMLHDPVRWKDLNPKFVLQVYRDVVATGNAGFAEAAWPAVYLAMAYMDQFDRDGDGMVENEGRPDQTYDLWSVSGVSAYTGGLWVAALQAAAAMAGIVGDGAAEAYFRGRYHRARRVYTDELWNGGYFNYDNSGGATSSSIQADQLAGQWYARACGLEPIVDGDKARRALATVLDYNVMRVKGGAIGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMIHEGMPEAAFKTAKGIHDAGWGKHGFGYAFQTPESWTADGGYRALHYMRPLGVWAMQWALSPPVLHKEHRVAAVAASPEDAALGQEKFDKVASMLRLPEEQQHKGILRALYDTLRQLLLPS >OGLUM08G00910.1 pep chromosome:ALNU02000000:8:655901:659996:1 gene:OGLUM08G00910 transcript:OGLUM08G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDQHRLSDSDQSALHGFTVRPIQGDIMFAAHTGADGRVASGAERRRRPRTPRQPCHCRHKARGPSASSPNARLRRLISRDDLAEAACLVDRSRGEAPDAAAAAAAKTNAGEVHEPKHRAHIAVDGVEVVSTLIGWYYDGLALELVLFSLAVVVLRYATVLYANHLVDSLSEFQAAVSAGIGGGGDLSSGGGLDSAAIARLPCFVLPPRRGGSAAAAVTAECAVCLGTVEELETVRALPCCPHAFHAHCVDAWLRQRPTCPLCRADVPVMARPTSSTIANGKQQQTADDAFLLIRPRCRPLRFHPLCALNASLSRAVAAGGAAPYPPPPPPTPTTRPAPGAAADDKAERRGVVGAASPHPQPRLPTAAAALFRRRRTALARRRRLSAAVLAQSPGEEREREQKGRGGEMVMMWPADM >OGLUM08G00920.1 pep chromosome:ALNU02000000:8:664822:673204:-1 gene:OGLUM08G00920 transcript:OGLUM08G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRGLAGGGSGRARAERPRRQLRLVAPAADGAPPSSRRALPSSPYSYAAAQLLCETAVDRGVRIPREQRDMGSNDHRNVALAVDRVRVLSRRLVRPSSSSSSSSGHAPPCDIHLTPWDLRFLSIDYIQKGVLLPKPPLSGDRLADALASSFARALALFHPFAGRLVAEERADDGTVTVALRCTGEGAEFVHAAAPGVAVSDVVSSLYTPPEVWSFYSYNLVLGADAATESRPVLSAQVTELADGVFVGMSLNHSVGDGTTFWEFMNAWSEINRRAGGAVSDGLIREISTPAPVFRRWFVETSPVPIPMPVGKLQNIVRRLERPEVQECFFTFSATSARKLKAKANDEMTGTATATISSLQAVLAHLWRGVCRARRLPPEQVTFYTVMVGCRGRVNGIPAGYVGNALMFGKAEATAGEIEGRGLGWTAWQLNRAVASFDEAGMRESLERWVREPEFTYMSKLQSGDAGGVALITGSSPRFDVFGNDFGWGRPVAVRSGAGNKIDGKATVFEGPDGAGSMSLEVCIAPDALRRLVADEEFMDAVTLPSSCSLGKYINFGFRPINKDVTRRFRPPPPPPTRSSETETVHLTPWDIQMITVDYIQKGVLLPKPPTGGELLVEHLASSFARALGRFYPFAGRLAVDRSSSGAREAIAVSLRCTGEGAELVHAVAPDVTVADVAASLYVPRVVWSFFPLDGMVGADAVAGARPVLAAQVTELADGVFVAMSLNHGVADGTTFWHLFNTWSEMSRSGGGDVDEAEISTPPPVLDRWFPDSCPVPVTLPFAKLERIVRRFECPPVEECFFHFSPESVKQLKATANAEVAGEATATISSLQSLLAHVWRSVSRARRLPPEQETTYTVLVGCRGRVKRIPQAYAGNAVVRATARATAGEIEGRGLGWTAWLLNRAVASFDEEALVRSSSSWPREPRFAYLAGWWHPAAMVTGNSPRFNVFGNDFGWGRPVGARSGGANKVDGRATVYEGRGGGGGAMAMEVCLAPETLARVVADAEFLGRRDVVGLPCSFG >OGLUM08G00930.1 pep chromosome:ALNU02000000:8:679198:685608:-1 gene:OGLUM08G00930 transcript:OGLUM08G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVHIMSRRMVRPAAEHAGELPDHETVHHLTPLDLQTITVDYVQKGVVLPKPPGGGEHVVEHLVSSFARALARFHPLAGRLAVAETPSPGGVPTISISLRCNGEGAEFVHAVAPGVTVADIADSLYVPRVVWSFFPLNGMLGTDAAVESRPVLAAQVTELADGMFVAMSLNHGVADGFTFWHMFRTWSEISRRSDDSADIELSTPPPVFDRWFVDGIPMPIPLPFAKLEDMVRRPVYTPVEECFLHFSAESEGRAVALLLLD >OGLUM08G00940.1 pep chromosome:ALNU02000000:8:700291:709139:-1 gene:OGLUM08G00940 transcript:OGLUM08G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTGGVRVVSRRVIRPELPPEHETTVHLTPWDLPLLTAEYIQKGVLLPKPPTGGEHLVEHLASSFARALGRFYPFAGRLAVAEVEDGASPPSSISVFLRCNDEGAEFVHATAPDVAVADIAASLYIPRVVWSFFPLTGLLPAVAAADSLPVLAAQVTELSDGVFIAMSLNHVVGDGTNFWEFMNTWSEISRSRSKLDISPSPPPPSPSPPLVVKRWFLDTCPVPIPLPFAKLEHIIPRRDHPPPPLQECFFAFSAESIRKLKAKANGEIAGAGATISSLQALLAHVWRSVSRAHGLAPRQETAYVLVIGCRGRVSGISPGYVGNAVVPGAVRLTAGEVMERGLGWTAWQLNRFVASLDEAAMRGAIAPWPRAPEFANFAKAAGGTAVHTGSSPRFDVFGNDFGWGRPASVRSGGANKFDGKVTVYEGPGGAGSMSLEVCLAPAALGKLVADEEFMGAVTTPRAMAFPWDCEHGSRRCHKNTWETRWWAPSRRPPSASSSTRLGWVAWLLNRAVAASGDEASVRRMVAAWPEKPSFMMVADLQNAGVMVISGSPRFDVLGNDFGWGMPVGVRSGAGNKVDGKMTVYEGRGGGGGGGSMAVEICLAPEALARLVADEEFMSAVTAPPPTHH >OGLUM08G00950.1 pep chromosome:ALNU02000000:8:710839:712254:-1 gene:OGLUM08G00950 transcript:OGLUM08G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTGGGGGGSGVLVVSRRMIRPEFKELPPEHDTTVHLTPWDLRLLTVENIQKGILLPKPPTGGETLVEHLASSFARALGRFYPFAGRLVVEEVDGGASPASSVSVSLRCNDEGAEFVHAAAPDVAVADIAASLHIPRVVWSFFPLNGVVAAHAATESLPVLSTQVTELSDGVFIAMSVNHVVGDGTNFWEFMNTWSEISRSSGGELGISPSASTSTSPPLVVKRWFLDNCTVPIPLPFAKLEQIIPRSEHQAPVQECFFAFSAASIRKLKAKANDEIAGAAVAISSLQALLALVWRAVSRARGLAPRQETAYVVVVGCRGRVGGISSGYMGNAVVPGAVRLTAGEIMERGLGWTAWQLNKYVASFDEAAMRGALASWPRRPDFFSVLSLLGGAAIITGSSPRFDVFGNDFGWGRPATVRSGGANKFDGKVTVYEGPDGAGSMSLEVCLTPAALAKLVADEEFMGAVTTP >OGLUM08G00960.1 pep chromosome:ALNU02000000:8:714324:716327:-1 gene:OGLUM08G00960 transcript:OGLUM08G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPPTSPRKQHLLRIRRCLPSVWRNAAPAQESPPPSPPHPASSLSAILYSCTARRARRPGELAHARAATLGLAAHPSVLPRLASFYLALADLPAARAAVEQAAGKARAFPWNLLIWGYAGRGLWEDVILSYEKMVAWGVAADRFTYPSVLRACGELREVTIGRNIEQRIRRCRYGLDMYVWNALVGMYAKCGELEDARRVFDGMAVRDVVSWNTMVSAYASTGKWNKAFELLQQVPGANVVTWNAVAAGNLKAGNYDEVIRLVSQVRGYHGTGVDSVTIVIGLKACGRTGYLSVGRELHGVAVRLCFDSLECVVNSLITMYSRCGMMSSACLLFRACSVRSITTWNSLLAGFAFMEQIEEASLIFREMIGFGVCPNGVTVLTMLSLGARVGHLCHGRELHCYILKHELDSSKLMENSLVDMYSKCRQMVVAQRVFELMQFRDKHAYTSLVLGYGLQREGHVSLKLFDEMIVNSIEPDHVTFVAVLSACSHSGLVTQGQLLFAKMVSIFGIAPRVEHFSCMVDLYCREGLLKMAEVIIDKMPFQPTAAMLATLIEACRIHGNTEIGDRAAKKLLAMRTNNPGHYRLIANMYIAAKCWPELAKVRSLMSTLELNMIPSHSLLESEYDVCPVEQDNFLNHVTYGLSSDHLTDTDSSSSEEVKCSEAFGG >OGLUM08G00970.1 pep chromosome:ALNU02000000:8:717450:721955:-1 gene:OGLUM08G00970 transcript:OGLUM08G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQFHGEEQRGGEGKPRRRPSSNSLRRLVSYNSSKRHEHFEEEDEEGVVVSATSSSAGHRVGNDVSTARLIRKPPAPVVEAVAVPVAALPDEAASVAVSVVDVERPVAAPANWRRAPNGAAEQEPRSGGTRSEAKPRITDVVPNGVQGGHAAAGWPRWLTEVAAEAVRGWQPRKAESFEKLDKIGQGTYSSVYKARDLESGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAATPGIKFTEPQVKCYMQQLLSGLEHCHNRGVLHRDIKGANLLIDNNGVLKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEDFWANLKLSRATIFKPQHPYRRCVNDVYKDFPPPALALLDCLLAVEPQNRGTAASALGSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAVKGHESEAGRRKQLPAPNGNNELQQRRVQLNPKSSSNKFIPKEDAVTGFPIDPPGRAGDNVYPQRAPLMHAGRSSSTLGRSSGTDQNAQRFYTSQIAAAEMSTATGGQRGNATKLSSNLGESARRQYLREHRSSSRYSQLAAAEPSDRPEWTHQFQERPSSSHRKDDGAANKEPTVVNGAKKSRIHYSGPLMPPGVNMEEILREHERQIQQAVRRARLDMGKGKNHVERDQSESLLYTTQNGRP >OGLUM08G00980.1 pep chromosome:ALNU02000000:8:725873:729773:1 gene:OGLUM08G00980 transcript:OGLUM08G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDPRKPNTSLYARTAQPSPARPMRARRNQPHLLLSSPSSLSRQGNFLEVGEEERSRSRSRSRSEARMGRKRKELLSSAPWRTGEAAEDDDEAARLSREGKVSVTSNPGETPTMHVPRNKRQDLDLAVDDFDEDEIDPELRYSFQRNSRFLRRVFSVDTLVKPLPPVMAYSVSRNVNFFFKIFTQFWDEEGIANAQKSLGLGNEDGSRRR >OGLUM08G00990.1 pep chromosome:ALNU02000000:8:733791:739235:1 gene:OGLUM08G00990 transcript:OGLUM08G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSILCEADIGIIIFSAHGKLYDLSTTGTMEELIERYKSASGEQANACGDQRMDPKQEAMVLKQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKEGMLKAANEILQEKIVEQNGLIDVGMMVADQQNGHFSTVPLLEEITNPLTILSGYSTCRGSEMGYSF >OGLUM08G01000.1 pep chromosome:ALNU02000000:8:738006:739099:-1 gene:OGLUM08G01000 transcript:OGLUM08G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase subunit 6, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G08480) UniProtKB/Swiss-Prot;Acc:Q941A6] MGIHEHVEGIKAHWAKNFAFLDYFKKVYGRDKPLPKWTDADVDEFIASDPVYGPQLKAMRESRKFALGGALVGGAHLGGIALKYSKAPHGVVLATGFGAICGAVVGSEVAEHWYQLYKTDKQGANLRFIYWWEDKVAGNQKS >OGLUM08G01010.1 pep chromosome:ALNU02000000:8:741350:747273:1 gene:OGLUM08G01010 transcript:OGLUM08G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPAPASMVVLCAVVVVGAVLVVAAEGGGIAPAAEGGGIAPAAEGGGIAPAAEGGGSEEAAASTGKAAMVPALFVFGDSLIDNGNNNNLASKKRGVGRHTYFGSELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >OGLUM08G01010.2 pep chromosome:ALNU02000000:8:741350:747273:1 gene:OGLUM08G01010 transcript:OGLUM08G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPAPASMVVLCAVVVVGAVLVVAAEGGGIAPAAEGGGIAPAAEGGGIAPAAEGGGSEEAAASTGKAAMVPALFVFGDSLIDNGNNNNLASCLGCHWCHPSGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >OGLUM08G01010.3 pep chromosome:ALNU02000000:8:741350:747273:1 gene:OGLUM08G01010 transcript:OGLUM08G01010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRPAPASMVVLCAVVVVGARGGGGVDRESSDGAGAVPELLGLPLVPPYSQASGHVQQLLQGVNFASAAAGILDESGGNFVGRIPFNQQIDNFEATVEQIAGAVGGKEAAASMVARSILFVGLGSNDYLNNYLMPNYNTRRRYTPRQFADLLADRYAAQLTRLYKAGARKFVVAGVGSMGCIPNVLAQSVESRCSPEVDALVVPFNANVRAMLGRLDGGGLPGASLVFLDNYGVFKAILGDPAAHGFAVVDRGCCGIGRNAGQVTCLPFMPPCDGRDRYVFWDAFHPTAAVNVLIAREAFYGGADVVSPINVRRLAAL >OGLUM08G01030.1 pep chromosome:ALNU02000000:8:754173:757168:1 gene:OGLUM08G01030 transcript:OGLUM08G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT4G10260) TAIR;Acc:AT4G10260] MAPLGDGAAAAAAEPNLVVSFGEMLIDFVPDVAGVSLAESGGFVKAPGGAPANVACAISKLGGSSAFVGKFGDDEFGHMLVDILKKNGVNAEGCLFDEHARTALAFVTLKSNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLITEPCRSAHVAAMRAAKSAGILCSYDPNVRLPLWPSEDAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGEKGCRYFTKDFKGSVPGFSVNTVDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTKKGAIPALPTVAVAQELISKAAN >OGLUM08G01040.1 pep chromosome:ALNU02000000:8:759757:766820:-1 gene:OGLUM08G01040 transcript:OGLUM08G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EMBRYO DEFECTIVE 140 [Source:Projected from Arabidopsis thaliana (AT4G24270) TAIR;Acc:AT4G24270] MATPMEEDLPGAEAEAAGPAPPPAAATGGDGDGENPAPAPPSPFSDSDSDSDDGGEGGDAADELRIQALEQALQEQPLDYESHVQYIQCLRKSGKIEKLRAAREEMNKYFPLTPKMWQEWTKDEASLRPESFEDIEKLYERGLQEYLSVRLWRDYLDFVEENDKSVSQCSPSGLTKMRNLFERAITAGGLHVTDGSKLWEAYREYEMAILTIIDDDDEEKAKQVQRIRVLFHRQLSVPLVDMESILAEYKSWEAEQGNANDPTSNFDGVPSNVVSAYKKATEMYNVRKQYEDQLSNADASDGDKLEEFLKYIKFEESSGDPARVQVLYERAVAELPVSTDLWMGYTSYLDKTLKVPAVLKSVYQRATRNCTWISELWVRYLLSLERIRASEEELRHVFEQALQCSFPSIKEYLEIYLTRVDSLRRRMADGLDFQLIRQTFMDATEFLSPQMGTEDLLLLHAYWAKLERTLGNDLAAARGVWENTLKKSGSVLEVWQHYIAMEIETEHIHEARSLYKRCYTKRFSGSGSEEICHAWIRFERECGTLEDYDLAVKKVNPRLKELMMFKAQQEVKVDTHVVPKETTGSDFSQKRKPSKIPTKQQPPAKKKKDNPPKSAVLSDDHGSKEQISTGHVKTGEVSGEKTQASMEVNLVDGSRRENTASKESKSNFYSDKCTAYMSNIDLTANEEHIRRFFSDIGGVTAIRLLRDKFTKKSRGIAYVDFSDNEHLEAAIRKNKHKLLAKKVSVARSDPSKGKKNREAGSFSKDQGTSGDRGETAEFANRPDKEIPKDKPTIAGKNTFAAPRSVVKPLGWTQKDEKSDVGAEELKSNEEFRNLLLKK >OGLUM08G01050.1 pep chromosome:ALNU02000000:8:768406:773265:1 gene:OGLUM08G01050 transcript:OGLUM08G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAADSAASKRQAELLKQEGNAFFKKDRISAAIDAYTGAHYMLGLALLNKDELAEGIKELEKVPDHLCCKITLDIFRDPVITPSGITYERAVILDHLHRVGKFDPVTRETLEPHQLVPNLAIKEAVHAFLSEHGWAYRMR >OGLUM08G01060.1 pep chromosome:ALNU02000000:8:773600:774817:-1 gene:OGLUM08G01060 transcript:OGLUM08G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRYCRCGTTMLTMLLFVVTNSASVLLSSGAGAFLLRRYKPATARLWAWDDSAALLDDLNATQSALADTHAQLADLHARLGTANSLLETLLAAMAAERRDGGTPWARELSGELELAVAPHRNVTGKATVFPALGHACARFQDDLEAYMRYTPGGECPSDEQLARRLMLNGCDPLPRRRCRPRSPAGYVQPAPLTKSLWAIPPDTTVVWDAYRCKNYSCLVRGGGGGEFDLLGREKRRWMRDDGALAYSIDSVLAARPNGTVRIGLDIGGVSGTFAARMRERGVAVVTTAMNSGGPSGSLIASRGLVPVHVGPAHRLPFFDGTLDIVHWTSPEHVAGVMLEFALFDIYRVLRPGGLLWLDHFVFPGEQLNATFAPMVDRVGFRRLRWNTGKKLVSALLEKPMT >OGLUM08G01070.1 pep chromosome:ALNU02000000:8:784727:788843:1 gene:OGLUM08G01070 transcript:OGLUM08G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDHHQDVVAAPRGGAGGGDDGQAHDMVMPGFRFHPTEEELIEFYLRRKVEGKRFNIELIAFVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVKVEGDRPIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGDADRYQKEISLCRVYKRPGIEDNFHLTGTTTKSSGSKAAAAMGKKHAAANRTSSTAAAAAPRLAPMFDGGGGHHSSALMGKATYNASHAAAEGTNAIAMSLSAAAALHPPAPAMFRSTASVASLSSTTSTEEDGTSLFHLKAGATTTNTTTMHHHHHHQQLPASTHALLNTNSSAMATIPIDELSRAIGSYSNNHHQPNSTTTHQSTALPPSQQSPLLPFASMEKIWDWNPLLESPKVCTNFK >OGLUM08G01080.1 pep chromosome:ALNU02000000:8:791806:792147:-1 gene:OGLUM08G01080 transcript:OGLUM08G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRSTAAAAGGRLYGQVGVKRRVVETAAAVEVGGGGGGYLGVEAAVLLGVVTATLLVLPLLLPPLPPPPPMLLLVPVAIFAVLLLLVLLPSDAKSIAAAGRPSSSSSSSYL >OGLUM08G01090.1 pep chromosome:ALNU02000000:8:798551:802606:-1 gene:OGLUM08G01090 transcript:OGLUM08G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G23093) TAIR;Acc:AT2G23093] MGVVIEREEWALTPLAYPLLSAASLAAVLLLPYFSPPSHATAAASPSSHSPFDVGTTPFLRFRRGFLFVFSLASVAEGIHSVFGEDEFARCGLGREQMAARLAAAAAAVLFLGGLSGVVSDKLGPRQACIFYWMLQLAVGALKSFSGLRCAWISNLISALASSMFYFCFETWFVVEHEKQGQKQDLLSDSFWLMTFFESMSLLGSQGITNLLVNDDDKGFLLPYAFAALLSIVGLLYIRKNAPSTNHHASVIGSYQKSFFAHVFRDKRVLILVLAQASIHFSMSAFWFLWAPTIVADGRDAQLSLIFPCFLASRMFGSASFPWFYGTTAPFRNEDSLTIAYVTVGIALSIVAYDYQDIGTLVILFCIFHACVGFILPSLARLRTMYLPNELRGGMMSFSLGLANAAIFIFLMQGSYHQNIANSTILGFAACGLLVAGGCIHMLRRRRKHTRQDTRSL >OGLUM08G01100.1 pep chromosome:ALNU02000000:8:803826:804158:1 gene:OGLUM08G01100 transcript:OGLUM08G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEKPAAAATGSEVAAGAVAVDACLVAAAMAGASLLAWWAVAFHPSNSRLWMVPVGLVLACTPAIVYLALSFSHSPRLQPPSTVSTDHKGSHAAGQPPPPLSMVVIDK >OGLUM08G01110.1 pep chromosome:ALNU02000000:8:807018:809116:1 gene:OGLUM08G01110 transcript:OGLUM08G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLLAPVAAPWSRVPSAGRRVRCAATAPAPMGEKTEYRDGPVERAFMGLFARKMEKYAVVSSSGGKGKEKKKEKSSRSVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFKKLFPPTRWACEFNAALTVPFFHWLVGPSEVVEVEVNGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQNFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPASKQPCYANLCSISTPSAPICPKLQT >OGLUM08G01120.1 pep chromosome:ALNU02000000:8:823663:825656:1 gene:OGLUM08G01120 transcript:OGLUM08G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ47] MEPKSSSCGGGIRLRLLVVLHLLLLAPSSAMAFNYADALAKSIIFFEGQRSGKLPPGNRMPWRADSGLTDGAQYNVDLVGGYYDAGDNVKFGLPMAFSTTMLAWSVLDFGKFMGAELPNARAAVRWGADYLLKAATATPGALYVQVADPNQDHRCWERPEDMDTPRSVYRVTADKPGSDVAGETAAALAASSMVFRRADPAYSALLLHAATQVFDFADRHRGSYSDSLASSVCPFYCSYSGYHDELLWGASWLHRASRNASFMSYVEANGMQLGAGDDDYSFSWDDKRVGTKVLLAKGFLRNRLHGLELYKAHSDSYICSLVPGTASFQSRYTPGGLLYREGSSNMQYVTTATFLMLAYAKYLRSSGATASCGDGGGGARGEVSAAELVAVAKRQVDYILGKNPAGMSYMVGFGCRYPRRAHHRGASMPSVRAHPGRISCDAGFGYLHSGEPNPNVLVGAVVGGPDSRDAFADDRGNFAQSEPATYINAPLVGALAYFAGTTK >OGLUM08G01130.1 pep chromosome:ALNU02000000:8:829020:831138:-1 gene:OGLUM08G01130 transcript:OGLUM08G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMLPLLVLLVIGLRLAGASPPPQPVACTKGTTDCTVTNVYGSFPDRTICRAADASFPRTEAELVAAVAAAAAAGRKAKAATRHSHSFPKLACPGGRDGTIISTRFLNRTVAVDAAARRITVESGVVLRDLIRAAAAAGLALPHSPYWYGLTVGGLLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELVAGDPDLDAAKVSLGVLGVISQVTFELQPQFKRSVRFVTRDDSDFAEKVAVWGGAHEFGDMAWLPRQGKVIYREDDRVDVATPGNGLNDYLGFRAQPTLGLLTARAAEERLERNGTDIARCLAARLPPSLFELQAYGFTNDGVFFTGWPVVGFQHRIQASGTCISSPEDGLLSSCTWDPRIRGPFLYNSGFSIALSKAAAFVADMMRLRDLNPRAFCDIDAKLGILMRYVKASSAYLGKPEDCVDFDVTYYRSYDDGEPRPHSDVFDELEQMALRKYGAVPHWGKNRNFAFDGAAAKYPNSGEFIKVKERYDPDGIFSSEWSDQVLGISGSPNIVDKRCAIEGLCVCSDDSHCAPELGYFCRPGKLFKDARVCSKDKSAAAVAAGGDDDLLDEL >OGLUM08G01140.1 pep chromosome:ALNU02000000:8:847285:849151:-1 gene:OGLUM08G01140 transcript:OGLUM08G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKAGTTSSWLTAVKRAFRSPSKDDSPNKAARLRDDTDDDKGKRERRRWLFRKSSSPSPAPPTPPPPQQQQQQSRAAAVTEEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPSSSFVREHYAAIVVQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSISLSAAAASAAPCGSSKSSYSVDTSTFWDSKYTHDFAAADRRSIERSRDGSSFAAGDDWDDRPRTIEEIQAMLQTRKDAALKRERALSYAFSHQIWRNPAPSVEEMDVDGQPRWAERWMASRASFDTSRSTVRASAAAAPGRASTDHRDQVKTLEIDTARPFSYSTPRRHGNASYHASSSPMHRAHHHSPVTPSPSKARPPIQVRSASPRVERGGGGGGSYTPSLHSHRHHASSGGAAAVPNYMAATESAKARVRSQSAPRQRPATPERDRMSFGGGGGAKKRLSFPVPIDPYGAYAQSLRSPSFKSAAGRFSSEQRSNVSSSCAESLGGDVVSPSSTTDLRRWLR >OGLUM08G01150.1 pep chromosome:ALNU02000000:8:850896:858163:-1 gene:OGLUM08G01150 transcript:OGLUM08G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCGHAGEKKGQEKEKEEEEEGNGDGRMTCGWIGRDNDNETDGHVRRVIESGCNHNAKLAAVRRSATRCAAAQQRRLCRLAEGTLWPCARLQPASGGNAGHARETSAIRLGQSSCGGASQM >OGLUM08G01160.1 pep chromosome:ALNU02000000:8:881664:884337:-1 gene:OGLUM08G01160 transcript:OGLUM08G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDLEQYVRLKCQGLSFLGTTSDGRAIVFGNIVPPTWTMGDTFQGNGQLHITVQPLHNYKFVGVVDDNLVALAGDGVDGKRVDYAAGSLGNVLGILEQLLIQS >OGLUM08G01170.1 pep chromosome:ALNU02000000:8:885584:886138:1 gene:OGLUM08G01170 transcript:OGLUM08G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVKSIKPLEEDYEQLLKDARDVHQRHGCIVNVLAVHPITGERHEVTVDSTSDGDVSAPNEDHFTARVVRRYLRLKGRLGEVTLQMLSEEFGGAMRLADVKKLMFRVRAVRLAVLRRSKAVRMATSAELLPELARVGSLFRAPVAMVAPLGQGIKFASHLIALGSSIMNRREEKSVTLNMFG >OGLUM08G01180.1 pep chromosome:ALNU02000000:8:906882:910015:1 gene:OGLUM08G01180 transcript:OGLUM08G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVNGQSCVPPGFRFHPTEEELLNYYLRKKVASEQIDLDVIRDVDLNKLEPWDIQERCKIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYNAVHRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDPATDTAAATPTVTSAAAAAAAMAAAADGGQEDGWVVCRVFKKKHHHKEAGGGGGKHGGDGSAGAKAAHAYSSSDDALDQILQYMGRSCKQEHELPSPQASGGGGAGAGSRPASRYLRPIDTVLGGHGFMKLPPLESPSAATALSSTPSTGGDAASSAAAAAADHLLLHHHHRMDWAMMDRLVASHLNGANSDAPDDQLCFDAADDDGLAYYSAAATRLLGGANAGTDDDLWSFARSAAPPPPPPPPSSATPERLSHVAL >OGLUM08G01190.1 pep chromosome:ALNU02000000:8:957479:963396:-1 gene:OGLUM08G01190 transcript:OGLUM08G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >OGLUM08G01190.2 pep chromosome:ALNU02000000:8:957479:962945:-1 gene:OGLUM08G01190 transcript:OGLUM08G01190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVETSADHAAPPPPEGDAGEREMRDLEDLLSKLNPMAEEFVPPSLAAASPTAYAYYPTPTPAHVFPAVDGLAGPRPRKKGGGGGGGGGFGGQGHAGKRRMNSRTSMAQRDEVIRRTVYVSDIDHQVTEEQLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEESARAALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVSQADVKLFFESICGEVYRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGSLPIRVSPSKTPVRPRAPRQLMH >OGLUM08G01200.1 pep chromosome:ALNU02000000:8:968248:970026:1 gene:OGLUM08G01200 transcript:OGLUM08G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >OGLUM08G01200.2 pep chromosome:ALNU02000000:8:968334:970026:1 gene:OGLUM08G01200 transcript:OGLUM08G01200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEVACTLAALILHDDGIPITSEKIATLVKAANIKVEAYWPGLFAKLLEHRSVDDLILSVGSGGGAAPVAAAAAPAAGGGAAAAPAAEEKKEEAKEESDDDMGFSLFD >OGLUM08G01210.1 pep chromosome:ALNU02000000:8:970905:998466:-1 gene:OGLUM08G01210 transcript:OGLUM08G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFHHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVSLTGFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSGPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEYHSVENHNLESSGESLDKFANCIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDRHTLVSIMSDGLLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKATGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENYVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSSSGVSNMIRVEVQNADIDKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHHGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >OGLUM08G01210.2 pep chromosome:ALNU02000000:8:970905:998466:-1 gene:OGLUM08G01210 transcript:OGLUM08G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRLSFSEILVSITSRQVLHTYITGTSTKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFHHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVSLTGFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSGPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEYHSVENHNLESSGESLDKFANCIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDRHTLVSIMSDGLLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKATGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENYVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSSSGVSNMIRVEVQNADIDKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHHGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >OGLUM08G01210.3 pep chromosome:ALNU02000000:8:970905:998466:-1 gene:OGLUM08G01210 transcript:OGLUM08G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRLSFSEILVSITSRQVLHTYITGTSTKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFHHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSGPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEYHSVENHNLESSGESLDKFANCIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDRHTLVSIMSDGLLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKATGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENYVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSSSGVSNMIRVEVQNADIDKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHHGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >OGLUM08G01210.4 pep chromosome:ALNU02000000:8:970905:998466:-1 gene:OGLUM08G01210 transcript:OGLUM08G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRLSFSEILVSITSRQVLHTYITGTSTKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFHHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSGPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEYHSVENHNLESSGESLDKFANCIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDRHTLVSIMSDGLLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKATGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENYVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSVSFWRLKSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSSSGVSNMIRVEVQNADIDKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHHGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >OGLUM08G01210.5 pep chromosome:ALNU02000000:8:970905:998466:-1 gene:OGLUM08G01210 transcript:OGLUM08G01210.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT5G24740) TAIR;Acc:AT5G24740] MFEDLVSKVLPALLGRYVSFQKDQLTINIWNQEIILVDVELILEAFDYLQLPFALKKGRIGKLSVRIPWKTLGWGAIIIAIEDWSSDSLDKRELDGKLAKLKAIELAKISRRITDNQTGQSLLSYILAKILDNIQVSIRNVHITYADNYKDQGNFMFGLEFSSLSIQTDPKKQSFAMSLMAMSRQDEVNKTVEISNVGIYCHHLDEQQGSCDTGGLTETNFSFSHELAHPRDAYLLNPFNVTIFVLANKAGKLDGAPRYNITVELTALILSIDEIQIQQILNLCDYFSICALRTKYGRYRPSQSSLSKRHKGWQRMWWHYAQRSVLADVRRELRKTSWNYLGQRLDCRRKYVNFYRMKLELLQKGQLVSEDILQELENMDREGDIDDILNYRTIAEQKLQEALVKSTKDNFSSPGSPRIDDQSAGAGQGWLKWLSRGMLGAGGTADTSSFADVSDDIIKDIYEGTEFHPISSAENHLTKENHYSLFVRLSFSEILVSITSRQVLHTYITGTSTKIGMKLVDAMFTGLGVECKIWDDSTTILAWLDSLEIINPLNENKVLLAEKCSTGDGLGTPVISVQVDCPKSNHSPEALTRVVVQEFSAIYEPVFIYNLMHIYDLFSSFQFHHDRVLSSLNRFDSFGARLLSKLKYTSANRKKLLWDLRIHHFVVRLPSRNCGTEELIMVFEAGDVSMQSKDTVRDASRTQESNSFLDYISKTLPSNFSDDLLIGFKLDDLYNHFEVSLTGFEVKVLMPDKHDISSTFVKLDASIVFGLCIFLDEPVLKQLEVSFIVPFANMYFCQTLYSAFVNLCFYYAKETDLIRNNTSDDTKSGPKKLALNMFASLKLAKLSLRVDLEDHHEESSAITVCIGDVDIRYAIQELSDIWVIVKMVQITSNNLKEESNSCVLCLSGNCKTCVNLTGFPESSTSDACLKLHYRTLKYEDQMHHVYQLNLNDVDLHLIPSVFGQIRRFLKSLDAAYPDGTNVVLSELDLGSMKLGSANTKFPKFALSSFCGVDGTLFAGIPVDHFPFVRMDFISGHQASGGSSSKSKCNGTSDLNCYCAQGPASNSLCKTKHSNCSSNSSQNSMNASLTVLDLSLVSVRVHFHESCGILATLSVPESIAALSLSDASSWDLLLSAKDIMLSSSWTSPSVHELLWGRSSHGNANILNIRIKKDFPALSTEVCIGIQNVCCVLPSKLLAMFIGFFLLDDWTSLPEEYHSVENHNLESSGESLDKFANCIPKEFFSSECMVSSRVDIISLCAVKASISLLFPDDQANFILKLDENMPRRIQSLVEKLDAGIWIQIPCIELSCSEQSSLPTFIMSKISKCNLIAEDLYFVDGMEAVFAVTDELISIGKASKLYKGNALQFLEQRILNEESPGPNDSINITVSVSDLAIFFCHSKDKGLALEKIANANMKFDVSAVLVSEKPERIDMDIVSLALQSSDRHTLVSIMSDGLLSPVFIKFAKHDGRDEILMSVPSFEVWLYLVDWDIIINHFHSYARKEESSSPVVHSAALPCSSDSATPSFPETDCGDSRMNFRCDLDRMKIILEMIQGDKGTSVPFMHISKAKATGYIHQPEGKPLHLSVDLQAEYMDVGFSHQIFSFCRSMELKFPVSSSSASSFYSVTFKVGLRKGSILLNDGRWGSHGPVIETLVKNLLVQFSQMSDRIEVSAFVDLLVNYNNIDKLNVIRKCANHALDISPSTEVWLNSSNQLNLNISEPLIEAILRLGQMITNSLNPVSEGGLREDIGILRLSRDDVHTRRYAPYILANDTSLPFKFRVYRGAVNSDDIDSFSVVDENYVPAGYAVPIYVEEALDEFFFQHREARSSEHLIEKRMSAVSHYMISIEFDATSGSSKPMSMDLVGIYFFEVNFSSSKKPLSEESWEAFASNRKGSHESGLIVPVVLDVSLHNYSKLIRVYSTVKLYNATSMPLELRFDIPFGVSSKVLGPILPDKEFPLPVHLSEAGQIRWHPVGRTYLWSETHSLSSLLSHESRVGFMKSSVCYPSHPSNDPFRCCVSVEEYSIPTSSSTQKSQPNYGNPIPKASKQILARKHFIRKVRLSTPLLIKNYLPVCISLTIDNGGVANEVSLKEVSFASIFFVDPSNDLGITFHIQDYRSLAIKFPRPLNVTLEKAMDANSGARELYLSVPFLLYNCTDLLLTITESSCERNGSTLVIPSSFELDGQTRHLLGKNGLFLVSEDPPIQSVSFWRLKSFANKIPQLDFADGGSSYSNRTAANNTKDAPKECNKEAKAYMFAPSGHTPATELLVKLNASVPNSGTETTRRDWSSPFLLVPASGSMNATIPQSSSSGAFLVAATSIPVSTELFGRTRAIAFQPSNDLFYRQKGTRFSKHLSSGQHSFLHWSDTERELLVSIRFDGPGWQWSGSFFPDRLGDVQLKMRNSSSGVSNMIRVEVQNADIDKCESIETIVYPYTSCQYAWDEPCYPHRLTVEVPGERSLGTYNLDILNDDIHVSLPLTSEKAERKFCISVHAEGAIKVLSVIDSNCHNMDKRETNLLGSREPKDADQKQELELNFSDVFRIHLPFIGISLISSLSQELLFASARETRIVAMQSLDQQQITIEMQSMQIDNQFSDSPYPVMLSFEGSHKGKNMNFFKSRDTKVRSPNENSSPEPILRLAAAKWRSNDAPFVSYQCINMSIAPFHLELEERLVFSMIDFIRSVSTRIHHGQLDRSFDLGILDDATDIFGRYEKISKRISGKPQSSYMVEAQQDQLLPSVVPIGAPWQQIHLLARKQKKVYIELFELTPIKLTFSFTSTPWLNRNESSSDPSTSFNNSTAIQRGLMALIDVEGVPVHLGEIVVENLMASWQSIQDILVRHYSRQLLHEVYKVFGSAGVIGNPMGFARNVGFGLKDFVSASRRGILQGLVAFTYDEQAASKMDERERQLSLHGEGVLNGFLEGLTGLLQSPIKGAEKHGLPGVISGIAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLFQADGGRLREETFVMCKTLREPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWAIETEMSLKSIVHLDRAQEVVNIVGSNGETSPRDKRGSIRNRGASSAFIPLFHFSRCQTLKTQRAHCRSYWHLSRRGKREDGIRTSFTEATFTEVL >OGLUM08G01220.1 pep chromosome:ALNU02000000:8:1000289:1018879:-1 gene:OGLUM08G01220 transcript:OGLUM08G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAATAGTYSPAAAAGEKRRERKEELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMLYSDTGRLNCNVSYTTFATPIRGQGMDNKEYSAMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMIYDLVTSSCFGKNIIIDPTSDEEAWQDGSIMVAYMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLCDILRERLKDAASLAE >OGLUM08G01230.1 pep chromosome:ALNU02000000:8:1019639:1022846:-1 gene:OGLUM08G01230 transcript:OGLUM08G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32930) TAIR;Acc:AT1G32930] MFAPAAAARPHKQAPPARVPTRLVAALCTACFFLGVCVVNRYWAVPELPDCRTKVNSDNPGAVMNQVSQTREVIIALDRTISEIEMRLAAARTMQARSQGLSPSDSGSDQGSTRARLFFVMGIVTTFANRKRRDSIRQTWLPQGQHLQRLEKEKGVVIRFVIGRSANPSPDSEVERAIAAEDKEYNDILRLDHVERNGSLPLKIQMFLSTALSIWDADFYVKVDDDVHVNIGITRSILARHRSKPRVYIGCMKSGPVVDKNESKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLDVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMEEVHRRCWEGHVADLQAQF >OGLUM08G01240.1 pep chromosome:ALNU02000000:8:1026588:1031210:1 gene:OGLUM08G01240 transcript:OGLUM08G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRDKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSTSLEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >OGLUM08G01240.2 pep chromosome:ALNU02000000:8:1026939:1031210:1 gene:OGLUM08G01240 transcript:OGLUM08G01240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MAAERGKVNVFSHANLPRIWLAGRMSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGKPNHVPYRDSKLTRILQDALVGEKIFSGVSESSGWQLKSGITVLLFPQCFKCTRKFVYCSFRDKAYLLNNACWSITFLPLHIVLILWQHLSRTKLIKTTPKSTSLEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >OGLUM08G01240.3 pep chromosome:ALNU02000000:8:1026588:1031210:1 gene:OGLUM08G01240 transcript:OGLUM08G01240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSTSLEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >OGLUM08G01240.4 pep chromosome:ALNU02000000:8:1026939:1031210:1 gene:OGLUM08G01240 transcript:OGLUM08G01240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding microtubule motor family protein [Source:Projected from Arabidopsis thaliana (AT3G63480) TAIR;Acc:AT3G63480] MAAERGKVNVFSHANLPRIWLAGRMSNVTVCVRFRPLSHKERKTNGDKVCFKRLDSESFVFKDEREEDVIFSFDRVFYEDAEQSDVYNFLAVPIVADAISGINGTIITYGQTGAGKTYSMEGPSILHCNKQKTGLVQRVVDELFQSLQSSESMAMWSVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYISGATEVSIQNSSDALECLSEGIANRAVGETLQQGSTSDERVRGGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSVLGNVVNALTTGWQLKSGITVLLFPQCFKCTRKTKLIKTTPKSTSLEVDSIKKPILDSHGQNDLRDRILNKLRLSLKEEDVDLLEELFVQEGIIFDPNYSVADIDSACQDAASQEVSLLTQAVEELKETVEELTDENERLRGELELAQEAAAAAAAARADGALLGFVPAVAISSLLRPFGFVPD >OGLUM08G01250.1 pep chromosome:ALNU02000000:8:1032111:1035778:1 gene:OGLUM08G01250 transcript:OGLUM08G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT1G01080) TAIR;Acc:AT1G01080] MAASSHYALLHHHLPNPLHPRHLSSSSSPSPPPPLHLHLHLHRHRLALSTARFFRLAERRASAGPLVFETEEERSGWSGAEAAESNYDDEEDEEEEQGWAGGNAAGWRGESHEDDQEEGSGSGERRRPRRSRPRELFVCNLPRRCDVDDLYELFKPYGTVLSVEISRDPETGLSRGCGFVTMRSLPEARTAMNALDGFDLDGREMLVKLSSDVVSKRRNINMTHTPPVKDHIFESPHKIYVGNIAWSVEPQELREYFSQCGTVVSTRLLTDRKGGRGRVYGFLSFASAEELEAALKLDNTHFHGRNILVRQAHEERQAR >OGLUM08G01260.1 pep chromosome:ALNU02000000:8:1035112:1041348:-1 gene:OGLUM08G01260 transcript:OGLUM08G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISSSALPYKRTPPSWLKTAASDVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYLTPSLSRRHPAHYSLFPPPPPPPPRSRQPPSPVAVAKFPTATMGRMHSRGKGISSSAIPYKRTPPSWVKTAAADVEEMIMKAAKKGQMPSQIGVVLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >OGLUM08G01270.1 pep chromosome:ALNU02000000:8:1046589:1047035:-1 gene:OGLUM08G01270 transcript:OGLUM08G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKELSEEQVASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >OGLUM08G01280.1 pep chromosome:ALNU02000000:8:1051324:1055910:1 gene:OGLUM08G01280 transcript:OGLUM08G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTKNALLLLLLLLLLLFPLLHFSLADVSAAAPSSASAAEVDALMELKVALDPSGRLLPSWARGGDPCGRGDYFEGVSCDARGRVAAVSLQGKGLAGAISPAVAMLPGLTGLYLHYNELAGAIPRQLGDLPMLAELYLGVNNLSGTIPVELGRLPALQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPELARLDLSSNRLFGSIPSKLAAIPKLATLDLRNNTLSGSVPSGLKKLNEGFHFDNNSELCGAHFDSLKPCANGDEDDNEEGSKMARKPESTNVKPLQAPQTMNVNRDCDNGGCSRSSSSSTTLSSGAILAGTIIIIGGAAACGISVISWRRRQKQKVGGGGTVESLEGRASSSNASSSLINVEYSSGWDTSSEGSQQGLRLSPEWSPSVRYNMEEVECATQYFAGANLLGRSGFAATYRGAMRDGAAVAVKSIGKSSCKAEEADFLRGLRAITSLRHDNLVALRGFCRSRARGECFLVYEFMANGSLSRYLDVKDGDVVLDWATRVSIIKGIAKGIEYLHSSKANKAALVHQNICADKILMDHVFVPHLSGAGEHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRFTDRSDVYAFGVVVFQVLTGRKAVSSELRLLGGGGGAEYSGKLDDLVDPRLGGRFSRPEAAKLAGIALLCTSESPAQRPAMAAVLQQLGATQ >OGLUM08G01290.1 pep chromosome:ALNU02000000:8:1058192:1061089:1 gene:OGLUM08G01290 transcript:OGLUM08G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAPPAARVHLQEVAVNVNKSLFCFDHDSGATSSGVFAGDDPLKFYFPLFLYHVCTVFALSRAINALLRRANVPLVISQILAGTLLGPSFLGHIAPRVGELFATPEGWVLINTIGGYAFTLHIFVIGVKTDLGMIRKSGKKAIAIAVLGTASPHLAMYITGLALKARVPAAWAASFLLTNLNSWWSLSAFIVVCCTLHDLNLLSSKLGRLAMSAALIGDFANTFAIAGVTSYLLAASPSEKLQRIGIASVIACTTFIAFMALVARPAILRLIRDVPEGALLTEARLIAVLLICLTCSFTGELLGLHATYGPFMLGLMLPGGAPLGVTMAERLDRLVAGVLMPLLFAQGGMRLNVKKITDASTCALLETFLVVGVVSKFVASIMPCLYFRMPVRDAVVVGLMMNFKGITEVVYASAFEDAQVLDEQVYAAFMINVLLIGAASASAVKYMYHPEEKYVAYRRRTVEHKKLGEELRVVACIHSQDDVGPMLALLDASSPTPMSPLSVYLLHLMPLAGLTSSVLRHFKHGKRNCVPSGTTDSERVVNAFQFFVQQRPPGAASLVPYVCIAPYATMHDDVCAVALEKRAMLIVVPFHKRLAIDGSVEPTSHNAGAIQAANTNILNYSPCSVAILVDRGSLSTVAAAAAAADGFPHRVALYFLGGPDDREALALAATMAEDATIGLTVFRFMLPADRQSRGGEGEGDGEEDRRDEAELQEFVRRWVDDHRIAYSENMVGGSDEMVDVIRKTSPAFNLLVVGRRSESPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTMAAAGESCRLPELPAKHKSDGPV >OGLUM08G01300.1 pep chromosome:ALNU02000000:8:1061294:1064953:-1 gene:OGLUM08G01300 transcript:OGLUM08G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFGGANPFDDPFFTNPFGAMMGPSLLQPSMFGSFGSSMFGPHGNVNGLSNTGGFLQQAPEPSRPRGPIIQELSSDDEDGADVNKEDEKRNDNPRKHPRMAQMPYVEDPDEDTEDNKRSRHEQFERGYARASTSRPQPQTFMFQSSTVTYGGPNGACYTSSATRRTGGDGVTFEERKEADTTTGKATHRISRGIGNKGHSLTRKLSSDGNIDTMQTLHNLNEDELARFEESWQRNAVQNLSGWDPRVNMLGTGTARSGIRDGNQMLTLPAPGPGQSRGASSSRTKRPTQNTSSRRT >OGLUM08G01310.1 pep chromosome:ALNU02000000:8:1072009:1075882:1 gene:OGLUM08G01310 transcript:OGLUM08G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKSGEASVAPVAVATEATAATVSFQPQAAVAEQGSSSGGVLVPPPPMAAGGGGVVVAAAPVAGVVKVGKKRGRPRKYGPDGSLIRPLNATPISASVPMAASAVGPYTPASAVGAAMKRGRGRPLDFASTAKLHHHHQHQHHHQQQQFGFHFDSIGEMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQMEQKNKKPRVEAAPALAQTPPAVPISSTDTHSSEQGQHSSVAPRTTNIVTSAYNPDQSWASPAQSIPDSARTPSGDVKVTASGA >OGLUM08G01320.1 pep chromosome:ALNU02000000:8:1080174:1081797:-1 gene:OGLUM08G01320 transcript:OGLUM08G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLDEYEKLVIRMNTPRVVIDNAVCSTATLVQVDSARKTGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRLGCKLTDDSVITYIEQSLGTWNGPARPAALEGLTALELTGADRTGLISEVFAVLADMDCAVVEARAWTHRGRLGCLVFLRDEEADTERMARIEARLGHLLRGDSTGAGGAVAAVPAAAVAHAERRLHQLMSADRDQEERAAAAAAAAASPTPAVSVQSWAERGYSVVTVQCRDRPKLLFDVVCTLTDMDYVVFHGTIDTTGDQAHQEFYIRHADGSPISSEAERHRVSQCLQDAIERRSLEGVRLELCTPDRPALLSDVTRTFRENGLLVAQAEVSTKGDMASNVFYVTDAAGHAVEQSAIDAVRQRVGMDCLVAREEHRPQLYQKAGPRDAVDGNGGGGGIGLFYLGNFVKRNLVSLGLIKSCS >OGLUM08G01330.1 pep chromosome:ALNU02000000:8:1101622:1101951:1 gene:OGLUM08G01330 transcript:OGLUM08G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLYQLMSRMHLARSRSSSSSAATAAAAAADVPRGHFAVYVGERRKRFVIPTAYLKHPSFVLLLKRVEEEFGFDCHRCGGLTIPCATEGDFASFVAGAIASDDHHHH >OGLUM08G01340.1 pep chromosome:ALNU02000000:8:1107663:1107971:1 gene:OGLUM08G01340 transcript:OGLUM08G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQHLMERLHLAGKGGGGGAARDVPRGHFAVYVGEARARFVVPTAYLRQPAFVALLKSVEEEYGFDHCGGGGLTIPCSERDFAALLGRLASSPPPPSWR >OGLUM08G01350.1 pep chromosome:ALNU02000000:8:1108709:1117088:1 gene:OGLUM08G01350 transcript:OGLUM08G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome component (PCI) domain protein [Source:Projected from Arabidopsis thaliana (AT5G14250) TAIR;Acc:AT5G14250] METVETLVAHIQGLSGSGEELAHLHNLLRQADGEPLRAHSAALLPFLAQLHPSAHSLGFLYLLEAFASSASNLRAQGGGDFLVTMADFLVSCSAEQIRLAPDKFLSVCRVFKNEVMQLNAPIRGIAPLRAAIRKIQTSSEELTPIHADYLLLCLLAKQYKAGLSVLEDDILEVDQPKDLFLYCYYGGMIYIGLKKFTIALDFLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSSTAQRNLKNHAQVYVDLSTCYSKGNYSELEEYIQLNAEKFQSDNNLGLVKQVLSSMYKRNIQRLTQTYLTLSLEDIAGSVQLKTPKEAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSCEMVEHIDSSIQRLMALSKKLSSIDENISCDPAYLMKTGRERGGRFDYDDFDSVPHKYF >OGLUM08G01360.1 pep chromosome:ALNU02000000:8:1118323:1121961:1 gene:OGLUM08G01360 transcript:OGLUM08G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAREGASSSSGSSSSHHEAGGRRSPLELSLLLTAAAGAAVAAAATPRDAHAASGGVMGGRRSSSPSSYCRRTSSSSSSSSSSSSSSSSSSSSSSSSPSSREDESVISVIVAGAVVVAYGVACVGVYVAQGVAYVASGVVYVAAHLLRPRSATTVVKLQVAFRGLTKIIQRDLNGIARKVDTSNRSWDKFMLTETICSLNRHKDICISSSLSADLQKRLLFWGDCWEKHFDKISIEERSKFDEETLYNVQGIKMKKKYLKPGLGKENEYLVLTILLAVEGKLEFPIVRSASDLTTVLGILNSIHANKIEGIQVLWTPQKIGDILPEERLLKDYPYLKPLLKESDSLGVASVTEIEQSNQRSITEGQDSFAVSSVTETMQSNQGSVMQDQDFIEVANVTDVKQSNQESTKEDQDSKQSN >OGLUM08G01370.1 pep chromosome:ALNU02000000:8:1124401:1127786:1 gene:OGLUM08G01370 transcript:OGLUM08G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPPPTLALAASEGASSRSRGPLDLSLLLLGAAAAVVAAATPRTAHAASGGVMGGRDTSPSPSSYSSSSSSSSSSSPSVRHYHYYPPSPTRSSSPPTPAAHTTSCPICPYVLLAAAVGITIIFLCTLASNQKTTVVKLQADVKKTVDEIDSWEEHFDKISIEERSKTDEETLFNVEGIKISKKYSKNPESCRKEYIVLTIILAAEGKLKFPQIRSADDLRLALGMLNGIHASEIKGVQILWTPQEEDDALSEERLLKDYPYLRPLKQVLIGESKQLLLGESKFKQILFGESEEKQLLLGEPKEPSVQEDPNNTKG >OGLUM08G01380.1 pep chromosome:ALNU02000000:8:1130374:1136917:-1 gene:OGLUM08G01380 transcript:OGLUM08G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGKTERSDADDGRAAGGRVGCGRAWGRGRARGRRRWMRPGCSFFFQAFGSLNPCRRARIQWRFLELLGLVTEVRSLAMQSATEKVRRTPSSCLLLCISDICKDAAGHGGGGAGCGRGSGGGGGGRLDGRGGGMGPTGKILVLVWAQLQRRHFEIKLIKNAYRKFESTIMHIFVNFGWRFTNRIQ >OGLUM08G01380.2 pep chromosome:ALNU02000000:8:1130374:1136917:-1 gene:OGLUM08G01380 transcript:OGLUM08G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGKTERSDADDGRAAGGRVGCGRAWGRGRARGRRRWMRPGCSFFFQAFGSLNPCRRARIQWRFLELLGLVTEVGGTYKGCQIEGEKLEANTNYGKILVLVWAQLQRRHFEIKLIKNAYRKFESTIMHIFVNFGWRFTNRIQ >OGLUM08G01390.1 pep chromosome:ALNU02000000:8:1130746:1133781:1 gene:OGLUM08G01390 transcript:OGLUM08G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAARLLSTAPCRSPSSWPPPPSKPYLRFPSAPLLLLLRSPAAASVIHLSPPPATPLAVACDDRHALPDPDEPRNRNPPDHPAPLLAAAAAAAAAVASPHAALALSGGSMGGCSDTSSSYSSSFSSSSSSDSSSSWSSSSDSWRSSSSSSSPPKKKKVVVVESADLETHESVGTAASPPPPPPVALTPWEKFWISVAVVLGVGGLEFGLIFLIKRSIPPPRTISVVKLQIALDGVAAAKSFQKDLNRIAERVQGSSRRWYKFILTDLFKKYQVIAFFAEINLFVHRTQFSIKVMVDIKDSDSWNGHFKKISLEERGKFDEETLSNLEGVKRNKEYSTKMDGSKNEYIVLTILVATDGTMDFPKLITNAADLKVALTKLYSTPETGLEGVHVLWAPQDKDDILSKERMQKDYPYLKPLSV >OGLUM08G01400.1 pep chromosome:ALNU02000000:8:1137345:1138127:1 gene:OGLUM08G01400 transcript:OGLUM08G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAADAKPRLHLHLPRPLLLLLIPFLSLLLLYSYSSFPPPPPVAFAVPLSPTPPSPHIRMRRAGFRSYEDYLRHQLNKTLDPRLRRVWATRDWHRKVDAFARAFAALLRDDGGGKLLSNASRALCVGARLGQEVAALRLVGVRDAVGIDLAPAPPLVVRGDFHAQPFANDTFDFEFSNVFDHALYPDRFVAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRSDVVRISKVDAFGLDTEVILRKKRSSP >OGLUM08G01410.1 pep chromosome:ALNU02000000:8:1141580:1144412:-1 gene:OGLUM08G01410 transcript:OGLUM08G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGARRRKGRRGGRGNVKRRTKYLSLSRFLIKKEEEEEVVVVAVRSPELVPKEEAPSSPEDGGDGGEEEEEKPQMEPFALHPEPSTLFAAAPSLTDILGASAGGGGGGGGESSSAASPGVSDGKELSGEEDDLARRALRGRERWVYCSSSSPSATTTTTTTTSSSCSSAASTGASSGGAAARSLLLKLDYEEILAAWAGRGSLYIGGAAAATATAGGADNAAAELELDSVFVEVSASPEPAAAAVAWSVAEPAARAEKVRRYKEKRQGRLFAKRIRYEVRRLNAVRRPRLKLEQMLPVAVEPLHAPFCRRCKSSVSSRE >OGLUM08G01420.1 pep chromosome:ALNU02000000:8:1147747:1148364:-1 gene:OGLUM08G01420 transcript:OGLUM08G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem II BY [Source:Projected from Arabidopsis thaliana (AT1G67740) TAIR;Acc:AT1G67740] MATTIAAMAMLKPSKIVARSSPAPSGSGRVPSISLKSLAKKGLSVSSSSAAAPVAAAAMAGAFFSALASTDAALAAQQIADVAAAAAGADDNRGLALLLPIAPAIAWVLYNILQPALNQLNRMRSEQVLVAGLGLGAAAAGAGLAFPPEASAAQEVARLAAEAAAAEGGDNRGLLLLFVVAPAIAWVLYNILQPALNQLNRMRSD >OGLUM08G01430.1 pep chromosome:ALNU02000000:8:1149315:1152140:-1 gene:OGLUM08G01430 transcript:OGLUM08G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ88] MAAAALLRRSPAARALLSPALSSRLVASKPHSSSPAPPPPPSKAGANTKTFSIYRWDPDSPSTKPHLKDYKVDLSDCGPMVLDVLLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTISPLPHMFVIKDLVVDMTNFYNQYKSVEPWLKRKDAPPQPGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLLGTLIKPKPNMFMHIQARGYHGVSEKRNLLDHKRRLLAAKYELKGKLYKAVCRDPDLPADMRDQFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIVFRSLANKGELLGVKKASWWIQDSRDQFTKERLDSINDEFKLYRCHTIKNCTHACPKGLNPAKHIDTIKKLQLEA >OGLUM08G01440.1 pep chromosome:ALNU02000000:8:1152393:1159458:-1 gene:OGLUM08G01440 transcript:OGLUM08G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFGASDNLTVRAMRRRGCAVISNPLNEVRVQSGKRGNKPPPFTMVVMVGIHHPQRVHNEVRRRTGDNNVSDPMPGKAAHK >OGLUM08G01450.1 pep chromosome:ALNU02000000:8:1162228:1167737:1 gene:OGLUM08G01450 transcript:OGLUM08G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >OGLUM08G01450.2 pep chromosome:ALNU02000000:8:1162346:1167737:1 gene:OGLUM08G01450 transcript:OGLUM08G01450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGRRHSVDIPISRALLAVMRSRSLRDPETNSLAKFSAKKTIWEGCSLEEDDLEGSNYGRHSFSYNMYDHLQRRREEFGDSARSGRLVNSPINIIKANARVKAALHNQTCSSVISGMSRATKDRASSLMIEGEQLGRREGATFQESARSLLQKYRPKAFSELAGQNVVAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAASLNCHSSGGNQPCGHCEECMAIFSGNSSSVIEIDASKLDCKSRVAALLRNACEVPASSHFKVLIVDDCQHMDKEGWYSVYSSLEGIPDSSIFVMITSDIDKLPSNSVGWCQSYRFCKVDEAEIALRLSRICINEGMEFEAEALELIARKANGSIRDAVQMLDQLTLLGKRISKSVTYDLIGDVSDEELLDLLNLAFSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDILAGRHQSDSQEVRKVTDDGAAILKDESLDTSSHLCYQNKIGCLDMNLGDPDVLETIWIKALENCTSKPLHSLLRKDGKLSSLYTTQGVAVAELQFCHPEDVPTTESFWNPFVVSLQNLLKCNVDIRINLSPISTSNRVVSKNSSVSLVMQSREDQETEDPVAAGCRTVASSRKECPSPLAVQPKEKPSHILGCLHATDGDAVDTESRILSYQKISVIPEASTPGNGSFKAGGHTPKVDEARARRGCFSKLLQRRAGAPYQNGALPRRGLFKCCFCKIRPDCKTKVEETDGFQGHSKN >OGLUM08G01460.1 pep chromosome:ALNU02000000:8:1168404:1171342:-1 gene:OGLUM08G01460 transcript:OGLUM08G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLAPCRRIGPGLGPDDCNSLWLKFCCRLFLLPNRRTHWLDDSRHQPMIKRCGRRRRPCCICTTGSFFLSNQVLAIISVVVDFD >OGLUM08G01470.1 pep chromosome:ALNU02000000:8:1174058:1178098:-1 gene:OGLUM08G01470 transcript:OGLUM08G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRNEGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYEQQRAAAAAAAARGGGGGGGGGGVRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDTDACLDRNDPNYDSDEEPYELVEAPVSTPVEDYKKSVAPIIEEYFSTGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGDVISSTQIRLGFVMLLEAVDDLAVDILDAVDVLALFIARAVVDDILPPAFLSREKASLSESSKGMQVVQIAEKSYLSAPHHAELLERRWGGSTRTTVDAVKLRITDLLKEYIKNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPTAEALIVKLLKEASEELLISSSQMMKGFSRVVDSLDDLSLDIPSAKSQFQTLVSKAVSEGWLDSSFVHVGANGDVQDDEHEKLRRYKKEAVSMIHEYFLSDDVPEIIRSLKELGSPEYNPVFIKKLITIAMDRKNREKEMASILLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISGKLPPNCSGAETLNMARSLATARHAGERLLRCWGGGTGWVVEDTKDKIAKLLEEYESGGDVGEACNCIRELHMPFFNHEVVKKALVMAMEKKNDRILGLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVEHAKKSGWLLPSFGVATSA >OGLUM08G01480.1 pep chromosome:ALNU02000000:8:1179776:1181132:-1 gene:OGLUM08G01480 transcript:OGLUM08G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQ94] MSAYCGKYKDELIRNAAYIGTPGKGILAADESTGTIGKRLASIGVENVEENRRALRELLFTAPGALDCLSGVILFEETLYQSTRDGTPFVDVLAAAGVLAGIKVDKGTVELAGTDRETTTQGHDGLGERCRRYYAAGARFAKWRAVLSIGGASSRPSQLAVDANAQGLARYAIICQENGLVPIVEPEILVDGEHGIEACAEVTERVLAACYKALSDHHVLLEGTLLKPNMVTPGSDAARAPPEVVAEHTVRALLRTVPPAVPAIVFLSGGQSEEEATTNLNAMNQVASRGKKPWSLTFSFGRALQQSTLKAWAGKAENVGKAQAALLARCRANSQATLGAYAGDAAAGEGVSESLHVKDYKY >OGLUM08G01490.1 pep chromosome:ALNU02000000:8:1183231:1187401:-1 gene:OGLUM08G01490 transcript:OGLUM08G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPSAAEVAVTVTPVTLSVAACSGRHVESTETALGAEVHLCINKIMIHRYPAILQNLKMDYYRYFVPSVVAIGPYHHGARHLQEAEKIKWSAVCDFCKNTGHSADEVYWKILPIASGARSCYEGDEVVGVRKAEFAAMMIRDGCFLLQFMAHMCDVAVDPLLQTWFGSKQPSILRDMFMLENQIPWVVLEALMTFMPVPVPVDNFISNAGASFNVRLDDNINPFDLNEISCKPHLLGLLRYYQSGLMSKLGESSWVLKRPEGVTTALRQSSSAIELAEIGIDVVATEASWFADMKISKGLLFGKLSLPPLVMDDLNACWLLNMVALESYLAFTGKNDVQTVSSYISLLAMLMNRKEEVHELRLKGILHGKFSDKCTLSFLKNLAGLISLPPQHVCLLAHLEAYQRKRWMWIPIHKFLYNNYRIIVTVFSVIGVLVGIFKTLVSIEQQMQL >OGLUM08G01500.1 pep chromosome:ALNU02000000:8:1205858:1207225:1 gene:OGLUM08G01500 transcript:OGLUM08G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVVAIHVDVGHGVPDEAQASTKIQKLDGSHITPIAQGKIHRFPRGLIGIGGSNEQRYIVPTFVAIGPYHHGKPHLHKMEEVKLAAMNRFIATANGASAGDVSGKLLSVVGDVRGCYADDEKLKCFSDDDFAAMMLVDGCFLLQFMMEKRKPLFEGRALSSEYSILKDMMLLENQVPWLVLDTLMEFLPMEMEVEQNVRCFVADVGDMFLRNNKEHEVSLTTSCFSVLFEVSPHKSSFLKDYKPANLLDLLRSSQIFRMPTEELSVRLVGSSLLSSSAVELAQIGVNLTASTAEWFGDMSVKEGPVYGELSLSPMFLNDVSAGWLVNMAALEASGGATTADQSSSSSSVMCSFLSVVAMLMDREEDVHQLRAKQVLYSTLSNAQTLDFFKRISQHLGFGHRYFYILQQINKFKQGRPVRSAVHKFLYKHIRAISIILSIASVLVGIFKALREL >OGLUM08G01510.1 pep chromosome:ALNU02000000:8:1211467:1212828:-1 gene:OGLUM08G01510 transcript:OGLUM08G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISRSYINNSTVDVTIIPPIQERVKKTFERLEADFCKNERKIHRFPQGLRRWIGGEGDRYIVPTFVALGPYHHGEPHLQKTEELKHAAAHYLCMKSGRSVEEVYGKVLAVAGEARGCYNNDDNAVAQFSDDEFAEMMFLDGCFLLKYMNSDYGCSLLTNRMVLSTGPCMLRDIMLLENQIPWLVLDTLMSTFSMSKCVLDFLKEVITGFILGGTFMNVFIDGWDENSRPPHLLGLVRSYLISGIPPDEGIVDGDTFSIASSAAELAEMGIRVIPSKAEWFTDMNLKTKKFALPLFGEKFALSLFGELSLTPLFLNDFSACWLVNMTALEACTATLGGHPISDGFIISSYLSLLAMLMDKEEDVHELRAKGLIHSFFSNKEMLAFFKGLARHLRLGSRYFTIIQQIEDYKHNRRPFIVVHKFFYHNWRIIVKLVSIASVLVGIFKAILSLKRP >OGLUM08G01520.1 pep chromosome:ALNU02000000:8:1216501:1218466:1 gene:OGLUM08G01520 transcript:OGLUM08G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPISTSKPQTQKAHQPLRPRRRRPPPCAPPPPQPPPPPRGSSRRLHLVSSARTPGPPSSPSRGDRLPRPPSSRSPPLPPRRRPTPSTTTQRWTRATRRWTGRSYCRGSGGRWPVRASWRRSGGGGGTRTPGTSASARPAPPPGGFAGGISRVRTHLVTSRNQRRGSWRMISMTIGSFLEESSLLTDEPRNDIDVFLELPSYR >OGLUM08G01530.1 pep chromosome:ALNU02000000:8:1221052:1223449:-1 gene:OGLUM08G01530 transcript:OGLUM08G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAKERKLSRLGSKGSGGGGGGGSFGARGQRAPAGTQRRLFAAFFAFLFAGAVVFGAAHVIGASFRPVLKTAWPSATLNAVSSERGAQQAGMVSVDAVLPSVHIQHAVALPDHVLLMLRDGSLLPASGQFECLYSPVNSSQLRRQPLSVATLPDGPSLVHCPAGPSRVAVSLSLAQSVPVAPLQWDRLVYTALIDSKDNSTVVFAKGMNLRPGRLGVPSRYECVFGRDFSKPKLVVTSPVVSAAQEIFRCVTPVRIRRYLRMTTGGKNSGNNDDKPMLVSIRTKGRGSSTLPSIAQPEPLPRYNKHWRRKAHSMCVCTMLRNQARFLREWIIYHSRIGVQRWFIYDNNSDDGIEEVLNTMDSSRYNVTRYLWPWMKSQEAGFAHCALRARESCEWVGFIDIDEFLHFPGNQTLQDVLRNYSVKPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGMKYVNIGQGMMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPRRFCEVYDNGLKDFVQKVFTDPHTGNLPW >OGLUM08G01540.1 pep chromosome:ALNU02000000:8:1243308:1248002:1 gene:OGLUM08G01540 transcript:OGLUM08G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKIKFKEEPSAPAPATESHHCVLRVTVSQIIYPVTFEVLHQVYDIYGAVAVQVLAVSTWQVKALVSFMSSHDAERAWSATHGRNIYDGGCLLDVQHVQIFPEDGVTTMLTTCSTMLPSSATTRPVAESTAVASERMFPATTASYVPSITSAAMLTPVPFNETKEAKADMGKVEDKSIKTFHDMCVEIKDMINQMLETCHNSKVEPTLGNDLTGVADVSCTTNDLIPIALEASQEADGDGNDLAMEDDCVEYTTVETKLCPVLSINDQWMDHKEKASFDMQWKCGGISASPTMATSFSSQLQRFICRAEARTMATFLAQSRELLESWFILVASWLSLICTPYDEKMQVKAPWPPPAREVQRHPNIKQVIDPWPLLQLASAKETPWVSFQRHLFKAQLRESWGLPQVEQTIDTTDNDCAINKLINSKQMWYLCERFGVIVLVRDSIQLRTKRLRKIKETVKVKRREEIRAKVDASESEIHKKK >OGLUM08G01550.1 pep chromosome:ALNU02000000:8:1251774:1252163:-1 gene:OGLUM08G01550 transcript:OGLUM08G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNKTISVALLLSTLVVVVAAGPATGPPDLLQGWCADACREEQQKDPIYNKHCPDFCVISTKQIFRAYKGATDPPVERFNALCDEGCSKEFKEDPAISKKCVDTCIVMSKEAKEYFAKGGTIGAPAGA >OGLUM08G01560.1 pep chromosome:ALNU02000000:8:1263168:1274300:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVASAGPGHRFQAVGQARRQDLGDGKLIYFSSLQLCLAIRNSLLGCGLQPYSEWVRAHPPFSTWICHSSNLKEIGGVCKYNNRIVAIHNMYVQAAHHWRLQSIDSTLFLSHVGDDGIQRLPSASARRGLQQRRRGVERRWQRRHELQLGEGERGTAAAGGMAMPTRSI >OGLUM08G01560.2 pep chromosome:ALNU02000000:8:1263168:1274300:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVASAGPGHRFQAVGQARRQDLGDGKLIYFSSLQLCLAIRNSLLGCGLQPYSECLVPYKAIVQTALLQSIDSTLFLSHVGDDGIQRLPSASARRGLQQRRRGVERRWQRRHELQLGEGERGTAAAGGMAMPTRSI >OGLUM08G01560.3 pep chromosome:ALNU02000000:8:1263168:1274300:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVASAGPGHRFQAVGQARRQDLGDGKLIYFSSLQLCLAIRNSLLGCGLQPYSEWVRAHPPFSTWICHSSNLKEIGGVCKYNNRIVAIHNMYVQAAHHWRLQSIDSTLFLSHVGDDGIQRLPSASARRGLQQRRRGVERRWQRRHELQLGEGERGTAAAGGMAMPTRSI >OGLUM08G01560.4 pep chromosome:ALNU02000000:8:1262375:1273795:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMMRNSHCASSSACLIGYASLSANLLNILSHSSHQPRIGLHETRQSVLFDEILHRSHSATHGQRNSGYTSSSIGRPFNHNGSPHINGSSLQLKRWMDRLCSKQQHEVAHYAFSFLQEKHLQATIDIF >OGLUM08G01560.5 pep chromosome:ALNU02000000:8:1264193:1273795:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMMRNSHCASSSACLIGYASLSANLLNILSHSSHQPRIGLHETRQSVLFDEILHRSHSATHGQRNSGYTSSSIGRPFNHNGSPHINGSSLQLKRWMDRLCSKQQHEVAHYAFSFLQEKHLQATIDIF >OGLUM08G01560.6 pep chromosome:ALNU02000000:8:1263168:1274300:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MILRVASAGPGHRFQAVGQARRQDLGDGKLIYFSSLQLCLAIRNSLLGCGLQPYSECLVPYKAIVQTALLQSIDSTLFLSHVGDDGIQRLPSASARRGLQQRRRGVERRWQRRHELQLGEGERGTAAAGGMAMPTRSI >OGLUM08G01560.7 pep chromosome:ALNU02000000:8:1263168:1273074:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNIILSLYSDRTAYNLVLHEHGPKLYDKLTEDMEDHLQEMRVSIEAAQGGLKIIDRLRSKQLACIPQHSMVGGSKRWKEKTY >OGLUM08G01560.8 pep chromosome:ALNU02000000:8:1264193:1273795:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.8 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMMRNSHCASSSACLIGYASLSANLLNILSHSSHQPRIGLHETRQSVLFDEILHRSHSATHGQRNSGYTSSSIGRPFNHNGSPHINGSSLQLKRWMDRLCSKQQHEVAHYAFSFLQEKHLQATIDIF >OGLUM08G01560.9 pep chromosome:ALNU02000000:8:1264193:1272940:1 gene:OGLUM08G01560 transcript:OGLUM08G01560.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGADAGDHGLRRLVISGLGSKGQAAAAVYSSMSRTAYNLVLHEHGPKLYDKLTEDMEDHLQEMRVSIEAAQGVLLEADTVFIHPTVDPSMCICGGARQQAAASSPRTPTSMP >OGLUM08G01570.1 pep chromosome:ALNU02000000:8:1262471:1263679:-1 gene:OGLUM08G01570 transcript:OGLUM08G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAEDGCCGGGARQRQSEVEAKMAMLGDGGGGDAAQRMDGDPKLKLASYPAHANGLLRRGGDGGRSGGGGSGDLASSAKLVAEAASRCSRTASHRDLEKVDKACVAGGTGEGRRGAVARRSSTGGGTTRRSGAGEEAARRSSTGGGAARRGSAKERRQRRGDDDVDTGQRDDDAAGMLLRALECAERERGGSTRGSHGWQAGFDWPNLASQGAGLASWIAWPSK >OGLUM08G01580.1 pep chromosome:ALNU02000000:8:1287287:1287713:-1 gene:OGLUM08G01580 transcript:OGLUM08G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIAGVLILVLAGMTLVTRKSGRLIAFVRRYMLTHYPGLVVPPICIEDIAKKCGWNNLTPACVMEEMDSCH >OGLUM08G01590.1 pep chromosome:ALNU02000000:8:1290725:1291123:-1 gene:OGLUM08G01590 transcript:OGLUM08G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSCGPTTPEAYTVSRKPDFRLLIGVLTRADNYELRHLLRMVYDLQLAAGDLTAHVDVHGDATAGIHGLVVVHEHADGLEARVEPPRGVRPVAGVEHRAEVGDVRRDGDLATTRPGARRAVGALGVLSSAQ >OGLUM08G01600.1 pep chromosome:ALNU02000000:8:1302111:1303220:1 gene:OGLUM08G01600 transcript:OGLUM08G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRRAARDGEPVDRIGEAPSSGRDGSTTPATAARPPTEDSDILDGRGTTSTSAARASSSSKKRPAGSPEDTEIPPESSGTRRTTQSGEASGETAGADGDAVGEKQVGATDAASPEGDAAGEGAPGKRVAAAAATATATDSTAGASTGTSSEVAEAVTAGETDETTAARGPTSIGDMATGRGLESGTGATDCAGEVGAWELRPGSAAMGGEQQAGVEATADEAGAGELRPRGTAAGGELQAGVETTAGETGTDASSAEAGRTCVETAAGEAGTDASSAEAGRPSRRRGDLGDGAEVGEEPHVEKTASVDGAEAGEKTHDADGCVASTRPSSTASPRDGGRIDLPQSAWDQGDPPGPAQTAHTNRGKATR >OGLUM08G01610.1 pep chromosome:ALNU02000000:8:1304113:1306117:1 gene:OGLUM08G01610 transcript:OGLUM08G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLHLMRLKLLGSNSAALFLSRQLHLYSFVIYLFLYKVKHKPDGPFMPYVVPAPAVRDKGVSCRDEGPSLACTHAIKGATIDCTLQDVHEYAGDEHMEE >OGLUM08G01620.1 pep chromosome:ALNU02000000:8:1309874:1312677:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLMRLMRVSRIQYLFRFYPPVTVSSSRLVSSRPSNPFPLLLFAAAVLQRLGSVVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDAMAASLSGLEGLQLIRVIKVRVLPVHNRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLP >OGLUM08G01620.2 pep chromosome:ALNU02000000:8:1309874:1312394:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPISLPLLPSRDREQLEISFFSTIEPFPAASVRRRRAPAPRVFFAAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWHDVVTVASCLHNFWTTVQLIGGNHFLHNIEERSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLP >OGLUM08G01620.3 pep chromosome:ALNU02000000:8:1309874:1312394:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPISLPLLPSRDREQLEISFFSTIEPFPAASVRRRRAPAPRVFFAAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDAMAASLSGLEGLQLIRVIKVRVLPVHNRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLP >OGLUM08G01620.4 pep chromosome:ALNU02000000:8:1309874:1312394:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPISLPLLPSRDREQLEISFFSTIEPFPAASVRRRRAPAPRVFFAAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGYTRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLP >OGLUM08G01620.5 pep chromosome:ALNU02000000:8:1310556:1312394:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPSNLACVKDPISLPLLPSRDREQLEISFFSTIEPFPAASVRRRRAPAPRVFFAAGECSSSQPCASSSPDAAGDVWAKLVPSDSVFPEVELAEDDAVVCSRVTPDGGGEVVAWCKIRRGGDVSAATIWNLSLDAIIVDGRVIQQEAVDIKPGSEIVPGPQKDGIENAKCSICLNLWHDVVTVASCLHNFWYFCQFS >OGLUM08G01620.6 pep chromosome:ALNU02000000:8:1309874:1310496:-1 gene:OGLUM08G01620 transcript:OGLUM08G01620.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLSGLEGLQLIRVIKVRVLPVHNRSDEEIALLESYAPVKTNILIKAIYTVRCTTRQRSVPSSASTLP >OGLUM08G01630.1 pep chromosome:ALNU02000000:8:1319377:1320268:-1 gene:OGLUM08G01630 transcript:OGLUM08G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTLSAECHPVKGCRRLTETPPAGDAFTLVAVSSVTIDVYSIIFDGATTFLTLIMKPLEEIAIRSAAARCLHAVLPERTTDRRSTRGSTLPSCPDPGGLDGAVGDARRAALALKLVTIIMQLRHCHVVFVLADQTLSHCLPEHVGLRQSAIARGPYAVIKTGRDSFSSSSRGRCAVCPQRFHFIMLESFVMSRDHHVGAPLLGAYKPSSSRSRRAVSFSIHLMMRCHLSMMLSLHHAKMRYCLSVMLSLFVEINDAARRCIGASLVHINIKQEWCRL >OGLUM08G01640.1 pep chromosome:ALNU02000000:8:1320820:1321138:-1 gene:OGLUM08G01640 transcript:OGLUM08G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEPVRDAAGVIVAAMTISEPSPLPSRLIRPPSSTFINISISSQMDSSIHLQIIQEATPKRISPASLNGHYSAYVHAWRTAGCAAIAGVVA >OGLUM08G01650.1 pep chromosome:ALNU02000000:8:1322128:1349997:-1 gene:OGLUM08G01650 transcript:OGLUM08G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIPLITSAITCAVGIILFSVCYLPPAPVAALYFNYSTFSQDDGNTIRLEGDASFGDGWIDISANRYGHRGHSKGRASYNARPMLLWSRDTGEVASFTTRFSFNITPPKEDGGINNKGTGMAFFLAAYPSMLPSGVDELGYNIGLTDQGPDTIASGDSRFVAVEFDTFNNTMVHDPDATYDHLGIDVNSAVSNKTLTLPSFTLLGNMTAVVEYDNVSSILAMALQLGDGRNESYNLSYKVDLKSVLPEQVSVGFSASTTTSFELHQLHSWYFSSSLEPKAAAVRGRVVAGATVGTVMFVILLFAMVAVLVRQRQSKKKETEEAKNGGMDGSDDDDDGETIVEIEMGTGPRRFPYYELVEATKSFAAEEKLGQGGFGTVYRGFLREQGLAVAIKRFTKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGSDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIILGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHIVGMQTMTAVSGTPGYVDPECVITGRASAESDVYSFGIVLLEVACGRRPMSLLDSQKNGIFRLVEWAWDLYGKGDILTAADERLNGDYDAAEMERVIVIGLWCAHPDPNARPSIRNTMAMLQSGGQLPVLPAKMPVPMYIPPVVSVDELFTSPAGMSSSSATQSSTTTITSSTTTTRYNIVGLIFFLSVFCDDLYSPAHVAVALTFNHTNFCPDEQNNIRLEGDAAFSADVSFSGDGGGWVDISANRLDGSIDHSRGRVSYALPVPLWDAATGEVASFTTGFSFVINPPKQDGGINNKGAGMAFFLAGFPSRLPSAGSYAYNLGLTNQTADQVAAGDDRFVAVEFDTFNDTIVHDPDATYDHLGVDVNSVVSKRTLTLPSFTLVGNMTAVVEYDNVSSILAMRLHLGYGLSGPRHRPDYNLSYKVDLKSVLPEQVAVGFSAATSTSVELHQLRSWYFSSSLEPKAAPPSPSPPPTSGSGSGGVVAGATVGAALFVVLLFAMVAVVVLVRRRHQRKKMREAEEANDDDDDTEGDPIMEIENGTGPRRFAYHVLVNATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFIKDSSNQGRREYKSEIKVISPLRHRNLVQLIGWCHGRNELLLVYELVPNRSLDVHLYGNGTFLTWPMRINIVIGLGSALLYLHEEWEQCVVHRDIKPSNVMLDESFNTKLGDFGLARLIDHADGVQTMTHPSGTPRYIDPECVITGKASAESDVYKVVCARRPMSLLDDQNNGLFRLVEWVWDLYGEGAIHKAADKRLNNDYDVVEMECVIAVGLWCAHPDRCQRSSIRAAMMVLQSSGPMPMLPAKMPVATYAPPVASSEGQLSSSTEQYEL >OGLUM08G01660.1 pep chromosome:ALNU02000000:8:1365775:1367902:-1 gene:OGLUM08G01660 transcript:OGLUM08G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVLMTKPFMAALACLLVMPSVVATTVSFNYSSFSNASKNITLQGSAALAGAAAEWIELTKGKGNNLSSGGTMGRMVYTPPVQLWDAATGEVASFTTRFSFNITPKNKSNKGDGMTFFLVSYPSRMPYMGYGGALGLTSQTFDNATAGDRFVAVEFDTYNNSFLDPDATYDHIGIDVNALRSVKTESLPSFILIGNMTAIVDYNSNSSIMSVKLWANGSTTPYNLSSKVDLKSALPEKVAVGFSAATGSSFEQHQLRSWYFNLTLEQRQPAGQHSRGGGVVAGATVGAILFVVLLFTMAAVLVRRRRRRKKMREEEEDDSEGDPIVEIEMGTGPRRFPYHVLVNATKSFAEEEKLGQGGFGAVYRGNLRELGIDVAIKRFSKDSSKQGRKEYKSEIKVISRLRHRNLVQLIGWCHGRHELLLVYELVPNRSLDVHLHSKGTFLTWPMRINIVLGLGNALLYLHEEWEQCVVHRDIKPSNIMLDESFNAKLGDFGLARLIDHAVGVQTMTHPSGTPGYLDPECVITGKASAESDVYSFGVVLLEVACGRRPMSLLDNQNNSLFRLVEWVWDLYGQGAVLKAADERLNNDYDTTNMECVMAVGLWCAHPDRYARPSIRAAMTVLQSNGPLPVLPSKMPVPTYAPPMASSEGQLSSSTGMSSSSLTLTSITPR >OGLUM08G01670.1 pep chromosome:ALNU02000000:8:1374944:1375198:1 gene:OGLUM08G01670 transcript:OGLUM08G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTNLALALGAAGTSSDDDDGRGRSGAQDLSGDDKDSGSGAQELVNGSVGLRSCGALVATAMAPVAKITCVCGILSSYMALQ >OGLUM08G01680.1 pep chromosome:ALNU02000000:8:1376173:1376528:1 gene:OGLUM08G01680 transcript:OGLUM08G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSFFFFPQPKRLLPRRRRHHTRVVGRAPTEISGEEQFRSAKYTVAPPRLPPPQVWKGTILIPKWRDLVRHFAPAARADSLMVLHSAAVDARLRQCIAADLSYWRFLFQ >OGLUM08G01690.1 pep chromosome:ALNU02000000:8:1382078:1384361:-1 gene:OGLUM08G01690 transcript:OGLUM08G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELVTRSSMAAASASAIACLLFLGFLPSLATAVSFSYSTFSNGTKNITLQGSAAIAGDGWIEITTGSNLPSGGTMGRVAYSPPVQLWDAATGEVASFTTRFSFNITPTNLDNKGDGMAFFLVGYPSRMPDTADGGALGLTSRTFDAVMSGDNRFVAVEFDTFNNSFDPSATYDHIGVDVNSIVSVQTESLPSFSLTGNMAAIVDYNSSSSILSVQLVKTWTNGSTTLYNLSTTVDLKTALPEKVSVGFSAATGSSLELHQLHSWYFNSSFQQNPPPAAQPSPTTSGPGLAGVIAGATAGGALFVVLLFAMIVVLVRRRRSKKRREAEEAEEARHVGLAGDDDDDDDGEPIVEIEMGMGPRQIPYHELVEATKSFAAEEKLGQGGFGAVYRGYLREQGLAVAIKRFAKDSSKQGKKEYRSEIKVISRLRHRNLVQLIGWCHGRDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWEQCVVHRDIKPSNVMLDESFNAKLGDFGLARFIDHAVGMQTMTAVSGTPGYVDPECVITGRASSESDVYSFGIVLLEVACGRRPMSLQDNQKNGIFRLVEWVWDLHGQGDVISAADEQLNGDYDVSEMERVITVGLWCAHPDPSARPSIRAAMAMLQSSGQLPVLPAKMPVPTYAPPVASVERLFTSSTGMSSSSATQSSSTTSGYITHTSSSSNTSTSAGSKDSSSVTPRTSPERN >OGLUM08G01700.1 pep chromosome:ALNU02000000:8:1389748:1390902:1 gene:OGLUM08G01700 transcript:OGLUM08G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGKASAKSAVESLHADGCTNILEGLVEAAKVFDGRRYRNAVASVILLSDGQDNYNVNGGWGASNSKNYSVLVPPSFKRCGDRRLPVHTFGFGTDHDAAAMHTIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARIAITCPHAAVRVRSVNSGRYDSVIDGDGRAASVDVGELYADEERRFLVFVDVPAAGAGEDVTELIKVSCTYRDTASRQQMVVAGEDAVVQRPAEVSTSTEPSMEVERERFRVEATEDIAAAREAAERGAYAAAKAILDRRQEALARSARRLAGDARCAALVSELRELSARVANWREYEQTGRACMLAGMISHAQQRATSVQLFSPASALSSGAVPFGYSTPAMQSMVESSRKARESGSGGN >OGLUM08G01710.1 pep chromosome:ALNU02000000:8:1391165:1398090:-1 gene:OGLUM08G01710 transcript:OGLUM08G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEQQTHRPAIPNFPLHSARSNYPSQDATVRPIHDTMASAGGRCDGCHGDFGLWEPPLTAECSHRFHLHCVVSGADVCPACNARWTNAPSNPPPQPAGGSTTPFGQTTGFPMRVRPWSSCDKCRGVIDHSQPTVTSECSHTFHLRCFSGSVICPACNARWRDTVAVPNPSPAPPSTFFPAGVPAPPPTFSPFWVSQEYDDDEPVEPPVAAHGGGVAPASNGALVVRTHCEHTAVARSVIRDNFAVLVHAKAPSIAVAEATAAARAPVDLVTVLDVSGSMEGYKLMLLKRAMGFVIDKLGPGDRLAVVSFSYNAQRVIRLTRMSDDGKASAKSAVESLAAGGGTNILKGLVEAAKVFDGRRYRNAVASVILLSDGQDTYNVNGGWGASNSKNYSVLVPPSFKRSGDRRLSVHTFGFGTDHDAVAMHAIAEETGGTFSFIENQAVVQDAFAQCIGGLLSVTVQEARIAITCPHPGVRVRSVKSGRYESLVNGDCRAASVDVGELYADEERRFLVFVDVPAAGAGEDVTELIKVSCTYRDTASRQQMVVAGEDAVVQRPAEVSTSTEPSMEVERERFRVEATEDIAAAREAAERGAYAAAKAILDRRQEALARSARRLAGDARCAALVSELRELSARVANRREYEQTGRACMLAGMSSHAQQRATSVQLFGAAAPTWSMPMCGSAAPPYLSFGGFGGFATPAMRSMVESSRKRRKGGDGSLSFLDLLVEQRP >OGLUM08G01720.1 pep chromosome:ALNU02000000:8:1398806:1399234:1 gene:OGLUM08G01720 transcript:OGLUM08G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGSCVGWASSDGLASRLPLPPKLLRSAATDRDDDEEDDDDEDIILLGIVHHIPCAFDSANRLLSSSPAAAVVLSDLLLSHRSRCTGRLLSFSCRHRCASRLSPPSTTAPAPPSATFLPSAAVSTRENRERREEKRKKKK >OGLUM08G01730.1 pep chromosome:ALNU02000000:8:1403061:1407714:1 gene:OGLUM08G01730 transcript:OGLUM08G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQD2] MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHSDIKIKDSKTLLLGEKPVTVFGIRNPDEIPWAEAGAEYVVESTGVFTDKEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEDKYTSDIDIVSNASCTTNCLAPLAKVIHDNFGIIEGLMTTVHAITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPDLNGKLTGMSFRVPTVDVSVVDLTVRIEKAASYDAIKSAIKSASEGKLKGIIGYVEEDLVSTDFVGDSRSSIFDAKAGIALNDNFVKLVAWYDNEWGYSNRVIDLIRHMAKTQ >OGLUM08G01740.1 pep chromosome:ALNU02000000:8:1407375:1411295:-1 gene:OGLUM08G01740 transcript:OGLUM08G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGWGDLPPDLLALIADGLTIKAYTRVRAVCTAWRAAIPAASPSLLVRLDWNRHDAWFLSPRISTALHERLATLLPDRSVCLGSGHGWVAVHDPIFYELQFGLVDPLTGVDIPFSSFPHFAEHKLRVSKVVFAPHPTPTDFTAAAITGHDGGRVITYTAQGNSGWADAGCPRLGDRDSIADVVYHEERGGGGERAVYCLATSGDVHVLRLHDAGGAFEPLFDRGNAAFDAAAAFAPPYDTIRHCTNAKNLVVCDDGDMYQIWRNNTCTRMGPLPGGGEYRVEYNQMFVLRYHPRRRPCWVPVEDLGGRSVFVGKNNSVALRVDGGGGGGGVPGLRANCVYWTDICPARAKVFDMETEGEQNFMHRVHIIITLSSPASFEPLFDKASRRVFHPAVAFAAPHDMIRASSTNSKNLVVCGDGHLYQTWRCDGVHILVLRYHPRRRPCWLPAKDLGGYSVFVGKNNNAVALRVDDGGGVPGLRGNCVYWIDHCSDEAKVFDMETGKGNAD >OGLUM08G01750.1 pep chromosome:ALNU02000000:8:1414485:1418584:1 gene:OGLUM08G01750 transcript:OGLUM08G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGVGGGCHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRCATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASVPAGNSISTII >OGLUM08G01750.2 pep chromosome:ALNU02000000:8:1414485:1418584:1 gene:OGLUM08G01750 transcript:OGLUM08G01750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPELVKLAFARVQRVEPEHVGKIFGVMLLREPDEDELVQLAYGPEATLLAKIEDTKAALTVIYARCSAAAAHGPPGGGGVGVGVGGGCHQQPQQLFSRPPVPACGGVRHHYSPAAAAAAAFGYQVQSPQYWPDSPPAPPTKAAQQEFAPPGLVVDASAEGPYPLRGGQHVLDDNNFGGGYYYPAGEDAFPNGGGGGGGSPARARRSNGLSTRRPCHYFSKGICKNGQNCHYSHHQVYQDALAGAAINGDVYNHQPGGVTPGSLETLEMEITELLNSRRGQPVSIASLPTLYGEKYGKGLQADGYLTESQRHGKAGYSLTRLLSRLNKIRVIERPHGQHSVVLAEDAAKYMDFRGGGGGGGGDTGSVPASSHQIYLTFPAESTFAEDDVANYFGQYGPVRDVRIPCQERRMFGFVSFQSPETVSTILMRRNPHFICGSRVLVKPYREKSKCVDRTCVDNIKSMVPYCPPRFFEFDQELYTAEYDASRLMRKQLAEKREMLLEMERRCATVRRLESMPPQFAYFDCSIEDASPLHSLQDDSKQLDLMNPSLASPDPLEIVSNSQAPPTQAGNIYDDHESNQIELLPESPFAASVPAGNSISTII >OGLUM08G01760.1 pep chromosome:ALNU02000000:8:1418594:1420133:-1 gene:OGLUM08G01760 transcript:OGLUM08G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGWEDLPPDLLVHIAGGFSIQAYTRLRGVCAAWRDALRPPSPSLLVLRDRHAGQRFAAWCVSPRMVSTALHETLAARLSPESRCVGSGDGWVAAHVPGGAVLVNPHTGDEIPLHSFPGGGGNNVVVFKVVFAPNPTPSEFTAAAITGGGRVVYTTNGNSGWTDFKCPRLGAHGDGGSIADVVYHDHGGGKKVVYCLTAGGDVHVLRLPAGGQRRTTASLEPLFDKPAATFYPAAAFAPPYDTVRTFADSKNLAVCGDGQLYQIWRDDDANATMFVLRYHPRRRPCWLPPKDLGGHAVFIGKNNAVALRGDDGGGATPAPRANCVYWTDVWTDRAKVFDVVTGESTLCFPGAEGHSVVCWYHLGDVRSSSLSFNTGYILQCFKIVFDHPINVDPIFIGGNGIGYDL >OGLUM08G01770.1 pep chromosome:ALNU02000000:8:1422042:1423277:-1 gene:OGLUM08G01770 transcript:OGLUM08G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRGWWNDLPSDLLALVAGGLTFQAYTRARAACAARRAALPAISSPSLLVLHDDHRERSSFSAWFLSPRISIASLATNLISTGRAQRCVGSGHGWVAFGDFNASLVNAFTVDEIPFHSFPEKHGVVMSKVVFAPNPTPAEFTAAAITGHRRVTYTTRGNSGWTDVDLPRLGAIGGGDYIADVVYHEKEFGGGKKKKVVYCLTGGGDVLVLRLPAGRRRRQRRPASFEPLFDKASAVFYPAAAFAPPHCTGDKYLVVCDDGHLYQIWMDEKISYGSGAIASVLRYYPRRRPCWLPAKDLGGRSFFVGVNNAVALRVDGGGGGASGLRGNCVYWSARCSSRAKVFDVESGKSATCFPVVDLETGKSAPGFPGGAEAHRALCWFFLADMRSSSSNTRVGTSAHQLQKRARHA >OGLUM08G01780.1 pep chromosome:ALNU02000000:8:1440724:1449447:1 gene:OGLUM08G01780 transcript:OGLUM08G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVADNYPPAKDGRSAQEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMGPGIAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGKSLKKFHDVLCEGHGCKNIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKVADVDYHLRATTSTGKVFGFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWKGVVVAYIIVALCYFPVALVGYWAFGNHVDDNILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKKLRFPPGLTLRLIARTLYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVMLMILSPIGGLRQIIIDAKTYKFYS >OGLUM08G01790.1 pep chromosome:ALNU02000000:8:1447179:1453152:-1 gene:OGLUM08G01790 transcript:OGLUM08G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTQKFSKGHPLGFVPDYRHGVETVGESKGCIGSPERIVSGSSCAVPKRKCGILKTEDGGELPGFNVPRDVFMLPRMSPSDKKDLEMRLRKELEQVKALQSRLFSRPAAVSMNGGAASASGDVVAKRNDAKLKRSNSVQSGRGVPPSAATPVVRSANYAEAFKQCGNLLKNLFKHQWAGPFLAPVDVVQLNIPDYFDIIKKPMDLGTIEKKLNAGMYSTPWDFAADVRLTFDNAVTYNPVGNDVNLMGKTLKCIFETRWKFIEKKLPSLDDKFSVRREPSQKGAVKKDTIEKDYPSEKKHSTKGVHKKDMFKKEDASTKPALQPKKRKASPLVQGSLEIPVVEADKVIDDAQVVQPSKVIDDAQVVQASKVIDDAQVVQAFKVIDDAQVVQASKEIMTDRQKYELSVRLQSYGGLIPNHVVDFIRSHLPDDNEGDEDELELDMNVLSDSTLFELQKLLDDYDRVNQSGNPTKNEHREVEFESEYGLSNSSMHHEEGNELVEEDVDIGGNDLPPLTYPPAVFESETAERSSKHSTSSSSSSDSESSSSDSDSSSSSGSDLDVNVPPSTSGAKDNTQSAVRLDQENDPLSSTNLPQQSSDPVPISAKDEGENVSEKQVPPAKQYRAAVLLNRFADTIFKAREKTLDQVAKKDPEKLQHDMEELERLRREERARIQAEAKAAEDARKRAEAAAAAEAAAEAKRQREREREAARKALQQMEKTVDINEGNLFLKDLEMLGTVTSGEQFPSSVGETSPTHTPEGLGFQLGSNPLEQLGLYMKNDDEEDEEGESADEPTIDVEEGEID >OGLUM08G01800.1 pep chromosome:ALNU02000000:8:1457843:1460320:1 gene:OGLUM08G01800 transcript:OGLUM08G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARTRPIPLPLGPRLHLPRGHTHEPSPPPAHAADDADEWPPLAPLPAPRRAPDLPEASRTRPLHPMGDNASASASVLAPPVGAGEGDAPSFSYLAALGNCPLVAAVLAGAIAQFIKVLTTWYKENRWDAKQLVGSGGMPSSHSATVVALAVAVGLQEGFGSSLFATAAIFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPAQVFAGGVLGFAVATFTGMIAGLGNTGSLP >OGLUM08G01810.1 pep chromosome:ALNU02000000:8:1461086:1464655:-1 gene:OGLUM08G01810 transcript:OGLUM08G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATATAAAAAPAAVPALVSPLSRRAFFPLPRRAGPKSLRVFASAARRRGLVVVAADAAAAAGGAEFSDEENPYEILGITPLDSFDHMKLAYKRKHKEAEENGDQYYLSKVLYCAEILEKAYDTVMMQQLQYRKKGVTYGSVQVSKDIKYADDQPIVPWGPRSSKSTVKDMRINLGISAAIVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFAASLGYTAAVNVIEFSWQYTPRIVYYYQELIVTAATAALLYITASYYR >OGLUM08G01820.1 pep chromosome:ALNU02000000:8:1464881:1470626:1 gene:OGLUM08G01820 transcript:OGLUM08G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNADKPSLKHQRNWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRSVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDGEEGDENVASEEEDEEDGLKIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHAWEAFDKGQDIHMQAAPSQAELLFKSFKIKKEKLKSENKDKIMEKYGNAASEEPIPRELLLGQSEKEIEYDRTGRIIKGQDVALPKSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVRHEEKRLATWGTDVPNDIVLDKKLLDEALKKEGARRKEEMDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLH >OGLUM08G01830.1 pep chromosome:ALNU02000000:8:1469246:1470004:-1 gene:OGLUM08G01830 transcript:OGLUM08G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSAGWPLSPSPSSTRGRRRVSVKPWRLWWRRCAGVAAVIQSKIHRRAVRWPGGHGGGRRRREAATASTREREGWCHHRSFAPVYVDELYSHPKTHHVAVHEAQAQQPNTTAAKTNVGAASGKARAVAAAAAANNNNAVAATNASAMFAAKNAAADAATNAAAGARGKGRVGGGKKAAAAGAATNGGGAKAARGGVRSLLMSPLRGGGACGMGEVDVRAEVFIRKFREEMRLQNQKSAEEFQAMLARGL >OGLUM08G01840.1 pep chromosome:ALNU02000000:8:1477079:1479321:1 gene:OGLUM08G01840 transcript:OGLUM08G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASMAASLLIPLCLCILLLRGASAVSDQQEAGRRDSCDRIDRRIRALEPTRRVDSEAGHTELYDDRDGQLPCAGVAAARITIQRNGLLLPSYSNSPRLAYIVHGRGIVGVVIPGCPETYQETSSSSSQEQEDDERRRRGRRGDEERRRSSEGEEEEEEETSRRSFEQSIRDEHQRITTVRQGDVVAIPAGAPFWVHNDGDSPLVAISVHDVSNSANQLDQTSRRFRLAGGQARSEGRQRYGEGESSESETERGGEESYNILSGFDTELLAESMRVSPDIARKLQGRSDKRGNIVRVRRGGLRMLRPATERVTDEEMMRGANAAAAAGNGIDEAVCLMKLRENVADPMKADLYTPNGGRITVLNSQKLPVLKLIKMSVNRGVMRRNAILAPHWNINAHAAVYATSGSARLQVVSSEGRRVFDGELRRGQMVVVPQSFAVAGRAGDEGFAWVSFQTSDGAMNAPVVGKSSALRGMPADVLANAFGVSREEARMVKFGRGQELAIFSPKSGAAARRRRSPGHRDDGVLAAPA >OGLUM08G01850.1 pep chromosome:ALNU02000000:8:1480302:1484532:1 gene:OGLUM08G01850 transcript:OGLUM08G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKTSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPMPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVDHDQLLGDY >OGLUM08G01850.2 pep chromosome:ALNU02000000:8:1480302:1484707:1 gene:OGLUM08G01850 transcript:OGLUM08G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKPLSPRLAVPLAIALLLALGLVADFLWSSSSSSGTSGRGQLASASRTATAKTSGRARGKKPAAEGYLNATYADIPAPRWDWEEMPAAPVPRLDGYSVQIGDLLYVFAGYENLDHVHSHVDVYNFTSNTWTGRFDMPKEMANSHLGIATDGRYIYALTGQFGPQCRSPINRNFVVDTVTKEWHELPPLPVPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRTEIPIPRGGPHRACIVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGNKWKQLSPMPKPNSHIECAWVIVNNSVIIVGGTTEKHPITKKMILVGEVFRFDLDTLTWSVIGRMPFRIKTALAGYWDGWLYFTSGQRDRGPDNPAPKKVVDHDQLLGDY >OGLUM08G01860.1 pep chromosome:ALNU02000000:8:1486085:1493811:1 gene:OGLUM08G01860 transcript:OGLUM08G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRLAGAAAAAAAPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRYCLILESGCFK >OGLUM08G01860.2 pep chromosome:ALNU02000000:8:1486085:1490307:1 gene:OGLUM08G01860 transcript:OGLUM08G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRLAGAAAAAAAPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >OGLUM08G01860.3 pep chromosome:ALNU02000000:8:1486085:1491932:1 gene:OGLUM08G01860 transcript:OGLUM08G01860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3537) [Source:Projected from Arabidopsis thaliana (AT1G67570) TAIR;Acc:AT1G67570] MAPSNRLAGAAAAAAAPPPPPPPPPPLPPRGSPAPSENHTAISAPLLQPAGAGAGDAAPLARWLRRLEAFLSAAGLAASSPLGKAGAASALAVLGVALPALAVALSPCRGRGRGCDEFEVEVFEVCVLLSQAAAAAVALACVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIKDFFSTILWWILPCFVVKVTREMFRFSHIFQESTWRSCAVLFASIMSWMYLTTIILTSCMLFNLVCNLQVIHFDDYGKLLEQDSDPLVYLKEHLQLRHNLSKISHRFRMFLLLLFFSVTASQFAILFKTTAYTGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNIASLASRWHALVTCSSDSTYVSTPNSSGNLVPFPAHMFLRDFSESDLESLESGSVQGNSHGTAQLASYMSSYHKRESLVLYLLGNPGGITIFGWIVDRTFLNTILMLELTLVLFVLSKTVVVPAKTLVLDYIRFP >OGLUM08G01870.1 pep chromosome:ALNU02000000:8:1489869:1494369:-1 gene:OGLUM08G01870 transcript:OGLUM08G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-like ATPase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13180) TAIR;Acc:AT1G13180] MDAATRPAVVIDNGTGYSKLGFSGNSEPCFTIPTVVAVNESFLDQSEMCSSANWIAQYNAGVMADLDFFIGDEALSLFKSSGLYTRKHPINHGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECAGEIMFETFNVPGLYISVQSVLSLSAGYAFLKSISDEDSVSVSDMTGVVVDIGDGAPHVVPVVNGYVIGSSIKSFPFSGSDVTQFVLQLLQERGELIAPEDSLDIARRVKEMYCYTSSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFHPEIYSADFSTPLPELIDSCVQSAPIDTRRDLYKNIVLSGGSTMFKDFHKRLQNDIKKIVDERVAATNARHHVEVKPVEVNVVAHPIQSYAAWFGGSVAASNPEFYESCHTKEEYEEHGASICRTSTVFKGMY >OGLUM08G01880.1 pep chromosome:ALNU02000000:8:1499044:1501564:1 gene:OGLUM08G01880 transcript:OGLUM08G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQF1] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYMRHVPRRFKSNFREGTEATPRKRAAVAN >OGLUM08G01890.1 pep chromosome:ALNU02000000:8:1502124:1503963:-1 gene:OGLUM08G01890 transcript:OGLUM08G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding KESNQSTNHLSAAGVWSNIQQSFESGKAHAEGEYQARRAVEAVTDAAGAIHQTGEQVAQAAQNAATATKGSAVQAGIHLYENLNISFD >OGLUM08G01900.1 pep chromosome:ALNU02000000:8:1505420:1507551:-1 gene:OGLUM08G01900 transcript:OGLUM08G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAACSRRASGCAPWWAWAPPSKKADQLPRTRAVIAVKKLWRPAAAQAPTPAAAVVVDKDKPNPPCPISRGQPGAGGRQPAAAAPAPRALLATAVARSSGKREAVSRGVGADAWPLDLGIARDFGAVRRRSEDVGGRRRQSRHGACAGARSGGASVRLRAYGLPCWARPEPEDPALLHVNDLFMYVDYSKKCKNFELVTNQPTNHFL >OGLUM08G01910.1 pep chromosome:ALNU02000000:8:1509723:1513264:1 gene:OGLUM08G01910 transcript:OGLUM08G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSISTCTTETAKGIHRFEIFSYSMMNTEAEDDSIRSGVFNVGGFDWALLYYPDGIDDDSKGYIGVYLELISKNGEPWALVDVNLINQLQPGQPRQLFTKTDVPTPFRSSSFQESTLGSLKCMKRSDIESTPGFIVNDCIVIECNVTVIYEPKVSKTRALCEAETSSALREIEVPPMEISSDFAKMLKDGVGADVTFRVGEDTFRAHRAVLAARSPVFHAQLCGPMKEKKETQMQEITIQDMQPSVFEAFLYFIYTDCVPRMDDLGNGEKMHLMMHLLKAGDRYGSERLRIMCERFLATNLDTEHVSAILGLADLLDLKKLKEACREFMVPSERMDAVAASQGYQQLKRAFPSLAFEVWERRSRAAPSSSMSPASGTYVLDVHGFSGLRRQHCGGGGGCVVSPTFNVASLEWAIRYHPEGDADEVTGDVAVFVVLVTKDATAWAHVEFRLLDQAAGEMVTFFGEKDPILFDSGSEDLSTWGTGELAARSFLDGSPYVAGDCLKIECAVDVCRDRLTFHHDTPPSGEPFRQYPADDEPADVTFKIAGDTFPAHVSVLAARAPGLLNNTTSQAATITIDDDDDDTPAAAAAFGALLHFAYTDTLPVASGLDGAGHTALLGRLLVAAGRYGMARLGAICERAMCRSLDAGTAADTLAMADRHGFDALRRRCAEFMASPDNYYLVAGSVSHGRLSPSLRREVWNKYYNTCCSRYGDGGPHSDSNKD >OGLUM08G01920.1 pep chromosome:ALNU02000000:8:1525769:1526337:-1 gene:OGLUM08G01920 transcript:OGLUM08G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASERVKGTVKWFDATKGFGFITPDDGGEDLFVHQSSLKSDGYRSLNDGDVVEFSVGSGNDGRTKAVDVTAPGGGALTGGSRPSGGGDRGYGGGGGGGRYGGSRACYKCGEEGHMARDCSQGGGGGGGYGGGGGGYRGGGGGGGGGGCYNCGETGHIARECPSKTY >OGLUM08G01930.1 pep chromosome:ALNU02000000:8:1527172:1527792:1 gene:OGLUM08G01930 transcript:OGLUM08G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVRDDRLTIECVVNVVLDPVVTAGDAPELDHPPSNILGHLAGLLGDKGTADVTLVVRGEEFAAHRAVLAMRSPVFKAALYGPMKESTDANAGRVAIDGVEPAVFRALLHFIYTDTTAAMDDLDDDDDKAQMIMHLLEAADRYDVERLKLICELMLCKSIAVDTVAATLAMADQHHCQKLKEACIEFLPPPRRWKASWKAKGTRR >OGLUM08G01940.1 pep chromosome:ALNU02000000:8:1528372:1532880:-1 gene:OGLUM08G01940 transcript:OGLUM08G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPASSGKAASDSSAPAVAVANGNGTTPQKLPPASAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKSCCYKAQNPCHIHVLKQNNTLPDKPPPSTAPLSEQPSANIPSTGSSRLASLQRLPHHFLNSLRTKKSLAKKDVVGINKWRFEKLKEHIQGDIDAENEAYERYTQNVGLLEETFGLTEDAADEPEPEATSSEERMETLVSEAKVRLKSDSANADGFRDRIATILDQKIKELLESKSTYEDDNPPDQNPDDHPKPVKLSIKQQMERGAKMTELLAKMIRAQSEVDLKICSGIAAQLFGKKNELSNQESVASVTIPYSFPKLWTRVEIDDAMMCKINGEFSSSTESVEQKCVNQNKKKPPYLEMSYEM >OGLUM08G01950.1 pep chromosome:ALNU02000000:8:1533918:1538376:-1 gene:OGLUM08G01950 transcript:OGLUM08G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRQNRYKMKEDIMFDNQTKPCRSRVDSKSNINVLKPKFGSSWGSQIVKGFTADKKTKKTAAAASKKPPLASVENVNTSNQQIPYHSRVKRSLMGDFPCSPAGAQVHPHVFDCHGIRSPASHDLFLELDHLREQLRESKERELALQSELRQCRENPRVSELEKDLDSRKNEIDRLVRLKTSLEVEKTSLSEQLSALSCMVEQHEENARLDGHGNRVSSMDGGNASSSENLEIEVVELRRLNKELQFQKRNLAIKLSSAESKLAVIEKNAESEIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELSNSDQAARAMTDADYNDEMACHVDDCDGDARLDQNSSDHKKFSIAERIKQWSQNDKNCEASKKEALLDRAWIEAAECRSPTRRHSLGGPKGCAQEFSIVKRRQSDTFICLPEATDEAISCNKDETIREKRELLVDKYDFGRSESSRFLLGKSEVCKSQSMDVEKRALRIPNPPPRPSVSVPHSGPSNGSAANPPKPPPPPPPPKFSTRNAGVMKRAPQVAELYHSLMRRDSKKDTSGSGICETANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDVALKKMVTISEKTERSVYNLLRTRDATMRQCKEFNIPTDWMLDNNLIGKIKFSSVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >OGLUM08G01960.1 pep chromosome:ALNU02000000:8:1547025:1552324:1 gene:OGLUM08G01960 transcript:OGLUM08G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLNRRASQTRGGMEYFDARRKPHNVGKVIAALVLTTLCIFVLKQSPGFGGSSVFSRHEPGVTHVLVTGGAGYIGSHASLRLLKDNYRVTIVVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPIVETTRQLPINPYGKAKKMAEDIILDFTKGRKDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGTDYPTTDGTCIRDYIDVTDLVDAHVKALNKAEPSKVGIYNVGTGRGRSVKEFVDACKKATGVNIKIEYLSRRPGDYAEVYSDPTKINTELNWTAQYTDLKESLSVAWRWQKSHPRGYGSN >OGLUM08G01970.1 pep chromosome:ALNU02000000:8:1555697:1557037:1 gene:OGLUM08G01970 transcript:OGLUM08G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAKLVDMSSCLAWCHHSTVEWLIYLCCYHLISLVLPRVLHQDMSVQLCELVMEPYLLLIFTYR >OGLUM08G01980.1 pep chromosome:ALNU02000000:8:1557461:1560859:1 gene:OGLUM08G01980 transcript:OGLUM08G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >OGLUM08G01980.2 pep chromosome:ALNU02000000:8:1557461:1560778:1 gene:OGLUM08G01980 transcript:OGLUM08G01980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDWEIIEMCLV >OGLUM08G01980.3 pep chromosome:ALNU02000000:8:1557461:1560859:1 gene:OGLUM08G01980 transcript:OGLUM08G01980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-associated protein-related [Source:Projected from Arabidopsis thaliana (AT1G79070) TAIR;Acc:AT1G79070] MDGSDPPAAASPSAAAAAGDDDDERAAAPAAQPERCEALAGAIAGVLGGALQEHEACAAATARSQGELAAAVDRLNGELDKLLENAPSPVIMQQATRICSIRKRVLALNMLLRSIQRRIDNIDRIVSTGVTSDHSSHVQLHRQN >OGLUM08G01990.1 pep chromosome:ALNU02000000:8:1561805:1564418:-1 gene:OGLUM08G01990 transcript:OGLUM08G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter CorA-like family protein [Source:Projected from Arabidopsis thaliana (AT2G04305) TAIR;Acc:AT2G04305] MAAAAGAGEPSPYAEAEGSDLASARAPSPVVGKPLPSGAVPRHAYVFDGEGGFADAAWDVAAAAPGAFTWHHIELPRQQPGGAAAKPLHHAQALIELLCPPLTLQEILAFVATGPHCGVVDGGGGGGAGALLLRVSSPGPVGSAFALRLAARVTDSSVVTVSVGGVPRLAFGTTQASLLSEVPLGVTASLSDEGHGGGRAVEGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMELDSIELHLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGDQVFPRVKEKCASKSWFASEDIVALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQKNPANLDGFFNVMLICVVILLILLLCFLFPSLYSHVSAWRTRRALARSSSQNKRHLKLFKGHKDGYMRL >OGLUM08G02000.1 pep chromosome:ALNU02000000:8:1566888:1568670:-1 gene:OGLUM08G02000 transcript:OGLUM08G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELICSGCPTLLFYNRGASNIRCPSCNRLNSTRSANQIAHLTCGQCRTTLMHPPGASTVQCATCRYVNHVRDARPQTVLVENPKTLDDKGKLVSNVVVGVTSWKR >OGLUM08G02010.1 pep chromosome:ALNU02000000:8:1587291:1592604:-1 gene:OGLUM08G02010 transcript:OGLUM08G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGRRLPPWTSPRGAAPRAPLSSVDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMATVLKQANRAEEAIEAIRSFRDRCPNEAQESLDNILLDLYKKCGRTKEQIEMLTLKLRIVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAHMQSENYDEAEMLYRQALAIEADYNKECNLAICLIKTGKVAEAKYLLQSIPDNCSDESHVRSLARAREMLMELESPTLHSPITQMKSKESLIWLAIDAENLGHLQPQVSSTALTQLKSEEPHISVAADAEKQEDCNSQVFPSPITQMKREEPESLIATSGEKNEKCLNEYQDLSRLFNDAATPQSLLEKLRKRLVKEGTLNISIQHQVQIPSFVECLPNSGGSTDAGENTRPEGKALVNGVRKTWADMVEEDERQLGDVSSTIGMDTTKRNVSCKHANEEMYRTLSFSQESSALKRSSVDDHPQSSSADSWRHSDSKISTDENVNMKFVRTAPQWRQQKVQDYSNRVSQRLDTSHLSDRAEGTEQPPWRSSTAQRSLFPDWKSKCERYGHGYVPFGDNEHFQGSSHFEATNRWPKNARPWRPQNRLRVFQEITNEINQKTRALWLTIYTTLLFGTTALDDTAWFLVECFHLLAGHLLLHDGLQDLSVAPPSGQFAEMAMQMHPATGLSTHWSQVDSPPLKLREGANMVVLLQDQLLPMAFSIANVCEDSIMAYMSASASSVLFYTSCLDMQQLPYTGHVITINKSEP >OGLUM08G02020.1 pep chromosome:ALNU02000000:8:1594250:1597431:-1 gene:OGLUM08G02020 transcript:OGLUM08G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 14 [Source:Projected from Arabidopsis thaliana (AT1G30520) TAIR;Acc:AT1G30520] MSQQHGRGHIAHCLGGALAGRDTVAVSGNRRLTGAGLADGARRLAAALSNLGVRRGHVVAVVAFNSIEYIELFLAVTYIGGIIAPLNYRWSFEEASQALELVQPTVFIFDGSYSSWALRLKESNSLTSVNLYLFLGNLCSISQAANFVSVEQIKRSSGGTTRAVEPVSAPNDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDIYLHTAPLCHIGGISSCLAILMAGGCHVLIPKFDAKSAFDAILEHRVTSFITVPAIMADLLSYARKQKILNHGMTVTKILNGGGGLSSELITGASHLFPNATIFSAYGMTEACSSLTFMVLTRPKIQEPKDQFGSSSEGVCVGKPAPHIEIQINRNGSNSRSSSPIGNILTRGLHTMSGYWVNNSIDTSDSVRNGWLDTGDIGWVDKTGNLWLMGRQKGRIKTGGENVYPEEVESVLSQHPGLAKAVVIGMPDSRLGEKIVACVSIRDGWNWVDARAVHKGEGREVSAQMLQDHCRTNKLSRFKVPRIYYQWSKPFPVTTTGKIRREQLKAEILVSMKLHSNL >OGLUM08G02030.1 pep chromosome:ALNU02000000:8:1598156:1600596:-1 gene:OGLUM08G02030 transcript:OGLUM08G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQG8] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKEFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEDDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAADSGAAAPSAAKEEEKKEEPEEESDGDLGMSLFD >OGLUM08G02040.1 pep chromosome:ALNU02000000:8:1601629:1609324:-1 gene:OGLUM08G02040 transcript:OGLUM08G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVQASLEMKASAFRASSTMACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDDSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAMDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTMAMAMATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >OGLUM08G02040.2 pep chromosome:ALNU02000000:8:1601629:1606530:-1 gene:OGLUM08G02040 transcript:OGLUM08G02040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKLGSRADVFRKQGQDWYCTTGLPSDITVTVGEQSFHLHKFPLLSKSGLLERCIREKIENGDDSCVIDLSDIPGGAKAFELTAKFCYGVKFEMTASNVVHLRCAAEYLEMTEEIAEGNLIAQTENFLTQTVLRSWKDSIKALHTCDDILDLAEKLQIVKRCIDSVATRSCTDPDLFGWPVVQYGGPMQSPGGSVLWNGISTGARPRHSSPDWWYDDVSCLSLPLYKKVISAMEYRGINQDIIVGSLNHYAKRRLPGLNRRKSISDVSSCLSISSLTSIPSEEEQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIILKASPSCVSNLERRIGMQLDKATLEDLLIPNISESVETLYDVDCVHRILDHFLAMDQETGGASPGLGEDAQMLASPSLMPITMVAKLIDGYLAEVAPDVNLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPHLSESEKEELCRVMDCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLQLRSSIAECLMVSENLEGGSRQLIPTISGEQYRPGWPLASRENQALREGMDNMKQRVADLEKECSTMRDEIERLGRSRSTGKGRFSLNMKPQICSTKEAIPTMAMAMATASEEKMAVVKGDTTPRLKLSRHKKKLSIEA >OGLUM08G02050.1 pep chromosome:ALNU02000000:8:1612558:1617426:1 gene:OGLUM08G02050 transcript:OGLUM08G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G21060) TAIR;Acc:AT4G21060] MARRWRPSHLVLVAGAAYLLLISLKFRRVLDLATADLAATDAAFSSPSSSDHLPPLPVSTTTSTTSPSGNGNGNATLFQVQPFWHRYDRVSLPDIVARNRSALDRMADDAWALGLTAWEDAAAFAGDPWELAAVDTATTDKCPSAVSVRARGRVVFLPCGLAAGSSVTVVGTPRAAHKEYVPQLARMRQGDGTVLVSQFMVELQGLRAVDGEDPPRILHLNPRLRGDWSQRPIIEHNTCYRMQWGSAQRCDGSPPEDNDDKVDGFTKCEKWIRDDVVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEDRLFVLTMQAGVEGFHIYVGGRHVTSFPYRPGFTLEDATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRTRPLPKDPVFLFIGILSASNHFAERMAVRKTWMQSSEVRSSKVVARFFVALILYWSSRFHSAWLYGFSLCVEWDDGSMNRKNNSRKEVNVMLKREAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAVHIMKCDDDTFVRVDVVVRHIKLNNGGRPLYMGNLNLLHRPLRMGKWTVTTEEWPEDIYPPYANGPGYVISGDIAKFVVSQHANQSLRLFKMEDVSMGLWVEKFNSTSPVKYSHSWKFCQYGCLENYYTAHYQSPRQMLCLWDKLVQGRASCCNYR >OGLUM08G02060.1 pep chromosome:ALNU02000000:8:1618143:1623875:1 gene:OGLUM08G02060 transcript:OGLUM08G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRLPRAPTTAALAAGVPHRFPAPEGEPPPPRGPNRAHLNALLTSYGRRGRLRDAQLLFDQMPSRDVISWTALLTAYADGGDFASARLVFDDMPRRNAPSWNALLSVYLRAARPRAAHALFYKMPAKNAVSYGAIISGLAKAEMLRLAELVYEEMPWQWRDPVGSNALMAGYLRVGELAMALRVFEGMTVRDVISWSAMVDGLCKHGSVSEARRVFDAMPERNVVSWTSMIRGYVKRGMCRDGLLLFLNMRREGVQVNTTTLSVALDACAAASLAREGIQIHNLIISMGFELDIFLGDSIIIMYSRFGWMVDAKRAFDCMQQKDIVSWNSLITGYVQHDMVEEAHVLFKLMHQKDAVSWTSMVVGFANRGWMRESVELFEQMPVKDEVAWTAIISSFITNGDYLSAVRWFCRMSQEGCKPNTIAFSCLLSALASLAMLNQGRQAHAYSINMGWVFDSAVHTSLVSMYAKCGRLAEAHHVFSSISNPSLIAINSMITAFVQHGFVEDALKLFTKMQNAGYKPNHVTFLGILTGCARAGFVQQGYNYFESMRPVYGVEPNPEHYTCMVDLLGRAGLLAEALEMINSMPQNDHSDAWAALLSASSLHSNLAFAKIAAQKLLEKDPYDATAYTVLSRMFSSAGMEDEEMLKVVQLSNLASKRPVSWKKLNCMRRRLPPGPPRWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRALEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGLQSAGPGEAMEFMHITHELFWLLGLIYLGDYLPAWRWLDPYGCEKKMREVEKKVDDFHQKIIDEHRKAREAKKSASLDDDNKEDMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRKIQEELDGVVGRGRMVAESDLGQLTYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYDIPAQTRIFINTHALGRNTRIWDDVDEFRPERHLPAAAAGGRVEISHLPDFKILPFSAGKRKCPGAPLGVILVLMALARLFHCFDWSPPDGLRPDDIDTQEVYGMTMPKAKPLVAVATPRLPPQMYGRHGKQV >OGLUM08G02070.1 pep chromosome:ALNU02000000:8:1624285:1624641:1 gene:OGLUM08G02070 transcript:OGLUM08G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein 11 [Source:Projected from Arabidopsis thaliana (AT4G33355) UniProtKB/Swiss-Prot;Acc:Q2V3C1] MKGAAAVAMVVVAGCLLAAAAVTAVDGAVTCGDVDASLLPCVAYLTGKAAAPSGECCAGVRHLRTLPVGTAERRFACDCVKKAAARFKGLNGDAIRDLPAKCAAPLPFPLSLDFDCNT >OGLUM08G02080.1 pep chromosome:ALNU02000000:8:1646323:1647804:-1 gene:OGLUM08G02080 transcript:OGLUM08G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQRRHPSVAAELEGTLLISGDLFPYFLLVALEAGGPLRAAVLLAAYPVAALLGVALPDDGLAVRVMTFVSTAGLAVADVAAVARATLPRFFLADLSDAAFRAFARRGAGERYVVTRLPTAMVEPFVREYVAEGARVVGAELRVVGGRFTGAAVNGDRSLGALEADLGRGRRVIDVGLCSASGDGAAKRQPAFMQICQERHVVSTPEKAPAAPLPRSEYLRPLIFHDGRLVGRPDPLACLAVALWLPLGAALAVTRILIAFLPYSVGLLLAAATGFQIRAHLGGAPPRRGGTLYACNHRTLLDPAVLSTVVHRKVTAVTYSLSGLSEMIAPIPTVRLTRDRGRDRVIMQSVLAGGDLAVCPEGTTCREPYLLRFSPLFAEIAGEVTPVAVRAGGAMFHGTTVRGYKGMDSFFFLMNPAPWYHLQLLDPVPSSSAAAGDGDGGGESSRDVANRVQRAIGDALGFECTALTRRDKYRMIAGHDGVDMRGNARL >OGLUM08G02090.1 pep chromosome:ALNU02000000:8:1650929:1652394:-1 gene:OGLUM08G02090 transcript:OGLUM08G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTKKVTMEKEEEHGGAKISPGSPIRTIPSSKFVMLEGSVNVIAIKVTESDSGYPISIFGTVLARDKQDYRCVYLFRRDRDHPQLITSPEDTLTLTGPKRGLATKGSMYFEFNLKIKGDGATDKDFSKGFIEHDAVAYEKPLKTLELESFMSRVAFIYTPVPYAVQATLAVNFLEGLSNFTGTVNAWTTGNVENEIILYDSRVEGTETSVRNDGRVTLTRNIVAVVCKHKLVLKVCVFEGGSEVACFKFVLGHRNEECTRKKGPYVLQVKVRWIGIIEQYNRKMWERIGRFGNILW >OGLUM08G02100.1 pep chromosome:ALNU02000000:8:1652122:1655791:1 gene:OGLUM08G02100 transcript:OGLUM08G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESERGGMVIDDVGGGLNLPIVAGKRKRELTWEEKAALTVLDIVGSQQHPERDCSLIDSEKDYSSMAGCQAGEHASIFGIDKNGDDSDEPCAKDDAKQSDMAALKEEEENWELDSEPELTWDEKVVEVLNIVRRREITEYNPKQFCSIPTRFCAYNIAFFDLDKESKLARGPPIKSLAFPDYWWEMDSVNVIAIKVAESDVGYPIRVFGTVLARDEYDFRCVYLFRRDRNNPQIITSPEDTLTLTGPNRALGATDKMYFEFNLKIRDDGDVDKDFCKGVQEHNAICYTKQPMTLSLESCLSTIDFVYSPVQLAVEASVAVKIKGVVSKFFTGKVTAWTTGDDHNKIILYDSEVEGSNRVLGADESVDLTRCFVAVNLDDELVLNVCVSEGAGSIFELVLGHNDEECVREQGPYELQVNVVWTAGLKHRQRKKLFEHIGDFRVLW >OGLUM08G02110.1 pep chromosome:ALNU02000000:8:1657632:1658472:1 gene:OGLUM08G02110 transcript:OGLUM08G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPSERVHACVDGRLYSLHDTSTALLSRDGGAWYAVATVGLRPPVHAAGGELVSWEFDLHLYKSTSDSDGWITKRLSLKEFVRDKAIPHPDPSTGCTTRPARPSPSPVGGEASTVAFVDLWRGVFLVNVLDELPVLRDIPLPAPARGNWDNFLYQFDPSYFRNVTVSRNRHFIKYVEMEI >OGLUM08G02120.1 pep chromosome:ALNU02000000:8:1661071:1663936:1 gene:OGLUM08G02120 transcript:OGLUM08G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGMPTRPPATSTPSRVQALYELCKRTFPSPSSFAASSSPSYPPPDHAIRSISSLMDTITPVDVGLRDDNLEDGRGFGFFESNFLKNSARVARWAQPITYLHVYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKLLYGSMHVKSYDWVEPAVLASGKPVRLGKLHTDDVLNAPCPTAVLYPQSGGNMHCFTSVKSCAVLDVIAPPYSESSGRVCTYFHDYPFSSFSAGQAKVVHGPDNYAWLEALNVPVNINMRPGMYTGPTIQEHLP >OGLUM08G02130.1 pep chromosome:ALNU02000000:8:1664803:1673735:-1 gene:OGLUM08G02130 transcript:OGLUM08G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAYATPRHASHPHPPNPRRRRPAGRPESRPRRRSTTHHTHLQPARTQPHALGYTSSVVAPSRRLAEARLLQLLTRAGRPPWLLAPRRSMAEGGPTAPLLGRSSARVPVPSRPGRASIAIGRHSTGQFEEHIHQIKGGAVTDLQETIDAIIKASDFPSPILVAGVGEVDRNGDNGTGKFLAIAVFLLGAALGLEEMSCKMLAIMPVELTSALTARVTGIVRDWTVVLLSAAIFADTQLTFINIIGYLIGVVAYNNHKLKVKPQWNEQQEINGMS >OGLUM08G02130.2 pep chromosome:ALNU02000000:8:1665173:1673735:-1 gene:OGLUM08G02130 transcript:OGLUM08G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAYATPRHASHPHPPNPRRRRPAGRPESRPRRRSTTHHTHLQPARTQPHALGYTSSVVAPSRRLAEARLLQLLTRAGRPPWLLAPRRSMAEGGPTAPLLGRSSARVPVPSRPGRASIAIGRHSTGQFEEHIHQIKGGAVTDLQETIDAIIKASDFPSPILVAGVGEVDRNGDNGTGKFLAIAVFLLGAALGLEEMSCKMLAIMPVELTSALTARVTGIVRDWTVVLLSAAIFADTQLTFINIIGYLIGVVAYNNHKLKVKPQWNEQQEVLKMY >OGLUM08G02140.1 pep chromosome:ALNU02000000:8:1673254:1675330:1 gene:OGLUM08G02140 transcript:OGLUM08G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQI1] MAQLIAPPPSPEFELNLNKLTSTYRKLMEEIPAKLETILNQQAEKENTKFEKIAGKLCTPPKGGGTFLVRLVPRRESNFDEPVYLLFRWKDLYFEAFYSRGKWYRLKDHEEKLPPRSQLPYSEKPDEGIYVLMNTTSYGSIGGSSVVLGPRAWDHCHVSFLKADDLVRQSNKKPLTSGESPALAVPVVGISEPLRFPQLQKWIVENCTATASSDVMVPYEFTKHFTNWGDLSTALFSGKLTEKLKAYTLEQRAEMLGILMSGKREAVRSPPKKKNDHEAGSSRNRGKRKDN >OGLUM08G02150.1 pep chromosome:ALNU02000000:8:1699998:1702750:1 gene:OGLUM08G02150 transcript:OGLUM08G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPTKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRMLV >OGLUM08G02150.2 pep chromosome:ALNU02000000:8:1700109:1702750:1 gene:OGLUM08G02150 transcript:OGLUM08G02150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGANEDGGGSAAGERPMVAIKRKREKVPGLAEDGDSSPSSANRSVDMEVEEKLDYSCAGAEEDVSSGCSIEMEDVGEQRQVEPTWEDKVLRVLHIVRRNQFAEYDPKEGGIVYTRFCIHNIALFDLDKESTIGPGPPINSLDPSEYWWLDDSLNIIAIKVAESDVGYPVRIYGTVLARDQQDYRCVYLFRRSRDNPQLITSPEDSLTLTGPYRALASKDIIIFEFNLKILGDGDVDRDFGKGVIEHSCIRHTKKLMTLDLTSWLSKIDLVYTPVDYAVEASLAVNILDGPSDFTGKVIAWTSGNKDNEIVLHDTQVTGSPTKLGDDGSIELSRHIVVVPLDEELVLNVILFHGDDHEDECFEFVLTNYDEESSFKEGRFELQVKVIWTGVVRTGEHKMWESTGRNRMLV >OGLUM08G02160.1 pep chromosome:ALNU02000000:8:1705785:1709578:-1 gene:OGLUM08G02160 transcript:OGLUM08G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAEAAAGGKGAWRDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >OGLUM08G02160.2 pep chromosome:ALNU02000000:8:1705783:1709578:-1 gene:OGLUM08G02160 transcript:OGLUM08G02160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERTAAEAAAGGKGAWRDGAWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKIFKIVKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSCKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVRLNLISMMYYVSPCSALCLFIPWLFLEKPKMDESASWNFPPFTLFLNCLCTFILNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKPKPQGNEQQSADSKANPGSPQDVETSISTKEAS >OGLUM08G02170.1 pep chromosome:ALNU02000000:8:1710926:1716608:-1 gene:OGLUM08G02170 transcript:OGLUM08G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MGLELEVAHLKPKQTSPANSTRTLSPPTRRPTSPPAAAAAAASPRPAASCDPFYAAAPSGDGGEARRAGALMVQASAAALRRLGRHRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRSLPRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQAVSLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHDHALFSNLDEELEVLIKGMDSAVLKAEPSDTALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISNSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQQHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >OGLUM08G02170.2 pep chromosome:ALNU02000000:8:1710926:1716608:-1 gene:OGLUM08G02170 transcript:OGLUM08G02170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MGLELEVAHLKPKQTSPANSTRTLSPPTRRPTSPPAAAAAAASPRPAASCDPFYAAAPSGDGGEARRAGALMVQASAAALRRLGRHRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRSLPRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQAVSLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDSAVLKAEPSDTALESRVSWQKEEVPKEPYVGSVRNLLLYHPACISVDEDGDRIFISDSNHHRVIISNSNGTILDYIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQQHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >OGLUM08G02170.3 pep chromosome:ALNU02000000:8:1710926:1716608:-1 gene:OGLUM08G02170 transcript:OGLUM08G02170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MGLELEVAHLKPKQTSPANSTRTLSPPTRRPTSPPAAAAAAASPRPAASCDPFYAAAPSGDGGEARRAGALMVQASAAALRRLGRHRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRSLPRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQAVSLILLYESCGTSDSHGKLSSAFQRLKYLQQRYPRLTVFAMEYGSDISSLQSQTVHTVMKEYFTFPILMSDKDFTHITNGACCLLFEGSKDHALFSNLDEELEVLIKGMDSAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQQHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >OGLUM08G02170.4 pep chromosome:ALNU02000000:8:1710926:1716608:-1 gene:OGLUM08G02170 transcript:OGLUM08G02170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MGLELEVAHLKPKQTSPANSTRTLSPPTRRPTSPPAAAAAAASPRPAASCDPFYAAAPSGDGGEARRAGALMVQASAAALRRLGRHRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRSLPRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQAVSLILLYESCGTSDSHGKLSSAFQRLKYLQQRYLCSKDHALFSNLDEELEVLIKGMDSAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRGIAEEMESYQQIIHERCALLKDMNMNWSSSAKEHSDLLGKIPFKELVSSVARFQKYIIFCDADGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQQHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >OGLUM08G02170.5 pep chromosome:ALNU02000000:8:1710926:1716608:-1 gene:OGLUM08G02170 transcript:OGLUM08G02170.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07060) TAIR;Acc:AT3G07060] MGLELEVAHLKPKQTSPANSTRTLSPPTRRPTSPPAAAAAAASPRPAASCDPFYAAAPSGDGGEARRAGALMVQASAAALRRLGRHRGGDPAAALLRCGVVPSSSSYSAPGTLLPLKQPVGDCGGCRRSFSSSAWLGPRLWRSLPRRQSAAAAATATAWEAHHSTRKSSMATVSSEPDTSASQSEILHFIKSTFGTLEGQNHCWLNCMNDTWKTLNQAVSLILLYESCGTSDSHGKLSSAFQRLKYLQQRYLCSKDHALFSNLDEELEVLIKGMDSAVLKAEPSDTALESRVSWQKEEVPKEPYVGSIGCSPGFEDGEFESAKFLRPAASFYNTAEDCLYIVDSENHAVRKADLGRRMLETVYPVSSKSSSGIWSWIVDKLGLRREDAPSENFIADSIAFPWHLLNITEDDFLVADRNFETSWILSVSTGQKQEIGRDGQRVLKHDLDTNGTSNIQFSNFGVLGLPYWFVCHLERVSTRGHSIGKFQQHTRKVNVLPGRCNIKVSVDIPADTQLAAPLVESCIWRQVRGSGAEVSGFDEPSTSTEKVGIAQQWYDEIDNLAFSEVPEEPTVHEGDENLSDGSYQEQRRAHFNCVVNVSPGTCELVASAALYLKIDSAKDDHGEQQALVKRIIQCQRREDHAGVELLMESCKDARDLTIMKPVHLRLMLECADHPAGTTNKETISSESSFEINISLD >OGLUM08G02180.1 pep chromosome:ALNU02000000:8:1718349:1723434:1 gene:OGLUM08G02180 transcript:OGLUM08G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNRPVYGGGENHRRAMLSKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHRIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSSTQQQKESAFALAALMEVPIQYKATMELGILGQSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAATAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >OGLUM08G02180.2 pep chromosome:ALNU02000000:8:1718606:1723434:1 gene:OGLUM08G02180 transcript:OGLUM08G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MGGVIGALFRGGGHRREMHRAPMNRPVYGGGENHRRAMLSKKYSYIPDNYQSLDQVTAALRDQGLESSNLILGIDFTKSNEWTGKRSFSGQSLHRIGSTPNPYEQAISIIGKTLAPFDDDNLIPCFGFGDATTHDYNVFSFHPDNSPCHGFEEVLSCYKKIVPHLRLSGPTSFAPIVEAAVDIVDRSGGQYHVLVIVADGQVTRSVDTSDNDLSPQERRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKIPARQFDNFQFVNFTSIMSRSSTQQQKESAFALAALMEVPIQYKATMELGILGQSTGKAKRIMPAPPPLPSAAGRQPSLRREGSAATAAPPSPREDQVCPICLTNAKDLAFGCGHMCCRECGESLTKCPICRQTIRSKLRLYSG >OGLUM08G02180.3 pep chromosome:ALNU02000000:8:1722634:1724587:1 gene:OGLUM08G02180 transcript:OGLUM08G02180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ca(2)-dependent phospholipid-binding protein (Copine) family [Source:Projected from Arabidopsis thaliana (AT1G79380) TAIR;Acc:AT1G79380] MASATASLLTCFFALTLFMLHSNAATAPSLYHSQSSKTWCVANPAASEDALSSNLEFACSESDCAAIQGTGGCSFPDDDGSLPTRASVAMNAYYQARGRNSWNCFFNGTGLITITDPKPQLASAPALQKQQDM >OGLUM08G02190.1 pep chromosome:ALNU02000000:8:1719216:1723222:-1 gene:OGLUM08G02190 transcript:OGLUM08G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDARVGRLPSSSGKEHPPVPWMAAQSLSEQANSRLELKASSLAAGFATHHVLDDCSDGCLPAADGSGGGAGMILLAFPVDWLRSSITKEIIRMPSSMVALYWIGTSMRAASANADSFCCCVELLDMIDSIPSIRFDDSSP >OGLUM08G02200.1 pep chromosome:ALNU02000000:8:1724487:1725817:-1 gene:OGLUM08G02200 transcript:OGLUM08G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mediator 21 [Source:Projected from Arabidopsis thaliana (AT4G04780) TAIR;Acc:AT4G04780] MDIISQLQEQLNEMAMVAVNTFGTLQRDAPPVRLSNNYPDPLNPAAAAAANPNPDDPAQPQPGAAAAAPGAPAAQAQAPPAQAQPPALDLAEHPKAMSHALVLAAKKFDALVSALPLSSEEDQLKRIKELQAENEVVGSELQKQLEAAELELKQVEALFNEATDHCINLKKPE >OGLUM08G02210.1 pep chromosome:ALNU02000000:8:1726416:1726964:1 gene:OGLUM08G02210 transcript:OGLUM08G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASASASGEKKRQAQTQPSSSSGAGAVVVAGGGAAQEPSALTPWQVLAGVHRTLHMELLKEAGEMAAACGGDVHAIVFFPGGSRAEYHTFRGAPIAAARARAVARRRREAQAAAAALRGVVARDVSGMAAEEVEAHRRKLLALRAAVVRKLQEKAAAAAANVAAGDDDDAGRSNKIRKIE >OGLUM08G02220.1 pep chromosome:ALNU02000000:8:1727398:1734790:1 gene:OGLUM08G02220 transcript:OGLUM08G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGETRKERSKWDTKGPPDIVEISEDESLPMNMDDHKKGNDDHRKGNDLLPSQDFGHGNDKQIGESVNLKSTVSMHHGSAGHEHDRADGLNKDIKERSSKASSERLPLRMGDEDHNKNDWHNRGFEKAAGNQGMGRYADDRRRGDGWGTTLSRGYSSRISSSGPDVWKRSRSPLSPRGGWNRSRRNRSRSRSRSRSRSIGRGRGRSRSRSKSPYFSDRGSEWRVERGRSSGGPALPCRDFVAGRCRRGSNCRFPHEDGVRRQLDEHYPVDSRERYGHQNRDFMDPREQDDYLRSRPLRGGHYDEGTWERSEPRREYRSTMPCHDFVKGRCSRGANCRYVHDDSTSHGGWRDEVRDNAIGRSGPDSSYRNRTEHRRTNKNPCKFFANGGCRRGQNCPYLHEEASQSQMGLGAPDEPGYTGGPTTRGDYLSWSEQNNSVQASSHVLSRDDRENPVPHGTGRNDSRYENKNRHSKDAGSSQYQIFPQDDFGSVGQNKPEIAASQLPQFIPSVQTGTESINIDKVSDMGGQSGPGTVGNLSMQIGMHSANLLGGHNLGQKAESQDAISQISAAPSLPGATQLQNTTSSVPLNSQVQQSDFSLHPNRQDQFAVPHATTNNSAPSMQSQPVAPYMGHSQHGYIMGAQSLPDLSVHNGQIFNVGQVPQNLPTIVHAGQNQATSDTANLGRDSGDQSLHNTHNFQPVAPNEQTQSQTLQGLSVVASSSSVDMAGAPLSHNAVSSQEEVRRVTASLAQYFVPSLTADTSGLQSSQPDPNSSLMNNSSAAPQAVQPNHWPWLQQAGMVQPSHIVPSEQPAPQTFQAPMAAGSSNGNPLILPHSVAPTGPAAALATNETTPAENKKEEPKDTDAEANEDGENKKSKDSKALKMFKLALADFVKDALKPTWKEGQMSREVHKTIVKKVVDKVTTTVENTPQTKEKIDIYMSYSREKLNKLVQAYVGKYAKKD >OGLUM08G02230.1 pep chromosome:ALNU02000000:8:1737296:1740821:1 gene:OGLUM08G02230 transcript:OGLUM08G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQJ8] MAAAAAAVGNPNAAAAAASVSASRVGAGALRAGGLRVAAEGSVARRGGAVVAAAMRPAKAVASPAKEAAGEVNGAAPGGFARPDAFGRFGKFGGKYVPETLMHALTELEAAFHALAGDEDFQKELDGILKDYVGRETPLYFAERLTEHYKRADGTGPKIYLKREDLNHTGAHKINNAVAQVLLAKRLGKERIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVENTHYILGSVAGPHPYPMMVREFHKVIGKETRRQAMEKWGGKPDVLVACVGGGSNAMGLFHEFVNDQDIRMIGVEAAGYGVDTDKHAATLTKGEVGVLHGSLSYVLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLDV >OGLUM08G02240.1 pep chromosome:ALNU02000000:8:1742383:1746586:-1 gene:OGLUM08G02240 transcript:OGLUM08G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMIGRPNGGGGVAYASSSSALSLGQSLLDGHHHQLPSLLQQHHNGHHLLDQHQQHQHQLPPQATTTSESDGRAPRDELEMSKSGGSDNLESGGGGGGGGSGDDQDPNQRPRKKRYHRHTQHQIQELEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENNALRAENEKLRAENMRYKEALANASCPNCGGPAAIGEMSFDEHHLRLENARLRDEIDRISAIAAKYVGKPAAAVSAAYPPLPPSNRSPLDHMGIPGAGADVFGADFDKPLVIELAVAAMEELVRMAQLGEPLWAPALGGEALDEEEYARTFPRGLGPKSPELRSEASRETAVVIMNHVSLVEMLMDVGQWTALFSSIVSRAATLEVLSTGVAGNHNGALQLMSAEFQMPSPLVPTRETQFLRYCKQHPDGTWAVVDVSLDGLRAGAGGGCQPAAARGHRRRPSGCLIQEMPNGYSKVTWVEHVEADDQMVHNLYKPVVNSGMAFGARRWVATLERQCERLASAMASNVASSGDAGVITTSEGRRSMLKLAERMVASFCGGVTASTTHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPSRVFDFLRDDSTRSEWDILSNGGVVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDATGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPDGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAITGDNGVAPPCPRGSREGSRANSEV >OGLUM08G02250.1 pep chromosome:ALNU02000000:8:1750241:1750471:-1 gene:OGLUM08G02250 transcript:OGLUM08G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCEGDSDDGRHGSGTSVVVDLVLETSATTDLVLGTSVAAELVLSASGAAGPLATMADDAQELCDNDDGGNNGLQ >OGLUM08G02260.1 pep chromosome:ALNU02000000:8:1759398:1760709:-1 gene:OGLUM08G02260 transcript:OGLUM08G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLLTVFLAAALLPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVSDLVAKARTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQMVSYCNYTSDSRLWYEYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMEKATAQAVAVGSSGLGRAKEQYTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAAGRATIDMTKYTKVTVH >OGLUM08G02260.2 pep chromosome:ALNU02000000:8:1759396:1760709:-1 gene:OGLUM08G02260 transcript:OGLUM08G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLLTVFLAAALLPLAMAATTTTYPIGSFCWEPGMNYGDLNGSDAVVRRRSINFVVSDLVAKARTGGGFATSKAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQMVSYCNYTSDSRLWYEYCFMRYDNYNFLGEVDTREDASVTMRQWPDMDNPKAFQKAAGKAMEKATAQAVAVGSSGLGRAKEQYTPFVSVYALAQCTRDLSPPSCAQCLSAAVSKFDKACGSGPGCQIDYSSCWARYEIYPFYFPLAAAGRATIDMTKYTKVTVH >OGLUM08G02270.1 pep chromosome:ALNU02000000:8:1760780:1763765:-1 gene:OGLUM08G02270 transcript:OGLUM08G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGTTSSYATIISPRVGLATVIPQELEPPLPPMHQQVGTNHAAPIADQHDKSPITIVVLNRHRRLTKRAPHNRKELAIAREEFPGEEDGNGWLARMNRLWKLTYLSWRCCQPLLSVRVAVPEADVLLPPTAPRLLLDLGQMWSLPRYMTKSGCEGPDPAMKRCPSPCGCGELTYCKHIDQSIMQSLLPHPILPLYKLQPFDPNQTQAKAILLY >OGLUM08G02280.1 pep chromosome:ALNU02000000:8:1764680:1766059:-1 gene:OGLUM08G02280 transcript:OGLUM08G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRSHHCCLVLVALSLAAMLLPMAMVTTISMSPIGTFCWNPSYNEMSSGEAIARRRNINSVVSDLAAKARAGGGFATSSAGRGIDAFYGLAQCRGDVSGGDCDACLAQAAKQMVTNCNYTLDSRIWYEYCFMRYVDFNFFGEMDTRTDASVTLRQWPDMDNPMAFQKAVGKAMGKTMAHAVTVGSGGLGRAKVQYTSFVNVYALAQCTRDLAPPTCAQCLSMTVSKFAEACGSGQGCQINYSSCWVRYEIYPFYFPLEANGRVTTDLTKYTKVTMH >OGLUM08G02290.1 pep chromosome:ALNU02000000:8:1768494:1769692:-1 gene:OGLUM08G02290 transcript:OGLUM08G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVTAFLAAALLPLGMAATTYPIGSFCSESGMNYGDLNSSEAVVRRRSVNFVVSDLVAKARTGGGFATSRAGRGYDAFYGLAQCRGDVSGGDCDACLAQAAKQIVFNCNYTSDSRIWYEYCFMRYYNYDFIGEVDTREDASVTMINWQNMDNPKAFQKAAGKAMGKATSQAVAVGRSGLGRAKEQYTPFVSVYALAQCTRDLAPPACARCLSEIVSKFDKTCNSAQGCQIDYSSCWARYEIYPFYFPLEAGSRATIDMSKYTKVTMH >OGLUM08G02300.1 pep chromosome:ALNU02000000:8:1771208:1772747:1 gene:OGLUM08G02300 transcript:OGLUM08G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLVLVAVVSLVALLPLGMAATTYDPIGSFCSETWMNYGDLNSSEAVTRRRAVNFVVSDLVAKARTGGGFATSKAGRGSEVFYGLAQCRGDVSGGDCDACLAQAAKQMVSNCNYTSDSRIWYEYCFMRYYSSYNFIGDVDTREDASVTLRRWPDMDNPKAFQKVVGKAMGKATTQAVSVGGNGLGRAKEQYTPFVSVYALAQCTRDLAPPACAQCLSSTVSKFDKACGAAQGCQIDYSSCWARYEIYPFYFPLEANGRATIDMNKYTKVTMH >OGLUM08G02310.1 pep chromosome:ALNU02000000:8:1777526:1778275:-1 gene:OGLUM08G02310 transcript:OGLUM08G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAEGEAPRQGRHGGGPLLGHPRRRRRRELLRVVVQNQNAQFESLYLGSRHTPWPRRRHGRHLPGARRDGERLHRDDVDPVRALLRVLRHGEAIGDAPGHGHQQARQVLEGQVRLRTSKNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVRVVPAVTPFPHRHALYNIQYYGFWSKSGAAAAEKHMGWIRGLYGKMEPYVSKTPGAAQQPLPPSQDLSPSVAPGAGEYGSRGNLVILKIFSPLLTRK >OGLUM08G02320.1 pep chromosome:ALNU02000000:8:1781726:1789796:-1 gene:OGLUM08G02320 transcript:OGLUM08G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEIRVAAGEGDSAAAVRRRRGGERSAAAGSGGGDDGQRREDKGCSPASDDAVEEGGRRAPPLLAGWGSAQAAGEETKFEAEAETETGWDGLGCSPVSECGGSRRTPAPPLLAGWRSAQRQARRRESRAAGEETGRIGGGGGRAALCCRGHSPMGGEKGVGRRGKARRRRPPADVAPNDQEHSRHGLAHVRGVARVPTTIAMSSSPGWGFRLVTLAKLLSPKLIVAPIELLKSRCVPELRLSSAEANAWLRRIVFDVNSIYSTLR >OGLUM08G02330.1 pep chromosome:ALNU02000000:8:1782293:1788615:1 gene:OGLUM08G02330 transcript:OGLUM08G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLGPGQGLGCEAAVGSLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >OGLUM08G02330.2 pep chromosome:ALNU02000000:8:1782356:1788615:1 gene:OGLUM08G02330 transcript:OGLUM08G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATPRQQSAARPPPPPIRPVSSPAALDSRLLACRCADLHPASRGGAGVLLLPPHSLTGEHPNPSHPVSVSASASNFVSSPAACADPHPASRGGARRPPSSTASRYELPNLVPNSELLLALLTPLTNRPKSERPPPPPTEARAGQAVVGRGGEERRMAKLGPGQGLGCEAAVGSLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >OGLUM08G02330.3 pep chromosome:ALNU02000000:8:1782356:1788615:1 gene:OGLUM08G02330 transcript:OGLUM08G02330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRQATPRQQSAARPPPPPIRPVSSPAALDSRLLACRCADLHPASRGGAGVLLLPPHSLTGEHPNPSHPVSVSASASNFVSSPAACADPHPASRGGARRPPSSTASRYELPNLVPNSELLLALLTPLTNRPKSERPPPPPTEARAGQAVVGRGGEERRMAKLGPGQGLGCEAAVGSLAPSRKREYKACNKLTEGKRPLYAIGFNFLDVRYYEVFATVGGNRVTTYSCLKDGNFAILQAYIDEDKDESFYTLSWACDLDGTPLLVAAGSNGIIRVINCATEKLLKTFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWPYVEQSFTWTDLPSKFPTKYVQFPSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKVYVWEVQSSPPVLTARLTNPQCKSAIRQTAVSFDGSTILACSEDGSIWRWDEVDHPKA >OGLUM08G02340.1 pep chromosome:ALNU02000000:8:1789624:1798788:1 gene:OGLUM08G02340 transcript:OGLUM08G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) TAIR;Acc:AT1G18450] MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQMGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRQRLLINPEEHPMLIAESSTNTGQQREKAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTAESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >OGLUM08G02340.2 pep chromosome:ALNU02000000:8:1789624:1798788:1 gene:OGLUM08G02340 transcript:OGLUM08G02340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-related protein 4 [Source:Projected from Arabidopsis thaliana (AT1G18450) TAIR;Acc:AT1G18450] MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQMGETDEAKADKEAEAASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRAAELMFEKYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVAAVHDGYVLQKSVATSPIGGEFLTDCMMKSLESKGVVIRPRYSFKKKEVGPGEYKVVDLDLPNTAESYKLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKIPDILFNPSLSQTIPGVDGFADSMSVRGLPRMVIDSVNRCDVDIRKELLSSILLKERLEKEVLEESSGNTRVKVLASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >OGLUM08G02350.1 pep chromosome:ALNU02000000:8:1800741:1805697:1 gene:OGLUM08G02350 transcript:OGLUM08G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTSRNQKSSQKDAAPNEAKPPRYPQRNRSITASASASAFASPAVANSRVAKERPSSSTAGEGEPQETVLKLPSIPTLPARMAKLVPLEGLGCEAAVGSLTPSREREYKVTNKHTEGRRPVYAIVFNFLDVRYYDIFATACGPRLSTYRCLMNGKFALLQSYLDDDMNVSFFTVSWACDIDGNPLLVAAGSTGIIRVINCATEKIYKSLVGHGGSVNEIKSQPSNSSLIISASKDESIKLWNVQTGILILVFGGVGGHRHEVLGVDFHTSDIYRFLSCGMDNTVRIWSMKEFWEYVEKSYSWTDATSKFPTKFVQFPVLCAEIHSNYVDCTKWLGDFVLSKSVENEILLWEPITKEENPGEGHIDVLQKYPVPECNIWFMKFSCDFHHNQLAIGNRDGKVYVWKVQTSPPVLIARLNNPQVKSAIRQTAVSFDGSTILACTEDGNIWRWDEVDHPTAPVPSKKLK >OGLUM08G02360.1 pep chromosome:ALNU02000000:8:1809097:1813412:1 gene:OGLUM08G02360 transcript:OGLUM08G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MAPTPPPSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSSAPEATTTTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRVCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMYS >OGLUM08G02360.2 pep chromosome:ALNU02000000:8:1809097:1813412:1 gene:OGLUM08G02360 transcript:OGLUM08G02360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT4G15240) TAIR;Acc:AT4G15240] MAPTPPPSRPRLPLRPLLLSLPLLSLLLLLLIHRPHPSPPPPLLATATATRRDDEPAPRRAASSSAPEATTTTTLAHVVFGIASSRRTLPLRLPLLRLWLRPPARAFLFLDGPAPAAAAASEPLPPNLRVCVSSTDASRFPYTHPRGLPSAVRVARIAKELLQLDDHHHATPPPPRWLVLADDDTAFVLPNLLHTLSRYDWREPWYLGARSESAAQNAWHGFAMAYGGGGIAVSWPLAARLARVLDSCLLRYPHLYGSDARIHACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLTPLVSLHHLDHVYPLYPGMDRATAVKHFFRAANADPARILQQTVCYDHSKAITVSIAWGYSVQVYKGNVLLPDLLAVQKTFVPWKRGRNATDVFMFDTKHYPRDECKRAALFFLKSISSGEGKIKSDYTRQLPRKCSPNLIPLRNLHQIKVASEPLHLVPGKALRRHCCDVVSSSSETNMDVNIRKCKEDELIAMYS >OGLUM08G02370.1 pep chromosome:ALNU02000000:8:1816591:1818172:1 gene:OGLUM08G02370 transcript:OGLUM08G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWARGLAMAAAAAVVMVAAVLAGQAMAAGATTYTVGAPDGLWDMETDYKEWVARRTFHPGDKLTFTYSRELHDVVEVTKAGYDACSNANNISAFRSGNDLVALTAVGTRYFLCGLTGHCGSGMKIRIDVVAAASSGPAAAAGPGAAAAPLPSTSSVTAAVAGSRLVLVLLYALLPLW >OGLUM08G02380.1 pep chromosome:ALNU02000000:8:1847076:1859973:1 gene:OGLUM08G02380 transcript:OGLUM08G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANRSALLVVAMAAAVLATTATGATTYTVGAPAGSWDTRTNYAQWVSAITFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPIAIFNSGDDIMPLTAIGTRYFICGFPGHCTAGMKVAVKVEAATATGGSGTAPSPMASRPRTPTAMAPNEMPPMAGGRPLIGPYSMAIMAARALLVIAMAAAVLGTALGATYTVGAPSGSWDLRTNYDQWVSNINFRAGDQIVFKYSPAAHDVVEVNKADYDSCSSSSPIATFNSGDDTIPLTATGTRYFICGFNGHCTGGMKVAVKVEAATGSNPAPSPMTPRPRTPAAMAPNAMPPTAGGRPVPPSSSASQSAGVASLVGLSLGAIVVARALLVVAMAAAVLGTVMGVTTYTVGAPAGSWDTRTNYAQWVSAITFRVGDQLVFKYSPAAHDVVEVNKADYDSCSSSSPISTFNSGDDTIPLAAIGTRYFICGFPGHCTAGMKVAVKVEAATGSNPTPSPLAPLPRTPTAMAPNAMPPTNGGRPAPPSSSASKPVGVASLVGLSLSAIVAASARALLVAAMAVAALATTATGATTYTVGAPAGSWDTRTNYAQWVSAVTFRVGDQLVFKYSPAAHDVVEVTKAGYDSCSSSGPVATFNSGDDTVPLTATGTRDFMCGFPGHCAAGMKIAIKVEATTATGGSGTAPSPMVPRPRTPTAMAPNAMPPMAGGRPVSPSSSASKSTGVASLVGFSLGAIVAGLIVGNFL >OGLUM08G02390.1 pep chromosome:ALNU02000000:8:1871225:1881536:1 gene:OGLUM08G02390 transcript:OGLUM08G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARALLVMAVAAAAVLATTAMGATTYTVGAPAGSWDTRTNYAQWASAATFRAGDRLVFRYSPAAHDVVEVTKAGYDACSAASPIATFNSGDDTVPLAAVGTRYFICGFPGHCAAGMKLAVKVEAAAAAPGGSSTTPSPSPSPAALPPVNGGRPVTPSSSASKSGGVVESLVGLGVGAMAAVALAVRCRSTKETGACEGREKRTPGAVIFPFRGPRPESSLPRRRPLVTAPILARMALLAVVVVAAAAFSTASGASYGVGKPNGGWDLQTNYTSWASSIAFRLDDKLVFKYSAAAHDVVEVTKDGYLSCSASSPIAVHRTGEDAVELGRLGRRYFICGVPGHCDAGMKLEVRTLCSIPSPPPPGSDGDGNGTPGGICIDGSSPPTIISTPGVVSYGSAPGSSGSATTALAIMAAATVMLLSLIIV >OGLUM08G02400.1 pep chromosome:ALNU02000000:8:1875214:1875898:-1 gene:OGLUM08G02400 transcript:OGLUM08G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARVFIGGDVGLGEPTLGQSRRGAGGRAWSRWWQAVTLMVVGQNEKGGGERELCSLLFREKLKEGEGVREGLPFSALEASGVERQRTMQATPGLDAAATGRSATRERAGTSEGGGGFGRRRRKAASARERENEREGDPSLGDGSCSALTQGGVKEGTQMGIGNRYLARIQGGD >OGLUM08G02410.1 pep chromosome:ALNU02000000:8:1875904:1876104:-1 gene:OGLUM08G02410 transcript:OGLUM08G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSGRRRGSELSGLGPRKGKMTAPGVLFSRPSHAPVSLVLRQRTARATAGQGQQGTIGDERNE >OGLUM08G02420.1 pep chromosome:ALNU02000000:8:1881942:1884175:-1 gene:OGLUM08G02420 transcript:OGLUM08G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPADGGGGGGGGSGDDWFLDCGILEDLPAAACGAFPWDASPSCSNPSVEVSSYVNTTSYVLKEPGSNKRVRSGSCGRPTSKASREKIRRDKMNDRFLELGTTLEPGKPVKSDKAAILSDATRMVIQLRAEAKQLKDTNESLEDKIKELKAEKDELRDEKQKLKVEKETLEQQVKILTATPAYMPHPTLMPAPYPQAPLAPFHHAQGQAAGQKLMMPFVGYPGYPMWQFMPPSEVDTSKDSEACPPVA >OGLUM08G02430.1 pep chromosome:ALNU02000000:8:1887127:1891890:1 gene:OGLUM08G02430 transcript:OGLUM08G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MADKPLTASPPSSSSDSNPPNPPQNPSQTRKLHLKFPPFLNKFPHNPVEFDLTSPSMETQLAALCNPRLLHSPHAFLPKATSTSTSTSSSSSSAAAGEEALKPRPRLPPPQQQQPGVSHHHHTRRRRELGAAALASLCAGIEALAAEGRHDEARDAFRGARAGAPFTPLPASTYDALVASAAALREPGFAAAVLWHVESSGFEPGQRAWNRVLRMQLACGMLAEARQVFDGMPARSEATWGTMMGGLIDAGRPRGALTLFRELWEEVGGDAAPRVVVVAVRAVTALGSARAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGKLDEARRVFDGVPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHRNLQLARLSAEQLLAMEPQKINNYVELLNLYINSGRQTEVSKVVNTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >OGLUM08G02430.2 pep chromosome:ALNU02000000:8:1887127:1891890:1 gene:OGLUM08G02430 transcript:OGLUM08G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50390) TAIR;Acc:AT5G50390] MADKPLTASPPSSSSDSNPPNPPQNPSQTRKLHLKFPPFLNKFPHNPVEFDLTSPSMETQLAALCNPRLLHSPHAFLPKATSTSTSTSSSSSSAAAGEEALKPRPRLPPPQQQQPGVSHHHHTRRRRELGAAALASLCAGIEALAAEGRHDEARDAFRGARAGAPFTPLPASTYDALVASAAALREPGFAAAVLWHVESSGFEPGQRAWNRVLRMQLACGMLAEARQVFDGMPARSEATWGTMMGGLIDAGRPRGALTLFRELWEEVGGDAAPRVVVVAVRAVTALGSARAGQQLHCCVAKTGMYEDQYLSCALIDMYNKCGKLDEARRVFDGVPQKSVVAWNSMLASYSLHGCSEEALDLYHSMCEGGVDIDQFTFSTMLRVFSRLGLLEHAKQAHAGLIQRGLPLDIVGNTALVDLYCKWGQMEDARNVFERMPIRNLISWNALIAGYGYHGMGQKAIEMFEELIAEGIAPNHVTFLAVLNACRFSGFVEEGKRIFQLMTQNQRTKPRAMHYACIIELFGQQGRLDEAYSMIRKAPFIPTANMWGALLTASRIHRNLQLARLSAEQLLAMEPQKINNYVELLNLYINSGRQTEVSKVVNTLKRRGLCIHAACSWITVRKKDHRFFFKDSLHPQSSEIYRKLDSLLKEIKQLGYVAEENELLPDILPDEQKTSKVYHSERLAVAFGLISTSLSTTLRVTQCHRLCHDCHKVMKFVTQVTKREIVIRDGSRFHHFKLGTCSCGDYW >OGLUM08G02440.1 pep chromosome:ALNU02000000:8:1892461:1895489:-1 gene:OGLUM08G02440 transcript:OGLUM08G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G54590) TAIR;Acc:AT5G54590] MGRMKGVSEGLIIGITVGVVIGVLLAVGILLCLRYRRSQAQIRSSSSRRASTIPIRANGVNACTILSNSTTGQESPREVEDRGASMWLEGPGRKSVISASGIPKYAYKELQKATSNFTTLLGQGAFGPVYKADLSSGETLAVKVLANNSKQGEQEFQTEVLLLGRLHHRNLVNLVGYCAEKGQHMLLYAFMPNGSLASHLYGENIAPLRWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMHARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSSRSFTKKSDVYSYGVLLFEMIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDVVQALIRVAKHSQSKKHHKRRPPPGRADDESVDLEASEVQSSLSGHQRQESVGSVSDLADV >OGLUM08G02450.1 pep chromosome:ALNU02000000:8:1895503:1896743:-1 gene:OGLUM08G02450 transcript:OGLUM08G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWMQYLNC >OGLUM08G02460.1 pep chromosome:ALNU02000000:8:1903385:1903883:-1 gene:OGLUM08G02460 transcript:OGLUM08G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSFVPCGCRAGPIDDAPPAALPAATAGDGGAAARRRRRRARHIETAVSMPAFAPAAFLF >OGLUM08G02470.1 pep chromosome:ALNU02000000:8:1906759:1909786:-1 gene:OGLUM08G02470 transcript:OGLUM08G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATARSGFRRMFSISAFSPPKPPTPPPKADPSPNLFISGLSKRTTTDGLKEAFAKFGEVIHARVVTDRVTGFSKGFGFVRYATVEDAAKGIEGMDGKFLDGWVIFAEYARPRTPPQQPEMNSQPQQSWGPPSSSWGAQ >OGLUM08G02480.1 pep chromosome:ALNU02000000:8:1911488:1912907:-1 gene:OGLUM08G02480 transcript:OGLUM08G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiple organellar RNA editing factor 9, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G11430) UniProtKB/Swiss-Prot;Acc:Q9LPZ1] MASLPTTAAAQAFAFALAPKPSSSAAAPSALFPRTAAAAAFPTLAVRGSGKARQPVVAAAAGAGTGSEQRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCTYPTYQPKERRTSKYESRRYERRRDGPPASRRPRQQTAQPESASSS >OGLUM08G02490.1 pep chromosome:ALNU02000000:8:1915796:1917051:-1 gene:OGLUM08G02490 transcript:OGLUM08G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYSMYGHVAKLAEEIEKGASSVEGVEVPETLSDDVLTKMGAPSKKDVPIITPAELAEADGVIFGFPTRFGMMAAQFKAFMDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPVGYTFGAGMFEMEQVKGGSPYGSGTFAGDGSRVATELELQQAFHQGKYFAGIAKKLKGSA >OGLUM08G02500.1 pep chromosome:ALNU02000000:8:1928226:1929269:-1 gene:OGLUM08G02500 transcript:OGLUM08G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U-box domain-containing protein 8 [Source:Projected from Arabidopsis thaliana (AT4G21350) UniProtKB/Swiss-Prot;Acc:O81902] MEVSPEQGSTWPDDFRCPISLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIAAVSPVAAAAVPTAAAGGGGGERREPSAAAISSVYGLLRLARSGPEGRRQVMESGDVGVLLRHAAGGDEVAARALLHLSLDGDDARVGLVADGAVDALSAAVSSGGAAAAVAATALTSLATVDVNKCTIGAHPSAVPALVLGLFAKSREGRHELSRIPRVVAVLVGVAGSGNARAIEQALVVLNLICGESSQLAREAIKLGAFHLCEALVNDDNCKIAKNAVELARTLEKL >OGLUM08G02510.1 pep chromosome:ALNU02000000:8:1939250:1942024:1 gene:OGLUM08G02510 transcript:OGLUM08G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKLVVSEQDQNQKQTPRNLHFHQQLPDSGKGSHVEQLWNEWEIQVLVLVSFSLQVFLLLLSGIRKRTTSNVLSIFIWLAYVSADSLAIFVLGHLALHINGRRHGLVLFWAPFMLLHLGGQETITAFSMEDNMLWKRHLLTLATQVGLAAYVVGKQWQGDKQLLAPMVLMFISGTIKYAGRISALMFSAKQTTPGSSLGMQAKGWSANWKHYSTNNWMMNEVHTYNELVWEANAGWTLYMAFLMDMTPLISRPETYSLQGLLSKEHRVYVSYKLAELQLSIVYDYFYTKIGVYFEPEERLNGRFAQLATLGSTFAALFLFARADLRGNFSYDRADIVVSYILLSGAFILEILSVFIVVSSFWAYFMATVSDFLCTRCHDVIFSIVKLVHPESKPQWSQKLAQYNHIIGCIKQKRAAAGSCLLKCMKRVIGIQPSTMTHVDISHELKKLVLDKLLQVGSRLHPDDVWDISKFTGQWAKLELMRSKLHIESSSRARLQVLISDSIQRAGFMSAVLAWHIATDICFFHEDELGCSSPSRGPSRELSNYVMYLSAKHGVLSGNDGHMRLTNAQEFIVECLEDCQEALDQDAVVRSVAAKIDNLTEDFEHPRILTAVEPVLIQSGQLAKELLKMKEAHDRWDIIMNVWMEMLCYMAFHCGPGFHIKQVSKGGEFISHVKVVIYNLGFPYSTYSPKTEEPTAGL >OGLUM08G02520.1 pep chromosome:ALNU02000000:8:1943138:1946434:-1 gene:OGLUM08G02520 transcript:OGLUM08G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKAHVSEEQVRRMILECSSGPNLHVKLELVDTLERLCIDYHYEKEIENVLRRVHEEEDDTDNHYDLHTTALRFYLLRKHGYHASPGVIHGEEILDDAIVFTRNYLQSVVKHLQSPMADEVCSALRTPLFRRPRRVEARHYISVYDKLPTRNETILEFAKLDFGILQSLWWKELQLQDHLSFARDRMVEMHFWMLGVLFEPQYSYGRTMLTKLFIFVSIFDDIYDNYSTLEESKLFTEAIERWDEEAAEELPGYMKFFYKKVLTTMKSIETDLKLQGNKHVDYVKNLLIDATRCYYNEVKWRSEGADQAAATVEEHLKISVPSSCCMHVPVYAFVAMGNDVTADDAINWGMAYPKIITSSCIVGRLVNDIASHEREQGSSSSTVEACIREHGGITKEEAYAKLRELVEESWMDIAGECLRPAAAQAAPPLLEAVVNATRVLDFLYKDDQDAYTHPSSLKDTIHSIYILPV >OGLUM08G02530.1 pep chromosome:ALNU02000000:8:1952017:1952738:-1 gene:OGLUM08G02530 transcript:OGLUM08G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSLDLRFILVLVLLLNPTFAGARVDPHRAQVVHTTQEDCIIDIGWVVFCTKRFCKFSCWGEGLTKKGKVRDYWCSDFHGCNCLICRGS >OGLUM08G02540.1 pep chromosome:ALNU02000000:8:1957859:1959738:1 gene:OGLUM08G02540 transcript:OGLUM08G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFVSMTRDPPLITGDALALHPIDVCHRSLLHGTLPPPPPSTGRKRQREDEFVPSATELEQAGVHFSRSPTRSLRDISFWPDDDVRLLSDGVVSNGLGSDKAVARMFNRLAKNAVLDRRSPLRGVQGQVNDHRENAWNECIII >OGLUM08G02550.1 pep chromosome:ALNU02000000:8:1970731:1972275:1 gene:OGLUM08G02550 transcript:OGLUM08G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDTNPTAFSAFPAGEGETFQPLNADDVRSYLHKAVDFISDYYKSVESMPVLPNVKPGYLQDELRASPPTYSAPFDVTMKELRSSVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQASPAATEMEVLALDWLAQMLNLPTSFMNRTGEGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSDGVAGLHRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGVAKLQEHIRNDVAMAKVFEDLVRGDDRFEVVVPRNFALVCFRIRAGAGAAAATEEDADEANRELMERLNKTGKAYVAHTVVGDRFVLRFAVGSSLQEEHHVRSAWELIKKTTTEMMN >OGLUM08G02560.1 pep chromosome:ALNU02000000:8:1985065:1986636:-1 gene:OGLUM08G02560 transcript:OGLUM08G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDANPAAAYAAFAADVEPFRPLDADDVRSYLHKAVDFVYDYYKSVESLPVLPGVEPGYLLRLLQSAPPSSSAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQAAPAATELEVLALDWLAQLLGLPASFMNRTVAGGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSNGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIRSIPTGAETDYGLDPARLLEAMQADADAGLVPTYVCATVGTTSSNAVDPVGAVADVAARFAAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLYVRDTHRLTGSLETNPEYLKNHASDSGEVTDLKDMQVGVGRRFRGLKLWMVMRTYGAGKLQEHIRSDVAMAKTFEDLVRGDDRFEVVVPRNFALVCFRIRPRKSGAAIAAGEAEAEKANRELMERLNKTGKAYVAHTVVGGRFVLRFAVGSSLQEERHVRSAWELIKKTTTEIVADAGEDK >OGLUM08G02570.1 pep chromosome:ALNU02000000:8:1996026:2006177:-1 gene:OGLUM08G02570 transcript:OGLUM08G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKWRDTRNKPQSDEEEEEEEEADLEEEEEEKQEPKPASVQRVWTSADFEKSEGFLRFIGKTAEEYAASKAAFASRPRRIETAEEWEARVRKNIEEQDRAYEEMMRSQDDDESNWDYIAYRNSWNDTWSGSRGSFEDATRIPPMRFTHKPALGYYSAGALDTLQIFSVKVAATSGGLQWPLDVFGIVSIRDSVDRNRNVAFHRTRDNCQTLTEQERNLVLVGPTRAVVLSMPDPLIIDVELKVKGTTESEDKRLSLLAVPLLCAGKYYSHVLKSGSYTSKLSTLEFRLGYIVSSVEATISVRVIRGSWPDGFHGQFAAYTTGVRFRHLASEDILAGIELEKIVLLDSRGDQNVVTVSGDGTIELSRRVVSVEKVGKLKVLVRAWELVDHNNVVEQVKVFTPLEAGLSNGELDIGFCQLEVSVAWSLISENPVLAKSRGIPESIGKTAEEYVASKASRPRKRMETAEEWEARVRKSIEEQDKAYLETMRSQDEDESNWEAIQYRKFWNDVHFAHHGSFQDASDKDPPMRFTHKPALDNFTAYALDALQIFSVKVAATSGGLQWPLDVFGIVSMRDSVDRNRNVLFHRTRDNCQTLTEQERNLLLVGPTRAIVLSMPEPVIIDVELKVKGTTESEDKHLSYLAVPLLCHGKRYSRMLLNSGSYTSKLSTLEFRLGYIVSSVEATIFVRVICGSWPDGFHGQFAAFTTGVRWKDLAREKNIASVDDERILLLDSRGDQKVVVTGDDGKIVLSRCVVSVEDKGELKVHVRAWKVDDSVVEAEMVFTALKAGLSNGELDMGFCKLGVSVAWSLISRKPVYADSRYKWAFTPPLAVQLR >OGLUM08G02580.1 pep chromosome:ALNU02000000:8:1999727:2003327:1 gene:OGLUM08G02580 transcript:OGLUM08G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASPSRCKTMVAPSPFPRPLSAGWRKRHLIPRPRPLAAGTVSGVAGTGSSPLRPGDLTICYHAFYSSPACLLALIPYLLFYSSAEAISRHNLVADIILLIAIMSTKHLCPSPIACYDGPLDAMAACVVHAGGSDGGIQAHIKACAALGAICSSVVTAHNTIVVQLIFYETNLRVFRAQYRQIIVPILRSFSLLSGGSSIILRIILPYGVSVTLKPWLPERIKATGTSEL >OGLUM08G02580.2 pep chromosome:ALNU02000000:8:1999727:2003327:1 gene:OGLUM08G02580 transcript:OGLUM08G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASPSRCKTMVAPSPFPRPLSAGWRKRHLIPRPRPLAAGTVSGVAGTGSSPLRPGDLTICYHAFYSSPACLLALIPYLLFYSSAEAISRHNLVADIILLIAIMSTKHLCPSPIACYDGPLDAMAACVVHAGGSDGGIQAHIKACAALGAICSSVVTAHNTIVVQLIFYETNLRVFRAQYRQIIVPILRSFSLLSGGSSIILRIIL >OGLUM08G02580.3 pep chromosome:ALNU02000000:8:1999727:2003327:1 gene:OGLUM08G02580 transcript:OGLUM08G02580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASPSRCKTMVAPSPFPRPLSAGWRKRHLIPRPRPLAAGTVSGVAGTGSSPLRPGDLTICYHAFYSSPACLLALIPYLLFYSSAEAISRHNLHLCPSPIACYDGPLDAMAACVVHAGGSDGGIQAHIKACAALGAICSSVVTAHNTIVVQGVSVTLKPWLPERIKATGTSEL >OGLUM08G02580.4 pep chromosome:ALNU02000000:8:1999727:2003327:1 gene:OGLUM08G02580 transcript:OGLUM08G02580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAASPSRCKTMGVAGTGSSPLRPGDLTICYHAFYSSPACYDGPLDAMAACVVHAGGSDGGIQAHIKACAALGAICSSVVTAHNTIVVQGVSVTLKPWLPERIKATGTSEL >OGLUM08G02590.1 pep chromosome:ALNU02000000:8:2012440:2016802:1 gene:OGLUM08G02590 transcript:OGLUM08G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERRSDREGDDGGWMAAGSSSGAMVRRETRRSEVGLEKSSSLPPPLPKEAVKIDKKKTKKRSDYSIEDILKILDMSEEELAVVKEEWAEMEAKEKEEAPKVKETMVEWEARVKKRIEEENKAYREMMRSQDEDESSWDAIQYRKSWNARWSGTRGSFEDTTRIPPMRFTHKPALDYSAAATPTLQVFFVKVAVAKGALQWPLDVFGIVAMRDVLDRNRNIVFHRTRDNCQTLTEEDRNLVLVGPTHAVALSMPEPVIIDVELRVKGTTESEDKGLSFLAVPLLCDDTSYSRLLHSGSYTSKLSTLEFRLGYITSSVEATIFIRVIQGSWPDGLSAQFAAFTTGFYGKGMACRDSNTSIDDERIVLLDSRGEKVVVTSDGNIKLSRRVVSVESNAELKVSVKAWKAYNNVVKNVNVFTALEAGVSYATLDIVFCKLEISVAWSLISQYPVSANSVL >OGLUM08G02600.1 pep chromosome:ALNU02000000:8:2017536:2017895:1 gene:OGLUM08G02600 transcript:OGLUM08G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDAVASDKLWRGRPPASSSRRGMAVDGGGRSLRRRAPAWCSAWTAEDMAAGDEPGEGRGGGGCDRQQQAWCGGVAAKDEAVGNELRRGAWTTEDAAVGDDLRHAAWTMKDAAAGDDP >OGLUM08G02610.1 pep chromosome:ALNU02000000:8:2019663:2023077:1 gene:OGLUM08G02610 transcript:OGLUM08G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEAERRRSRRIGGEGVLGKRRETSDPQAAAADEEEAAEPESSLLPPSVEDGLKVWSKAHIEVDDEFLKIAGMTAEEWAAFKAEEAAKPPRRSGRRGEDPPMRFTHKPPQDCIAYPLPTLQIFSVEVAATKGSLQWPLDVFGMIAMRDSLDRNRNIIFHRTRDNCQSLTEEDRHLVLIGPTCAVALLMPEPVIIEVELKVKGTIESEDKDLSFLAVPLLCDDTYYSRVLNSGSYSSKLSTVEFRLGYIAASVEATMSLCVVSVESGGELKVSVKAWKADDNVVETEVFFTALEASLSEGMVDIGFCKLEIIVAWSLISQYPVLAGSMKVFCEASDGDNNVVKGNRMSGCQEWRVLVAEEL >OGLUM08G02620.1 pep chromosome:ALNU02000000:8:2034479:2040139:1 gene:OGLUM08G02620 transcript:OGLUM08G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWGTGSSAPSTAAEGSAAGGDGAARSSSGGGGAAVIRSLLPTRRRLRLDPPSKLFFPYEPGKQVRSAVKIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLDQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQTPQMDKLKRQLAEAEAALEARKKPPEDTGPRIVGEGLVIDEWKERRERYLARQQIEGVDSA >OGLUM08G02630.1 pep chromosome:ALNU02000000:8:2039449:2044197:-1 gene:OGLUM08G02630 transcript:OGLUM08G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTAFMWEGASRAFNHRPTFTNLVLVLGAASSGGLVAYADSNSDDVVGKPQGPPKKKIVVLGTGWGGTTFLRNLDSRLYDVQVISPRNYFAFTPLLPSVTCGTVEPRSVVEPIRRILEKKGGDIKFWEAECFKIDSANKKIHCRSNIGTNLDGNGEFLVDYDYLVIAVGARSNTFNTPGVEENCFFLKEVEDAQKIRRNVMDCFERASLPYLDEEERKKNLHFVVVGGGPTGVEFAAELHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITEFAEDKFGRDGIDVKTGYKVVKVAKDAITMQNPATGDIAVPYGMAVWSTGIGTRPFISEFMKQIGQGKRRVLATDEWLRVRECDGVYAVGDCATINQRRVMDDISEIFRVADKDNSGTLTVKEIQDVLDDIYVRYPQVELYLKSRQMNGIADLVRTAKGDAEKESVELNIEEFKKALSLVDSQVASQQGQYLARCFNKMKDAEENPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSTQWLWYSVYATKQISWRTRALVISDWSRRFIFGRDSSCI >OGLUM08G02640.1 pep chromosome:ALNU02000000:8:2050043:2050603:-1 gene:OGLUM08G02640 transcript:OGLUM08G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAPATGFLAAVAMAFAVAAVVVDRCDNVPSMSMDDACLKASTLCHGELLTAPESGEVTVYAVISARWAQRAYEATSRAYEATSRAAAGLLGNNNASLAAGERAAYEACVARYASARARVMAAQSQLLGCSYASPKQELIDARVDVEACDGELSGFAASPLHAMNADDQLKATLAYELTGLIIGK >OGLUM08G02650.1 pep chromosome:ALNU02000000:8:2053446:2054021:-1 gene:OGLUM08G02650 transcript:OGLUM08G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSATIVFVALTLLVAGSVVVVVVDACDGVPRMSAVEACKQASVGPAMSRTCAETLGTSADEQEATDFMVAAANAATESYKAGKEAVGKVLSNPLAPDGERLPCLVCANKYDDASMLVASTADDAKRCKLSADSLPDLVTAVSSVDECATKMFEESGNTTSVYATAITNRDWTVLVLRLATLVVPRQQLS >OGLUM08G02660.1 pep chromosome:ALNU02000000:8:2057129:2057695:-1 gene:OGLUM08G02660 transcript:OGLUM08G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARVISVLTLSLTAALLATGGVDACDGAPLMSAVDACEQASTADVMRQLCAAMLGTSPEPKEVTGYVFAATDSNIAQFLISYEAADKVRQDPASPEQLSAACETCMGKYDLAKMKMVYSGDRLRSCDMSPVTREDLLTAVVGIDDCATLLLNAAGDKTPLRRMVLLDRDRAVLLLQLAILLLPNKS >OGLUM08G02670.1 pep chromosome:ALNU02000000:8:2057841:2073496:-1 gene:OGLUM08G02670 transcript:OGLUM08G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIRLRDRSKRSTPHNQIIMERAGVMSVALTLSLLLTAASLLAGGADGCDGVPRMSAVDACKQASTAGVMWQLCVRELGASPEPEEVTGFVAAAMRANREAYGVSYDAAEKVRVDPSSPAGLATVSGYCEGKYDTAQELMTWWIDRLPGCDITADIRVDLASAAAAVDECAILLLQNGGEHTTLYQMVLLDRDRAVLAVRLAILLVPNKVTCNANISKFSTSKETERRKRKEKKRLIREEEIKKMNKRADAIVFLLAMAVVVVVVDACDGVPSMSLEDTCQKAFGTAAAPTDACGAPPCIPPMHVYCVSVLRERAPDAGEATVFAVAAAKYAKESYESTMEAAFRALQNASLPGDERAACAACRDTYYAQARSSTVAAMNLLAECSLGQLGGEYAAAADAIKACRDAQSKLQSPAIYGLAVSDLMVAALASGLGELWEQSPHGQLAMEKTCCTIFAVLSLSLVLLAGGGADACEDYDVPMMSAAAACQRASTGRAMSQICADEVGTATAPDQEVTDFVFAARRARSCGATARAVRDMARDPSTPPGAREAGRACDGRYGEAMARLADAVGHLNGCQLVELSADAPAAIAAVDDCTTALLPVVGFSPLYNRVIGDRDRCVLALRLISILLHHPGSTVNARRQKLDREYDCTT >OGLUM08G02680.1 pep chromosome:ALNU02000000:8:2075496:2076650:-1 gene:OGLUM08G02680 transcript:OGLUM08G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWQLHMIFLEGNRTMLCAIKKDDSCLLATKKFEPPSMPSSNFIAPSTPFRDGASEGGDRVRARRCERRGEVVRGHRGPLLAAGDGPVGAGRGEGGGAGMRAQVRRGAAADGRRRGPPERLRAGGLARDVPAAVVAVDDCATALLQVFGVNSAWYKTVIGDRDRSMLALRSTGIMLKHPANIN >OGLUM08G02690.1 pep chromosome:ALNU02000000:8:2078166:2078732:-1 gene:OGLUM08G02690 transcript:OGLUM08G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPLPLRLLVAVSLAVAGVAGDDEKTCPGAPTMTVESACRNVSGTQAMYDTCRDALAGVADPLSDHDVTVYALAAAHGAAASAEATMGAAIDEVRNNRSLPGDERDAYMECAVDYSTALHAMGSVVDKLDGCSFDGLAGDYLNGLIDVENCRDRVLKLPASPLYAMVLVDRNKAGMALFLGKLLGI >OGLUM08G02700.1 pep chromosome:ALNU02000000:8:2082306:2083463:-1 gene:OGLUM08G02700 transcript:OGLUM08G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLCPLVRVDDAKSTWQRSHFFLFVGAVSLTVTGVIGVEKTCPNTPSMTMESACHKVSGTRAIYDMCKDMLTGIPNYYLRFRL >OGLUM08G02710.1 pep chromosome:ALNU02000000:8:2085028:2085533:-1 gene:OGLUM08G02710 transcript:OGLUM08G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIPFLSPLLVAVSLIVAGAGAGDETTTCPGALSMTVESACRNVSHTQAMYDACKGALAGVPNPMSDHDATVYALAAARGAMASADATVVAANEQVTYNGSLSGEEKGAYEGCVEAYAAAEHTPWAPFGGGLADDYMSGLLAVESCRDRVIKLPASPL >OGLUM08G02720.1 pep chromosome:ALNU02000000:8:2087283:2087918:-1 gene:OGLUM08G02720 transcript:OGLUM08G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIGSLAIAAVVPLLAALCLVSCTGGDARWCAPVPSMTVEQACDAVCGTAHMLKLCLRTLQPRARRGGGEHRRRRDDAVTRYVGAAARGALDAYAATAAAKRGMQYSAALPADERTAHERCMAGYDLAVRFMGRVAGDLASCETAAARLRDDCDGSLAGMDACRRKLFGYPASPLYGRNLADRNKTMLVGLLSNLVPTPTPSPSPSPET >OGLUM08G02730.1 pep chromosome:ALNU02000000:8:2110305:2113539:1 gene:OGLUM08G02730 transcript:OGLUM08G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRDAIAARNAGCSSGRISQPPPPPFYSAATGIYSSIHPPVALPTDPSLTLVAHLFARLPLSDPGAPSLVDAATASAVSRADLRRLVASLAAGLRRRHGVRKGSVVLLLLPNSVAFPVSFLAVLAAGAVATTMNPSSSPAEIAAQARATGACLVLASRDGAARLPPLAAPVVLVPEILDHSAAADDGDDDQRVFAAFRAMLDGGGGDGDATETAVPVVGQDDAAAILYSSGTSGRSKGVVLTHRNLIAMTELFVRFEASQYHARGARENVYMAALPMSHVYGLSLFAVGLLSLGATVVVMRRFDAGDAVAAIGRYKVTHMPLVPPIMAAMVRAAAAGGVPPSQVASLVQVSCGAAPITAALIHEFLQAFPHVDFIQGYGMTESTAVGTRGFNTSKHKKYTSVGLLAPNMHAKIVHLESSSCLPPGFSGELWLHGPGIMKGYLSDDDDACTRKDGWLRTGDIAYFDLDGYLYIVGRLKDTIKYKGFQIAPGDLEEILIHHPEILDVAVTSAEDEEAGEIPVAFVVRRSGSNLSCKQVMEYVAKQVAPYKRVRKVVFVEAIPKSPAGKVLRRLLRNSHDTAAAATSSFSISSKL >OGLUM08G02740.1 pep chromosome:ALNU02000000:8:2113679:2117380:-1 gene:OGLUM08G02740 transcript:OGLUM08G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like2 [Source:Projected from Arabidopsis thaliana (AT3G13682) TAIR;Acc:AT3G13682] MSSSSRRPARRAALTARSSYDESLVDAELESYLGNARSRRISRLRRLSADERQRETETEALIALSLGFPIDELLPAERPLLPAPVAAAPNDYIVVRNHILASWRADPRVPLPRSRVQETVAASYDNLVAVAHGFLAREGHINFGVSAAFPASPPPDAPQRLAASVLVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTTHLGGDQAAVELGGSVITGIHANPLGVLARQLGIPLHKVRDSCPLYHHDGRTVDMKLDRSMDLVFNTLLEHATRLREYLKKAAEGISLGEGIERLRRFYKVAKSVEEREVLDWHLANLEFSNAGCLSELSLAHWDQDDQYEMGGDHCFLAGGNARLVHALCDGVPVLYEKTVKRIEHGEDGVSITVEGGQVFKADMALCTAPLGVLKSRSIIFEPELPDRKLEAIQRLGFGLLNKVAMVFPHVFWDEEIDTFGCLNKERSKRGEFFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPAVALHRVLGILKGIYGPKGVTVPDPIQSCCTRWGSDPLCSGSYSHIRVGSSGTDYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKILHASESRLNSDYKKYALQKSIRLINNVLDDLFMEPDLECGRFSFVFSYITPEEEQAPGLARITLEKPLLLPSKKRKVKGNQKDQDPVAEKIDQEVFYLYATVSLEQATELMECDDDKSRITVLCKDLGVKLMGYDSTYDVLLDSFREATIVFIFPLFFLDVVPILVSGSLCVAEMVDKLTSGPVCLASLN >OGLUM08G02750.1 pep chromosome:ALNU02000000:8:2117831:2121428:1 gene:OGLUM08G02750 transcript:OGLUM08G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSWGLGRPSGDRRWLLPFAASLLVSATLFLAAACGLFSPPSLADGDDDSILIDVATWDTASAAESEIKNRLLDSNSDSDDGDNPDDAAVNSDASSADPPRIAYLLEGTKGDGARMRRALQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDAMFSEVGNVRVIAKGNLVTYKGPTMVACTLHAVSILLKEGLEWDWFINLSASDYPLVTQDDILHVFSSLPRNLNFIEHMQLSGWKVISRAKPIVVDPGLYLSKKFDLTMTTERRELPTSFKLYTGSAWIMLTKTFLEYCIWGWDNLPRTLLMYYVNFISSPEGYFHTVICNSDEFRGTAVGHDLHYIAWDYPPKQHPNMLSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGQFTPGAWCDGSSEGGADPCSSRGEDSVFEPSPGAERLRGLMKKVLSWDYRNGSCSSLGYDQTKRDWYVPKGRG >OGLUM08G02760.1 pep chromosome:ALNU02000000:8:2134177:2135622:1 gene:OGLUM08G02760 transcript:OGLUM08G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAAPPATSLLRPAAASLTTASNSSNRVHLKNLEHLFRNRGAAVAVESATPAQQQQPVLKAPLLRLPSFLARGRGEVAMKEEAHGVSPRRLERVLLPAAPDGPSPRGNIAATWRRLHGEHDWRGLLDPLHPDLRREIVRYGEFVGAAYGAFLSRPDAAPGDRARAAPPLQDGGAYRVTAPLFATSSVGLPAWLASAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPATDAASAADSPDAPTPKVECGFWNLYKTAAADGSPSLSEMVVTEVRRLLTKYEGEEVSITVTGHSLGAALAVLIADELAGLGAPAPVAVFSFGGPRVGDRAFASRVEARGARVLRVVNAHDVVPRFPPPSRYADVGRELRLDSRASPYLRPDADAACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLLENQGGNVKQLYISKAMDMRVRLDAAVADMPAEVLECVH >OGLUM08G02770.1 pep chromosome:ALNU02000000:8:2139984:2145912:-1 gene:OGLUM08G02770 transcript:OGLUM08G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGDEAAVLRAEESASGGVDVWSDAVSSHDPDHLLVMVHGILGSNADWQYAANEFVKQLPDDVIVHCSEKNINTLTLEGVDVMGERLADEVIDVISRKPELTKISFLAHSVGGLAARYAIAKLYRHPSDTSKSETKGTICGLEAMNFITVATPHLGSRGNNQVPLLFGSIAMENFASRVVHWIFRRTGKHLFLTDDDEGEPPLLQRMAEDYGDLYFIRRVAYANADCDHIVGWRTSSIRRKTELPKWEESISEKYPHIVHEEYSEELSDETCQNSATVCDSDILEEKMVTGLRRVSWEKVDVSFHTSMQRFAAHSIIQVKYQFMNEGADVIQHIIDHFQV >OGLUM08G02780.1 pep chromosome:ALNU02000000:8:2156796:2157083:-1 gene:OGLUM08G02780 transcript:OGLUM08G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYCRAPGYYGFARFVGGCLWTIGDCSVGPLSFGPLASLDNHHACTGYGYRPLLVGAWAAIGPLPMTRGPLSHFVLLSPWTGS >OGLUM08G02790.1 pep chromosome:ALNU02000000:8:2164189:2165012:-1 gene:OGLUM08G02790 transcript:OGLUM08G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLLDGGGGGGGDDGFDPSAAEHKRHEQIGNLAVELKHQRLASKPSAMLASWGCRRRLIWSLAAEHKRRASRSATSPASSSTTQCIRRHNINLKCGPPNRLPCFRCELKYVCMHPEQRYTLTRVKNCGYWEPLDDPSTRKSNGKRSTTIINASSFF >OGLUM08G02800.1 pep chromosome:ALNU02000000:8:2167587:2171553:1 gene:OGLUM08G02800 transcript:OGLUM08G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDGAPPASGGGGAAEGPRRCSQCGHHGHNARTCTARGPVKLFGVRIGDKPPTAAAGGGGGMRKSASMGSLAQLAEGGGGGGGREEGYGSDGNDDKRRKRGEAWSEEEHKKFLLGLSKLGKGDWRGISRNYVGSRTPTQVASHAQKYFIRQTNVHRRKRRSSLFDMVIDDSDDQPLSRTSSQEVEVEENLEDGHPVTAPVIPPAPVPMLSSSLVPPPVPAMAPVAPGPVLTSASATLPVSAVAPQTDEKEQVASGSNTTETGAAIPEVMPPYGYPMMLPPYYPPAFVPMPYYGYVPVFYAPPGAVQAQHEVVKPVAVHSKPPVHIDELYSMSELSLKGEAGVKNGTPNSPLPPRPIGRPDRQSAFHGKGPSDGSSNGLIPAK >OGLUM08G02810.1 pep chromosome:ALNU02000000:8:2205432:2205647:1 gene:OGLUM08G02810 transcript:OGLUM08G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAFKVFDVDGDGFISASELQEVLKKLGLPEAGSLATVREMICNVDRNSDGRVDFGEFKSMMQGITVWGP >OGLUM08G02820.1 pep chromosome:ALNU02000000:8:2209695:2210267:1 gene:OGLUM08G02820 transcript:OGLUM08G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMMMKHSSGSSPCHHAISRRSAAVELHRCSRRRRPSPLTTTVALTRRRSPAAVRCFSLHGDSGGGGGAGAGLVDEGMPVLRQRISEIKAAAAATEEEEEYFSREAPPEWEEEEEEGYSVWETAAGGGGGGDGQLFHVLGDFLMRSRPGVAVGIAVFLMVSLPTSVFFAGCTRLVVECQRLLFNLTKC >OGLUM08G02830.1 pep chromosome:ALNU02000000:8:2213103:2213525:-1 gene:OGLUM08G02830 transcript:OGLUM08G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDDMTWLGLADRCHRTGMRDRGGLAPRFYATAGQKGPTTCAILFLGEWLAAAHWICWTKTVPRLHFRVVVLPLTGPAAKAMPRSRAVGPTRFRISSLRDRAIPAPTFRATAFPHNHSALPSRSRNPIARGRYICANL >OGLUM08G02840.1 pep chromosome:ALNU02000000:8:2215109:2215964:-1 gene:OGLUM08G02840 transcript:OGLUM08G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCCSPLAWHAIEEELCRDYNITSGFIVSQVLVDSTAEKLGIRRGDVIDFQDIDCSTLSQLEHHLLSLGWGYLKGMHLTADLKVEVHNLFDSYRESITFPVQFTDASKQLVVS >OGLUM08G02850.1 pep chromosome:ALNU02000000:8:2219388:2219720:1 gene:OGLUM08G02850 transcript:OGLUM08G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQSVAAAKPSLAKKTASASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLVADRDGLAATVSAYVPEGAAGLRFEDFDALHRALGDALFGSLDGXT >OGLUM08G02860.1 pep chromosome:ALNU02000000:8:2226240:2232520:-1 gene:OGLUM08G02860 transcript:OGLUM08G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEPPPPFASASTATRARGDMASPSPGEGGESHTSETNPEKRERIQDQLAAAASAAAPTGPVPKKMKSSETTTAGSTTLASRPLPLRYPPYPTLPPRNRPTRKYLDSVLEWAKECRRIAKLSQKDITTLRDDPITADAVVTSQDKAMVLRVARSIVSVSSTMPDGGGLVSRCTGVVIGWDGANKRAKILTAASVVSDFNGELHNPALKKTLAARGIHKSKYYGHPNTSPKIPSVWAHIN >OGLUM08G02870.1 pep chromosome:ALNU02000000:8:2237496:2240224:-1 gene:OGLUM08G02870 transcript:OGLUM08G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKNEPREQSGTRASILPPPLSFCSILSLFSPPLSAPLSRDGLALSPLLPLLLPPSAASLSLLVPHRQHRCQDALPPASGILVTTSLSAAPLSLPPPAASFPLLLPTLVTPLALPLGQAPSPPPTARVAGGRSGVGSGRALWAAEYRRRSSGRWVKVLEVELGHGLHAADLASAWTMAGGVDLGCKSGGCGWQVPWHGRGSAGGAPTAGPRPRCQRGKPPPSNLLGYKANWTETETKKFSHK >OGLUM08G02880.1 pep chromosome:ALNU02000000:8:2290166:2293574:-1 gene:OGLUM08G02880 transcript:OGLUM08G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMRWAVEAVDRAVRIERGAREQLRGVVKVGQQGAEAASAALPPSTSGQGVEAGRRCPEGKKEKGAVERRARPFRFWEERGREHELCLAALDARGVGRRAREDDAGDDCKKDGDCLEVSTDTRWSSEQATTEGGDGISQTVGHARERAGNGGQIQTAASVSVGVTNQD >OGLUM08G02880.2 pep chromosome:ALNU02000000:8:2293583:2293789:-1 gene:OGLUM08G02880 transcript:OGLUM08G02880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEACGDGRTGWRRGVLGRGGAAGDEEATALCFQEHGTKGIDRGRGEDGARLALKGGAAVSWAA >OGLUM08G02890.1 pep chromosome:ALNU02000000:8:2321908:2326360:-1 gene:OGLUM08G02890 transcript:OGLUM08G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT4G17370) TAIR;Acc:AT4G17370] MATTTATAAAAPEVRYGIVGVGMMGREHLHNLAHLAGEVGRAEPPVRVRVTCLADPHPESLQLGLQLAADLALPAPQVYTRTPWPSYYLNSPFALMMNCRAIVPVVVLNPKRLEGFKIFSGHGELLDSGLCDAVVVSSPNMTHYQILMDIISHPKPHHILVEKPLCTTVQDCQKVVEAAKQRSDILVQVGLEYRYMPPVAKLIDTVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRVGKRTGGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRLQGASGPSVDLTDGLLSVAIGVAGQLSIEKGRFVTIEEVLAG >OGLUM08G02900.1 pep chromosome:ALNU02000000:8:2344336:2347706:1 gene:OGLUM08G02900 transcript:OGLUM08G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like protein kinase TDR [Source:Projected from Arabidopsis thaliana (AT5G61480) UniProtKB/Swiss-Prot;Acc:Q9FII5] MAASFHVLLLLLPLLTITSASSAPLPLLALLSLRSSLGDPAGALRSWTYAAAASAGATRSLAPPWCAWPGVACDAATGEVVGVDLSRRNLSGTVSPTAARLLSPTLTSLNLSGNAFAGELPPAVLLLRRLVVLDVSHNFFNSTFPDGIAKLGSLTFLDAFSNCFVGELPRGIGELRRLEHLNLGGSFFNGSIPGEVGQLRRLRFLHLAGNALSGRLPRELGELTSVEHLEIGYNAYDGGIPPEFGKMVQLRYLDIAAANVSGPLPPELGELHRLESLFLFKNRIAGAIPPRWSRLRALQVLDVSDNHLAGAIPAGLGELTNLTTLNLMSNSLSGTIPAAIGALPSLEVLQLWNNSLAGRLPESLGASRRLVRLDVSTNLLSGPIPPGVCAGNRLARLILFDNRFDSAIPASLANCSSLWRVRLEANRLSGDIPAGFGAIRNLTYMDLSSNSLTGGGIPADLVASPSLEYFNVSGNLVGGALPDMAWRGPKLQVFAASRCGLVGELPAFGATGCANLYRLELAGNALGGAIPGDIGSCKRLVSLRLQHNELTGEIPAAIAALPSITEVDLSWNALTGTVPPGFTNCTTLETLDVSFNHLAPAEPSSDAGEGGSPARHTAAMWVSAVAVAFAGMVVLAGTARWLQWRGDDDTAAADALGPGGARPDAVVGPWRMTAFQRLSFTADDVARCVEGSDGIVGAGSSGTVYRAKMPNGEVIAVKKLWKAPAAQKEAATPTEQNQKLRQDNHGGGKRTVAEVEVLGHLRHRNIVRLLGWCTNGESTMLLYEYMPNGSLDELLHGAAVKARPGWDARYKIAVGVAQGVSYLHHDCLPAIAHRDLKPSNILLDDDMEARVADFGVAKALQSAAPIFGVVLLEILTGRRSVEAEYGEGNNIVDWVRRKVAGGGAGDVIDAAAWADDDVGGTRDEMALALRVALLCTSRCPQERPSMREVLSMLQEARPERKAMAKKLVK >OGLUM08G02910.1 pep chromosome:ALNU02000000:8:2350188:2351139:-1 gene:OGLUM08G02910 transcript:OGLUM08G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTIAMLDTGAGKIMIAVMFIKEFGKINRTKNAGEVIIFLAPTVQLVAQVMVMTPQVFLQALRNAFLILDKEFYYKSEHKPSVFGMTASVEGGGVGGEDVGVEDGERQLRRQRMAVLPPEEGVHEQRRRDVVGHG >OGLUM08G02920.1 pep chromosome:ALNU02000000:8:2363458:2367717:1 gene:OGLUM08G02920 transcript:OGLUM08G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKLRRRSTGAALSHAASGEEAPKSSETSPAPAAAAAGSGRWTRSRSARSHLGLDSDSTDHPRVVSGSSDQAAPKKRKKTTTAVGGRGGGRKEHAPAAAAAGGSTRLASRQVPGRYPDYPSLRPGQHALSKKHMSAVQEWMDECSRISKLEKQARPEDIPTLRDNPRDPLTPDAVVSSQDKAMVLRVARSVVSVSSSKPDGELISQCTGIVIGWDGANKCAKILTSCSTVCDFDGEFHKPTFKLSVRMPNRTITEGRLLFFNVHYGIALLEVKGDFQLQVPSFGLGINYGQDVFALARDENMSLMVRHGAISWLDYPGLSTNPYMFLSCDIPEGGSGGPVVDHDGNIIGIAFDRNPGPVVISITTIRTCIEMWHPFSRVARPMLGMQLKAVELLDVSMREELCLEYNITGGFIVNLVKVDSTAERIGIRRGDVIVFKDNRCSTLPQLEDYLLSLGWGYLQGLSFTIDLKVEVHNLADSYKESITFPVPFSDASKREWRHSLRGFTGDNSAAC >OGLUM08G02930.1 pep chromosome:ALNU02000000:8:2368733:2376126:-1 gene:OGLUM08G02930 transcript:OGLUM08G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPESEIDLIGAPHKIGRLAGTHVGWCRISGLSSPAGGDTRRPQNAGERRQAAAIKTGTARAPPSFLDNDSDRISNLPDELLHHVMSYLTAQEAVRTCVLSRRWQNVWSSMMFLHANAAKSSSITSFKKFLDNVLLYRNPVPLKGLWVSAACDNSDDSLDYSDIHRWVHHVLRSNAREVGIFVRCGSKLLSIDGYPFAFTSVHLSKLVLFKFTVNDCFAKKLSSGCPVLKDLVLISCGIDVTMFSSTTLKSFVIHNAEDIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEHSFRNSAVDCNILSALSNATSLKLICDSVYDELGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIILLDSMSLIEQVLPATGMTTSINEENAPDRISRLPDGLLRFVMLYLTAQEAVQTCVLSRRWQNVWSSTKWLKADAAKFSSMKSFKKFVDNLLLYRRPVPLDALFILATCNHSDDSLDYSDIHSWIRHALRSNAWALGIMEHCGTNLLSIDGYPFPFTSVYLSILHLCHFIIDDSFVKKLSSCCPVLEDLELKNCAIIVTMFSSTTLKNLLINSTETTEHFPPKFEHLMIDMPNLVTLHLDEIPNRNIQLVDVSSVKRATFYFFELSFQNSAVDCNIIPALSNVTSLELVSPTAYEDVVPNVLIRSFPRCKTFSNLKYLKLGEWFLRDGCYPLLFLLRRSPNIEKLHLQLNKYGSDDYEDYPDAAAAIDPTCREIEEMFNCVKLRKITIFYPQGDERVHIIVRILIANISPLPAIKIKPTPVVWILVAEA >OGLUM08G02930.2 pep chromosome:ALNU02000000:8:2368733:2373469:-1 gene:OGLUM08G02930 transcript:OGLUM08G02930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSISHCEDNDSDRISNLPDELLHHVMSYLTAQEAVRTCVLSRRWQNVWSSMMFLHANAAKSSSITSFKKFLDNVLLYRNPVPLKGLWVSAACDNSDDSLDYSDIHRWVHHVLRSNAREVGIFVRCGSKLLSIDGYPFAFTSVHLSKLVLFKFTVNDCFAKKLSSGCPVLKDLVLISCGIDVTMFSSTTLKSFVIHNAEDIEHLPKQIEYLVIEMPNLVTLHIEEIPRRNIHLVDLSSVKEATIYFFEHSFRNSAVDCNILSALSNATSLKLICDSVYDELGAEEYEKFPTAAAAIDPPCKEAARTFHCEKLTEIEIVYPQGDKRVHIILLDSMSLIEQVLPATGMTTSINEENAPDRISRLPDGLLRFVMLYLTAQEAVQTCVLSRRWQNVWSSTKWLKADAAKFSSMKSFKKFVDNLLLYRRPVPLDALFILATCNHSDDSLDYSDIHSWIRHALRSNAWALGIMEHCGTNLLSIDGYPFPFTSVYLSILHLCHFIIDDSFVKKLSSCCPVLEDLELKNCAIIVTMFSSTTLKNLLINSTETTEHFPPKFEHLMIDMPNLVTLHLDEIPNRNIQLVDVSSVKRATFYFFELSFQNSAVDCNIIPALSNVTSLELVSPTAYEDVVPNVLIRSFPRCKTFSNLKYLKLGEWFLRDGCYPLLFLLRRSPNIEKLHLQLNKYGSDDYEDYPDAAAAIDPTCREIEEMFNCVKLRKITIFYPQGDERVHIIVRILIANISPLPAIKIKPTPVVWILVAEA >OGLUM08G02930.3 pep chromosome:ALNU02000000:8:2373506:2376126:-1 gene:OGLUM08G02930 transcript:OGLUM08G02930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPESEIDLIGAPHKIGRLAGTHVGWCRISGLSSPAGGDTRRPQNAGERRQAAAIKTGTARAPPSFLRHQFAPHLLD >OGLUM08G02940.1 pep chromosome:ALNU02000000:8:2383613:2386317:-1 gene:OGLUM08G02940 transcript:OGLUM08G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSGVKWWEEWQLRILVLGSLGVQYFLAIFGGMRKFSRIPHCYRFFIWLSYLASDALAIYALATLFNRRNKLQPDNNDNGSRDLEVVWAPILLMHLGGQVFITAYNIEDNELWRRHILTALSQVTVSIYVFCKSWSSSADRRLLAAAILLFIIGIVKCFEKPLALKASCFNDLISSKDDAQRATATNREQELESFVKEAKDFVRATVGAPASSQGTTEEVPIPPPEQFIVPTKLFMDFSYPYSDRLANLKYFYTLSPKEVVVEIHRGLSKIFDLLYTRNKIWVKHGESACFRLFSTWMLTVLLAIPSIVLLHCSHKQAYSHNDVIVTFVVVYGTLLVDIISVQIIGWYNTFWVHVMAQQSLIGFFTRNKRHKMLISIADCLQCKGLLDQYWCMKPCYKPMDTTYLVYLYVTHGWQNYIEDPDSYRNFNDHMGQWTLERSGCSRLLGWSLEKPFDEGVLVWHVATDFCFHMSNSPSSRDKEIGRTISNYMIHLLFANPEMLMAGSRKNLFTTAYRELEDILQPEEDLHLDDEKKLTLTMIDKVKSKQGRYFIHIAWLVAERLMLLNDGEMWKLIAAVWVEMLCFSARRCRGYLHAKSLGSGMEYLSFVWLMLAHAGMETFPERLHRRQKLHLPKTKPLNLQEEDVAAPSGTSQGSESRKKKETTAAPSAHQGEGDNASEITEIVVSP >OGLUM08G02950.1 pep chromosome:ALNU02000000:8:2391716:2392141:-1 gene:OGLUM08G02950 transcript:OGLUM08G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGQEGTCGTASGIARRGRPRGAGVSTLAGMRCDGGRRRTVRAAGEGEDAVKVKVPSGLMDTPEDQ >OGLUM08G02960.1 pep chromosome:ALNU02000000:8:2401128:2406638:-1 gene:OGLUM08G02960 transcript:OGLUM08G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQESDPTPVTVVELDFATSVQKEGNFSHWSRKKKGERMDSSSRYAVVKRLLLLPAISISGLANNRCIM >OGLUM08G02970.1 pep chromosome:ALNU02000000:8:2408633:2414213:-1 gene:OGLUM08G02970 transcript:OGLUM08G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELLIFDHRSHHNHLLLPIYSNSSNLNFAASFASAEIQTPPRRNPLFSACMLPPCKQLKYSFIHGMRGSIGVRHARWDDMAFSPTLPRPRDSPIQCHFCSWAH >OGLUM08G02980.1 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKDKYLNCPNMEMLNVQELIGELREFIKGKRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEEIYCEIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.2 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKDKYLNCPNMEMLNVQELIGELREFIKGKRHRKNANLLSGTTKTGNYLSDTRSLILLFLKELEKETLIKDKFALDRTCSLVDTATVEVAGGGAEWSGGGRSRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEESISDQHQQARYISLLELVPNIIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.3 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKVGFPLYAFVPIGQNPDMKKILWNILNRVGQDKYLNCPNMEMLNVQELIGELREFIKGKRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEEIYCEIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.4 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKDKYLNCPNMEMLNVQELIGELREFIKGKRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEESISDQHQQARYISLLELVPNIIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.5 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKVGFPLYAFVPIGQNPDMKKILWNILNRVGQDKYLNCPNMEMLNVQELIGELREFIKGKRHRKNANLLSGTTKTGNYLSDTRSLILLFLKELEKETLIKDKFALDRTCSLVDTATVEVAGGGAEWSGGGRSRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEESISDQHQQARYISLLELVPNIIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.6 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKVGFPLYAFVPIGQNPDMKKILWNILNRVGQDKYLNCPNMEMLNVQELIGELREFIKGKRYEYDDQIHRKNANLLSGTTKTGNYLSDTRSLILLFLKELEKETLIKDKFALDRTCSLVDTATVEVAGGGAEWSGGGRSRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEESISDQHQQARYISLLELVPNIIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02980.7 pep chromosome:ALNU02000000:8:2409222:2421179:1 gene:OGLUM08G02980 transcript:OGLUM08G02980.7 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVTGAMNSLIPKLGELLKEKYNLHKDLRRKIDSLTKELRRVQAVLHMVGEVPPEQHNELVKLWASDLREASYDMEDIIDTFLVHVDDDGPKPADPHVLRRLGKQVKKLFKKTKHRITIADSIQEMEKKLLEIYARHGRYPVDNIVTLACLTPIDPRILNIEKMAKNLVGIDEPRDELIKMLSLHEHDYNLHMSNRKTKIVYIVGMGGLGKTTLATAVYEKIKVGFPLYAFVPIGQNPDMKKILWNILNRVGQDKYLNCPNMEMLNVQELIGELREFIKGKRFFIVIDDIWDKPSWQILESGLQDNDCGSKVLVTTRKSEVATIVSDMYNMKPLSHDNSRELLYTRTGSEGRYLDSSSTQACDKILKKCVGVPLAIITIASLLASRSGQEWPEVYRAIDFGEEDNYEMANTKRILSFSYYDLPSHLKNCLLYLSMFPEDYEIKKDFLIWMWIAEGFVPEKQNTNIGLYDLGESYFNELINRSMIQPIGVENYGYIYGCRVHDMVLDLARSLTSEQNFVTVLDNDEQRKPESTNARRLALHRTSITSYQFANVDMKKVRSFVATECNTGNNSVAPPGFQVLRVLFLDRCNGMEDYHIKSILQCAGRLCHLRCLQLSSYTEFLRLPKEIGDLKFLKILDLGGCGGTIKELPEELGLLTHLLCLRIAHLLEMVPAGLIGKLTSLQQLDINLAGEVAVRQFAKELGNLRELRMLYADLYNGLRDKSMQRDFLQSLGCLHKVHTMHIYGTTVKEGTRPDAGSVSCPRLWQLSLTCIKFFSLPVWVNSSTLGCLSHLDVKVQVVKEQDMETLGRLPMLCYLKLDSQYTRLVSIKKKLADDGYFQKLRIFRTPRSFVRFDLHGCESISGASIFMPRLESLEFSVDVRFLKDAADLHAGLNNLLAGFNDFGRTSLNRVNAKISCKDALAVEVQEAEVALANAAHGHPNRPVLQTDKDIEKKMRSPDDDEPTSWSDQKVFEISVYPSSNDHHRYLSYLWLLNEKPRLEKLIVNIYVSKDDKVGDVDEAVAAARNVVDHHINHPTLEINRMEESISDQHQQARYISLLELVPNIIDEDIKCNLAAFERALRIVQSTSECPKENLLSEGDRISIYRKELAITIIEYAEGFNVAPKGMADPWMDVRIIKGIIRDVIGGMDTEHIQQGTAALQEQIVNKLLKMFHCNEVARVNIEVSHRCPRSATSEIAHKSAQPPSLLGMS >OGLUM08G02990.1 pep chromosome:ALNU02000000:8:2414301:2434014:-1 gene:OGLUM08G02990 transcript:OGLUM08G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWHHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHNANMWVGYALRRNVHELHICEHYSEYFDLDHSSFISSHLKILRLRNVTISDLFIEKLFSGCPALQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVTDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEIEGLAWEQWMSYPQEETPDMSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPCAAPPRGGRVPGWSSPERRRGRRKRPPERTPPDDAFQLMQNEVLKRDLWRCRTFNNLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICERLKKVKIICANDDKRVPPIVNAILVNANSLPEIAGDVRPTIVDVVGLLTDMRAPSSCGTHLSVAHARVPHHNSVTGATAASAWVPGHLDLAGVARRRAEVVGSGSGARSSGHLGAACGRAVVCRFGLLAGRRTPVRGAEEASPSDGCRTPVKNGGQREQGHSPPPRERDMSQSSRRMSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRTWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDISDDSLDYSDIHPWVRHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKNVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDTEGFQHLVINMPNLICLHVEEIANRNLRLLDISSVESASVYLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDHGAYAYDHHTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIIDWTVGMAVCCICQCHLRFLHLYSKGILAADLSIDPAKGKEEEEPYDVAYSGKEDES >OGLUM08G02990.2 pep chromosome:ALNU02000000:8:2417741:2434014:-1 gene:OGLUM08G02990 transcript:OGLUM08G02990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWHHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHNANMWVGYALRRNVHELHICEHYSEYFDLDHSSFISSHLKILRLRNVTISDLFIEKLFSGCPALQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVTDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEIEGLAWEQWMSYPQEETPDMSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPCAAPPRGGRVPGWSSPERRRGRRKRPPERTPPDDAFQLMQNEVLKRDLWRCRTFNNLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICERLKKVKIICANDDKRVPPIVNAILVNANSLPEIAGDVRPTIVDVVGLLTDMRAPSSCGTHLSVAHARVPHHNSVTGATAASAWVPGHLDLAGVARRRAEVVGSGSGARSSGHLGAACGRAVVCRFGLLAGRRTPVRGAEEASPSDGCRTPVKNGGQREQGHSPPPRERDMSQSSRRMSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRTWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDISDDSLDYSDIHPWVRHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKNVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDTEGFQHLVINMPNLICLHVEEIANRNLRLLDISSVESASVYLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDVVQKVLLRDLPRCGIFSNLTSLALGEWFFSDGCYPLLYLLRRSPNIEKLSLHLVKHGAYAYDHHTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIICWMVDMMVDYVPRSCHSFIHIPNLIILGHIYVDY >OGLUM08G02990.3 pep chromosome:ALNU02000000:8:2425752:2434014:-1 gene:OGLUM08G02990 transcript:OGLUM08G02990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKRMRPTVLEASTYDFISSLPDESLQHILCFMTTREAIQTCVLSTRWHHIWKSVQCLEIKASEFTSKMGFVNFMDNLVLRRGCVPLDSLRMYTLYQHGSVSLNHHNANMWVGYALRRNVHELHICEHYSEYFDLDHSSFISSHLKILRLRNVTISDLFIEKLFSGCPALQDLVMIDCCVYATRFSSTSLKNLTFISHSPDNGDSVHDDYKDLVTDTPSLVSLHLECLPFLAPCLVNVSSVAKAYIRLDDMSFPCFDTKYNILSGLSNVTKLKLLNEEYDDEDEDEDDSFRSRQNEIEGLAWEQWMSYPQEETPDMSFSCERLKKVKIICVQDDKRVPAIVNAILANANSLPEIVIKPCAAPPRGGRVPGWSSPERRRGRRKRPPERTPPDDAFQLMQNEVLKRDLWRCRTFNNLKKLSVSDWCVDGELHTLIHLLRCSPILEKLTLHLGVIGGLAWEQWMSYPQSEMPDMSFICERLKKVKIICANDDKRVPPIVNAILVNANSLPEIVIKPYTHCGKI >OGLUM08G02990.4 pep chromosome:ALNU02000000:8:2414301:2424809:-1 gene:OGLUM08G02990 transcript:OGLUM08G02990.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLASPITTRSLVPRLLLLGFRAISISPESRAAVRRSSEAEAERAPPRERDMSQSSRRMSVCDRISALPDELLHHVMTFLTAKEAVQTCVLSRRTWASVGYLNVDSCNFITVKHFKKFVDNLLLQRSCALLDMFCIHTSYDISDDSLDYSDIHPWVRHALRCNVKTLGILNYCDGKLLSVDGYPVPFTSLHLKNVYLCKFSIDNRFVEKLFSGCPELLHLELRHCAIKATMFCSATLKILTITAADRTQDDTEGFQHLVINMPNLICLHVEEIANRNLRLLDISSVESASVYLNRFSFGHSDVDCTILSALSNAARLHLMSSSIYEDHGAYAYDHHTNSANATADLDPTCEGTGTAVNCEKLRKIKIICPQGDRRVHIIDWTVGMAVCCICQCHLRFLHLYSKGILAADLSIDPAKGKEEEEPYDVAYSGKEDES >OGLUM08G03000.1 pep chromosome:ALNU02000000:8:2440655:2444034:1 gene:OGLUM08G03000 transcript:OGLUM08G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGGGEAVEEGTTTRVGDLPEACLADVIALTSPRDACRLAAVSPSFRAAAESDAVWDRFLPPDYRAIAPLPPPPATAAASGGKRMKKGVYLGLCDKPVPVDDGSMMVWLEKESGAKCFALPARKLSLPWEDGEFSWRWTPHPLSRFEEVAQLVDCTCLDIYGRLPAAALTPATPYAAYLVFGTAAAAEGHRGLSFPDQETTVSAAGRVVARHAVCLRPDDAEARKFRGVGLAGAGVPVRRPARRGDGWSEMELGRVAADEVAGAGGEDVVASFEVLGWYPKRGLVVECMEFRPVV >OGLUM08G03010.1 pep chromosome:ALNU02000000:8:2446729:2451110:1 gene:OGLUM08G03010 transcript:OGLUM08G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSQPSGSSADASASSSSSSAVENLAAGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDIKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINKRANEYWPLVMDIARKNNIKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRRNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEAQVNLKIKQAFCPPNIVDGNPCLEYIKYIVFPWFETFEVVRKEENGGNKTFANMNELIADYESGALHPADVKPALAKAINQILQNYKVKTEDTSSSPQAS >OGLUM08G03020.1 pep chromosome:ALNU02000000:8:2457815:2463824:1 gene:OGLUM08G03020 transcript:OGLUM08G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAAMRLFGVTISPPPPPPEPEPEPDPSDPRDPSPRPAREDAMRKCKSMGNLAAAAAAASSAAAGGGGAGDAGGSGDGYLSDGGLLLSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCPVLPPSMGKLHDMVAMTKQLQNSSLEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFLRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVAPPSQQTRASISSQNAVGVIQVV >OGLUM08G03020.2 pep chromosome:ALNU02000000:8:2457815:2463841:1 gene:OGLUM08G03020 transcript:OGLUM08G03020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPQDSRPAAMRLFGVTISPPPPPPEPEPEPDPSDPRDPSPRPAREDAMRKCKSMGNLAAAAAAASSAAAGGGGAGDAGGSGDGYLSDGGLLLSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGISKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMATDMSPAPNCPVLPPSMGKLHDMVAMTKQLQNSSLEGVSSSSTVNLAPQVARDLPPPIPSFKATNVDSSLSKMNHMDGFLRAPMLFRPIPRIAEGASSSTPATASIADLEFQANLTACSNALFASPRRKPKKADPPAEKDLDLTVAPPSQQTRASISSQNAVGVIQVV >OGLUM08G03030.1 pep chromosome:ALNU02000000:8:2472172:2474347:-1 gene:OGLUM08G03030 transcript:OGLUM08G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 103 [Source:Projected from Arabidopsis thaliana (AT1G63910) TAIR;Acc:AT1G63910] MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTAEEEKLIISLHAIVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAAAAAAATMTSPNNPPPCSTATSDHHHLPPPAFGGADHHLQLDTIINQNLISSLPPKLAGGDDSPPAVPGLPHHCPLFMFDATTTGAGGAVSPPPPSSLIPTHLHHHHHPFIASFTAAMAADTPSYLPPLVDGMAAMGAAMDCSLEDGQTAAAMAATNGYYQHHQKHQQLEIELEEEEQRQLGHHHHQHHHEHEHEHENHQWDEEEAQHLLMWDQEVLTSSNLEAMQSGAHSLLFMGPNDHD >OGLUM08G03040.1 pep chromosome:ALNU02000000:8:2488339:2495131:1 gene:OGLUM08G03040 transcript:OGLUM08G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G01500) TAIR;Acc:AT1G01500] MDHHPPPPSPPRDSGGSLEVRLFYVRLSPRGPTPPPRLALALRSSRGGDEEEAPTPLSLPLRLDRRDPASGEATYVSTASARLPPPSAAFEVADHRGAALLRGSLRRCPDAKPDSSPAWAIDCIPAAGAEAETSAFEVYVAGCCAGEPAVLTCALRLATPEEQKAAGGLVRRRSPTSNAAGDEDVNGSIQHPEGWYSDDDDGQLTWFNAGVRVGVGIGLGVCVGVGIGVGLLMSSYQATARSLKRRFF >OGLUM08G03040.2 pep chromosome:ALNU02000000:8:2488339:2491195:1 gene:OGLUM08G03040 transcript:OGLUM08G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erythronate-4-phosphate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G01500) TAIR;Acc:AT1G01500] MASTKVQRIMTQPIKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKDTRKSLGRILLKGDNITLMMNTSIRDMALLPYCLKRKSHGDLVDVFCLYTVLLEIW >OGLUM08G03050.1 pep chromosome:ALNU02000000:8:2491537:2492226:-1 gene:OGLUM08G03050 transcript:OGLUM08G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGRKAAVTGGEEAEVEALLRAAQDAVMLKLQANSHLVSSSSSATAPNLPPSLDHPAAAAAAAAAADPLDADLARRFDALRSHRPPDPKPKQPDAPSAAAAGGMDELEARFAALKGAAGPEKETRVRLEDLGGESDEDEDDEVDKVMRWAMDAARLDVATAGAGKAKSTKKDDDEEEEEKDQTSSSVSSEDEEEEEEEKLEKERERKRKEMMSKNKSKTKWFSLF >OGLUM08G03060.1 pep chromosome:ALNU02000000:8:2495355:2499894:1 gene:OGLUM08G03060 transcript:OGLUM08G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVLDEQRDGIQKLNAEASAAAGPPNLGGSCVGFASRFGFLMVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLMIDGISKQATRMAPGTGPQQVHNFNSLSDDFQTPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >OGLUM08G03060.2 pep chromosome:ALNU02000000:8:2495355:2499894:1 gene:OGLUM08G03060 transcript:OGLUM08G03060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKLTCPICKQPCGAAHPPTRLFFQSTGACPTQTASSSPSRHEPTDGGADREELAAEVARLEQKAASLGRVAMWREKAAEAEVMKESVRREKECVQHLLNAKTEELSRKTSECGRLQEKGLSLAKELAALKLSTDMNLQEEEILKLASLGNHGNAANAVDVLTRSLALRNKSYKELMIQCNVLGRSESRSQQRFEKAKELIKKLKARVQDLEKEQEEKENGVIRDLRSAKKFKADQTNSGNTTVNNGFSGLAAGCGDYPMKLDEVMQDPCDKPGPSPEAKNDLNIKDKMDDKHADVIDLDADDSVFQHEHKKGLSAKPFGNDGNDLDFKSRSSLQERYRKESITCKTYVAEENSFLKPSMVTERSALQESFTTNKLQSFQETPVLRSMKATTSTWEKETLMIDGISKQATRMAPGTGPQQVHNFNSLSDDFQTPIRNLGGEGTGKSVGKWCKGVATPGSLNTNANKRNLIAVGPDGRGGKVKILRDLGKFQDSKTQALWPKAQKVGSRGGQSQIDHFFGKR >OGLUM08G03070.1 pep chromosome:ALNU02000000:8:2500503:2505889:1 gene:OGLUM08G03070 transcript:OGLUM08G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monodehydroascorbate reductase 6 [Source:Projected from Arabidopsis thaliana (AT1G63940) TAIR;Acc:AT1G63940] MQKIQRGEKKKTIQFSSQLDGHLLLSLSTPSPPLGLPQRPPRPSAAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSAIVPSLGEVAVALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPTKEVQGKFGGNFTLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >OGLUM08G03070.2 pep chromosome:ALNU02000000:8:2500503:2505889:1 gene:OGLUM08G03070 transcript:OGLUM08G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:monodehydroascorbate reductase 6 [Source:Projected from Arabidopsis thaliana (AT1G63940) TAIR;Acc:AT1G63940] MQKIQRGEKKKTIQFSSQLDGHLLLSLSTPSPPLGLPQRPPRPSAAAKSHPTPSPLNYSTYKAAPPPPTRETAMTSALRRKAAEMASTAAAASSQGCISWALRQRGLGGGGARAVPVLPRRRFCVSAAAGAGFDNENREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAYPPYERPALTKGYLFPPDKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTHTLKTSSGKILKYGSLIISTGCEASRLPAKIGGNLPGVHYIRDVADADSLVSSLGKAKKIVVIGGGYIGMEVAAAACGWNLDTTIIFPEDHIMPRLFTPSLAKKYEELYQQNGVKFIKGALIDKLEAGSDGRVSSAVLEDGSVVEADTVIVGIGARPVIGPFEAVGVNTKVGGIEMYDRMTRVEHVDHARKSAHHCVEALLTSHTKPTKEVQGKFGGNFTLAKQLKWEALNQRLLPSGLTLEFSLLPQLAKSQPVVDKAKLKSATSVEDALEIARSSLHSGSSV >OGLUM08G03080.1 pep chromosome:ALNU02000000:8:2506963:2521190:1 gene:OGLUM08G03080 transcript:OGLUM08G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMVMVSSSDENHNQVAIDLCSASPVDRSLSAAAGGSTTPRSPGFSMVVVPFIGTFILVFTVLSTVVMDARHGGAETLVGVAASAGLAVVAVVLSVVHISGSHLNPAVSLAMAALGHLPPAHLLPYAAVQTAASLAAAFLAKGVYRPARPAVMATVPAAGVGAGEAFVVESKELVAIAIAAAIMMNALVGGPSTGPSMNPARTIGAAVATGEYRQIMGMDAAAASVTVPPMQMQAGDQSNRIAIIISPRAGSSKILPFELVNGAANAGSQRHADPAESTSEAHHHLWNPGDLPKIKPPVPLVKKVGAEFFGTFTLIFTVLSTIIMDEQHKGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMAVFGHLPPAHLLPYIAAQILGSITASFAVKGMYHPVNPGIVTVPKVGTVEAFFLEFVTTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWKMEGHKSGMEAVAVTIPPLHTGESNHRIDSNVSSQCHADPAELSDETQQQSLWHQGLRKIIPSSVPLLKKVSAEFFGTFILIFTVLSTIIMDEQHKSIETLLGIATSAGLAVTVLVLSLIHISGCHLNPAISIAMAVFGHLPPAHLLPYISSQILGAIAASFAIKGLYHPVNPGIVTVPNVGTVEAFFVEFIITFVLLFIITALATDPNAVKELIAVAVGATVMMNILVAGPSTGASMNPARTIGAAIATGRYTQIWVYLVATPLGAIAGTGAYVAIKL >OGLUM08G03090.1 pep chromosome:ALNU02000000:8:2522198:2523641:-1 gene:OGLUM08G03090 transcript:OGLUM08G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWLFYSLTSLLCLACSLLLRARASAAAAPLPPGPSTVPVLGPLLFLARRDIDVEPTLRWIAAEHGPVFTFAPLGPTIFVAARGAAYRALVQRGPAFASRPRAVSPACISSGARRCVLDALVSHVRGEGGAPVTVMEPFRYAMLCLLVHMCFGDRPGDARVRAIEAMQRDLLANFPNFEVFAFLPPITKLVFRKRWNEMVSLRRRQEELFVPLMRARREAGAGGDCYVDSLVKLTIPEDGGRGLTDGEIVSLYSEFMSAGTDTTVTVLQWILANLVKNPAMQDRLREEIAAAVGGDGEVREEDLQAMPYLKAVVLEGLRRHSPGLYALPHAVEDETTLDGYRVPANTPVNFAVREIGLDSEVWASPEVFRQERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFDWREVAGDEVDLTEKMLEFTVAMKRPLKAIAVPLRSAATVTGSA >OGLUM08G03100.1 pep chromosome:ALNU02000000:8:2529555:2530550:1 gene:OGLUM08G03100 transcript:OGLUM08G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFQYAMFCLLVYMCFGDRPGDARVREIEALQRDLLGNFLSFQVFAFLPPITKLVFRERWNKLVSLRRRQEELFVPLIRARREAGAGGDCYVDSLVNLTIPEDGGRGLTDGEIVSLCSEFMSAGTDTTATALQWILANLVKNPAMQDRLREEIAAAVGGDGEVREEDLQAMPYLKAVVLEGLRRHPPGHYVLPHAVEDETTLDGYRVPANTPVNFAVGEIGLDGEVWASPEVFRPERFLAGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALLHLEYFVANLVREFDWREVAGDEVDLTEKLEFTVVMKRPLKAIAVPLRGDRSAAVE >OGLUM08G03110.1 pep chromosome:ALNU02000000:8:2531575:2534143:-1 gene:OGLUM08G03110 transcript:OGLUM08G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSSISSSAASPSPLSPADGFLCVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKSRVRLGSQGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGTTDGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSQLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >OGLUM08G03110.2 pep chromosome:ALNU02000000:8:2531575:2534143:-1 gene:OGLUM08G03110 transcript:OGLUM08G03110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNLSSISSSAASPSPLSPADGFLCVKDGVDEMIKYVANEPSVGLYFVQQHAQASMPLLLDVKGKVAEKIHEVTLHTEDIEDSICAVRSMAEFGLPIADDMIKDINKSLKIMSKTQPKRGLIQNPTWGFQSGKSSGTWEEDLGTTDGGSSRNYFSSMFNTAKQKASTLRWPQPDFGTKDDTTEESESSAAPESSQAGGHGASTPSDTEKDDLPVSSQLLDNNTATMKESSSTDISKSVENYNKFKEEQELKLQEWLRQSEEADDNKE >OGLUM08G03120.1 pep chromosome:ALNU02000000:8:2536079:2537924:-1 gene:OGLUM08G03120 transcript:OGLUM08G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MDCSNGEVQHQWMEDVKAGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIPGGEYLLKPLGFDWMKSPAKICEVLNNKTHRVRKAIDDEVSRGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLTDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSSTSQSTNGSLHKEDADENTKGSLHKEDVDDNTAGSLLKEDGYESATGSFDKEDTEEDSNGSLHNEDADENTKW >OGLUM08G03120.2 pep chromosome:ALNU02000000:8:2536079:2537747:-1 gene:OGLUM08G03120 transcript:OGLUM08G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT1G06050) TAIR;Acc:AT1G06050] MDCSNGEVQHQWMEDVKAGGAVPFLDPDNCPNGWATPPGDTFMVRGPDYLATKVKIPGGEYLLKPLGFDWMKSPAKICEVLNNKTHRVRKAIDDEVSRGNQPFVWAFNLQLPSKDNYSAIFYFVSLEPVPEGSLTDQFLKGDEAFRKSRLKLIANIVRGPWIVRTAVGEQAICILGRALTCKYTQGSNFIEIDVDVGSSIVANAIVHLAFGYVQTLTVDLAFLIEGQTESELPERLLGAVRFSELNPGSAGVYEVPSEEQQESAPFLPARLWQGFSNMLHNPGNSREPSSTSQSTNGSLHKEDADENTKGSLHKEDVDDNTAGSLLKEDGYESATGSFDKEDTEEDSNGSLHNEDADENTKW >OGLUM08G03130.1 pep chromosome:ALNU02000000:8:2538795:2543607:1 gene:OGLUM08G03130 transcript:OGLUM08G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSCSVVNKCLAYNPCLSRNYYQRSHTVKLHRSQVGQIILPHKLRKSMLWQTNFTQRQIATHCSSDLSTSCREELPSYLAVNVLKDQSCARQGIFRKVLVILNPNSGFRSSREVFYQKVQPTLELSGFMMQVVETAYAGHAHALASTVDLSTCPDGIICVGGDGIVNEVLNGLLGRDDLEEAIQLPIGIIPAGSENSLVWTVLGIKDPVSAATTLAKGGLTPIDVFSVKRIQAGITHFGLTASYYGFVADVLQLSEKFRLHFGPFRYVIAGLLKFLSLPQYRFEVNYLPLSPRRNHKLLPVTEKCNDHLAADSSAEDNWVTRKGEFLGIFVCNHFCKPAQGLLSPVIAPKAQHNDGSLDLILVHGSGRLRLFCFFIAYQFCWHLLLPYVEYVKVKHVKVRPIGKTHNGCGVDGELLLGEGQTEWQCSLLPAQGRLLGRHRSASE >OGLUM08G03140.1 pep chromosome:ALNU02000000:8:2544438:2548115:-1 gene:OGLUM08G03140 transcript:OGLUM08G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAAARVAEQARELQDAAAGLLSRSSAEEEALRRRAAALGAELARLRKAAAHADSDKVEEDLDRATCLISDGDIAALLPSKTHGTFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPMILLVLRSWLWNGCFPVLPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMSLISLTWEIKGQPDCSRKQRGVKLFLCWAIMQGFAMMLQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKRSRGKRDPDTCNSPTGLSPTNSTARA >OGLUM08G03140.2 pep chromosome:ALNU02000000:8:2544438:2548115:-1 gene:OGLUM08G03140 transcript:OGLUM08G03140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAAAAAARVAEQARELQDAAAGLLSRSSAEEEALRRRAAALGAELARLRKAAAHADSDKVEEDLDRATCLISDGDIAALLPSKTHGTFLKMFLGPVNLRAPRKEVQLKVKEEYNSYRDRTALLFLGFPMILLVLRSWLWNGCFPVLPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWMCHHYCAMLMSLISLTWEIKGQPDCSRKQNRYQRQRLYTRIALGKARRMDVVWGETAGVEGQLLLLCPVLFLLQGFEGYVGFLLLRTAHTGIVPEWQVVVCGVLLIAMAIGNFANTVDTLMAKSRFKAKKRSRGKRDPDTCNSPTGLSPTNSTARA >OGLUM08G03150.1 pep chromosome:ALNU02000000:8:2553299:2568004:-1 gene:OGLUM08G03150 transcript:OGLUM08G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLHFQKNHLLQMFLKFSLKMDVQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPRASSVYSILLLLLRDSNNYKIRMHAAVALAVPVSRLDYGSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAIINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLPRSLEL >OGLUM08G03150.2 pep chromosome:ALNU02000000:8:2553299:2568004:-1 gene:OGLUM08G03150 transcript:OGLUM08G03150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38120) TAIR;Acc:AT4G38120] MASTSAATSAAPSRGGAREWRTALLTLRDESVVSPSPPALLALLRRVLLLSAAAAAPPHSLAASAAAVGSDVAFLAETAAAVSPCDGADDVLRGVCHLIHDIMYKTNMEIDSSCLLAMLKFLDVLMQCSLEGSCGKGLSVRKTALDTVSECLQILRFLSKDFGGSTSLPENAHLLRVLISIVSCLQSELNLTDKPNGAGFSSHTFGPINNKNPNIWDMEISAFSMIEDALSKIASSLSEDLWQSIVEVLRKVMDFLTARNFIIESSTMSRFYTSFLRCLHSVLSDPKGPLSAHVPGFVANLQIFFMYGLRSSSPPVITPMEYKMDTKSNAGRYKPPHLRKRGGKGNDSFDGRNSDSESSRYDLSSSDSDMSDSDGYAKTGDRFRSSKARLAAILCIQDICRADPKLLTSLWPLLLPESDVLQQRKYQATLMTCLIFDPIIKVRIEAASTIASMLEGQALVLTQVAEYKESSRRGSFTTLSSSLGQILMQLHTGMLYLIQRETQTTLLSALFKVLILLISVTPYARMPKQLLPTVITDMRRRLLDRHSNKNEHYALLHFQKNHLLQMFLKFSLKMDVQEIHVSVRFGALQVLRSAVHNYPSCANIIWAKVQYIVLDLLQMQSLEDQRDANFGLPKEESSIKGRCLVAAIKVIDECLRVSSGFKGTDDLKEYRLQDIQQISDCTINKTIKSAPHFETDVPGPSQNFTLDITLGTNRWIEVIERLLPQGLSHGSATVRTASLTCFAGMTYDVFFSLPENKRDYVTSSSIHAALSDTAPAVRSAACRAIGIVACFPSILSSPSLPGKFIDAIEFNTRNSSTPVRITASWALANLCSSIRFRALDTNPSAGVLDKSAISLLVEIALRLAKDGEKVKSNAVRALGYLLRFIRFNNHSDTVDDPSSFPDVVRGIEHVLESLSSNSLSSPSNFKHRGNLEKQVTFTALHLFSFVSPKDDQSLRDFLIKKASFLEDWLKSLFSLFNNVEDQPLANEAIINDEDGFSPNVAQKAMLSSAVKSLLDIYTSENQHTVAQRFEQLPRSLEL >OGLUM08G03160.1 pep chromosome:ALNU02000000:8:2574217:2592522:1 gene:OGLUM08G03160 transcript:OGLUM08G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVHDEDHSISSSQFDEMDESNSTIPVPSESSTDEKPFPFLGLLCYADAVDWLLMALGTVGSIIHGMAFPVGYLLLGKALDAYGTNINDQEGMVHALYKVVPFVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTAKIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFASCWEVALLSFLVIPLILVIGATYTKQMNGISLSRNAIVSEATSIVEQTLSHIKTVFSFVGEKWAMRSFVRCMDNQYKLSKKEAVIKGIGLGLFQAVTFCSWALMVWIGAVAVTSRKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYEKHGSVLGKVHGEIKFRRVHFAYPSRQDKPILQGFSLSIPAGKVVALVGSSGCGKSTVISLLQRFYDPTSGSIFIDGHSIKKLDLESLRRNIASVSQEPSLFSGTIKDNLRIGKMDANDDEITKAARTANVHSFISKLPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALEKAMSGRTVILIAHRMSTIVNADTIVVVENGKVAQTGTHQELIEKSTFYSNVCSMQNIEKEAGKRVASSSDNVIEDEIDEVYDRRLSPKQGQQNKLEQLNSKQPKQEKDDIAKILLGSSSAAISGISKPLFGYFIMTIGVAYYDLDAKRKVSKYSLIFFTAGVITLATVLRNELGWFEKPKNGVGFLTSRIVSDTSTVKTIISDRMAVIVQCISSILIATVVSMYVNWRMGLVSWAVMPCHFIGGLIQAKAAKGFYGDSAIAHQELVSLASEAASNIRTVASFVYEDEIIKKAELSLQEPMRVTKIESMKYGVVQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAVLNPAFEMLDRDTQIVPDRPENPSDGWLMGRTEFQDVSFNYPSRPEVTILDGFSLVIEPGQRVALVGPSGAGKSSVLALLLRFYDPQRGRVLIDNKNIKDYNLRWLRKQIGLVQQEPILFNSSIRDNISYGSEETSETEIIQAAMEANIHEFISSLPKGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPAILLLDEATSALDGESERVVMSSLGAKDWKDRNEGSSKITSITVAHRLSTVINSDTIVVMERGKVVELGNHHTLITADDGVYSRLVDDEEITSPPVEEKAAAAADKKFPFFGLLRYADGLDWLLMVAGTMGSFLHGMGPSMSYYLVGKGIDVVGNNIGNREATVHELSKLIPYMWALAIITLPGGMIEITCWMYTSQRQMSRMRMAYLRSVLSQDIGAFDTDLTTANVMAGATNHMSAIQDAIGEKVGMLSMLVVPMLLMVGATYAKMMIDASMKRIALVSAATTVVEQTLSHIKTVFSFVGENSAIKSFTKCMDKQYKLSKIEAMTKGLVWVGAAAVVGRSAKGGETIAAVINILSAAIYISNAAPDLQSFSQAKAAGKEVFEVINRNPAISYESNGTVLEKVTGNIEIREVDFMYPSRVDKPILRSFSLSIPAGKVVALVGSSGCGKSTVISLVQRFYDPISGNILIDGQNIKELDLKSLRRSIGSVSQEPSLFSGTIMDNLRIGKMDGTDEEIIEIAKSANVHSFVSKLPNQYSTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQEALDGAMKGRTVILIAHRMSTIINSDKIVVVENGKVAQSGTHEELLEKSPFYSSVCSMQNLEKESGKSEERFTDQVREEQDNGSGTSNEPSSTAHEQEKSLELNPNQPKQDIRNRASAFYRMFLGTFMLEPGKILLGSTAAAISGVSKPIFAFYIMTVAIAYFDPDAKRIVANNIFQHYIYGLVGERAMNNLREALFSVILQNEIGWFEQPKNSVGFLTSRVVGDTSMIKTIISDRMSVIVQCISSILIATGLSIGVNWRMGLVAWALMPCQFIAGLVQVRSAKGFATDTSTSHRKLISLTSEAVSNIRTVASFGQEEEILKKADLSLQEPMQTSRIESIKYGVVQGVSLCLWHMTHAIALSYTIVLLDKSLATFENCVRAYQAIALTITSITELWSLIPMVISAIAILDPALDILDRETQIVPDEPKVHCEDRITGNIEFQDVSFSYPSRQDVIILDGFSLAIEPGQRVALVGPSGAGKSTIVSLLLRFYDPCRGQVLVDGKDIREYNLRFLRKQIGLVQQEPILFNLSIRENISYGNEGASETEIVEAAMEANIHEFISGLSNGYDTVVGDKGSQLSGGQKQRIAIARTILKRPVILLLDEATSALDGETEKVVMSSLAAKEWKSKEGELSNKITSITIAHRLSTVTSADVIVVMDKGEVVEMGSHETLVTTSNGVYSRLYCMQSKGMKD >OGLUM08G03170.1 pep chromosome:ALNU02000000:8:2579719:2586869:-1 gene:OGLUM08G03170 transcript:OGLUM08G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVLPAFIGSEVWPEEQQQQHWAISTTLPLSITTIVSEFMTVDNLCATVILVILLDPSFLSFQSLAPKELITTLSDSPSSALVASSRRRMAGRLRRVLAIAIRCFCPPESWLPFSPTTVSYPFGRLLMNS >OGLUM08G03170.2 pep chromosome:ALNU02000000:8:2579717:2593319:-1 gene:OGLUM08G03170 transcript:OGLUM08G03170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDNLCATVILVILLDPSFLSFQSLAPKELITTLSDSPSSALVASSRRRMAGRLRRVLAIAIRCFCPPESWLPFSPTTVSYPFGRLLMNS >OGLUM08G03170.3 pep chromosome:ALNU02000000:8:2579719:2588128:-1 gene:OGLUM08G03170 transcript:OGLUM08G03170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESIPTSQQQTKATMMETKNVEKFDKNSTTLPLSITTIVSEFMTVDNLCATVILVILLDPSFLSFQSLAPKELITTLSDSPSSALVASSRRRMAGRLRRVLAIAIRCFCPPESWLPFSPTTVSYPFGRLLMNS >OGLUM08G03170.4 pep chromosome:ALNU02000000:8:2588484:2593319:-1 gene:OGLUM08G03170 transcript:OGLUM08G03170.4 gene_biotype:protein_coding transcript_biotype:protein_coding LQNTAKALIGRLRRDAALVADLVWFSAAAAAAGDYSAAFARARCGHGLRSGGGGGRGRERLEARPKRGSTNYSGCSNPHC >OGLUM08G03180.1 pep chromosome:ALNU02000000:8:2594451:2595200:-1 gene:OGLUM08G03180 transcript:OGLUM08G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQMNSVVHVSTSPSPSPATSPPPEGKQEHGEVAAVHVVGVGDDEAVMVVKDEEAFGGGGVDYSGRAQWLRAAVLGANDGLVSVASLMIGVGAVSESGRAMLVSGVAGLVAGACSMAIGEFVSVYAQYDIEVAAARRRRRQRRRRGDGDGEEEGSGRLPSPFKAAAASALAFTVGALLPLLAGGFVRPWAPRVASVCAATSAALAGFGALGAALGGASPARSAARVLLGGWAAMAACYGVLRLFANLY >OGLUM08G03190.1 pep chromosome:ALNU02000000:8:2602514:2609116:-1 gene:OGLUM08G03190 transcript:OGLUM08G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16835) TAIR;Acc:AT4G16835] MILCRCPFLANARSRTCSLSTVAVAAAVRRGDLTGAEEAFASTPRKTTATYNCLLAGYARALGRLADARHLFDRIPTPDAVSYNTLLSCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMKELYGIEPRVDHYSCMVDLLCRAGKLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAGANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYVPDLDFVLHDVDETLKVQMLMRHSEKLAISFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDSTRFHHFRGGHCSCEHLFKMVMERNNSEIGEGQQSASSAKVIRQFRLKFG >OGLUM08G03190.2 pep chromosome:ALNU02000000:8:2604501:2609116:-1 gene:OGLUM08G03190 transcript:OGLUM08G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16835) TAIR;Acc:AT4G16835] MILCRCPFLANARSRTCSLSTVAVAAAVRRGDLTGAEEAFASTPRKTTATYNCLLAGYARALGRLADARHLFDRIPTPDAVSYNTLLSCHFASGDADGARRLFASMPVRDVASWNTMVSGLSKSGAVEEAKAVFLAMPVRNSVSWNAMVSGFACSGDMSAAEEWFRNAPEKGDAVLWTAMVSGYMDIGNVVKAIEYFEAMPVRNLVSWNAVVAGYVKNSHADDALRLFRTMVREANVQPNASTLSSVLLGCSNLSALGFGKQIHQWCMKLPLSRNLTVGTSLVSMYCKCGDLSSACKLFGEMHTRDVVAWNAMISGYAQHGDGKEAINLFERMKDEGVEPNWITFVAVLTACIHTGLCDFGIRCFEGMKELYGIEPRVDHYSCMVDLLCRAGKLERAVDLIRSMPFEPHPSAYGTLLAACRVYKNLEFAELAAGKLIEKDPQSAGAYVQLANIYAGANQWDDVSRVRRWMKDNAVVKTPGYSWIEIKGVMHEFRSNDRLHPQLYLIHEKLGQLAERMKAMGYVPDLDFVLHDVDETLKVQMLMRHSEKLAISFGLISTAPGMTLRIFKNLRVCGDCHNAAKVISKIEDREIILRDSTRFHHFRGGHCSCGDYWPAISIICKGHKTE >OGLUM08G03200.1 pep chromosome:ALNU02000000:8:2609220:2610802:-1 gene:OGLUM08G03200 transcript:OGLUM08G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHRLASRRW >OGLUM08G03210.1 pep chromosome:ALNU02000000:8:2613567:2614310:-1 gene:OGLUM08G03210 transcript:OGLUM08G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRRIWVRHVMEEPSGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFVSSGHAVVGGGVAGEAELSVKFFAILVCFLLAFLLNVQSIRYYSHTGLLVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFAACLAMVCALYFLDVYTEWDKADDEEDDLDDDDDGCVPAKC >OGLUM08G03220.1 pep chromosome:ALNU02000000:8:2618457:2619287:-1 gene:OGLUM08G03220 transcript:OGLUM08G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKTSKELDYVLVPMGMAVMVAYHAWLLLRIRRRPATTVIGINAINRCIWVRHVMEEASGKHAVLAVQTMRNSIMASTVLASVAITLSSLVAALMASGVAHGIFSPGAGDGQGEIVVGAGGETALSIKFFAILVCFLVAFLLNVQSIRYYSHTGILVNVPLHAHRHRRRRPGLAVDYVTATLNRGSYFWSLGVRAFYFSCPVFLWLFGPIPMFASCLAMVCALYFLDVYTEWDEKPEEEEELNGNGDGDDGGAAACHEQPKMAAGNRVTPQQDVV >OGLUM08G03230.1 pep chromosome:ALNU02000000:8:2626206:2629880:1 gene:OGLUM08G03230 transcript:OGLUM08G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLVDAKLIRVSTTMRRFASASSLPPPAPATAAQAAALRFGSAATTRVPRALALTASTCPWHRRHLCSSSSSSSSAAAAAATAAAVEEARQGRKQLGATTQLYEYLLANVREHPVLKELREETAAMRGSQMQVSPAQAQLLAMLAQILGAQRCIEVGVYTGYSSLAVALALPESGRLVACERDERCLEVAKKYYQRAGVAHKVDVKHALAADSLKLLIDGDKRMYEEYYELLLKLVRVGGLIVIDNVLWYGRVADPLVNDRKTISIRNFNKKLLEDNRVSISMVPIGDGMTICRKLVDT >OGLUM08G03240.1 pep chromosome:ALNU02000000:8:2633314:2636097:1 gene:OGLUM08G03240 transcript:OGLUM08G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVFAALCKIGSVLASSASITLGGRLDANLTIINEIESRIKQIEVELKLMQAFLRQAQKQEGYSEPTEVYLQEVRKTAFEIEDIIDEFLYLSVKHKNRFFNGEFMSYFRKLGKTSWHKIARELKDLQCHLQNLRNLRVQYEIQLPNGNRVSTDVEDHRLPHHLSYPADEMVGVEQERTMMMNWLKTCSTSVITVWGMGGSGKTTLVNSIYEDERIKNQFDCHIWITVSQKFSASDIMRKMVRHMLQRCSPNIDSIDGRDLVEILKRTLEHRKILLVLDDVWSTDVWMDLASTVERSNNNGNKVVITTRIKDVASLASEDQVLQLQKLNDADSWCLFCRWAFKNRIDRSCPQELESLGREIMAKCDGLPLAIVVVGNMLSFKKQDMEEWSKCNDQLTWELRDRLRDQELSSVMKILKLSYKNLPSHLKNAFVFCSIFPEDYMITKKRLVRLWVAEGLIKPEKRRTVEEVAEEYLNELIDRCLLQVVERKHFRKVKEFQMHDIVRELAISISEDETFCMIHSKSHPGEPEYRCRRLSIHEHNDRIKSVSDPSRLRSLYHFDVTCSSFPSVGTPRSARYLNVLELQDVPITVLPEELSGLFNLRYLGLRRTKIKQLPQSVDKMFNLQTLDVYLTNVDKLPTGIAKLKRLRHLLAGKLSAPLYCGIVEKSRGVQAPKVVWESMELQTLKGVLANLNLVENLGHMTQLRTLAIEDVGEEHYPKLFASISKMRSLRTLKVLSAEGNQGLNFEALSLPPQNLRKLHLTGRFHHTVMESNFFQTVGAKLEKLYLTGSKTNIDPLISISCLSNLKVLQIGDAYDGASFVFQSGWFPKLHTLIMCNLLHINSMIMEQQTLQNLQWLALVNFPELKEVPHGIELLLSLQNLMLVNMHDEFMERIQGEDKERVQHISTVRFLDRSRGMEKRLFQES >OGLUM08G03250.1 pep chromosome:ALNU02000000:8:2638373:2641694:-1 gene:OGLUM08G03250 transcript:OGLUM08G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVVDEEESERRRQKMIEEEKKRLDEEMELRRRRVKEWQEQKRLEEEEAKRREQEAAAGAGTPAAAAGADGDSNAGKKWTLDGEESDEEGYKEDNQNAEDDGGITADLPNEVNDANVAAPREEDEIDPLDAFMSSMVLPEVAKLEKAVASMESMPASNMGDKNGKSAKDAVSNGDKKGQKKAMGRIMQGDDSDSDYDDDDDDEGGSKDEDDEEFMKRVKKTKVEKLAIVDHSKIEYQPFRKNFYIEVKDITMMTGEEVATYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMVPTRELVVQIHSDIKKFAKPLGINCVAIYGGSGVAQQISELKRGAEIVVCTLGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSAIFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDRGKILVFVHSQDKCDSLLKDLFQRGYPCLSLHGGEDQTDRESTLADFKSNVCNLLIAGCELDVKELELVVNYDVPNHYEDYVHRVGRTGHAGRKGFAVTFISDEEERYAPDLAKALELSEQVVPQDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARRSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAATLAAAKAASNANQQVQSTNAGSLLSIPVVANAPNNEATARALQAALNIQQNLARIQAHVVPEHYEVELDINDFPQNARWKITHKETLGPIQDWTEAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKSELKRVLEDCANHALNLPGSAQTGKTANSEMQGFLVKVFLGRWTAILVFLDDGVICNIRAEKLDKWQVRVFYVKVADPLGYSITE >OGLUM08G03250.2 pep chromosome:ALNU02000000:8:2638371:2641694:-1 gene:OGLUM08G03250 transcript:OGLUM08G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEVVVVDEEESERRRQKMIEEEKKRLDEEMELRRRRVKEWQEQKRLEEEEAKRREQEAAAGAGTPAAAAGADGDSNAGKKWTLDGEESDEEGYKEDNQNAEDDGGITADLPNEVNDANVAAPREEDEIDPLDAFMSSMVLPEVAKLEKAVASMESMPASNMGDKNGKSAKDAVSNGDKKGQKKAMGRIMQGDDSDSDYDDDDDDEGGSKDEDDEEFMKRVKKTKVEKLAIVDHSKIEYQPFRKNFYIEVKDITMMTGEEVATYRKNLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMVPTRELVVQIHSDIKKFAKPLGINCVAIYGGSGVAQQISELKRGAEIVVCTLGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSAIFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFLRLLELLGEWFDRGKILVFVHSQDKCDSLLKDLFQRGYPCLSLHGGEDQTDRESTLADFKSNVCNLLIAGCELDVKELELVVNYDVPNHYEDYVHRVGRTGHAGRKGFAVTFISDEEERYAPDLAKALELSEQVVPQDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARRSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAATLAAAKAASNANQQVQSTNAGSLLSIPVVANAPNNEATARALQAALNIQQNLARIQAHVVPEHYEVELDINDFPQNARWKITHKETLGPIQDWTEAAITTRGTFIPQGKIVGANERKLYLFIEGPTELSVKKAKSELKRVLEDCANHALNLPGSAQTGKTANSEMQGFLVKVFLGRWTAILVFLDDGVICNIRAEKLDKWQVRVFYVKVADPLGYSITE >OGLUM08G03260.1 pep chromosome:ALNU02000000:8:2651029:2655984:-1 gene:OGLUM08G03260 transcript:OGLUM08G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVVVAAVVAAAASAAAPASAGEPTRDVRWEVGYMTVAPLGVSQKVIAINNQFPGPLLNVTTNWNVRVNVQNNLDEPLLLTWDGIQMRMNSWQDGVAGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGFGPVTVNNRAVVPVPFAQPDGDITLFIGDWYTKSHVELRKMLDDGKDLGIPDGILINGKGPYSYDNTLIPEGLQHETVGVEPGKTYRFRVHNVGTSTSLNFRIQNHNMRLVEAEGTYTYQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFVTNESRWHDVNGVAVLQYSNSKGRASGPLPDGPNDFYYKSYSMDQARSIKMNTTAGAARPNPQGSFRYDSINITQTFVLKNELPLRINGKRRRTINGVSYSPPETPLRLADLHNLTGVYKTDFPTMPGNAPPKMASSTLNASYKGFLEIVFQNNDTGVQTYHLDGYSFFVVGMDNGDWTPDCRSRYNKWDAISRSTTQVFPGGWTAVLVSLDNVGIWNLRSEKLDNWYNGQEVYVKVADPLGYNITEMIMPDNALYCGLLKDLQKPQIHQVNSKSSAQAADRWGARVLAMVLLIIAAVVSI >OGLUM08G03270.1 pep chromosome:ALNU02000000:8:2658500:2662696:-1 gene:OGLUM08G03270 transcript:OGLUM08G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNADIGNVSVVRARSVGQAGGSRPSSDDEVII >OGLUM08G03270.2 pep chromosome:ALNU02000000:8:2659244:2662696:-1 gene:OGLUM08G03270 transcript:OGLUM08G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12230) TAIR;Acc:AT1G12230] MALSISTPPTSSSLLPASLQVGRWSSSAAMSARPVAFSLRRPVLAARVAAGGNAPSSSVDEVVTELDAVASFSEIVPDTVVFDDFEKFAPTAATVSSSLLLGIAGLPDTKFKSAIDTALADGECNTMEKPEDRMSCFLTKALANVGAEMAHLVPGRVSTEIDARLAYDTQGIIQRVHELLKLYSDHDVLSERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRVRDWARTHSGDPEIDEALKKGEDAGLALAKKVYAYIHKNGYKTKLMAAAIRNKQDVFSLLGIDYIIAPLKILQSLEESVTDTDVKYGYVPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >OGLUM08G03280.1 pep chromosome:ALNU02000000:8:2667001:2673676:1 gene:OGLUM08G03280 transcript:OGLUM08G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDPVMFDNDDEEGPISFKRSSTSVKNRPTPTKQEGSSGNAGPIRSPKAVASNQQKNGLTGVSRPLQQRPQSSSPNPRPLGSGQPNSSKEHSSHNSNSVDKSKLKRPFVEDRSDDSEDDHKPIGLRRKVEDRNLKKPAVGSGTANDSDDDKPLSLKINSTKTPSSSANKAIVLKTTPKIEQPGDDSEDDKPLANRLPTNAALKRGGNVSDDSEDEKPLAARFSKVTGNASASISSSKDKVLSASIKASSSSKMSNNDASTSRNSIKRPIDNNNQTSSALKKARPSDVSASASVKREPKADDNDNVPLSQRLKMGESSKSKPPAKNIVKKSPSSLKKDNKKMKMKMKTKKTMKNSQFSKTMKVPPGSGGGQKWTTLVHSGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYASKQTFIDNFFTDWRKILGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGKGAPIPECPIPGESWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSALKGQSDKEKYEKSRKLKHHVHKIRDTYTKDFRSKDKTKKQIAVATYLIDKLALRAGNEKDEDEAETVGCCTLKVDNVTCVPPNKLQFDFLGKDSIRYFNTVEVELLVYKAIEEFRAGKKPGQDLFDKLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDDILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHDSQMTRLNEKIDELKAQRDELKADLSKVRKGKNLGNDKDGKPKRNLAPEAFEKKISQIETKIEKMEMDKKIKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLIAKFSWAMDVDPDFRF >OGLUM08G03290.1 pep chromosome:ALNU02000000:8:2676455:2680501:1 gene:OGLUM08G03290 transcript:OGLUM08G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFCLLPIRLPRFHLRRSGLSSPLLAPAPISISLPGEGERRSPPSSCCFLLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVLLPQDGVDIDILHDATRREARGG >OGLUM08G03300.1 pep chromosome:ALNU02000000:8:2681699:2682076:-1 gene:OGLUM08G03300 transcript:OGLUM08G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADEEEGCKLNGGGDGLDTSETIDRRWPPQTLIPFAVCCHRLVDLALVVEWDVSMELAGPPPATSRPPRSALKKHVGSMGKAAGSKKLADSSYRP >OGLUM08G03310.1 pep chromosome:ALNU02000000:8:2681954:2682343:1 gene:OGLUM08G03310 transcript:OGLUM08G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYCKWDEGLRRPPSVYCFGRIEAIATPIELAPLLLVCGHAIAVTGSAQVLHEDSASSPSSPWHGGQGWRRGTYTSSSFSSGVGHNYGPDLQILTKPCGSTWLRVLHRTTREPDVASNPRSGSLGPRY >OGLUM08G03320.1 pep chromosome:ALNU02000000:8:2688963:2695853:1 gene:OGLUM08G03320 transcript:OGLUM08G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSGGGGVHHHLNHHGLCCLSAAALPAPDAPPTPEPEAGGAAVAVAGVLHKWTNYGRGWRERWFSLHDGVLSYSKIRRDASAAADEDGGGEVRLIGGASARIGGARRPDKPVGVVCLKLSAFRESKSDDRRFYIFSPTKTLHLKTDSKEDRVAWIEALILARSFYSLRSLSGRITFVQSDVSVSTARLRNRMQQEGLNESLIQECEQIVLTEFSSFRKQLKLCYEDQLSLFGSCRHHFEEGKDASIIQGALTRNDFSSSRHGNFSEYSTTESDEFEKQDGGDLTCEDESTFFDAADYFIEPNDRSSAMLSSTSCGEGLLDIQMEDSSHLLPQIKRRSKLPEPSEKERGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKGFEDLEYSHLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADFPERGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVDPIGVLTVEFDDGEIFQWSKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDDDGNKPSFLIGKWDENMYYSNLDTSKVKSVDQLKGAVLLWEKNKPSPNPTRYNLSSFAITLNELSPDLQDKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSSKLQDNGWKPRWFQKDGEDGTYRFKGGYWEAREHGQWDDCLDIFGEFSET >OGLUM08G03330.1 pep chromosome:ALNU02000000:8:2701010:2704380:-1 gene:OGLUM08G03330 transcript:OGLUM08G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA processing Brix domain protein [Source:Projected from Arabidopsis thaliana (AT1G63780) TAIR;Acc:AT1G63780] MLRRNTRLRREYLYRKSLEGKERQHYEKKRRLREALDEGKPIPTELRNEELALRREIDLEDKDSAVPRSLIDDEYAGAALREPKILLTTSRNPSAPLTQFVKELKVVFPNSQRMNRGGQVISEIVESCRSHDITDLVLVHEHRGQPDGLIVCHLPFGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLILDNFTTKTGERTANIVKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKHGGPKSLDLKEVGPRFELRLYQIKRGTVDQAEAQNEFVLRPYMNTAKKQKSLGA >OGLUM08G03340.1 pep chromosome:ALNU02000000:8:2705384:2709345:1 gene:OGLUM08G03340 transcript:OGLUM08G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASFLEIQPSELSFPFELLKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVLPGSTCDVTVTMQAQREAPPDMQCKDKFLVQSVAAENGATTQDISAEMFNKVAGKVVEEFKLRVVYVPTTTSSAMPEDSEQGSSARPFAQENGIHNSTMPQPVFRSSAEPTKERPTEPSSMISKLNEENRVAIQQNQKLRHELELLRKESSKSSGGFSLTFLAIVGLLGIIVGYILKKT >OGLUM08G03350.1 pep chromosome:ALNU02000000:8:2709535:2709885:-1 gene:OGLUM08G03350 transcript:OGLUM08G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNGDHVTAGGGNASAAAEEEEEKMTGVGSGGKESGSSPPSPSSTSTSTVTATASEGERPAAAAAATTSPAVWHWHVLSLLLGFVVGVAVAAAAMLFLKRGGGGGSPELCFVPT >OGLUM08G03360.1 pep chromosome:ALNU02000000:8:2713716:2721406:-1 gene:OGLUM08G03360 transcript:OGLUM08G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPPTSPPPPLASDELLLPLTLTCVCRRRRGRREGEKMVGMLPETNAQAAAEEVLGDAWDYRGRPAARSRTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHAGNAEAANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPAACAAGSAACERATGAQMGVLYLALYLTALGTGGLKSSVSGFGSDQFDESDSGEKSQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAAAIAAGLVVFLAGTRRYRFKKLVGSPLTQIAAVVVAAWRKRRLELPSDPAMLYDIDIGKLAAAEVELAASSKKSKLKQRLPHTKQFRFLDHAAINDAPDGEQSKWTLATLTDVEEVKTVARMLPIWATTIMFWTVYAQMTTFSVSQATTMDRHIGASFQIPAGSLTVFFVGSILLTVPIYDRLVVPVARRATGNPHGLTPLQRIGVGLVLSIVAMVCAALTEVRRLRVARDARVGGGEAVPMTVFWLIPQFLFVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVAAVHKLTGDRHPWLADDLNKGQLHKFYWLLAGVCLANLLVYLVAARWYKYKAGRAAAAGDGGVEMADAEPCLH >OGLUM08G03370.1 pep chromosome:ALNU02000000:8:2750459:2758845:-1 gene:OGLUM08G03370 transcript:OGLUM08G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0AQZ6] MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVVQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKNDDQEQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWEDFDGKVPAPAILKPRPIWTGKQVFNLIIPKPINLIRFSAWHSEAETGFITPGDTMVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNGFSIGIGDTIADAATMVKINETISKAKDDVKELIKQAHDKQLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGSEIATTGDNTWPMPVNLKRLIWNAQKTFKIDLRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINHEFDDDLSCIFNDDNADKLILRVRITNDEAPKGEIQDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKYGKVNKFEDNVGFKADNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDYLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPITDAQFSPYVGGMAFSPVPSPGYTPSSGGGYSPSSPVYSPGPGYSPTSPSYSPASPSYSPTSPSYTPGSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPNYSPTSPSYNPSSAKYSPSHAYSPSSPRLSPYSQTSPNYSPTLPTYSPTSPSYSQPSPSYSPTRFSAWRSTY >OGLUM08G03380.1 pep chromosome:ALNU02000000:8:2763695:2764259:-1 gene:OGLUM08G03380 transcript:OGLUM08G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSCMVCLLLFFLLHLSVCHATSRNHRRLFAATPAASPSTAAQYGDDDITAAMHVWRSPRRYMRAAAEEQRGSPAPAPLPDGALGLQPGGVEGAAAAARTTEEEAAGAGAAMAPFPAAADVGGKDDGDSGDGGGSDGAADDAGVDYAPPKTHPPSHN >OGLUM08G03390.1 pep chromosome:ALNU02000000:8:2775347:2775655:1 gene:OGLUM08G03390 transcript:OGLUM08G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYKYGFAFLAGTGFGAALTSLRRDGDSCCPMRRRRRRCRRHHDDDDQLVDGDGEAAGEERDMKESKRATTTTNPKAKKGSNKEKKEAASVAREEEDDDDE >OGLUM08G03400.1 pep chromosome:ALNU02000000:8:2777597:2777827:1 gene:OGLUM08G03400 transcript:OGLUM08G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLLRRLFPFLVGTAVGVYAAQNYKVPNLRGLADRGVDAAKHYEEAYRKKPSAGGGGGGSRKKMNKTVEIDDDEE >OGLUM08G03410.1 pep chromosome:ALNU02000000:8:2785074:2786639:-1 gene:OGLUM08G03410 transcript:OGLUM08G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPSVCYCVILPQPLVLVLQLLDLLRHAFLLCLSALGLAAPPPPDEHPAYAPPPPPPASMAALPADLSSPIPTKLTKQVAMKIEDKFDMLLRMLEEFERKREEVDQRRRVDFQSLKATVESWLPEVQKNAEELQISVGDEQCKVTPTMCSTKCCSSDIAPDLIVDMVVTCATAATASVEMVPAEDATSDAYIDNLEHPKKTLTNCLTNCSSFNGMTDLIVVVVERCATTVIAFVELIGIEDNGHTTCIGTSNPSKVMPTRCSTVVLNTNDDTVQALDVPPFIIGAWEVITVLAEPSQVMVLRPSITLSLEGKMHTRHSLKCPGLVGHANKEPNSRGWQLRTAVGPVFSCCWPRVCCLPPWPPPIEGMAGKKRSFSTAF >OGLUM08G03420.1 pep chromosome:ALNU02000000:8:2820004:2823634:1 gene:OGLUM08G03420 transcript:OGLUM08G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSHEMTSRKPPGIRLFGGITALRTYQTLVLVLTFVAYTCFHMTRKIPSIVKSVLDPQTKLGSSPWGRLHTKNTLNIGWLPFNTIDGSALLGEIDVAFLAVYSVGMFFAGHLGDRMDLRIFLTIGMFGTAVFTALFGAGYWLNIHNFYYFLVIQMIAGLFQAIGWPSVVAIVGNWFGKSKRGLIMGIWNAHTSVGNISGSLLAAFLLKFGWGWSFAIPSLIMVAVGLLVFVFLPVSPEVMEIDIDDGEISSVKDTTKEPLLEPGQEVKHNAVGFLEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTPIGGEYLSDALAGSLSTIFDVGGVLGGVLAGHISDRLNARAVTAASFMYCAIPALFLYRTYGSMSIMWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSSSWSAVFTMLMAAALLAGLLLTQLVCSELKGKATSNASKDVADAQGTYSDEV >OGLUM08G03430.1 pep chromosome:ALNU02000000:8:2824387:2828756:-1 gene:OGLUM08G03430 transcript:OGLUM08G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGPATAGELLRIDPVELRFPFELKKQISCSMQLSNLSDDYIAFKVKTTSPKKYSVRPNTGVVLPRSTCDVVVTMQAQREAPPDMQCKDKFLVQSVIAPSGVTVKDITGEMFTKESGNKVEEVKLRVTYIAPPQPPSPVPEESEEGSPSRVSESENGDSLGGGFTRALRERIEPQENSLEAGALINKLNEEKNSAIQQNHKIRQELDMMRREISKKRGGFSFIIVIIVALIGIFLGYMMKS >OGLUM08G03440.1 pep chromosome:ALNU02000000:8:2831978:2835120:1 gene:OGLUM08G03440 transcript:OGLUM08G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSAK >OGLUM08G03450.1 pep chromosome:ALNU02000000:8:2840548:2843258:-1 gene:OGLUM08G03450 transcript:OGLUM08G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sporulation 11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) TAIR;Acc:AT1G63990] MAEAGVAAASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALTDPAAPAISALPLISRGAANRGLRRALLRDDVSSVMEMCYKILGEGKLVTLRELFYTLLSELPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLALQGPEEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYIIVVEKDAIFQRLAEDRIYSHLPCILITAKGYPDLATRFILHRLSQTYPNMPIFAVVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYI >OGLUM08G03450.2 pep chromosome:ALNU02000000:8:2840546:2843258:-1 gene:OGLUM08G03450 transcript:OGLUM08G03450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sporulation 11-2 [Source:Projected from Arabidopsis thaliana (AT1G63990) TAIR;Acc:AT1G63990] MAEAGVAAASLFGADRRLCSADILPPAEVRARIEVAVLNFLAALTDPAAPAISALPLISRGAANRGLRRALLRDDVSSVMEMCYKILGEGKLVTLRELFYTLLSELPTYFTCQRHVNQTVQDVVSLLRCTRQSLGIMASSRGALIGRLALQGPEEEHVDCSILGPSGHAITGDLNVLSKLIFSSDARYIIVVEKDAIFQRLAEDRIYSHLPCILITAKGYPDLATRFILHRLSQTYPNMPIFAVVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPQSAYQELKPRDLQIAKSLLSSKFLQDKHRAELTLMLETGKRAEIEALYSHGFDFLGKYVARKIVQGDYI >OGLUM08G03460.1 pep chromosome:ALNU02000000:8:2844559:2848057:1 gene:OGLUM08G03460 transcript:OGLUM08G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEGGGGGGHGHHGGGGGGGGHGHHGGIGGGEAQIKGTLTHGGRYVQYNVYGNLFEVSSKYVPPIRPVGRGACGIICAAVNAQTRQEVAIKKIGNAFDNQIDAKRTLREIKLLRHMDHDNALVVISIKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFLYQVLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTNETDFMMEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRSLPHYPKQQFRARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEIYDEPVCPAPFSFDFEQPSLTEEDIKEIIWREALKFNPEPIH >OGLUM08G03470.1 pep chromosome:ALNU02000000:8:2847299:2850325:-1 gene:OGLUM08G03470 transcript:OGLUM08G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYDRNSRRVMFRRINIQTVHPMDFRMMQMAFGNHKDDESNEASLQLGVADKPQDEMLRGVELKCLPPYDLLDIFFGERRLLEIEAERRWADWFIIYLMKGCQVWMAQSFINCNVTEVKVSISCYCQDLRLISVYMNVI >OGLUM08G03480.1 pep chromosome:ALNU02000000:8:2850945:2855941:-1 gene:OGLUM08G03480 transcript:OGLUM08G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MASYRPYAPHPPPPQGGFPPQPPPMNPYGPPPPQQPGYGHMPPPQGAPPPFLAPPPPPPPGPPPPHQPQFNFGPGPPQQQQPPPPPQMYYQPPPPPPPYGVNSSQPPPPPPPPPSPPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTVTRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSPPMPPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSIHENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGDEYSD >OGLUM08G03480.2 pep chromosome:ALNU02000000:8:2850945:2855941:-1 gene:OGLUM08G03480 transcript:OGLUM08G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT1G79730) TAIR;Acc:AT1G79730] MASYRPYAPHPPPPQGGFPPQPPPMNPYGPPPPQQPGYGHMPPPQGAPPPFLAPPPPPPPGPPPPHQPQFNFGPGPPQQQQPPPPPQMYYQPPPPPPPYGVNSSQPPPPPPPPPSPPPSAPPPPPPPPTQPPPREAQLAPPPPREQQSKSALPRAETEEERRARKKREYEKQRAEDRKNQQMMRQSQATVLQKTQQVRAAQQPQSRHHQQPSGGSRPAVTVTRPAAAPNAERFENRLKKPTTFLCKHKFRNELPDPSSQLKWLPLNKDKDRYTKYRITSLEKNYIPKMIVPEDLGIPLDLLDMSVYKYELSQIYVFLAAILIRRFFLAYLTYAQTIFSTPPVQPPMPPEDEELLRDDEVLTPVKKDGIRKKERPTDKGMSWLVKTQYISPLSTDAAKMSITEKQAKERRESREGRNTFLENINDREKQIKAIEDSFRAAKSRPVHQTKRGMEAEWVLPLLPDFDRYDDQFVMVNFDGDPTADSEQYNKLERSERDECESRAVMKSFLVNGSDPAKQEKFLAYMVPSPHELSKDLDDETEDIQYSWLREYHWEVRGDDKDDPTTYLVTFDDDGAKYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGMMEHGESSSIHENLKRQRSSVDDDLYDHPKHSRVEDMDQYSGDEYSD >OGLUM08G03490.1 pep chromosome:ALNU02000000:8:2873094:2876022:-1 gene:OGLUM08G03490 transcript:OGLUM08G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAADMAAAADEEACMYALQLASSSILPMTLKNAIELGLLETLQSAAVAAGGGGGGKAALLTPAEVAEKLPSKANPAAADMVDRMLRLLASYNVVRCEMEEGADGRLSRRYAAAPVCKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLDGGIPFNKAYGMTAFEYHGTDARFNRVFNEGMKNHSVIITKKLLDLYPGFDAASTVVDVGGGVGATVAAVVSRHPHIRGINYDLPHVISEAPPFPGVEHVGGDMFASVPRGGDAILMKWILHDWSDEHCARLLKNCYDALPEHGKVVVVECVLPESSDATAREQGVFHVDMIMLAHNPGGKERYEREFRELARAAGFTGFKATYIYANAWAIEFTK >OGLUM08G03500.1 pep chromosome:ALNU02000000:8:2876482:2896439:-1 gene:OGLUM08G03500 transcript:OGLUM08G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQRRAEETGTTLPQATATAGVTGLAAAGSAAASITTTTTTTSPSSFSLLRRRHNSSSSSSRSYNNSKGISLPLTLQTKPNQARWMDVGGSVRCSLAAAATCMLPLLRGREGEEERGERGGGRSLAASAGEKSQPLSFLRRGGWGLPAWGEEEEEEEEEEGAHVARKRGAVVTWWEMGPTKEGGFRRIKDALKARSKVGDQHPRKTGKVALNPTSQDEESSKMPKDNNFSECQPQALKSKTRLHGMRHPNTLGNPLPPPPIPSPSSPLPLPPPPLSSPSSPPIRRSATAADGAAGGCRGEWRQAAAN >OGLUM08G03510.1 pep chromosome:ALNU02000000:8:2896337:2909243:1 gene:OGLUM08G03510 transcript:OGLUM08G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >OGLUM08G03510.2 pep chromosome:ALNU02000000:8:2896337:2909243:1 gene:OGLUM08G03510 transcript:OGLUM08G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKLEKEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >OGLUM08G03510.3 pep chromosome:ALNU02000000:8:2896337:2909243:1 gene:OGLUM08G03510 transcript:OGLUM08G03510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLSRRRRGRRSEAASLVGIALLALEGDGAVGHSFGGGGARIKEVFGLRRLTAIGSIQINSSGEEAVVKVRKPYTITKQRERWTEAEHNRFLEALKLYGRAWQRIEAINNGTSPGQAHDIDIPPPRPKRKPNSPYPRKSCLSSETSTREVQNDKATISNMTNNSTAQMAGDAALEKLQRKEISEKGSCSEVLNLFREVPSASFSSVNKSSSNHGASRGLEPTKTEVKDVVILERDSISNGAGKDAKDINDQEMERLNGIHISSKPDHSHENCLDTSSQQFKPKSNSVETTYVDWSAAKASHYQMDRNGVTGFQATGTEGSHPDQTSDQMGGASGTMNQCIHPTLPVDPKFDGNAAAQPFPHNYAAFAPMMQCHCNQDAYRSFANMSSTFSSMLVSTLLSNPAIHAAARLAASYWPTVDGNTPDPNQENLSESAQGSHAGSPPNMASIVAATVAAASAWWATQGLLPLFPPPIAFPFVPAPSAPFSTADVQRAQEKDIDCPMDNAQKELQETRKQDNSEAMKVIVSSETDESGKGEVSLHTELKISPADKADTKPAAGAETSDVFGNKKKQDRSSCGSNTPSSSDIEADNAPENQEKANDKAKQASCSNSSAGDNNHRRFRSSASTSDSWKEVSEEVVVYQHSAYSHLSYSLNIHHCFSNSHFCCQGRLAFDALFSRERLPQSFSPPQVEGSKEISKEEEDEVTTVTVDLNKNAAIIDQELDTADEPRASFPNELSNLKLKSRRTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEAST >OGLUM08G03520.1 pep chromosome:ALNU02000000:8:2912655:2913527:1 gene:OGLUM08G03520 transcript:OGLUM08G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMDLTLGGALLQVEEATEEEEEEEEEEQALGQEPAPAAAAAALVLGRRHGVVVGGGGGGVVVAAEREHMFDKVVTPSDVGKLNRLVVPKQHAERFFPAAAAGTQLCFEDRAGTPWRFRYSYWGSSQSYVMTKGWSRFVRAARLSAGDTVSFSRAADGRYFIDYRHCHRHGGRDISFASAATAMPAAAAAAWPLFGRVQTAAPVSYGGGHGSAAAATMFLDTVAPVAAAGGHRGEVGPSGQRSFRLFGVNVECGGDVDAAAEEEDADDDVDDGDHRRGEEMELVMWTNHR >OGLUM08G03530.1 pep chromosome:ALNU02000000:8:2918045:2922154:-1 gene:OGLUM08G03530 transcript:OGLUM08G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPARPARAPLQDGDAHPASSSVTSCFRTQSCDTVLPDSTCGANTSQPRAWPEICSDVAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQILEVGMEICSKEASPVSHTRPLHISTAGSDPCGSMADGVKIEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEALDAGQKKSTSTVQHCEVLTTSQNLDTIENKQNQSQSHVELSVSTKSGDIGKRETEVPHNKELEGAKQSAAKCTAEQKHSLELRDSSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNISGILKAIGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTWDYRGSCTTNGGNGMVNKSVGPTRVLSDFGKNSLTLSQSPFNNFPRMISCGEEHSQILIYKSLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRARNTDLLYTGDRIRLGDNSVPSCSASTISHPTRPNNFQGDLLTGLEETGLHHHAQPVPQLAPSRVHREPRISTMDEASGHSCFTGADGILSGNSEYGLSSDWEHVLKEEIGWS >OGLUM08G03530.2 pep chromosome:ALNU02000000:8:2918045:2922154:-1 gene:OGLUM08G03530 transcript:OGLUM08G03530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPARPARAPLQDDNKILGDAHPASSSVTSCFRTQSCDTVLPDSTCGANTSQPRAWPEICSDVAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQILEVGMEICSKEASPVSHTRPLHISTAGSDPCGSMADGVKIEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEALDAGQKKSTSTVQHCEVLTTSQNLDTIENKQNQSQSHVELSVSTKSGDIGKRETEVPHNKELEGAKQSAAKCTAEQKHSLELRDSSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNISGILKAIGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTCIDRKRKGPINDDGSNKAGVRAPHSQSAILKSDAGDYRGSCTTNGGNGMVNKSVGPTRVLSDFGKNSLTLSQSPFNNFPRMISCGEEHSQILIYKSLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRARNTDLLYTGDRIRLGDNSVPSCSASTISHPTRPNNFQGDLLTGLEETGLHHHAQPVPQLAPSRVHREPRISTMDEASGHSCFTGADGILSGNSEYGLSSDWEHVLKEEIGWS >OGLUM08G03530.3 pep chromosome:ALNU02000000:8:2918045:2922154:-1 gene:OGLUM08G03530 transcript:OGLUM08G03530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTAAAAIQSASSSTLSPHAAPFALPARPARAPLQDDNKILGDAHPASSSVTSCFRTQSCDTVLPDSTCGANTSQPRAWPEICSDVAYPSSICSTSVVFSYPSVMMASNHNQKNPLYPGMGSNGSRCSTVKIESPPNKISEANKISCGLTSKSSMTKDVSKSNEDAVKNAPFPQILEVGMEICSKEASPVSHTRPLHISTAGSDPCGSMADGVKIEPSECYVDSPCWRGRGTSLSHQTSVTQLINQESEALDAGQKKSTSTVQHCEVLTTSQNLDTIENKQNQSQSHVELSVSTKSGDIGKRETEVPHNKELEGAKQSAAKCTAEQKHSLELRDSSVKRSGLNFAAPDFIPSSVGKSKIVKGSCSTTGRNISGILKAIGNLSEMLRDSCLLDENELDEHEHTLLQSVIENLQTWDYRGSCTTNGGNGMVNKSVGPTRVLSDFGKNSLTLSQSPFNNFPRMISCGEEHSQILIYKSLWIDAERTNCELKYLLKQNRIKIGQESSMAHIGGPRNPSFQACDLGAGPSNSYGAAISYPPTLSFPKGDSTEETSRARNTDLLYTGDRIRLGDNSVPSCSASTISHPTRPNNFQGDLLTGLEETGLHHHAQPVPQLAPSRVHREPRISTMDEASGHSCFTGADGILSGNSEYGLSSDWEHVLKEEIGWS >OGLUM08G03540.1 pep chromosome:ALNU02000000:8:2924048:2928514:-1 gene:OGLUM08G03540 transcript:OGLUM08G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEAEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGGAKASPSAGAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTLLLHSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANKRLMLQLSESLSPDFDASMTRDECEDEEPGIVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRHAPTANDAESTGITILRRRQAPTASSASSFTQQGAAVRRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVLRLRKTAEGSDKENKQEEEEGVLDSHVRAPGNKRGFPWYIIWLVLSVALVLLISLGIYGWDTLKES >OGLUM08G03540.2 pep chromosome:ALNU02000000:8:2924048:2928514:-1 gene:OGLUM08G03540 transcript:OGLUM08G03540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMELKKLPLGFRFHPTDEELVRHYLKGKITGQIRSEAEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRVIRSKGDKKKQQVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFESGEQGGYVLYRLFRKQEEKIERPSPDEVDRSGYSPTPSRSTPDNMEPIEDGNTPLNRESPESALHESPIDLPALTEAQAAPITRWLADRTDNATTNEVNISHMPHHGLDGGAKASPSAGAFPQLIGSQQNIHDNNELATVSAPMLPHEDFNNFPLGAIGNFDGNMNPRDPVEEFLNQTIADPDEHSSTTSKAQYDSDTGIIPTEFENHGVMQGEFMDDLSGLENLDFWPDDRNPQLSALYEDTLLLHSTDQDVLSMDSGAESLQDLFNSMDDSNARNNVWGNEPFLQGTGFPMSWPLQPNSAFPNQGTANKRLMLQLSESLSPDFDASMTRDECEDEEPGIVVTSKYVNEAPEESTAEKDMPSDGDDAEPTGITILRRRHAPTASSFSDGDDAESTGITILRQHQAPNASLLSDGDDAESTGITILRRRQAPTASSASSFTQQGAAVRRVRLQSNLDAAPCSSVDGSSSCIINEGESERTMEKPEIEENAGSTLAEGGTCHEDDQKEHDASAANAKSVLRLRKTAEGSDKENKQEEEEGVLDSHVRAPGNKRGFPWYIIWLVLSVALVLLISLGIYGWDTLKES >OGLUM08G03550.1 pep chromosome:ALNU02000000:8:2936401:2944452:-1 gene:OGLUM08G03550 transcript:OGLUM08G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLAIMHAIAATLVLCFCLLHPTTSSAQPPSNISSCLLYNGVRNFSLPLSPSYATLLDSSIQNLRFALPDVSKPAALIIPTSKLDLQRAILCARNSSLAIRVRSGGHSYEGLSYTVENHVPFVVIDLMNLNRVHVDSVSGSAWVESGATLGELYYAIGQSNRSLAFSAGSCSTVGMGGFVSGGGFGLISRKFALAADNVLDAILMDPNGNALNRGSMGDDVFWAIRGGGGGSWGVVYAWKLQLVHVPHNITVFSLNRTGPLEQTAKLMHKWQFVGPHLPDEFYLSVHIPTGTSNGNFAMSFIGQVLGPKQYAMLVLHHTFPELGIVESDLSEMSWIESTAKFARLNSTADLTDRKLGVKHYSKSKSDYVHSPISMQDTIKIIEYLSNGPQGFIQLNPYGGAMARIGSSKLPFPYRAGYLYSIEYSVSWKASDNDGADEYIRWLRSFYAYMAPHVSKNPRAAYVNYLDLDLGTNNWRNATDGTSNNSVIHAKSWGIRYFSKNFDRLVRAKTMIDPENVFNNAQSIPPLHPTISPVLVFLLLSLDQSICSSAHDAASASSFSSCLAIHGVSNFSLPASPSYNTTLNFSIRNLRFTLPDVTRPAAIVLPGSKEDLQRAILCARNSSLAIRVRSGGHSYEGLSYTTENHVPFVVIDLMNLNRVRVDSVSATTWAEAGATLGELYYAVGQSSRSLAFSGGSCSTIGLGGVISGGGFGLLSRKFGLAADNVLDAVLVDPNGRVLDRSSMGEDVFWAIRGGGGGSWGVVYAWKLRLVPVPLNITMFIIDRTGPVEYIAGLVHWWQHVGPNLPDEFYLSVYFPTGSSDGNVSISFECQVLGTKQQTLSVLSQSFPMLGVTESDLSEMSWVESTAKFTNVGTVSDLSNRSPGTNSYTKSKSDYVKAPISRHDMVEIVRYLSAGPPGSIILDPYGGAMARIKSDATPFPHRAGILYSIQYTVYRGQSDQARANEYIIWLRSLYTYMTPHVSKDPRGAYVNYLDLDLGANNWTHPMGGSSMEAVARARSSWGAAYFRNNFNRLVSAKTTIDPSNVFNNAQSIPPLY >OGLUM08G03560.1 pep chromosome:ALNU02000000:8:2944599:2946287:-1 gene:OGLUM08G03560 transcript:OGLUM08G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIATAVLLSLLAVLRAGGDVDDGGGAIMEPCLAAAGVRNVTARRERDAYDAALRASAQNLRFAGDGVAKPAAVVVPATRGELRDAVRCAREAGLGAVRLRSGGHSYEGVSYTGEDDGGFVVVDLLALDGVRVDAASRTAWVESGATLGQVYHAVAAASPALAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDNVVDAVLIAADGRVLDRAGMGEDVFWAIRGGGGGTWGAVYAWRIQLVPVPERVTAFVVNRPGTSESVAELVAAWQHVAPWLPDEFYLSAFVGAGLPEKNRTGISVTFKGLYLGPAHEAVDILTARLPEIGLSDLNPIEMSWIESVVFFSGLPQGSSMSDLTDRVLHKKKYFKAKSDYVRRPMRIGELIRAIDLLSTEPKAYVILDPYGGAMDRIGSASLPFPHRRGNIHGIQYLIEWTANDDDHREEYMDWIRRFYEFMGSYVPNSPRTAYINYMDLDLGMNNWSNLRMYGGDGSPNPEVEAARVWGERYFLGNYDRLVRAKTIIDPDNVFRNAQSIPPLGSRKTRRIPRGISPKVASKDKTYDS >OGLUM08G03570.1 pep chromosome:ALNU02000000:8:2949688:2954037:1 gene:OGLUM08G03570 transcript:OGLUM08G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLRRSVGPARQLLLRPRPLPLPHAASSTRSFSRYYSRDDVSRYEALSTPVNWGVSIVPEKKAFVVERFGKYVKTLGSGIHVLVPLVDRIAYVHSLKEEAIPIPDQSAITKDNVSIQIDGVLYVKIVDPYLASYGVENPIFAVIQLAQTTMRSELGKITLDKTFEERDTLNEQIVRSINEAATDWGLKCLRYEIRDISPPRGVKVAMEMQAEAERKKRAQILESEGAMLDQANRAKGEAEAILAKSEATARGIRLVSEAMRTKGSTEAANLRVAEQYMKAFANLAKKSNTILLPSDAGNPSSLIAQSLQIYKHICQTNSLKSGKYLTDALEETEPEEEELDSTDLPSLSSGMPSPDMPDDHDKTFSLQRRNKDKH >OGLUM08G03580.1 pep chromosome:ALNU02000000:8:2956180:2957497:1 gene:OGLUM08G03580 transcript:OGLUM08G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKSNKQCRCHADWWVEAGEGRAATAAVAAIRKHGDSPAELHGASVHWLCAGVDGEEQACSGKARASMGEAQACAGGGKTRAGARGGVGVETLPSLAATQGATGMRDVGTPADRDRSSSGSGSASTSAQPSPSSEAVVAGECDGGGGCCCWCPTPAAPAPLLCFAWRIAPTCSASAAAPAYFAHVPISSPSATH >OGLUM08G03590.1 pep chromosome:ALNU02000000:8:2966940:2967158:1 gene:OGLUM08G03590 transcript:OGLUM08G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLLAVSGRRPRLLRLGEPIAQPYPLPPRLRLRLRRLLVATQPGRLFVQVNLVLAFWTRRGFGSASVRTH >OGLUM08G03600.1 pep chromosome:ALNU02000000:8:2969190:2974075:-1 gene:OGLUM08G03600 transcript:OGLUM08G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGVLGPGSVPRLPRWHCACRARGLLHAPPPVT >OGLUM08G03610.1 pep chromosome:ALNU02000000:8:2974090:2974849:1 gene:OGLUM08G03610 transcript:OGLUM08G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEGAVGGRAAFQWWRRTRRRAVAAEDVDDGGCGGLEGRGGSRTDVNLAVPAPARCSTRQQGKGGCGAVGGGRVTRVGGGGGDAARFLGNDDGSSGRWRPQAIRGSGGVGKSGAQPRERSGAGGRAGGERRAAPRRRIDITR >OGLUM08G03620.1 pep chromosome:ALNU02000000:8:2982164:2984211:-1 gene:OGLUM08G03620 transcript:OGLUM08G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQLWALAPLALLLVLQLAGACHAVPQSLEAEQASVSHFQPPMMNWINGMVGLCIASAAAAVAVAVAAELSREQACLGLLLCLAFHAGIALVIRAAAAPDAGRSLARTQSRRNRNLLYSYY >OGLUM08G03630.1 pep chromosome:ALNU02000000:8:2988687:2989320:1 gene:OGLUM08G03630 transcript:OGLUM08G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASARASSPLLRRHPRRRPPHPPPPPPPRHLPHQPWCGLATVLLRSWSEFARTGTFTGGLNLDRSVLSRPHSPPRYSAAVDGMFVPWDHEHEVNPLTGEASFVERLYYVEAADIARLREELAAVVSSSASIAKSDTAARRCNMGYWVDLRRRVRSPDFCRALRSYVGNATTYVEQEEPADTVLKKPLGEVAVM >OGLUM08G03640.1 pep chromosome:ALNU02000000:8:2988810:2995825:-1 gene:OGLUM08G03640 transcript:OGLUM08G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80770) TAIR;Acc:AT1G80770] MRAAAGGRGFLLHPRPPWRAPAPPPSPPPATATALARRLHHRRFPEGILSTLERGVSTLHLHRWVHSATCGVTLHDISQAEPVNGAKSDLVQKHEKVGAFQRIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKEISVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEKVIARVDALRKKINSVGKQHASVCAKSLTKREAEERLSEGRKKLEEAFENGKWVIDDLVNIAKTLRSMPVVDPHIPTLCLVGSPNVGKSSLVRILSSGKPEYLRCLIPFSYFQVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRDDDERNNIEKLTLAVLSHLPIAVLYVHDLSEDCGTSVADQYITYKHIKERFGDRLWLDVISKSDILDKKTSSEFDDADDEVGRYRRFGPEFAIRVSVQGQVGVKELLHATRPYYGLLCLRLTSDEEQKSIRPSTMADCLRNPETAIRRRKRYADTACTLVAHLLAQPGDVGGLDIVEPLDEARLPRERVHLVLVVPRHEHPVDGGAVPRRGVRTAQHRPVKVQASGECPRARELRPRPEEHGC >OGLUM08G03650.1 pep chromosome:ALNU02000000:8:2996136:2997113:1 gene:OGLUM08G03650 transcript:OGLUM08G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Duplicated homeodomain-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45420) TAIR;Acc:AT5G45420] MEFIDDDWDYQPRARVIHSRASANASTSTTTSSAQPSRSLPHAAACAAAAVALLAAAYYLLLPDYQVLASVAVWVASSLLLAPFAPSSATGGDVSVGRGDPLPEQEPVEEPVSDPAPTSRRGRRHSSSSNPTPPPPKPSDPIAPPPPRHAAAAAAAAATAVADGGEAVEDAGEWTDQEMDILRRQMVKHPAGEPQRWEKIAAAFGGRRTPESVIRAAKSGGGAAAAGASFDQFLRKRKPLDPRAEAADAGGGNAGGGGESGDGSWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSSKAASEAAP >OGLUM08G03660.1 pep chromosome:ALNU02000000:8:2999965:3003729:1 gene:OGLUM08G03660 transcript:OGLUM08G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFEWVLKLLNFVVMVVGLAMMGYGAYLLVAWLQLLPSPPLPPAPAVAPGGGGGGEMVRLGRPLLLLLDVSSLPDGTAERLSAAWFIYAFIGVGVILFITSIFGCAGASRGGCCLSFVSKFNMHVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVLFEAVLFTVALIVQSGNQADYDSDDEYIAPRSSTRQPLVNKQPVADPRVPNLDYRPIRNDAWSQRMREKYGVDTFDPNRFQQATISPAEQRNRCAIL >OGLUM08G03670.1 pep chromosome:ALNU02000000:8:3004457:3005637:1 gene:OGLUM08G03670 transcript:OGLUM08G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRGGGRTPAAAAAGDRQKEPWERRRSKRPICADVCACFFTLIGIAAVVLVFVLAYKCFRTTCYNNASQMASPKHLRVKRNSDDYSN >OGLUM08G03680.1 pep chromosome:ALNU02000000:8:3006950:3007180:1 gene:OGLUM08G03680 transcript:OGLUM08G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDLEQPSSDRRGGGRTPAAEAADDRQKEPPERRRSNSPMDADLCCCSCALIGIAATVAASLLAFKCLLTTCYKL >OGLUM08G03690.1 pep chromosome:ALNU02000000:8:3018581:3022039:-1 gene:OGLUM08G03690 transcript:OGLUM08G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MTSVKCTIPIPMLLMDYILSQAVVLVQKILLLHLSAGFLSCRERKGDFSCLTAARICEASTSDAVIQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >OGLUM08G03690.2 pep chromosome:ALNU02000000:8:3018581:3022039:-1 gene:OGLUM08G03690 transcript:OGLUM08G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MTERKGDFSCLTAARICEASTSDAVIQAAGTAMPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >OGLUM08G03690.3 pep chromosome:ALNU02000000:8:3018579:3020514:-1 gene:OGLUM08G03690 transcript:OGLUM08G03690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:lsd one like 1 [Source:Projected from Arabidopsis thaliana (AT1G32540) TAIR;Acc:AT1G32540] MPVPLAPYPTPPVPFTPPNGAQSQLVCSGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGTDQKPSS >OGLUM08G03700.1 pep chromosome:ALNU02000000:8:3022219:3023006:1 gene:OGLUM08G03700 transcript:OGLUM08G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENEHEHEQRRPQPSESTRCRRLTAAGGGRARARAKEGMEMAVVAILLKILNCIYFHLPHQRIKNDPKKTYGEGRY >OGLUM08G03710.1 pep chromosome:ALNU02000000:8:3051686:3052555:-1 gene:OGLUM08G03710 transcript:OGLUM08G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLGTSYLHHHQSLHLRHDDGGAGSDDGGHDDLSPGSGGGGGPSSTAGGAGIGGGEVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSAQPGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEDGDEVVPPAPAGSDQGGGGSGGMPPLGVDPSGSAAAGGLPFFNMPFGMPPMPVDGHAGWPGAGVGRPPFS >OGLUM08G03720.1 pep chromosome:ALNU02000000:8:3066419:3069340:-1 gene:OGLUM08G03720 transcript:OGLUM08G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTNAIHIIPDAAGPDAWANAAAQGGDAGIWATEDDYNSQWNADGGGGGSSRAGSEQPPPGKKSRGGGGGEGGGNTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEAREEHQIPIMTSSGPTAGGDAGCGGGGGGGGGGSGRAYKGRHCKKFYTDEGCPYGDACTFLHDEQSKARESVAISLSPSVGGGGGGGSYNSAAAAAASASAAAGNGPMQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDSRDAAATPDSKQAVVSAKAPAETAAASTTVLPHADVYHLGVQAQRSTIAGQRSGQVQRPIQKWKGPDKISRIYGDWIDETE >OGLUM08G03730.1 pep chromosome:ALNU02000000:8:3072853:3076008:-1 gene:OGLUM08G03730 transcript:OGLUM08G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDEAGSSKHHRRDKEKDRERSSSRHHRDRDRERSSSRHHHREDRDDDRDRDRDRERRHREKERDREERKAREREEREKEKERERARRREERDREERSRRREAAAEEEEEEDVDRDRKRRRRSSHHHHHHHRDAEPEGPASGAREEEVVDVEEAERRRQKKKEEEQKQLDEEMETRRRRIKEWQEMKRREEETKRREQEEARVGTSAAAAAAPAEAEDGGNAGKKWTLDGEESDEEGNQEDGKKSDDNGGSGAGAMDVDVPNGGDNANGANAMDEDEIDPLDAFMNSMVLPEVAKLESMPAANVDDKNDKSAKDAVTNGDKKGPKKVMGRIIQGEDSDSDYADDEDDEGGSEDEDDEEFMKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMAAEEVAAYRKQLELKVHGKDVPKPIKTWVQSGLTSKLLDTIKKLGFEKPMSIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPAVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKALGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDITQLVEVRPENERFFRLLELLGEWFDKGKILVFVHSQDKCDSLLKDLFQHGYPCLSLHGGKDQTDRESTLADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSEQAVPEDLKGLADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKSAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIAAAQAAAAMVAAKAASNANQQTQGTSVGPLLPLAIASNTQNNEATARALQAAFNIQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLGPIQEWTGAAITTRGTFFPQGKIVGANERKLYLFIEGPTELSVKKAKAELKRVLEDCANHALNLPGSAQTGKYSVI >OGLUM08G03740.1 pep chromosome:ALNU02000000:8:3099399:3101828:-1 gene:OGLUM08G03740 transcript:OGLUM08G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNADGPARAFVRSPCLIQWANQAHHCADFRRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >OGLUM08G03740.2 pep chromosome:ALNU02000000:8:3099399:3100518:-1 gene:OGLUM08G03740 transcript:OGLUM08G03740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRKVAGRGGDMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEVEKDADNISDCERLYKAFLQEINTFELPLLKSKAVVDANLREKESFNELQDEIQRQILQAQTDIEDLKKQLEQSKIERQHKEECEAIRKMISLQPPRSETEKLIADLEKEIANLEAENTACIRTLELRKKQFALLLHVVEELQISIDDEQRSIADELRAATEEQKMSIEEVSGGASDAMAVD >OGLUM08G03750.1 pep chromosome:ALNU02000000:8:3108436:3112168:1 gene:OGLUM08G03750 transcript:OGLUM08G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTSTLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKNTERPAASSDQADGFENGSAGEICDENSLDLHGGCRPEAMSAAATAAAAARHGREDWSGFHESNTGTMQTLKDMQSKSLEILSDMNSCVSETTSSTSELNLEFTLGRPQNRPN >OGLUM08G03760.1 pep chromosome:ALNU02000000:8:3118678:3121464:-1 gene:OGLUM08G03760 transcript:OGLUM08G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPQPVYGSKQQSHNTGVLFNSLIRTAKQAQPRCFRCPVALDDSIDAAVDETNERTNVAGQLVYWAGQT >OGLUM08G03770.1 pep chromosome:ALNU02000000:8:3121546:3126974:-1 gene:OGLUM08G03770 transcript:OGLUM08G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVAGGGGRRNNEGVNGNAAAPACVCGFPVCACAGAAAVASAASSADMDIVAAGQIGAVNDESWVAVDLSDSDDAPATGDVQGALDDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRIEHKNPDAMWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRRRFDHADGTSSLPGLDIFVTTADPIKEPILSTANSILSILAADYPVDRNTCYLSDDSGMLLTYEAMAEAAKFATLWVPFCRKHAIEPRGPESYFELKSHPYMGRAQEEFVNDRRRVRKEYDDFKARINGLEHDIKQRSDSYNAAAGVKDGEPRATWMADGSQWEGTWIEQSENHRKGDHAGIVLVLLNHPSHARQLGPPASADNPLDFSGVDVRLPMLVYVAREKRPGCNHQKKAGAMNALTRASAVLSNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGLQGPIYVGTGCLFRRITLYGFEPPRINVGGPCFPRLGGMFAKNRYQKPGFEMTKPGAKPVAPPPAATVAKGKHGFLPMPKKAYGKSDAFADTIPRASHPSPYAAEAAVAADEAAIAEAVMVTAAAYEKKTGWGSDIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLFQVLRWSTGSLEIFFSRNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVLQVVTKVVFRRDISFKLTSKLPAGDEKKDPYADLYVVRWTWLMITPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGRHGAASPSHGHHSAHGTKKYDFTYAWP >OGLUM08G03780.1 pep chromosome:ALNU02000000:8:3152423:3155465:1 gene:OGLUM08G03780 transcript:OGLUM08G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLVPVVDRIAYVHSLKIQAIPIPDQLAITKDNVVVQIDGVLFFKIVDPYLASYVVDDPIFEATQLALTTVKSEVEKTLYKIVKERDTLKEQIMT >OGLUM08G03790.1 pep chromosome:ALNU02000000:8:3152453:3152911:-1 gene:OGLUM08G03790 transcript:OGLUM08G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIDLEEENAVDLDDDIVLGDGELVGDGDGLDLERVDVGDAVDDGDEHVHPAAERLVVLADQRSTTIAFFSGTVVVTPKFTGGVLGASHMRVEAAAVAELREWEKTRSWIAGLAEDRRRRKGIAMVATTAREDEWVSGRRNCRLVAFWKAW >OGLUM08G03800.1 pep chromosome:ALNU02000000:8:3161091:3161264:1 gene:OGLUM08G03800 transcript:OGLUM08G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNTVTVDGGGRRAVVETKALLRASLPDVGQHLNQQKGVHLGQEQQLVTAPRRRH >OGLUM08G03810.1 pep chromosome:ALNU02000000:8:3170080:3171252:-1 gene:OGLUM08G03810 transcript:OGLUM08G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEDIRLVQKSLNKKLTHEKCLSDISMLEDGVKVARISTYKEKINKIPDKKSFTLQMDYINFGSILYATVIVTAYILERKDELARQKKL >OGLUM08G03820.1 pep chromosome:ALNU02000000:8:3172003:3174763:1 gene:OGLUM08G03820 transcript:OGLUM08G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISRAAARLPLLRLSSQALLPTQIARFRSEFVQPNKPTADGIKLAQAVCDTEMNLASILLVQKSLDEKLAQHKLLSNVSLFEDKEVSQRSEEHSKQLTVQDIQMKKDELLSDIRRVEILEGTLRSLQKTRFQSQDNNICAQRLKGSEDGFTLCYSPLDFVSSAGFFYVYYYYYM >OGLUM08G03830.1 pep chromosome:ALNU02000000:8:3177373:3181704:-1 gene:OGLUM08G03830 transcript:OGLUM08G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G32190) TAIR;Acc:AT1G32190] MLSGCTVSSLAARFAFFPPEPATYAVRKDEACGGGGRLVASGVPRDAAVDVLLVDTRKGNKVVAFYLRNPAARLTVLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDLILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYNNVKKIKKVKSPVLVIHGTDDDVVNWSHGNELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSKFIREMENITTKTRLKKIRQSLQPAPKKVHHRASTGTTTTFTTNCCCRIRLQGMLQVPNLLLLLLQLLQELPQVPNLQMLLLRFMQR >OGLUM08G03840.1 pep chromosome:ALNU02000000:8:3194286:3200200:1 gene:OGLUM08G03840 transcript:OGLUM08G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AR53] MSPNPNPLDEENVNPTASGAAMAPAPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLTGILVAIEVLTGDMFVGVLYLIGFTFFTLESIISIWVLERVYMHFRGHR >OGLUM08G03840.2 pep chromosome:ALNU02000000:8:3194286:3199159:1 gene:OGLUM08G03840 transcript:OGLUM08G03840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AR53] MSPNPNPLDEENVNPTASGAAMAPAPEKKKSWMPAGLGGSGKLGATIDIPLEDPRKKEKELLAWEEDLRRRELDIKQRENAMDRAGVTVEVKNWPPFYPIIHHDIASEIPIHAQKLQYMAFGSWLGLIACLVWNVVAVLIESIHSDDVVLFLFAIIYAIFGCPLSYILWYRPLYSAMRTDSMVTFVQFFVFYSIHVGFCVIAAVTPPIIFKGKTLT >OGLUM08G03850.1 pep chromosome:ALNU02000000:8:3200812:3202536:-1 gene:OGLUM08G03850 transcript:OGLUM08G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAPPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITNLYKGKTVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSYS >OGLUM08G03850.2 pep chromosome:ALNU02000000:8:3200812:3202536:-1 gene:OGLUM08G03850 transcript:OGLUM08G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKDADAQEKAAPPPTEWYDPLSSPGRPVRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITNLYKGKTVMTEDERYESLRHCKQVFTSSIALVIPDAPWVINQEFLDKHRIDYVAHDALPYADTSGAANDVYEFVKAVGKFKETKRTEGVSTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQSRSDPNLSGEDSYS >OGLUM08G03860.1 pep chromosome:ALNU02000000:8:3204070:3205702:-1 gene:OGLUM08G03860 transcript:OGLUM08G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMDYYNVLKVNRNATEEDLKKSYRRMAMKWHPDKNPGDKKKEAEAKFKKISEAYEVLSDPQKRAIYDKYGEEGLKASVDAGASSSMNGNRRFNPRNAEDVFAEFFGSSKPFEGMGRAKSMRFQTEGAGTFGGFGGGNENKFRSYNDSAGTSSSQPRKPPAVETKLPCTLEELYAGSTRKMKISRNVVRPTGQIGTESEILTIDIKPGWKKGTKITFPDKGNEQPNQLPADLVFVIDEKPHDLYTREGNDLLVHQKIELVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELAIAKEGMPIVKENGRRGNLRIKFDIVFPKRLSSDQRQNIRKVLGGQTQQQ >OGLUM08G03870.1 pep chromosome:ALNU02000000:8:3212114:3216875:1 gene:OGLUM08G03870 transcript:OGLUM08G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AR60] MVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >OGLUM08G03870.2 pep chromosome:ALNU02000000:8:3216526:3219896:1 gene:OGLUM08G03870 transcript:OGLUM08G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AR60] MAATRAACENQMHNLFHQIFGETETLDELNPAPPPPPPPPGARTVVAASDSDDDEQLRHVGFGMAAAPPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCVVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVMRMLPRNRLRDDRERKLRIFSGSEHPFHDRPLEPFAMPPRQVREMRPRARRALIRAQKKEQDRAAASTKDDKDEEEDKGKKMLEVKGKARKKATLGDRKERLIFNKHGMA >OGLUM08G03870.3 pep chromosome:ALNU02000000:8:3212043:3216875:1 gene:OGLUM08G03870 transcript:OGLUM08G03870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AR60] MNKKKKKTHPCARARRRSIPTHLRRRAAAPARVAARRPAASASGLLLPVEMVRVRMGAAVLGVAVVVLACVLGARADGSDHRYKDGDYVPLYANKVGPFHNPSETYRYYDLPFCAPDHPKDKREALGEVLNGDRLVDAPYELNFKEDRNSKVLCQKTLSKVEVAKLRDAVAKDYYFQMYYDDLPLWGFLGKLDKDKEQGNAKYLLFKHIHFDIMYNGDRVIEINVQTDPNVAVDITEDKEVQVEFSYSVTWKKTDIPFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEETGWKYIHGDVFRFPQQKSLFAAIVGSGTQLLALAIFIFLLAIVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLILTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRSTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHEWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLGTVGFRASLLFVRHIYRSIKCE >OGLUM08G03880.1 pep chromosome:ALNU02000000:8:3247189:3256111:1 gene:OGLUM08G03880 transcript:OGLUM08G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESAFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLMELKKLIEANPLFRDKLNFPPFKVSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGPIPKSAAFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQPPNTAAFLKHPRTPTSAPAIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANIYTQDDLPKQVVRNLNQGSNVMSLDFHPVQQTILLVGTNVGDIGIWEVGSRERIAHKTFKVWDISSCTLPLQAALMKDAAISVNRCLWSPDGSVAFSKHIVQTYAFVLNGELRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKDGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFVVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTANENGIKILANTDGQRLLRMLESRAYEGSRGPPQQINTKPPIVNTLGSVSNVSSPMAVNSERPDRALPTVSMSGLAPMDVSRTPDVKPRITDESEKVKTWKLADIGDSGHLRALRMPDTSATSSKVVRLLYTNNGVALLALGSNAVHKLWKWQRTDRNPNGKSTASFTPQMWQPANGILMANDTSDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKSKLKGHSKKITGLAFSQSMNMLVSSGADAQLCAWSIDGWEKKKSRYIQSPANRSGALVGDTRVQFHNDQTHILVVHESQLAIYDAKLECLRSWSPREALPAPISSAIYSCDGLLIYAGFCDGAIGVFEAESLRLRCRIAPSAYIPPSMSSGGSVYPMVVAAHPLEPNQIAVGMSDGAVHVVEPLDSDPKWGVAPPQDNGTHPTISAAPAAANKPEV >OGLUM08G03890.1 pep chromosome:ALNU02000000:8:3257173:3260340:1 gene:OGLUM08G03890 transcript:OGLUM08G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G22760) TAIR;Acc:AT4G22760] MPAAAGDVNSTWTLAIRAAADQGRPRRAVALYLSSLRSARRPCPFALAAVLKSVSRLLLPAAHPLLAAAAASIHAHLLRLGLLAHPYPRAALAHLYARLPDPSRAHSLLDETPPWPPRGRAGAHSFLVSRNSLLASLLRSGDLAAARALFDRMPVRDVVSWNSMVAGLAKAGHLDAAIELFDKMPERNAASWNAVMCGYIAQGDLAQARELFEQMPVRSNVSWITMISGYAKSGDVHAAGELFERMENKKDLYAWNAMIACYAKNGCAREALAVFNRMLKPHVWVMPNEKTFSSVISACSQLGDLRFGLWAESFMGSVGIELDDHLRTALVDLHTKSGRIDRAFDLFRGLGMRDVVSYSAMIVGCGMNGKFNEAVSLFKEMSDAKISPNAVTFVGLLSAYSNAGLMEEARACFASMTEKYKISPSMEHYTIME >OGLUM08G03900.1 pep chromosome:ALNU02000000:8:3261005:3268463:1 gene:OGLUM08G03900 transcript:OGLUM08G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07590) TAIR;Acc:AT1G07590] MAERARRPAQRGEMQESPRRRAAGAAAAEGGVGGGRARLRRRAAVSRSSVPPKKYNATRCSVLFDAVAHADPAARPWSIRMPTEHSAYCITDRLMARMALLAVLRAPSDDLWKGSGMRLALQLSVRRHTSWCMLLYSDTTTYGLTVTAPSGACCSKQRNAFTMWSDGVGLPLQAQLSAIPPACSPTVTADEAEREGSLAQRVERSASVCAAIRGWMGDGRAVHRGHVFHAVNRLRRRRLHRAALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRIPQEYQNELLYNNLVMACLDLGLIKLAYGYKRKMRELSLPISPYVYNRLIILHSSPGRQKTISKILAQMKGDRVTPHTSTYNILLKIKANEHNIDGVARVFNDMKRAKVEPNEITYGILAIAHAVARLYTVSHTYVEAIENSMTGTNWSTLEILLILYGYHGKAKELKMTWDLMQGLPHIRPKSFILAIEAFGKVGSIDQAEEIWGKFESTRKPKLTEQFNSILSVYCRHGLVDKASAVFKEMRANGCQPNAITYRHLTLGCLKAGIVKEALKTMDIAKKEVVTKKVKSSTPWLETTHMILESFAENGDLVNAKRVFDELNESKYCRNSFVYNTLLKAHVKAKVYEPDLLRAMILRGAMPDAETYSLLGLIEQFKT >OGLUM08G03910.1 pep chromosome:ALNU02000000:8:3266408:3267775:-1 gene:OGLUM08G03910 transcript:OGLUM08G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSAAAAAGGGERRGPMDLNLYLGLPPLPPPPGRLDVAADYPSLLLNSAAAVANEQRGSVVVAAPPPAAAAYSPSNALSAPEQVLVDPVAAWLVDPGEQQPVPPLETPSYMARASSTLPQIFACAALEMLVQTGRAIPPTGLIRGAEIAAASRPMTPENRLRRLIQVSDQHGVGNGRAGPGPVSRSRRANSPEADNLARAIQRSHNSLEASRRQMLDGDGSDATKKDDGCGCNGSFECNICFESAKDPVVTPCGHLFCWPCIYQWLHGHSEHSDCPVCKGEVLEVNVTPIYGRGGGEENSSRNDIQIPPRPSAQRTESLRQQLQRPDTRGGIANMVRRLMQNQDIVAGQAASSAPRPRGRGRRQARQDAPSAPATQQQVVNADAGSGNQAPLPPPDANGAAPAAAVAPQQSSSVEQASTSSTVGVIAGGPAQGRRSRVSESTPARRTRRRHQ >OGLUM08G03920.1 pep chromosome:ALNU02000000:8:3268162:3270540:-1 gene:OGLUM08G03920 transcript:OGLUM08G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAIGGGPCLEVVVAACKRFDDDKHNKGNGLCGGLIKGEGHLSFLSKSLDMKFGALKDSGNDDGNARMTYIVKQREYEVCLGARSSLLPK >OGLUM08G03930.1 pep chromosome:ALNU02000000:8:3270879:3273610:1 gene:OGLUM08G03930 transcript:OGLUM08G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3006 [Source:Projected from Arabidopsis thaliana (AT4G19350) TAIR;Acc:AT4G19350] MEAAPAAAAGRYGNLERSFKLAARSVLTACSREDVKRAFPSFTDAERERLYQMFIYVIKSLHSNIEVAAALEKIDQFVEEQKLDVLSSDKTNIEDTKQMISKAKKDEVEYLKSLIEEVEEKNNAMKARIELLKKDDDLAAGKQVLEKLMQCNSALYNGL >OGLUM08G03940.1 pep chromosome:ALNU02000000:8:3274355:3276952:1 gene:OGLUM08G03940 transcript:OGLUM08G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSAPAPSKHCSIVRAQHSPPPSILSPSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEVEVDKPLGLTLGQKTGGGVVITAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >OGLUM08G03940.2 pep chromosome:ALNU02000000:8:3274355:3276952:1 gene:OGLUM08G03940 transcript:OGLUM08G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rubredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G17170) TAIR;Acc:AT5G17170] MAATLSSAPAPSKHCSIVRAQHSPPPSILSPSTKTAFHGLSLVDRRWAASVGGGSGRRRRVLQVNARTAGAAKNIEAVDSGGNAARAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAQVDVKRLPKRPAPPRFGRKLTESQKARATHICLDCGYIYFLPKPFEEQPDEYGCPQCNAPKKRFAKYDAETGRAIGGALPPITVIVSLIIGIAGVGALLVYGLQ >OGLUM08G03950.1 pep chromosome:ALNU02000000:8:3277645:3278235:1 gene:OGLUM08G03950 transcript:OGLUM08G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYRGTSPAWGLGRRGGGGGPSPVVPLLIVVALVWVNYNETLTEWYDKAANLPGTVADNAVTLVAAGGLLLLAAVLLSRRSEVVVVPVAIVLSVMLLQNIMAFLVLLLVVAYFAGIYYYPADAAKYGVTAGGEWCGGGGFWSGLGFYMLLLLCLVLCAMFADEGVRWWIPGTLLAASLLCLNLFSGGQVLGYGHL >OGLUM08G03960.1 pep chromosome:ALNU02000000:8:3278775:3280623:-1 gene:OGLUM08G03960 transcript:OGLUM08G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQEDFEQYAEKAKTLPESTSNENKLILYGLYKQATVGDVNTARPGIFAQRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAAAAS >OGLUM08G03970.1 pep chromosome:ALNU02000000:8:3282826:3284618:-1 gene:OGLUM08G03970 transcript:OGLUM08G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMLAHTNASCEFSIILDTRLPPLPHYRRNPTRTTRDHWNFCGPPIVQCQTLKYNMKSRAGRGFILEELKVMLVIPLPRSLPLPPRSRVINYMPIARGEKRSVEAVKVTDEMKAFKAYAN >OGLUM08G03980.1 pep chromosome:ALNU02000000:8:3289942:3293302:-1 gene:OGLUM08G03980 transcript:OGLUM08G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYMEGIRVLRLHKRASAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADHELAAQMEEPMVQQLEAEVNSLKQKLVECNKKQLALRANATAINDKKEETHRKVIAKSDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQKEVKARKTKISDESVEIMALDTKIIEWDGKGENQKLAALSSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELQLIYAKFQQIGHAFTCYKDNFKSFLEQVDEVSKETLESLDRQAVEPLDTSATL >OGLUM08G03980.2 pep chromosome:ALNU02000000:8:3289738:3293302:-1 gene:OGLUM08G03980 transcript:OGLUM08G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFSFPPLTPEQIAEALHTYGLAPTANLRAEDIANPQPDLLPAVISNFLATVVDPTGADDLDGQLGFDALASLDNPEHYMEGIRVLRLHKRASAFLESIQFPGFTLRDLLRPDPRRLVQVLSALINFLYYRDDKLALLQPIIHEFPNLDERCMELKAKLAEHQKAIADHELAAQMEEPMVQQLEAEVNSLKQKLVECNKKQLALRANATAINDKKEETHRKVIAKSDFELVKLAQENSKLLSKIVESPEKLQRALEEKKTARAELKNAEKIATQSVQEKTATLEIYSKGYEKLSKHSTKIQALQEQDQIVAGENQKLAALSSEVEWKLKCLEPRERKVEETIAKATKLCAEVDSTRTDAAEELQLIYAKFQQIGHAFTCYKDNFKSFLEQVDERNSCSPAP >OGLUM08G03990.1 pep chromosome:ALNU02000000:8:3293534:3294579:1 gene:OGLUM08G03990 transcript:OGLUM08G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPVSTFQPVGIAYEYRWADGVQIKKPIEVSAPKYVEFLMDWIEGQLDDESIFPQKLGNHCLSQTFDVGMVMCTLEIVQALLIYLSNFDTTHDTDMGLIPLPGTPFPPNFKEVVKTIFKRLFRVYARIYHSSFQEIVSLKEEAHLNTCFKHFILFTTEFGLIDKKELAPLQELIESIIPY >OGLUM08G04000.1 pep chromosome:ALNU02000000:8:3295429:3295884:-1 gene:OGLUM08G04000 transcript:OGLUM08G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGDVRSFFRQQKAHAAGGVKPTGGVSKKAALPRHHHKPASQGAFLLPVSPAPETVRSIASPLIRLFGFFAATPDRAVDDARRHVEEAEEEEGRERMAREFDMDMRYGPCLGLTRAQRWRRAAALGLAPPPAVIAVCSDDQPCLWEGRV >OGLUM08G04010.1 pep chromosome:ALNU02000000:8:3298775:3302584:1 gene:OGLUM08G04010 transcript:OGLUM08G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor A [Source:Projected from Arabidopsis thaliana (AT1G64860) TAIR;Acc:AT1G64860] MTATPAVIGLSAGNRLLSASFGPTDLMPDKVSLGVGGGGGGGGGGGGGDAMSFAPPAPATPKLTAVAAHRLKLSPHGRAQVMRALRHHSSAAAALAPPPPPPPTPSPASRAAHAHDLESSLEAIVLLQRSMLEKQWELPFDDEDHVSDDDDNHAMAIGLAEDDDDTSKATVVVARSSVSARQRRMSGRRRGRTKNGAAHFAVSPELIQSRNRIYLRGTVSKELLTHKQVVHLSHKIKDGIWLQQQRSKLKEKLGNEPSYKQLAHSLKISPPELRSRMRESFLAREMLTMSNLRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRGAKYALEDQGIAPTIENIAGSLNISKKKVLNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDQNVANDPWHGFEEWYLKEEVNKLLNSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLIAMEKLKHAARRKNLEALLEDY >OGLUM08G04020.1 pep chromosome:ALNU02000000:8:3311242:3316872:1 gene:OGLUM08G04020 transcript:OGLUM08G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1005) [Source:Projected from Arabidopsis thaliana (AT5G17640) TAIR;Acc:AT5G17640] MDPQIFVRLSVGQLGLKLPGANARKAARSFHCEIRLRGFPVQIAPVPLINYSEFNLDPHTNAAVFSLDESELKALSAPGCFRAHGSYLEVAVYVGRRGGHCGIVTGMKRLVGVVRMDIGPEWRDGKPVMLHHGWVGIGKGEAKPELHLRVKMEADPRYIFEFDDEVALNPQVVQLHGRNRQPIFSCKFIRDRRGSHSDQLYWSSSGGEEKEAEMMRRRERKGWKVVIHDLSGSAVAAAFMATPFVAASGCDTVARSNPGAWLIARAGATAPGSTSSSAAVESWQPWGRLEAWRDQGGAARQDTVCLRLRLLPDGQDACMLVAETPLRSDRGGEFAIDMDRQAPAPAAGAEHCAASLGEACAGGGFVMSCRVEGESQSSRPLVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKTMATKKTASASSSPDPLELDT >OGLUM08G04030.1 pep chromosome:ALNU02000000:8:3318787:3319187:1 gene:OGLUM08G04030 transcript:OGLUM08G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMWSMRGGCRKPRARNRSAVQHLFNATNQRKIRHRGGASDFQMGADWKETLPMNMAW >OGLUM08G04040.1 pep chromosome:ALNU02000000:8:3323055:3323633:1 gene:OGLUM08G04040 transcript:OGLUM08G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSPPTTTTQQACAACAHQGASSCPPNCGLAPYFPADKPKRFWYARLMFGMERILGFSEAEKQGIRPNGSTVISMAAVVYLADARVEDPILGAYGRIRKLQQQLDRLKAERARQHHPATASPAPADNVALEPEPEPFHQPPAQPSTPPALAIPDEDEEEEEDDDDDEDEEEEGHAPVDDDVPCAKRRRTN >OGLUM08G04050.1 pep chromosome:ALNU02000000:8:3325709:3327495:-1 gene:OGLUM08G04050 transcript:OGLUM08G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGTSRGYRVGMEVFDDVAFARLRSHVYGSYLHADEDGRSVYHGSLRGGASQHNAVWAVEELLVPALPWRSSAEEEEAATRYLLLRSAYGRYLGATDAAPGEAARNATARAAAASSDVCQPHDREGCCGCCCCCCRLPFGLVEATQRDRDEEEPEVDAIMWLATRCGDQEVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVLPSEDMPELPIATQPGFFVRVCFPQPLREIQFVDEADLDNISEGENWATVQIRGRSVRLLREKIAELVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDDPTIE >OGLUM08G04060.1 pep chromosome:ALNU02000000:8:3327735:3334853:-1 gene:OGLUM08G04060 transcript:OGLUM08G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVVRHNGAVARITGRLRVAAATTAATGGEIADLHRPPPAQRHRGANGLLVELVRVVLGMARYEDSLPAESGFSPRRRRRRVISSSAPPTMPRFDDLYEMKPFCLRYAAQSAATTGLVQGRGDGDVRRGGARALRFMRGEGLGLRVLAMNGVLPDLDLDLDLDLDEHFPAHGGGRRRPRQADAAPGVIAFGPPRSLSHPQRLRSRRAHQRVPRWWFSTAPGPVVPQPLPPLPKDTWKPAQERSGRYLRFSKVVLACRCSVLVDANFEDEDTFLWEVVRVTRPGFLVRACFPFLLREIQFVDEGDLENISEGEIWTTVETRGRSVRLLREKIAELVGYDDFTMCVSDGRHGQFTPLLIDLPCRRETLNVVPVRPNTESYDQLIFPNPNALPSAEATDEDNATIEVAEKPQIVRVESTEMQSRTCAASFEQILYHEICCTHSLWSGPPVIDYG >OGLUM08G04070.1 pep chromosome:ALNU02000000:8:3335047:3347333:-1 gene:OGLUM08G04070 transcript:OGLUM08G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCYQCQSKSMIGGLINAKGDASANVSYPPQTPLDRIPPRRGRGRSGRQTIDDCAKHSPQPMTAEPEVEAYIMVVGHMDTWEAVTSGVLREQGQNHGDNDDEMKTRE >OGLUM08G04080.1 pep chromosome:ALNU02000000:8:3338387:3338851:1 gene:OGLUM08G04080 transcript:OGLUM08G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMCSGDAGGREDEEGAGAVLRGGVLHLLLLPVPVITGYWAFGNKSLMPDEGPFLAPTWLLGLAVVLVLLTSYSPSRSSTRRWHTRLWRRALPIDAARRRLLQRNVVPRVALWTACAFVAAMLPFFGDIMGVGGRETEREKEDWGSL >OGLUM08G04090.1 pep chromosome:ALNU02000000:8:3348266:3349090:-1 gene:OGLUM08G04090 transcript:OGLUM08G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGDSTINPFLQRAVTECSGTSLKEGAVGLQIYPIIYQLVLLIIIIIIIYPIIHQLVLLIIIGQIQRSLTICVISTAQIITTLIRIPLPAIVLPFAALHRASFHSREI >OGLUM08G04090.2 pep chromosome:ALNU02000000:8:3348266:3349615:-1 gene:OGLUM08G04090 transcript:OGLUM08G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRDHAMNEATLDSGILQIYPIIYQLVLLIIIIIIIYPIIHQLVLLIIIGQIQRSLTICVISTAQIITTLIRIPLPAIVLPFAALHRASFHSREI >OGLUM08G04100.1 pep chromosome:ALNU02000000:8:3351905:3352969:-1 gene:OGLUM08G04100 transcript:OGLUM08G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRRFVYLVLGEFGRRRSNYTLRNIDMERFFLPRPTPVPSVASAADAVEYASLPCPAMTFYPPFSKLFANQKMEFLLLGGNHSNAVVAVDQTCRTVLYDPGEHAVRTMPALPYQVRLPTTSVTVGDDLYILDMPRHDDDADGDGCFYGLIYEDGLNEDWRCRALPPPPPPLSDLHVHSYAVVGDTEIWMSTHGGGIYCFDTVSHAWSTVATGWTLPFTGLAEYCHEHGLWFGFSRSNRDMRRNFVLSALDLDGGSKLPVLHRLPLEFTPPDALNLESSYLVNLGHGKFCIARFFHTDEDHRDGEELFAVLTAVEVERCDDDDDAGGANGGGLRMLKHRSEMYKLNSEMMYWVL >OGLUM08G04110.1 pep chromosome:ALNU02000000:8:3355962:3357674:-1 gene:OGLUM08G04110 transcript:OGLUM08G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSNVLARQPMMEVFQEVEFAALRIWKSGSYLHADEDGRSVYVGSLPRDGGGDSRHCAVWAVEPPIDAAAPLPQYVRLRGAYGRYLGAPDSYGSPLPFLSVDAAQRDRDRVEMDAIMWQPVTCSGSDVVGGRDARGVVLLRDRYGRYLRGSNNLLAPRRSVPVKPYVVNEHMFRWEVVRVPVSQARPDLPIAAQSGFVAACFPPLLRVIEFVGEDDLNNIGEGDIWTTVETRGRSVRLLKEKIAKLVGYEDFTMCVSAGRHGQFTPLLIDLPRSRETLNIVLLRTNSEADNQLIFPNVSEIAVKWIKANQD >OGLUM08G04120.1 pep chromosome:ALNU02000000:8:3358063:3360693:-1 gene:OGLUM08G04120 transcript:OGLUM08G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEETAVADWSALPEDIIITVMGCLSVLGDLVRSGAVCSTWRDAYATFRRLHLPSTTAQPPWLLYACDAHGPAAAALYCPATGNSLRVPLPAALLDGRPVIGASQGWLVTVDEVPNLHLVNPLTGATAALPPITSLHNVERFTSRKGKTRYRVYDDMGYSEASIVYSPAKARERVVLSRSPAEGSACVALLLHRPDGDVSFARLGDERWTPVAYPGQDCSTGCRHAIYDDADGLFYTLHYDGSVYAIDVPRAASASSPPPPPATREVMRCVTDLDNGSKYLVRAPCSEDLLQVWRFDYADGDDVEDDEAGLFTRQLQFFKVDGGEQKLVEASAASLEDHVLLLGYEFSACFPAEHFPALKPGCAYLADDHELVSMRKHCRRDIGRWDMKRGQMERLSGEDDVVAPSQPWLNWPTPR >OGLUM08G04130.1 pep chromosome:ALNU02000000:8:3362812:3365934:1 gene:OGLUM08G04130 transcript:OGLUM08G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFQGVQFARLRNWWEETYVTADEDGRSVYHYAPDRHRPAHEAIWAVQMVLAGAPATQYVLLRGAYGRYLGTPDAVVRRWPLSCCWPAPVVGQRDFDQPEVDAIMWRAVRRADHVLCLHDKSGRYLRGKLGTLVLGGRPRLTVGDGRLNDDEKALRWEVLPVLPIPGRPELPISIVPEADLVGRFVKACFLPLQREIQFVAADDDGNIGEGQEVWDSFRYEGRSVQLLRNELEDRVGYAITVCVRAGRHGQHSPLLINLPHSRETLHIVVLRRNSEADNQLTFPDPKASSRRRYRHRRRAIIQVYSILNLRSLI >OGLUM08G04140.1 pep chromosome:ALNU02000000:8:3366020:3368262:1 gene:OGLUM08G04140 transcript:OGLUM08G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLASLAVSPSSPRRAAPRHDTPRHAEKPRSSKPLAAARASQAHHPRRAAMQVFHGVQFVRLRNLWEETYITADEDGRSAYHYDPGRRPSHEAIWAVQLAVAGEPPTQYVLLRGAYGRYLGAPDAVERHWPLSCCWPAPVVGQRDFDQPVVDAIMWRAVRRTGHVVCLHDKSGRYLRGKLMSTLVCGGRPSLTVGDGRLSDDEKELRWEVRPVLPSPGRPELPIATEADLAELFVKICFPPRRREIQFVAPDGDGNIVWDSFQYQGRPVQLLRNELENRVGYAITVCVRAGSPRCSSTCLAAGRPCTSSLSGATAKRIIG >OGLUM08G04150.1 pep chromosome:ALNU02000000:8:3368986:3371362:1 gene:OGLUM08G04150 transcript:OGLUM08G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKKEEEEEKAAAAEGADLLCSLLEQGRLASPARPPAAQPSDHPMIERDIWNPTGAPAGIRALVRFANTVALVLLAFFVVPLVPRCNSIEGVFAIVFCLVILLGIPVMGHCTLKEIHEEMKAREGGR >OGLUM08G04160.1 pep chromosome:ALNU02000000:8:3384867:3386633:1 gene:OGLUM08G04160 transcript:OGLUM08G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPRRRNVTVANKLLHGYLPLSSSSPRHATPRLAIARALSLRLASPPRARIDRSPHHRGAAMESLSNVLARQPMMEVFQEVEFAALRIWKSGSYLHADEDGRSVYVGSLPRDGGGDSRHCAVWAVEPPIDAAAPLPQYVRLRGAYGRYLGAPDSYGSPLPFLSVDAAQRDRDRVEMDAIMWQPVACSGSDVVGGRDARGVSGFVAACFPPLLRVIEFVGEDDLDNIGEGEIWTTVETRGRSVRLLKEKIAKLVGYDDFTMCVSAGRHGQFTPLLIDLRRSRETLNIVLLRTNSEGKHPSSCMNVAEFSERCLCDSEFCCC >OGLUM08G04170.1 pep chromosome:ALNU02000000:8:3393896:3394912:1 gene:OGLUM08G04170 transcript:OGLUM08G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFFLPRPTPVVPSVASAVAADAVEYASLPCPAMTFYPPFSTLFGNQKMEFLLLGGNHNNAVVAVDQTCRTVLYDPGEHAVRTMPALPYQVRLPTTSVTVGDDLYILDTSRRHVNDDGGGNVPCGCFYGLIYEDGLNEDWRCHALPPPPPPLSHKSDLHVHSYAVVGDTEIWMSSTHGSGIYCFDTVSHVWSTVATGWKLPFVGLGEYCHEHGLWFGLSCSTGDRRRSLVLSALDLDGTKLPVLHSLPLEFTPPDALQLVSSYLVNLGAAKFCIARFFQTDEDQRDGEELFAVLTAVEVERCDDDDDAGGANGGGLRMLKHRSEMYKLTSEMMYWVL >OGLUM08G04180.1 pep chromosome:ALNU02000000:8:3428468:3430292:1 gene:OGLUM08G04180 transcript:OGLUM08G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEWLRRSQPRRKAASSSSLRQAARILATAGRGRGRVRVGDGCSAHSINLLHPPPRRAREGALLANANSAVLTAAWPYSELATAPTPRLPRASPSTSTSPPSAPPLPPPAFVIHHARSRSLSSTTTPGAPTACIIATHVDLQRLKSRRWEHRAVEPFPRLQLTNLAAAAAPDLRHLGRRLRRRAARCSKATTGADTTGRGGRVPRLLYHPRNRYMLCSTSTPARRPRSGRGREGVEGPRQSRRRRRGLRRGPDVVVRARSGSRSPTIASSSGAAWAEDSAPSILVSRATGGPLRVHPRPLRAAPPTAPGRRTPAPRVSWAITTVAGSSSPSGVRDGGGGGTIDNDDELESLVGGCCSLLQIVQCG >OGLUM08G04190.1 pep chromosome:ALNU02000000:8:3430700:3433352:1 gene:OGLUM08G04190 transcript:OGLUM08G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRGTSRGYPVGMEVFDDVAFARLRSHVYGSYLHADEDGRSVYHGSLRVGASQHNAVWAVEEFLVEGEDEEVQEEAPVRYLLLRSAYGRYLGATDAAPFEAARNAAARDAAANSDVRQPHSREGCCGGCCCCRLPFGLVEATQRDRDEEEPEVDAIMWLATRCGDQDVQEDRDARGVVLLRDRSGRYLRCNKSILACRRSISVDANFEDEDTLLWEVVRVPPSGDMPELPIATQPGFFVRACFPQPLREIQFVDEADLDNISEGENWATVEIRGRSVRLLREKIAKRVGYDDFTMCVSAGRHGQFTPLLIDLPRRRETLQIVLVRPNTESYDQLIFPNPNALPSAEATDEDNATIE >OGLUM08G04210.1 pep chromosome:ALNU02000000:8:3482022:3487714:1 gene:OGLUM08G04210 transcript:OGLUM08G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding cassette 14 [Source:Projected from Arabidopsis thaliana (AT1G31770) TAIR;Acc:AT1G31770] MPPQELHGDDHGRHHHHQTPAGGGGGGAGDGHHLDFSSPPSTTSSSSSSSSSTNSPAATAASSSSTNTSGAAIVHPTTSSPPSVASGRRSAANSYPLVLKFEEVVYKVKIGKPAAGWCARMSSAIGGGGEGRRKKGAAAVAKEKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGGGGGGGRGMLSGKITYNGQPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALGAGEKRAQAEEVMLELGLGKVAHSMIGGVRGVRGLSGGERKRVSIGLEMLVDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSSDGCPIYYGLAADALPYFASVGFASPLSLNPADLMLDLANGIAPQIGGGGGDGDVGGGAAAAAAANGGGSEAELKEVRGKLAAAYERHIAPAVKLDICAREGGGGGGQGAAAAAAVAGRRRRGGGKAAAEQWTNGWWAQFTVLLRRGVKERRYESFNKLRIFQVLSVASLAGLLWWRTPAAHLQDRTALIFFFSVFWGFFPLYNAVFTFPLERPMLVKERSSGMYRLSSYFAARTAADLPMELALPTAFVVILYWMGGLDPRPGPFLLSLLVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVGWLRWLNYSFYCYRLLIGIQFGDGAAHYDCGGGGARCLVADFPAIKAVGLNNHWVDVCVMALLLVGYRVIAYLALDRLKPR >OGLUM08G04220.1 pep chromosome:ALNU02000000:8:3490075:3491051:-1 gene:OGLUM08G04220 transcript:OGLUM08G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRLGRGRKAARRRWWWEDGRMSAATARRTATRKADGRRPPPFGWRVGGGGERAPAPDESLTRRQTEGDAGVGVVDLAIGPSAAWRGGASVAARPNLASPPRREFIGGKRVHHSKPKQVVSSSSSVWLPLWALGRGPHALFTLQRASRSIEDTRLPQRRRIMRASSPLILQSKDLVRSLTICLQMIIHY >OGLUM08G04230.1 pep chromosome:ALNU02000000:8:3493996:3501375:-1 gene:OGLUM08G04230 transcript:OGLUM08G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGEQMARLQEQARFVGVAAGNAGVGFDEGRWLSRVRESMAERAAEELGAAAVKVFDVPRVLRSTRPEAYAPHHFALGPYHCRRPELRDMERYKLAAAKRAEKLFAAGKRFDDLVRRFSDIHDKILAPYHRLLELNEQTLAWMMAIDTCFLLDFLESYHRDEATDMVSSAANWINAVVRDAIMLENQIPLFLFAAALELRHGTDQAQAASAAADALRGVLGRFITEVSPIKTTASAALAVAGDDLARHAHLLELLYHFLVPTDAVAEAVGNEPPPLVPEDFSAVDVFDQMQKEIPDYDKVKQACVQVSSLDMAPIRFIKKNLISRPMGLAASLPGKLMRKVPLLSAVAPLVGKLWSSSSSAADMEARLKGVNLGTIINSPLAQELMIPSVAQLAACGVRFAPAPEGIAGIEFDAAAATLKLPVITVDGNTETVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTAKDVKILRQSGVVVNRMKSDGEAAEMWNGMCRATRLSRVPRLDGVIRAVNEHRSRRAAVRLRKMMKRYVFRSWRVLTLLAAVVLLLMTALQTFCSVYQCNRWFGNMLQMPQPGDEATGSVASSGRKSSVRVRTDQLGVWATFGIKEVAVVEVLQQGELSMLGFTAIREARTSSDEWAWQEVVG >OGLUM08G04240.1 pep chromosome:ALNU02000000:8:3504356:3506485:1 gene:OGLUM08G04240 transcript:OGLUM08G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chlororespiratory reduction 6 [Source:Projected from Arabidopsis thaliana (AT2G47910) TAIR;Acc:AT2G47910] MAATALQLPVASSQRLTLRRFPGSGSLGRYSGLRWPVAAQARRAAEEGFRLRVAFNPSGNFDLSLSTDQDDAPQVEPPPPPTEGRFEIVINTDIIRTLDLSPVHEVLGDLNSLTPAQTRNLLDRTVGFTINYEREDPYDVRELSEFPDIRLWFVRLDACYPWFPVVLDWRAGELARYAAMLAPHQMSMRLGVVFNPEALELFVMKKVFIVYSWLKQQEHPKPGLKTADMARMLGFGIGDELFDLIEKYPAGPS >OGLUM08G04250.1 pep chromosome:ALNU02000000:8:3506593:3507590:-1 gene:OGLUM08G04250 transcript:OGLUM08G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMVLASVILLIMAATAHGLRLDMGLHAALNNEGMLNSKWQATANRPIDTRRTSNDRRGPGRSRTRPPKMSNPHDTDPRFSEDYSGPGGHSPNHHRTTPCDATSINLQKDELDHATVPDGYMSFDSPIKLLTGLQETLRLFEGECTVCAANSAP >OGLUM08G04260.1 pep chromosome:ALNU02000000:8:3513830:3518155:1 gene:OGLUM08G04260 transcript:OGLUM08G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCMVETCVFLNLQHAYMKERVQVVKGEVRKVVKGSSEVPEILDLVITLQRLGLDSYYETEINDLLCIVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDMFQHFKDKEGSFVADDVRSLLSLYNAAYLRTHGEKVLDEAIVFTTNRLRSELEHLKSPAADEVSLALNTPLFRRVRILEIRNYIPIYESATTRNESILEFAKLNFNLLQLIYCEELKSITGWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSVSRIILTKMIAFITILDDIFDTYATTEESMMLAKAIYMCNETATVLLPKYMKDFYLYYLKTFDSFEEALCPNKSYRVCYLKELFKRLVQGFSQEIKWRDDHYIPKTIEEHLELSRKTVGAFELACASFVGMGDLVTKETLDCLLTYPELLKSFTTCVRLSNDIASTKREQAGDHHHASTIQSYMLQHGTTAHEACVGIKELIEDSWKDMMKEYLTPTDLQPKIVARTVIDFARTGDYMYKQVDSFTISHTIKDMIASLYMEPYNI >OGLUM08G04270.1 pep chromosome:ALNU02000000:8:3523245:3523517:-1 gene:OGLUM08G04270 transcript:OGLUM08G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLNSWGLRAVALLALPQDPACLAPTLEQLLDITKSIQPNVGGNWIWCPPTSRRSDLVPGSSAPRSRWPWKAEEAIGAELGRWRRGLAR >OGLUM08G04280.1 pep chromosome:ALNU02000000:8:3529683:3534656:1 gene:OGLUM08G04280 transcript:OGLUM08G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAANFSNEDDERKAPTGFHPSLWGDFFISYQPPTAPKHAYMKERAEVLKEEVRKVVKGSNEVPEILDLVITLQRLGLDSYYKAEIDELLCTVYNTDYNDKDLHLVSLRFYLLRKNGYDVSSDIFQHFKDKEGSFVADDTRSLLSLYNAAYMRTHGEKVLDEAVVFSTNRLRSELKHLKSPVADEVSLALDTPLFRRVRIIETQNYIPIYESATTRNEAILEFAKLNVNLLQLIYCEELKTITRWWKELNVESNLSFIRDRIVEMHFWMTGACSEPHYSLLRIILTKMTAFITILDDIFDTYATTEESMMLAKAIYMCNESATVLLPKYMKDFYLYYLKTFDSFEEALGPNKSYRVFYLKELFKILIKGYSEEIKWRDDHYIPKTIEEHLELSRTTVGAFQLACASLVGMGDFITEDTLDYLLTYPKLLKSYTTCVRLSNDIASTKREQAGDHYASTIQCYMLQHGTTIHEACIGIKELIEDSWKDMMKEYLAPTNLQPKIVERTVIDFARTGDYIYKQADSFTFSHTIKDMIASLYVEPYSI >OGLUM08G04290.1 pep chromosome:ALNU02000000:8:3544721:3545251:1 gene:OGLUM08G04290 transcript:OGLUM08G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHAVVVPYPGSGNINPALQLAKLLHGHGVYITFVNTEHNHRRIVAAEGAGAVRGRDGFRFEAIPDGMADADRDVGNYDLALSAATSNRCAAPLRELLARLDGGAGAPPVTCVVVTALISFALYVARELGLPTMVLWGSSAAALVTQMRTRELRERGYIPLKGNEIKDDRDRTV >OGLUM08G04300.1 pep chromosome:ALNU02000000:8:3551338:3554463:1 gene:OGLUM08G04300 transcript:OGLUM08G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERAEVLKEEVRCMVKGSKEVSEILDLVLTLQRLGLDSYYKTELDDLLYSVYNSDFDDKDLNLVSLRFYLLRKNGYDVSSDIFLHFKDKEGSFAADEVRSLLGLYNAAHVRTRGDKVLDGAIAFTKSHLEAKLEHLKSPLKEEVSSALETPLFRRVRILETRNYIPIYEKISGRNETILEFAKLNFNQLQLLYCEELKKITLWWKELNIQSNLSFIRDRIVEMHFWMTGVCPEFNYSLSRIILTKMMAYITIIDDIFDTHGTTEESMMLAEAIYKCNESAIVRLPEYMKCFYLYLLKTFDLIEEELGTSNSYRVFYLKELASIYAIKLLKRLVQGYSQEIKWRDEHYVPKTVDEHLEVSRATVGAFEIACASLVREQKGEHHVSTVQCYMFQHGTTMHDACVKIKELIEDSWKDIVKEYLTLPTEQPKIVAETIVDLARTADYMYKKTDSYTFANTIKDMVASLYVKPI >OGLUM08G04310.1 pep chromosome:ALNU02000000:8:3557045:3557923:1 gene:OGLUM08G04310 transcript:OGLUM08G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISLGDISSFVRTTDADDFGLRFNEDEANNCTMAGALVLNTFDGLEADVLAALRAEYPRIFTVGPLGNLLLNAAADDVAGLSLWKQDTECLAWLDAQEMGAVVYVNFGSLTVLTPQQLAEFAWGLAATGRPFLWVIRENLVVPGDGGGDALLPTGFAAATEGRRCVATWCPQDRVLRHRAVGCFVTHSGWNSTCEGVAAGVPMVCWPVFADQYTNCKYACEAWGVGVRLDAEVRREQVAGHVELAMESEEMRRAAARWKAQAEAAARRGGSSYENLQSMVEMINSFSSKA >OGLUM08G04320.1 pep chromosome:ALNU02000000:8:3558735:3559658:-1 gene:OGLUM08G04320 transcript:OGLUM08G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNTVTCTLFRDEGYNDLTASLQQTPLFREVYIKADLVQKKKAYWNRRRKERMTSSVPPCSEGSCKMIRRGVRVPPPPP >OGLUM08G04330.1 pep chromosome:ALNU02000000:8:3560938:3563620:-1 gene:OGLUM08G04330 transcript:OGLUM08G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARA8] MARPHAVVVPYPGSGNINPALQLAKLLHGHGVYITFVNTEHNHRRIVAAEGAAPRARPRRAIPDGMADADRDVGDYDLALSVATSNRCAAPLQELMARLDAAAAAAAGEDGAGMPPPVTCVVCTALMSFAMDVARELGLPTMVMWGGSAASLMTHMRIRELQERGYIPLKGNMSFFVRTTDPNDFGLRFNEEEANNCTKANALILNTFDDLEADVLAALRAEYARIYTIGPLGTLLNHAAADTIGGGLSLWKQDTECLAWLDTQQPRSVVYVNFGSLTVMTPERLAEFAWGIAATGCPFLFVVRENLVPGGPAALPPEFVVETDGRRCLATWCSQEQVLRHPAVGCFLTQSGWNSKCESVASGVPMVCWPVFADQYINRKYACESWDVGLRLDEEVRREQVTAQVKQVMEHERSLNILLFLSQNITTFGYKFRHELFRFVTKVCYILNGCITWFGHKYFIPTKIFG >OGLUM08G04340.1 pep chromosome:ALNU02000000:8:3564228:3566688:-1 gene:OGLUM08G04340 transcript:OGLUM08G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSAPPDTFITSVIKTLTPTASTLARRGASACGFDAEVRWEQVAGHVELAMESEEMRRAAARWKPKYKP >OGLUM08G04350.1 pep chromosome:ALNU02000000:8:3566835:3567326:1 gene:OGLUM08G04350 transcript:OGLUM08G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGEDDTCENKDCRVSAGRKATTVGEDDACENKDCRVSAGRKVTTVGEDGACENKDCRVGVGRKASAVEEDNACENKEKRRKMMVVEAASPSSLDCPNLGILIEPFSSLSLLPPGCGRAWGRGRCYRGWRGRARPRRSSAGWRIHTRGPSQLVWLDTLRLLH >OGLUM08G04360.1 pep chromosome:ALNU02000000:8:3568415:3571406:-1 gene:OGLUM08G04360 transcript:OGLUM08G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTCWPSITGAVFNLHRGIPISREHNTQAEPRPGASSGGEPVFSGMDPKHFKGDHTYVHVSRKGYWQINTRDLLTDGHSTGFYAKGCAATVDSRTSLLADPTAIVAQKFN >OGLUM08G04360.2 pep chromosome:ALNU02000000:8:3569397:3571406:-1 gene:OGLUM08G04360 transcript:OGLUM08G04360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTCWPSITGAVFNLHRGIPISREHNTQAEPRPGASSGGEPVFSGMDPKHFKGDHTYVHVSRKGYWQINTRDLLTDGHSTGFYAKGCAATVDSRTSLLADPTAIVAQVNHAIEAE >OGLUM08G04370.1 pep chromosome:ALNU02000000:8:3571518:3572882:-1 gene:OGLUM08G04370 transcript:OGLUM08G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKRHVGWCPTLARETSTWQCSYPSCCKAMASSSQSSTSTTTTNTDDDEEHDDDDCSSSSHGGARLDRASIVMEPKEKRRPAAGVESQGEGGALHGDGEAAVRRDVVPIGTGLWHHTLGTPRLLPSRSLTPPHRLPATATATSHSPSAACSTSGLPFPHPNSSCSVLPHNRRRLFVSITSATALPPPLPTDAPSPSLSLLYT >OGLUM08G04380.1 pep chromosome:ALNU02000000:8:3572930:3578161:-1 gene:OGLUM08G04380 transcript:OGLUM08G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCEQGQSGKFQGGTKARVGPLDDANTFEGWREEAYRTDISHIDSDGALLTTFPLQREETYPVSINKRLYN >OGLUM08G04390.1 pep chromosome:ALNU02000000:8:3576666:3582125:1 gene:OGLUM08G04390 transcript:OGLUM08G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELERGDIAQAWRLVPDREAMDLSDSWQVKWISATKAAWVIEIWSLSRGKREAEHRKADRAGGAAAASSPHRRLGSNRIESNRRMEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSVSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAEKQSKQIKICTKVPPSSKRSFFGGSGPSNLSTYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTGPNKPLQSDRQTGLNRPFESNKPTGQNRPFQSNKPTGQNRPLQSNKPTDLNRPFQSNRPKF >OGLUM08G04390.2 pep chromosome:ALNU02000000:8:3576666:3582125:1 gene:OGLUM08G04390 transcript:OGLUM08G04390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELERGDIAQAWRLVPDREAMDLSDSWQVKWISATKAAWVIEIWSLSRGKREAEHRKADRAGGAAAASSPHRRLGSNRIESNRRMEYGRRKPISLLELCIRTTMDNLRYVDNVDGVEMDLLQRILPHCKMEDLTRIENNTEMDLTPVTDKLWKLFYTRQFGEENANQVVKRMSVSGARYKWKDLFDAKTKKQKEYEEKMGQRLAKKYEAAKAGSGPSNLSTYKSPILKKARMEVNSQAKMKAAIQRNTIARTSQHIRMNSNHVQPMKTTTIHRPNSTITITKPTGPNKPLQSDRQTGLNRPFESNKPTGQNRPFQSNKPTGQNRPLQSNKPTDLNRPFQSNRPKF >OGLUM08G04400.1 pep chromosome:ALNU02000000:8:3582703:3591080:-1 gene:OGLUM08G04400 transcript:OGLUM08G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSVQHRHRYTYTSLVFPKHYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTILYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLEFGCVLVFSKENDCLQCYTKSTNDDTELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDENVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQHVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMCMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >OGLUM08G04400.2 pep chromosome:ALNU02000000:8:3582705:3590412:-1 gene:OGLUM08G04400 transcript:OGLUM08G04400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSVQHRHRYTYTSLVFPKHYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTILYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFLFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKTIELDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVLSQQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDENVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQHVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMCMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >OGLUM08G04400.3 pep chromosome:ALNU02000000:8:3582705:3590412:-1 gene:OGLUM08G04400 transcript:OGLUM08G04400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRSVQHRHRYTYTSLVFPKHYLEELARVPTEVSSSSFFSQLNNLISLTSTYAQVIAVKDLASAFVQFLSSPAIPDDAVLVATKLYLEILFLENSLPLHRTIISVLAKCKKHCSLISGCFATLCEEYGGSGIKAKKRFLVSRAALSLIGYPKLGFLDESVKKCAEVMALDVVAGLDGVISDIVDGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTELDKASTVFKHVVRTILTVLKSSAFSRDCLVASGVSFCAAIQVFMSSEDICWFLSEGLFSICAEQKDIKESAGHEVLSDFNLCEEIRDISILSRLCLLRGILTAIPRTVLNMRQLHSNGSLWTILYNGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYEPFSRDVINRILRIIWSNLEDPLSQTVKQVHLIFDLLLDIESCIPSGDPEENSKLFLFNIASDLLRLGPRCKGRYIPLASLTKRLGAKSLLKLKSNLLLETAYAYMDDDVCCAATSFLKCFLETLRDECWKDDGIEQGYDAFRFLCLPPLLRGLVSGNSKLRSNLNTYALPAAIEVDADSIFAMLGFINVGPSAKTIELDVALKNDQCIAALVSLLKVSRNLALVEGDIDLDPDVLSQQVANKCDAVISIRGINVTVPVKWFVLALTHNEESLRIDAAESLFLNPKTSSLPSALELSLLKQAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGLWLPSSLSGDKDSNSVDTVKATTIKRAEDLFQFMKWLSSFLFNSCYPSGPYERRTIAMELILTLLDVWPICRSEGKNDLYPYSDSITLPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSTSIDSVIRWAKKLVLSPRVRESDAGALTFRLIFRKYVLEFGCVLVFSKENDCLQCYTKSTNDDTELTSQNPVAQYISSLIQWLCAVVEEGEKDLSEACKKSFVHGVLLTLRYTFDELDWNTEVVQSCIAEMRCLVEKLLQLIMRVTSLALWVVSSDAWYMPYDVDDMIDDDSFLSDIIDEDQPGTASEIAETNVKSGHNGKPAEHVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSHGDLAENTEETGMTGDILDVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCKMTESWMELLMDRTVAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQNDSNQKLDTEKDFLGEPCESQSETTAGVHSNGNLSKSRNEGVVPTVHVFNVLRAAFNDANLATDTSGFCAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVHKRESARRYPALHPFLLSELNVATGLLADGISSNLGSQIAKAIHPSLCPILILLSRLKPSPISRGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQHVVGDILHNLPCGSHEVTAHRALCLSADMGNGNLTLPAKSFSFNSIHGLLLQLSSLLDNNFRALTDSTKKDQILSQLIEALSKCSWLGSVKLCACPVVSTSYLRVLDLMLDVARTGKSGHTDAIQILLWELSYQCLNNGTSTHYAFHDPTQIELRQQAAASYFSCVGLPKRHDETNDENVQLQILDMTSSIPEMPREVSLYELHKEIASCLVDPAYDVRITALKRILQLAKSARSGDSKKFLHQWAKSNLQHVILKRIFEEEHPKCLYYNLKIIFSWNMECQFNSEEDSSTFLSFWDRLVHLNSTVSHAKTRETILCCMCMCMRQFAKMLRGLLDSKTHEHSTSFVRIDEGKNLSTAILSASSFVNLVKNLSAPSETVNARRAAAEAIIASGLLEEANLFAPSLSNAYLPSEHDENHIEEKCSNATVSELINLYSCKILDLWFICIQLLEDEDTYLRQKLANNVQKIIAKGSANNLCDDSTPLQVDRVIELSFEYLTSLLGHWLKYTEYLLRLVLDTANTLNSRGDLIRQIFDKEIDNHHEEKLLICQICCSSIQKLLQSKYLVETGAEVELFLQNWRESFLHQLISLTSSFLEKEGKTDWIGGIGNHKDVFISVYANLLGLYALAQSQSLEQLKDRHTEYLKNFSDLDGVITPFLKNPLISNLYSLVKESHETFNSPKKQWDQVGSSATESFDPYFLIR >OGLUM08G04410.1 pep chromosome:ALNU02000000:8:3591416:3601636:1 gene:OGLUM08G04410 transcript:OGLUM08G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAAAAARGPLLHLQRNPAPRLAAARRSFSSSGMRCVSAGAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIRDRILTRFPQ >OGLUM08G04410.2 pep chromosome:ALNU02000000:8:3591416:3601784:1 gene:OGLUM08G04410 transcript:OGLUM08G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAAAAARGPLLHLQRNPAPRLAAARRSFSSSGMRCVSAGAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIRDRILTRFPQ >OGLUM08G04410.3 pep chromosome:ALNU02000000:8:3591416:3597276:1 gene:OGLUM08G04410 transcript:OGLUM08G04410.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAAAAARGPLLHLQRNPAPRLAAARRSFSSSGMRCVSAGAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIRDRILTRFPQ >OGLUM08G04410.4 pep chromosome:ALNU02000000:8:3591416:3593947:1 gene:OGLUM08G04410 transcript:OGLUM08G04410.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14310) TAIR;Acc:AT1G14310] MPAAAAAAARGPLLHLQRNPAPRLAAARRSFSSSGMRCVSAGAGAGGGGRERRSSPAFGGLLLDAGGTLLQLARPVAQTYASLGRRYGMSKSEESIKEGFKRAFSAPWPKTLRYQGDGRPFWRIVVAEATECTNNDYFEEVYEYYAHGDAWRLPAGAYETLRDLKDAGVKLAVVSNFDTRLRKLLKDLHVSDMFDAIVVSSEVGHEKPAPEIFKRALDQIGVEASKAVHVGDDETADKAGANAIGLECWLWGQDVRTFSEIRDRILTRFPQ >OGLUM08G04420.1 pep chromosome:ALNU02000000:8:3594154:3600707:-1 gene:OGLUM08G04420 transcript:OGLUM08G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGAMGSLLPKLGQLLNEEYGLQAGLQKKIESLSWELESVHAVLRMVGEVPPEQLDQLVKLWARDLREASYDMEDIIDAFMVHIDGRKEPADPHILRRLRKKISILFKKTKARREIAGAIQDINEKLEEVAARRGRYTVNNIVTKPVVPEDIDPRLLNLYKRATELVGIEGQMDNLIKMLSLGNDIDLFDKDVGQNPDMKKVLWDIIIDLDKKTYTDFNITLFDERQLINKLQEILQEKSLVSSSWGSFTVMRIYRCFIVIDDMWDKKSWGLIRCALQDSNHRSRVVVTTRVFEVATYVGDVYKTQPLSRNDSEKLLYTRIVQGEGKCLDSSLVEVCDKFLKKCGGVPLAIITIASLLANKPQEDWSEVYSTIVLGHGGNDDVENTRRILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKKLLIWKWIAEGFVHEKQAPGVGLFELGEGHFNELINRSMIQPVEAEDKGYVDGCRVHDMVLDMIRLLSFEENFVTVLDGSEKQESPRIKTRRLALQHRNFEENRHQLGNVGVDQLRSFVASECDGITVESPSFHVLRVLALENHFSRNYYCKYILQHLGNLHHLRYLGLQNVRTSELPDEVGDLKFLQVLDLSGTGIQELPESVGLLTKLLCLRANEGTRVSAGLIVKLTSLQEMWICPADISQFVKVLGKLRELRVLRTSLFTHGQDERTDRDLLESLQNLHKIHTIDIGGSSRMKSVMWEAGFTSPRRLRHLRLRSLVFYRMPVGINPLLLPNLCYLDLQVQIVKEQDMETLGRLPELRHLKLCSCKTHVVSVEKAAGDGYFRKLRYFSTPCSFLRFDLHGVICSTKTIMPRLESLEFFVRVLFLRDANLLGFDKLLGFGNHGRTSLRRVEATMACSGARVTEVEEAEAALAQVAAVHPNRPTLKTTRLGDSKIHSPYKEPTSVNRKVVFQNVNVREMKDDDGYFDFHWLLRNPWVEKFSVSIFCEDATLQEVEKAEAAARCAVDNHPNSPTLDMMRYDEDKMVLSDQHQEPEVVHRDVNVRKIKDKGRNIKFSWLLRNQYVKKFRISINCENASLREVKEAEETAREVTWIHPNSPRVEIVRYGEDKMVSD >OGLUM08G04430.1 pep chromosome:ALNU02000000:8:3610897:3611610:-1 gene:OGLUM08G04430 transcript:OGLUM08G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIKIVFGTVVAVGIAAVFSPQSNTSSSSSSLVSRALAISTYTSVIISVAATMTVVVRLDEGPSFARWRRVLVLTSCGSLWSVTTLYLVSLNWRQVVAVPVALVIFFAAGRWPFVSSVVVVHHPDHDENAIKAVIFRRCDLFIGTGLVGVFFGTSFNDYMTKASRVPGAVVYIWISIYLVFTIGLFLMASIGTGLEALTDDYARKLYYVAAALLTVAFLLVVVVNCLRVSELPTS >OGLUM08G04440.1 pep chromosome:ALNU02000000:8:3613006:3614069:-1 gene:OGLUM08G04440 transcript:OGLUM08G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVYIRRDTDLPQIYLSTIVLLAAQLSSLCEEEVGIGRMQQRLCVWKETVKKMRLPNQVQSWLLQRLLAGVLEVKKMKLPSHASAPSIRRSGGEEDEVAQPGAKLVASVTSGRRSGGEEDEVA >OGLUM08G04450.1 pep chromosome:ALNU02000000:8:3618848:3624918:1 gene:OGLUM08G04450 transcript:OGLUM08G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVLGSLLPKLGELLKDEYDLQRGTREKIKSLSRELESVHAVLRIVGEVPSEQLDELVKLWARDVRELSYDMEDIVDTFLVRFNDSHEPDDPRVLRRLRKKMSKLFKKAKDRREIAGAIRRINEKLQEVATRRARYRVDSIVTKPAGPVSIDPRIQALYKRSTELIGVDGPMDKIINMLSPRNDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPLD >OGLUM08G04460.1 pep chromosome:ALNU02000000:8:3636930:3651582:1 gene:OGLUM08G04460 transcript:OGLUM08G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLRDILIDLDKQNYMHSNTTTTLDERQLINGIKDLVEKKRSIVIIDDIWDKKSWELIRCALQDSNCGSRVVVTTRISEVATHAGCVYNMEPLSRDDSEKLLYTRIASAEGKCLTRPSAVACEKILNKCDGVPLAIITIASLLANKPEEDWSEEDVEIDKNLLIWKWIAEGFVQEKQAVRIGLFELAEGCFNELINRNMIQPVVSQYEWYGPKFQSDQVYEEGYVYGCRVHDMILDMIRSLSKEENFVTVLDSHEQSELPLSHARRLALHGMSIEQQNRCQMANMAAEQVRSFFANKCDDISLVFPYFRAVRVLGLEYSADYGIWRHFRSLFHLRYLGLVGVNIKDLPKEVGDLKFLQALDVKRTSISKLPDTVGMLTQLLCLHAYAAAPVGLIGKLTSLQELWIIKPSAAAYMHHDENADDMCFSEKDAGDMYSDEKNAARQFVKDLGRLRELRVLRTKIDVMDDSIARDLLESLDNLHKIRYLEILGTSWGMNVTWTRTGFISPWHLKRLCLECIEFSRLPFWINSSSLPYLSFINVTVQVVQEKDMETLGMLPELYCLKLYSRYTNLVSINHTGNGGYFQKLKSFKIVGSSVRFDLSGCDCSGGEIKSSFMPSLETFETDVHVRFLKDANMLGFDKLGLENLPSSLKRIIVVICCRDACDAEVEEAQAALEHAADIHPNNPTLKIDRYGEEIKRPLKRGAFSIASMELVTGAMENLIPKMGELLKEEYVMQSGMREKIQSVSRELKSIHAALRKIGKVPWEQLDDEVTLWARDVREASYDMEDIIDSFLVRVDGHEASEAHWFKRFLEKMTNQFNKIKASHEIGVAIKEIDEKLQEVATRHARYTIDNIAIKPAGPVTVDPRLLSMYKTSVELVGIEGPMDELMKMLDIDLPTKKRKIEIDVPVRKTKMVSIFGFGGLGKTTLAKAVYDKLKPSFDSGAFIPVGQNLNIKKVFRDILMDLDKQTYNDLNLKLLDERQLINKLQEFLQKKRCFVVIDDIWDKDSWRLIRCALQDSNHESRVVTTTRIYEVATQVGEVYKMQPLSHDESKNILYTRIVSGEGESLPSPSVEACDKILKKSDGVPLAIITIASLLANKPREYWSEVYTSIGLGHGGNDDWIAEGFVHEKQAEKLGLFETGEGYFNELINRSMIQPVEHEHFGYIDGCHVHDMVLDLILFLSVKENFVTVIDGCKEHELSWKNARRLALQHWSFEENRNQLANMGVKQISWWNIDGKINLQHVRNLLHLRFLHLDCIDSISLLEQVRNLRFLQVLHLNQCNIQELPESVGLLTKLLSLRVDIHVRVSPGVIEKLTSLQELCLRPYSDDTFQFVKVLGKLRELRVMHAYNLYLTGQGEASALLESLCNLHKIQTLDIGLNLNPNEGVTWDAGFSSPQCLRYLCLRPLNLHRMPEWINSSLLPNLSYLELGVDFLEEPDLETLGRLPKLRYLSLYTHCDRIVSIGKIAGAGDACFQELRFLNTPYLYVRFDQHGIMCSKDEKAVMPNVKTLSFCVYVRILKDADILGFDKLFSFAHLGRSSLQEVQVNIQCRGARAMEVEEAEAALAHAAAIHPNCPTLQIHKFSEGEMLPPHEEPSSFFPKVVVENLNAHERKDDDLRFVLGQMLQRNPCVKKFSVSINCENACLEEVEKAEAAARYAVDFHANRPTLELVKYGEDKMVLSGQHQQVCTPGCLSDSNP >OGLUM08G04470.1 pep chromosome:ALNU02000000:8:3644201:3646036:-1 gene:OGLUM08G04470 transcript:OGLUM08G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKFINTNQNKNHHRTNVAPPAIDAAYTAPPTADTRSAMRTTAPPTTDAAYVVLPTADTGSATRTTAPPAADATETAFDGVPPATDAGPAMETTGSTTGDVVPPAADALDTSFPFSTAQTQAGGRCRCHHNEAETPPAPSSPPPLPPPKVSAIPLLRLRDPPLCPHDEAEMPLPPPASTMATACRAKPASSPPSRATPIALGRRRRRPRDGGCPLLPNPRCAAQTHVAPEATEVGQSWIQTTATTTSR >OGLUM08G04480.1 pep chromosome:ALNU02000000:8:3662035:3662269:1 gene:OGLUM08G04480 transcript:OGLUM08G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALRRGFKTLAVTRAVLLSAAGPPLCAASRRSWLRWRWRRVAVHDACVGGGIEVVAGETKEMWGGGICVGPTIGQ >OGLUM08G04490.1 pep chromosome:ALNU02000000:8:3664341:3667740:-1 gene:OGLUM08G04490 transcript:OGLUM08G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGTRKKIKSLSRELESVHAVLRKVAAVPLDQLDELVKLWARDVRELSYDMEDIVDMFLVRFNDDHESDDPWVLRRLRKKMSKLFKKAKDRREIAGAIQSPVSIDPRLQALYKRSTELTGVDGPMDKIINMLSPRDDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPNPDMKKVLRDILIDLDKQKYKHSIIMKLNERQLIDEIKDLVEKKRCIVIIDDIWDKKLWELIRCALQDSNYGSRVVVTTRISEVATHVGCYVYKMEPLSHDDSEKLLYATIANAEGKCLARPSAVACEKILNKCDGVPLSIITIARLLANKPEEDWSEVYNSIGFGHGGNSVVENTRTILSFSYYDLPSHLKACFLYLNIFSEDVVIEKNLLIWKWIAEGFVHDEEAAGVGLFELGEGQWRQYQGHQSKARYGEGYVYGCRVHDMMLDLICSLSKEENFVTLVDSHEQVELPPSNARRLALQSINIKEQNRIQLANMGMEQVRSFLANRCAGISLESSHFRVLRVLALEYCKDNVIFWHFRGLYHLRYLGLVNAGITELPKEVGDLIFLQTLDLRETFILELPESVGLLTQLLCLYVDHGTRIPADLIGNLTSLQELCIRPADNNNFNDMRQFVKALGRLRELRVLQTQIDVLDDSMEKDLLESIENLHKIRYLEILGTSWGMNITWTRIGFISTRHLKRLCLECIEFSRLPFFKRKC >OGLUM08G04490.2 pep chromosome:ALNU02000000:8:3664341:3667740:-1 gene:OGLUM08G04490 transcript:OGLUM08G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTGVMGSLLPKLGELLKDEYDLQRGTRKKIKSLSRELESVHAVLRKVAAVPLDQLDELVKLWARDVRELSYDMEDIVDMFLVRFNDDHESDDPWVLRRLRKKMSKLFKKAKDRREIAGAIQSPVSIDPRLQALYKRSTELTGVDGPMDKIINMLSPRDDIHLSDKKKIISIVGFGGLGKTTLAKAVYDKLKPDFDCGAFVPNPDMKKVLRDILIDLDKQKYKHSIIMKLNERQLIDEIKDLVEKKRCALQDSNYGSRVVVTTRISEVATHVGCYVYKMEPLSHDDSEKLLYATIANAEGKCLARPSAVACEKILNKCDGVPLSIITIARLLANKPEEDWSEVYNSIGFGHGGNSVVENTRTILSFSYYDLPSHLKACFLYLNIFSEDVVIEKNLLIWKWIAEGFVHDEEAAGVGLFELGEGQWRQYQGHQSKARYGEGYVYGCRVHDMMLDLICSLSKEENFVTLVDSHEQVELPPSNARRLALQSINIKEQNRIQLANMGMEQVRSFLANRCAGISLESSHFRVLRVLALEYCKDNVIFWHFRGLYHLRYLGLVNAGITELPKEVGDLIFLQTLDLRETFILELPESVGLLTQLLCLYVDHGTRIPADLIGNLTSLQELCIRPADNNNFNDMRQFVKALGRLRELRVLQTQIDVLDDSMEKDLLESIENLHKIRYLEILGTSWGMNITWTRIGFISTRHLKRLCLECIEFSRLPFFKRKC >OGLUM08G04500.1 pep chromosome:ALNU02000000:8:3667755:3671976:-1 gene:OGLUM08G04500 transcript:OGLUM08G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRTAARGASLSRRKPTEPAWLTREGSEKEGVDKDENREKRASSLEEEVDKDENREEMERIRKERRRTREGKSGPQSPPPLFHSSNSKYHAGAPSLVPPALPHRVAVAGAISDAPPPLNRRSRSGYGPLEKVKDLTN >OGLUM08G04510.1 pep chromosome:ALNU02000000:8:3672693:3676200:-1 gene:OGLUM08G04510 transcript:OGLUM08G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKKPSRIEPFRHKVETDPRFFEKAWRKLDDAIREIYNHNASGLSFEELYRTAYNLVLHKHGPKLYDKLTENMEDHLQEMRVSIEAAQGGLFLVELQRKWDDHNKALQMIRDILMYMDRVFIPTNKKTPVFDLGLNLWRDTIVRSPKIHGRLLDTLLDLIHRERTGEVINRSLMRSTTKMLMDLGSSVYQDDFERPFLEVSASFYSGESQKFIECCSCGEYLKKAQQRLDEEAERVSQYMDAKTDEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDKYEDLTMMYSLFQRFPDGHSTIKSVMNSHVKETGKDMVMDPERLKDPVDFVQRLLNEKDKYDSIVTTSFSNDKSFQNALNSSFEHFINLNNRCPEFISLYVDDKLRKGMKEANEEDVETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKAASDDSERSMLVKLKTECGYQFTSKLEGMFNDLKTSHDTTQRFYAGTPDLGDAPTISVQILTTGSWPTQPCNTCNLPPEILGVSEMFRGFYLGTHNGRRLTWQTNMGTADIKAVFGNGSKHELNVSTYQMCVLMLFNSADCLSYRDIEQTTAIPSADLKRCLQSLALVKGKNVLRKEPMSRDISDDDNFFVNDKFTSKLFKVKIGTVATQKESEPEKMETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVTEVTKQLQPRFMPNPVVIKKRVESLIEREFLERDKTDRKLYRYLA >OGLUM08G04520.1 pep chromosome:ALNU02000000:8:3678359:3681845:1 gene:OGLUM08G04520 transcript:OGLUM08G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPATTAACFLPASLLLFLLLLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTRDGAVVPRLKAANPPVRANVLYLDPEFAAVISKAVKEVFLPYFNQAIWFQNMSIYHFSMFHASHHLEPIIATEDEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNARSLDHVKFFHDLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKL >OGLUM08G04520.2 pep chromosome:ALNU02000000:8:3678359:3681952:1 gene:OGLUM08G04520 transcript:OGLUM08G04520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKPPSCSTRLRSPATTAACFLPASLLLFLLLLLLRRPPMGSFPPTIPGGSVSSRRAELYGRMARDLDERGAAFLDGGETSQSLTLSELFDTRDGAVVPRLKAANPPVRANVLYLDPEFAAVISKAVKEVFLPYFNQAIWFQNMSIYHFSMFHASHHLEPIIATEDEIEAEVDAVKRVTDDVCPLKIILDQVVLTSTGVLLGLWQVESGTDPADIRSRLREALPRAPQKQLYDPVMLHTSLARILGHPKLPQEGNARSLDHVKFFHDLVAQVNSKIRGFQATVKELWFVEEYDVLALALNGKMKVRRLQFGCKEGQGNGKI >OGLUM08G04530.1 pep chromosome:ALNU02000000:8:3682783:3685251:-1 gene:OGLUM08G04530 transcript:OGLUM08G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQPAASDPAPPPPPETEEQPAAAGGEEIAALDEQLAVADGGGDDGKAAAAAEGGGGGGGKLVAETMRKYAAPRSSRYHGVTRLKWSGKFEAHLWDNTSQVEGRKRKGKHGSYVTEENAARAHDLAALKYWGAGPNTKLNFNVSDYEKEIERMKTMSQDEFVVYIRRQSSCFSRGTSSYRGVTRRKDGRWQARIGRIGESRDTKDIYLGTFETEIEAAEAYDLAAIELRGAHAVTNFDISNYCEDGLKKLEASSEVPKLLEGPSKAAKLAGR >OGLUM08G04540.1 pep chromosome:ALNU02000000:8:3687150:3690399:1 gene:OGLUM08G04540 transcript:OGLUM08G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARD8] MEVCEHAVVHGSAAVAAAIDLYTRCSLRLLPRADDEAGAAVLELDLRDHGLTFSWPCARLHEALLTEEVAGAQEARPCSPDRMASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGSDLPMGSGLGSSAAFCVSMSGALLTAAGMVAAVGGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSTIKFKKGELTNLKSRNPIKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFHAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >OGLUM08G04540.2 pep chromosome:ALNU02000000:8:3687150:3690399:1 gene:OGLUM08G04540 transcript:OGLUM08G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARD8] MEVCEHAVVHGSAAVAAAIDLYTRCSLRLLPRADDEAGAAVLELDLRDHGLTFSWPCARLHEALLTEEVAGAQEARPCSPDRMASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGSDLPMGSGLGSSAAFCVSMSGALLTAAGMVAAVGGISSKGTGWELVGKDDLELVNQWAFQGEKIIHGKPSGIDNTVSTFGSTIKFKKGELTNLKSRNPIKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFHAVNSISEEVSSLVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTLLSNLVLEKVIAELESQSFRCFIVEVGGQGFQVCQGGCSCFNGDVV >OGLUM08G04550.1 pep chromosome:ALNU02000000:8:3693558:3694724:-1 gene:OGLUM08G04550 transcript:OGLUM08G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSRDVRGGAAKPPAVAAPAAESRRHSLRPSRTALVLTSLAFCRGRRDDAVHAYSSLPLEEPPAKGAHVSVDFDFRALPGEEDAKRGLKAALEDAAPARRCASFNASSPPPAAKEAEVRALPGFDQGIMSGLRGIVAAEPSPSPERENPTTPKASEEEAAAAAFDDMGTPAARDIPEVTGFVRARVDEFHEKIEKKKKAAKAADEEALDVAPPPPRRPERVTKASAVVVVYFTSLRGVRRTFEDGRAVRAILRGHRVRVDERDVSMHAAFRAELRGLLGDCFAGPPPLPRVFVGNGRHDLGGADEVRALHEAGELARALAAAGCEQHAADAAAGACAACGDMRFLPCETCYGSCKVFAGDAVAGMFWRCPDCNENGLIRCPVCCY >OGLUM08G04560.1 pep chromosome:ALNU02000000:8:3698376:3699056:-1 gene:OGLUM08G04560 transcript:OGLUM08G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLGGSGPMVGVGQGLAAVRAIGATGRETTADIGEMGKGGSVQGGRDKEGARRCNAHVEG >OGLUM08G04570.1 pep chromosome:ALNU02000000:8:3699736:3710013:-1 gene:OGLUM08G04570 transcript:OGLUM08G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARE3] MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVRFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPQVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKDIMKETKWVTIGKLPVMESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATITESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDSGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGVTRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSRAVAADGGGDRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >OGLUM08G04570.2 pep chromosome:ALNU02000000:8:3699736:3710013:-1 gene:OGLUM08G04570 transcript:OGLUM08G04570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARE3] MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVRFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPQVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKDIMKETKWVTIGKLPVMVKSNLCWLHKLQESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATITESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDSGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGVTRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSRAVAADGGGDRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >OGLUM08G04570.3 pep chromosome:ALNU02000000:8:3699738:3708640:-1 gene:OGLUM08G04570 transcript:OGLUM08G04570.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARE3] MEDPEITGQQSPNATSIVTDLMDLDDIIVEGNEVRFAMDVDLRAIPSLKDGGHTDPLVQIPGDMSHMDVDLRVIPSLKDGGHADPQVQVPVDKRIASLEKLCKEASRSFFRETRLVSHQINSYNDFVSHGLQKMFDSLDEVTVEPDYDPSKKVGPWRHATIKFGRVELEEPVFWVDNCDLDVETLKLKPKHARLQKMTYSSKMKVEMTVQVYSLHKSDKAKTGEDPYIQRKDIMKETKWVTIGKLPVMVKSNLCWLHKLQESECEYDFGGYFLIKGMEKVFVAEEQRCLSRIWINDSPTWEACYQRSQIRREKISIKPVQSNDGFRKVINLYFLGATIPIWIMFFALGVSSDKEAFDIIDIQECDASMANIISATITESHEQCEGFQREGRASEYIDKLIRNTKFPPKGSFDEYIGRHMFPDVSGNRSKALFLGYMVRCLLLASSGNRKSDNRDDFRNKRLDLACELLQRELWVHIMHAQKRMVKVMQRHLSGDGDLQPLECYVHASIVTNGLNRAFSTGSWCHPFNKRERCSGIVATLRRTNPLQMMSDMRKTRQWVAYAGKAGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAITAIVSCLAREPSVDALVSCGMKKLDELLLQEISGKDRIFLNGNLVGVCADSVEFVLHLRSMRRRKQIDAQVEIKRDKQNKEVRIFSDPGRILRPLLIVENLRNIMNRKNGSYSFQELMDQNIIELIGVEEEEDIRCAYGIRHLFAGDEEKNFSFYTHCELDPSFLLGLSCSIIPFANHDTAKRILMQAEKISQQAIGYSTTNPLFRVDTHSHQLYYPQRPLFKTVAADCLGKRDYTSGSKHDFARPEYFNGQNAIVSISVHQGFNQEDSLVLNRASLERGMFRTQHFKSYKALIENKEITKRLKHKENINFGKTPSKKGLVDSLDIDGLPYIGASLQSNDIIIGKVSDSGEDHSIKLLHTEKGIVEKVVLSATDDGTNSAFVTLRQTRSPRIGDKFASMHGQKGVIGFLDSQENFPFTHQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGVTRYATPFTSPSVEVITEQLHKAGFSRWGGESVINGRTGERAASPVFTGPTFYQRLHHMAEDKVKFRNTGPVHPLTRQPVEDRRRYGGVKFGEMERDCLLAHGAAANLHERLFLLSDVSRLHVCRRCQRAAVVVSRAVAADGGGDRKVRGPYCRFCRSAEEVVRVSVPYGAKILYQELFSMGICLKFDTELI >OGLUM08G04580.1 pep chromosome:ALNU02000000:8:3715961:3716894:-1 gene:OGLUM08G04580 transcript:OGLUM08G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLAAQLKDKFCGLIGRITSCGRAAHKDAAAGVKETHSASSQHTEIRSRGLPPSVSGGSKPHTN >OGLUM08G04590.1 pep chromosome:ALNU02000000:8:3726028:3726930:-1 gene:OGLUM08G04590 transcript:OGLUM08G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTSSVSARTASSLSSSSSLDDGGRGRPPPAGSTLELPSRKHYRYPSRSARLFQKLRRSLPLLTLAPRCGRMQVGSASEVAAAAASGGGGGGAADSHLMSHVVSSFSGAARPMRRVTGTLFGHRKGRVALALQETARCLPTLVIELAIQTNALLRELANPAGARIVLETERRAPSTDAAAAGKHRRAPPLLDVAAWTMFCNGRKTGLAVRREATDDDLAVLETLRPVSMGAGVLPASNRSSSSSSPSPEKGAAAADDEVAYMRGCFDHFVGSRDSESLYMIAPQGGGTGPELAIFFVRL >OGLUM08G04600.1 pep chromosome:ALNU02000000:8:3731243:3731662:-1 gene:OGLUM08G04600 transcript:OGLUM08G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGGGGGGGGESQQKLFERLHGELVREAEDVAAASFGADITVLAVSPRSGEPRVSRFHGGGGGAGGEELERAVGVSTEEIARMGRDEVAALLERLRLLRMVVLRRMVVQSQRRRRLRRQRPAAPPRSIMVVQKR >OGLUM08G04610.1 pep chromosome:ALNU02000000:8:3734235:3734597:-1 gene:OGLUM08G04610 transcript:OGLUM08G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAGGDAEEEKQQQSSSSSTFERLHGELVREAGELAASCGADVTVLAVPPARSSSFAGGGVTRFVGGGGGAAAVPRPEEVASMGPDEVVALDERLRSLRLLVMRRIKAEQEKAATKP >OGLUM08G04620.1 pep chromosome:ALNU02000000:8:3740372:3741804:1 gene:OGLUM08G04620 transcript:OGLUM08G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPALARLDVSTVAAGAGKQQLVHARRRRRPAHAHRLPVPGAGSAIRLACSSPFLGGSNGSRSLKHNAAAGEKSAADHAAGALEDELIQKENSGGDAAAGASPPSSCDNHSAPQQIEVTADTNDGDKEKTNGPARDVHIKAKLLGYNLEPGSGPHYNHLGPV >OGLUM08G04630.1 pep chromosome:ALNU02000000:8:3749065:3749622:-1 gene:OGLUM08G04630 transcript:OGLUM08G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKGVSRRRKARRPGELTSWTCAGEWCGVGPNYNCNVVFTCMRACWGFCLVTLAKLLSLKLIVALGAVQKPMCSGVTELRLSSVEEHARFRHIVFEVNSICTTLWSHRPRRMCPSPCRLPPPSFLLAASLLPTHRQAPSSGFYLLPPPNDHHLSPYWSPATTHLSRDSASGVLFTCMHGHSWS >OGLUM08G04640.1 pep chromosome:ALNU02000000:8:3750262:3756281:1 gene:OGLUM08G04640 transcript:OGLUM08G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVNCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEIPEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >OGLUM08G04640.2 pep chromosome:ALNU02000000:8:3750347:3756281:1 gene:OGLUM08G04640 transcript:OGLUM08G04640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIFACGSISSAHGSCFRPACLAVDDLRLFYKINSVNCGAYSWRWCVKKLHMRTNRRQMGTTVRTNARWLFGGDGRSSSNARMERSESANEDILIFYFQLDLQTRIQIETVIIRQREAKRGSSKTEAQDKAINLLRVRADLQKAVDSENYALAAALRDEIAKLETESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRAVICGMDHVCCESKSWMETANVENLSKGPNQPFYQVLVDVYADPELLVAYVAEENLAEAEIPEKGRFDHPYIEFLFFGEDTAGDFIPIKQLREKYDQPRYEASGDEDDDDGSTDS >OGLUM08G04650.1 pep chromosome:ALNU02000000:8:3763039:3764287:1 gene:OGLUM08G04650 transcript:OGLUM08G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLARLAGKQQQLLLQYALRRSPAPPGRRLPGVVPALPLLWSAPFLGGSRRLKSYNAAAGVAVGGDKAAADHHHRHAVGADLDVGELASEEHYSAAGTSSSSSRDKRWRRLMATSTAARRWQQGRRREVAAPKQVYYWRAESRSKEVITCL >OGLUM08G04660.1 pep chromosome:ALNU02000000:8:3767546:3767827:1 gene:OGLUM08G04660 transcript:OGLUM08G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSSPASPPPSFLIGGAQAQLLRHREEMLLVLPSPPSGRQLPSEEEEAAPCAVNGRSTILAAADDSKPTRPGAPAEGSGGNGGAIHAAASS >OGLUM08G04670.1 pep chromosome:ALNU02000000:8:3768561:3770531:-1 gene:OGLUM08G04670 transcript:OGLUM08G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAFKAGGDFHSRTAMNMYQHVRDAVEEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSWDWKVEVREARDTLKLWHRDRKEVSAWQKKQKALALEKCEVYTLLGRSRQFPNMTHAGPGQKGHVERAAINAPVQGSAADVAMYAMLEIERNARLKELGWRLLLQVHDEVILEGPTESAEEAKSIVVECMSKPFYGTNILKVDLAVDAKYAKSWYAAK >OGLUM08G04680.1 pep chromosome:ALNU02000000:8:3780558:3781143:1 gene:OGLUM08G04680 transcript:OGLUM08G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDELQMKENTFQEGTAMASSSSSSLLAHPSSLLRQVVHGFAGYLAGLCRSLQNLRPAAAPKQDADDEFAVNNTAASSSEEVENVQMRTRAMPRSERPVLREGNGGKGGAHHNAGL >OGLUM08G04690.1 pep chromosome:ALNU02000000:8:3782494:3783048:-1 gene:OGLUM08G04690 transcript:OGLUM08G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVEQHHQQEEIAAAMTTPTPQQMMMRRRRARASSEYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSAISISGAAAARTNFLYPDMHHHHPSPPQHALSPAVPPPPPPPPPSPLYDDDNADDDYQLQAAAAAAAEEVEAGDDESLTIATILQSFQYQQSVPPASSGSMFY >OGLUM08G04700.1 pep chromosome:ALNU02000000:8:3791074:3791583:1 gene:OGLUM08G04700 transcript:OGLUM08G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTSPSSFLPAHLLWPHPASLAGANVLVRDAPPETGGGPHHNVVLLRQPPVMLAAAAGTPEQGSGPHYNAVPQWKPRGGDQLRLPASPPVILAAASTPEQGNGPKTNAVLRRPTPPGGAGPREDSGGRGGVIHAVADSAPQRPGAPAEGAGGNGGAVHVAPAAAASS >OGLUM08G04710.1 pep chromosome:ALNU02000000:8:3799115:3799528:1 gene:OGLUM08G04710 transcript:OGLUM08G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAPFLIPAHLLQPHAASASSGLQLAGANVLLRDDAPPEGGRGPHHNAVLLRQPPVMLAAAAGTPEQGNGPKINAVLRRPTPPGGAGPREGSGGRGGVIHAVADSAPQRPGAPAEGAGGNGGDVHVAPAVATSS >OGLUM08G04720.1 pep chromosome:ALNU02000000:8:3801951:3802761:1 gene:OGLUM08G04720 transcript:OGLUM08G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPLPLPAPLLRRFPQFTTCHLLQLVLYPYCRSAAAAPAPPSFFFFFFFFLLFLLLPAAHAGISSVPITTKNSSKLVVVSSSGGTHGQGKEAASAQEGRAGEVAGSGESETEACRADQGPLGGCKWRPRRRDRRRRETATAAASVERLRWWQDCHTHSKPRQVELELELELC >OGLUM08G04730.1 pep chromosome:ALNU02000000:8:3803262:3803807:1 gene:OGLUM08G04730 transcript:OGLUM08G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALASPTSPSFLPAHLLRPHAASSSSGLQLAGAKVLVRDAPPETGGGPHHNAILLRQPPVMLAAAAGIPEQGSGPHHNAVPQWKPRGGGELRLPASPPVILAAAGTTPERGNGPKTNAVLRRPTPPGGAGPREGRGGRGGVIHAVADSAPQRPGSPAEGAGGNGGAVHAAPAAAAAASS >OGLUM08G04740.1 pep chromosome:ALNU02000000:8:3806724:3807239:1 gene:OGLUM08G04740 transcript:OGLUM08G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMALAGACRRRPQLLRPSAARRLSFVFLSRPFPPAPAPLLQLIQRQRHRSSSSSSISENGAAAPVTENLQAAAARRRRQSAGGRPAAPRGGRGGGGVRPTPPGNPREAQKGGGVIHAVAPPPAAPTSSKPKPEPPGYPREGGGGNGGVVDDVSPSSTDTSTSSSSSSS >OGLUM08G04750.1 pep chromosome:ALNU02000000:8:3811448:3811934:1 gene:OGLUM08G04750 transcript:OGLUM08G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDDEKVEKKSSASGGLHGEEQVDGQVVTAETEPPPAAAAPSRQPQQSILRAFAGCLLALCGSGGSGGGDPSDPPPLRPRPHGATAAVTDTTSAAAAAAHHLQHEAEGGSKHVVIRKI >OGLUM08G04760.1 pep chromosome:ALNU02000000:8:3816490:3817271:1 gene:OGLUM08G04760 transcript:OGLUM08G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQEGPATVAAGRTQLVPSRIAYRNLWWKDAAAAAPGNITSLPSTLVVAYYIDREKKERCKERSDNYCGPSKPGNSSL >OGLUM08G04770.1 pep chromosome:ALNU02000000:8:3820334:3820615:1 gene:OGLUM08G04770 transcript:OGLUM08G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARLDPGDKPTQGNQGDVSATASTKQHHDDNDGEFGVLWRKAERLGPSLLRRWRATPRCASSGPSPTSTLLDGDGGRARVDGIVEEIHVSI >OGLUM08G04780.1 pep chromosome:ALNU02000000:8:3822585:3826854:-1 gene:OGLUM08G04780 transcript:OGLUM08G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVSGDAGARARVRVLAVSRVAPSPAPVERERVGLSFFDTPWVVLPPIQRVFLYETAAAADGGGGDGFAAAVERLKGSLAATLALYLPLAGKLVYVEETEDVVVDCAAADDADSGVAFVEAEAEDAAAEAMDVRRLAGDEAHDVAAFLALVPELDTRALPAPVLSVQATRLGGGAGLALGLSVHHAVADGRAVWQFMEAWSSAARVGSPVTKSLGAPHYRREMAIPQPDGGELARHMLKLVAPKLPAVASGEHDFSQRFRLARRTFHLGADAIQSVKRRIDELASAEEEAAGGAKPKPVSTFVALAAMGWAAFVRSKALADGDDTYLIFLADLRARLDPAVGEGYLGNCVRMCLARCPDSAELRGERGLLRAARAVQAAVAEMEAAPLAGTADGSAIARVMQIPFSRMANVAASPRFGAYGAADFGFGRPARVELVSMNHDGEMVLVGGRRDGEVQLSVSIDPAHVDAFKAQCQKSNNDNVCNFARNKTTGVIGRPAGAPCMLRGTVVVTGDCSAIVA >OGLUM08G04780.2 pep chromosome:ALNU02000000:8:3822585:3826854:-1 gene:OGLUM08G04780 transcript:OGLUM08G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAAAVSGDAGARARVRVLAVSRVAPSPAPVERERVGLSFFDTPWVVLPPIQRVFLYETAAAADGGGGDGFAAAVERLKGSLAATLALYLPLAGKLVYVEETEDVVVDCAAADDADSGVAFVEAEAEDAAAEAMDVRRLAGDEAHDVAAFLALVPELDTRALPAPVLSVQATRLGGGAGLALGLSVHHAVADGRAVWQFMEAWSSAARVGSPVTKSLGAPHYRREMAIPQPDGGELARHMLNNDNVCNFARNKTTGVIGRPAGAPCMLRGTVVVTGDCSAIVA >OGLUM08G04790.1 pep chromosome:ALNU02000000:8:3831403:3837640:1 gene:OGLUM08G04790 transcript:OGLUM08G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSVTIIEDGRVAVPPPPPPEPALFKLTALDAQWIPLPLIQRVLVFDDGGEGRIPPFEDVVAALRASLAETVARLLPLAGRIVHLPETGEAAIDCSGRGGGVRFVVAECGGADAARVARDADHDVALMEQLAPVLDADALPAETMAAQVTRLGGGGGGVAVGVALHHAVVDGRSVWRFIEAWAACCRGDDAWSAAPALAFDRAAVALPDGEELARDVLRKYVPNLPVATVPKFLVKGRLQLSRRTFTVAAAQMLRLKHRIVAGAGAGLTPPSGFVALAALAWVSFVRSKHAAGAIAAGDEVYLFFFMDCRGRRAAFDPPVGEGFFGTCISGCLATATARDLLGGGDGGLVAAARAVQEEVRRAAEDPLAGWEWMSLVGRIALSRLVNMSGSTRFPAYEAADFGWGSPSRTELVTMNHGGQVVLVAAKGGGVQASVSMKPEHMDKFKSDFESYLE >OGLUM08G04800.1 pep chromosome:ALNU02000000:8:3841596:3842450:-1 gene:OGLUM08G04800 transcript:OGLUM08G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRKSYGHLLSPVGSPPSDNESGAAAAAAAAGGGGCGSSAGYVVYGGGGGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGAAAARHGEGGCCGGGGGADGVVIDGHSPLAGGLSHSHHGHQQQDGGGDVGLMMGGGAAGVGYNAGAGSTTTAFYAPAATAASGNKAYCGGDGSRVMEFEGIGGEESGGGGGGGERGFAGHLHGVQW >OGLUM08G04810.1 pep chromosome:ALNU02000000:8:3851808:3870076:1 gene:OGLUM08G04810 transcript:OGLUM08G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVGVSTGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELDPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGIDPRLRALYVEVDELVGIKGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGKTTLANQIFRQLKCQFECTGFVSVSRSPDIKSILRQMHTEVGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGGCVYQMEPLSFVDSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLSYFDLPHHLRTCFLYLSVFPEDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIQPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVRRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEGCQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSNSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISRALSMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRTAAI >OGLUM08G04810.2 pep chromosome:ALNU02000000:8:3860467:3870076:1 gene:OGLUM08G04810 transcript:OGLUM08G04810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVGVSTGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELDPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGIDPRLRALYVEVDELVGIKGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGKTTLANQIFRQLKCQFECTGFVSVSRSPDIKSILRQMHTEVGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGGCVYQMEPLSFVDSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLKDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIQPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVRRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEGCQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSNSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISRALSMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRFLVYPYMSNGTVASRLRERPPYEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANISLDEDFEALVGGFGLAKLMDHMDTDEPNAVRGTIGHIAPEYLSTGIISEKIDVFGYGMMLLELITGQRAFDLAHLANDDDVMLPDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKMK >OGLUM08G04810.3 pep chromosome:ALNU02000000:8:3860467:3870076:1 gene:OGLUM08G04810 transcript:OGLUM08G04810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATMLVGVSTGVMNTLLSKLSKILEDKYTKLKGVRRQIAFFRNELSSMKAALEMLETVEELDPLQKEWRDTVRELAYDIEDCIDPFLVLVDQKQDEQSTFFKGFSYKLKKMKARHEISNEIEELKTRVIEASKRHKRYNFVGLQSSHGTSGIDPRLRALYVEVDELVGIKGPKEHVMEWFAKGRGDVEVKVLSVVGSGGLGKTTLANQIFRQLKCQFECTGFVSVSRSPDIKSILRQMHTEVGITDDTSEDERQLIDKIRDHLKDKRYFVVIDDVWDVEAWEAVKLALFNNRCGSRIVMTTRNAAVASHCSRGGGCVYQMEPLSFVDSKMLFCQRAFRSQELYYPHLEEVCDEILAKCGGLPLAIITVSSLLAGKHAKDEWDRMLTAIGHALAKNPDAANMTKILSLKDYKISKQHLINRWIAEGFVHEEQGWRTYEVGGNYFNDLINRSLIQPVDINDGQAKACQVHDIILDFITCKAAEENFVTSVNSVEHGNISECRVRRLCVKNHNNEKVSKPTSLNVTHVRSLTMFGHVDGISLFAFPILRVLDLSYSLLKDKHLKNIEKLHFLKYLSLRSTLITKLPRKIGQLNCLETLDISYTEILELPLSIAKLECLANLYVGRGTRFPDRLIGKMHSLVELEEFGVSCELGKSLQGFSQLSKLRTLKVHLFWWSDAEGCQNYVSALLSSNLHHLYLTGGPLIMEKWYPPSPCIIRKLHIIGCYIRKVPNWMSSLGSLTELQLWIHRMGPNDIEILGAIPSLCFLKLKTMCGINGRIIICSNKGFRSLTYFSLRIKRCGTLLEFEPESMPKLDNFQVEFRLHGMDCLNGASDFGIQHLSALTKVKIGIWGNICSDGIYDPEQDMNNSITRSVVSLIKAAIEKLPNHPTSRFHLEYDHGSCLLGETSQEFEGQGPDEAYPRQHKIFSLRELEDATNCFSNSNVLQRGRFDGSMYKGRLGDGSLVVVKKDYISRALSMGYPNIDWRTRHFQTQVEMPVHRNLMRLHGFCITPTKRFLVYPYMSNGTVASRLRERPPYEPPLDWRTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANISLDEDFEALVGGFGLAKLMDHMDTDEPNAVRGTIGHIAPEYLSTGIISEKIDVFGYGMMLLELITGQRAFDLAHLANDDDVMLPDWVKGLLKEKRLEMLVDPDLQSNYIDVEVESLIQVALLCTQGSPTERPKMAEVVRMLEGDGLAERWEEWQKMK >OGLUM08G04820.1 pep chromosome:ALNU02000000:8:3870885:3873361:1 gene:OGLUM08G04820 transcript:OGLUM08G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G31010) TAIR;Acc:AT4G31010] MLLLAGLLRRARPPRRPSVRRLSGLLDRYGFVPPASLTPHSASDDGGAKKRRPKKPPYRPPSSLDRGGRPAARSDLLFDFRFSYTESSPGDKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDTTLRDAHADDPAPAAERELEEARRRERERVLGEPLTPAERAFLVSKCQKSRTKKQINLGRDGLTHNMLNDIHNHWKNDEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRHGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKEMRKKGLYVPVLTKLAKNGYYASLVPMVRDAFLTDELVRIDSKGLPKSDYRKIGVKLRDLVPCIIVSFDKEQIIVWRGKDYNGTIQDNTQKTSVSVLEEESAGAESENGDQEQASSDWASDECSQLSSSDEMPDDKSAISEADSD >OGLUM08G04830.1 pep chromosome:ALNU02000000:8:3884499:3886406:1 gene:OGLUM08G04830 transcript:OGLUM08G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRGFPATLLVTLLRLVGCLLLVCLAAPPCAAQQVKTSHAQFAFHLPLPDGVTGAESLAFDSSNHGPYTGVSDGRVLRWGGAAAGWTTFAHHQDYRKIPMCTTPVAPPEETESMCGRPLGLAFHDRTGDLYIADAYKGLMHVGPRGGEAEVLAAGADGVPFNFVNGIDVDQATGDVYFTDSSTTYPRRFNSEIMMNADATSRLLKYDAATKQVTVLRSGLPYANGVAVSRDGSHAVVAHTVPCQAFRYWIKGPNAGEYELLADLPGYPDNVRRDARGGYWVALNQEKVRLDATAAAVAPPAKHLVGVRLDGDGVEVEELTAAKGVTLSEVVERGGKLWLGSVELDFIGLIMQ >OGLUM08G04840.1 pep chromosome:ALNU02000000:8:3891645:3892646:1 gene:OGLUM08G04840 transcript:OGLUM08G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMWLTVLTKNERIGAGETEEATTGEASSRHGARRRWSGALRGYGPMRRPHIPCVTAAVAGKAITPSRCVIPKVVPLARSGKPKVGSVELNGVAAAA >OGLUM08G04850.1 pep chromosome:ALNU02000000:8:3897822:3905242:-1 gene:OGLUM08G04850 transcript:OGLUM08G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPRLLWRWQGSSPWLSSPFRRTRYLSRPAFAAGGRNKRGETWPQSKTFKVPNDEGITTEGKKAPKSRTIKLFTIVEDLKIDMFTPTGWPSVSGDVLRSLAGKIPTDRIYKIDDGQEFDEDGSSLELPEQDIEDTSLYGTAYEAFGGGKKGREACHAIAALCEVFSIDKLISGFIVPLQLQCSFTSRXFRKWASKHCPDAKYMNVNSDNQIRQLFFGGIKNRNKPGETWPQSKTFKVPNDESIATEGKKTSKSRTIKLFTIVEDLKLFTTEGKKTTKTGWLKVRGDVLWSLAGKIPTDHIYKIDDGQEFDEDGSSVELPEQDIEDTSPYGTAYEAFGGGKKGREACHAIAALCEAFSIDKLISGFIVPLQVTQDLSHAD >OGLUM08G04860.1 pep chromosome:ALNU02000000:8:3909756:3914976:-1 gene:OGLUM08G04860 transcript:OGLUM08G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT1G34220) TAIR;Acc:AT1G34220] MVGVSSKTLLKLSIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELIAVRLPIIETQKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAATELMPDCGVNRQIIELLSIRAPPVDVKMKLLKEIAEEHEIDWDPSATETEYLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEHPDEDYESDTGLESLDLPEVPKAAIRPPSDTPATPDIDTHVQSSQPTSHEFSNMPSSQPTSHEFLNMPSSQPSSHEFSNIKSSQTTSHEFSNVQTSQPASHEFSNPSDLEENPTANAAFMTQPKGLEHIPTPFAQPSMPVSPNEKKHFVPFASPPPFAVPSLVEKNESIPSPSPSPPVKPTDPEMFRTIDEVTPPPTTTDYLFSKQPEQLHSTSAENIANIDLDDVLSAAQTAAETAERAASAARAAANLAQLRIADLKKNSKAYENYSDSVRKESHPQSEVTQKPLILKGXADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDTSIKKVWHNYSFDSHVIENCGIKVAGFHADTMHLARLWDSSRRTDGGYSLEGLTNDHRVMDAVVKDIPKTGKVSMKTIFGRKKVRKDGSEGKTISIETVEKLQREDRELWICYSSLDSMSTLKLYESLKXHGLFNVCKL >OGLUM08G04870.1 pep chromosome:ALNU02000000:8:3922073:3930439:1 gene:OGLUM08G04870 transcript:OGLUM08G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVGVSTGVTGPLLSKLSMLLDQEYGKLKGVHKHIKSLRDELTIMNATLQMIANSEELNPQMKDWRDKVRELAYDMEDCIDEFITFVDHEHDGLMASKGFFHKLKKVKARYKIASQIEELKTCAVEVSKRQMRYNIVQSTPSSSTSSIDPRLPALYEEVDRLVDIDGPKKHIIECLTKFTEGSSTQLKVVSIVGCGGLGKTTLAKQVYQTIKSQSSCSAFVSVSRNPNMKKILRNIAEGVGIIGYTTDDDIEQVIDKFRKHLQCKRYLVVIDDVWDTEAWKVIRLALFDNRSGSRIVVTTRNASVASNCSSDGGYVYHMEPLCFADSKRLFCKRAFGLEELCYPHLKEVLYGILEKCGGLPLAITTVSSLLVDQRTKDEWERVLTAIGSTLANNPDVGNMTKILSFSYFDLPHHLRTCFSYLSVFPEDCEIEKKHLINRWIAEGFVHEENGQSAYEAGERYFSDLINRSLIQPVDIKYGQARVCRVHDILLDFITCKAAEVNFITSVDSVDHGPVSDYKVRRLCVDNRNIQENILLRGLILSHVRSLTIFGNFVQIPLSTFTALRVLDLEYCQMLQDHHLAYIEKLFHLKYLKVNSNLITELPQKIGELRYLETLDISRTGIAELPPNIVRLQRLARLYVCHRPIFPDGIIGQMQSLEELDKFGVFSYKHEKPLKEFGQLTKLRSLTIRCDLHWSTDSEGSQADDLDSCMESLISSCTVRNLRILKLYNSPLSCPMSLDSWCSAAPTTNRLQKFHITFCYISKVPNWMSSLRNLKELKLYIYSLSPEDFEILGEMPSLIFLNLKTFYGTNGRIYVCGDNKGFSSLKCFSLDIIYSGTAVEFEAGSMPKLEHLKLEFPLIEMESTMSASEFGIRHLSNLTKVDLVFLSCFHYDSNYDPAADKEDSIIKSVATLIKAVAEALPNNPTIKFELRW >OGLUM08G04870.2 pep chromosome:ALNU02000000:8:3923197:3930437:1 gene:OGLUM08G04870 transcript:OGLUM08G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVGVSTGVTGPLLSKLSMLLDQEYGKLKGVHKHIKSLRDELTIMNATLQMIANSEELNPQMKDWRDKVRELAYDMEDCIDEFITFVDHEHDGLMASKGFFHKLKKVKARYKIASQIEELKTCAVEVSKRQMRYNIVQSTPSSSTSSIDPRLPALYEEVDRLVDIDGPKKHIIECLTKFTEGSSTQLKVVSIVGCGGLGKTTLAKQVYQTIKSQSSCSAFVSVSRNPNMKKILRNIAEGVGIIGYTTDDDIEQVIDKFRKHLQCKRYLVVIDDVWDTEAWKVIRLALFDNRSGSRIVVTTRNASVASNCSSDGGYVYHMEPLCFADSKRLFCKRAFGLEELCYPHLKEVLYGILEKCGGLPLAITTVSSLLVDQRTKDEWERVLTAIGSTLANNPDVGNMTKILSFSYFDLPHHLRTCFSYLSVFPEDCEIEKKHLINRWIAEGFVHEENGQSAYEAGERYFSDLINRSLIQPVDIKYGQARVCRVHDILLDFITCKAAEVNFITSVDSVDHGPVSDYKVRRLCVDNRNIQENILLRGLILSHVRSLTIFGNFVQIPLSTFTALRVLDLEYCQMLQDHHLAYIEKLFHLKYLKVNSNLITELPQKIGELRYLETLDISRTGIAELPPNIVRLQRLARLYVCHRPIFPDGIIGQMQSLEELDKFGVFSYKHEKPLKEFGQLTKLRSLTIRCDLHWSTDSEGSQADDLDSCMESLISSCTVRNLRILKLYNSPLSCPMSLDSWCSAAPTTNRLQKFHITFCYISKVPNWMSSLRNLKELKLYIYSLSPEDFEILGEMPSLIFLNLKTFYGTNGRIYVCGDNKGFSSLKCFSLDIIYSGTAVEFEAGSMPKLEHLKLEFPLIEMESTMSASEFGIRHLSNLTKVDLVFLSCFHYDSNYDPAADKEDSIIKSVATLIKAVAEALPNNPTIKFELRW >OGLUM08G04880.1 pep chromosome:ALNU02000000:8:3933464:3937220:-1 gene:OGLUM08G04880 transcript:OGLUM08G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAPFFEDPSIKKVWHNYSFDNHVIENCGIKVAGFHADTMHLARLWDSSRRADGGYSLEGLTNDHRIMNAVLKDIHKTGKVSMKTIFGRKKLV >OGLUM08G04890.1 pep chromosome:ALNU02000000:8:3942021:3953637:-1 gene:OGLUM08G04890 transcript:OGLUM08G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGLAPNMNPNKSFEVLPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGSSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQMSSKVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPATAKTSIYLHSPQQQQQQQQQGLRLPRQHQQQQEEAGGGGAGSEHAHHLFDELLRRGTGSIVDLNRALSAVARGSPAVAVSLFNRMPRADLCTYSIVIGCCSRVGHLDLAFAALSRVIRTGWTAEAITLNPLLKALCHSAMTRGPAMRWTLRCDECLRWVARRMHYPTTSFSRASAMKTGGMSPNDVTYRSIVATLSKAQAMEKAREVLTRMVKNGVMPDCITYNSLLHGYCSSGKPKEAIGIFRKMCKDGVEPNVVTYNTLMDYLCKNGRSMEARKIFDSMVKRGHKPNSATYGTLLHGYASEGSLVEMHQLLDGMRDVITYNTLIDGYCLHGKVDEAMKLLECMVLYAVKPDDVTYTTMINGYCKNGRIEDALALFRQMTSKGVNPDIITYGTILQGMFQAGRTRAAKELHLWMIKSGIKLPIRMYNIILEGLCQNNCTDDALRMFHNLCLMDFQLENRTFCIMIGALVRGGRHDEAKDLFASLLARGLVPNVVTYWLMMKSLIEQGLLEELDDLFLSLEKNGCTADSRLLNALVGKLLQKGEVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQAQTVAMHDAPVKEIAWMNLLVSGSWDKTLRYWDTRQSNPVHVQQLPVHCYALTVNYPLMIVGTADRNLVVFNLQKIHVP >OGLUM08G04900.1 pep chromosome:ALNU02000000:8:3957870:3959940:-1 gene:OGLUM08G04900 transcript:OGLUM08G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDASSPLAGRGAGESSSGLFRAPYPLNRTSSDTSNAAAAAMVVAPPPLTEPKFESQLALPLQHGDDQDDAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQGAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRAALQAAAAEGPELRAAVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVRGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >OGLUM08G04900.2 pep chromosome:ALNU02000000:8:3957870:3959536:-1 gene:OGLUM08G04900 transcript:OGLUM08G04900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPLTEPKFESQLALPLQHGDDQDDAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQGAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRAALQAAAAEGPELRAAVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVRGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >OGLUM08G04900.3 pep chromosome:ALNU02000000:8:3958824:3959940:-1 gene:OGLUM08G04900 transcript:OGLUM08G04900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRERDASSPLAGRGAGESSSGLFRAPYPLNRTSSDTSNAAAAAMVVAPPPLTEPKFESQLALPLQHGDDQDDAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQVRTKKIKRKPACDEQILLHGCPLLTYG >OGLUM08G04900.4 pep chromosome:ALNU02000000:8:3958824:3959536:-1 gene:OGLUM08G04900 transcript:OGLUM08G04900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPLTEPKFESQLALPLQHGDDQDDAAALQESPRHASDSFEQEASKPRDKIQRRLAQNREAARKSRLRKKAYIQNLETSRMKLAHLEQEITRARQQVRTKKIKRKPACDEQILLHGCPLLTYG >OGLUM08G04900.5 pep chromosome:ALNU02000000:8:3957870:3958820:-1 gene:OGLUM08G04900 transcript:OGLUM08G04900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAYINRSSNPATLPAPIDSGVVTFEVEYAQWVEEQGRQTAELRAALQAAAAEGPELRAAVEAALAHYDRLFAAKREAARRDVFFVMSGVWRTGAERFFLWIAGFRPSEVIRVLAPQLEPMTERQAADVRGLQQKARHLEDALSQGMDKLKQTLADSLLAEAVVVSTSCDASPPPEEEPSSSAAGDGGCYMAQMGSAMGRLSNLVAFVDHADHLRQETLQNMYKILTLPQAARGLLALGDYCQRLRALSSLWAARPREPA >OGLUM08G04910.1 pep chromosome:ALNU02000000:8:3972862:3990311:-1 gene:OGLUM08G04910 transcript:OGLUM08G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLKYQINPFFKFVIIKTQLITHYYHIVLRESLSLCFVLSSSSFPRTLTPRRPTDRVRPHHLARASPGRNPDPTRKHTISFLLPSLAASAFCIYDVPPPPPHPREPASPAAPRHRSPATPPAAAAAVSAATTRRSSRAPPPSPPRAPGAHCPAPEVGAPLLPANPNPSPSPPPARGLPSDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKRGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLTKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDSILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRIKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYRMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSDQDDVEIRLVAFAENSYPFVKLLYRCSCCGSGAGSGFFISPNFELIVVSMPASPTVIFFKDVPSIGDDRQSMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQRQIGCRILWAFRRIYPTASGKK >OGLUM08G04910.2 pep chromosome:ALNU02000000:8:3972862:3990311:-1 gene:OGLUM08G04910 transcript:OGLUM08G04910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLKYQINPFFKFVIIKTQLITHYYHIVLRESLSLCFVLSSSSFPRTLTPRRPTDRVRPHHLARASPGRNPDPTRKHTISFLLPSLAASAFCIYDVPPPPPHPREPASPAAPRHRSPATPPAAAAAVSAATTRRSSRAPPPSPPRAPGAHCPAPEVGAPLLPANPNPSPSPPPARGLPSDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKRGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLTKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDSILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRIKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYRMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSDQDDVEIRLVAFAENSYPFVKLLYRCSCCGSGAGSGFFISPNFELIVVSMPASPTVIFFKDVPSIGDDRQSMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQRQIGCRILWAFRRIYPTASGKK >OGLUM08G04910.3 pep chromosome:ALNU02000000:8:3972862:3990311:-1 gene:OGLUM08G04910 transcript:OGLUM08G04910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVLKYQINPFFKFVIIKTQLITHYYHIVLRESLSLCFVLSSSSFPRTLTPRRPTDRVRPHHLARASPGRNPDPTRKHTISFLLPSLAASAFCIYDVPPPPPHPREPASPAAPRHRSPATPPAAAAAVSAATTRRSSRAPPPSPPRAPGAHCPAPEVGAPLLPANPNPSPSPPPARGLPSDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKRGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLTKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDSILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRIKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYRMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSDQDDVEIRLVAFAENSYPFVKLLYRCSCCGSGAGSGFFISPNFELIVVSMPASPTVIFFKDVPSIGDDRQCKKWLQIKGMVYMFVLVPNFPDFAAMIISSCTCTNLAMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQRQIGCRILWAFRRIYPTASGKK >OGLUM08G04910.4 pep chromosome:ALNU02000000:8:3972862:3989571:-1 gene:OGLUM08G04910 transcript:OGLUM08G04910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGDAFAYPDPVWILSCALWEELQNVKASPRRAAIEKAQEELRQEYDVREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVADHITEQNVISEAKGSFAFAASPHGDSVESSGKPGSSSCRDANTADNLMLLDGDTSNTGGEKLVKRGTKRTNVSQPDVSLRCDGQNNVKEAEDSSLFRPGAKNQAYARRRSKSSRENATASVGSLPVSPLYSQGKDAKGIIQETKSEDHGASSIGNSKPASPDRNNTSKVASLGDHDAMEMDNTNEGNQAATHETTNIKDGVETPEISPNSVNGNSQLIGDGLVVTATTSAESPDTSPKEAALMATSSFPSSCNEVLEEACAAEEAGNGCSDKNLVVHADDMVSKSSVPPSEVEIASLNENEADIPCADVSKTVDEHPGKSENLSGKVSDEDLGDAIPSDKDGNKDGQPEGGDMPTVVDGVSNSVQPEVSNTIYAKDDVDVHNKMVDAQKDTGNLATSGLDKVDKEACSNLKKNNKCSPDSNIADKLDSVTVASGLLTKDVPSSVSLMNPDNDVNKTGENIPMMEKKECEDSTVAKKEHEDSILRRARLIEVNIKKAGERSLCNISLEKKPKSHWEFVLEEMAWMANEFMQERLWKSAAASQMCHWIALSGRATFEEANIQRKQKSIARILAKSIMKFWCSAETLRATSGEMRKEKQAEESIGIGETKLAGINAEKEQCNEPLEQEKLQSPIQSYALKFLKDNCNISECLSLAEAPATPDRLNDFGILKVPDQLSEKTNNSRQKNDYEPSTTGSVADAHRGHAYEDDDVEASTYVLHGTYDDGLPSKSSHKKKHLMQQRMNGTRHYSTGVDMPYDPYVESKPGNQPFLSNGKRPSDFFNIPTKRIRTAARQRVVSPYPANASGATAFTSKTDASSGDTNSCQDDQSSLHGGSFPRKNVDIESTVDFDRQLYDGSEVSTKSKKKKKSKHPGYKTPQSVAESCSLIAGKKDYLKKRSEVHQFDSNGNIVINGQHAAKKPKLMNQAPDVSLEALTPVGPMVSPAASQMSNMANPTKIIKIITNRDRGRKNKVLKMAASHSGPGSPWSSFEDQALVVLVHDMGQNWELVSDALNSIVQLKCIYRRPNECKDRHKLLTEKGSGDGADSADDSGSSQHYQSTLPGIPKGSARHLFQRLQGPFEEETLKAHFEKIIFLGQKLHPNRIKGESQELKQINPLHSSHLHALSQACAPGVILMPLDLCDAMTPNPDALSIGYSGSHASGLMLPNHPSSIGPTLPTGNMNTRLPGSPGMVLGNTLPSPSTPNTPRDSQRYRMPRPTSLQGDEQQRIQYNQMLNSRSLQQPGVPVPGAPAGVDRGVRMMPGAHGMGVMTGLNRGTPVTRPPFPRLGSSGMLNMVSPGNMLPNNGQGMQNTVNVHPGTIPGHGNTMLRPRDPMQMLRPGQNMEEHRQMMQEFQMQVSQGNNQSIHFSGTPFSNVGASSPGQPFPVQSSQPHQMPQQSHVLGNTHHPHIQGTTQSSPQQQAYAMRLAKDRHMQQCMMTQQQHPLSGASAVSTVQNGSQMQQQSQGPASSAIPSSQSQHKQQHPAQNSLDSSVPPNQPVNTSHKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMMHQSSPVDATQASGISTTSKNQVSDKSMVQQGPGYFAGNKGLVPSVVQPGNQPKIYGSQMPHSPIQTSDVGSQSSMQGSPNQTMLTSQQAPLHSSSPLAQQQQQRYMNPSHNNIQRLVMQQNRHMNTDGRIESPVDQVQHNQAIPSTSIAKSTDSGSPGGVSSINQRRQESSHDPTTVPSTSQLASSPQDTFVGNEMLLSVSSQGMLQRQMSGGVPIHGHGIGGQRQQLQSRQQQQQKPAVQGSDQDDVEIRLVAFAENSYPFVKLLYRCSCCGSGAGSGFFISPNFELIVVSMPASPTVIFFKDVPSIGDDRQCKKWLQIKGMVYMFVLVPNFPDFAAMIISSCTCTNLAMVYEAAVASSLKGQQQQQRGHKGSAARSHEHQVSIKEKQQRQIGCRILWAFRRIYPTASGKK >OGLUM08G04920.1 pep chromosome:ALNU02000000:8:3996195:4002027:-1 gene:OGLUM08G04920 transcript:OGLUM08G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDGRDAAVREATGVPEVDAGEFSLVGKIRSWRDCSIALQVHGNSSKVHHDPSPYLEEDPRGDELPVALPEKNSKRAALPDLVQDDDAERGGGQRQQQQKDIGNLLAGTGHGRALRPVQNL >OGLUM08G04920.2 pep chromosome:ALNU02000000:8:3997407:4002027:-1 gene:OGLUM08G04920 transcript:OGLUM08G04920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDGRDAAVREATGVPEVDAGEFSLVGKIRSWRDCSIALQVHGNSSKVHHDPSPYLEEDPRGDELPVALPEKNSKRAALPDLVQDDDAERGGGQRQQQQKDIGNLLAGTGHGRALRPISRQDE >OGLUM08G04920.3 pep chromosome:ALNU02000000:8:3997670:4002027:-1 gene:OGLUM08G04920 transcript:OGLUM08G04920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRPDGRDAAVREATGVPEVDAGEFSLVGKIRSWRDCSIALQVHGNSSKVHHDPSPYLEEDPRGDELPVALPEKNSKRAALPDLVQDDDAERGGGQRQQQQKDIGNLLAGTGHGRALRPSISYGRDYY >OGLUM08G04930.1 pep chromosome:ALNU02000000:8:3998329:4000740:1 gene:OGLUM08G04930 transcript:OGLUM08G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASKKVAYVLLLLLALAAAALSVVVLHKVRERRAFAVLLRERDRQLISTRILLQKNGLMKEKAFNKEMKRKLEELKATTSSLRTQKTDLKTKIKGLEATATTLKNREKELEAALAEKNSRVSQMEATLTDKNSHIRQMEERAAGTNPDQMAALMELLQQKEAELEEIKVRFQDYKTTERKSIGSKSTHVQSNNANARPDNAVVEKVTSSSDATPTRAEEKSSKNTTTAESRHPKDTSLEEKQVKSATSKEEDGLQDKTDDAIEDIDDIYGESHSKKIEFPRRNKKFLTNSGVDSQDEELHRIEHPGNSLDQDSDRVRYNKLLEKEIDKVSGETKNKKSIDGSLEKISKHSLGDANKNGLKQTVEDMAGGTAAVKPNMSVNDDGTQQQNKRPKKKKTRSKKKMIDSATTNSSSEVTKEK >OGLUM08G04940.1 pep chromosome:ALNU02000000:8:4002817:4007517:-1 gene:OGLUM08G04940 transcript:OGLUM08G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILVATDCHLGYLEKDEIRRFDSFDTFEEICSLAVINKVDFILLGGNLFHENKPSISTLVKSMEIIRSYCLNDHQVQFQVVSDQAACLQNRFGRVNFEDPNFNIGLPVFTVHGTHDGPAGVDGLSATDILSACNFVNYFGKVDPGSSDVDQISVCPVFIKKGATSVALYGLGNIRDEKLSRMLQTHYKIQWMKADSEDDWFNLFVFHHKRRKGSSTNGINEQLLPSFLDLVIWGHEHECLIDHQEVSGKDFHIIHPGSSVATSLSNAEAKPKNVVLLEIKGMQYKQTNIPLKSVRPFQYAEVQLKDQLGVELNNEAALYEHLDNIVSNLIDKTAISGSEPKLPLVRVKVDYSGFLTITPQRFGQKYVGKVANPNDIILFSRSAQQNRTREHTGGSEECEPNELDQQSIEELIAESNLKKLTFATKDLKAEEDIVLQLDQCMQERMNEDPLLSKGGSEIMPCSQSLPTNALSAFQELKCSSNEEQDTHESDELIEISDKEHSQQASQKRPAPVDGGSQSSRRKTDLSSFYRTAPSKNNDDGGKKGKAPADMEP >OGLUM08G04950.1 pep chromosome:ALNU02000000:8:4013599:4017080:1 gene:OGLUM08G04950 transcript:OGLUM08G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G03870) TAIR;Acc:AT2G03870] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >OGLUM08G04950.2 pep chromosome:ALNU02000000:8:4013958:4017080:1 gene:OGLUM08G04950 transcript:OGLUM08G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein family protein [Source:Projected from Arabidopsis thaliana (AT2G03870) TAIR;Acc:AT2G03870] MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFQSDGA >OGLUM08G04960.1 pep chromosome:ALNU02000000:8:4018201:4021305:-1 gene:OGLUM08G04960 transcript:OGLUM08G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCASSLCGISRVAWRRHAVAGGALSQHHHHQQRHLVLRPPAPPPIGLALERFFSASSRRSAKRSAAAAKQSSQPPPPPSMDGGEPFYVVRKGDVIGIYKSLSDCQAQVSNSVCDPSVTVYKGYSLRKETEEYLAARGLRNPLYSINAADARDELFDDLVPCPFQQPDGTGTSTLKRPLEMLSCLLEFDGASKGNPGKAGAGAVIRRLDGTVIAQLREGLGIATNNAAEYRALILGLTYAAKKGFKYIRAQGDSKLVCNQVSDVWRARHDTMADLCKRVKEIKGRFHTFQINHVLREFNTDADAQANLAVELPGEKLLSEFTLHAVTVT >OGLUM08G04970.1 pep chromosome:ALNU02000000:8:4022344:4032224:1 gene:OGLUM08G04970 transcript:OGLUM08G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G12470) TAIR;Acc:AT1G12470] MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQQGEARKALEVLQRHNVLVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >OGLUM08G04970.2 pep chromosome:ALNU02000000:8:4022344:4032224:1 gene:OGLUM08G04970 transcript:OGLUM08G04970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding [Source:Projected from Arabidopsis thaliana (AT1G12470) TAIR;Acc:AT1G12470] MKAWLVKPLLRLAHGLSRLLSAIASPPTSSRRPAGEATATPTTMDAAAAAFAPGGQLFSVDPLERHAARGHGVVTSMAAGSDVIVLGTSRGWLHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKLLPRLRNVLVNAVAWNRQTITEASTKEVILGTEDGQIFEIAVDEADKKEKYVKSLFTLSELQEGIKGLQMETAVVGNATRFYVMAVTPTRLYSFTGIGSLEQRRAKHFGWLSGAGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGESGIKPRSFALSEFHFLLLIRDKIKVVNRISQQIVEELIVDSSPEVTKGIIGLCSDASTGLFYAYDENSIFQISSSDEGRDMWQVYLDMKEYATALSHCRNSFQRDQVYLVQADTAFNTKEYYIAASFYAKMNYILSFEEISLKFISVGEQDALRTFLLRRLDNLTKDDRMQITMISTWATELYLDKINRLLLEDGTGATSNAVADSKDSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQHEIVVHHYIQYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKDDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALTVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYDSQIDQLKQEMDDATRGADNIRSDIGALAQRYTVIDREEECGVCKRKILTAGGLHQAEKILDLQKRLSLMDRKAAKENGGNMNGESIISATPIDKLRSQLDDAVASECPFCGDLMIKEISLPFILPEESDEKASWEIKPQPTGQKILPMTMSI >OGLUM08G04980.1 pep chromosome:ALNU02000000:8:4038506:4061947:1 gene:OGLUM08G04980 transcript:OGLUM08G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRDGPHGPSTIISSSQPAQSSNSTPPPPPSSPPAASTRRRPPPSPPPSPPPPPSIRALPLGSATPPPATPPAPHPPPPLPSKLPVAGEKAAAGVGTRSKQVSLTGGGGGGGGEKPAMATKQQDASTSGAAMSMKEYLKRYQSDDAQGKAKKKKKKKPKPAAAVGGGVLIVDEDPTWHKPVQIEEEPASSGDERPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWITVAAPEDSDGGLTGRRRRNDTPSPERGGAGRKDLSPPRRRQRQDTPSPDPRDAAGKDLSPPRQRRRRQDTPSPKGSEVAGGHDDLSPPRKSRQQRDPSPPHRLSRHDSKESQDISPPRRRTRHDSEEPQDLSPPRRKGRHDSEEPKDLSPPRRRVRHDSEEPKDLSPPLRRMRHDSEEPKDLSPPRRWTRHDSHEPKDKLPPLKRQALGDGDISPPRKGRKEVAPKEVRKAGLMTAEEVKEDIRKIKEDERLKFAAQDPSVIGKGAKAVFRDKQGKRISEEDMRKAKEDTKPKEIHIEWGKGLVQKREAEARLQELESEKSKPFARTRDDPELDTMLKNRIRWGDPMAHLVKRNDTDLLEDLGDDEKMKESGFIVPQNIPSHSWLKRGVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQATEQEAYLWSVADM >OGLUM08G04990.1 pep chromosome:ALNU02000000:8:4064521:4064793:1 gene:OGLUM08G04990 transcript:OGLUM08G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAVAAKAWWTAAMSVGAVEGLKDQSGLCRWNYALRSLHGAAMDTLMLQVHGGAGASSPAAAMAAERPEEEGMRRVMYLSCCWGPS >OGLUM08G05000.1 pep chromosome:ALNU02000000:8:4066393:4068240:1 gene:OGLUM08G05000 transcript:OGLUM08G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAYVSRLCARAVQAAVRAEQPATTRRRPPHAGRPPPPSSGGGSPAEVAPAAKSVAEEKARRLRRRAEKDEKRSEECSDSSAYALVQFKQKEGRNQENKNHKQSPRKWTWKWMWPQEFGPQKEMNMNARSDSSSLFLLLLRSSSSSAAFAALIACLDDSEPPAAAAALSFAFHVVAAPPSRVFPAGAGAGDGATRAPPTRPANLTLIAAAAAAAKLFAFLGEVKGEEAAAVAVLVGGAVRWAAPLVTPAKRRAKAQTCPRFSPHAAS >OGLUM08G05010.1 pep chromosome:ALNU02000000:8:4070721:4075418:-1 gene:OGLUM08G05010 transcript:OGLUM08G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARK4] MEGEMDTVVGSSSKPCGPLTDYRIPDYILRPDAQRVLLDHAPPCPVLVFINSGSGGQLGSSLIKTYRELLGEAQVFDVSEEAPDKVLHRLNVNLEKLKMEGDILAVQIWRTLRIIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPCTDQESVKSFLGLVRHAKEMKIDSWHIMLRMRATKEGPCDPIAPLELPHSLHAFHRVSSSDSLNMEGYHTFRGGFWNYFSMGMDAEISYGFHSERKKNPEKFKNQLTNQGTYAKVGLKQGWFCASLSHPSSRNIAQLASVKIMKRAGSHWQELNIHHSIRSIVCLNLPSFSGGLNPWGTPGTRKVEERELTAPFVDDRLIEVVGFRDAWHGLVLLAPNGHGTRLAQAQRIRFEFHKGAAEHTFMRIDGEPWKQPLPKDDDTVVVEISHLGQVTMLANEPCKSKSVHDDQSSHAQHSGHGNDDDDKDSMEDEDEWEDGRRKFGAADTFKIPDEVDIAHLS >OGLUM08G05020.1 pep chromosome:ALNU02000000:8:4081635:4083410:-1 gene:OGLUM08G05020 transcript:OGLUM08G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAEGKEKGRCVRRGGGGRGGGGGGGAAGGGGRGGGGDEEMGGEGDEEEEDEDEEVVVEEEEDEDDEDEEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAHHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGSAQSVSGVQGRNCSNAVCKHDVKISRSEQEREKKAFPEATETMM >OGLUM08G05020.2 pep chromosome:ALNU02000000:8:4082405:4083410:-1 gene:OGLUM08G05020 transcript:OGLUM08G05020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAEGKEKGRCVRRGGGGRGGGGGGGAAGGGGRGGGGDEEMGGEGDEEEEDEDEEVVVEEEEDEDDEDEEGEGENQVVPWAEEAEATPPPVASSTSSSSREAAANGANAADRVKEDQPCSTSQPSLCRYASSAHHGGGGRSDEATSSRNGGGVGGRFLASRHRKRSPSDFRRSGSAQSVSGVQGRNCSNAVVGRNE >OGLUM08G05030.1 pep chromosome:ALNU02000000:8:4097555:4098664:1 gene:OGLUM08G05030 transcript:OGLUM08G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTMAVPYRGSVSSFSISNNATTTAAAVRPTSRGFAASTLRSPATTAPPFPTTPTSKENDDRRPAGILIEGRKKNSKAQGCMLAFGTINIPNRTVVWVANRNSPIMNQSSAMLSLTDQGEIVVSDSLGGTLWKMNSSKNIAGGGTRSSAAVLLNTGNLVIRSFDGTIMWENFDRPTDTFLPGMKIWLLRDDHIAVEPFHFLEEPR >OGLUM08G05040.1 pep chromosome:ALNU02000000:8:4098730:4106005:1 gene:OGLUM08G05040 transcript:OGLUM08G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGRSQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETKGTLEGGTEIAVKRLSKSSGQGLEEFRMKKFSNADARKSMLDWPTRFKIIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPHRITDFLNLIAFLSTEDGSEPGWLSHGSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNPLQRFIWHGLVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLQGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRANRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDENYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLSKGSAQGVVEFKNEVLLIAKLQHRNLVKLLGCCIHGDEKLLVYEYLPNKSLDAFLFNASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >OGLUM08G05040.2 pep chromosome:ALNU02000000:8:4098730:4106005:1 gene:OGLUM08G05040 transcript:OGLUM08G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGRSQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETKGTLEGGTEIAVKRLSKSSGQGLEEFRMKKFSNADARKSMLDWPTRFKIIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPHRITDFLNLIAFLSTEDGSEPGWLSHGSIHLCASDNRLVPGKPLSPGSTIISDDGTFALGFFSPSNPKKHYYVGIWYNNIPKFTVVWVANRAAPITVPSSAVFTLTRSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNPLQRFIWHGLVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLQGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRANRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDENYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLSKGSAQDASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >OGLUM08G05040.3 pep chromosome:ALNU02000000:8:4098730:4106005:1 gene:OGLUM08G05040 transcript:OGLUM08G05040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIPVGVDQAQRGMDLYSKSFLLYLAPIRDKNYSYLMISTSYSSTSVRFVLDSSGKVQFLSWDSGRSQYILSVQGCGRYGSCGPYGHCDLTGVHTCKCLDGFEPVSDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETKGTLEGGTEIAVKRLSKSSGQGLEEFRMKKFSNADARKSMLDWPTRFKIIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKVSDFGMAMIFGSAQQQANTNRLVGTYGYMSPEYALEGTCSVKSDISFGVLLLKIVSGLKISHPHRITDFLNLIAFLSTEDGSEPGWLSHGSSNLTLSDGNGHVLWTTMAKSRISISSPRNTKNISTEAMLDNTGNLILRSLADNAIIWQSFDHPTDTLLPGMNLRLSHNTHPLQRLISWKDIRDPSPGPFSYGADPNNPLQRFIWHGLVPHRRSPVWNNYLLIGKYMNNLNSTIYMAINHDSDEVYMSFGMPTGPFSVLIRMKITYLGKVNMLGWQSNISAWTTLYSEPVHDCNIYGYCGPNSYCDNTDAVPACKCLDGFEPREEERRTNNRSFLQGCRRRKALRCHHGNSFLTYPSMKVPDNFIYIHKRSFDECMVECRSNCSCVAYAYSNISSGIIDDTRCLLWTGELIDMEKVTQGGENLYIRANRLNGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDENYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLSKGSAQDASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >OGLUM08G05040.4 pep chromosome:ALNU02000000:8:4099128:4106005:1 gene:OGLUM08G05040 transcript:OGLUM08G05040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPDKFVYISGISFEECTVLCSRNCSCTAYAYTNSTSLLPPQCLLWMGELIDTAKLGENGENLYLRLASPVVGRIKCSWCVKFVLDVLLYLDVGHFGHITKWPAGREKKRHDQVVYSETKGTLEGGTEIAVKRLSKSSGQGLEEFRMKFKIIKGVAKGLLYLHQDSRLTVVHRDLKASNKLLDADMSPKDNPNSRPIENLHQHLAPKMDLNLDGFRMGNRKTTDILEFVLPAVASLLILICMLIWICGVRGKQRGDENYGGLMLGDISTSRELSDRKVDFPIFSFREIASATNNFSDSNILGHGGFGTVYKGTMDGDKEIAVKRLSKGSAQGVVEFKNEVLLIAKLQHRNLVKLLGCCIHGDEKLLVYEYLPNKSLDAFLFNASRNSALDWTTRFKIIKGVARGILYLHQDSRLTIIHRDLKASNVLLDADMHPKISDFGTARIFGGNEQQSNTNRVVGTYGYMAPEYALEGIISVKSDVYSFGVLLLEIVSGLKISGIIDPTTGHSNLIAYAWSLWKNGNMSTFVDASISESSSLNEALRCIHIALLSIQNNPNARPLMSWVVSSLDNKDIELPEPKEPMYFAHRSYGADGAGESFVNDMSIASVEAR >OGLUM08G05050.1 pep chromosome:ALNU02000000:8:4102978:4108319:-1 gene:OGLUM08G05050 transcript:OGLUM08G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGVATFRFSPPWVTFSMSMSSPVHNRHLVSSIIPLLMASPVRHQAMPDEALKRVVGICTVGEGTRGWVSYVFPRNEALQWVCIVTEPEVHARQESVGRVVEALPYDGIVSQ >OGLUM08G05060.1 pep chromosome:ALNU02000000:8:4108354:4108573:-1 gene:OGLUM08G05060 transcript:OGLUM08G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWTGVVEATRYRCLAGELVGDGPQRRGEEGLRRKPSLVLHWAGSCYAFGRSNPLRGVVKEPSSLDEDL >OGLUM08G05070.1 pep chromosome:ALNU02000000:8:4108586:4108783:-1 gene:OGLUM08G05070 transcript:OGLUM08G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGEGLGCRRNIVHFWASFAGFGKEVDGRRGGGSPAAQLSVAREWQRVEGAGGGVKWKSCGGRT >OGLUM08G05080.1 pep chromosome:ALNU02000000:8:4112246:4115821:-1 gene:OGLUM08G05080 transcript:OGLUM08G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPAMYGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGGGGGVADPAAIAVHAQTVAAGGGGGGVADPAAIAVHAQTVAAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKRALEGVGDSSSIITKKAKADSTPLKKSRTGTPSPLPTTFKACVYIYIIFNLSQMMIDFLPIKFHVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >OGLUM08G05080.2 pep chromosome:ALNU02000000:8:4112246:4115821:-1 gene:OGLUM08G05080 transcript:OGLUM08G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPAMYGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >OGLUM08G05080.3 pep chromosome:ALNU02000000:8:4112246:4115821:-1 gene:OGLUM08G05080 transcript:OGLUM08G05080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQEMIHAAAAPAMYGGGGAAAGGATTTTGSHGGGGGDWWSAAVSSCSAPAPETMQGFGGWSAAVVAVDGGGNTSRSAAGNTASSESPGSLATGSSITFQEPAGGGGGGVADPAAIAVHAQTVAAGGGGGGVADPAAIAVHAQTVAAGGGGGGWNQQPFLDGSGFHGYMSSSRNDHHTNHHHHQINTPSLMSNSSSNNGVMLQEHQHDQNYQFLSNLGFELLSSPTSPYGGGGGFRSSLLRSLTEPAAAAKPNDSPGFQQYHHHQPAMNLQPPAAAAGREPLQFTNSTAAPFWNPSSGFTVAAEGTALGGAGASPAQPTPASLAAKVRKEKLGDRVTALQQLVSPFGKTDTASVLHETIEYIKFLHDQVGALSAPYLKNRQQVPHLKNSTGVDNDGGEATAASKRDLTGRGLCLVPISSTFAVASETPVDFWTPFGAAFR >OGLUM08G05090.1 pep chromosome:ALNU02000000:8:4127374:4130922:1 gene:OGLUM08G05090 transcript:OGLUM08G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVMRTAAAKVGIAGGAAAAAAAGGRFRHVAPAFATAASAESTAAPTLVSAAGGGGGGEVPAVAASGAQWASSWEVDDWEFADWRDVAAAEPEVTAAGKPRLVFSPPSREEAEEATTELRDAIDRVYFSEAPIEVVKEQDKDLSKLGADAIIPAMPGHVMQAFTLLKSSPEAQGVVASLASDKNVWDAVLKNEKVMEFYKTHQQSLVYTFPEGTASVVSPEKLADASSENSSSGEKPESSPFADFMDNARKTVIDIVNNITNFFQDMFRKPNEDEILGGSIMALAIAVILLIMYKKA >OGLUM08G05100.1 pep chromosome:ALNU02000000:8:4131455:4135283:-1 gene:OGLUM08G05100 transcript:OGLUM08G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA capping enzyme family protein [Source:Projected from Arabidopsis thaliana (AT3G20650) TAIR;Acc:AT3G20650] MNKRPRDEPSSSFASAPKRQYGAGGGGYGGHGYSEERSSARRVADHYSARSNQTLEERENSPIIHLKKLNNWGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICADCYEARLDEHLYEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGVFIGTMPDANVIIKRLRETDGMEFGNGVYWISFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYDLELVLTKNFHEFVHEYLQKPEFAELMRRLGALGDGRQDQSTLSQDEWEVAYLYLAFVLRKRGQPPSQRRANNANRGKMFLTENDIDFLGV >OGLUM08G05110.1 pep chromosome:ALNU02000000:8:4138615:4150615:1 gene:OGLUM08G05110 transcript:OGLUM08G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 2 [Source:Projected from Arabidopsis thaliana (AT4G15180) TAIR;Acc:AT4G15180] MGDGGVACAVPPQRAVEGFRAGGLVRRPGGGEAMPDKGERGHHTTSNNHHSHSHSHRKHQAELEEGELLNGEADNSSSRDLERSMPPKKWRKVLAASSAAEVEPGEIVMPSKKARRNGELEKGEIAPERQRKDKSDKSGRKSNKDEVEPGEVAPPDKKRDRDHNKKLGSSAQVRDDGSKKGSSRDSDEEPGEIRPESSSTGSARKSRATEPENSNHKHQADTCDQTGSKSRRKGEAKSSGRHLSGRNRDISPMTWDRHERSPGILGRFPHDRLRHDRSPSRLEPSPRDRGRHYDNRDRSPYISPRHRMRPSHYRDSTPSRGEMHHHRDNTPSRVDSSPRRSQHEDFRDRSPPRRDKSPSERGRTTESHEAGKKSRGAKLENNSLEKAQHKSKSTKQSTKSKSSSNGSNEKISKEKATETIQYTELPPPPPLPPPPPPPPPPPPPPLPPNMPPPLPPPPEPELNGAPAEDVSMEEDMDICDTPPHTTSSAPEPTEPPASDVGKWFYLDHYGIEQGPSKLADLKKLVEDGYLLSDHLIKHADSNRWVTVENAASPLVPSEFPSVYSDVSTQLVSPPEAPGNLLDEAREEASGTDHEQMKEASAEEQEDFYIDDRVDALMDGSIMVDGQELEILGELLNAHFEPVNWESEDLSRFQVKLERDDGTKRSTEFPDSRTAHIYGVVPAERDTYQPHIESSEWYSGRWSCKGGDWKRNDDFSQDKPYRKKLVLNEGYPLCQMPKGNHEDPRWVCKDDLYYPLRAKKLDLPLWAFSSTEENDDTVDDASKSGVMPGRSGQTKQPPKGVKGTTLPVVKINARVVKDQSSSEHRIKPKVADRPPSRSSRSHSIGTDRSSTHEGSSHSKKHHEHDSQSLHKSKSVPNIPKDHVCTVEELSVKVGDWYYLDGTGHERGPFSYSELQELAKKGTILEGSSVFRKIDNTWLPVLKDLKSGCSARNGEAGSSTSALTHSNQSNFHEMHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLEPWIATKQPRKELETFFSHSSASKNFVQEDGGSTKRARLLPDQSDEYTDMSEDILASQKDDCCFEDLFEGAAHVKESPLNSRMESESWGLLNEHVLARIFHFLRADVKSLISSAATCSWWNTAAKYYRSVCRFIDLSSLGPQCTDNVFHDIMAGYDMQNIRTLVLTGCSNLSSLALAEVLKRFPHISYVHIQGCSQLGDLKNKFQHVKWIKSSLNPDASYQKIRSLKQIDDGSNSTSKAGRILTSQMGGSDELDGYFADISNRESSTLSFGQGFYKRSKWLDIRKSSAVLSRDAQMRRLMQRKAENSYRKMEEFVINKLKEIMKSSRFDFFVPKVAKIEVRLKNGYYARHGFSYIKNDIRSMCRDALRYKGRSDLGDMKQIVVAFIQLAKKLENPRLISDRDGTAVQKDSSDTSQYSSDLKLKKKQSKTTSERRGANWTTAGADPSSRAFDREIKRSLSKLKKRDIDSGSETSDDDDGYFEGDETESETTVSDTESDLDVNSGAWDLKGNGMKLFESSESLTDDRGWGARMTKASLVPPVTRKYEVIEKYLIVADEEEVLRKMRVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEVLEQEVYGIDPYTHNLLLEMMPTELDWPSSDKHTFVEELLLNTLNKQVRQFTGSGNTPMVYPLKPVIEEIQKSAEESGDRRTSKMCLGMLKAMRNHPEYNYGLGVVCNKTGGFGVDDFVIEFFGEVYPSWRWYEKQDGIKHIQNNSDDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGHYQIGIYTVRPIAEGEEITFDYNSVTESKEEHEASVCLCGSQICRGSYLNFSGEGAFEKVLMEFHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLKLPHEIFKHNVDEKRQFFTDINMDSEKNDAEVQAEGVLNSRLQNLTHTLDKVRYVMRCIFGDPKNAPPPLVRLTGRSLVSAIWKGEGSLVDELLESMEPHVEEDVLTDLKAKIRAHDPSGSEDIEGEIRSSLLWLRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVRDYKTVKSPPVLISPLDLGPKYADKLGPGFQEYCKTYPENYCLGQLIYWYSQNMEPESRLTRARKGCMSLPDVSSFYVKSVKPTQERVYGSRTVRFMLARMENQAQRPWPKDRIWVFKSDPRFFGTPMMDAVLNNSPLDKEMVHWLKTRSNVFLG >OGLUM08G05120.1 pep chromosome:ALNU02000000:8:4153839:4161881:1 gene:OGLUM08G05120 transcript:OGLUM08G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFFCHCDTDKVTHLLYSHSSRFSRKTNRRRIAFTTSDTAPLRATPRSASSSSCALSLSLARFSGRLLHLSRLPLPLPLPLPGNSVAATSPTAAAAAAAAAASGAASQSGRIPPDPPIPSPPPVSSRRRDRSPRIRRLHRDAVRMLSGNMNNDNYIDLSSDSDIDFDFDSDDSVGGLDQELASSSSRPTENINGQYRTLPPSFTNGRHVDNARHALGSGDRAYPHSSSYRGSPNDSARATPASNRTDIVVKKHNGFASDENDNGKRILPSSFSNGRTTNAMHPVVASETRKFPPSFTNGNSQRLAENRMGKNVANGIGEPSSSRFLSRSSFGTDNKKVITDSDNEDVYVYGSSSSHRVLPPSFGRNSSANHSEFANGIDMQGRLNLENRIIDSDERANISQDKREDDLPEGVLSVPLLRHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQRIQQSKFMSVDSDRLKAEALNLDDDDEAAPVADNKGEQTKNDEPRKDLGAGSSSTTAGTGDVETCASLMNTAPDKTVERNVERKKKSKASTSSTMQSMTRPAAGTLVVCPASVLKQWANELTDKVGESAKLSVLVYHGGSRTKDPNELAKYDVVITTYTIVANEVPKQNADDDTDQKNGEESSAGNKRKQPPKAQSKSKKKKKKLKDSDIYLASGPVARVRWFRVVLDEAQTIKNFRTQVAKACCGLRAKRRWCLSGTPIQNAIDELYSYFRFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQTVLRIVLLRRTKETLIDGEPIIKLPPKTINLDKVDFTKEERAFYLTLEERSRQQFKAYAAAGTLKQNYANILLMLLRLRQACDHPLLVKGHQSEYKGDGSIEMAKQLPKEMIINLLAKLEVGEFCSVCSDVPEDAVVTMCGHVFCYQCIYERITTDENMCPSPNCGNTLSTDSVFSSGALRICMSGVSSSHASGSSSLDDESSSISKTSYISSKIQAAIDILNSIINTYALTDSDTVESNPSRVAPVKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAIDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVDDLKYLFRI >OGLUM08G05130.1 pep chromosome:ALNU02000000:8:4162422:4170108:1 gene:OGLUM08G05130 transcript:OGLUM08G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKARATAAASKPKPRATARAKPKPKPKPKTKPSPASLLSGGSSPASGDAADDLSFLSPSSPVVKPKPRSPLAAPASSPISPYASPASASVSVSTVADLRILAASHLDSLKRRLDALHGDSARDLEASHSRISKRFKTQSCLQLADEAEKEHRKMADKISEHAEGVKASYKKFVAEVQASTSRVCKVTIPEMAKSADRTIDGLRSRYNIPAKAAYRMLKQGISTRLRFSPVSIPLSLLCFRRTRRRSSPRRLAASQALPPAGELAPCARRRWAGLPLLAGRTTRGRRAGRWKEGGAPDDAAGLAPPGSVSVRLELEAVMDPMDEHDSDELPSGVASDDAHVAFRARTKKRSKVWDEYKPIYVNGVVQSAECRYCHILMSCKGSDGHSNGTSHLWRHQKICRAKEDLDLAQLHDTGFPYVMNDINPVDQIHPDSLDDIKLASHSDNSRFRSKVWEEFTPAFPSEELLPAEDYKVVESICKILRAFYRAIEVISGPVCPTANVYFNELWKVRTTLQEEASTDHTEVASMVREMQEAFHEYWENSYLWLSIPIVLDPRFKITFIEFRLKRAFGAEAAKYVAAVREIIRELFHEYCGPVDKGVHTSNNEARDVEMDGFDSDSLEDWDQHLSAQSRSQRLSELDNYLEDGLVPRKDDFDILHWWMIHSTKYPTLSVMAQDVLAMPSSALHCKAAFSSEGPVIHRQWSTLNIKTIEALVCTQDWIR >OGLUM08G05140.1 pep chromosome:ALNU02000000:8:4185455:4185806:-1 gene:OGLUM08G05140 transcript:OGLUM08G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKVLGLGAPENWGPYAGALATRP >OGLUM08G05150.1 pep chromosome:ALNU02000000:8:4196789:4197151:1 gene:OGLUM08G05150 transcript:OGLUM08G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPWNTAHKAIMVYGPGGDSGEGEATMAAAAVTAAETTQTPATTAAPISGAVDRPAIALNGEEIKDGKQNVNIKRKHRQSSSLRSICSTRNSREGEELEHQFYRDNDRMRVSGEFLNLYM >OGLUM08G05160.1 pep chromosome:ALNU02000000:8:4202364:4203161:-1 gene:OGLUM08G05160 transcript:OGLUM08G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDADLHHYSARELTRISRRTQQKLMQSRLESTPMPTPTAALVFLRLQCATEAEIRSSGVPRCACQPRLPEPSTSSAWSAAADLEPPPRPPVAGVLGGERII >OGLUM08G05170.1 pep chromosome:ALNU02000000:8:4203237:4205041:1 gene:OGLUM08G05170 transcript:OGLUM08G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAVKRKLHLHQSSTQPVTCSPPLPFTLAKRRELAPDLESSKGSSTSLRSDASYPTTAEDDVQLSQAVARAIILIAKFPEAIRQLMAGGHTQSHTGRRRSSKAFRCVEEIEGRTITSSFSKAQDYVNLQAAIYEKAGKVVNNN >OGLUM08G05180.1 pep chromosome:ALNU02000000:8:4213392:4213721:-1 gene:OGLUM08G05180 transcript:OGLUM08G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLGGKIIATAGCAIAFFAAGAGGHGLVLSLLGVLAGVIIAISSAALHGIPLLVAVALRRRNLAVLGLVMASSAIAAAAGEASPALSFILFATLLVGVSLIAAGFLGS >OGLUM08G05190.1 pep chromosome:ALNU02000000:8:4216886:4218006:-1 gene:OGLUM08G05190 transcript:OGLUM08G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKVAAALQGDGAAACLLAGVERGSHGTVLGEAELGLPLGEAVLGADHAAAPFDGVGAPGSSGAGGPVRDHGTGLLVDNDRAGMRANGFADFLIGLGAPCTNTGVLVSDMGAPYTGVPYTYTNTGILARDMAAPNVGLGAPCTNTGVLLPDMGVPYTNTGVLARDMAAPNTGMGAPCTNTGVLVPNMSAPYTNTGVLARNMAAPDAGLGVPNSIAGVFARDMAARYSSGGVLACEHGVPFEKKAQGMAANGAPPVPQVSLDVVMDAKTGASMAAKAAAGAGARRGRGGNLASAVVGITAASSAVTMVAAGDVSPPMAFGLFVLLLAGLALAVSAVRRV >OGLUM08G05200.1 pep chromosome:ALNU02000000:8:4225164:4226003:-1 gene:OGLUM08G05200 transcript:OGLUM08G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGEFAVVPVAAHPQPQVSHCASEPEPVAEGLILGKDHADAVAGVLARYHRAPFADRDARPRVTVAGTNGADVFARERGVSSRDAVLIKNYVAGMGVAVGEALRGKNLTAGLREVDRVAREIGAPLLDKKFFADDYSFARRTAAPPFAGLDKARAAPSAAHAEQVAIDVLLAGCAKKVPAAADAAAGADSGGNLATVVGIVAASSAVTMVAAGAVSPPAALGAFVLLLGGLFLAVSGVLED >OGLUM08G05210.1 pep chromosome:ALNU02000000:8:4228766:4229855:-1 gene:OGLUM08G05210 transcript:OGLUM08G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSKMADAVLANGRATGYARELAAPSADWFLRMSHAGGGLGGADFTGNAVALYSSVGDYAGDQRAVLAEKDRVGELVHGEARNTGAPFAPYTGAGGSARGHVALLGYQDRAGGLPLGGVADFLARDTAEPLAGFGALYSSNCGGVARAHGHGAPLLVLDTDRAGELPLGGVADFLERDTAEHLAGLGALYSSKEGGVARAHGHGAPLLDKDRAGELPLGGVADFVERDMAAPHTIAGGVPFDKAQSVVTAAGAAQVTIDVDFVAEAKEAKTVVSSRGSNLASAVVGIVTASSAVTMVAAGDVSPAVAFGLFVLMIAGLSLAVAGVRRV >OGLUM08G05220.1 pep chromosome:ALNU02000000:8:4236572:4237643:-1 gene:OGLUM08G05220 transcript:OGLUM08G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSGEFAVVPVAAHPQPQVSHCASEPEPVAEGLILGKDPAAAGAGVLARDHRAPCADRDAARTRLTVADTDGADFFARERGVSSREALLGKNGPAGLAVSDLFFKRETGAPLVDMARAGGLTVGGTNADDVFARERGVSESDLLPRETGEPLLLLLLDKHRDVFARERGMSFGDALLGNNHPAGLREIDAPLLDEKFFSDDYSFARKTAAPPFAGLVAADEHGARTDKALRPAPSAPHSEQVAIDVLVGAKEVPVAAGADSGGGHGGNLSTVVGIFAASTAVTMVAAGAVSPPVAFGAFLLLLGGLLVSVSRVLENQLVDDI >OGLUM08G05230.1 pep chromosome:ALNU02000000:8:4242581:4244502:-1 gene:OGLUM08G05230 transcript:OGLUM08G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIARPAERRKYRVHFPTTTSSLLSPTDDQTLLPRMVALLTCRVVLLLRSTIRRLKVEPPTEAAPRLPVSSVAAEAPAGTRRGTGRRCRGGHGVRAGPVTGAPFPCGLRRGGRCPSGTDLSTFRRGRCRRNGGARAEEEVTRRSFIILLFRLSFFAPPFPLPLLSTKELLCGHGDDRATPKITLEYSGQLSWRRGQLGRSKVIGNWEDEAQRVLLSLRLQRALSMVMKGQRDGEPSCSSADCVQVSCLIPVVSRLIPRYQTRYPVSCLVSDLIPVGIRLSTWVSWLGVRPGTRRYQAWYLGIMVGTHTYQT >OGLUM08G05240.1 pep chromosome:ALNU02000000:8:4254857:4255252:-1 gene:OGLUM08G05240 transcript:OGLUM08G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVRVRPARALLVDKDLAGGLSVADTNGRRHLLTRERRVFSGEAILVQNRTPPGWAYVSESNLLARETGEPLLLLDKHYRAAGVGVAVGFTREHGTSLGDALLGKESPRQAATLLYNFFFLLHETYRLTV >OGLUM08G05250.1 pep chromosome:ALNU02000000:8:4255283:4255489:-1 gene:OGLUM08G05250 transcript:OGLUM08G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESGEFVVVPLPAHPQDSASEPEPFADHRAWFADRDARPRLTVADTNGADVFARERRVFLPRGRSW >OGLUM08G05260.1 pep chromosome:ALNU02000000:8:4257398:4259658:-1 gene:OGLUM08G05260 transcript:OGLUM08G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVLSVFNNPNWPTGEPFNEDSWSDEETCRKNEREAFEYAAKTGMDIVTICPALIMGPLMQPTVPTSIEVFFHIIKVLDSRPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVYENSGGSERDICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >OGLUM08G05260.2 pep chromosome:ALNU02000000:8:4257398:4259658:-1 gene:OGLUM08G05260 transcript:OGLUM08G05260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVLSVFNNPNWPTGEPFNEDSWSDEETCRKNEVLHNSCYIFVKCTKNQLIMLSKTLAEREAFEYAAKTGMDIVTICPALIMGPLMQPTFWSQVLDSRPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVYENSGGSERDICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >OGLUM08G05260.3 pep chromosome:ALNU02000000:8:4257398:4259658:-1 gene:OGLUM08G05260 transcript:OGLUM08G05260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPATSSTVCVTGAGGFLASWLVKLLLSKDHYVINGTVRDLGEGKNAHLKALENAGERLRLFKADVLDYGSVAAAIAGCDGVFHVASPVTSGRPTNPEVDIIATAVTGTLNVLRASHEAKVKRVVVLSVFNNPNWPTGEPFNEDSWSDEETCRKNEFWSQVLDSRPSCPKPGSAPVSNAGDNETVGNRLETLLDVRDVADALLLVYENSGGSERDICSSTPRKLSDIINTSKSLYPAFNYPQKFVEVDEEQNTRFSSEKLEKLGWTFRPMEETLRDSFESYIGLGILT >OGLUM08G05270.1 pep chromosome:ALNU02000000:8:4294220:4303929:1 gene:OGLUM08G05270 transcript:OGLUM08G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGLATMSNLTTMGLLLTMLSVGGSIQQLGGAHSLGSYGSLVSLIGVITGANLIFLGVKMSGSPAAVTLGAMASIATTYLRHNISMVGLFTASSVIMVYVVEGTAIGMALSIGLFAIFMLSVTMITLGGHGSLN >OGLUM08G05270.2 pep chromosome:ALNU02000000:8:4301211:4303846:1 gene:OGLUM08G05270 transcript:OGLUM08G05270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKAQSETKKAENMRNPTDINPHVLSRPRVGPTTAHNRPSRFRLAGGEALFITGLATMSNLTTMGLLLTMLSVGGSIQQLGGAHSLGSYGSLVSLIGVITGANLIFLGVKMSGSPAAVTLGAMASIATTYLRHNISMGLVDLLWDEGFGAMPINSTTTKPHFSLVC >OGLUM08G05270.3 pep chromosome:ALNU02000000:8:4301211:4303929:1 gene:OGLUM08G05270 transcript:OGLUM08G05270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKAQSETKKAENMRNPTDINPHVLSRPRVGPTTAHNRPSRFRLAGGEALFITGLATMSNLTTMGLLLTMLSVGGSIQQLGGAHSLGSYGSLVSLIGVITGANLIFLGVKMSGSPAAVTLGAMASIATTYLRHNISMVGLFTASSVIMVYVVEGTAIGMALSIGLFAIFMLSVTMITLGGHGSLN >OGLUM08G05280.1 pep chromosome:ALNU02000000:8:4299339:4299707:-1 gene:OGLUM08G05280 transcript:OGLUM08G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKHDHGDAEQEHHEEDEGDGRPAAADAGDVRRNCRRRSEEAYHGQVAAECCWIAGRRRLGFDAGEDEACAGEDTDEPDEWDIADLGVGPTDANREDSRADRKKRDDETQLVIHHRRRPCN >OGLUM08G05290.1 pep chromosome:ALNU02000000:8:4311666:4312097:-1 gene:OGLUM08G05290 transcript:OGLUM08G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVKETTAAPLDLHPGFIFHHLSPVGLFVVMISLAVAVNAGDVGAHQLFFLWALAVAGVNLITAGVYLTSRDDVSRRCTVLARAAAFARWNFAVFGTFAASSAATGVMLNTTQPVLCFFFFLLFISSLSLVTIGLSFRNRGC >OGLUM08G05310.1 pep chromosome:ALNU02000000:8:4340829:4341251:-1 gene:OGLUM08G05310 transcript:OGLUM08G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCQLISLYMELWDDDDMPAGMLRRVIRQLGMASAAVAVAALQGAQGRRRCRAGSSGAGGAVLLPALASFVLLLHGVAMVLLSVCGVRAAARGPGDGARRRRCRLARAVARASLTPFLLLVLAGLLQGDGEEADCCYST >OGLUM08G05320.1 pep chromosome:ALNU02000000:8:4344586:4351468:-1 gene:OGLUM08G05320 transcript:OGLUM08G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACHGLRFGPGSEIWAGASRLARGSGGKSWTKQRAKSGAADVDSDSDAISEQNRVNLTSRHKLIAGNPNPSFFSPSIDRPPQRPESTHDLINFSQGSTSFNIGMFATISHNQKMQIIVKTLTGITITLEVKPSDTIDDVKAKIQDKEGIRSDQQHLVDVSAGAAGSKLLDDGGSTLADYDIHDESTLHLVQVLLGDHGEVRIVVKTIDGEIVTLGADADAIDDDDDDVCALLSGGKYVEKVVVLAGQLLSVPEGVSLTDAAGLPEVACTIWSTAWRIVLIHCGSSGIGTFAIQTAKQLGIKVFVIAGLYHRLPCHPRLESPSYVSPSVPTIFTKLRLGRQSLAPHGIASRPSSLSLAARSTTSATPKDANDSDSTTNIIRAPVAINPMQIFVKTLTGKSITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEEGRTLADYNIQKESTLHLVLRLRGGL >OGLUM08G05330.1 pep chromosome:ALNU02000000:8:4352232:4355744:1 gene:OGLUM08G05330 transcript:OGLUM08G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSRAHRHVGEEAQAKLKLVYLPVHGAWLREGRAQLRQHMEEEEALGRAAQGRVKSRVCNAWGGGGGRSALAHLHLDEEALRDVARSRSSISPAHGGGRRSRKRGCCEKPKVDLAGRWKRHFGRRSSTSPYGRLDGIHGDIYASPRDHICASLRNWALPIFKTSLQFAEDAYALVLQWRCMQKWTCRSEIGVGLSLFKWL >OGLUM08G05340.1 pep chromosome:ALNU02000000:8:4365025:4373682:1 gene:OGLUM08G05340 transcript:OGLUM08G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARRMPPVIGRNGVAYGSPSAQLPLTQADMLDSHHLQQALQQQYFDQIPVTTAAAAAADSGDNMLHGRADAGGLVDEFESKSCSENVDGAGDGLSGDDQDPNQRPRKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQNQHERHENAQLRAENDKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRVENARLRDEIDRISGIAAKHVGKPPIVSFPVLSSPLAAAAARSPLDLAGAYGVVTPGLDMFAGAGAGDLLRGVHPLDADKPMIVELAVAAMDELVQMAQLDGPLWSSSSSGPAAMMLDEEEYARMFPRGLGPKQYGLKSEASRHGAVVIMTHSNLVEILMDVNQFATVFSSIVSRASTHEVLSTGVAGNYNGALQVMSMEFQVPSPLVPTRESYFVRYCKNNSDGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDSSVHNIYKPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSVEGRKSMLKLAERMVASFCGGVTASVAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPAAVFDFLRDETSRSESANSNQSNMLILQESCTDASGSYVVYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGPSGNAQAAVGENGSGSGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVCRDSNPQ >OGLUM08G05350.1 pep chromosome:ALNU02000000:8:4377428:4384340:-1 gene:OGLUM08G05350 transcript:OGLUM08G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVVRVYVEVLSELTFNCKPIITELTIIAGQHAALAARGIADAICARIAEVSADQKLPSLYLLDSIVKNIGREYVGHFAARLQKVFCDAYRKVHRNQHAAMRHLFGTWSQVFPSSVLRGIEDELQFSPLENKRSATATDIRQSESISPRLSHGIHVNPKYLEAQQQFKQSTSVHQPITRGNRQMNDVEEDQINGLTSKSSRGWPATNSKLQKSTMLYADDLDQQEAFRSHTGLIRPSSPHLLSKHPSILNTEGPLANSRRTMSRSPPLDVLPRNASPKRALERPPLSHSVLGPDPRRLPDRNGWFERKWAFEDGAQRPSMSILDEEYRKQSARELIDAYGNSQGKDVDERLPKMQRLDSNGMAGRPSAQKWLTSEEEEYTWEDMSPTLTDRNRTSVSSLPPLGTLRAGFLGPNSGLLESDIVRHSWPGQAPRPAIDGPPLNLEDRIPTNGPVDRTNNRRYPGNFGVQNGAFLDYQSSEHTLDPGRTTMPVPPWQQTIGQPLRVQAPQPASILNRMPLPTDSEVPVKRLATGGTYDALNVDIPLLEKQRSSPAHAPMEWPLNTQSLTIQPIPPDTKHPRGASDGLDSRPFISQGSSSSVFVPQHHALDRRTMNADDLAQPSYQHPDLLSLSQQNQGTVLGNQGQPHHPPQFHPHPHSHLQETIRSFAPSMSVAPPQNIFHGQGGSAAALLPSSFPVPPAVPPYGLQSMPGFPLPSIPSGPPPPSQIGPSSSQVGGPPLVSGLLSNLMQHGIISLQPPSQPQDSVGVDFNVDLKVRNESVINALYQDLPRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQTSRKYFVTVGEWLRAAETVGNDGVPSFEPAEPVADAKEEKELAVPADEDQTTCALCQEPFEDFYSDETEEWMYKGAVYMNAPDGNIGGLEMSQLGPIVHAKCLSGPNNT >OGLUM08G05360.1 pep chromosome:ALNU02000000:8:4402124:4406469:1 gene:OGLUM08G05360 transcript:OGLUM08G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAVKLSPGPVAFSGTNLRSRSASVSPVSSLKPSKFVVSSLRPLYLAPLDGPRAAGQKAQRQPLEFRCAASAADDKESKTEVVPVRSEAAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMLVSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFLLGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQTAEYFSSFFCYRRQSSEFKKMVMFRAMDEEGIQMKNVSAKPVASTEANFACATYLVDIGGLGFDMRS >OGLUM08G05370.1 pep chromosome:ALNU02000000:8:4430816:4431079:1 gene:OGLUM08G05370 transcript:OGLUM08G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRAGVLVSRAQHGAHHRAPYDNNYCIVSGMWNATLDRHRVFEAMEMVVFLRTGVRPRSWDEPDAAWTEDYDDTAAVAGGDTSLDTQ >OGLUM08G05380.1 pep chromosome:ALNU02000000:8:4433174:4442617:-1 gene:OGLUM08G05380 transcript:OGLUM08G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G54890) TAIR;Acc:AT5G54890] MWHYKYMRKNNVLPTVHTSPHEDAIGDKVVERAAAAVGKGELGRIKPPFKALARRLYHRAPSFSDPDDDPPFTRLTECPPRPASKPAHATKKKNQGGSIKQPELVRSDLPFNFRYSYSKTDPAWRPIGFQRDLVDLDGANALAMEGMLALLHMYIAIARRLNHHRAPPFSDPDDDPPFTRLAERPPRAPSKKKKEEEDQGGRIRPPEPASSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAAAARGEGAGAAATSREEVLGEPLAEEEVAQLVERYRHSDCSRQINLGKGGVTHNMIDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKVIYRNINILILYRGRNYDPKQRPQIPLMLWKPLAPIYPRLVQNVADGLTFEKTKELRNTGLNSSPLMKLTRNGVYVNVVDRVREAFKTVEVVRLDCSHVGSSDCKKIGVKLRVC >OGLUM08G05390.1 pep chromosome:ALNU02000000:8:4446457:4447281:1 gene:OGLUM08G05390 transcript:OGLUM08G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEKDNTIIVLALAAPGSNFRPPQTRKRNRTSSSNGAKSKVASTTGSSGPANPEDMNDVFEFALNNSILDL >OGLUM08G05400.1 pep chromosome:ALNU02000000:8:4462118:4472742:1 gene:OGLUM08G05400 transcript:OGLUM08G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISFLLLAALIGMASWQAIAAEPSPLQDFCVADLNSAVRVNGFACKNPTNVSADDFFKAAMLDKPRDTAVNKVGSNITLINVMEIPGLNTLGISIVRVDYAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNKLFSKVLNKGDVFVFPKGLIHFQFNLDPHKPAVATSAISSQNPGIITIANAVFRSNPPISDDILAKAFQRNLMASSSFSFLLVAALLGLASWKAIASDPSPLQDFCVADLNSPVRVNGFVCKNPMNASADDFFKAAMLDKPRDTNNKVGSNVTLVNVLQLPGLNTLGISIARLDFAPLGLNPPHTHPRATEIFTVLEGTLYVGFVTSNPDNRLLSKVLNKGDVFVFPEGLIHFQFNPNPHRPAVAIAALSSQNPGVITIANAVFGSNPPISDDILMKAFQVDKKIIDLLQAQF >OGLUM08G05410.1 pep chromosome:ALNU02000000:8:4483326:4484410:1 gene:OGLUM08G05410 transcript:OGLUM08G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSLFLLASLLVLASWQQAIAFDPSPLQDFCVADMASPVRVNGFPCKNPMNVTSDDFFNAAKFDMPRNTMNKVGSNVTNLNVINFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNRLFSKVVHKGDVFVFPKAMIHFQMNLDHNKPAVAQSALSSQNPGVITIASAIFGSTPPISDDVLVKAFQVEKKVIDWLKSQFSENNHY >OGLUM08G05420.1 pep chromosome:ALNU02000000:8:4500009:4511997:1 gene:OGLUM08G05420 transcript:OGLUM08G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLYLLAALLALASWQAIAFDPSPLQDFCVADMKSPVGVNGFPCKNPMEVNSDDFFNAAKFDMPRSTMNKVGSNVTNLNVLNFPGLNTLGISLARIDYAPLGVNPPHIHPRATELLTVLEGTLYVGFVTSNPNRFFSKVVHKGDTFVFPKAMIHFQMNLDHNKPAVAQSSLNSQNPGVITIASAVFGSKPPISDDVLTKAFQVEKKLEKQQIIQQEEEQKLIQSRMASPSSLCLLAALLALISWQAMASDPSPVQDFCVADMHSPVRVNGFACLNPMEVNADHFFKAAKLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFMFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKEIQSQMASPSSLCLLAALLALVSWQTIASDPSPLQDFCVADEHSPVLVNGFACLDPKHVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLKKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05420.2 pep chromosome:ALNU02000000:8:4511910:4521689:1 gene:OGLUM08G05420 transcript:OGLUM08G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETANNSVEKRTENQSQMASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVALAALSSQNPGAITIANAVFGSKPPISDDILAKAFQVEKGTIDWLQAHVVSPVIKAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05420.3 pep chromosome:ALNU02000000:8:4511910:4521689:1 gene:OGLUM08G05420 transcript:OGLUM08G05420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIETANNSVEKRTENQSQMASPSSFCLLAVLLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDKVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05430.1 pep chromosome:ALNU02000000:8:4522135:4522425:1 gene:OGLUM08G05430 transcript:OGLUM08G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMSRSSHNDDYRTMESTFEHGAIMRDWRFISTFFPSAMMAADGGPLLGEWMSATASAPPRGPRYLTVFPSLFPVIAADPVDNEKKYKKFRMLDL >OGLUM08G05440.1 pep chromosome:ALNU02000000:8:4532611:4533815:1 gene:OGLUM08G05440 transcript:OGLUM08G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSFCLFAALLALVSWQAIASDPSPLQDFCVADKHSPVLVNGFACLDPKYVTADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLHVGFVTSNPNNTLFSKVLNKGDVFVFPVGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDEVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05450.1 pep chromosome:ALNU02000000:8:4536409:4537559:1 gene:OGLUM08G05450 transcript:OGLUM08G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSICLLTALLALVSWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGENPPHTHPRATEILTLLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPEGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05460.1 pep chromosome:ALNU02000000:8:4550800:4555753:1 gene:OGLUM08G05460 transcript:OGLUM08G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRMASSSFLLLAILLALASWQGMASDPSPLQDFCVADMHSPAILVNGFACLNPMDVNADHFFKAAMLDTPRKSNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPDNKFFSKVLNKGDVFVFPVGLIHFQFNPNPYKPAVAIAALSSQNPSAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05460.2 pep chromosome:ALNU02000000:8:4549738:4550959:1 gene:OGLUM08G05460 transcript:OGLUM08G05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSICLLAALLALVAWQAIASDPSPLQDFCVADMHSPVLVNGFACLDPKYVNADHFFKAAMLDTPRKTNKVGSNVTLINVMQIPGLNTLGISIARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFSKVLNKGDVFVFPQGLIHFQFNPNPHQPAVAIAALSSQNPGAITIANAVFGSKPPISDIVLAKAFQVEKGTIDWLQAQFWENNHY >OGLUM08G05470.1 pep chromosome:ALNU02000000:8:4576500:4580787:-1 gene:OGLUM08G05470 transcript:OGLUM08G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPQAGAAGGGGAPPQWGAIPPPVPQQQYGPPPHQHQAPPPPQMWGQAPPPPQPAYGQPPPAQAGYYGAPPQAAPAVPAGPNEVRTLWIGDLQYWMDENYISACFAPTGELQSVKLIRDKQTGQLQGYGFIEFTSRAGAERVLQTYNGAMMPNVEQTYRLNWASAGEKRDDTPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKMTMRSKGYGFVKFGDPSEQARAMTEMNGMVCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPSNTTIFVGGLDPSVTDDMLKQVFTPYGDVVHVKIPVGKRCGFVQFANRASADEALVLLQGTLIGGQNVRLSWGRSPSNRQAQPQQDSNQWGGANAGYYGYGQGYEGYGYAQPQDPNMYGYGAYAGYPNYQQPLAQQPQQQQVRAVLFN >OGLUM08G05480.1 pep chromosome:ALNU02000000:8:4586329:4590770:-1 gene:OGLUM08G05480 transcript:OGLUM08G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVKFAVDKLESMAAQELKLQTEVGKKVLELRHELEWLRTFLRDADRKRRGSSSSSATGGAAAAAYDELIEVWVRQTRELAHDAEDLLEEFVHRGELHCHGCFDLPSFLRWLRHSAAGVFARHAIFDGIEDINKRIEQMKQQRKECNLEKLPCASKPHRKKYTDWSSLTELEIEDNLVKIGDYDEIKRLVLDQSRQRTVINLTGKSGIGKTTLASYLYRRSSIRKHFACTAWVHVPRKFRFADLLHDVIRQANASSGHDDDELAAAAADDDVRRRGGMEAERLVKARLAAALQGRRYLVVLDDVRSREEWAFFLAALPAGLAGSCVLVTTQVKISEESAAEQSGGVVRTRELGKLRPEQAAELFRRRVYGHGEPDPKKMEQLKKVVDSMTKGSNLPLNIVMLAGLLRSKKEDEWDAVIHSLDDTPPPPTSPEQMPPEKDSLDDIAPAAASETAPTSTEQTTKKKMKGKQMATSMDKILTVCMDDLPTHLKPCFLYFAGFTAQTPICAGKLVRLWVAEGFMQAKNGQTVEEHGEECLKELISRCLVQLVETDAAGGRVAAVSVHQAVLDFAQAEARDTNFLHVHSGAAGLSNGAARRLALRNTYDTDLAVMLEAPKLHTLLCDIPERAADAAGAAAWQRALELINGRAPTFSVHGSRFLRVMDLKGVRLPHRESLPEEIGWLIHLRYLGLSHTAMRHLPSSVKRLRNLQTLDVSRTDVEALPWRLWRNPSLRHVMARRLAAWSAPDERAVLPDLQTLHGVPWGRWARSGGGGAIGKMTSLRSLMAWNVSAAAAAGGGGGERLSSALAGLECLRSLDLEAADDAATTLPLWDLLTMLGLRQLEYLTLRGRVAPWNPPPPETTTTPTTPPPLQGHHHHHHHYLLPNLAKLELHRSECDQPLIDAIAKLPNLAELVLDEASYVKPYMRFPAAGFPKLRKLQLTSLDKLTECTAAAAAAGDVVGDGGGGALPQLRHVSVFHCGKLNTFPVKMAPKLELLTIHDSEELKNFMDCNQDNEHIHVVHGKMSKRRVMTAPK >OGLUM08G05490.1 pep chromosome:ALNU02000000:8:4601995:4607299:1 gene:OGLUM08G05490 transcript:OGLUM08G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSMEASSNELQVFASSVGLGDCVDALEKKLRNASHVMYDAREIEIKNEALVESLPELQHLMHDAEEVLDEIDYFRMRAELDGADEEMLDVIHKCPQDSEIDGDVSEQGGSNLLVPEIGHAIVRAVPRAELDMGEISSQIWEISRPLDGLADAIQKALKLEPDCIVLAKQDEDQKRPLTTPYLTEAKIFGRDDERDKIIEVLTGDACRNLTLSVLPIFGNGGIGKTTLVQYVCSDSRIQTYFDITIWICVSLNFDIARITKQMLECVTGTDQGGGANLDHLQEMLQGELKDSRVLLVLDDMWDVKEKNEWARLIAPLSADKKGKGSVVLVTTRNRLVADTIYTLPQIELNPLKEEDFWNCFRAYAFGKEKGDKKLHDIGREIAKRLKGYPLAAKSVGGLLRKNVSSERWKRILDNKAWVSHQDKDGIVAILKISYDYLPLHLRRCFSYCSLFPKGYLIYAEDLVYLWISQGFVYPASDNRRLEEVGSEYLDDLVNLGFFDKVAKERTDIHYLIHDLMHDLAQDVSSKDCFTMDCPQLQPVPSTIRHLSIIATAQYSEFEKDMIELNRWQTKKLKSLMIFGSLGTTFVTCFQSVSDMLRNLRTLRLSGVEDDGDILSSFGHCIHLRYLRATKQEYDKQNPWLVRYDRHFPKELCRLYRLQFLNVGVNCYLSNLAKSFSNLVNLRHFICHEENHSQISEVGKLASLQELHQFKVRNDPNFHITQLGSLFELDSLCIFGLENLETKEEANSARLLDREQLRSLRLSWDASGMSDNTDIDKEILEGLQPHVSLNHLRISGYRSVAPPAWLGEASALIHLQSIYLEDCKHLRTLPPFVLLKSEVLTHSLEELVINEVDDLERWVVLEIKGCPKLKDHPLPCNLSAQTVFPLLNHFIIHNCPLLMPLPPLPLGPKQLKMLKKLNFQDCSNLLSIPAAPEDQDYRNSHELPCVEKLAIVSCCIRGNQLAHLLSLLPSLSCLELEDCPRAEDDECMLLIPPGPLTSLKEICIENCVNLSCGSSEGLKQLISLEKLRIGFKFISSLMPDEMEEDGHSLGQSILLPSSLKELVLDSVNHKLLSLSSLTSLKNLGIIDSPDLETLDLHSCTELEEIGLHECGALSYVRGLHTCIHLRSIEVFDSLLFWSAWSHALQELERVDHDLFPQLERIWTDDLSLLTTASCKYLMSLKRLGFYACEDDGHDNSTIDEPNVDEPNEAFLFLTSLEELEFNSYNKFDSLPAALHLPSVKKLAIKSCKSIKSLEKLGLPPSLEELHISGCGSLQSLPSKLNYLPSLKKLEIISCPCILSLQEQCLPASLEELVIESCQNLLSLPDETHHLSSLNKLEIKSCPGIKSLPESGLPPALREFWVWDCREELKRQCKNIRNIRRMSQMTELFPTEMEIENA >OGLUM08G05490.2 pep chromosome:ALNU02000000:8:4601995:4607299:1 gene:OGLUM08G05490 transcript:OGLUM08G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSMEASSNELQVFASSVGLGDCVDALEKKLRNASHVMYDAREIEIKNEALVESLPELQHLMHDAEEVLDEIDYFRMRAELDGADEEMLDVIHKCPQDSEIDGDVSEQGGSNLLVPEIGHAIVRAVPRAELDMGEISSQIWEISRPLDGLADAIQKALKLEPDCIVLAKQDEDQKRPLTTPYLTEAKIFGRDDERDKIIEVLTGDACRNLTLSVLPIFGNGGIGKTTLVQYVCSDSRIQTYFDITIWICVSLNFDIARITKQMLECVTGTDQGGGANLDHLQEMLQGELKDSRVLLVLDDMWDVKEKNEWARLIAPLSADKKGKGSVVLVTTRNRLVADTIYTLPQIELNPLKEEDFWNCFRAYAFGKEKGDKKLHDIGREIAKRLKGYPLAAKSVGGLLRKNVSSERWKRILDNKAWVSHQDKDGIVAILKISYDYLPLHLRRCFSYCSLFPKGYLIYAEDLVYLWISQGFVYPASDNRRLEEVGSEYLDDLVNLGFFDKVAKERTDIHYLIHDLMHDLAQDVSSKDCFTMDCPQLQPVPSTIRHLSIIATAQYSEFEKDMIELNRWQTKKLKSLMIFGSLGTTFVTCFQSVSDMLRNLRTLRLSGVEDDGDILSSFGHCIHLRYLRATKQEYDKQNPWLVRYDRHFPKELCRLYRLQFLNVGVNCYLSNLAKSFSNLVNLRHFICHEENHSQISEVGKLASLQELHQFKVRNDPNFHITQLGSLFELDSLCIFGLENLETKEEANSARLLDREQLRSLRLSWDASGMSDNTDIDKEILEGLQPHVSLNHLRISGYRSVAPPAWLGEASALIHLQSIYLEDCKHLRTLPPFVLLKSEVLTHSLEELVINEVDDLERWVVLEIKGCPKLKDHPLPCNLSAQTVFPLLNHFIIHNCPLLMPLPPLPLGPKVMRMAIINVGSPSYQCFQYNQFKSVPYYKTLLLDGKDKLRTPDGLLALQNLGALYEVSFVGCSNLTWFSWVEAFQQLKMLKKLNFQDCSNLLSIPAAPEDQDYRNSHELPCVEKLAIVSCCIRGNQLAHLLSLLPSLSCLELEDCPRAEDDECMLLIPPGPLTSLKEICIENCVNLSCGSSEGLKQLISLEKLRIGFKFISSLMPDEMEEDGHSLGQSILLPSSLKELVLDSVNHKLLSLSSLTSLKNLGIIDSPDLETLDLHSCTELEEIGLHECGALSYVRGLHTCIHLRSIEVFDSLLFWSAWSHALQELERVDHDLFPQLERIWTDDLSLLTTASCKYLMSLKRLGFYACEDDGHDNSTIDEPNVDEPNEAFLFLTSLEELEFNSYNKFDSLPAALHLPSVKKLAIKSCKSIKSLEKLGLPPSLEELHISGCGSLQSLPSKLNYLPSLKKLEIISCPCILSLQEQCLPASLEELVIESCQNLLSLPDETHHLSSLNKLEIKSCPGIKSLPESGLPPALREFWVWDCREELKRQCKNIRNIRRMSQMTELFPTEMEIENA >OGLUM08G05500.1 pep chromosome:ALNU02000000:8:4622631:4628889:1 gene:OGLUM08G05500 transcript:OGLUM08G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPLIIVASSAATWVVKKLLDQLALPAIKALLRSKGLDDKEVWRLEDALQNAKLVLGAVPVGVRAAGIKIENVNLGEPILQVQQLAAELAKYLDELEYYDIREKVTQNIPRDSWNSFTYTVRSITHVGHSSKPAVTSSEISRVMSIVDRLNNICANVYDALKIDKLGAIIQSIRTPSTDTRETTYIRTESKKVFERGEKKKIVDLICNAASSGNELSVVPIIGDGGVGKTTLAREVYRDQEVKDNFDIMIWIYVSANFNEVKITQGILEQIPGCEYKSTNNLTVLHRGIQQHLNKRFLLVLDDVWEERESSWDRLLAPLRCTQVKGNVILLTTRSLSVADKTSKEEMYIKLDGMKKDVFWSFFKQCIFGDEKYEDKGRLQDIAKKIAIKLNGNPLAAKTVGTLLRRHVHEVHWRKILDIKEWIKPEGMGDLEPALMLSFNHLPYHLQLLFSYCAVFPKGYRFDKEQLIRMWITLGFVVDQWRNLEDAASYSFDDLVDRSFFQNDGQQFIVHDLLHDVAQQVSVHECLAIDGLNPGQKFFQSTRHVGIWTESVYKEDNMVRNQKFEEKLDKIQNSGMLTFLESLMLVGMYDENFSKKFSKILEKTHYVRLLQLSVMPFNADSLLSRIRNFIHLRYLELRSTPEMSMPLPTSICQLYHLQLLDVTHWSGLYDLPKGMSNLVNLRYLFVPGSGSLHSKISRVGELKLLQELKQFQVQKEDGFDISQLGSLNEISGSLSILGLENVTKKEEATQARIGDKMDLRTLSLTWGSVSGSCTVQREVMEGLKPHEDLASLLVFNYAGSTPSWWLRDDFSLRNLESFHLQDCAAVKILPPFEEMPYLKKLSLVGMPSLKNVRIDFNSADQMDLDLSEIEISQCSGLTSIRLHSCNALTKLSINDCEKLASLEGLPSSEQLRQFVVQGCPQLPSGSSIPT >OGLUM08G05510.1 pep chromosome:ALNU02000000:8:4631949:4634137:1 gene:OGLUM08G05510 transcript:OGLUM08G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADLEHEKKNRRQLEKINIKLVDELKEVKMAANNLLQEYDNERKTRELTEEVCTKLVRELEEHKAEIEGLKQDSLKLRAEVDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYEELSKLQQDVEAIIASFSDTKGDDTIVQTAKNMVQSIESTREQEIKFTYEPPPASDDILAIIEELHPSEELETRETEPCHKHNSPVHESENQQDSPMTDIFLENPTKLYSNRSHYNESDMGDSSSWETISNEEMQGSSSSRNGSEPSVNKICDKISWTSGDDSEAGQNDDLSGELSKAYFADRKPSKKKESAISKLWKSSPLKNCEIFKIDVVEMMNGRSSNERLSNGMHSSNEGANQDAGLSSPSIGQWSSPDSMNSQLNRGFRGCMELVQKQSLKAKLLEARMESQKIQLRHVLNQKT >OGLUM08G05520.1 pep chromosome:ALNU02000000:8:4633926:4637235:-1 gene:OGLUM08G05520 transcript:OGLUM08G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:postsynaptic protein-related [Source:Projected from Arabidopsis thaliana (AT1G61780) TAIR;Acc:AT1G61780] MVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCVICKQQVHQDAKYCHTCAYSKGKELAPSGTPCVCAMCGKQVLDTKLYKQSNNSVQQQYDSINYCQAAYHYKATTS >OGLUM08G05530.1 pep chromosome:ALNU02000000:8:4638978:4641798:1 gene:OGLUM08G05530 transcript:OGLUM08G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier family protein [Source:Projected from Arabidopsis thaliana (AT1G71090) TAIR;Acc:AT1G71090] MDWLSANPQVNPQVTVHGDWVSAVMPLMKLLCLTVIGLVLSNPRTQIIPKATFKLLSKLVFALFLPCLIFVHLGQSVTIQNILDWWFIPVNVLISTAIGCILGYIVALICRPPPQFFRFTVIMTGFGNTGNLPIAIIGSICHTTDHPFGPGCHRKGVAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGNEIVEEPAQISNYSRSLLHEAEWPGMADKETEHSKTPFIARVFMSISGSSQNTFPDIDFAEEGTSGAGPSSPKSLRCLAEPKVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPLFKDFVFVPDAPLSFFTDSLEILAQAVVPSVMLILGGMLAEGPKDNALGIRTITGIIVARLLILPCIGIGVVLLADRLHLLVEEDHMYRFVLSLQYSTPSAILLGAIASLRGYAVKEASALLFWQHICAVLSLSIYLIVYFRLLTI >OGLUM08G05540.1 pep chromosome:ALNU02000000:8:4644071:4650864:-1 gene:OGLUM08G05540 transcript:OGLUM08G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARS0] MYKAAYSSASARLSSSSLLRFRSLTSPAPSSASPSRLLSLRARAYSRPSRGAWAAARAAAGGWSGRASSSPVVGCGACRAQIGAVAPAVERVHRRMAATAAAEHPFKNILTTLPKPGGGEYGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVNQNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQSNMELEFKRNNERFGFLKWGSTAFHNMLVVPPGSGIVHQVNLEYLGRVVFNTDGIMYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLQNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQTERVYSSYLELDLNEVEPCISGPKRPHDRVPLKEMKSDWHSCLDNRGFAVPKEQQDKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNKQGFHVVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANFLASPPLVVAYALAGTVDIDFEKEPIGVGKDGKEVFFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNPMWNQLTVPEASLYSWDPNSTYIHEPPYFKDMTMSPPGPHGVKNAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTVHVPTGEKLYVFDAALKYKSEGHDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYTIDLPTNVSEIRPGQDITVTTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >OGLUM08G05550.1 pep chromosome:ALNU02000000:8:4659312:4663313:1 gene:OGLUM08G05550 transcript:OGLUM08G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAARRHGALALASSHRCSGWKSSVSCPVPQAWMGSCSSVAMRRVASGSRLIVQASNSGGSRLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSNAVIAFCRKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMESIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGDVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKQKQVANY >OGLUM08G05550.2 pep chromosome:ALNU02000000:8:4659097:4663313:1 gene:OGLUM08G05550 transcript:OGLUM08G05550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAAYGVGAPLKLAARRHGALALASSHRCSGWKSSVSCPVPQAWMGSCSSVAMRRVASGSRLIVQASNSGGSRLKASLADASLLTEERITVLVIGGGGREHALCYALNRSPSCDAVLCAPGNAGIAQSGDATCISDLDVSDSNAVIAFCRKRGVGMVVVGPEAPLVAGLVNDLVKAEIPAFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDPAEAKQYVKDQGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVQGSFGSAGSRVIIEEYLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEELKHTIMESIIIPTVQGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGELGDVSLTWSPEMAMVVVMASEGYPGSYKKGTVIRNLEKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGITAKGKDIEEARARAYDAVDVVDWPEGFFRRDIGWRALKQKQVANY >OGLUM08G05560.1 pep chromosome:ALNU02000000:8:4664540:4665882:-1 gene:OGLUM08G05560 transcript:OGLUM08G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPAPSPETTTTTTRDWSELPVDALSVVFAKLGAVEVLMGAGLVCRPWLDAAKLPHLWRCVDMAVHHHHPHRRIPSKKKRAVLCAMAGEAVKRADGQLEAFMARAFVTNKLLKHVGDSNGLAQMIAMAPLLEELVLSYCRKVHRECGGGGGGGVYAAVAEACPRLRRLEVRRDPAWRDDDDGGDHHRRRPLGIAAMRELRHLTLVGVAGVGDDELAAIVDGGCPHLEVLHVSECPGLAAVDVAALRAKCGGVKELTLHPCVTAADDDQPATAPAEVRRPSRDRRPNRKYYSPDWTT >OGLUM08G05570.1 pep chromosome:ALNU02000000:8:4670325:4683755:1 gene:OGLUM08G05570 transcript:OGLUM08G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQYFLMTRRYTGSRLVRCMVSSSDCPNRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDKAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQRTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPVLSFNYEYLI >OGLUM08G05570.2 pep chromosome:ALNU02000000:8:4671293:4683755:1 gene:OGLUM08G05570 transcript:OGLUM08G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALRPQSLLCPRSRLKVVIRPASSASGGGLAQYFLMTRRYTGSRLVRCMVSSSDCPNRKAKRTISLHTEVASSRGYAPRIAAESSIQEREHINSDEETFDTYNRLLHNESTEWKKLDTTEVDLSQDVSSSSMRKVDATDEAKLDILEDDLPRNLLNGVTMGEVDMLDEAGAEDDVFEVDLSALHNSTVGKMDAVNEVGTENDLFEVDLSALHSAAVGKVDVVDGAKAKEDLFEMDSLALHSVTMGKVDAINAAGAEGDKFEVDLSALASNNSMIEAVNVMDEAKAIEDTLEVDLSGNATSSSTYGEVKFEVDSLGNTSSTVMYGPADGAYEPRSDEVTFKVDSSENALNNVMYGRADVVDESWADEGIFEVDFFTNASSGAEYGKVDVVDEAKTDDFTFEIDSLEKDSNNKMHGKAHMVDEAWDDEAIFEVDLFGNASSIPIYGEVNVLDEARADDGKFEVDLLGNTSSNSTHEEVDVVDKAQTGEATFEVDLLGNALSSAIYKEVPVMGGAQDDEVDVDFSINASITETEKEADAVDEARVEDETFDMDLVGKQISIDSMNDDVVEEGTKHHKYPMLSSAFIEVKTIHETPVSLKPELMSVVMDQEQDKPISSVYQQEGSIFNLHAENQSTVDFHEREQMAITFDKQKESVAKLSKEDQRTAGLPEQNMSFDGVHRKSQSIIGLPFQHQSIVSSPEKYQSIVGFHGQNQSIISSHKQDKSIVGVPKKIQSIVGSTKHDDSIVGFHKQDRSIVSVPEQKQSIVGFHKQDLSIVAVSEQNLSIVAKPRESQSKQISIVRRHDPLHLKEVETKDRDGISKKSGGDDDLPHMLFEEELSQVEDEARAIAYKKQHEVDVISLTPDIQESPQDNIDPQELRRMLQELADQNCSMGNKLFVFPEAVKANSTIDVYLNRNLSALANEPDVHIKGAFNSWRWRPFTERLHKSELSGDWWSCKLHIPKEAYRLDFVFFNGRLVYDNNDSNDFVLQVESTMDEDSFEEFLVEEKKRELERVATEEAERRRHAEEQQRMGEQRAAEQAAREQAKKEIELKKNKLQNLLSSARTHVDNLWHIEPSTYRQGDTVRLYYNRNSRPLMHSTEIWMHGGCNSWTDGLSIVERLVECDDENGDWWYANVHIPEKAFVLDWVFADGPPGNARNYDNNGRQDFHAILPNAMTNEEYWVEEENCIYTRLLHEIREREEAIKIKVEKRAKMKSEMKEKTMRMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLNGKPEVWFRWSFNRWMHPSGVLPPKKMVKTEDGCHLKATVSVPSDAYMMDFVFSESEEGGIYDNRNGTDYHIPVSGSNAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAIQELGHHVEVILPKYNFMNQSNVKNLHVRQSFSLGGTEIKVWFGLVEDLSVYFLEPQNGMFGGGWVYGGNDAGRFGLFCQSALEFLLQSGSSPHIIHCHDWSSAPVAWLYKEHYAESRLATARIIFTIHNLEFGAHFIGKAMTYCDKATTVSHTYSKEVAGHGAIAPHRGKFYGILNGIDPDIWDPYTDNFIPMHYTSENVVEGKNAAKRALQQRFGLQQTDVPIVGIITRLTAQKGIHLIKHALHRTLERNGQVVLLGSAPDPRIQSDFCRLADSLHGENHGRIYAGSDFILVPSIFEPCGLTQLVAMRYGSIPIVRKTGGLYDTVFDVDHDKDRARVLGLEPNGFSFDGADCNEQSLLGLKPAVGSTPSAKGSWSKTGPGTGLPWTTLNCTIQLTNFEAPIQRWQEKASIGRYYKLNETWLKVKIFYLSCRYKLTQTWFKVKIFYLSYTYICRIKTLYSMHKQLWEYVSAMFPVLSFNYEYLI >OGLUM08G05580.1 pep chromosome:ALNU02000000:8:4684651:4686866:1 gene:OGLUM08G05580 transcript:OGLUM08G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARS7] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >OGLUM08G05580.2 pep chromosome:ALNU02000000:8:4684846:4686866:1 gene:OGLUM08G05580 transcript:OGLUM08G05580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ARS7] MARSSFKLEHPLERRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLFV >OGLUM08G05590.1 pep chromosome:ALNU02000000:8:4693971:4696493:-1 gene:OGLUM08G05590 transcript:OGLUM08G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glyoxalase I homolog [Source:Projected from Arabidopsis thaliana (AT1G11840) TAIR;Acc:AT1G11840] MASGSEAEKSPEVVLEWPKKDKKRLLHAVYRVGDLDRTIKCYTECFGMKLLRKRDVPEEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGAGFGHFAIATEDVYKLAEKIKSSCCCKITREPGPVKGGSTVIAFAQDPDGYMFELIQRGPTPEPLCQVMLRVGDLDRSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVIELTYNYGVTEYTKGNAYAQVAIGTEDVYKSAEAVELVTKELGGKILRQPGPLPGLNTKIASFLDPDGWKVHIIRISSKRTVPKFIVLNSTVDKVLVDNADFLKELQ >OGLUM08G05600.1 pep chromosome:ALNU02000000:8:4699623:4704339:-1 gene:OGLUM08G05600 transcript:OGLUM08G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDADSGGLPGGEAAEEMGMGGEEERVATLLGIAEECDTEEELRLLLRGNPHPVCYDSFLPCDRMTIAQGVLKAIHVRKMVEAGCRVKIWIDDWSAFLNNKLGGDMEKIQTVGRYMIEVWKSIGMNHDGVEFLCSSAEINSRADEYWPRVMGISTHRKIGVVRELRECKKPTAQFFNPCMQCAGIFLLEADICQMGMDRHEVNKLATTYSDNRQEKKPIILSHYLLPGLKGQNKMSASDPASAIFMDDEKAEIDLKIKQAFCPPKIVKGNPCIEYIKYIIFPWFGKFEVVRKAKNGGNKTFMSVEELVMDYESGSLHPADVKPALKEAINQILKPVREHFENNKEAKFLRDTVKGYSEVNSE >OGLUM08G05610.1 pep chromosome:ALNU02000000:8:4704698:4709449:1 gene:OGLUM08G05610 transcript:OGLUM08G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G59040) TAIR;Acc:AT3G59040] MEAAATIGGARSPLSFSSSLCNAKVSCGLALHNVKIKSSRRLEVVCHGMLTTRKFMQKKKKEEVYKDAADEAEQKNWRMMMREIEESGSAVSILKTQRSKKEPLPRDAVLGTLMRFKQLKKWNLVSEILEWLRTQHWWNFSEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYKPSVISQTALMEAYGRAKQYRKAEAVFRRMQTSGPEPSAVTYQIILKSFVEGDKYKEAEAIFEDLLNEKRASFKPDQKMFHMMIYMYKKAGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRTALKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILIDAFAISGLVEEAHTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMDGAEKFFRRIKEDGLKPNVVVYGTLMKGYSKLNNVERVMRVYERMRMQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPADKKAKNILLSLAKTPEEQEEANELTGNCAIQLEAKPDGTTYGLEINGTGNEYKHGQNDAEHDSLLDGACTTSNQNGKVEAGKGHFEEVNDDDDDDDDDYDEELNFVSFKDKRELNFAT >OGLUM08G05620.1 pep chromosome:ALNU02000000:8:4710609:4711584:1 gene:OGLUM08G05620 transcript:OGLUM08G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGHLLLCAAAACLAVLLSSLMEIWNTSTDPQQIQFMAIEKDDLLVTNLEGTEWSLAQLDTSIYMAASGTNRSKLTRDKLTPHLSDLSLSAHSLQKGEENNLLCRNAAALAMRVPDHSIVYLPYKQFYS >OGLUM08G05630.1 pep chromosome:ALNU02000000:8:4712392:4712619:1 gene:OGLUM08G05630 transcript:OGLUM08G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAPPKLSSIIRRTRLPRRLDTIMEDDREAMESPRAPPRSTSYAKEVVDTSMHCTKKGVFLASMMKAGCLKIKA >OGLUM08G05640.1 pep chromosome:ALNU02000000:8:4715754:4723184:1 gene:OGLUM08G05640 transcript:OGLUM08G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENHLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNKMWRAREKELELESKTKRRSINRGDSRGKKRRSDVRNQSSSPRMEQDSTSYNSSYLDLEGALGDDEIEMFLHSRVKRGRGAVGSRMDETGPYLNASSRSQDNVPSLDIRVEEKWELQVQGPERPLSLRFQSTDDYWHRETMDGEPSISGKHMKKRKKKESNSEKKDKEERRKKHENKKI >OGLUM08G05650.1 pep chromosome:ALNU02000000:8:4715938:4721522:-1 gene:OGLUM08G05650 transcript:OGLUM08G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQYSDVIRGMNGTIQENQKLCCIEQYPAPGTARERERAQDGVGVDHQRDLISRSCDDA >OGLUM08G05660.1 pep chromosome:ALNU02000000:8:4721570:4724731:-1 gene:OGLUM08G05660 transcript:OGLUM08G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors;DNA binding;DNA binding [Source:Projected from Arabidopsis thaliana (AT2G36740) TAIR;Acc:AT2G36740] MADLADEDPPVLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKEDEEDDNYEEEQDAGDEFDSDFGEDESEPDDEPEKEVRERLPIKKRLIFPGKTMKKINAKKKKKVVPKLEDDSKTDKYSDQQSPSKQADIPDELETGEKIIRKSTRTSVIVRQAEREAIRAEKEATMKVPVIKKKKEGEEKRMTQEEMLLEAAETEIINLRNLERVLAREEEVKKKAVVHKAVYEGPTIRFCSRDGESRLEFINGASFGSELCTTSAPYPEKSICAVTGLPAKYRDPKTGLPYATKEAFKIIRESFLKEEADRKRPNLANMGELFESITGEYSTPKKRRIEARSPSISSDQRHGGRFRRIPALDLLDED >OGLUM08G05670.1 pep chromosome:ALNU02000000:8:4738517:4741052:1 gene:OGLUM08G05670 transcript:OGLUM08G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAVLAGRNEVHHHHHHHHWADARVPLMPKHATNPNPRRHHRPSPGIPPPPPPQRPPAATVAPSVPDPSPSGHVTIRPSELSRREAQVLRARLTGELSRVRALLSDIDGWEARRRRPAEPPRQRGHVSPPPALQAAMRKRCTQILTRLRKQKISVWFNSPVDVERLKLHDYHAIIRNPMDLGTVKENLAFGRYPSHEAFAADVRLTFSNALRYNPADHHVHRYASNLLATFEGLYKEALSWFEQECQRLEPPMPLALPPPQPPVPMPMQAPPRIGGGVRRPKPKAREPNKREMSDEEKHKLRVEIGNLPEEKMGNVLQIVQKRNTDPALMGEVVELDFDEMDIETLWELDRFVVNCKKALSKSRRTVAMNGDAVDATAIVPIEDDTVQENDTPEKRVSEVDMVDEYVDIGDEMPTANYQSVEIERDAQVASSSSGSDSGSSASSDSDSRSSGDSDSDGDDAQSPD >OGLUM08G05680.1 pep chromosome:ALNU02000000:8:4742677:4746727:-1 gene:OGLUM08G05680 transcript:OGLUM08G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKKSVAVAVAPAAVPAKGKGGKKREAEDEIEKAVSAKKQKAAAAPPAKAVPAPKADAKKAKKQPPPKKAASSSSGSSSEEEDSSESEEEVKVQVKKTTKPVKQESSSDESSDESSDDEDAKPAAPVANNGLKKGKPASSDSESDSDDEMDEDEKPAAPVKKTSVTAQKKKDDSDSSESESDESDSDEDVPTKSKAPAVAAKNDDSTDGSESESDSEDEDAAPKGAAKKESSSDEEDDSSEESSDDEPKQPQQKKAQKESSEESSEEDSDEEDEKLAKTPKKKTPAATKSQNDEPKTPASNQSQGTESATLFMGNLSFNLNQDQVKEFFQEVGEVISVRLATHEDGSSRGFGHVQFASSEEAKKALELHGCDLDGRPVRLDLAHERGAYTPHSRNDTGSFQKQNRGSSQSIFVKGFDSSLEESKIRESLEGHFADCGEITRVSVPMDRETGASKGIAYIDFKDQASFSKALELSGSDLGGYNLYVDEAKPKGDSRDGGGRRGGRSGDRFGGRSGDRFGGRSGGRFGGRDGGRRGGRGGRDGGRRGGRGGFQSRQSAGTASTGKKTTFGDE >OGLUM08G05690.1 pep chromosome:ALNU02000000:8:4751001:4752551:-1 gene:OGLUM08G05690 transcript:OGLUM08G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFSGRLNRFVCGMAPPPPEEEDDDAAAGAGGEAEDALNTPFLRYRRARHGSSPPLAAPYWPRGFADEEKAEKEAVVFEEAFRRLLAEKEEKAATCADEEKAEEEEEGSSWLRGVALAMARPPEPRGKDEEVRLPCLAFPSLDGYRVYSLAEGRMCDDGDVRLRMACRRRYVSSPYGGKVFVTDLNWRYSSHLVDPFTGERTPLPDLPIPLSETEPTPCADDEPRANRTVAVGTDDCFAWDWSPRGVMVARGDTVFFCEAGGGEWKPVHRSRTNSPMTVNHRGGFFFVLERRSLLTMVFDAETLAPTAEIAPPPSRHDIDDAYLVASTDDVLLLVRRRAADSDIREVFTHAYRARHRGAPTSPPLAWAPVTDIGDRAAFVTRAHGFTVGVVGPDPDADGGDAAAATVRRNRVYVIRGSTTRDQLDRRVVSHKIGEVHLKNPMPPTLLPLLQGELDGGSCLHERKLGQPHWIIRSDQSTSASVNESEESDQSTSASVNESEESDQSSSGSVNESE >OGLUM08G05700.1 pep chromosome:ALNU02000000:8:4754564:4761009:1 gene:OGLUM08G05700 transcript:OGLUM08G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLNPVGTRITLLWAPPPRVHNSPLPLSKRISPAGGGARAAPATSAAAMLLPRRLPLLAGLLRSASSVASSLPPNPKNVSGREGFLWSSCHFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIFGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEGHNIKVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVSVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >OGLUM08G05700.2 pep chromosome:ALNU02000000:8:4754564:4761009:1 gene:OGLUM08G05700 transcript:OGLUM08G05700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLNPVGTRITLLWAPPPRVHNSPLPLSKRISPAGGGARAAPATSAAAMLLPRRLPLLAGLLRSASSVASSLPPNPKNVSGREGFLWSSCHFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIFGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVSVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >OGLUM08G05700.3 pep chromosome:ALNU02000000:8:4754564:4761009:1 gene:OGLUM08G05700 transcript:OGLUM08G05700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLNPVGTRITLLWAPPPRVHNSPLPLSKRISPAGGGARAAPATSAAAMLLPRRLPLLAGLLRSASSVASSLPPNPKNVSGREGFLWSSCHFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIFGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTDENNSNTTLQKSYYKTAALISNSCKAVSGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >OGLUM08G05700.4 pep chromosome:ALNU02000000:8:4754564:4762071:1 gene:OGLUM08G05700 transcript:OGLUM08G05700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranyl diphosphate synthase 1 [Source:Projected from Arabidopsis thaliana (AT2G34630) TAIR;Acc:AT2G34630] MLNPVGTRITLLWAPPPRVHNSPLPLSKRISPAGGGARAAPATSAAAMLLPRRLPLLAGLLRSASSVASSLPPNPKNVSGREGFLWSSCHFHSTRHQTSREGEQMAKELLDPLALIKDEVSEISNRLRSMVVAEVPELTLAAGYFFRAGAEGKRTCPTVLLLMASSISMDMADPIFGSKNEIRERYMRLAEITELIHVTSLIHDDVLDDANTRRGMDSLNCVMGKKLAVLAGDFLLSKAFSTAAVSLDNAEVILLLATAVNNLVTGEFMQMRITPIQRCSMDYYLQKSYYKTAALISNSCKAVSVLAGQTAEVATLAYQYGKHLGIAYQLIDDILDFTGTSASLGKGSLSDIHQGIVTAPILFAMEEYPQLRVIVEQGFDDPSNVDAALAYLARSKGIERTRLLAAEHAKLAADAIDALPESKDGSVLISRQALKDLTEKLIKRTK >OGLUM08G05710.1 pep chromosome:ALNU02000000:8:4762349:4763877:-1 gene:OGLUM08G05710 transcript:OGLUM08G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDPGDPLPDTNRDWSELPVDALSAVFMKLGTVEILMGASFVCHSWLAASKSPELWRFVDMTRHKVIFSKGTDILCAMAKAAIDRSNGRMESFWAQKFVTCELLDYIVSRASSTLKSIRLIACTFVWGQSLATLAARCPLLEEIECSHYKMSADFFKYVGIVRPQLKRLRVHMPYFDYDAMEDEMKEHHDEDEDDDEYEEPFEQWEARRNVDAFAIAENMHELRLLQISGNNLTGFFRFQLRARCVKIKHVWLPGQWPHVNCPDLRVIGENEGEDDGITLHDLWEAEVETLRAEAKMEDGSYGDYYEDCSALSSPESCVDSKDVYCDDPKVYTMYHDYYSL >OGLUM08G05720.1 pep chromosome:ALNU02000000:8:4767691:4775609:-1 gene:OGLUM08G05720 transcript:OGLUM08G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKPSPLPMLPVRDWSDLPTDALSTIFMKLGSIEVLMGAGLVCHSWLAAAKSPELWRSVDMTRHKVVFSKGIDTMCAMAKVAIDRSQGKMESFLAQKFVTSELLDYIACRASSLKSIRLIACRNFWEPSLAELATQCPLLEEIECSGNKVSSEFLVYVGRARPQLKRLKIHMRWFDEDAMESEMEHEMEHEMMHDDDDDEEEEEEEEEEEEDEFLYEDENVDDDEDEGEEDEEIEEDEDENNDDDDDDEEEEEEEMEEDEDLDEDKNDVVEEEEDEDMDEGEDDENETNEEWEVRKNKDAFAIAENMPELRLLQISGNNLTNKGVHAILDGCPHLECFDLSEWYNVRVDDQLRARCAKIKHAWLPRQSPRVHCPDLRVIEEDEGEDYGITMQDLWEAEVETLEAEAKMEEGSYGDYYEDYSALSSPESCVDSKDCLASIGVPLLQTLATPPCCPEQKRPPPRSSPPAKALVCTMEAVPSPLPDTDSDRDWSELPVDALSAIFTKLGTVEILMGASFVCHSCLAASKSPELWRFVDMTCHKVIFSKRIGILCAMAKAAIDRSDGQIESFWAQKFVTGELLDYIVRRASTLKSIRLVACTFIQWQSLAMLAAKCPLLEEIECSYHKMPADFFKCVGRVRPHLKRLRVHMHYFDQDELENELIKHVLEEGGEVFEEPFEQREARRNADAFAIAENMHELRLLQIAGHNLTEIGVRAILDGCPHLECLDLSSCHDIYVNGQLQARFAMIRHVRLPWQRSHDGDCPDLCAIGERVTMADLFRGLARSLLEKMEMRDGTYGDD >OGLUM08G05730.1 pep chromosome:ALNU02000000:8:4771808:4772173:1 gene:OGLUM08G05730 transcript:OGLUM08G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVRESNRREVLTGKEMPIAGSGDKKTSSPREVGSAREGGGTPPVPVKARALNRSARRSRVLLLPPPSLLLLHRRRRLPPRGGGDRGMQEEDEFDVWVPRADEEMDEKCDGSGTVSIL >OGLUM08G05740.1 pep chromosome:ALNU02000000:8:4778501:4781675:1 gene:OGLUM08G05740 transcript:OGLUM08G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLDPQPEVERNQAEMPYDPLPDILRKLRDAQTAAALPNPNPPEPHPQLATPSFPTTTDTASRRRRRHRDAAAASDPQPEAEVEDTTDRAELELPYDPLPDILRELRLAPRAAALPSPNPNPNHNPAIPSSTTSRRRRRHGRGRGRGRGRGEEARDWAAGLPREAILAVLSKLDHIEILMGAGQVCRAWRRAARDEPELWRRIDMLNHAELSFELNLFGMAQAAVRRSAGQCEAFWGEYAADEDLLHFLGDRAPCLKSLRLISCFDILDEGFSAAVKKFPLLEELELTLCDNLGENDVFKAVGKACPQLKRFRLSKRCFYNYKHSGYNKDEQALGIATMHELRSLQLFANNLSNEGLKAILDNCPFLESLDIRHCFNVSMDDTLRVKCARIKTLRLPYDSTDDYDFKVHKPIWSGADFFSDSDDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVYEDELDEEDRMMLKAMRMFLK >OGLUM08G05750.1 pep chromosome:ALNU02000000:8:4786235:4786576:1 gene:OGLUM08G05750 transcript:OGLUM08G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRRRRRHRDHGKRTRRGGCRSSSSSADLSLPRAPPLSGNPNPSPSSTTSTTSGRRVGGLGRKEAEARDWAELPMDAILAVFHKHDHIGILMGAGAAPRRVEPELWRRI >OGLUM08G05760.1 pep chromosome:ALNU02000000:8:4786578:4788955:1 gene:OGLUM08G05760 transcript:OGLUM08G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHADLNLYGMAQAAVRRSTGHCEAFCSEHAADEDVLTFPRRPVRFFIPQFQGCFGSLLLHQLWCHSNKFQILETKATCGKGHLLLTVGGIEAKMQKPWQRRKKQEWLIFDIDDDRTSAKLASTSNNSRLFICSSLAKDLEIISSALTYFSV >OGLUM08G05760.2 pep chromosome:ALNU02000000:8:4788963:4790108:1 gene:OGLUM08G05760 transcript:OGLUM08G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLCLVDEALRNPAPDQDLPLDQEVLRIYAATQEAFLQFVWQIVDAQVQYSGGLSNAKIFAAVTDVPPGELCPILVSEELADGDDGQRQAAAPLQHVSGDLPEVARAPVAGNILEHHAAKECPPLVRRQRLQRHGPLRRRGHGDSALARGDHHPAPSDAVAVGHGALQAVPQRLVPHVVEHDQVPLVGETLPQRRHERPVVRVAAAVTDVGGASPPPPTSTSTTTDGVQVQLPEDLHQEVLHREALRDGDPRVAVEVVTRRRRRRRRHLLVDAGDEGRLADAAHPAHGEQRPPAWLRRVLSQQPSHKHFTVIGEADDLLLVHGARVLRHPPASGDGIIFAAKLAAANNIIHHVDALPLVIRRLQQLAKLLPHLA >OGLUM08G05770.1 pep chromosome:ALNU02000000:8:4787535:4790471:-1 gene:OGLUM08G05770 transcript:OGLUM08G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLVSLSAKVAMALARSTAMGVVTSLGLGGVHSSIAAAEHELSLLRGHLRSGGASCRGADDDDQDPIDSWANQVRDVAFQLDDITDECCFLSGSGSGSGHGFARYCANVPTWIALSRRLRKVREKLGQLLEAANYQRQRVDVMNNVVSCGELRREDDAVAAGRRMAENARSMDKEEIIGFSDHREVLVRWLLAEDAAEPRRRTLLAVCGMGGVGKTTLVASVYKEVTAPAAAPASHHFDCDAWVTVSQRFTMEDLLMKILRKLNLNTVGRRAGTGRRRRRSATDVGDGGGDTDYGSLVAALRERLANKRYLIVLDDVWDETLWDGLERAMPDGDGVAGSRVVITTRKSGVAMAAAPERTMALEPLPTHQGWALLCSVVFKDVPGHRCPSHLREVAGDMLERCRGLPLAIVAVGKLLRHKDRTEFAWRNVRDSLAWVKNSEDLGIGEASRILNLSIDDLPYKLKKCFLSCSIYPEDFLIKRKILIRSWVAQGFIDEAKEVHGERRTMEDVADHYLDKLVQRSLFQVAVRNEFGRAKRFLIHDLIKDLINHRSKHEEGFVQFAECDLTMDSNIRVRHLALDRCTSSRRSASAAKIAALRSFQAFGSKLDASLMSCFRLLTVLNLWFIEINKLPSTVTNLRNLRYLGIRSTFIEELPKDLGKLQKLQTLDTKWSMVQRLPSSLSKLKSLRHLILLKRHAADYYRPYPGTPVGQLPAGLQNLTSLQTLKYVRADEMISKSLAKLEQMKSLELFDVDASFADVLSSSISKMSHLQRLGINNSNTESVIDLESITLAPRKLQKLALSGRLARGKLPGWTCLLTSLKQVHLIASGIAQDSLLLLSSLPGLLHLSLNAAYREKEMTFAAGGFPALQTLTLHELSNLSQIEFQRGCLAELHELVLDRCTKLADSPKGMENLTRLQNLKLVGMAPELMEKLQDGKGDDGCYYKPGTGSSMICQVPSPSRYHMKSIVFLKPPAVLV >OGLUM08G05780.1 pep chromosome:ALNU02000000:8:4795106:4820850:-1 gene:OGLUM08G05780 transcript:OGLUM08G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDELPLPSPETRDWSELPLDALSVVFANLHAVDLLMGAGLVCSSWLHAAKLPHLWRCVDMAHHDVVTKDPLVRHLLSVLARPPAVDLPAMEFVKYYEQRKYIEDSGVMRAMAKVAVDRSDGRLEVFEGYGFVNDELLQYIGDRSPSLKGLSLISLFSYLDISKKPFGIATMKQLRHLILGSICIGNEELMAIIDACPHLELLDVSKCYKLDVDDALRTKCAGIKTVKLPLSLSHDGDQYAYCDYQIDEYDHFQVFFVPLAMEVDPIPLPASDERDWSELPVDALSVVFAKLGAIEILMGAGLVCHSWLEAAKVPDLWRSVDMTRHKVIFKKTIGVMCEMARVAVDRSAGKLESFWAQNFVTSDLLKYIGERTTSLKSIRLIACTRVPWKELVNLAAKSPLLEDLEHSYRDSPEEFFEHLGRKCPQLRCLRVNNDGFVYDDAKYDLMDQVIGDHDDDDDEEDELEYGPWDWPSNNNGVAFAIAESMHELRILQITNNTLTNAGVLAILDSCPHLEILDIAGCNNVSPNDQLRARWASLRHFNLSEGCRWSNFKELRVIGEDEGQEFRPEDELSDGLSKEFCCPSKDAGMGDGWDDVYWDDISLPSDDGRDPDLSNPDYPPSELPDILRVRELRLAPRASSLPNPNPHKPQPHPTIPSTTTTTSGRRRNRRGRGRGRGRKEGDARDWAGGLPLDAILAIFHKLDHIEILMGAGQLNLFGMAQAAVRRSAGQCEAFWGEYAADEKLLHFLGERAPSVKSLRLISCYDILNEGFSAAIKKFPLLEELELSLCSNIGESNVFEIVGKACPQLKRFRFSKDCFYSFEDNEYEMDEEALGIATMHELRSLQLFANNLRNEGLAAILDNCPYLESLDIRHCFNVNMDDTLRAKCARIKTLRLPYDSTDDYDFQVQKPISLADFYSDSDDDCVYGGPDYILDSDEYDDYCDPYRYLDGVL >OGLUM08G05790.1 pep chromosome:ALNU02000000:8:4820863:4844420:-1 gene:OGLUM08G05790 transcript:OGLUM08G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPIWTSIQLASTSVAGGQGSGRDGRRARSDAMLMPVPELTPPETTTTRDWSELPVDVLSVVFAKLGAAEILMGAGIVCSSWLHAAKLPHLWRCVDIPQVVRNDAVCCAMAKVAVDRSDERLEGLNEGALPVTGSSMRSRRRWCLPTQLPWNMEPPVLPVPEPPAETRDWSELPLDAVSVVFTKLGAVEVLMGAGLVCHSWLDAAKVPELWRTVDMAVLYRDMGSKNLGILTAMGKRAVKRSNWQLEVFKGRDFITNQLLKYVGRRSPGCLKSLHLESCTEVSMGAFTRLITKSPLLEDLVLNYCPMLCGDVYATVGERGSSASRRLEWWDDDDMLLTIAAMHGLRRLTLEGVRVRSRELTAIVDGCPHLELLDVSECFLRRDIVGDGALPAKCASIKTLKLPLFSDVDAAAAVAAANDDGEYFDEYFAYHGQYSSIDPFDDDTNFDEFGKFNPDYFYQCDDHIGHCIKFHFTCGDATREVRPYESEGCALQEGMRRKEPENGVEASGVREETTTVQVCCCLAGRP >OGLUM08G05800.1 pep chromosome:ALNU02000000:8:4861848:4862225:-1 gene:OGLUM08G05800 transcript:OGLUM08G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPLAIPSVARDGVLFDVPLVALDLDSWLMAQLPLSVADNDALHAFLASCSRSLPPALLSLPPPPVPATVGVVPKRSKRIAAKLALAGLSDTTSPAQHNFKRNIGLVPKKGPESTEMAYNALFS >OGLUM08G05810.1 pep chromosome:ALNU02000000:8:4865447:4867576:1 gene:OGLUM08G05810 transcript:OGLUM08G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGVIMRAWNEWGIQALVLLSLTLQVSLLVLAEFRRRVNSGVLRFFIWSAYMMADGTAIYVLGHMSVTSSSPQHQLMAFWAPFLLLHLGGQDSITAYAIEDNRLWLRHLQTLAVQVAAAGYILYESSIVGSHSFLRWATMLMFVAGVVKYGERVWALRCADSSQMAKNYRTLQVSARGFECSYYLDKIISGPPWDTETYLLMAHRMLEVPRIWLKGPPQDSLSQYPFASDLSGKDLYKVVEMQLSLMHDIFYTKVEVIHSNLYGLCIHMLPAMATTAAFLLFQLVILGREGHGYDRLDVAVTYVLLVGAVILETASLLRAMFSSWTCPLLVRWSRHKRGMEDNTVCNNLGHTITSLRRLVRAAQWRRRYWSCSMGQHNLLRLGVGSTTSRRSKMARWMGVEDWWNTKAYSWSIPVSEFIQELLVNQVLEREGTASSSISMVLDEQDQFPIPSKGEEEEEGSASHGSRPEADADDQLFDSKGRAELKRWGLYEGGQTLNGEERLTWSVEERILVWHLATNIYLTWWNKKQQKQDKQQPMAKAAEALSNYMMFLLAARPYMLSPTASRDSYVEMAYALTPAGGLRYDSAEQLASFLRTYGDTPEYDARGRLRHRYGSNLDFTTQLHLQLVLDTGCELGAKLISQDELQEAGADGKLGLIAQVWVEVLCHAGQQCSAYSHARQLSNGGELITVAALLVEYVTKRILTFPR >OGLUM08G05820.1 pep chromosome:ALNU02000000:8:4870317:4871143:1 gene:OGLUM08G05820 transcript:OGLUM08G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPNPSRCCWMQGFFWTVEIHSLWRLEGITDLSNHHNPRRGAWMTEERPPPTSSIVAWTVEDAADGDELRRGTWMVGPPMTIFWCPAHDTSNRLIPDRYHLISRKYHIIHGRNRLIPDRYHLIPYSYHLIPLKYRLIPVRY >OGLUM08G05830.1 pep chromosome:ALNU02000000:8:4872755:4874587:1 gene:OGLUM08G05830 transcript:OGLUM08G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMLLPPESRDWSELPMDAFSVIFAKLGAIELLMGAGLVCHSSKLPHLWQCVEMVHHEALSLKEPIVMSEMARAAVDRSDGRLEAFEGQWSPKLKRLCLVSCYSVYKEAFIEFIAKCPLIEDIALINCGSVVFHALNVITGKSCPQLKRFELRTAFERPCYGFDVPLGIPTVCHLQHLILGGIIDIDNEEPPALTLILLTSGSAMSSRSSMLRTLRAKCAKIKIRTEASLP >OGLUM08G05840.1 pep chromosome:ALNU02000000:8:4892583:4892864:1 gene:OGLUM08G05840 transcript:OGLUM08G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGLLAQVPAETEKEKCESSRMRRRRLRRSGTGYGASCARAGGGQGGAEVATSILTHDSVGVRGGGDDAAKAALVMKLGETCYGITTGEDAT >OGLUM08G05850.1 pep chromosome:ALNU02000000:8:4893735:4896669:-1 gene:OGLUM08G05850 transcript:OGLUM08G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRRRRRGRRRKKKEEARDWADGLPLDAILAIFHKLGHADILMAADQVCATWRRAARDEPALWRCITVRGTEALSARINRGGLACAAVRRSAGQCEAFCGEYAGDDGFLVYLTEQASCLKSLRLISCLGVSNEGIEEATKEFPLLEELELSFCYNVTHEAYAAIGATCPKLKRFRLSKRSFYDSGCIRWKNNDDASGISKMHGLRSLQLFANNLTNEGLSTILDNCPYLESLDIRHCFNVDMGDDSLRAKCSRIKMLRPPDDSTDDYDFDVYTPRRLPISPGFVRYYSVYSDPEYSMYSDEWTSEEFDDDDDDYSGPSRYEEDLDKYDNALPRCMRTFLK >OGLUM08G05860.1 pep chromosome:ALNU02000000:8:4899270:4900210:-1 gene:OGLUM08G05860 transcript:OGLUM08G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAIDGVGVGRWRAVDVSWQLRGLVVVVVGVLQLVEVEDMLDLKLEVMGRCLVLPPPWSLLLRMEVLLVLYEKVYCLWSLSLCAGCGLGWWWCFVPLASVRDDGVVAGVGDVVVPTTELAKALLRPPPSSGPQLVLLLHLLLVHSFPTLCIVPFREQFIRQWLCSSLLQGGSGVLLPLRFWAVVSTLSEPFGLSSYSDSMANSVVNLHQNLRLNSKSPICADSVKASERDYRFVKRSTCQRRPKVAIVAASPQLPVDSLLLRYLFSLMCILLVLYPKPSLLAMYGACPLDLLL >OGLUM08G05870.1 pep chromosome:ALNU02000000:8:4902135:4903993:-1 gene:OGLUM08G05870 transcript:OGLUM08G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGHGHAGAGLRGMAQAAVRRSKGQCEAFRSQHAVDDGFLLFLGETGYIFPISLFCLYSKSSVLHLH >OGLUM08G05880.1 pep chromosome:ALNU02000000:8:4913826:4914551:1 gene:OGLUM08G05880 transcript:OGLUM08G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVAVDRSGGKLEVFKGKRFVTNNLLTYVADRSPCLKCLYLESCTSVSNKGLTELITKCPMLEDLTLYSCRNIDGDVFVVAGKACRRMKRLHVRWCGALPAYFDGDEPVGIATMRELRHLTLEGIGVSQEKLMAIVDGCPQLDLLHVSGCPGLAAVDDALQAKCAGIKSLTLRPYQELEVWK >OGLUM08G05890.1 pep chromosome:ALNU02000000:8:4917800:4919535:-1 gene:OGLUM08G05890 transcript:OGLUM08G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRRRRRRRRRRQRRRTRTVPLGVFLYHTTLPAARDWAELPVDAILQVFRWLDHVDVLMGAGLACRSWRAAARDEPVLWRRIDMRGFACLPYWQRHRRDTVRAMAREAVRRSDGRCEEFWSKVGGDDEVLRFLADHAPYLRSIRLVKCDHVSKEGISAIIQSCPLLEALYIDSDCILRRDIDALRDTNKLRETNRALSIQIRSHGEEEEADRAQYGKDEEADTDYGDDEFTGDLSPWSSLCFEQDRDDGLDEFLESEYFLEPHRYLHDVHLNEFDEEQDCRMLDKGDRRYLKAEGWTYVE >OGLUM08G05900.1 pep chromosome:ALNU02000000:8:4922505:4924933:-1 gene:OGLUM08G05900 transcript:OGLUM08G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSRRRRGHHGNRRRGRGSGSGAPKAEAARNWAELPAAAISAVLGGLDHVDILTGAGQVCRSWRRAARDDPGLWPRIDMRGHANANAKRGVNLHGMAQAAVKRSAGRCEAFWGESAGSDRFLLFLGRAAPGLKSLRLISCYDVSNKGFGKAIKKFPLLEELELSLSPNIFGNTITNEGLEAILDNCPHLESLDIHHCFNVFMDDTLRAKCARIKALRLPDDSIDDYDLQVFSPVFADSGNSSDDMDDGYMVPGLHCVVFSEENDCFDDDINEDELDDEARMMLNGLRALLM >OGLUM08G05910.1 pep chromosome:ALNU02000000:8:4924964:4934255:-1 gene:OGLUM08G05910 transcript:OGLUM08G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELRSLQLFANTLTNKGLSAILDNCPYLESLDIRHCYNDGMDASLRAKCARIKVLRPPDDYDFHRACTPRRFSSSTPRLFTLHPTLAQKTASPPAFHSRPFPSSSSKP >OGLUM08G05920.1 pep chromosome:ALNU02000000:8:4934296:4937604:-1 gene:OGLUM08G05920 transcript:OGLUM08G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGSVALEEAHGQSLTLQHTGSSSPSRAFLPTTSPNPNPPRLAAVKVAAAAGRRRCRRNMEARNWADLPLDAILTIFHKLDHTDILMAADQVCASWRRAAPDEPTLWRRITMRGTEALSSRINLCGLACAAVRRSAGRCEAFCGEYAGDDGLLMYLAEQASCLKSLRLISCLGVSNEGIEEAIKQFPLLEELELSFCDNVTYKAYAVIGVTCGPQLKCLRLIV >OGLUM08G05930.1 pep chromosome:ALNU02000000:8:4938249:4946336:-1 gene:OGLUM08G05930 transcript:OGLUM08G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYRLGSVESLGGAARAWARGLPRDAMQAVLRRLGQAEILGAAGQVCRSWRHAVADEAELWRRGGEEEGRPPARPPASPSPVSPRASARGGGASRSWRTASGATGSFSTLASVSNCSCQMLPYIRSLCLTSCYSVCSEGFVEAIKGFPHLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLSKDRFYCFDDDHSNDQEALAISTMRELRSLQLFGNNLSDRGISAILDNCPDLESLDIRHCFNIKMEASLQAKCARIRTLRLPDDLLDDYEFQVKSPISYLWSDGDEYLDRDYMDEDMDNYERFIPEDEDLIEGNVLSAESPPWQATRKEEPLDFLSQLHTHHSAAAINASDYGFSQTFSGHARYGVSFHFLGTIDDAFLAAPCIGGESPSSSSAAASSGEGLGGAAHGRVAAYPRQAQRRPAPARQRGGGVPLLAPRRARRAVAVAERRGQRSRSRLPPRCRLSFEEVARAAVRGSQGRCEAFRGRFVGGDDFILFLADSAPFLKSLRLILCHKITSVAFAAAIMKFPLLEELEVSRCRRIENICMPELVANLCPQIKHFRHTRSRDRYRVYNINRPGNDSQALATASMRQLRSLQLFRDDMTNEGLMTILDKCPYLESIDIRSCRNLTMDGTLRARCAMIKTKTLYPCKPADEDEDFQPGSPISYCSTCAGNTDMDIGSESDFDDISGIDYDDDWYDSYCCYYLSDTEEADLAVHNRMLEKSMRRTNILVTGIQQH >OGLUM08G05930.2 pep chromosome:ALNU02000000:8:4938249:4946336:-1 gene:OGLUM08G05930 transcript:OGLUM08G05930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLYRLGSVESLGGAARAWARGLPRDAMQAVLRRLGQAEILGAAGQVCRSWRHAVADEAELRGGGGAPAGPPARLSVARVAAGLGAGRRREPFVADRVGCDGFLLYLGKRLPYIRSLCLTSCYSVCSEGFVEAIKGFPHLEKLELSLCTNIFGEAIVAAAEACPHLKRFRLSKDRFYCFDDDHSNDQEALAISTMRELRSLQLFGNNLSDRGISAILDNCPDLESLDIRHCFNIKMEASLQAKCARIRTLRLPDDLLDDYEFQVKSPISYLWSDGDEYLDRDYMDEDMDNYERFIPEDEDLIEGNVLSAESPPWQATRKEEPLDFLSQLHTHHSAAAINASDYGFSQTFSGHARYGVSFHFLGTIDDAFLAAPCIGGESPSSSSAAASSGEGLGGAAHGRVAAYPRQAQRRPAPARQRGGGVPLLAPRRARRAVAVAERRGQRSRSRLPPRCRLSFEEVARAAVRGSQGRCEAFRGRFVGGDDFILFLADSAPFLKSLRLILCHKITSVAFAAAIMKFPLLEELEVSRCRRIENICMPELVANLCPQIKHFRHTRSRDRYRVYNINRPGNDSQALATASMRQLRSLQLFRDDMTNEGLMTILDKCPYLESIDIRSCRNLTMDGTLRARCAMIKTKTLYPCKPADEDEDFQPGSPISYCSTCAGNTDMDIGSESDFDDISGIDYDDDWYDSYCCYYLSDTEEADLAVHNRMLEKSMRRTNILVTGIQQH >OGLUM08G05930.3 pep chromosome:ALNU02000000:8:4946336:4948189:-1 gene:OGLUM08G05930 transcript:OGLUM08G05930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVSELETHVAATMYPINENEVTWAHQSATPSHFSRSFLRRDRTLTNSHLLGAMPRSPSPSGDLRRRRRRKRGTGRTCRGTR >OGLUM08G05940.1 pep chromosome:ALNU02000000:8:4949552:4950735:-1 gene:OGLUM08G05940 transcript:OGLUM08G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWPSSAGPLTPTSSAARGRCARRGGGFAVSEPALWQRIQLFTDDDDEDAAGGGRGGRGDDPPGWRRMARAAVDRSAGRCESFRGRADMGLLAYLAARLPSLRAIRVTSRIYVREEEELVAGVIPKLPLLERLELSGGGVFPATTRVMRALLGHSPALEVLDAGGCATDSVMSRRVRERMWYDRPAALLRYHALNYEKIQEINSIDKCPN >OGLUM08G05950.1 pep chromosome:ALNU02000000:8:4955063:4958473:1 gene:OGLUM08G05950 transcript:OGLUM08G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDGETQLRPTAAGHPDPGLGTSSAEYVAPVGPATAPVAYPYIGTYYGGIYGAYSGQPLVNAALMAMPPHSVPLVTDAVVEPIYVNARQYHGILRRRQSRAKAESENKANKIRKPYLHESRHLHALKRARGSGGRFLNSKAVEGKQDTKSVDKKDGAVPSEKRDNKLANNIIKLENSSPTTQPGAVASDVV >OGLUM08G05960.1 pep chromosome:ALNU02000000:8:4961971:4966467:1 gene:OGLUM08G05960 transcript:OGLUM08G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEQGVDWRTRLGQDIRDCMTNDILFSLQTKLQSTSTTLIDLQKVAARIEERIHTIASDYGDYLRRICLTRGDLDSYDVFLNDCQRQQASIRSSILLHQENKQGVLSNQVALNYHKEHSHPYEKDMISKLPNDLVQHIMSFLSMREAVRTSVLSHWWVNQWTFLKSIQLNIYWFHMDREKFSSFLDKLLLSRVHADAPMDTFELKSFAIDRANCWINHAIKHNAKVLKFAEYGKWEPFYLDPNLVELSSRYLETLELTNVALDATIFSQLANSCPALQNMLLTDCLLEVEEISSSSLKNLDIIDCYILKDLSISTPSLVSLCIKNERTDNSSFRNSYLIFATVIIIDASSVSSMELLAMDRQFTFVEKDGGEPMFKNLRNLSLGLWCINNMFSPLRRFVRHSPMLRMVTLRISPLDWKSHLTKEHQEMLISIRDRRGLILYIDWY >OGLUM08G05970.1 pep chromosome:ALNU02000000:8:4967946:4968302:1 gene:OGLUM08G05970 transcript:OGLUM08G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSDWEMEGDEGVWKGVVRKKRVVRGGLDDAATTAKTLCIERPIRDKFRTVNGTHLSPILSSFLLIPNLSFKCSTSSRRPMLHTRPRCHQALAPAPPPLQTAGLPSWVAGFWRRR >OGLUM08G05980.1 pep chromosome:ALNU02000000:8:4968545:4968778:1 gene:OGLUM08G05980 transcript:OGLUM08G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPESTEAAVARKRWRERDEKREEQEHRRRGGYGEEATQAEFVVVVVAEAVAEVARHSGTVEDFGHRDGGRSQI >OGLUM08G05990.1 pep chromosome:ALNU02000000:8:4969365:4999877:1 gene:OGLUM08G05990 transcript:OGLUM08G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGLAKGRIILYLNKKKAATRPGDSKQARPRFAKKASPQSLREFSSPTSSPSIACSGNLFDGMQQGAAGQAGPSGGGGGGGGGGADRFSALPDAVLFRIVSHLRARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDERADQERLHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFIAQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDDDVPSSPYSDSKDSCDGNDSECESYESSDKEGDDLEDCDSNDMLENLIKVAKGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLKKVKITCCKDDEMVHMLEQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRAQNSNLFDGMQQGAARQAGPSGGGGGGGTDRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASAPRVDIRHPCACDERADQERFRDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFEMGIKAITDICSRCTSLEELELKHFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDRDGFFAIASGEHFDDKRETESDQDYGFCDGSDDNIAMAYGEIADEYSSNGDPGDEYRGNYVSHDSANYGRANKFGNLNFPVKSIVDSSAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDMIHRRRKSIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAQFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPGPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSVWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLGTTRFTTGNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDDDGSDYDDDFFAPKAEGSDDKRDNESDNDSGDKRKRDGSESDLDDHDGEYDHEDGSESGDKEVDDLEGGVDRTVTYGEIADESSSYGIPTPSYEYGGNYGNHDYTILGGDHMLDHLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVHRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSRSPDKITLYKPFYHLLVLQSNPFDGMHQGAAGEAPRRDDGEAAGPSGGGGGGGEDRISALPDEVLGRIVSHLKAWQAVRTSVLSKRWRNVWASAPRVDIRHPCACDGRADQERFHGFVDTLLLRRRPFAPIKALRLSWSHGGGANRWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGANSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKCLRSDSSPFEPSSVETYSNRGTEVGVRRGGARSLFGGMLRRNAMLGPPRRGEGGGGDGNGDGDAVDRLSALSDGVLHHIMSFLKAWEVVRTCVLSRRWRHTWASAPCIDLRVRYNDVDSEPPEELRDFVKRLFRRREASAPVDTLRLQSSDPDELFDNDDANAWIRTAIKRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCGMTGHDISSASLKILKMDKCKINVDLSITAPNLVLLNLITPYIQVPSFKNLESLVSCSVILDDFFLGDAYEHSSDEDDIDETTDEDDIDDQKNTYKTGYGFGFPQKGYGLAGNKDDYGYGSDIESDDNTYEYSEIANEYGDQKYARNSSTIVQGVGTSQQTKTISGGHNFLHGLSNAISLELLAGAGEVVLSRELKSCPIFSNLKTLSLGEWCMAAEFDTLIFLLQRSPNLQRLFLKLKLNFNTRKPLESSAKPMRRTFTCKDLQMVKIRCSKDDVRVHTLAHLFRANGIPIEKIYVRRTGISYLRGEKFMRDLGKHELEFWGSDSKFCGPNSEFCGSDSEFEDSDMDSNLIGKKLIANAHCWPTSQPLYVVYIIFST >OGLUM08G05990.2 pep chromosome:ALNU02000000:8:4969365:4999877:1 gene:OGLUM08G05990 transcript:OGLUM08G05990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGLAKGRIILYLNKKKAATRPGDSKQARPRFAKKASPQSLREFSSPTSSPSIACSGNLFDGMQQGAAGQAGPSGGGGGGGGGGADRFSALPDAVLFRIVSHLRARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDERADQERLHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFIAQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDDDVPSSPYSDSKDSCDGNDSECESYESSDKEGDDLEDCDSNDMLENLIKVAKGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLKKVKITCCKDDEMVHMLEQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRAQNSNLFDGMQQGAARQAGPSGGGGGGGTDRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASAPRVDIRHPCACDERADQERFRDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFEMGIKAITDICSRCTSLEELELKHFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDRDGFFAIASGEHFDDKRETESDQDYGFCDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPRDKEESDRTVAYGEIADEYSSNGDPGDEYRGNYVSHDSANYGRANKFGNLNFPVKSIVDSSAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDMIHRRRKSIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAQFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPGPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSVWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLGTTRFTTGNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDDDGSDYDDDFFAPKAEGSDDKRDNESDNDSGDKRKRDGSESDLDDHDGEYDHEDGSESGDKEVDDLEGGVDRTVTYGEIADESSSYGIPTPSYEYGGNYGNHDYTILGGDHMLDHLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVHRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSRSPDKITLYKPFYHLLVLQSNPFDGMHQGAAGEAPRRDDGEAAGPSGGGGGGGEDRISALPDEVLGRIVSHLKAWQAVRTSVLSKRWRNVWASAPRVDIRHPCACDGRADQERFHGFVDTLLLRRRPFAPIKALRLSWSHGGGANRWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGANSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKCLRSDSSPFEPSSVETYSNRGTEVGVRRGGARSLFGGMLRRNAMLGPPRRGEGGGGDGNGDGDAVDRLSALSDGVLHHIMSFLKAWEVVRTCVLSRRWRHTWASAPCIDLRVRYNDVDSEPPEELRDFVKRLFRRREASAPVDTLRLQSSDPDELFDNDDANAWIRTAIKRNARFIHLTGHRTEIGVLKHRALVSTHLKILKLSYVLIDDKILKQLSSGCKSLEELDLKDCGMTGHDISSASLKILKMDKCKINVDLSITAPNLVLLNLITPYIQVPSFKNLESLVSCSVILDDFFLGDAYEHSSDEDDIDETTDEDDIDDQKNTYKTGYGFGFPQKGYGLAGNKDDYGYGSDIESDDNTYEYSEIANEYGDQKYARNSSTIVQGVGTSQQTKTISGGHNFLHGLSNAISLELLAGAGEVVLSRELKSCPIFSNLKTLSLGEWCMAAEFDTLIFLLQRSPNLQRLFLKLKLNFNTRKPLESSAKPMRRTFTCKDLQMVKIRCSKDDVRVHTLAHLFRANGIPIEKIYVRRTGISYLRGEKFMRDLGKHELEFWGSDSKFCGPNSEFCGSDSEFEDSDMDSNLIGKKLIANAHCWPTSQPLYVVYIIFST >OGLUM08G05990.3 pep chromosome:ALNU02000000:8:4969365:4993838:1 gene:OGLUM08G05990 transcript:OGLUM08G05990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDGLAKGRIILYLNKKKAATRPGDSKQARPRFAKKASPQSLREFSSPTSSPSIACSGNLFDGMQQGAAGQAGPSGGGGGGGGGGADRFSALPDAVLFRIVSHLRARQAVRTSVLSKRWRHVWASAPRVDVRHPCACDERADQERLHGFVTTMLLRRRPFAPIKALRLCWSHDGDANNWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISHKIKILKLTRVRMGIKFIAQICYRCTFLEELELKNVNPLEGQIQSTSLKRLSIINCLISDGFLVDAPNLISLCFFRPLSGKSTEGANHSSDNRSWPFSASVWEFDDDGSDHDDDDDFFAIASGGEHFDDKRDNESDQDNGSSDEDSDDKRDQESDHDDDVPSSPYSDSKDSCDGNDSECESYESSDKEGDDLEDCDSNDMLENLIKVAKGLTAYHGEVLLRRQLENFPMFNNLKTLSLGEWCMVPDFSALSTILKKSPKVERLYLHLDMIHRGRGDIDPSGGSFACNNLKKVKITCCKDDEMVHMLEQFLQRNGISLEKIVHHTSSTHNGEEDGGGDSSAKRKAQGEVARLAVKQRRAQNSNLFDGMQQGAARQAGPSGGGGGGGTDRLSALPDAALFRIVSHLTARQAVRTSVLSKRWRHVWASAPRVDIRHPCACDERADQERFRDFVTTMLLNRRPFAPIKALRLWWSHDGDAETWIAHAVRRGAEEIDFSARHHQDDPKPELEYTSFISPKIKILKLTTFEMGIKAITDICSRCTSLEELELKHFRRLDGQIRSASLKRLSIINCFISVAFLVDAPNLISLCFIRPLSFERTKESICSSDNRRWPAPVWKDDNDGFDRDGFFAIASGEHFDDKRETESDQDYGFCDGSDDNIASESDHDDDGPPSPYSVSYDGDNECESYEPRDKEESDRTVAYGEIADEYSSNGDPGDEYRGNYVSHDSANYGRANKFGNLNFPVKSIVDSSAHEGELLLRRLLENFPMFNNLDTLSLGEWCMVPDFSALSTILTKSPNVKRLYLHLDMIHRRRKSIDPSGGSFSCNNLEKVKITCCKDDVMVHMLAQFLQDNGVSPEKIFVRRTSSPHNGKEGRGSNSSAKRKAQGEVARLAVKQRRARNSELFDGMHQGAAGPGPSGGGGDRLSALPDAVLLRIVSHLKAREAVRTSGISRRWRHVWASAPRVDVRYPCACDGRAVDQKRFRDFVTILLLRRRPLAPFKALRLSWSHDEDDVSVWIAHAVRRGAEEIDLSARRHQGYPVPDYKHFISPKIKILKLTHLGTTRFTTGNTLDLLCSGCTSLEELELKDIKSLWGGIQSDSLKRLSIINCHVTSDGFLVEAPNLISLCCIRPVRAVPWFSHMVSLVEATVVLDDSRLSDDYQQPVLEDDDDGSDYDDDFFAPKAEGSDDKRDNESDNDSGDKRKRDGSESDLDDHDGEYDHEDGSESGDKEVDDLEGGVDRTVTYGEIADESSSYGIPTPSYEYGGNYGNHDYTILGGDHMLDHLSDVRTLGLLGHQGEMLLRRQLENCPIFNNLNTLTLGEWCMAPDFSALSTILENSPHVERLYLNLDMDIHRSRGGINPTGGSFACNNLKKVKITCRKDDVMVHMLAKFLQRNGISLQKIFVHRTSSTHNGEEGTGKDSSAKRKAQDEAARRAVKQLRRARNSRSPDKITLYKPFYHLLVLQSNPFDGMHQGAAGEAPRRDDGEAAGPSGGGGGGGEDRISALPDEVLGRIVSHLKAWQAVRTSVLSKRWRNVWASAPRVDIRHPCACDGRADQERFHGFVDTLLLRRRPFAPIKALRLSWSHGGGANRWIAHAVRRGAEEIELSTRHHQGSLEPEPEFTSFISPKIKILKLTRVGMDIRSITQICSRCTSLEELELEDVRLLEGQIQSASLKRLSIIKCYIDDGFLVDAPNLVSLCFIRPLGIERKGGANSSSDRLWWPVWLNDDDGYDHDDDFFANASAVQSDDKRGSKSDQDDLEGCNDDDRTVAYDEIADEYSSNGGPGDEHGGYSESDDSTICGPYGLFNVLVKTSLIMIAREGELLLRRELENFPMFINLNTLSLGEWCMVPDFSALSTILEKSPNVERLYLHLDMVHRGRGDIDPSGGSFACNNLKKVKITCCEDDVMVHKLAEFLEANGLQRQRIFVRRTSRTRRDSRAKQKEQEDHLRLAKKW >OGLUM08G06000.1 pep chromosome:ALNU02000000:8:4973949:4978271:-1 gene:OGLUM08G06000 transcript:OGLUM08G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLQDLDLGRNEVFVIRHRVALVTPRGEIDFFGPAPDGVSDPHAHVVLVVAPAQPERLEGGERAAPEEQDGDEVAEPLLVDGAAVAGAGVADVDARRGRPHVAPPPGDPARAHRLPRLQVRHDPEQHRVREGAETVAATAGRARPRRSLSTLVRTACRAVRCDTIRKSAASGSALRRSVPPPPPPPDGPACRAAPCCIPSNSYDERKTTENRKKHIGARD >OGLUM08G06010.1 pep chromosome:ALNU02000000:8:4985540:4987573:-1 gene:OGLUM08G06010 transcript:OGLUM08G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGLFLAALLLLTSSPAGYVAAAAPPPPGAAKGGGAKSGGGGGGGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFSDAEVQRDMKLLPFAVVDRNGKPHVRVEVKDGDVRVFSPEEVSAMVLTRMKETAEAYLGEKVTRAVVTVPAYFNDAQRQATKDAGVIAGLTVDRIINEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSILAIDNGVFEVLATNGDTHLGGEDFDQRLMDHFVKVIRRKHGRDIAGDARALGKLRRECERAKRALSNQHQVRVEIESLFDGVDLSEPLSRARFEELNSDLFKKTMVPVRKAMADAGLSKGDIDEIVLVGGSTRIPKVQQLLKDYFGGKEPNRGVNPDEAEAYGAAVQASIISGHVDENTESMILLDVAPLTLGLETAGGVMAKLIPRNTVVPTKKTQVFTTYKDKQTTVTIQVFEGERSMTRDNRLLGKFDLAGIAPAPRGAPQIEVTFEVDANGILSVLAADKATGRSEKITISGDDRKISQEEIDRMVREAEEFAEEDRRHREQVDARNSLEAYVYNIKNTLGGKMADAMEGEEKEKVEEAVREAYEWLDGNPDAGKEEYEEKLRELEDVCNPIMSAVYQRSGGGGGAPEDGNVDDEDDHDEL >OGLUM08G06020.1 pep chromosome:ALNU02000000:8:5008911:5009561:1 gene:OGLUM08G06020 transcript:OGLUM08G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPGETARGGGGGEDDLLSALPDALLNHVMSFLRAWEVACTCVLSRRWRHLWATAPCVDLRLWGGRGHLRPPARLAKFAYRFLLEREVSAAVDTLRVMSSPVSFSDYEEGEEPSPQVVDYSACDVETWIRAAIKRRARVIHLTGHPEDSDFPDLNHVPIISRHLKHLKITGFSLEDRTLRQISCHCPCLEILELKCCLLDGREISSTSLKSLTMV >OGLUM08G06030.1 pep chromosome:ALNU02000000:8:5021561:5029341:1 gene:OGLUM08G06030 transcript:OGLUM08G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEKLWLRLIVIFSNRRRPPSPVPATAAGSETARTHRRSDEIFRGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHVMSFLRAWEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDLEVEDYSTSDVDAWIRAAIKRRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSTSLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGSGSEDGEGALNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHAEVHNRSKGNYHGYDHRYKARPYRGYRKKKFYGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPEGCSFACTHLKMVKIKCPIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNVKLEVTKKMSGRRRAGHVFDEMPPKKAKPSRGGGAAEDRLSALPDALLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRVWRGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEAAKGRRITQLQMSRRGSVPPSSGELG >OGLUM08G06030.2 pep chromosome:ALNU02000000:8:5021984:5029341:1 gene:OGLUM08G06030 transcript:OGLUM08G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHVMSFLRAWEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDLEVEDYSTSDVDAWIRAAIKRRAQVIHISHHPKDEAFSNFDHVPIISCHLKHLKLSGYLFRQRTLMQLSSQCPSLEVLELKGCYLDGHQISSTSLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGSGSEDGEGALNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHAEVHNRSKGNYHGYDHRYKARPYRGYRKKKFYGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPEGCSFACTHLKMVKIKCPIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNVKLEVTKKMSGRRRAGHVFDEMPPKKAKPSRGGGAAEDRLSALPDALLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRVWRGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEAAKGRRITQLQMSRRGSVPPSSGELG >OGLUM08G06030.3 pep chromosome:ALNU02000000:8:5021941:5029341:1 gene:OGLUM08G06030 transcript:OGLUM08G06030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRPRAGHVFDEMPPKKAKLSAGAGAGDRLSALPDALLHHVMSFLRAWEVARTCVLARRWRHLWASAPCVDLRVWRGGGHLPHPEEFAKFAYRFLLERDVSAPVDTLRVLSSPVCDLEVEDYSTSDVDAWIRAAIKRRAQCPSLEVLELKGCYLDGHQISSTSLKILTIVECRIMEGFTIAAPNLVSLCCVTPYHRAPLFENVGSLTLDAATIVLDDSFLYAGYEYEYEDIDEDAIEGSGSEDGEGALNDSDYDSDAVSDASTCEYSEIANNYDDEKQLVEHAEVHNRSKGNYHGYDHRYKARPYRGYRKKKFYGGKVLGGDNVLHSLSNARSLELLADAGEVILNRELKTCPTFSNLKTLSLGEWCMGADFGPLISFLQHSPNLEKLFLELKLDYDNTQAMKEGTKPEGCSFACTHLKMVKIKCPIDDVRVHWLAQLFRTNGVPIENIFAFPPDHGNVKLEVTKKMSGRRRAGHVFDEMPPKKAKPSRGGGAAEDRLSALPDALLHHVMSFLRAWEVARTCVLSRRWRHLWASAPCVDLRVWRGGGHLPPPERLARFAYRLLFEREVSAPVDILRVMSSPDGEAAKGRRITQLQMSRRGSVPPSSGELG >OGLUM08G06030.4 pep chromosome:ALNU02000000:8:5026741:5035597:1 gene:OGLUM08G06030 transcript:OGLUM08G06030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAFFNLGFVPIISCHLKHLNLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGREISSTSLKSLTMVKCRIMADLTIAAPNLVSLCCIKPYHRAPQFEDIGSLTLATGTIVLDDSLLCVGFDYQYKDFDEDAIDGSNSDDGEGCTSDSDYDESEANTCEYSEIADDYDDEKQRQEHGEGHNQIEDDYDDENQHEEHGEEHNQIGHDEVLGDHNVLHILSNAEILELLADGGEVILNRELETCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLDYYSIQAMKGVTKAVGRSFACTHLKMVKIKCSMNDARVHWLAQLFRTNGLPIENIFGFPPDHSNVKLEGGCHEGAAPVVGPIISYDFIQHCIKQSSEVQASALIMLVVQHLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASWKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHGLSNVSSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDSEFGDSDLEF >OGLUM08G06030.5 pep chromosome:ALNU02000000:8:5026741:5035597:1 gene:OGLUM08G06030 transcript:OGLUM08G06030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAFFNLGFVPIISCHLKHLNLSGSLLWNTTLMQLSSQCPALEILELKKCSLHGREISSTSLKSLTMVKCRIMADLTIAAPNLVSLCCIKPYHRAPQFEDIGSLTLATGTIVLDDSLLCVGFDYQYKDFDEDAIDGSNSDDGEGCTSDSDYDESEANTCEYSEIADDYDDEKQRQEHGEGHNQIEDDYDDENQHEEHGEEHNQIGHDEVLGDHNVLHILSNAEILELLADGGEVILNRELETCPTFRNLKTLSLGEWCMGADFDPLVTFLQHSPNLERLFLELKLGFPPDHSNVKLEGGCHEGAAPVVGPIISYDFIQHCIKQSSEVQASALIMLVVQHLSYVLIDDKILKQLSSGCKSLEELDLKDCVMTGHQISSASWKTLKMDRCKINVDLSITAPNLVFLNIVTPYIRVPSFKNLESLVTCSIILDDLFLGDDYQHISDEDDIDETTDDDDFGYQKNDKAGYRINYAKKGFVFGGNEDGYGYGSDIESDDNTYEYSEIANECGELQYGNNGDGHNSSKDGEYDNAETFGGQNVIHGLSNVSSLELLAGAGEVVLSRELKSCPIFINLKTLSLGEWCMDAEFDALVFLLQRSPNLERLFLEPQLNFNTRKALESGVKPTGRSFTCKDLQMVKIRCSKDDARVHMLAHLFRANGIPFDKIYVHRSGSSRLRSEKVMRDLAKQELEFWGSDEFWGSDYEYCGPDSEFGDSDLEF >OGLUM08G06060.1 pep chromosome:ALNU02000000:8:5037427:5038477:1 gene:OGLUM08G06060 transcript:OGLUM08G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFSSNLTDAERAVHMFKINGYSATRAMARTDSLPSKRLAVGGYLWEVRYTASLIADSNHWVALKLVLLAAPRRADVKAALRCRLISNSVTSSIDGHIARVQARRRELRASGAIVDDAFTVECTITVITELPDNVAAAPAAPANVLPPFSGRGLSLSHHLGELLRRGTGADVTLVVSGKCFPAHRAILASRSPVFMASLFGDMKEKSSRSVEIRDIEPQVFGAMLGFIYTDSVPELDQQDGVVVAQHLLAAADMCGLDGLKIMCEEKLIAGATVETAATTLALAEQHGCPRLKARCVEIVAANLDAVMATEGYKHLMASSPLVMNDLLRAVRGRKS >OGLUM08G06070.1 pep chromosome:ALNU02000000:8:5051483:5051863:-1 gene:OGLUM08G06070 transcript:OGLUM08G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRRWVKGEVHSGRRPSERREKSGGQQGWRPATEARGDWRVEARGGPFLFPSSLQPHAAVGPSRSSRKSGVEEDDDATVASQSSISSTRSGSKVEAERSGCCGPMAWRRRGLALLSPSAASSST >OGLUM08G06100.1 pep chromosome:ALNU02000000:8:5065469:5074907:1 gene:OGLUM08G06100 transcript:OGLUM08G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGCASHALGQMPRRRTTTTTARWVRRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITVDLTICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSGRYGYCHDSKWRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAELFVANGLPVEKIYVRRTGSTYSKDLMQTKFDFKHTAYSSKAGNAHPPERSKRPPPPVGLRSQLKASDHSAAAPAISSGRSSPPLRSSQRATGHPLDGMSRRNTTGWPVPRGGAEPTGRPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGATPVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLLLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDVYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHLGEVVMVRELRRCSKFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >OGLUM08G06100.2 pep chromosome:ALNU02000000:8:5065469:5074907:1 gene:OGLUM08G06100 transcript:OGLUM08G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGCASHALGQMPRRRTTTTTARWVRRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITVDLTICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIANECRGGRYGYCHDSKWRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAELFVANGLPVEKIYVRRTGSTYSKDLMQTKFDFKHTAYSSKAGNAHPPERSKRPPPPVGLRSQLKASDHSAAAPAISSGRSSPPLRSSQRATGHPLDGMSRRNTTGWPVPRGGAEPTGRPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGATPVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLLLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDVYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHLGEVVMVRELRRCSKFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >OGLUM08G06100.3 pep chromosome:ALNU02000000:8:5065469:5074907:1 gene:OGLUM08G06100 transcript:OGLUM08G06100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPICRRGGAAAASRHLLDGMSRRTTTARWVPRDRPEPAGRSGTGAPGCASHALGQMPRRRTTTTTARWVRRQGQKGEPGGSGHAAAPVDRLSALPDTLLHHVMSFLKAWEVVRTCVLSRRWRDLWASAPCVDIRLRGSGRDGAPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAETYDNDDVNIWICSAIKRNVRVIHLNGHRKDELVLEHTAFVSHHLKILKLSHIKLDGKILKQLSSQCTSLEDLELNNCPVNGGEILSVSLKKLTMVKCSITVDLTICAPNLELLCCITPYYHVPLFENLSSLVAATIMLDDSFLRRDEFLYEVEEETSDDEDDNKTTSDHCDSKMDADSDAYDDDDNDDILYDEYLNSRHGNLVDDYNYGSDIDSDDDLHEYSQIANECRGGRYGYCHDSKWRGSYYETCKLADSFSGKYLLRSLSSARSLELLAHSGEVVMVRELRRCSTFGNLKTLSLGEWCMAAEFDGLIFLLQESPNLEMLFLKLELSYSNKEAINIGFELKERSFACKNLEVVNIRCSKDDERVHMLAELFVANGLPVEKIYVRRTGSTYSKDLMQTKFDFKHTAYSSKAGNAHPPERSKRPPPPVGLRSQLKASDHSAAAPAISSGHPLDGMSRRNTTGWPVPRGGAEPTGRPGPSAPVRAAHAFGQMPRRRTTTTARWVRRHQVHKGKPRGATPVDRLSALPDALLHHVMSFLKAWEVVRTCVLSRRWRHTWASAPCVDIRAPSSRRERGPGRDSDPPEDFGRFVYRLLLAREVSAPVDTLRLRSSNGEEYAEMYDNDDVNMWISSAIKRNARVIHLNGHREDDDLLLEHTAFVSQRLKILKLSNVNLDWKIPRQLSSRCTSLTELELNNCPVNGGEISSVSLKKLRMVKCLITVDLSICAPNLELLCCITPYHRVPLFKNLSSLVAATIVLDDSFLCRDDFLHEDERGSSDDEDDNKTISDHYDKMVTNSDACGDGNLVDEYNYGSDIDSDDDVYEYSQIANECRDGKYSHCHDSECCSSYHDTCKLANSFSGQDLLCSLSNARSLELLAHLGEVVMVRELRRCSKFGNLKTLSLGEWCMAAEFDGLIFLLQETPNLERLFLKLELNYDNKEAVNIGVKLKERSFACKNLEVVNIRCSKDDGRVHMLAELFGANGLPLEKIFVRRTGSTYLRNMKKMRKIARDELRKARWFQLLNL >OGLUM08G06110.1 pep chromosome:ALNU02000000:8:5108165:5114151:1 gene:OGLUM08G06110 transcript:OGLUM08G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP binding [Source:Projected from Arabidopsis thaliana (AT1G30580) TAIR;Acc:AT1G30580] MPPKASKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLSIPAENFPFCTIDPNEARVYVPDERFDWLCQLYKPKSEVSAYLEINDIAGLVRGAHAGEGLGNAFLSHIRAVDGIFHVLRAFEDKEVTHIDDSVDPVRDLETIGEELRLKDIEFVQNKIDDLEKSMKRSNDKQLKLEHELCEKVKAHLEDGKDVRFGDWKSADIEILNTFQLLTAKPVVYLVNMSEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCAFERLLADMPPDEAAKYCAENQIARQAIVIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >OGLUM08G06120.1 pep chromosome:ALNU02000000:8:5134172:5135331:-1 gene:OGLUM08G06120 transcript:OGLUM08G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATMAMPFANRLRCKPNTNYSSPSRTLFGRRVTMSSSRWMCRGSAVSGSAIMAAAADVAAAVRREEDEEMRSYLSPEKLEVLTQMEPWVEEHVLPLLKPVEAAWQPSDLLPDPVALGGEGFHAACAELRERAAGVPDLLLVCLVTNMVTEEALPTYQSSLNRVRSVGDLTGADATAWARWIRGWSAEENRHGDVLNRYMYLSGRFDMAEVERAVHRLIRSGMAVDPPCSPYHAFVYTAFQERATAVAHGNTARLVGARGHGDAXPGLKIFSRYFQILFKPG >OGLUM08G06130.1 pep chromosome:ALNU02000000:8:5166788:5167900:1 gene:OGLUM08G06130 transcript:OGLUM08G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVGGAVAATRREAEAEEAQELRRCLAPEKLDVLEQMEPWVEAHVLPLLKPAEAAWQPADMVPDAAALGTDGFHAACLEIRERAAGVPDEHLVCLVGNMVTEEALPTYQSVVNRFESARDVTGADATAWARWIRGWSAEENRHGDVLSRYMYLSGRLDMRQVERTVHRLIGSGMAMHAPASPYHGFVYVAFQERATAISHGNTARNVRAHGDDALARICGAIAADEKRHEAAYTRVVERLLEADPDTTVRALAYVMRRRITMPAALMDDGRDADLFAHYAAAAQQAGTYTASDYRAILEHLIRRWRVAELEAGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKRPTAIPFSWIFDRPVDVILP >OGLUM08G06140.1 pep chromosome:ALNU02000000:8:5170020:5184764:-1 gene:OGLUM08G06140 transcript:OGLUM08G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAMRWLGARERGRGFRSVGERIGEEKVEVGVVHGSKRADAERSSSRALALANSNASSGQDALSLDAKLAGDASALPSPAQLGPILAVASRTIKMMLAISCAVRTSGIGSGRRAHTWTGLFLLLITKLDCLDLLQGNGLMERGYIEEFRIVMLHRSSKDERVLISCSLKPMEAQKSRSNRGREI >OGLUM08G06150.1 pep chromosome:ALNU02000000:8:5186480:5187764:-1 gene:OGLUM08G06150 transcript:OGLUM08G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATTSTLAVTMFGYPNRNCHLKPPATATLRFWRSAAAAAVATSRQEAEAEEADEVRRCLAPARLEVLEQMEPWVEAHVLPLLKPAEEAWQPADLVPDAAALGADGFHAACVELRGRAAGVPDAHLVCLVGNMVTEEALPTYQSMANRFESARDVTGADGTAWARWICGWSAEENRHGDVLNRYMYLSGRLDMRQVERTVHRLISSGMAMHAPASPYHGFIYVAFQERATAISHGNTARNVRAHGDDALARICGAIAADEKRHEAAYTRVVERLLEADPDTTVRALAYMMRRRITMPAALMDDGRDADLFAHYAAAAQQAGTYTASDYRGILEHLIRRWRVAELEAGLSGEGRRARDYVCALPQKIRRMEEKAHDRAAQMRKRPTAIPFSWIFDKPVDVMLP >OGLUM08G06160.1 pep chromosome:ALNU02000000:8:5205013:5206448:-1 gene:OGLUM08G06160 transcript:OGLUM08G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSMITSPLVAPARAKGLPSISRRGSSFAIVCSGGKKIKTDKPYGIGGGMSVDIDASGRKSTGKGVYQFVDKYGANVDGYSPIYSPEEWSPTGDTYVGGTTGLLIWAVTLAGLLGGGALLVYNTSALAG >OGLUM08G06170.1 pep chromosome:ALNU02000000:8:5209727:5214108:-1 gene:OGLUM08G06170 transcript:OGLUM08G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYVSKGYGGGLSKVMGKHGTNLDNIRKISGAHIEIVESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >OGLUM08G06170.2 pep chromosome:ALNU02000000:8:5209727:5214108:-1 gene:OGLUM08G06170 transcript:OGLUM08G06170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQAIDDGYSAVSSYVSKGYGGGLSKVMGKHGTNLDNIRKISGAHIEIVESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >OGLUM08G06170.3 pep chromosome:ALNU02000000:8:5210902:5214108:-1 gene:OGLUM08G06170 transcript:OGLUM08G06170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGFVNEMRHTGKRPQQHRDHDREERRDQKRRPVPRAQENSGNDELVVYRILCPDRVIGNVIGKNGKVINSIRQQTSAKVKVVDPHPGADKRVILVYCFVKHRNLDVNDDDDREPVCTAQDALLKVHDAIVDALSITRDSDDEEANILVPASQSASVIGKSGAVIKRLRSTSKAFIKVSPKDPNEVTHSCAMSFDNFVQITGDARAVRKALFAVSTIIYKCPSKETIPLETSVSDLPPSIIVPSELPVYPSSNFYSPSDAAISSGHPSLSILGSTPHVPELTVSADAHSRLPIYQSVLPVIPAYNTPKCSGELVLRVLCPAGKIGLVIGKGGVTIKSIRKESGARIDVDDSKNDREESIITITSNEATDDAKSAAVEAVLLLQSKINDDNEGKVIGCLIGKGGSIVNDMRSKTKAAIYISKGEKPRKASSSDELVEVFGEVENLRDALVQIVLRLRDDVLRDSVDRQNSEKDGKLTVATTDPLYASSYPLPALLPYRQQITPLGYDHRGDIERGLEVYPHSSLYGYSSLQMMAIQQSRHMYQRDMEGMLEQNFP >OGLUM08G06170.4 pep chromosome:ALNU02000000:8:5209727:5210518:-1 gene:OGLUM08G06170 transcript:OGLUM08G06170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPASGLSKVMGKHGTNLDNIRKISGAHIEIVESKSSRHDHVARISGTTEQKQSAENLIKAFIMST >OGLUM08G06180.1 pep chromosome:ALNU02000000:8:5214445:5215029:-1 gene:OGLUM08G06180 transcript:OGLUM08G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDPPFFIFSYFHIVQKSFCGHSNSFMLKVGWTSDSSSLLFPCSINYMGVCHSG >OGLUM08G06190.1 pep chromosome:ALNU02000000:8:5247176:5250866:1 gene:OGLUM08G06190 transcript:OGLUM08G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPSDPQSLLMRSFATTDPSTSSDTTTRSSL >OGLUM08G06190.2 pep chromosome:ALNU02000000:8:5247176:5250866:1 gene:OGLUM08G06190 transcript:OGLUM08G06190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPSDPQSLLMRSFATTDPSTSSDTTTRSSL >OGLUM08G06190.3 pep chromosome:ALNU02000000:8:5248485:5250866:1 gene:OGLUM08G06190 transcript:OGLUM08G06190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACCFMFGNDTKQTSEGEQGEKAVRIFSYNELRRATHDFSGANKIGEGGFGSVFRGRLRDGTIVAVKVLSATSRQGVRSGRSNIQFNWRARVKITVGVARGLAFLHEEVRPHIIHRDIKASNILLDKDITPKISDFGLARLLPPNATHVSTRVAGTIGYLAPEYALRGQVTKKSDIYSFGVLILEIVSGRCNYNSRLPYEEQFLLERTWTCYEQGHLEEIIDADIEDDVDVEEACRFLKVGLLCTQDAMKLRPNMINIVRMLTGEKDVNTERITKPSVVGDLGDLRGSSQQRPSDPQSLLMRSFATTDPSTSSDTTTRSSL >OGLUM08G06200.1 pep chromosome:ALNU02000000:8:5253774:5257204:-1 gene:OGLUM08G06200 transcript:OGLUM08G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARMPPGFRFHPRDDELVLDYLLHKLAAGGRGGGVYGGGGGVAIVDVDLNKCEPWDLPDAACVGGKEWYFFSLRDRKYATGHRTNRATRSGYWKATGKDRSITRRSSISSGEPSSSAAAAAVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPQPLHLKEDWVLCRVFYKTRQTIPSPSSEEAVTLPNELDLPAMPSLPPLIDAYIAFDSAPTTMPSMVGSYEQVSCFSGLPALPMKGSISFGDLLAMDTSAEKKAIRVLHNSNTAKLELSPDWGQESGLSQMWNPQ >OGLUM08G06210.1 pep chromosome:ALNU02000000:8:5289339:5290792:-1 gene:OGLUM08G06210 transcript:OGLUM08G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRLDTEVSRLMPDGEGHGADVEGGEVRLKVGGDHLQEHALQQLHLEVDGEEEEVEVPEHVAAGVAAAEVPGPPTSSASVVATEYPPALTGKEAAGALGKDDGTSGSRESGDGDLGEEREGGGERPRRRHRRGAPSVRTEAAPQRAVDAVKEVRRDHPRSSLDLTNAVPLSSSSAAGDDDDDPFLLAGFFLAFSALSRERERVSEFVEGKGRR >OGLUM08G06220.1 pep chromosome:ALNU02000000:8:5296746:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.10 pep chromosome:ALNU02000000:8:5303582:5306270:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRDVDVTKVVTMPSYITEWQLSSENNSYSGLSPMSSASREMCLLKVATELIYVADQTREHQTHHQNLLTIYH >OGLUM08G06220.2 pep chromosome:ALNU02000000:8:5296746:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEDLGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.3 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTRKEVRMSRRRVSLRNLDQNYLSGPIPSFIGQLTGLTELHLGFNALSGPIPKELGNLTNLNLLGISLTNFTGELPEELGNLTKLQQLYTSSAGLSGPFPSTFSKLKNLKLLRSSDNDFTGKIPDYIGSLTNLEDLILRNCKISGDLGAVDFSKFVDLTFLFLGNNSLTGGLPDGISPSLTNLNLVANNFVLGSNKNGILPLGLNCLQKDTPCFRNYSFAVDCGSNRSIRGSDNTMYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEDLGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.4 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTRKEVRMSRRRVSLRNLDQNYLSGPIPSFIGQLTGLTELHLGFNALSGPIPKELGNLTNLNLLGISLTNFTGELPEELGNLTKLQQLYTSSAGLSGPFPSTFSKLKNLKLLRSSDNDFTGKIPDYIGSLTNLEDLAFQGNSFEGPILAILNIDKRFLIQPAHWKLSLLGYLEQLAIEFGGKQLCSWQQQKWVVMAFSYTSINYSFAVDCGSNRSIRGSDNTMYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.5 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTRKEVRMSRRRVSLRNLDQNYLSGPIPSFIGQLTGLTELHLGFNALSGPIPKELGNLTNLNLLGISLTNFTGELPEELGNLTKLQQLYTSSAGLSGPFPSTFSKLKNLKLLRSSDNDFTGKIPDYIGSLTNLEDLFLGNNSLTGGLPDGISPSLTNLYRFLIQPAHWKLSLLGYLEQLAIEFGGKQLCSWQQQKWVVMAFSYTSINYSFAVDCGSNRSIRGSDNTMYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.6 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.7 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.8 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.8 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTRKEVRMSRRRVSLRNLDQNYLSGPIPSFIGQLTGLTELHLGFNALSGPIPKELGNLTNLNLLGISLTNFTGELPEELGNLTKLQQLRSSDNDFTGKIPDYIGSLTNLEDLILRNCKISGDLGAVDFSKFVDLTFLFLGNNSLTGGLPDGISPSLTNLNLVANNFVLGSNKNGILPLGLNCLQKDTPCFRNYSFAVDCGSNRSIRGSDNTMYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEDLGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06220.9 pep chromosome:ALNU02000000:8:5296265:5304074:1 gene:OGLUM08G06220 transcript:OGLUM08G06220.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKTRKEVRMSRRRVSLRNLDQNYLSGPIPSFIGQLTGLTELHLGFNALSGPIPKELGNLTNLNLLGISLTNFTGELPEELGNLTKLQQLYTSSAGLSGPFPSTFSKLKNLKLLRSSDNDFTGKIPDYIGSLTNLEDLILRNCKISGDLGAVDFSKFVDLTFLFLGNNSLTGGLPDGISPSLTNLSIRGSDNTMYEMDSTNLGDSSYYVTSQTRWGVSNVGKLFQSPNDSKIIYSNETIQNAMDSELFQTARMSPSSLRYFGLGLENGNYTVVLQFAELGYPDSQTWQSLGRRVFDIYIQGSLKEKNFNIRKMAGGKSFTKVSKSYTTIVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSVTPNFVPSVRNGARKRKSKACAMAGIGASILGLAALIGIFVLIKKRKTMLQQQEDLGLHNLLRRPYVFNYIELKLATDHFSPENIIGEGGYGQVYKGKLIDGRLIAVKQLSQSSHQGKSQFVTEVATISTVQHRNLVKLHGCYTDSNTPLLVYEYLENGSLDGALFGDVSLNLDWPTRFEIILGIARGLTYLHEESNVRIVHRDIKASNVLLDTDLTPKISDFGLAKFYDEKKTHVSTTKIAGTFGYLAPEYAMKGHLTAKADVFAFGVVTLETIAGRSNTDNSLEESKIYLLKWAWHLYEKEDALGIVDPRLEVFNSKEALRVIHVALLCT >OGLUM08G06230.1 pep chromosome:ALNU02000000:8:5308159:5308741:1 gene:OGLUM08G06230 transcript:OGLUM08G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGAVLQLQMLLMLLSLLAGAQQVQQTATTDPLEAAALDAMFGQWGLRTSYWDATWEPCSGPCHTHHCPRW >OGLUM08G06240.1 pep chromosome:ALNU02000000:8:5323504:5326294:-1 gene:OGLUM08G06240 transcript:OGLUM08G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCLKPAAPGEGMFIRRPSSSMAAPPRREGAELSICGISSGEMVWESTSDKGSSRQLRGGFFGGDAHHLFGKMSSQLGGDSSAVLRVTMSHIHYPVTSEVLHQVYDAYGAVAGQVLAMSDWHVEALMSFMSGHDAERVRSATHVRNIYDGCCQLGIQYTQSLLGGDVDMTPTKCSMSGPSSATTRPVAECSPTAPEHVFPVTTNPSTPSAASAAVAPPVSLTATMEDEADMGKVEDKSEKTFHDLCLEIKDMINQMLVTCRDIKVESTTIVDITRVVAATSTNTKSVPNTLEVCKEANSINLVDTNELCMVTATKCLMKGNEQMINDDDDDMATEDLVEFTEVNSKFMVLQTSFNNPWFGHQAIFVVYLTHYGCFDRSSEYTARSPPVPPWRVAIPWNKTEMTLGSRPLPWPDPQLCQGSGEVVVQSNNNDVLDDTSWTQFRSNNGEAFGVSTRKLVNLQPWPPPSQWRSEVFSLCAAGGQGLNFSWKCISEGKLMLWTNEKVLRREYTNKVLWLSVANSWDLIWAVLQQLLCTSELILQHGQTYELLLQREQLKLGAVHISLEARTFSKHSRGIELVKCSERCLICLVCEDSIVLHTWAYRVVKLVAARLIGDQGKTIQFLAIWEFANKEVALIQTKKQMHVF >OGLUM08G06250.1 pep chromosome:ALNU02000000:8:5327111:5328416:1 gene:OGLUM08G06250 transcript:OGLUM08G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYDVAAVEDGRRAMLRRRRRRQHRPRRQPQEQPRHQVRLLLHQRHRLPYYQAESICTKCCWEDSRGTTRSNLPDILVRVISSSTEVKKDTDINT >OGLUM08G06260.1 pep chromosome:ALNU02000000:8:5329045:5330423:1 gene:OGLUM08G06260 transcript:OGLUM08G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIIDKLNVSLLVQLCRYIDSCGLSGDLPLTLSKLKNLRVLRASDNDFTGKIPDYIGNLSNLEELKLQGNKIEGPIPASLSKLVKLNSLYALPVTLATLGLWETPVNPAKLQHI >OGLUM08G06260.2 pep chromosome:ALNU02000000:8:5329045:5330423:1 gene:OGLUM08G06260 transcript:OGLUM08G06260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIIDKLNVSLLVQLCRYIDSCGLSGDLPLTLSKLKNLRVLRASDNDFTGKIPDYIGNLSNLEELKLQGNKIEGPIPASLSKLVKLNKPCETATYLTS >OGLUM08G06270.1 pep chromosome:ALNU02000000:8:5345170:5347624:1 gene:OGLUM08G06270 transcript:OGLUM08G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPTIMNLPMLVFLFLGSNDLNGSLPNTTISPSLSTIDLSYNMLSGRFPAWVSTKNLNVNLVWNNFMIDSSNSSILPSGLECLQQDTPCFLGQPEYSSFAVDCGGSRSVKSDDKFIYESDGANLQGASYYVTRPVRWGVSNTGKFYMGEPNRSYIIYTTNQFNKTLDSELFQTARTSPSSLRYYGIGLKNGKYIVALKFAEIFPDGQIWQSMGRRIFDIYIQGERKEQDFDIKKYANEKSNTPVERQYFTDVTNNFMEIHLFWAGKGTCCIPT >OGLUM08G06270.2 pep chromosome:ALNU02000000:8:5345170:5347624:1 gene:OGLUM08G06270 transcript:OGLUM08G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNRSYIIYTTNQFNKTLDSELFQTARTSPSSLRYYGIGLKNGKYIVALKFAEIFPDGQIWQSMGRRIFDIYIQGERKEQDFDIKKYANEKSNTPVERQYFTDVTNNFMEIHLFWAGKGTCCIPT >OGLUM08G06270.3 pep chromosome:ALNU02000000:8:5345169:5347624:1 gene:OGLUM08G06270 transcript:OGLUM08G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRFPAWVSTKNLNVNLVWNNFMIDSSNSSILPSGLECLQQDTPCFLGQPEYSSFAVDCGGSRSVKSDDKFIYESDGANLQGASYYVTRPVRWGVSNTGKFYMGEPNRSYIIYTTNQFNKTLDSELFQTARTSPSSLRYYGIGLKNGKYIVALKFAEIFPDGQIWQSMGRRIFDIYIQGERKEQDFDIKKYANEKSNTPVERQYFTDVTNNFMEIHLFWAGKGTCCIPT >OGLUM08G06270.4 pep chromosome:ALNU02000000:8:5345169:5347624:1 gene:OGLUM08G06270 transcript:OGLUM08G06270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPNRSYIIYTTNQFNKTLDSELFQTARTSPSSLRYYGIGLKNGKYIVALKFAEIFPDGQIWQSMGRRIFDIYIQGERKEQDFDIKKYANEKSNTPVERQYFTDVTNNFMEIHLFWAGKGTCCIPT >OGLUM08G06280.1 pep chromosome:ALNU02000000:8:5348314:5349340:-1 gene:OGLUM08G06280 transcript:OGLUM08G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQMHVLLPDAAAERGRRAPLLLRRSHVNKACAIAMAEYVLASEESTLAFLAPVARAQEAASVTTLCLPRISCAAILDDAAI >OGLUM08G06290.1 pep chromosome:ALNU02000000:8:5365006:5373939:-1 gene:OGLUM08G06290 transcript:OGLUM08G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDATAPSVVKVDGRKRKVKVAEGSGERTSVDGMWEGRGAATRRLRQHRRGGGGKARSVRHATRVGVSGLCYGSNTVEDDEIEVLSSFSEVDAIFGTLWLGGVTTAFSGRWQVMRLSDSAKNSHRGRIMASQVRRNEAEV >OGLUM08G06300.1 pep chromosome:ALNU02000000:8:5371340:5402179:1 gene:OGLUM08G06300 transcript:OGLUM08G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGGGGGHGWQLGLYYCLVVACSCVVATQAQAHQAPRTDPVEVAALNAILGRWGTKPPTTWNITGDPCSGIAIDETTDIDNSETINPGIKCDCSYDNTTVCHITKLKVYALDVVGPIPAELENLTYLANLNLQQNYLTGPVPSFIGKLTFMQYMTLGINSLSGPLPKELGNLTNLRSLGVASNKFVGPLPEEIQTLTKLEQLWASDNEFTGFIPDFIGSFSDLEDLRIQGNNFDGPIPASLSNLNKLTRLRIGDLISGISSMDFVSNMTSLNVLILRNCRIYDNLTTVNFSNFPGLTYLDLSFNSITGKIPPTLLNLDSFAVDSGGKASTRGSDKNSYEPDDANLQGASYYVPSSTRWGVSSTGMFMEAPNASYLIYTPYQFRNTLDSELFQTARMSPSSLRYYGIGLKNGIYDVKLQFAEIFFPDNQSWQSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQKQYNVEVTQNFMEIHLFWAGKGTCCIPTQGHYGPSISALSVSSYGEEDPGQRKNNTGGQNTSSGKRGLVVGLVVGAIVLGSLALTGTFVWRHRSKRLEVEMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLLDGRMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIESNTPLLVYEYLENGSLDRAIFGKTNLNLDWRSRFEICVGIARGLAYLHEESSMRIVHRDIKTSNVLLDADLNPKISDFGLARHYNDSMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVAMEILAGRTNFDDSLEDDKKYLLGWAWRLHENNQTLEILDPKLAEFNQEEVMRVINVILLCTMGLPHQRPPMSKVVSMLTEDIEMAEVDANARPSYIPQWQIKSENDGFIAGYFSGSSTQQSSGTQGSMPSSSSSKPKFHRDTSPLALSPFLLHSLSSARAQQQARTNPSEVAALNAIFQRWSLRSSPAWNISGEPCSGIAVGETPDIFDPDLSPGIKCDCSYNNRAVCHITELSISLNKFVGPLPEILENLTKLNHLFIDSCGLSGELPSTLSKLTNLKILYASDNDFTGRIPDYIGSLKNLEELRLHGNNFDGPIPASFSNLVKLTYLQIGDLVDGSSSLAFLPNMTSLSTLVLRNCRISDNLASVDFSKFVQLLYFYQNCNNPITANYLHSTTYLLKRLNCLQQNTPCFPASPENSSFAVDSGGKRLIRGSDKSNYEPDDANLLGASYYVTDSKRWGVSNSGRYARFQPAKESSTVYTSKKFDNTLDSELFQTARMSPSSLRYYGIGLKNGVYNVDLQFAEIFFPDNQTWQSMGRRIFNIYIQGELREKDFDIKKQTNGKSYTVVRKQYIVYVTKSFMEIHLFWAGKGTCCIPEQGHYGPSISALSVTPYGNNNEVDPGPKENSTGSKNARNRKAGLIVGVTISTIILGLLAIRTVVWRQKRKKLEVEMGELLSVVGRPNVFSYGEIKSATNNFSQSNILGKGGYGLVYRGKLHDGRIVAVKQLSPTSHQGKREFMTEIATISAVQHRNLVKLHGCCIESKAPLLVYEFLENGSLDQAIFATGKMNLNLDWRTRFDICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLSPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDETLEDDKKYLLGWLHETRQTLEMLDNRLARFNEEEAVRLINIILLCTMGLPQQRPPMSKVVFHAY >OGLUM08G06300.2 pep chromosome:ALNU02000000:8:5371444:5402179:1 gene:OGLUM08G06300 transcript:OGLUM08G06300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRCGGGGGGHGWQLGLYYCLQLRLRLTRHQELILSKVYALDVVGPIPAELENLTYLANLNLQQNYLTGPVPSFIGKLTFMQYMTLGINSLSGPLPKELGNLTNLRSLGVASNKFVGPLPEEIQTLTKLEQLWASDNEFTGFIPDFIGSFSDLEDLRIQGNNFDGPIPASLSNLNKLTRLRIGDLISGISSMDFVSNMTSLNVLILRNCRIYDNLTTVNFSNFPGLTYLDLSFNSITGKIPPTLLNLDSFAVDSGGKASTRGSDKNSYEPDDANLQGASYYVPSSTRWGVSSTGMFMEAPNASYLIYTPYQFRNTLDSELFQTARMSPSSLRYYGIGLKNGIYDVKLQFAEIFFPDNQSWQSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQKQYNVEVTQNFMEIHLFWAGKGTCCIPTQGHYGPSISALSVSSYGEEDPGQRKNNTGGQNTSSGKRGLVVGLVVGAIVLGSLALTGTFVWRHRSKRLEVEMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLLDGRMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIESNTPLLVYEYLENGSLDRAIFGKTNLNLDWRSRFEICVGIARGLAYLHEESSMRIVHRDIKTSNVLLDADLNPKISDFGLARHYNDSMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVAMEILAGRTNFDDSLEDDKKYLLGWAWRLHENNQTLEILDPKLAEFNQEEVMRVINVILLCTMGLPHQRPPMSKVVSMLTEDIEMAEVDANARPSYIPQWQIKSENDGFIAGYFSGSSTQQSSGTQGSMPSSSSSKPKFHRDTSPLALSPFLLHSLSSARAQQQARTNPSEVAALNAIFQRWSLRSSPAWNISGEPCSGIAVGETPDIFDPDLSPGIKCDCSYNNRAVCHITELSISLNKFVGPLPEILENLTKLNHLFIDSCGLSGELPSTLSKLTNLKILYASDNDFTGRIPDYIGSLKNLEELRLHGNNFDGPIPASFSNLVKLTYLQIGDLVDGSSSLAFLPNMTSLSTLVLRNCRISDNLASVDFSKFVQLLYFYQNCNNPITANYLHSTTYLLKRLNCLQQNTPCFPASPENSSFAVDSGGKRLIRGSDKSNYEPDDANLLGASYYVTDSKRWGVSNSGRYARFQPAKESSTVYTSKKFDNTLDSELFQTARMSPSSLRYYGIGLKNGVYNVDLQFAEIFFPDNQTWQSMGRRIFNIYIQGELREKDFDIKKQTNGKSYTVVRKQYIVYVTKSFMEIHLFWAGKGTCCIPEQGHYGPSISALSVTPYGNNNEVDPGPKENSTGSKNARNRKAGLIVGVTISTIILGLLAIRTVVWRQKRKKLEVEMGELLSVVGRPNVFSYGEIKSATNNFSQSNILGKGGYGLVYRGKLHDGRIVAVKQLSPTSHQGKREFMTEIATISAVQHRNLVKLHGCCIESKAPLLVYEFLENGSLDQAIFATGKMNLNLDWRTRFDICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLSPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDETLEDDKKYLLGWLHETRQTLEMLDNRLARFNEEEAVRLINIILLCTMGLPQQRPPMSKVVFHAY >OGLUM08G06300.3 pep chromosome:ALNU02000000:8:5373739:5402179:1 gene:OGLUM08G06300 transcript:OGLUM08G06300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNGEESRGSNLVAALNAILGRWGTKPPTTWNITGDPCSGIAIDETTDIDNSETINPGIKCDCSYDNTTVCHITKLKVYALDVVGPIPAELENLTYLANLNLQQNYLTGPVPSFIGKLTFMQYMTLGINSLSGPLPKELGNLTNLRSLGVASNKFVGPLPEEIQTLTKLEQLWASDNEFTGFIPDFIGSFSDLEDLRIQGNNFDGPIPASLSNLNKLTRLILRNCRIYDNLTTVNFSNFPGLTYLDLSFNSITGKIPPTLLNLDSFAVDSGGKASTRGSDKNSYEPDDANLQGASYYVPSSTRWGVSSTGMFMEAPNASYLIYTPYQFRNTLDSELFQTARMSPSSLRYYGIGLKNGIYDVKLQFAEIFFPDNQSWQSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQKQYNVEVTQNFMEIHLFWAGKGTCCIPTQGHYGPSISALSVSSYGEEDPGQRKNNTGGQNTSSGKRGLVVGLVVGAIVLGSLALTGTFVWRHRSKRLEVEMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLLDGRMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIESNTPLLVYEYLENGSLDRAIFGKTNLNLDWRSRFEICVGIARGLAYLHEESSMRIVHRDIKTSNVLLDADLNPKISDFGLARHYNDSMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVAMEILAGRTNFDDSLEDDKKYLLGWAWRLHENNQTLEILDPKLAEFNQEEVMRVINVILLCTMGLPHQRPPMSKVVSMLTEDIEMAEVDANARPSYIPQWQIKSENDGFIAGYFSGSSTQQSSGTQGSMPSSSSSKPKFHRDTSPLALSPFLLHSLSSARAQQQARTNPSEVAALNAIFQRWSLRSSPAWNISGEPCSGIAVGETPDIFDPDLSPGIKCDCSYNNRAVCHITELSISLNKFVGPLPEILENLTKLNHLYASDNDFTGRIPDYIGSLKNLEELRLHGNNFDGPIPASFSNLVKLTYLQIGDLVDGSSSLAFLPNMTSLSTLVLRNCRISDNLASVDFSKFVQLLYFYQNCNNPITANYLHSTTYLLKRLNCLQQNTPCFPASPENSSFAVDSGGKRLIRGSDKSNYEPDDANLLGASYYVTDSKRWGVSNSGRYARFQPAKESSTVYTSKKFDNTLDSELFQTARMSPSSLRYYGIGLKNGVYNVDLQFAEIFFPDNQTWQSMGRRIFNIYIQGELREKDFDIKKQTNGKSYTVVRKQYIVYVTKSFMEIHLFWAGKGTCCIPEQGHYGPSISALSVTPYGNNNEVDPGPKENSTGSKNARNRKAGLIVGVTISTIILGLLAIRTVVWRQKRKKLEVEMGELLSVVGRPNVFSYGEIKSATNNFSQSNILGKGGYGLVYRGKLHDGRIVAVKQLSPTSHQGKREFMTEIATISAVQHRNLVKLHGCCIESKAPLLVYEFLENGSLDQAIFATGKMNLNLDWRTRFDICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLSPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDETLEDDKKYLLGWLHETRQTLEMLDNRLARFNEEEAVRLINIILLCTMGLPQQRPPMSKVVFHAY >OGLUM08G06300.4 pep chromosome:ALNU02000000:8:5373739:5402179:1 gene:OGLUM08G06300 transcript:OGLUM08G06300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNGEESRGSNLVAALNAILGRWGTKPPTTWNITGDPCSGIAIDETTDIDNSETINPGIKCDCSYDNTTVCHITKLKVYALDVVGPIPAELENLTYLANLNLQQNYLTGPVPSFIGKLTFMQYMTLGINSLSGPLPKELGNLTNLRSLGVASNKFVGPLPEEIQTLTKLEQLWASDNEFTGFIPDFIGSFSDLEDLRIQGNNFDGPIPASLSNLNKLTRLILRNCRIYDNLTTVNFSNFPGLTYLDLSFNSITGKIPPTLLNLDSFAVDSGGKASTRGSDKNSYEPDDANLQGASYYVPSSTRWGVSSTGMFMEAPNASYLIYTPYQFRNTLDSELFQTARMSPSSLRYYGIGLKNGIYDVKLQFAEIFFPDNQSWQSVGRRIFDIYIQGELREKDFDIKKDTNGKSYTVVQKQYNVEVTQNFMEIHLFWAGKGTCCIPTQGHYGPSISALSVSSYGEEDPGQRKNNTGGQNTSSGKRGLVVGLVVGAIVLGSLALTGTFVWRHRSKRLEVEMEELLSIVGRPDIFSYGEIKSATNNFSPQNILGKGGYGPVYKGKLLDGRMVAVKQLSATSHQGKREFMTEIATISAVQHRNLVKLHGCCIESNTPLLVYEYLENGSLDRAIFGKTNLNLDWRSRFEICVGIARGLAYLHEESSMRIVHRDIKTSNVLLDADLNPKISDFGLARHYNDSMTHLSTGVAGTLGYLAPEYAMMGHLTEKADVFAFGIVAMEILAGRTNFDDSLEDDKKYLLGWAWRLHENNQTLEILDPKLAEFNQEEVMRVINVILLCTMGLPHQRPPMSKVVSMLTEDIEMAEVDANARPSYIPQWQIKSENDGFIAGYFSGSSTQQSSGTQGSMPSSSSSKPKFHRDTSPLALSPFLLHSLSSARAQQQARTNPSEVAALNAIFQRWSLRSSPAWNISGEPCSGIAVGETPDIFDPDLSPGIKCDCSYNNRAVCHITELSISLNKFVGPLPEILENLTKLNHLYASDNDFTGRIPDYIGSLKNLEELQIGDLVDGSSSLAFLPNMTSLSTLDLSFNNITGKVPPALLNLSLLESLVLPSGLNCLQQNTPCFPASPENSSFAVDSGGKRLIRGSDKSNYEPDDANLLGASYYVTDSKRWGVSNSGRYARFQPAKESSTVYTSKKFDNTLDSELFQTARMSPSSLRYYGIGLKNGVYNVDLQFAEIFFPDNQTWQSMGRRIFNIYIQGELREKDFDIKKQTNGKSYTVVRKQYIVYVTKSFMEIHLFWAGKGTCCIPEQGHYGPSISALSVTPYGNNNEVDPGPKENSTGSKNARNRKAGLIVGVTISTIILGLLAIRTVVWRQKRKKLEVEMGELLSVVGRPNVFSYGEIKSATNNFSQSNILGKGGYGLVYRGKLHDGRIVAVKQLSPTSHQGKREFMTEIATISAVQHRNLVKLHGCCIESKAPLLVYEFLENGSLDQAIFATGKMNLNLDWRTRFDICVGIARGLAYLHEESSTRIVHRDIKTSNVLLDADLNPKISDFGLARHYKDNMTHLSTGVAGTLGYLSPEYAMMGHLTEKADVFAYGVVAMEIIAGRPNFDETLEDDKKYLLGWLHETRQTLEMLDNRLARFNEEEAVRLINIILLCTMGLPQQRPPMSKVVFHAY >OGLUM08G06310.1 pep chromosome:ALNU02000000:8:5402815:5403114:1 gene:OGLUM08G06310 transcript:OGLUM08G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRFVLRKLASHLSPKPWVGRGGVTGGANAAGTAAASFRSTAAAAAKEGPAPYDPRFSGIRDDDEAWFCVLRSIVSGVYLVGLLKLCTAYANMKQSWG >OGLUM08G06320.1 pep chromosome:ALNU02000000:8:5404557:5407247:-1 gene:OGLUM08G06320 transcript:OGLUM08G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAVSASKGVIGALFEKLTKLVEDKCTNLVGMSKNIVFLKDELPTMSALLEKLEDADELDPVVKAWRNQVREMAYDIEDCIDDFVHHVGGGDVEAGFIDKVSHFLRTLRARLETAEHIKDLKIQLIEINERRKRYKFNLDGTPSSSFVAIDPRLPALYSEAANLVGIEGPREQVIKWLTDADQQIMVLPIVGFGGLGKTTLAKELKTLLTTSEHIYSIEGTFLLLMTCGMHLHGILFGLFFQKMAWAVEIFGSEDGCPSQFREISAQILKKCSGLPLAIITIASLLANQPAPHKKEYWESIRNSIGTWGSGTNPTLEGMRQILHLSYKDLPRHLRTCFLYLGIYPEDFTIKKDDLIRQWLAEGFVYHFHGGSSDEVAKSYFNELINRSLIQPEETKYGEVVSCRVHDMMLDLILSRCAEDNFICVAYNLEELSGKHEFKVRRLLVDSRVGDSGDTKISRTTARRLLQLRSLQLFGVSLSLLSLSKYIRVLILHLGKTGTGGNERVDVTAIGQLFQLRYLKIVSLHHALVIELPTEIQGLQYLSTLEIDCTNENSLPSDIVHLSRLSHLIVPSGIGLPDGKIGSMKSLCTLQKFEILDIKSAISLGELTNLKDLELYSKQAL >OGLUM08G06330.1 pep chromosome:ALNU02000000:8:5414074:5421950:1 gene:OGLUM08G06330 transcript:OGLUM08G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPKTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLRVYSLNVVGTIPVELESLRYLANLNLQQNYLTGPVPSFIGKLTFMQYMTLAINSLSGPLPKEIGNLTDLRSLGIGSNNFTGELPEELGNLTKLTELYIDSSGFSGPFPSTLSKLKNLQKLWASDNDFTGKIPDYLGTLTKLVELRIGDIVNGSSSLAFISNLTSLNILTLRNCKISDNLRTVNFSKLGGLTLLFLGNNSLTGSLPDVKSSSLTNLYGCQFNKYSTFRTKLFTARHSMFARFSGINKSMEGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNEAPSRSYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPNQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPYQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKREIAPLNSYPGIAGHIDEGR >OGLUM08G06330.2 pep chromosome:ALNU02000000:8:5414074:5421950:1 gene:OGLUM08G06330 transcript:OGLUM08G06330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPKTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLNLQQNYLTGPVPSFIGKLTFMQYIGIGSNNFTGELPEELGNLTKLTELYIDSSGFSGPFPSTLSKLKNLQKLWASDNDFTGKIPDYLGTLTKLVELRIGDIVNGSSSLAFISNLTSLNILTLRNCKISDNLRTVNFSKLGGLTLLFLGNNSLTGSLPDVKSSSLTNLYGCQFNKYSTFRTKLFTARHSMFARFSGINKSMEGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNEAPSRSYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPNQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGMIAGIVIGALVLGSAALLGILMLINKRRKETQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPYQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKREIAPLNSYPGIAGHIDEGR >OGLUM08G06330.3 pep chromosome:ALNU02000000:8:5414074:5421950:1 gene:OGLUM08G06330 transcript:OGLUM08G06330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPKTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLNLQQNYLTGPVPSFIGKLTFMQYIGIGSNNFTGELPEELGNLTKLTELYIDSSGFSGPFPSTLSKLKNLQKLWASDNDFTGKIPDYLGTLTKLVELRIGDIVNGSSSLAFISNLTSLNILTLRNCKISDNLRTVNFSKLGGLTLLFLGNNSLTGSLPDVKSSSLTNLYGCQFNKYSTFRTKLFTARHSMFARFSGINKSMEGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNEAPSRSYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPNQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPYQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKREIAPLNSYPGIAGHIDEGR >OGLUM08G06330.4 pep chromosome:ALNU02000000:8:5414074:5421950:1 gene:OGLUM08G06330 transcript:OGLUM08G06330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPKTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLNLQQNYLTGPVPSFIGKLTFMQYIGIGSNNFTGELPEELGNLTKLTELYIDSSGFSGPFPSTLSKLKNLQKLWASDNDFTGKIPDYLGTLTKLVELRIGDIVNGSSSLAFISNLTSLNILTLRNCKISDNLRTYSTFRTKLFTARHSMFARFSGINKSMEGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNEAPSRSYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPNQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGMIAGIVIGALVLGSAALLGILMLINKRRKETQQQEELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPYQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKREIAPLNSYPGIAGHIDEGR >OGLUM08G06330.5 pep chromosome:ALNU02000000:8:5414074:5421950:1 gene:OGLUM08G06330 transcript:OGLUM08G06330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKRCSGSHGRQLLLLYWLVIACSWVAAAQAQQAPKTDPVEVAALNAILGRWGKKASPAWNISGEPCSGAAVDGTDIDNSPTINPGIKCDCSFNNRTVCHITKLNLQQNYLTGPVPSFIGKLTFMQYIGIGSNNFTGELPEELGNLTKLTELYIDSSGFSGPFPSTLSKLKNLQKLWASDNDFTGKIPDYLGTLTKLVELRIGDIVNGSSSLAFISNLTSLNILTLRNCKISDNLRTYSTFRTKLFTARHSMFARFSGINKSMEGSDNTIYEVDAANLGVASYYVTGNTRWGVSNVGIFNEAPSRSYVINSSQQFQNTLDSELFQTARMSPSSLRYYGLGLENGNYSVKLQFAEFAYPDSKTWESTGRRIFDIYVQGDLKEKNFDIRKAAGGKSFTAVNKIYNTTVSKNFLEIHLFWAGKGTCCIPNQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAELYNLAGRPNVFSNAELKLATENFSSQNMVGEGGYGQVYKGKLPDGRVIAVKQLSQSSHQGKSEFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDRALFASKSFNLDWPTRFEIVLGVARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHISTKIAGTLGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRPNTDNSREEDKIYLFEWAWTLYERGQALGIVDPKLKEFNEKEALRVICAALLCTQGSPYQRPSMSRVMAILAGDIEVTEVVTKPSYITEWQLRGGGDTSYATSSYYSGSTTGEFREKREIAPLNSYPGIAGHIDEGR >OGLUM08G06340.1 pep chromosome:ALNU02000000:8:5445912:5453443:1 gene:OGLUM08G06340 transcript:OGLUM08G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRRSSSSSGHGVHQLILWLVIACSWVAVARAQAQKPAGATTDPVEVAALNAILGRWGTKPPKTWNITGGDPCTGTAVDDTDIDNNPIVNPGIKCDCTFNNNTVCRIVKLYVSSPEPNLSSQLQARNLMQNYLTGPVPSFFGKFPMQYLSLAINPLSGPLPKELGNLTNLISLGISLNNFTSNLPEELGNLTKLEQMYIDSSGFSGPFPSTISKLKKLKILWISDNDFTGKIPDFIGSLTNLEDLRIGDIVNGSSSLAFISNLTSLNVLILRNCKISDNLGAVNFTKLSGLTLLLFAEIFRTTNSWETFLHGLPIIIYNLDSGSNRSVRGLDNTVYEADATSLGAASYYVTGKTRWGISNVGKFNEAPNGSYLMYSSQQFQNALDSELFQTARMSPSSLRYYGLGLENGNYTVLLQFAEFAYPDTKTWQSIGRRVFDIYVQGDLKEKNFDVRKTAGGKSFTAVNKRYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSITPNFTPTVRNGVPKKKSKAGVIAGIVIGASVIVSAALLGIFVLVKKRRKAARQQEELYNLVGRPNIFSSAELKLATDNFSSQNVIGEGGYGPVYKGKSEFVTEVATISAVQHKNLVKLYGCCIDSSTPLLVYEYLENGSLDRALFGHGSLNLDWPTRFEIILGIARGITYLHEESSIRIVHRDIKASNVLLDTDLSPKISDFGLAKLYDEKKTHISTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETVAGRSNTDNSLDNDKIYLFEWAWGLYEREQGIKIIDPKLDEFDSEEAFRVIYAALLCTQGSPHQRPPMSRVLAILTGDIEMTEMVTKPSYITEWQLRGGNTSYVSSNYSSGSTTGEFREQRETSPLTPSPTITGVTYDGR >OGLUM08G06350.1 pep chromosome:ALNU02000000:8:5469155:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGLNCLQEDTPCFRDYSFAVDCGSNRSIRGSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLFKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKKKSKAGAIVGIVIAALVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLTDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTNNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFNRDEVFRVIHVALLCTQGSPHQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRDGWPRHPVDTALGVLMADFSCSGSATTKNRSCRSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTLRIGDIVNGSSSFSFVSNLTSLNILDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.10 pep chromosome:ALNU02000000:8:5469155:5495757:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.10 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGLNCLQEDTPCFRDYSFAVDCGSNRSIRGSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLFKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKKKSKAGAIVGIVIAALVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLTDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTNNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFNRDEVFRVIHVALLCTQGSPHQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRYR >OGLUM08G06350.2 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTLRIGDIVNGSSSFSFVSNLTSLNILVLRNCRISGDLGAVDFSKFTKLAFLYCLFKYAVLWHRDLSFNNISGNVPQSILNLQMLTDLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.3 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTFVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGFLGNNSLSGSLPYDKSPSLNNLDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRGSPECIIPSFQLFTHIDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.4 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTFVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGFLGNNSLSGSLPYDKSPSLNNLDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.5 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTLRIGDIVNGSSSFSFVSNLTSLNILVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.6 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTFVLRNCRISGDLGAVDFSKFTKLAFLDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.7 pep chromosome:ALNU02000000:8:5495711:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHVILWILLLVCSWRISAAQAQQPPRTDPVEVAALEAILGRWNKTTSPVWSMSGEPCRGVPVDGVTGLDGNPKNNPGIKCDCSYINGTVCHITQLKVYALNVVGQIPAELQNFTYLNYLGISLTNFTGQLPEELGNLTKLQRLYTDSAGLSGPFPSTFSKLKNLKLLRASDNDFTGKIPDYIGSLTNLEELAFQGNSFEGPIPASLSNLTKLTTLRIGDIVNGSSSFSFVSNLTSLNILDFSYNQLTGSFPSWSTQNNLQLNLVANNFDLGSTNNGILPPGLNCLQKDTPCLRDYSFAVDCGSNRSIRGSDNTMYELDFTDLGGSSYYVTSETRWGVSNVGKYFQSPNDSKIIYSNEKIQNAVVSELFQTARMSPSSLRYFGLGLENGNYTVLLQFAELGYPDSPTWKSLGRRVFDIYIQGDLKEKDFDIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLDLRRNYLTGPLPSFIGNFSAMQYLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.8 pep chromosome:ALNU02000000:8:5469155:5512063:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPGLNCLQEDTPCFRDYSFAVDCGSNRSIRGSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLFKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKRKSKAGAIAGISIGASVVGLAALFGIFMFIKKRRRLAQQQGELYNLVGRPDIFSNAELKLATNNYSSQNILGEGGYGPVYKGMLPDGRVIAVKQLSQSSHQGKNQFVTEVATISSVQHRNLVKLHGCCIDSNTPLLVYEYLENGSLDQALFRKNSLKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLARLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVYAFGVVALETVAGRSNTNNSIEESKIYLLEWAWDLYEKEQAQRIVDPRLEDFNKDEVLRVIHVALLCTQGSPNQRPPMSRVMAVLTGDAEVVEMVTKPSYITEWQYRDGNSTNSESTTSEFSRQKEIDPLTMSPTITGSSHDGSSSSSSVHGFLWLMLVYAFCAAVQAQQAARTDPAEVAALNTILGRWGLRASPAWNISGEPCSGVAIDETGVDNNPNINPAIKCDCSFNAGTVCHIIRLRVFSLNVVGQIPEELQNLSYLNNLGISSNNFTGELPAELGNLDKLEQMYIISSGFSGPFPSTFSKLKNLKILWASDNDLAGKIPDYFGSFPNLQDLRIGDILNGSSSLSFISNLTSLNVLILRNCKISDNLGTVNFSKLAGLTLLDFSYNHLSGSFPPWVTGNNLQLNLVANDFILDSTNNSILPSGLNCLQQDTPCFRDYSFAVDCGSNKSTRGSDNTLYETDAQNIGAASYYVSDNARWGVSSVGKFNEASNGSYAIYSPQQFQSALNSELFQTARMSPSSLRYYGIGLENGNYTVSLEFAEFVYPNSLTSNSIGRRVFDIYVQGELKEKNFNIRKTAGGKSLIAVNKRYTATVSKNFLEIHLFWAGKDFIPTVRNGVPKKKSKAGTNAGVVIGASFFGLAVLVGLFMLLKKIRRTAQRKEELYNMVGRPNVFSNPELKLATENFGSQNILGEGGYGPVYKGILTDGRVVAVKQLSQSSQQGKSQFVTEVATISSVQHRNLVKLYGCCIDSNTPLLVYEYLENGSLDQALFGDGRFNLGWSTRFDIILGIARGLSYLHEEANVRIVHRDIKASNILLDPDLTPKISDLGLPSSMMRRRLIGYLAPEYAMRGHLTEKVDVFSFGVVALETIAGRSNTDYSLVEDKKYLFEWAWGLYEREQVLRIVDPRLEEIDEEEVLRVISISFLCNQGSPQQRPPMSRVVAMLTGDIPVSDVVAKPNYIIELQLRGRNSSHVTTGYSGSTADELSGQRETSPLTPSLEISREIIDDGR >OGLUM08G06350.9 pep chromosome:ALNU02000000:8:5469155:5495757:1 gene:OGLUM08G06350 transcript:OGLUM08G06350.9 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFLFLGNNSLTGELPDGISPSLTNLDFSYNQLTGSFPSWVTQNNLQLNLVANNFILGSNNGMLPPGLNCLQEDTPCFRDYSFAVDCGSNRSIRGSDNTMYELDSTNLGDSSYYVTSQTRWGVSNVGKLFQAPNDSKIIHSGEKIQNAVDSELFQTARMSPSSLRYYGLGLENGNYTVLFKFAELGFPDTPTWQSLGRRFFDIYIQGELKEKDFNIRKMAGGKSFTAVYKSYTTTVSKNFLEIHLFWAGKGTCCIPIQGYYGPLISALSITPNFTPTVRNGVPKKKSKAGAIVGIVIAALVLGSAILFGIFMVIKKRRRMAKQQEELYNLVGQPDVFSNAELKLATDNFSSQNILGEGGYGPVYKGVLTDGRVIAVKQLSQSSHQGKSQFVTEVATISAVQHRNLVKLHGCCIDSNTPLLVYEYLKNGSLDKALFGNGSIKLDWATRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDTDLTPKISDFGLAKLYDEKKTHVSTGIAGTFGYLAPEYAMRRHLTEKVDVFAFGVVALEIVAGRSNTNNSLEESKIYLFEWAWSLYEKEQALGIVDPRLEEFNRDEVFRVIHVALLCTQGSPHQRPPMSKVVAMLTGDVEVAEVVTKPNYITEWQFRGGNTSYVTSHSGSTTPELSRQKEIDPLTQSPTITGVSHEHEGRYR >OGLUM08G06360.1 pep chromosome:ALNU02000000:8:5516204:5517634:1 gene:OGLUM08G06360 transcript:OGLUM08G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWSPITAKRILWSPMIAIFSPPPPLFSAAASSPASPTRKWRKWAPPAVPVIPEDVVIEILARVPDPTSLFRCAAACRRWLRLIADQAFLLRRWPPGTRVPSLLGFFAQRHQIQANARRKLTKLFPTRAPPLVAAPSRAVGTGRRRLFLTDFVRNAAGAGLFDQAKPLVARGGLLLVRVLPSPSPAPQNALHLCVCNLVTGNHDVLPPLPMDCFEKDGARGYAILTAADHRVSRNPSGGYNTFFQVLLLSIHHGNHQVYLHRFSSAAASAAAAAAIFEISWSTPRNCSEQIRGYVWGPSGNRVAAVSHGAAHWLFSWGVESLYTLDVSIDTDNIGATNIPIDPPPTVFHQSWLYVSVDERLSLLYLCDNKLHIWTKLETQEGGRFLWNCTQSICVGVKMGLFGTESLSTVCIGEESGTMLTLYLSDPNSAYVLDLPSGSITSVDDWKRWFNYMTAVSFEINWHSFFLTRLGDYWYT >OGLUM08G06370.1 pep chromosome:ALNU02000000:8:5531619:5533340:-1 gene:OGLUM08G06370 transcript:OGLUM08G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFV >OGLUM08G06380.1 pep chromosome:ALNU02000000:8:5547541:5548569:-1 gene:OGLUM08G06380 transcript:OGLUM08G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQAYATSSLVIGYALCSSLLAIINKYAITKFSYPGLLTALQYLTSVAGVWTLGKLGLLYHDPFNLQTAKKFAPAALVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFVSLVTVLGGAVGYVMTDSGFSLTAYSWAVAYLVTITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLIIAPVFWFLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVINKFLTVVINVMIWDKHASSFGLVCLLFTLAGGVLYQQSVTSKGNSPMQREAVAKQGKADNDTTELDEEKQSLVSSPKDSNV >OGLUM08G06390.1 pep chromosome:ALNU02000000:8:5574328:5576178:-1 gene:OGLUM08G06390 transcript:OGLUM08G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNAHVSTPTSSSIPTGDPPLGVLSDVTNVSAIELRRKRARERYALLSVEDKEARNKKAPGDAPLGVLSDITNLSAAELRRKCARERYALLSVEDKEARNKKAREKRQQKKEDSQGENQSATTADVRNGVAHYDDIENNEGPLSCIVQRSSQDSLKLHFVRDKSVGTKLKQ >OGLUM08G06400.1 pep chromosome:ALNU02000000:8:5579166:5581781:-1 gene:OGLUM08G06400 transcript:OGLUM08G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 2 [Source:Projected from Arabidopsis thaliana (AT2G32980) UniProtKB/Swiss-Prot;Acc:O48767] MAGAQKPAKRLGGMAEALSIAADLGFPAPPAQEDQGSSDKSDDLVRVLRELTVVQRNIANLQVELQGRKDDKNIAHLTHVSEMEKKCESLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPGGSSDDSKFLTPPQWREGSMLDSWKQVDETIPESDGLDAINQRRLSWPSSIKRDQ >OGLUM08G06410.1 pep chromosome:ALNU02000000:8:5586401:5588905:-1 gene:OGLUM08G06410 transcript:OGLUM08G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKQDDDEVQKIESCFVQPSKMLPRQALWLSPLDIIKASRGYTPLVHFYQHGDDAAADFFDVGRLKKAMAKALVAFYPFAGRLTTDADGRPSIDCNNEGVLFAVARSEQLTVDAFSNLKSLPELDIKVPKPKYGSSDEKIGVQQSTQTGDAICVGVELFIPCIEPPSTVLAIQVGSAVHHSAVDGHSMFHFLQAWSYLCREGDAAAEVVVDLPCHNRALLQPRSPPVVHPDALAMFSPKTNLRERPPGRPVSTKIFAISDDEVAALKTMCGGASTFTAVSALVWRCACAARRLPPDARSKLSFPVNIRRRVRPPIPDRYFGNAIVTAFAATAVRNIVASGSSATKTTMALARVAALISGVMRRLANDEELLRSAIDYHEMAAVASPSQTRRRRPDRGSLPETELRIISWLHLPLYDVDFGWGFPRMMSRAESVRGGFVHVMSGRPADGGGVRVLACLEAENMDEFERLLSAKFTYARI >OGLUM08G06420.1 pep chromosome:ALNU02000000:8:5611114:5612879:-1 gene:OGLUM08G06420 transcript:OGLUM08G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSIPRCRHTLPQSSPHLPAYHHALALTQIEAVADLTLTLTQIRQIRWRHITSSKNKVSRILLSM >OGLUM08G06430.1 pep chromosome:ALNU02000000:8:5637902:5642137:1 gene:OGLUM08G06430 transcript:OGLUM08G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDIEDCIDDFMHRLGSADASGFIKKTARRLKTLRVRHQIAKQIDEIKARQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLSTIQSKFNIQESSQAREVQDIIDDIRYYLGNKRYLIVVDDLWKQEAWNIIHCAFPENSNGSRVIVTTRVEDVACWACSNHRYIYKMKPLNSDDSKKMFFNRVFGFEDGCPSQYEKVSAEILKKCGGLPLAIITIASLLAVRPTRIMQEWERIQNSLGTAFGTNPSLEGMRQILNLSYKNLPFNLRTCLLYLGKYPEDFYIERDDVVRQWIAEGFVRSSRGQDLEDVGKSYFNELINRIKFEYILLFLTGLIQPEQNDYREVTRCRVHDMMLDLILSRCKEDNFINVAYSGSGKDYMAIDWQHGYSSNKVRRLSLQSMVVESDFAVLIEGRAVPAQLAQVRSISLFENSAGGVPWLLHFKYLRLLHIMSYGTKRVDLTVVSQLLHLRYLMIVGDWCEVELPSRICGLVHLETLEIACDVVTSILLDIVSLTCLSNLTLPSGVQLNRLPNSKSLRTLHICHPPDMDFNALGELTNLRDLCLYFNEVESSTASNLDSLGSSIGKLQNLRYLEIQLPSDISIDGLMGSLSDFPRSIEILDLGPEWINAALINLRRLDLSVPETCTDEVGVLGELPSLVHLRLQLELKSKGTIMFGGGGGSFPALEDVFLSCDGDVASQSRLGFQAGVMPKLQRLVLWFGPCELGIDTAPVGMEHLSSLQLIDVRILVAPEKRNVYPWDTAEHVFREAAQAHPNQPAIKFDFV >OGLUM08G06430.2 pep chromosome:ALNU02000000:8:5637902:5642137:1 gene:OGLUM08G06430 transcript:OGLUM08G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYDIEDCIDDFMHRLGSADASGFIKKTARRLKTLRVRHQIAKQIDEIKARVIEANQRRRRYELDGCSNSRASESVAIDPRLTALYQKADNLVGIDGPTEELIQLLTDAGQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLSTIQSKFNIQESSQAREVQDIIDDIRYYLGNKRYLIVVDDLWKQEAWNIIHCAFPENSNGSRVIVTTRVEDVACWACSNHRYIYKMKPLNSDDSKKMFFNRVFGFEDGCPSQYEKVSAEILKKCGGLPLAIITIASLLAVRPTRIMQEWERIQNSLGTAFGTNPSLEGMRQILNLSYKNLPFNLRTCLLYLGKYPEDFYIERDDVVRQWIAEGFVRSSRGQDLEDVGKSYFNELINRIKFEYILLFLTGLIQPEQNDYREVTRCRVHDMMLDLILSRCKEDNFINVAYSGSGKDYMAIDWQHGYSSNKVRRLSLQSMVVESDFAVLIEGRAVPAQLAQVRSISLFENSAGGVPWLLHFKYLRLLHIMSYGTKRVDLTVVSQLLHLRYLMIVGDWCEVELPSRICGLVHLETLEIACDVVTSILLDIVSLTCLSNLTLPSGVQLNRLPNSKSLRTLHICHPPDMDFNALGELTNLRDLCLYFNEVESSTASNLDSLGSSIGKLQNLRYLEIQLPSDISIDGLMGSLSDFPRSIEILDLGPEWINAALINLRRLDLSVPETCTDEVGVLGELPSLVHLRLQLELKSKGTIMFGGGGGSFPALEDVFLSCDGDVASQSRLGFQAGVMPKLQRLVLWFGPCELGIDTAPVGMEHLSSLQLIDVRILVAPEKRNVYPWDTAEHVFREAAQAHPNQPAIKFDFV >OGLUM08G06430.3 pep chromosome:ALNU02000000:8:5637903:5642139:1 gene:OGLUM08G06430 transcript:OGLUM08G06430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEYKKLKEVRKQVSFLKDELTTMSAFLEKLAFMDDDGELDHLVRDWRNHVREMTYDIEDCIDDFMHRLGSADASGFIKKTARRLKTLRVRHQIAKQIDEIKARVIEANQRRRRYELDGCSNSRASESVAIDPRLTALYQKADNLVGIDGPTEELIQLLTDAGQQKLMVVSIVGFGGLGKTTLAKQVYDKIGQQFDCKAFVSVSQRPDIARLLSTIQSKFNIQESSQAREVQDIIDDIRYYLGNKRYLIVVDDLWKQEAWNIIHCAFPENSNGSRVIVTTRVEDVACWACSNHRYIYKMKPLNSDDSKKMFFNRVFGFEDGCPSQYEKVSAEILKKCGGLPLAIITIASLLAVRPTRIMQEWERIQNSLGTAFGTNPSLEGMRQILNLSYKNLPFNLRTCLLYLGKYPEDFYIERDDVVRQWIAEGFVRSSRGQDLEDVGKSYFNELINRIKFEYILLFLTGLIQPEQNDYREVTRCRVHDMMLDLILSRCKEDNFINVAYSGSGKDYMAIDWQHGYSSNKVRRLSLQSMVVESDFAVLIEGRAVPAQLAQVRSISLFENSAGGVPWLLHFKYLRLLHIMSYGTKRVDLTVVSQLLHLRYLMIVGDWCEVELPSRICGLVHLETLEIACDVVTSILLDIVSLTCLSNLTLPSGVQLNRLPNSKSLRTLHICHPPDMDFNALGELTNLRDLCLYFNEVESSTASNLDSLGSSIGKLQNLRYLEIQLPSDISIDGLMGSLSDFPRSIEILDLGPEWINAALINLRRLDLSVPETCTDEVGVLGELPSLVHLRLQLELKSKGTIMFGGGGGSFPALEDVFLSCDGDVASQSRLGFQAGVMPKLQRLVLWFGPCELGIDTAPVGMEHLSSLQLIDVRILVAPEKRNVYPWDTAEHVFREAAQAHPNQPAIKFDFV >OGLUM08G06440.1 pep chromosome:ALNU02000000:8:5643003:5647281:-1 gene:OGLUM08G06440 transcript:OGLUM08G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:equilibrative nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT1G70330) TAIR;Acc:AT1G70330] MAGGEYTPADAEADLLLPPPAGSEPEPAPADTLGVAYAIFFTLGTGFLLPWNAYITAVDYFSYLYPGAPVDRVFSVSYMLSCFLPLILIVLCFPKSSAPARINTGMSLFTVALLVVPVMDATYVKGVPGLYGAFDVTVAATVLCGVADALVQGGVIGFAGELPARYMQAVVAGTATSAHNLLKRGWPHETSCGLCHGEMESCVHLLSECPFTNRIWRLLRDWLGVLVSVLRVITKGVYPQDADGLRKSAILYFVVSIVVMIICIVCYNVADKLPVVIYYKNIKKRAQKAEEDGGMSGSAWRSTLWSIVGRVKWHGIGIALIYAITLSIFPGYITEDVHSEALKDWYPIMLISAYNVFDLVGKSLPAFYFLENANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIQHAETAGIVIVLFLVAGLVVGSFVAWFWVI >OGLUM08G06450.1 pep chromosome:ALNU02000000:8:5657364:5659980:1 gene:OGLUM08G06450 transcript:OGLUM08G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPAPVEATAASS >OGLUM08G06450.2 pep chromosome:ALNU02000000:8:5657347:5659980:1 gene:OGLUM08G06450 transcript:OGLUM08G06450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEGCVGAVKRVLGKMQGVESFDVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWDAEPAPVEATAASS >OGLUM08G06460.1 pep chromosome:ALNU02000000:8:5660164:5661092:-1 gene:OGLUM08G06460 transcript:OGLUM08G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEQDQLIKRVELKVSVNCCDGCRSKVLKALNLKGVLRTEVHPTAGRVVVVGDVDAGRLVKRLAKVGKIAEVIVVAQPWPEVEKRRHDVDKNGGKKEASPDNGRMGGENAGGNVDKRGKNGGEGSGASSARIHGGGGGGVSEGDDDVKAAMCCYHRAEPPAMAVPVLQPPYYAANCAMPPPPAYGFGGCYHGIPPLALAPCSGRIPVVRPQPTRFADEGCLYGDDDTAGCHVM >OGLUM08G06470.1 pep chromosome:ALNU02000000:8:5664984:5666153:1 gene:OGLUM08G06470 transcript:OGLUM08G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ASH1-related protein 2 [Source:Projected from Arabidopsis thaliana (AT2G19640) TAIR;Acc:AT2G19640] MAGDALRAADLPGRGRGLLAARSIREGEVILTEQPLLLYPASLASLPSFCSACFRSLSAAASPCPSCRAAGFCSPSCAAASHPRLLCAALSGGGNLTSAAEPHQEPLLFLLSAYSLPEPSLRVLLSLSSAATLPPTDQDPGSLHAMMAALVPPQMVPPGFSPDLTAALLSKDRTNSFSIMEPYRPEVPQPLRKARAYAVYPRASLLNHDCLPNACHFDYADRPGPGNTDIVVRALHDITEGREVCLSYFAANWQYKDRQQRLLEDYGFRCECERCQVESKWKQDDDSDGGGDGDDTMEEEEEDGGGEGGDDGMEQEEGDGGSDSDDDFPHSYFFVRYLCNHGECYGMLAPLPPSPNGEPSHVFECNVCGNLKNEDEIDAPDGGDSSMAD >OGLUM08G06480.1 pep chromosome:ALNU02000000:8:5675242:5698998:1 gene:OGLUM08G06480 transcript:OGLUM08G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQNASNGAKPEEPSSILIRPHPLSCFLRDHACCNQLTCNLLPGVGFLPYFLQRPISISTTRLSNPSLYIGIGRSTLSSVSISISLPQHLKKQIFR >OGLUM08G06490.1 pep chromosome:ALNU02000000:8:5696063:5702332:-1 gene:OGLUM08G06490 transcript:OGLUM08G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTFPTGWTYQFALRSPLTGAITFKNGRIISTKRPPLHILFISHLLTPRQFVTCFQEPREGNKAGRNPNRSEMICRSLLLLRSNAASKASNIVKHVAATGCLPEYSSEAPARYFSSEPSLQVDSTEENGFKGHGMLAPFTAGWQSTDLHPLGSYVYDINGKKYIDALAGLWSTALGGNEPRLIKAATDQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKIFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLVSASLSGLPALHQKFDLPAPFVLHTDCPHYWRFHLPDETEEEFATRLSTNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYDIKPDLVSIAKALSSAYMPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIEALKIYKERNIIEHVQKIAPRFQEGIKAFSGSPIVGEIRGLGLILGTEFVDNKSPNDPFPAEWGVGSLFGAECEKRGMLIRVAGDNIMLSPPLIMTPDEVEEIISKYGDALKATEERIAELKAKRAGKHQ >OGLUM08G06500.1 pep chromosome:ALNU02000000:8:5704105:5712545:-1 gene:OGLUM08G06500 transcript:OGLUM08G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGDGSTEGPGCYIRQGRRWPPLLQIWQGREGRRGGPAAAGRVEGGRAAASNRGPAAAFATARGSAAAYASTSARTSAYHSTGPSKPKASPPRAVLLEGRPSPDPLWSLQAPSGSEACGRIRAPTAGSSGDEFQIHSSSAARSLPLQIHCLPRGDGDGRSQGDDGEWSPGGTGD >OGLUM08G06510.1 pep chromosome:ALNU02000000:8:5810554:5816155:1 gene:OGLUM08G06510 transcript:OGLUM08G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AS66] MASISDSETTNHGSIWDLDQNLDQPMDEEASRLKNMYTEKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKIKRWLEGHVYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQKMSTDVVVVVAVIILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGTIGALNIHKYNSSVLKAYNPVYIYRYFRRGKSESWTSLGGIMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIISNKDHVVDAFYRSIPDTIYWPVFIIATLAAIVASQATISATYSIIKQALALGCFPRVSVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWILVVIFIVLSLMVELPYFTACINKVDQGGWVPLVVATTCFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFIVKRIGPKNFHMFRCVARYGYKDIHKRDDDFEKMLLDRLLLFVRLESMMDDYSDSEDFTMMEEKTQGSSNALLLTGKAGSNKMCSTGDLSYSSQDSIVPAKSPIRGNSLTRYSSHTFGDELEFLNRCKDAGVVHILGNTVVHARPDSGIIKKVAVNYVFAFLRKICRENSVIFNVPHESLLNVGQIYYI >OGLUM08G06520.1 pep chromosome:ALNU02000000:8:5816645:5817394:-1 gene:OGLUM08G06520 transcript:OGLUM08G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:A0A0E0AS67] MDPHSHKKAHEGLIGDNPDAYAVTTYQPVLMVEPSAAAAFPPAPQVAPAYPVNPMQLPEHQQHAIQQVQQLQQQQKEQLQAFWADQMAEVEQMTEFKLPNLPLARIKKIMKADEDVKMIAGEAPALFAKACEMFILDMTLRSWQHTEEGRRRTLQRSDVEAVIKKTDIFDFLVDIITDDKMKDDGMGSQAASMVSPYTSGGMGFSFDLYPNQHHLAYMWPPQEQQEQWPPQEQQEQKQKQDSDGGGQDE >OGLUM08G06530.1 pep chromosome:ALNU02000000:8:5820608:5821513:-1 gene:OGLUM08G06530 transcript:OGLUM08G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTARPRECGGGGGGQGASPAEGETEDDMWAPTSCSTRSPAPWHDRPDGLGGVMVPACSTTTGKERGAGGIEQDEVSGAARAAATCFPM >OGLUM08G06540.1 pep chromosome:ALNU02000000:8:5821530:5822329:-1 gene:OGLUM08G06540 transcript:OGLUM08G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGGATPFFYINIETVIITNMNYYPEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAYGGAIGVWTPMRESWGSVSAATPTRPFVTNNVIPANWTRIAPSLPLSPLPCRPHSLRSRPLPRLSRLGLSLCPRLPDATLTALTAAPSISAVDISCSRGFLLLRLSLHARI >OGLUM08G06550.1 pep chromosome:ALNU02000000:8:5822818:5828396:1 gene:OGLUM08G06550 transcript:OGLUM08G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme family protein [Source:Projected from Arabidopsis thaliana (AT2G35040) TAIR;Acc:AT2G35040] MPLNLASSPAAAAAAAAKVCAGVRASPRHLLPRALDHQSRRVLSLSSSSSAGRAAGVRAMAAAEAGASTATQAKSSGVKQALISLSDKTDLAYLGNGLQALGFSIISTGGTASSLEAAGVNVTKVEQITNFPEMLDGRVKTLHPSVHGGILARRDQEHHLKALNEHGIGTFDVVVVNLYPFYNKVTSGVISFEDGIENIDIGGPTMIRAAAKNHKDVLVVVDHEDYPALLEYLQGKQDDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGDVFPPNFTVPLSLKSTLRYGENPHQKAAFYGDKSLSVVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPSYTEKGLEILKGKSKTLRILEAKRSGKGMLSLRQVSGGWLAQESDDLTPEDITFTTVSERAPQDSELSDAKFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGVIAEPSGSMRDGDAVDCCNKYGVSLLFTGVRHFRH >OGLUM08G06560.1 pep chromosome:ALNU02000000:8:5830102:5837760:1 gene:OGLUM08G06560 transcript:OGLUM08G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSNSSCSRTRSGLVRLNNTIESNEVLFSKTRSGLVRINSTVESNEVSLSKTRSGLIRGNTNVRSNEGSYSTTRSELAGANGVVDSNVGDLCSKTRSGLVRRNATLDSNEGSCSKTRSGLARGDGIVGLNQGSSSKTRSGLVRGGDIMNFSEGSSSKTRSGLVRGNTTVAASNGSYSATPSRFVRGNIIVGSKEGSCSRTRSGLVRESIQMDYSDSSCSRTRSGLVRRKPFMVQVKDEAAMNGLSDDCLKEDSPGKNEPNHKSNLVENSDKPVMKGPDGWWKEGMLTKNGSKYRSDPVQTKGEACINGLPGGQWKENSAEKNVSNHKNELVQRKDDLIVDGLPDGWWKEDRPRKNGSNLKTDPYYIDPVSGYEFRSLKDVHRFLKSGDIYKCIVRPRKRTIQDPCTIENQSHTATLLQHTRPGTADKAIQCELLTSEGLMLPWEEQLSPYRELNNPKKMPELEGMIASQKHAYKVDAPREKKSFPRKRKQPSAEGKPKKHKIVPAKMVAMPVRASPRLASLKITHDLNTEPEDEPISVNLVNEERADNQLLSSQADTGNHIRVMEGDTTDSSQLRQADTVNQILTDQENAVSQLQLSHTDYFVQIRPRQEYVTNYSQSQLSRAATVNQIETNQRNMSGQSQSSQADSLDQIQTEQESSASRLQSSQEDSFNEIQTIQEYITEQSESQVSHANQIQIDLGNTVDLLPSSQADAIFQMETTQEYITNQSQSSQADIVDHMQVNQDNTANQFHLRQADTVNRIQTMQESTTDQPQLIQALTVNQIQVNGENTANHVQSRQADTVNHIQLNQDNTVNQFQLRRADTVNRIQTMQDSTADQPHLIQALTVDQIHANRENVVNHFQSRQADTVNHIQVIQDNTANQFQFRRADTVNKIQTMQDSTTDQPRFIQALTVNQIQANGENTANYLQPNYAENNIMQVGFSLTPEPEEAPATSFWRNVANQELPVSMQTDGKPFVSSALNVEYQNVPATAPAQPTRAPHPEAASYPSGLAVPSLFGNSWSDPCIEFAFKTLRGDIPVLDDTSAVEQYFPQHDLNKPPSPDYSASPSCFSSSFDNTRNFTQVDHASLPAPNPSDKLYNGGWFPPK >OGLUM08G06570.1 pep chromosome:ALNU02000000:8:5841907:5849152:1 gene:OGLUM08G06570 transcript:OGLUM08G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHSPLLLPLYIELNCDLMHKSLDLARIDRIVRCSLRSQGVPLPAVEPVPESSVSTVEDRTPEDKQRWWKRGLKAISEGKLAVVLLAGGQEMKSHISSFSPISDREQGLAVLILRDASSIFGVISYVLVCFQSSLILRFMVFFSSDFCSGIGLPSGKSLFQLQAERILCIQKLAAQSADGTPQIHWYIMTSPFTDEATRKFFESHRYFGLEPDQVTFFQQGTIPCVSADGRFIMETPYKVARAPDGNGGVYAALKSQRLLDDMAGRGVKYVDCYGVDNVLVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQGTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKKIPSIHGYTAGLKLEQFIFDVFTYSPSTALFEILREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >OGLUM08G06580.1 pep chromosome:ALNU02000000:8:5845972:5850847:-1 gene:OGLUM08G06580 transcript:OGLUM08G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G05310) TAIR;Acc:AT1G05310] MAEQVLDFSPKKSGKGKKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVIKVIPAGSTAPSLPFYLLTSGTTNSTGNHRYRDEYNPLCDDFPPEFPPPDTAAISIFCVDPNGCCNFTTVQAAVNAVPSFSKKRNVVWINKGIYYEKVTVPATKPNITFQGQGFDLTAISWNDTANSSHGTFYSGSVSVFATGFVAKNISFFNVAPIPRPGDVGAQAVALRIGGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRVISIADPVPAGVRTITGSVTAHARESVDDNTGYSFVNCSIGGTGRIWLGRAWRPYSTVVFAYTSMSDIIASEGWNDWNDPSRDQYASSLYSVSIVTCMTKRTVFYGEYRCTGDGANLSDRVPYAQKLSDVQVLPYLNTSFIDGDRWLKPYCDSLISA >OGLUM08G06590.1 pep chromosome:ALNU02000000:8:5859489:5862371:1 gene:OGLUM08G06590 transcript:OGLUM08G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAMVAAVADERGDCGGIGRGRGRLRRTRKREAAAVEGGSGRGRGGCTRRWRRRTRMRAVAAVEGGGSDDDGGHGQGQQRLCFCCSCCYHRFLSLGSSPTLLLQLFKDGVLQTKIRDKNDPRLPKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQLQTSSQNKKKDKAAVF >OGLUM08G06600.1 pep chromosome:ALNU02000000:8:5871485:5874805:1 gene:OGLUM08G06600 transcript:OGLUM08G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRWHTRDAARARATYKTPARPAPPPSPSSPHATRTRAMDAMRQSTPRAMLLLCAVLMLAVAPPGAATAAAVAGCECGNAAAAAVAGEDARGALRLKLVAIASILAAGVILATGMVHILPAAFDALASPCGGGRGGGGGFPFAGLVAMAAAMATMMIDSVAAGYYRRSHFKKPRPVDDPADAARAAGVEEGGAEHAGHVHVHTHATHGHAHGHVHSHGHGHGHSHGSAPAAATSPEDASVAETIRHRVVSQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQANFKAKATVIMATFFSLTAPVGIALGIAISSSYSKHSSTALVVEGVFNSAAAGILIYMSLVDLLAADFNNPKLQTNTKLQLAVYLALFLGAGMMSLLAIWA >OGLUM08G06610.1 pep chromosome:ALNU02000000:8:5875973:5884850:1 gene:OGLUM08G06610 transcript:OGLUM08G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGALRPLRTLTLSPIPTPLRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDTRVVKLIKRLGAEVLHGIWTKRQRGQRPCTVLDGTGSEKTLG >OGLUM08G06610.2 pep chromosome:ALNU02000000:8:5875973:5884897:1 gene:OGLUM08G06610 transcript:OGLUM08G06610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFVGALRPLRTLTLSPIPTPLRVLSTASASSSSASAAASSSDSDSAAAPDADFDSSEFALPPSGPTPPPPPQQARNPVSALRKLRFDPSLRARADEALFGKERGGVDDAVEEERSREVALALLEAALEPPDDDLGPGEVREEDQMSLSVGIVGAPNAGKSSLTNTMVGTKVAAVSRKTNTTTHEILGVLTKGITQICFFDTPGLMLGHHGLPHRDVTVRVESAWSSINLYDLLIVLFDVNRHLKTPDTRVVKLIKRLGAEVLHGIWTKRQRGQRPCTVLDGTGSEKTLG >OGLUM08G06620.1 pep chromosome:ALNU02000000:8:5877600:5883069:-1 gene:OGLUM08G06620 transcript:OGLUM08G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDLSSRKRKPRKNNKKNLPPEPSTPQRTTNEATIMQESPGMVTRRRLAMLLGVTSTSGVEGAREPREMAISTNDAKPDAYNSQLVSLPAY >OGLUM08G06630.1 pep chromosome:ALNU02000000:8:5885324:5886617:-1 gene:OGLUM08G06630 transcript:OGLUM08G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQHDMVSKFGAAAIVVMLMLVVPNSGSGEDAGHDKDQLAPRSSEAEFGFSLPIVHGRPPAPGMDDEKFVTPFILLKWRLAALWSGHSATNARIVTFAMCHHMEDPNLEHSRKRFDYQAKFRMVFGCAHQENIVLTAVKECTGILGLGMGDASFLRQTGITKFSYCAPPRMPGYSYRRDSWLRFGSHAQISGKKVPLVMRWGKYYLPLTAITYKYNELMSPVPIIAYKSQEDYLHMMVDTGTSLLSLPTSLHDDLIKEMEAIIKSPNIMEGATRWPRHCYERTMDEVEDITVTLSFDGGLDIELFTSALFVKTETINGSAVCLAVNRVDDSSKAILRMFAQTNINVGYDLLSREIAMDPIRCA >OGLUM08G06650.1 pep chromosome:ALNU02000000:8:5907977:5913974:-1 gene:OGLUM08G06650 transcript:OGLUM08G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSIRGRTPLERDRQYTGYGWDTEGAEHTTYPGLNEIGIGLHTPHLQLAGFVGALDMLYRSTFAELQRVRTRVATLERRYYTPEFMYGEDAMLAPARTYLHPSGITSRELYPPPPPHR >OGLUM08G06660.1 pep chromosome:ALNU02000000:8:5919312:5919569:1 gene:OGLUM08G06660 transcript:OGLUM08G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFSVLTGRGSSWCRGRTSSVCRRCRWYYPMSRSRIPAKAAIAAAEKMPELGHRCRLEDAGAWRDVEAARPRQCGEEARARQR >OGLUM08G06670.1 pep chromosome:ALNU02000000:8:5922006:5923480:-1 gene:OGLUM08G06670 transcript:OGLUM08G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLHLPCPASIVSSTSGTRTTSRRSSGLLSPPPSTTPSQSRPSLSLERPGAENFGAMGFPSSFTAVVFALLLTFLQFSKAANSHYLFNKTSALEAADVNGNNSAEILAAPLYPVSHSYLLEIAVGLVSFDTAVNMVWLQCSDYCRDCNPSQVGTSTTYYNASMSISYNPLSCDHPLCGAGDNHDQQVLAECMDGTCTFKVDSLDNNGGWVQGILGSDRISISDHFFFLFDTNIIFGCATVDHSKYTLDQYGSSGVVGLGLGKYSLPQQISVKNELFSPPYVLFGSNAVLQGDMTPFLPGFPKYYLRLEGISYGIVRLDIFGSNAAAADQYHQQAQFRRGPYLPDAQFYAMSVESATFPLMLPSRAYELLEKEFEQDNPLLIKSRLQPMNTCYKGSVDDIADNATITLHFHGGVDLQLSRNATFMEITSMNGDQEER >OGLUM08G06680.1 pep chromosome:ALNU02000000:8:5934615:5935763:1 gene:OGLUM08G06680 transcript:OGLUM08G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIPPAVPGPHGSGPTLSYSGDKQKNSHATESPGTHISFWSPGARPVSSRHPSFQCSRRSRPRYRVVLLILVHLPPRCNTVKNQPKPSSRRGERSPSFLSPDLDTLALFLPVRSSRSRVVIVFVVLPGISNAVDASWTSPTPFSCTVRHCIPLNTPCMHPYSPFRAVARQACTYQEPPPL >OGLUM08G06690.1 pep chromosome:ALNU02000000:8:5983572:5984294:1 gene:OGLUM08G06690 transcript:OGLUM08G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLVREIGKKIGRASCGLQQQNNPVFPSLDRAIHSVATLHAWCHEVAAACSRRLRRFGHHRFGKVEEWNRNVLLYILSFDLAPISAAVCRRRA >OGLUM08G06700.1 pep chromosome:ALNU02000000:8:6018510:6019183:-1 gene:OGLUM08G06700 transcript:OGLUM08G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRCLSLYVAVLMLVWLFFAPLSVVAGSLIAIPSALDGSRTSHRRPIENPRFNVTLWEKNEINTRPKASHGFDDGEHEVSYTVAMPFIEKLRRRCAVATGGSHRRCDATAIRQFEEDVAPCLLERLKVTRELRHTLSNLDYSRWLGPYYLDLALQQRSQMMNLFCMNKPNVLACLC >OGLUM08G06710.1 pep chromosome:ALNU02000000:8:6038369:6038596:-1 gene:OGLUM08G06710 transcript:OGLUM08G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVAGHDQQWRGLEARMAEMNGHLASIRKRVHDNYIRLDTLARRLGINTKITLHSDETTVVSSLADLIKELEK >OGLUM08G06720.1 pep chromosome:ALNU02000000:8:6038600:6044238:-1 gene:OGLUM08G06720 transcript:OGLUM08G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIVASILFLSPSPVAGYGPAAEGGIYTVGKNKHGECCASSSRFVTSNGGSRACCYCPQSKILPSGSRGRSRRSGVFQLLPDTSSADSEFDEEGWSPPPVDDAALDFSVFYFDLPGTSSRAGGCYQSSSSTGEGAVERLNRLPHSVRRMDTISSALAAIASLTLPPTPPPLCIVPSTSGADRLGEDHRLVEIRLCELLAEIEQIKETISSLDGRVAQLEHKLEETCKDL >OGLUM08G06730.1 pep chromosome:ALNU02000000:8:6044342:6044566:-1 gene:OGLUM08G06730 transcript:OGLUM08G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTLLRSKFCSHVGPSVRSTPEEVVNLTVSGSGSSSSRRDADDFTGKVWPALGHDMAVAAMTSAVQGRKKEAS >OGLUM08G06740.1 pep chromosome:ALNU02000000:8:6044579:6045103:-1 gene:OGLUM08G06740 transcript:OGLUM08G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAAAAERGGRDAPSLHAEQLQVEELRSPASTAMSKTEIAHTAARNALSCADTARQQAESELTVTRMELLHEHGAVKQLSEELSIARSALVTRETELHASQSQYEQASIEVQGPAPLAEDSSVGDKLKWVEKAGKFTTKAVAGYGTWCS >OGLUM08G06750.1 pep chromosome:ALNU02000000:8:6046555:6050367:1 gene:OGLUM08G06750 transcript:OGLUM08G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPMVCYSTGAINSLFVKITRLMENHGNIKNLLKDITGLREDLNRFTGGRGMGEQVKVWMKQAREMIYDIEDWIDLEKQEVASFSESAKQIDQFKGQIKKARKRCKRYELLKQAPTSQIPYAGAEHSEVSGRRLFLEEKTVLVGMDGPKSELLKHLKNEQKELKVVSVHGTGGHGKTALAMLIYGDIFVKDFECQAFVSVGRTTSTRTALIEILCQVKKSEVDAWQSRSYSKIISELWGFLRTKRYFICIDDIRNTGDLHAIVCALPDNNFGSRILTTTRLKDVALSCSSRPSDVIYEMITLDEADSEILFRNSIYVQKGEEWPDHFNESSKKVLQLCGGVPMAILIAAGLFGRIYAELSVKSGNLNKTTLCESNQSYSAIQAMSILDISYGDLPLPLKSCFLYLAAFSRNNVINKDRLIRRWVTEGLIPGPGNSSWETGERYFDELISRRLVEPAFDDSNDQPIGCSVHGVVFDFMEHLSTEENFVSAGAVLMSGVFPRDRVRRVSLDYGDEDDECDTYCRLEDKSNASSSDEDDEAISFHLSWVRTLAFSGDASRIPHLSDFKHVRVLDLEDTKGLEKKQLESIGRLSLLRYLGLGSTDVTQLPPGIMALELLTTLDLRRTNVRHLPELRDKKLVSLLGEQLILARGIGGMQNLEELSKVVVGPNGSPADDMAQLVRESGRLRMLGVRFSHLHGHNGNNDRQGVRQFLEEVGKSNLQSLLLDNYPHLLLDLLLPVDSWAHKLRKLELRIGLDADAPVPLQEMASLTALTHLHISVEAVEAHTIRALGKLPKLVVLKLESKTSPCLTVSSEDGFPCLKVLWYNSEYGRGMGLRFDVGAMPQLRRLRLDLDARETGMTTNDDDFDLGIQQLPCLVKVHATIDWTNTTLTASQVEAAETHIREQVGRNTNRPVLELNRSRPVANGAEELVITIDSLDKWISNQIDPKKLVVTIFTKAWCPASRKMVPVFSNLANNFRDVVFLKVDAQEMDNIAKEFGVDSIPTFLFMKGGYVVDTVVGGGADEEEELKEKLEEQLSML >OGLUM08G06760.1 pep chromosome:ALNU02000000:8:6094291:6102172:1 gene:OGLUM08G06760 transcript:OGLUM08G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAPSMRPKLDDLALRPCRVQSVKLIWENKVDNFKGHLATTPTHWSSSDFKASSILLDSTREPARVVKMPIHL >OGLUM08G06770.1 pep chromosome:ALNU02000000:8:6112786:6113439:-1 gene:OGLUM08G06770 transcript:OGLUM08G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLVVLMVVSAGGLSPPCAAAAKEEKPVVVLPPAAAPGEAPSADAAAFVRSCCDTALQADRDGSSFCYDHLLPYAAFFEGNQVKVAEVAATILSTNLWVYVDELRKVQGGAGKGDPNLNACVDDFSEAAGENITREALQSLGRLAAAGNGKRSKEDLENAQKWIKGVEKPYNGGIGKASGCEIGYLFTYSDDLPAQKTLGYTFNTASSLINHIKL >OGLUM08G06780.1 pep chromosome:ALNU02000000:8:6113740:6114703:-1 gene:OGLUM08G06780 transcript:OGLUM08G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRELLLQSDKKTVAEAFWRGIVDGGVDDMVPAETMRTPWLAAFYLTRGGYTHEEQQHDCAALAVEPSRRSGVAVRTGQRGTHLMINQGKMQGFDKNIDANARRLSEWT >OGLUM08G06790.1 pep chromosome:ALNU02000000:8:6116069:6116607:-1 gene:OGLUM08G06790 transcript:OGLUM08G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRVPTSVQSARFTRRCVLSAHRDFLVTRGGGGWNMSTPASGLLRYHPFLSIESSCPEPLMELGMESNGQRSRPGMHGLDRKGVRSRCGQCDYSSSTPGLRQIYLLHDTQNWAIYYSLFLRINLVRLYKE >OGLUM08G06790.2 pep chromosome:ALNU02000000:8:6116069:6116607:-1 gene:OGLUM08G06790 transcript:OGLUM08G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRRVPTSVQSARFTRRCVLSAHRDFLVTRGGGGWNMSTPASGLLRVCHASDRFLHSMHVCIKGMESNGQRSRPGMHGLDRKGVRSRCGQCDYSSSTPGLRQIYLLHDTQNWAIYYSLFLRINLVRLYKE >OGLUM08G06800.1 pep chromosome:ALNU02000000:8:6117555:6118342:-1 gene:OGLUM08G06800 transcript:OGLUM08G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAKAAVAAYRYYQISRMVVVSGRAGRLQNMSTQRHNPTAPAERIYTQGEGSLFYKLTNSEFCDRVKASNKNSIYTATVLKNSIYCYC >OGLUM08G06810.1 pep chromosome:ALNU02000000:8:6120901:6122136:-1 gene:OGLUM08G06810 transcript:OGLUM08G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTAQPIGKPEATALVVYTDFCFRRHHSSRRFPGGVRVTTTASIHWLACMAVQQRFRPGDSLDHN >OGLUM08G06820.1 pep chromosome:ALNU02000000:8:6134870:6135112:-1 gene:OGLUM08G06820 transcript:OGLUM08G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIERIFICENADRRSCRREHWPSARQPHRRPSDKGRSPAAAPRMRGQSARVRRNGLVGARGTNSQRLLKGYRSWSPVPA >OGLUM08G06830.1 pep chromosome:ALNU02000000:8:6140790:6142398:-1 gene:OGLUM08G06830 transcript:OGLUM08G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AS99] MKQYYKAALLRYWVVLPFLLWLVATEEQLAAGAVAGAAAESSSTWGGQGQLQLPLWVRSGDRRLLGISVAGMAVDAVVAADGTGQYTTIKQAVKAAEADTSGRRYTIHVKAGKYVEDVEIWRDNVAMIGDGIGRTIISGRKSMNTTLGTPCTGTLNVQRNSFIARDLTVENTAGPEAMQAAAVVVKSDRAVFFRCEMTGYQDTLLADCYRQFYRECVISGTVDFVWGQATAVFQMCHLLARRPLKGGHNIVTAQGRHLANVSDLAIIRSGFVFQECNVSTKEDLRGVDTYLGRPWHPDSRVIFMSSYLDGNVVNPKGWVAWRINNATEERSTASTVYYAEYNNTGAGANVTQRVNWPGFHLLAPHEVRNFTVDSFIDGGSWLPETNVPYHLDLDLGL >OGLUM08G06840.1 pep chromosome:ALNU02000000:8:6144631:6144990:1 gene:OGLUM08G06840 transcript:OGLUM08G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDKGAVEYERYHVSLHRTALPPKSPLPRSARLVIDAAGSVAPAWHRCLIRSARRQRIATAILNVQSRADQALIPLYTRTVVMETSPSKQRTGIKEDPMRPGEEARVAPVGEVEWRG >OGLUM08G06850.1 pep chromosome:ALNU02000000:8:6152186:6161376:-1 gene:OGLUM08G06850 transcript:OGLUM08G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDHIGSVLSCRGTVSMRHGPARYHAAALPSCGCEVVPHFRVAKTVPLIRPARPQCRPRFSFRMTQKRSVGPWASEVICGPILLPWAEANPPDATRAAPFRHTILVRFVRLLLLLRRCRPRLASPASRRSRQRRRQPAGVCCVLRILARRELGSSKVGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKNDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGWGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >OGLUM08G06850.2 pep chromosome:ALNU02000000:8:6152186:6161376:-1 gene:OGLUM08G06850 transcript:OGLUM08G06850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDHIGSVLSCRGTVSMRHGPARYHAAALPSCGCEVVPHFRVAKTVPLIRPARPQCRPRFSFRMTQKRSVGPWASEVICGPILLPWAEANPPDATRAAPFRHTILVRFVRLLLLLRRCRPRLASPASRRSRQRRRQPAGVCCVLRILARRLMVFKAVRRPKATPDCLIAIAAWTSLFYFPGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKNDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGWGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >OGLUM08G06850.3 pep chromosome:ALNU02000000:8:6152188:6161376:-1 gene:OGLUM08G06850 transcript:OGLUM08G06850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDHIGSVLSCRGTVSMRHGPARYHAAALPSCGCEVVPHFRVAKTVPLIRPARPQCRPRFSFRMTQKRSVGPWASEVICGPILLPWAEANPPDATRAAPFRHTILVRFVRLLLLLRRCRPRLASPASRRSRQRRRQPAGVCCVLRILARRELGSSKVGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKNDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGWGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >OGLUM08G06850.4 pep chromosome:ALNU02000000:8:6152188:6161376:-1 gene:OGLUM08G06850 transcript:OGLUM08G06850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATRDHIGSVLSCRGTVSMRHGPARYHAAALPSCGCEVVPHFRVAKTVPLIRPARPQCRPRFSFRMTQKRSVGPWASEVICGPILLPWAEANPPDATRAAPFRHTILVRFVRLLLLLRRCRPRLASPASRRSRQRRRQPAGVCCVLRILARRLMVFKAVRRPKATPDCLIAIAAWTSLFYFPGFLMDPGKHNGMSLKDRRELVYEVSQWPQGASEILQCWTRRDLLELICAELGKERKYTNVPKSKMIAYLLKLVLRKNGQPKDDNANASILGQNNKNDTEKKENEEQPHHFSRSAKSDSSMCREAQAGSTAVCRNVACQATLNSGDAYCKRCSCCICHKYDENKDPSLWLVCSSDTPYSGYSCGTSCHLKCALKNKKAGILKNGCNKKSDGSFYCVWCGKMNWLMRNLRKQLAIARESRRVDVLCERLSLTHKMVKGSERYRELANIINSAVKILEKEVGCALDQVSAITGWGIVNRLCCGADVQKLCSCALEMVDSTLSSTLDFETNNNLEAPGPQPQVFFVEITPFSVLVVLKYQDNIAEEIDGCKVWHRSANMANYPAEPTCHVLRPNTRSLFSGLSPSTEYFFKVLPFGCSQGYGEWEVKCSTRSLNHGSSQCSTQNSESMSIKEDLEQHQKNELNLKNKQWWGIQYDSPSANSNENDVCPDLHPKRAKLAKLDGASDNDESQLLPTSEVLPFMSSNSSLSEVPSKPDWVSSTPDSACKNHVERQYEYSVKVIRWLEHEGHMDKDFRVKFLTWFSLKASAQERRIVNAFVDALVSDPASLVAQLIDSFMEVVCSKEKPAQPNGGCCNLWH >OGLUM08G06860.1 pep chromosome:ALNU02000000:8:6170979:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGTATVNLAPTRSNAATVNLAPTRSNATRSIQRQHPIPQVNLDRSRGGFMARKLSARRVDDDGVSRASACQDTEMNGSEITLGLYRCKESRRSSPGGEPVARLGEGGGDLLDDGNLVRSIGHLASRNLDITPAQQLGWTCIGSTGTAKRRAAVLQMPRTTTGATPCAQPVPKGNKKDMDRSGMERRSVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.2 pep chromosome:ALNU02000000:8:6170979:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGISRRIYGKEAQCKKGEMGTQYIILDMGESFLMGFNGSDENYMCMDQRSRMRRQCLENDGEPRNASAAALMAGRRRWCLPGFGLPRHGDEWIRDHLRTLPNRAEAHLGVRNRRGEPVARLGEGGGDLLDDGNLVRSIGHLASRNLDITPAQQLGWTCIGSTGTAKRRAAVLQMPRTTTGATPCAQPVPKGNKKDMDRSGMERRSVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.3 pep chromosome:ALNU02000000:8:6170979:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGTATVNLAPTRSNAATVNLAPTRSNATRSIQRQHPIPQVNLDSDLDLAEDLWQGSSVQEGVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.4 pep chromosome:ALNU02000000:8:6170979:6195355:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQYIILDMGGRSRMRRQCLENDGEPRNASAAALMAGRRRWCLPGFGLPRHGDEWIRDHLRTLPNRAEAHLGVRNRRGEPVARLGEGGGDLLDDGNLVRSIGHLASRNLDITPAQQLGWTCIGSTGTAKRRAAVLQMPRTTTGATPCAQPVPKGNKKDMDRSGMERRSSIFLHITVYSSKYIWASIESVLDIITWVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.5 pep chromosome:ALNU02000000:8:6170979:6195355:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQYIILDMGGRRRWCLPGFGLPRHGDEWIRDHLRTLPNRAEAHLGVRNRRGEPVARLGEGGGDLLDDGNLVRSIGHLASRNLDITPAQQLGWTCIGSTGTAKRRAAVLQMPRTTTGATPCAQPVPKGNKKDMDRSGMERRSSIFLHITVYSSKYIWASIESVLDIITWVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.6 pep chromosome:ALNU02000000:8:6170939:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGISRRIYGKEAQCKKGEMGTQYIILDMGGWCHFGTKYPVVASSHLLSHYRYCFISDNGNGGS >OGLUM08G06860.7 pep chromosome:ALNU02000000:8:6170979:6195355:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQYIILDMGGRRRWCLPGFGLPRHGDEWIRDHLRTLPNRAEAHLGVRNRRGEPVARLGEGGGDLLDDGNLVRSIGHLASRNLDITPAQQLGWTCIGSTGTAKRRAAVLQMPRTTTGATPCAQPVPKGNKKDMDRSGMERRSVVSFWDEVSCCRIEPSAIALSTL >OGLUM08G06860.8 pep chromosome:ALNU02000000:8:6195891:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGTATVNLAPTRSNAATVNLAPTRSNATRSIQRQHPIPQVNLDSDLVGHP >OGLUM08G06860.9 pep chromosome:ALNU02000000:8:6195891:6196582:-1 gene:OGLUM08G06860 transcript:OGLUM08G06860.9 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRIVPAASSSGSVLGMQVHVEPWPELGLLWQPGIPAQSLGGWSKREISTAHEAGIFRPNLRGIPGERTPVSGGQEKILARSASASSRATRSGHRRDSSGEMRHRRDSSSGETPPPGLLRRNATTTPGNAATVNLAPTRSNATRSIQRQHPIPQVNLDSDLVGHP >OGLUM08G06880.1 pep chromosome:ALNU02000000:8:6204165:6205096:-1 gene:OGLUM08G06880 transcript:OGLUM08G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSDAHPGRSAEKVREEAGTAQARALLRQQKRRLWWLVSKGKGKGKGVKWIRLDETKLVARGLGRQRSGKGEADGRP >OGLUM08G06890.1 pep chromosome:ALNU02000000:8:6208516:6230876:1 gene:OGLUM08G06890 transcript:OGLUM08G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIAFSEKGRSRLQQLIEWCGSEFDGLLVFDEEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLQSDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQLKVANTRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMCNGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >OGLUM08G06890.2 pep chromosome:ALNU02000000:8:6208516:6231313:1 gene:OGLUM08G06890 transcript:OGLUM08G06890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIAFSEKGRSRLQQLIEWCGSEFDGLLVFDEEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLQSDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQTVQFSSLGEPIVPIKILHLNIGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMCNGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEAYN >OGLUM08G06890.3 pep chromosome:ALNU02000000:8:6208516:6231313:1 gene:OGLUM08G06890 transcript:OGLUM08G06890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIAFSEKGRSRLQQLIEWCGSEFDGLLVFDEEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLQSDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQLKVANTRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMCNGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEAYN >OGLUM08G06890.4 pep chromosome:ALNU02000000:8:6208516:6230876:1 gene:OGLUM08G06890 transcript:OGLUM08G06890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVYASQRHLYHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWKQGRHKALWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIAFSEKGRSRLQQLIEWCGSEFDGLLVFDEEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLQSDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQTVQFSSLGEPIVPIKILHLNIGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMLFDLFTSILDIVIQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >OGLUM08G06890.5 pep chromosome:ALNU02000000:8:6208516:6230876:1 gene:OGLUM08G06890 transcript:OGLUM08G06890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGSPSPVAASAAPVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPQPQPHPQLQPPPPPAPLPPPSRRSAPRAQGVDPTKIQLPCARCKAILNVPHGLARFRCPQCDVDLAVDLSKLRNFLATAGPGFAPPLPLPPPPPPPMPPIPLPHMPFLPMMPHVPVPMPPMASPAELPEEINEVAVDVEREEDEGGTVGETFMDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYDLTIMDELDETKVLSCLQIETIVWISIGSDLKYDARRDLDDVGAKYVEVHALNKLPYSKIDSKAVGITTGVIFVTYSSLIAFSEKGRSRLQQLIEWCGSEFDGLLVFDEEMLPEARVVYCSATGASEPRNLGYMVRLGLWGDGTSFQNFQKFLGALEKGGVGALELVAMDMKARTLSYKGAAFATVEAPLEERMMNMYRKAAEFWAELRVELLSAIEYYAEDKGNSSQIWRLYWASHQRFFRHMCMSAKVPAVVRLVKEALAEEKCVVIGLQSTGEARTEEAISKYGVEMEDFVSGPRELLLKLVDDNYPLPPKPDCFQQVGDEKVAEVQRKRHYGPDVCFKGRARKLAKMEDESDDGTDEYSLQSDHESTESEEEFNMCQICNTEEEKTMLLHCTGCAAHVHPGCLIPPWTGMLADDWSCYSCKEKVESYFKERDAYITELSKRYDTAVERKSNILQIIRSLDLPNNPLDDIIDQLGGPYNVAEITGRRGMLVRASDGKGVVYQTRNKKEVALDMINIHEKQQFMDGEKLVAIISEAGSAGVSLHADRRAKNQLKVANTRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSTYGKKALMMMYRGILEQDGLPVLPSGCSEDQASLQGFITKAKAALVSVGIIRDALMCNGKNGGKLTGRIFDSDLHDIGRFLNRILGLAPDIQNRQNARSEGQLDSGIVDIKAKSVKMKESPKSANAILEERQKDGAGSSDVGFYESRREWMGRRHYMLAFEGSTEGMYRVIRPAVGEALREMPLVELKSKYRKVSSIDKIGNGWQEEYDASSKQCMHGPKCKLGSYCTVGRRLQEINILGGLILPVWGIVEKALAKQVRQIHKRIRVARLETNDNERIVGLMIPNSAVESVLEGLQWVQDIDD >OGLUM08G06900.1 pep chromosome:ALNU02000000:8:6312256:6314055:1 gene:OGLUM08G06900 transcript:OGLUM08G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRKSPERKAAPISNNGHQPSHQRATSFIRPAPTPWKRLQLVIIAKHRRTPSASSSDFISRSNQRAYRPPQERSGPKEAKASPNAKELLRSDAPKEDTTRNVAAARPKLEKVFTWRFVGNMKGRHDDVSKKVNGAHGRRRRRTGQRHGKAFASVFTSHTQAPHIDDHRIVHDVSQAVIPRRNQTRQRIHIHTSRAGGSPPASNPAGACLDSAQPPSSPEPSQAVQRRHMQPPPTPRPLPISSFPAAQLLPHLHMLPLTSTRLCLDSSSSDIGRGRSKLSTNKVTHGFHLLEGRSGHDMEDYHVAEYKYANNHEFGLFAIYDGHLGDKVPSYLKANLFNNILKEPLFWTNPQEAIKNAYSSTNKYILENCKQLGPGGSTAVTAIVVEPKKTIDSRRCVGTSPKRRSQGGHDV >OGLUM08G06910.1 pep chromosome:ALNU02000000:8:6327360:6328398:1 gene:OGLUM08G06910 transcript:OGLUM08G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIANIGDSRAVVCERGAANQLTVDHEPHTINERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHVPINSSVEFVILASDGLWKVIKNQEAVDLVKSIKDPQAAAKRLTSEALAKR >OGLUM08G06920.1 pep chromosome:ALNU02000000:8:6330009:6333440:-1 gene:OGLUM08G06920 transcript:OGLUM08G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGSPQPGLARVEEARRATAPVPVGARRAAADAGCRSSRRLSASRRASDGATVVGSLRSPAERPAAVRLSTTPPVRHSDEAATPPLVAWRCGSPVAVSAPALPLLAHVDLRSSSRYPSWRRRQASHRPPTLEAGEVVACSTAGSSSAPVPPSIPAFPCGPTAHRYHRSCRWLSPHALVNPARVSPALLGTRGATTALVTMGCAVVVLLEVSCTTLLSPTAISLLLVLSISLINLPVVMCLCFSLDI >OGLUM08G06920.2 pep chromosome:ALNU02000000:8:6330009:6333440:-1 gene:OGLUM08G06920 transcript:OGLUM08G06920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGSPQPGLARVEEARRATAPVPVGARRAAADAGCRSSRRLSASRRASDGVRLSTTPPVRHSDEAATPPLVAWRCGSPVAVSAPALPLLAHVDLRSSSRYPSWRRRQASHRPPTLEAGEVVACSTAGSSSAPVPPSIPAFPCGPTAHRYHRSCRWLSPHALVNPARVSPALLGTRGATTALVTMGCAVVVLLEVSCTTLLSPTAISLLLVLSISLINLPVVMCLCFSLDI >OGLUM08G06920.3 pep chromosome:ALNU02000000:8:6330009:6333440:-1 gene:OGLUM08G06920 transcript:OGLUM08G06920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAGSPQPGLARVEEARRATAPVPSFSPTAHRYHRSCRWLSPHALVNPARVSPALLGTRGATTALVTMGCAVVVLLEVSCTTLLSPTAISLLLVLSISLINLPVVMCLCFSLDI >OGLUM08G06930.1 pep chromosome:ALNU02000000:8:6337042:6346766:1 gene:OGLUM08G06930 transcript:OGLUM08G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLKNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTYIAPSAGNAALKNSASTTAPT >OGLUM08G06930.2 pep chromosome:ALNU02000000:8:6337042:6346766:1 gene:OGLUM08G06930 transcript:OGLUM08G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLKNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTYIAPSAGNAALKNSASTTAPT >OGLUM08G06930.3 pep chromosome:ALNU02000000:8:6338118:6346766:1 gene:OGLUM08G06930 transcript:OGLUM08G06930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAEGGPWLKSGNSHVGRETWEFDPNFGTSEEREAVEAARIEFQKNRFRTRHTSDVLARMQLAKANNFSIDLQKEKDGNPINIDTATVSDILKKALSYFSAIQAYDGHWPGDFPGPLFTTATMIIVLYVTESLTITLSSEHHKEICRYLYNRQVLGVFDWSGVNPIPPELFLLPSFVPIQPGRLWSHFRMAFIPMSYLYGKKFVGPITRLVISLREELHIHPYKKIDWKEARKLCAKEDAYNPHMWLQECLSDCLYSFGEPFLTRWPISYMRKRALYQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENPNSDAFKRHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACSIAEEFGSTLKKAHGFIKTSQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKNPNGGYGAWELARTYPWMEIFNMTEIYADIIVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRKATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQCYDDSTCIRKACKFLLSKQLKNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPAPLHKAARLIMSMQLSDGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWALGEYQKLVFQNYQTSSIKQTYIAPSAGNAALKNSASTTAPT >OGLUM08G06940.1 pep chromosome:ALNU02000000:8:6347342:6349852:-1 gene:OGLUM08G06940 transcript:OGLUM08G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVHLSSFAISVLGKAAFCAASEIKSAWNFKKEVRKLERSLKSICGVLKDAERKQSTSCALKVWLEDLKDVVYDIDDVLDDVATKDLEQKVHNGFFAGVSRQLVYPFELSHKITVVRQKLDEIAANRREFALTEETIDTQFFSSNTRETHSFINELDIVGRDEAKNKIVEIILSAADAYAFSVLPIVGLGGIGKTALAKLVYNDMRIKKMFEKNLWACVSNVFDLKKILDDIIQSDTGESNKQLSLQTLQNKLRGFLQENKYLLVLDDIWSDNVNDWEQLKNLLSSGGRGSVVVVTTRNMNVASVVKTLEPYYVPELSFDECMQLFIRYAFRDEEKKDTLLLEIGKCIVEKCHGVPLAAKTLGSVLFGKQDVKEWLRIKDANLWNIEQNKCDILPALKLSYDALPPHLKACFSCLSVFPKDYVILRELLIMFWMALGLLHKTREGDEIETIGGQYFNELDQRSLFQDHYVIYNGSIQSCKMHDLVHNLAMFVCHKEHAIVNCESKDLSEKVRHLVWDRKDFSTEIEFPKHLRKANKARTFASIDNNGTMTKAFLDNFLSTFTLLRVLIFSDVDFDELPSSIGNLKHLRYLDLQWNGKIKFLPNSLCKLVNLQTLQLSRCDQLEKMPKDVHRLISLRFLCLTLKNKYLSEHDGFCSLTSLTFLFLNSCAELSSLTNGFGSLTSLRKLYIFNCPKLATLPSTMNQLSTLQTLSINNCHELDLLEPSEAMGGLACLDVLQLVGLPKLVCFPGSFISAATSLQYFGIGNCNGLMKLPDFIQSFTSLKKIVINGCPELSRRCAVKSGEDFHLISHVPQITIDKKTYRKITPSHPECSSVS >OGLUM08G06950.1 pep chromosome:ALNU02000000:8:6352276:6360861:1 gene:OGLUM08G06950 transcript:OGLUM08G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGAKFHGIVGAVGAHDVGGNFCDMGYYQKLGEGSNMSIDSLNSMQTSMHGGSVAMSVDNSSVASSDSRTGMLKHPGLRGPVIVSSYSVGNSIFRPGRVSHALSDDALAQALMDSRFPTETLKDYEEWTIDLGKLHIGMPFAQGAFGKLYRGTYNGGDVAIKLLERPEADPEKAQLLEQQFVQEVMMLATLRHSNIVKFVGACRKPMVWCIVTEYAKGGSVRNFLNRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEVIQHRPYDQKVDVYSFGIVLWELVTGNLPFANMTAVQAAFAVVNKGVRPAIPHDCLPALAEIMTRCWDANPDARPLFTEVVRMLEQVEMEVVNTVRRARFRCCISQPMTLD >OGLUM08G06960.1 pep chromosome:ALNU02000000:8:6358122:6361727:-1 gene:OGLUM08G06960 transcript:OGLUM08G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPEPQKPAENKALGELMAHISDTFAQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >OGLUM08G06960.2 pep chromosome:ALNU02000000:8:6358120:6361709:-1 gene:OGLUM08G06960 transcript:OGLUM08G06960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPQSEIVGENNASKSAAKEQILSSTNEKMTTSVPQGASSSKSPKGAQEKASFLGKGGEQPFYQPNVYAPQPQTIYSGGYLNHLGQWEEYPHYVNMEGLHSVSPGIYNDNQSIMLSPGYANNPQMMYGAYSPGVGDGQPYLPLHFPFSSPYYQPPASPSMGYSNSATGMSQGDPMLQQEYFLPDGLLYSPTPGYHQPFGSFDRASTQPSSTPGLFGQGNTPLAFGMHHGSMYAPGSYKPRQQGGKFGGTTPSWSSGRRFGTFDLSANQQKGSMPFGIQNGALEFLNEQNRGPRATKPKKQDTENSSIDDKNEKNVPLVDSELYNRPDFVTEYKDAKFFVIKSYTEDHVHRSIKYNVWASTASGNRKLDSAYRLAKEKEDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEHGLQMLTIFKNHESETNILEDFDFYEQREKALQENRRQQQPASPEPQKPAENKALGELMAHISDTFAQTVQLKETENGESKPAVEGVSSADEASTATTKAEDGTASTNASPVE >OGLUM08G06970.1 pep chromosome:ALNU02000000:8:6367085:6371659:-1 gene:OGLUM08G06970 transcript:OGLUM08G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CemA-like proton extrusion protein-related [Source:Projected from Arabidopsis thaliana (AT4G31040) TAIR;Acc:AT4G31040] MAPSVERNGRPLPVSYPLPTFHSVDASATATATAQRPAASSSAKGLPIVSIPIRAVLLLLFFFFFFFSIHSRSAVSSEGGIALRLFVDWRIRRWRVCACKMFDTGSQRGRVRQLVAFAKKRRQPKKQPSRRPWWKAWFSDWNDEEESLSGWREDEELLEEVGGEEGLSDDEKFETWKRKAEAIIELREARQDAMNAEGRSWEDWIGGGSSTAGDGGGDWGGDLDVSDLITDDPTEIVRDKGLIETFRDSVDEDYNDMLFEDRVFLYASTNSAKFLALLIVIPWALDFLVHDYVLMPFLERYVQKVPLAAELLDVRRSQKLLMVKDINTEKARYRFEVEIGKSPPLSDDELWSELREKAIELRDEWRLENRKAFANIWSDMVYGISLFLLMYFNQSKVAMLKFTGYKLLNNISDSGKAFLIILVSDILLGYHSESGWHSLVEVILEHYGLEADQAAITFFVCLVPVALDVFIKFWVYKYLPRLSPSVGNILDEIKRH >OGLUM08G06980.1 pep chromosome:ALNU02000000:8:6377389:6382354:1 gene:OGLUM08G06980 transcript:OGLUM08G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPLAGGRLVLVVVVVAACMARWSEGIGVNWGTQLSHPLPASTVVQLLKDNGFDRVKLFDAEDGILAALKGSGIQVMVGIPNDMLADLAAGAKAADDWVATNVSNHVNNGVDIRYVAVGNEPFLETFNGTYLNTTFPAMQSVQAALKKAGLADKVKVTVPLNADVYQSPTGKPSDGDFRADIHGLMLTIVQFLADTGAPFVANNTFDANHDTLVAALRRNGYPNVSIIVGEVGWPTDGDANANPQYARQFNQGFLTHIASGQGTPLRPGPIDAYLFSLIDEDQKSIEPGNFERHWGVFYYDGQPKYPLSLRGGGNGSTLTPAKGVTYLQRRWCVMKPSASLADQKVGDGVSYACGLADCTSLGYKTSCGGLDAKGNVSYAFNSYYQVNDQDDRACDFKGIATTTTVDPSAGSCRFIIEIAPTASGVAVAAAVRVAGVMAAILAAFIHLVVPVF >OGLUM08G06990.1 pep chromosome:ALNU02000000:8:6386688:6392732:1 gene:OGLUM08G06990 transcript:OGLUM08G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLSTYSTPPNTECIRIRIRIRVPIQSLVSPIQRKKKQKSEKYALRKKIPITQIRSRVQNIVPYSNFTIEPLQKLLYLHPRPSHRRRELFSFFPSSKQKAKKAEAAPTRRDEHPNRRIQRRRRREMAAAAVMVSSASGLLAMLQEPAAELKLHALANLNSLVHVFWPEISTSVPAIESLYEDEEFDQRQLAALVVSKVFYYLGELDDSLSYALGAGPLFDPSEGSDYAQTLLAKALDEYASIRSKSLKAAGEGDEKMDPRLEAIVERMLDKCILDGKYQQAMGMSLECKRLDKLEEAISRSDNLNGSLSYSIILSHQYVSHREYRCEILRCLVKIYQTLPNPDYLSICQCLMFLGEPETVGNILGKLLSGSKDDALLAFQIAFDLVENENQAFLLNVKNHLDTLSLQTSAQTLPSDQTAATEPSGDAQMTDNAITPNGNAHTVDPNEVAHADRLTKIKGILSDLLILKTIKQALEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLTQGRALMAPYLPQSGAASGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSSEVVQHGACLGLGLAALGTADEEIFEDVKNILYTDSAVAGEAAGIGMGLLMAGTASEKAREMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGTFRRQLEKIILDKHEDTMSKMGAIMATGILDAGGRNVTIRLQSRSKHDKLTAVVGLAVFTQFWYWYPLTYFISLAFSPTAFIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQQTTTASVKLPTAILSTYAKAKSRAKKEAESKAQEKAEAPPSEDASTSMQVDGVAEKKAPEPEPTFQILTNPARVVPAQEKFIKFLEGGRYEPVKLAPSGFVLLRDLKPTETEELVLTDAPATQATNAAAATAGQQGSGAAAMAVDEEPLPPQPFEYTS >OGLUM08G07000.1 pep chromosome:ALNU02000000:8:6397469:6403030:1 gene:OGLUM08G07000 transcript:OGLUM08G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMDTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQLICTQAPLPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >OGLUM08G07000.2 pep chromosome:ALNU02000000:8:6398930:6403030:1 gene:OGLUM08G07000 transcript:OGLUM08G07000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFAPYFWPYLAQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMDTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMEATTDANVAVNQNTGADTYDKVKSQLSNFLPTSRRIMQFSNGQLICTQAPLPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSPKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >OGLUM08G07010.1 pep chromosome:ALNU02000000:8:6402637:6406738:-1 gene:OGLUM08G07010 transcript:OGLUM08G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAPARSALPFRSRVATAAARPGRAPALSAAPGRRLVARRAAGDGQAVEAQEALPIEKSPVPLLSLSLARSLRFPPFPSVMDINQIREILPHRFPFLLVDRVIEYKGGEYAVGIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEIGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLRKEHDEVANNGLSIFLDPKRLKLQDGEIPNTMEEEKPSAGVQPDPTVPTMALSWMLPTQGQETIHDTMNTAYEMSSSETPPLRADQAAAAAPMDVEVQLRQRQPQAPPCQQAHFWSGFF >OGLUM08G07020.1 pep chromosome:ALNU02000000:8:6408927:6412185:-1 gene:OGLUM08G07020 transcript:OGLUM08G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGDAAAEGRRGEGGGGAARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >OGLUM08G07020.2 pep chromosome:ALNU02000000:8:6408927:6412185:-1 gene:OGLUM08G07020 transcript:OGLUM08G07020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATGGGDAAAEGRRGEGGGGAARWAVGAVCGGLVYYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISSVNPPSLPPSIKRLVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPVGRDSLGGAQDTT >OGLUM08G07030.1 pep chromosome:ALNU02000000:8:6430034:6440938:1 gene:OGLUM08G07030 transcript:OGLUM08G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFHFPFPPLPARAFSAAAGKEEKEEEEKRSNFSRPPLLLPCATHSTRILHAHTGRFPLGSANRTQGPVNSERDEGAELYCERKKRVKWHQLWPSSAKDMKVVPVPRARAAPRRHIWIPVILILVAVTVLWIYLYPPQDYTYPVRDWYPVRDWFPAEPARELTDEETAARVVFRQILSTPPFPSRNPKIAFMFLTPGKLPFEKLWELFFKGHEGRYTIYVHASREKPEHVSPVFVGRDIHSDKVLFGWAVMGLHQPKHTDEHPIPSYSELNTLQMLTLPNTLQVGWGMISMVDAERRLLAKALEDTDNQLFVLLSDSCVPLHNFDYVYDFLMGSRHSFLDCFDDPGPHGVFRYSKHMLPEVREIDFRKGSQWFAIKRQHAMVVVADSLYYTKFRRFCKMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYELLKNMTKELLQRPCWWNGLKRPCYLFARKFYPETLNNLMYLFSNYTIF >OGLUM08G07040.1 pep chromosome:ALNU02000000:8:6452190:6452510:1 gene:OGLUM08G07040 transcript:OGLUM08G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSTKTASRHVTEVEEGTHAFEIAGYSARPQEGHGRRRQVRPIGHLCRRRLRLEHPLLPSSGASDKSPASSFFLVLDSENATAWASYELRLLKLNQDSGRRRTP >OGLUM08G07050.1 pep chromosome:ALNU02000000:8:6452521:6452945:1 gene:OGLUM08G07050 transcript:OGLUM08G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSAGLQYSSCQIRWIFHCPGYIKDDTITVESTVKVIKESRLSETKGSSEIIQVPPADIAEHLGKESFAAHKIVLAMRSPVFQAQLCGGMKEARMSRVTIEDVPPDVFGGPAAFCVHRFLA >OGLUM08G07060.1 pep chromosome:ALNU02000000:8:6454162:6458604:-1 gene:OGLUM08G07060 transcript:OGLUM08G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRLNARLLSSRGHRTIGCSEIGVPPSELSEHFGKLLEEEEEVGRDVVFSVEGESFAAHKLVLAARSPVFKAEFYGEMIERGTFSIDIKDMQTSVFRALLNFIYTDVLPADIGDRRGVSSSSSPSSLTIFRRNRRRRSPLPSTHPRVSKKKKTVSRHTTESEEGRHSFEIVGYSLQKGIGVDEFVESATFAVGGYDWCIRFYPDGKGDGAKDYISVYLELLTKDCAVRAAYNLRLVNLATGLPKSVYSETTHRMFNSEDSSKFAPHYATFMHRSQLEMEASGYIKDDRLTIECFLNVVVKESMASNTVKAHELIKVPPSDILENFGELLEKGEGADVTFVVGGEKIAAHKIPRSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFIATQNKMDDVVATEGYADLKRTCPSVLVDVFEKASKLRRI >OGLUM08G07070.1 pep chromosome:ALNU02000000:8:6458605:6458823:-1 gene:OGLUM08G07070 transcript:OGLUM08G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSKKKKTVSWCTTEVSEGAHALKIVDYSLNKGIGVGTFIRSGTFAVGGYDWAIRLYPDGVTEDSKAMAL >OGLUM08G07080.1 pep chromosome:ALNU02000000:8:6460392:6469421:1 gene:OGLUM08G07080 transcript:OGLUM08G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQFPSLLPWLPWLLGSLLSVYLLDLLAHSQRRLPPGPRPLPFIGSLHLLGDQPHRSLAALAMAYGPLMSLRLGAVTTVVASSPAVARELLHRRDAAFASRSAPDSTGDHARSSVAWLPSSAPRWRALRRIMATELFAPHRLDAAAPRRLRREKVRELVAHVARLAAGEGGKPAAVDVGRVAFATSLNLLSRTIFSRDLTSLDDHGGSKGFQEAVARIMEAGGRPNVSDFFPALAAADLQGWRRRLARLFARLHRVFDAEVDARLREHDAGELRKGDFLDVLLGIAARQDDAAELDRDTLRSLFTDLFCAGSDTSSSTVEWAMAELMQNPKSMSRVCDELSQVIGLGRNIKESEIDQLPYLQAVVKETFRLHPPGPLLLPRQAEMTTKIAGYTVPKGARIFVNVWAMGRDKDIWPEPEMFIPERFLGSKIDFKGVHFELIPFGAGRRICPGMPLANRMVHLILGSLLNQFKWNLPVEVERNGIDMSEKFGLTLAKATPLCALVTPISVKPADHQE >OGLUM08G07090.1 pep chromosome:ALNU02000000:8:6481512:6491181:1 gene:OGLUM08G07090 transcript:OGLUM08G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSAPITKAKTMASRCTTGSEVGGHIFEINGYRFQKGIGVGKFVRSNIFAVGGFDWAIRFYPDGVCEAYKEYISVYLELMSDNAEVRALYSLRLVNQVPGLSNWELTLNKQPVVFSSRGKNRFGTVISHAYFKLRSDLELPESGFIKDNRLTIKCLLLVIKESHVCEPGANTEIEVPRSDITQHLSNLLESKEGADVSFIVGGEIFEAHKIVLAMRSPVLKAELCGEMREARMARITIKEMQPEVFRALLHFIYTDSLPNMGNLQGGHKIEMIRHLLVAADRYAMDRLKLICQHILGKSLHVDNVATTLALADQHSCDKLKKVCIEFMASSNAMDAVAATGGYANLKRTSPSLLVDDAAGAVTNTAMSFGPAAAGATCLVTLPPELSAYVRIILLPLPVVDGLPEGAESTADVLPKKVELLKKAIDGLATSFAAFLADAYAAGDWEGPDPFSERLDWVVVNFVHGWLPPIADDHQVKCAFFPIYSAAALAFLGPKAVHDVHLRTEPKDFMSPLPWITFPSTIAYRRHEAAMVAAAYWSNASGVSDLDRMWQLHQRCHLIVYRSCPDIEGTHLCSLLDELYHKPIMFTGLLLPPNAGDDRDRADLMRWLNEQPTRSVVYVVLGTEASVTSDNAHELALGLELAAALRNIGEWLLEGYKACVAGRGVVETGSPPLASSAAIAVMGTGSKKKKTVSWCTTEVSEGTHAFKIVGYSLNKGIGVGTFIRSGTFAVGGHDWAIRFYPDGVTEDSMDYVSVYLELMSENAKAMAFYTLGLVDPVTGEIRCNWSRSSPRLFDSSDSSRFGPRSPLFIPRSDLEMEESGYIVNDRLTVECEVTVIKGPQVSRTIGYTESEIGVPPSELSEHFGKLLEEEEEVGRDVVFSVEGESFAAHKLVLAARSLVFKAEFYGEMIERGTFSIDIKDMQPSVFRALLHFIYTDVLPADIGDLEGDDYVEMIRHLLMAADRYAMDRLKLMCQSILVKHVDVKNVATTLALADQHNCDRLKDVCIQYISSLDEVDAMVRTKGYANLKRSCPSVLADLFEKTSKFRSS >OGLUM08G07100.1 pep chromosome:ALNU02000000:8:6491210:6515858:-1 gene:OGLUM08G07100 transcript:OGLUM08G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFSVPIKCDPKKSLHSHPHCSFAATEMMSVGVSYVSLLNAVTYHCAHGFKFGQDNTIGS >OGLUM08G07110.1 pep chromosome:ALNU02000000:8:6518342:6519421:-1 gene:OGLUM08G07110 transcript:OGLUM08G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTLEPSQTALRTLVHLKPSRTVLRLFSNLEIHLLLNEVDLGALTNCSSNLVVDDDDNGPSQTVLRSLTDDETLMLMKALHKLFFGPSRIDDVASFDGKLMMMVNSDELLMTKTSSDVKLRTSCCCHCWKRTGGRQED >OGLUM08G07120.1 pep chromosome:ALNU02000000:8:6527779:6539286:1 gene:OGLUM08G07120 transcript:OGLUM08G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKRMSRYAKKHEEATLKFDVVGYSLNEGMAAGEFIRSPAFAVGGYDWAIRFYPNGVTVTISRFTSVSLELLTKNCKVQAAYDLRFVSHATGQRESVSSLSEPRMFNSTRCPKPQRSNPMQRYRLEKEESGYIKDDRLEIEACSPVFKAELYGGMKERDARSVTVDDIQPDVFRALLHFMYTDSLPDMDDVEDGDYVEMIRLLFVAADRYAMDRMKLLCESVLDDLLDAETVGTTLALADQHSCNNILISILDADVCVKFMATSKGMRML >OGLUM08G07130.1 pep chromosome:ALNU02000000:8:6552114:6553554:1 gene:OGLUM08G07130 transcript:OGLUM08G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKKKTTSRHTTESEEGRHSFEIVGYSLKKGIGVDAFIESATFAVGGYDWCIRFYPDGNGDGAKDYISVYLELLTKNCAVRAAYDLRLVKPATGLSDKFGPPHPTFMQRSLLEMEASGYIKDDRLTIECFVTVVVKESMASNTVKAHDLIKVPPSDLSENFGELLEKEEGSDVTFVVGGEKIAAHKIILAARSSVFKAELYGQMKEKRARRVTVEDMQPDVFRGLLHFIYTDSLPDMDDLSDDDYYEMIRLLLVAADRYAMDRMKLQCESILGEHLDVQTVATTLALADQHNCNGLKDVCIEFITNQNKMDDVVATEGYADLKRTCPSVLVDVFEKAKTWHFAA >OGLUM08G07140.1 pep chromosome:ALNU02000000:8:6555612:6556045:-1 gene:OGLUM08G07140 transcript:OGLUM08G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWVLKYDVNLAPLPKMILYESDDVHNESEWNSDDDNIIGDLDESEEKGWRFPKFFDFLGFHPYKEVVYLMHSSGNLECSKVQYLGQNLVNEYNRGMEMSFPYTPCLVDLLPERAHQKCS >OGLUM08G07150.1 pep chromosome:ALNU02000000:8:6558217:6558760:-1 gene:OGLUM08G07150 transcript:OGLUM08G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPNDVLIKVLRCLPARSLAASRCVSKEWCTIIDTHWLLLPHVLPHSVHGIFSNYLDHDVTHFFSSPSYPMGRRIDGFFGFLPVDTGGMRMVLEQAGHCNGLILYSNDDWDGRNLFVCNPATRRWVQLPPFRKYDRLCVSDAYLVFDPVESLHYEVLLIPGAPEIPTEEKRRRGCWV >OGLUM08G07160.1 pep chromosome:ALNU02000000:8:6562246:6564978:-1 gene:OGLUM08G07160 transcript:OGLUM08G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLPDDVFIKVLRCLPARSLAASRCVSKEWCTIIDTNRLLLPHALPHSVHGIFSNYLDHDMTHFFSRPSYSTGCGIDGCFKFLPIDAIGCNKVLDHCNGLIQYHGKISEQCKLFVCNPATHRWVQLPPFTEYDSLCISAEYLVFDPAESLHYEVFLIPDLPEIPTKKKCHKGPLMGKDATMEWPPSVHTLWVFSSRTGRWEDKAFLHEGHATNMAGTSSEVLLDSPDMMSWGPRFIRAEYWNGALNVHFRARNPWQESFSLFLSPSALVNRMLSILGAKYRVIKTPRFDGPGGYTSDVLGRSETGIYYAKRQNFQLRVWALDESHELTKWVVKYDVNLCPLTKLIEGLFSWHHAKINKMWILDESDDDDDEEEDQDSAEWNSDDDNINIIGDLSKNKEEEMSMWTFGSVDLLGFHPYKEVIYLMDLDEVVAYHLRSSKVQYLGCNRLNEYNRGMEKSFFYTPCFVDLIPEGAHQKSS >OGLUM08G07170.1 pep chromosome:ALNU02000000:8:6568287:6569390:-1 gene:OGLUM08G07170 transcript:OGLUM08G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRQASSNPWRRLRGTTTRLQLWQRRLRCRPQPPRPPLRRQPLLDQLPRRLEHEISRIDGRIRELEDECYRLVSEGHGSTLVIRFRIEKMEALRAKLISRYLQQQQNDDGGDNNGGDAPPSPPAAGGAAAVN >OGLUM08G07180.1 pep chromosome:ALNU02000000:8:6577446:6578497:-1 gene:OGLUM08G07180 transcript:OGLUM08G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDYGTNDGGQAGGRPAGGGGGSGRPASGSATPVLESDGGARICFTQPQECRRRERETNAWIRRLPANGDEQICSPRPRSDRGVRICRPQPWEKATDLAPPPSGAITATATVSGGCGELVDPPPLLPLSLVFCDPGSQRSWGSASIGRGSSRDGSTNNKSNLNAICETKLCLDIDVKSVMVILLLADQHKCDMLKQACFSFIANPNTLETVIGTPEYHQFKSLYPILLIEVLENVCILRK >OGLUM08G07190.1 pep chromosome:ALNU02000000:8:6578615:6582415:-1 gene:OGLUM08G07190 transcript:OGLUM08G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDEIDDIEGGGGDDPRGGSTGVGRLHGDRATANVEEAEQWRWVVDGVDPVEEGVLGDDAAKADAGGVSGGEELYSAASACVNITRNLSLPIKTNPEHDISSCEQGLLSEPHGKIPFLFS >OGLUM08G07200.1 pep chromosome:ALNU02000000:8:6583330:6588654:-1 gene:OGLUM08G07200 transcript:OGLUM08G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPSYDAQREFPTHPPHQFQQQEQLDQLYFLSTNSELKSVIVILLLADQHKCNMLKQGLLLFPCHSDRTQLMEMVIGTPEYDQLKSLYPSLLINRASGEWKGKNRLN >OGLUM08G07210.1 pep chromosome:ALNU02000000:8:6592855:6594472:-1 gene:OGLUM08G07210 transcript:OGLUM08G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPHISSPSLFLSFSLLSLFSWSWLARLRRRCPERAAYSAPAAEALLLVEVLWSCGGGRVGAGAVRPRRHRRGSGRGGAITGASRRAVRPVRRSEAGSGSSSRPTRKRKNVGVVEDSGSGVGEVWLEDGATVEEAAWRTATASGDADDEQAAEQGHGRRREEPPENRARRAGVLHHHGHEHGVEGEAFDGTIASSSGRPCSHRPVVPDRAVVRVLVWRAVRVTGGGGGALQQLGQINNDITINQSGKS >OGLUM08G07220.1 pep chromosome:ALNU02000000:8:6593466:6593678:1 gene:OGLUM08G07220 transcript:OGLUM08G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSCARATTALPHASIAETCSFVEDHKLCVKSPSSLLLTVRAAADTRMLVRAAVLLAKQNATATATYLS >OGLUM08G07230.1 pep chromosome:ALNU02000000:8:6595177:6606266:1 gene:OGLUM08G07230 transcript:OGLUM08G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGDGIGCCSLLHYAESPMTMARRWLRCWIGDSLLAFSLGGGSASLVYCSQSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLFLLDEQEGAVYYIKYFKVAEARPQYRPVDRLLMAKFTAHTTSSGLQERYSFRETAVVALWGPYATQFHAENLQQQADNGHVVMLFVGLTVKFRDRQLALHGSTVCRWYPNATIHETISLISSLHGNPQVVRMIEANYGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSTCKRGTAREGNAYKCPRCSTDAIETRYRVAIMGIDPSDLANNQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPHPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPFVLTDTKDTRDSHGDQHEATPPTPHASNIFYTHINYMHISSPDEHDRRKPSVVHTLFVDKLPPEPPK >OGLUM08G07230.2 pep chromosome:ALNU02000000:8:6595177:6606266:1 gene:OGLUM08G07230 transcript:OGLUM08G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGGDGIGCCSLLHYAESPMTMARRWLRCWIGDSLLAFSLGGGSASLVYCSQSLLKDVTQESHRWRVRVRATRFSEFTTANEPDKILRLDLFLLDEQEGAVYYIKYFKVAEARPQYRPVDRLLMAKFTAHTTSSGLQERYSFRETAVVALWGPYATQFHAENLQQQADNGHVVMLFVGLTVKFRDRQLALHGSTVCRWYPNATIHETISLISSLHGNPQVVRMIEANYGQKEAINVKVSDICDLNPHEALGNSYVVNIIIRDLVPAEPWWYIACSTCKRGTAREGNAYKCPRCSTDAIETRYRVAIMGIDPSDLANNQAKAAEFTFFGEIGEQLIGRPVLNLVASVHGARDIVPPEIKAIFGRQYVIRTSVSRGSLQRNRVSYQVDSLMLPHPDPSHAICLPSHDTCVGSSGHGSTSANAVEPHAIVLSSTQSMPPSTPFVLTDTKVIAIAQLDKKRKSSTADENLGHGGSSPDEHDRRKPSVVHTLFVDKLPPEPPK >OGLUM08G07240.1 pep chromosome:ALNU02000000:8:6606947:6608253:-1 gene:OGLUM08G07240 transcript:OGLUM08G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLFQLRMDVLEQRVLAVFLTLNRCGGGAADLSGLAKGHLASMDEPVQEQRHLVHIRSKMLFSEILRSIGANEARYNCHAVTDGYVGFAEASVYGVRGGEEPFLVRAHGIPTIRPCDAEESAAHALIAVIKKECRVEIEDTYWLDMNRYHAEVFRLKRALGRVRKERNSLAKKARLLEIGWDRALDSLASVNQICNDTCSFALGGPGADDLNHREVGVLYDVHRLGEYAESKMDEGLANLSSATGRCL >OGLUM08G07250.1 pep chromosome:ALNU02000000:8:6611984:6613761:1 gene:OGLUM08G07250 transcript:OGLUM08G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLPPHPRRLQALDGAGNKASFIRSAAFDVGGFDWCLRYYHNGNIESDDDCISVFLELLTKDAEVRTIFDIRMLDQYTDDSSCVLVSTTNNTRRVFGTTNFKSKCLVWGSKNFIRRSELEGSVYLRDDRLMIECDLTVIKTPLVKTEETAAMPGDIFHFQVPPTNLSRDLGKLLEDNVGADLSFEVGGEVFTAHSVVLAARSPVFMAELYGPMRAERGERIAIQDMQPVVFKALLHFMYTDSFSPAINDDLSRDERQELAKHLLVAADRYAVEGLKTICEKALCMSLSVDNVATIVALADQHNCGRLKEACVKFIASSNRLDDVVETEGYGRLKTSCPSILLDVIERATKSRKIN >OGLUM08G07260.1 pep chromosome:ALNU02000000:8:6662248:6664466:-1 gene:OGLUM08G07260 transcript:OGLUM08G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFTGTGTIVVSDDSLYAAFGYGDPFGFAPRARPPIRSLPFAAGGYQWCLWFHPTTFAGFFGFGVELLTAGAKARASFEFGPVDAASHNVIVQMPPFLFDHPHHPMVIMVWPKAMLVEEATLFVRDHAVVFRVDVTVVPDEPLPPDAGDGDDDVLPPSDMLAQLGNVYDTKEGADMTFSVDGELFAAHRVILAMRSPVFRAAVYGEMRESGRGGGPIAIDDMRPDVFDALLRYIYTDALPAADDDDNDMEATWSDLLVAADRYGVERLKLICERALRGRLDAGNVADMLALADRQHCETLKDACIEFMATSGKMEEVKASQGYVQLRTSCPLLLVEMVADRETGAKDQIGVYLKLITKGTKARASYKLRLVNHVTGCSCLWYTCPFKIYDSIDDNKSFTWGTKKFADRSKLEVASEYLQDVRLVIECDVTPLVRIEAVAEIIRSPSSPWSQLLEDIGKLLEMETGRSQRELQGRRSTLNTIDRVASTIRRANEREEKYLGEEERKELIKHLLVAVDSYTVEG >OGLUM08G07270.1 pep chromosome:ALNU02000000:8:6668453:6668701:1 gene:OGLUM08G07270 transcript:OGLUM08G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLVQTLTLTLARLVATPLLSLSGLATKVEVIEIDLAEDDAAADASTSGPASSPASVEMVGVKRLEEAIHGVMVRRVAPD >OGLUM08G07280.1 pep chromosome:ALNU02000000:8:6668741:6668941:1 gene:OGLUM08G07280 transcript:OGLUM08G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPHGVVNLVGRIAAAAEGDAEVVAGGLAYEPEVYAPMMEEEALSFSTARGVSVLLRVCVIFSKP >OGLUM08G07290.1 pep chromosome:ALNU02000000:8:6671476:6673167:1 gene:OGLUM08G07290 transcript:OGLUM08G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALASFMDLEGRPHQHRWSMRRGGGLFFPQISSAAGELGWSSDSSTASSPAPSTLSSSCMSSPWGGGSGRWWASPAPAASSGLHQMVRDNYTQGLIRAFGRRRHDEALLHKWFSQLDVEWVLLLHAGQRDLDSSSVEDLMALMERWMRALLIMVQVLSMTLLELRDRRPSSSLAGTDDDDAFLLRTKGSAGNRSPAPELPDFVCVQEVVQFAEASILRMLAFVDAITLAALNDDHRRRHREPEMLPGMLYLYDCFSDASPTVLAFFKEASDVLAFGSGSGSGKNEAQPGPAFDDAINGIFSRKRSKLSDAIWGMMEKVRASFLMDTFWQVSPDAADDASGVHETTVLMMNYIALVWCNGDVLKFILQDHHFRLFISDTEGFNAVVNLITDMISCLRSKLEEASLLISDPGLRCIFLLNNWQLVLRRVESMDLPSSALIETSMTQRYIDTYLHVSWSPLLSCLFIENPSISLGKTRDGKPFGFRRYLSLDRFESEFQRTYTNHKFWKVPNPDLRQRLRQAIVQKVVTHYSMYLEERAARGMHNQPPKSTPEQLKELLDELFEG >OGLUM08G07300.1 pep chromosome:ALNU02000000:8:6674855:6675581:-1 gene:OGLUM08G07300 transcript:OGLUM08G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFDLNQPINWDEIDDLEGVMEKVLEKSAATEMTTPAAEQTPATERRPWMQLKPQRRHYPPDMKRSIYALCLERSTNGIIKEGVTKSVANDMRVGKLWRVVQRVWQDG >OGLUM08G07310.1 pep chromosome:ALNU02000000:8:6704318:6709687:1 gene:OGLUM08G07310 transcript:OGLUM08G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLAQTLTLTLARPAAAAAAPLLPLRGLATKVEVIEIDLAEDDDSSASTSGPASSPAPVEVVGVRRLEEAIHGVMVRRAAPDWLPFVPGGSFWVPPMRRPHGVADLVGRIAAAASGADAEVVAGGLAYEPEVYAPMTEEEALSFSTARGWPSASYFVEGEPLASAGRDLGFPARASTRKGCSGLHVSAMTNVHMDDGKDPSAN >OGLUM08G07320.1 pep chromosome:ALNU02000000:8:6712232:6713643:-1 gene:OGLUM08G07320 transcript:OGLUM08G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGATSFAHSRAVTNLNVEDGYSIKGSIPNRYPDSDLRTAKDGQCMKVTGVVTYGNFPLESSFQRVPRCLYIGLACMSTAYRGWRALVIKGYGARVTSNVDESVVTDINPDNKDNCRYIEEGSRVCIMGVVQRNDNVLMIVHPSEPISTGTKIHETC >OGLUM08G07320.2 pep chromosome:ALNU02000000:8:6712232:6713643:-1 gene:OGLUM08G07320 transcript:OGLUM08G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGATSFAHSRAVTNLNVEDGYSIKGSIPNRYPDSDLRTAKDGQCMKVTGVVTYGNFPLESSFQRVPRCLYIGLACMSTAYRGWNCRYIEEGSRVCIMGVVQRNDNVLMIVHPSEPISTGTKIHETC >OGLUM08G07330.1 pep chromosome:ALNU02000000:8:6713713:6714816:-1 gene:OGLUM08G07330 transcript:OGLUM08G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSRARREREREMGLGHGLTSDEPALNGEGDGRLKLKLAAPVVLRQGRTRKEVIWPFISRSSYN >OGLUM08G07340.1 pep chromosome:ALNU02000000:8:6725782:6730491:1 gene:OGLUM08G07340 transcript:OGLUM08G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSTAMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNQPSRNTSFGGAGSNSGPVSNALGRSNYSGSISSSVPGAGGSARAKSNSGPLNKHGEPGKKSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLDPSVSMKMRATSFAHNPAVTNLNADDGYSIKGSIPKTILWMVILLFLMGFIAGGFILGAVHNPILLVVVVVIFCFVAALVIWNICWGTRGVTGFVSRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTEHRQFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVVIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVPPSEPISTGCQWAKCILPTSLDGLVLRCEDTSNIDVIPV >OGLUM08G07350.1 pep chromosome:ALNU02000000:8:6733367:6737685:-1 gene:OGLUM08G07350 transcript:OGLUM08G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSIYAEVALLGALSARRVPVKGASPWPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLESGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPSPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASVFTSNCLIIDLKISLKIFRQTFTMLTFHLADIGHYNDGPNWCSSANCGGMHTCLPLRMSLSAYGFKLAFLCQVHMFPKNVCQYHPEQIVVSLPLMGSLMTVKRGISLPSMTRLKRHG >OGLUM08G07350.2 pep chromosome:ALNU02000000:8:6731406:6737685:-1 gene:OGLUM08G07350 transcript:OGLUM08G07350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSIYAEVALLGALSARRVPVKGASPWPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLESGFKLEGEDMWKKI >OGLUM08G07350.3 pep chromosome:ALNU02000000:8:6733367:6737685:-1 gene:OGLUM08G07350 transcript:OGLUM08G07350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat-containing F-box family protein [Source:Projected from Arabidopsis thaliana (AT1G51550) TAIR;Acc:AT1G51550] MEEEAAVARLGCDQVLSILHLLPAESVLSIYAEVALLGALSARRVPVKGASPWPRASHSLNLVAGWLVLFGGGCEGGRHLDDTWVAYVGNGAGNRSSAVFSWQQLDSGTPSGRFGHSCSIVGDALVLFGGINDQGQRLNDTWIGQIICEESRRMKISWRLLEVGPHAPYPRGAHAACCVDDKFIVIHGGIGQSGSRLGDTWLLDLSNGLRSGIWHQIEDTEPLPLSRSGHTLTWIGGSRMVLFGGRGSEFDVLNDVWLLDINERYPKWKELKYDLSSVLGEMPSPRVGHSATLVLGGKILVYGGEDSQRRRKDDFWTLDLPALLQFESGSKKMTKRMWKKLRIDGQCPNYRSFHGACVDTSGCHVYIFGGMVDGLVHPAEASVFTSNCLIIDLKISLKIFRQTFTMLTFHLADIGHYNDGPNWCSSANCGGMHTCLPLRMSLSAYGFKLAFLCQVHMFPKNVCQYHPEQIVVSLPLMGSLMTVKRGISLPSMTRLKRHG >OGLUM08G07360.1 pep chromosome:ALNU02000000:8:6742323:6742757:1 gene:OGLUM08G07360 transcript:OGLUM08G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSHFPSISFLLHLIPSLFSSSCIASDNDGGGRARDDDTVLSHQRPCRAGRMPAAGSVTPSMCPRPPQHRPRCAGRMLLRVRRRCPRRDGRMPNIAATTPTPLRRKECSRSVKMGCVLKDIDDKVPGTSTMTARGATPLPLT >OGLUM08G07370.1 pep chromosome:ALNU02000000:8:6742760:6743130:1 gene:OGLUM08G07370 transcript:OGLUM08G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRYAAAYEVLVRPTTDEDDEGMWVLHQRRPSPCSRRNPFLRCRGVRGRCSSLHFSGVVAFAAAAPPSLPLSRLARISRACFPSMRLRTATTRSVVACMVAELCAALVLDLPSL >OGLUM08G07380.1 pep chromosome:ALNU02000000:8:6752162:6755598:-1 gene:OGLUM08G07380 transcript:OGLUM08G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative endonuclease or glycosyl hydrolase [Source:Projected from Arabidopsis thaliana (AT2G15560) TAIR;Acc:AT2G15560] MRNTRAPNNMSFEDKAMASRVASPSPKSMASESDPSMMLAITSNMEHSQANNQSVSVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRLHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNRPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSIGRRFADPPGYQHGGNFGSFPDTQNEEEAIVYMGTSRNECSGRTTSNQMYCYNSSQTTREPSKAFYTVTDGNCGTSSRSHNLACSLNEGPDVDQGLPDERSWWVRPGDLQGLKGQLLRLFELSGGSVPLVRVPSEYLKLFGRHLYVSEYGAVKLIHLFEKLADSFVVIGKGHRKVICLRNSGDSNLKKYSTTPIILKKENRGGSILDESTIGTGQQLGSSSDDFSEDERNINPDVDGAYAFDSHLENFRQEIQELLVCYSCPVPLGNFKSLYEQRYKKTLIYESFGVDGLEELVEKVKDVVELCEDQTSKRKYLIANYRS >OGLUM08G07390.1 pep chromosome:ALNU02000000:8:6760576:6760821:1 gene:OGLUM08G07390 transcript:OGLUM08G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVEAARRAMGWCGAEEERETASRKAPGACPRCGGAVVATDVESSRRILCLPLCLRNKKKFSCSRCRRALVAIVSSSAAA >OGLUM08G07400.1 pep chromosome:ALNU02000000:8:6777105:6783390:-1 gene:OGLUM08G07400 transcript:OGLUM08G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKRAHSRASGGGSRPAASDFLAAVYSDLGEDGMQILAKSHDCNSSFSPNISYWRPWPLQPAIRSAQSSTHSTQAAPQLVKFPFVVMASNSMESSPCYRLVLSAPNTDLHHPFKLNLIDIFSGSHFFQSAGDHILVCPLGDVALLAGHLKLHALPATNDLKKHHAEAVDIYLNSYRRKPYGSTMQYAKFKMKSVIFQGDVGEVTQSVAQDETKGGK >OGLUM08G07400.2 pep chromosome:ALNU02000000:8:6777107:6783390:-1 gene:OGLUM08G07400 transcript:OGLUM08G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKRAHSRASGGGSRPAASDFLAAVYSDLGEDGLQQFVLSQHFILATVALAAGHSFCSIIYTFNPGSAAAGEVPLRGYGQQFHGKLKPYGSTMQYAKFKMKSVIFQGDVGEVTQSVAQDETKGGK >OGLUM08G07410.1 pep chromosome:ALNU02000000:8:6778382:6780781:1 gene:OGLUM08G07410 transcript:OGLUM08G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASI3] MVPYIIPLSLILFIIQANPSTGAQINETTIPQGSQINAVGTQSWVSPSGRFAFGFYPEGEGFSIGVWLVTGATRTIVWTAFRDDPPVSGGSILLTAGGSLQWIPANQGSQGKLISAAPNSATSAAILDNGNFVLYDAKKQVLWSTFGSPTDTILPGQNLLPGNQLFSSISNTNHATGKYRLSNQEDGNLVMYPIGTVDPGSAYWASGTFGQGLLLTLSLDLNGTLWLFDRNSSYTKMLFLTNQSLSTSPDSESYYRLTLDADGLLRLYAHVFFKKGREPLTKIEWLEPSSNDRCGVKGVCGPNSFCQVTASGETSCSCLPGFEFSSANQTTQGCWRVRTGGCTGNSSNGDIGPTATMVMVKNTSWSDLSYNVPPQTTTMEECKAICLSDCACEIAMFDTYCSKQMLPMRYGKIDHSSNTTLFVKVYSYEPKGPMRRTRSAISTAMLISGSALAIFSLVVLSVSVLLSKRHQFSRYTRAPQHQDAEFDKESVGIRSYSFHDLELSTDGFAEELGRGAYGTVFRGVIANSGNKVIAVKRLERMAEDGEREFQREVRAIARTHHRNLVRLFGFCNEGAYRLLVYEYMPNGSLANLLFKPDPPLPSWSKRVAIALDVARGLQYLHEDIEVPIIHCDIKPENILIDGSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDVYSFGVMLLEIISCRKSMELKMAGEECNISEWAYEYVVSGGLKEVAAGEDVDEVELERMVKIGIWCTQNEPVTRPAMKSVVLMMEGSAQVRRPPPPASFSQSLLRTGSR >OGLUM08G07420.1 pep chromosome:ALNU02000000:8:6798170:6799163:-1 gene:OGLUM08G07420 transcript:OGLUM08G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLFLLGALLVLASWQAIVAYDPSPLQDFCVADMNSPVRVNGFACKNPMDVSSEDFFNAAKFDMPRNTFNKLGSNVTNLNVMEFPGLNTLGISLARIDYAPMGVNPPHVHPRATELLTVLEGTLYVGFVTSNPNKLFSKVVYHDKPAVAQSALSSQNPGVITIASAVFGSQPPISNDVLTKAFQVEKKLIDWLQSQFWENNY >OGLUM08G07440.1 pep chromosome:ALNU02000000:8:6821977:6829713:-1 gene:OGLUM08G07440 transcript:OGLUM08G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRLAAPTSPPHELLEAAASGDLRHLKRLVRALDKVRGRLREVVEAARTDGGIWALQLAAGNEQLEVCRYLVEGLRVDVNAADDEGSTPLVFAVIGENAAIVKYLLDHGADPDKADDDGLTPLHSAAGIGDCEMIEMLLAKGADIDPIADECGTPLHLATKERQVGAMKVLLDHNADCNKTYMMFGLYPMTPLFQAVNASSVECVKLLVEAGADVNSDCISTAALDSAMGNDGSTECLNFLLEAGANYSGPNDFRVYSVCGCSLPHFWQPKFGNILVEDMIHQPWHQDQHVNKKKIEELKSSGNKAVDREDYISASAFYTKAMNLDPNDAALFSNRSLCWLRMGDGKKAFLDALECREMRPDWPKACYQLGAALMTLKDFESACDALFDGFKLDPDNAEIERALREAMESLKISSKDTKAT >OGLUM08G07440.2 pep chromosome:ALNU02000000:8:6821977:6829713:-1 gene:OGLUM08G07440 transcript:OGLUM08G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRLAAPTSPPHELLEAAASGDLRHLKRLVRALDKVRGRLREVVEAARTDGGIWALQLAAGNEQLEVCRYLVEGLRVDVNAADDEGSTPLVFAVIGENAAIVKYLLDHGADPDKADDDGLTPLHSAAGIGDCEMIEMLLAKGADIDPIADECGTPLHLATKERQVGAMKVLLDHNADCNKTYMMFGLYPMTPLFQAVNASSVECVKLLVEAGADVNSDCISTAALDSAMGNDGSTECLNFLLEAGANYSGPNDDQHVNKKKIEELKSSGNKAVDREDYISASAFYTKAMNLDPNDAALFSNRSLCWLRMGDGKKAFLDALECREMRPDWPKACYQLGAALMTLKDFESACDALFDGFKLDPDNAEIERALREAMESLKISSKDTKAT >OGLUM08G07450.1 pep chromosome:ALNU02000000:8:6847348:6850202:1 gene:OGLUM08G07450 transcript:OGLUM08G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAKAAVVPESVLKKRKREEQWAADRKEKALAEKKKAVESRKLIFARAKQYAQEYDAQEKELVQLKREARMKGGFYVSPEAKLLFVVRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLQNNKVIEEGLGKHDIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >OGLUM08G07460.1 pep chromosome:ALNU02000000:8:6862448:6862679:1 gene:OGLUM08G07460 transcript:OGLUM08G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNRQAPAVPQGVRQTVATVGILPLYLVGVGVSGEAIQKNPPEFIKRSLCRKISEVGQN >OGLUM08G07470.1 pep chromosome:ALNU02000000:8:6888060:6889083:-1 gene:OGLUM08G07470 transcript:OGLUM08G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDVRRQAASCRCAISAPGGPTSIGSSLRGIGDGGRKLQLRSDRRRPRRRASVESSQLSEDVNTRIPNEAIRSAMRSFGCSDNLRD >OGLUM08G07480.1 pep chromosome:ALNU02000000:8:6892759:6895125:1 gene:OGLUM08G07480 transcript:OGLUM08G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYIIPLCLILFIIQASHSMGAQINETTIPQGSEINTAGPQSWVSPSGRFAFGFYPEGEGFSIGVWLVTDPSRFILWTAFRNDPPVSGGSILLTAGGSLQWIPPNQGFQGKNLPPGNQLFSSVSDTNHATGKYRLSNQPDGNLVMYPIGAIDPDSAYWNTGTYAQNFLLTLTLDPNGTLWLFDRNSPYRMVLFLTNQSLSASPDSESYYHLTLDADGILRLYSHVFFKQGGVPKTKVEWLVPPSNDRCNVKGVCGPNSFCQVTSSGETSCSCLPGFEFLSANQSTQGCWRAQTGGCTRNSPNGDIGLVATMVTVKNTSWSDRSYNIPPQSPTIEECKAICMSDCACEIAMFDSYCSKQMLPIRYGKRVPGSNTTLFVKVYSYEPKRTASATSTAMLTSGAALAMLSLVLLSVSVMLCKRRPFLSCQQIAEELGRGAYGTVFKGVLTNSGNKGIAVKRLERMAEDGEREFQREVRAIARTHHRNEGVCSGSATKRDATLPSWSNRIAIALDVARGLQYLHEEIEVPIIHCNIKPESILIDSSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDIYSFAVMLLEIISCRKSMALKLAGEECNISEWAYEYMFSGEMKEVAAGKGVDEVELERMVKIGIWCTQNEPVTRPVMKSVVQMMEGSMQVQRPPPPASFSQSLR >OGLUM08G07490.1 pep chromosome:ALNU02000000:8:6934949:6935525:-1 gene:OGLUM08G07490 transcript:OGLUM08G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAATSHSAPLPESSWTRFAPPPGARGLDLRRCRLVGRVARGLNLHHRRELVDSICAAAGELVDSICAAEIHLHPRCLESGGCNLSLCATVGELVDPICAAKIDLRSRRPAPGQIRSSWPRKGRGERMSTPSPLRPAPSSAPPKVERRRRGEGRGCRRGEGRGHRRGEFVAEVAEWGDAGGGGAHRR >OGLUM08G07490.2 pep chromosome:ALNU02000000:8:6934949:6935525:-1 gene:OGLUM08G07490 transcript:OGLUM08G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAATSHSAPLPESSWTRFAPPPGARGLDLRRCRLVDSICAAAGELVDSICAAEIHLHPRCLESGGCNLSLCATVGELVDPICAAKIDLRSRRPAPGQIRSSWPRKGRGERMSTPSPLRPAPSSAPPKVERRRRGEGRGCRRGEGRGHRRGEFVAEVAEWGDAGGGGAHRR >OGLUM08G07500.1 pep chromosome:ALNU02000000:8:6935977:6940746:-1 gene:OGLUM08G07500 transcript:OGLUM08G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHTKHYELQQIWCKVGRVTIRAIPGATTSLRPPATLSALTILSIIWIVTQGYHLKCKLQVERAMVTDHKRDFIQGCITFCSNMKLLYSATTCSFKDFSLNVDDTD >OGLUM08G07510.1 pep chromosome:ALNU02000000:8:6940931:6941832:-1 gene:OGLUM08G07510 transcript:OGLUM08G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGWLEDEEGTEPSGGRVCLLLYSTLVAPPTGGRGGKRGGLTGTSSRRRRRLPRRGHHQRRTASIVHPRLYRRRGRLPERQRRRDARNEPKEAKKRRHEDFDAFTSSKAQDPARRGHCRRHDGLHPCLRRRRERRPRRRHPARQRSNAAATSPRRRRRSGRRTLTPSP >OGLUM08G07520.1 pep chromosome:ALNU02000000:8:6943453:6946490:-1 gene:OGLUM08G07520 transcript:OGLUM08G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYLSCAIIDLKFDLWDIVQTHFVLEPSRRDLEENLDPAGDGDGDSSGSAGEGGSSSHRSDVKLGDAEEEDDDDEDEEEKCRRFTEKCIDPYFIVLGSASRYDPETNLHPAGAGDGDSSSDHRDSSTTCGCHHRPEYVDDSDDDEEDAIKKAEEESLEHNELWREFTDKYIIASGYDDRFKEMDAIGEVYFDTTLDEETRTYMIDKLWRHIEKELSDGARAVSTGKFKF >OGLUM08G07520.2 pep chromosome:ALNU02000000:8:6944634:6946447:-1 gene:OGLUM08G07520 transcript:OGLUM08G07520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLDPPPLHYRRTPLPRFPNPPASTLSAPPSAGPPSRAVPASPCAPPPSSPRPTLSAAPTTALCRPG >OGLUM08G07520.3 pep chromosome:ALNU02000000:8:6945477:6946447:-1 gene:OGLUM08G07520 transcript:OGLUM08G07520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALALLDPPPLHYRRTPLPRFPNPPASTLSAPPSAGPPSRAVPASPCAPPPSSPRPTLSAAPTTALCRPG >OGLUM08G07530.1 pep chromosome:ALNU02000000:8:6964459:6966978:1 gene:OGLUM08G07530 transcript:OGLUM08G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENSPKHHMARIWAEQVKDLAYDMEDCLEEQITALTTHQSSSWSQYLTNYRTLRRFAARLSDLRSRIDEASERNMRYHLIAADQPMIDPTNFMMALDNLLSRSVKSSTKKVTTDDLKKKVVPWDEAHKATVSNDETKTPDVVAIVGMCGSGKTTFVREIYEDEKTTGNFESRIWITVSQDVNVAEVFMDMIVQLSDGSSSQAEYTGEEDKLAHHIRDKLEQKQFLVVFDDLWTKHDWLSIKRALPQVCKSGSRIFVTTEIVHVAKVCTESTDHVFWVPLLSKRGSFKLLKDLIRESEDSKMSPEDKEDFEELDLDNLKIPEPPFNTIAQILRKCSGLKLAIQTMAKLLASESPHKWGKLCFLYLSIFPEKYDINVATLIDRWLAEGLVRDTTGNPRDTAGRYLSELFDRSLVRVSKLRRNRSCKTCRIHPMMRDILVKISQEEKVSITISPRKSSNLPVKRVPHVAFDGQSGRKLARCVELSGVRSLTVFNEPSESIGALICASQLRALRVLDLSNANFQITRRDVGRVGELCHLRYLNLYRSQICELPSSIGKLTFLQLLNVRKTGITKLPSEVTQLSSLQSLRASRRTQDSCHNRRNRCCRDSGVDAPKGIENLQDIEQLDIVDIKDSSSSKIEALGKLARLKHLGLTGITMENGKMVSTVLEKISSSLTYLYLGACRNDGTLACLLISEKKTKGEKKEKGSLEFPCLQSIKLDGHIGKTPYWISNSLTLAVIKIYRTNLQQSDIMSLERLPCLVTLALLDNSYISDTLVFYAKAFRTLKTLEIFRLPKLKRVIFTEEAVLELRSLAIRCCTLRVEGKKNLKKLWDGHLDNGVEVV >OGLUM08G07540.1 pep chromosome:ALNU02000000:8:6969457:6969843:1 gene:OGLUM08G07540 transcript:OGLUM08G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGQGMALGWLAEGVADSCIWLARQRLEEGSETGLAQRAAADGSGGWIGVRDAPDGGGRLGARGAASGGGGDLDVRRSCWWVWRDLRRTKPGRRGASVQWSHMSAEVERWRNIGASAVDSQVVSGG >OGLUM08G07550.1 pep chromosome:ALNU02000000:8:6982505:6984364:-1 gene:OGLUM08G07550 transcript:OGLUM08G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIPLFKTLFSVLQPYTTQATSPTPFPPHNLTLTTSSSSSTTGNSSSGVRSRLRLRRPMAVDLMGFSPRGGCRPSVETEQLAFQEAAAAGLRSLELLVSSLSAGGEQHRRPQQKQSSPPLGEIADQAVSRFRKVISILDRTGHARFRRGPVVGAAAAAASASPSSSPVSPPLPPVTTQPATAVKSLTLDFTNPAKVAAASVTSTSFFSSVTAGGDGSVSKGRSLVSSGKPPLAGGVKRKHHPNPHPHPPCAAGGDGHGHGAAHAHGGCHCSKKRKQRVRRTVRVAAASARVADIPADEYSWRKYGQKPIKGSPYPRGYYRCSTVKGCPARKHVERAADDPATLVVTYEGDHRHSPPPPPLPLV >OGLUM08G07560.1 pep chromosome:ALNU02000000:8:6996052:6998041:-1 gene:OGLUM08G07560 transcript:OGLUM08G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYMIPLCLIPFIIQASHSMGAQINETTIPEGSEINIAGPQSWVSPSGRFAFGFYPEGEGFSIGVWLVTDPSRFIMWTAFRNDPPVSGGSILLTAGGSLQWIPPNQGFQGKVISAAPTSATSAAILDTGNFVLYDAKKQVAWSTFGTPTDTLLPGQNLPPGNQLFSSVSDTNHAIGKYRISNQPDGNLVMYPIGAIDPNSAYWNTGTYAQNFLLTLTLDPNGTLWLFNRNSPYRMVLFLTNQSLSASPESESYYHLTLDADGILRLYSHVFFKQGGAPKTKVEWLVPPSNDRCSVKGVCGPNSFCQVTSSGETRCSCLPGFEFLSANRSTQGCRRAQTAIFELSTNGYAEELGMGAYGTVFKGVLTNSGNNGIVVERLERMAEDGEREFQREVRAIARTHHRNLVRLLGFCNEGAYRLVYEYMPNGSLANLLFKRDATLPSWSNRIAIALDVARGLQYLHEEIEVPIIHCDIKPENILIDSSGMAKIADFGLAKLLIGNQTKTFTGVRGTRGYLAPEWSKNTAITVKVDIYSFGVMLLEIISCRKSMALKLAGEECNISEWAYEYMFSGEMKEVAAGKGVDEVELERMVKIGIWCTQDEPVARPAMKSVVQMMEGSVQVQRPPPPASFSQSLR >OGLUM08G07570.1 pep chromosome:ALNU02000000:8:6998738:6999743:1 gene:OGLUM08G07570 transcript:OGLUM08G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGVNLGVTAMCYTTPQAGRFLFIIWIVTQVQISYYGREWGAVEDASAQDLYMLSKVFLLLNV >OGLUM08G07580.1 pep chromosome:ALNU02000000:8:7000194:7005424:1 gene:OGLUM08G07580 transcript:OGLUM08G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRREGVGSRTFAELFVPQQRGEFLLDGGCNLPLRTIVGELVDSICAAAGSLWTRFAPPPESSSTQFAPLSVTPWQ >OGLUM08G07580.2 pep chromosome:ALNU02000000:8:7002160:7005424:1 gene:OGLUM08G07580 transcript:OGLUM08G07580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVILPHGPPYMEGSISLPVLPSLSSPMAALPDVRRQAASCRCAISAPGGPASIGSSLRGIGDGGRKLQLRPDRRRPRRRASAESSVTPWQ >OGLUM08G07590.1 pep chromosome:ALNU02000000:8:7002370:7007314:-1 gene:OGLUM08G07590 transcript:OGLUM08G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFGHTRFLPMGLLWHVALAFSMSLRVSSRPNARSSHSEGVRQTVATVGICPLYLVSVGVSGEATQQTPPEFIKRSLCRKMSES >OGLUM08G07600.1 pep chromosome:ALNU02000000:8:7009650:7010657:1 gene:OGLUM08G07600 transcript:OGLUM08G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAPSFSMMFNHCAVPYLFRTLLPIPTAFRSTVRVRLTSPAFLPSRRNFEGYIPQSCSGSSLQIYSQSSLLSLSPSSALVVSSQLNSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRSELWSEKVFGSTEIKLEDAARSAMNWGTVNESVAIEQYTSITGRSVGSLGFAVHTEANFGWLGASPDGVLGCDPDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSVFRVPRDRGYWELIHEVLRDFWWGNVMPARELVLLGKEAEARSFEPQPKHRLTNLVIFRSRKLASEAKLLCKDIGGHVEFFP >OGLUM08G07610.1 pep chromosome:ALNU02000000:8:7012194:7013381:-1 gene:OGLUM08G07610 transcript:OGLUM08G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLPLLLVLGLFVSFVESGKRWRRGLGLEGDDGRSRRTTITTVACFFIVGASLKKPSAISFVNTFDIYIYMFLSILGCPRLTLDGLISNLKSFNTNAVFGIKHLRVGTLFSLRKEQYEELLSLLNTDKTQEVHNRGPKIPSC >OGLUM08G07620.1 pep chromosome:ALNU02000000:8:7015045:7018777:1 gene:OGLUM08G07620 transcript:OGLUM08G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQLKGILQLYELSSGQMINNNESAVIFSKNTRDQKRSEVMQMLHITKETQNEKYLGLPMYIRKSRAEALEYLTDKSLAKNSQVKGDYDQSCSSYPYLHHGIF >OGLUM08G07630.1 pep chromosome:ALNU02000000:8:7015495:7023038:-1 gene:OGLUM08G07630 transcript:OGLUM08G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFDSRLATLYADIGLADEVYLAKDYIHVIAWVSTSLISFARNSIGKPRQSGPPTRRQDSIPCLVDLLRRRAAGSCIDALRPRSLPRSSPHCRINVDVARLASLLSGTSYLRQRVAVSSIKALLSPLLEAPPPFLLDNAVRVDAADIKDFRIHYYNRKGIHAQPMPTFGIFSLYSVGVGAPGEAME >OGLUM08G07640.1 pep chromosome:ALNU02000000:8:7028543:7032899:1 gene:OGLUM08G07640 transcript:OGLUM08G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNIPLQFATKKKIKRIWNISSTWDWLPITHQVHHRKAMHQALLLGTLLFSLSPNSPMCTFSLEPALQAITEHFKNCILCSDVARFQNLLKEIAVL >OGLUM08G07650.1 pep chromosome:ALNU02000000:8:7050163:7051992:1 gene:OGLUM08G07650 transcript:OGLUM08G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASL1] MAKHLALSVAAAVAVSWLAASSAAAAGFYEKFDVVGAGDHVRVVSDDGKTQQVALTLDRSSGSGFTSKDTYLFGEFSVQMKLVGGNSAGTVTSFYLSSGEGDGHDEIDIEFMGNLSGNPYVMNTNVWANGDGKKEHQFYLWFDPTADFHTYKIIWNPQNIIFQVDDVPVRTFKKYDDLAYPQSKPMRLHATLWDGSYWATRHGDVKIDWSGAPFVVSYRGYSANACVNNNPAGGWSSSWCPEGTSAWIHRELDGAELGTVAWAERNYMSYNYCADGWRFPQGFPAECYRK >OGLUM08G07660.1 pep chromosome:ALNU02000000:8:7051797:7058298:-1 gene:OGLUM08G07660 transcript:OGLUM08G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGLRHSIDTYGRVPLAHSLLTPPTRTSWARVPTLPLALSHHAVVCEKDSKFFTPPNCAR >OGLUM08G07670.1 pep chromosome:ALNU02000000:8:7087734:7088948:-1 gene:OGLUM08G07670 transcript:OGLUM08G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASL3] MPCSGERCRRVWWSAAAAVVAFFFVFVAAAAAAATASMYDDVEVVWGGDHSFFFMDGDGDALALCLDETHGSGFRSRDAYLYARFDVDMMLVANNSAGTVTTLYLMPDDVPWEYHDEVDLEFLGNVTGEPYTLHTNIFANGVGGREQQILVDGVPIRDYRNTAARGGPAFPTWQKMRAHGSLWNADDWATQGGRVKTDWSEAPFFAYYRGLRVTPCAPSPGVAWCGDEPPESPWFDQQEMDAAALSKARQEHLLYDYCEDTKRFKDTGLPVECTIN >OGLUM08G07680.1 pep chromosome:ALNU02000000:8:7097850:7101832:1 gene:OGLUM08G07680 transcript:OGLUM08G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G37320) TAIR;Acc:AT2G37320] MRARLIPRCGCRLSSKHVWGSTGTPWHMLSALDCLLFSAPQSCSLAHTWTASVMHNRLVRGCFSSLSAAVASAIRVPVASYGTSAAQSTSKDQHGSNINSALKVLNLVPRKADYDKVGGPCHHRLIHDFMNDILGVQSNHTIHKGNGVTFNSCSNPAQAKFDSFVSNNGSALRSRTRFIKEDMFMLIMELHRKGETSTDQSILAAAMSSCADRQMFTQGTQLHGLLVKVGCDSTVFIGSSLITLYSRCSQLESSYLVFQTMPAKNTVSWTAMISGFALHNRVEPCLHLFASMMLSSCKPNDITFATLFSVCTKHALLALGRSVHALQMRMGFHSYVHVSNALLSMYAKCGCIDEAQFIFGCIACKDLVSWNAIIFGCSQYGLAKHCLDLLKEMERQHIVPDALSFLGVLSSCRHARLVEEGRHCFKTMIEHGIKPGLDHYSCMVDLLGRAGLLEEAWDLIQTMSIPPNAVIWGSLLGSCRVHGNISIGIQAAEHRLKLEPGCAATHIQLANLYATIGCWSDVARVRMAMKARGLKTNIGCSWIEVGDKVYSFTAENRSKSHQVNNVLAVLDCLQAHMECKYDMLTESLE >OGLUM08G07690.1 pep chromosome:ALNU02000000:8:7101986:7106462:1 gene:OGLUM08G07690 transcript:OGLUM08G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITMQTKPITMAPTSMLHRSLLCLAVLAAAAGGGAAGSPRLQCLENPPELTAAGDGEAGVVVQNLGGFAAYVTGGAAHSGRAIVLASDVFGFEAPLLRKIADKVGEAGYYVVVPDFFQGRPYNGDPSINITQWIMAHSPVKAAEDSKPIFAALKREGKSVVGVGGYCWGGKLAVEVAKTNEVGAIVISHPSSVTADDMKDVKCPIEILGAENDAVTPPRLVYQFVNALRQRPEVDYFARIFPGVAHGFACRYNASNPFAVRTAEQSLALMLDWFEKHLK >OGLUM08G07700.1 pep chromosome:ALNU02000000:8:7108012:7110038:1 gene:OGLUM08G07700 transcript:OGLUM08G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESCSLVIL >OGLUM08G07710.1 pep chromosome:ALNU02000000:8:7110069:7110341:1 gene:OGLUM08G07710 transcript:OGLUM08G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPTRAEALSLFRSLLRTARQFSDYNIREYTRRRAVDAFRENRVLGDAVAAAAAFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >OGLUM08G07720.1 pep chromosome:ALNU02000000:8:7111431:7138480:-1 gene:OGLUM08G07720 transcript:OGLUM08G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKWRFLQRAPTVPLSDFVDAVRAVEQRARCCYSESTAILNDDGDGFAEMLLLDGCFILEFSAKLSRTNYHQSRMGGDNRFNLVGTSTYISWVEEVPMKHNSNSPQLFDATHSQTGPPNLVQMKKIAEQDDRQRRRREEEYSPKGEYYETIISC >OGLUM08G07730.1 pep chromosome:ALNU02000000:8:7126066:7156415:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCCSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASYIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEAWALLLCLAAAAAAVAAAPPHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVKAAEDAKAIFSDVRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGFQAPLLRQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLNWKTMAPALLYPTILFLAAAAGAAAPPHSQCLDNPPDLTTAGGGGGEAGVVVHDLGEDSRPTKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTKWLSAHSPEGKSIVGVGGYCWGGKFAVEVAKTIEVEAIVISHPAAVTADDMNEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >OGLUM08G07730.2 pep chromosome:ALNU02000000:8:7126066:7156415:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCCSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASYIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEAWALLLCLAAAAAAVAAAPPHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVKAAEDAKAIFSDVRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLNWKTMAPALLYPTILFLAAAAGAAAPPHSQCLDNPPDLTTAGGGGGEAGVVVHDLGEDSRPTKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTKWLSAHSPEGKSIVGVGGYCWGGKFAVEVAKTIEVEAIVISHPAAVTADDMNEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >OGLUM08G07730.3 pep chromosome:ALNU02000000:8:7126066:7156415:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCCSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASYIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEAWALLLCLAAAAAAVAAAPPHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVKAAEDAKAIFSDVRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLNWKTMAPALLYPTILFLAAAAGAAAPPHSQCLDNPPDLTTAGGGGGEAGVVVHDLGEDSRPTKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTKWLSAHSPEGKSIVGVGGYCWGGKFAVEVAKTIEVEAIVISHPAAVTADDMNEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >OGLUM08G07730.4 pep chromosome:ALNU02000000:8:7126066:7141070:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCCSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASYIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGVSAQVQLTAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEAWALLLCLAAAAAAVAAAPPHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVKAAEDAKAIFSDVRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGFQAPLLRFITHFNIL >OGLUM08G07730.5 pep chromosome:ALNU02000000:8:7126066:7141070:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSLLLCCSILCLAVAGGGGGGAATSADPLRLPCLDNPPELTADGDSEAGVVIDDLAGFPAYVTGDVHSGRAIIVASYIYGFEAPLLRDNADKVGEAGYYVVVPDFFHGQPYNGDPSINVTKWITLHSPVKAAEDAKSIFAALKREGKSVIGIGGYCWGAKFAVEVAKTNEVEAIVISHPSEVIADDMKGVKCPIEILGGQNDPITPPSLVDQFVNVLRQTTEAWALLLCLAAAAAAVAAAPPHSQCLDNPPDLTAGGGEAGVVVHDLAGFEAYVTGAVHSTKAVLLASDVFGFEAPLLRKIADKVGQAGYYVAVKAAEDAKAIFSDVRKKGISVIGVGGYCWGGKFAVEVAKTNEVEAIVTTHPGLVTVDDIKEVKCPIEIIGAQNDTLTPPKLVYQYVQALRHRTDRIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALDLMPKHRLSPISMQINHMATASLSLLLCLAAAAGAAAAAAPPRLQCFEHPPDMKAGGGEAGVVVHDLAGYEAYVTGAAHSGRAIVLASDVYGFQAPLLRFITHFNIL >OGLUM08G07730.6 pep chromosome:ALNU02000000:8:7141573:7156415:1 gene:OGLUM08G07730 transcript:OGLUM08G07730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGASLGGDGVGVGGGLEGQRQMVVVVVITHSSRNRRGAGQGGSGNRQIADKVGDAGYYVVVPDLFHGDPATTTVNFTEWLESHSPVKEAEKAKSIFAFLRNEGKSLVGVGGYCWGGKFAVEVAKTNEVEAVVISHPYAVTADDMKEVKWPIEILGGQNDTVTPPRLVYQYVHALRQRNDIDFYAKIFPGVSHGFAGRYNTSDPFALETGKQALALMLDWFQKHLNWKTMAPALLYPTILFLAAAAGAAAPPHSQCLDNPPDLTTAGGGGGEAGVVVHDLGEDSRPTKIADKVGQAGYYVVVPDLFHGQPYTFDQNRTKWLSAHSPEGKSIVGVGGYCWGGKFAVEVAKTIEVEAIVISHPAAVTADDMNEVKWPIEILGAQNDTVTPPRLVYQFVHALRQRTDQIDYFAKVFQGVNHGFACRYNASNPFEVKKAEQALALMLDWFHKHLK >OGLUM08G07740.1 pep chromosome:ALNU02000000:8:7170126:7174193:1 gene:OGLUM08G07740 transcript:OGLUM08G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASM4] MGSTGEVVLASGGGAAPATSRDRRAAAGPGPVAFKDAADADAIPVRPPTEHDTAVSAMPARVVHNLKLRQHQGYWVLDTWARGAVAMQRGGGLVPRADGDVLLASLPKSGTTWLKALAFAVMARAAHPPASLDHPLRRLNPHDCVPLIDRLFAAGRDAVLDELPSPRLMCTHMPLSLLPATVADGSSGCKIIYICRDQKDALVSMWHFLKRNGLQNLSLQEVYESFCEGTCFGGPVWNHILEYWRASKANPSRVLFLRYEQLLQDPTDSIRELAEFVGQPFTSSEEEAGVVTEIVELCSMENLMSQKANKEGSQGVFIKFSHDSYFRKGVAGDWMIHMTPEMGKHLDAILRDKFDGSGLTI >OGLUM08G07750.1 pep chromosome:ALNU02000000:8:7186150:7192092:-1 gene:OGLUM08G07750 transcript:OGLUM08G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRAPVGATAPPQVRAVRRSRRRCSHPHPFFTCGDGGGGGRTNAVPVGAVAGTPFLLLLGPAEAVVARGGRAPSRLGPRQSEPASRRRHEGTASSTAAARQPVAAVMRGRHPPQPPPASQSLPPPPASTVPSRQESINKQRRRGREVDEGVETGDSAAADGPSGDLDGRPTVPWINGRWHPGQRTGSYLNGGLAVT >OGLUM08G07760.1 pep chromosome:ALNU02000000:8:7193391:7208049:-1 gene:OGLUM08G07760 transcript:OGLUM08G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHRASFPLRLQQILSGSRAVSPSIKVESEPPAKVKAFIDRVISIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTQISSRKDLLLSDDMAEGDPLPKNTILQILRVMQIVLENCQNKTSFAGLEHFRLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLINCGAINSHLLSLAQGWGSKEEGLGLYSCVVANERNQQEGLCLFPADMENKYDGTQHRLGSTLHFEYNLAPAQDPDQSSDKAKPSNLCVIHIPDLHLQKEDDLSILKQCVDKFNVPSEHRFSLFTRIRYAHAFNSPRTCRLYSRISLLAFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEEFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLSSPNDTSSPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGVDSHNSMVTSDALKSEEDHLYSQKRLIKALLKALGSATYSPANPARSQSSNDNSLPISLSLIFQNVDKFGGDIYFSAVTVMSEIIHKDPTCFPSLKELGLPDAFLSSVSAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLIPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLSSPREDKSNEPAASSDERTEMETDAEGRDLVSAMDSSEDGTNDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLTLLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLKEHLKNALQELDTVASSGEVAKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDSSRDVLEDIGRVHREVLWQISLFEEKKVEPETSSPLANDSQQDAAVGDVDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAAGDSQRYPSAGLPSSSSQDQPPSSSDASASTKSEEDKKISEHSSCCDMMRSLSYHINHLFMELGKAMLLTSRRENSPVNLSASIVSVASNIASIVLEHLNFEGHTISSERETTVSTKCRYLGKVVEFIDGILLDRPESCNPIMLNSFYCRGVIQAILTTFEATSELLFSMNRLPSSPMETDSKSVKEDRETDSSWIYGPLSSYGAILDHLVTSSFILSSSTRQLLEQPIFSGNIRFPQDAEKFMKLLQSRVLKTVLPIWTHPQFPECNVELISSVTSIMRHVYSGVEVKNTAINTGARLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEDDELARALAMSLGNSDTSAQEEDGKSNDLELEEETVQLPPIDEVLSSCLRLLQTKESLAFPVRDMLLTMSSQNDGQNRVKVLTYLIDHLKNCLMSSDPLKSTALSALFHVLALILHGDTAAREVASKAGLVKVALNLLCSWELEPRQGEISDVPNWVTSCFLSIDRMLQLDPKLPDVTELDVLKKDNSNTQTSVVIDDSKKKDSEASSSTGLLDLEDQKQLLKICCKCIQKQLPSATMHAILQLCATLTKLHAAAICFLESGGLHALLSLPTSSLFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKEKNKEKEKDKPADKDKTSGAATKMTSGDMALGSPVSSQGKQTDLNTKNVKSNRKPPQSFVTVIEYLLDLVMSFIPPPRAEDRPDGESSTASSTDMDIDSSAKGKGKAVAVTPEESKHAIQEVTASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSNARGPNRIGISSGGVFSHILQHFLPHSTKQKKERKADGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICSIFVDFTDSPAGCKPPILRMNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQYLSKTLQVIDLDHPDSAKIVTAIVKALEVVTKEHVHSADLNAKGENSSKVVSDQSNLDPSSNRFQALDTTQPTEMVTDHREAFNAVQTSQSSDSVADEMDHDRDLDGGFARDGEDDFMHEIAEDGTPNESTMEIRFEIPRNREDDMADDDEDDDDEDSDEDMSADDGEEVDEDEDEDEDEENNNLEEDDAHQMSHPDTDQEDREMDEEEFDEDLLEEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRAGDHGVFDHPLLEEPSSVLHLPQQRQQENLVEMAFSDRNHDNSSSRLDAIFRSLRSGRSGHRFNMWLDDSPQRTGSAAPAVPEGIEELLVSQLRRPTPEQPDEQSTPAGGAEENDQSNQQHLHQSETEAGGDAPTEQNENNDNAVTPAARSELDGSESADPAPPSNALQREVSGASEHATEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASDRLPLGDLQAASRSRRPPGSVVLGSSRDISLESVSEVPQNQNQESDQNADEGDQEPNRAADTDSIDPTFLEALPEDLRAEVLSSRQNQVTQTSNEQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSGSLFGMNSRGRRGLDRNAGDSSRQPTSKPIETEGSPLVDKDALKALIRLLRVVQGSSKKSIDATEPPFRLYGCHANITYSRPQSTDGVPPLVSRRVLETLTYLARNHPNVAKLLLFLEFPCPPTCHAETPDQRRGKAVLMEGDSEQNAYALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAENEITQAKLEAASEKPSGPENATQDAQEGANAAGSSGSKSNAEDSSKLPPVDGESSLQKVLQSLPQAELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELAHSMQNLTLCAMKELHLYEDSEKALLSTSSANGTAILRVVQALSSLVTTLQEKKDPDHPAEKDHSDALSQISEINTALDALWLELSNCISKIESSSEYASNLSPASANAATLTTGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAIQEASTSDMEDASTSSGGQKSSGSHANLDEKHNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIEFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDISDVLDLSFSMDADEEKRILYEKAEVTDYELIPGGRNIKVTEENKHEYVNRVAEHRLTTAIRPQITSFMEGFNELIPEELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >OGLUM08G07770.1 pep chromosome:ALNU02000000:8:7239066:7242812:-1 gene:OGLUM08G07770 transcript:OGLUM08G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGGQFLVARREMGNLTCGRVQCGLPELEKEVDEKINQFVAWVEKHPNRSSQKSHGKPSSHVAITLAARGRNPSRPHRRNPALFSTSPPPELAGSEPGRRQRHQSSSVAPTSAQGGRREADTSLSGRRRRQRGGVWRRWIRHL >OGLUM08G07780.1 pep chromosome:ALNU02000000:8:7243019:7247308:-1 gene:OGLUM08G07780 transcript:OGLUM08G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCETCQLKELELEPREIKDVLRCRRCRLLPLLSDRRADIGSGRRSFLVHRPTHVDSCNTRLARDSCDYRQRSSPMVLAAVELLTAAAVSSPAELPAAQVSPAGSWN >OGLUM08G07790.1 pep chromosome:ALNU02000000:8:7248217:7249003:1 gene:OGLUM08G07790 transcript:OGLUM08G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPWPCGASPTATATATATTAACSTVLPLEGGGGDEGGEQAGDEGTVVGGGLVVHHVVALVNEAVVLVQTADERVGGDVWVRLGRLQPLVAVAPVSTSSGIDVPRWCTRSGYRSPSLGEKPCPLQLSSVFLELCM >OGLUM08G07800.1 pep chromosome:ALNU02000000:8:7248580:7249639:-1 gene:OGLUM08G07800 transcript:OGLUM08G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEASTNAGDTGGKVGTDTFAVGTAKANLAFVCVVASDIDTMDGSSGIVGLGRTSWSLVTQTGVAAFSYCLAPHDAGKNNALFLGSTAKLAGGGKTASTPFVNISGNDLSNYYKVQLEGLKAGDAMIPLPPSGVLLSRVSFFASQGSDVAQDDVLGMYKQTADAVMCILLPDSETAAFRTKGGLLYVDEWNFIQHPVASAFLAAVYSDYMQSSRKTELSCSGQGFSPSDLRKFAKSQQPDED >OGLUM08G07810.1 pep chromosome:ALNU02000000:8:7249795:7250073:-1 gene:OGLUM08G07810 transcript:OGLUM08G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEGRRAVPNWDKGKGEGAAPAADGDKAIGVEKGRRAGGGRLPMTAPRPRGASPAASASLARRLRRPHPPVRALRRGEIECRRIFDGEPTR >OGLUM08G07820.1 pep chromosome:ALNU02000000:8:7250875:7251171:1 gene:OGLUM08G07820 transcript:OGLUM08G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSHVKLFDNNQCARFAVEQDPDPESLSCENLKCGDDIGIGYIVAIVRVIFMHDRVLVIVGDLEGVKVFRLDKMTFTTATSCHPLVQCLIEWSWMMA >OGLUM08G07830.1 pep chromosome:ALNU02000000:8:7254007:7254672:-1 gene:OGLUM08G07830 transcript:OGLUM08G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRGGGGDGGGGGDCSKQEETERVMRSVDAEEACALLSSGRHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPRAKEKNPHFVQQVAALYHAHDHIIVGCRSGVRSKLATADLVAAGFKNVRNLEGGYLSLLRAANQQ >OGLUM08G07840.1 pep chromosome:ALNU02000000:8:7283921:7286122:1 gene:OGLUM08G07840 transcript:OGLUM08G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMQTLLLSFLFVSILHVHTTSSTGTENFDASRLDTYIVRVRPPPNFSVDMSNIKLEKWYRSFLPPRMTSSNTCQAFIYTYKTTIFGFSVNITEAEKDYVMNNNGVLKVYKDSLLPLLTTHTPDFLGLRLREGSWKKTGMGEGVIIGVLDTGIDFTHTSFDDDGMQEPPTKWLGSCKSSLMKCNKKLIGGSSFIQGQKSAPPTDDSGHGTHTASTAAGGFVDGASVFGNGNGTAAGMAPRAHLAIYKVCSDKGCHVSDILAGMEAAIADGVDIMSMSLGGPAKPFYNDIIATASFSAMRKGIFVGLAAGNSGPSSSTLSNEAPWVLTVGASTIDRQMEALVKLGDGDLFVGESAYQPHNLDPLELVYPQTSGQNYCLFLKDVAGKIVACEHTTSSDIIGRFVKDAGASGLILLGQEDSGHITFADPNVLPVSYVDFPDATVIRQYINSSNSPTASIIFNGTSLGKTQAPVVAFFSSRGPSTASPGILKPDIIGPGVNVIAAWPFMEGQDANNDKHRTFNCLSGTSMSTPHLSGIAALIKGTHPDWSSAAIKSAIMTTAYVVDNQKKAILDERYNIAGHFAVGAGHVSPSEAIDPGLIYDIDEAQYISYLCGLGYTDVQIEIIANQKDACKGSKITEAELNYPSVAVRASAGKLVVNRTVTNVGEANSSYTVEIDMPREVKTSVSPTKLEFTKMKEKKTFSLSLSWDISKTNHAEGSFKWVSEKHVVRSPIAIF >OGLUM08G07850.1 pep chromosome:ALNU02000000:8:7289312:7289593:-1 gene:OGLUM08G07850 transcript:OGLUM08G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWGWRSRPHERSRRRSPCHPKLALSPPISAAMRARSSKLPTTKGGIRGVGRPLSSHDTDATAAVAASMKRATCAELQLEVAAAAEEDSPEDE >OGLUM08G07860.1 pep chromosome:ALNU02000000:8:7300108:7302688:1 gene:OGLUM08G07860 transcript:OGLUM08G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPASSHREQPWLSSVYGSPAAIGGSNRVLTKQRNGGSEVQGAAEVVVVGQRRQNSGVDVAGSTQGANCNFESTGVLEMSHRGCMREESGGNSPELGVASVVRNGDRSGVGGSGGRRRGRREERGTHRGCTATTAKSPEPGKTAELWAFCAWGVPNCCTFFKWVESYKKMVEAMELNYPDEAVNDVAMPMDADIAEKRPNSVTDAKIEKLARFMQILVFTNCSALVFVLVYSNMRQHKNDRFRFGSKQQATNSDTGQIWQQSTSNPFRFGRFKQDNNFLLRDLMGWE >OGLUM08G07870.1 pep chromosome:ALNU02000000:8:7304664:7305558:-1 gene:OGLUM08G07870 transcript:OGLUM08G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDCTWHELVTGLVEEPALSLSVLEPSVGVGGGGGGGGGGGEGDNGGVFFVSPAGVVEQVGEPAGSPVLDVPYVATNVVDWDSLDILARYDEEGWLDIVGDDKFYELLGLRAEDEQAEMARQAGGNGGQAARGDGAEAAGDGVGDAAQDITGAAIPVFDELPWELVMPYDPDKPCMKAGTMYPNMKEFRLAVRQYAINEGFELHLIKTDPERYIGCCKVDGCPWHIVGHKQLNQKIVMVLFFFLFSYRVDVVSHNA >OGLUM08G07880.1 pep chromosome:ALNU02000000:8:7308912:7309240:-1 gene:OGLUM08G07880 transcript:OGLUM08G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAKESAAMASAVPAGPIRRWRWHQWLTLANPVEVAAAVFPAGGSPPRPSFSRNGGGGIGDLLGRSGVAGGSGVSGGRI >OGLUM08G07890.1 pep chromosome:ALNU02000000:8:7310099:7312204:-1 gene:OGLUM08G07890 transcript:OGLUM08G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGVESMTGRFELIGLTGVGVFGAVYKAWDNCCRTVVAVKRLSGRGRRGRHGGAGDEPFSLVHTGVRDLAREAMSLYACRGKRGVAHLRPNGVYAEVSGSCDSFLVMDYAGGFNLKDLMKRRRKEPGGGRPFSENEVRRIMRRLLVGVNAIVEAGLLHRDIRPENVVVDDGTEDLKQKPTAAATTGKKKAQSKKRKMKYTICDLGMSVPAVDSPDYSPDGVLTSIYNAPEILLGSRQYDRRVDTWGLGCIMAELLDGPGEPLFDGETNLAIMGSVLQVIGAEGVKSWPGLKRLADEPQALVRGFRDFSRLREKFPGAREARVARRPALSQAGFDVLSGLLEGNPEKRLTAIAALHMPWFEGSGGLRRVIGSCAGTVIPSGVAAAAQQAVKANMRHRPDADGEKINKATTLKDVVGGAVEVLPANKVATREDADKVATAAA >OGLUM08G07900.1 pep chromosome:ALNU02000000:8:7314215:7315393:1 gene:OGLUM08G07900 transcript:OGLUM08G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRPARPRPVAVAPADDDDDDDTGTSSDSDGAAKPQSFGDPIGGRYQRLAVIGSGSFGRVYRAVDNRTGEIVAVKCLFREFNDPYGIVLESDLTDEVRALEACRGHPHIVQLIDHGRRPRHDGPVVGTGADAYIVMELVGPSLHDTICQRGTDAGARRYPESEVRHLMRQLLSAVGRMHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYNAPELLLKSSIYDETIDMWALGCIMAQLLGGQLLFRGMSREDMLIRIIQVLGVDDIAGWRVYDDSMIPKTLRSGRRRHSRVLRIFSFLRVAVGAGLPEPVKRARRRSRLHRFFSIPGKADGRTGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHRWFTV >OGLUM08G07910.1 pep chromosome:ALNU02000000:8:7325808:7326385:1 gene:OGLUM08G07910 transcript:OGLUM08G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLGLMHRDLKPSNVLVDGRGVLKLCDLGMAFAMEESIPPYSNPVGSLPYNAPELLLRSSIYDETIDMWALGCIMAQLLGGQLLFRGMSREYMLIRIIQVLGVDVGGTAVVAVGAGLPEPVKRARRRSRLHRFFSIPGKADGRTGLPELSEAGFEVLSGLLTCNPEKRMTAAQALQHRWFTV >OGLUM08G07920.1 pep chromosome:ALNU02000000:8:7337385:7338500:1 gene:OGLUM08G07920 transcript:OGLUM08G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKRPARRKPTASAHRQYRYWARPHPPAAADGAALPPRSAPSPPASVEAPLGDPIGGRYQRMSRIGSGTYGHVYRAVEISTGKVVAVKCLRRKDDDPDGLVLAGEVRALEACRGHPHIVQLIDHGRGAGAATGQQDYIVMELVGPSLDLTIRQRGDDATARRYAERDVRLLMRQLISGVRGMHEVGLMHRDLKPDNVLVDRSGNLKICDLGFARTMTKDKEESAPPYSNPIAALAYRPPEVILGSTTYDETVDSWGLGCIMAELLAGERLFVGTTDEEMLVRIADVLGMDDISGRSGYEDCMIPKILTKIRRRRSRLRQMFALPGRGGGPGRRPELSKAGYQVLSGLLRCSPEKRMTAAQALQHRWFDM >OGLUM08G07930.1 pep chromosome:ALNU02000000:8:7350500:7351680:-1 gene:OGLUM08G07930 transcript:OGLUM08G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSSVLTLNKHRGVANSQFEDEVKELMAILANLPGRSKRNTSSVMRRSAMTAVFSYSTSSWTCNTEVNLCRDMSTSDVW >OGLUM08G07940.1 pep chromosome:ALNU02000000:8:7352487:7354330:-1 gene:OGLUM08G07940 transcript:OGLUM08G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLDDVASDLFSLQCPMITGGSPTLVAYQREDIRERSALQEEKVRATMAEVRYFDGLVDEHMDAIEGTRARLHATRSTKQQMLSMLTAAEAAAADGTGEAAAITSLELELDEAEDREAVLEAEFMRLWPLVLTPNKHRVRGVAKSRSEDGVKVLMAILELPGRSEGEHLIGDAEERYDDRVLLLDKFLDM >OGLUM08G07950.1 pep chromosome:ALNU02000000:8:7400165:7400743:-1 gene:OGLUM08G07950 transcript:OGLUM08G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVEGTRARLHGARSTKQKVLGKLTSTEATAADGIGKANAVVSLELELDEAEDREATLEAEFMRLWPSVLTLNKHHGVAKNRFEDEVEELMAIPELPGRSEDEHLVSDAEERYDDGVLLLDEFLDMQYRTCDSVRTQGQLELVIQLRRVLAFSPRNIAVFLEQQKNVLAFVIRTYACLKYFKISESCKIVM >OGLUM08G07960.1 pep chromosome:ALNU02000000:8:7400867:7431879:-1 gene:OGLUM08G07960 transcript:OGLUM08G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKEWTRSRGDMAQDYDFINKHCDVCPRQTIRAPILDMPSSFKEMSWVEDNGKSEHQSLKKPEILPTVKTMSPSATAAESTNRGGENTRQWQRRQAGAVDSPSLAYIRHLVESLERLNFDDACMHQLDDDASDLFSLQRPVFTRVPDDIVSAIDTLEEILS >OGLUM08G07970.1 pep chromosome:ALNU02000000:8:7424549:7430852:1 gene:OGLUM08G07970 transcript:OGLUM08G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLNPGHFLEAGRHVQDGSPNRLPRADITVPAPQKQHEAYMLAEIEPQVHEDEWDVHRLQIRNHLQNELGIMVTHCSPHPLGVGLFAGESWTFGVFVLNNEFVDPQPQDEDLPHITKYASVIAEAPYDESS >OGLUM08G07980.1 pep chromosome:ALNU02000000:8:7433080:7435964:-1 gene:OGLUM08G07980 transcript:OGLUM08G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASP8] MANKGGEPAARAAVRHGGGPVAFKDAVDVDATPVRPPTELAAAVSALPAGVSYGQPMRCYGGTWVFESWAQGVVAMHRGGLVPRSGDVLLASLPKSGTTWLKALAFATMARRACPPPASPDHPLRRLNPHDCVPLLDRLFAAGRDALLDELPSPRLMCTHMPLSLLPPAKDRLVSIWHFRKRNVPDLLLQEVYESICDGTGFAGPVWDHFAWASKIDPGRVLFLKYEEVLRDPVNTIRELAQFVGQPFSDTEEEAGIVAEIVKLCSLESLRSQKANKEGIQGVYIKFSHDSYFRKGVEGDWRNHMTPEMAEMGEHLDSIIREKLDGSGLTI >OGLUM08G07990.1 pep chromosome:ALNU02000000:8:7459981:7467732:1 gene:OGLUM08G07990 transcript:OGLUM08G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQARAHLLASLWAFYLILAISMVTGDLTNDLDILWGNSKVFYDNSGKQTISLTLDRWTTSAFRSKSTYLFSRIDMDIKLVAGDSAGTVTTLYMITEGLWQFHDEIDLEFLGNSTGEPYTLHTNLYARGKGGREKRYKLWFDPTADFHTYTIIWNQRNILILVDDKLIRQIKNNLMYSVPYPTYQPMRVYGSIWNADDWATMGGRVKTDWSQAPFTAYFRNYRAIACPPQQSSPLCGQSSGNWFNQELDVTRKQQLQEVDANYKIYDYCTDTKRFKDNLPKESTMGRLANWSDDRTSKSTPDYRIQAGSFLLKLWFRPIFIIFLCPLLHQMMSSSYTFQESKHTPQSAYSLRHFALAKAMGQPRAQLLPSMSMAALYLILATSPVISDMTDSLDMLWGNTQVLYDSTGHQIVSLSLDRWTTSAFRSKTKYLFARIDMDIKLVAKDSAGTVTTLYMITEGLWDIHDEIDLEFLGNTTGEPYTLHTNIYARGTGGREKQYRLWFDPTEDFHTYTIIWNPQMILILVDGTPIRQMKNQLRNDIPFPLYQPMRLYASIWDADDWATQGGRIKTDWSQAPFTAFFRNYQANACIPYKTAWICSQGSNDSSWFTQDLDEEGKQKLKDVDDNYKIYDYCTDSRRYPNGYPPECGSQ >OGLUM08G08000.1 pep chromosome:ALNU02000000:8:7469780:7472427:-1 gene:OGLUM08G08000 transcript:OGLUM08G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADHLDPAAPPSGPASSSAAVAEVNAWLASLAAEAGGAGGGGAARLREALERAGLARDALSPPAAVSARAVAAVANLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAITKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDLLSYHVIDNQDASEHLGLFAVYHFALMSM >OGLUM08G08010.1 pep chromosome:ALNU02000000:8:7480520:7490771:1 gene:OGLUM08G08010 transcript:OGLUM08G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESVKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKVHIALRIKEIYHFTQDDLMTEDVFILDCHSDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >OGLUM08G08010.2 pep chromosome:ALNU02000000:8:7480520:7490771:1 gene:OGLUM08G08010 transcript:OGLUM08G08010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDESGTAAILTVELDAALGGRAVQYREIQGNETDKFLSYFRPCIMPQPGGVASGFKHVEVNEQEHETRLYVCTGNRVVHVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEVAAVEDGRLMADAEAGEFWGFFGGFAPLPRRAPVEDNEKYEETVFKLLCFNQGKLEPINYESLLHELLKTNKTTSLQERKSASEAAEKLLSDDNRTKTHVIKVIEGFETVMFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQAYIDCTGSLQVWRINDKDKILLPSADQSKFYTGDCYIFQYMYPGDDKEECLIGSWFGKKSIEEDRVTAISLASKMVESVKFQAVQTRLYEGKEPIQFFVIFQSFQVFKGGLSSGYKKFIAENGIDDDTYLEDGLALFRIQGSGPENMQAIQVDAAASSLNSSYSYILHDGNTVFTWTGNLTTSLDQEVVERQLDIIKPNSQSRSQKEGSETDQFWSLLGGKSEYPSQKIGRANESDPHLFSCILPKDIFVWVGQQVDVKVRLQALDIGEKFVKLDFLMENLSSDTPIFVIMEGSEPTFFTRFFTWDSAKSLMHGNSYQRKLSIVKGGGSPALDKPKRRTPTYSGRSTVQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESANSRNLSTPPPVVKKLYPKSATPDSSSAPSKSSATASLTGSFDRPKSVKDGSELEKPKQEEDAKEGINTMTSRVESLTINEDVKENEPEDDEGLPVYPYDRLITTAADPVTEIDVTRRETYLSSAEFKDKFGMTKEAFSKLPKWKQNRMKIALQLF >OGLUM08G08020.1 pep chromosome:ALNU02000000:8:7528889:7533440:1 gene:OGLUM08G08020 transcript:OGLUM08G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWRKKVAFRARRAWAALVSGRLRARKQGSRGLLKLHEDVQTCDYKDVQVMFEMLTSELEAQKQQQQLLPPSPRKPAWPGSSPSPAPAKQ >OGLUM08G08030.1 pep chromosome:ALNU02000000:8:7538315:7542283:1 gene:OGLUM08G08030 transcript:OGLUM08G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRPLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLSEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >OGLUM08G08030.2 pep chromosome:ALNU02000000:8:7539051:7542283:1 gene:OGLUM08G08030 transcript:OGLUM08G08030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDETMGRRTVGGLLLTKGGSILVYREDSPRHKATACCTRTGCSSKLFRDKEKKMRRPTKEAVIPQRSQLVSGSNRLLPQGRMAYGSRRNAAGTCSETGNRPRRETAGQDLLDHLKERVNSSRKRSLSGGSSPSSSNTSSAGSLSSSSRSISRPLHRSVPKTRKDGGTNGSNARMRSDSGGNSGANVHRRADLQGPTGRFVSQSLLRHRSRNQEEPVSHLENSLNDSTEYWRFGVDESDEDASSDRHRGMRMDIDDMSYEELLALGETIGTVSTGLSEDELSNCLKRIHYVPSASTSHEDGDIKCIICQEEYLPAEEVAEMACKHYYHLACIQQWLRQKNWCPICKSVGSATKH >OGLUM08G08040.1 pep chromosome:ALNU02000000:8:7544374:7544827:-1 gene:OGLUM08G08040 transcript:OGLUM08G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFARTTGEAGGSCGAGGRAREISAGGGGVRSERKGGSRCWCVLCRKRAELLVAKKVSLLLSRLV >OGLUM08G08050.1 pep chromosome:ALNU02000000:8:7547713:7552385:-1 gene:OGLUM08G08050 transcript:OGLUM08G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSSPSPPAAAAAAATQQTQPQPQTPFYELVKGNSGLEKVLLRGTRGFSAEVYLYGAQVTSWKNDHAEELLFVSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRLWTIDDNPPPLPVNPAIKSFVDLILRPSDEDLKIWPHSFEFRLRVALGPNGDLSLTSRIRNTNTDGRSFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKGKERFTEQGDAIVFESEIDKVYLAAPSKIAIIDHEKKRTFVLTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKHMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKEHLIALNI >OGLUM08G08060.1 pep chromosome:ALNU02000000:8:7563233:7568271:-1 gene:OGLUM08G08060 transcript:OGLUM08G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTADALEQIKNEAVDLEHIPLEEVLQHLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKLPGDCVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGVAKDEVILLAARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADVSWHRILDLCKCSQDVRSKVHAIIDSYADRGLRSLAVAKQEVPERRKDGPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAIMTVLFFWAMRSTDFFTSTFHVKPLVEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSSVIPFFYIKF >OGLUM08G08060.2 pep chromosome:ALNU02000000:8:7563233:7568271:-1 gene:OGLUM08G08060 transcript:OGLUM08G08060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASSTADALEQIKNEAVDLEHIPLEEVLQHLKCTREGLTNAEGDARTQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEVAAIMAIALANGGGRPPDWQDFVGIIALLLINSTISYWEESNAGSAAAALMKNLAPKTKVLRDGRWSETDAFVLVPGDVISVKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKLPGDCVYSGSTCKQGEINAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLRAIGNFCIGAIAIGMAVEVIVMYPIQHRLYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSDQGAITKRMTAIEEMAAMDVLCSDKTGTLTLNKLSVDRGLIEVFVQGVAKDEVILLAARASRVENQDAIDTAMVGMLDDPKEARAGIREEHFLPFNPVDKRTALTYVDLADVSWHRVSKGAPEQATHPHPWIPIRFDLSSPPLLTCLLILDLCKCSQDVRSKVHAIIDSYADRGLRSLAVAKQEVPERRKDGPGGPWEFVGLLPLLDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGVNMYPSSALLGQSKDESIASVPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKRADIGIAVADATDAARSASDIVLTQPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLPEIFITGIVYGTYLAIMTVLFFWAMRSTDFFTSTFHVKPLVEKDEMMSALYLQVSIISQALIFVTRSRSWCFVERPGMLLCGAFVAAQIIATLVTVYATLGFAHIKGIGWGWAGVIWLYSIVTFLPLDIFKFAVRYALSGRAWDTLIEHKIAFTSKKDYGRGEREAQWATAQRTLHGLQTPEMGDHLGGVGGGERSSYRELSEIAEQAKRRAEVARLRELSTLKGQMESTVRLKGLDMDNVQHHYTSTAGELARRRRRRLRRARSPRLFSGAACMQLSLSSSSSSSVIPFFYIKF >OGLUM08G08070.1 pep chromosome:ALNU02000000:8:7570466:7570810:-1 gene:OGLUM08G08070 transcript:OGLUM08G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGSVETGDAEDGTRRKHLMRRGSVEQNGGDDLAMGGPRAAGRRRIVEGELVAGIGGRQAMQRTARRLIRSGALMAEVRERNSFWRRAEAQVWWESDREGDGPCGSCAD >OGLUM08G08080.1 pep chromosome:ALNU02000000:8:7570831:7572396:1 gene:OGLUM08G08080 transcript:OGLUM08G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGTAVHQQVTSPELGIMEVHPDSSCILKQNPSSSTCCFSAEYNERGVLGEMPRLAPHLQICNACVLESVYRFWILDPLNDLDRHFFGFKSSSKKILSGSGLYDFLEAVGTTRVTEEIELAFFMHHMNHKGNNTTTLSKIELLRTS >OGLUM08G08080.2 pep chromosome:ALNU02000000:8:7570831:7572396:1 gene:OGLUM08G08080 transcript:OGLUM08G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGTAALAAFPQSTMNAGCSAKCPVWLLTCKSAMHSSSKKILSGSGLYDFLEAVGTTRVTEEIELAFFMHHMNHKGNNTTTLSKIELLRTS >OGLUM08G08080.3 pep chromosome:ALNU02000000:8:7570831:7571151:1 gene:OGLUM08G08080 transcript:OGLUM08G08080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAGGGTAVHQQVTSPELGIMEVHPDSSCILKQNPSSSTCCFSAEYNERGVLGEMPRLAPHLQICNACVLESVYRFWILDPLNDLDRQ >OGLUM08G08090.1 pep chromosome:ALNU02000000:8:7575288:7578089:1 gene:OGLUM08G08090 transcript:OGLUM08G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEASRAADQAPRRGSLRIDKVCGEGSDGTGVGSGGPLAAMTVAEVKDLYNPQIPKSKSVHPNQNPQIAKSPRTPVTHPLPPPYELRAEEAAPSATGLRQLPHLLQPWLQPPLRPTVEPAGKEAEEEALPAVTGPSDGGEVTTEEWPWWGTSSPLPVTVAAVVRELLERRRRRRLSRILLPYPRAARRVLLPRRCREEVATTVPEKRMMPLRGRRPPGKGDVDQGRALCEGVARQEKGAVA >OGLUM08G08100.1 pep chromosome:ALNU02000000:8:7578122:7580467:1 gene:OGLUM08G08100 transcript:OGLUM08G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEGDALRRRRRRAWVMVAVGVGSDGSEDPLATMTGGVDPASTRVRCRRQVGVVPEIRTSASDLAQFLCAHRISHVCLRAIIGVPNYKLLALGSSLATASAWVARHVLPYAGANSSTPAHHRHRRW >OGLUM08G08110.1 pep chromosome:ALNU02000000:8:7580525:7580740:1 gene:OGLUM08G08110 transcript:OGLUM08G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYSMMQSNGVIPLDNALFKTLPPSLEMVDLHTLALLHYTNMFDVVHVAVKNLYVSGDRIPMPVLVMEVR >OGLUM08G08120.1 pep chromosome:ALNU02000000:8:7583163:7584341:-1 gene:OGLUM08G08120 transcript:OGLUM08G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTATASWEVMSRRGEQQQQLMMQAPASHNNGGGGGGEPARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACGAALHQLPSAAAAAGAGGGGGYYHHHHQPSSSPFLMHGGGGVGVVTSTAAAPAVAASGHSLADEVDGGGDDDLFAISRQMGLMARHGGGDHHYSSYADSDATQLSYQPTGTIQVFINGVAYDVPSGEALDMAGTFGRDAMLVHSSGEVLPVDEHGVLINSLQMGECYYLVSKSI >OGLUM08G08130.1 pep chromosome:ALNU02000000:8:7589935:7590312:1 gene:OGLUM08G08130 transcript:OGLUM08G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQILGLLSSRSPLLSPLLLPSPSHCHMAFVCDGHIYEHCRHLSYNILTSSLGPGRSTDMEDEVGTRSGVPGLLAVRCSGGGILARVGEGRGGESRAHSLVEERGRARESRDQVPSISLGAFGT >OGLUM08G08140.1 pep chromosome:ALNU02000000:8:7599153:7600095:1 gene:OGLUM08G08140 transcript:OGLUM08G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVRACKGERVVPTLMAPAEPSGYLSGPSPMWTERVKGWSKSLGQYKRRRVWPEEKKVEWLKWRSLASPLVEKLERNGSPSTPTNTYAFMAEDSIIAAT >OGLUM08G08150.1 pep chromosome:ALNU02000000:8:7611189:7614481:-1 gene:OGLUM08G08150 transcript:OGLUM08G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridylyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G16880) TAIR;Acc:AT1G16880] MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEVLANSLRYFLRRPTTEEGSY >OGLUM08G08150.2 pep chromosome:ALNU02000000:8:7611189:7614481:-1 gene:OGLUM08G08150 transcript:OGLUM08G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:uridylyltransferase-related [Source:Projected from Arabidopsis thaliana (AT1G16880) TAIR;Acc:AT1G16880] MAEMAVTAALRPCSGVSPAVSGTSHRRRRPAAWRALAPPPPHAGLRLSSPAVRVPRAASSAAVEDGSSSNTDTVPTPKVIIDQDSDPDATIVEITLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHIKLAITKLVTGILYRSTGRKIGEPELLEAVRLTIINNMIQYHPEASSQLALGATFGPEPPTELVDVDIATHIDIYDDGPDRSLLVVETADRPGLLVDLVKIIDDINITVQSGEFDTEVLANSLRYFLRRPTTEEGSY >OGLUM08G08160.1 pep chromosome:ALNU02000000:8:7619667:7622852:1 gene:OGLUM08G08160 transcript:OGLUM08G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma-subunit F [Source:Projected from Arabidopsis thaliana (AT2G36990) TAIR;Acc:AT2G36990] MNSGGRSLLSSPLFASSSPAFRGGAAAASCSSSSSPSSSSRATVVPMVHDTGGGRASSTACHYSPSLVAAEPEEHVHGRSKDDDDDAAVSLMGEKALLELLLDMALEQHAPGKKLPAEEREESEFDIYLRDDKSHVLYHPEFSSTSVSSSPLSVKSSERSDLGTASAVLTKEVALLAEETDILATQLKASQLYSVDSSKSNEELQSKGQVFVRSTRLLERRSKRRYAPRASIADVSCSADNSKKKEKSKKYGRVLEPDEPFKLFLRDRETTEFLTAKEERHLFSKIQILMKIEEAHRKLEVQCGREPTLAEWAEAVGMSSKELQSSIRTGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCSGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVYALLRKVGKARMECIMEGEQPTNANVARRAGITIEKLAKLRAKTRKPRSMQDHVWSDEGVTFQVRDFLGILSPREKEIIEHRFGIHDGEPKTLHVIGDMFGLSKERIRQLQNRALEKLKRSASLQGFDVYFDLLT >OGLUM08G08170.1 pep chromosome:ALNU02000000:8:7623687:7628131:-1 gene:OGLUM08G08170 transcript:OGLUM08G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRASSHPHQHAIGGGGGGMSDGGGGAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAAVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >OGLUM08G08170.2 pep chromosome:ALNU02000000:8:7623687:7628131:-1 gene:OGLUM08G08170 transcript:OGLUM08G08170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLRVNPNPSLLADPRAAPANDRPVTSSPLAMQASSHPHQHAIGGGGGGMSDGGGGAAAAFADAGNLEHCARYLNQTLVTFGFPASLDLFATDPVSIARTCNCIYALLQQRQRDIEFRESTNDLRQRLEAKIERMDAQLAAKDRELATLTRTEAKNTATLKAQIDKLQQERDEFQKMVIGNQQVRTQQIHEMKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWSGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGVPQPAAVGNGRQEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASLMSKHFTKSDKPRRLSGLDAEREAVAAQEV >OGLUM08G08180.1 pep chromosome:ALNU02000000:8:7643198:7648877:1 gene:OGLUM08G08180 transcript:OGLUM08G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPLHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDSLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTNSRIQITKAPKVCNDSDSGDYLSENWRFALTELNSSYYMAVCIEDNSRGSENGSVPLGLKVWKTVPFIEDTLVSGTDAVKLIT >OGLUM08G08180.2 pep chromosome:ALNU02000000:8:7643198:7648877:1 gene:OGLUM08G08180 transcript:OGLUM08G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLLLRRGAMPVPPPLPPRTPPPGVARPFASLPPPPPLQSRREVHVWYVCPDELNDHSHLDMYMELLSPSERKNALSMNGPRLQKDAMLSRALLRTTLSRYTNSKIDPRSFEFKKNKFGKPEILWRSDDSNMEWPLHFNISHTSSLIACGIAMDAPIGIDVEEKKRKTTKSILSLARRYFTPSEVDSLAKIADSDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNRFSIQLKTNSRIQITKAPKVCNDSDSGDYLSENWRFALTELNSSYYMAVCIEDNSRGSENGSVPLGLKVWKTVPFIEDTLVSGTDAVKLIT >OGLUM08G08180.3 pep chromosome:ALNU02000000:8:7643198:7648877:1 gene:OGLUM08G08180 transcript:OGLUM08G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHRLLLRRGAMPVPPPLPPRTPPPGVARPFASLPPPPPLQSRREVHVWYVCPDELNDHSHLDMYMELLSPSERKNALSMNGPRLQKDAMLSRALLRTTLSRYIVAV >OGLUM08G08190.1 pep chromosome:ALNU02000000:8:7674520:7680464:1 gene:OGLUM08G08190 transcript:OGLUM08G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ASS8] MESSAGPMELVAALLRGLTPRAEQLLQLSSGGGEAAAGGAAEARAAVATVAAALLGCAFLVLWRRVSAGRKRKREEAERSAAAVAGVGKGGKNASAAAGEEAGGADGRKRVTVFFGTQTGTAEGFAKALAEEAKSRYDKAIFKVVDLDEYAMEDEEYEERLKKEKISLFFVATYGDGEPTDNAARFYKWFTEGNERGVWLNDFQYAIFGLGNRQYEHFNKVAKVVDELLVEQGGKRLVPVGLGDDDQCIEDDFNAWKETLWPELDQLLRDENDVSTGTTYTAAIPEYRVEFVKPDEAAHLERNFSLANGYAVHDAQHPCRANVAVRRELHTPASDRSCTHLEFDIAGTGLTYETGDHVGVYTENCLEVVEEAERLLGYSPETFFTIHADKEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSALVALATYASDSTEADRLRFLASPAGKDEYAQWVVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVHEKTPAGRVHKGVCSTWIKNAIPSEETKDCSWAPVFVRQSNFKLPADPSVPVIMIGPGTGLAPFRGFLQERLSQKQSGAELGRSVFFFGCRNSKMDFIYEDELNTFLEEGALSELVLAFSREGPTKEYVQHKMSQKASEIWDMISQGGYIYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKAESFVKSLQTEGRYLRDVW >OGLUM08G08200.1 pep chromosome:ALNU02000000:8:7682949:7686326:-1 gene:OGLUM08G08200 transcript:OGLUM08G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADEGNAAAPRAAVSHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKATESARIFVDECGLDGLLTPEQFLEERESMLQELFPSCAVLPGVLRLIHHLHANGVPMAVATGSHKRHFALKTQNHKEMFTLMHHVVMGDDPDVKTGKPSPDIFLAAMRRFEGNIEPSNCLVFEDAPSGVAAAKNAGMYVVMVPDSRLNVSYHKGADQVLSSLLDFKPGEWGLPPFTD >OGLUM08G08210.1 pep chromosome:ALNU02000000:8:7689161:7689626:1 gene:OGLUM08G08210 transcript:OGLUM08G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPASFSPSSLPLLAGGTGEVRHMASLTVADRGRWRQGKEMRTSAMDEREAASTEEGERRVGEPGMLVRRHRRHADGSRRSPPSPTRSAEAEGPPSSGVHGKKPAGGAVVGKAVGGEADVDEVRGESAGSKRR >OGLUM08G08220.1 pep chromosome:ALNU02000000:8:7700500:7710760:-1 gene:OGLUM08G08220 transcript:OGLUM08G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFMLSADSILGVVLQAATPPHLLKVAQGRYLFLPSALPCFFTLLYYFGKGRKVVHRLKNWLQQGTSACMSGSGNSLDTAALIVGGGSDSSGIVGRKRRRCDLIRERWCCLRPVWCKEAQEVVVPGRGRNGARQRVSVENRICGWRYNTTEVLGRICNSFVEKAEERETIIPAISNTEKMGEKQQKSDQDFHFSDGTMAIPRDRKRKGELDPAADYVKDLWGAFYVASESTQLDTSEMNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKILPFIQDMPRISGLISWKDSNSWLITWSLMNLEAAFFFIQGFMARHSTARPLVVLPEGILGTWKREFQQWQVEDIPLYDFDSIKADNRVEQLEVLKSWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDTLLMVPSLLILNEGHTPSIDETDMLQSARKVQTPCKVVMSGTLFHNHVKEVFNTLDLVRPGFLKTETSWPIVTRMMGQLEISSARSITDISESVENTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGSDLDELPGLLDFSVFLELSPKQKDILCKLEEDHEMLKTSAVGASLYVHPCLSEISEANAVDRDDRVDSLVNSINLRDGVKARFFLNILALANSAGEKLVAFSQYTFPMKFLERLLVKEMGWHVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDVHLNPSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKAHETAFNKEVIPKLWFQWSGHCTTEDFKLNQVCINGSGDELLETDVIRQDVNALYQSIDMGLVSEATLRTKCPWTMILAAKVTKILKKNREILWFLIGTRKVPFSSVKFGISSWMGKITLIRIFRILYDEKGQIALLASKGSIKDKQEACKSWRGIQAATPPHLLAVAGPLPLPSLCSAVPFALLYYFGKGRKVVHTLKNWLQQVSVENKICGWGYNTTEVLGRICNCSVEKAEERETIILASGNTEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGEVDPAPDCLKDLWGAFYVAVESTQLDTSEVNNKKQLNNCNHDIHVYEDLGHVCHECGMVVRKADSLFHQRKKVHNLLKL >OGLUM08G08220.2 pep chromosome:ALNU02000000:8:7700500:7710760:-1 gene:OGLUM08G08220 transcript:OGLUM08G08220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFMLSADSILGVVLQAATPPHLLKVAQGRYLFLPSALPCFFTLLYYFGKGRKVVHRLKNWLQQGTSACMSGSGNSLDTAALIVGGGSDSSGIVGRKRRRCDLIRERWCCLRPVWCKEAQEVVVPGRGRNGARQRVSVENRICGWRYNTTEVLGRICNSFVEKAEERETIIPAISNTEKMGEKQQKSDQDFHFSDGTMAIPRDRKRKGELDPAADYVKDLWGAFYVASESTQLDTSEMNNKKQLDNCNHDIHVYEDLGHVCHECGLVVRKADSLFHYQWKKASRKRTNAYEACLKKVGSDAISLSEDFIFSDIAIHPRHAKNIRPHQLEGFKFLVNNLVTDEPGGCILVHAPGSGEIFMLISFIQGFMARHSTARPLVVLPEGILGTWKREFQQWQVEDIPLYDFDSIKADNRVEQLEVLKSWSSKRSILFVGSKHFTQIVCDDRDENAVAECRDTLLMVPSLLILNEGHTPSIDETDMLQSARKVQTPCKVVMSGTLFHNHVKEVFNTLDLVRPGFLKTETSWPIVTRMMGQLEISSARSITDISESVENTLLNDDNFTRKVNVIRSLGELTKDVLHYCKGSDLDELPGLLDFSVFLELSPKQKDILCKLEEDHEMLKTSAVGASLYVHPCLSEISEANAVDRDDRVDSLVNSINLRDGVKARFFLNILALANSAGEKLVAFSQYTFPMKFLERLLVKEMGWHVGKEIFVINGDTSMEDGQLAMDQFNGSADAKVLFGSIKAFGEGISLVGASRIVILDVHLNPSVTRQAIGSTFRPGQKKKVFVYRLVAADSPEEKAHETAFNKEVIPKLWFQWSGHCTTEDFKLNQVCINGSGDELLETDVIRQDVNALYQSIDMGLVSEATLRTKCPWTMILAAKVTKILKKNREILWFLIGTRKVPFSSVKFGISSWMGKITLIRIFRILYDEKGQIALLASKGSIKDKQEACKSWRGIQAATPPHLLAVAGPLPLPSLCSAVPFALLYYFGKGRKVVHTLKNWLQQVSVENKICGWGYNTTEVLGRICNCSVEKAEERETIILASGNTEKMEEKHQKSDQDFHFSDSTMAIPRERKQKGEVDPAPDCLKDLWGAFYVAVESTQLDTSEVNNKKQLNNCNHDIHVYEDLGHVCHECGMVVRKADSLFHQRKKVHNLLKL >OGLUM08G08230.1 pep chromosome:ALNU02000000:8:7711681:7713603:-1 gene:OGLUM08G08230 transcript:OGLUM08G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSSFRLARLHLARGKQQQLSSSSAAAAAATLEAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTSSDHASPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEAKARGADPWPAVEHLAHDRHAARDGFTGASFELPQETLAAGDEFSASNIAPAAAAATGDEPPPEESAPVEKDPFAASELVNKPEEALVGGFKKNKETAIVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDKSITGLELLATSAGQGPNAPGGTPLENLLVTKSTEMTAPELYIVEEINAEFKESILARVGLKGTIFLRTLPPKKAAGKETEFSFRLEGTSGMKKAALQSTVLSNLENGMFHVRMPSKEEPIPIMKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHIPDIPLKGPAGRLRARMPVDQDSKDGELEVVGMVKFAYQGPFTLSGIKLCPATDGTAQFNEAGHTFSSGSYLCI >OGLUM08G08240.1 pep chromosome:ALNU02000000:8:7719451:7722266:-1 gene:OGLUM08G08240 transcript:OGLUM08G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGLEQWQKDGFFQAAEEVQESADLMESIYRTWMRERDSGANLEELSDLQRELKTALGTAKWQLEQFERAISMSNDKYSLEEGTLARRRQFVVAMEDQISQVEKQINDYSIDNDRRGLNWVKLDDEERDDLVAFLSAPAQLSQDTKKRDNTYHSPSKQKNALIGANDPRDMAAISNDRHKTEALCREISNGQSEACCLAEQLNGHSTSPSSGGEHWKIDISDDKDDDRKLSPNKVEASSQATAFSGIMKTTESFTRVRWLRNSLWKAKSDEHLPLRYDMPNHLDWRVITLLAQRFNGLTERSRSCFSGWKENSRVSGRMGGLHIQGPQYNTQFGRSIRITLLLCHFSCTQLDNAHIGGCW >OGLUM08G08250.1 pep chromosome:ALNU02000000:8:7727446:7731520:-1 gene:OGLUM08G08250 transcript:OGLUM08G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MPKPQTGRRLSDIPAATMAAAAAEVAAAGGGGEMVVVRLPPLSEDDPLFQDKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIKAARIAHMDELELYFNGDDDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVFQVLRDEILIRLRSLELEDNGQMVVQIQNQNVEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSDMFLALKDLDSITTETMLLLWSIRERYNPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELMQARQITIQLHLRQQYDELFPMLCINFPDIFKQDVGKLTTCLIPIAGLLNHSVSPHIINYGRVDKATKSLKFPLSRPCKAGAQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHRLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLDEPDDFDRENACWDVNLALDYKDLQRRIVLSIVTSCTSGLAMLDS >OGLUM08G08250.2 pep chromosome:ALNU02000000:8:7727446:7731520:-1 gene:OGLUM08G08250 transcript:OGLUM08G08250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G18850) TAIR;Acc:AT2G18850] MPKPQTGRRLSDIPAATMAAAAAEVAAAGGGGEMVVVRLPPLSEDDPLFQDKKRILDSRNLSCLFQVPNSCSAADAFKVLDRMIKAARIAHMDELELYFNGDDDFGPLSTRNELESLNLLLKILNTLLLTANVGAMGVFQVLRDEILIRLRSLELEDNGQMVVQIQNQNVEDSLLKWGEQHGVKTKLQIAFFEGAGRGMVASENIDVGDIALEIPESSIISEELLCQSDMFLALKDLDSITTETMLLLWSIRERYNPSSKFKIYFEALPANFNTGLSFGIDALAALEGTLLFDELMQARQHLRQQYDELFPMLCINFPDIFKQDVGKLTTCLIPIAGLLNHSVSPHIINYGRVDKATKSLKFPLSRPCKAGAQCFLSYGKHPGSHLITFYGFLPRDNPYDVIPLDLDTSVDEEDSSSPSVTTSQTSHMVRGTWLSRLRGPPTYGLPHRLVSHLHAILGCNQNESAPEADNKENDRMVLETLLSIFTPMLEGLDEPDDFDRENACWDVNLALDYKDLQRRIVLSIVTSCTSGLAMLDS >OGLUM08G08260.1 pep chromosome:ALNU02000000:8:7738067:7738660:-1 gene:OGLUM08G08260 transcript:OGLUM08G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEERGTLLRRVLIRAARAARAAVRIAATTTASSPSSPAPAAALSRTPSLLDCMDGDDDSIFYTPASSPVVVHYPRRVQVQQQQQPSLSPSPVAAAAAAADDIDRRAAEFIERFRRNESLELRYCAVYSPLTPAKPPISPDTYFKLSGAHHHGAVAAGGSPAPAPYVRKMSTLRPRRPSGMSIKWPTAGRPNVRV >OGLUM08G08270.1 pep chromosome:ALNU02000000:8:7764461:7771055:1 gene:OGLUM08G08270 transcript:OGLUM08G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEQQQPESAAPVTEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVAARPCLIDGATGGVLTYADVDRLSRRLAAALRRAPLGLRRGGVVMSLLRNSPEFVLSFFAASRVGAAVTTANPMSTPHEIESQLAAAGATVVITESMAADKLPSHSHGALTVVLIDERRDGCLHFWDDLMSEDEASPLAGDEDDEKVFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGENPNIGLHAGDVILCALPMFHIYSLNTIMMCGLRVGAAIVVMRRFDLAAMMDLVERHRVTIAPLVPPIVVAVAKSEAAAARDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPDTGKSLGRNLPGEICIRGQQIMKGYLNNPEATKNTIDAEGWLHTGDIGYVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQIEPEIGEIPVAFVAKTEGSELTEDDVKQFVAKEVIYYKKIREVFFVDKIPKAPSGKILRKELRKQLQHLQQQA >OGLUM08G08280.1 pep chromosome:ALNU02000000:8:7770500:7778297:-1 gene:OGLUM08G08280 transcript:OGLUM08G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosylmethionine-8-amino-7-oxononanoate transaminases [Source:Projected from Arabidopsis thaliana (AT5G57590) TAIR;Acc:AT5G57590] MLRLLRYTRRHSTSSSAAATTASAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGYPDDSDARFVFDRAPALLRRLPLAGGGASTRLVASNHTLFPSPAVDPLPERQDAVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVIDSRFGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDWASRVYFSDNGSTAIEIALKMAFRKYACDHGIIVDSEKDITSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVDTSSAANVYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDGALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTRDSCTRQLTKVLCRLQKLN >OGLUM08G08280.2 pep chromosome:ALNU02000000:8:7770498:7778361:-1 gene:OGLUM08G08280 transcript:OGLUM08G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenosylmethionine-8-amino-7-oxononanoate transaminases [Source:Projected from Arabidopsis thaliana (AT5G57590) TAIR;Acc:AT5G57590] MLRLLRYTRRHSTSSSAAATTASAVPLTSPAFAVFGANTGVGKTLVSAGLVASLLASPSPSPSTVAYLKPLQTGYPDDSDARFVFDRAPALLRRLPLAGGGASTRLVASNHTLFPSPAVDPLPERQDAVVNYGGEEGVEEKALVCRTVYAWREPVSPHLAAEREGMPVEDEEVRWLVDRWLAEEDGGGEVWKVLETAGGVASPGPSGTLQCDLYRSSRLPAVLVGDGRLGGISSTLSAYETLLLRGYDVGSVILEDRGLSNDRFLLSYLRKRVPVHVLPPIPEDPKDDLTDWFSESSSAFSSLKDSLQSFHSRRVQRLNSMQRKSKDLLWWPFTQHDLVPVDSVTVIDSRFGENFSAYKVKDKTIVPQFDACASWWTQGPDSNLQIELARDMGYAAARYGHVMFPENVHEPALRCAELLLGGVGKDWASRVYFSDNGSTAIEIALKMAFRKYACDHGIIVDSEKDITSEGSVHFKVLALNGSYHGDTLGAMEAQAPSAYTSFLQQPWYSGRGLFLDPPTVDTSSAANVYVSYISQQLSQYAMSNNSEHIAALIIEPVIQGAGGMHLIDPLFQRLLVKECKNRKIPVIFDEVFTGFWRLGVESASELLGCFPDISCYAKLMTGGIVPLAATLATEPIFEAFRSDSKLTALLHGHSYTAHPMGCTAAVKAIQWYKDPSTNSNIDLDRMKLKELWDGALVNHLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLIRQLREEDNIYARPLGNVIYLMCGPCTTRDSCTRQLTKVLCRLQKLN >OGLUM08G08290.1 pep chromosome:ALNU02000000:8:7806179:7824531:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRNDPREVLSSWDASSNTTNMTTPVFCRWTGVSCNDRRHPGRVTTLNLSDAGLVGTISQQLGNLTQLRVLDLSFNSLDGDIPISLGGCPKLHAMNLSTNHLSGNIPADLGQLSKLVVFDVGHNNLTGDIPKSLSNLTTLTKFIVIRNFIHGQDLSWMGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATKKFGLDVAEALEYIHHHIMLPIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPVSMDGDIYSYRVLLLEMFTGRRPTNIFMNGMTSLVDILSMLSLLTHALLFLTASSQIINGDDLSALMSFKSLIRNDPRGVLSSWDAVGNGINMTEPVFCQWTGVFCNDRQYPGRVITLNLSNTGLVGNISQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSRNHLSGTIPADLGKLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFIIERNFIYGQDLSWMGNLTTLTHFVLEGNIFTDSTIISIPISLGNLTKLQYMDLSGNALMGQIPQDILVIPSLTRLLNLSNNVLTGSIPSQIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLQGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPSSGIFRNRMLCGGPPYLKFPSCLSKDSDQASVHRLHTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASASFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANITTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDYDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVDYVKAAYPNNILEILDARATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYLAHTYS >OGLUM08G08290.2 pep chromosome:ALNU02000000:8:7806179:7824531:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRNDPREVLSSWDASSNTTNMTTPVFCRWTGVSCNDRRHPGRVTTLNLSDAGLVGTISQQLGNLTQLRVLDLSFNSLDGDIPISLGGCPKLHAMNLSTNHLSGNIPADLGQLSKLVVFDVGHNNLTGDIPKSLSNLTTLTKFIVIRNFIHGQDLSWMGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATKKFGLDVAEALEYIHHHIMLPIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPVSMDGDIYSYRVLLLEMFTGRRPTNIFMNGMTSLVDILSMLSLLTHALLFLTASSQIINGDDLSALMSFKSLIRNDPRGVLSSWDAVGNGINMTEPVFCQWTGVFCNDRQYPGRVITLNLSNTGLVGNISQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSRNHLSGTIPADLGKLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFIIERNFIYGQDLSWMGNLTTLTHFVLEGNIFTGNIPETFGKMVRLIYFSVQDNQLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLFNSFEGILPPTFSNASALELLLLHGNKYHGLIPREISIHGNLKVLMLGDNALQATKSSDWEFFTSLTNCSNLELLDIGHNNLVGAMPINIANLSKEISGIDLSANQIIGTIPTDLSKLNKLVSLNLNHNLFTGTLPLNIGKLSRINSIYLSYNKIEGQIPQSLAFPLALATSQNFNTWIFLIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLQGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPSSGIFRNTTVVSLSGNRMLCGGPPYLKFPSCLSKDSDQASVHRLHVLLFCFVGTLIFSVCCMTAYCFIKTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASASFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANITTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDYDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVDYVKAAYPNNILEILDARATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYLAHTYS >OGLUM08G08290.3 pep chromosome:ALNU02000000:8:7806157:7824531:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATKKFGLDVAEALEYIHHHIMLPIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPVSMDGDIYSYRVLLLEMFTGRRPTNIFMNGMTSLVDILSMLSLLTHALLFLTASSQIINGDDLSALMSFKSLIRNDPRGVLSSWDAVGNGINMTEPVFCQWTGVFCNDRQYPGRVITLNLSNTGLVGNISQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSRNHLSGTIPADLGKLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFIIERNFIYGQDLSWMGNLTTLTHFVLEGNIFTGNIPETFGKMVRLIYFSVQDNQLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLFNSFEGILPPTFSNASALELLLLHGNKYHGLIPREISIHGNLKVLMLGDNALQATKSSDWEFFTSLTNCSNLELLDIGHNNLVGAMPINIANLSKEISGIDLSANQIIGTIPTDLSKLNKLVSLNLNHNLFTGTLPLNIGKLSRINSIYLSYNKIEGQIPQSLGNITQLIFLSVSNNLLDGSIPISLGNLTKLQYMDLSGNALMGQIPQDILVIPSLTRLLNLSNNVLTGSIPSQIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLQGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPSSGIFRNTTVVSLSGNRMLCGGPPYLKFPSCLSKDSDQASVHRLHVLLFCFVGTLIFSVCCMTAYCFIKTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASASFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANITTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDYDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVDYVKAAYPNNILEILDARATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYLAHTYS >OGLUM08G08290.4 pep chromosome:ALNU02000000:8:7806179:7824531:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRNDPREVLSSWDASSNTTNMTTPVFCRWTGVSCNDRRHPGRVTTLNLSDAGLVGTISQQLGNLTQLRVLDLSFNSLDGDIPISLGGCPKLHAMNLSTNHLSGNIPADLGQLSKLVVFDVGHNNLTGDIPKSLSNLTTLTKFIVIRNFIHGQDLSWMGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATKKFGLDVAEALEYIHHHIMLPIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPVSMDGDIYSYRVLLLEMFTGRRPTNIFMNGMTSLVDILSMLSLLTHALLFLTASSQIINGDDLSALMSFKSLIRNDPRGVLSSWDAVGNGINMTEPVFCQWTGVFCNDRQYPGRVITLNLSNTGLVGNISQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSRNHLSGTIPADLGKLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFIIERNFIYGQDLSWMGNLTTLTHFVLEGNIFTATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASASFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANITTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDYDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVDYVKAAYPNNILEILDARATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYLAHTYS >OGLUM08G08290.5 pep chromosome:ALNU02000000:8:7806179:7824531:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKMTWQLWLLSLLTHAILLFTASSQSINGDDLSALLSFKSLIRNDPREVLSSWDASSNTTNMTTPVFCRWTGVSCNDRRHPGRVTTLNLSDAGLVGTISQQLGNLTQLRVLDLSFNSLDGDIPISLGGCPKLHAMNLSTNHLSGNIPADLGQLSKLVVFDVGHNNLTGDIPKSLSNLTTLTKFIVIRNFIHGQDLSWMGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATKKFGLDVAEALEYIHHHIMLPIVHCDIKPSNILLDDDMVTQATDFGLAKIMNIAEPCKESSSFVTKGTIRYVATEYGSGSPVSMDGDIYSYRVLLLEMFTGRRPTNIFMNGMTSLVDILSMLSLLTHALLFLTASSQIINGDDLSALMSFKSLIRNDPRGVLSSWDAVGNGINMTEPVFCQWTGVFCNDRQYPGRVITLNLSNTGLVGNISQQLGNLTHLRVLDLSANSLDGDIPTSLGGCPKLRAMNLSRNHLSGTIPADLGKLSKLAVFDVGHNNLTGDIPKSLSNLTTLTKFIIERNFIYGQDLSWMGNLTTLTHFVLEGNIFTGNIPETFGKMVRLIYFSVQDNQLEGHVPLSIFNISSIRFFDLGFNRLSGSLPLDVGVKLPRINRFNTLFNSFEGILPPTFSNASALELLLLHGNKYHGLIPREISIHGNLKVLMLGDNALQATKSSDWEFFTSLTNCSNLELLDIGHNNLVGAMPINIANLSKEISGIDLSANQIIGTIPTDLSKLNKLVSLNLNHNLFTGTLPLNIGKLSRINSIYLSYNKIEGQIPQSLGNITQLIFLSVSNNLLDGSIPISLGNLTKLQYMDLSGNALMGQIPQDILVIPSLTRLLNLSNNVLTGSIPSQIGHLNSLIKMDLSMNKLSGEIPKTIGSCVQMSSLNLQGNLLQGQIPESMNSLRSLEILDLSNNNLAGPIPLFLANFTLLTNLNLSFNKLSGPVPSSGIFRNTTVVSLSGNRMLCGGPPYLKFPSCLSKDSDQASVHRLHVLLFCFVGTLIFSVCCMTAYCFIKTRMKPNGIDNENIFLSEMNERISYVELQAATESFSPANLIGSGSFGNVYVGNLIIDQILVPVAIKVLNLSQRGASASFLTECDALRRTRHRKLVKVITVCSGSDQNGNEFKALVLEFICNGSLDEWLHANITTISTSYRRLNLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDYDLVAHVTDFGLARIMSIAEPCKESSSFVIKGTIGYVAPEYGSGSQVSMDGDIYSYGVLLLEMFTGRRPTDNFNNGITSLVDYVKAAYPNNILEILDARATYNGNTQDIIELVVYPIFRLGLACCKESPRERMKMNDVVKELNAIMKTYLAHTYS >OGLUM08G08290.6 pep chromosome:ALNU02000000:8:7806157:7809756:1 gene:OGLUM08G08290 transcript:OGLUM08G08290.6 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRFLQRSPASRSNFIHGQDLSWMGNLTSLTDFVLESNMFTGNIPETFGKMVKLITFNVQDNQLEGHVPLPIFNISTIRYLDLGFNRLLGSLPLDVGVKLPRINRFNTLFNRFEGIIPPTFSNASALESLLLRVNNYHGIIPREIGIHGKLKVFALGDNALQATRPSDWEFFISLTNCSSLRFLDVGKNNLVGAMPINIANLSNELSWIDLSGNQIIGTIPEDLWKFNKLTYVNLSYNLFTGTLPPDIGRLPRLNSFYISHNRIDGKIPESLGNITQLSYLSLSNNFLDGSIPTSLGNFTKLEVMDLSCNSLTGQIPQEILAITSLTRRLNLSNNALIGSIPSQIGLLNSLVKMDMSMNKLSGGIPEAIGSCVQLSSLNFQGNLLQGRIPESLNNLRSLQTLDLSKNSLEGRIPEFLANFTFLTNLNLSFNKLSGPVPNTGIFCNATIVLLLGNKMLCGGPPYMQFPSCSSEDSDQASVHRLHVLIFCIVGTFIFSMCCMTAYCFIKTRMKPDIVDNENPFLNETNERISYAELQAATDSFSPANLIGSGSFGNVYIGNLIIDQNLVPVAIKVLNLSQRGASRSFLSECHALRRIRHRKLVKVITVCSGLDQNGDEFKALVLEFICNGSLDKWLHATSTTTSTSYRKLNLVTRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMMAHVTDFGLAKIMHSEPRIQSSSLVIKGTIGYVPPEYGAGSQVSMDGDIYSYGVLLLEMFTGRKPTDNFIDGVTSLVDYVKMAYPNNLLEILDASATYNGNTQELVELVIYPIFRLGLACCKESPRERMKMDDIVKELNAVKKACSAHATK >OGLUM08G08300.1 pep chromosome:ALNU02000000:8:7840283:7843827:1 gene:OGLUM08G08300 transcript:OGLUM08G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLLLTATSQSISGDDLSALLSFKSLITSDPRQVLSSWDTANNGTNMASFVFCQWTGVSCNDRRHPGRVTALCLSDISLVGTISPQLGNLTLLRVLDLSANSLDGQIPSSLGGCPKLRAMNLSINHLSGTIPDDLGQLSKLAIFDVGHNNLAGDIPKSFSNLTSLLKFIIERNFIHGQDLSWMGNLTSLTHFVLKGNHFTGNIPEAFGKMANLIYFSVLDNQLEGHVPLPIFNFSSIRFLDLGFNRLSGSLPLDIGVKLPRIKRFNTLVNHFEGIIPPTFSNASALESLLLRGNKYHGTIPREIGIHGNLKFFALGHNVLQATRPSYWEFLTSLTNCSSLQMLDVGQNNLVGAMPVNIANLSNELSWIDLSGNQINGTIPSDLWKFKLTSLNLSYNLFTGTLPFDIGRLPRINSIYISYNRITGQIPQSLGNVSQLSSLTLSHNFLDGSIPTSLGNLTKLQYLDLSGNALMGQIPQEILAIPSLTKLLSLSNNALSGSIPRQIGLLNSLVKMDLLMNKLSGEIPNAIGSCVQLSFLNFQGNLLQGRIQENLNNLRSLEILDLSKNNLAGPIPEFLANFTILTNLNLSFNELSGHVPNTGIFCNATVVSLSGNIMLCGGPPDLQFPSCSSKGFDRASVHRLHVLIFCIVGTLFFSLFCMTAYCFIKTRMKPNIVDNENLFPYEMNERISYAELQAATESFSPANLIGSGSFGNVYIGYLIIDQNLVPIAIKVLNLSQRGASRSFLTECDALRRIRHRKLVKVITICSGSDQNCDEFKALVLELICNGSLDEWLHASTTAISTSYRRINLMKRLHIALDVAEALEYLHHHIVPPIVHCDIKPSNILLDDDMVARVTDFGLAKIMNIAEPCKESSSFVIKGTIGYVAPEYGAGSPVSMDGDIYSYGVLLLEMFTGRRPTDNFVNGMASLIDYVKMAYPNNLLEILDTNATYNGNTQDMTQLVVYPIFRLGLACCKESPRERMKMDNVVMELNAIKKAFSAHTYA >OGLUM08G08310.1 pep chromosome:ALNU02000000:8:7850867:7855385:1 gene:OGLUM08G08310 transcript:OGLUM08G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVPARARSVGGGPRSEGARPGPKTGAAAGRGGAEDALHVFDELLRQGRGGGGGASIIRGLNRALAAVARDSPAAAVSGFNRMARSSDDAVTPTLHTYGILIGCCCRVGRLDLGFAGLGNVIKKGYRVEPIIFTPLLKSLCAEKRTSNAMNIVLRRMTELGCAPQVFSYNILLKGLCHESRSQEALELLHMMADDGDCPPDVVSYSTIIDGLFKEGNSDKAYSTYHEMLDRGIFPDVVTYNTIVAALCKAQAMDKAMDVLNRMVKNGAMPDCITYNSIVHGYCSSGQLKEAIGILEKMCGDGVEPDVVTYTSLMDYLCKNGRCIEARKILDSMIKRGLKPNVITYSTLLHGYATKGALVEMRDLLDLMVRNGIQPDRHVFSILICACTKHQNVDVAMFVFSKMRQQGLTPDVVTYGTLIDGLCKSGKVDDAMLNLEQMISEGVTPNIVIFNTLIHGLCTSDKWEKAEELIFEMINRGICPDTISFNSIIDNLCKEGRFIESEKLFGLMGRIDVKVDVITYSTLIDGYCLAGKMDEAMKLLSGMVSVGLKPDTVTYSTLINGYCKISRMEDALSLFRQMVCNGVSPSIITYNIILQGLFQTGRTRAAKEIYVRIIKRGIQLEISTCNIVLQGLCKNNLTDEALQMFQNLCLMDVKLETRTFNIMIGSLLRSGRKDESKDLFAALSANGLAPDIVTYTLMIENLIEDGLLEESDNIFLSMEKNGCVPNSRMLNCIVRKLLHKGEIGRAGIYLTKIDKNDFSLEASTAELLILLVSSGKYNQHMKGLPEKWAARVLVNSTEACWHCRKEVVLPSHVATFSQTETDVLTNKPLVKSNGFLSLLGLRSTVSKQGNDREIKITRHLCKCPTYRTAEPQECLMTRSAAKTLKY >OGLUM08G08320.1 pep chromosome:ALNU02000000:8:7859261:7859569:-1 gene:OGLUM08G08320 transcript:OGLUM08G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATEEQLPSHGQHQKLLGRDCSMSSLPSVAAPHQRAASTSLAETTEEHVALHKKEADPRRRRPRRTQGMKAFETKKTIDSRRCVGTSPKRRPQGGHDV >OGLUM08G08330.1 pep chromosome:ALNU02000000:8:7865648:7867359:1 gene:OGLUM08G08330 transcript:OGLUM08G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRGGGHHRSQSTADDLPAGGDGAMNDGGGGERSLSASAMERIQLCMQLQELQNPLAIHHNPLLSQWPSKATIDDQNQNNVTVAEHGMSSSVSDHHRLDGQQLESGAGAAAMQQASPSSGGENSNVVVAIEAELQELLYAGGGAIVDGGAPPQGDVDWWSYDQGKQSPVTCWDFTPETSSIFQDYATVYDI >OGLUM08G08340.1 pep chromosome:ALNU02000000:8:7868553:7872448:-1 gene:OGLUM08G08340 transcript:OGLUM08G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PYRIMIDINE B [Source:Projected from Arabidopsis thaliana (AT3G20330) TAIR;Acc:AT3G20330] MAAARATLPLPRVPAPSPRPQLRPFPSLPARRGAVACGAGSAAAGVAASLRLGDVIEAQQFDRDALTEIFEVAREMEALERGSSSRGAGRSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAAATADIPVINAGDGPGQHPTQALLDVYTIEREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKEYLTSQGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLDVLPKHAVIMHPLPRLDEITIDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGR >OGLUM08G08350.1 pep chromosome:ALNU02000000:8:7877979:7883202:1 gene:OGLUM08G08350 transcript:OGLUM08G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor 3 [Source:Projected from Arabidopsis thaliana (AT2G24765) TAIR;Acc:AT2G24765] MGIVFTRLFSSVFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPSGFPAPDSLIFFLPFAIGFNVETVQYNNIKFQVWDLGAFAYWLLAFNWQEDELRGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNALKSKSS >OGLUM08G08360.1 pep chromosome:ALNU02000000:8:7894534:7895403:1 gene:OGLUM08G08360 transcript:OGLUM08G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGSASPASCGGAACGVCGGAATVYCAADAAALCVPCDAAVHAANPLASRHDRVPLAVAMAAASSGVYDHLFAPDDDAASSWAAAAAAGAAVQGQGQGSPNDSSSSFTNDSAGGGAERSLFDLLSDVDIMSCGGGGLASSFDGAAAPPLWLHPGQLAALTPWSPADSVVVPTSAAGAVAAAAAAREEREERVRRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRATTAAASSSSDDDSTAAATEEAKFWLSFSDDGRADGVGFYMDSTTAATAAYGVVPTF >OGLUM08G08370.1 pep chromosome:ALNU02000000:8:7897390:7901158:-1 gene:OGLUM08G08370 transcript:OGLUM08G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G57670) TAIR;Acc:AT5G57670] MDTIEECSVDDGRRLMLLGSRILVGVPNNSRGCSELLSWAIRVVARPNDSVVAVHVLGGRGRKNRLQKANAFVIYMLGEFVETCEAKQVNLEAKVVCSPSIWRALTQEATLTDANFLIVGRSGNAYRRNHFEVANYCYMNAPRNCSVIAVGRDGLPQSAARFKSRSFDDSSIFSSSTWSRRFPPLQKLLRSNSARKPAQSTGEATEDKSSPRAVLDGPEAGEQHVTEECYSTTSSNEVSRRGQNGIWRRLSDMKLWLPFLRSIDDENVKGGDNSSNYTEDQKPAWRCFSYQEISVATNDFHPDNMAGRGGYAEVYKGILSDGQCVAVKRLAQGKPTEQKEKEFLTELGIQGHVCHPNTAYLLGCCVENGLYLVFEFCENGTLASALHGKSAKILEWPLRYKIAVGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSVIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKLSLLQWAKPLLEAGQVTELADPNLGGDYDKDQLKRMVAVASRCIMRPAMWRPSMAEVLHFLSTDECLKEPEKWNIPEDEVDDMDDCTMFSESLSP >OGLUM08G08380.1 pep chromosome:ALNU02000000:8:7907997:7908452:-1 gene:OGLUM08G08380 transcript:OGLUM08G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPWHSPVPYLFGGLAAMLGLITLALLILACSYWKLNNYLGTGHSSSAAAAAAGDGGDGDGGSKSPATAVAAFPVVYGDLVAVVMAGERMPTFLAAPIVRRPPSTDTSSSAVAEVASPENGCDAAEGGAASRPPPQPVAARQAVQLAQL >OGLUM08G08390.1 pep chromosome:ALNU02000000:8:7915968:7918490:1 gene:OGLUM08G08390 transcript:OGLUM08G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFALFSSAHHAIAAKAALQDLVFDAETKTALHTEMAKKNLFVKRGVGNDANAMDQSKRLRTGGDYTHSPYAAPPYHPPPPAVSMWGTPGYIAAPPPYNHYAAYSVPPVAMTSPSPVPGPTAYAPVQTLLPLPILYNFSSSSLKNNQNTKDNPPCNTLFIGNLGETVIEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAASAVHHNLQGAVIPSSGRGGMRIQYPLFAQ >OGLUM08G08400.1 pep chromosome:ALNU02000000:8:7920912:7926915:-1 gene:OGLUM08G08400 transcript:OGLUM08G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVKVFDLTVVKPSDFVQYVLGCLEQLADAGDHSAKSIRHNLRVMVAGGDGTVGWVLGCLGDLYVQNREPIPPVAVIPLGTGNDLSRSFGWGASFPFGWKAAAKRSLYKAIFGSVSCLDSWHIVVSMPERGDEEEELDFPHSLRNLGECTFYDVWMLKWRMDFTIYGMKSHSLLVVHYLIRGLTNIIRLSIKKLDSSEWENIPRGFVEAQSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRLEIKGGQWRDAYMQMDGEPWKQPLDHEYSTFVDIKKVPYPSLIINGGDR >OGLUM08G08410.1 pep chromosome:ALNU02000000:8:7927026:7929371:-1 gene:OGLUM08G08410 transcript:OGLUM08G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASEQPRGDQVWSSSSPPRSSTPPPQPLVGGLIESLSFRSCGFGRAAVSAFEKEDLRARAALPQRLRAAVHAALRAKDPSAGAFAYVAGNAAARGGRGGGGSGGGREVSAANPWFEVAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGWLRRSADLHNALIRALAGSARPHLALPLYAHLLRAGHHPTPHTLPSLLKSLALSPAVPGARGLALAVHAHAVKLGLAGFLLVSNALIRVHAGLLGRLSDALVLLRTAASVDASTFNTLITAYARAGRVTDARAMFDEMPARNAVSWSAMVNGYVQAGDGREALGLFARMQADGVRPDDTVLVGVLAACAQLGVLEQGKWVHGYLKANNIRITVFLGTALVDMYAKCGEMQLAMEVFKVMKEKNVLAWTTMIKGLAMHGRGSEALELFSQMERLGVKPDDIAFIGALCACTHTGLVDKGRELFDSMVRKYGIKPKIEHYGCMVDLLARNGLLNEAREMVEKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWIELEPDKSGAYVLLGNIYAASGRHASAREIRHLMREKGVDKTPGCSTVEIKGVIHQFIVGDLSHPFIEEILSKWDEIDSRIRLEEGYVPDKKEVLLDIEEEEKENALSRHSEKMAIAFALINTSDDMPIRIVKNLRVCHDCHHVTKLISKVYGREIIVRDRTRFHLFKDGSCSCKDYW >OGLUM08G08420.1 pep chromosome:ALNU02000000:8:7959380:7961861:-1 gene:OGLUM08G08420 transcript:OGLUM08G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAAVVARGELLGERRRHCHLGSGREAPPQPPLGGGRELHLKEVAAGEVMGGLSMDQAFVQAPEHRAKSSVAEADGIPVIDLSALFAADGVDVDALAAEVGRASQDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAAQMGYYASDHTQNVRDWKEAFDLVPTRHPPPPPPPPPAVVLDNKWPDDLPGFREAMEEYGEAVEEPAPDLALGLGHHKDAGALTVLYQDAVGGLDVRRRCDGEWVRVRPIPQSFIINVGDIIQVWSNDRYESAEHRVAVNVEERFSVTFFFYPASYTMVEPLEEIVSEESPARYNPYCWGEFFSTRKNGNFKKLDVDNIQIAHFRKKALVHIQ >OGLUM08G08420.2 pep chromosome:ALNU02000000:8:7959380:7961861:-1 gene:OGLUM08G08420 transcript:OGLUM08G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGAAAVVARGELLGERRRHCHLGSGREAPPQPPLGGGRELHLKEVAAGEVMGGLSMDQAFVQAPEHRAKSSVAEADGIPVIDLSALFAADGVDVDALAAEVGRASQDWGFFVVVRHGVPEEVVARAAEAQRAFFALPPARRAAVARSEAAQMGYYASDHTQNVRDWKEAFDLVPTRHPPPPPPPPPAVVLDNKWPDDLPGFSPDLALGLGHHKDAGALTVLYQDAVGGLDVRRRCDGEWVRVRPIPQSFIINVGDIIQVWSNDRYESAEHRVAVNVEERFSVTFFFYPASYTMVEPLEEIVSEESPARYNPYCWGEFFSTRKNGNFKKLDVDNIQIAHFRKKALVHIQ >OGLUM08G08430.1 pep chromosome:ALNU02000000:8:7966415:7969491:-1 gene:OGLUM08G08430 transcript:OGLUM08G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase epsilon chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT1G51650) TAIR;Acc:AT1G51650] MSATTAAVPFWRAAGMTYIGYSNVCAALVRRCLKEPHKSEAASREKVHFAISKWADGKQEKPTVRTDDE >OGLUM08G08440.1 pep chromosome:ALNU02000000:8:7970277:7971128:-1 gene:OGLUM08G08440 transcript:OGLUM08G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKLDDQDASSCVAMPGKRRSPALYPSIDLPALANSKSQVRFSTDFLIVVDFEFHRIEITITMRFVSAYLMAYIGGNESPSKDDVRAILGSVGADVDEAKLDLLFEEIAGKDIPELIAAGRERLALAAPCGGVAAAAAGGQAVAAGGAAAAAEEEAEEEEEKKKEEEEDDDGLFNLFD >OGLUM08G08450.1 pep chromosome:ALNU02000000:8:7995476:8005492:1 gene:OGLUM08G08450 transcript:OGLUM08G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSIVGQILEKQVLSVAKAVEDKLDEQIAALDRLDPDDIEALRERRILQMRRAAERRAKWRALGHGEYGEVPEKEFFAAAKASDRLVCHFYRDNWPCKVMDKHLSILAKQHVETRFVKVHAEKAPFLTEKLRIVVLPTLALVKNTKVEDYVVGFDELGGKDDFSSEDLEERLAKAQVIFLDGEGPAHASKQATKRSVRQSDTGNSSDSE >OGLUM08G08460.1 pep chromosome:ALNU02000000:8:8031670:8035314:-1 gene:OGLUM08G08460 transcript:OGLUM08G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADLSTIQQTLTPEAAAALARAMDEAGRRRHGQTTPLHRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSAAVKSIIEQSLSAPSPCPSAAASTTTAGPGPLSPSPSPLPRAGAANAYLNPRLAAAAAVASGGGGGGGDDARKVIDVMLKPTLRNPVLVGDAGPDAVLKEAIRRIPTAGFPALAGAKVLPLEAELAKLAGDKAAMAARIGDLGAVVERLLGEHGGVVLDLGDLKWLVDGPAAAASEGGKAAVAEMGRLLRRFGRAGVWAVCTAACTTYLRCKVYHPGMEAEWDLHAVPIARGGGAPIAAAAAAGSALRPGGSGILNSSMGMLSPALRPMPVTPTALRWPPPGSDQSPAAKPAMCLLCKGSYERELAKLEAEQTDKPASRPEAAKPGLPHWLQLSNDQNKAKEQELKLKRSKDELERKWRETCARIHSARPMAPALSVPLATFTPRPPVEPKLGVARGAAVPTLKMNPSWEKPSVAPTLELRKSPPASPVKTDLVLGHLDPGTNPAVENEQKESCEGLTALQKAKIAGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRNVGTRGDMWLLFVGPDQAGKRKMVNALSELMANTRPVVVNFGGDSRLGRVGNDGPNMGFWGKTALDRVTEAVRQNPFSVIVLEGIDQVDVVVHGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWVPEELKGSNVETLLRGEERMLESTSSSWQLELSIGDKQVKHRADWLCDDVRPAKLAKELSSSHGLSLDLNLAVGALDDTEGSHNSSDVSVEQEQEKGQLAVKRSTPAPGSDILELVDDAIVFRPVDFAPFRKTVTDCISAKFESVMGSSSSFRIDEDAVDRMVGSVWLTDEKIEDWAEKVLKPSIERLWHNVKHDSGRSIIRLTAVADKALPRWGGGREGLPVAVTIAIDGM >OGLUM08G08470.1 pep chromosome:ALNU02000000:8:8159758:8160249:-1 gene:OGLUM08G08470 transcript:OGLUM08G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMAAAMAKTIVGATATATAAMRSGGADPPPAGSKRADPPLAVSGVADPSPSSSTTADPIPAISGTADSPPGPAAVKGRVDGALAAVALNKGLIVEVSLADEPNLPPGQSSSECTPPPTVVEGDGEASSSSGANPPLSNPRSSPTLVPMFAHVSVMATTVDR >OGLUM08G08480.1 pep chromosome:ALNU02000000:8:8200755:8205060:1 gene:OGLUM08G08480 transcript:OGLUM08G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose-synthase-like C4 [Source:Projected from Arabidopsis thaliana (AT3G28180) TAIR;Acc:AT3G28180] MAPPPNTYSESWWGGKEERGTPVVVKMDNPYSLVEIDGPGMAAPSEKARGKNAKQLTWVLLLRAHRAVGCVAWLAAGFWAVLGAVNRRVRRSRDADAEPDAEASGRGRAMLRFLRGFLLLSLAMLAFETVAHLKGWHFPRSAAGLPEKYLRRLPEHLQHLPEHLRRHLPEHLRMPEKEEIEGWLHRAYVAWLAFRIDYIAWAIQKLSGFCIALFMVQSVDRLVLCLGCFWIKLRGIKPVADTSISNDDIEATAGDGGGYFPMVYETSISHVCQIDWPRERMLVQVLDDSDDETCQMLIKAEVTKWSQRGVNIIYRHRLNRTGYKAGNLKSAMSCDYVRDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLSFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKAYRKQQHRWHSGPMQLFRLCLPAVFKSKISTWKKANLVMLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPIWVICYVPVIMSVLNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRTSSESDILALAEAADADARPPPAKLHRGVSEGGLKEWAKLHKEQEDATAAAAAAAPGTPVKKSKAAKAPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >OGLUM08G08490.1 pep chromosome:ALNU02000000:8:8212557:8215638:1 gene:OGLUM08G08490 transcript:OGLUM08G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRRRAARLTSPLSRTSPRRLLNSSPPAGTLLRLQPLPSEPWIRRRPDFHLHPPACDVSGFDWKFPSRGLKEEIAHNKDGICNPNLPRSTSSRQALSLCT >OGLUM08G08490.2 pep chromosome:ALNU02000000:8:8212557:8215638:1 gene:OGLUM08G08490 transcript:OGLUM08G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPRRRAARLTSPLSRTSPRRLLNSSPPAGTLLRLQPLPSEPWIRRRPDFHLHPPACDVSGFDWKFPSRGLKEEIAHNKDGICNPNLPRSTSSRQALSLCT >OGLUM08G08500.1 pep chromosome:ALNU02000000:8:8217045:8219729:1 gene:OGLUM08G08500 transcript:OGLUM08G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGVRLKAGTRPPWVGLAAAVWVQMAAGNAYTFPLYSPAIKAALGYTQQQLAVLGVAKDVGENFGIVAGVLCNSFPPWVVLLVGAAFCFVGYGALWLALWIVLAMATNSNAWFLTAVLVTNMRNFPLRRGVVAGLLKGYIGVSAALFTHVFSGVLHRSPTSLLLLLATGLPTICLATMYFVRPCTPATLDAATTDADTEEDGHFAFTQAVSVVLAVYLVTTTVLGNAIKLSDATSYTLFIVTVLLLLAPLTIPVKMTLFRSSPRWRSTETTEEPLLIPPHVVVDGGGDGDEEESDKVDLLLAEGKGAVVRRTKRRRPRRGEDFEFSEALVKADFWLLFVGYFIGVGTGVTVLNNLAQIGVAAGIGDTTVLLSLFALGNFFGRLGGGVISEKFVRSTLLVPRPIWMALTQTVLVIAYLCLAYTLGPAVTYACTAAVGLCYGVQFSVMIPTTSELFGLKNFGLFYNLMSLANPLGAALFSGELAGRLYDEEAARQQHSGGACLGPGCFRAAFVVLAGACSVGTTVSLVLAARIRPVYRALYSGGSFRLPNASQQH >OGLUM08G08510.1 pep chromosome:ALNU02000000:8:8221992:8232144:1 gene:OGLUM08G08510 transcript:OGLUM08G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MATPQQCWLPTRARAPPPPPPRAPPSAAPVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLILGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >OGLUM08G08510.2 pep chromosome:ALNU02000000:8:8221992:8232144:1 gene:OGLUM08G08510 transcript:OGLUM08G08510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MATPQQCWLPTRARAPPPPPPRAPPSAAPVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLILGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >OGLUM08G08510.3 pep chromosome:ALNU02000000:8:8221992:8232144:1 gene:OGLUM08G08510 transcript:OGLUM08G08510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SECY homolog 1 [Source:Projected from Arabidopsis thaliana (AT2G18710) TAIR;Acc:AT2G18710] MATPQQCWLPTRARAPPPPPPRAPPSAAPVSGAPASLRFRGRRSASASASASAARRRGASLPCSPRCALETAGPGFDPLGLYNDGPSRNDTQSPLSSFFGILSPVFGSSSGGRKEKSSYGRGAAAAIEDSSIDIGDFFKGPLPGKFLKLLGYLALSRLGIYIPLGGVNRDAFAGNLDQNSLLGTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQLYPKLQDLQKKEGEAGRKKVLQYTRYASVGFAIVQAIGQVLFLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVAQAFQDGNYVGLLTIILSFLFLILGIVYVQEAERKIPLNYASRYSSRSGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLDFLKKAAISLNPGGALYIPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAFIKTVLSNISVLGSAFLAVLAAGPSVVEQITHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >OGLUM08G08520.1 pep chromosome:ALNU02000000:8:8228342:8231667:-1 gene:OGLUM08G08520 transcript:OGLUM08G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIVLKLKDFSRIWISREESMLDPGGNAVLIWKRVFLVSCVASHFIDPLFFFLPIVEGRDRQLCMTMDHHLAIILTCLRSFLDIFFIAHIAISFSTAYVDPSSKVLGKGELVTDPKKIANRYIRRNFFIDLVAALPVPQVLVWIVMPSIGFKHINAPFFLIILVQSAIRLYIVILLSLSIMEMVGFIAKNGWEGAIYSLVLYLVASHVVGAIFYLTAVDRQKTCWETQCSIEDRMAHKGLCDLHFLDCKYATSSNSQSWANSTNVFTDCNANSNSVSINYGIFIQAIQNGVTKTSFSEKYFYSLWWGLQQLTTYGNPLVTSSFIGENLFAIGLTLLSIGLFAQLIGNMQIHMRSLSKNTEDWRMWQTEMEDWMKDHQIPDELRYRISKFFKYKWIATQGVEEDSILRQLPADLHRDIKRYLCLDLVERVPFFSAMDHQLLDAICERMTYFLRTEGTYITREGDPVKVMLFIIRGKLESSTTDGGRTGFFNSIILKPGDFCGEELLTWALLPSSRDSYPSSTRTVKTITELEAFSLQADDIKCVASTFRMMHSKHLQHTFRLHSYQWRTWAARFIQSAWRRRRNRQKMAEVGLSNRWKSFFSLVNDFNEMRSEDVNGSSSTVSHRETVTVSKIASIFKKAQKERPEEPDFSADHHPE >OGLUM08G08530.1 pep chromosome:ALNU02000000:8:8248941:8252686:1 gene:OGLUM08G08530 transcript:OGLUM08G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPASTSSFGRVGPGHLNKLSTRWQKKFGEEIDQDLFYLISVERILHIEDFNEDGMWVIPSEYSDAEPDPLRNFAESIVEEMNSKHAEDVHRIYSIYVESDFQATEVKMIWVDRLGFDLHVHSGEGTFAARIPFTREVSDEKGVKSSFNMMSHHAWEVEKSYAAPEFEKVELLKKGYRNGYRQ >OGLUM08G08540.1 pep chromosome:ALNU02000000:8:8254580:8255053:-1 gene:OGLUM08G08540 transcript:OGLUM08G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKASVVCCILLLVLALGGPASATDYCYKAIGKLIVCVGPTCKLDCWLEAKYNKGRVKRHKCMKHGIFAKCYCEICVTF >OGLUM08G08550.1 pep chromosome:ALNU02000000:8:8265764:8269859:-1 gene:OGLUM08G08550 transcript:OGLUM08G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLCHRSVPVSLASSTAGFSPKSTKPKSSLTSAWEKVTRSNIATSLGYLYTICFHIADLRGFHMQVPLLGFLEIHQRQSH >OGLUM08G08560.1 pep chromosome:ALNU02000000:8:8271865:8272630:-1 gene:OGLUM08G08560 transcript:OGLUM08G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRVHIRACHNPLCVMGRI >OGLUM08G08570.1 pep chromosome:ALNU02000000:8:8277652:8278476:1 gene:OGLUM08G08570 transcript:OGLUM08G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADNLPAEVSHPRLGSGCTLTLVLHRHGDAATIFDCEGCREPGEGTRYTSGDLVLHTHCALAAPTLQHPLVKGDMVLRHVAPTGRDAVLCDACYGAVRGFHYHSSTSGLDLHPGCAKMPVSITLQEGGATFVLRTEVSHRCTSCRAMEGFYRPWCYRSTNPEQRMYLHVKCIKEIMAGLGHGGGSGEASKMHHHEIMAAGSSRRGADAGGEADDRVNRVIARLQERAGGGGSSKSKLVRRVCEVLVMLMRVVMGVLLGDPTAPLIAFNFIMP >OGLUM08G08580.1 pep chromosome:ALNU02000000:8:8279982:8280881:-1 gene:OGLUM08G08580 transcript:OGLUM08G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVLVEEEEAPPMCKKEAMAAIVEGLPGRDECDLETLVVVVVVVVVGPAVAAVAARAAAGYESRQGVVLKDRLGFGPKAFLTFQP >OGLUM08G08590.1 pep chromosome:ALNU02000000:8:8283701:8285121:-1 gene:OGLUM08G08590 transcript:OGLUM08G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLSPALARPTWPSSGGKPLPQEDNCLERSFSTPPSWRYRHRRLDHVARVPATGHLVSSPSPPSPHHDSLAAAAHYPCRARTTAPSASAFHSPCQSSTATLPHRRPLEPSGTPPITTVPAYRHHPLLPADFSISPPPSPPASHRRRRGYAPTVDVALFRLQTSPPLPLTLPPPRCRVSPTPAQGLAPRVPLAEPALTPRTSAASTLPCPATRAINESSSSELTSRIESRTSQARVAREPRAFVTALPATAVSRGSGRKAAGFGPPRRLHVRAATEAHVATSTQPRHCHRRIRTGGYRIWPSARPCHRGVSHTVGVVGVNYVT >OGLUM08G08600.1 pep chromosome:ALNU02000000:8:8286225:8286732:-1 gene:OGLUM08G08600 transcript:OGLUM08G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVGLASSLPPTRSRPLGTRRRLRAHLARPPTPWHGFALPLVAPPSWRSRSRSMVVTALAHQLTGPPSPPSSLFRSLVAALHPAQGIGAVHLIGRLRFAQSQDTTAADYAAPNGPRKCIGPSPHMWAALSCSACGPLNCLGSPKMG >OGLUM08G08610.1 pep chromosome:ALNU02000000:8:8288042:8289368:-1 gene:OGLUM08G08610 transcript:OGLUM08G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISHRETETRRMFVEWKAKYDKTYASVAEEERRYAVFKETRRAVDQHNAGLQSYRVGLNMFADLTDDDFPPGCGLGVCWQCDTKMPSVRVLCSSTFSCWISSKFT >OGLUM08G08620.1 pep chromosome:ALNU02000000:8:8294978:8295952:-1 gene:OGLUM08G08620 transcript:OGLUM08G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGAPPGFFSFLKHGVLVPARGRGVFLPLLALTAALAGALLLANSLAVQPRAVAALLDADAVSRADPASAAYPKLVRRFRDDLRGLLLDAAACVAAAMVAGSAIKIATVFGAVAAFSPACGEDRRATVSGLIGAARGNVWGPVLTIAFGYVLEVVCAAAIVAMAMLVVPLLEYSLLLLFLDAMAVLLASLFLVYLTVVCAVALAVSAAEPGRRGAGAVSRAWRLMSGKNAQAVLYVVATFALAAAVSPVYTLALRWWPRSAPAGIAAGVAYVLLLGAVEVFSVAAVTAYYFECRDMKQVEEDMAAGHHHYTKLSNGDEANI >OGLUM08G08630.1 pep chromosome:ALNU02000000:8:8297440:8298512:1 gene:OGLUM08G08630 transcript:OGLUM08G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCPSDAARAQRCPSAEVAVRPPCLSAFSLRRGHPAGTERTRLQQQEKRKQTERGREIGRRGEAAPRPTRGGKLACPRAPPSAAKGEEEGGSFRWVSHEAALGDGEQRAQDQYDLIDFSRPQALTGNQVGSGGMQGTTTTKVGRNGDRADAGVEGPGATRATDGRGGATVAARKVGDMRRMSCVEAKDSLTIVALQSLVTVERPVRGDLEEHIPKPLLAAPDIFDRAQLLRNRPPPAPASTSAPIATASPRHPTACFLPRPVGQPARGEWGGRRARATLSPRAATREPPAAPPSGCAHRCGRRP >OGLUM08G08640.1 pep chromosome:ALNU02000000:8:8374845:8375615:1 gene:OGLUM08G08640 transcript:OGLUM08G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFPAEIIHPARLGCMLRLVEHRTGDAAVAFQCDGCMLPGEGTRYTSVVDNHPTHLALHTSCALATPTLQHALVKGTMELRHEAPAGGAGVCSACFETVRGFHYYGSRKTGKGEHPKLHPCCARLPVSIAVRGGLTFELRAEVSHRCTGCRAMEWYYRPWCYRSTNSPDHRVYLHVKCIREIMESPGGGGGGGAGDEDDRVVARLLERADQSSKLERRVCKILVILVRVVVRMLIGDPTALLTEGVSAIVSPW >OGLUM08G08650.1 pep chromosome:ALNU02000000:8:8391795:8394409:-1 gene:OGLUM08G08650 transcript:OGLUM08G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLGGCALPYSLSLPGQWLAGGGGSGHALPFARSCRRGGCGLPRLAASSPRAGAASSCVRRPDGGGRAAPRPPPPDLVAEGATLVAEWRTSAAGGGGGGGRRRLVYVIFMLLAIGMIPLLLLTFAIIGGGLLVSPAAAAAADANVDIGGGAPPPPVATRVSARGGGGL >OGLUM08G08660.1 pep chromosome:ALNU02000000:8:8401686:8406286:-1 gene:OGLUM08G08660 transcript:OGLUM08G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSARRPLYSPLSIAEGIRNGPVKKILSQIKIRKAFQTPKIDGDVSYQQLGALTNHQRQQVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPELRRYDAMEAVCSEVKLPEVSEVTQGGCNVTLEVEIWKMECDDTAATGTTHAAGDTAPLAHWDRQVSP >OGLUM08G08660.2 pep chromosome:ALNU02000000:8:8401435:8406286:-1 gene:OGLUM08G08660 transcript:OGLUM08G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSARRPLYSPLSIAEGIRNGPVKKILSQIKIRKAFQTPKIDGDVSCKVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPELRRYDAMEAVCSEVKLPEVSEVTQGGCNVTLEVEIWKMECDDTAATGTTHAAVIRL >OGLUM08G08660.3 pep chromosome:ALNU02000000:8:8401686:8406286:-1 gene:OGLUM08G08660 transcript:OGLUM08G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPSARRPLYSPLSIAEGIRNGPVKKILSQIKIRKAFQTPKIDGDVSCKVVAPETDDLEALTGCQCRRDLPTDGVAAEVEVLELREAPELRRYDAMEAVCSEVKLPEVSEVTQGGCNVTLEVEIWKMECDDTAATGTTHAAGDTAPLAHWDRQVSP >OGLUM08G08670.1 pep chromosome:ALNU02000000:8:8401503:8405706:1 gene:OGLUM08G08670 transcript:OGLUM08G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALIMELLLFVSFLLPCWANAVPVATLSTPKTATNTATDHLALMEFRSMITGDPSQALGSWGNLSIPMCQWSGVTCGMRGARRGRVIALHLPDLNLQGNIASSLGNLTYLRQLDLRANSFHGIIPSELGRLAQLEHLNLSSNSIGGEIPPALASCERLQVVSLRRNNLQGVIPAAFGDLSELQVVDLKHNMLKGSIPREIASLQNLKGKFQQTIGNLENLSELYLSLNHLTGPVPSSFGDLKKLQILYLDNNQLSGPIPSSLGNLSYLAVIDVQVNGLTGNIPESLGNLNLLSVLSLTSNKLTGQIPGTLGNLYSLTEFYLNGNELEGLIPSSIYNLSSLLFFSVGQNKLSGYLESDVGNKFPKLKRYDVFNNTFHGTIPLSLCNVSTLEMLQLAINSFSGVVPNCLGNLKNLFALLLGENQLEARNDEDWSFISSLTNCTKLQYLALSKNKLEGTFGITNNTVSGNIPEGIGNLIGLVTLHLGENLLNGTIPDSLGNLQNLVELDMTLNKLSGLIPTTLGNLTMLNRIILDKNMLNGPVPSSLGSCPLEFLSLANNQLVGPIPKEIFLISTLSDFVSFQGNKLTGILPPEVGDLINLARLDVSGNRISGPIPASLGKCSSLQYLSMQENLFEGTIPSSMEQLKGLQVLDLSRNNLSGQIPEFIGRMQGLTNLNISFNNFEGQVPKLEIFLNASTFFIEGNSGLCGGIPQLNLPPCINHTSKKWSHKLVLAISLGSITLCIILACSLFIIWKSKDHVRNIRQILSLPNGPRMRVYYADLVKATSGFASENLLGTGSFGSVYRGTIMNDGQEVSVAVKVLRLQQRGASQSFLAECETLRCIRHRNLVKILTVCSSIDSSGVDFKALVFEFMPNGDLDKWLHHHLLEDGNHRVLNLSQRIDIAIDVACALEYLHCHKPVSVVHCDLKPSNILLDNEKVAHLGDFGLARFLHEDDTSLPVISSGWATRRGTVGYAAPEYGQGNEVSTLGDTYSYGILLLEMFTGKRPTDDEFAHDFNLHKYVELALRDQVTRVVDQDLLSATEDGEQKTPMPDSITGITIASITSILKIGTLCSKELPADRMQISDAMKELLHIKEKYRTHLPRIDDQQVKE >OGLUM08G08680.1 pep chromosome:ALNU02000000:8:8406299:8406694:1 gene:OGLUM08G08680 transcript:OGLUM08G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAHLPATRAFANKIASSPRSWPAPCAFQIPAADLISPIRPRSSRARKKKEEDGDARCEPPLRRSGRRRGQKAAGGRGSESAKKRGVRELEAEKPAASSARRAGGTVAVRRPLPRCVGASGCAAAGRDF >OGLUM08G08690.1 pep chromosome:ALNU02000000:8:8409336:8409682:-1 gene:OGLUM08G08690 transcript:OGLUM08G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGARAVVSFAIQLLLLTFAIIGGGLLVRPAAAAAAAGGRSGYIGVPGSISGGGSVTSPPSPVVSGPPHGAEVIGRGH >OGLUM08G08700.1 pep chromosome:ALNU02000000:8:8415617:8416020:-1 gene:OGLUM08G08700 transcript:OGLUM08G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKRRGRASMLQHGVAEAAVQHQQLQHGMAGAVVWWLNKEERKSAIEEDSTFGLEDEKLKEEMKGSAGNWKLWRCFHGEKWKKRLEHGYGEVNRQKMERWLGNWKKQIKLNRLIQFLSFKWRN >OGLUM08G08710.1 pep chromosome:ALNU02000000:8:8416044:8417802:-1 gene:OGLUM08G08710 transcript:OGLUM08G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLSLLINGCETVEMHVHGNGSSKEDSSSERQRQMVEVKTKGLCGVDSAPGTAGFLGAAVWSREAMIPATTMTCFVICKWNHDHVHAQDDKLGRKKNDEGGGCRAASREAACRRDGSRPPSGCDDGTGSRYRCSGLFPPQ >OGLUM08G08720.1 pep chromosome:ALNU02000000:8:8425732:8426075:-1 gene:OGLUM08G08720 transcript:OGLUM08G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAPTVVLFAIQLLLLTFAIIGGGLLVRPAAAAAAAGGRSGYIGVPGSISGGGSVTSPPSPVVSGPPHGTEVIGRGH >OGLUM08G08730.1 pep chromosome:ALNU02000000:8:8427134:8427827:-1 gene:OGLUM08G08730 transcript:OGLUM08G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGVPDQDTPYLEVVAPAIPSLGAPDPAVLASATPVRSVLGVESKRRMSPSTPSSKELDKLKHEETELLVRLQECRVKIDVAKAKLDDLPKAIEEQKSKLKASAKHLAGLTKAMKPIPGSDAEDRRIIEEVDGLRLCAIEALL >OGLUM08G08740.1 pep chromosome:ALNU02000000:8:8432148:8434110:1 gene:OGLUM08G08740 transcript:OGLUM08G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKRESITWEYPNGAKAAHVDGITPPAGGQTASMMDSMPPPHRLADRFLLSLSATRRRGLRRPRRWIASPPNCLYIALSPMDRSVDL >OGLUM08G08750.1 pep chromosome:ALNU02000000:8:8443788:8445344:1 gene:OGLUM08G08750 transcript:OGLUM08G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLIISSLMMLLALVLYLCIGWPILSTLELPSIVANLHNLHDHLAGVLAASGHTVMARGTAATSSIRFFITCDPENVRHIFTSNHGNYPKGHEFAEIFDIMAGVSFTLDGEPYLRLRAKMLSVLGDPRLVASMAASFLDKVRDGVLPFLARVATAGAPFDMQDLAGRLMFDVTLNIGPERRLAAAHRVLHGFVGEMMGARTKATQSPHRDADADADSVDIISSHINDPEYNGAALLQTTAIAYMVSGRDTIGTTLPWFFYNLAVNPRVVSGIREELKPIAASRRAASPSPSPSNGDRTTTVTFSPEDTKPLVYLQAALFETLRLYPPGHMERRRRSPTTMESLWGKDCREYRPERWLTGGDGGGGGGAQLRHVPSHKFLAFNSGARMCPGKSIAVMLMKTIAAAVVWNFDVEMVEGQAVEPKMSCLFQMKNGLMLKVQKRQI >OGLUM08G08760.1 pep chromosome:ALNU02000000:8:8461045:8461626:1 gene:OGLUM08G08760 transcript:OGLUM08G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRQRGRQEPATVTRAWAAGSRWRGAGSVAATARRGTGTATLWSGAPLARLASPPSQVQTKGGGRRPVAWDGRRRGTAVRQQRPHAAAEEGGQRQRSHLPDPASGPPIPDPEALWGRVAIATACSGGGRRSSAALPSPHGSGCVMGRVAAEVTRRQEVIGGGSGAPTPRSGRRLPSPSLPSPPLGGSGGLAR >OGLUM08G08770.1 pep chromosome:ALNU02000000:8:8476753:8485600:1 gene:OGLUM08G08770 transcript:OGLUM08G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVGLLAMAVVREAGAKLGTAIGEQVMMMCGFKEDLEDMKDMLESMAAVLKDAERRSVTEESVLLWLKRLKNAAYDISDMLDGFQDKSKSTTAGKALSLGVTLLGLNRVRPMKTMLSCFAIAPKISMANKMKKMRDQLRKIKEGHEAFRLTPDSTPYNEHHRPDPRETTSKVIQSSIIGRDKERKQVVSLLSASDEEDTVILPIYGFGGIGKTALAQLVFNDTQFRGYDHRVWVYVSQVFDLKKIGNTIISQVSNEGNKNEYTRGNINGRLCDLLEDKNTLIVLDDLWETNDFHLNELKLMLNTKGKIKVLVTTRNEDVAKKICTHKPYRLNPLDSTMCWNIIKQRSNLEARGDKDQIEQVGWVIAKKCGGIALAAHALGFLLSGMDLVEWRALSNSDIWTEAFSDNSVLPSLKLTYKNMPPYLRLCFAYCAIFPKGHNIAKASLVHQWIALGFIEPSKTFSSVRLGEKYIRQLVGMSFLQRSILHTEQEVFTMHDMVHDVARSVMDEELVFFNDTKISSTTEQKFCHYALLENYSKPSNLSTILPDTLRALHTSNCSKLVLQGDEFSFTKFLHVLDLTDCSIRILPSSIGKLKQLRFLIAPNIGDNVFPKSITLLPKLKYLDLHGSFRISALQGSISKHACLIHLDLSGCSNIRVIQPEALCGLTKLQFLNLSWCSILQILPENIASLTELQYLNLSNCLLLSQLPSHIGSLTELQYLNLSGCQGLVKLPMSFRNLKNLVHLDLSGCSRVQDFKQVFGGLTKLQYLNLSKIFGRTRVGDNWDGYPETISTLNDLEYLNLSRNSRIDYLPRSLGNLKKLQTLDLSYCRSLRSLPHSIELIDSLEFLIVVGCSDQLKEYLRKSQFKNIPISLPHFIVHSISGDSRSNLHMLEDINPVRLEISCLENVKSIEEARGIELSEKQNLSTLTFQWTSRADRLLEDKDVLGELMPPRGLWNLSIQGYDSTTFPTWFVGISHHLPSLVKIELKDLHRCRHLPPLGQLPNLNEVHLQQMDSLTKIDGGFCGGDKGAFRKLKVFTLRDMKQLEEWSTTTHSKGGEDSIEFMFPMLVTLSIELCPMLRLKPCPPMFHSWLISESDKVISSWGEIRHQPAASVSHSFSSSSDTNLEIKGVGVAADEWRLLHHHPTLQQLEISWCKNLLHLPDAIRHLPSLSYPNS >OGLUM08G08780.1 pep chromosome:ALNU02000000:8:8496874:8503400:1 gene:OGLUM08G08780 transcript:OGLUM08G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVVRSLRQLRRFGQLHAERHSSTNRLIKQQNALVLCSSASSSMSTLCCNREIGRYVSPSVEILRSSFSTVAADSIKDVARGGPMVEYERRIASGELVDGDNFQIDTIQHLQRLYEELVENEEACQLDRYQSSEKSGRLTEINSREYLEDFEAKLRQPLQGVDNDIDVVLA >OGLUM08G08790.1 pep chromosome:ALNU02000000:8:8536393:8536923:-1 gene:OGLUM08G08790 transcript:OGLUM08G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPEAEVVAGARRRGKQLHGEWPAGASGGGRSGARAGAGGGGSSGPGGAAPLPSPSSSSSSSLAHAPTTAGKENSSPPPARSRTKWTAAGRPERERRRRRKGAAILLLVTVRLPAGVMRRVMKRRRKSRGRRRGRWGCRRAASDESLSGSAKAMIAAASTFDMMDDKAASAAE >OGLUM08G08800.1 pep chromosome:ALNU02000000:8:8537731:8541324:1 gene:OGLUM08G08800 transcript:OGLUM08G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAAVSVPFAVTPLRRLSSTTTRAFSFRVSAAAMAAAAKTAVQPAVVVGGGRVGQALLSMGPPGADVLVGRGEKVPEDAPGPILVCTRNDDLDAVLDATPRSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPEGLTAAFGNWAPAVATRLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEYRSEVASLIAELASAAAEERGLTFDAGIEERLCAYSRAVAHFPTAFKWRNGWFYSLTEKALAQGKPDPCPLHTAWLKEIKVI >OGLUM08G08810.1 pep chromosome:ALNU02000000:8:8541725:8544031:-1 gene:OGLUM08G08810 transcript:OGLUM08G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSRTHASKSIVPLRISLLLLLLSTPPCSSSTIIQHGEAESLLRWKSTLSAAASASPLTTWSPATSSSACSSWRGVTCDAAGHVAELSLPGAGLHGELRALDLAAFPALAKLDLRRNNITAGVVAANVSTRASNLTYLDLSDNAFAGHILDVLPLSPATLQQLSYLNLSSNGLYGPILRSLSAMGKMTVFDVSRNRLNSDIPSELFTNWVELTQFRVQNNSITGSIPPTICNTTKLKYLRLAKNKLTGEIPAEIGRLASLQALELADNFLTGPIPNSVGNLTDLLVMDLFSNGFTGVIPPEIFNLTALRTIDVGTNRLEGEVPASISSLRNLYGLDLSNNRFSGTIPSDLGSRQFVTIVLASNSFSGEFPLTFCQLDSLEILDLSNNHLQGEIPSCLWHLQDLVFMDLSYNSFSGEVPPMSAYPNSSLESVHLANNNLTGGYPMVLKGCKWLIILDLGGNHFTGTIPSWIGTCNPLLRFLILRSNVFNGSIPKELSQLSHLQLLDLAMNNLVGSIPRSFGNFTSMIQPKTELNLPWKVQHHILDGRVDYTYTDRIGINWKRQNHTFQGTVALMAGIDLSSNYLSNEIPSELCNLESMRFLNLSRNHLSGIIPKEIGNLKILESLDFSWNELSGSIPSSISNLMSLSSLNLSNNHLSGEIPSGYQLRTLADPSIYSNNFGLCGFPLNISCSDGSNSTSALIGGSTDSQELEILSWFYSVLAGLVFGFWLWFGVLLLFEPWRFAFFGQVDHLQKKIMQKICCMYAKSE >OGLUM08G08820.1 pep chromosome:ALNU02000000:8:8554501:8559364:1 gene:OGLUM08G08820 transcript:OGLUM08G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30840) TAIR;Acc:AT4G30840] MADPPSFRRHPHPFSIDLIRWLPSSSSSSDRLLAAAVHDPAAPSSSSSHLHLLPLHDPSSPLAALPLPSRAASLRCSPSVLAAATSSGSLHLLPSSLDAAGSAGVSVPAGAGFHVGPVRGLDCGGGGEEWVTAGEDGRVHVVGGGGDGRVVARRLWDGKGMAGYEAARWASAAEFATGGAGCGVQWWDRRKGDAVVAQCKGVWGRGIVTGMVHSIDIHPSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGLGLNGTAQPVSESEVWEVLFDNYTQSTDIISSASTRILPVMMCSEDGILAVVEQDERPLELLAEPCAINSFDIDPENPSDVVCALEWESIGVLTRGRDTMAEE >OGLUM08G08830.1 pep chromosome:ALNU02000000:8:8563556:8569014:1 gene:OGLUM08G08830 transcript:OGLUM08G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAEFIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFHDCNRMLSTIRTAFASVQVDLPLHADHAPFDTITLPDTFHLDDINLDDAIRLIDTPDNHRKSLDQITLAEGDYLMIDLDEDARVELSAPGQSSHMGPEPTDEERLPPFHIDFGPSDNMDEEISVDPSQGNNSEAVNTSNINQADKAPDLPEIMREAPFEGPELNLPDIDEVNNDPMDVTEESSPFVSKNITPPALERTISPGQGGLSGTSIPNARGSTSTTYDNIEDVIPMDIGIPDFRIEPSPPRVQDEMNAQPVQGEMNAQPVQDEMNAQPVQDEMNAHPAQDKRRIRYDNEIVFSNAYMKRQIDGGELHRLVSKRRKLPQAAVDVWKFNRIRQKDGFLLDPLVHGMCATLRQTYERTFPHVIDPEAESGSVEHTPGVANDSIQDTHDHQLSPKSPGNTDAQPEHQFNQQAPRNSDGQPEPELNPKSPVNAEAQPESQINPQSPRNADGQPEPELNPKSPGEAGTSHFDDMPEIPRFSPQNIPSPIRDDNSPFKTPGAGGTPKSRLGETPASGTPADMSYMSPGQDSDPQVSPFPFNDELDGDLPEIPSLMSTPGVISTAGLWHSTSKIKWLRLHQMTNLVLKSYDYIDVEQEAAYGDIAVSVKPSLSGAKF >OGLUM08G08840.1 pep chromosome:ALNU02000000:8:8571626:8572708:1 gene:OGLUM08G08840 transcript:OGLUM08G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDDSDVVVYIEWRKRIMMWMGCRCLPAIEEKRKQQCSGNEQEGKEGRNDLGFRKRRRCWKQDDHDMAMKLNLLVAEQQWEIASRPVQTPNGEQGGGNNEELECGACAYCDRGVVARWSRNGALMSQDDDGTGNGMAVGEAPMDGEMARALGHSRGGTLNKVAGENDDRPVPSQAATAVLAQSPLSRVAPRALQAMMSSGSNDMGDGVIVEQKGDEGGV >OGLUM08G08850.1 pep chromosome:ALNU02000000:8:8578136:8579949:1 gene:OGLUM08G08850 transcript:OGLUM08G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSMSSSSPPSPPLPPPAAASDVAGTDILLSLLPEILDDILTRLPLKEVVRTCCLSRGWARRWESASGLDVRFRGFYSAGAVAGVLARCAAPVASFDIEVRPRLRPRASYWLRALAEKRVRTLQLAFGSSRADEPGVFPGVGRAIYARAELSNLYLRYCELPRPPPPQPGQGSLFAGFPRLTRLALNSVKLPFAGAGALLERVIAGAPDLADLLLVDVITGVVAGGEKKVEEEEPEAWAIRAPKLHSLTLWTPAVDNGCRVAGELPLLNAANISVDAFLGTEDFLDTLWLVSRVKVLKFSVRDREVRTCFQTYE >OGLUM08G08860.1 pep chromosome:ALNU02000000:8:8584959:8586309:1 gene:OGLUM08G08860 transcript:OGLUM08G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMVDENPLERITWKFRNLRTSNLSVDFGKISSIMSILSLLRCAPQIEQLNIEVDLKEAQGDDEIHEGILEAYMSEDLVKTLKRVTLSFIKCFPGEMSFIKLLLSKAASLESLKVMMFWHHIMPVSDACLLFTTYKKESSTQVKFIVEHGMDTFDIGS >OGLUM08G08870.1 pep chromosome:ALNU02000000:8:8587031:8590100:-1 gene:OGLUM08G08870 transcript:OGLUM08G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLLLLLHVLALLLLAVVVAAGGGAVVGRDSAVLQLRELQWGSSGQKAAAAARTRTRSTTVLELKHHSLTAIPDHPAAQETYLRRLLAADEARANSLQLRNKAAFTQSGKKATAAAAAAAGAEVPLTSGIRFQTLNYVTTIALGGGGSSRAGAGNLTVIVDTGSDLTWVQCKPCSVCYAQRDPLFDPSGSASYAAVPCNASACEASLKAATGVPGSCATVGGGGGGGKSERCYYSLAYGDGSFSRGVLATDTVALGGASVDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTAPRFGGVFSYCLPAATSGDAAGSLSLGGDTSSYRNATPVSYTRMVADPAQPPFYFMNVTGASVGGAAVAAAGLGAANVLLDSGTVITRLAPSVYRAVRAEFARQFGAERYPAAPPFSLLDACYNLTGHDEVKVPLLTLRLEGGADMTVDAAGMLFMARKDGSQVCLAMASLSFEDQTPIIGNYQQKNKRVVYDTVGSRLGFADEDCSYA >OGLUM08G08880.1 pep chromosome:ALNU02000000:8:8595668:8598837:-1 gene:OGLUM08G08880 transcript:OGLUM08G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDVESAGDVAAHIAKFSPFIPRSKASRRRWPPSRSVLTPQEAIATTRGEEATANPNRRNMAAAAREDELISLPTDVLDIILARIPFDLLVRTCCLSRAWRRRWESVRYLDIRLGWGCRGAPSARDLWRCAAPIVGFRACVHTRHFHHLPTWFPALASKGVRELAIECDGVRRGHPDTPPYWVIDQGLFSCAALAVLHLEDCDMPLAPPGFRGFPSLVSLTLRGVTLPAEGGGARVEHLVAAAPLLAELRLDDVDVEELEDPTPPLYMWAVRAPRLRVLKMATRLDIGCRIPEEPPLLEEAYIGIGNSFMSFHEIFRGIITVRKLWFNIHEAEDISFSHRDDPYEIEEDDFISSGINENSFSSLKYVSLSGITYSSNQLRFMKFLLSKTESLQSFAVTFLYSKSNKEYVKACRVLRAFRRASASPQARFEVRLRDKPTPRPSFFSCLPP >OGLUM08G08890.1 pep chromosome:ALNU02000000:8:8599422:8608928:-1 gene:OGLUM08G08890 transcript:OGLUM08G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPPPPRRTDMLMALPPDILDDRILALLPFDKLVRTSCLSRAWRRRWESVRNLEIERILALLPFDKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEISLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDFGQRSSVLSLVSLLKFAPHIEHLYIRTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKVTTHGIHRPHRHLHLHLHAKTMATSGFAYSSPPKRQRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARWLRAMARKGVQDLSLKFSFDDLPRLPGPALFSCAELVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVDEFSINMLEGITCKFENLREASLNIDFGQLSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKVQILMYESKGFVQMICARLAPMYI >OGLUM08G08890.2 pep chromosome:ALNU02000000:8:8599422:8608928:-1 gene:OGLUM08G08890 transcript:OGLUM08G08890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPPPPRRTDMLMALPPDILDDRILALLPFDKLVRTSCLSRAWRRRWESVRNLEIERILALLPFDKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEISLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKFNINMLEGITCKFENLREGGLIIDFGQRSSTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKVTTHGIHRPHRHLHLHLHAKTMATSGFAYSSPPKRQRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARWLRAMARKGVQDLSLKFSFDDLPRLPGPALFSCAELVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVDEFSINMLEGITCKFENLREASLNIDFGQLSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKVQILMYESKGFVQMICARLAPMYI >OGLUM08G08890.3 pep chromosome:ALNU02000000:8:8599422:8608928:-1 gene:OGLUM08G08890 transcript:OGLUM08G08890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPSSPKRQRVAPPPPPPPRRTDMLMALPPDILDDRILALLPFDKLVRTSCLSRAWRRRWESVRNLEIERILALLPFDKLVRTSCLSRAWRRRWESVRNLEIELPRAYSGGGRALWRCARPVRFFSARVARRDVFRAARWLRALARKGVQDLSLEISLAGKQRPLPGPALFSCAALVQLDLEQCDMPAAPPGFLGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLNLSPVITINGGGVDTWAIRAPKLRKLYITMEMGDDNGCRIPMPLPMLEEVTISFDRLFGTQDFLDAFQNISTVNKLFFKSDKTDHSILDPVSSEDEMDEDSLNSEDEFDEDSLNSQDEIDEDSLNSEISSDLLASLKYVTLINMKYNSNQMCFMKLLLSKARSLQTFDVTFVYSYESNGRYGNACRELTECQKASPQVVLTAKVTTHGIHRPHRHLHLHLHAKTMATSGFAYSSPPKRQRVAAEAPPPPPPPATTGTRTDMLMALPSDILNDRILVLLPFDKLVRTSCLSRAWRRRWESVANLRIEFPASVSSSRALWRCAAPIRGFRARVATRNVYRAARWLRAMARKGVQDLSLKFSFDDLPRLPGPALFSCAELVSLRLEKCDMPAAPPGFPGFPNLERLYLVGVTLPYARAGTQLEHLILASENLAVLELSNLGTMDGAVVVDPWAIRAPNLRELSVTMPMGVDFGCRITEALPKLEDAYISFDCVFGTQEFLDAFQNISTVNKLCFMVDEFSINMLEGITCKFENLREASLNIDFGQLSSVLSIDSLLKFAPHIEHLEIQTLDTELDKEKIDEDSLNSEISSDLFASLKHVSLTGAKHRENQMCFMKFLLSKAGSLQTFAVTFMFDDDGKSEWFENKCKELIECQKASPQLLLTAKVTQDGSCQGAILDQLTGHLKVQILMYESKGFVQMICARLAPMYI >OGLUM08G08900.1 pep chromosome:ALNU02000000:8:8610475:8611323:-1 gene:OGLUM08G08900 transcript:OGLUM08G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAASSCASCSAAADSFSSSASSCCCCGSSRIQELGVGSGGEGCFCCASSPGYTPSSPMVMGSPRRAASPDYTPSTPPRRAASPDYTPSTPTTPRRAASPDYTPSTPTPPRRAASPDYTPSTPPPRAASPDYTPSTPTPPRRAASPNYTPSTPQRRAASPDYTPSTPTPPRRAASLDYTPSTPPPRAASPDYTPLSPPPRAASPEYSPSTPPPSPVPSDAESGTSPLWRRRRRHHPYQRRGSSIACSPRAVQVAGGHHHHPRCAILHGY >OGLUM08G08910.1 pep chromosome:ALNU02000000:8:8617556:8620474:1 gene:OGLUM08G08910 transcript:OGLUM08G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGSSSSPSSPKRQRLPAQAPPPPPPPRTDMLMALPSDILDDRILVLLPFHKLVRTSCLSRAWRRRWESIKNLEIELPRAYSGGGRTCGGARGPSAASAPASPAATSSVPPAGSAPWRGRASRISAWSSRRKPCRLPGPALFSCAALVRLDLEQCDMPAAPPGFPGFPNLERLDLVYVTLPFAGAGTQLEHLIVAAEKLAVLKLSLVITTTGGGVDTWAIRAPKLRELFITMAMGDDNGCRIPTPLPMLEEATISFDRLFGTQDFLDAFQNISTVNKLCFISDQFNINMLEGITCKFENLREARLTIDFGQRSNVLSLAFLLKFAPHIEHLCISIAYSEWDEDEIDEDPLNSEDEIDEDPLNSEDEIDEDSLSSEDEIYEYFLNSEISSYLFASLKYVSLTEVKVKDNSNQMCFMKHLLSKARSLQTFDVTFVCDDESNEWYGNAWGELMECQKASLPSCVDIKTDNRRLISQTHPAADAAFVYGRNTSELAILSRF >OGLUM08G08920.1 pep chromosome:ALNU02000000:8:8622568:8628931:-1 gene:OGLUM08G08920 transcript:OGLUM08G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSQDLVSPVTLAISGVQAKKRAASCFFSSDRLHQLQLAVVMLVTVDINSYRAAMKRHANFGCANTVVGCDIQAKRRARKKGRKGNRILTGQ >OGLUM08G08930.1 pep chromosome:ALNU02000000:8:8665399:8679110:1 gene:OGLUM08G08930 transcript:OGLUM08G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGDEP >OGLUM08G08930.2 pep chromosome:ALNU02000000:8:8665399:8677476:1 gene:OGLUM08G08930 transcript:OGLUM08G08930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMLYVMQYPLRPCWRPYELNEICKEVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKVKLDDNLKKKFLYPLCKIRAKLEDCKFVLPVDSSFIRRYPHIVKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGIKIK >OGLUM08G08930.3 pep chromosome:ALNU02000000:8:8665399:8677476:1 gene:OGLUM08G08930 transcript:OGLUM08G08930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDDKPPSLDADIDMADLATLDAPASSDAAAAARFRPRAKGKPKPKPKPEPKAEDSKLKADDSIPMSKPEEPKPEAAAPMEDSMEVDGVGPSASASAEGVGAEEVEDEEDYVLREIDVYFTPKPFDEDTMVRVKPLSSKVEVDLDINTECENYDPEVPLPSRLTEQTLSSSKAADVADYAVGVLRGNLVHLNHIDAVMQLRPSMLHVNSGRSNARQAHGGASSDASGSTMPSVKRNEHSEDSKDYTEESEPWISLTYQQAGSNVARKYHAEMVSDDGGPIDFTMSTSDYVMSLCPGGSTNSRDKNKSHAIREMLKLPLEERLKKWFTEVSQVNRFDALMHLAPLYSEDDVLKVLPVYADLVRGLWVCKSSLLFDDGYAWKRDRILLEFRKKDSIPLKDIHRIIKEQDHAWSVRETTMRESQETSSNTEARKTKNTTKSNIPSKGPDPNMNKARDGPVQGNENLVHSVLDTIFTANKVRSIQAIRRDLRQLAAKYASDRKDGPKLQALSNAATNCASFPLVDLQKSLNQVAVPVHGVYVAKPAKPNSPRNILIKLFRDKDPDSKLTKQEILDCAANHLKKGLNEKDYHQAVTEVCILTEDGHLVLKNGIKIK >OGLUM08G08940.1 pep chromosome:ALNU02000000:8:8676822:8678698:-1 gene:OGLUM08G08940 transcript:OGLUM08G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEECGEVAALREALRQQAAAAEELRGELEEERQAAASGADEALAMIVRLQAEKAAERMEAEQFRRVAEERIQHDGDSLAFLKAVVFHQEMEISSLNRRLLAAGDGRAAAAVDLPWLRKLAKNGVVPSRRNASLPAARLEELCSVIDAGGGGDRRPARTVSDIGEVIGRENEWPTSNASHRQPPPPPRLHRSASHRLRRVPSYSDTATLRSTRRASPEIISEEEEDDDDHLISRHGEKARKPCNCSDRTAIAELGADMAQIKLNLQSLHTEFIKAKEATISRGDSQARLLAEICAKLDAISKTRQDSSSDDPVQVRVSREEGSSSKGRSYTNSELLMNHFIEVCTM >OGLUM08G08950.1 pep chromosome:ALNU02000000:8:8691092:8693455:-1 gene:OGLUM08G08950 transcript:OGLUM08G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRPQSAIGGIGVWDCTTGEQADFFYEPPGCALGDADKLQWLDGTSTLMAATMFPRTDTSFIILLDFRDKKNVAWSWSDVGTPALLEDKNVLHAIAMEDGRQSRVPKSGVTGRLIARSTSQGRLGDEFKLRTIPNASYRSGLQGWYFGQNKVFESFHGPWGIRNYLESRIEMMRLCRGAADTALS >OGLUM08G08960.1 pep chromosome:ALNU02000000:8:8695871:8703613:-1 gene:OGLUM08G08960 transcript:OGLUM08G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLFLQFQKGFLALSLFLLLTLASQATWCDAERSHAINGARLRPHLQFEELHVTDGKKLGQAADTDTDTDSHKHHDEVKVPMRMAIAHKGGGRGGGVGGGVGGPGGGTGVGGRNVNGAADTRPVHNGRSNAAAMPAPAKATASVLALAFASSDRSCVCGGILARKMMKSFLALFLLLLTFASHGTWCAAAADRSHRITTAHLRPHLHVEELHGKKLMEIQVPRKLGHEVKVPKRMAIAHKGGSAGAAAAAGAGGGGVSESRPRNGKNGAATLPAPATTSILALAITCAAVLSSFSF >OGLUM08G08970.1 pep chromosome:ALNU02000000:8:8703786:8704343:-1 gene:OGLUM08G08970 transcript:OGLUM08G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSLAVFLLLLTLASQGTWCAAERSHRINGAHLRPHVQVEESHITEGKKLLEIQVPRKLGHEVKFPKRMAIAHKGGSTGGGSTGGTTGGASNVNSGPADTRPRKNAAAMPAPATTTSILALAFSFAIAVSAFSF >OGLUM08G08980.1 pep chromosome:ALNU02000000:8:8706513:8710041:-1 gene:OGLUM08G08980 transcript:OGLUM08G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDVAGGESAAVAEERDWSEMTPVCLAEAFSRLGLEDVWRGAMACCRAWRDAAASRPALFAALDLEPAFASVGADAAEWWTPAFQRRVDAMLRSASSLAAGELREVRVRHCSDDALAFAAERSPKLRILSIRSSPSVSDRSMFIVALSCHMLTELDISYCHEVSYKSLEMIGQNCRNLNVLKRNIFNWLDSSEHVGIVPDDYLRDCPQDGDREAIAISKFMQNLKHLVIRFSKLSVVGLNAISGGCKELEVLDLYGCANLTLRGIQQATSNMKNLKELEKPNFYIPRSSFHMGRYGHWQLYDERFQTNVFQI >OGLUM08G08990.1 pep chromosome:ALNU02000000:8:8710680:8710958:1 gene:OGLUM08G08990 transcript:OGLUM08G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDREGDGDLGEECEGGGERPRHQQRRGAPVEEAAPQRAEDGVEEARRDHPRCRPDLADAVPSSSTSAAGDNDDDPFLLAGFISMLLDFS >OGLUM08G09000.1 pep chromosome:ALNU02000000:8:8728379:8730020:1 gene:OGLUM08G09000 transcript:OGLUM08G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLPALELVYTGMLSTRWRHLWTSSAYLNIDVNQFGRHRGQKFCNFVNRMLRQRGSSLLDALRLHSADTRDAGSWITYAIKRSSKVVEFSEDIDCEPFKLDYGVVDFTSICLKFLVLNNVCIDANVFYPINSSCPALENLELRDCSLEVPEISSGSLLHLDIDNCCLFEDLLISSSSLMSLCIKNPQHRAPMIMTLPCLEVAIVILDEFFHSTDELADMDEGEEQDGEEINHGIVSGLTKARSIELIAPLREEVCEYCLQEPPTAPPLVKEFTADYLKKITIYFWLGDERVSKLLMLLAPICKSLEDIKLIPSTPPGVRAFVSRVQRIIK >OGLUM08G09010.1 pep chromosome:ALNU02000000:8:8735003:8735754:-1 gene:OGLUM08G09010 transcript:OGLUM08G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLHQLLFLPLAVVPREVLTKMLDEVDKAWSSTMARRMSRWRRFTSDEWRWLDFFKGLPCMHVFRVREVHAIGMGLTGEGEIEDGARRPVVEDDADLQDHCAIGWGTWGYMERGRHEADGVVVWLATVHCSQNDNDVKAATRRARSKAGDDTWRSRRSATREDGIKRKIFGMIRFEGDEEVEGFLSRFTVGRRRGRIAGVHLQRRRRTTAWPRRSSVAAQ >OGLUM08G09020.1 pep chromosome:ALNU02000000:8:8749581:8755709:1 gene:OGLUM08G09020 transcript:OGLUM08G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWQIYSWRRSPKNNLNRALEAQNGKTQNSTAPSPPSARLHPPNHGRRRRRRFHFGGATRRGVRRRGHPRGRRVRRGPPHRDGRGGGRGPRRHRRAPAAAPRARVSRHGEGRRLPLRRQAALAVMRLRCSGVDPDEHPIKKEFERLSLWEEKLNRFEDWDKAPLRPTTTVNTQAAARFIGHSLPHLTTDQKRSMQAISRGEGGSYSGNKRKPQPPRPNKKSVRAATEEFLAKAALELSGHNDSKVKGPIRLLSDEDED >OGLUM08G09030.1 pep chromosome:ALNU02000000:8:8775642:8778564:-1 gene:OGLUM08G09030 transcript:OGLUM08G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAAAAGLAARDASGHLSPLTISRRSTGDDDVVIKILYCGICHSDLHSIKNEWKNATYPLFPGHEIAGVVTEAGKNVTKFKGGDKVGVGCMVNSCHSCDSCNQGLENHCPGVIFTYNSVDKDGTVTYGGYSSMVVVHERFVVRFPEAMPLDKGAPLLCAGITVYSPMKYHGLNVPGKHVGVLGLGGLGHVAVKFAKAFGMTMTVTVISSSPGKRQEALERLGADAFVVSKNADEMNAATGTMDGIINTVSANIPIAPLLGLLKPNGKMILVGLPEKPMEIPPFALVASNKTLAGSCIGGMADTQEMIDLAAKHGVTAEIEVIGADYVNTARERLAKADVRYRFVIDIGNTLKDAIE >OGLUM08G09040.1 pep chromosome:ALNU02000000:8:8780921:8784346:-1 gene:OGLUM08G09040 transcript:OGLUM08G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLD >OGLUM08G09050.1 pep chromosome:ALNU02000000:8:8786076:8789843:1 gene:OGLUM08G09050 transcript:OGLUM08G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFGFFWHHIHGSPSHLTTAPQLQPASSESTKKQRPQETSLPTSTFPSSFISILSSSSSSLACSYLLLPLSLPGFLGLLLLRGVCWFLRGRGEADMKKAKVVLAQPAARAPPSPLLPRAPGHSRGGGGGCVGGGEEAYRARAKYKNLLQDYKELLEETEAKKKRLQMEKLKKQRLLAEVKFLRKRYKSMSENPSQTFVYRVKNPALPPTLRQPGWSHGEEYHTVRAIGSSSKGPSAHRRLNAAPRASPVIDLNEACEPSSEEMEELHGYQEPVRVGRVMRYPMEGDFAAGPSDAKMAAFWDVRSAASSRAGKRKISWQDQLALRV >OGLUM08G09060.1 pep chromosome:ALNU02000000:8:8792906:8794808:-1 gene:OGLUM08G09060 transcript:OGLUM08G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAVTNANASSSAAALPAKRKKNPAAAASAVSAATSSKKPKAADLTCAVCGITSTGEKAMQDHLNGKSHKKKAAALALSAPPPPPAAEPEPEQDEEDAASMIPPASDGGGGGGGGGFSPTKLSMLTSAGVVYEVMQMDGYLLCEGCNVRTADRVTMMGHLDGGKHVSKATKLKQQQAGKPPAPATATASPMNGVKAAAAPPTTAAAADGEHGTVVVEVDGEPHAMRRLDEVFIICDVCNVKAPSVTVMRSHLAGRKHKSMAAATAKAKGAEAAASTTMAAAGKVGGNLEAGAMAVAEGVATNNVADNTCPEKGTVDIVVGGEHHAVKQIGEFLGCASCNVMATSESGMRLHLAGKKHRNKSMAEKAAMDDMEIVKARSKETAAAAAASSPSSAPRAVVAAQTGDGSVAPMEVDQTAEARDGGAPVAADAAAAAAATGGQQQVKVQVEGRMLAVLREANGALLCEPCGVRCSGKTDMVLHLYTKEHSDKCGAHPPA >OGLUM08G09070.1 pep chromosome:ALNU02000000:8:8830924:8831559:-1 gene:OGLUM08G09070 transcript:OGLUM08G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQYRDGDDRSRSSPPPPPPPTASSGSARVLPANEDDGHRGADGGGTGDYNGGDSAVSLGQSSSPSAAGRDELRQAKKQKIMERILREEAEEWELESEVRREIMEHIFPLLRRSGNARPPTPAAAAALLQILAYLLLLLRLAAWTNSVPLNVPLN >OGLUM08G09080.1 pep chromosome:ALNU02000000:8:8860305:8861865:1 gene:OGLUM08G09080 transcript:OGLUM08G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDAPRSAAPPHTSSSQRQFAVDPASAAPRFLSSPAPPLCLSAVVASPHPYPAQRRPPPNPNRRRSTLSLSRRRRAPPSICRFRHPAFARDAAGAPPRRPSSQSGWRLAAMDVDNRFNRIMIADANYQDSLPLCPLLYCDTTK >OGLUM08G09090.1 pep chromosome:ALNU02000000:8:8876732:8877290:1 gene:OGLUM08G09090 transcript:OGLUM08G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTIMMTSSSPHPHPRRRRPQQRRRQTTVRGRRVASGGRCKGSTWDSACTRWTLTATRPTQMISSSPLPPWTICRRGPTGVFRPGRPIRVRALPVALPVKDDGQQRAVRRAGQQHRGQGLPVQRQRWPRRRVRHQDGGAGLHAPPPGGAGAVGRRRRRREQAVRVHAVRPVS >OGLUM08G09090.2 pep chromosome:ALNU02000000:8:8877071:8878122:1 gene:OGLUM08G09090 transcript:OGLUM08G09090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSSAQFAALGSNIVAKGYPFSDKDGLAVVYDTKTAAQAFMRRLPEALERWDAAVAAGNKLYAFTPSDRSVDCRPRIDAAMHRLEEDPSATERWSWSHDPSPVPYDNDHAHRRITSHAVRPGGGAIFVSVENWSVPHPGHGDDHVAAQAAEAEAKKMARTFSYDTERGEWTRHGDWLLPFRGEAHYDGELDAWVGLHSDSRRHGKLCSCDVVAAGSHEEEPNWKLCEVEVMTSTVEDDAMLVPMGGGGRFCLVEGRSREWPRYWRRWGDGDKCELRVTTFRLRYGKNGELAITDRRPSRSYILSRYVRFSCACILDGHHESEALGKDIP >OGLUM08G09100.1 pep chromosome:ALNU02000000:8:8878389:8882705:1 gene:OGLUM08G09100 transcript:OGLUM08G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSQEAVDYALAAAPPMPKWIPKGPPPVAADSADQATSTAAPAADQAHADAADQAPAGAAAGGDQAPAGAAADQAPVGAAAGGDQAPAGAGDQAPAQVAMQVDDSPGLLQGARVLHPQYMQNGHFTRGNSLTCESRRTREEEAREKEEAEDIVAANELPPLRVCQRDHADFKRSPPLTKTILAAAVQLQCQIRRYCLRMEPNRVDKRR >OGLUM08G09110.1 pep chromosome:ALNU02000000:8:8881988:8882533:-1 gene:OGLUM08G09110 transcript:OGLUM08G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRASSSRVRRDSQVSELPLVKCPFCKGDSTVVEWTCKKEENFNRKFYRCLTRQYTSAQCKFFMWQGDYAVWLVKEGFLHGWTDCNAHRTEDDVPESVKASLKGLHDGIEKIRCEMEAMSRICMFGIAFVTAFVMFVAMNVMK >OGLUM08G09120.1 pep chromosome:ALNU02000000:8:8883685:8885847:-1 gene:OGLUM08G09120 transcript:OGLUM08G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGETTGVSGGGFRGRLEHYLYSGEKKHVVAGIAIFAAIFGVPWYFMSRGTKHQSHQDYMEKANKARSERLSSGQSSAPKA >OGLUM08G09130.1 pep chromosome:ALNU02000000:8:8886771:8894083:1 gene:OGLUM08G09130 transcript:OGLUM08G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MPPPPLAAAALRRHVLRALLPQSRHLCLLTAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPSPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVETYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >OGLUM08G09130.2 pep chromosome:ALNU02000000:8:8886771:8891181:1 gene:OGLUM08G09130 transcript:OGLUM08G09130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MPPPPLAAAALRRHVLRALLPQSRHLCLLTAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPSPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVETYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >OGLUM08G09130.3 pep chromosome:ALNU02000000:8:8886771:8889952:1 gene:OGLUM08G09130 transcript:OGLUM08G09130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80880) TAIR;Acc:AT1G80880] MPPPPLAAAALRRHVLRALLPQSRHLCLLTAHSPSPSDDSDFEPPDHPLPRAPDGDGELAAFLHRLSDASTAASSPKHALSLLLSSPPSPGLPPASRRDLLVRALWELRRDPDAAALALRWGEEGCAAAGERAGPPPSPPPPAEAWHLAIWAAGKARRFDLAWAVVRRMLRRGVLTSRAMVIVMERYAAANEVNKAIKTFDAMEKFKTEADQTVFYSLLRALCKNKNIEDAEELLLVRKKFFPLTAEGFNIILDGWCNVITDIAEAKRIWREMSNYCITPDGTSYTLMVSCFAKVGNLFDTLRVYDEMKKRGWTPSIAVYNSLIYVLTKENCMKDAQNIFTRIIDEGLQPNVETYNSMIVPLCESRKLDEARMVLEDMMLKGIVPTILTYHTFLRQENIDETLKLLKKMKDDGCGPKSDTFLMLIDRFFQLNEPGHALKLWNEMKRYDIRPSYSHYMSVVQGLIKHGCMERALEYYDEMKENGFASDPKLEKEFRTFLLANRDHWRGAGKYNIIPQRGKHFARRSRIQ >OGLUM08G09140.1 pep chromosome:ALNU02000000:8:8893560:8894793:-1 gene:OGLUM08G09140 transcript:OGLUM08G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDVPHLPGVTSLTIRDSTLNERALMTGVTCLLARCNSLRYFQLNMTSPIMDSTIAAGNQASIISLPHLTEVEISGFRGRKCEARLMERLHASATALNKITLRFDWLFTVESSREERINSLPLIPFSEVGKWAAASGDFETFMWRPCVNKTEFCGERKQM >OGLUM08G09150.1 pep chromosome:ALNU02000000:8:8898396:8899008:-1 gene:OGLUM08G09150 transcript:OGLUM08G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTRLIPVIKTGVHTAAATATAVVVDSLVDLSGYGSSAPEPEPIAAAYSAGSCCPRLRKLRLVSVVGLRSSAVARRRRSLQQFPRNRAFGVLELNTPNLRSLKMCCYAPGTLRISAPRLEELRSSNNVIDMRWQCVEQLDVGDLSCVRGLREIDLSSRGPSATPALTMAPFISCGVVLPSKVLVFALFLQIRCTPSVPK >OGLUM08G09160.1 pep chromosome:ALNU02000000:8:8928350:8930391:-1 gene:OGLUM08G09160 transcript:OGLUM08G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRRPQTTSTHHRYYLRRRHGGDLISELNDDVLAHVLGLLPNATDVARACAVSRRWRCLRARVPSLRFSLSHLINPSGDVKQQEDVERFVAFVNRVLATRRAGVEQLTISIELHEGCCARAVPAVHGAHANAWIRYAMEQHGVRSFALKLDQPNLLPLPEQRRSYDFDEDADVHGPLTYLTLPLPATVAFDSLVDLSLNEIRLDDVHLLGRLLSWACCPRLQKLALEEIVGLKELRLDAGELLELSLIWDGVGLTLVELNTPKLRVLGIECYFIHHTTLTMSAPGLEELKSTFNWETLERLDVGDIMYLSSDGLKNLYLSSDGLRIGFGDVSGRLLRRCPAVESLDVHLACKVSHADEEGEEEEEGVIDDVMMRNDIPHLPLVTSLREQTKPTDPAGNQADYLSVSLPHLVEIGINGFQGTKCEARLMEWLHRSAPVVNKICFFET >OGLUM08G09170.1 pep chromosome:ALNU02000000:8:8947116:8948226:1 gene:OGLUM08G09170 transcript:OGLUM08G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNAVATRLRGTRCYSTASLPERSNTPTATSTPPPYLYPPPMAATASSPPPRSPVSLLSCSRVLLLLVLPPYFDGEGVGYRALRRAGLRGGRGRGGRQGPRGRRQQRRRVEGAGAARCAQQVGRGHPLPHWRQPRVQVRRRGGRGAGGDAGRLQPLRHGEPCRHPQAHRRRRHGAADELRVPLLRRRRAGELRQGRARHRPRHVGEAQPPRPGVLRARAGPRAVPAGRRPLPGPRPSAGDWERREDRGERRRARCRRPPRRGGGRVLRRWCSLGALGTWGEDLGIL >OGLUM08G09180.1 pep chromosome:ALNU02000000:8:8955364:8955683:-1 gene:OGLUM08G09180 transcript:OGLUM08G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKTSCGGLDAKGNVSYAFNSYYQVNDQDDRACDFKGIATTTTVDPSAGSCRFIIEIAPTANGVAMAATVRVAGVMAAILAAFIHLVVPVF >OGLUM08G09190.1 pep chromosome:ALNU02000000:8:9053163:9080253:1 gene:OGLUM08G09190 transcript:OGLUM08G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKIVPLVNDRSIGLFLRYAFTSRYKEILSKSHSSSMMTVPKFVPRLTKEEAQVFESARDSMTAFKKWRAGGVRLQKASILGRKRKTKLPDGPSAP >OGLUM08G09190.2 pep chromosome:ALNU02000000:8:9053163:9079203:1 gene:OGLUM08G09190 transcript:OGLUM08G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGYYDIDDILMEEEPISVVFQVSANGVGLLDPGAERNSVEKGAKVDLPFWLAHGLLSLEQAVSINVPPCFTQKTRKEIQADAACVDLRIRCPYFYELGCKINI >OGLUM08G09200.1 pep chromosome:ALNU02000000:8:9080899:9081279:1 gene:OGLUM08G09200 transcript:OGLUM08G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVARGPRGAERGSTSSGPETTDDGATKLWIKLAGRGDTAREEEAPLPLPLIFLTTGDLSSFPNDSSGASPLPFCVATSPSPASARSLCRHYRRRPLSDPLSPFPEGRGTKDCGPKAANLIMNL >OGLUM08G09210.1 pep chromosome:ALNU02000000:8:9093250:9095148:1 gene:OGLUM08G09210 transcript:OGLUM08G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80870) TAIR;Acc:AT1G80870] MPSRLLQQLPPPPPPPPLNRRHHHLLAASATAATATALLLLLLLLLVALYLRSRRARRRSPTLPFSPSPAPARPLRRYSRRALRRATGGFHPSRLLGRGAASPVYLATFPDASLAAVKTCSSPHELHLLASLPESPRLVSLLGYSGPGGGGADDRPLLLVFEYLPHGSLQAALFGDARDGRFLDWPRRLAVIRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAIAASGAGADDFMSQELGEAGELFSTASAAAGGVKADAKDESGPAAAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPARNPDWADDNDGDANDNNKNSPSGTDEHAVAASPEDKKDADCNGNAGGGDKKPEATKMREWWKEEFFEEMSKKGGSFDKRRGGGGGGGGKPWLRSISMNTGNGNANGDGGNNIEATSGLDISSFRRNRKRSRRRGRSVGSGDMHSGDFLSRELSTTTSMRGTVCYVAPECGGGPCEHGAELLEKADIYSFGVLALVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDKDQATLCVQLALLCLQRQPEQRPDSTDIVKILAGEMDLPPPPVDYSPSPRVRPFPRSSRRAQPPDATE >OGLUM08G09220.1 pep chromosome:ALNU02000000:8:9103859:9121688:-1 gene:OGLUM08G09220 transcript:OGLUM08G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQWVCPVTLFPMLGATVRRERSGDKSAMEERWRSLAKSSNSGHKIRSGMLTGKILHTFAGGGEASRLGGGRSGPTATGSSPAMATGGAMWRRRVRRWLLRRVVVWSLRFMVAGCPTLGWWLTVGGGFWLRVGGMSAGWGVVAKVDWFVPRSPEFLAGNMVDTDVSEPSLQNSVPLQADHDSLLGLLGLAGASRALWLCELVEVPSLERCAYPYGARVPFLLLLGDSAPLRESKAKALPVSPELELCTCQTYP >OGLUM08G09230.1 pep chromosome:ALNU02000000:8:9129064:9132264:-1 gene:OGLUM08G09230 transcript:OGLUM08G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT54] MAISPVAATALAIALAALCLAGLDAGFYLPGVAPNNFDKKDPLQVKSTVLKISVKFFVFEMREPQMRQIVCKISVGEKEAKILKEKIEDEYFVPIKRMDQEGAYFYQHVFHVGAKGQYARSKDEKSFIHNHLSFTVKYHRDAQRDVSRIVAFEVKPYSYAACDLLNNVKHEYEGQWNDKKTRLTTCDPHAKHIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIILFLSGMVAMIMLRTLYRDISKYNQLGTQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFALYPDLHLAAPVLLHLWVLILVITCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSAIYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLMYSSVKIEY >OGLUM08G09230.2 pep chromosome:ALNU02000000:8:9129064:9132264:-1 gene:OGLUM08G09230 transcript:OGLUM08G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT54] MAISPVAATALAIALAALCLAGLDAGFYLPGVAPNNFDKKDPLQVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAQNLGEVLRGDRIENSPYTFEMREPQMRQIVCKISVGEKEAKILKEKIEDEYFVPIKRMDQEGAYFYQHVFHVGAKGQYARSKDEKSFIHNHLSFTVKYHRDAQRDVSRIVAFEVKPYSYAACDLLNNVKHEYEGQWNDKKTRLTTCDPHAKHIITSSDSPQEVEVGKDIIFTYDVDFKESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIILFLSGMVAMIMLRTLYRDISKYNQLGTQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSSLYKLFKGAEWKNIALRTAFTFPGSVFAIFFFLNSLIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPAIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFALYPDLHLAAPVLLHLWVLILVITCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSAIYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLMYSSVKIEY >OGLUM08G09240.1 pep chromosome:ALNU02000000:8:9133057:9143986:-1 gene:OGLUM08G09240 transcript:OGLUM08G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFPVSDATTVQPFFSSNWTAAVLVATTPTESLMRQAKKNKPYGTKFSIKDYTSKHKDEEGGVNDIVFNARSYVASTGAPATAELQKLDSRVIQCKHQFADHTTPGRSGASPIATDRSQLHFLQIRNLLRLSH >OGLUM08G09250.1 pep chromosome:ALNU02000000:8:9140674:9148073:1 gene:OGLUM08G09250 transcript:OGLUM08G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G15980) TAIR;Acc:AT1G15980] MQTPTMSTSMATPAKLPSPPSLPIARQCCCHLLQLGRRGGAGVARASAKKKNPWLDPFDDGPDDEFDYRGAFSGGKQEEDPRPPEDPANPYGFLRFPAGYNPELDSLASKVRRDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVVVDVVASARGKQVYEMCKNVRYADVYDPDDDWPEPAEYTHQLGVLKNRYYDLIISTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPEHPVPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSFANMRSRGDDDCLLPLEHWAEIAKEIRFFTSPHSLTQARLVLHLHFQLGTDCEKVELKPKKWWCLGSSEDNGLKPLFVIPHQKHSEEVEETVGKDTNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYLEEKKGCTVVASETGKLIDIDVEAVKKAVKEFKAAPSVALAQT >OGLUM08G09250.2 pep chromosome:ALNU02000000:8:9140674:9145242:1 gene:OGLUM08G09250 transcript:OGLUM08G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NDH-dependent cyclic electron flow 1 [Source:Projected from Arabidopsis thaliana (AT1G15980) TAIR;Acc:AT1G15980] MQTPTMSTSMATPAKLPSPPSLPIARQCCCHLLQLGRRGGAGVARASAKKKNPWLDPFDDGPDDEFDYRGAFSGGKQEEDPRPPEDPANPYGFLRFPAGYNPELDSLASKVRRDVRRACCVVSGGVYENVLFFPVVQLLRDRYPGVVVDVVASARGKQVYEMCKNVRYADVYDPDDDWPEPAEYTHQLGVLKNRYYDLIISTKLAGIGHALFLFMSSARDKVGYVYPNVNSAGAGLFLTEMFRPPTTNLADGGYNMYQDMLEWIGRPAKGVPEHPVPPLRVSISKKLRAFVEDKYSRAGVEKGKFVVVHGIASDSFANMRSRGDDDCLLPLEHWAEIAKEIRFFTSPHSLTQARLVLHLHFQLGTDCEKVELKPKKWWCLGSSEDNGLKPLFVIPHQKHSEEVEETVGKDTNILFITTPGQLTCLINDSVGVVATNTAAVQLANARDKPCVALFSSKEKARLFLPYLEEKKGCTVVASETGKLIDIDVEAVKKAVKEFKAAPSVALAQT >OGLUM08G09260.1 pep chromosome:ALNU02000000:8:9144662:9150220:-1 gene:OGLUM08G09260 transcript:OGLUM08G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDGYPVAPPGMERPAPEAAAAAAEPPGAKAEEEEEGPKDVGVKGTGKEETEKVEAEKEMEGKGKGKESMEVEEEGKEKEAKGKESMEVEEGKEKEGKGKAKEKEKETKVKVKEEGGEEKDKGKVEVVEAKRRPAGVGAETPILAVPMVAVPCFLASPAFAGHFSMSHQAALASVTAQAQIQLQSPTTPYSEGLPSPFPITPKAVMPLQRSPSGTEGSVRRSVLEKSASFQSRPHNHVSVNMVGDGFNWRKYGQKQVKSSENSRSYYRCTNSNCLAKKKVEHCPDGRVVEIIYRGTHNHEPPQKTRFVKERVAHITASSGDDETLQLVNNEIIESPSPGCKLEPGAVSEASEQQLFCSSDCEGDAGNKSEDDHPSTEPQPKRRIIETSTPLTPVLRTVREQKIIVQAGKTSDGYRWRKYGQKIVKGNPNPRSYYRCTHDGCPVRKHVEKAPDDDNNIVVTYEGKHNHDQPFRNNSESKDGPVPMIIPAETTSEQPSTMTSTSEQKQPISLLKDGGDEPMKGKTSEIGGEKAVESAQTLISIKTNPDDMKNTLLKDTSAVVPVQNN >OGLUM08G09270.1 pep chromosome:ALNU02000000:8:9159123:9162922:-1 gene:OGLUM08G09270 transcript:OGLUM08G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMSNLCLSGSVERARGYGERDALCLRLHRRPPELAASIAGRPSLLCVRWRTWLDGHDADDQDDGPFAASTASTREAEKGRGKLAGFPDDLCLAGSHGVLCDDDDASHVVGISLGYVSDFSVNPSSAAPSASASATLLTSGLLPPPSRAYTASSSMRGRSRRSSGGSLPRSRTSCSSTTPRSLAASPSPSPASRSSANAVAEGGAGWRVAVRMARSLWPAASSGGRDGRGGEIVGADVWLRLTAMTVDGDDRTASNALLGAAHRATCAAWLPA >OGLUM08G09280.1 pep chromosome:ALNU02000000:8:9167112:9169595:-1 gene:OGLUM08G09280 transcript:OGLUM08G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24230) TAIR;Acc:AT2G24230] MGARVVLFLAVAVVAAVAQEPNTDAYFVSRFFAKMGRAAPASGAAVCGWPGVACDGEGRVVEFSAAGMGLEGAVPEDTVGKLARLRSLDLSGNRLAALPNDLWEVGASLLELNLSRNAIRGDLPNNIVNFAALQVLDVSHNAFSGALPPALGSIAALRVLDASHNLFQGQLLGTVISGWTNLSSMDLSGNALDGDLPDLSPLLSLSYLNLSGNRLRGSVIGAFHEQMKVIDLSNNSFSGLNFSSGYAGSSLAYLDLSGNELTGEFSVGNRFQNLKHLNLAFNQLSVANLLVSMGEISGLEFVNLSSTGLHGQIPRELSSQLSRLKVLDLSRNNISGVVPDLSSIRLQVLDLSVNNLTGEIPVALVKKLVSMERFNFSYNNLTVCASELSPEAFAAAFARSRNDCPIAVNPDRIQRSGGKRKGMKLALAIVLSLFFSVLGLLCVAVACRRRRKRGDVLPAVKQVSFKEEPGISGPFAFQTDSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSAMADQDAARELERLGRIKHPNLVPLTGYCLAGEQRIAIYEYMENGNLHNLLHDLPLGVQTTEDWSTDTWEDNNGGVATENITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCGMEPRLSDFGLSMIAGTSTDNNLLHHSPGYAPPEFSDSENAMATAKSDVYSFGVVLFELITGKKPLGDDYPSQKEASLVNWARAMVKANLGPGIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQD >OGLUM08G09290.1 pep chromosome:ALNU02000000:8:9169655:9191495:-1 gene:OGLUM08G09290 transcript:OGLUM08G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQHYRWAAARGCQKGKELARRRCVEAGVEGQGLVGGKEAVSVDEDLAAPTHLAVLLSMCMPAYGHEYELSLDRAGPGRSRLAIANQRRERGGGQRPLAASELSPMTPPPPPVSLAPCQQPVEQLVGGSC >OGLUM08G09300.1 pep chromosome:ALNU02000000:8:9211858:9212103:-1 gene:OGLUM08G09300 transcript:OGLUM08G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHGGDAAGRGEEVHGSSGAAGRGEEVHDGVAVTGCGAGAVGAGEERLAGVGEEVEERPAAAVVVVEEDTAVLAGGATL >OGLUM08G09310.1 pep chromosome:ALNU02000000:8:9228265:9230490:1 gene:OGLUM08G09310 transcript:OGLUM08G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKTTANGGSGAAAVSGGGRTVCVTGAGGFIASWLVKLLLEKGYAVRGTVRNPDDAAKNAHLMALAGAAERLTLVRAELLDKESLAAAFAGCEGVFHTASPITDDPVRDSSPAPAGRRRRRDDVAEKMIEPAVSGARNVITAAADAGGVRRVVMTSSIGAVYMGGGGGEEVDETCWSDLDHCRDTGNWYCYAKTVAEQAAWELAKERRLDLVVVNPSLVLGPLLQRGVNASTWHVLKYLDGSARTYADAAQAYVHVRDVADAHARAYESPAACGRYLCAGRTLHRGEVCRILAALFPGYPVPTRCKGDAGETAEGCRFSSRKLAELGVAVTPASQCLYDTVVSLQDKGLLPFVPAAAMP >OGLUM08G09320.1 pep chromosome:ALNU02000000:8:9230969:9239051:-1 gene:OGLUM08G09320 transcript:OGLUM08G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT64] MAKVQGALLPDRDGQAAGPVPFKEVAGVDAIPARPVTEHDAAISGICRGASGFWLLEDWARGTAAMADERRGGFVARPGDVVLATLPKAGTTWLKALAFATMARGLFPPASPDHPLRRLNSHDCVPTVESGLFACGREAVLDRLPSPRLLNTHLPLSLLPSSITDNDNCKIVYVCRYLLFPTPSSFGFVYTTQIIIGARSKDRNQLVITYAQGAYRRRRPGGGSGGGEGWEEKAHHHLAAPQGDENHPTIDEEDRAVSRWHFIKHIKRIGSDVPFSEVYKSICEGTCACGPVWDHILGYWNVSKKEPSRVLFLTYEQMLQDPMGTIRQLARFLGQPISDAEEETGVVAEIVELCSLESNFCVLPMVQSNGDPNEEAIIVVLLFGSKVVVAYILDWKEYYISCDKSLILFFLIRAARVEGRGRGRRQRHGRRGGAASLPLISLRSTLPPQPAAMTTPMMTPLGSTEGRGGSIERS >OGLUM08G09330.1 pep chromosome:ALNU02000000:8:9265997:9267155:-1 gene:OGLUM08G09330 transcript:OGLUM08G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHGPWCPICIATSQAWDPFLSKTEDETKRSEGKAKRFDSRSRRLLASITPPVSPRSRRWPIAHRCRRYVARSLLAAACWGELSPLGFLRRKETRPSGQPRGGGAPSALTGRSTARRHACRLQPAAVLLEELWGGGLLGIVPHCLSRES >OGLUM08G09340.1 pep chromosome:ALNU02000000:8:9270363:9275019:1 gene:OGLUM08G09340 transcript:OGLUM08G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTTWGREEKGGGEGLGGVQPSPVPVLTTYAVAHRAVAGAGQPLTAASRSPTTSSPSALSPVSELYPRPQLRQRHGEKNKRERENKKRRRSRRNRGPVPIVPDRPAMASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >OGLUM08G09340.2 pep chromosome:ALNU02000000:8:9270363:9275274:1 gene:OGLUM08G09340 transcript:OGLUM08G09340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTTWGREEKGGGEGLGGVQPSPVPVLTTYAVAHRAVAGAGQPLTAASRSPTTSSPSALSPVSELYPRPQLRQRHGEKNKRERENKKRRRSRRNRGPVPIVPDRPAMASSSDPWMKEYNEASRLADDINSMIADRGSLPQSGPEIIRHTSAIRRKITILCTRLDSLEALLSKIPPKSLSDKELHKRQDTLSNLKSKTKQMATSFNMSNFANREDLLGQNKKAADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVVAIVILAVIVWLLIKYM >OGLUM08G09340.3 pep chromosome:ALNU02000000:8:9270135:9270360:1 gene:OGLUM08G09340 transcript:OGLUM08G09340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHGGGLETAPQEVAVEQTVKEQLQRVLERITLQSQAQHGDGELLVQVWVSTWWWWWERWWV >OGLUM08G09350.1 pep chromosome:ALNU02000000:8:9279958:9283582:1 gene:OGLUM08G09350 transcript:OGLUM08G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVALRPLRRIPLARLRSRLLSSASGEGGPPAVASTDEAVRAAKLREEAYKQVANLDWSSNADFWKAVDIVKTLPPKRKEFGLDFHLVQLFFVCLPSLAVYLVAQYARSEIKRMEAEAEEKKKKDEELEKEKQLEADSVKDETDSKLSAVLVRLDTLEGVVNEIVDDKKKGSAPGFSNKEEATKKGETRSNSTDGQVVPVKSKDNNGATNASPNSTQQSPTGNGDKASSDPKG >OGLUM08G09360.1 pep chromosome:ALNU02000000:8:9290595:9292617:-1 gene:OGLUM08G09360 transcript:OGLUM08G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQTTVSMEVAMKVEGSGGGGGEEGEVVAKKEEEVAAAAAAAAMELDLLGALRAEEVMPAEKGKAAVVMVGEAVAPSVEERASAVAAAAANGGGGGGGGEARRRLFKTTPFLGRGSASAAAAAAAPFYGAVHHGWSAQPPSSLQGIAARHAAAERPVYPADAFGYGAGSSSSRATGAPASAGLRWAEGGGSGTIHSAAAAAAGEQHTAAEVKAQEEMSSSKIDLTLKL >OGLUM08G09370.1 pep chromosome:ALNU02000000:8:9316809:9318636:-1 gene:OGLUM08G09370 transcript:OGLUM08G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LYR family of Fe/S cluster biogenesis protein [Source:Projected from Arabidopsis thaliana (AT2G39725) TAIR;Acc:AT2G39725] MASRSKLSGIQRQVLALYRGFLRTARLKSPEERHRIESVVSAEFRENARNIDRKNFVYIEYLLRRGKKQLEQLKDPDITGLSTLEINKVYKPLNLK >OGLUM08G09380.1 pep chromosome:ALNU02000000:8:9334492:9337313:-1 gene:OGLUM08G09380 transcript:OGLUM08G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stromal cell-derived factor 2-like protein precursor [Source:Projected from Arabidopsis thaliana (AT2G25110) TAIR;Acc:AT2G25110] MSLMSRPRGEPSRSFRAGALPSNEAAAVAPPATQPSQGLSRRSRAGPGERQQPGEAASLAVALARERIRRSGEAEGEEEGPVPFWQRTWFLALLLAMAAASFAIALLLYLGLDLPEASPAQSYAADPDNVVEITYGSAIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDSNSYWIVRPQPDTSAKQGDPITHGTVVRLQHMRTRKWLHSHMHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKSWRQNQKIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNVWLAAEGVYLPVNQQK >OGLUM08G09390.1 pep chromosome:ALNU02000000:8:9342203:9345495:1 gene:OGLUM08G09390 transcript:OGLUM08G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWALNIQRDSYASYIGHYPVLAYFAIAENESIGRERYNFMQKMLLPCGLPPERDED >OGLUM08G09400.1 pep chromosome:ALNU02000000:8:9379931:9387261:-1 gene:OGLUM08G09400 transcript:OGLUM08G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDAAMLLKDIIILSTGAYTAISVVCGFSDKEFIHGLHKWELDHRSIEEMMSFFPGGLRVMLVDDNMKQRDVLRKIVDPD >OGLUM08G09410.1 pep chromosome:ALNU02000000:8:9391752:9396687:-1 gene:OGLUM08G09410 transcript:OGLUM08G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQVLPSPPPALPPATGPHERPTLIALDAAAIVAFRSQIPNVAADAFPAAIGVDPNMSGDCDVLSPDGFSQQTVRIPLNALIDIALRKDKVFDNSWYRNSSGSTLTISPSSNCYMVSTHTTACAGLRTLSGDNMLDVQTIPCDVSKVVLSGFDFRRVVETEHQIPVIYLLSTTEPEQMVAGEDAEFLNHLLLKATYIARKPLDRATMAQLWRVVAWRRCCLEERVPRDSMDDIAAHAGAGGEDGNDDDVVVIEEPQVHFKLVRSRGSLKRQLTINVDSGSSGGADANPRKKIEHMNDAKGPVGQHVASHLQLPAQEYCTKQQKDLDERRLISSDSLFLKAISPTLNVSPSNPLILTGGAGPSCIPTTTIAGSRTAAPFQVPVFQQQPAGTTVISFSNTAVQEPIGNAFISFNNAASPAATGNTVISFNNIAAPAAMQVPAMRQRLSGGVQPNAPQQRLYMGPFSYQGPPPPPTMRNHINIVPAAFIPRVGMTVNIGKAPMIELPFGVPVDDFLVGETAYGGAGPSIGAPGDDAAVAYAYTGALNNNTAVGSLMAPPIDEPTFTLTDPIVGTKGEGVVPIVITSEDQNALAAVEAAAPNNAEPFMMPDQVDLEEDIMFSLESLLGLDEDMIPMEDAGGEAAEGSLNIGEGGMEIGWDLDLDDILMNNTNEFAFLDDLALIE >OGLUM08G09410.2 pep chromosome:ALNU02000000:8:9391752:9396687:-1 gene:OGLUM08G09410 transcript:OGLUM08G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLQVLPSPPPALPPATGPHERPTLIALDAAAIVAFRSQIPNVAADAFPAAIGVDPNMSGDCDVLSPDGFSQVFDNSWYRNSSGSTLTISPSSNCYMVSTHTTACAGLRTLSGDNMLDVQTIPCDVSKVVLSGFDFRRVVETEHQIPVIYLLSTTEPEQMVAGEDAEFLNHLLLKATYIARKPLDRATMAQLWRVVAWRRCCLEERVPRDSMDDIAAHAGAGGEDGNDDDVVVIEEPQVHFKLVRSRGSLKRQLTINVDSGSSGGADANPRKKIEHMNDAKGPVGQHVASHLQLPAQEYCTKQQKDLDERRLISSDSLFLKAISPTLNVSPSNPLILTGGAGPSCIPTTTIAGSRTAAPFQVPVFQQQPAGTTVISFSNTAVQEPIGNAFISFNNAASPAATGNTVISFNNIAAPAAMQVPAMRQRLSGGVQPNAPQQRLYMGPFSYQGPPPPPTMRNHINIVPAAFIPRVGMTVNIGKAPMIELPFGVPVDDFLVGETAYGGAGPSIGAPGDDAAVAYAYTGALNNNTAVGSLMAPPIDEPTFTLTDPIVGTKGEGVVPIVITSEDQNALAAVEAAAPNNAEPFMMPDQVDLEEDIMFSLESLLGLDEDMIPMEDAGGEAAEGSLNIGEGGMEIGWDLDLDDILMNNTNEFAFLDDLALIE >OGLUM08G09410.3 pep chromosome:ALNU02000000:8:9396687:9397997:-1 gene:OGLUM08G09410 transcript:OGLUM08G09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLSFFPSGLHVMLVDDDTKNTRTATKTLSMLHYPAPPPHTPALHLRSELASAPPHQASCYPLPLLLPLLPPISTEAPRRLPSTAVDGIRYREEDEVHEDTVAVEMGMAM >OGLUM08G09420.1 pep chromosome:ALNU02000000:8:9416802:9417288:-1 gene:OGLUM08G09420 transcript:OGLUM08G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSDKPHTGARPSIGAPDAAVAAYPYIGALNNDGTTGSLMALPNGPTFSINKPTMGDGSERNMVATTRNNATPLMVPDQVVADAAMDAEEDIMFSLEFLLGLDYDMLLPMEDTSATDAAVSDDLAWTLDVTFDLDDILVENTNDFVFLDNLAGSE >OGLUM08G09430.1 pep chromosome:ALNU02000000:8:9417463:9418954:-1 gene:OGLUM08G09430 transcript:OGLUM08G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDETLAAKKTCLICGAAGAGDDVTAATADGHPAKPYAAVNPTNSDTANADQIEGLRTTIRDLEEKLAAANAMIEDLKRSSSADAMLREELVDLREIFQAEREEQLERNSGLLAGGKVWPERMVWPRLQSEVDAVKESTQGLLNNHSPWTIIITCLNFLLPMPQEIRAKHQKKDMANERPLILLDFMFLKAILPTLNVSPRNPLTLTGATLLRRPLLVAAFYLHHFKFRFSSRNRLAKANTVISFNNKSVAPVADALISFNDFAGSTARQALAAMGHHLSGGIR >OGLUM08G09440.1 pep chromosome:ALNU02000000:8:9423017:9435394:1 gene:OGLUM08G09440 transcript:OGLUM08G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSFVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNEPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHCVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADGPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVISMILISTVFMKGNLCSRF >OGLUM08G09440.2 pep chromosome:ALNU02000000:8:9423017:9426959:1 gene:OGLUM08G09440 transcript:OGLUM08G09440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purple acid phosphatase 23 [Source:Projected from Arabidopsis thaliana (AT4G13700) TAIR;Acc:AT4G13700] MAAPAAAGDLRFLLVGLLLVVVVGSRLVRPPDGGGIPTTLDGPFEPATRAFDRALRQGSDDVPLTDPRLAPRARPPAPEQIALAASSDATSVWVSWVTGEAQVGSHLTPLDPSTVRSEVWYSERPSPTAAAAGDVSGHYPHVARGKAEVYSQLYPYPGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSFVRGGAGLSGELSFETLPSSAAAAYPRRVAVVGDLGLTGNSTSTVEHLARNEPSLVVVVGDMTYANQYRTTGGRGVPCFSCSFPDAPLRESYQPRWDGWGRFMEPLTSRIPMMVIEGNHEIEPQGQGGAVTFASYLARFAVPSEESGSNTKFYYSFNAGGIHFIMLGAYVDYNRTGAQYSWLEKDLRKIDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQAMEGLLYQHCVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADGPGKCPGPGDNHPEFGGVCHLNFTSGPAKGKFCWEKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDAYGEDSVGDQIYIVRQPDKCLLQTTSASSENNCPSEGCPSLVSNSGYGAQKDIIRSGHLIWNASLVISMILISTVFMKGNLCSRF >OGLUM08G09450.1 pep chromosome:ALNU02000000:8:9445110:9455839:1 gene:OGLUM08G09450 transcript:OGLUM08G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT85] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFHQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLFSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLPPPPLAPVVPTFHHFHPYLLLNKNNPHRNYVKLFCPQIINCPQVTLRKSHYKFLLLPLHLHCLLHQLPVLVVVIVSLQIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHHVNHMLQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHPHPPVVHQINCLLRHRLLQASQRTMVVLRLRQHLLVVMLNCLV >OGLUM08G09450.2 pep chromosome:ALNU02000000:8:9445110:9455839:1 gene:OGLUM08G09450 transcript:OGLUM08G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT85] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFHQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLFSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLPPPPLAPVVPTFHHFHPYLLLNKNNPHRNYVKLFCPQIINCPQVTLRKSHYKFLLLPLHLHCLLHQLPVLVVVIVSLQIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHHVNHMLQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHPHPPVVHQINCLLRHRLLQASQRTMVVLRLRQHLLVVMLNCLV >OGLUM08G09450.3 pep chromosome:ALNU02000000:8:9445110:9455839:1 gene:OGLUM08G09450 transcript:OGLUM08G09450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT85] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFHQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLFSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLPPPPLAPVVPTFHHFHPYLLLNKNNPHRNYVKLFCPQIINCPQVTLRKSHYKFLLLPLHLHCLLHQLPVLVVVIVSLQIPCFPPQQLCSDLQHRRHHHFNLHPLLDALLLELLHHLHLLLPLLHRLLECQGLLPHLLHLHQTRVPVDLHHLHLHLHHLLQLHLLPDLPHHHHVNHMLQLHLLHDQFHHLHQHYLQLDLQRQHHLYCLELLLHHPHHHPHPPVVHQINCLLRHRLLQASQRTMVVLRLRQHLLVVMLNCLV >OGLUM08G09450.4 pep chromosome:ALNU02000000:8:9445110:9456226:1 gene:OGLUM08G09450 transcript:OGLUM08G09450.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT85] MALFRKFFYRKPPDGLLEITERVYVFDSCFTTDVFDDDKYQDYIGDIVAQLQCHFADASFMVFNFREGESQSLLANILSSYEMVVMDYPRQYEGCPLVTIEMIHHFLRSGESWLSLSQQNVLIMHCERGGWAVLAFMLAGLLLYRKQYIGEQRTLEMIYRQAPRELIQLLSPLNPMPSQIRYLHYISRRNVSAVWPPGDRALTLDCVILRNIPGFNGEGGCRPIFRIYGKDPLLATSNTPKVLFSTPKRSKYVRLYKKVDCELIKIDIHCHIQGDVVLECISLDADQQREEMIFRVMFNTAFIRSNILMLNRDEIDILWDAKDRFPKEFRAEVLFSEMDSVNQLDSMEVGGIGEKEGLPVEAFAKVQEMFSNVDWLDPTADAAALLFHQLTSSENIQLRKGLLSPNKKDFHLSSISPTKKQSDNVEDKLSNAELSTIYVHKQENNDVQGLIPQKQATIPDEKSGSSVIHEKMISLVHEEITRVVDINTGCLSSLDMTVPSTMNSSRPVLIDQNAKLDDQFGSLQSSSPTMIMSQQFPVSRSSSVLFSDFSPRSLSACPRFHSAPSALGITALLEDHAAFGDTKNSVKVSSAVVKIPSKQSSQQHPITVTPVVTKCTPSPPPLPPPPLAPVVPVPSDDQMISQEKDMSQQAQKHPDLSSFPSLSPTQQKQSTSKLCQTILPTNHQLSSSNITKEPLQISPAPTPPPLPTPSTSSSSSCHCLPPDSMLSTTTALFRPPAPPPPPLQSPSTPRCSPVRTLASPPPPPAPTSSPVRMSGPPPPPPPPAPNSCPSRPAPPPPPPPPLASTSSPPRPAAPSPCQPHASTSSPARPVPPPPPTLSTIRSSAPTPPLLPGATSAPSPPPPPPPCSSSNQLSAPPPPPPSFSKNNGSIAPPPAPPGGNAKLPGMRGRGPAPPSGPMSRSLQSGQAASRRSNLKPLHWVKVTRAMQGSLWEESQKTDEASKPPVFDMSELEHLFSAVLPSSDGKRSDKSGSRASGSKPEKIHLIDLRRANNCGIMLTKVKMPLPDLMSAILTLDDTVLDADQVENLIKFTPTKEEAELLKGYKGDKQVLGECEQFFMELMKLPRVDSKLRVFLFKIQFPSQVSDLKRSLNIVNSSAEEIRGSAKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLSKVLSEKLPELLDFPKDLASLELAAKVQLKSLAEEMQAINKGLEKVEQELTTSENDGPVSEIFRKTLKDFLSGAEAEVRSLTSLYSNVGRNADALALYFGEDPARCPFEQVVITLQNFVRLFVRSHDENCKQLDLEKKKALKEAEAEKTKKEPEKAQKEAEAKKTKEPGNDKAKHNNSIKELDISLQSPAQTARQFAAS >OGLUM08G09460.1 pep chromosome:ALNU02000000:8:9462656:9464236:1 gene:OGLUM08G09460 transcript:OGLUM08G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYRRGFYFDFSTTNVGIGMLCPPMITASMLAGSVVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTILSALVRTSYAMRKRRGGASTASAAAPPFQCLSATERTMQSFDDRRRAQVFLRDRFPTWVAVASYAALAALSIVAVPLLYPQLGHRHVAAAYVAAPVFAFCNTYGVGVTDMNLSATYGKIAMMVFSSWVGIDGGGVVAGLAACGIIVSAVSGSSDFMQDFKTGYLTLTSPRAMLVGQVAGTALGCVVNPAIFWVFYKVYNMGGGGGDGANADVAPYARAYRGIAVLSVGRHRLPEHSVLLCKLFFAMALALSAAREVAERRRWRALRYIPSTIGVAVAFFVPPRIPVGMAVGCLALHVWRKHVDAGGARLLSPVVASGLICGDGLGSLASSMLTLLRARPPICIKFVSRFENQKLDAFLATRHA >OGLUM08G09470.1 pep chromosome:ALNU02000000:8:9512389:9513657:1 gene:OGLUM08G09470 transcript:OGLUM08G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNHGRNVEEPNIGRVIAFLFLVNFSGLFIIVPLRKMMIIRHRLTFPSGTATAHLINSFHTPHGAKQARLQVVTLFKSLGATVLWPIFQWFFAGGKNCGFQIFPTFGMAAYRRGFYFDFSTTNVGIGMICPPMITASVLAGSIVSWGILWPYIETKAGRWFPENLDANDLGGIMGYRVFVGVSMILADGLFTILSALVRTACAMRKRRRGASTVTAAVPPFHCLSATERTMQSFDDRRRAQVFLRDSFPTWVAVASYAALAALSVVAVPLLYP >OGLUM08G09480.1 pep chromosome:ALNU02000000:8:9514124:9515106:-1 gene:OGLUM08G09480 transcript:OGLUM08G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHPKFTQIELRSGLVLLPPGYTPSTVARDGGKKNRGMAVGAGLGSWRANMDSMEATDI >OGLUM08G09490.1 pep chromosome:ALNU02000000:8:9518097:9525792:1 gene:OGLUM08G09490 transcript:OGLUM08G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AT89] MQQQTTGGVATRRRLSVRRGGGAAAAASAASVWETRMKMDEVKGGVKVFSAGGDDADEEGLRVYRRLRRNQSDGGGGASGSTNAAAAAKKRRNWKASEPVTAIGDLRKSRSDVAVAAAAPAVGIVTTAKRSVTRVTTPEKKVAASAAAAEVKEVVVVEVPETNNLDEETEEEEDEEELEEELEAEDEEEEEEKEVLDQDHMAIDDDEAAKSTAPHQGKYQLLQCCHHQDFLMNLVLILFFPHNFSVDDQDLEPPTKKFSGDPVKKLAATIDLRAVNPEPVTIPPVEKKAMPVIIHRMTNAEPAKPSPVDLVMWRDVSKSTLVFGLGTFLLISSSYAKDLNYNTITAASYLGLVYLGLRFLSKSILNRGENVDCDDAERSGERYLVGEEEAIWLLRLVLPYINEVLLNLRSLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCSSYSTQLARYELRLLDLVTLVTAFTGPPRHLGMCTGRFWLERFRDGWESCSHKKAVVAAVFTIVWNVSSTVARVWAVFMLVVAMKCYQQRMMEYGWSSTVEDSQEATAAATGDDESPQEETPARSSPAKAHDHEPAQGFGAAAPARHRRTPVSGEFARERLRVRGGIQPR >OGLUM08G09500.1 pep chromosome:ALNU02000000:8:9530768:9531880:1 gene:OGLUM08G09500 transcript:OGLUM08G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGQVAGTALGCVVNPAIFWVFYEVYNMGGGGGGGDGADVDSANADVAPYARAYRCIAVLSVGRHGLPDHSVLLCKLFFAMALALSAAREVAERRRWRALRYIPSTIGVAVAFFVPPRIPMGMAVGCLAPHVWWRHVDAGGARLLSPAVASGLICGDGLGSLASSMLTLLRARPPICIKKCDAHLD >OGLUM08G09510.1 pep chromosome:ALNU02000000:8:9559622:9560272:-1 gene:OGLUM08G09510 transcript:OGLUM08G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSVSEGTATNPGIRSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFRIHLFLTGVACFVFGAFHVTGLYGLGIRHHYLHIDIPNFTDWCRKATITGTSEAIQAAESMITQRMEIVKLGEKKSDAKLREDGDCLF >OGLUM08G09520.1 pep chromosome:ALNU02000000:8:9562958:9564295:1 gene:OGLUM08G09520 transcript:OGLUM08G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDTLPPPDLRHGGGGASDQTNSAVPVSETPMEDGGGAPAAAGSSNTAAPPQPPRLDGPTLERELRRCNIKLGKQKVSGEASTATTEPPRKKLMHDGIRAAAAAAPPPRSPPTRRIISYMVTAAAPPERKKTAADDRFRNLGTCSAQLRRRLSELDATEPEFVCEKTLRMSDVHRNQNRLLFSCKRKEDLDQCPITHLFTDKETQIVHKKDEIAVEKKKKKKIKKDEKKEIKKEEEKQIREKLGLKVTVFDQGGNEYGMTCRYLESNGGYRFIEGWGKFVETNGMAISDSQRWTRDVVVKLLAFRSRRLARGAEQSDHPDGPIGFIVLHHENGSRGRGDNDNDNEEEEEEEYQGKAPPANPKKEKSNGKEEHVVRASTSSSSSSAEAAVGVVAPMHEVSAAPRNMLEEDACLGMVKLWSDTGSSSSSSKYNGPESSEEKRKKD >OGLUM08G09530.1 pep chromosome:ALNU02000000:8:9566771:9572804:-1 gene:OGLUM08G09530 transcript:OGLUM08G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLLGGKAFLSQEKQNIIVLATEGSGGGDWRSQLQPEARGRITAKILESLNKKLGADFAKGLVDLQRVSARIEGRFFSISCTQSDYLRRLSLRMLKLETEPIQLGHQQAVDQSSESKVGQYPTSMLQQQTSCDQQSLCIQVDTKLQEMPPNEHLLDQVPPRSQKKLCMDDRLSDLPDTILHHIMSLLSAQEVARTCILSKRWKELSASAPCLDICVDKFGMDRVRFSEFVAHLLLSRAPNSLHTFRLHSFAIDHASSWINRAIELKAQVLEFTEYIRWGPFYLDPQLMAFASQYLKCLKLTNVTLDSNAFEPLNHACPALENLQLSQCFLEVPEICSASLKKLDIMECSLLMNLQIQTPRLVSLRFRCLQYKCSSCSRYPVITAAVILCDLLNAENIDLSCSGRQVTFGREIQKFPMYGKLTSISLGEWCLSDKFSRLFCLLRHSPELKELTLKLEVERQDHNGEDEIPLMVGRSFSAENLKKICISTMQELSRKIARRQSWGTLSRFNKKDTAVSTITMPQEVKPEAMAYLVACTPIRSRSSLCKSHLGARLGGNTEIGLA >OGLUM08G09540.1 pep chromosome:ALNU02000000:8:9573425:9576274:1 gene:OGLUM08G09540 transcript:OGLUM08G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMATVVVEFRGSNDDAAFGNGLGRAAPSGGSRWRQGGWHLGASAVDALVDWGSEVKILLRSGASNGDALGHRSPSRRCCFWIPLYQCQVFAGFPEINCAVNARMSSSSDVSATMPCSRSAPQGRGQQGRGKTVGVADTDSSDESGALNDGPAMALVEEHLAAMAMDMGQELSRKIPIIEISNVRLFALHLCGSALASNPQMYGGRATKIM >OGLUM08G09550.1 pep chromosome:ALNU02000000:8:9577319:9583667:-1 gene:OGLUM08G09550 transcript:OGLUM08G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGFFECLLKLLNFVLTVAGLAMVGYGIYLLVEWMRISGGGGGAPPSPAPPAELLMFGRPMLTAVALGDGGSFFDKLPKAWFIYLFIGVGAIIFVISLFGCIGAATRNTCCLCCYAFLVILLILVEAGAAAFIFFDESWKDVIPVDKTENFDVMYDFLKENWEIARWVALGSVIFEVLLFLLALVVRAMNKPAEYDSDDEIIATSRSTSIRQPLIHSQNVPATGVPVATLEQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPPAEERNRCVIL >OGLUM08G09560.1 pep chromosome:ALNU02000000:8:9585981:9587615:1 gene:OGLUM08G09560 transcript:OGLUM08G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQDPPCDVAAAAVVVSPPDPKSHHGGGGDEDVGRPAQPPSAAVPANNPRRPVETETSYYVLMPVHELDGGAGGSGDRPPPKKRPATSGSCSGAVDDDSSPPSLPPKKRKVAVDYRVDSFGGSGSRNRETPPAVTRHGGGGGAPAGSTAMDPALANELRRREIKFGKRKVTAEAALTAPITTKLPPREKLMHDGIRAAAAAAATPRLLPPPPPPPPAMNGQLHNDIVDNLSAELRERLDALGATAPRYVCTKSLKRSDVDLNQNRLLISCKQGEVFNCPITHLFTGEETIIVNKNPDETKETKKKKKKKRRKNNDDDEEEEPGLKVKKKKNKNEEKERPGLKVTMLDHGGDTYATTCRYLTSNGGYRFIGEWGKFLRNNGLAVCVNKGEEWTRSVHVKLLEFRSRRLPQADKSGHPDGALGFVVLHGDDSDSDDGEDDPCKGKAPPPANAKKNKKKKSNSKEHVNATSSSAAASASVAVERVTRSVEQEADDEDERIQRAVNGMLKLHDEGSQGEQQALLASKEEAGSKPSSDEEEKAEAKC >OGLUM08G09570.1 pep chromosome:ALNU02000000:8:9597487:9601792:1 gene:OGLUM08G09570 transcript:OGLUM08G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTGEVSAFLFQPQNSEMKDIQIDRLCKNKLDGFYCFGANLSAIVSRSSCIIIEDEHVCDSCGGEHGVRTASRVSVGHGGGAVPARAESHPRRTLST >OGLUM08G09580.1 pep chromosome:ALNU02000000:8:9602109:9606684:1 gene:OGLUM08G09580 transcript:OGLUM08G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQVKCPHSPLPPAAAAAAGSGIGSSSSTPSPHERQQQPATAAERSSGGGGGSSDSRQQQHGLPAATVGSSSTGASSYGSQSVAGRNGVGGGCDGEIGGVREKDRNSDGNGMSM >OGLUM08G09590.1 pep chromosome:ALNU02000000:8:9603158:9612303:-1 gene:OGLUM08G09590 transcript:OGLUM08G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMAAINTVTPGVRYAKSSSSFTYYDVPSIHKSSVPSFFSAIFVSSEFSPLRDCAFSHGVHRTVFLDVSPTAFLLTVFCCSCRYSIYRDNSEWIMHQVLLILLYAVVVGHAEVPLLLPCHSLTQLLLLSLGAGIYFDGKLSYSTQRCNYWPAKLQDLIGVVSRIGPYDFASPTSDKKLRRIQIQNQEEQTQEVLLWGQYGESFNEAVTLEKSKEGIVVIIFAGLTAGNFAGKTEASSSSATQIHIDLDIPQVEQFRSSYKLGSPSLQQQLPKIVRLSPVQAAGKIYNLEEISAMPVSAFQGGVTYSAVAKVSSILSSIKWYYIGCHRCDKGYKLPVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDSVEHPVTLNKAIGKTRLFTIGMNTDSSSKFPISYVLKRSFSIDDTMPNPLLTSEKLSKNKDQLQFPPPMTYTSSPSNARGNF >OGLUM08G09590.2 pep chromosome:ALNU02000000:8:9603158:9612303:-1 gene:OGLUM08G09590 transcript:OGLUM08G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLMAAINTVTPGVRYAKSSSSFTYYDVPSIHKSSVPSFFSAIFVSSEFSPLRDCAFSHGVHRTVFLDVSPTAFLLTIYFDGKLSYSTQRCNYWPAKLQDLIGVVSRIGPYDFASPTSDKKLRRIQIQNQEEQTQEVLLWGQYGESFNEAVTLEKSKEGIVVIIFAGLTAGNFAGKTEASSSSATQIHIDLDIPQVEQFRSSYKLGSPSLQQQLPKIVRLSPVQAAGKIYNLEEISAMPVSAFQGGVTYSAVAKVSSILSSIKWYYIGCHRCDKGYKLPVTITDKSGSLDAVAFSFVAEDLVELDAAQASQNMKIDSVEHPVTLNKAIGKTRLFTIGMNTDSSSKFPISYVLKRSFSIDDTMPNPLLTSEKLSKNKDQLQFPPPMTYTSSPSNARGNF >OGLUM08G09600.1 pep chromosome:ALNU02000000:8:9615099:9620808:1 gene:OGLUM08G09600 transcript:OGLUM08G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHEARGDDFEKKAEKKLSGWGIFGSKYEDAADLFDKGANSFKLAKNWSRAAQVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVDLFLEIGRLNMAARYCKDIGEIYHQEQDLEKASDYLEKSADLFDSEGQSSQSNSIKQKVAEIAAQLEQYQKANEIFEAIARQQINNNLLKYSVRGILLNAGICQLCRGDVVAITNSMERYQDIDPTFSGTREYKLLSDLAAAMEEGDVAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >OGLUM08G09610.1 pep chromosome:ALNU02000000:8:9621775:9625253:-1 gene:OGLUM08G09610 transcript:OGLUM08G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDAALTDYERLREENIRRNDAILASLRRKASELSAAIQSSSSSKRPKKQPPPPRATPIPVVLRRSLRTRGLPPSTSTSSSSAASPPAPESPPEAPCSTRLSSSLASAILAAASASPAAPPPVRDDGFDAGAELVLRPSHVRRVVPDRILSVRVLPLVDRTVVAAGNKLGNVGFWDVDGGAVAGADGVFEYLPHRGPVGAIVSHPATPQKIYSCCYEGEICLMDLEKENFNMIYLTDYPIFSLCQAPNSPSSLYLAEGNDLKLFDERMGKVSATWNLHDNRINSIDFHPENTYMLATSSTDGTACMWDLRNMKEKEPESLKVLEHGRSVQSAYFSPSGRMVATTSLDDTVRIFSVDDFGNSSIMKHNNKTGRWLSTFKAIWGWNDTDLFIGNMARAIDIILVDLNGSSLLAMNNARLESEHMTAIPGRFSAHPYKVGHLACASSGGKVFLWTRA >OGLUM08G09620.1 pep chromosome:ALNU02000000:8:9635562:9635831:-1 gene:OGLUM08G09620 transcript:OGLUM08G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSGVWQVTACRLQAGEDQGRLPLVVDVVRRWRSGEANKKVGESCDDKANGNEPEEGNIVLPRLSIVVAARASPSHRYRLGIPGLLP >OGLUM08G09630.1 pep chromosome:ALNU02000000:8:9645254:9648939:1 gene:OGLUM08G09630 transcript:OGLUM08G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPEPEPDAGGLTDYERRRAENIRRNGVILDSLRRKAAELSAIIQLSRPPTKKQQPRARPRAAPAVVRRSLRTQGLPPPPASGPAPAPPRRSTRITPSLASAILDATSPPASEAKVRDDGFDARTELVLRPSNVRRLVSADRIPSLRILPLVDRTVVAAGSNVGHVGFWVVDDDGDDAHRVFEYMPHLSSVGAIVAHAAKPHKIYSCSHGGEICLMDLERENFNITRLSEFPILSLCQAPNSPSCLYFGEETDVKLFDDRIGKVSTSWNAHASRINSIDFHPENTYMLATSSRDGTACMWDLRTMKKKGAESLVVLEHDRGLQSAYFSPSGHMVATTSLDGIVRVFSVDNFENFHTVERNNNIGTHLSTFKAIWGWNDMDLFIGNATRAIDVISVDLNDSSISTTNNACLKSEHMVSILYRFSAHPCKVGHLACSSSSGKVFLWTRA >OGLUM08G09640.1 pep chromosome:ALNU02000000:8:9649853:9650352:1 gene:OGLUM08G09640 transcript:OGLUM08G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLQTLVALPGRLLMVIVAELARQVAPTLPQQSISTVNHIAAPPETTSHTGTQLQQTYSAADDQRGRTLETNSARHPYRTKKLPSINWKQPSLRREEHLRRGERALHRRRTTEEEENRIWRNRREGDGGVIPDADKTAAGRLPSARSTAASAGEPDWSRR >OGLUM08G09650.1 pep chromosome:ALNU02000000:8:9652180:9655779:-1 gene:OGLUM08G09650 transcript:OGLUM08G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRARARSLRRSLPQPQPQPPTGGASKSKSIAHESEEMIIRTRRRKAEAVLVLHDPQDWRDWANLLAEMVGEIAGRLLAVDVAEYIRFRAVCGPWRERTADPRVRRLDARFRPRNWAVLTITPPPPRRLPPRRRLLNLATAASIGVVLPALSTHCHLCAADGLLVLFNKATNLISLLDPLTNTITDFPAIFLIAATATAAAAVPSSLSAMCRDRRFNLRIFNGAGFDDTTSPPTLVLCLGDTVRSIIVAKPGDSHWTLVNPGEASYREYDSQGQLLFHSVLSWRGRCYVASPEGSVYVLELRPPLPRLVEIIDQRHMCPPDTHHLNRVLSFLVGSGTAMLMVRYWIDIKHFGGAEAYDPAELFTTVGGFTGRLEVLELDDIAKPKSERSLLPVRSLGRHAAFVGNTHCLLMSTETFPSLATDAIYLGYRLQRYRTSKFSVYTIDDRRIEPPHQFCLDEEWRLHPSARPCNLDQYLVCYVDRLHSWSAVVNDADNYRKIADKVGEAGYYVVVPDFFHGRPYNGEPSINITQWIMAHSPVKAAEDAKLIFAALKRERKSIVEVGGYCWGDDDELDRRQGARRAGGRRPRAAEGDGGGVDLEWSGNGVLVLMLHLDFRAATRARRVTTSDVKCPIEILGADNTPSRLVYLFVNAFVKEMRLVPVGLHWHLMPNYAR >OGLUM08G09660.1 pep chromosome:ALNU02000000:8:9655040:9656141:1 gene:OGLUM08G09660 transcript:OGLUM08G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLTVSPRQSTRVGGEVVSSNPAPLKIRRLKRRSRHIADREEGTAAAAVAVAAMRKMAGKSVMVLRVEEGDEVGGLVEEDEETVGGAEVAVGGERREDDADGGRRREVEEAAARRQPPWWGWGDGEHRPVARAEAGVQASYARVGGALAPRPAHGAEADVLGDVDGEQPTGDLPDHFSKLAAVAMGQPAAEGNGGSE >OGLUM08G09680.1 pep chromosome:ALNU02000000:8:9667378:9667993:-1 gene:OGLUM08G09680 transcript:OGLUM08G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEVSLGQRIGAREAPGFRRRAQGATWPKRSKEQMARWWLIPREDDEVGSGAVDGQTVGEPAVATSEPMRKRRLELGEEATKDGWVDSYPGRKRVRRKWWRAGVGCENQSDGKAASPHAALDAWPHGPVSNPQPAAPCSPPSVTPLGVPPMVTEEAKDTGEVGSQ >OGLUM08G09690.1 pep chromosome:ALNU02000000:8:9671908:9675837:-1 gene:OGLUM08G09690 transcript:OGLUM08G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPHLAATPRPPRREPRHRGSGTHPAGGCVVAAASTPSQAVTHSHSPPLENPQNHPEKQQEGEEERWRLPLAGCGEGETVGGKFQTWPPACCSWLPGAALRGMGVSGKGGFLAVVAVVGRGAVLLADAARVVDFGEVGSPPAPSPVADAPYLPDVASPPAVQTEGGNHYQKEILVAVILALAAVIVTVVSAIYAWTFWKKARQALDSKDKKLSSTTKGHMLLPMFGKLNSIKTSKKEVVAMMDFSVLDSATGKFSENNILGKGGFGCVYRACLDRGVVAAVKKLNCCRQEVEKEFENELEFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPSNGSALSWYIRLKIALDAARGLEHLHEHCNPLIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKADLKPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLLELLLGRKPVEKIGDSHCQSIVSWAIPQISDRTKLPNIIDPVIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVVEQPRQTG >OGLUM08G09700.1 pep chromosome:ALNU02000000:8:9679353:9682896:1 gene:OGLUM08G09700 transcript:OGLUM08G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGELSTAPLSLLPLPPLSKGHCPPPPRATAFCPPPMKVARNQNIHNSVVFLQRHTDWCIGDLSHKPLLPQPNTLIRMSHVGVGGITDAREDMKLVE >OGLUM08G09710.1 pep chromosome:ALNU02000000:8:9703640:9703867:1 gene:OGLUM08G09710 transcript:OGLUM08G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGGSRRRAPSGLGTGGGGLPSPTSHPARQRQGWQRRWPPFLDGGGHGDDDGGSGRRAHSGHGVAGGGGSGQ >OGLUM08G09720.1 pep chromosome:ALNU02000000:8:9704787:9706045:1 gene:OGLUM08G09720 transcript:OGLUM08G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G09280) TAIR;Acc:AT5G09280] MANRNVPMLLPLAVLFLLGSGGVATAANVIDRCWRGQRNWAADRQRLAVCSVGFAGKMRQNRGAGVVAYTVTDPSDDPVRPRPGTLRYGATVLPAKVWITFARDMRIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVLYHARDVIVHGLHVHDCRAQPPGRVVVPGGAVQPSGSGDGDAIRLVASSKVWIDHNTLSRCEDGLLDVTVGSTDVTVSNNWFHDHDKVMLLGHDDGFTTDRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWRDYAIGGSMGPSVKSQGNLFAASGGAGDNKKVTRRMPAVARGGGGGKDQWHLHSVGDAFENGAFFRQVGNRVRPNYNRHQAFSAASAGDVRALTGGVGALTCSATAAC >OGLUM08G09740.1 pep chromosome:ALNU02000000:8:9716135:9734874:1 gene:OGLUM08G09740 transcript:OGLUM08G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDALAHMYRRALLTINVGCGSKPDAAVGDIYLDTQDSRPYISSLGHTHIICIPVAKTYFSFLQITS >OGLUM08G09750.1 pep chromosome:ALNU02000000:8:9738174:9738619:1 gene:OGLUM08G09750 transcript:OGLUM08G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRLAQPLYVKNFTTIDGRGADVHVAGGAGIVGNMMPAVARGGGGGGGGKDQWHWHSVGDAFENGAFFRQS >OGLUM08G09760.1 pep chromosome:ALNU02000000:8:9793513:9795461:1 gene:OGLUM08G09760 transcript:OGLUM08G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLSQLDGTLLFALTNSLDGYISDWYSVNEFKKTYSHCLEPLESMNNWPHDDRQPLNAPGYIKMQVPMQSIQMVKLTTTAKVFTNEGGSATIDLHANCAILTGFFQCWLNSGKAVVNVSAQEPGRMKPKKATPGPLLLLSPWEFAKL >OGLUM08G09770.1 pep chromosome:ALNU02000000:8:9796646:9797771:-1 gene:OGLUM08G09770 transcript:OGLUM08G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQSTETAKFAERRVVSTYSQHSSSTPIPSRVGPLDYQSAVMCNCRVKAARWISWSVDNPSRCLVSEEGEGRTCFGYPRREVEEKRTEVDAARKELEAPGKLSCDNAERIVVLKDSTNRLEKERCYLLVVVMACVFLMFALNNSFFWRCGPTEDFGIFFATATTLPSSAPSLDLAHDGLRSLTQGIIHLRRASSP >OGLUM08G09780.1 pep chromosome:ALNU02000000:8:9823204:9824676:1 gene:OGLUM08G09780 transcript:OGLUM08G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEVADKGGKQRSGAAAGASGSIASGGKAPTSMSDDGDWSGLPSSTTKVEADENVLRYYCCIKALPAAPLPLPNPRPWTSLACLDPHVGTWTTSVLHTSAADDLASLRLDLPVAVVVVERLVTNLVHSLQQPDLVLPSRESSRFGAPARPDHRRRATALGREG >OGLUM08G09790.1 pep chromosome:ALNU02000000:8:9829427:9830537:-1 gene:OGLUM08G09790 transcript:OGLUM08G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSATVRSLPLASVIFFFYGNVCMENLITFTTAILVRSKLNYLMAIYLSVLHYIGCFDFISTRCRSFQTEKNKLLGSPYACVAVQMQPAMECHNELH >OGLUM08G09790.2 pep chromosome:ALNU02000000:8:9829427:9830537:-1 gene:OGLUM08G09790 transcript:OGLUM08G09790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGRILVRMAATRRRVSPPQRPPTFFLYSGALPPPRISNFLFLWQCMHGKSHHIYNSNTGSKQAQLFNGNIFVGTPLHRLLRFYFYKMQTEKNKLLGSPYACVAVQMQPAMECHNELH >OGLUM08G09790.3 pep chromosome:ALNU02000000:8:9829427:9830537:-1 gene:OGLUM08G09790 transcript:OGLUM08G09790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSQSHTPTLNEALPPQPSIFVAASASKDPGAWRRGTRATTTFFPSSASEDGRILVRMAATRRRVSPPQRPPTFFLYSAILVRSKLNYLMAIYLSVLHYIGCFDFISTRCRSFQTEKNKLLGSPYACVAVQMQPAMECHNELH >OGLUM08G09800.1 pep chromosome:ALNU02000000:8:9832483:9837403:1 gene:OGLUM08G09800 transcript:OGLUM08G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSFFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTRHLPVASSAAPSGAAVSATERPRFLERCGLNADEFDDDAEAEPMVGLFGRSIRGLAEVNFTAVSGGLSVFLREEEGKAIVMAGSGLSLRSGSGNVLPFVLASPSSSQKIPHCWLHR >OGLUM08G09800.2 pep chromosome:ALNU02000000:8:9832483:9845179:1 gene:OGLUM08G09800 transcript:OGLUM08G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSFFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTRHLPVASSAAPSGAAVSATERPRFLERCGLNADEFDDDAEAEPMVGLFGREEEGKAIVMAGSGLSLRSGSGNVLPFVLASPSSSQKIPHCWLHR >OGLUM08G09800.3 pep chromosome:ALNU02000000:8:9832545:9845179:1 gene:OGLUM08G09800 transcript:OGLUM08G09800.3 gene_biotype:protein_coding transcript_biotype:protein_coding LAPSPPRRPLVSSPSSSSRRSLPPTPPLPDRLLPSPFSRPSPPNPQAVSLPPPPHSPSPRGVLRGSFGRGGVGDRAPSLPRAVRPQRRRVRRRCRGGTHEERRRGRPSSWLDLVSPCAAVAATSSPLSWPPHPPPKKSPTAGFIGDGDDAQ >OGLUM08G09800.4 pep chromosome:ALNU02000000:8:9832483:9845179:1 gene:OGLUM08G09800 transcript:OGLUM08G09800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSFFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTRHLPVASSAAPSGAAVSATERPRFLERCGLNADEFDDDAEAEPMVGLFGRSIRGLAEVNFTAVSGGLSVFLFVCFQEERRRGRPSSWLDLVSPCAAVAATSSPLSWPPHPPPKKSPTAGFIGDGDDAQ >OGLUM08G09800.5 pep chromosome:ALNU02000000:8:9832483:9833078:1 gene:OGLUM08G09800 transcript:OGLUM08G09800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIYPSFFPYRPCLPRRGIAWRRALHVARSSLPLPHPHAARSPRLPLSPTACFLPLSPAPLHQTLRPSPSLRLPTRHLPVASSAAPSGAAVSATERPRFLERCGLNADEFDDDAEAEPMEEGKAIVMAGSGLSLRSGSGNVLPFVLASPSSSQKIPHCWLHR >OGLUM08G09810.1 pep chromosome:ALNU02000000:8:9868221:9874823:1 gene:OGLUM08G09810 transcript:OGLUM08G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQTNEKYVHIVFTIRQEISDVTTRNMTFCNEFLMTSELFIGALAETERRLLVAIGAGPRFSRPIRRWLSDERRGGESSAPPSPLLPSNPGGSGGGGSATRKGGGSLVPPSPLLPFNPGGGSATRAQLSSY >OGLUM08G09820.1 pep chromosome:ALNU02000000:8:9875791:9876141:1 gene:OGLUM08G09820 transcript:OGLUM08G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSGEQQRKAVDSQSTSQNNGESHTGPMAIRASSRASLAKTLVSFYLLASRLGIDGAGHIQIDYTGEGSCRAAP >OGLUM08G09830.1 pep chromosome:ALNU02000000:8:9877016:9887967:-1 gene:OGLUM08G09830 transcript:OGLUM08G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MAASSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKQYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPEDDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQIDGNRQVEVVFNEIDSLLQKICENASSNMLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFCKG >OGLUM08G09830.2 pep chromosome:ALNU02000000:8:9877143:9887967:-1 gene:OGLUM08G09830 transcript:OGLUM08G09830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G35170) TAIR;Acc:AT5G35170] MAASSSSSSPAAASAPFAAPGPHRRPGLALRPSPPTPPSSSLSCCRASPAAAAVSSVSATAAPNRGPRGMGLRCRASEGAAAAARKEAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKQYMDNGMLVPDQVVTDMVVSRLSQPDVRERGWLLDGYPRSYAQAQSLESMKIRPDIFIVLEVPDDILIDRCVGRRLDPETGKIYHIKNFPPEDDEVSARLVTRSDDTFEKVKSRLETYKQNSEAVIPTYSDLLNQIDGNRQVEVVFNEIDSLLQKICENASSNMLAKTNGKPQDSKDTTASKNEFRGIPTRLNNIPHSREIRKYFYNDVLVATRHAVEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEIMDWGEYGAKGTFINFGAVGASEVDKEDDMFILIAPQNAVGNCIIDDMKAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLFYAGSFYPIMGALRMAYPNKYEIYRRVDEPNGQERYVLLEEFVEKPTPDEITNAFRPRKNENEKSASGFW >OGLUM08G09840.1 pep chromosome:ALNU02000000:8:9892396:9892942:-1 gene:OGLUM08G09840 transcript:OGLUM08G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGDRRLATETSAAAVDGDGDLDDDGGDGAPLCGCGIGAATATRNGLLLRQWRRRIHSSLASSAPRSLPYVAFFCFSLVAAVAEDSASNGVVTAGDGAEDGGSRCFDASSFVLSSTLGHSKQADARSRRGDGRRNVCGAHSWLVTFGWPNLASGGRVLASQMGLGHPVGEAVG >OGLUM08G09850.1 pep chromosome:ALNU02000000:8:9892963:9893166:-1 gene:OGLUM08G09850 transcript:OGLUM08G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLTAAARNGDLGNDGLDDDPWNGGGGRAGPEAAWRRRRSRPHPESSGGRAGRIWRGRTPCLARGL >OGLUM08G09860.1 pep chromosome:ALNU02000000:8:9894523:9896538:1 gene:OGLUM08G09860 transcript:OGLUM08G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATD2] MAMATPMAPRSTFAIFLILLLRSGHSPAAAFYLPGSYPHRYRPGEALAAKVNSLTSPSSKLPFPYYSLPFCAPQGGVNRAAESLGELLLGDRIETSPYRFSMLKNATAFLCRTDPLPPATADLLMSRIDDAYHVNLLLDTLPVVRYVKNLAAPGVFVRSTGFPVGVRADDGEYYVYNHLKLTVLVNKPRNGTTRAEALMATADAVELISFAGGSKDGGGYTVVGFEVVPCSVEHDAAAIKGKKMYDELPARAAAGCDPSVVGMRVRANRPLVFSYEVAFVESGVEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAILLVILLRTVRRDLAQYDEHGGEAGLAPQADELAGWKLVAGDVFREPAHPVLLCVMVGDGVRILAMAVATILFAALGFMSPASRGALVTGMLGIYLLLGFAAGYAAVRLWKTVRHGDSAGWKRVAWRASCAFPGAGFAVFTALNCVLWYNGSTGAVPFLLFAVILLLWAFVSVPLTLAGGLVASRVGHLEYPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELLFIMSSLWLGRVYYVFGFLLVVMALLVAVCGEVSVVLTYMGLCVEDWRWWWRAFFASGSVAAYTLGYAVYYLVFDLHSLSGPVSAALYVGYSLLMALAVMLATGAVGLAASFAFVYYLFSAVKLD >OGLUM08G09870.1 pep chromosome:ALNU02000000:8:9897514:9902827:-1 gene:OGLUM08G09870 transcript:OGLUM08G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G09850) TAIR;Acc:AT3G09850] MAGGKRRHARSNPTAGGPRHGAGAGRRRPVPELPSFVSPASVAAAFSSSSSGGRGRGGRGGRRGGGGGGSSNSASDSSSHAVPFSYAALRPSASFEGATQVLDVTIDTAPCADPASASVPVYSYGPVGGIGLGFHGEEDEEEEEAGEAGLHLGLGFRGCSNEEVEELEEATFVTPRKPKEKPKGKRNEGFLSIGGIRIYTEDISSPESGVGDSDEESESDYEGRDGNDDGDSDEEGSDVNEGGSESDEELSGSDSEEDLSIGDSSVDDEVVADYMEGIGGSEELLSSKWVAGMNLVDSDDDDEMDTDEDEDGFLKKVKGQLEGYALMNASEQYGMKRPSSADRLKGKGTAVRACDRELASMRVMGLDDLMMVKDVRMANRLRKGAKVASSSSHLSRSWPNEGRKSKKYQSVPGEKKKHQKELIAKKRRQRMLGRGVDLDQINTKLRKMVVDQVDMVCFQPMHTRDCSQVQRLASIYHLKSGCQGSGKKRFVTVTLTADSSLPSSEGQIRLEKLLGTEPEDFTVNWENSKRPAQVKGLSAPGKLARNQTSSGKKSSKKQVSFAERPVSFVSCGTMAESVTETIAVATTSGEVSCERIVESDSVKLGTFEMHTKGFGSKMMAKMGFIEGTGLGKDGQGMMQPIQPIQRPKSLGLGVEFDSEAEAIKARSEPPTKARSEPRRNLRKVEIGGVGSFERHTKGFGSKMMARMGFVEGSGLGKDGQGIVNPLTAVRRPKSMGLGAKNKY >OGLUM08G09900.1 pep chromosome:ALNU02000000:8:9942960:9943512:-1 gene:OGLUM08G09900 transcript:OGLUM08G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHYYGYHTRSSPPRMPTVHANPQQAQLYPTACRPTRSAKVDLVTLPVGGGCRVADLLHLVLDVIIFIHVIELLLPLYAMCLVIHSPAAALDVAHPGRGGDCGFWGVMVATMHILLVLFQGESFYQTSHAPQAALSVSQPGGMGPCWSDERASPMADDKAQGVGPPCPLP >OGLUM08G09910.1 pep chromosome:ALNU02000000:8:9953055:9957259:1 gene:OGLUM08G09910 transcript:OGLUM08G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACEVYQHPVYAAAEKRPSLSGFFFLLLLLPINASLASLASPFPRNHQSLSLSLSLSLAAERRSSLPSAAAGGVRACGWLVLWIPFPMDDAVETAAEILVSFQNRRLVRWPEWVPRPDEKGAAAEEKKLPGWKDRRKRTSKSKPPPAILVCGLELRDSGGEKAASIPAPLPPPARKKMLFKMKVKDEPTAARGPETLPEYGAGAGSGANFSRDGVARPLPPRAVVKAEPTPAARMPESPPYYVAAAGSAPSTAGGDRRPRPRPVERAHVKTVLAAAKEAMEASSPETPLDYAATTGSGASSSGDESSRKRKAAPGAGGSGGASSSGDEGCSSPEKRPCLVAGGGAQTAAAAKAEGEKFADSMNRNDDGVLLFDLNECVDNCEDWS >OGLUM08G09920.1 pep chromosome:ALNU02000000:8:9979763:9980443:-1 gene:OGLUM08G09920 transcript:OGLUM08G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFNNSADAKVLFGSIKACGEGISLVGASRVIILDVHLNPSVTRQAIGRAFRPGQQKKVFVYRLVAADSPEVKFHETAFKKEVIPKLWFEWSELCTTEDFKLNQVDIDDSEDELLEANAIRQDIKALYRRMLQ >OGLUM08G09930.1 pep chromosome:ALNU02000000:8:10002779:10016547:-1 gene:OGLUM08G09930 transcript:OGLUM08G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQKDSSAIIVLDSDDEDEYTEGCEQLTSENNKQQAPSGPTSPYTTWIVSSTKDQVNGTLHVDGVQSTQIVPYDQNAPLINQFPLQTSWQPSIQYERVILQKRPEEQRVQDLVASRTRTNYHESRSKDADEIDTGAVKVSEDFIVSDIAIHPRHAKQMRPHQLEGFSFLADKRVEQLEVLKYWEAQMSILFLGYKQFSRIICGDGDGNIAAACWDRLLMVPNLLILDEGHTPRNRETDVLASLKRHHAKWSCLNHVSEVFNILDLVHPKFLKMESSRPIARRIMSQVAISGIRSLKGVHDSAFTESVEDTLLNDDNFTRKAHVIRSLRELTKDVLHYYKGDILDELPGLVDFSVFLKLSNKLKEIVHCWN >OGLUM08G09940.1 pep chromosome:ALNU02000000:8:10016925:10018997:-1 gene:OGLUM08G09940 transcript:OGLUM08G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADSGSPPFPPPPSLSSLVAESPVGGGGGVGGLFGGSSGLGARVGPVPPCRCRSSSRGRPRPPSLRAAAAPLLTPPLCQYSSSRATLLLAHLSTATVPPLLSLVNCSHALFLDT >OGLUM08G09950.1 pep chromosome:ALNU02000000:8:10026716:10028271:1 gene:OGLUM08G09950 transcript:OGLUM08G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSQSSCHGENHDEPYAPATWAEVLQMGNNILQAMERLLNARLPAASGGVVQPPLVDPEDELWMHIRLMMMPMTDSMVRPMVEVLEHEKEVLTGEDLAPVRTLAVVLSPMIITMRRNMLTGAQEGGPAVVGEEAGTGNGKERRKTMSTKP >OGLUM08G09960.1 pep chromosome:ALNU02000000:8:10038089:10040512:-1 gene:OGLUM08G09960 transcript:OGLUM08G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19440) TAIR;Acc:AT4G19440] MRPPPPHLLLPRRRRHASSSSAAAAAAAAAGELVGALSALPSPDSARHLDALLRRIGGGGLAAVLSSLPSPLPAASALRLLLHLLSRTSSSSSRSEDDLLTPRVSALLLPSLIADRTAIRTARRLLSRLLHVHPLRTAAEAVADAASTPSSDFLIHTFITSPAQGSLCRAADAFRVLSSRGAPPSIKTCNAFLEALVRAGQLDAAREMFDEMRERRNVALNEYSYTAMIKALCKAGKVDAGFEMLAELWRAGLQPTVVTYNVLMDALCKSGRVEEAFRLKGRMEQGGMTPSVVTFGILINGLARGERFGEVGIVLQEMEQLGVSPNEVIYNELIGWHCRKGHCSQALRLFDEMVLKKMKPTAVTYNLIAKALCKEGEMERAERILEDMLSIGMTVHCGLFNTVVAWLLQRTRRLESVVSITNEMVTRGMRPNDPLMTACMRELCKGGKHQEAVGIWFKTLNKGLGVNLATSNALIHGLCEGKYMKEAIKVIQTMLNKGIELDSITYNIMIRGCCKDSKMEEAIKLHGDMTRRGFKPDLFTFNTLLHAYCNLGKMEETFHLLDQMKTKGLQPDIVSYGTIIDGHCKAKDIRKAKEYLTELMDRGLKPNVFIYNALIGGYGRNGDISGAIDAVETMKSNGIQPTNVTYGSLMYWMCHAGLVEEAKTIFSQARENNVDLGVIGYTIMIQGYCKLGKMVEAVAYFEEMRSRGISPNKLTYTTLMYAYSKSGNSEEASKLFDEMVGSGVIPDNITYGTLIARCSEVNSLDKDIGHTAELSSGALTKDDRMYNILSNGINAPWCQKEAASSVE >OGLUM08G09970.1 pep chromosome:ALNU02000000:8:10050276:10055435:-1 gene:OGLUM08G09970 transcript:OGLUM08G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aberrant lateral root formation 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) TAIR;Acc:AT5G11030] MGLVSTEATPHHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADAEADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYDAALRIGNAIQEMCKKMVNQKNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHGLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGEQVLSALNLLRFVLIIDSRGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >OGLUM08G09970.2 pep chromosome:ALNU02000000:8:10050276:10055435:-1 gene:OGLUM08G09970 transcript:OGLUM08G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aberrant lateral root formation 4 [Source:Projected from Arabidopsis thaliana (AT5G11030) TAIR;Acc:AT5G11030] MGLVSTEATPHHHARLRRRSMDAGDTSAAAAVAPTPARLREALAALSKAFESGDCSDGSAAAAVSDLLNAAADAADAEADAEDEAAAGVVEEMLREVHAFLSSPSSNQLAIDALSLELVKPVAKLGALMRNCWDIANAIIEFFVSNCNPRDMLSILCEAVDAPLASNGSVYFVLLFKELAKVLVLIQRRHTEQVKVTLPAVLRVMNAVIPECDEEHGKIIVDMYDAALRIGNAIQEMCKKMVNQKNEELCSVLSLYSLQNIALVSRCKQQHILSACGSVVLQHSKILTFCGFTYLGLLTGNDVTSATDKISKDEDADLLECFSFAMNGANLAVIWTYMDDEISKYAGAELESALKDVKGNHTRMWQAINILRYVLSSTHYPWVIKSHGLDLLLTIANESRIEEINDHVDVSSSGPQIFATLKTAILLDLVKDEVSREIRRADQDIVESDQLQDGGEWPPPWFSHALELVELILKPPEGGPPCLPDHGSRSRKMFGEETMRKVYSEWLMPLRPIVAGIQSESEEDGSDVANHIMCSLNPVQLVLYRCIELAEEKMKGF >OGLUM08G09980.1 pep chromosome:ALNU02000000:8:10055865:10056851:1 gene:OGLUM08G09980 transcript:OGLUM08G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGGNGNGNSHRVVGRKRLLVAGGAGEEDRLRGKKRAAAGALVPYVAAASASAPIDAVPLAAVAPASSSSLAEGEVPNEPSWIRKIVFYRLGLPYDLPLVFIEEKTVTRTDLDSHQNRFRLACGGVGRSLIPMLTRREAIAASFLRKEEDEAQAAGLTPPQPEENNTAVVVEQQEEEEEEEEAAVTTPPQPESDTAVAVAEQQQQQEEEETAGPGGRKKKKKKGRSHGGLPVTLVHLRGGMKRLLLTRWDSSGAAIIKGEGYLDFIARCGIKEKDVVHVWAFKQQGFRLFGATYPPGPLYILIAGTARLAAPPPPQPPVAQSPPSC >OGLUM08G09990.1 pep chromosome:ALNU02000000:8:10058626:10060685:-1 gene:OGLUM08G09990 transcript:OGLUM08G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCRMGATAGDGAIDEAGWLYVCNSCVLPTAVGVNPMITIQSVRIMDSRNLSRRKQRARLEHKTADGDERERSEEGHRQIAVRLAAVVAGSLMLAAAVLLEMHMLMGGLRELDAMSFSGFVMQHVVAVLAAAGLLLVALAGCPPARSSTST >OGLUM08G10000.1 pep chromosome:ALNU02000000:8:10062983:10065906:-1 gene:OGLUM08G10000 transcript:OGLUM08G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRKKGVAAKQKPPEPPAADAPLRDRLRWLNDQEYEHRSAAIKAIQAAEIESILSRLRLVESYISKEQQEGCALQYFQENLPNLSVVRNEEQNELELKREDWDKRLIGDHCDDKIFRASVSSLPNVGDVQFSGDSVRKSFIESMPFNFNDFAWGELPEDQLAGIADALQTPGAVSTRLSFGMTPKTLRLPKKGEMLLSVRGSPLGVYKEENLAAVHGIYHLFFLGSSQASLTMVLLTVG >OGLUM08G10010.1 pep chromosome:ALNU02000000:8:10078157:10079442:-1 gene:OGLUM08G10010 transcript:OGLUM08G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTRGSRELRYGECHISPYRKLRRCPRERESTGDSVGWCGTSAGAALHSHAQGPRPS >OGLUM08G10020.1 pep chromosome:ALNU02000000:8:10079792:10080229:1 gene:OGLUM08G10020 transcript:OGLUM08G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPKSLNAFDIIASSLSFDLSGLFEERGERMHFMSGALVADIIAKLEEIAGMVSIEATRNGQKGALAISSKVFELTRELVMVQVCKKAGDTTEYRRFCDNELKAGMRGLVVDALPPPVDPDECIMWHCCCLIRLLIPCSHRCAH >OGLUM08G10030.1 pep chromosome:ALNU02000000:8:10100168:10100785:-1 gene:OGLUM08G10030 transcript:OGLUM08G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRDDVVVARAGHHRRKLYQSTSPSARMTVSGALDITSELVWVPCRMSCTAGGGDDTYLEKSAYSLLRRELIGALGINWKKGSALGLDLCYTSRRMAAAKVPDMSLVFVGGAVMELKARNFLYKDESAGLRDADGVSLLGSLIQTGTHMIFDIEGSKLGFESFDQPTKSSDQPPRISPAAVTIACFVGWVVHSCWW >OGLUM08G10040.1 pep chromosome:ALNU02000000:8:10108112:10108702:1 gene:OGLUM08G10040 transcript:OGLUM08G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGEKRTNGGLDGEGAGGEGGGALRRRRQPPTSGSAASSSYPSTSGSAGSSSSGRRVVEEEEEQGGGGGGGRKQGRRRKAVARAIRERLPAAVACWGNGAVVEGIGGRSGRRSRRERPGDDGGGEDNAGAAARAPAAAWCCVCPDEECRLEANPSANGKEDPGLRALLENNDFFSDDCNPHAAAAFHESGDRSD >OGLUM08G10050.1 pep chromosome:ALNU02000000:8:10112200:10116507:1 gene:OGLUM08G10050 transcript:OGLUM08G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT1G56590) TAIR;Acc:AT1G56590] MLQCVFLLSDSGEVMVEKQMTAHRVDRGICGWFWDYVLAHAAGDASKVVVSPTHYLFQVYRNGVTFLACTQVEMPPLLAIEFLSRVADVLTDYLGDLNEDIIKDNFVLVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVSKMLNVVTGKSSNLGNKLPDAAASFVPWRTTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGKIQVNSSLSGVPELTLSFSNPTIINDVRFHPCVRFRPWESNQILSFVPPDRQFELMSYRVKKLKTTPIYVKPQLTSDSGNCRVNVMVGIKNDPGKPIDSITVQFRLPPLIASADLTANYGTVDILADQTCLWTIGQIPKDKAPSLSGNLRLEEGLTHLHTFPTFEMKFKIMGVALSGLQIDKLEVKNTPNAPYKGFRAQTQAGRYEVRS >OGLUM08G10060.1 pep chromosome:ALNU02000000:8:10113725:10118912:-1 gene:OGLUM08G10060 transcript:OGLUM08G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVHQGMVSPPEKKLPTDSTSFPILASIGEGAGDPIWRRERRASTHGGGGGGQQRRMRNQWVGWED >OGLUM08G10070.1 pep chromosome:ALNU02000000:8:10118510:10121558:1 gene:OGLUM08G10070 transcript:OGLUM08G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKIGKLVESVGSFFSGGDTIPWCTRDIIAGCEREVAEAANEEQKSDSIMRLSWALVHSKNQEDINRGIGMLEASLGQSNSPLQTREKLYLLAVGHYRNGDYPRSRQLVDHCLEIQPDWRQALSLRKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAIAARKNFKHLWLDSDQTSIVLSENKCWIHHGAIKHAPLLIHPTKTILILLAFY >OGLUM08G10080.1 pep chromosome:ALNU02000000:8:10121631:10123785:-1 gene:OGLUM08G10080 transcript:OGLUM08G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATF3] MCCWCCCLDCIHNIPPLNLLFLHFSPHSLSSSATSAGAAVAPMASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDEALLDRLHSELSGDFRSALMLWTMDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRAAYASSLEEDVASCSLFGDPLRRFLARLVSSYRYVGGGGVDGELAIAEAAELHDAVVGRGQALHGDDVVRIVGTRSKAQVAATLERYRQEHGKGIDEVLDGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRFNTTVTADVRGDTSGYYMNTLLTLVGPEK >OGLUM08G10080.2 pep chromosome:ALNU02000000:8:10121631:10123003:-1 gene:OGLUM08G10080 transcript:OGLUM08G10080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATF3] MDPAARDAKLANEALKKKKKGELRHIWVLVEVACASSPDHLVAVRKAYRAAYASSLEEDVASCSLFGDPLRRFLARLVSSYRYVGGGGVDGELAIAEAAELHDAVVGRGQALHGDDVVRIVGTRSKAQVAATLERYRQEHGKGIDEVLDGRRGDQLAAVLKAALWCLTSPEKHFAEVIRTSILGLGTDEEMLTRGIVSRAEVDMEKVKEEYKVRFNTTVTADVRGDTSGYYMNTLLTLVGPEK >OGLUM08G10080.3 pep chromosome:ALNU02000000:8:10123067:10123785:-1 gene:OGLUM08G10080 transcript:OGLUM08G10080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATF3] MCCWCCCLDCIHNIPPLNLLFLHFSPHSLSSSATSAGAAVAPMASISVPNPAPSPTEDAESIRKAVQGWGTDENALIEILGHRTAAQRAEIAVAYEGLYDEALLDRLHSELSGDFRVIGPDPRDLAGGRRH >OGLUM08G10090.1 pep chromosome:ALNU02000000:8:10126450:10128906:1 gene:OGLUM08G10090 transcript:OGLUM08G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:annexin 4 [Source:Projected from Arabidopsis thaliana (AT2G38750) TAIR;Acc:AT2G38750] MADEIQHLTRAFSGLGGLGVDEPAMVSALAKWRRQPEKLSGFRKSFNGFFKDHGGVIERCEEEYMLHLAAEFSRFKNLMVMWAMHPWERDARLAHHVLHQAHPAAIVVEIACTRTAEELLGARKAYQALFHHSLEEDVAYRARDKPYCGLLVGLVSAYRYEGPRVSEETARAEAKALVAAVKSAGHAAAKLVENDDVVRILTTRSKPHLVETFKHYKEIHGRHIEEDLGHEETLREAALCLATPARYFSEVVAAAVSDGADHHAKEALTRVAVTRADVDMDAICAAYHEQFGGKLEDAVAGKAHGYYRDALLSLVAGGK >OGLUM08G10100.1 pep chromosome:ALNU02000000:8:10134365:10136348:1 gene:OGLUM08G10100 transcript:OGLUM08G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEKSQRGEDCKKTKDLESANKIQDMRNMKSTGLTDENLKGFVGGLQLIEQNYNRTRSFIIDAKKSSTRSSTAQSGILKDLTERETKTLRRRQYQKMKDLGYWSKIIIR >OGLUM08G10110.1 pep chromosome:ALNU02000000:8:10147854:10149341:1 gene:OGLUM08G10110 transcript:OGLUM08G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQVTTPMADSSFNPEFLQAHAELWNLTFSYLKAMALECAIKLGIPNAIHRCGGSASLSELVISIPVPETRKPHLPRLMRFLAAVGVFSLDNPTIDEEVTEKGMGIYRLTPLSRLLVDGSIGGIGGHGSLSPFVLSQTTKYHVSATMNLSDWFMTEDKEVAIEMPFRAAHGTDLWGVMSRDANMNEVFNAGMGSDSRLAINFIISKCGEVFEGISSLVDVGGGTGTTARAIAKAFPHIKCSVLDLPNVIDTITVDGIVEYIAGDMMEQIPPTDAVLLKYILHDWNDEDCVKILKQCRNAIHAQKPGGKVIIIDIVVGSPSKDMFEAQVSFDLLMMVITSGKERDQHEWHKIFMDAGFSHYKTRPVLGFLAITELYP >OGLUM08G10120.1 pep chromosome:ALNU02000000:8:10184322:10186249:1 gene:OGLUM08G10120 transcript:OGLUM08G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSRPNNPTANGIFISMALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFDCEPVIVFGTWLDLAVN >OGLUM08G10120.2 pep chromosome:ALNU02000000:8:10184322:10186643:1 gene:OGLUM08G10120 transcript:OGLUM08G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSRPNNPTANGIFISMALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFDWSR >OGLUM08G10120.3 pep chromosome:ALNU02000000:8:10184322:10186273:1 gene:OGLUM08G10120 transcript:OGLUM08G10120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSRPNNPTANGIFISMALDGRWMLKTEDGDELYLDLDEEVMMTLNKASLIRFVCPFHVDLLIHDERAISFDWCDSDMRLVLLVNVTVTCLFC >OGLUM08G10130.1 pep chromosome:ALNU02000000:8:10210885:10211625:-1 gene:OGLUM08G10130 transcript:OGLUM08G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESIVSGLLITASAVACPQSSHSLPHGSCATRVPQLRRDRLLYIKQASSQISIVDEEDSEPEWAEYKIKETNMFTVDNYQQVHRSLMISWPLQTFFLKKIVYF >OGLUM08G10140.1 pep chromosome:ALNU02000000:8:10228285:10230646:-1 gene:OGLUM08G10140 transcript:OGLUM08G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKFNDDSSMVKDQNTCNDNAIGNEQTPMKISSSPKDKIAVVDGIMKKLSKPGLSNTSPKKAISSIVGFNERKSIFLGHENPSFKIWYSDDDFPNEEKHFKTQIIPKDLSQDFDDNSQSQLNNSTNEDKLVMITLEDTDTEILTQHNEKENLNIEQLQKKI >OGLUM08G10160.1 pep chromosome:ALNU02000000:8:10247770:10250704:-1 gene:OGLUM08G10160 transcript:OGLUM08G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPGPAPPPSNLWTRATSPFHHCADHSPRSHWHYKQNHRQEQPSLRAVFSPPQPATPFPLSATRLHLHLDGHPSRPSPRSIAAVEVAAHGHDDRAGTSCGHPCLRPTREPPQPSSLSPPRSGPSPHRDERRPPRGYLLSAADLSRAALGRAAPSPRGARAAAPGRTPPRRPLSSPREPSPIHHHLLCSQHRHLLLSSAPPRPDAGRDVAHRAPKAAAPSAAVPPLAAPPGPSRWRPGLLFPAGATPFLPGAPPRARQPLRPAPPQAPSSLELAWRRRNQRREREREREEEESREREIKREKSCSLTSLIRYFWLRLRFEAGILVTVVLWCLSWGWFW >OGLUM08G10170.1 pep chromosome:ALNU02000000:8:10250332:10251985:1 gene:OGLUM08G10170 transcript:OGLUM08G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIEAVIATKVVVAPLLGGGRGGRRRCLRGRRGRARQLQQQRSSAATASMGARPGGDGGGWQRGEKGWLAVVGRKQHEEKAAPGDGFACNANGFEENDRHSGGRAMWRASIGWTAAARARVSTSTQWRAERGCKAWTRSSDAHAGDVLGGGEVTIGDKASLEHVSSADGLDSDNVGPECRHRYRKEAYKGFERVAKLGNFEQKG >OGLUM08G10180.1 pep chromosome:ALNU02000000:8:10252164:10261928:1 gene:OGLUM08G10180 transcript:OGLUM08G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAPRLGSPLSSPAAAHAAAGAPAPSRAARWGRGSPRPCAVLAIPLVEVMDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGLSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDASIDTILPGESKTAQSIVQTSSTAARRMEVRLLPSGCVIEEMENGYSKLTWMVHAAYDERAVPVLYHSLLRSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTMTEVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTLTVA >OGLUM08G10180.2 pep chromosome:ALNU02000000:8:10253440:10261928:1 gene:OGLUM08G10180 transcript:OGLUM08G10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGLSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDASIDTILPGESKTAQSIVQTSSTAARRMEVRLLPSGCVIEEMENGYSKLTWMVHAAYDERAVPVLYHSLLRSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTKVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTLTVA >OGLUM08G10180.3 pep chromosome:ALNU02000000:8:10253347:10261928:1 gene:OGLUM08G10180 transcript:OGLUM08G10180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGLSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDASIDTILPGESKTAQSIVQTSSTAARRMEVRLLPSGCVIEEMENGYSKLTWMVHAAYDERAVPVLYHSLLRSAKALGACRWVASLQRHSQFLSGLHKYIFCPDSTKVVMRRKVLYLVKQMTSSFTGLFASMSKATLQDGDDTHFAHQIVGGATGEPAGLLLSATTTIWLPGVNPRRVYDHLRDEQCHGEWRCLLGEQLHQGNALPYGAPLNGETVPEFYRMVNGLHEGHAISLISPREMGGNISNTLLLQEARTDLSGSLIVYARTDVNTVHSIMNSGLNPATVFLVSSGCAILPDCLESFPLHPAATADQAGTSSAAIASRSETGGSFVTVTYQMFFSSQGGAAPASSSIHQGRDALKKATDIFKVVLDTLTVA >OGLUM08G10180.4 pep chromosome:ALNU02000000:8:10252164:10259482:1 gene:OGLUM08G10180 transcript:OGLUM08G10180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVAPRLGSPLSSPAAAHAAAGAPAPSRAARWGRGSPRPCAVLAIPLVEVMDGELKLMASYVSKLKFILQKDQQQEPHTANLLVLLGSSNQDKRNGLSQGENRMDTCTRKKPRRYQLLTMQQKETLNRAFQSCPNPDRNDLKKLAKELNMTETQIKYWFQNCRTKMKKFKNNEERKLLQKENEELKKENAELRNRMKNSTCRACDLPLFHIDCRHWENPMLNKGNHGVTSNLIPQAVSSLLPSSSGFVASGSNLSSNAVLMPVSAMPSSVLQPAPAVSGANFPILHNLSANANDGYTEKNVLLDLANRAMEEFFSLMKENESLVKKKENGPLWLPHMDILGVESLNYQEYLAKSRTIGQKPVDFKVVVTRDTAIVNGSCVDLVKSLLDANRWRELFPGIVASANTTKIISTGLSNLHDGLLQLMRAELQVMSPEVPVCDVTFLRQSVQFGSGLWCVVDASIDTILPGESKTAQSIVQTSSTAARRMEVRLLPSGCVIEEMENGYSKVLCFLIDSITGTRC >OGLUM08G10190.1 pep chromosome:ALNU02000000:8:10280073:10285421:1 gene:OGLUM08G10190 transcript:OGLUM08G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MRKRNLPSRSRSPPLLAPPPRRAEGRAAISIWRAEQRRGGGDPEGQELKNSVSNSMVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSIIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >OGLUM08G10190.2 pep chromosome:ALNU02000000:8:10280086:10285421:1 gene:OGLUM08G10190 transcript:OGLUM08G10190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G35100) TAIR;Acc:AT5G35100] MVLPSSNTRATSSMAYPVSLSSLHHHPNNHHAFFLPSKTNHDNTHKPIESSRISRRSIIFLPVLPSLLYASSSPALDDANIPSTSAIDTTITDRIFMDFSVCPSYFRSDRTLGAELATCPDSEPLGRVIFGLYGRLLPLTTANFKAACTSAAYRGTLVHKLLQGQFFVAGRQGPRRDRGEVQPPTGLVRNAETIDPKAFELKHARPGTLSLCLGQNDDDDDIKLNPNYHNVEFLVTTGPGPCPELDGQNIVFGTVLEGMDVITSIATIPTYKPAERIRFFNDFAQLIGDERAQTARALWDRPLKTVYISDCGELKVTKPSLSPPSLP >OGLUM08G10200.1 pep chromosome:ALNU02000000:8:10288584:10289718:1 gene:OGLUM08G10200 transcript:OGLUM08G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKKEFMVGIALDKTPVSVSVCFSTLKMILLIYKWYGEESLGHQDDDMMRLLPQKANADEKLEPEWQANPRKMMLSW >OGLUM08G10210.1 pep chromosome:ALNU02000000:8:10305860:10306129:-1 gene:OGLUM08G10210 transcript:OGLUM08G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGVIPASGPDATRVARSAPGPHLRGPHGVENEAVGRGQQQPMGAGCVGQSRPAADGQVDAGGTNSPQGHSLISGTHSDPGPRVSD >OGLUM08G10220.1 pep chromosome:ALNU02000000:8:10309784:10316214:1 gene:OGLUM08G10220 transcript:OGLUM08G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHLPHEMTMQALGADDAATTSDSSASPSGAAAAAAAAAAAAAAAGAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >OGLUM08G10220.2 pep chromosome:ALNU02000000:8:10309371:10316217:1 gene:OGLUM08G10220 transcript:OGLUM08G10220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHDHLPHEMTMQALGADDAAVAAAAAAGGVGAGGAPAWMRYNDGSFLHLQTTSDSSASPSGAAAAAAAAAAAAAAAGVQQWMGGGGGGEDAVAAAMGGGGEADAARCKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQGVVAKYSALAAAAAGDDGRELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEANMYDPSLDGADNMGFGLPTESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHAKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSTSVKTKRKSNAGDNNS >OGLUM08G10230.1 pep chromosome:ALNU02000000:8:10322164:10322562:-1 gene:OGLUM08G10230 transcript:OGLUM08G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERGERRGGGIGCLSCCFGGGDGDGEGEELGQRAARALRTSSRWVRDRAVELPEMVARAGGRRRKPHLQHHQQQQLAGEFRYDPVSYALNFEEDGDGEAQPFKYMAFSARLPASPPPPPPPTALPVDRGS >OGLUM08G10240.1 pep chromosome:ALNU02000000:8:10328942:10329933:1 gene:OGLUM08G10240 transcript:OGLUM08G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSFSSFCAGGTIMGVRGRVPLPEREREMAAGDSVCCVGSFEGWLVGVKANKGRYFGDRRRFLMNSFSRDVIRLPLPSGASRSADAYTRSLPIINGSGVLHCTINAAKCVMLFWKVVLSSSPDSGSKCVVAATSMVKDAVKLALWRPGMKSWSVCDGNLHMLSFGKFTTNLLVFEICEDDNGLMVSRVESCVIKLPGVMGTANETWSIVEWRGKLLIVVTYFGEFGHNIIEIRVYEVDCSTNPATFTEIKSLDGDCNFISQLSSKSFRLSHYDVVKDDLIYFMHGRSFDKSVYNMKDGTMTPITADMSEDKI >OGLUM08G10250.1 pep chromosome:ALNU02000000:8:10335101:10347162:-1 gene:OGLUM08G10250 transcript:OGLUM08G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVALSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASLSESILWGTSKPPIIGRDDQQQELEAMLLSSAGRLAVIYVVGDSGVGKTILVNSVCSKPSVREHFKERIGVKVGKDAGISNILSLMSKELKKENNESDNENPRSKIQRRLGEECYLIIIDGRQMSIADWNAVIHALPKNERGSRVVLITKIKPQFLDHPMNDVHEIKLTCLNQTDCRKLFHMRLHGKEEDEQNQTYLPIYYQRVYDITGGSPFAVILLAGLMHNKEYPHEWDRVLKYLESAKEKRLNRILSLSFDDLHHELKLCFLYFTAFPVSYKVYQNVLVNLWVSEGFVVPRHGKTVQQLGQLYLRQLTTRGLVTEASADGDYDIRHFFLHDSVYLFARSEAHEANFMELHDGDYFPSPDRARRLTLHNSMDRYAALDNKMPKLRSIFAIFEEIPASTAEESVSSPSCFPTCCSCEQHRSPKISRFDLTKLLKRSKFLRVIMIEGLNIGTELPEAIGGMVHLRYLGTRCRSLRRIHPSIGNLKNLQTIDVRQSLVHELPCSFWKITSLRHVFGSELIVPRWTRELKQLNTLKSVRALQDWDGSMLRRMVNLKLLDVTIQEKLKEEKARKLSDNLNNLNNLTTLILKGVDLPISSIFTAPSLQFLKTIEQTGTILLTTPSPEIDKMTTSPSDFQLPNLSKLSLSKTCLQQGFIGKLGKLPLLSNLTLKDVSCDGEELVFRPNGFHCLKKLEVNDTSKHVVIEEHALPVLVSLHIIGNSRNYQHSIHPTHKIINKIRHEDINLFQRISTCHQKEITKG >OGLUM08G10260.1 pep chromosome:ALNU02000000:8:10354992:10356552:-1 gene:OGLUM08G10260 transcript:OGLUM08G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVVLPLVSKLQEVALSEGRALVGVGGEINRLRDKLMWLMAFLQEADPQRRAADAGGELMRVLVHQTRDAAFSAEDALDDYAVRVDLSRYPGWSRAAVGFLAGITTQLRVRHRLSSDIAAIHARLEEIVGNKDKYRLEGSAPSSLLTWTASAASLNTP >OGLUM08G10270.1 pep chromosome:ALNU02000000:8:10419147:10419794:-1 gene:OGLUM08G10270 transcript:OGLUM08G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLLQVVLIAINAGSLSPPCATAAKDWVKLSLELPPRTPPGQEPSPAAYQFSRTICRIPKDPIYKICCFGELLPYAESFQDNQMKATQVATIILLTKIQALDREVGAVRSRGIRDKNIDDCTSFFGIGSSNSESTNSVLAALDRLAAAGKGRRKKEDVETVLKWTKNLETQYNGATSKCKLGDLFKYCDMVPTVKEIDAATTIAIDLLNAIKL >OGLUM08G10280.1 pep chromosome:ALNU02000000:8:10431595:10433229:-1 gene:OGLUM08G10280 transcript:OGLUM08G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRIAITVVVLGSPPLDPHEADDVGVTVTIEVIVPGLPPLDPAFTRPPAPDLAIVRPPVVEEPRHVAAALVGPYFHYKIHPSLATPVTDKMGKE >OGLUM08G10290.1 pep chromosome:ALNU02000000:8:10434195:10434778:-1 gene:OGLUM08G10290 transcript:OGLUM08G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVEEFYVAATSRPVPNSDVEILESSHVSQQQDGGRAIIYPSLQARRGKTKQEVPRRNAKDVLEYLSLARKETEKEINTLSSFDGIYRNDGTLSYLMTEIWRLQKNAPSTLSSRLLVSVKIDDIKVEKGRLYAQFISALKKLCRKKMDDGGSAPSANN >OGLUM08G10300.1 pep chromosome:ALNU02000000:8:10434809:10436757:-1 gene:OGLUM08G10300 transcript:OGLUM08G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEQYDWYDADGDVTRYLLDPTTDIAMFSEPPVVVTVPVAAAIESHPTPAIHVVERERPAQVQISRFDPCSPPPPARMLPGGQQQQPHPAPGGLDLRSDEIHALKQRRRPPPHPQSSSPPEGLLGAVNPDRPDDDELDAILSSFRDEAAHGDSVGGVARVQVLPVEQDANLVPFLPLRHGQLDCSRCHLVRHEWASEFIARNIDTMRNNTNGQLLDSGYSNLVESVRTNVNVPHTAVEVNLLQTIMSAPSADHHQNAADQVAAPAAQPFSAAPPVALPPKAAP >OGLUM08G10310.1 pep chromosome:ALNU02000000:8:10445473:10454724:-1 gene:OGLUM08G10310 transcript:OGLUM08G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MAKPTARGAPAANQARIRRPPEKISPSRLLRSLRHLAAEQGRGRGADLHPTSRGGEGRGILEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSGSVSLTGTNPPERVEEHQTQDRTDRSANASPANSSEAFAGVTAGAPFSVESGVRLVPHRTVVAVPAGISHPPSMSSSGVGIIYPLFARIQQRAYTNAQVAHSANQIPNPQTSQYHEAGTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGTNQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >OGLUM08G10310.2 pep chromosome:ALNU02000000:8:10445473:10454724:-1 gene:OGLUM08G10310 transcript:OGLUM08G10310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25270) TAIR;Acc:AT5G25270] MAKPTARGAPAANQARIRRPPEKISPSRLLRSLRHLAAEQGRGRGADLHPTSRGGEGRGILEIMESSSSDVQMSHCAEDLNSESTIEIKIKTLDSQTYNLRVNKRVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSATSGNAGNEGTTSNPARRRGPTMTRSIVLEAINVGQGSELSVAQLLQSLLRAPSSTQVSSGPAPSDARPSEGAQSSTQNGVRAVLDQMPVPPLFQSETSVGLSEPNVIPDSLTTISQYINFLRDSFRREGFLENGQTLNNADHGTAGSAHGGGTQNHESQPDSASAHGLPTAALLAETMHSTRQLLVEHAGALLSQLPNQLGDIVNVTDATTRRNLQNSVVRYGVLIQYLGSLLLELGRTTMMLRINPATSEAVVNSGPALFISPSGPNPLMVQPAPFVPGTGSVQVGPIFSSLTSHRSVLHPRDIDIHVRTSTLGSPVDINAENGTQTSPGEQNGQGPFSQLMDSIPWIASLFSGENSRVNGTNQHAPASAEQVDGRNHGAPEVSGVSDEGLRFASLVRQIMPFISQVESHHQSASAVSSSTPSQAAHGNLNTARAGPSHSRSSHQHNRDPVDGPNSKRQRTSE >OGLUM08G10320.1 pep chromosome:ALNU02000000:8:10455468:10456236:1 gene:OGLUM08G10320 transcript:OGLUM08G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRFPSSFPSLVPSHRCYGSEESGSEPSELKPEASKLEMDSMNATRAKVGNMMKQEGHTTCACAMATDSATWGNTCRHFGVSTAQPVQPLTSPPRPIASSASSTKVK >OGLUM08G10330.1 pep chromosome:ALNU02000000:8:10464228:10473569:-1 gene:OGLUM08G10330 transcript:OGLUM08G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLRRRGLSLLRQRPPRPFPPLPRPRSSPPRVASLRPLSAAAMGENAAAAAGKGKEAKGKATTSASASTPNVEPDVAYLEAVTQKRIRQFEEIQAKQALERLNIGGEPIRITLPDGAVKDGKKWISTPMDIAKEISSGLANSCLIAQVNGTLWDMTRPLEGDCELKLFKFDSNEGRDTFWHSSAHILGESLERAYGCKLCIGPCTTRGEGFYYDAYYNDLTLNETHFGIIDAQAQKAVAVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHLLEEAKKRDHRLLGQTQDLFFFHQLSPGSCFFLPHGAIIYNKLMDFLRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFEHRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQIKDEVKAVLDFINYVYEIFGFKYELELSTRPEKYLGDIETWNKAEQQLTEALNEFGKPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLTYSAEDEAKLERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSISSNSVEYAKQVRARIHEAGFHVAIDETDRTIQKKVREAQLAQFNYILVVGAQEAETGQVTVRVRDKAELATVSIDDIITRFKEEVAAYK >OGLUM08G10350.1 pep chromosome:ALNU02000000:8:10542126:10548493:-1 gene:OGLUM08G10350 transcript:OGLUM08G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIALASAAATWLINKLLDRLSDYAIKKLLGSEGLDAEASSLRDALRRATLVLGAVPAGAAAGVRIGNDQLLPQIDLVQRLATDLARHLDELEYYDVKKKVKKNQKSSNPSSKINLPLTQAGQSKPKYNRTDIKQIRDTVGYLHSICDDVHKALLLDKLDAIKQAAQDASTDKRETVDNFTENPRNKVFPREEMKDIIELINSAASSDQELLVVPIVGAGGVGKTTLARLVYHDPEVKDKFDIMLWIYVSANFDEVKLTQGILEQIPECEFKSAKNLTVLQRGINKYLTKRFLLVLDDMWEESEGRWGKLLAPLRSAQAKGNVLLVTTRKLSVARITSNTEAHIDLDGMKKDDFWLFFKRCIFGDENYQGQRKLQNIAKKIATRLNGNPLAAKSVGTLLRRNINEDYWTRILDSNEWKLQESIDDIIPALKLSYNQLPYRLQLLFSYCAMFPKGYNFDKGQLIRTWIALGFVMNERKKLEDEGSDCFDDLVDRSFFQKYGVSQYYTVHDLMHDVAQEVSINKCLIIDGSDLRTVPSSICHLSIWTEPVYNEQSIERNDNFEEKLDAVQDNVLGSLESLILAGVYDENYSAKFVKTLEQVRYVRMLQLTAMPFNSDILLSSIKKLIHLRYLELRCTSDKPKSLPEAICKLYHLQVLDVQHWSGLNDLPKDMSNLVNLRHLFVPGSGSLHSKISRVGELKFLQELKEFQVQEANGFEISQLGNINEIRGSLSILGLETVKKKGDATRARLKDKKHLRTLSLTWGSASGSTTTVQKEVMEGLKPHENLSHLLVYNYSGATPSWLLGDSFSLGNLESLHLQDCAAVKILPPFEEMPFLKKLSLVCIPCLKSIRIDFNSADEEDELELSEIEISKCLALTSIRLHSCKALTMLSINDCEALGSLEGLSFSEKLKQCVVQGCPKLPSDQRRGGNRDVDDLVSAEKGMF >OGLUM08G10360.1 pep chromosome:ALNU02000000:8:10557493:10564897:1 gene:OGLUM08G10360 transcript:OGLUM08G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAAVVPLPITGGVNDDRTAALQQWASTVGFGGEVGRLVEAHRRLGSVLAETQGKEIRNKELQRRLREASHDAARARDLLGELEYYRIREEVERDDHDKLLHDNANGNLLLSMPQRDVEFFNNDAAKDDKDTTESSLSNTDSSASALQVTTYIASSSSPVPYLETLNKCISNEISKYTEKCYRIAKQVSEALELESLDYLYAHKYQRTRTDHRETSPCQSEPKVHGRDQQRDLIISKLTSEECARKKLSILAIIGDGGIGKTTLAKLVFNNSTVSKHFDVLLWVYVSVHFDQNKIMQEMLDSFCGDEHDEIKKSKELQLQDKLDYLLKSKRVLLVMDDMWEDSTKEKWDELLNPLLKNDVMGNSVLVTTRKPSVATMIEAADHINLDGLKKDDFWCLFKECVFGHENYREPRLEKIGQQIVDKLKGNPLAAKTVSKVLRRSFDVDYWRRILHTSEWKYKNDENDIMPALMISYKYLPAHLQSCFSYCAVFPKYHRYEKERLINMWIAQDLLCSADIHTRPEDIGNEYFDDLLDWGFFEKQFEHSTLLIMHDLIHDLALKVSSDESFTIEGNEPRNAPPCVRHVSVITEWEYKTKLNGTVYPNDSFLQEFSNSFRELQQRSLSTLMLFGPHDLDFADTFRQELNEVRSIRVLKLEMIFFDLDSLIGNISAFVNLRYLELGCFYKGPRLELPEAICRLYHLKVLDIKKNWGPSTSLPREMSKLVNLRHFIAEKELHAKIAGIGKMVSLQELKAFDVKKDHEFSISQLRGLNQLRGSISISSLYNAGHEEASQARLCDKDNLTCLHLSWLTLSRNRVARRTLPILEDLKPHSGLRNLQVVGYRHSLPSWLCSTVHLTSLRSLHLDRCIRWQTIPHPQQLPLLQELHLIQLPRVYKIEIGPLKVLEIRWLQNLRQCILLDKEQSYATLQILEVEGCPKLDEFLLQIFMSSGVQSTNQFLGIHRLQIHNDFLRASIPLLLLNSLSDIDLCGEHSKFTRFRLKPFGTSDGLSLQIKGDRYIQKIEERLFTLEKLKDLRELEIRDYQSVIFQRQFWEGFEQLTSLKKFRVIKCPEIFSTNFEQFLPPSVEELELSGCNITLIQLSQLLVNLHLLKSFKLTNCQGVTSLPVGLFTDEQNTMSEGSWHIPPRCFTSLESLQISFTTAPSDANSIMHFTSKKGLGRFVSLKKIVIENCPTLLSRALSGGASHISPSSLDKLCMTGIQDSTLQFSDVSSIADLDVSGCPKLACLDLSSCTALEKLCVIDCRLLQSIEGLPSCSALRDLKIRNCALLPSLSASLHTLKTLSIENNTNLASLELKSCTSLQKLCIKDCRALTSWEGLKSLVSLEILKVEASPGFITRWISAAAEVNIEEKNFSLPLEKLNVDNIDVLCVPICSQLTSLKILSIEEDRHDPDGHVEFLTDNHVKGLSFLTCLRFLDLENFEQLRSLPAELGSLASLQRLHVGNCGHITSLPVGGLPASLKDMELYNCSKELNVLCRDMLRLRRNLHLWVDGDEEDFFSQNCSDEEIS >OGLUM08G10370.1 pep chromosome:ALNU02000000:8:10610445:10613128:-1 gene:OGLUM08G10370 transcript:OGLUM08G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPAAAKKPPASAAVDGDEEVFLELSRELKEEGGRLFNRRDYEGAAFKYDKAVQLLPSGGHADAAAHLRTCVAQCYMRMAPAEHHRAIHECNLALEAAPRYSRALLRRAACFQALDRPDLAWEDVRTVLAWEPANRAAREISDKVRAALEEKGVLVLEKEPVPPPPQHKAVSAKGQGKLKKSHKQCDSAIEGQELIHVEDYEQSEKTELKINGQENGENRARKEQFDCNVPVKQEIRTDQPEANGVGKHQYHMDDKENKGLDKEGKNGKPGKHSAGKKIRRADAKKQKHSAMEPVHHAEENRHERYTETSVHVKEAMKDLKLVFGEDIRCAQMPANCNLSQLRDIVQNKFPSLKALLIKYKDKEGDLVTITSSDELRWAYSLADLEGPIRLYIVAVDPAQELGVDVVRRRSSFASLEKAYYSMSENGSSRHDDDHNCSIDDWMIQFARLFKNHLGFDSDSYLDLHDLGMRLYYEAMEDTVASEEAQEIFQVAELKFQEMAALALFNWGNVHMASARKRPPLSDDASMECILEQVKVAYEWACAEYAKAGVKYGEAVKTKPDFFEGLIALGQQQFEQAKLCWYYALACKIDMGTEVLGLFNHAEDNMEKGMGMWEGMENTRLRGLSKPSKEKAIFEKMGIDGYMKDMSSDEAFEQASSIRSHVNILWGTILYERSVVEFILGLPSWEESLTVAIEKFKTGGASPADINVMVKNHSANETTQEGLSFKVEEIVQAWNEMYDAKKWRNGVPSFRLEPIFRRRAPKLHHMLEHIHYA >OGLUM08G10380.1 pep chromosome:ALNU02000000:8:10638005:10638310:1 gene:OGLUM08G10380 transcript:OGLUM08G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAASSSPSLPCYGGSRPEPRRAATARAPMPLAGSGKLVGEREKEPGETAAAAAAESRCGGMRLEIDGMPLIWSQRNTPFARSELEVDGEVVDPG >OGLUM08G10390.1 pep chromosome:ALNU02000000:8:10655681:10656028:-1 gene:OGLUM08G10390 transcript:OGLUM08G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLFGDVWFLLLISLHPVTPKTFLIIDLEGYLGYLHVFLLAPLSVYDATTGGVEYYAQCCYTLGSGSQGSFIPLWVA >OGLUM08G10400.1 pep chromosome:ALNU02000000:8:10667616:10669590:1 gene:OGLUM08G10400 transcript:OGLUM08G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKKICQQSRILERIAQLNWPIMPRGASTRDVCIDINHGLSNISTTLSLNRKCSVYRIPAHVRELDKAYYEPRMVSIGPYHRKEKHLQAMEEHKWRYLRDFLSRGLVNETADHRMRRYTDMIRRLEPEVRECYFESTDLDSTEFVAMLLLDASFIIEFFVKWFSGEDDPLFSVSWSLPLLLNDMLMLENQIPFFVIERLYDISTFDPDRPEDAQPKPSLIGIITDYLRGIEDAEVRHDRENVHHMLHLYHCCFVQPLELPRNANEEGGNANNIGNPFLFLPKMIPCATQLREFGVHIKKNKHARSMFDISFRNGTLEIPRVAIEEMTRSRYMNLIAFEQCHDNGKYLTSYAVFMAYLINTAQDAILLQRYDVIDNKLANEEEAAKFFSQLHACSYINYDEHYLAPVFRDINTYCRRWWPKRRARLCHDYFASPWAVISFLAALIFMGFSIFKIVVMILSVYLDALSVISNGLGVFSGEVDVQTIRRSYRMLRLKAMHVVVKISYGIQE >OGLUM08G10410.1 pep chromosome:ALNU02000000:8:10691795:10693582:-1 gene:OGLUM08G10410 transcript:OGLUM08G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGDEAVQRAVVLRLGVNFRATVCSDINAAVEMLLQRMKEFDFVVISEELIIGSSRPEIMKLLREETGLRLLVLRNEGGNEYSFVPIVRRSDTAAGGITSRWQQRLDGGGDSLVGKDDQREETSTARREGNWHAGTRRRRAIFPASAEEEEIHLDP >OGLUM08G10420.1 pep chromosome:ALNU02000000:8:10708177:10708628:-1 gene:OGLUM08G10420 transcript:OGLUM08G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLETCIIITKTPTTCNLPMYYLIKAKGFNMSFNKEEVIEAIYQNQLTTRRVSASHAIAKAQASRRVTMTKDMHPISQLHQQDYETTPDNSSNNTNSIYEKCNL >OGLUM08G10430.1 pep chromosome:ALNU02000000:8:10720445:10721827:1 gene:OGLUM08G10430 transcript:OGLUM08G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARQEDTVRVNIETMLEQLTTPAARLGDGYSIYRVPANVDRKHYEPRLVSVGPYHRSKHHLSAMEDRKRLYLLRFLDDGGESGHRRGLLLQDCIDRVRELEPRARACYFESPATGDDGEDDDGDMFVEMLLLDGCFVVQFFIQWFSGVADPIFNVGWNLPLLHTDLLMLENQIPYFILLALYDAYTHDGDGDLDRPARRPKPSLTSIITAYFSQKEGRQPAATETAQLQEEDDIDHLLHLYHSTFVKPPDHLPARRHRHGGGGGRPPRTIRCAKELAMHGVRFVPKVGTSNILDVAFHDGVFEIPRVAVDDSTCTRFMNLAAFEQCRGGDAATPAAGKHLTSYVVLMDYLINTAEDVVILERADVMENNLANEEAAAAFFNQLRVCSYIDYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIVGFCFATTFAVVTFFNTIVNILKTFFHVLH >OGLUM08G10440.1 pep chromosome:ALNU02000000:8:10722830:10724933:-1 gene:OGLUM08G10440 transcript:OGLUM08G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKTSQRRLVGRSDPCVLLIGFVLFFPDLSWMLIWCMFDVSVLFNEWMMKRALTIKCRVLTKYYAMASEDKFNNLLRRIEEFEWTQPFHGCNGYVWSCASTSQVLKELDVGADTTCTTNIDVPDHPKETAMIVFLTTEGEFKVVPTSIEPIVIFLPRAMTDLK >OGLUM08G10450.1 pep chromosome:ALNU02000000:8:10728492:10729016:-1 gene:OGLUM08G10450 transcript:OGLUM08G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIPNLGREKNTDQDRSNSNSPWPLIAIGWLLSLLLFLLVYKTLLDAPLMVQFAAVKQKARRLL >OGLUM08G10460.1 pep chromosome:ALNU02000000:8:10747325:10750884:1 gene:OGLUM08G10460 transcript:OGLUM08G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLSRPARLDGYSIYRVPASVRDSVDNKHYEPRLVSIGPYHRNKGHLRAMEDRKRLYLLRFLQDQHDDDDGSGRRDGLLQDCVGRVRKLEARARACYFESPATGDGEDDDDMFVEMLLLDGCFVVQLFIQWFCGATDPVFDVGWNLPLLHTDLLMLENQIPYFVLLALYDAYSHDPNRPPSARPKPSLTTIITSYFSEKEGRQPATTTATEDAIDHLLHLYHSTFVMPPPDHLPAPVQADCGGKLPRTIRCAKELTLHGVKFVRKPETTNVLDVTFCRDTGVFQIPRVAIEDSTCIRYMNLVAFEQCRGEAAVAEKHLTSYVVLMDYLINTAEDVVILDRADVMENKLANEEEAAKFFNQLRLSSYINYDDHYLAPVYRDVDAFCRRKWPKYKAKFRRDYLNSPWAIFGFCLATTFAVITLFNTIVTILQTFFHLFK >OGLUM08G10470.1 pep chromosome:ALNU02000000:8:10753503:10755497:-1 gene:OGLUM08G10470 transcript:OGLUM08G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPLGVAWRVALDEPLLLIGSDNPCGVVGACPYISAAAAGAGALAAHPLPFGHMACPAACHSERTCAGTNWWPRRRRILHGKWLKHILCSAGGRSKAERRRHVCSTSPDAHRGCYFSPSPSTGWASAHGGGGGGSWYASGSGVGRIVQVPAIDAAPVCIAAGGISFDGMICVSKTNFTSMVVMSGFGVLFLAYLVFNLFLSLN >OGLUM08G10480.1 pep chromosome:ALNU02000000:8:10758122:10763146:-1 gene:OGLUM08G10480 transcript:OGLUM08G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATK1] MAMMERIGVTKLHHHLLLLVLLLVVAAAGGGSVQAAEEDEMSGRRRRSRRRRAADVMVPITILNSAVDKGAVCMDGTPPAYHLDPGSGGGNRSWVVNLEGGGWCNNARTCRFRTASRHGSSDHMERRIAFTGIMSSAAADNPDFHSWNRVKIRYCDSGSFAGDAFDEGLKLQFRGQRIWGAVIQHLLDVGMASAEHVLLTGCSAGGLAAILHCDQLRALLPAAATVKCLSDGGLFLDAVDVAGGRSLRSYYGDVVGLQAVAPNLPETCTDHLDATSCFFPQNIIDGIKTPIFLLNAAYDVWQIEQSLAPNAADTSGTWRVCKFNRAACNASQLQFLQGFRDQMVAAVRVFSESRSNGLFINSCFAHCQSELTATWNGGSPALQNKGIAKSVGDWYFGRAEVKAIDCPYPCDKTCHNII >OGLUM08G10490.1 pep chromosome:ALNU02000000:8:10770218:10774111:-1 gene:OGLUM08G10490 transcript:OGLUM08G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter 1 [Source:Projected from Arabidopsis thaliana (AT4G01660) TAIR;Acc:AT4G01660] MASRDLRRLLDGAALVAREAARRASASDVLRSALLAATDLAGLTRGTPRTPRPTPPLGPHHPAAAGEARASVVYFSHDDAGPVSPPRRPPQDPPLEQRPPARESPHPAQAKEITEAGVAAAVGAAEPEPVAVARPPDGEAAGPSPAPSPSPSPVVRVEKRRRPRERRVPSTPFTRALGSVCHTMHRFEQGEMRIRLFVKLRIEQCVNRVVFFAKFVPAKLRFAGLGAGLAWGTLQESARRVVYGRPADADGKRSAMSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGQDWSSKLTSFDYEPLAAASIGQVHRAVLKDVSDVVMKIQYPGVADSIESDIENVRLLLSYTNLIPKGLFLDRAMKVAKLELARECDYVLEATNQKRYKELLSDSEGYYVPKVIDELSSKKVLTSEFVPGFPIDKTDPNWSNFLFDEPTRKFNLIDFGAARDFPKRFVDDYLHMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFAKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFKVYEQYNFSDDRPEVLSSTG >OGLUM08G10500.1 pep chromosome:ALNU02000000:8:10792559:10794202:-1 gene:OGLUM08G10500 transcript:OGLUM08G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT3G10040) TAIR;Acc:AT3G10040] MGPRGVVGSAAMLGLEMHLAHPQMHATAYQQPDPHGGGGGGFQQQVAAVRQQQQQSYSPYSAGASSRVIKAPGHDDGMGNGAGKGGVVQQQQQPGSAGCPWTRMKWTDGMVRLLINVVYSVGDDGDGVAAGGAAGGKASAGAAGHGKAGGSGSHGAHGQAAAQQKKGKWKSVSRAMMESGHMVSPQQCEDKFNDLNKRYKRVVDLLGRGKACKVVENHALLDAMDELTHKAKDEARKLLSSKHLFFREMCAYHNSGAAAAAAAHGPHGAGAAGRRRGDDVSSAGAGDDDDEDGVKRARGAASAAGGGDDEGPSAVQQLQSELAAAVAGGGDPQQVRQWVRRRTVEVEEQQVAHEVRAYHLERQRLKWERFRANKERDMERARLRNDRLRIDGRRMLLLLRQKDLDFDIAEANSSSVDHLTSSAPPPLAALQQQQQPLGSSPSTAAGHPN >OGLUM08G10510.1 pep chromosome:ALNU02000000:8:10810847:10811836:-1 gene:OGLUM08G10510 transcript:OGLUM08G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRFTAEEFYARHGVLHSTSTFVNPRGLRIFTQRWAPAGVDAPLLGAIAVVHGFTGESSWTVQLTAVHLAKAGFAVAAVDYQGHGFSDGLQDHIPDIVPVLDDCDAAFARFRADYPPPLPCFLYGESLGGAIVLLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPVEHLLWAVAAVAPTWHLAFSRGNMPDRSFRVPWKRALAVARPRSTMAPPRAATARELLRVCREVQSRFQEVELPLLVVHGGDDTLCDPECAEELHRRAGSEDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWFKSHAAAAAATPGEGQQ >OGLUM08G10520.1 pep chromosome:ALNU02000000:8:10814343:10814665:-1 gene:OGLUM08G10520 transcript:OGLUM08G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLTGTRPRLRELRSAHSASPLRHCRLSGQTRPPFASYCATITTMKSSAKALKKSKGSCTEEGDRHRLLELRPLSSIFDSSFSGELQVDSVHT >OGLUM08G10530.1 pep chromosome:ALNU02000000:8:10818424:10819413:-1 gene:OGLUM08G10530 transcript:OGLUM08G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVAEADEKSPFGRLTAEEFYARHGVLHTSSTFVNPRGLRIFTQRWVPAGGDAPLLGAIAVVHGFTGESSWTVQLTAVHFAKAGFAVAAVDHQGHGFSEGLQGHIPDIVPVLEDCEAAFAPFRADYPPPLPCFLYGESLGGAIALLLHLRDKERWRDGAVLNGAMCGVSPRFMPPWPLEHLLWAAAAVAPTWRLAFTRGNIPDRSFKVPWKRALAVASPRRTTAPPRAATALELLRVCRELQSRFEEVELPLLVVHGGEDTVCDPGCAEELHRRAGSKDKTLRVYPGMWHQLVGEPEENVDKVFGDVLDWLKSHAAAAAAARGEGQQ >OGLUM08G10540.1 pep chromosome:ALNU02000000:8:10827827:10846857:1 gene:OGLUM08G10540 transcript:OGLUM08G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTEEHKNASHLERALQQPSSTAVEHPTKEADGGDATAAAACGGCGVGRMFRLPELGEDYSIMQALVSVEMVVLFVVSVFVIGGTLRAINNMAQIGQLLGYPAXLHLARRSPTPAVAQPAARLC >OGLUM08G10550.1 pep chromosome:ALNU02000000:8:10849434:10851662:1 gene:OGLUM08G10550 transcript:OGLUM08G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDEQERDVCGEACNREGFSITMAGDHRVVLEESSYSQEVTFVGTLLHGNQSTGMASTDHSVGRGRAREEAVQGEDVQEEEDVQCIGLVLIIQQMLVSTYTNRATSLVFGGIFKPSRAASYRDSC >OGLUM08G10560.1 pep chromosome:ALNU02000000:8:10854878:10856483:1 gene:OGLUM08G10560 transcript:OGLUM08G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATK9] MPAAHCNNMQFRCYRGFWISEMWAPGVVAVHRSFAPRADDVIVASLQKSGTTWLKALTFATMARGAWPPSSHDHPLRRLNPHLCVPSLEVLYTLGRDALLDMLPSPRLLSTHMPLSLLPPSTCKIVYICRDQKDTAVSLWHFMKRRHPDLTFSEVHEAFCNGICMGGPAWDNILEFWYASNAEPTRVLFLTYEKVLQDPCDAVKKLAQFLGQPFSGAEEEAGVVTEIADLCSIDNLRNQKANKYGSIGGKISHESFFRKGMAGDWTNHMTLEMAERLDSILREKLDGSGLIV >OGLUM08G10570.1 pep chromosome:ALNU02000000:8:10868394:10874204:1 gene:OGLUM08G10570 transcript:OGLUM08G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATL0] MISQMDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVGDKKFTPGLSIEQFCLGNYRAAGVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTLFKGCFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >OGLUM08G10570.2 pep chromosome:ALNU02000000:8:10868393:10874204:1 gene:OGLUM08G10570 transcript:OGLUM08G10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATL0] MISQMDSGNVVAFFRGRSILITGSTGFLGKVLVEKILRVQPDVKKLYLLVRANDVESATRRVQDEVTGKEIFQVLKEKHGDGFESFVEEKVCTLAGDIIYENLGLDSAKLTELSNEIDIIVNGAATTNFYERYDVAFDSNVLGAKNICEFAKKCTKLKMLLHVSTAYVAGEQEGLILEKPFLMGQALREGRHLDITSELNLIKETRREMKASNRCSEKTEKRTMKELGLKRAKHFGWPNTYVFTKAMGEMLLGHLRGDLPVVIIRPSIITSILKEPLPGWMEGIRTIDSVIIGYAKQTLSFFLVDLNLIMDVIPGDMVVNAMMVAMAAHSGEQAQTIYHVTSSLRNPAPYAVLSDAGHRYFFANPPPRAGKNGRLRRMRFFSTVASFRAHMAINYKLPLEILRLVNIALCGMFSRRYDELSRKYKFVMHLVELYAPYTLFKGCFDDINTEKLRITMRKQEDKNDGGYCFDFDPKSIDWDEYFYKVHIPGVVKYLCD >OGLUM08G10580.1 pep chromosome:ALNU02000000:8:10883047:10884968:1 gene:OGLUM08G10580 transcript:OGLUM08G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSETRKGPTEILPNTEMPGKTPNDVQKPSEVSHRIEPDTSLCIVALGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDVNKSMDKGCFNMAVRMLTCNSLLLFLDDTIHYMDLQFWVIS >OGLUM08G10590.1 pep chromosome:ALNU02000000:8:10888452:10891027:-1 gene:OGLUM08G10590 transcript:OGLUM08G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKTGKGPTEILPNIDMPGQVGNSKITYQQIDLITNICQSEHKKPKKEDVIQITPQDGSMQFLHPIMKVTLWTLDAKLRGVLKPSKVSHRIESESLCIVAPGTTLTNRKELLGALCKYVMSIDCAESLQKEWIRSMKPYPISLSLRNLQDILDGPHETLHYVHKIANIAVNVKLAMEGANPTWNDGIYLWNRKIPRDVPKTENWEVTGFHVLNFIWDGYIYMQGLAK >OGLUM08G10600.1 pep chromosome:ALNU02000000:8:10900331:10907239:1 gene:OGLUM08G10600 transcript:OGLUM08G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM08G10600.2 pep chromosome:ALNU02000000:8:10900428:10907239:1 gene:OGLUM08G10600 transcript:OGLUM08G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM08G10600.3 pep chromosome:ALNU02000000:8:10900428:10907239:1 gene:OGLUM08G10600 transcript:OGLUM08G10600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTNHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM08G10600.4 pep chromosome:ALNU02000000:8:10900419:10907140:1 gene:OGLUM08G10600 transcript:OGLUM08G10600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATIYSTPIGDLPVDHEVIEELNATGKFDFMDLSVDEAEHSMEMHLPYLSKVFQGHNVKVVPILVGALNSQNEAMYGQLLSKYLDDPKNFFSISSDFCHWGTRFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM08G10600.5 pep chromosome:ALNU02000000:8:10900428:10907239:1 gene:OGLUM08G10600 transcript:OGLUM08G10600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRRASHAGSWYTNNARKLDEELDGWLRAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNMFSYTYYDKSHGAIHKSIEALDRMGMEIIETGNPDAFKQYLQEYENTICGRHPISVFLSMLKHCSTKIKIGFVRYEQSSQCKSMRDSSVSYASAAAKVDTPAEEEKD >OGLUM08G10610.1 pep chromosome:ALNU02000000:8:10911416:10911667:1 gene:OGLUM08G10610 transcript:OGLUM08G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRKPTRIELRSSDRDELEDHLRAAAAAAAASTPTASSTPTTTPPPSNSNPLLHLLHPPPGAAPSKSHRIGLPTNPNPNPKP >OGLUM08G10620.1 pep chromosome:ALNU02000000:8:10911697:10912335:1 gene:OGLUM08G10620 transcript:OGLUM08G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate cyclases [Source:Projected from Arabidopsis thaliana (AT2G11890) TAIR;Acc:AT2G11890] MEVEIKLRLPDTAAHRRLSSFLAPRLRRTDAQRNLFFDAAARPLAAATAALRVRLYGLDDRAPSRAVLALKRRPRIDAGVSRVEEVEEPLDPAIALACVDDPASLGGVESPIIRLVSEEYGVGGDAAPFVCLGGFRNTRAVYQLEEGDTLGLVVELDETRFDFGTNYELECETAEPEQAKQVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >OGLUM08G10630.1 pep chromosome:ALNU02000000:8:10920067:10921642:-1 gene:OGLUM08G10630 transcript:OGLUM08G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTVRPSQIEVELRQELANFKHQRQEDCQSIQNALSEFNNQIKEYMMNGSASTPPPQINLAALFPSHSSPTTQQNTTDNSSRNVFNQIDGNNSGNCSQQDAGLSNNEQGDMGNNSENVVLQRMDGSTFGYSSQQTAPATNQGNSKRGRDGDYVDSEDDYADDGNYDDADETPDPFCSLFGI >OGLUM08G10640.1 pep chromosome:ALNU02000000:8:10927789:10931399:1 gene:OGLUM08G10640 transcript:OGLUM08G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVATPRRSIRDAVLGGVLGAGGRQLYQPLRCAFYDGAAGGGLTAALSEDGAEGGVPLPCGRKTAAAKNVLILMSDTGGGHRASAEALRDAFRLEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYAKYARTQPSQLDRPIQITFCFRLCSSDRFAKTDMVDFSEVVAGIMRYNPDIIISVHPLMQHIPLWVLKWQSLHPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVAKRALLRGLEPSQIRVYGLPIRPSFCRAVLDKDELRKELDIDPDLPAVLLMGGGEGMGPVEETARALSDELYDRRRRRPVGQIVVICGRNQVLRSTLQSSRWNVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREAARQVARWFTTHTNELRRYSLNALKLAQPEAVFDIVKDIHKLQQQPATVTRIPYSLTSSFSYSI >OGLUM08G10650.1 pep chromosome:ALNU02000000:8:10934266:10939781:1 gene:OGLUM08G10650 transcript:OGLUM08G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPEVMDDLTASLAPELLSEILLRLPPDEPEQLFRAALVCKEWFRAICDPGFLRRYRAFHRSPPLLGLLYSRQVLQGAPAPHLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGEQHRVPEPGIPWLIYSGAVFCARWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPASIYLISHNITATFVIWIDKGCFVSWHIVIASKDSLIFDVMLKSNLLQNGQIHCLDS >OGLUM08G10650.2 pep chromosome:ALNU02000000:8:10934266:10939781:1 gene:OGLUM08G10650 transcript:OGLUM08G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPEVMDDLTASLAPELLSEILLRLPPDEPEQLFRAALVCKEWFRAICDPGFLRRYRAFHRSPPLLGLLYSRQVLQGAPAPHLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGEQHRVPEPGIPWLIYSGAVFCARWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPASIYLISHNITATFVIWIDKGCFVSWHIVIASKDSLIFDVMLKSNLLQNGQIHCLDS >OGLUM08G10650.3 pep chromosome:ALNU02000000:8:10934266:10939781:1 gene:OGLUM08G10650 transcript:OGLUM08G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPEVMDDLTASLAPELLSEILLRLPPDEPEQLFRAALVCKEWFRAICDPGFLRRYRAFHRSPPLLGLLYSRQVLQGAPAPHLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGEQHRVPEPGIPWLIYSGAVFCARWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPASIYLISHNITATFVIWIDKGCFVSWHIVIASKDSLIFDVMLKSNLLQNGQIHCLDS >OGLUM08G10650.4 pep chromosome:ALNU02000000:8:10934266:10939573:1 gene:OGLUM08G10650 transcript:OGLUM08G10650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPPTPEVMDDLTASLAPELLSEILLRLPPDEPEQLFRAALVCKEWFRAICDPGFLRRYRAFHRSPPLLGLLYSRQVLQGAPAPHLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGEQHRVPEPGIPWLIYSGAVFCARWRDAQSRGEFYRVPYVMPRRVALVGDEIYFTLREDNAIIKYNWGMHCLSEIDSPSPDVYGIALMEMENGSLGFACIEDSSLYVWSRKVNSEGAAEWVQCRVIKLDKMIPVANLSDEAFVVGSGEGMGAIFVSTGVGLFTIELKSRRVKKVAEPEVYFSILPYMSFYTPASIYLISHNITATFVIWIDKGCFVSWHIVIASKDSLIFDVMLKSNLLQNGQIHCLDS >OGLUM08G10660.1 pep chromosome:ALNU02000000:8:10951057:10954356:1 gene:OGLUM08G10660 transcript:OGLUM08G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPPPPEFMDDVTASLAPELLSEILLRLPPDEPGHLFRAALVCKEWLRAICDPGFLRRYRAFHGSPPLLGLLHRRQVLQGDPVRHLARTTAVPLFPDPTFRRALDCHHGRALLHASDDDPVTGEQHRVPEPGIPWLIYTAAVFCAVSGCAHLDCHGGPFRVVFVATDDEDELVKASVYSSETGAWSKPAILDYGYQTWQERLQAITRGESYRTPYVQPRRGALVGDEIYFTLRNENAIIKYNWGMNCFSKIDPPIREVYDIALMEMENGSLGYACIQGSSLYVWSRKVNSEGAAEWVHCCVIELQKMIPVVNLIDEAFVVGSGEGVGVIFVSTGVGLFTIKLKSRCVKKVAEPEVYFSVLPYMSFDTPDRGTLLSLARTH >OGLUM08G10670.1 pep chromosome:ALNU02000000:8:10958747:10961072:-1 gene:OGLUM08G10670 transcript:OGLUM08G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPELIDNVTASLPPDLISEILLRLPPDEPEHLFRAALVCKSWLRAICEHGFLRRYRAFHGSPPLLGLLHRLKVMQGDPAARLARTTAVPLSPDPTFRRALDCRHGRALLHASDDDWYLIVWDPVTGERHRVPEPGIPWLIYSAAVFCAASGCDHLDCHGGPFRVVFIATDDDDELVKASVYSSETCAWNKPVILADGYQTWQERLQAITRGESYRTLYVQPRRGALVGDEIYFTLRNENAIIKYNWAANCLSKIDPPIRDVYDISLMEMENGSLGYACIQGSSLYVWSRNASSEGAAEWVQCWVIELEQMVPVANRGDEAFVVGSAEGVGVIFMSTGVGLFTIELKSRRVKKVEEPGVYSSVLPYMSFYTPVEPQRSASHAKLNSWIMFTSITTLQGRGSEENVLDKDGKYGL >OGLUM08G10680.1 pep chromosome:ALNU02000000:8:10985615:10995685:-1 gene:OGLUM08G10680 transcript:OGLUM08G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLGAFLGLVLGVAVVMAFARLENTRAEQRRELAATVSSFSKLTVEDLRKLIPLELYPSWLKWLNQELVKIWPFVNEAASELIKTSVEPIFEQYKSFILSSLHFSKLTLGTVAPQFTGVSILDSDSSGITMELELQWDGNPNIVLDIQTTLGISLPVQVKNIGFTGVLRLVFKPLVAELPCFGAVCCSLREKSKVDFTLKVIGGEMTAIPGISDAIEGTIRDTIEDQLTWPNRIVVPIVPGDYSDLELKPVGLLEVKLVEARDLTNKDLVGKSDPFAVLYIRPLQDKMKKSKTINNDLNPIWNEHYEFVVEDTSTQRLTVKIYDDEGLQASELIGCARVDLSDLQPGKVKEVWLDLVKDLEIQRDKKRRGQVHLELLYYPFGKQEGVSNPFADQIQLTSLEKVLKTESNGFDVNQRKNVIMRGVLSVTVISAEDLPPMDVMGKADPFVVLYLKKGETKKKTRVVTETLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVILEGEFQDEFVLQGAKSGKLNLHFKWTPQPIYRDRDRDQ >OGLUM08G10690.1 pep chromosome:ALNU02000000:8:11004186:11010731:1 gene:OGLUM08G10690 transcript:OGLUM08G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATN0] MACTAPREEDLLMEEDEHRPPLNRALLHRSATNNTSQVAMVGSNPCPIESLDYEIIENDLFDQNWRSRGKADQVRYVVLKWTFCFAIGIITGIAGFVINLAVENVAGLKHTAVSALMESSRFCSLPLIALSKKKSETHVGCSYWTAFWLFAGTNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKIIGNIAAVSSSLHVGKAGPMVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVTAAFRAPVGGVLWRSALIWRSFFTTAVVAVVLRLFIELCASGKCGLFGKGGLIMYDVSTKFDDLMTYHLKDIPIVVLIGVIGAILGALYNFLMMKVLRVYSVINERGNAHKLLLAAVVSILTSCCVFGLPWLAPCRPCPTAGAPSPPNGTCHSLNRFRRFHCPAGHYNDLASLFLNINDDAIRNLYSTGTNDVYHPGSMLAFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLGGRSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILNLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGHIVHTLRTTGHHAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLTAPVRCPKDYMAGRFEAQDFDKRGSGKQDTIADVELSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKSCDRSPVVGILTRHDFMPEHILGLHPVLVGSRWKRLRWQKGAVAKKFRSLLDWLANDSG >OGLUM08G10700.1 pep chromosome:ALNU02000000:8:11011865:11012749:1 gene:OGLUM08G10700 transcript:OGLUM08G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAMIREDRGPTCQRGHVSTPSLSLSSPSSPFLLLLSLSLSLFSASRPVAGAKAARVRRERRRRRRQVANGETEALHAAVLKEEEQQHEVEEAAVVTSSSATSGEEGGHLPQGWAKRKRSRRQRSEEENLALCLLMLALGGHHRVQAPPPLSAPVGAEFKCSVCGRSFSSYQALGGHKTSHRFKLPTPPASPVLAPASSEVQSPLAFLPRNSAAARI >OGLUM08G10710.1 pep chromosome:ALNU02000000:8:11013178:11013418:1 gene:OGLUM08G10710 transcript:OGLUM08G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARGGHHRVQAPPPLSALVRPSAGAWQPQDEPPGQAADSARSSGNGHSAARAFDLNLPAGAIRDR >OGLUM08G10720.1 pep chromosome:ALNU02000000:8:11025683:11025908:1 gene:OGLUM08G10720 transcript:OGLUM08G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTELNLGVWDVTATMLRPSETSNTTSSLLWGHSELGYGKSRAISHGGRRGRRGWGDFGKELVVAWPML >OGLUM08G10720.2 pep chromosome:ALNU02000000:8:11025655:11025908:1 gene:OGLUM08G10720 transcript:OGLUM08G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSETSNTTSSLLWGHSELGYGKSRAISHGGRRGRRGWGDFGKELVVAWPML >OGLUM08G10730.1 pep chromosome:ALNU02000000:8:11026241:11052573:1 gene:OGLUM08G10730 transcript:OGLUM08G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLAPLPLSLFVSSRFLLGVLFDSELRGEFTYLKLVFIMMLQPAPTWPWKGTGVMMEDTRRCPDVVQNFLPCGRFGQVLHAAIKTEYLNGQPPPPGELKLNLNGAFFESNKSGIRGFVTDCSALVGALTSRNFECAPGGVVFRQIRALLQMDFPNVKVSFAAGELGSHHRRPAPILVGRARESLLSSAVVAAVFDFLPSTPEALGATVVDATVFDLLPSTMGGLGSQHRRSSTSSHPRREGTRARCYCKS >OGLUM08G10730.2 pep chromosome:ALNU02000000:8:11026241:11051735:1 gene:OGLUM08G10730 transcript:OGLUM08G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPLAPLPLSLFVSSRFLLGVLFDSELRGEFTYLKLVFIMMLQPAPTWPWKGTGVMMEDTRRCPDVVQNFLPCGRFGQVLHAAIKTEYLNGQPPPPGELKLNLNGAFFESNKSGIRGFVTDCSALVGALTSRNFECAPGGVVFRQIRALLQMDFPNVKSLFLWHSSSSTIVTVTATIVDHLHGSMASSSTTVATTVINHLHGWRAREPLPSPSHRPHRCRHRHYL >OGLUM08G10740.1 pep chromosome:ALNU02000000:8:11052879:11076365:1 gene:OGLUM08G10740 transcript:OGLUM08G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSGGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGEITVTNEVCNSTLLPFCAQ >OGLUM08G10740.2 pep chromosome:ALNU02000000:8:11052879:11076365:1 gene:OGLUM08G10740 transcript:OGLUM08G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSGGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGEITVTNEVCNSTLLPFCAQ >OGLUM08G10740.3 pep chromosome:ALNU02000000:8:11052879:11056787:1 gene:OGLUM08G10740 transcript:OGLUM08G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTPLARLLAAISAAASSPADLRRLSHRVLSPSAPLPPLRCLNTLLMALARHRMFPDMESLASRMPARNLRTYTTLINAYCLAGDIPAAKQHLTSLLHAGLAPDSYAYTSFVLGYCRAGMLTHACRVFVLMPLRGCLRTAFTYTALLHGLLGAGMVREAMAVFVGMRADSCAPDTHVYATMVHGLCEAGRTEEAEVLLEEAMSNGFEPNIVVYNALIDGYCNAGEMEHALKVFEGMDGNRCSPNVRTYTELIHGLCKSGKVERAMVLFSRMVEAGLEPNVVTYTALIQGQCNEGHLQCAFRLLHLMETNGLVPNDWTFSVLIDALCKREKVEEAQLFLGSLVKKGVKVNEVVYTSLIDGLCKTGKIDAADELMQKMISEGFVPDAHSYSSLIDGLCRQKKLSQATLMLEDMMEKGIQASPVTYTIIIDELVREVGSGGPKKIFDKMIATGINPDIVTYTVFVRSYCEEGRMEDAESMIVQMVDRGVFPNLVTYNTLIRGYANLGLVSQAFSTFEVMVGKGWKPNEDSYTVLLRLVVKKSSSDNSVDIWKIADMKDLQESNYDEIVWKILIYGLLQKGSVAEFSSLLSVMKEHGYQPSNTINAMITGEITVTNEVCNSTLLPFCAQ >OGLUM08G10750.1 pep chromosome:ALNU02000000:8:11098342:11101386:-1 gene:OGLUM08G10750 transcript:OGLUM08G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAKHCATPAALFYPHECALGPSFRNPSLLFYCCSSGSMPSPELAGRSCRHLPPASSSSSTAEVRRRWRWRRXISK >OGLUM08G10760.1 pep chromosome:ALNU02000000:8:11110600:11111184:-1 gene:OGLUM08G10760 transcript:OGLUM08G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKQLTSAPNAGRPCCPISHGCGLTGSRCPLSTTVVEDATMGHSTAKAKRIWPLHHQGCQPRALPPCHRQQLAMVETTDTVLARLMHLALNKKEFTKKGKELASSNRHD >OGLUM08G10770.1 pep chromosome:ALNU02000000:8:11119206:11129738:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSNFSRAREHIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNWASSVEIASER >OGLUM08G10770.2 pep chromosome:ALNU02000000:8:11119206:11132220:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYIRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >OGLUM08G10770.3 pep chromosome:ALNU02000000:8:11119206:11129738:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNWASSVEIASER >OGLUM08G10770.4 pep chromosome:ALNU02000000:8:11119206:11128589:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSNFSRAREHIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >OGLUM08G10770.5 pep chromosome:ALNU02000000:8:11119206:11128589:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDAGGAAGEISAAAGGGGDGAAATGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTAAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >OGLUM08G10770.6 pep chromosome:ALNU02000000:8:11119782:11128589:1 gene:OGLUM08G10770 transcript:OGLUM08G10770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLAKQRLEREKARRYAAADMSEDLSEGEKGENINESSSTHDESTRGRMPRIGSTDAIEAWASQHKDKKLYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQISAPDVDWSYGEPTEMLSPRNSENFGHDMGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQLVWPVVIHGHYADAGDSAALLSGALNVPMIFTGHSLGRDKLEQLLKQGRQTRDEINTIYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCYGRYMPRMIAVPPGMEFSHIVPHDVDQDGEEANEDGSGSTDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNSAVLTSILKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKQLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSDDTTEVSEADSPGDSLRDVHDISLNLKLSLDSEKSSTKENSVRRNLEDAVQKLSRGVSANRKIESVENMEATTGNKWPSLRRRKHIVVIAIDSVQDANLVEIIKNIFVASRNERLSGSVGFVLSTSRAISEVHSLLTSGGIEATDFDAFICNSGSDLCYPSSNSEDMLSPAELPFMIDLDYHTQIEYRWGGEGLRKTLIRWAAEKSEGGQVVLVEDEECSSTYCISFRVKNAEAVPPVKELRKTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHAARSYSLQDVISFDKPGITSIEGYGPDNLKSALQQFGILKDNV >OGLUM08G10780.1 pep chromosome:ALNU02000000:8:11132203:11137864:-1 gene:OGLUM08G10780 transcript:OGLUM08G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDGRRRLSAPRLLSLAMGLREGSTVAGGGRRRRPPGRRGGGEQGRCPSLRRIQREGRRRQPVGVPAAVAPSPPLDPAGGEPAAARRTCDGGTLPFDLAFVNFH >OGLUM08G10810.1 pep chromosome:ALNU02000000:8:11274699:11274932:-1 gene:OGLUM08G10810 transcript:OGLUM08G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSGFVYDRTWPWAIVLVVLVNLLLFGGGEPAESSDSSVEMWTEDGDGVQVYPDGATSRRIAPPLSPRKPHQDVV >OGLUM08G10820.1 pep chromosome:ALNU02000000:8:11286335:11286625:-1 gene:OGLUM08G10820 transcript:OGLUM08G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLSSSRTSREPSDVADMKLRSGFVYDRTWPWAIVPVVRVNLLLFGGGDPAESLDLSVEMWTEDGDGVQVYPDGATSRRMAPPLSPRKPHQDVV >OGLUM08G10830.1 pep chromosome:ALNU02000000:8:11287267:11295664:1 gene:OGLUM08G10830 transcript:OGLUM08G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVRPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDAKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >OGLUM08G10830.2 pep chromosome:ALNU02000000:8:11287267:11295664:1 gene:OGLUM08G10830 transcript:OGLUM08G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVRPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQARIHPMQTASMISKEKCKALHQCIIEVIEKSLEVGCNSRKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDAKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >OGLUM08G10830.3 pep chromosome:ALNU02000000:8:11287267:11295664:1 gene:OGLUM08G10830 transcript:OGLUM08G10830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEVARRALEEHCVGKRIVRCSAADDTKVIDGVAPPRLEAALVGRTISAARRKGKNLWLALDSPPFPSFQFGMAGAIYIKGVELSKYKRSAVRPTEEWPLKYSKLLVVMDDGLEFSFTDKRRFAKIRFLDNPEAVPPISELGPDALFEPLHLDDFVESLSRKKAPIKALLLDQSFISGIGNWIADEVLYQVIEKSLEVGCNSSQYPENWIFHSREKKPGKAFVEGKKIDFITVGGRTSAYVPELQKLDGIDATASRAKISKEQSKSNKAAREVDDDEEEAKPAKRGRKQLVKATHEIQEDEEDAKASKRGRKQPAKTSKGSWKKAHHSSEGSGDDDSDDEAVDKVVAEQGKRRDPKQPAEAKSSSDKGGSAAPAKRPQRKKRQL >OGLUM08G10840.1 pep chromosome:ALNU02000000:8:11297201:11297887:1 gene:OGLUM08G10840 transcript:OGLUM08G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAEPPAATSTHHLEPWGQPPPPPPTPFNGGIEELWVKLMGHLRDAANRLRVPQPSPPPPLPLTTTKPESLPAEMDSEPEFKALPPPPLLALPQPQADGAARPWNLLQWTRRRPAASMLWAAAVPVPSWSRCQKARRSWWHSPQRRSRRTSMRRRPLPPVSAAILLPESPPSPPSRQRHCPPSCRVLASGTAARRVEQRLMEKEMEARLWSSWCSGRRREKK >OGLUM08G10850.1 pep chromosome:ALNU02000000:8:11300707:11302171:-1 gene:OGLUM08G10850 transcript:OGLUM08G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLRICWEVWQVFQAMKGILDDPFHCLMRICTESSLTSQTQGFDLMSNLQFCIRAVTEMRQLDELIF >OGLUM08G10860.1 pep chromosome:ALNU02000000:8:11302182:11309202:-1 gene:OGLUM08G10860 transcript:OGLUM08G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDINWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPGVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >OGLUM08G10860.2 pep chromosome:ALNU02000000:8:11302182:11309202:-1 gene:OGLUM08G10860 transcript:OGLUM08G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDINWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPGVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >OGLUM08G10860.3 pep chromosome:ALNU02000000:8:11302184:11308328:-1 gene:OGLUM08G10860 transcript:OGLUM08G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomerase activating protein Est1 [Source:Projected from Arabidopsis thaliana (AT5G19400) TAIR;Acc:AT5G19400] MTVPMDKATASPSSRELAQRLLKKNAEHESRLRRSAQSKVPSDPNIWFQMRENYEKIILADHDFSEKHEIEYLLWQLHYKRIEEFRAHIVSAGKNNANPDRIKRIRSSVRSFLSEATGFYHDLMLKIRSTYGLPLGYFSEGPDSSVVPDKDGKKVVGVKKGLLSCYRCLIYLGDLTRYKGLYGDVDYASREYAAASIYYKEAASLCPSNGNPHHQLAILASYAGDEVTAIYRYFRSLAVDNPFSAARENLILAFDKNHDIYAQLSGNSKVPNAKSLPSRSVGRARGRGETRFQPKGSSTEENSKEREHSIQEILKAFYIRFVRLNGILFTRTSLETFGELSATVISDLQILLSSGPYEELNFGVEAAENALSVVKLIAILIFTVHNANKDADNQSYAEIVQRRVLLQNAFAAAFEFVGYLLKRCAELHDVASSIYLPAILVFIEWLACHPDFVASSEMDEKQADARSFFWSQCVPFMNKLILTGLAHVDGDNDETCFFDMGTYEEGETGNRLALWEDVELRGFSPLVPAQGILDFSTKQGFGSDGGTKEKKARVERILAAGKALLNFVQIDQLRIYFDASSKKFLMASEPPPPASSVPLVVSSNAQTTNHIQQEPEVSSKIGSVAEDLGVLQSKAQLFLDGDDDEEIVFKPPVSEKLPRVTSEQTSNELLQPVVVSDINWSNDGAPPPMTFQSNGPVLTPNVYVQSLPISSLGWAANAGQHVIPGVGARSTSDIFESLKAPDHNWVSTGAPLVGSLDTVPMASFSNIISDQRTPPSSLGCFSNPDNTAILPGQDSFLLSALNNVNIGASGFLDQRVNGGLSGLQSVGNVPQVSAQATMNSTNPMIGQYKHTEVTIPSAFYSVLPSVVSSDGVSKKNPVSRPGRHVGPPPGFNNAPPKRQDDSILAGNGQHVQTNDGIWLDGYRSSLDYVNNQRFAHSNVTTASSTFTTPFPFPGKQAFSMHPRGSDEKQWQDFHLFGPTKQLPELNFQQGNQQNGPLAEQLPAQSAWSGNYLV >OGLUM08G10870.1 pep chromosome:ALNU02000000:8:11335692:11336015:-1 gene:OGLUM08G10870 transcript:OGLUM08G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSAAVAEGDDLGDATTAAADGDDVNSGGAASLAYREEEGGCARIEEERGSGWDSSAGVIMAGEEAAGLVGRSHCGGICVALRLSHCVGAESVESLIADEWMDKIR >OGLUM08G10880.1 pep chromosome:ALNU02000000:8:11371280:11373510:-1 gene:OGLUM08G10880 transcript:OGLUM08G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSSSCFSSSGSGDNGMVIVTTTPKSAATSGSQKRARTPSSPSQGAELLEYSKKQRANNMETQSSTAKSQHERKETRERISERKETLLIVAGFALCGMLSVVGFSSVLGPTVVGSVGVDMAGLKNGMLDRSSMVVSFQGVDGGMAGKEALEGLKSLQAGQRPQDIRKYCKEVLCSKVVE >OGLUM08G10890.1 pep chromosome:ALNU02000000:8:11375410:11382937:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.10 pep chromosome:ALNU02000000:8:11376492:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKRMYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >OGLUM08G10890.2 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.3 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.4 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.5 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.6 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.7 pep chromosome:ALNU02000000:8:11375408:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTWIQHCRFSTRWLRRAVSQTREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKR >OGLUM08G10890.8 pep chromosome:ALNU02000000:8:11375496:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLQSLPPSRLRPLWPPPRAARLRSLVLLVSAFSSSSRGLGSERPLPVDPGGVSGRRNQDPGDDALIRRAHRALARPDWHRSAALASLTPAQAASVAESHPVAARGLDLLLFLSRERSHSYRPGTFAALARRLVDARRYAAAGRARIHLIKSCHSKEAMARTMSFLDMLSQSGLRMGLFAYSALLIHLSRLGMTAAVMDRYHRMLSEGVQPNLLIYNAVINALCKDGNVADAETIMKKVFESEMSPDTFTYTSMILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKRMYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >OGLUM08G10890.9 pep chromosome:ALNU02000000:8:11376261:11383182:1 gene:OGLUM08G10890 transcript:OGLUM08G10890.9 gene_biotype:protein_coding transcript_biotype:protein_coding MILGHCRKHDLDSALQVFNQMAKEGCEPNTVTYSTLINGLCDSGRVNEAFDLIREMILHGILPTAHTCTGPIIALCDMGCYEDAWRLFVDMKNKGCEPNVYTYTALISGLCVSGILKVAIGLFHRMSRDGVFPNTVTYNALINILVENRRIKYAFVVLNLMGRNGCSPNIVTYNEMIKGYCILGDPEKAMLVMNNMLQRGHSANLVTYNTIIKGYCDSGNTTSALRILDLMRDGGCKPDEWSYTKLICGFCKISEMESAFGLFNEMVDDGLCPNEVTYTALIDGYCKDEKLDTATSLLEHMKRSGCRPNVQTYNVLIHGLTKQNNFSGAEELCKVMIEEGIFPNVVTYTAMIDGLCKNGSTSLALEMFNKMIEQGCLPNLLTYSSLIRALGQEGKVEEAENLFAELERHGLIPDEITYVKMIEAYIMSGKVEHAFDFLGRMIKAGCQPTLWTYGVLIKGLKNEYLLADQRLAALPDVVPNCSFGYQTTDQDAVSVMSAKLAELDPGLSVQVQNALVSSLSTAGRWFEANELLGSMISQGLCPDQEAYNSLLCSLLRVRNVDLAMGVFKHMSTQGCEVHLNGYKELICALCQLHRRKEARITFENMLMRTWNPDDVVQAVLIDGLLRDGYKDLCMEFLHIMETRCYMPSFHIYTILAREASKKRMYHKGEEGYMLYIVYRTVLLFKSFQVTWSHGGIVALSDCLC >OGLUM08G10900.1 pep chromosome:ALNU02000000:8:11387796:11406253:-1 gene:OGLUM08G10900 transcript:OGLUM08G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTRTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLAPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIKSYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVELQQNFRLDLDDEDAIHFLQGLINESISAFFPRVVETIHQWAQSRR >OGLUM08G10900.2 pep chromosome:ALNU02000000:8:11389224:11406253:-1 gene:OGLUM08G10900 transcript:OGLUM08G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTRTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLLCQITNLLAFFAVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLAPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIKSYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEVMGVNTMQDSSPTVARHTTYYESPATSY >OGLUM08G10900.3 pep chromosome:ALNU02000000:8:11387796:11406253:-1 gene:OGLUM08G10900 transcript:OGLUM08G10900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTRTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLVNRVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLAPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIKSYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVELQQNFRLDLDDEDAIHFLQGLINESISAFFPRVVETIHQWAQSRR >OGLUM08G10900.4 pep chromosome:ALNU02000000:8:11389224:11406253:-1 gene:OGLUM08G10900 transcript:OGLUM08G10900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPDEAQAGVTTRTADHSSCSGGKEFRFILSQDISLPLSFRVNRFVPDRTLLIERSAPVLFVECTLYIDGVQFGLSTNTRLKSLGSPYCWNELVTLSAKYRDLTPFSHLAFTVWDMSSGEDNIYIVGGTTISLFNSKNQLKTGRLRLRVWPNKMADGSLSTSTPGKVPKTKREEIERLERVANKYIRGQIPHIGWLDNLIANAADKLEKESERTENLHSSLIVELCSFEHRVVFQEYGAKLHTSVPSSLVDISKNKLVIACDPEIGRINPSEHKHSVLAWSLARGVNDREMKPSSVDRKLIQNILKYPPTRTLNVDEKQLLWKFRFYLTSEKKALVKFLLSVEWSDIQEAKQAVALIPRWESIDVADALGLLSPVFQNEEVRAYAVGVLERASDEELQCYLLQLVQGLRFERSDRSRLAHFLVNRVHKEENGFQVWQSLIEQAELTAQLRSIMKELSNVKHDAQTKGRILEQLFSGIFSELKNFSEPIPSPLAPTVLLDGIVPEESLVFKSANYPLCIAFSTVNGGTSKMIFKKGDNLRKDQLVIQIISLMDRLLKSDNLDLHLTPYQVLATGLEEGLVEFIPSISVAKIIQKTGSIKSYLQKCNPDEDGPFGITAQCLETFIKSCAGYSVITYILGIGDRHLDNLLLQDDGRLFHVDFSYMLGEQPHRFAPPSPPMKLCKEMVEVMGVNTMQDSSPTVARHTTYYESPATSY >OGLUM08G10900.5 pep chromosome:ALNU02000000:8:11387796:11388381:-1 gene:OGLUM08G10900 transcript:OGLUM08G10900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEALGETEFNLTGREAELQQNFRLDLDDEDAIHFLQGLINESISAFFPRVVETIHQWAQSRR >OGLUM08G10910.1 pep chromosome:ALNU02000000:8:11409415:11412965:1 gene:OGLUM08G10910 transcript:OGLUM08G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQVRFPRFSNGGFGRGPLRQYNAALHSLPPVLYAAHLLSVPDPAQGLLDLVRGGHVPTGFKEDGESKSSSLGTKAMTAARHGLWQSMATTAERHRLWQVFSRVYMWSSDCSSHYYSSMIHAGVQTGNEQRCQQEIEHTKHGLESGSITYDPAKLKFSDDIKDSRLGQYFPD >OGLUM08G10920.1 pep chromosome:ALNU02000000:8:11413782:11414616:1 gene:OGLUM08G10920 transcript:OGLUM08G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNQHKSTCLLPPSWAVSPAEPSQAGPWPSRSLLLWAHLAHAQGAAVAAVSPLPDAARRFAPPPSSCLRREGSVTNVSCDVTPPTSAAESNRHHQPINEQSWRRAPLLHAAVAEFSLSSSSATALRHRLSPPIKGRRVPLADLPHRSFASQTLAPPTLPRRDKPPPPPSLIGRSELLLPPFRPSPSLPLPSTTFPGCALLGNTISHRRSYLPATGELAPHSPSPLFPLDCQTEEKKKR >OGLUM08G10930.1 pep chromosome:ALNU02000000:8:11417961:11418665:-1 gene:OGLUM08G10930 transcript:OGLUM08G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAWDRDTVMSARRAAYNNPERAMEYLYTGVPEQAEAPAAVQTLPVPAAVQAFPTSDQLFDLLICCVVNFVLYWMFVGLCWMLIGL >OGLUM08G10940.1 pep chromosome:ALNU02000000:8:11435355:11435665:-1 gene:OGLUM08G10940 transcript:OGLUM08G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHVAQNDRLPLRVVLQVLFFEQLRAAAGASPAVVSGGIERRLVEEEDEDNDDRRWGLEQVPCAVDADLLSPRALAMAVELAGRKKREMV >OGLUM08G10950.1 pep chromosome:ALNU02000000:8:11458715:11464865:-1 gene:OGLUM08G10950 transcript:OGLUM08G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATT1] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >OGLUM08G10950.2 pep chromosome:ALNU02000000:8:11458713:11464865:-1 gene:OGLUM08G10950 transcript:OGLUM08G10950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATT1] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKI >OGLUM08G10950.3 pep chromosome:ALNU02000000:8:11460037:11464865:-1 gene:OGLUM08G10950 transcript:OGLUM08G10950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATT1] MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPNVWFADNGDRLGTYRGHNGAVWSCDVSRDSTRLITGSADQTAKLWDVQTGRELFTFRFDAPARSVEFAIGDGLAVITTDNFMENVPTAQVKRIAEDPDDQSEESLLVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGQCLKESDKEQGHQKTITSLSKSADWSHFLTGSLDKSAKLWDTRTLTLIKTYVTERPVNAVDISPLLDHILQEEIGGVKGHFGPINALAFNPDGRR >OGLUM08G10960.1 pep chromosome:ALNU02000000:8:11465589:11466440:1 gene:OGLUM08G10960 transcript:OGLUM08G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVLIEHALANSEAERNINTSVFAKVAMFEEELRAALPREVEGTRAAVENGTAAKANRITECRSYSLYRFVCKELRTEYLTGEKIDAVARRGCEQGVRRHEPGQKMRTVLIEHALANSEAERNVNISVFAKVAMRSSARRCPGRWRLPRAAVENGTATKANRITECRSYSLYRFVRKELETEYLTGEKINAVARRGREQGVRRHEPGQAH >OGLUM08G10970.1 pep chromosome:ALNU02000000:8:11510425:11514838:-1 gene:OGLUM08G10970 transcript:OGLUM08G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATAAGPLFLRARPLAPCTAAPAPALSRRRSLLSGAHTADEPPPPTQPPPSKLPDAPGAVPPLPWRAAEAEIVRDIDPVVQLIKDILHSDRYGDGECLSPKDENIIVEKLLAYHPRVDDKIGCGLDAIMVDKHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >OGLUM08G10970.2 pep chromosome:ALNU02000000:8:11510427:11514838:-1 gene:OGLUM08G10970 transcript:OGLUM08G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAALARAATAAGPLFLRARPLAPCTAAPAPALSRRRSLLSGAHTADEPPPPTQPPPSKLPDAPGAVPPLPWRAAEAEIVRDIDPVVQLIKDILHSDRYGDGECLSPKDENIIVEKLLAYHPRVDDKIGCGLDAIMVDKHPQFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHAERFIREHFKRT >OGLUM08G10980.1 pep chromosome:ALNU02000000:8:11512854:11514120:1 gene:OGLUM08G10980 transcript:OGLUM08G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRWVDMAFPKDFGGLGFTETRAMNTALIAKYVLNWDRVPLNFDDFFILADIRSDFKHRAVKIALLAAICWTLRTTRNNMWSPLHRLEEKSDLEKLVKRLKEGGGEELA >OGLUM08G10990.1 pep chromosome:ALNU02000000:8:11516226:11516704:-1 gene:OGLUM08G10990 transcript:OGLUM08G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDDIEAVLLVNPKEPQFKGEPYSFPESPDFHKVGQRGSVTGRLFVRYRYMIRQDMAAGLAYVGLASPGQPGSWATESKNYQFWTRATPCGSFSIGNVGAGVYNLLNYLAVYP >OGLUM08G11000.1 pep chromosome:ALNU02000000:8:11526959:11532556:-1 gene:OGLUM08G11000 transcript:OGLUM08G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rer1 family protein [Source:Projected from Arabidopsis thaliana (AT2G23310) TAIR;Acc:AT2G23310] MMDPSSSSAAVPAAAPAVGDGGGGGGGGPAAAVSSAVATASRRFQHLLDRSTPHVGRRWLAFAGVAAAYALRVWFAGGYYIVTYALGIYILNLLIAFLSPQVDPEVAEVLGEGGAALPTRASDEFRPFVRRLPEFKFWYSIVKAFCIAFVLTFFITMKRQILHMIKYRYVPFSFGKQRYNGKRVASADDLTLPKD >OGLUM08G11010.1 pep chromosome:ALNU02000000:8:11589402:11592429:1 gene:OGLUM08G11010 transcript:OGLUM08G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15 superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64670) TAIR;Acc:AT5G64670] MWRRASHLLRATATATATAVSRRVPHPHPAPATAIPTVLPAPKLASSLSYATQAAAAAAVPAARAPRTVGSLLRLNDLRDNPGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTARFGFEGGQTPLRRRLPRRGFKNRFSLTFQPCGLGKIAKLINAGKIDSSELITMKTLKVSRTTARAKAAVEAAGGTVRLVYYNNLGFRALLKPEWFAKKGRLLPKAARPPPKQRDKVDSIGRLPAPTKPLPFTPEELEFAAKREAARVIA >OGLUM08G11020.1 pep chromosome:ALNU02000000:8:11618378:11620670:-1 gene:OGLUM08G11020 transcript:OGLUM08G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPRPVLPAAAAASTSSSSRCRYRTATSSSARGWSNCQGWRLRHRVWAAHAADQQGGVQQQQQQENEDDVVDSNVLPYCSINRKEKKTIGEMEQEFLSRRCRPAFYYDKKAVISNEVFDNLKEELMWEGSSVVMLNDSEFVIAFAGLAPSYYVHPMQVTLEAQFLRQHISIIKKREVKSYKKVQDAVFIVKSLVIT >OGLUM08G11030.1 pep chromosome:ALNU02000000:8:11699326:11711218:1 gene:OGLUM08G11030 transcript:OGLUM08G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLLLCADFSAVAGGRRRPSLPSEPRLAAPAAGSRAPPSRASVRPSAAAAPLAARGLPHHASVAGQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEGEL >OGLUM08G11030.2 pep chromosome:ALNU02000000:8:11706566:11711218:1 gene:OGLUM08G11030 transcript:OGLUM08G11030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSMDSQDKFVFQSKSLQHGDASKPHEINLFQNSGIYTVGDFMTKREELHVVKSTTSVDEALEMLVEHRITGFPVIDDEWNLVGVVSDYDLLALDSISGNGLAEVDIFPEVDSTWKTFNEIQKLLSKTNGKVIGDVMTSAPLVVRETTNLEDAARLLLETKYRRLPVVDSSGKLVGIITRGNVVRAALKIKKKFEGEL >OGLUM08G11030.3 pep chromosome:ALNU02000000:8:11699326:11706439:1 gene:OGLUM08G11030 transcript:OGLUM08G11030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGATLLLLCADFSAVAGGRRRPSLPSEPRLAAPAAGSRAPPSRASVRPSAAAAPLAARGLPHHASVAGNLGVVKHWRLSGLPLIVGQKLEKFTWLHLAWHLLMGYDI >OGLUM08G11040.1 pep chromosome:ALNU02000000:8:11737983:11740077:-1 gene:OGLUM08G11040 transcript:OGLUM08G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKKKKNGKRNRSWRRHCPESPPRAEQRRPSIRHRCASNRTKVLAVAALVLGSAAHPPPLLSSTREGDARPSTNAARLRRLWGFAGTATCPHIPFPGGLLRRTPPRVVGVGRKWLTGDGGGRGWLTGGGGGRGWLTDGGGGHGWPPDQNSSYRGGTPKRGGGGACGGRTPPPPTARTPAIVATPRVASWYQSIAAEEVAAIADEVTAAAEEEAAAAATLEERGREEEERGNIFTSTREREKPDDWE >OGLUM08G11050.1 pep chromosome:ALNU02000000:8:11738122:11739425:1 gene:OGLUM08G11050 transcript:OGLUM08G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSSAAMDWYHDATRGVATMAGVRAVGGGGVLPPQAPPPPRFGVPPRFQASEAMEEQTSSEPLDPLSHEEFLHMSNFDGMEQVRYYTNLINDESNHFESSEMGSQYADEQDPIGNENAALRPNQKRSKNFSLEEDNLLVSAWINVSFDAVQGTDQSRGTYWGRIYEYFHDNKEFDSDRSW >OGLUM08G11060.1 pep chromosome:ALNU02000000:8:11741688:11746650:-1 gene:OGLUM08G11060 transcript:OGLUM08G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVGGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEDFGFGVTMTLNDLTQMIEKALDKSGNDVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQGLPSPFPVSAAGYGVNGRRGGSMSRRESGGPAGNEVELWPGGRQSRMVTRLVHLQKVPLVLRTFRQMAENGSSYL >OGLUM08G11060.2 pep chromosome:ALNU02000000:8:11741710:11746650:-1 gene:OGLUM08G11060 transcript:OGLUM08G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVGGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEDFGFGVTMTLNDLTQMIEKALDKSGNDVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEDGNEIGAPSESAPCPENFPSNG >OGLUM08G11060.3 pep chromosome:ALNU02000000:8:11741710:11746650:-1 gene:OGLUM08G11060 transcript:OGLUM08G11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVGGKRASSSFFEDLTHPTGGSPPAAKRTRCGGAFFPPPPPPTWPRGVTKNDLVARLSTQFPAMSLEMIEKALDKSGNDVDSAIRSLLNLHLESVQNNSGVAFEPIQETTEVQVSAEDGNEIGAPSESAPCPENFPSNG >OGLUM08G11060.4 pep chromosome:ALNU02000000:8:11740584:11741684:-1 gene:OGLUM08G11060 transcript:OGLUM08G11060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTASNMDDAKSRATRVLEAFEKAVVSHVNAQGPHDFQKENAVLKGQMESLTRENTILKRAFAIQHERQKDYDAKNQELQDEKQRIAEFQEQVRNLELNNYRLSMLLRQAQQGSSIPGRFNPDVF >OGLUM08G11070.1 pep chromosome:ALNU02000000:8:11758007:11758967:1 gene:OGLUM08G11070 transcript:OGLUM08G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAAKRVRWSSSVWLDPDDNNAVTLRFNNGTEAWCYWCASSYGGQWAPKLSWREPLDGVTASHHHRARTAFGRGRCSNADVGQLKQRVLELDAGGVLSVGEIRVGVDEDSEPVQAWKSRMGQSSERRSSSADDVASAAARSSNENMAPASAPCLASQTPLRPSVAPRPASPRR >OGLUM08G11080.1 pep chromosome:ALNU02000000:8:11779219:11779474:1 gene:OGLUM08G11080 transcript:OGLUM08G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCQWCSELGDRHHLPQFQPSSSTFDFSLSSESQFNLGHEAFLRPIQHHVYACMIIIHIKLASSSPEASHHRRVVLLVVTAI >OGLUM08G11090.1 pep chromosome:ALNU02000000:8:11780062:11780894:1 gene:OGLUM08G11090 transcript:OGLUM08G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSSVLAFTACPHFINTHQHKNRFHQHKNTRKCRHRKGRVPPSQGHRVATASPPIPSPHAGSGTLGRHRLPSRWIRQRGGHRPCPPIPHPPGGRAPPPTTVEPSRGLMARETRRGAERRRRPLPLTEGESCRRRGVDRWPTLPGPSRTAAHRVKDAAGQVEGAAAEALAAKGRAPPARGRAPWRATPPWVGGGWEGRDGGRRRLRREEEKEGKGRCAMERTKRKRKGSGKNEEIMRAEKSRRPALAPSG >OGLUM08G11100.1 pep chromosome:ALNU02000000:8:11809912:11817695:1 gene:OGLUM08G11100 transcript:OGLUM08G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATV3] MAAQVQAVPAAEGGGAPPQANGVVAAGSAAAAAATFQATSLYVGDLDVSVQDAQLFDVFSQVGSVVSVRVCRDVNTRLSLGYAYVNFSSPADAARALEMLNFTPINGKPIRIMYSNRDPSSRKSGAANIFIKNLDKSIDNKALYDTFSVFGNILSCKVATEMSGESKGYGFVQFELEEAAQNAISKLNGMLLNDKKVYVGPFVRKQERENVSGNPKFNNVYVKNLSESTTEDNLKEIFGKFGPITSVVVMREGDGKSRCFGFVNFENPDDAARAVEDLNGKKFDDKEWYVCRAQKKSEREMELKEKFEKNIKEAADKNQGTNLYLKNLDDSIDDDEKLKEIFADFGTITSCKVMRDLNGVSKGSGFVAFKSAEDASRALVAMNGKMVGSKPLYVALAQRKEERRARLQAQFSQMRPMVMPPSVAPRMPMYPPGVPGVGQQLFYGQPPPAFVNPQPGFGFQQHLIPGMRPSVGPIPNFVMPMVQQGQQPQRPAGRRAGTGGIQQPMPMGHQQMLPRGSRGGYRYASGRGMPDNAFRGVGGLVPSPYEMGRMPLSDAGAPPQVPIGALASALANSPPDQQRLMLGESLYPLVDQLEHDQAAKVTGMLLEMDQTEVLHLIESPDALKAKVAEAMEVLRNAQQQQANTPTDQLAALTLSDGVVS >OGLUM08G11110.1 pep chromosome:ALNU02000000:8:11815024:11815290:-1 gene:OGLUM08G11110 transcript:OGLUM08G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLEAVQVPGDRQSVIHFVGLGNSIDMATRQRLVTQAYNFLAESPRTTGTTRGAWATIGGDMHASSNSMQIGSVPTGTKCTTILASS >OGLUM08G11120.1 pep chromosome:ALNU02000000:8:11853840:11855347:1 gene:OGLUM08G11120 transcript:OGLUM08G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIRIGVKFKWCDIRDSIKFGSKELFEGDTLWLPITPLHAREEIAHAIWWEDRAQTGGGWAEQKGQIGGERRRSGLAAGKLRRPSPSREPTGDGGGERPPPPPPPPQPPPRSPAGCRCYCCVGEERGSGRRAGGVVHAQLVNVARDESPVCQALEAAGGAGWDGMDGCPTDCERRSFTGNATTSYLPFSVVLPASASTAREREREERRARQS >OGLUM08G11120.2 pep chromosome:ALNU02000000:8:11852258:11853840:1 gene:OGLUM08G11120 transcript:OGLUM08G11120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERGNVVELTSALILIATVPSAQASKIGRLSQANDAQGFSCQVRWEQDQGGDQTRSKFTQASLRPARGGAAWEAWDQREVARALGWLRPGREEEREKKKKEERRKTLRGKNIGIDNEKDGEK >OGLUM08G11130.1 pep chromosome:ALNU02000000:8:11886041:11917768:-1 gene:OGLUM08G11130 transcript:OGLUM08G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MAHATSSPSWPPPLLLVEPPASAAAIFSSHQQPAPPSHPHKTWMPIMTFRAQLFIFYRVRPHPPNPNGSRSRFASGAMSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKRISDKGRCFSQCEILENIGAVLIHAWKGCGCRSCDMIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTVAFSLSVVQGWIRRPFFCFSHYGCSNHRAKRRNDGLLAFVNNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYSPLVKNGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >OGLUM08G11130.2 pep chromosome:ALNU02000000:8:11886041:11917768:-1 gene:OGLUM08G11130 transcript:OGLUM08G11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:subunit of exocyst complex 8 [Source:Projected from Arabidopsis thaliana (AT3G10380) TAIR;Acc:AT3G10380] MAHATSSPSWPPPLLLVEPPASAAAIFSSHQQPAPPSHPHKTWMPIMTFRAQLFIFYRVRPHPPNPNGSRSRFASGAMSRTGGRRRIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDREGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESAESITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLAKLRGVLFYKILEELHSHLYNNGEYSSVTLSMVDNEELPTSTATGRLVNSMQPLSRRTRSIKGDNHFGASADGIPKTSSVGGSSFDGPDDDSSIDMHESDGGRSRRDSKRISDKGRCFSQCEILENIGAVLIHAWKGCGCRSCDMIRAYSEETSKSNVNKAANENSDVSHSNGRAARYQLLKQKTKNGASLMASQLVVSPISPAMAPTGDAQCAASQLLSAIFECLVDILENHITVGELLEQKSSTEVDNANTPHMANGDASWNPDSESSQATGGFTVAFSLSVVQVQPHLDRVSMQPQCIVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLDPANLSLQNSFGQLDHSIPDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFINSSTMLENKNHIHQGRHTRSTSAIPKSLASLANEYRRLAIDCVRVLRLEMQLETIYHMQEMTKREYVEDQDAEDPDDFIISLTTQIARRDEEMAPYIAESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRIDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREMPMDAERRISQILGH >OGLUM08G11140.1 pep chromosome:ALNU02000000:8:11964850:11966871:1 gene:OGLUM08G11140 transcript:OGLUM08G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRDNMVSEQSIHGIPIQEMQWTTHGGSDDCWGQDLSHGGDSVGGACQVFDEMPSRLGSAAGAALHVQVNHLIYPVSTNVMHQVLNPYGAVAVQMLVVDAWRVEAIVWFRTTCDAEWAQAELHGRNIYDGGCVLDVQHVPTLLEDRADIAPTKCSMQTPGCATTKSDTQSTPTTLEHVFPATISPSAASTKLAVTTTSASLTEAMEAEASMDKVVENAGKAIQDLCTRIDRILEAFRETKVDLSENKDSTRDVAMLSANTSPTTIALEVSAEAGPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRILIGCLSHDLGVNSLSLVPSTLEVPYHCFVLGSVCRVSFPPVPLWRVAVPLYSDQVYSGSRPSPWLDPWLHSGSGSVVVFQPLQPWPPPLQAKSKGSIVERHLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFNCDNAWELAQSHCKFLLTEHMALIAQYEKNCFEQDLSLCMVSKRASWNLWNLLTEGSISLMEAKAQLFRRMHWVGSKTMDQFVWNLCMPNMEKSPWPPPPHKIRTDLLWLNSHEVSSLQFNAEFWRLLARINLFDCHSGQEDMQLFQAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPP >OGLUM08G11150.1 pep chromosome:ALNU02000000:8:11982755:11983069:-1 gene:OGLUM08G11150 transcript:OGLUM08G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLKWFVLSWCTSPRRGCTPCKLRISGALCHDCHCDIACRRTPSKLLGKAECSRLRTSD >OGLUM08G11160.1 pep chromosome:ALNU02000000:8:11984767:11990342:-1 gene:OGLUM08G11160 transcript:OGLUM08G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAPLFLLLLLLLLPLHAAATPSAHPAYPNEPPSCAAAAAVPVPERREAHGGGRILDITHYYREDMPSWESDGGVGQFLWLPASMRNGSRANNSEMRLPTHTGTHVDAPGHVFQHYFDAGFDVDSLDLEVLNGLALLVDVPRDDNITAKMMESLHIPKGIQRVLFRTLNTDRQLMWKKEFDTSYVGFMEDGAQWLVDNTDIKLVGIDYLSVAAFDDLIPSHLVLLKNRDIILVEGLKLENIMPGIYSLHCLPLRLRGAEGSPIRCILIKSAK >OGLUM08G11170.1 pep chromosome:ALNU02000000:8:11989139:11996213:1 gene:OGLUM08G11170 transcript:OGLUM08G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAPSGSSADASASSSSSAVEDLAPGMAAMSLQDRFELLRGIGEECIQEDELMNLLQKKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWRAAGMNLDGVEFLWSSEEINNRANEYWPLVMDIACKNNVKRIMRCCQIMGRNDSDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCTDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPSSAIFMEDDEVNLKIKQAFCPPNVVDGNPCLEYIKYIVFPWFEMFEVVRKEANGGNKTFTNMDELIDDYKTGALHPADVKPALAKAINQILQPIRDHFNNNSEAKILLNTVKKYRVTN >OGLUM08G11180.1 pep chromosome:ALNU02000000:8:12001137:12006516:1 gene:OGLUM08G11180 transcript:OGLUM08G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKMKEHDGASPAKIFIGGLPKDTTMGTFKEYFGKYGEIVDAVIMKDRFTQKPRGFGFITFADPAVVDRVIEDNHVINGKEVEIKRTIPKGAAPLKDFKTKKIFVGGLPSALKEDEFKEFFSKFGKVVEHEIIRDHSTNRSRGFGFVVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPHRSFDSEPRGRPHADGYDGLGNSYNYGGSFGPYRSPGSFGTRPGGYSSSYGPGDYGSGYGAYGGALGGYRGESSLYSSRFGSTYGGSFGGGYAGGSYAGGLGGAYGRDAGGFGGSSYGPSYDSSSGPGAGVGFGTGGLYGARGGYGSSGAGASGRYHPYAR >OGLUM08G11190.1 pep chromosome:ALNU02000000:8:12011218:12013898:-1 gene:OGLUM08G11190 transcript:OGLUM08G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEVNDHPIEEVRNTVPVTDDPSEPCLTFRVWVLGLSSCVFLAFVNEFFMYRTTQLSIGTVVVQIITLPIGRLMASTLPARRLRVGGWSFSLNPGPFSLKEHCLITIFAGAGASGVYAMNIIAIVKVFYKRQISPYAAMLLAQTTQLLGYGWAGLFRKYLVDSAYMWWPSNLVQVTLFRAMHEEEKRNKGQLTRLQFFIMVMTCSFAYYIVPSYLFPAISTISVLCWLYRDSVTAQQIGSGASGLGVGSFGLDWNTVVGFLGNPLASPAFAIFNVMAGFALSTYVAVPILYWTDTYNAKRFPLVSSHVFNAAGGRYDTARILDPATFTLNLREYDAYGRINLSILFAINYGIGFAGLMSTLSHVALYHGKQLAKLLTSICSSRDIWGLWRKATAEQANGGGKEHQDVHTRIMKRNYKAVPQWWFHLMLAIVMALSLYTCEGFGRQLQLPYWGLLLACAIAFTFTLPIGVISATTNMQPGLNIITELIIGYLYPGKPLANVVFKTYGYISMTQALTFVSDFKLGHYMKIPPRSMFMVQLAGTVVASTVHFATAWWLLTTVRNICDVDSLPPGSPWTCPGEDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGVLAPVPVWLLSRRYPRSALLRDINLPLVLAGASGLLPARSVNFVTWGLVGFVFNHVVYRRCRAWWMRHNYVLAAGLDAGVAFMGVLTFVSLGYFDIYGVQWWGGAADDHCPLASCPTAPGVFARGCPPVPS >OGLUM08G11200.1 pep chromosome:ALNU02000000:8:12017269:12024047:1 gene:OGLUM08G11200 transcript:OGLUM08G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEASQSKQNLQGQSYGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISESKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERTNEMKGVSDAVKCRCGLEYKVELGGEAMDLS >OGLUM08G11200.2 pep chromosome:ALNU02000000:8:12017269:12024047:1 gene:OGLUM08G11200 transcript:OGLUM08G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQTLELLHQEIASTSSKLIEKRLFYTKTIESLTVKLQEQQEWLGAFKLKVEASQSKQNLQGQSYGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISEAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERTNEMKGVSDAVKCRCGLEYKVELGGEAMDLS >OGLUM08G11200.3 pep chromosome:ALNU02000000:8:12017269:12024047:1 gene:OGLUM08G11200 transcript:OGLUM08G11200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLANMKTLRSYMSDLEEEATKRSAEEQRQRTAIDAHGADLAQVRAQTKQASEESEQLSKARAELCVEISEKQGRIATLEIECATLKQEWLGAFKLKVEASQSKQNLQGQSYGILNSCGSLDKGNDIGSKQGELRIQLESTKHKIDEIKEKQSALLTEISESKQVIEQEKNAISGFLAPLQQMDMKSLEEEHKALQADKAGEIEYFQSLEERTNEMKGVSDAVKCRCGLEYKVELGGEAMDLS >OGLUM08G11210.1 pep chromosome:ALNU02000000:8:12027115:12031329:1 gene:OGLUM08G11210 transcript:OGLUM08G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLASPSVYRASFPCASSASRSPSRARLAVTPAGAGARARARSLLRCGAKDSILEELAQDDMVNAVELAQWENGKSINDIAASQGIRIRRHCRPNVPLKEIEEELGAPRNILEKIIWDKEVEVAEGHAKKPLKEVIEAAGQAPPARDFYGALASAFKRNGMPALIAEVKKASPSRGVLRENFNPVEIAQAYEKNGAACLSILTDEKYFQVALELDVLMDLELEHGSFENLAKVRKSGVKCPLLCKEFVIDKWQIYYARSQGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEREMERVLNISGVQLIGINNRSLETFVVDTSNTKMLLDMHGDTIREKGILVVGESGLFNPDDVAYVQNVGVSAVLVGESLVKQDDPGRAIAGLFGKELLH >OGLUM08G11220.1 pep chromosome:ALNU02000000:8:12039483:12044739:1 gene:OGLUM08G11220 transcript:OGLUM08G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQICDKFIEFFMYKKPQTKNWRKLLVFREEWERYRPYFYKHSQARIDMENDSSMKQKLVVLARKVKKIDDEIEKHMELFTQLRENPTDINAIVARRRKDFNGGFFQHLNFLVNAYNGLDERDAIARLGARAWAAAKDSTTMKNEVDYTSSVNYGVSRVIHASSSSLLATAVITPDHTLCGFMFPLHLKFSTSEWVKDIMYHIYTTTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEHEAKDEDALYT >OGLUM08G11230.1 pep chromosome:ALNU02000000:8:12045893:12046345:1 gene:OGLUM08G11230 transcript:OGLUM08G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLRLLLLAGAATAAAVAADNATATGGSGDGATRNNNNNNNPTICSGEGCQPLPIYGYPSPPPPSQPAGPSSHTPPCPPAAVVCCGGGGGGGGQYTPQQPYYYAPPAGYVPYYNNSAASPPVLLAHAAVGYYYYVMAAYLLLWLVV >OGLUM08G11240.1 pep chromosome:ALNU02000000:8:12054745:12057442:-1 gene:OGLUM08G11240 transcript:OGLUM08G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLQLQQLHRARQAKLSCGAPPPYLSVSARASPPAHHRTMSAQCVPLHSELSSEQLAADALSLSVDMELLLRRLAVVVAVVALTAATAAEGYNITKILGDHPEYSQFNKLLTETRLAGDINRRRTITVLVVANGDMGALSGGHYTLPTLRHILEMHILVDYYGAKKLHQLARGDTASSSMFQESGSAPGTTGYVNITQHRGGRVSFTAEDAADSATPSSFVKSVKEIPYDLAVLQISKPLSSPEAEAPVAPPAPVNLTELLSKKYCKNFAGLLASNADVYSNINATKDNGLTLFCPVDAAVDAFMPKYKNLTAKGKAAILLYHAVPDYYSLQLLKSNSGKVSTLATASVAKKDYSYDVSNDRDSVLLDTKVNSASVTATVKDADPLAVYAISKFLQPKELFKVTEDLAPAPAPEGPKKKTKKKKPSTTSAAAAPSDDSSAADSPDGTPADEVADKAAAAPSVLARWVTAAATVAAALALAA >OGLUM08G11250.1 pep chromosome:ALNU02000000:8:12067429:12067870:-1 gene:OGLUM08G11250 transcript:OGLUM08G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLARAVLATPAHAFVPCCPGVWQTLCDVSSFTVRLHRLFGVIYLNDCRDRVTVIVMRVLAHLGPRRPPMCPRPLYGTPCACCGPATFPTSATLTTATLRTASSTTALGSFALATSTMAQRAIIRIEHSCRPSVRAAHA >OGLUM08G11270.1 pep chromosome:ALNU02000000:8:12100250:12102559:1 gene:OGLUM08G11270 transcript:OGLUM08G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAATHVHHYYHHHFSLSEIACELDAAPLTCYTAQMIHGQSDKEVLVQLKNFLQVQNPINHGAYVSWSESEASPCHWKGVGCDDAGHVNSLDLSNSNIAGPLFRNFSRIMRLTHLNLSSNSITGELQDDLKQCQSLQHLNISNNLIGGILDLSSLTNLQTLDVSQNRFQGRIDRNFPGICGNLTFLSVSSNSFTGRIDKLFDGCPKLKHVDLSWNGFTGMVWPGIERLRQFKANNNNLTGRISPGMFTEGCKLRSLNIAINSLHGSFPSSIGNCSNMKFLSLWENSFYGSIPPGIGSIARLEELVLASNSFDGIIPMELTNCTNLKYLDISDNNFGGEVQDVFGKLTCMRSLLLQENNYTGGITSSGILQLPNLIVLDLCYNQFSGDLPSKISSMKNLKVLMLAENNFSGKIPPTYGQLLRLQVLDLSFNSLSGEIPPDIGNLSSLLLLILAGNQISGEIPREIGNCTSLVWLNLAGNQLMGQIPPEMANIGSNPSPTFMENRKNPELLESITSKCVAVEWLPSSYPEFNFVQSLMMSQKNCQTIWNRLAMGYDVLPISSPLRTALGYVQLSGNLLSGEIPSAIGTMKNFSLLLLDGNRLSGHLPAEIGHLQLVALNISSNFISGEIPSEIGHMVTLESLDLSSNNFSGALPSNLNQLTKLSRFNLSYNPLLSGNVPSSGQLSTFDEQSFLGDPLLSLNVTAGSSSDSSPREFSSSDTEEHPTNEEIMVTTIAFLAFFSVTLLTREFHIFMYLYFTASRKITNCRIICT >OGLUM08G11280.1 pep chromosome:ALNU02000000:8:12100751:12105861:-1 gene:OGLUM08G11280 transcript:OGLUM08G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWMVQKNVPPDNVVTSTLIYWLGKNGMVCRERNLVDELERGFKPRCSSVSDDENSLGLESELEPAVTFKDNSGSPRKDCSSKVESCPLLGTFPERSGFLSMPGQTMPVKPFQLRSTCLSFGQPSNSLSILPVKLLLETLRKVRLPHIPGKFLSILP >OGLUM08G11290.1 pep chromosome:ALNU02000000:8:12108650:12113699:-1 gene:OGLUM08G11290 transcript:OGLUM08G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATX5] MPQPPLDSSSSPTPPMALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSISMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTYNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDHLDCNRKFVSNKWFGALIFGGVLCGRLT >OGLUM08G11290.2 pep chromosome:ALNU02000000:8:12108648:12113519:-1 gene:OGLUM08G11290 transcript:OGLUM08G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATX5] MALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSISMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTYNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDHLDCNRKFVSNKWFGALIFGGVLCGRLT >OGLUM08G11290.3 pep chromosome:ALNU02000000:8:12108650:12113519:-1 gene:OGLUM08G11290 transcript:OGLUM08G11290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxybenzoate polyprenyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0ATX5] MALLRAAAAADLLRRHRRAPLPLLLSALSPPPPTTPESCPDGPSPVAPYFAPPPPPLCRRRRSWPPPPPPPRVSSSSYVGRGILLPATFSIYSPLSTSSSASPDGSPDEDSSPPHPSPAAAPPSSWVDRWLPQAARPYAMLARLDKPIGTWLLAWPCFWSISMAAMPGELPDMRMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGILTPTQGVGFLGLQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTYNWGALLGWAAIKESLDPAIILPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKHWISGFGVACIGSLALSGYSADLAWPYYPFLAAASAQLAWQISTVDLSDHLDCNRKFVSNKWFGALIFGGVLCGRLT >OGLUM08G11300.1 pep chromosome:ALNU02000000:8:12131583:12154817:-1 gene:OGLUM08G11300 transcript:OGLUM08G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle-related / SRP-related [Source:Projected from Arabidopsis thaliana (AT5G61970) TAIR;Acc:AT5G61970] MSKPADQPPPSDMEVDAAAEEKPLVRFSINVLELMREAQMQHGLRHGDYTRYRRYCTARLRRLYKSLKFLHGRGKYTKRNITESTVTDVRFLHIVFYMAERAWSHAMEKKTAGPNAQQRIYMLGRFRKAVKWATLFSHLCSLKGDSRTSLEAEAYASYMKGTLLFEQEKNIEAAMTNFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIGFCSRKLGGSALQAHELLDLEKEGPAYDLFKAKIEAVLSETRSQQAASMTEFSWLGRRFPITNAKTRVSILKAQQLEKDLNGANTESVPADKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDELNGLDKAVSAVLGFRTIERNQLLVSIAKSKFTKHRDEKNEKITKPEELVRLYDLLIQNTTDLTDLISSGRDKNKEENTFIQEYELKSLAFRAERCFYLAKSYSSAGKRAEAYALFCHARSITDSALQQLTNSPDKALVQDLKALSDSCRSNSFIEHATGIMEEENVPERLSKGVSTLSLGERKEAFLLDMLESYESALGESNTKTPCRIARFPPPFQSVPCNPIVLDMAYNAIEFPNIENRMKKEKKGLLSRFWG >OGLUM08G11320.1 pep chromosome:ALNU02000000:8:12183055:12183999:-1 gene:OGLUM08G11320 transcript:OGLUM08G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQPEVTSVAAHMWKIGCYYVREVHGEFRIDEKAFNMNGLYIRKGYQVYFPLNQTACHSELSTNGNCKLKTSCAGRPGVAQITTMVMSVGFARHGWMELYYPMAHLGLGRSPSIISPRIEAFQNHEFQDKKEKIQFGLGLGQLGHTWTKTLVSLSFVVRFGRVSTRWKANFMARVVDRAQDTNSFWFHSKSRNKLTGIEGAIDPLLRGQVDQPIKACGFANSW >OGLUM08G11330.1 pep chromosome:ALNU02000000:8:12188972:12189625:-1 gene:OGLUM08G11330 transcript:OGLUM08G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54500) TAIR;Acc:AT1G54500] MALAMAPRLVHHPCCMMLSKNPRTPPPPPAMHHHHAHKPLITALTSTSSFLLRSVDVSKDDKPLETATTTTPPTPALAAAAPETEQAEAVASPELELELEEGPKVDPRRLEEKFAVLNTGVYECRSCGYRYDQAAGDPSYPVPPGLPFEQLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTGDQKALLIYGSLLVGFLFFLSGYFLQ >OGLUM08G11340.1 pep chromosome:ALNU02000000:8:12195515:12197880:1 gene:OGLUM08G11340 transcript:OGLUM08G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGANTSLLLELESMVARRLDQATLGVVMIPCTALLDVPLVMCLVRGFLKDATRMARLVDAYLVESALEARLRPAEFEESSPAPYGLKYYDLVEGKGPTAVKDSIVQVKYHIKQVEKFVSYSVM >OGLUM08G11350.1 pep chromosome:ALNU02000000:8:12199021:12209601:-1 gene:OGLUM08G11350 transcript:OGLUM08G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNAse E/G-like [Source:Projected from Arabidopsis thaliana (AT2G04270) TAIR;Acc:AT2G04270] MAARALGPPPPHALGATRQAPRCPHAAAADASAPPTHGYRRGAAALGSALSARRGRHTLCSVQLMDALRGGNLQVEPNMLHSPKPLMSTRRDDSAITCKGFCTISWNLKSDVLDGYIIFVTGDPVTLGCWESDMAVQLSPSVESNNLWTAEIKVPYGVHFKYNYFVREENDASSDIIWRPGPEYSLSIPPVGRKKHVIVVKDLWMKTSVAGIPTPSWGSWLMEANFLEDQFAKSGEHQNIVKAHSVIDTVDRASSVGEHIILRLGNGTPLHVKNISENPSASVHDDFTVTDKANSIKSSISEHERNQPVEEPWILGSVMAAKKSVAAGKHEKNRWKFVNKKQNLSEVSENIPEQDQPVEEPWLFQSKVVAKKPVVQTKGKIEAKDIIRKLRKMDKPPAPLEEDKATSGEPSSRVIVINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGLSRPSLMSIKQNRDPFVYPQIVKNAKRDSANFSDYNDDSLPTYEDEDDDMTDGELADEENDDESSAFPAEVVSENEEHMAFLPNFKINMIHSAEFESISSYDEEKDDEIDDHMEDEYNEDLLPGDQSEVSNDLKTLSSIQHALRESSDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQKDLDRLLSTWKGIIEHAQSAALAAEEGVEGAVPVMLHRSKGQALSVVQDDFNEKVKRLVVDSPRTYHEVTNYLQEVAPELCNRVDLYEKRTPIFDEYKIEKEIDNILCKRVPLHNGGSLVIEQTEALVSIDVNGGHSMFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDTNKKLVFEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCPCCHGIGRVEALDTSFSKIEREICRRLAASGHKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFARGAFELLPYSDEKETDERKEETSESPPKEAGSPKLSVFPIKKWMSRAKRAK >OGLUM08G11360.1 pep chromosome:ALNU02000000:8:12217542:12225882:-1 gene:OGLUM08G11360 transcript:OGLUM08G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTSVAAVSGITPQPPRNLTVDPSLQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >OGLUM08G11360.2 pep chromosome:ALNU02000000:8:12217542:12225882:-1 gene:OGLUM08G11360 transcript:OGLUM08G11360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENGEIEGAADDGVPVPAPPNGRRYRPVGSSDRAVIQMTSMEPGSSSSTSVAAVSGITPQPPRNLTVDPSLQEDHTVSQGDSKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVAITIGRPKETGPKFGTMMGMAGVWQSLVLVSFCGACTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGSMYVLGAVETFLDAVPSAESVTVVNNTLVNGTATASTATISTPSLHDLQVYGVIVTILLCFIVFGGVKIINKVAPAFLIPVLFSLLCIYLGVFIAPRHNAPKGITGLSITTFKDNWGSEYQRTNNAGVPDPNGSIYWDFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGAEPHSATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDTYIEYKRCEGVAEIIVAPSMSEGFRSIVQTMGLGNLKPNIIVMRYPEIWRRENLIQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKETFESCKIQVFCIAEEDTDAEELKADVKKFLYDLRMHAEVIVVTMKSWEPHMESSSSGAPQDDSQEAYTSAQRRISTYLSEMKETAQREGHPLMEDGKQVVVNEQKIEKFLYTMFKLNSTILRYSRMAAVVLVSLPPPPLNHPAYFYMEYMDLLVENVPRMLIVRGYRRDVVTFFT >OGLUM08G11370.1 pep chromosome:ALNU02000000:8:12354507:12361605:-1 gene:OGLUM08G11370 transcript:OGLUM08G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAPVPGSIDGAAAGSMEFKLIQAAFNGDLRRFKRLAKMLDMGRGRLAKFVGEVRVEGVPQLEGVGVMHAAAASGSLAMCTYLVETLQLDVNDVSNKGSTALFNAVELRNLDIIKYLLDHGADPDHAMICGLTPLHCAAGFGHCAIVKELLAKGAYVDPVSVYGTPLHIAALEGKDNTLKILLDHDADCNRVVNGKTPLLLAKRAASERCVELLVEDKVVNGSKIAELKSLASRAAQIKDYLSAAEFYSKAIDLDPDDATLLSNRSLCWLHMGDGDKALLDAHECRKKRPDWPKACYRQGTALMLLKDYRRACEALFDGLKLDPENAEIEDALRYPHTQSCYSLLL >OGLUM08G11380.1 pep chromosome:ALNU02000000:8:12363099:12364668:-1 gene:OGLUM08G11380 transcript:OGLUM08G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLSLTKGKLSAGGNGCSENKKHGASNESLHNRKSNDFPYVLPHRRHLSPFQEKVVLEKIEAIRCEVPICVAIMKDYNVDYSSRKCCLLCMGNTWETKMIVSGNLTRWFLTGGWPKFACDNRLRAGDICLFELKEERRLTMALTRNIGCRAKGPFNMVSADCRKDYA >OGLUM08G11390.1 pep chromosome:ALNU02000000:8:12364696:12367231:-1 gene:OGLUM08G11390 transcript:OGLUM08G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPCECCHTKMKFIWQMNGNFKHSMVIPDRFLSHFAAKSSGTITLETPNGNMYKVGVGKNMKRTLLLSGWEAFVHANSIQENDFLSFRYRGSSRFAVTVFDASGLEKARTYIDILSSSDDHTTQSSASDTSDECQKVRPNHHGKQAKKPSVSSSEDLSAEDGSSGHRSLESEDLGRFSSPYYLPGHHKLAKEQKAELVALVDKIQPEICVLVIIMNKTNVKRHPDLVVPKDYALLHFPHKNQIITLELPGKRKNWACKFRIRADGGGRHLYLGDFVHDNRILEGDLCILQPMTKNDASVFTMTVHLIRKERTDIASSHQTRKINSGGISSSSHHDTTRHIHQLVCVCTNRMHVCNFIAHSFHLPVETCVVCIGEGSPDENDSFKSDDLQTLPITDYVLSYKSYLSRAQTGQVIMLLGEIRPKKPVLVAVMRKKNVQSSIPFLEIPKEYAAAHFPNESVAITLQMLGKNKKWHPRLCMENDKRIYMLRGHWLDFVLDNHVVEGDICLFEPMKGGKFLCSQFTSFAPRQLITRVELVFKGLAQVMEEPIQRWHQVFILRKNQLMVWKA >OGLUM08G11400.1 pep chromosome:ALNU02000000:8:12376230:12376882:-1 gene:OGLUM08G11400 transcript:OGLUM08G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRLLVLPASMTTPYPWGRRRGERDRRRRRRSARADAAARRRRPRPPREPIMEEMEANGSKYQQQKLGDVPSSQPHHLNPADRPQAGDCGGAPVRLGLLSSSSAAAASVPSPTSSPQSTAAPPTTRASSTPSTLS >OGLUM08G11400.2 pep chromosome:ALNU02000000:8:12376181:12376882:-1 gene:OGLUM08G11400 transcript:OGLUM08G11400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRLLVLPASMTTPYPWGRRRGERDRRRRRRSARADAAARRRRPRPPREPIMEEMEANGSKYQQQKLGDVPSSQPHHLNQVTPALTACRSTTSRRLRRGASSARPPLLLLRRRRLRAIPNVIPSEHGRAADHAGFLDAVDPLLNLSLFFTESPTYESI >OGLUM08G11410.1 pep chromosome:ALNU02000000:8:12376886:12377116:-1 gene:OGLUM08G11410 transcript:OGLUM08G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTHRPRDFPPCLTRPCRASPPPTMSELHEQRQRPTHAARIGGEGQQPGAAFIDAVRAQSIHGSAAAAAEAAGER >OGLUM08G11420.1 pep chromosome:ALNU02000000:8:12382251:12383937:-1 gene:OGLUM08G11420 transcript:OGLUM08G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SMAD/FHA domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G47790) TAIR;Acc:AT5G47790] MYRGGLDRFKKAQALEPFSVQSGSAAKNVPAAARTAKGPPAPLTLPQNSHVGTSQSHPSPQGASLRVAGQETGAPGHAGTQVGGGQSAWQPPDWAIEPRPGVYYLEVLKDGDVIDRINLEKKRHIFGRQVPACDFVLDHQSVSRQHAAVVPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNSAAFFPTHSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSRSKDSSGDASGANDDNEPAGRPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPVGVKEGSLVGKYESLVQVTVIPKGKEQPSPKESASPSGVTDKLKQVLTKVKSTAKGGIYDDLYGDTVPQLLGPSWAYRSDDQAEKVKAADEKKSSGNMDTNSADDNDDLFGDL >OGLUM08G11430.1 pep chromosome:ALNU02000000:8:12383959:12385089:-1 gene:OGLUM08G11430 transcript:OGLUM08G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLWVGDVCFGGGLARHSRPSPAQPRKKHGAQILQPYPRILPRTHAASRIASTPSLAPLRAGGAARSPCSLACSPHTRAAIPPSLPPIAAAPPSPAVGRKVGGGAGRAEEVGDPWRGVLPPPLHPDQRPSSRSPQTPPGKPQSPSSPTPPPLQPKHPGGLDLLGSFQPSLDESGLALLGSRDQKWW >OGLUM08G11440.1 pep chromosome:ALNU02000000:8:12387502:12393984:1 gene:OGLUM08G11440 transcript:OGLUM08G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKKQHLGIMRILL >OGLUM08G11450.1 pep chromosome:ALNU02000000:8:12396893:12398940:-1 gene:OGLUM08G11450 transcript:OGLUM08G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >OGLUM08G11460.1 pep chromosome:ALNU02000000:8:12413439:12418820:1 gene:OGLUM08G11460 transcript:OGLUM08G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAGAMVRFVAAFLAAVLVMMVPCAPRAAAAVGVNWGTVSAHRMPAPVVVELMRANRIGRVKLFDADQAALRALMGSGLQVMVGITNEMLQGIAASPAAADAWVARNVSRYVGPGGADIRYIAVGNEPFLTSYQGQFQSYVLPAMTNIQQSLVKANLARYIKLVVPCNADAYQSASVPSQGVFRPDLIQIITQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLVSALSKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFTQGLISHVLSNKGTPLRPGVPPMDVYLFSLLDEEQKSTLPGNFERHWGVFSFDGQAKYPLNLGLGSPVLKNAKDVPYLPPRWCVANPGRDLNNVENHLKLACTMADCTTLYYGGSCNAIGEKGNISYAFNSYYQLRKQDAQSCDFDGLGMITYLDPSIGDCRFLVGIDDSRTSTIYSSGDCSRRRFGLWILAFLVIGFLQIGVYL >OGLUM08G11470.1 pep chromosome:ALNU02000000:8:12421218:12425244:1 gene:OGLUM08G11470 transcript:OGLUM08G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSASASSLPASAGFGENLVLILDFGSQYTHLITRRVRQLGVLSLCVSGTAPLASLAGLRPRAVVLSGGPHSVHASGAPTFPEGFLEFAAGAGAHVLGVCYGMQLLVQSLGGAVEAGEKQEYGKMEVEVTARSSALYGEGEVGKRQTVWMSHGDEVVRLPEGFEVVARSVQGAVAAVENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIRTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYNERERVMLTFESDLHLPVTCVDASEQFLSKLKGVKDPEMKRKIIGREFIAVFDDFAHKLEQKIGKRPGYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPESFLKRHPFPGPGLAVRVLGDVTEGNALEVLRQVDEIFVQAIKDAGLYDIIWQAFAVFLPVQTVGVQGDQRTHSNAVALRAITSEDGMTADWYYFEREFLVEVVNKICNNVRGVNRVVQDITQKPPATVEWE >OGLUM08G11480.1 pep chromosome:ALNU02000000:8:12427137:12430762:1 gene:OGLUM08G11480 transcript:OGLUM08G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCCCCCCCCCCCCCCCCCCCCCCCCCCGHNVWAQPYIVYLGSHPYGPDASAEEHARATQSHHDLLGSVLGSKQLAKDAILYSYTKNINGFAAHLEEEVATQIARHPDVVTVMASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNKKLIGARYFNKDMLLSNPGAVDGNWSRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMNGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMTGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFSCPEKVPPMEDLNYPSIVVPALRHTSTVARRLKCVGRPATYRATWRAPYGVNMTVEPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALD >OGLUM08G11490.1 pep chromosome:ALNU02000000:8:12431432:12431975:-1 gene:OGLUM08G11490 transcript:OGLUM08G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAARWIYMALMMWAHDQKGASNSGEDLARQKGRVGGGSRMGEARNRNRGKAEEIAVGGWGRRTAARCVGNIGGGRDASAALGAIGVGGGGCEDVVGGGRWRGRRKERWTATAIQGAQQRGTDCDDSGGERCGAESEGTAAVTGKGNGRQDGRHPIWRNRR >OGLUM08G11500.1 pep chromosome:ALNU02000000:8:12432722:12439553:1 gene:OGLUM08G11500 transcript:OGLUM08G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLHFVPFAGDLHPSTYTKPYIVYLGSHPYGPDASAEEHARATQSHHDLLGSVLGSKQLAKDAILYSYTKNINGFAAHLEEEVATQIARHPDVVTVMASTMLKLHTTRSWDFMDMERDGQILPDSIWKHGRFGQDVIIANLDSGVWPESNSFTDEEVVGEVPKRWKGSCSDTAKYGVSCNKKLIGARYFNKDMLLSNPGAVDGNWSRDTEGHGTHTLSTAGGRFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECAAADVLAGFEAAIHDGADVISVSFGQDAPVATVASFLQEPVTLGSLHAAMNGVSVVCSAGNSGPLEDTVVNAAPWVTTVAASTVDRDFPNVVTLGNNAHMTGMSLETTTLHSTQLYSMIKASDAALASSDPAVASTCPPGTLDPEKVKNKIVVCVRGGDIPRVTKGMTVLNAGGTGMILANGEMDGDDIVADPHVLPATMITYSEAMSLYKYMDSSKNPVANISPSKTEVGVKNSPSVAAFSSRGPSGTLPCVLKPDIAAPGVDILAAFTEYVSPTEVPNDERRSEYAILSGTSMACPHISGVIGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGREATAFAFGAGNIHPNRAVDPGLVYDLSKEDYFVFLCSMGFNSSDLAKLSAGNFSCPEKVPPMEDLNYPSIVVPALRHTSTVARRLKCVGRPATYRATWRAPYGVNMTVEPAALEFGKDGEVKEFKVTFKSEKDKLGKGYVFGRLVWSDGTHHVRSPVVVNALD >OGLUM08G11510.1 pep chromosome:ALNU02000000:8:12440223:12440766:-1 gene:OGLUM08G11510 transcript:OGLUM08G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYAARWIYMALMMWAHDQKGASNSGEDLARQKGRVGGGSRMGEARNRNRGKAEEIAVGGWGRRTAARCVGNIGGGRDASAALGAIGVGGGGCEDVVGGGRWRGRRKERWTATAIQGAQQRGTDCDDSGGERCGAESEGTAAVTGKGNGRQDGRHPIWRNRR >OGLUM08G11520.1 pep chromosome:ALNU02000000:8:12441326:12441845:1 gene:OGLUM08G11520 transcript:OGLUM08G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWRLCMLEGGFFVQGTFTLLLILSFVRQKDFFANADLIRPAKTDAHAALGGCRHHPLLDFLRYCLVVLPSALRPNLLGLNVRSFLLLLGFGLVILFVIFSSVYL >OGLUM08G11530.1 pep chromosome:ALNU02000000:8:12465094:12470227:1 gene:OGLUM08G11530 transcript:OGLUM08G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AU02] MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQVCALSSFARQLFLEFLLRKAGEYKNRVLSEATAADSARSEDDDLIEQVTSKEGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSIIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >OGLUM08G11530.2 pep chromosome:ALNU02000000:8:12465094:12470227:1 gene:OGLUM08G11530 transcript:OGLUM08G11530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AU02] MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQGEYKNRVLSEATAADSARSEDDDLIEQVTSKEGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKVLGDASKDSDLPKNANEKVKTLEQTLIKGKLMQDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSIIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >OGLUM08G11530.3 pep chromosome:ALNU02000000:8:12465094:12470227:1 gene:OGLUM08G11530 transcript:OGLUM08G11530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AU02] MVRRRRRSVLLLLLALTVLSPLVLYTRRLSAALNPNQRRDLPGEIVNQGRGVKASKLNALPLETVGSLKEPVGIVFSEESRESASKSTEPDSQGEYKNRVLSEATAADSARSEDDDLIEQVTSKEGEDDGLATVSVDQQQITTASQQRSASEASSLENVPEQTSMENSLEGNKDGALLDTRIRNIRDLLIKAKVYLGLGAIRANPQYLKDLRQRIREVQKDDCSVVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFLLDPSHQQFPNKEKLDDPKLYHYALFSDNILAAAVVVNSTVLNAKHPSHHVFHIVTDRLNYAPMRMWFLSNPPGKATIEVRNIEEFTWLNASYSPVLKQLESQSIIDYYFRTHRANSDSNLKYRNPKYLSILNHLRFYLPEIYPNLHKIVFLDDDVVIKKDLTSLWSIDMKGKVIGVVETCGESFHRFDRYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWRRQNITEIYHSWQKLNQDRLLWKLGTLPPGLITFWNKTLPLNRSWHVLGLGYNPHVSSRDIERAAVIHYNGNMKPWLEIGLPKFRNYWSAYLDYDQPFLRECNINP >OGLUM08G11540.1 pep chromosome:ALNU02000000:8:12471754:12473439:-1 gene:OGLUM08G11540 transcript:OGLUM08G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLLVVVVVVAGHCAAVASAAGNSSVVGYHGDPTFNVRNYGAKGNGQTDDSKVAEEQSIDQASSLVELSPSFLANCEVFVRDMQALMTAWKAACAATGAVTLVLPPGTYYIGPVQFHGPCSKATTMTFLMQASILYTNQPAGSLGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTLIKPTLTMASMQSVLFVNNNNMVVQNVASVNSKFFHIALLQCSGAKISGVKISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDVQNPIIIDQKYCPYYNCEHKFVSGVTIKDVQFKNIKGTATTQVAVLLKCGVPCQGVVLQDVDLRYKGKGVSSSKCENVRAKYAGFQNPKPCP >OGLUM08G11550.1 pep chromosome:ALNU02000000:8:12474278:12477869:1 gene:OGLUM08G11550 transcript:OGLUM08G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQHSGVTLTSRLERPLGCGRQGGGIIGRRRHGARLHLEAVGLGIIDLGGEGGGVAKALGGLGGGRGARRWSRVARRLAAMSSSTSFSRSRRAAEATRTSAWHCRFCTYFSPARIHSHSIHTTASIFALCGHNSDSSASPTAIAEATNHPLIDVIADSTNRLIGAATTTNCIIPGIGSSAADATNPTAVVA >OGLUM08G11560.1 pep chromosome:ALNU02000000:8:12481507:12486274:1 gene:OGLUM08G11560 transcript:OGLUM08G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTQGRMKSPPTQQKGPKKTLPSSNKQAATLPLVSQRLDSARPANSAESPTRTPLLSSSLPRSHRKPPLLLPLPLAFSASTATSSRAELPDPSRTMGASAATGMQMVAARPCISASQGMLTSRAAVSRIGRALSTTTGFATCPRICYSSPLGSSKRSGVAIRAMSSESGPQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEIVKVYPLDAVYDSPEDVPEDVKGNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAALSASSYSFVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTKVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTISS >OGLUM08G11570.1 pep chromosome:ALNU02000000:8:12492660:12493112:1 gene:OGLUM08G11570 transcript:OGLUM08G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRMGGEIVVECAAAAAAADGEDLGSMGGWGRPRLRFSAWRRKKATTSRVAVHCGGGGGGWGRRRLLLRPAAADGEDHGSGGGWEDLASVQCAAGAVECTAAAAEWDDDARRRSESRVKRVMRRILCAETARGEGEIMRRRWVDGTDYT >OGLUM08G11580.1 pep chromosome:ALNU02000000:8:12526248:12526700:1 gene:OGLUM08G11580 transcript:OGLUM08G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGKNAMQSTKEAAANVGASARAGMDKSRAAVQGQVEKATARNAADKDAAEVRRQERVQAAEEEKQHAMAANAAAKERATGGAGAYHPSQGAPGVDPRAAQPTGGHVQDGVAESRPVGTATGTARPSAAHNPHVGSDFSQAYGTGGQYQ >OGLUM08G11590.1 pep chromosome:ALNU02000000:8:12530239:12531582:1 gene:OGLUM08G11590 transcript:OGLUM08G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKMAGLTPGFKFEPSDEQLVQFFLLPYLRELPVPLGGLVIRDDPRSVPPWKLFARNGRGDEEDAYFLAPADGEGRQARTCDGGRGRWITQRLERTGNLRLAGGGGSGEAVVFEKHRLNYHAGEGRCGSTGWVMHEYAVVKPAALGARHRACHIAFTGHGQKRKRVPDGYVDVEDDGSKARTNAAAAVPPSSTAAMSACPSNVTYNQGCHISPEQSIEQHFPAEHNNIQIQQQAYYQSQDHEQCQYSDEEKYLLQQIKQEQYYYNQQNCFLPGQGNQELYYNDEQQQIFSLPEHQCSQEQYCHHDDQQDCVLPEQHSQELHGYNNEEQGYLLPPEPIDQEEQALFVGGEPQHEQQPMTSTPRQALLDYDDGKLLPPVGVNGAIAIPPQDAAVASNDDDGGQATEAPAAKMTAEEKKWFMEELLTEGCWSGPLLFDQPYYGSALKN >OGLUM08G11600.1 pep chromosome:ALNU02000000:8:12535666:12542044:1 gene:OGLUM08G11600 transcript:OGLUM08G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNPVDSSGTDDDLPPTYQNRGVRGSGRVSGNGRDIVSAVPYNRTKPQTDMETQIHQLEQDAYCSVLRAFKAQSDAISWEKEGLITELRKELRVSDKEHRELLNRVNGDDIIQRIREWRETKGGLQADMVNNAQRSHDRMPSPTTSARKRQKTSQSIPSASVPVPSPAVHSQTLTAPMQPLSSATKKVAPPGTKGKKTKPGQKIPGGSAVKTMSSAGPSGRGPIMNKNLSGGLPTEPISVNPLIGRKVMTRWPDDNSFYEAVITDYDPQLDRYALVYDINTADETWEWVDFKEMAPEDIRWEGGDPGIIQQGRGATVHGGKKSSSRNGPMSGPGRGSRGPQKNTSRKEFPPSQNGVGKKSSDCIEILHTETLIKEVERVFSASNPDPLEMEKAKKVLKEHEQSLIDAIARLAEASDGESEERAQPLQHNRGWRNHHGGNYANDMTIDGHMVGDADAL >OGLUM08G11610.1 pep chromosome:ALNU02000000:8:12543254:12545446:-1 gene:OGLUM08G11610 transcript:OGLUM08G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRPPHVLYHHCCHASTAARNVDGEDGVGGEDKVELRVPHLEEVLGVELDELAAWLVEDALDKAPVLAEHLDHKATAWKSQKMCAWRWDTFIPPPRMPRSTVAVDVVHCHGRGGGAADAGMVPQPEPAMASPSASGTCHGSGSMGSGALAAASMGRRGRGRPLLRLARSTAMLHVFPDSRVPPTTCASSMPSRRSKLLVFVRVLGCYTADSNVYDLQ >OGLUM08G11620.1 pep chromosome:ALNU02000000:8:12547468:12549250:-1 gene:OGLUM08G11620 transcript:OGLUM08G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPAHRIKRRRLTPPGAEEPILSPVQPPAVPSLAAAAAGGDGYPPWVILQPDGEREGEDEDDEDHPCLTPDAETATASHTSTGHPITVAFSLAAPPAPSRMWFRFSYDADSETRCNCSVIAAHGDSVLINIFYFSNASVHNHEDRFVYRASAAASDRSWARPPSLSMLPPPPVEYTPFQDATGILRRGEDDLVVAELTVEGKLRHDTLLGVVAKLLVFRSGEWGVKRAPINHGSGSGSSSSRGHDLPAPWPWETDMVVPVGDRLLCYVDLHHTTASSSSPTSPAERFHDDEDDPRGYPNVSRTVGATGDSGGGGGGALKFVDISPRCCCGSLSKGQTSCDRSSQAFVIRTWTLRIGDDVNGDDMAWEMDAMVDASELWSLDAYAGLPFVRPEYPVVNMDDPHLIRLAVTGARQEEGRTYSDETDENYSSLIMVDTRRKTMPSVPPFLHLPFNGETLVSRFSSYFNSNHSSNNGGGGGGGALPSKIHVNIEPPPPPAAVATGEPRTSDTAEPKIVLVLERFSWQLKGYSIQDMAGGDDMLKAYTILSQDNGRCFRSLLGLPMSFNFELGLAVDDDQE >OGLUM08G11630.1 pep chromosome:ALNU02000000:8:12552678:12560144:-1 gene:OGLUM08G11630 transcript:OGLUM08G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRLSELPDDLLIRILSFVPVEAGSTTLLSRRWRNPLWLETGTFNVDLTSEQFSNHAHNCLAMWRDEGDAREALRRDRRPRRLKKLSVTVTASRDDDNYYSDFSEYLNPFVFHGKCVRMFRNIEELRLECQIDAAGAGSSSSSSSSPPMYTYAMPGLEYCLRLEDLPCEDFRVLVLTGFNLEEEIAECLWDWIAYPCLTTLRLRRCTVPLDELQRLITAAPALADVRLESVTFPDQGSVDGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALICFRYAQISSYERSISLKPPAPCLEKANLESISGTEIFSSLLHDMCHIKVLKLTVYSIVGDIRFGHLPLFPNLNHLVIDELCGFAMGNGSAAAAATAIRELLQRCPAIRELRIRFSWSKYLLESPDLTGYLESMACRCDESDYCDRWEVSAADRGRQELDDSWKNSLRKVAVQFQKGELTRTREEFNIDGENKDVTDEINTKIATWRASSSSSRYCAEHPSRPSAAATASAPAGDSLLVQWVAPCLPCGAAVREVGRLARESDRDWRCLPSSGAAPTLAAAVASFAAASDSSALSVLLNDVLVARVLVMPLDEEAIVAIGSSTASVALLANVAKHGDLQRRLQAVVVIWEIEAASTTLLSRRWRNPLWLETGTFHFHVDLTKCPAMWRDEGDVREALRCDRRRRLKKLAITVRANRDDDGYCFRAHFSGYLNPVTFHGKCAGMFRDVEELRLECQIDAAGAGPLSSSSPPRYTYAMPGPEYNLRLHHLPCEDFRVLHLTGCSLKEEIHLCLRNRIAYPCLTTLRLRRCTVPLGELQRLITAAPALADVCLESVTFPDQGSVGGMTTDKRVRLHCPAVTAFAMVNCHMFCRSFELDAPALICFRYAQISSYEQSISLKPPAPCLEKANLESISGTEIFRSLLHDMCHVKVLKLTVYSIVGDIKFERLPCFPNLKHLVIEELCGFAMGNGSAAAAATAIGELLQRCPVIRELWIRFSWSKYLLESPDLAGYLESMACRFDESDYCDRCAVSAADRGRQELNDSWKNSLRKVTVQFQKGKLTCSQVELVMFLVENAAVLEEFDIDGESQDVTDQINTKIATWRARSSSSREKEAHPAGVGAERPTRRPPPQHLHETRYLYNGWHPAFRR >OGLUM08G11640.1 pep chromosome:ALNU02000000:8:12555151:12555603:1 gene:OGLUM08G11640 transcript:OGLUM08G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASPLREQHEDNATISQMTTTAWSLLCRSPCLATLASSATDAVDEPMATMASSSSGMTSTRATRTSLSRTDNADESLAAANDATAAASVGAAPDDGRHRQSLSDSRARRPTSRTAAPHLAASPARQQGLAPKLNQYTMQQTQYSEVF >OGLUM08G11650.1 pep chromosome:ALNU02000000:8:12579917:12586289:-1 gene:OGLUM08G11650 transcript:OGLUM08G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGCACLGDEGGCGDGVARTWAMAQLRVSWRESGRAVSGAAWRGAGPGTTRHGRDDGRGRGSGASTAQYNTMRFRPMAHSSGFSED >OGLUM08G11660.1 pep chromosome:ALNU02000000:8:12586599:12586835:-1 gene:OGLUM08G11660 transcript:OGLUM08G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFYPEMERRRRAATRGAVQTKQTRLAVGDARKCSTHAVFGFPNGNGGSTTPPPLPPTSRSGRGVPQYGLW >OGLUM08G11670.1 pep chromosome:ALNU02000000:8:12587993:12593981:1 gene:OGLUM08G11670 transcript:OGLUM08G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPRCRQVRRGPVPARRRQVKGRWRCSFVGEAASVGVGILTSGKPTAVAAAALSLAESHKEAGGGVKATDANQWRYG >OGLUM08G11680.1 pep chromosome:ALNU02000000:8:12594390:12612075:-1 gene:OGLUM08G11680 transcript:OGLUM08G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTASRDRLSELPDDLLIRRQQHTPSRRWRLQPLWLETGTVNIDLTSDEFLDRTFHRGWGEAGPSTWEDEGDARAALRRRRHGLKKLTVTVTADRDHDDGYVFGCVRRDFSRYLNPDQFRGTCVGLLRHVEELRLECQVASGSLPSPPRYKYAADPGVEYDLYLGMLPCEDFRVLDIAGCCLKVTTTEWLWDWIAYPCLTTLRLRRCTVRLCDLQKVILAAPRLAELRLESVTFSDRPPLSGFIDSGFIFDEHIHLHCPAVTSFTMVNCHIDGS >OGLUM08G11690.1 pep chromosome:ALNU02000000:8:12613022:12613487:1 gene:OGLUM08G11690 transcript:OGLUM08G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFAISAGCHWKFGKLDPSHYIVFSIHSPVHRTSFADALPFPCVDAATAAAALLDGDVQQQGRVLLLGELVSAVDVYHRGAAVVSRVVETSMSSSSPSMCAFFSNEATILIIPACVSNLAFCLHATARCLISCLACRRDFKEWAQL >OGLUM08G11700.1 pep chromosome:ALNU02000000:8:12613551:12613913:1 gene:OGLUM08G11700 transcript:OGLUM08G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGRAEAVCTICLSELAVDGKRVRMLPACRHSFHGAYVDGTYQEEEAKRKYDEIVRRKRDRNSAVAKTLLVPELGNGTVAVPELGNGTVAVAPEGCLRAPTPPNRGRVLELGNGTELGN >OGLUM08G11710.1 pep chromosome:ALNU02000000:8:12617019:12617981:-1 gene:OGLUM08G11710 transcript:OGLUM08G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNCHIDGRTFELDAPSLICFRCAQVPSLYFSVSLKSAAPCLAQVDLGSISGTATLGPLLTTMCHISILKLTVYSIVGDIKFGYFPLFPNLKHLVIEELCGFAMDGGLSAAATAVGDMLCRCPEIRELRIRFSWLEYLNESADDHLGADLTAYLKSSACGLQESDYCKVSESDTPATGSTQNFCSSWQNSLRKVVIQFQKGKLTCSQVQLVKFLAEKAAVLEEFDIEGGNQDGTDHINSKIATWRTHSAGACAGEVVIASAAVLPPPAEDTRWDRAWYKYNCDFPVLGKGPPWIWDGTGYKLHFPILPRRQHRPTSDRGY >OGLUM08G11720.1 pep chromosome:ALNU02000000:8:12643507:12644623:1 gene:OGLUM08G11720 transcript:OGLUM08G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQISIYQLPFSCGRRHDGFLRRCQTLQEKKHFLPRTSRAALESSDQSAAICTPWIPDEQSGLRCGYNHHLDSLGDIRTTGI >OGLUM08G11730.1 pep chromosome:ALNU02000000:8:12645829:12647448:1 gene:OGLUM08G11730 transcript:OGLUM08G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAADRLSALPDDVLLRVLSFVPPWDGAATAVLSRRDGDGGALTLDTTTYDDTYGSVFRRRKRKAFFLDADEALEEFVRPQRRRLGSLRALTVLANATSYDDCERFLWGRSPGKVHAKIGAVVAHPAAERLQELRVVCRIRRERGSAGGGDTPRLPGSGFYELQLAALPCARTLRFLDLTDYRVGAPPPSAAAIFPCLSAVRLRRYALSPVLAHLWLEKIFFKLTYPAYFGGGERSVFELALRHRLHLRCPTLTSLTLLVGELRRDHDADDDACVRLDAPRLRSFHYDGSLEMLSLKSPMPHIKWVDLELCCGGQSASSPLCRFISRFRHASTLKLNLYFCDIGRLVDADRKLALFPNLELLELRSKYTYQSEDSTAAMANLLSCCPALRELRLDLSMVYGHHHYHLRHEMNLQNGRDEFKNSMDKFNRASSLIASMEDCSGVPDLAGFYERDFRFLRTSLRKVEMRFKMERMDCLEVQLAKFLVENARVLEEIHIDDGNQDYCSHLNHKVKKWRDDAVLRGGRLAGKSKT >OGLUM08G11740.1 pep chromosome:ALNU02000000:8:12655530:12660062:1 gene:OGLUM08G11740 transcript:OGLUM08G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSDHLSGLPDDVLRHIISLLSAKEGGTTAVLSRRWRPLWRQAGTVNLDTEPYLDPAAYRGNNFPEHRRSAFVDHALAALAACESPRILSLRLASEEIEGGAAEEKCAGVVDAVLDAPAAARVEELRVRCDVSWFCRYGSCESGSSGGTWRLRLGSLPCAAATLRVLHANDVGVERLGDGGGVGVVLPLLEEMRLVEATVSPDTLQRVIDAAPRLANLWLDGIIFTSNDGSRRLYLADGFCLQLRGPALTELALIEYYSRDRGIELDAPRLRSFVCQGSFPGHYSLTSPALDLASADLHFHDHRSYGDKDPNNLTVPLWSCLRHLHGVRVLKLQLDFYAEYIAMDADAGDGGGVVPATFPNLEYLELDAHCKDDHDMATELTVASVLRWCPAIRDLRLRLSVADAEGRVNVYNSKRHMIHQARLMRNSFEQDVQTKIDVDVTNITTSSKKVKKEVHPSSQYIHEHNALNKGDIWKARNDLKFQGLVKEPTQVCFAAEAMVRTYTIYSAYNILQDELQE >OGLUM08G11750.1 pep chromosome:ALNU02000000:8:12662541:12662815:-1 gene:OGLUM08G11750 transcript:OGLUM08G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSTSVQLLLVLIVLLAFVGGILGGGGPSSCSNNPAVQHSCPPIPGRGH >OGLUM08G11760.1 pep chromosome:ALNU02000000:8:12663434:12665166:1 gene:OGLUM08G11760 transcript:OGLUM08G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPLPIRDRATRFMLQDPPLVEFPRRSTRRRRWPRQGSRCQARAGIHHLRRSDHIAGTRRQRDCRHHHNHHQGPQRRQRLHRMRPLVLHDYFGNLVLWLFPRCDVGELVTRSTHDTTEPIHRAVAGIDDAYFRSFVDFTSSRAVEADGLIPIADTTEVVVRPITVHMQGSRGEISGSYAGIPMYEVVVK >OGLUM08G11770.1 pep chromosome:ALNU02000000:8:12685127:12685415:-1 gene:OGLUM08G11770 transcript:OGLUM08G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNYSTSVQLLVVLLVILVSVGGILGRSGPSTCANNPAFQQSCPPIPGRGH >OGLUM08G11780.1 pep chromosome:ALNU02000000:8:12702903:12706631:1 gene:OGLUM08G11780 transcript:OGLUM08G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDRQRRPVPPLGTLLSSWLLILVVHSCLSSFAVHPAAAAASSSSPSNTDFQTLLCLKLHLSNDPGGFLGSWKQNDSIGFCRWPGVTCSKTNTSRVVALDLGSSGLNGQIPPCITNLTLLARIHFPDNQLSGQIPPELGQLSRLGYLNLSSNSLSGSIPNTLSSTYLEVIDLESNKLTGGIPGELGMLRNLSVLNLAGNSLTGNIPISLGSSTSLVSVVLANNTLTGPIPSVLANCSSLQVLNLVSNNLGGGIPPALFNSTSLRRLNLGWNNFTGSIPDVSNVDSPLQYLTLSVNGLTGTIPSSLGNFSSLRLLYLAANHFQGSIPVSISKLPNLQELDISYNYLPGTVPPSIFNISSLTYLSLAVNDFTNTLPFGIGYTLPNIQTLILQQGNFQGKIPASLANATNLESINLGANAFNGIIPSFGSLYKLKQLILASNQLEAGDWSFMSSLANCTQLEVLSLATNKLQGSLPSSIGSLANTLGALWLHANEISGSIPPETGSLTNLVWLRMEQNYIVGNVPGTIGNLANLNSLDLSRNKLSGQIPHSIGKLGQLNELFLQDNNFSGPIPSALGDCKKLVNLNLSCNTLNGSIPKELFSLYSLTTGLDLSHNQLSAQIPQEVGSLINIGLLNFSNNHISGKIPTTLGACVRLESLHLEGNFLDGTIPDSFVNLKGISEIDLSRNNLSGEIPNFFQSFNSLKLLNLSFNNLEGQMPEGGIFQNSSEVFVQGNIMLCSSSPMLQLPLCLASSRHRHTSRNLKIIGISVALVLVSLSCVAFIILKRSKRSKQSDRHSFTEMKNFSYADLVKATNGFSSDNLLGSGTYGSVYKGILDSEANGIVAIKVFNLDELGAPKSFVAECEAFRNTRHRNLVRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMREPLSLDSRVTIAVDIAAALDYLHNRCMPPIVHCDLKPSNVLLDNAMGARLSDFGLAKFLPTHNSTSITSSTSLGGPRGSIGYIAPEYGFGSKISTEGDVYSYGIIILEMVTGKRPTDELFNNGLSIHKFVRNAFPQKIGEILDPNIVQNFGDEGVDHEKHATVGMMSCILQLVKLGLSCSMETPNDRPTMLNVYAEVSAIKRAFSALCVEK >OGLUM08G11790.1 pep chromosome:ALNU02000000:8:12712646:12714196:-1 gene:OGLUM08G11790 transcript:OGLUM08G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDRISELSDDLLLHILSSLHTKDAAATTVLSRRWRPLWRRTCVLNLYSEPFLPTHNAFFRFADGALAAVLRRGDDPALKKLSLAVDSAAARPVLSNFDSRIGAILSHRAAAGLQDLRVDCLPVAGAGDAGDTIGMYKLRLASLPCAATLRVLHLACCCCYSSPPSVVAAFPSLTDLAMTRCMLSLSKGGHLLQTIVDAAPRLAMLRLDRVHLLISAAKTKEETAVLRLRCPTVTTLVLVAVTSRIEALQLDAPSLVSFSYGGHPMAISLAPPPANLAVVDVDISRPSFFTGKYEPVCRVLCSLGGGDTTTMRAMTLRVYCVDDILDDGGGGALPVFPNLAFLHLEAQYLHSRYQTPISLSAMAKLLQSCPAVSELRLRLTTKDDSHSHPVSEEQRFNRRISGNSIGRRIESSSSSSSKDEGDHELKRQRVSEPAIECLEKTVRKVTMEFTAKEMDSFPVHLTKFLVENAMVLEELHVDDTAQFFLDQKVEKWRADSFQRRNLPIVGRFEVKPM >OGLUM08G11800.1 pep chromosome:ALNU02000000:8:12719009:12724570:-1 gene:OGLUM08G11800 transcript:OGLUM08G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTSLLAPLELSADLTPPLPSARHFASRICDYNQKRKEQSQLQALMVNASSLKVLQHLQPSPFSEKLVGILREEFVKTLFVRRDIRRLRESLKYFDSVREDADALALENRVTGTWWSDVKDVMYDVDDIVDFLRAHSYKQRCCDRVLFSRLAQLPLDYRIARRIKYVNERLVQITMNSKMFVPLAMRSPQTLQRNGVSRYVAALVDELDVVGREIKETTDDMVQMIIGCGHQSTISVYGILGMGGIGKTTLAQKIYNDRRIRERFHHVLIWLSISESISETDLLKEAIEKAGGQSYQGKSKDQLLQALLNCITGQSIFLVLDNMTSSHIWIDLLRSPIERCADAHVLVTTRSRDVLSQMNAIHVHEVHKLKNMMAWNFFRSFRTEDEINVFGDIGSQIVKKCDGLPLAIKAVAGVLSSKATKEEWARVLESRWCYEGLPEEIQGPLYLSYNDLSPQLKSCFLWCALMPQNFHIHRDVTYFWIAEGFVKKEGSRPIQEVAEDYYHELIMRNLLQARPEYIDKGISTMHDLLRLLGQYLTRDEAVFMDEDETPPNVRRLAVGNAVEEIPGIQDQKNLRCLLVYHHDACRSVKRDIYRKLEHLRILILVGAGLQSIPESVGHLVLLRLLDVSCNEEIKQLPGSIGNLTCLEYLSVSGCEKLASLPASLMTLSTISFLNIVFQDGTGGFRLDELDSLSKIRRLLLIKLEKASPPASPVLCNKRHLKELGLTCTMGEEADCRTSYEDSEVKNIEEIYNKLCPSRNLQYIFIDGFPGDAFPKWLSSEPQDTLPNLAHLHFNH >OGLUM08G11810.1 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11810.2 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11810.3 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11810.4 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQTVSCSKCRYQYELFSGDIMSIESEEVSMDISALDKALRFINVIKDGLPAAVHSIVIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKELNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11810.5 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKEVWMLARMSQLENKILAVGEPSYRARRGRVKRVLESLESTLLAKIELMESYAKVIEQILYMLNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11810.6 pep chromosome:ALNU02000000:8:12728985:12735691:-1 gene:OGLUM08G11810 transcript:OGLUM08G11810.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G64430) TAIR;Acc:AT1G64430] MALALLSPSSASAHRLPRPISSPPLGRAASATSIGSSFFPLCSSPPSSGSCSLRRASSAGGASEPEASGATSLDADLLRRVAGAADAGEALDIVTESAGGTGGLDASDCNAIVAAALDRGNVELALSVFEAMRSGFARAGAWRWARPDVRTYALLVQRLAAALRVSDALRIIDYVSRAGISSAEEVPFGMIIRCPSCMVAVAVAQPQHGTQIRTPSGTARTHRFAMQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFRPGEPMSLTNHINRQVSRLLRAPSKNEGPFVFNPYLLVGALALLASGDAASAFIDPSLPRFITATAFASAAVGTALNQVILPEIRKLPQKTVDIIAVRQQLLSQYDMLQTRLKDLKQLSEKELNSEMLDMPLQLCSMIEIEVEMDSDVIVAEAASSAQWRIQAEANDEAERLLNSDSSETFSAEHIFCGTKMIDVSINLLLSTVY >OGLUM08G11820.1 pep chromosome:ALNU02000000:8:12741601:12757490:1 gene:OGLUM08G11820 transcript:OGLUM08G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELGQQTVELGAVVRRAAEDSYLALRELVEKSRVEAEGKNGQQRSDTEKKIDLLKFIDRTRQRMLRLHVLAKWCQQVPLVHYCQQLASTLSSHETCFTQTADSLFFMHEGLQQARAPIFDVPSAIEVLHTGSYRRVPKCVEEIGTQNTLFQDEQKPTLKKLSTLVRAKLLEISLPKEISEVSVTDGIANVQVDGEFKVLITLGYRGHFSLWRILHMELLVGEKAGPIKLEETRRYALGDDIERRMAVADNPFMILYTILHELCISLVMDTVIRQTNVLRQGRWKDAIKSELISDIGTGQGGSNAVMQLGQDGELDSSGSRIPGLKINYWLEGKNNASAESDSSPYIKIEAEQDMQIKCQHSSFVLDPFTDKEADLSIDLSCIDVEALILKAIACNRHTRLLEIQRELMKNVQMSQSPTEVILKREVHGEGFQKRVHRRDSNDCCTNEMLQVRAYGQSYIDLGINISNTLVKVPNILHYESIVILVYSQSQVTLKIPKSILCGSEFMVMGFPQCANAYYLLMQLDKSFKPVFCLLEIQSNEGDNNNADATTDAKEAIRFIRIDINKLKIDEDVQIGNFFDKDKLLALQNVEDRPQRQSGADEPLPARPSFFSVVDEVFRCDRGSPITESQRLPPNSLPSSHSSYQVGLHGFSGGAGSPDQDYGSLQSNINAAKVTSGTGLNNYLLSNSKNAQSTSAFSGSVPAGLGNVSSSRSEGAYKKRSLSEFLQNIPSSKQSIIGDGPGKRRKLSEFMLDGLPLKANSPNMQPGTSLTYGKLLEEVNNCITANIYSSVLLHVIKHCSICIKYAQLTAQMDSLNIPHVEEVGMPTSSSNLWLRLPFAHDASWKHICLHLGKAGSMSWDVRINDPHYGALWKLHGGSTTTEWGSGVRVANTSEVDSHISFDDDGVLLTYNTVEADSIQRLVSDLQRLSHARAFSCGMRRLIGVTLDGKLAEHQTAAETKLHSRRKASRDRLSEQMRKTFRIEAVGLMSLWFSYGAVPMVYFVVEWETGKSGCTMRVSPDQLWPHTKFLEDFVNGDEIASFLDCIRLTAGPLLALGGAIRPAKMPVTVPAGYSALPKQNNILTTAGSANSLSSSTVHNMSVPQGAAVAHSNSQLQTSSMLSVAGRTAPGLVPSSLLPFDVSVVLRGPYWIRIIYRNKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNLLNARHTGPPLNASANTVSGNQQLASAPNRFGGAPGVARPTSTVANQVASSLSRAGNAMMPSGFASGIAGAPAHLSPGNVPAHMKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPDLLKEILGSVLKDNEGALLNLDHEQPALRFFVGGYVFAVSVHRVQLLLQVLNVKRFHHQQQQQQQTPQNNGQEELTVPEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFIKLIAWKKSLPQAHADNATTHRARIEICLEKHPRLVSDDYTASSSSSKSNIHHDRANNSVDFALTFVLDQALVPHMSISGGAAWLPYCVSVRVRYTFGEDSHIAFLAMDGSHSGRACWLQNEDWERCKQRVSRAVETMNGSAAVGDMSQGRLRMVAEMVQKQLQLSLLQLRDSPVSTGSAAS >OGLUM08G11830.1 pep chromosome:ALNU02000000:8:12758255:12764130:-1 gene:OGLUM08G11830 transcript:OGLUM08G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSQTPAKPKASPVRSRPQLPASAAAAAAAAVEPPLQLQQLHTTPPPPPPPLMPAGGEVTGGSKAAKKRGMQKLLKSAFKRGDHHAPAGASSGGGEQSGDDEAAAAAAQDLSRSSSSSTGGSSGRKGRKGDSSVEGDLSSRDSLELQESKNVKGAAAALRNAKLSHSYEAFPWERKMRDLLQVAGASGFLSLLLLPRATDETQTKFHSLEDTLARAESWLMSSQMSGVPIVPMNVQTEALLTKICGDVASSTVNMNSLGDLANMATVSLYGFEDYHGVDIGVVRAIRLWYAPFAGEMALEIKLQPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELYRRARRASKLLVVSRVGDDKVLPWATSTAGDIRCFDTVSLSQRLSLHRHALRPVTLHFLMWERLPPAAVIRGGAAARPTVQMIVQGDEEGGGDAADESTDEVAFDGDGPEIVLSGKDDSDDRSFRFQNIGLPDSWL >OGLUM08G11840.1 pep chromosome:ALNU02000000:8:12764525:12765177:1 gene:OGLUM08G11840 transcript:OGLUM08G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSERLSRHVVRLTKSPQAPRCRRISSLLTPHRRWRLYDCEDGGAWPHFDSSRFQRLDLEGGRSGGVDNYNICRPTRLVVGRIYGGLRHRGWVGEEMWLRCRPIALMATTLLSFVLGHQR >OGLUM08G11850.1 pep chromosome:ALNU02000000:8:12765205:12765529:1 gene:OGLUM08G11850 transcript:OGLUM08G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKPHGWPCWGWDGAEMWLWCQPKNSGHCHIGWPVGVLVKGGYHEKVGCHELVYRKEIYLGGGLPSKELAGVIGPYASGDWFELKRELPAKA >OGLUM08G11860.1 pep chromosome:ALNU02000000:8:12774365:12775022:1 gene:OGLUM08G11860 transcript:OGLUM08G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLGGWKGDARWTLRAGAGPSSPRRHRPYTPAPASPPPLPCSTVSSVARSTSALALAARHPRSCSSAAGRPFCLLLIRLPPRELPLHRRLPAPPTPPPPPQPPAPPKASTSASAARRPGSSASAVGHRPPPLRLRRRPSAARFASASAAHCPGSSASTAGRTLPGPSACMREMEWDERLG >OGLUM08G11870.1 pep chromosome:ALNU02000000:8:12792320:12792508:-1 gene:OGLUM08G11870 transcript:OGLUM08G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRPRLRRRHCFGPHYRTLGSTLTSKEMFTWANSNNHRLLHIGYIDRTSR >OGLUM08G11880.1 pep chromosome:ALNU02000000:8:12794512:12794727:1 gene:OGLUM08G11880 transcript:OGLUM08G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDAHRRWHVSFLPSTVLGYSGEPRLLDSYYRYVTHGIYAFSARLTFAEIEDLAKKPGVLGSWARGVALQ >OGLUM08G11890.1 pep chromosome:ALNU02000000:8:12796756:12797436:1 gene:OGLUM08G11890 transcript:OGLUM08G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFSLFVSSTSQDHHQPRVPMILLAVKHIAMYLEARRILGWPAPTQAQPMLRRQHSAQNECRREGVDRLEHKPPECVKGKENARPQRLLRVRIRIRREGVLRMWG >OGLUM08G11900.1 pep chromosome:ALNU02000000:8:12798094:12800056:-1 gene:OGLUM08G11900 transcript:OGLUM08G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRSEGDDVDVVMDGLVHGVDYCVVRAGTKRISQVLNLLFHSGTRNFQAQHCPCSLLGTKGPALHAVSLEAPRFLSSRAGVRDKVEARRISRAEEIHEPLVQGVGEEVPLSHSDLNRNAEWPCKLL >OGLUM08G11910.1 pep chromosome:ALNU02000000:8:12799550:12799772:1 gene:OGLUM08G11910 transcript:OGLUM08G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACATSGRHALQLFLGLPDTGAGRVSTWKDSDYGSKAIISLASSTGFYCNRKLIGAKNLIRPD >OGLUM08G11920.1 pep chromosome:ALNU02000000:8:12799779:12810238:1 gene:OGLUM08G11920 transcript:OGLUM08G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASGHGTHMASIAAGSFVNNVSVNGGLANGTASGMAPWAHVAIYKVCDYRACSDDAVIHAMDEAVHDDVDVISFSISQEVKTTYDRDVVAIAAYSAMEKGIPFVVCAGNQGPGASTVENDVPWMFTVGAGTVDRSMEAKLQSTTGGNPILGQYMTNRKRTQVAAGWYPVLYGEDGECRYCLSRKWKSRRFFATRWGGNPIAEAVTKYKAAAAVSIQQPGYTFDLYDYGPARPIVQVSYDERERLKGYASSPAVMASLELGDTVLGSSIPAPIVAGFSGRGPSKRSPGILKLDIMAPGVNIFAGVPDAHPYTPFDFMSGTSMATPHVSGLVALFRTLQPHWSPAAIRSAFVTTADVDT >OGLUM08G11930.1 pep chromosome:ALNU02000000:8:12816830:12822608:-1 gene:OGLUM08G11930 transcript:OGLUM08G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVLLHLFLCCTTLRAAAALSFDYDFAAVGRDVAAANLVFMGNASYAGDRINLTRLGTWSTGRVAHRQLVRLWDDGAGGSVTSFTTAFSFAIGRNSTNQADGMAFYVGPPADTLAPDMTGGFLGLIPNTGEASPRTVGVEFDTCRNPWDPQDGVIDHIGVDVNQIVSQNFTALPTLTLAGVMRAEIRYDAAARKMVVNLTANGSNYGVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTADGSISSSDPPVVPESKKKRTKTNIIASTSSLLGISILVLAVFLVYKKHMHLSPWQWRSTNSPRIESLLRTQIKSYTYSEVRKMTKSFAHTLGKGGYGTVYKGSLSDGSEIAVKMLEDTKDDAEDFINEVVSISRTSHINVVTLLGLCLHRSKRALIYEYMPNGSLDKYAVGAVDTVQGEKSLSWEKLYEILVGIAQGLDYLHRWCNHRVVHLDIKPQNILLDQDFHPKISDFGLAKLCKPKESKISVGSARGTIGYMAPEVFWGHHGAVTTKSDVYSYGMLILQMVGARENTNASMQTVSKYFPEWLYDNLNQFCGAATEGIDSRNTCISEVARKLVTIGFWCIQSTPEDRPSMSEVIDMFDRSMHELQLPPRMSCCGIDNPSIV >OGLUM08G11940.1 pep chromosome:ALNU02000000:8:12880986:12881411:-1 gene:OGLUM08G11940 transcript:OGLUM08G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSISLVAATGVEAEGRGGASAVVEVVAGGRGGAPAVIEEAAGAGADERRRSPRRRRVLRPSGTSSSDRFCRMGLSRIWEEYSSLGTNPSHSLLNQKPLKVGSFYPIPSYPTNQTLPKTLHPTSGFTFSFLSLGVLNRPI >OGLUM08G11950.1 pep chromosome:ALNU02000000:8:12886182:12887972:1 gene:OGLUM08G11950 transcript:OGLUM08G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSGAGAGGVPTKLEHDDAAAVAAEREPCGGGAPRREEKERWRRVLVVGCLVALLLFAFFVLGRESASEVLQIANSKLSAMNGGFTTKNPSHGGGAAKHADELLGGLLAPGMDRRSCRSRYQAAHYYKHFPYAPSPHLLDKLRAYEARHRRCAPGTPLYNRSVEQLRSGRSAGGVECNYVVWLPFDGLGNRMLSMVSGFLYALLTDRVLLVDLPHDSSDLFCEPFPGATWLLPPDFPVANLFGLGPRPEQSYTTLLNKKKITAVVNNDDDPASKNATAALPPPPAYVYLSLGYQMADKLFFCGDDQRALAKVNWLLLYSDLYFVPSLYSVAEFNGELRRLFPAKESACHLLARYLLHPTNAVWGMVTRYYNSYLAQASRRIGVQIRMFNFASIPVDDLYNQILTCSRQEHVLPETTTDNDNDDDLATAYDSNSSNGSGGGNYTAILIASLYPDYYERIRATYYEHAARGRVRVGVFQPTHEERQATQRLFHNQKALAEILLLGFSDELVTSGMSTFGYVGSSLAGVRPTILMPAHGHRVPAPPCRRAVSMEPCNLTPPRVGEAECREMAAVVDKEDVARHVKVCEDFDRGVKFFD >OGLUM08G11960.1 pep chromosome:ALNU02000000:8:12894103:12902187:-1 gene:OGLUM08G11960 transcript:OGLUM08G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGQLRDWVSDKLMSLLGYSKSVVVQYVIRLAKECSSTGDLVGKLVEFGFTSSAETRSFAADIYAKVPRKASGISNYQKQEREAAKLVKKQSTYKLLADEEDNDAETITSTSRQSSASTSSKSRKHFRRKAEDQDDGNDDDETKIKQDSGRNVKRRTEEVDDEDDGNDTDEEQERIRDQQERAQLEKNMRERDAANTRKLMERQLSKEEQEEITRRSQAMDKNDTSDLRKFSRQAYLQKRRDKKIDEIRDEILDHEYIFQDVKLTEAEEKEFRYKKKIYDLVKEHVESADDVAEYKMPEAYDMGDSVNQEKRFSVAMQRYKDPEARDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDGIDFVKSSVIEGTQHEEDSDQEDIDEKDMLKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTLIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEVHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETIDEILKHRTRGLGTKIAELLICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVVDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSVGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYNSWKETDYSTQWCYENYIQVSF >OGLUM08G11970.1 pep chromosome:ALNU02000000:8:12906502:12908155:1 gene:OGLUM08G11970 transcript:OGLUM08G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGNHRSSQLHLLLLFCCTTTLRAVALSFDYDFSADAAKNLVFMGDAAHAGDRINLTNLGVWRAGRVAHRQLVRLWDDDVGGGRTTTTSFTTAFSFAIGRNSTNQPADGMAFFVGLPRDNLPPHSDGAFFGLLSNNYFGPYGSPRTVGVEFDTFSNPMWDPEGTVDHVGIDVNTVTSKNTTAMPTLSLLAGVMRAEVSYDAAAARMAVTLRTLDGMSYSVEAAVDLRAAGLPQDAAVGFSAATGDLVESHQLLSWSFNSSTGIYCRKYFSIKSSKLRGFN >OGLUM08G11980.1 pep chromosome:ALNU02000000:8:12927487:12928230:1 gene:OGLUM08G11980 transcript:OGLUM08G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFTHTLGKGGYGTVYKGSLSDGSTIAVKILEDSNNDGEDFINEVSSIGRTSHINVVTLLGLCQHGSKRALIYEYMPNGSLDKFAVGGNDTMQQEKFLISWEKLYDILVGVAQGLDYLHHWCNHRVVHLDIKPQNILLDQDFCPKISDFGLAKLCKPKESKISIGCARGTIGYMAPEVFWGHRGAVTTKSDVYSYGMLILHMVGERENINASTESGSKYFPEWLYDNLNQFCGVPSGGIDGSNST >OGLUM08G11990.1 pep chromosome:ALNU02000000:8:12930767:12931381:1 gene:OGLUM08G11990 transcript:OGLUM08G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRVAISLLLLVAAMASSFSFSPCTAQSSSSCASYTFSSNQQYGSCAALPRLGATLHYNYTAAASTVAVAFRAPQPAGGKGWVAWGINPSGSGMVGTQAVVAFRHSNGSLVAYPTVLGSYAPSMAPAAAKDLALPVSGVSAEENGKAKEVVVYATVALPAGKGTKFNHVWQQGSSVAGDVPAAHPTSGDNVLSVGSIDFSK >OGLUM08G12000.1 pep chromosome:ALNU02000000:8:12934775:12941467:-1 gene:OGLUM08G12000 transcript:OGLUM08G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGMRSISKGGAIIRRYTHGPWRTGVCGGCGQGGNRAAGQGKIPSLSVNLNLLMRLLLRRGTGMGQKLWLANWHIAQEHNLRRDRVTSWLSDDFWLEIQPVMTGQIVFLVPKCHPRSCYAAPPTVQVWILSIAEGVRRWEMDLLPPPREARLRIAGEWRGRGTFNCLEFHGWEILERSQQVYKML >OGLUM08G12000.2 pep chromosome:ALNU02000000:8:12934775:12941467:-1 gene:OGLUM08G12000 transcript:OGLUM08G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNGMRSISKGGAIIRRYTHGPWRTGVCGGCGQGGNRAAAGMGQKLWLANWHIAQEHNLRRDRVTSWLSDDFVNPWLEIQPVMTGQIVFLVPKCHPRSCYAAPPTVQVWILSIAEGVRRWEMDLLPPPREARLRIAGEWRGRGTFNCLEFHGWEILERSQQVYKML >OGLUM08G12010.1 pep chromosome:ALNU02000000:8:12952965:12953381:-1 gene:OGLUM08G12010 transcript:OGLUM08G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDYAEEFDQADEKLASFCLHLEAMAEAGDEIDQADEKLATFCLHLQAMAGTAGDDEIEQADESLAAFCLDLEAMASEAAAVASNDDDMAAAAAVDETKCKAAAALPTASCEAAGMAMIHHGHGAASSQVHLAAAC >OGLUM08G12020.1 pep chromosome:ALNU02000000:8:12957059:12958099:-1 gene:OGLUM08G12020 transcript:OGLUM08G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLAAPGHCVALSDASVHRVPLPNGVDVDGIVCCGSLGNWIALAPKRRRWRPRHQVRPLLLNPFSGASVQLPILTPAAFRGGGDDINVEKIVISSAPDSDGCVVAAIVMGSYSSTREIVIWRRGQESWSTPAAAAPSNVADAVFHGGDLYVVDKCSQLYVFSGDDGGGGQELHPVRLEMDLTRTGRFVARVLLECDGRLLMADRHRHGGDAGYHEYSVYALERDAACGDWRWSPVTRLDGHAARRPRPLPRRRLLQGSPGDRARQGEGRQRRLPRRQRGDHGRGHRRRPETPGEIFPDQEKHGRAGFQRDGHFPAAAAAAAGLHRRRQAWQGEGISALDLEGSKI >OGLUM08G12030.1 pep chromosome:ALNU02000000:8:12969343:12970579:-1 gene:OGLUM08G12030 transcript:OGLUM08G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKNPVWPVFYQLLKEVREETRTNLEESRKRFNRLQGGALQRPSQNRMTRTPSPNYRAQHPPPPRANLNPTQMSCYNCGGNHLRRNCPQNNFTCYHCDQIGHTRPNFPWKNLPSEAAKTQAAGQSKIIRALPSPQQNRGGVPVRGGFQNRGGFQARGGQPGNQTAARGRVNHVTIKEAEEATDVIVGKFPVNSEIALVLFDLELPILLFRKILS >OGLUM08G12040.1 pep chromosome:ALNU02000000:8:12983395:13004253:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGYSSVVMHLVGASTIPSVWQNYLSLMELVDWRNVLQME >OGLUM08G12040.2 pep chromosome:ALNU02000000:8:12983395:12995552:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAELLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLCSSILMEKISKSSPCPVNSGAEKRLVSIAGKGGFLGTCEDIVIQRQPPNFLRRAAMREAVESSGEAVRERTGERTPAKDDDEAARKQGKQRQHSGATGHGLPKGGKITKSYCGKGN >OGLUM08G12040.3 pep chromosome:ALNU02000000:8:12983395:12995552:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVFQCCNASCGRFYHPKCVAELLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLCSSILMEKISKSSPCPVNSGAEKRLVSIAGKGGFLGTCEDIVIQRQPPNFLRRAAMREAVESSGEAVRERTGERTPAKDDDEAARKQGKQRQHSGATGHGLPKGGKITKSYCGKGN >OGLUM08G12040.4 pep chromosome:ALNU02000000:8:12983395:13004253:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGCKGECKRSFHPKLEDGTKSFCKTLGYTSREVEVFQCCNASCGRFYHPKCVAELLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLCSSILMEKISKSSPCPVNSGAEKRLVSIAGKGGFLGTCEDIVIQIILIRE >OGLUM08G12040.5 pep chromosome:ALNU02000000:8:12983395:12995552:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVCAICDQGGILLGYSSVVMHLVGASTIPSVWQNYLSLMELVDWRNVLQME >OGLUM08G12040.6 pep chromosome:ALNU02000000:8:12983395:12995552:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVFQCCNASCGRFYHPKCVAELLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLCSSILMEKISKSSPCPVNSGAEKRLVSIAGKGGFLGTCEDIVIQGHGLPKGGKITKSYCGKGN >OGLUM08G12040.7 pep chromosome:ALNU02000000:8:12983395:13004253:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.7 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDDDDDEFPQLKDVDQYACKDSHEDLVCFSILPFWFDEEHRLPGSEKGVYIRGIDCEGRQPVYKRIEAWRVELDCEKPMISVLSSEGNWIRLLNPHPGYAEDIARSVLITIQMIHFVRKHPAKDERTLSKHLCEVFRKFFTKPPEVDDLRKSYSLIKYFMKMDQTLVKSKILRRPLEDTWIIIETELRKASPNESFIVSNESSTNCDDYTEDGSSGSSDDNGDNYTDGNASDDGTEAVFQCCNASCGRFYHPKCVAELLEPDGACGLEKRIADGMTFTCPKDVTARVWEVPKGDPKIFIYCLDHDIDATFRTPCRDHIKFPSAPQIERVKDLARKKVKVTDIRNTDEVSPESAELSTKPSREEGDQSQEVPISREEGDQSQEVPISNKQMDHNLLEHECATNNLRVDLQYESPIVRAAASVLISSEAAKLQENQLCSSILMEKISKSSPCPVNSGAEKRLVSIAGKGGFLGTCEDIVIQCLKYLATLNIQHFTP >OGLUM08G12040.8 pep chromosome:ALNU02000000:8:12994042:12995552:1 gene:OGLUM08G12040 transcript:OGLUM08G12040.8 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFLARSVEIYFELASQLEELKQRKDSLISTRAPSLEMGMAFQRAEKLPSLIVEKETKMAIESNDFKQMEQNRELSSPHKRLKGGVYLSDEQIKLVEYESTLELIQSKIREVKRLKTEVIDFVEIEELSNFHRKATAEALITKMQSDMSLVWGKLSQNLDGLDEAAMLDREMHSLVQKCYFVADHANELVPCLPETST >OGLUM08G12050.1 pep chromosome:ALNU02000000:8:13006214:13006867:1 gene:OGLUM08G12050 transcript:OGLUM08G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSACTVVLSPCWRCCHIWASTPLVSDNADLHPDDDSGRCPHRCAVPVLAPHLGVHATHLQRCRPPPRQRQPPNLLARHSRHRLPRPRPVPEPPVHPPHERLQLHGLPQRRCALALARRARCQGRPGAHLVFLPIWPMRVYPPADVLCIASLHRLYLGLRRLFPDTEHIRLGADVFPYLVKLGFCRTNMKAKDLDHLLRSLTPNQSITPFLTREQV >OGLUM08G12060.1 pep chromosome:ALNU02000000:8:13017735:13054289:1 gene:OGLUM08G12060 transcript:OGLUM08G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTTSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECSVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKRCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKDAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENIRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLNLDRKREAVRMKNARDGHHEDDRTARPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSKNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWVPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFPLLPRLIDHHRSHRQACQPLPKPLPWGSPAIIEISTGPVCTGLGVWTGRAGGWGRGGVRGKKTLKNRSPSLSLSLTDHAGPPPSPLPCPYHRPNHPREAGESNRNRSQNLSRIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFESYDLTSAFLHHRRFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVAQVGSNNLDNKREPDIKQEPVIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSCVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMKSNNVDPETRQFMKEKLDKVGKRCNFKNYDVIQPKNYFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >OGLUM08G12060.2 pep chromosome:ALNU02000000:8:13017735:13054289:1 gene:OGLUM08G12060 transcript:OGLUM08G12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTTSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECSVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKRCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKDAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENIRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLNLDRKREAVRMKNARDGHHEDDRTARPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSKNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWVPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFPLLPRLIDHHRSHRQACQPLPKPLPWGSPAIIEISTGPVCTGLGVWTGRAGGWGRGGVRGKKTLKNRSPSLSLSLTDHAGPPPSPLPCPYHRPNHPREAGESNRNRSQNLSRIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVGSNNLDNKREPDIKQEPVIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSCVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMKSNNVDPETRQFMKEKLDKVGKRCNFKNYDVIQPKNYFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >OGLUM08G12060.3 pep chromosome:ALNU02000000:8:13017735:13054289:1 gene:OGLUM08G12060 transcript:OGLUM08G12060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTTSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECSVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKRCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKDAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENIRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLNLDRKREAVRMKNARDGHHEDDRTARPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSKNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWVPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFPLLPRLIDHHRSHRQACQPLPKPLPWGSPAIIEISTGPVCTGLGVWTGRAGGWGRGGVRGKKTLKNRSPSLSLSLTDHAGPPPSPLPCPYHRPNHPREAGESNRNRSQNLSRIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFESYDLTSAFLHHRRFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVAQVGSNNLDNKREPDIKQEPVIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSCVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNYFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >OGLUM08G12060.4 pep chromosome:ALNU02000000:8:13017735:13054289:1 gene:OGLUM08G12060 transcript:OGLUM08G12060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDDDDVEPPVNDVDIYYFEESEDKPVCFSVLPIKFDENEEVSCSDYKELNLRGFTDNNRHVFKKVIAWRVDLDCQRPKISVLSSDGKWIELLKPRMCYYEKKVRSILITVQMLHFVRKCPKKQQRSLFDHLGEVFNKFGPVPNGDDIKKHHHLIKLFMERDPILVKSKIIRCFIEDASRKAIEPIPRRACTEDRFIVSDESLESSDDCSYSDSDSSDDNNDDSTDEDTDTDGNATDDDTDMICAICDDGGKLLSCEGPCKRSFHARVKHGRKSKCRTLRFTSVELKLKESGTFLCENCEHNEHQCFKCGELEPSYGPNAKVFQCNKESCGHFYHPKCIAVLLEPEDTDGACKLEEMIADGMSFTCPVHWCFKCAKMEDRTRSEFQFAVCRRCPRSYHTECLPRHHGIDATTGTPSGEHIKFPSIPKIKKTKNLSKKDAKVTGKRKKSGNKFSTKSTKLANVSPRGESKQTRRVARNSSSEHIALEHGCAVKRLKKDLQFEVPLVDVSARLSGAKTVEGKEGQPGTTSSFVMGEISKSASCAVDGETEKRVTSTAEKEISAGTSQDMATKDVLRQPRIEIDGLSECSVQIADKLHWYVQPGNTIVDLCFNMDNFSRLMKEKLEDVSKRCNFKNYDLFQHKNNLCFDESNWVTMQPKDLPHGLNLVMVLDLPLDIQAVSANKFVDKVLTFKPRLIIIVSPTDIERLDCKEEPYHLIWEYNQHIFGKPLYQPGDVDVNDDAKNGLHVIPLCVSLWSFPDKTEENMRIAGKHGHLNVGHKAHSVMLKDSSVDKDAKGDNEIFTVGKEDTSEREQTSEHCSGERLIIPNVVTFHANQEQNDELQSLYKEKHANGSGGGNNLTSGKESDVHRGENACGHNSAARQKTEISKRENRMTDSTHEENIRSGKEKIPRDGSNKGTVKPDLVDGLPPDKHVDVAFVHKTTTNRVDTQQECGYNVAVDVDDNYALQHEPKSPHGNDNLRGTEMDTSGDNSRKTREQKEVTDGKRLNLDRKREAVRMKNARDGHHEDDRTARPQCVDVKSPSHNDDQRARGASVCKSRKRGTSNRILESRDTVSKNSARRQVPVERRNGHAPYSRRPDYSATARRGSPSYPRRRRRSRHFSSERDWSTVPPRGNSPDTEYDGTRYNTEYDGYGSQSGCGYHGMDTLPVDRYYPQEHHNGAYRPQTNFGEEEQAAAYGRRRNDHGWVPDYRRRDSFDWEHRSSARGTVTDRYVPDLEQTNHQIPGQASLQDGYFMRRSRSFPLLPRLIDHHRSHRQACQPLPKPLPWGSPAIIEISTGPVCTGLGVWTGRAGGWGRGGVRGKKTLKNRSPSLSLSLTDHAGPPPSPLPCPYHRPNHPREAGESNRNRSQNLSRIADWDTAATMMSSDDDLEPQLKAVENYYFVDDNDVPVSFDVLPFQFDAAEGVASFKKDVYLRGFTDGGLQKVYKQVVAWKLVLDGDSPEIAVLSTEGSWIALLKPRPSYEETIRSVLITVEMLHFVRRRPTDSEKDMWDHLYGVFERFVVRPLEDDFANHQNLIKLFAQRDPDLANSQVLQVFIKDKIMEKTNEVGSNNLDNKREPDIKQEPVIKQEPVAAGDEMEEIVEEGIPDVPSNDDDDDDDEEDEEDGDLFDSVCAICDNGGELLCCEGPCMRSFHAKIRDGEDSYCATLGYTKAEVKALKNFVCKNCDHKQHQCFVCGELEPSDGPNAKKTGLKNHCNLLFADAAQDRIIENACQDINTQGIITRAWELSKRILIYCLDHEIDLDIGTPPRDHIKFPHVEKSAYSAKKKVKELAEKKRRICDDSCVSEPLQKRAKLNEKFNAKGDKSKKAGVKSEFEEVLESEKKKTRSLKKRTQPEEPLVECAAAAAANNANRPVKEREKELGTSSLDMGKIPLSSFPIVDSETEKRISALVEKEVSSLTVADISRRCVIPSTYACSGRQIDKIVVRGKLERSIQAVKAALQKLENGGAVDDAKAVCESEVLRQLTRWHNKLRVYLAPFIHGMRYTSFGRHFTKKEKLIEIAEKLHWYVQPGDMIVDFSCGTNDFSQFMKEKLDKVGKRCNFKNYDVIQPKNYFSFEKRDWMTVRQKELPHGSKLIMGLNPPFGPKAMLANKFIDKALTFKPKLIILIVPKEAERLDRKQQPYDLVWEDDQRLSGKSFYLPGSLDVSDKQIDQWNKSPPPLYLWSRPDWTQKHKRIAEQHGHTKANVFSHNEEDLVYLFEDRATQNHDVNNKNYTSGNGNFTAEKPVQADAFPPEKLVEVAYEEMKVASNRSSMYQSDQISVHDERDAHSDLPMSRHNSMKAKEVSNSSRDRRKSDKTGHEADSDMSILPSDSRNFLHKSGNLEPPISSRSGYTSERLRYHDNHFDHLVGEHSSSSLQMPIFEDSYFRSVNEYGVASVENNIALSTDNVGAGSRMYSPDPELNGYAVDPTVNAYGSVSGGTGGSFYRRQNLEDYTMDSSESAQMNPVPGRDVQEYARTYYGHNRDEVPQTAINTPSMDIRTHIRMYGRHIRDDHTQTTMNPPANDIRAQIRMYGQHATSDHQHASRYSSGSPDARFEQQPSFTSYGMPSLGSTGRSMMDRYSPSIDETSYRTGQRGPYNASDFRRDRHPDDMNFAPHNQYPYPHPGSSGGWHD >OGLUM08G12070.1 pep chromosome:ALNU02000000:8:13035601:13035966:-1 gene:OGLUM08G12070 transcript:OGLUM08G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMVDEPGQQRLQRQWWQWRKRLRRWPMAVVAGLMAVSEQRVETGTSMTVSEPGGSGFDSGSDGGGSGAGKRAAASMMVGGSGKRHWEVGGGDNFDDGQRARRRLASDAGKQLPAMGNR >OGLUM08G12080.1 pep chromosome:ALNU02000000:8:13057891:13061548:-1 gene:OGLUM08G12080 transcript:OGLUM08G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEWPYPLLSKEIDARHRAKKISDGNSCSSLAVLIPRTTGWWGIDPRWKPRQKRHCWQKRQADNRGNANGPSHASYASCKARNDSSNGSRCANLTLARRICTICRAYSVSSTACTYIWHQPMARTIHGLRCFDNFIDIYIASVGSFRPELMSGFRPYTASYGDMSSFGGGSSLVPIELRTSQTDDAPHVTQPTQPEVGKGNDNDPRRSNRERHEPNRLSLSGPRHAAGQRKKNYKKARWNI >OGLUM08G12090.1 pep chromosome:ALNU02000000:8:13069969:13072172:-1 gene:OGLUM08G12090 transcript:OGLUM08G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07890) TAIR;Acc:AT3G07890] MPTTTHTIRYSTPRKGHANAHQLLAKFEDLYGFMVEGNVDDVNVLNDVRERMREQGRVWWALEASKGANWYLQPRISSNGGSEGVISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATLQIDHDLPRTFPCHSWLNSEEGQASLRRVLVGYSFRDSEVGYCQTEEDAFWMLAVLLENVLVNDCYTDNLSGCHVEQRVFKDLLAKKCPRIAAHLEAMGFDVSLVATEWFLCLFSKTLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTAHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >OGLUM08G12100.1 pep chromosome:ALNU02000000:8:13105506:13105844:-1 gene:OGLUM08G12100 transcript:OGLUM08G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVIKSGDDARLLGASGVVSNGLGSDEAVAEMFNRLASEAELDRRSALHGVHGEVNAYREKRWNQWRASLVRNHAGNPWAIVSLVVAFVLLVLTVLQTAYTVLPYYQEQEL >OGLUM08G12110.1 pep chromosome:ALNU02000000:8:13118191:13120534:1 gene:OGLUM08G12110 transcript:OGLUM08G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESRKGKAAAPWVATVEEQLRQEGVGDRAAEEARWRKHSVYRVPAHIKKAAAAAAASRYEPQLVSLGPFHHGRADLQPMEEHKRRALLHLLRRTGRHAGDLAAAVEAVAEALEDAYMDLDGDRWHGGGGGGRDRFVEVMVTDGCFLLEVMRTAEVDGEVDDYAANDPVFSRHGELYVFPYVRRDMLMMENQLPLLVLQRLVAVVRGPHKATDDVINDMVLRFVSMTRDPPPITGDGLALHPIDVCHRSLLHGTPPPPPSTSRKRQREDEFVPSATELEQAGVHFSRSPTRSLRDISFRRGTLYIPELAVDDTTEHKLFSLMAYERLHAGAGANEVTAYVFFMDSVIKSVDDARLLGASGVVSNGLGSDKAVARMFNRLAKNAVLDRRSPLRGVQGQVNDHRENAWNEWRASLIRNHAGNPWAIISLVAAVFLLVLTVVQTVYTIL >OGLUM08G12120.1 pep chromosome:ALNU02000000:8:13135408:13136901:1 gene:OGLUM08G12120 transcript:OGLUM08G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEQQRVKTTSQWVSHVEHLLDAGGNPSEEEARRWRRQSVYRVPAYIKHRTSYGAPQLVSLGPFHHGVPELRPAEEHKERALLHLLRRGGGGGGDGRRLRLGSLVASMEEVVVELQDAYQGLGATKWRDDRDGFLKMMVLDGCFLLEVMRAAAEAAQGDGDGEGVGGGYAANDPVFSRHGELYVFPYVRRDMLMIENQLPLLVLQRIVAFVHGGAAPEASDDAINNMVLSFVSMIPDPPAMSGGGGLALHPLDVCHRSLLHGSPPRPCHTGRREEFVPSATELDQAGVRFRPSRTRSLHDISFRHGALRIPRLAVDDTTEHKLFSLMAFEQLHGAGANEVTAYVFFMDNVIKSGDDARLLGASGVVSNGLGSDEAVAEMFNRLASEAELDRRSALHGVHGEVNAYREKRWNQWRASLVRNHAGNPWAIVSLVVAFVLLVLTVLQTVYTVLPYYQEQAAAGEAALREL >OGLUM08G12130.1 pep chromosome:ALNU02000000:8:13137499:13137687:1 gene:OGLUM08G12130 transcript:OGLUM08G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAARNGSVRSAAAAAYAARAVVYDGVDPFEGMEFDNEEPLTRTSWCRHSRSHRGWTRRR >OGLUM08G12140.1 pep chromosome:ALNU02000000:8:13138454:13139387:-1 gene:OGLUM08G12140 transcript:OGLUM08G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRAYWDKALTKIFLDLCIAEKTKRNHNKKGRTNIGWQNLYRNFREQSGKNYDSKQLQNKFSTFKRQYKLWKSLKNKSGGGWDNNSSTIRCDDDWWEDRIEENRDARQFRGKPLEHEDELTTHFGCMDTEEGTMLCVGGIGKRTPSSGSDDNLTPMSNDNVGLSSAGRKEQVVDRPPPKKSKNMQYYVGCVSESMLERSRNESSVLRGEQDEIKELLQLVEEDGVAQGSELYFIATDLFRSAARWAAFRCIYPAENRKAWLRWTWDNARKK >OGLUM08G12150.1 pep chromosome:ALNU02000000:8:13141704:13150050:-1 gene:OGLUM08G12150 transcript:OGLUM08G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >OGLUM08G12150.2 pep chromosome:ALNU02000000:8:13141706:13149995:-1 gene:OGLUM08G12150 transcript:OGLUM08G12150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMTIGAKYKTTLKDPGTTGVLRMNEDKFTFTPHDPRSAMKLNVDFRSIKGHKFNKVDGSKPAPPLLNLSKDSDKGGGYMFEFDNVTNRDLSRDFVGKQQGTIPPKPNVPPENSVTSTGEEQLSAAEMQRRMKLLQEDSELQKLHMKFVLGNILQESEFWATRKNLLEDEANKGSKQRPGFKSAMLADVRPSADGRTNKVTFSLTTEIIHQIFAEKPAVHRAAEYLLRTKNTVAATAEAAEDEELAIFLKNDDILAKEARLKIKRVDPTLDMEADAGDDYIHLPDHGIIRDGSKETIDNDGELARRTLSQDLNRHAAVVLEGRSSDVELTDTKTVAEAIARSKKEPPSSSISDDTNHERLVKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGGNNDGRHARNCRLSTDDAFDHLLGQISSIKVNGLNYPVIQSDVALKHWAAIQELLQHFWSSYPITTSVLYNKVQRIKDAMTQIYQKLQDIKESAQPDVRHEISQLVKPMTQALDAAFNHDLEQQQKSSKSGNKPNGF >OGLUM08G12160.1 pep chromosome:ALNU02000000:8:13154232:13154816:-1 gene:OGLUM08G12160 transcript:OGLUM08G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLRGVTVPFLMILPLLFVVAALPAEVTVTGDGILLPSCKTVGGGSTYFDVQFCLDALGSVGAGAGARSYRDLAAVAVGLLTANATSTSVKINALLRGGGGGGKVDAATARCLRSCRALYAGIARRQPGCAAAVRGGRLGEARSSLEESAAAARRCEDGFRGGNATSPVTAEDDAAFKLAKLGVALLGFA >OGLUM08G12170.1 pep chromosome:ALNU02000000:8:13184321:13188252:1 gene:OGLUM08G12170 transcript:OGLUM08G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARLGRTSARYGTTTTFTGPVRKWRKDWVPVAAAAAAAAAAASAASSTAGAGSRGNNLVLFKWTPMNGANGNGGGGDGDQAAAAAAAAEEEKATKRRRYVPVSVVEDERQESGKSDDENKANDGEPSSAETEPSNGKTNINDTPMDESQASDEARDSGKNGGGTDLNLNLGLKDPDGDNDIDTEEQDAENNTHTENRLKRKSVAPDLEMRM >OGLUM08G12180.1 pep chromosome:ALNU02000000:8:13189921:13192867:-1 gene:OGLUM08G12180 transcript:OGLUM08G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0AU86] MAAAARLLARISRQGVASAAAARRQAEAAALLGASAGRHLAPPCSSIKALPLLNQPRLYSTSTFQRFGFSSSAPQQDDKAANKQTEDGVNKSTQSEASNETNSSPGTENASQAGSQDSVPQSNRRRRGTKRTAFSDSDTEDLDLSKEDLTKLVLEKEELLKSKDEEVKDMKDKVLRSYAEMENVIARTKRESDNAKKYAVQGFSKSLLDVADNLSRASSVVKESFSKIDTSNESAEAVKLLNTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNKHAALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPIEEEPEEKSDKSD >OGLUM08G12190.1 pep chromosome:ALNU02000000:8:13194839:13195449:1 gene:OGLUM08G12190 transcript:OGLUM08G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGIKPQRFARGGCDGVDRRRRLQQRQWLTESFKGFQSQLKEFFLKKNRKRGTNMFGGVSSRAPQTV >OGLUM08G12200.1 pep chromosome:ALNU02000000:8:13199941:13210373:-1 gene:OGLUM08G12200 transcript:OGLUM08G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AU88] MSRLSFRPRPLDIHKKLPIVKSARELEDDETTLALRAAPPVLRHSQPEPAADGEAHPTSSKKNVQEIPTPQYDDVDTYERDYTRTFAQPTSYIRARGEKLLLAARAEIGEFVEYDLDNEDEDWLEDYNNERKNLNPEKLEVLLFKLETLDHKARERAGIITPTFLGPIPVILQLDSAMEALQYLSVRYAVFQAVYNYWKSKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAYRLHTRRMQRRENSVQSFDKLRVVRRNLEQAKALMGALIKREERKRETMECEVHLRRIQMRYKHEAQLIDDGIALSGLQQAGSSEDDYADSDDTANEQPYVRSVAFHPRFPDNKLSAVPPLRLKRERELKRRPHQNGWLFKRVPEMRDPEEPVMLFTRPIDPDKLKMAGIRPPLDPPIDSGTTAPPFRWQARIGRGGRIIFDRWNPFLQVPVGQETNHRPSMPEG >OGLUM08G12210.1 pep chromosome:ALNU02000000:8:13212477:13212692:-1 gene:OGLUM08G12210 transcript:OGLUM08G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPAVHSPPVSFASSLSSYPSLLAVRLRVFTANRGAPRTGVVFLRWEEEGASGGQLGKKGFEVEEEEEAR >OGLUM08G12220.1 pep chromosome:ALNU02000000:8:13217908:13222577:1 gene:OGLUM08G12220 transcript:OGLUM08G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATTSDAASATPSAAFLAMPGWTPGPSIPDGVESFANGAGVRLLRHARGIVEEDDLDLRWEAATGERVSEVVLLSRHTAVSNRPALTVHPIGVPHLRDGVDVPPQGGRPGWAAPPNPRIGPWLRLLRRIAADRGLVPEFEITLEGTHHGPVTNTPTLFVEIGSTEEYWGRQDAADAVALLLWKGLGLGEEESVGNWHGNGEKVLLGIGGGHYAPRHMDIVTKDGVWVGHLLSGYSLPMEEPKQMNGKNVADVGGMWKHSIKVSYEATKAAFPGGEIIAHIDQKSFKGWQKNAITSYLQELNIRVGKPNDFF >OGLUM08G12230.1 pep chromosome:ALNU02000000:8:13252268:13253064:-1 gene:OGLUM08G12230 transcript:OGLUM08G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAQTCHVPAQISTKPRSIKPQTSMRTSVKVHKLTTEDAMNYILTIKNKFLRHPEKFHAFIHTMIDFSRGRINTHTVIERVKILFDGYPDLLLAFNKFLPRGINAI >OGLUM08G12240.1 pep chromosome:ALNU02000000:8:13257113:13259474:1 gene:OGLUM08G12240 transcript:OGLUM08G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELRLVAGARSGRSWDDIHRCPDVKGTQLCSLLNELYHKPVVPAGILLPPDTGDDRDRSDMMQWLDKQLARLVVYVALGTEDRPYI >OGLUM08G12250.1 pep chromosome:ALNU02000000:8:13267402:13267783:-1 gene:OGLUM08G12250 transcript:OGLUM08G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTLSVASGKSIGNQVYNVEWTNSTSYYFNSTSFPATHQHPATRAHDCLADDTAWPMVDFQNFFTGSVT >OGLUM08G12260.1 pep chromosome:ALNU02000000:8:13267842:13268856:-1 gene:OGLUM08G12260 transcript:OGLUM08G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTLLQLGLVAQRRGGGSGDGTATARDLTVSSCGRRRGAAERRRKSSFVDSGGESSPSARHGRSRGRKRDLTASESAAARKSRQTTASSFAGRSRAAAVALL >OGLUM08G12270.1 pep chromosome:ALNU02000000:8:13270928:13272735:-1 gene:OGLUM08G12270 transcript:OGLUM08G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPSSGDRAPTRETASTQRLTIAPYSSFSGLSSGKPVISGLFSLGGHLWDILFFPGGYYSGSPYAAVFLRLVSSDHREQVRVLVDFTLVNRRGGMTSGGEDDGSYTRCGYHVFGPGPATVGRCCFGFPEFILQHDLAASGVLLRGDRLVVECAVRLAADADEVLRRGPRPLDDELRRGLRRMLEDGTGADVTFVVRGERFRAHRCVLAARSPVLLAELHGPAARAMGGTQDTDDATTTITIDDMEPDAFAAMLRFAYDDTLPELPGNSDRDATGVHMAQHLLAAADLYRMDALSQACQDRLARCVTPATAADTYALADRLGLRLLKAAVVRDVAATGARGIEAVKNSEGFRRLAAADAATAEEMVRKVMAAASAHVKREEVSTA >OGLUM08G12280.1 pep chromosome:ALNU02000000:8:13290869:13294023:-1 gene:OGLUM08G12280 transcript:OGLUM08G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGTDLTGTLVCSPYAMYEVILHLSPRQKEAIEGSGLGNLLKIDNIHIDRKLCNEIARSYDKEKKAFNINGTFVTMTLDDVDCLLGLPSKGDDIFEAPKINKPELFNLYKKEGQTTITLQALREAIINSSSYDDHFIRRFILFSIGSFICPTTQRYVHSEYLNLVDDVDKMRELNWSSLTLNQLLKGILKFREKTTNIEGNVCLLQVVDDIRGTIHCNEIPDEKAQDNDSETRSNEDFQGTSEEVHSITSTEQSADITLQERLQESIQTLQDNFNDFTKDFWPRIRSLILDCMENDSKCPERKDSTHVFEDVEQEQIDPREHVSNHNEESYINQNVNMTCETKDNSNQSNQSREMLIGPTGRTYKPTNRTDFIYETRVAYAHVNGTNFTPFQAQTNKEAYMPDTDELRGEKKRKQDNQTANEISELYIEKEYLNQKNIDNGPPKNALRELTKKEDLFITYINNMEDNKVMVHIEEVEVKRIRMKVLTQPDFLNDDVMNAYILCLRYKEKEIRGDGKAFLEMAMKTGLLNVEGAHVEASKPRNKRWIRDMAHDYLAFDMVILIQAIYVMANSIYDSILVLNNEHMQIFLPINIKDTHWYLAVLNAKRREVQILDSLAKPISKDRPXYYSGTDYFGQIKNHVADRLDRAWF >OGLUM08G12290.1 pep chromosome:ALNU02000000:8:13306877:13308448:1 gene:OGLUM08G12290 transcript:OGLUM08G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRRRRSSRRHNNEGGERRSEAGREAREMVVEQPMIPRETPLQEPLIFAAAVDPCGGDGGTVDHLFLLVLSGDVPRERIPLHPARPSRSLPSPATSASSRDPSQFGRIDSGDLPRPAPVAPGEAVLHPPPRLRIPAPRVSSSAFSRLLDATADRGLSELAVCLHRNGFLPKNLLSIRSLTVVSLNSCGLPRRLWRNGRWATQAVRAVSGNPSNHSNSHLIGGYAATSLGRWCLVSTFGGGGHGRRMDHTAMSLDWVRKEEDREIGEETWVPAVLLGHVRLSRAAGRPAARDGEGGGGADEGDGQAEELACSAQRRVAGERRNVKRGRGRRGRRKRV >OGLUM08G12300.1 pep chromosome:ALNU02000000:8:13308889:13313263:1 gene:OGLUM08G12300 transcript:OGLUM08G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39530) TAIR;Acc:AT4G39530] MPLLNPLSRAPSTHIRSLARVLLSCLPTGGDRLRRLNPAIHARATVAGGLDDLFLTNLLLRGYSNLGRLRDARHLFDRMPHRNLVSWGSVISMYTQHGRDDCAISLFAAFRKASCEVPNEFLLASVLRACTQSKAVSLGEQVHGIAVKLDLDANVYVGTALINLYAKLGCMDEAMLVFHALPVRTPATWNTVITGYAQIGCGGVALELFDRMGIEGVRPDRFVLASAVSACSALGFLEGGRQIHGYAYRSATETDTSVINVLIDLYCKCSRLSAARKLFDCMEYRDLVSWTTMISGYMQNSFNAEAITMFWNMTQAGWQPDGFACTSILNSCGSLAAIWQGRQIHAHVIKADLEADEYVKNALIDMYAKCEHLTEARAVFDALAEDDAISYNAMIEGYSKNRDLAEAVNIFHRMRFFSLRPSLLTFVSLLGVSSSQLAIELSKQIHGLIIKSGTSLDLYAASALIDVYSKCSLVNDAKTVFNMLHYKDMVIWNSMIFGHAQNEQGEEAIKLFNQLLLSGMAPNEFTFVALVTVASTLASMFHGQQFHAWIIKAGVDNDPHVSNALIDMYAKCGFIKEGRMLFESTCGEDVICWNSMITTYAQHGHAEEALQVFRLMGEAEHYASVVNLFGRSGKLHAAKEFIERMPIKPAAAVWRSLLSACHLFGNAEIGRYAAEMALLADPTDSGPYVLLSNIYASKGLWADVHNLRQQMDSSGTVKETGCSWIEVTKEVHTFIARGREHPEAELIYSVLDELTSLIKNLGYVPDTSDHTLLCETAS >OGLUM08G12310.1 pep chromosome:ALNU02000000:8:13315566:13316336:-1 gene:OGLUM08G12310 transcript:OGLUM08G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARDIRDQLEGLLERVEIEISSNASDLDAIKKAITSGFFHHSSRLQKNGSYRTVKNPQTVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQLKDVDDTGTKKLPKGQGRAAL >OGLUM08G12320.1 pep chromosome:ALNU02000000:8:13347472:13348120:1 gene:OGLUM08G12320 transcript:OGLUM08G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEDLDVPRLRPLDLVTARRGGVRALWWQSSREGKSCHNDGNGVMATERAQQGWGRSQQRMKSGQWRPRRVVRPTIDMAKWLSKFGQCAVRFGQVGKAVGDIFSPKLLNFGLESH >OGLUM08G12330.1 pep chromosome:ALNU02000000:8:13358994:13366076:1 gene:OGLUM08G12330 transcript:OGLUM08G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec14p-like phosphatidylinositol transfer family protein [Source:Projected from Arabidopsis thaliana (AT3G24840) TAIR;Acc:AT3G24840] MEKKLLLLLSLSVSNSLQIRSPALSIKMSVRRRSESMEGLFSFDERKDRRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVENRPSFTIEDVRDEEEERAVFSFQQELFSRNLLPDKHNDYHMLLRFLKARKFDTEKAIQMWAEMLQWRKEFGADTILEDFNFEELDEVLVYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFERAFHEKFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLGRMQKIDSDYYPETLHQMFVVNAGNGFKLLWNTVKGFLDPKTASKIHVLGTKFHGKLLEVIDASQLPEFLGGACTCAAEGGCLKSNKGPWNDPNIMKLAHNKEAKFTRHTRRLSEIEQRRGSFARLHLLKGRSSDTSTVESGSDVDDLSSPMMRRPVECSRLAPVREEMQIRARDSAAYYSCDDHFVVVDKTVDYGRGGAMPDKTSAPAVRAQARPFGGSTTSYATGSSSNRGGISSSNRSRTVVPKENTDEGFFRRFFRLLLALIIKVFAFFHIAYGQQEMRVDNPLPPAEPEPTSDDHPAVETFSVDRISPVIERLQRLEGKVDELGNKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESIEEVIRRQLRRRRFCG >OGLUM08G12340.1 pep chromosome:ALNU02000000:8:13446900:13450547:-1 gene:OGLUM08G12340 transcript:OGLUM08G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRVVAPAPAVLLLVAAAAVLLHLARAIAGAADEAAALLAFKDASVAADPGGALAGWANSTTPGSPCAWAGVSCAAGRVRALDLSGMSLSGRLRLDALLALSALRRLDLRGNAFHGDLSRHGSPRRAAPCALVEVDISSNTFNGTLPRAFLASCGGLQTLNLSRNSLTGGGYPFPPSLRRLDMSRNQLSDAGLLNYSLTGCHGIQYLNLSANQFTGSLPGLAPCTEVSVLDLSWNLMSGVLPPRFVAMAPANLTYLSIAGNNFSMDISDYEFGGCANLTLLDWSYNRLRSTGLPRSLVDCRRLEALDMSGNKLLSGPIPTFLVELQALRRLSLAGNRFTGEISDKLSILCKTLVELDLSSNQLIGSLPASFGQCRFLQVLDLGNNQLSGDFVETVITNISSLRVLRLPFNNITGANPLPALASRCPLLEVIDLGSNEFDGEIMPDLCSSLPSLRKLLLPNNYINGTVPSSLSNCVNLESIDLSFNLLVGQIPPEILFLPKLVDLVLWANNLSGEIPDKFCFNSTALETLVISYNSFTGNIPESITRCVNLIWLSLAGNNLTGSIPSGFGNLQNLAILQLNKNSLSGKVPAELGSCSNLIWLDLNSNELTGTIPPQLAAQAGLITGAIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPDRLANFPAVHLCSSTRIYTGTTVYTFRNNGSMIFLDLSYNSLTGTIPASFGNMTYLEVLNLGHNELTGAIPDAFTGLKGIGALDLSHNHLTGVIPPGFGCLHFLADFDVSNNNLTGEIPTSGQLITFPASRYENNSGLCGIPLNPCVHNSGAGGLPQTSYGHRNFARQSVFLAVTLSVLILFSLLIIHYKLWKFHKNKTKEIQAGCSESLPGSSKSSWKLSGIGEPLSINMAIFENPLRKLTFSDLHQATNGFCAETLIGSGGFGEVYKAKLKDGNIVAVKKLMHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDFVLHDKGEANMDLNWATRKKIAIGSARGLAFLHHSCVPHIIHRDMKSSNVLLDGNFDAYVSDFGMARLMNALDSHLTVSMLSGTPGYVPPEYCQDFRCTTKGDVYSYGVVLLELLTGKKPIDPTEFGDSNLVGWVKQMVEEDRCSEIYDPTLMATTSGELELYQYLKIACRCLDDQPNRRPTMIQVMTMFKEFQVDSGSNFLDDFSLNSTNMEESSEKSV >OGLUM08G12350.1 pep chromosome:ALNU02000000:8:13454917:13465850:-1 gene:OGLUM08G12350 transcript:OGLUM08G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAVASPHPAAAFAAARPRRRPRPAAAPSHRGVNPQRWRCEKTQAWSFWSSLRASDLPGGLYGDVSKNMLKPAAVEQAEASAHLPKGDMWSVHKFGGTCMGTSQRIQNVADIILRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITALDEVFEKHMAAAKDLLGGEDLARFLSQLHADVSNLKAMLRAICIAGHATESFSDFVVGHGEIWSAQLLSFAIKKSGTPCSWMDTREVLVVNPTGSNQVDPDYLESEKRLEKWFARLPAETIIATGFIASTPENIPTTLKRDGSDFSAAIIGSLVKAGQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNMFNISAPGTMICQQPANESGDLEACVKAFATIDKLSLVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALSAGRLSKVEVIHNCSILAAVGLKMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMVLSDTGIDLAHWKEQLQTEAEPANLDKFVDHLSENQLFPNRVLVDCTADTTVASHYYDWLKKGIHVITPNKKANSGPLDKYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSDVVSEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVRSLVPEALRSCSTADEYMQKLPSFDQDWARESKDAEAAGEVLRYVGVVDVVNKEGQVELRRYKKDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >OGLUM08G12360.1 pep chromosome:ALNU02000000:8:13472038:13475320:-1 gene:OGLUM08G12360 transcript:OGLUM08G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGQMVHEIHSKDSWNKEMRLSTCSTALDSTLKTCSRFISYLLDLLGLNYEDVLPIYIRDDTTDENAFKEKLQQAHDIFYFCKVHEIHLKDSRNKGNAVKYMLDRLGLNSEDVLPIYIGDDTTDENVFKVFIL >OGLUM08G12370.1 pep chromosome:ALNU02000000:8:13484492:13484728:1 gene:OGLUM08G12370 transcript:OGLUM08G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSSEAADRSLYQKAAAMVASYTETYRSKPLSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAG >OGLUM08G12380.1 pep chromosome:ALNU02000000:8:13489316:13489636:-1 gene:OGLUM08G12380 transcript:OGLUM08G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTRSGNEGTDRVTPPQPAGARVKTMMTDRNSQPHTVGCVCVCVCVGVGGKPELAVRQRLGHGRLQEGIIEGESARTGQLARRIRRNHCWEVETYRASAQIELAR >OGLUM08G12390.1 pep chromosome:ALNU02000000:8:13491385:13498355:1 gene:OGLUM08G12390 transcript:OGLUM08G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNGRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLNGFAERPSTYDLLKAATNNFSSKSKIASGGWATVYKAQMRNSLEIAIKVYPMGTGEKRVFSRYERELNLLTKLQHTNIIKLLGHCTGEWELILIYECMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARQQDTYVSGTFGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCIPCLKDDEYVHLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >OGLUM08G12390.2 pep chromosome:ALNU02000000:8:13491385:13498355:1 gene:OGLUM08G12390 transcript:OGLUM08G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNGRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLNGFAERPSTYDLLKAATNNFSSKSKIASGGWATVYKAQMRNSLEIAIKVYPMGTGEKRVFSRYERELNLLTKLQHTNIIKLLGHCTGEWELILIYECMPNGSLDKFIHGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARQQDTYVSGTFGYIAPEYLRGGILSTKAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >OGLUM08G12390.3 pep chromosome:ALNU02000000:8:13491385:13498355:1 gene:OGLUM08G12390 transcript:OGLUM08G12390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNGRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLNGFAGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARQQDTYVSGTFGYIAPEYLRGGILSTKVDVYAYGVILLEIITGRRSCIPCLKDDEYVHLTEYAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >OGLUM08G12390.4 pep chromosome:ALNU02000000:8:13491385:13498355:1 gene:OGLUM08G12390 transcript:OGLUM08G12390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVHEGAVAAREMAAAVLNALAPAATVAQLAGADAAGLVSAILQAVRAARRNGRECRLLARRAMMVGDLLRLLPPESETMRRPEVRRALDGLGGALRQALELVESCQESGAVRGLMTAGRQAEQFREVQGEINDYMLLFPVVSHIDITRRLDLIYGLLLPADSQPHQMPEDAPGSPGDHFEADVRNVEITAAEVIPFESNQTITEPFEFGEHQVAGHQEGLEELLSKVQRLNGFAGPNREVSFDWFSCFKIIQGIAEGLLYLHTYEAEICIVHRDLKPSNILLDSDMNAKIGDFGIAKTISPARQQDTYVSGTFGYIAPEYLRGGILSTKAWDLWRTGRSAELLDAALRNEARIAEITSCIQIALLCVQKDPADRPSMLDVLAMLRDEKIVAAPKKPGDLLLGEETSGETAHWFASSGATCSSTEFTVPR >OGLUM08G12400.1 pep chromosome:ALNU02000000:8:13503739:13511849:1 gene:OGLUM08G12400 transcript:OGLUM08G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKESAKGAKIYSAAAAAAGDGQSITKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPNCKKRYSAFDALQLISYTDEYFHCENCNGELVAESDKLASEEMGDGDDNARKRRREKLKDMQQRIDEQLKPLQAQLNRVKDLPAPEFGSLQSWERANIGAFGTADPSAADSSRNPQGQYGTPMPYLGETKVEVALSGTGVKDEGAESGTNGNGLKVLPPWMIKQGMNLTKEQRGETSNSSNLDEKSEVKDEKKQDSKEDEKSIQDEYIKAYYEALRKRQDEEEAKRKIQQEGDTFASASHSERQVGMKSKREDDDEGVEWEEEQPAGNTAETYKLADLNVEAQESGDEEDEIDWEEG >OGLUM08G12410.1 pep chromosome:ALNU02000000:8:13512183:13513519:1 gene:OGLUM08G12410 transcript:OGLUM08G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSIDVLAVAFAVAAVIVVPVPVAAAAAAAPARPDTYLVRMVNRMERKMEFDCDEWPDDFELRANGGDMNVTYETADRPGYDYLTPRVSCIWSYEGNYMSSVIIWDEEKWPEKKACLVGGGRRCELVFENKEEVLVVTTSSPAAPGTGSSRRVLGDLAVKDCSTHWYGHLLPWGAGCTYPSHDHAYAGAVHSTWTAAAMASTIGH >OGLUM08G12420.1 pep chromosome:ALNU02000000:8:13515872:13516706:1 gene:OGLUM08G12420 transcript:OGLUM08G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVMESEVVARALVLLVEAHLGGRAAIPLDSLAVAAHLLCRQEPSALISYLRAEKMETKNGANEDTSKVNLWGNALSKCGYLLMVTAEDYWAEHAIHRPELAASADAPMSRVGASAAWQEASLADLPPLPPPGRHLDASSSAASMLGREEGEEEK >OGLUM08G12430.1 pep chromosome:ALNU02000000:8:13520469:13522154:-1 gene:OGLUM08G12430 transcript:OGLUM08G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTILLLAILLALLSSSSTWPPPLTACAADQHCDDRVAAGSLPSCLLAAGVRNFSLAGSPAYDALLNFSIQNLRFALPAAGAVPRPAAVVLPRGRSELTSAVLCARHASLRIRVRSGGHSYEGLSYTVGDGGDDADRVRFVVIDLMRMNRVRVDAASATAWVESGATLGEIYYAVASSSSSLAFPAGSCSTVGAGGHISGGGFGLLSRKFKLAADNVLDAILVDADGRVLDRSSMGENVFWAIRGGGGGSWGVVYAWKLRLVQVPNTLTAFTPKRTGSVDAIAGLVHRWQYVGSALPDEFYLSVFLTIGGASSSSPSRDGNVTVSFTGLVLGSKELAMSVLSERFPELGLAEPEMSEMSWVESAARFAGLSSTEELTSRASRTKHYAKSKSDYVRSPIARGAVAAILRYLAGGPAGYVILDPYGGAMAREGSGDTPFPHRAGNLYSVQYGVTWEAGDDGGGGGGGEARMAWLRALYAYMAPHVSKNPRAAYVNYVDLDLGTNALAGNVSSPSSSVSRARSTWGSAYFSPANFDRLVGAKTLIDRSNVFSNAQSIPPLQI >OGLUM08G12440.1 pep chromosome:ALNU02000000:8:13546819:13552013:1 gene:OGLUM08G12440 transcript:OGLUM08G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUB5] MAASGEGASLASAAGGEDGRRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDDYVAFLASATGNPALLDARAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITVNWAGGLHHAKKGEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTCSFHKYGDFFPGTGHITDVGAGEGKHYALNVPLSDGIDDDTFRDLFQCIIKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRYLRSFNIPMMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYNLHIQPRSVENLNSTKDLENIKSMILDHLSKIEHVPSTQFHDRPSDPEAPEQEEEDMDKRPPQRSRLWSGGAYESDTEDPDNMKTETNDLSASSVMKDESNDDS >OGLUM08G12450.1 pep chromosome:ALNU02000000:8:13564420:13567718:1 gene:OGLUM08G12450 transcript:OGLUM08G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUB8] MASKLQAFWNHPAGPKTIHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >OGLUM08G12450.2 pep chromosome:ALNU02000000:8:13564892:13567718:1 gene:OGLUM08G12450 transcript:OGLUM08G12450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUB8] MWFSSPWTKTLLSHLKRYPIHSKLLLLALESFGHATKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >OGLUM08G12450.3 pep chromosome:ALNU02000000:8:13565952:13567718:1 gene:OGLUM08G12450 transcript:OGLUM08G12450.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUB8] MTGGGVLRTEAWASSSGSILFFFAFQFCVMVIYQMVHLTCYLLTFVVAVHFWAPTFKWGISIANVADFAKPPEKISYPQQVAVACTGVIWSRYSMVITPKNWNLFSVNVAMAGTGLYQLSRKIRKDYFSDQKDDVASLEG >OGLUM08G12460.1 pep chromosome:ALNU02000000:8:13569907:13571251:1 gene:OGLUM08G12460 transcript:OGLUM08G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMWTFTYTEENDHWLGQHFLKAITLAYLASYRLGHHFLKVLLSKGNCVTSKDVVHSVSCWNSKAIY >OGLUM08G12470.1 pep chromosome:ALNU02000000:8:13590368:13597539:1 gene:OGLUM08G12470 transcript:OGLUM08G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAAFGLVRPCPTRPPLQLGPGSSSCRPILLHARPLAAGIASSSRGPAAVAARSLGRLLLLPPPPPISPDRAGRGRARHVACGAAAGDAKAEEEEGGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITTVQFAVGTVVALFMWITGILRRPKISGAQLFAILPLAVVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVILSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFFLLAPVAFLTEGIKITPTVLQSAGLNVKQVLTRSLLAALCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKAA >OGLUM08G12480.1 pep chromosome:ALNU02000000:8:13604979:13607133:-1 gene:OGLUM08G12480 transcript:OGLUM08G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHYGCGTPNFQKGRRNVKLEDLPEEFIENVNAVLQEYDDKVVERFEIKIEFEDMLIDELNNWVTFAVQSRTKNLAFDLVPDILTGSHDRYMFPFHLLDEKSVSGLQSIQLSYISFKPPLGFKGFPSLRKLDLNLVHVNRESLEVMLHSCHNPQWLSLVRCYLDGKLHLVLSHLRYIKIFQCKTTMVEVHTAKLDTFIFDGHLICSYYPKYISCGKCTHLAAFQDAVATLLKGIPSVRNLTLHARWLLDNRHSFSNLRHLQLLMNLKTEDANKIHYAVSLIRAAPLIEKLEVHFGCPHHVWFSDKGYVAPHLEQHEYSYLKNMHITGYKGERGQLEFLKDVVENAPALESVTIENSNLHM >OGLUM08G12490.1 pep chromosome:ALNU02000000:8:13613245:13615808:-1 gene:OGLUM08G12490 transcript:OGLUM08G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACSGKRRRRTATTHAGRDSSSWASLAEDLVSLIGWRVLAGDVRDYICFRAACHHWRSCTASPCGRGVVDPRFHPRRWMMLPEGNGLYPGHGKLRGFVRFFNLSTGAFVRLRLPIARDDHRILDSVDGILLLQRNRDTAVRLLHPFTGDIVDFPPLDTLLPYASRRSEEYLRDVAAASITPSADDDQAVLLMIWLSRTVRVAFAASGDRQWRVSSWSMYQAYTPLPFQGKLYMLDQATAYGGPEVLQIDPPLQLQLEGTTELSLPPPKSIAKCPARTPDSFFLYHLVECDSEILLVTFGVSVYAQISVYRLADLISGTTVPDQGTFNVSKGDQGMADETEVGIDGVSESTACEDEDVACEKSQAGHGPACENHLFLQAFASRRSFPLPAKTVFDRLPKRFC >OGLUM08G12510.1 pep chromosome:ALNU02000000:8:13621151:13622271:-1 gene:OGLUM08G12510 transcript:OGLUM08G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKRGFMNFLPKPPPNFQTFHPFGPTANYHLYDSSPPNFQGFQQQKLRRRPDEKRSTLKGTKKPKPSLKGKEKKPAPSPLGDQPSQYFVLFNKAEIESRSGAEICRSNYQINRSKEGTN >OGLUM08G12520.1 pep chromosome:ALNU02000000:8:13623379:13624180:-1 gene:OGLUM08G12520 transcript:OGLUM08G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASRPSHSAALFCLALLLLLHALPHASTAKVPAAANRHHRAGNATATSRRALSTGTEGWAAPSVIDLREPPPPTPPRAPPGGEGCATRLRGRLTEDCFQLPHATCAVYPYDAEARAVDRASPRNLSSLYAARCQGRWGDPLHAYCVATTLVAQHGGTWRTLPVVPLAAADPASASASAGDVCYVELAHLNYREGYFVRCPAFNCSHHPRVSCTEFPPSAVAAAVWEHRRTTYRDTVGPLFGRYTYDA >OGLUM08G12530.1 pep chromosome:ALNU02000000:8:13643929:13648357:1 gene:OGLUM08G12530 transcript:OGLUM08G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSAAAAAAVVLTTTSGRAPLLHLPRTPRPTTAARIAAAPDSCRARAGGAPLLLGSAVPRRRGWALVCRDSSLPGPPGVDPVAEEEENRKKTEAVAAAAAARIASSGGGGGGGGGSLSDWTTSVLIFGIWAGLMYYIFQLAPNQTPYRDTYFLQKLCNLKGDDGFRMNDVLVPLWYIMGLWPLVYSMLLLPTGRSSKSKIPVWPFLILSCIGGAYALIPYFVLWKPPPPPIDEEEIGQWPLKFLESKLTAGVTFAVGLGLIVYAAKAGGEDWQEFIRYFRESKLIHITCLDFCLLSAFSPFWVYNDLTARRWKNGSWLLPLALIPFVGPSLYLLLRPSLSSLLAATGPSDDKTQ >OGLUM08G12540.1 pep chromosome:ALNU02000000:8:13648737:13652267:-1 gene:OGLUM08G12540 transcript:OGLUM08G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase like D4 [Source:Projected from Arabidopsis thaliana (AT4G38190) TAIR;Acc:AT4G38190] MSTGPGKKAIRNAGGVGGGAGPSAGGPRGPAGQAVKFARRTSSGRYVSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMMNGAEPASVAMKAEEQYVSNSLFTGGFNSATRAHLMDKVIESSVFHPQMAGAKGSRCAMPACDGSAMRNERGEDVDPCECHFKICRDCYLDAQKDGCICPGCKEHYKIGEYADDDPHDGKLHLPGPGGGGNKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLDDDVDKLGGDGGGGGGGPLPEQKPFKPLTRKIPMPTSVISPYRIFIVIRMFVLLFYLTWRIRNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAVDYPVEKLACYVSDDGGALLTFEAMAEAASFANVWVPFCKKHDIEPRNPDSYFSVKGDPTKGKRRNDFVKDRRRVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPSEQPKVKKATWMADGSHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDDDQMIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFMLNFDCDHYINNAQAVREAMCFFMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFAVYGFDPPRTAEYTGWLFTKKKVTTFKDPESDTQTLKAEDFDAELTSHLVPRRFGNSSPFMASIPVAEFQARPLADHPAVLHGRPSGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRKLMLLQRISYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQKLDIAFLCYLLTMTITLVALGILEGLLKVMAGIEISFTLTAKAAADDNEDIYADLYIVKWSSLLIPPITIGMVNIIAIAFAFARTIYSDNPRWGKFIGGGFFSFWVLAHLNPFAKGLMGRRGKTPTIVFVWSGLLSITVSLLWVAISPPEANSNGGARGGGFQFP >OGLUM08G12550.1 pep chromosome:ALNU02000000:8:13664516:13670301:1 gene:OGLUM08G12550 transcript:OGLUM08G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUC7] MSSPHRTGGRPVRERGGVEWSTVAMLPACPPTVAPRRETDCERERGRISGGSCCIVKPQAPQPHLANSNPCIGSFGSPSLSLHLAYPLPSPLLHRQTATNSGTRKLTALHHQPPQDQQEPEREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLVSFVAPPPDAVDKDRAPIRVGVVFSGRQSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >OGLUM08G12550.2 pep chromosome:ALNU02000000:8:13664516:13670301:1 gene:OGLUM08G12550 transcript:OGLUM08G12550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUC7] MSSPHRTGGRPVRERGGVEWSTVAMLPACPPTVAPRRETDCERERGRISGGSCCIVKPQAPQPHLANSNPCIGSFGSPSLSLHLAYPLPSPLLHRQTATNSGTRKLTALHHQPPQDQQEPEREMNADFGAPKDLAGGLQQRRSLYQPTLPPCLQGATVKVEYGDATTTIDPTCANVVAQAFPRTYGQPLSPGGHNVIWGLHDALKAYNPHSVLYGFVGGTEGLFANKTIEITDDVLASYKNQGGFDLLGRSIDQIRSTKQVNAAMTTCNNLNLDGLVIIGGVTSNSDAAQLAEALVQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILAEEVALSKLTLMEVINKICDGVQARAELGKFHGVLLIPEGLIESIPEMYALLQEINILHNNNVPVAEMPSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVEAEMIKRTKEGRYKGRKFSSVCHFFGYQARGSVPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPAIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQYEGPGCNAKPITLTIENQDYMGDIEILKDCLSKVRTMVKPGCSREVLKAAISSMLSVTDVLTVMSHPLNAELPLYHFN >OGLUM08G12560.1 pep chromosome:ALNU02000000:8:13673152:13677206:-1 gene:OGLUM08G12560 transcript:OGLUM08G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUC9] MAMAAAMGVASPYHAAHAAASTSCDSLRLLVAEGRPRRPRGVASSSSSSSSAGRRRRPLVFSPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYEKFIQAHRETDSDITVAALPMDEKRATAFGLMKIDEEGRIVEFAEKPKGEQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVISKNVMLQLLREQFPGANDFGSEVIPGATNIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRHLPPSKVLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETEADKKLLGEKGGIPIGIGKNCHIRRAIIDKNARIGDNVKIINVDNVQEAARETDGYFIKSGIVTVIKDALLPSGTVI >OGLUM08G12570.1 pep chromosome:ALNU02000000:8:13677922:13678757:-1 gene:OGLUM08G12570 transcript:OGLUM08G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLASKIFPSRSNVASEQQQSKREKATIDDAKNSSKNKNLDRSVDEFGVCVSIVEYESCKSKHPHANKNGPCAINNSRCPLMSNRTFDCVTLPQTHMEGHCIPYKDIMVIPKCTDTCSMQTKTPSKNRRISLLKKLIK >OGLUM08G12580.1 pep chromosome:ALNU02000000:8:13694424:13694754:1 gene:OGLUM08G12580 transcript:OGLUM08G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFQDFDGKKIGTPLSTGDHFNESLAWRTVCDIPPEAGLRLLGGIAVSSCRASACNLKRNHTYFMMKNFRENDGDLCRP >OGLUM08G12590.1 pep chromosome:ALNU02000000:8:13702773:13710126:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEGGVLREGGEVGGEGWKRKSSLGHVAWSNLPTQRVSLDRCLGEKELGVASPSEASRGLAKERLFCFFERKQTASAARGRSMSDKLLRSSAEEVCAPAEMAEQGSSSAWGGSHSKQVVFAAESAAEQALAAPRALDAFTRPFRRAAVSAGWRFTIVGTRKYAFPRDLTRTSSLVMMCVILVAGRNRATCSSCSFGTVRMTSSPRFTSDRSSRCRSRPPPEPAVRTPAAAAAPRLLRCFKDARRACFLAVSKKNEQGERKNESTPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >OGLUM08G12590.2 pep chromosome:ALNU02000000:8:13701387:13710126:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDTARGRSMSDKLLRSSAEEVCAPAEMAEQGSSSAWGGSHSKQVVFAAESAAEQALAAPRALDAFTRPFRRAAVSAGWRFTIVGTRKYAFPRDLTRTSSLVMMCVILVAGRNRATCSSCSFGTVRMTSSPRFTSDRSSRCRSRPPPEPAVRTPAAAAAPRLLRCFKDARRACFLAVSKKNEQGERKNESTPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >OGLUM08G12590.3 pep chromosome:ALNU02000000:8:13704181:13710126:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDAPCSGSTGVAARGRSMSDKLLRSSAEEVCAPAEMAEQGSSSAWGGSHSKQVVFAAESAAEQALAAPRALDAFTRPFRRAAVSAGWRFTIVGTRKYAFPRDLTRTSSLVMMCVILVAGRNRATCSSCSFGTVRMTSSPRFTSDRSSRCRSRPPPEPAVRTPAAAAAPRLLRCFKDARRACFLAVSKKNEQGERKNESTPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >OGLUM08G12590.4 pep chromosome:ALNU02000000:8:13701387:13710126:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDKATPKTIMRTMGVKGLTLFHLKSHLQKYRLGKQSGKEMAEQSKDASYILGAQSGTNLSPTVPTPDLKESQELKEALRAQMEVQRKLHEQVEVQRHVQIRMEAYQNYIDTLLEKACNIVSEQLNGFSISDHDLTSAGVMLSSSDTLSPSIFHQLSVSSISLHSPGGKSSPFAADADLFFQKAPEKRKSY >OGLUM08G12590.5 pep chromosome:ALNU02000000:8:13701387:13703874:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDTAQQTNKNKSCRMKNLAGSIFFPLFLFGWERKAKEGSGGSGWRDGGRGGFKYGACGGGESGICGGAQGRLPPAAAAAASRKDQSFSTTSATATPSRSAATGPAQQEGGERARPWMGERVVFSGKVER >OGLUM08G12590.6 pep chromosome:ALNU02000000:8:13701387:13703081:1 gene:OGLUM08G12590 transcript:OGLUM08G12590.6 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGLIHHHRLLDADVGGGGGGSSAGLVLTADPKPRLRWTADLHDRFVDAVAQLGGPDSHRRQPPKVKKQKFAQGSLLLSSH >OGLUM08G12600.1 pep chromosome:ALNU02000000:8:13702963:13703366:-1 gene:OGLUM08G12600 transcript:OGLUM08G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSCPRVYMSRNALDFGQMASCRCSWAGRSSMRVAPRRCMPCVCFVASPSQPGLAAIDVPAEAISSATTTTMIPERISVSSLLEVVSDDLLKLNNNLKSVSFF >OGLUM08G12610.1 pep chromosome:ALNU02000000:8:13734509:13742464:1 gene:OGLUM08G12610 transcript:OGLUM08G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSVKTNPPTPVPISESPVQGASRSKNSQGQVEPTKSPSHDDALSCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFALPHGIFESSTGSEFQECSMPYSGH >OGLUM08G12610.2 pep chromosome:ALNU02000000:8:13736943:13742464:1 gene:OGLUM08G12610 transcript:OGLUM08G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPNPISSSGQTHGNPTAHEQMELGNNAIVPSNGGNNNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSADDNKDEDKDPGNLLSALEGSSGMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRVIGAGASRATSSEQLPDSVKTNPPTPVPISESPVQGASRSKNSQGQVEPTKSPSHDDALSCGEPLTPDSSCRPGSPTLSPKHERAAKRQRGSDAGDVTAFADGEFALPHGIFESSTGSEFQECSMPYSGH >OGLUM08G12620.1 pep chromosome:ALNU02000000:8:13743442:13744383:1 gene:OGLUM08G12620 transcript:OGLUM08G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVAVSEALEAGKLSAAPRDGAADFAVAWLAVVVVSLAVAATCVVVSFDAHARQPGRLRRMLDLGPSVRGARLLLAIFAGLLAAAEVLRLPFFSRAVVSPPRHVVPCLAYPLVAHGVAEPCFLAAVLLLLRASTGGARLPAAALAVPFACLPFLSAHVAVLVLPAAVAPYPGQLAHAADVDARCAYPAYAAALLVALAALYAPLLLSACWTVAAVAINRRMRARAYALAALVVVPLPVQVVALALSSVWETPRRTSPVAAFLGFLAVGIAAAAALTILVLLPVYDALFLGDDEQLPVAVAAAGEEARELDR >OGLUM08G12630.1 pep chromosome:ALNU02000000:8:13749868:13757769:1 gene:OGLUM08G12630 transcript:OGLUM08G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGMGAGLCIASVLPTSAAAASFDLAVLLLEVNLLSDLSTILKIMRVQTLQNFQPGIAISE >OGLUM08G12640.1 pep chromosome:ALNU02000000:8:13755021:13756456:-1 gene:OGLUM08G12640 transcript:OGLUM08G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQNKYMASGLSAKFNLEMGGDRPREEYQPQKNSQQTTTDPAYGALVRPVEPPKSWDNTKKNKLPFNPSTNHDNGTSNGTTN >OGLUM08G12650.1 pep chromosome:ALNU02000000:8:13762429:13763479:-1 gene:OGLUM08G12650 transcript:OGLUM08G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQATGRSKRVPSVGGGKEREVLKIDSKPNPPATKKGSSKPAPKNDKVQSGGKGKK >OGLUM08G12660.1 pep chromosome:ALNU02000000:8:13797044:13797834:-1 gene:OGLUM08G12660 transcript:OGLUM08G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGTSKPVATLGGGGSSSGVSTTGTNQGGDDRATGTSHPVPAASFTGGLAQSMSHYMYTTPTGQAGGCHKGKVKGERE >OGLUM08G12670.1 pep chromosome:ALNU02000000:8:13807689:13808939:-1 gene:OGLUM08G12670 transcript:OGLUM08G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYSKGSSKGTSTGRMPEQQNSDHQYYMNSISSNHGQQGDGGAQNSTYTSKSIRTKKFPSLNG >OGLUM08G12680.1 pep chromosome:ALNU02000000:8:13812028:13816682:-1 gene:OGLUM08G12680 transcript:OGLUM08G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGTRKPVPTQGGGSSSGVSTGTNQGGDDPIGTSHPVPPASFTGGLAQSMSHYIYTTSTCQAGGGCHKGKVKGHSNSKPEQHGTTNHGNSYKENNDQSTASSYYNGSSKSTSTGRMPEQQNSDHQYYINSMGSNHGQQGGGGAQNSTYTSKSIRTKKFPSLNG >OGLUM08G12690.1 pep chromosome:ALNU02000000:8:13845808:13846760:-1 gene:OGLUM08G12690 transcript:OGLUM08G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYGSGFRNSVPAQQGTTLYGTSYGATNSQSTTLSYYGGRSKSTTGRVPAQQSSDYKSNTADQYYYNSISSSKSQQLGGAGAKSSEQKSI >OGLUM08G12700.1 pep chromosome:ALNU02000000:8:13851164:13856302:-1 gene:OGLUM08G12700 transcript:OGLUM08G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAKGTSSSVPPQGGSSAKSKRQADINQEGLNLLGLEGFSTPPPRNNGQGAHSLAYQIKTLAPKASQMDFLFQDYTGWNAKCPGCLLERNRDKGTTFYGSSYGGNNSQSTASSYHNGSSKSTIGRVPAQQYSDYKSKTADQYSYYYNSISSDQGQQLGGVGYYDKQPSKGTTKRYPSLKG >OGLUM08G12710.1 pep chromosome:ALNU02000000:8:13888807:13889781:1 gene:OGLUM08G12710 transcript:OGLUM08G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUF0] MATPSHSLIFLFLCLPAVLVKANILGDIYPCPCKCSQENETSLHMYLHQFPALPGVPNRNEYGVINSTEPIGFGQMYVHDWLLTTGTSANENVVGRLQGFHLQAGQTTTSWYMAHTMVFSDGSFAGSTIEVSGLLGVKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATNDIRELDIHVFHTPEAVI >OGLUM08G12720.1 pep chromosome:ALNU02000000:8:13891214:13891821:-1 gene:OGLUM08G12720 transcript:OGLUM08G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRARQRVGEGVRLDNGLADRDWYLPSTTHAVGTISSGVVDVGLEPTLREPLHLSAQRTRCCSRAGSEKHRLLYPGPSCKQVQSYAVLSEKLGIDMVHYATLKS >OGLUM08G12730.1 pep chromosome:ALNU02000000:8:13912960:13918353:1 gene:OGLUM08G12730 transcript:OGLUM08G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPEGGGWRYCHARRLVVLPCVLFATDAGVWLVTALALLLFSVVAGYIVLLSNWTPHWLPVVRTVDTRSNIVLKND >OGLUM08G12740.1 pep chromosome:ALNU02000000:8:13955351:13956897:1 gene:OGLUM08G12740 transcript:OGLUM08G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUF3] MATPSHCLIFLFLCLPAVLANILGDIYPCPCKCSQENETCLHMHLHQFPALPGVPNRNEYGVINSTEPIGFGQMYGPMQMKMLLDVYKAFISKLVKPPPAGTWLTPWCSAIAGSTIEVSGLLGFKPNGQWSITGGTGTFASAHGTIKFTNSQSSTATDDIRELDIHVFHTPEAVV >OGLUM08G12750.1 pep chromosome:ALNU02000000:8:13985726:13985995:-1 gene:OGLUM08G12750 transcript:OGLUM08G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRHWRKAEPLVGDGAVAPTEPWTSRPNCGGERHGGGAQAAVEGVTGVVGEDGSGIGDDRSSGSGDRRQEPMRQWWREAPRGRGRRRR >OGLUM08G12760.1 pep chromosome:ALNU02000000:8:13985826:13989000:1 gene:OGLUM08G12760 transcript:OGLUM08G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSSPTTPVTPSTAAWAPPPCRSPPQLGLLVQGSVGATAPSPTSGSAFRQCRCSIVLRWSSLPSRLRSI >OGLUM08G12770.1 pep chromosome:ALNU02000000:8:14000940:14008767:1 gene:OGLUM08G12770 transcript:OGLUM08G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGHQEASSSRTRDVPRGSGGISCLIRRIVPGQYKPRYVSIGPYHRNMPLRGNVEKKECLDRILRKEAQRRSGDLSSSDIKSDWMTELSGHLDKPPERYYDFNSLNENEKHMTMEEFLNMLLEDGCYILHKFVLPRDDEPAAAEGGGGRRSRAVEVDVRHDIIYLADNQIPFFILEKINEIIIGEASSETDKPLVEVFSSYIQERVLEWYGYAIGSRCNGTPKPDHLLHLLHILLIGCQNPTAQTNQAPTGIADPTESSSGNIRQDETAIEMSHVITDVSSATQTASPTESHAAHSSRRETSTGSGNTQHHETAKRPRCFLRWRRAKQYEMARVDLTGVDLLSIAEGPGGEACEARSILDVKLIRRCGNVGLEFPSLNVDSETWDMLGNLIGLEQLNKTTRTFPQRVTAYCLLMSQLACTAEDVELLAQRRVTDHLMVDDKACAESFAALCSGVVFDLDDDTSNYLRKVCVELDQRYRSRPSKWTAWMLREYFRNPCVTVATVLAVIFVAFAMLQAVYAVLKIAGKVKRSD >OGLUM08G12780.1 pep chromosome:ALNU02000000:8:14065660:14066059:1 gene:OGLUM08G12780 transcript:OGLUM08G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINWYLHVPFTPYVIPAKEERGGGRKIYGSGCEPATLQELRCAPPVFCSHNGAPGVVVSGDNGSILGVIGSDGGAPGIICNCGDSAPIIVFGDDGSTPRVIASDNDG >OGLUM08G12790.1 pep chromosome:ALNU02000000:8:14081319:14089252:1 gene:OGLUM08G12790 transcript:OGLUM08G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFVAWAFPPPPGGPSILGGSICTRAFAKVQKEHKVNVFVATKEMKRTEAVLLAYSLARGRDGDGTRASFPLETSGGRGWS >OGLUM08G12790.2 pep chromosome:ALNU02000000:8:14081319:14085569:1 gene:OGLUM08G12790 transcript:OGLUM08G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFFVAWAFPPPPGGPSILGGSICTRAFAKVQKEHKVNVFVATKEMKRTEAVLLAYSLARGRDGDGTRASFPLETSGGRGWS >OGLUM08G12800.1 pep chromosome:ALNU02000000:8:14129216:14132931:-1 gene:OGLUM08G12800 transcript:OGLUM08G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUG0] MVKRVFKAKGWELEETNKNLDEIVELAKKLQVTHYLRGENYVFWGGWEGYQTLLNTDMKRELDHLANFLQAAVDYKKNGFRAYNRNLPFYSSSERARARHVFVTYIIANRNLSRVRKKKEKTENEIEKEKMMEKRESGTNLVKKVPFLVIINTWLRPVFKQMGLSFTQQQFCKLNLQSPPGRKHYGKHNSNMDYKTECWKNVVNVVVLSAAGMISNHLLFKILLDIFVFNSRRLWYHDCAYATKPYLQKYNGDVFNMTTK >OGLUM08G12810.1 pep chromosome:ALNU02000000:8:14136725:14138350:-1 gene:OGLUM08G12810 transcript:OGLUM08G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDPTRCVAEMEMKTDSCVIDIPSLAQQLRVELSSFKSSTARVVGGAAPPPCIIVDKVGESTRIHDPHEYVPQYVSIGPYHRAADLAGEAIKVKYLGDVLSAAAANSTAPMKLEDYLSELAHLEHSVRRCYVHSFDIPSREFVRMLLLDACYILVRFGDVLTPRNAAKPAAAEEVAVANGVVHAGHRVVPTEERRAAADDQQMAVAVVRDVLYLAENQIPFFVVDKVHQLTFLDGGTPALDAIARYAHDLLSWTEYSVATPTIVAPPALRPEPANLLDLLHMHFTPTVLASGKVSRGGRSVGRWRTATEYHYAGVTFKRRPLIGAGGARSILDVKVSRRGGVLQVPRLSIDGETWRLLRNLMALEQSNPSAAGSHVTAYCVFMSQLACTARDVELLSRRGVIVHGLGNDGEVAGLFANLCKGAVFDFDEADQNYLRPVCQVLDRRFRSRPRRWMASLRQKYFLNPWLTAGLVAATIGLVCTVIQAVYSVLSYVKPGN >OGLUM08G12820.1 pep chromosome:ALNU02000000:8:14180702:14181242:-1 gene:OGLUM08G12820 transcript:OGLUM08G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDPHAVAATAVGSAAGGRREGAEGAAAAGTILSRRHRRRIHPRPLPTTPPTPDLPREGGDDERGESIHDSLSLPVSDHSLHLKLLASEYRRRILQDHVFALEEDLRGDGIVDLSGNVEGKRRPATMLWIGRRRCGGSAGDVEKRNKRESEKVRRERGGERREERGKKRERKSR >OGLUM08G12830.1 pep chromosome:ALNU02000000:8:14184291:14186304:-1 gene:OGLUM08G12830 transcript:OGLUM08G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDQHVIEMASLLAQELKHELASLNSPTTGRAGGESSPPSIMIDKVAELTRNVDKQEYEPHFVSIGPYNRSCDCKSKLARDSDKVGRLQEVLSAAAAHTTAPLQLEDFITELARMEARARRCYKLSFDHVQSKDFLRWLLLDGCYILVRFGDVVMRRRPEDEEVEEEEETTADGIMLRVRRWFHVASYTGLLRRRLLGACYVLVRLRDVVVGRRSKAPVAAEANGGNRVVPSVEEREESAVDQQEAVAVVRDVFYLAENQIPFFVVDKIHQLTFLDGQTPAVHAIARYAHELMRVNGYSVATPTKVEEPERPPEPANLLHLLHMHFTPTVLTSAATTGSRRGGGRPVGRWRTAMEYYFAGVTFKKRPLDRRGGARCVLDVEVSGCGGGTLEMPQLNVDAETWPLLRNLMALEQSNPSAAGSHVTAYCVFMSQLACTAADVELLSRRGVIVHGLGHXATMPEHSGRANDESAPPSIIIDKVGELTRNVDKQEYVPHLHLHWGCTTTRRQRTPPRHCSWRTSSPSSCLFGSTQQFG >OGLUM08G12840.1 pep chromosome:ALNU02000000:8:14205024:14206685:-1 gene:OGLUM08G12840 transcript:OGLUM08G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQDEHVIDVPSILAQELTTELASLKPPSTELAVDGDPSPTPPIIIDEVGERTRNVDPHEYLPHHVSIGPYSRVSNADLARDDDDKLRTLQEVLAAAAAYSTAPPLQLKDFVAELELLEARARSSYRHTFGVVSSKEFLRWLLLDACYILVRFGDVDDVVGRRPRPAAPAAVANANGVVQGGGNRVAPSVERRASAADQQHILDVVRDVFYLAENQVPFFVVEKIRQMTFLDHGTPALDAIARFAGKLLEQKQYSVATPTMVGPPERRPEPANLLHLLHMHFTPTVLTSAAAVGGGGAPVGRWRTAMEYYFVGVKFKRRPLNRRSKGGALSILDVKVSGGGGGGTVEVPQLNIDGETWRLLRNLMALEQSNPSAVGSHVTAYCVFMSQLASTPMDVELLSRRGVIVHGLGNNGEVAKRFADLCKGAVFDVDDADQNYLRPVCQVLDRRFQSRPRRWMAWLKQKYFANPWLAAGLAAAAVIFVCTVIQAVYSVLSYKKG >OGLUM08G12850.1 pep chromosome:ALNU02000000:8:14209140:14210356:1 gene:OGLUM08G12850 transcript:OGLUM08G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSRLASCKLKQLRAVVLFLTIVNMRDTPGRTRMRGVTQSSRGERIPYGVETRWTVTSISRARRRRRRRMRFDQEDKNNM >OGLUM08G12860.1 pep chromosome:ALNU02000000:8:14211130:14216396:-1 gene:OGLUM08G12860 transcript:OGLUM08G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLSPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >OGLUM08G12860.2 pep chromosome:ALNU02000000:8:14211130:14215737:-1 gene:OGLUM08G12860 transcript:OGLUM08G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPILCRAVMQAKLPVTMISNSLTKSGQLGTAFLGSVCKYRNITRLISPIYQPAQKNFAPVCGSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >OGLUM08G12860.3 pep chromosome:ALNU02000000:8:14211130:14216396:-1 gene:OGLUM08G12860 transcript:OGLUM08G12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKCTKGQKRKVGHLSPTASPSLSGGSSPDKAKAFSSAPTDPHSRSPGEVEKIIHPHLLVPRAPPSTAASSSSSSPVPAFALPPRSRRAHSRRASWFGEGARRPDSALDWNRIQVEVRSGAEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRINKRIQEAYCAELFLTKVGDHTESITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRSVEPARMAIAAGMSDGLLFTELDRPDGQPCVEAQEFGLIRNMLIAAVEERYKDAATWRDKLMLLRDTQL >OGLUM08G12880.1 pep chromosome:ALNU02000000:8:14248374:14251492:1 gene:OGLUM08G12880 transcript:OGLUM08G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSGGILSSGITSGSSHGTRSSGSEGDIQLQVQMDLKRKRRKESNRESAKRSRLRKQQHLDDLTSQVNQLKMENKQLTTTLNMVTQSCAFAESQNSVLRTQMMELDSRLSALREIIFYMNNGNQSPSNPTIAATTYPSTTTMTAATTGHYDYYDAFTANAWGSGMQMIQQPIDQFLYQC >OGLUM08G12890.1 pep chromosome:ALNU02000000:8:14251782:14251982:1 gene:OGLUM08G12890 transcript:OGLUM08G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTSPAARNPAPAGYLVHAWHRFCLNAWLRRVGDGATRLVGWRGEVAEIAVRTNTPAKRRMRKTS >OGLUM08G12900.1 pep chromosome:ALNU02000000:8:14269263:14269715:1 gene:OGLUM08G12900 transcript:OGLUM08G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDHSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRITTNPRTKVQLPPLRQPLEFLSTCEMLESPESPNCTVVFSSSAEVEEESYLLHCHPGEEEWTKLVYSKEETGTSW >OGLUM08G12910.1 pep chromosome:ALNU02000000:8:14319285:14319903:-1 gene:OGLUM08G12910 transcript:OGLUM08G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLARHSLRALLNLLLLLLLTVVVLAASMYIPLQLPWQLIDLNEQDVYLYIPDRNGACVVAWQQPPLQTLFGSP >OGLUM08G12920.1 pep chromosome:ALNU02000000:8:14324783:14325079:1 gene:OGLUM08G12920 transcript:OGLUM08G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGKVEMSFMVPVEVTRGSMRGVIVDAALGGGGEANSTSEGDLGRWRRGQLLWEFEASQQWWLGEAHTASLEECASEATQVTWAVLGIVIVVGAAAL >OGLUM08G12930.1 pep chromosome:ALNU02000000:8:14343137:14345159:1 gene:OGLUM08G12930 transcript:OGLUM08G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEITDLSQYLGPELDKKKAKLEKAKSKLLELEKKADIEENPRVTAVESVMQALVFLDSEHDVNMIVSDYCMPEMTGYDLLMEVKKSPRLVHLPVIIASSDNIPERIRKCFDGGAKDYILKPVKIADVPRILNYI >OGLUM08G12940.1 pep chromosome:ALNU02000000:8:14350838:14351026:1 gene:OGLUM08G12940 transcript:OGLUM08G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTVGNGTVDAHGESWEVEQLYVCNDSVLPTAVGINPMITVQSVAYCVANGIADSLSGKTT >OGLUM08G12950.1 pep chromosome:ALNU02000000:8:14353484:14358563:1 gene:OGLUM08G12950 transcript:OGLUM08G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAAAVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVSFGVIGLGVGGTLLSYGFGAYFNLLPGSEWSALMLTYGFPLTIIGMALKARTIPHYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >OGLUM08G12950.2 pep chromosome:ALNU02000000:8:14353484:14358563:1 gene:OGLUM08G12950 transcript:OGLUM08G12950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQCAVRPLAALPAAAGTSRSRRVGGASHVAVAAVPSSPRRPRRAAAVAPVRAAESSPPAAAPAPPSSGAAAGKAVVPDEEFTLAKVWIRGVFQPASWFGVYAELKPVPCTTYADAFALREKCATPILNQVRSDVTRYRYGDEQHLDEALKRIFQYGLVTDDGKYSLVLVFEAKALEISDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISETT >OGLUM08G12960.1 pep chromosome:ALNU02000000:8:14360027:14360335:1 gene:OGLUM08G12960 transcript:OGLUM08G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTTFAKPAVAILSALLLLFFLLCNSSTSSPHEQPSVLRSRRLLSQCDGAGSCSTRVDGLGRFEKTPKAVFESLKRVPSSKSNPSHN >OGLUM08G12970.1 pep chromosome:ALNU02000000:8:14361401:14371425:-1 gene:OGLUM08G12970 transcript:OGLUM08G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLHSPHSPASPAPPPRKSTRRRRRSVGLVVSHLDQGTGEAVAAVVWTGDGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKRRTFLPSSSAAGCCFRVASSVLHSCCYSASSPSIHSLSRPRGKPGNATLRATPAPRSQNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >OGLUM08G12970.2 pep chromosome:ALNU02000000:8:14361401:14371425:-1 gene:OGLUM08G12970 transcript:OGLUM08G12970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLHSPHSPASPAPPPRKSTRRRRRSVGLVVSHLDQGTAAVVWTGDGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKRRTFLPSSSAAGCCFRVASSVLHSCCYSASSPSIHSLSRPRGKPGNATLRATPAPRSQNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >OGLUM08G12970.3 pep chromosome:ALNU02000000:8:14361401:14371425:-1 gene:OGLUM08G12970 transcript:OGLUM08G12970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHSHRCVAHLQFSSCFLFVPLRGFTPCPRHSPASASTPLHSPHSPASPAPPPRKSTRRRRRSVGLVVSHLDQGTGEAGKMPDIQLGCHTIRSHGTSVARLHMYDWIILLFLAVVDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPKFDNVTTNVICHGEKSVIKEGHKSFPRSFAGLGFLAWYLAGKLKAFDRKGHIAKLCIVFLPLLVASLVAVSRVDDYWHHWQDFFPYPFDADAVWPHAYFQQLADTQSNGIANSYNMGPTDIEIADEGHGAISLRDTSPILDTMESEKRRTFLPSSSAAGCCFRVASSVLHSCCYSASSPSIHSLSRPRGKPGNATLRATPAPRSQNCNISRRCELEEEEPLVSRQRGSEPGIMADTQLGCHTIGSHGIKVAKFHLYDWMILIFLAVVYGLFNIIEPFHRFVGSDMLTDLRYPLKGNTVPFWAVPLIAIVLPWAIFGGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKNGVGRPRPDFFWRCFPDGKPNFNNVTGDVICHGERSVIKEGYKSFPSGHSSGAFAGLGFLAWYLAGKLKAFNREGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGIIGLTVASFCYLQFFPYPFDADAIWPHAHFQQLAESRSNGNENSHNMGWTETDAFHEGAGAVALRDTSLVLDSMESGRRF >OGLUM08G12980.1 pep chromosome:ALNU02000000:8:14373365:14378655:-1 gene:OGLUM08G12980 transcript:OGLUM08G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTATPMLLQPISGAGAGARRSSAEGLSPPPTARLRRRVLCAIRADAPPPIHLSAAAPSRPAKPPVCTADELHHAPVSGAGWRLALWRYRPPPHAPARNHPLMLLSGVGTNAIGFDLSPGASFARHMSNQGFDTWIVEVRGSGLSIRDHDNSASSPSGAFDDISNGTLALDKSSTLEVASVQSSGFSGIDYDDLGIVALDDPPLLMEMTSFFDRISKLLEEASLNKNFHEITDKISVLSEMVESSAVIGPMREESLRLLKNFQEQIDSWEHFVATQMNLNSEYNWDFDHYLEEDIPTAVEYIRQHSRVKDGKLLAIGHSMGGILLYAMLSKYGFEGVPSNLAAIVTLASSVDYTTSNSSLKMLLPLVHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNHQISAQDMMHPELLSKLVQCLQRLSFNLQQLFGKGGYAIELKLSHTKIICDITPVLALAGDKDLICPPEAVYETVKLIPQHMVKYGVFGKPEGPHYAHYDLVGGRLATDEVYPCIIEFLSHHDQ >OGLUM08G12990.1 pep chromosome:ALNU02000000:8:14380458:14381420:-1 gene:OGLUM08G12990 transcript:OGLUM08G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWSHAWGNEATTAWGNARDNEALAQHTLLDAQLGVRIKGARESVLLGLTAMVEYVNYFGTEEVLLKQISSAAPSVPKIMPTLKRLHETYLDFYQDHTENFQQYLYLLAPLRRNHTIAAMSVRRGAKVTAAPVPVQREGRLALAA >OGLUM08G13000.1 pep chromosome:ALNU02000000:8:14404703:14408591:-1 gene:OGLUM08G13000 transcript:OGLUM08G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperones superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02450) TAIR;Acc:AT4G02450] MSRHPEVKWAQRIDKVYITVQLADAKDAKVNLEPEGVFSFSATAGTDGNLYESKLELNDKVNVEESKISVGVRSIFCIVEKAEAKWWKKLVRDDQKAPHFVKVDWDKWVDEDDDGADVNVDGMDFSNFGGMGGMGGMGDMMGGMGGMGGMGGMAEMMGGMGGMGGMGGMGGMDEFEDESDDEEEVSKPQDAEKAAEAGKSQESDAKAETS >OGLUM08G13010.1 pep chromosome:ALNU02000000:8:14425989:14426528:1 gene:OGLUM08G13010 transcript:OGLUM08G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQRFKLLATRCAAGAAAPSPSRSPAPSYAGASPGYRLRRRRRRRGGVDGRLRRFLSRRVGVGGCGGGGGGGGKEAAAAREQEEYRKPLVGRGGRTLRDLFVASPEAARRRGGDDDEGGGIGGFRSGHGGGGGGGGGGRRFGSGGLRSLLMRRSWRPVLVAIPEGEGRPELAVIEE >OGLUM08G13020.1 pep chromosome:ALNU02000000:8:14429630:14433363:-1 gene:OGLUM08G13020 transcript:OGLUM08G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGIVVSNKMQKSVVVAVDRLFHHKMYNRYVKRTSKFMAHDETEDCNIGDRVKLDPSRPLSKRKHWVVAEILRRAKVYSPSAAAAAVSAQPGATVQQAEAASKS >OGLUM08G13030.1 pep chromosome:ALNU02000000:8:14466331:14468661:1 gene:OGLUM08G13030 transcript:OGLUM08G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQFRGELRKP >OGLUM08G13030.2 pep chromosome:ALNU02000000:8:14466331:14469348:1 gene:OGLUM08G13030 transcript:OGLUM08G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATAARWAAKKGKPKMAPIELPAPPEQAQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMMRWMREKQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKRDHHRQQQQQQFRGELRKP >OGLUM08G13040.1 pep chromosome:ALNU02000000:8:14467933:14471429:-1 gene:OGLUM08G13040 transcript:OGLUM08G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ortholog of maize chloroplast splicing factor CRS1 [Source:Projected from Arabidopsis thaliana (AT5G16180) TAIR;Acc:AT5G16180] MAPPPLHLFSPSPRPPSATPPPWLHGPHVPSTSVSPPDPATEAPPAPKQHRGPRPAPRNPGSAKPLTAGVPGGRTRRAVLGIIRKVRSLELSDPRTPSPNGGGGSSSSSTATARVPFHLPIHPPPPEREEEEEKKGIRRAVPWAAARDEETKVVLRREKKTRVPTRAETELEAGELERLRRAARGKERWARAKKAGITDEVVEEVRGQWAKGQELAGVRIVEPLRRCMDRAREILEIKTGGLVVWTRGDIHFVYRGSSYLENAKRHRDFVNYNEELSPVTSNNPTSQGKYWSKDETLTNDNDEADDKDDKPIKGTLYEREVNRLLDSLGPRFIDWWWNTPLPVDADLLPEVVPDFKTPFRQCPPGVRPTLADEELTYLRKLARPLPTHFVLGRNTKLQGLAAAILKLWEKSLIAKVAVKVGIQNTNHEQMARNLKRLTRGTVILRNKDYIIIYRGKDFLPGGVAESVIERESQVHDQQAKEEEARLKMADSLQMIVGLSSERSYVGTFREYQDFHDSHARRTTENNFRIQLEAKKHRLEKELKDQEWRLSMLTKKIERSNQVLAKLHSSWSPSKKDGDRELLTEEERRIFRKIGLKMDEHVLLGRRGVFEGVIEEIHQHWKHKEVVKVITKQNQASQITYTSMMLEVETGGTLIAIERFTTSHAIILYRGKNYRRPTKSAPSNLLTKREALQRSIEVQRRGSMKYFARERKKSIDELKRELRNVTWEIRKLNHDTEQSWTA >OGLUM08G13050.1 pep chromosome:ALNU02000000:8:14471743:14472102:-1 gene:OGLUM08G13050 transcript:OGLUM08G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVDKSGDNAGAASLLLLLVAPAVSVIGILLWLARARSNLEPAIQELHRRHGPVLTLSFLSPRPTIFVSRHVVPHRALVRCNTVFASRPPAIVPFCVLTTSQRTVSSTPCPNMWVTH >OGLUM08G13060.1 pep chromosome:ALNU02000000:8:14472241:14472901:1 gene:OGLUM08G13060 transcript:OGLUM08G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFPVPTMLLRSLFFFLLRPTSLLLLFSQQQIADRVLRMTLGWAAKGAFATSNLYSFTIGDGYECVTGVDAVEERVKEEEPDAEERDGDGGQAHPRGVPLSPPTLQRAHAGLGARLGRVREEKE >OGLUM08G13070.1 pep chromosome:ALNU02000000:8:14478351:14481505:1 gene:OGLUM08G13070 transcript:OGLUM08G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRPRVEEEVDDDDQDGSSGSAEEHQQRRHGARRLRPVLSFRSVVRRAVAAETIQQIVLNLEPVIRRVVREEIRNIFPQYGHDLPHRSLPLQIQDVGVSPPLKLVFTKQLKLPIFTNNKLVDIDNNPIEIQLVDTRTNLIVTPSNTHLGYSAIKLEVLVLDGDFRYDEDGARWTDDQFSTAIVKAREGRRPLLVGTVSVTMSNHGVAVIDDVSFTDNSSWIRSRKFRIGVRVVMLTDSCGLRIQEAVSESFTVKDHRGELYKKHFPPLLTDNVWRLRNIGKDGPIDKRLEAEGIKNVQDFLKLNTMNPNKLKSLVGMSDRQWSATLKQAKSCDMGGKCYVFKSEGCEIKFNPVGEILAVRFGGRMCSLHELLPHQMVQIKQLVSQAYQQWDQMEEVQNEMALVDKSLIPFHDEKPMISSGMPSYINQAEGLMENSGWEPSEMSQESMISSASQNAMYVDSLGTATTSTAEMVTNNISTLEPASTVPDSALYSWNSGMAADDHFSWQNNTNLVPWDHAN >OGLUM08G13080.1 pep chromosome:ALNU02000000:8:14505201:14506088:1 gene:OGLUM08G13080 transcript:OGLUM08G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEEPPPAAGGDDDREVELPEDVLAEILRRLPPRSLAASRCVCTDWRSAIDSRRLLRPASSRCRSPAFSSTSTPSGSRSSSLAHLSHRQPWARLPPLPRTFAKLEYGFFDKEFIVFDTTVSLHYEVFNIQFVDVGWYNVKTMDPILKKSEWPPSPLVLCVFSSATGRWEERSFSREGDAAGTVAYGYPCLMGPIK >OGLUM08G13090.1 pep chromosome:ALNU02000000:8:14509116:14509867:1 gene:OGLUM08G13090 transcript:OGLUM08G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYMIAQGSNHGQLVVHKGDHHGLTITLLLAAAFFFLLLLSSSLVKINEKPPKLDHRKLAAAAGGTNVVEGRIAVCLVGTARRFELTGPSIARNVLAPQYPHADLFLHNPLDRDSYKFGLLKDAP >OGLUM08G13100.1 pep chromosome:ALNU02000000:8:14512010:14512525:1 gene:OGLUM08G13100 transcript:OGLUM08G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLLLLLAVTASAQVLCRSQFNLANEACSMRTLPGVMRPPLVPPRPLKLNETSVTAGGRRHGLQSRGGGGGGDGDYYYDDADGEEQGGGGDEEGRGRRRRHRHRRNVDDDESREDPHDTACCRRLMSLDNSCVCQAAARLPAFMTAVRHVVRLTPVDGCHVTFECPGSF >OGLUM08G13110.1 pep chromosome:ALNU02000000:8:14512824:14513723:-1 gene:OGLUM08G13110 transcript:OGLUM08G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFRRIRISYSDPDATDSDSDSDSADAGDHDISSRSSRTNAAARRKVIVISLSRKKGRSSSQSGRHYRRRRSEIPAAAAKAVEAEDWPSSSDGMRRGAVAAARSASAAAKKGSRGGVYQRQPGRWAVDFRDSQLKVRQWLGTFPSEEEARAAYDAFEVQVRASLSCGGAGAVAPSPARVKIQLPAPRRVFGRRKKTQTTTTTTESSSQATVSASPSSEAAALPSSSASAAMPPPLNPPPFVVDPFLGEDDHLAADDDRFGFGLADLGHLPLPFLDDNDMDFKLSDSDDLSSLFDIGFM >OGLUM08G13120.1 pep chromosome:ALNU02000000:8:14529171:14535651:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPGYKGSGNL >OGLUM08G13120.2 pep chromosome:ALNU02000000:8:14529171:14536032:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVQSSCSHAWDAFQVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPAR >OGLUM08G13120.3 pep chromosome:ALNU02000000:8:14529171:14535651:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPGYKGSGNL >OGLUM08G13120.4 pep chromosome:ALNU02000000:8:14529171:14535651:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPGYKGSGNL >OGLUM08G13120.5 pep chromosome:ALNU02000000:8:14529171:14535651:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGANAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPFSTMPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKICNFHTLSLLGSCSHAWDAFQVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPGYKGSGNL >OGLUM08G13120.6 pep chromosome:ALNU02000000:8:14529171:14535651:1 gene:OGLUM08G13120 transcript:OGLUM08G13120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQELEYWTHHHPSKQSNMAPPLARLPPGDLPCDPDSTQNPSSGANAMSQTQHHPGRRRIRSKWMRPKTPKSSSSRSSSYESSSSSSASAAAGQQQPFSTMPHFPSGSRVKCTVLAVLCGKVGKQRAPPCPVPGSQRPRPSYPFPELISSGRLEVHTLINPTVDQFLEAQRALQPRFMYLQGQQLDNEEKIGTLVWGDADVSDPQIFSSLIRPPFPTIVYLEVPSGEKIAQSLQSKVAYATFQLYCVRNNEVQRLMLGPHLLGDAPRIYITPPGNEMAEEEDTSEYFPDIKIYDENVNLKLLICGAHCTLDSSLLNSLEDGLNALLNIEHTHIEKLSSGGGDWWFRWCKLQDRVSAAPPLHVDSTLLDGMVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVNEDGPSPAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVCSFDSQDVDWLLFFRTIQDEAIGTSLYPHPPRWSASLAKDRVKGSMVSKPGYKGSGNL >OGLUM08G13130.1 pep chromosome:ALNU02000000:8:14538362:14538682:1 gene:OGLUM08G13130 transcript:OGLUM08G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSPPVLLSPLPPPDAVEGSGSGRGRRRDATVATDLAVVRRAAGGSDGGEARQPSPARIRLRRIQWWGCAAAADQVVKRHGSSGFNSPSRISTVKGAGGPGHP >OGLUM08G13140.1 pep chromosome:ALNU02000000:8:14553588:14553839:-1 gene:OGLUM08G13140 transcript:OGLUM08G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAKIAVVCLVVLSVGQSMMMTAAATTAATGATTCNCDTSGCYNCMLDVVTNWCKGTDFNWMVFTACLIKYSKENQCFSK >OGLUM08G13150.1 pep chromosome:ALNU02000000:8:14588712:14591902:-1 gene:OGLUM08G13150 transcript:OGLUM08G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKSTGTSIYPIIQQFILLISHSYTYILQIQRFISIYPRIQRPRLLPHSPRTRTLHRSPKPRRAPPPPHTTGDTQTHADPSRAATRALSAAPQRRQPGPSVAARLGLSIASCRPPSAVAALLRFSISSPPLLRSPSRESRAEQGRLPSPKSCDARRTTTLPSHPRPLPTGTAALIAAYSPIPISRAQQGRGAAPSSCCILVLLEASESITDD >OGLUM08G13160.1 pep chromosome:ALNU02000000:8:14663515:14663976:-1 gene:OGLUM08G13160 transcript:OGLUM08G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAATAGSMSPNLVEAGSGGRCSGGVGGVEEEATDAGGWGMEAAATRSMSPNLVEARSGNWRSGGVGGVGEEAADAGGWEMDVAAAGSTSSNLVEARSGGRLSRKRLWQPDLYPGGQIRGWPSVWRQGRRQRRPDPCLGGQRRLDPTTDGWEG >OGLUM08G13180.1 pep chromosome:ALNU02000000:8:14696807:14699632:1 gene:OGLUM08G13180 transcript:OGLUM08G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSAVVAGIATFAPISRIKQRTGGAPSPCCIPTFPKSIFKSETFGHASPPGAAGVQCCPATPLWLILTLSLKMELGPLWLIVCGLLFHRRLRLIPRFLAASHWPIGIQKWVKRAIAMGPMAMAAALLLMLSLARRSSSLPYLLAFVKEKMAIA >OGLUM08G13180.2 pep chromosome:ALNU02000000:8:14696808:14699708:1 gene:OGLUM08G13180 transcript:OGLUM08G13180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSAVVAGIATFAPISRIKQRTGGAPSPCCIPTFPKSIFKSETFGHASPPGAAGVQCCPATPLWLEYYDFYLCNQIRQQTLVADCVWVAVPPPSPSDSTVPRGLALADRHTEVGKESYSYGAYGNGSSAASHVVSGEAVVISSISPCICEGEDGDCLRSGPSALILRCHCSQLSSLCCVFS >OGLUM08G13190.1 pep chromosome:ALNU02000000:8:14725994:14729268:1 gene:OGLUM08G13190 transcript:OGLUM08G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITPVQHCVPLSSPSSAVSSSGRGGKRQGAPPPASFGDAAKRAQSHGGHPANDSIRASGGLSSPISSATHGRCLFFCRNIKFLYAFVMLDEMVESAVHNLSARCHAMLQQRRKLIQFPSASGPCYVCLRLLRYRLSHEAMTVFWVISAATYWASNGVKGKSDHPMPASDPPLAQLFIKEILMVKAPTIQAVISCSELMHDSGDLKHRSWNVRILSLILFHVTYVLDVKYFDVKYFDAH >OGLUM08G13190.2 pep chromosome:ALNU02000000:8:14725994:14729268:1 gene:OGLUM08G13190 transcript:OGLUM08G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITPVQHCVPLSSPSSAVSSSGRGGKRQGAPPPASFGDAAKRAQSHGGHPANDSIRASGGLSSPISSATHGRCLFFCSVKQIGQTLCKYRLSHEAMTVFWVISAATYWASNGVKGKSDHPMPASDPPLAQLFIKEILMVKAPTIQAVISCSELMHDSGDLKHRSWNVRILSLILFHVTYVLDVKYFDVKYFDAH >OGLUM08G13190.3 pep chromosome:ALNU02000000:8:14725994:14727556:1 gene:OGLUM08G13190 transcript:OGLUM08G13190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTITPVQHCVPLSSPSSAVSSSGRGGKRQGAPPPASFGDAAKRAQSHGGHPANDSIRASGGLSSPISSATHGRCLFFCRNIKFLYAFVMLDEMVESAVHNLSARCHAMLQQRRKLIQFPSASGPCYVCLRLLRYRLSHEAMTVFWVISAATYWASNGVKGKSDHPMPASDPPLAQVYLSLPSFVW >OGLUM08G13200.1 pep chromosome:ALNU02000000:8:14766151:14766495:1 gene:OGLUM08G13200 transcript:OGLUM08G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRRPSGGASSPDPCGGTWVGVTFNGEGRVTAINASRGGLTGHLVGADLSMLASLSELDLSFNALRDDLPVLPQPLGGLRALDLRSNSPTASSPPSRRSRPSTSTTTRCRP >OGLUM08G13210.1 pep chromosome:ALNU02000000:8:14766507:14768244:1 gene:OGLUM08G13210 transcript:OGLUM08G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDVTSCPSLRSFSAVNVHFAGGFPNYFGNATLFPELESLSLARNLLWGEITPEFEKNSKIPSYS >OGLUM08G13220.1 pep chromosome:ALNU02000000:8:14781149:14781604:-1 gene:OGLUM08G13220 transcript:OGLUM08G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESVISNGGCGEEVVVMSSGGGGGVGGTERSGGGGSDGGGGNVVQVLQQRFSKVQGILEQNGALIQEISQNREARIADGLTRNVALISKVHTNTARLVDLYTNLCGSFSNSITTSNATSTNNTKTTNSSSPSATASAKASKQPGTIDTQ >OGLUM08G13230.1 pep chromosome:ALNU02000000:8:14818592:14818882:-1 gene:OGLUM08G13230 transcript:OGLUM08G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPFSFLSTSVISLPLSLFSLPFFGSSVLRHGRQRVLHLVVPAADMAPSPPSSRESVAGVLLRHGRRQALHLVVPTGRRDSLAAVVEEIGGVHAT >OGLUM08G13240.1 pep chromosome:ALNU02000000:8:14826100:14826389:1 gene:OGLUM08G13240 transcript:OGLUM08G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARVCASVLDALIHAVVGGPAPPPSSEPCCLLIAGLADVDAAVCICLAIKASVLGDGVKLDDVAVDLPLLVNYCGRNVPEGFKCA >OGLUM08G13250.1 pep chromosome:ALNU02000000:8:14826407:14831506:-1 gene:OGLUM08G13250 transcript:OGLUM08G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGKLLGFALVLLPDVVAAEGGTLACEFSLSTNDIFHSHVGFLQLELSYIGVVPISPTPKPALANVEEHEAAGASAGGAANGKEYGKIEFPDLNLVEENQITLSMAHPTPQPLIYPR >OGLUM08G13270.1 pep chromosome:ALNU02000000:8:14834021:14834239:1 gene:OGLUM08G13270 transcript:OGLUM08G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSVPRRTVLQPPLRLSGITRHLILLEAICDACLCGAHNGGWVTVAVDPWQRFAAVNVFTSVRVIQMTPE >OGLUM08G13280.1 pep chromosome:ALNU02000000:8:14837632:14840439:1 gene:OGLUM08G13280 transcript:OGLUM08G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHARAPPLLRAPPRACPASQLAMRRRLVLLPVAASAGVGAGGGPELRTCKNCKKQYDPAANHPSACRHHIAHFGGETKRKFESVYAGGTLDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >OGLUM08G13280.2 pep chromosome:ALNU02000000:8:14837632:14840439:1 gene:OGLUM08G13280 transcript:OGLUM08G13280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPHARAPPLLRAPPRACPASQLAMRRRLVLLPVAASAGVGAGGGPELRTCKNCKKQYDPAANHPSACRHHIAHFGGETKRKFESVYAGGTLDTPDSGKVFQYWHCCGSEDPFDVGCTASPHCSYDD >OGLUM08G13290.1 pep chromosome:ALNU02000000:8:14846200:14846538:-1 gene:OGLUM08G13290 transcript:OGLUM08G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGCYRTGCRHSCPATVAADDGCGFGFQSVSASVVVGGCRGPYGGGGHRCPSPAPVAADGGRRFCPQSASGSAGPNSYGWMSSSVMVDWWMSMAVEAPVEAVLIDMPVWT >OGLUM08G13300.1 pep chromosome:ALNU02000000:8:14862740:14867028:1 gene:OGLUM08G13300 transcript:OGLUM08G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPACISSFPPPPMAAAAAPAPETTIDVFVVANHTPVTAAADKSSCEGDVVAGGGRTVRRPRTVARTLECERRVVGEGFAVRRGIGRKELDSLDPFISLDEFEFSPPAGFHDHPHRGFENVTYMLEGGFSYHDFSGHKGTINTGDVQWMTAGRGVVHAEMPGGHGVQRGINLWINLSSKDKMVEPRYQELASHDIPAAERDGVSVKVIAGEALGARSPLQTRTPALCLDVAMRPGARLRAPVPPGWSACSYVIDGEAVFGDEAAAAGAHTCVVFGSGGDGVAARATERAAARFLLVAARPHGEAVVKDGPFVMNTREEVEQARDDYRNRRNGFEMAAGWSSDHVATAAAAH >OGLUM08G13310.1 pep chromosome:ALNU02000000:8:14875504:14876646:-1 gene:OGLUM08G13310 transcript:OGLUM08G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSYPGLLTALQYLTSVAGVWTLAKLGLLYHDPFNFQTAKKFAPAALVFYLAIFTNTYTSPEAKENNLCHGFHSNRRVVNKFLTVAINVMIWDKHASSIGLVCLLFTLAGGVLYQQSVTTKWNSPLLREAVAKQGNADNDTAELDEEKQKLGFFS >OGLUM08G13320.1 pep chromosome:ALNU02000000:8:14877348:14877542:1 gene:OGLUM08G13320 transcript:OGLUM08G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSHRSDEGAYQESSRQYISRVDGFPREGEGQLRRWRPAGSCRDPRVGEVERIGRRGKGMFVV >OGLUM08G13330.1 pep chromosome:ALNU02000000:8:14877776:14888553:-1 gene:OGLUM08G13330 transcript:OGLUM08G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGLSKLFFVFKSSSSEDLNPTYLQIVELTANTEANQGRIFFTCPSHEKGGSGCDFWYWEEGYIKYLNRKGLIEQNTCAKLLNEANQREMQRGTEEIKLDADVKKKSTVQEIRKDADVEEFKQADHMLLILREMMVMMKLMLVGGVCSVGLIAKPSCVGLQCAMRCETFEMSKWQPNIHQFCIAVSHSLALQKKVSGEKGWPDSWSVNHDKLIVRMPDWCSGSNFKHRWGGVRFKRTMLELKPDQKQFIIDNGFESFLSLSNFKVHSQPAEWIMQKMNPEICEFRFRGKVIVFDKLLVQKITGLNDGDLPIKLSGATSEVVKEIRTLYHPYFVSNRLGTGMCEKLLLSLHDEEKFLRTFILYLLATILCPATGNYIAYMDHLQMPTTGLHLRIIDYNTPRFCHVTDEDFEYVAVVDRCRMNLGYVTYGSRPAENAGVARAEDVPIGAVQDGVGIGAAVAQDSVAQDFASLNGWIRLSASSSQGITFSASLKSIIEKHSAKWQDEFVSALDNFKRDMIDLRAKRTCDMISDISKVLADSNTAVGISEAVSNPPSTELAAEVVSNPPSIEGAAEAVSKPSSIEGAAKATDFDGPSKEASGSSMPSSPAVDDYIFASRSDISNLDDACDAPSFRLFNESDPDFISTQDLAAEMLSLDVSNDTFSITSVTYFYKDIFCNSFTHCKDTFSSPPFTHCNKNINFSSSLSSSSKGNIPSYIFTATCYKLAISSSGPSTHEKKNRKKRARKGDSDVEAKKLKTTSEIDDVYRRCVVDSLPNRSRKADAKELTTPFLRIGEFHVSLEYFCEAMKPRGELNNKVMSCWIEMFNANCREDSKMKSSDKLICSPEKFVSESYVKWLKSINKEHKLPKLDLLFFPIVRDKHWVLTCINLLREQINYFDSIKRDDISQWFILSQNLFFFLSQCRCAVQITNFTKVAVDAKIPIKDISKFQTCSPPQFDCGFFSLRYIENWDGKNLQAFNEGDMPNYRKFVTHMMMSHGIGGEVAGRWLRVVWRHVGVVAGFAGARRIGARGIGSPAPERIAGSSAAPVTPPRSPLKLVVNGGTH >OGLUM08G13340.1 pep chromosome:ALNU02000000:8:14890293:14924626:-1 gene:OGLUM08G13340 transcript:OGLUM08G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAMPCQVSVDLVHRHSPISVAMLLADRSVMAIQQPRALNYEGSRNHTQREREGATRVMEVFLVWRALVWLALITPAAALAQQEEAPGCRRRCGNVRCYRGGVRGFRLDCDDARRPPRLTVAGYGHEVTSISLAAAEVTVLLNASRACYGGGDYGRGRRGREEQPMSLNGSAFLFSSMKSKFVAIGCPGLAYFVDDGGDYVTGCMSVCRPSARALPGSCRGDDGCCQSNIPLGLASYRPRLRSFGRRQGGAFLANATACAYAFMVDAWWFWYAGSNFNRTGDFAVPVVLDWAIRPDAGSGSGSCAAASRTPLPSYACRSAHSCEHKDEYPCYGVCTNTAGSYACSCPKGSSGNASVEGGCRRDDKFTLALKTVTVALLLAYVGMEKRRMLRAKQRFFEQNGGLLLQQQLGSLAASGVAFKIFSEEEVSKATDGFAEARVLGRGGHGVVYRGSLADGSTVAVKRSRVVEEKQLREFSREMLILSQINHRNVVKLLGCCLEVQVPMLVYEYVPNGSLHRYIHGGGAGAGEGLSPADRLRVAAESADALAYMHSSASPPILHGDVKSANILLDAGLTAKVSDFGASRLAPAADEAEVATLVQGTCGYLDPEYLLTCQLTSKSDVYSFAVVLLELLTGRKAFCPPPDSAAGSQDDDDDDDDRSLAFFFLTAAHKGRHREIMDGWVREEVGGEVLDNAAELVMQCLSMAGEERPTMKEVADRLAGMRSRASDS >OGLUM08G13350.1 pep chromosome:ALNU02000000:8:14929399:14943000:-1 gene:OGLUM08G13350 transcript:OGLUM08G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein [Source:Projected from Arabidopsis thaliana (AT1G06560) TAIR;Acc:AT1G06560] MDSSASASASPTAAAAAAADADRYTYSPRLRWQPEVEEYFAAAYGRDRFARISEALAHPSCYSCIRVNTLKSSTDAVMHKLMNLVDQNGLCGGINGLEIGQQNGGEQAHEGNSVVHKCPYSGLDNVLFVQGSGPHALHYDSQPDQSIKEVIVSRKCAESVLRGAQVYIPGVLACSSHVEKGDKVAVSVAIEQPAEDGGWTVDAHHEERKGLYIGQGITAMSRSGIFRVPHGVAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAARVLDPQPGERILDMCAAPGGKTTAIAILMKDQGEIIALDRSHNKVMDILKLAAEMDLNCIKAYKLDALKSVRKTNEAKYIGEAGSRTDAIVTLAEDSEPCINKVDAGTTNASEDSSTTSVVQTDNKKTDDKRYVSKADLRKNLRRMRNGPGRNNCSGGRVENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETLESLRNHATYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDKYKFLSLGSQHPKVGGPGIVGSFELSTKKYTEEWLTKHESQLVQRFDPSSTLDTIASHV >OGLUM08G13360.1 pep chromosome:ALNU02000000:8:14948161:14953376:-1 gene:OGLUM08G13360 transcript:OGLUM08G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKVEKMASIDAQLRMLAPAKLSEDDKLVEYDALLLDRFLDILQDLHGDDLRELVQECYEIAAEYEGKHDSQKLDELGNMLTSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSALTESDIEETFKRLVVDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYCSQIEDLMFELSMWRCNDELRARADELHLSSKKDAKHYIEFWKKVPPSEPYRVVLGDVRDKLYNTRERARQLLSSGYSDIPEETTLTSVEQFLEPLELCYRSLCDCGDRVIADGTLLDFLRQVSTFGLCLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQDWLLSELNGKRPLFGPDLPKTDEIADVLDTFRVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAAVARLFSIDWYRERINGKQEVMIGYSDSGKDAGRLSAAWQLYKSQEELINVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIAPKPEWRALLDEMAVVATKEYRSIVFQEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGSAFKHILEKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEELWPLGEKLRANCEETKQLLLQVAGHKDLLEGDLYLKQRLRLRNAYITTLNVCQAYTMKRIRDPDYHVTLRPHMSKEIMDWSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >OGLUM08G13370.1 pep chromosome:ALNU02000000:8:14965120:14968778:1 gene:OGLUM08G13370 transcript:OGLUM08G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIGPIRQDWEPVVVRKKAPTAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTESLAHERVSSDLKKNLMQARLDKKMTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >OGLUM08G13380.1 pep chromosome:ALNU02000000:8:14973785:14974219:1 gene:OGLUM08G13380 transcript:OGLUM08G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSVISNGGREEGVVVANGSGGGVGGTARSGGGGGGKVVQVLQRNFGEVQGILEQNRVLIQEISQNHEARDADGLTRNVALIRELNTNIARVVDLYANLSGSFSRSVTAAASANNTNATNSTSPSAAAAAKASKRSRATDTE >OGLUM08G13390.1 pep chromosome:ALNU02000000:8:14977716:14978171:-1 gene:OGLUM08G13390 transcript:OGLUM08G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSFVNNDVGEEVVVANGGDGEGVGGMARSDDGGDGGGGNVVQDPPLSLGEVRGILEQNHMLIQEISQNHKARDADRLTRNVALIRDLNTNIARVVDLYANLTGSSHSHTTSDVANATNTNATNSSSPSAAAATAEVSKQPHTTDTDAK >OGLUM08G13400.1 pep chromosome:ALNU02000000:8:14996634:14997671:1 gene:OGLUM08G13400 transcript:OGLUM08G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCLAGVEPALPEHETEHHEASYVWMYGGTGQDVGGKERVGVESILIFEVKLPERAAEEVRGAAVLEDGERQRRSEQKESLASLVARPRSRGRRRQEHGGGAAAAALVGDAGGTESGGGDGDPEVLTRDGRGGRRRQEHGEERRQRPSSAVPAASDLEETGIPATLLAGWLLCGAGVAAAARCARREDGEEQRRWTSSAVLAAPDLELSSGWLLSGAGVAAAAWSVRGEDREEQRRRPSPAGRRRGRRGAVALGTKKMWCGVDNGKGNSVREEDLKSDGSGMVPILEFFSGMEPI >OGLUM08G13410.1 pep chromosome:ALNU02000000:8:15000620:15002075:1 gene:OGLUM08G13410 transcript:OGLUM08G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRRRWTVRGRNAGCREGEGKRRRGRKGEGDIVGVGVDGKADEELRRMVG >OGLUM08G13420.1 pep chromosome:ALNU02000000:8:15075717:15080493:-1 gene:OGLUM08G13420 transcript:OGLUM08G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKVSCDFNHVSRDTREVSCEPVRYRTISITYCVILTRFHAIPIMYQTISTTYRVILARYHVILVRYQTISTMYRVILARYKMILNRYQVPGIRPLKEGSNPPKCSQRVRLVADNYQVV >OGLUM08G13430.1 pep chromosome:ALNU02000000:8:15087330:15097888:-1 gene:OGLUM08G13430 transcript:OGLUM08G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPDDEWKAVENVCRFLKVFYDSTNVEIWAIKSTLVFYEIWAIKSTLDQNYSNEEDAGIVRMVDVMKRKFQDYWNISCLTLSVPMILDPWFKYSYVKFRFVQAFGDVANNKLSKVKKAIEQLFSDHSPKSYHRKKVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYICIHLLQLRVSYVESLIYPHGLLNLNNVKVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKAKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVRLVADNYQVV >OGLUM08G13430.2 pep chromosome:ALNU02000000:8:15087330:15097888:-1 gene:OGLUM08G13430 transcript:OGLUM08G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLFCHVLNGLLHVGPDSGLQKKWAWPRSHARGASQLAALQIYALQPRNRALMKNQHNEGIRLVSSFRLLFVGPDDEWKAVENVCRFLKVFYDSTNVEIWAIKSTLVFYEIWAIKSTLDQNYSNEEDAGIVRMVDVMKRKFQDYWNISCLTLSVPMILDPWFKYSYVKFRFVQAFGDVANNKLSKVKKAIEQLFSDHSPKSYHRKKVCNLWTWAVNSSGTDKVVLAASIISKSGKALVSRQYVDMTRIRIEGLLAAFPKLVGNGKQHTYIETENVRYVYQPIEGLYLVVITNKQSNILEDLDTLRLLSKLVPEYCPSLDDDGVCKTAFELIFAFDEAISLGNKEKVTVQQVKEYCEMDSVEEMEYKQMMQEKIKETKDFMKKKVIEIEKTRMEKAKHNKGGYSSISGPQVIEKSFNDMSISCTGFGSGSGLGGLNTDTDTFTSRPKGRTSGGTTGAGKGIGMKLGNTKKTNQFLESLKAEGEVIMEDFQPCSLQSRSSPLPPSDPVTVAVEEKLNVAVKRDGGVNNFDVQGTLALQVLNDADGLILLQIESQDIPGLSFKTHPNINKDLFNSQQILGAKDPIRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSILGNETYVNIEYEASEMFDLHSVIISIPLPALREAPRVRQIDGEWKYDSRNSVLEWSIILIDQSNRSGSMEFVVPPADPSMFYPISVGFSASNTFSNVKVTGIRPLKEGSNPPKYSQRVRLVADNYQVV >OGLUM08G13440.1 pep chromosome:ALNU02000000:8:15104775:15105089:1 gene:OGLUM08G13440 transcript:OGLUM08G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVSIHYFIRHMCRHAAARGSSAFSAASSASSSAAPVFPVVVRPASAEHAAEMERLISQLPLFTLASSLAALPKSSRARCRHPLLLRAVSGEEGDCRRRTEG >OGLUM08G13450.1 pep chromosome:ALNU02000000:8:15109738:15110148:-1 gene:OGLUM08G13450 transcript:OGLUM08G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTCSFNSTTEKGVTIVQGLKREYGQTKILKDLKKGIRLYFQDPKLGQVLRFKVINVKMF >OGLUM08G13460.1 pep chromosome:ALNU02000000:8:15111688:15112063:-1 gene:OGLUM08G13460 transcript:OGLUM08G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLVTARRDLGSSSPATTSSDDDNASPLKLGGSMVTGLLEWLRLKFGPPSSPPPGCWIGARSLMVANRGDSCSHAPILSTGVIQYISPPRVLRRRWQHAYSLEDNTPN >OGLUM08G13470.1 pep chromosome:ALNU02000000:8:15145295:15150395:-1 gene:OGLUM08G13470 transcript:OGLUM08G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTTVVVSRRRFVRRFVTAAAVAVAVVALQLQLLAAAAAKNNCSRRCGDIELEYPFGVQAGCYHPGFNLTCNQSYHPPRLFLGDGTVQVLGISIPNATVRINSSVITLYDDDRSKDVAWWGKGLSNTGPYFLSESKSLLALLGCNAQVDVMVPAAAADRRNQTVVGSCTAICPPSISDNSTIGAADDDVCSGIGCCQTNIMLGYPSYLIQMKVLEGVHLPILFVYMIDQGFNFSMDVFFGKHPRGLPASLDWIISNSTSTRPRNASAPECRSAHSSCRDSVANAHQGYRCECSHGYQGNPYIIDGCNDIDKCSSPDIYPCYGNCKNKPGGYDCDCLEGFKGNATLLKGCEGSTIALIVCGGSIVLFLVIASPFVIRVIKKHKEKKLKEKFFKQNHGLLLQQLISKNTDFGDRMIITLEELQKATNNFDRTRQVGAGGHGIVFKGIIGLNVVAIKKSKIVVQREISEFINEVAILSQVNHRNMVKLLGCCLETAVPLLVYEFISNGTLYHHLHIEGPVSLSWDDRLRIALEVARALSYLHSASSMPIYHRDIKSANILLDDSLTAKVSDFGASKYTPVERSEITTAIQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPISDTFDNGDNLISYFIKLLSKGNLVDILDPQVKMEEGGEVHEVAILAAICTKLKGDERPSMREVEMTLENIVLKKGPSCRGNTTLCRPDENGNSALQTLIEGVTTEASRQYSMELEMLSSSFPR >OGLUM08G13480.1 pep chromosome:ALNU02000000:8:15168399:15169622:1 gene:OGLUM08G13480 transcript:OGLUM08G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWMGASAGDSAVDARGESWEAGRLYVCNSSVQPTVVGINPMITIQSVHQTMVNLLVTD >OGLUM08G13490.1 pep chromosome:ALNU02000000:8:15189266:15192248:1 gene:OGLUM08G13490 transcript:OGLUM08G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITARDSSLTHQSLSKLRCRLQLARRLYDEPPSPKHLKPWPERLIDRNVRGKAVGGTITDGRL >OGLUM08G13510.1 pep chromosome:ALNU02000000:8:15284842:15286510:1 gene:OGLUM08G13510 transcript:OGLUM08G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVAAVGTFGGTVGTLMEITEAPPKRLASITVYVTQNDGGNARRVCAISFTYFDADGKDHKVGPWGCEITDEVTPNQVNIKGNERVIEISGTADGNIKSLNISTNYGITYPFGDKNVGKEFRIPVHNSAIVGFFALTSGVGLNAVGAYVIPENKH >OGLUM08G13520.1 pep chromosome:ALNU02000000:8:15314006:15314378:-1 gene:OGLUM08G13520 transcript:OGLUM08G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELSQQRLDRLRKKIFPSNQVIFELGHFGVSRHCDAIFEWSAPTAIGKET >OGLUM08G13530.1 pep chromosome:ALNU02000000:8:15363027:15364044:1 gene:OGLUM08G13530 transcript:OGLUM08G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLHFKRYVANSMQGNFSPLFKGLMEHVVSFGQKLWSDIPDLQHDEEDELYWKEWCSSPTLTAITAGSCHLMPIEGYGKDAIIFAHNHEQSTVVLFAQFMLTDLDLSLRYVRIH >OGLUM08G13540.1 pep chromosome:ALNU02000000:8:15366086:15371071:1 gene:OGLUM08G13540 transcript:OGLUM08G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVVLDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OGLUM08G13540.2 pep chromosome:ALNU02000000:8:15365735:15371071:1 gene:OGLUM08G13540 transcript:OGLUM08G13540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVVLDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OGLUM08G13540.3 pep chromosome:ALNU02000000:8:15365735:15371071:1 gene:OGLUM08G13540 transcript:OGLUM08G13540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADMKQEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILCLGTAVMIPTLLVPLMGGNAHDKAKVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFVIPVISIIKDPSLAQITDDHTRFIMTMRAIQGALIISSCIQIILGYSQLWGICSRFFSPLGMVPVVALVGLGLFERGFPVIGRCVEIGLPMLVLFVALSQYLKHVQVRHFPILERFSVLISIALVWVYAHILTASGTYKHTSLLTQINCRTDRANLITSADWIDIPYPLQWGPPTFSADHAFGMMAAVVVSLIESTGAFKAAARLASATPPPPYVLSRGIGWQEYNAFALYVNNQGIGLLFDGLFGTGTGSTVSVENIGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTMFAAIYCVMFGYVGAVGLSFMQFTNMNSMRSLFIVGVSLFLGISIPEYFFRYTMSALHGPAHTRAGWFNDYINTVFSSPPTVGLIVAVVLDNTLEVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OGLUM08G13550.1 pep chromosome:ALNU02000000:8:15374674:15378632:1 gene:OGLUM08G13550 transcript:OGLUM08G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHLPAAAAAASRRLLCTTTEGALSSTTHLLALPAVEPSPAADELARLLLAHHNPFHPAESPLQLLSGGGVSLTGDLLVQLLLRLRGASKLALSLLHAARLHPSSSSPPPRAADAYDAVVDALGRARQFDAAWRLVVEAAADGAATPRTFAVLARRYVAAGMTRQAVRAFDDMEAFVGREPDAAEFTTLLDTLCKYKYPKVAAEVFNKRKYKYEPNEKMYTVLIYGWCKVNRNDMAQKFLKDMIYHGIEPNIVTYNILLNGICRHASLHPDYRFDRTVRAAEDLLKEMHQRGIEPDVTSYSVILHVYSRAHKPELCLCMFRSMKERGICPTVATYTSVIKCLASCGRLDDAESLLDEMASEGVCPSPATYNCFFKEYRGRKDVNGALQLYNKMKAPGSPATPDIHTYNILLGMFIKLNQHDTVMQVWNDMCESTVGPDLDSYTLLVHGLCDNKKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKKRVDEEAAKFGEEFKVYHIKPYKR >OGLUM08G13560.1 pep chromosome:ALNU02000000:8:15402674:15407843:-1 gene:OGLUM08G13560 transcript:OGLUM08G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin related protein 2 [Source:Projected from Arabidopsis thaliana (AT3G27000) TAIR;Acc:AT3G27000] MDSGNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVTNGIVQSWDDMGHIWDHAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQVQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGFSYPHITKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEMLDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGLACLRKI >OGLUM08G13570.1 pep chromosome:ALNU02000000:8:15410385:15430905:-1 gene:OGLUM08G13570 transcript:OGLUM08G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLQLCPGSVKIDFASASYAGKADIAATAAALLHALGPPRTPPCYQLPLAKVNSSLPLWSPVICPSTFAQKRPMSLPSPPPAKKLQVQQSSSMLPQDFPKLEVLPVEKPTKLQVRRSPQHVSPALKQDSPKMEKPLLPRPATFIDVMLSSQKPSSEMWSETKDVTLTRKTNCSCKYSKCLKLYCECFEKGRYCIGCNCTNCCNNVNHENARQDAINVALERNPAAFMPKVSNSTAHNCESKAAEGDIMGKHTKGCKCKRTECLKKYCECFKASVFCSENCRCTGCKNYKSNADRISQKNTVHAHHVQNPASSGMVGQSVIIFHAAENDSSLSLAASVSDHSINNNTSHALSCSLTSSVTREDAKSLVKYVPHEVTYRPSLADIIQIEDVNELCNLLILVSRQAGATLLESGMKENTKRKKLNRVDSCLSSTNHDREAIQKEPAKQMCSLEKSSNEVLIAEGRLELPRADPFDIQKGNKRPLSPGTQALMCDEQDAVFQDSKAPDATPSTTEENLVDIFKEQEKRVLTNFRDYLCKLATCGKLQELKLSLASTNCSEQAFADHGNNSSITRVAKVTRIRQTIPQFSSKAASTTALDV >OGLUM08G13580.1 pep chromosome:ALNU02000000:8:15434885:15445755:1 gene:OGLUM08G13580 transcript:OGLUM08G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >OGLUM08G13580.2 pep chromosome:ALNU02000000:8:15434885:15445745:1 gene:OGLUM08G13580 transcript:OGLUM08G13580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >OGLUM08G13580.3 pep chromosome:ALNU02000000:8:15434885:15444985:1 gene:OGLUM08G13580 transcript:OGLUM08G13580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >OGLUM08G13580.4 pep chromosome:ALNU02000000:8:15434885:15439170:1 gene:OGLUM08G13580 transcript:OGLUM08G13580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulphur cluster biosynthesis family protein [Source:Projected from Arabidopsis thaliana (AT5G03905) TAIR;Acc:AT5G03905] MAASSSSSRPLLRRVAALLGGRVRASHRLLSSSPSSSSAAAAATERASPPSPADPDTVHMTDGCIRRLKELHAKEPPSEGKMLRLSVEAGGCSGFQYSFSLDDKKNSDDRIFEKDGVKLVVDDVSYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVN >OGLUM08G13590.1 pep chromosome:ALNU02000000:8:15439224:15445551:-1 gene:OGLUM08G13590 transcript:OGLUM08G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLQPRVCISSSRSSISPKAARLSHQKASTGKPYFREIQEHLSSKLGEASNAMNSTYQQLLDSFVDHTFTFKCQPLRPTESNFAPVDEIGEITRVIEIEGEIPADFPEGVYIRNGGNPLYGALQSVSSIFGQSHNIWVEGEGMLHAVYFCKSNNSTWSISYNNRYVQSETFRIEKERQKPCFLPMTDGNPPAMLIASVLNTLRFRKVMKSMSNTSVFEHAGRVYAASEDDVPHEVDLHNLSTLGSWHLGGEWKLPFTAHPKVIPGSKEMVIFGINAVQPFLTVGIISEDGEKLKQKVGLKLDRCTYCHEIGVTGTYNIIIDSPLTLNPTRMLRGAPVLEFEEESYSRIGVMPHYGDADSVIWFYVEPFCTFHLVNCFEEGHEVVVRGFHVPSSAIMGPRQKNMVMDTSSQEPNEENFSRLYEWRLNLKTRTVAGKYLTSLDVALEFPVINDKFSGLRHSLNLAARPKFIGFAKLCLEEKQNIATKIDREDLIKVEYHQLAKNQFCSGVTFVPKAAGAHEDDGWIVSFVHDEETNISKVHIIDARNFESEPIAKIILPQRVPYGLHGAFITKRT >OGLUM08G13600.1 pep chromosome:ALNU02000000:8:15478364:15478770:-1 gene:OGLUM08G13600 transcript:OGLUM08G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYEIGNRGPLKWFASKNYFSHADYLSLFTRPTGLSLSISSKHICARRSWRLSTRKEAAAAEEMEELTAVRVHKDEEEPAAVGGSARKEAAAASK >OGLUM08G13610.1 pep chromosome:ALNU02000000:8:15481959:15485939:-1 gene:OGLUM08G13610 transcript:OGLUM08G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGRDNGTYSTGCISYCASESEVKVGDGKGCTGLGCCQTSIAGGLSYIAFYFNVDNNPSWNYSPCSYAMISKEDVIGNMTFARRIERGAPLVLDWAIRNNGTCPPALSSGENGKQQQQAACVSPYSYCLNATNGPGYFCNCSDGYAGNPYVSNGCKNVNECDPSFYKENYPCIGGKCLDTEGGFKCKCNFGRKRDSKNSHICQPVLAKPAIVVTATTCAISVLSIIFLFLHMEREKRKLREFFKKNDGQLLQSMGIKIFKKKTIEKITNNYSTIIGKGGFGLVYKGTVGNDQKVAVKCPNPISVDTARQNDFANEVSIQSQISHKNVVRLLGCCLETNIPILVYEFIPRGSLYDVLHGNGDDSNMTEHKLSLDVRLGIAIESAEALAYMHSSASQKILHGDVKSSNILLDENFTPKVSDFGISRLLSIEKDHTKFVIGDANYMDPMYMKTGQRVTFGVVLLELITGKKARYEGNESLPLNFVKSYMTESRAREMFDKELMCTEEVNCLEMIGDIAVQCLEEDVDKRPAMKEVSEHLHLARKEFMQNQGKISCEEADEIAIDFPLSHQLSPA >OGLUM08G13620.1 pep chromosome:ALNU02000000:8:15555413:15600637:-1 gene:OGLUM08G13620 transcript:OGLUM08G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASPSMYGGTLQPWLCRRSSTTGTPRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTIPDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKSREGNTWSVSYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDSLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNMKSRAVTVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTVRPKFGGFAKLHLQDNNKPPRFKELQQVLKTKLREVSAAGSSASKKLLDAFVDSIFTFSHQSLRPTESNFAPVEEIGQITNILRIEGAIPEDFPEGVYIRNGPNPLFGALHTVNSIFGQTEDIWVEGEGMLHALYFNKKGEDNTWSISYNNRRGLVAPGSGDLVIHGFSFVKPFLTVGVMSGLKKLSMMFENFLM >OGLUM08G13620.2 pep chromosome:ALNU02000000:8:15555413:15600637:-1 gene:OGLUM08G13620 transcript:OGLUM08G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASPSMYGGTLQPWLCRRSSTTGTPRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVDEIGGRTEIWRIEGTIPDDFPEGVYIRNGSNPLFGALHKVNSIFGQSEDIWVEGEGMLHALYFTKSREGNTWSVSYNNRYVQSDTFNTERDRQRPCFLSAIKGDPLAIIAASILNMLRFGKVFRNMSNTGVFEHAERVFSVAENDIPYEIDLDSLGTLCSWVVDGQWNMPFTAHPKVAPGSGELVIYGFNIVKPFLTIGVVSEDGKKLERKVDLKLERCTYCHEIGVTKMLIDFETESYARIGVMPRHGDADSVIWFDVEPFCTLHLINCFEEDHEVVIRGFRVPGSIITGITLEHTANEEPANQGPSEKSFPRLYEWRLNMKSRAVTVINNKYAGLHHKYAYAQVIDVQGSLEGGCGTVRPKFGGFAKLHLQDNNKPPRFKELQQVLKTKLREVSAAGSSASKKLLDAFVDSIFTFSHQSLRPTESNFAPVEEIGQITNILRIEGAIPEDFPEGVYIRNGPNPLFGALHTVNSIFGQTEDIWVEGEGMLHALYFNKKGEDNTWSISYNNRYVQSDTFRIERDRQKPCFLSSAKGDPIAIFAAMSYVKALSLFSNGTDINAYIYAAEVAPGSGDLVIHGFSFVKPFLTVGVMSGLKKLSMMFENFLM >OGLUM08G13620.3 pep chromosome:ALNU02000000:8:15555413:15600637:-1 gene:OGLUM08G13620 transcript:OGLUM08G13620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASPSMYGGTLQPWLCRRSSTTGTPRPSITRPNATDHFTKCITSNPHFKEFQQQLTTKLGEASAAVTMASSLLLDAFVDSTFTFSHQSLRPTESNFAPVEEIGQITNILRIEGAIPEDFPEGVYIRNGPNPLFGALHTVNSIFGQTEDIWVEGEGMLHALYFNKKGEDNTWSISYNNRYVQSDTFRIERDRQKPCFLSSAKGDPIAIFAAMSYVKALSLFSNGTDINAYIYAAEVAPGSGDLVIHGFSFVKPFLTVGVMSGLKKLSMMFENFLM >OGLUM08G13630.1 pep chromosome:ALNU02000000:8:15620366:15624633:-1 gene:OGLUM08G13630 transcript:OGLUM08G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGRPRYFIGNKGLVTRMFSRISSRPMSLHLIGQPVTFKIANSISPYRALLTVEALQRHVVDGSANPDGFIVKCRNLLTKTMARRRIAKMIAEFKAQIKEVGERNARYRNGGISLMRTANATVDQRALTIFQDVSSLVGVDQPKKELIDLLMKDDGHVASEHLRIISIVGIGGLGKTTIANLIFEELREQFDCSAFVSVSRNPDISGILRIILSEVSAEPYGNTESGDIQQLIRKITTSLKNKSRIITTTRINEVAESCCFPHVHRRIFGLEECPSHLTEVCCNILAKCDGLPLATIAISGLLATKAPTEDQWNQVEKSIGYALERNEDVNGMIRILSLSYFDLPHNLRTCLLYLCTFPEDYIIHKKRLVRRWIAEEFIHEEHGHTLYELGQRCFNELINRSLIEPRYIGKFGEVKSCRVHDTILDFIRSKSIEENFVTLLGIPNVPIDRQKKVRRLSLLVNTEEYYSSLEENNIKYVLDKKEDDSNEQEDNSNGFHILKKLNLCNARLLTIFPHSVKVPSLLQFRRLRVLDFEGCEQLENHHLANIENLLHLKYLSIKDTIVNVLPTHITRLRCLQTLNVDVRGAINIPTHIYRLRQLTYLLVDMRCQLPAKIGNMQALQELKVVNVLAQSLNTLQGLGKLTNLRKLSIFMPGHHADAAERYKGHMKAMISSICKLGRDNLHCLTIHISSVSADDFIQEPWCPPPLSLQELVINQAPMSRVPRWIGSLVNLQRLGLYLKELSQEDVSTLGGLPALLSLILYVEEVITEEGGRLRISSSYGFPSLERIRIGGESCRLELTFEIGCLPKLQQLNLECMVAEENHTSSSNVVFGIEHLSRLTSIYCCIHYKYETRLAKVAMLAALERSIISHPNQPTFTKEEYGDFVDESC >OGLUM08G13640.1 pep chromosome:ALNU02000000:8:15625341:15626571:-1 gene:OGLUM08G13640 transcript:OGLUM08G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTMEMPRKTGDMERTRRQRGSALRQEKLSSRSPPSLVPLRSPPSAGRSRGGGAEERAPPLAATSPWEACRPCNTPRPPSPER >OGLUM08G13650.1 pep chromosome:ALNU02000000:8:15627253:15630484:1 gene:OGLUM08G13650 transcript:OGLUM08G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIVNGEELGEPERRPLNPSAKGFIISLGKHSAISQGTKDIEKSNTDTMNKFITHCSGNGAEDGRTSSGNVISGYEGDCGTTFGVIDNKADTTGEIVDVTGCELSSGDKESLAAGVPQLIVYPIEDGVPPMEILPNSSHCDGSIYRGTDCWKKEYHIADRNEIPADHGLVELYGYIAVRDRLDTFLNYFVNFSRDDPIVVEQGSLIHMAGPKRGNQLIGTNLIEYDMKIKTVMGAQMDLKFKVATDSCIPAEHLLFRRGDRD >OGLUM08G13660.1 pep chromosome:ALNU02000000:8:15653131:15654751:-1 gene:OGLUM08G13660 transcript:OGLUM08G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQGCWRLASSSHPIQPDRASEWPNWRCVREEGEGRTGDASWFDLAELVRGVHVVLVQVDEVSCVDGFMWLLVAPTVKAGCKFD >OGLUM08G13670.1 pep chromosome:ALNU02000000:8:15655499:15656398:-1 gene:OGLUM08G13670 transcript:OGLUM08G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVGFNAGEELFYAIPGYNLENGIDKLHDDHSVRKMLNYTKKSKSAELYIKHLEQGVSATPRFGPDVADNHGEESREGAGPLFMKPMLHFDRLCEIYASDLAKGGNAKGPGDQQRVEGFVAVDDDDDPVNHVVDKANAQKHGNENPTAPKGRKRVFADVDSLETSFCNVSNSFAKFLEAEKDNGIQLAMMNRVDVQEDTKKTKLFDAIKKLPNFSIEEVVMAVRIIGRDAGNIDLFLAMSPDYQVVFVRQELAEAAKRN >OGLUM08G13680.1 pep chromosome:ALNU02000000:8:15670125:15675084:1 gene:OGLUM08G13680 transcript:OGLUM08G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGWAVSALGWITSPVATRLIRAGLEFLGFNESERLQDLENRILPRMAQLMEQADRIPPGQRAPLEQWATKLRSAFYDAEDILDVADYHRLEKQVISQSGVMPMLDRAKDIISGKTSELKKFLNKLEKIIEEGSQFFPPLVGTTGNGTDDSNISNTANKMTGTVTTSSALTEVIIGRDKERDEILSMLHETADDCEPSSWNNKCYSVIGIYGIGGSGKTTLAQHVCNYERRDNHFCLIMWVHVSQSFSVNKIYREMLEAASGEPSHEFCNLDSLQMKLEAALTSKRFLLVLDDIWPEKDANSQYKLDQLLSPLKVGKRGSKVLVTTRFADAAMSLGARDPLKVPDLNEKDFLLLFMHYALDSVRLDSRQVEQFQTIGEEIMKKLKGSPLAARLVEARLRKQLNPMFWRRVENQDLLTHTMGALWWSYRQLDGQVKRCFAYCSMFPLGHMFARNELVELWMAEGFIKTTKGDEQMEDVGQNYFDELVSYSFLQTTKTADGSENERFTMHDLLHELAAMVSGNDCIRVEEGENKEFPPDARHLFINLYDPVKATEQICKLKKLRTLIFTSAFGGSAMTIEALEGMLKKLRKLRVVQVCLDGDMMMIIPASICGLKHLRCLTVHSFGRIKILEIPNAGVLSCSNVKSMGNLVSLRHVRRNTRHWLLSEASVLVFPRIGELKSLRELSHFAVMNEKGHELQQLKSLNNLHGTLSIGGLQHVGSKEIALEAKLTDKKHLTGLSLTWFREQSCNNTDLDVEIIEGLCPPSQITHLQIYGYHGWKYPTWLSQNQNCPVTNLEFLHLWNCFNMEALPNIAELFGNLRELRVFNFPRLNILPRLPDMLKRLNIRNCKALVVTCVEDVEMIRSMLIERVSQTDLPMKITHHEEISRFASEQPDMFNAMLCDIIGLSSPVPENSENMLASIVPFICCQIAPEDYPRLLLPASLDCLHLTKWIITDTALQNCLRSCTCLTVLELGGVPFCKAIPYDVLKPLVELRVIDCVHFTRLQGLADLSNLRRLSIRNCPNLETLQDSDKVQAALYWLSVGDITLVPQLLSREACSSLPRLTVVDSTELRGEEILEQSTSLKFLNLHSCIWDNLPQNLASLQKLALINCKNIRSLPMLHGSLQSFKLSFCDPPFMKSYQEVGHPNWQKIAHVPRKHYAYEEQV >OGLUM08G13690.1 pep chromosome:ALNU02000000:8:15679300:15683150:1 gene:OGLUM08G13690 transcript:OGLUM08G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHAWFPFVPMPPQAPPSEQEEDSPLENSGSMKDEMIHLYLNNSTMVLAILREACLLGAPRCHGIGMLVGAFGICKEQEQVREAILEERLMLTAFSLNYSELHAGEGVLGVQSVMQMQRWPNYALNSSVFQFQSVMQMQRWPYSGRQTATEEADEAATERAGDMDGDKGGGQGGDARGGIATRLRATESDLMRCGFRPDAIEPKKTTHTKITPKRY >OGLUM08G13700.1 pep chromosome:ALNU02000000:8:15688257:15688577:-1 gene:OGLUM08G13700 transcript:OGLUM08G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTAKRLEDAALSYRGEDRVQLLRRWLVMLRETQRAAAVEKEAKRAAHPDQHLPVLDLYMDYETGASR >OGLUM08G13710.1 pep chromosome:ALNU02000000:8:15689638:15693099:1 gene:OGLUM08G13710 transcript:OGLUM08G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKKLENIIEKGSQFLPPLTSTISNVTNSSDISNPANKTTRIITTSSALTQVITSRDKECDEIVRTKECDEIVRTKECDEIVRMLHETTGDCEPSSLKSKCYSVIGIYGIGGSGKTTLAQHVCSYERTQTNNYFCPIMWVHVSQSFDVGKIYGEMLEAASGKSSHDRVFTMSLGAQSPMQITKLNENDFFKIFMHYALDGVSLDSQELEALQTIGGEIVKKLKGSPLAARLVGARLRKELVAARLRRASYTMFWRIVEEQDLLRDTMGALWWSYQQLDGHVKRCFAYCSMFPPGHEFERDNLVKLWMAEDFIETTRYVEQMENVGQNYFDELVSCSFLQARTNIDGSKNKKFIMHDLLHDLAVRTSGNDCFCVEHGAQMKEFPRDVRHLYVSFYDPRKLTEICKLKRLRTLIIFYGLTIEALECMMKKLKMLRVVQVRVDGQMLMIPHCICDLIHLRGLTCTGFNLRKVHLPRNLDKLYHLQILDLPREGVLEFSRVVNMSDLVSLWHIRNSPYHFSYSDISGFPGIGELKSLRELSDFTVRKEKGYELHQLVNLNKLRGSLRISGLENVESKERALEAKLTEKKHLTTLSLVWSNPGEEVCSPDLQLEIIDSLCPPSLLRELEICGYSGLKYPSWLTQSFRCLQRLEIKECFNLKALPDIGELFIHLHTLALHLPKLEILPRLPDSLKKLDIKQCHSLVLTCVEDVEMIRSLLIEQASHIDSSLNNKLHPEEIDRFANEQPNRFHRIVSDIFGRCDKLLLRLIQGQIRVEDHSQFLFPASMDRLTISDCAITDTVLQNCLRGSTSLTWLSLSGLPFIRSITSEVMDSLAMLHHLCITRCAQLTYLQGLNHLSCLRSLEIYQCPNLRALQEDEKVQVVDAIYINDIPLLPQLLSREGFSSLRELYFMGQKELKEEEEEILRQQFASLMSLRCIVFCKWNRLPDTLVNLTCLQNLGLKRCNIRSLPTLPASLRVLTFNTCDKSFVRTCQMVGHPNYQKIAHVPVKDFFSYE >OGLUM08G13720.1 pep chromosome:ALNU02000000:8:15696582:15700285:1 gene:OGLUM08G13720 transcript:OGLUM08G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAERTKNWRKSRQAEWISVRYVIILEGLYPPSQLTELEIYSYGGVKYPKRLADKQPDKFDTILLDIFGRCDELPTRLVRDQIREEDYSLFLFPTSVKRLIITDCAITDTVLQNYLTNSASLTWLFLSGLPSITSIPSEVMKSLTMLQELFIIGCAQCPNLTIALQEDEKLRVLRGLTTDDIHLVPPLSPENLANLTCLQELRLQNCKNTMSLPTLLVSLRGLILNACDQSFVKSCQKVGHHPNYQKIAHVPSISISS >OGLUM08G13730.1 pep chromosome:ALNU02000000:8:15703592:15705166:-1 gene:OGLUM08G13730 transcript:OGLUM08G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGTDCFRVESGDMKEIPPDVRHVFIHSNDQTKFAEKICKLKKLRTLILITTFGGLGITIEELEAMLKKLKKLRVVHVDVQGKMVSIPGCICELKHLRFLRIHSPWSEKVHLPKKLDTAYHLQILELCGAGVLDFSNVQNISHLISLRDIRNSGFVFPNSDVPGFPSIGELKSLRELSDFRVKKDKGYELKQLKSINHLRGRLRISGLESVESKEDALEAKLTDKKFLTSLSLEWSQSSSVQHSCPPDLQVEILEGLCPPSQLTELEIQQYNGLRCPSWMSSENQNGLFMNLQDLQLCRCYNLQHLPEICELFVSLRRLKLVGFPKLKRMPRLPGTLENLHIQQCKALVMTSSEDVNMIRSLFVETATQIEPSLNITATEVVEIDRFEKILCDIFGRCCSLPGELIRGIIREQDYSELTLPATVVDRLIISYCFVTNTVLHRCLTGSANLVSLNLRCLPFLTEIPSEVMESMAKLSDLSIEDCIQFTHLEGLNNLSRLQHLTIAKCPNLRASVKRRLLIPMEP >OGLUM08G13750.1 pep chromosome:ALNU02000000:8:15721799:15725816:1 gene:OGLUM08G13750 transcript:OGLUM08G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALVSTATGALKPILAKLAALLGDKYTIFKGLHKEIKSLSTELMAIHAFLLNMSEEENPDAQDQAWMTEVRELSYDMEDIIDEFMVHVDDESATPDGFISKCKKSLANMKTKRRIAKAIEDFKSQINIVGERHARYRSEKTICRTSNTIIDHRALSIFEHASNLVGIEGPKNEVIKLLSDDDGCESKQQHPKVVSVVGFGGLGKTTLAYQVYQQLKGNFSCSVFVSVSRNPDMMRILRTILSEVTQRDYAVTEAGDEQQLIIKISNFLSKKRYFVVIDDIWNVEIWNIIKGAFSMNSRCSKIITTTRISDVARSCCSSFRGHLYNIRPLDTVHSRTLFHRRLFNPQEKCPSHLEEVSDQILKKCDGLPLAIIAISGLLANKLRTKDQWEHVKNSIGCALERNPTVEGMIKDYVIRKNDLILRWIAEGFIHKEDSNTLYESGEMCFNELVNRNLLQLEKQNYNGCRVHDTVLDFIISMSKKNNFVTLVTSPCLTIEGQNKIRRLSLQVGSEEGNSIQRTMLSHARSLDVFWLHLQEIPSLVEFRHLRVQSQHLANIGKLFQLRFLNLRKTGLNELPEEIGFLQNLQALNLEGSNMHRLPPHITRLGNLVHLFTDIRIQLPEGIAKMRSLETLKWVDLSNHSSNINLRELKLFMPNDDICDEHVKVIASCLVELGRHNLRRLYIVGYTELPNSLLPDPWCPSPLKLEELDISDSPMVQVPSWMRSFVQLKRLGLILKDVSDEDLTTLGRLPSLLHLHLNIPRGEPGYEDRLLISGCHGFSCLRQFYLTGQQPIFTAGSMPRLELLRVEMDESKPEFLTNASLENLPCLTTVKCLLFNFQRGEVAQNGKTAMARAMSSHPNHPSLVYE >OGLUM08G13770.1 pep chromosome:ALNU02000000:8:15734211:15739263:-1 gene:OGLUM08G13770 transcript:OGLUM08G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPASRPAVPGARAPAMSTTAAPAAPTSSSKTATCPLCHADVLPRRRSATAAGSSTHRSHNDDDGPAPPSLESSCRSNAAVCGCARCQLADLISPNRLPMRNGFGESGAWLRRERTAETRRRTSSRSDRPAAVAGTLRAELIKRLRELCHPANNTICSGSWQPQQQQQQQTIDRSPDSLDCGVTMERGKTKRDESDNGLVFSNLMHGVAAGIYGYPPHQGYPPQAQSYLLLPEAYPPPRWTYPPRPVGYPSGGYPPAVYSDSYVHQGSRVAREQCPLSYANNAVTCREDGQMNCENGTVNMEKSAMSSNKMATSLLKSCGNVMPCRNMERSGPAMYKVDMRGSTKQFSMDSKMMMCLIVFGCLIAALDMFRNVA >OGLUM08G13780.1 pep chromosome:ALNU02000000:8:15743631:15744887:1 gene:OGLUM08G13780 transcript:OGLUM08G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKDNFVTLVASPDQTIGTQNKVRRLSLRIGIEDGNSILQRRLSDLSHARSLDVFCYQPKLPSLLEFRHLRVLSFRYCKWLKSHCIANIGRLFQLRYLNLKKTGLTELPKEIGCLQNLETLNVMGNHMVQLPQCITRLGNLVHLFIGNQIQLPDGIAKMQALETLQGADLSKHSSNIFKELGQLKNLRELNLLIYDYDACTEEHMKTIASCLLQLGTHNLRRLNIMTSIMIRNIYLPDPWCPAPLKLEELYISGSPMPRVPTWIGSLVNLKRLGLALEGVSCEDLSIIGCLPSLLQLSLRVPGYRDNLIIGGCYGFSCLRDFCFIGQQPIFTAGSMPRLELLVLNINASKPETLTNAALENLPCLMTVQYLLYQYDRKDHGIEIAEAALKRAVSSHPNHPSLVRIHTPSSLPKRVYF >OGLUM08G13790.1 pep chromosome:ALNU02000000:8:15777198:15781473:1 gene:OGLUM08G13790 transcript:OGLUM08G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAVSGSTCMGALWSVYWKLSSVADPHSHLESSRRLAKLLGYKLSDIPELMRGLRDLDNMNPDMRPKICRNGLMVLVSGIEDFIDDFLDDQQTDGSEVDDMYMTVGDKLRYLIHSCDELKRIFVKKGRLGTSAEYRPDVLPPSSLDATAGLVGIVSSREKLVNWLMEKEEQPKGVTIVGAPGSGKTTLATDVFRQIEGHFQYGVTVSVSPQPEMNKLFEHVLSQMDPNLCRPSEKLERDWQETYMEKQERLMSSIIQFLQNKRCLFLIDDVWDGTSWDIIQSVLLKGCSGNRIIVTTQNVMIEDSCSKHCSWLWHTVMPLSEQQSWMLFSRNVFASQDGKCPDHLRKACKEIMKKCEGLPSAITAISYLMKEHWKVQSSTIPRTEMIPELRTLSKRLFSSSYMHLTQPLRTLLLYMSMFIYECKIEKGPLICKWIAEGFYSPQTYTRMERLAELDYFHLIAWNVIIAPMPTGRPTKPAFGLCRVHSLVHQAIESTSAEECFLFTSNTLKSIDSDKARRISLQYYDPDLPSLLERLDLKFTYSLTLFDEVNRVPLEKFTMLRVLDMQGWKNVEEDDLSVICNMHLLKYLSLRNTRVSLIPSLIKQLHFLQTLDIRQTHISELPPEVCELRHLRNAYFGETYISELPPQIEKLRELERLEIAQTKISKLPSEFSGLLYLKELNLSQTKITNLPPQFKALNQLKSLDLSHTNISKLPSEVCKLKHLETLDLRGTKVVHLSKQVIQLRQLEHLFVGSDDYSVNARVTLPDGIRHLRFLKTLVTVDLSRCSTSVVHELSEIRELKELAMVWSSDEQSLDRKYVECLLSSLRSLHCLTCLTILGHYGCSMEFLHSLKNPPSLLQSLKITGTLSKVPDWITQLKDLCYIQVKVCKFGDDELIVLGQLQNLQYLKLGLEFLPEKEMVIRTEGFPSLERFSVDCRVLWLLFDKGAMPLLATLELKFCSGQVNHNAIPIVGIQHLQRLNHVILRYSTLYDNVPGVKATVDTMKNAIRNHLYPIKLVINGIEDDLEANVLRQHACTTQIKCDGDSKIESEIKEQREDARQEGNPCILLL >OGLUM08G13800.1 pep chromosome:ALNU02000000:8:15786178:15790521:1 gene:OGLUM08G13800 transcript:OGLUM08G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVGVSSATMKSLMGKLGGLLAQEYTLIKGVRDDINDELASMYAFLRSLNHGDARSEQTKDWMKQVRDVAYDIEDCVDDISRRLSREPRGDGCTQNVLRAWYVFTTLLARHDIAGRIGRLKSRAQHVGERRTRYGVNNPNQNQHGGDAQAGSSTPTYHTMERPAPAPQVTAAEQPVGMDAAILDLGNWISDNSEHNELRVLAIAGFGGLGKTTLASALLRTSGGRFDSRACVHASQKLNLESLLRSLLKQVMPHGSSSLKGIDGWNQKQLKDMVESHLAEKRYRLIMQYSCHACYRQRAGYVHMLERLPKDKSRSLLSEKIFDQLEIHVPRIEMIIKKCGGVPLAIVAVAGLLASKLTVEQDFKLDSNLKSKPRLKLAKNIWTDVCNSLSSELEVNLNPEGVTHILNLCYNDLQADQKTCLLYLTIFPKGCFIGRKRLIRRWIAEGFITEKHGKTMQEVADDYFNELYSRNVIRPVDLSSNGKVKTCQVHDMILEYLLSKSSEENFVTAVGGHWLTPTPSNKVRRLSLHGSDPSHAKEAIGSMNLSHVRSLSVFDNLNQLSFFKFGILQVLDLQGCKGLNMDRLKKICKMFQLKFLSLRKTDIRELPSRITKLRYLETLDIRETEVRQLATSIGRLQRMTHLLAGDKSKRLALKFNDGIAKMIALQTLSGIEICGSSRTALADMHNLTKLKKLSIYNLRDMGSGSNQSYEVLLSAILYLSGCSLNSLAIDDGFVGFFEHLDSLPATPRYLHALNLSGKLCRLPQWISDLQNLEKLTLSLTSLRTDALKLLSALRTLFSLTFTLHAANHQDADVLDILQNNVLQSDGEIFVSPGGFDCLKLLRFSAPALPLLSFLQGAMPNLEKLELMFRSLTGAYGLENLKSLQQVVLKISNRASIAAKAKVGDIRKSISKLDNVPTVIVDEYFESM >OGLUM08G13800.2 pep chromosome:ALNU02000000:8:15786027:15790521:1 gene:OGLUM08G13800 transcript:OGLUM08G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVGVSSATMKSLMGKLGGLLAQEYTLIKGVRDDINDELASMYAFLRSLNHGDARSEQTKDWMKQVRDVAYDIEDCVDDISRRLSREPRGDGCTQNVLRAWYVFTTLLARHDIAGRIGRLKSRAQHVGERRTRYGVNNPNQNQHGGDAQAGSSTPTYHTMERPAPAPQVTAAEQPVGMDAAILDLGNWISDNSEHNELRVLAIAGFGGLGKTTLASALLRTSGGRFDSRACVHASQKLNLESLLRSLLKQVMPHGSSSLKGIDGWNQKQLKDMVESHLAEKSIVVTTRFKFVADACYRQRAGYVHMLERLPKDKSRSLLSEKIFDQLEIHVPRIEMIIKKCGGVPLAIVAVAGLLASKLTVEQDFKLDSNLKSKPRLKLAKNIWTDVCNSLSSELEVNLNPEGVTHILNLCYNDLQADQKTCLLYLTIFPKGCFIGRKRLIRRWIAEGFITEKHGKTMQEVADDYFNELYSRNVIRPVDLSSNGKVKTCQVHDMILEYLLSKSSEENFVTAVGGHWLTPTPSNKVRRLSLHGSDPSHAKEAIGSMNLSHVRSLSVFDNLNQLSFFKFGILQVLDLQGCKGLNMDRLKKICKMFQLKFLSLRKTDIRELPSRITKLRYLETLDIRETEVRQLATSIGRLQRMTHLLAGDKSKRLALKFNDGIAKMIALQTLSGIEICGSSRTALADMHNLTKLKKLSIYNLRDMGSGSNQSYEVLLSAILYLSGCSLNSLAIDDGFVGFFEHLDSLPATPRYLHALNLSGKLCRLPQWISDLQNLEKLTLSLTSLRTDALKLLSALRTLFSLTFTLHAANHQDADVLDILQNNVLQSDGEIFVSPGGFDCLKLLRFSAPALPLLSFLQGAMPNLEKLELMFRSLTGAYGLENLKSLQQVVLKISNRASIAAKAKVGDIRKSISKLDNVPTVIVDEYFESM >OGLUM08G13810.1 pep chromosome:ALNU02000000:8:15832504:15834318:-1 gene:OGLUM08G13810 transcript:OGLUM08G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALDRLLEKFELMEAKRRQEEKIDKLLEMFELREKRERESMLEISAIIRATTAVFKSPSSPSTPASPLVPARCSTECLNNNITWVDTNSSHNGEMPAPMVALELGDSKDKDPATYIVTKDLPMVTPTRCSLICSSSDVKPDLIVNVVLASSRELVLADDATDTINIGTPGCSNEMHAKCLTLGLDIKGDPNQAMLTFQTMMGISKVVPSSVQPVENFLSGTVNDIKLGTPMLNTCLPKCPNSDNKLLMEHTERNPWPPPWSAGVTRRWEEWHVPWSAFNSLQARVYLLSPWPPLIQEQWDWVNHKSCTINGTSSLQKHTSGLEQIIVLRKQQAGGLSDQLVSKERSVIPETINHKALGNLVSLDMAMFWWSDTVYSEQNRHTISRIEMAFSVRELDSGRGSHTPNISEVGVEYGLMWNLLEVIRNANQWSVFMGGRWTDIVESLSLFVDVWRFVLYASNFCWYLCCTLQSKIKVDKLSQEPNETSYSDTSIPEKNTHVLKYLACTQVHGQSVQDRE >OGLUM08G13820.1 pep chromosome:ALNU02000000:8:15879567:15879926:-1 gene:OGLUM08G13820 transcript:OGLUM08G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFIGCSSDYRSSSSAAAAAAAYKPWRRSYCYSNRWKRREINIKPVVSSIFNSRQRRWSRLLGYSAGKLGAIIVVVVMEEEYSW >OGLUM08G13830.1 pep chromosome:ALNU02000000:8:15887397:15888979:1 gene:OGLUM08G13830 transcript:OGLUM08G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTELVNWNFIQLDKYYDKCRVHDIILDFIISVSIKENFVTLVLGSPYLTVGTQNKVRRLSLQVGMEGNSVLPRGLILSHARSLYVFGHLEDQLPSLAEFRHLRVLSFQGCHNWLQTQNLANIGRLFQLRYLNMGSELPEEIGCLRYLETLDVRSTVMDQLPPCITRLENLEHLFIHQSVLLPDGIAKMQSLETLTKVNLSLHSSYLVKELGQLKNLRELILRMWNEDMPIDMCIEHMKTIASCLLQLGTSNLRHLRIDTLTPFDNLFLPDPWFPAPLKLQKLRIQDTPMARVPCWVGSLVDLKQLHLEVKCFGHEELCILGCLPSLLQLSLYRSGQEAGQKDRLVISVCYGFPFLRKFSLCGQEVMFAAGSMPRLEQLYLWLNTSKTMSLANGGFDIGMRNLPCLTTIRFKVYRHTGEEFDHAKAALERAVSSHPNQPTLEVL >OGLUM08G13850.1 pep chromosome:ALNU02000000:8:15895554:15896154:-1 gene:OGLUM08G13850 transcript:OGLUM08G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLQGEVHLNIPASKAWEMFTNNETLGKISPEMLSGAEYLEGDGSPGSLRIFKLGPALHHFVKESVQKVEKVETGRSFGYEVVSGELKEVYDPYHVTFSFAPVPGKEGEQCVAGWKAEFEPITPTSPPPEKAKDAALGFLKLFETCEAAN >OGLUM08G13860.1 pep chromosome:ALNU02000000:8:15898085:15900609:-1 gene:OGLUM08G13860 transcript:OGLUM08G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGVKEREISVLVVSSAPCAKRRVAAQQGRGGDLHPSGEQNRGGEGILGQKKEDAANANGKPPAKKQSPGELRLHKDIAELNLPKSTRISFPNGKDDLMNFEVTIRPDEGYYVGGKFIFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNVNTIQPNDEDPLNHEAAAVLRDNPEKFERNVQRAMAGGYVGETHFPRCM >OGLUM08G13870.1 pep chromosome:ALNU02000000:8:15902934:15907221:-1 gene:OGLUM08G13870 transcript:OGLUM08G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVPLTAEAIAFTEKKMDMTLDDIIKMSKKKNPGGKKPARQPIKKRPFQNDNTNQGNARVQRFMESRSSLRQGVLAQRRTNLGGNQFAATKQAAKKAATMPIRSRGVRWNKPSAPSNSIQRRPVGQAFQNGKGKEVQNKAPRTMDALFAQMKQQRMRTIPQQQTNTAPGRQIAQQRRNQQQRRGRGYGGRNGGNQ >OGLUM08G13880.1 pep chromosome:ALNU02000000:8:15916118:15918544:-1 gene:OGLUM08G13880 transcript:OGLUM08G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYEILHVGRSATDDDIRRAYRRLAMRWHPDKNHTGKKDAEAKFKDITDAYNVLSDAGKRALYDQYGEEGVSAGGAPPQPGGGGGADDIFAEFFGSTPFTYCNTGAGTTARAKQQAAWDAGGGGAYFGRGGFTRDHGGGGAAAAPQPPPVESKLACTLEELYVGVTKNMKISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHDVYRRDGNDLVAEARVTLADALGGTVLVLATLDGRELVVEVSGGGVVAGDEDDGGDDEDPVVYPGYELVVPSEGMPIAREPGRHGSLRIRFDVAFPERLKRRQRAQIKRILDAAG >OGLUM08G13890.1 pep chromosome:ALNU02000000:8:15947117:15947616:-1 gene:OGLUM08G13890 transcript:OGLUM08G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLAAATVELPPLADISAFSTTMSVARLALRDTAHTTATMDTSRAAVTESVMASTSLGQLCHLHRRPAVASFVIFPPLPRPTEHFNNAYCIGKGSFGTVYRADLGGGRAFAMKRLDASETRDACCGS >OGLUM08G13900.1 pep chromosome:ALNU02000000:8:15950640:15952195:-1 gene:OGLUM08G13900 transcript:OGLUM08G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGELGNAATVAQLVGADLGGLISKIIQAAATARRNKAECEQLAVRASMIYDLLPHLQHPEVMRRPEVLRPLALLEDTLREAHQLVTCCQHKGPTYRFVMAGRLADKIRSVQARIDSYLLFLPLISHIDIIRCLDQIHRVLISTGDGVCRTMASPSADSQLQRRLVELGIVLHGDGEYCEKFTMPQLAMATNNFAVDRQIGEGRSFGMMMYKGRLPDRREVAIRRASPRRKGDFLRELAILSPLRHHHIVRLLGCCVAAATTSSPAEEEEEDCLLVYEYVDNGTLYDHLHGSDGASSLVTTSWKTRIEILAGVSRAIDHLHSHAAPPVIHRDIKLSNILLDSTYAPRLSDFGLAVSCDEVERTAEMPILGTFEYMDPEYLSTGNLTPASDVYSFGVVMLELLTGKKAIHDEKHGAVVATSLVASVLPNMEAGDLMKEIDRGPGLKPTPRQLEATEKVARTAVRCVHSQGKERPPMTEVVASLQEALELLSLDE >OGLUM08G13910.1 pep chromosome:ALNU02000000:8:15963976:15964314:1 gene:OGLUM08G13910 transcript:OGLUM08G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERNNGEGGDGELVHACGDDCRVAPASPARGVPEGGTKPCHGVGGPARRVRKRQRALSGMNLSVGGATPNRRFPSLARDGGGVLQDNVCAGNPTRLREGEGAAVPLLGKLR >OGLUM08G13920.1 pep chromosome:ALNU02000000:8:15989358:15990015:-1 gene:OGLUM08G13920 transcript:OGLUM08G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLLPAASPAVHASALFSKQIPSSFSIRLVDDPHSVEDLGSMNRRLLNTEKIIFKAQNSWPVYVLVTVEPEG >OGLUM08G13930.1 pep chromosome:ALNU02000000:8:15997873:15998625:-1 gene:OGLUM08G13930 transcript:OGLUM08G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVYVLVTVEPEGVVAKPNVPERELAVFNIVCDELMFGIPHFAWWVGIGSLFCIPLASVAPYFLPLHKLLNYEATELRDDDAAKLS >OGLUM08G13940.1 pep chromosome:ALNU02000000:8:15999177:16004810:-1 gene:OGLUM08G13940 transcript:OGLUM08G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEKTVPGGVRTVLVTGGAGYIGSHAVLQLLLAGFRAVVVDNLNNSSELAVRRVAALAGDHSRNLAFHKVDLRDKGALEKVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVNGTVNLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRTDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTILGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFESSSIGCEAYNLGTGKGTSVLEIVKAFEKASGKKIPLIIGPRRPGDAEILFSLPAKAEKELNWKAKFGIDEMCRDQWNWASKNPYGYGSLDSTKQNGHHSYGSTGSPKQNGHCTNGFSESTRHNGHNGYGLVDSAKHNGNGHFH >OGLUM08G13950.1 pep chromosome:ALNU02000000:8:16016262:16017288:-1 gene:OGLUM08G13950 transcript:OGLUM08G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINADTLGGKPKQGQTPIYGQRHGRTLSATTYEANMVQTCMIHGGPAQKSPNTRPSTHMHSGFMGYAQTSPIAHKWRGDFGAFIRVNYPDLLAEPIIDYLKYHNAKCLQICHNLKQQVINENMLLADKICTKLRDSRKGFPSALKKRKPNDGLLSGPESGSEAEASRHNDVNLCPDRTTRPRRTPPSLRTGLHTPAPQLKEKNKLTDGKTPHKDGEQDANSNVIQTS >OGLUM08G13960.1 pep chromosome:ALNU02000000:8:16024684:16033130:1 gene:OGLUM08G13960 transcript:OGLUM08G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFDDWKRKQVIDAGFGGLLDLQQINLINRRYTTWLLGWVCPERRTLAVGKILEVKLTPKNVNKVLGIPCEGLAVCPMEDESKEERDSFVQLVIGAPGFEENPVVGAEQVVSQTYTEDMKRNQGDMFTTAFAVWIVGTFLAPTTSHKSKVNNSPGSIDFWGALQKVDAIKDYNWAEYVLEHLLEAAKKAQEDLRCKNSYTVWSAWLGSVSTVSVGLKGTGNR >OGLUM08G13970.1 pep chromosome:ALNU02000000:8:16042161:16042343:1 gene:OGLUM08G13970 transcript:OGLUM08G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMGCAVLPRRSGDDNNDSKPSGGGSGEPSPRRDGSAYVGLWCSRVSTTMAAAARTDLG >OGLUM08G13980.1 pep chromosome:ALNU02000000:8:16093323:16093904:1 gene:OGLUM08G13980 transcript:OGLUM08G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUU5] MAAANKIPLLLAAVLSLATGAVAAAASPRRRPVHMRLYMHDVIDGPGQTAIRLIWGAGPPHASMPGRAFGDTVAVDDLVTEGPSIASPAVGRAQGTYMLSSQREAVLVVAITVALTSAGGPYNGSTLVVAGRDRVRDETRELAVVGGTGALRGAAGYVLWRTAKVWSEIHMALELDVHASVPATADDDAVATE >OGLUM08G13990.1 pep chromosome:ALNU02000000:8:16097331:16097840:1 gene:OGLUM08G13990 transcript:OGLUM08G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADNGEKMILLISSDGERFELSESAACQSKTLSHIIEDDCTDNGVPLPNVTAVVLAKVVEYFKKHAAVTPKPATEAVAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNAQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >OGLUM08G14000.1 pep chromosome:ALNU02000000:8:16123165:16123674:1 gene:OGLUM08G14000 transcript:OGLUM08G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADNGEKMILLISSDGERFELSEAAASQSKTLSHIIEDDCTNNGVPLPNVTAVVLAKVVEYFKKHAAITPKPATEAVAADKAKREEELKSFDAEFVDVDRTMLFELILAANFLNVQDLLDLTCQHAADLIKDMSVEEVREVFNITNDFTPEEEAEVRKENAWAFDN >OGLUM08G14010.1 pep chromosome:ALNU02000000:8:16132137:16144232:1 gene:OGLUM08G14010 transcript:OGLUM08G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVYTVHDRAETEQTQVPKLSMSTDLTLPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPPAELLFRPRRAAVLICLFRGAAGELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTVQFNLPNSSFETMDWTLAVCGSLSGISIYSRVTSSQTTREAPRSPSPTALPPPPRRRPSGCRQSRAATRTAVAGLRSVSPHKGRGDCPALGGGNRPDPCRPARPSGEGRLGGCVWRGWQRSLEALAVEDKAARFVLDLARRHPAQEAKPMETEPGEVAACEDWPAGAAGAMCRHAGGSLVGGGAVVHVWKRALLPFHHSHLLRSLILPMVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDESRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >OGLUM08G14010.2 pep chromosome:ALNU02000000:8:16126431:16144232:1 gene:OGLUM08G14010 transcript:OGLUM08G14010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHLAVAAARSHASLLKSGVAAPTPWNQLLTAYSRSSPDGLAAARRVFDEVPRRDEVSWNALLAAHAASGAHPEAWRLLRAMHAQGLASNTFALGSALRSAAVARRPAIGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMASALELFLEMEREGLAPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLKDSRRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGVHPDMYSFTSIISSCSEHGHDDHQGRVIHGLVIKSALEGVTPVCNALIAMYTRYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSADALKFFRCMCSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIIDDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVDILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACCVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLQVEQMLSNCEDEDILMITSREVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTSIYSRVTSSQTTREAPRSPSPTALPPPPRRRPSGCRQSRAATRTAVAGLRSVSPHKGRGDCPALGGGNRPDPCRPARPSGEGRLGGCVWRGWQRSLEALAVEDKAARFVLDLARRHPAQEAKPMETEPGEVAACEDWPAGAAGAMCRHAGGSLVGGGAVVHVWKRALLPFHHSHLLRSLILPMVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDESRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >OGLUM08G14010.3 pep chromosome:ALNU02000000:8:16132137:16144232:1 gene:OGLUM08G14010 transcript:OGLUM08G14010.3 gene_biotype:protein_coding transcript_biotype:protein_coding METVYTVHDRAETEQTQVPKLSMSTDLTLPPSMEAPGADIQALIQRLRLHRPRPSPYAAAAAPSPDAAAPPAELLFRPRRAAVLICLFRGAAGELRVLLTKRSSKLSSHSGEVSLPGGKAEEGDADDAATALREAKEEIGLDPASVTVVASLEHFLSKHLLVVVPVVGILSDIQAFKPVLNVDEVDSIFDVPLEMFLKDEKRTSEEREWMGQEFTIHYFNYEKGSEKYVIWGLTAGILIHAASVVFQRPPDFPARTSIYSRVTSSQTTREAPRSPSPTALPPPPRRRPSGCRQSRAATRTAVAGLRSVSPHKGRGDCPALGGGNRPDPCRPARPSGEGRLGGCVWRGWQRSLEALAVEDKAARFVLDLARRHPAQEAKPMETEPGEVAACEDWPAGAAGAMCRHAGGSLVGGGAVVHVWKRALLPFHHSHLLRSLILPMVGTTEVEGIEALVRRLRLYQPPPSPYDGASTTAAGGGGELFRPRRAAVLVCLFRRGGGDGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALREAKEEIGLDPSLVTVVASLEHFLSKHLLVVVPIVGILSDIEAFKPVLNVDEVDDIFDVPLEMFLKDESRTSEEREKMGQTFTIHYFNYEKENQKYLIWGLTARILIHAASVVYQRPPDFPERRVHFNLPKF >OGLUM08G14010.4 pep chromosome:ALNU02000000:8:16126431:16130378:1 gene:OGLUM08G14010 transcript:OGLUM08G14010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHHLAVAAARSHASLLKSGVAAPTPWNQLLTAYSRSSPDGLAAARRVFDEVPRRDEVSWNALLAAHAASGAHPEAWRLLRAMHAQGLASNTFALGSALRSAAVARRPAIGAQLQSLALKSGLANNVFAASALLDVYAKCGRVRDARQVFDGMPERNTVSWNALIAGYTESGDMASALELFLEMEREGLAPDEATFASLLTAVEGPSCFLMHQLHGKIVKYGSALGLTVLNAAITAYSQCGSLKDSRRIFDGIGDIRDLISWNAMLGAYTHNGMDDEAMKFFVRMMQESGVHPDMYSFTSIISSCSEHGHDDHQGRVIHGLVIKSALEGVTPVCNALIAMYTRYNENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLSADALKFFRCMCSENVRTDEYAFSAALRSSSELAVLQLGKQIHGLVIHSGFASNDFVSSSLIFMYSKSGIIDDARKSFEEADKSSSVPWNAMIFGYAQHGQAENVDILFNEMLQRKAPLDHITFVGLITSCSHAGLVDEGSEILNTMETKYGVPLRMEHYACCVDLYGRAGQLDKAKKLIDSMPFEPDAMVWMTLLGACRIHGNVELASDVASHLFVAEPRQHSTYVLLSSMYSGLGMWSDRATVQRVMKKRGLSKVPGWSWIEVKNEVHSFNAEDKSHPKMDEIYEMLRVLLQVEQMLSNCEDEDILMITSSICPTV >OGLUM08G14020.1 pep chromosome:ALNU02000000:8:16148265:16151269:-1 gene:OGLUM08G14020 transcript:OGLUM08G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLLLLLALALAAGAASFPADFANLLAAKAALSDPSSALAAWDPGLSPSLSPCRWPHLLCSNPSSSSAAAVAAVLLSNLSLAGEFPAPLCELRSLARLDLSYNDLTGPLPGCLAAMPSLRHLDLAGNGFSGEVPRSYGAGFPSLLTLSLAGNELSGEFPAFLANVSALEELLLAYNQFAPSPLPETFTGIRRLRVLWLAGCNLVGDIPPSIGSLKSLVNLDLSTNNLTGEIPSSIGGLESVVQLELYSNQLTGSLPEGMAALRKLRFFDAAMNQLSGEIPADLFLAPRLESLHLYQNELTGRVPATVADAAKLNDLRLFTNRLVGELPPEFGKNSPLEFLDLSDNRISGEIPATLCSAGKLEQLLMLNNELVGPIPAELGQCRTLTRVRLPNNRLSGAVPPDMWGLPHLYLLELAGNGLSGAVAPAIATARNLSQLLISDNRFAGALPPELGSLPNLFELSASNNVFSGPLPASMTVVTTLGRLDLRNNSLSGELPRGVRRWRKLTQLDLADNRLTGDIPAELGDLPVLNSLDLSNNELTGGVPVQLENLKLSLFNLSNNRLAGVLPPLFAGEMYKDSFLGNPGLCTGGSCASGRGGRAGRRGLVGSVTASIVTVAGVILLLGAAWFVHKYRSQRRWRTEDAAGEKPRWVVTSFHKAEFDEEDILSCLDDEDNVVGTGAAGKVYKAVLGHGARGGDDGAVVAVKKLWANGGAAKKAAAMEAGGGGKDTFEAEVATLGRIRHKNIVKLWCSLSSGERRLLVYEYMPNGSLGDLLHGGKGGLLDWPARHRIMVDAAEGLSYLHHDCAPPIVHRDVKSNNILLDADLRAKVADFGIARAVSAAPPTTVSAIAGSCGYIAPEYSYTLRITEKSDVYSFGVVMLELLTGKAPAGPELGEKDLVRWVCGGVERDGVDRVLDARLAGAPCDEMRRALNVALLCASSLPINRPSMRSVVKLLLELRPESKEKAMEEEKPLLV >OGLUM08G14030.1 pep chromosome:ALNU02000000:8:16159670:16163455:1 gene:OGLUM08G14030 transcript:OGLUM08G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUV3] MAPVQVPAELIGSNNGGSAGGSLTVDPALGRRQATAALPTPRSPPPAFGSIVAVLSIDGGGVRGIIPGTILAFLEERLQELDGPAARVADYFDVIAGTSTGGLVTAMLAAPGADGRPLFAAKDIVDFYLRHSPKIFPPVIHFYTVLIMPRFIQANLNVPMYKCSKGPLGLLKSMMGPKYDGRYLRSIVQELLGDTRISQAITNVVIPTFDIKLLQPTIFSRFDAQKDASKNALLSDVCISTSAAPTYLPGHRFETKDKAGQPRVFNLIDGGVAANNPTLVAMTHVSKQILLGNQDFFPIKPADYGKFMVLSLGTGSPRVDGRTFGADESGRWGLLGWLRNDGGGGGAPPLIDSFAQSSSDLIDIHASVLFQALRCERHYLRIQDDDLTGDAASVDVATPENLRALAGAGAALLRRQACRVDVETGRNVADAGRGTNEEELARFARMLSMERRARLGKQESTTRV >OGLUM08G14040.1 pep chromosome:ALNU02000000:8:16171416:16172655:1 gene:OGLUM08G14040 transcript:OGLUM08G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G46080) TAIR;Acc:AT5G46080] MRESVEEAVHHAVVAVAAAAVVALAVALFLLWRNKRVARAGGGAGAGGGGGGGGDGDGGAVAVAPLPVVPLADVERATDGFHPSRVIGQGRHFTVYAAAPGLAAKRMRPHLVLGEPGGRRFPAALRSLAVPPHPNLAGMVGLSEGPGERVLIVERAPRNVLVDAAAAARGPCGVRISDYGLSTFLHSDNDDGDDHGRLDARVENDVYMFGAVLLQLLTGRQWDGGRLAHWALPLIRAGPPAMAEVLDERAGKPADKAESRLLARTARVALACVANDGRSRPRMAEVSAILDDVEAAYRRRGRSPEHEADGGEERFSGCLLAPSRSANRSETLLRLPV >OGLUM08G14050.1 pep chromosome:ALNU02000000:8:16193835:16194818:1 gene:OGLUM08G14050 transcript:OGLUM08G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHVLVVDDTHVDRHAVSMALMRHNVRVTAVESVMQALMFLDSEHDVDTIVSDYCMPDMTGYDLLMEVKKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYIPKPVKIVDVSRILNYI >OGLUM08G14060.1 pep chromosome:ALNU02000000:8:16201517:16202222:-1 gene:OGLUM08G14060 transcript:OGLUM08G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGSGTTINKVNEQINGPTVNMDSIDDVDTRTNPNTKSEQHQYMKQQSCQNLFDYICDTYHRVESDMEKYKYFTGVIANVGTKNFSPDWPMGVRDVTLLDIWY >OGLUM08G14070.1 pep chromosome:ALNU02000000:8:16217078:16221517:1 gene:OGLUM08G14070 transcript:OGLUM08G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIEEGVDWRTRLGQDIRDRVKRDILFSLQMKLQTTTSTTLIDLQKVAARIEERIYKIAIDFGDYLRRFSLIKGDLDDSYPLMLNNFLHIHQQASTSSFFLLHQKNKQGQIIQAEGNVQGTSSSSHKEPSHPHGKDRISELPNDLIHHIMSFLSMKEAVRTSVLSHRWVNKWTCLQSIKVDINWFRLDREKFRSSIDKLLLSRDHLDAPMDTFQLDSFAVDWASSWINHAIKHNAKVVKFSEYPSWEPFYLDPELVEFSSRYLKTLELTNAALNEMGQQTGSSSFTNSYLTFTTITLVDASDVTSIELTATDRQFTFVEQQGSRPMFRNLRTLRLGEWCMADNFLPLRQYVNHSPVLRKVFLKLNLVDWWSELTSNQLMALVEISSGGSVSIDFY >OGLUM08G14080.1 pep chromosome:ALNU02000000:8:16224404:16228280:1 gene:OGLUM08G14080 transcript:OGLUM08G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEGQGEENWRSHGVGCAVVLQMKLQTTTSTTLIDLQNVAARIEERIYKIAIDFGDYLRSSLIKGDLMIHIRFVNKLQSLHLSCSIRRISKAKLSRQREIIHFYTAGHKEPFHPYGKDRISKLPNDLIHHIMSFLLSMKEAFTFTEQSRSRPIFRNFRTLRLGECP >OGLUM08G14090.1 pep chromosome:ALNU02000000:8:16229770:16232658:1 gene:OGLUM08G14090 transcript:OGLUM08G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTEYRDGLVENAFMGLFARKMEKYAVVSSSGGKEKKKSSWACEFNATLTVPFFHWLISPSENPESAPLNKDFMDIKPTVRIMIEHDVNMIVSDYCMPNMTGYDLLMEVEKSPKLAHLPVVIASSDNIPERIRKCLDGGAKDYILKPVKIVDVPRILNYI >OGLUM08G14100.1 pep chromosome:ALNU02000000:8:16238989:16244243:1 gene:OGLUM08G14100 transcript:OGLUM08G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELGIIDEGVDWRTRLGQDIRDVVLLHQKNKHGEIIHAQGNVQGTSSSGHKEPSNPYGKDRISELPNNLIHHIMSFLSMKEAVRTSVLSHWWVSKRTCLQLIKLDINWFCLDRENFGSSINKLLLSRDNLDAPMDTFQLDSFAIDRASSWVNHAIKHNAKVVKFTEYERWEPFYLDPKLVEFSSWYLRTLELTNAALNETIFDPLNNACPALENLFTFIEQHGSGPMFRNLRTLRLDEWCIADNFLPLRQYAKHSPILSKVFLKLNLVNWWFKLTTNQLMALV >OGLUM08G14110.1 pep chromosome:ALNU02000000:8:16248736:16249086:-1 gene:OGLUM08G14110 transcript:OGLUM08G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEVLLTQAPMSEPSAMHSDARFVDAEMLLEMTLSASPVSSGLACNDNNTPMTVAAGRRRIR >OGLUM08G14110.2 pep chromosome:ALNU02000000:8:16248030:16249086:-1 gene:OGLUM08G14110 transcript:OGLUM08G14110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEVLLTQAPMSEPSAMHSDARFVDAEMLLEMTLSASPVSSGLACNDNNTLPANGYG >OGLUM08G14110.3 pep chromosome:ALNU02000000:8:16248388:16249086:-1 gene:OGLUM08G14110 transcript:OGLUM08G14110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLEVLLTQAPMSEPSAMHSDARFVDAEMLLEMTLSASPVSSGLACNDNNTSGGAGLLMD >OGLUM08G14120.1 pep chromosome:ALNU02000000:8:16248449:16249917:1 gene:OGLUM08G14120 transcript:OGLUM08G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF599 [Source:Projected from Arabidopsis thaliana (AT5G46060) TAIR;Acc:AT5G46060] MVVMMMQKSSLDLVLVPCGLVIMFGYHLILLYRILRRPAATVIGYENHNKLAWVRRMVQASPDETGLALSVISSNISASTNLASLCIALGSLIGAWVSSTSKVFMTELVYGDRTQATATVKYISLLVCFLVSFTCFIHSARYYVQASFLITTLDSDVPASYIQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFACSVLMVFILHLLDSNSLPLHNHQFTVRKRHDQRALASTVVTRHPSPQNPILSNPVLSPVTFSIN >OGLUM08G14130.1 pep chromosome:ALNU02000000:8:16250139:16252782:-1 gene:OGLUM08G14130 transcript:OGLUM08G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G15080) TAIR;Acc:AT3G15080] MASPPPPATAAAAAAAANPKRKPKPKPKAAGVSTLNPNWAQLQSKLPRPAAATTLGKRKHRPDHPSPSPAPTEPAAEEAAAAEVKLVPTSDDTSLTKAVAVDCEMVGVGAGGSKSALGRVTLVNSWGNVVYDEYTRPVERIVDYRTHISGIRPKHMNKAKDFWVVQKDVAELIKGRILVGHALHHDLKVLLLGHPKKDIRDTSEYEVFRREGKRRSLKDLTAQVLGAKIQQKEHCPIEDARAAMFIYNKHKKVWEKNMKEQFRFRKKLKKRGKKKSAEGSGNDPNVPTVLL >OGLUM08G14140.1 pep chromosome:ALNU02000000:8:16254866:16255573:-1 gene:OGLUM08G14140 transcript:OGLUM08G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIDGKKPHSEVLIDENDDWVIVKKQRITILIPPPSPAAASLQDDMQKISSEQACLAKKSMENCDAARKKHPKQMTTNKAQEPLLEGIKVSANIKKAQEIATSSHHPVAPVVKTNHASIQGQVHENIEKAGNSFGNIYKEELPVISSQVTNRIMRARLLERRVAGFGGLKNWLFTCGFGWFVDILDSEKLGMYQIVSLTMNQLKDMGLDAVGPRRKLIHAIECVSLPNEFEMFS >OGLUM08G14150.1 pep chromosome:ALNU02000000:8:16255602:16256704:-1 gene:OGLUM08G14150 transcript:OGLUM08G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKVTAGLLPRLAAVRTQPPANWPRRPLLLPNPALALLPCSASSRARPAAGFGASQPGPHSTALHLVRIDSKVALLNSKEILSFP >OGLUM08G14160.1 pep chromosome:ALNU02000000:8:16259503:16261143:-1 gene:OGLUM08G14160 transcript:OGLUM08G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin z [Source:Projected from Arabidopsis thaliana (AT3G06730) TAIR;Acc:AT3G06730] MAIAAAASLLPACAAPTLPGRAFRPASVAGAPRNSTPTASLSCDGGSRCRGVIHGGRRAQGVRRNAAAETYVPGSGKYIAPDYLVKKVTAKELEELVRGERKVPLIVDFYATWCGPCVLMAQDIEMLAVEYENNALFVKVDTDDEYELARDMQVRGLPTLYFFSPDQSKDALRTEGLIPIDMIRNIIDNEL >OGLUM08G14170.1 pep chromosome:ALNU02000000:8:16268160:16282448:-1 gene:OGLUM08G14170 transcript:OGLUM08G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAANKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDAMQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVEGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTENYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICPRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASSQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQHWRCCCRLFGPPGHDARNVKMEHGQQSEASQVMFNIDVLCFKSV >OGLUM08G14170.2 pep chromosome:ALNU02000000:8:16268160:16282448:-1 gene:OGLUM08G14170 transcript:OGLUM08G14170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMYAANKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDAMQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVEGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTENYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICPRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASSQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQNVKMEHGQQSEASQVMFNIDVLCFKSV >OGLUM08G14170.3 pep chromosome:ALNU02000000:8:16268160:16282448:-1 gene:OGLUM08G14170 transcript:OGLUM08G14170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEQPGAGAPAPEPLPSQLDILKNTIQSLRDSNRHDFDAWVSLIKAAEETSMNDIEVIDLVYHNFLLEFPLFYGYWIKYAANKARLCTNKEVEEVYEQAVQAVPHSIDLWVSYCGFAMCTYEEPAHIRRLFERALSLVGKDYLCYHLWDKYIEFEKSQKQLIQLATIYIDTLKFPTKKLRRYYESFRKLVTLMEHEAAGAERSSENLRTLEVIKAEDSEVDASIKISALLDEHSGHLRADAVKQYLLSGESLYQRSSKIDKEISCFEASIKRPFFHVKPLDDDQLENWHRYLDFVEKKGDFDWAVKLYERCLIPCANYSEFWIRYAEFVDAKGGREIASYALGRASSYFVKGVPTFHMYYAMFKEQIGDAQGARSLFIEGSNNLTSNFCANINRLANMEKRMGNTKAASEIYETAIQDAMQKNVKILPDLYTNFAQFKYAVNHNISEAKEVFVEGIKQAPCKALIKGFMQFMSTHGGPTEIPILDSVISNAVVPGSDISTVLSPEDREDISLLFLEFVDLYGDVRDLRKAWARHSKLFPHNTRHMLQQYCNSENSLQENNKRRRTENYIVSQDDSPKDAITLKQLSKSDTSLLVDKVVGLQVDKSTVDSGIGHTVEEQNILGNVDVHHEVGDTAQECIDMTDSQHNLDKSGMQNQVSAHGAHESCEQNDQTTESHPSVCENAPHAESFTCDSPSKSNSFSKISALDKANTIDVSASVDQGAICPRSDSPSVASLPKEETSPDPVRISPELEEKKHDKIQGQLETKDDMSLSNANIEKSSDSPDATQHDRGVSALSQEHVQSSQPQQLPDCARPSSSEMATTPATTSSQFSPSTAVTSQTQLQHQIVSSQMHQSDKLSLAEQNTQQQGLAYEIPQNVQASSQSQAQIFAQPNQGDQQHLQTMQGYASQMWQYYQQQMYYLQAQHNQQNVKMEHGQQSEASQVMFNIDVLCFKSV >OGLUM08G14180.1 pep chromosome:ALNU02000000:8:16290123:16297285:1 gene:OGLUM08G14180 transcript:OGLUM08G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MRPPAASAADERPLVELTPAAATAPAPAVSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGVYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKIELLVGCLMRRNHLMKELLLQLQGNLTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKGMEEVYITLDNPGTNVPEEHSGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTTFLDLAVDCVSVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFYPQHCDQAQRPNSK >OGLUM08G14180.2 pep chromosome:ALNU02000000:8:16290123:16297285:1 gene:OGLUM08G14180 transcript:OGLUM08G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MRPPAASAADERPLVELTPAAATAPAPAVSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGVYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGRMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGLAPYVAYVPDNYACNLLRKGMEEVYITLDNPGTNVPEEHSGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTTFLDLAVDCVSVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFYPQHCDQAQRPNSK >OGLUM08G14180.3 pep chromosome:ALNU02000000:8:16290123:16297285:1 gene:OGLUM08G14180 transcript:OGLUM08G14180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase E1-E2 type family protein / haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT3G27870) TAIR;Acc:AT3G27870] MRPPAASAADERPLVELTPAAATAPAPAVSSAPGFTRAVRCSGAGCSSSSSSSSSSSDEGGGGVYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLVVVVGAAMAKEAVEDWRRKQQDIEVNSRKVEVYDGTQSFHQTEWKKLQVGDIVKVKKDEFFPADLVLLSSSYEDGICYVETMNLDGETNLKRKQSLDVTAGLNEDHSFHTFKAFIQCEDPNEKLYSFLGTLHYNGQQYPLSPQQILLRDSKLRNTNQIYGIVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFVILFAIASFGSVMFGIRTRAELSAGNYAWYLRPDNSTMYFDPNRATLAAICHFLTSLMLYVCLVPISLYISIEIVKVLQSTFINQDQNMYCEESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNRPIEVQMPYGGIEEECVDIGQKGAVKSVRPVKGFNFTDDRLMNGQWSKECHQDVIEMFFRVLAVCHTAIPVADRTSGRMSYEAESPDEGALVAAARELGFEFYHRSQTSISVHEYDPVFGRKVDRTYKLLNTLEFSSARKRMSVIVRTEEGRLFLFCKGADSVILERLSKDNSKACLTNTKCHIDEYSEAGLRTLALAYRELTEDEYVAWNMEYSAAKNSVHNDHDVAVEKASENIEKDLVLLGATAVEDRLQKGVPECIHKLAQAGIKIWILTGDKLETAVNIGYACNLLRKGMEEVYITLDNPGTNVPEEHSGESSGMAPYEQIGRKLEDARRQILQKGTSAPFALIIDGNALTHALMGGLKTTFLDLAVDCVSVLCCRISPKQKALITRLVKNRIRKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAHAMFSAQPGYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNLFFSWSRILSWMLNGVCCSIIIYFGALHAVLIQAVRQDGHVAGFDILGVTMYSCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYTFLVIYGSFPPTISTSAYHVFWEACASSPLYWLSTLVIVVTALIPYFLYKITQSLFYPQHCDQAQRPNSK >OGLUM08G14190.1 pep chromosome:ALNU02000000:8:16297673:16303660:1 gene:OGLUM08G14190 transcript:OGLUM08G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPTIAPSAAAACMSLAPMPPLPTVSCSSRWWRGRRRCVAVVAMAAAAAADWERPHGHAAEAGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >OGLUM08G14190.2 pep chromosome:ALNU02000000:8:16297673:16303916:1 gene:OGLUM08G14190 transcript:OGLUM08G14190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:like SEX4 1 [Source:Projected from Arabidopsis thaliana (AT3G01510) TAIR;Acc:AT3G01510] MALHLTAAPTIAPSAAAACMSLAPMPPLPTVSCSSRWWRGRRRCVAVVAMAAAAAADWERPHGHAAEAGTGRMNLNEYMVAVDRPLGVRFALAVDGRVFVHSLKKGGNAEKSRIIMVGDTLKKAGSREGVGLVDIRDLGDTEMVLKETSGPCDLVLERPFAPFPIHQLHQNEDYHLLFNKGRVPLTSWNGALLSSKLNESSEGNGNPGFAIFSPRLLNSHGWAVLSSEQDGLNQRSTSLANRISEIVGLYSDEDDADTEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCLQTERDVKMLSETMGITAVLNFQSESERTNWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVIAYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGTPTHSVCFVWNSGREGEDVELVGDFTSNWKDKVKCNHKGGSRYEAEIRLRHGKYYYKFIAGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLQIRDPTVVKVIERALTEDERFLLAFAARRMAFAICPIRLSPKQ >OGLUM08G14200.1 pep chromosome:ALNU02000000:8:16302174:16303641:-1 gene:OGLUM08G14200 transcript:OGLUM08G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase, zinc-binding dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT1G23740) TAIR;Acc:AT1G23740] MQSLLSSSVLANPCTTGSPLFPPTAAKLAAAASVPVAAAARSGAIAAVSRRSASGGRCVVAAASSSSPAVTTAEAGEVPATMKAWAYDDYGDGSVLKLNDAAAVPDIADDQVLVRVAAAALNPVDAKRRAGKFKATDSPLPTVPGYDVAGVVVKAGRKVKGLKEGDEVYGNISEKALEGPKQSGSLAEYTAVEEKLLALKPKSLGFAQAAGLPLAVETAHEGLERAGFSAGKSILILGGAGGVGSLAIQVNQPDTHHHCISIHQLNLHLTFTWPERTSRTLQLAKHVYGASKVAATASTPKLELLKSLGADVAIDYTKENFEDLPDKYDVVLDAVGQGEKAVKVVKEGGSVVVLTGAVVPPGFRFVVTSDGSVLEKLNPYLESGKVKPLVDPKGPFAFSQVVEAFSYLETGRATGKVVISPIP >OGLUM08G14210.1 pep chromosome:ALNU02000000:8:16340411:16342294:1 gene:OGLUM08G14210 transcript:OGLUM08G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPHLPLLLLLLLVVCNAAGGDGARVNPFTAKAAFIRYWNRRVPNNRPHPAFFVAKLSPLQAADAASFAAALPRLLPPLCARAALLCPSASDTETAASLAVGGGGGGGPFKGYSNANFTNYGSGGVGGADGFSAYSPDLNVVGDSFRRYGRDSTRRVDTFASYEAEGNVVTANFTSYAGAATGGSGSFSAYAADTNVPDSTFTNYDAEANGRRREFTSYSQEANHGSNTFAGYGKNGNGLRETFTTYGNDSNVIASGFTNYGESGNGATDTFTAYGKEGNVPDNTFRSYGAGGNAGVDTFKGYRSESNVGDDSFASYAKGANGNAAEFQNYGGSFNPGTVTFKGYGEGSNPNHHIGFKEYAGSNNSFKGYAKSGVDFKEYHNTSSADAATTMSLEAVSSGHQHLKWSPEPGKFFRETELVSGNTMPMPDIKDKMPPRAFLPRDIAKKIPFKPNAVLEVFGVPLDTAMGKAVTSTVAECERAPSRGETKRCATSAEDIVDFAVEMLGNDIVVRSTASTAGSGGQIRLGNVTGVDGGKVTRSVSCHQSLFPYLVYYCHSVPKVRVYEADIMAADSDQKINHGVAICHLDTSDWSPTHGAFIALGGKPGEVEVCHWIFEGDMTWTVAD >OGLUM08G14220.1 pep chromosome:ALNU02000000:8:16386479:16386810:-1 gene:OGLUM08G14220 transcript:OGLUM08G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTVGVTEAEVIDLETMPPVGVPGGEVIDLESAECRSVATMAKFNNHSSGFHPKQQGHRKIGLSPQSKVCHLKLNICMPVERDYV >OGLUM08G14230.1 pep chromosome:ALNU02000000:8:16396894:16397461:-1 gene:OGLUM08G14230 transcript:OGLUM08G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSERLSSTRGQIPSRGHVVGGGDGSEGDSQIWRVPVGVGQGRTPGGSGNDKVAWWSFSDLCGHSVASMVPSVYTWHLVGSVEDTIVEGDFEVKAFLGLSMLARATPSGTVYLLEDFAIGSLIQLHIKGENLRFVWIGRCRRFVGVSFLEASF >OGLUM08G14240.1 pep chromosome:ALNU02000000:8:16405745:16407664:-1 gene:OGLUM08G14240 transcript:OGLUM08G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERRGGHRQTRGAPEAHARLPRHAPPPGGHGRGGRGQEGPIAIAAGHGRGHRGGGHGGPASPRHHLRGRGQAGPNSADHAASRGRGRRSGDATSASSRHGGGHADHAVRDVRRGGDVSTQQHHHRGRGQASPSSADHAASRGRGRCGGDANSSSPRVAGQASRFADHAASPGRGCGRWGWDSPLFVGGGARGVGVDLGLQARDGGTPNDGGHGAARGSERCGPPSASDQDSVPFVGTGARGVGVDLELQARGGRRPPRADRHREVWVPELTTANANLDVRFWMPFVHDGRTLHQFVYIAGDVVHGVGEALLNGGGDVWVDWAAAPGWFRELAARVGDDLPGASLAYIVTCAATRSTWEPGSAESTSSPCCPYILDTLFFHGGDEGEAVAAAADAPRPPARGGGGDQDAAVAGAADAPRPPAIGGGAVGREPGRRRIRRERNARRAALNDGGAEAPARAAAGVPAEADADLSPTNKKLQSLYSSLLKKKELIQELLQGLRGVGECARAQAESPNRETIRSIVSTVLSHLASYDRVDAEATELRAQLQHPVPRPPELDGHPRDSLPPSVTRRLSRD >OGLUM08G14250.1 pep chromosome:ALNU02000000:8:16408589:16410202:1 gene:OGLUM08G14250 transcript:OGLUM08G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRLFLLAQDSTTPATTAVTQRSAQLHQNLTRWQFFCRRIPESSASPCHAATLLMEMQSQSLLFYLQSPDGEVAQGGIGLELTGEGIISGGGGIPAPGKKVAISMLTAPLIRFLEGKWRGYRGKVGGTMARTIVPHDSWRNNGNVAVLNSARSRTSGHP >OGLUM08G14260.1 pep chromosome:ALNU02000000:8:16416772:16417011:1 gene:OGLUM08G14260 transcript:OGLUM08G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKGLCPDAYSYAKDDQTSTFTCPVGTNHRVDFCPPTSGVTAGDDDGVHGHRLPQLSCRICAAAAVVLLPPQATASRS >OGLUM08G14270.1 pep chromosome:ALNU02000000:8:16432824:16437552:1 gene:OGLUM08G14270 transcript:OGLUM08G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 38 [Source:Projected from Arabidopsis thaliana (AT3G01480) TAIR;Acc:AT3G01480] MNTSLFFGKERKKGKKKNPGSVLLIGVPLIHISVSSTNRHLPRLIHLTKTPLLPPFPTSTSTSLFPSRQSHFILLLPSMAAALAFPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKNSFSWKECAISVALSAGLITGAPTLGWPAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKISCEE >OGLUM08G14270.2 pep chromosome:ALNU02000000:8:16432824:16437003:1 gene:OGLUM08G14270 transcript:OGLUM08G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin 38 [Source:Projected from Arabidopsis thaliana (AT3G01480) TAIR;Acc:AT3G01480] MNTSLFFGKERKKGKKKNPGSVLLIGVPLIHISVSSTNRHLPRLIHLTKTPLLPPFPTSTSTSLFPSRQSHFILLLPSMAAALAFPTCCCCRRPSLRPSAGRRGRRPVARCALPSSEKNSFSWKECAISVALSAGLITGAPTLGWPAHASPLEPVIPDVSVLISGPPIKDPGALLRYALPIDNKAVREVQKPLEDITDSLKIAGVRALDSVERNVRQASRALSNGRNLILGGLAESKRANGEELLDKLAVGLDELQRIVEDRNRDAVAPKQKELLQYVGTVEEDMVDGFPYEVPEEYSSMPLLKGRATVDMKVKIKDNPNLEDCVFRIVLDGYNAPVTAGNFLDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKVRTIPLELMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMARDEFDDNSASSQIFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLANPSYKIVG >OGLUM08G14280.1 pep chromosome:ALNU02000000:8:16439132:16439566:1 gene:OGLUM08G14280 transcript:OGLUM08G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSLQMLKQKKQQQLVVWQTRWDERLLDSAGDSMGRTFLSMVLIVQELQSFALQQMREAMLGDNQQGVLARVHGEMHASFVWLFQDIFAGTLALMVSPMLLLANFIVHSMGQCRRRSSHPSRSAFHRGCRGGRHPTRRPIPVG >OGLUM08G14290.1 pep chromosome:ALNU02000000:8:16440983:16443412:1 gene:OGLUM08G14290 transcript:OGLUM08G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQPKCPTERKKADHLQLIPCGAPAGSCGGVLQDNNTYKKRQRGQQQMDFELKTYHRKRQRRRGHQQTVELPDEIVREVLIWLPVKSLARFKSVCKAWLSIISESCFIREHLQCSKLKRYWNPSSFLITPHIPLKPGDSIFAAFSTDIRFYQWSLQEDTRAAATLLYRRHFPAGEFEPVLPMAHCDGLVLLPTKTKAYVFNPATRDVLALPESNRNMRQRDICPPIGLGFDASTGKYKVARSFYRSREYNPMGIAAMGFEVFTINGEESCWRETLVDPPYPVLYSKIVTHCKGCLFYYIDKKNQRNPPQALLRFSLQDETFGVTLLLTDTYPQVEDDEVTITELGGQLCATFFCNTLQQVCIYMSGDVMDPDWNCWHIINFMNCYPIASLGSGRILLRRGSLVFCTNLKSYSIEDKLDIDDIRYLGPNEDNLGHAWEDLCWYDILPYTESLVPIIPRATLQAL >OGLUM08G14310.1 pep chromosome:ALNU02000000:8:16531746:16532184:1 gene:OGLUM08G14310 transcript:OGLUM08G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEGPSPEAIPPPTVRRLGERLFELLSEALGLPVAYLGRDAGCMDGLSVAANNYPPYPEPEATMGTTRHSEPSFLTVLLQDTSGGLQAVLERPRWRWVDVPPVAGALVVNVGDLLQLVSNDRLQSVEHRVVATGGAR >OGLUM08G14320.1 pep chromosome:ALNU02000000:8:16532191:16532526:1 gene:OGLUM08G14320 transcript:OGLUM08G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACFFRLEYASTRPCVPVASSAAAGRGVQEHDGGGVPGALQRQGARRPVGAGPLQDSGGCFFFRRDLFLHCCICSTIDRWIESLSCCCGNISTTMHVQDVICQATNRFL >OGLUM08G14330.1 pep chromosome:ALNU02000000:8:16549333:16550443:-1 gene:OGLUM08G14330 transcript:OGLUM08G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPALINMVWKKWILAPTKVVECDPSTINMFL >OGLUM08G14330.2 pep chromosome:ALNU02000000:8:16549835:16550443:-1 gene:OGLUM08G14330 transcript:OGLUM08G14330.2 gene_biotype:protein_coding transcript_biotype:protein_coding LNGHDDGTPDLRPRSGGIQVAATTRSAGGSHGAGGDGQEAEEAATARKLRWVLHLLLTELRHHPHHCRCPPGVVLSLRRRPHCPATPSPSSTRWLPPAPQDPQRSPVARSERS >OGLUM08G14340.1 pep chromosome:ALNU02000000:8:16624911:16630476:1 gene:OGLUM08G14340 transcript:OGLUM08G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUZ3] MVINQIPLATIPTSSSISHISAMAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAVYAAVEQHLLFAQTAAIMEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFIVCLPVGTVSEVGLVYIILPFMKASGKYCLRMPNKWNFSFTYFYASIFFMVLYAPVYPRLFRYLIAQRKKALAKAKTTKLYSRAIYSDFCSRLQLRLLPFLEQISKSVLEWKCRNTDYLFPW >OGLUM08G14340.2 pep chromosome:ALNU02000000:8:16625632:16630476:1 gene:OGLUM08G14340 transcript:OGLUM08G14340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AUZ3] MLSHIDWWNRVSRSKPLATIPTSSSISHISAMAGNASVMRRLYLSFYNWIVFIGWVQVSWSMILALLENGYEAVYAAVEQHLLFAQTAAIMEILHSIVGLVRSPVSSTIPQITGRLFMIWGILWSFPETHTHIFVTSLLISWCITEVTRYCFYGMKESFGFTPSWLLWLRYSTFIVCLPVGTVSEVGLVYIILPFMKASGKYCLRMPNKWNFSFTYFYASIFFMVLYAPVYPRLFRYLIAQRKKALAKAKTTKLYSRAIYSDFCSRLQLRLLPFLEQISKSVLEWKCRNTDYLFPW >OGLUM08G14350.1 pep chromosome:ALNU02000000:8:16631402:16633518:-1 gene:OGLUM08G14350 transcript:OGLUM08G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRQRWEDGGEVAEGGNEKKTGGDACAQRAGPRRRVGGSGGHHHRVLQQWQGRPHCAHQHDHSVRGEAVPRPVRRGRRVPRCRARPPHRRRRLHCQRPPPGDIVTITAAAANGATRRGDGQGEGVQGRVERGRCDPRREDRHRCHQPPPTLQTQRPEAAASPPPPWQRQQHEQQLRRRRRRQQLPHHLQPRTPRPWHRLLKRTRKANEEHRVIEFECSIHREH >OGLUM08G14360.1 pep chromosome:ALNU02000000:8:16743076:16745277:1 gene:OGLUM08G14360 transcript:OGLUM08G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVLFVNKQGQTRLAQYYEHLSIDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLFVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKTS >OGLUM08G14370.1 pep chromosome:ALNU02000000:8:16768194:16771908:-1 gene:OGLUM08G14370 transcript:OGLUM08G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHADMWGHADSAAMSDKTGFKTTEGSKMNGFEKVFEGKGNGSEFDTSDFWMELCMQYYDNLNYKLKQACLTLRVFSLQATKKEKHARGGGAEEVATGVGEAAAVVETEEAVVGCLPGGREGGRCRGQREGGGCCGGRGGGGRWSLRRVGGRRRPMIDVGEASLGGVGRQCGGGCQWKPAVKGREVEPGVIDTERSRAGRCRSVEFDDGGRTAVDVEEAREVLGME >OGLUM08G14380.1 pep chromosome:ALNU02000000:8:16777633:16777788:1 gene:OGLUM08G14380 transcript:OGLUM08G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRPRLAPAHDRTLGSQPQPPTAHTRRTKVTNRSTAVKANHEPHTQASEE >OGLUM08G14390.1 pep chromosome:ALNU02000000:8:16807124:16807942:1 gene:OGLUM08G14390 transcript:OGLUM08G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVSASQGVMRFLPGMLGSLLTSDYGHALPKEVRTMIGLLRGEIEALNTLILEPSVVEHPKSTAQSWMKEVRDLSYDVHDFLDSLAAKTPSSAASGYLPGKITRLHQDNVRSRRITTDISRFRARVKEAIDRHKNYGLGSRSSLRQYPSDGHRQLPLEAEGASSRLVGIESSVEKIGEWLTDGDKLTRVVSIVGPGGVGKTTLARKLYNDTGGQFDCRAFVQTSRRYDTITVLKSMVSQLQLQEPLHETWDDKGLIREIKRHLQGKRYSF >OGLUM08G14400.1 pep chromosome:ALNU02000000:8:16843154:16851093:1 gene:OGLUM08G14400 transcript:OGLUM08G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVAQECTGYNSKLILSHSIQENQIVPHKRCNYIFKMEPLNYNASRDLLASRAFGHQQVAPELNGVLSEIARKCGGLPQAIIGAANLLANRPVNIDVKQKSAIDRWNNYVQNSLIPDLRTNHTSDGMAQLLSNIFENLPSHLKACMLYLSIYKEDYIIKKDDLVKQWMAEGFVCAIVGKDMEEVARSCFHELVHRGMIEPVDIGYNDEIVSCTLHHMVSNLIRHKAMEENFVTALDQSQTGIRLADKVRRLSLHFGNSEDAKPPENIRLTHGEDDKVFNLTNISELFRLRSLQIQCNGRVKLPSELSGLKYLETLQIDSRVASVPSDIFHLPQLLHLRLPPSLQHFGDSRNEQSNNTDKKRLANHQLQDLQLICFPVTSDYLERNMKVLGLILQLFDSLKSLTVVPSHFPTYNSVHGASRNAISCDDIEFVVSSSPSLLQRLELYPRVCVFSTLPSWIRNLEKLSILKISVIQLGVEDIKTLSLLGALTALSLYVRTTSSESIIIDESGFRVLKYFKFNCSTISSLSFKNGAMPNLQKLKMGFNDSKVEQCNLANVGFEHLSTIKEIIIKIRVIDESGRIAINRSTLRDSIRRNISTSTNVIVRLTDREFYEIMLSNKNFKDLPAEIAHQFHPAHKLRLAVGTETNWPSRCGGCEEPGAGRRYTCEPCNLTLYMCCATAPHALEHPLFPGLAFRLREKPPPVAECGRACDACGDLLDEDGFVYHSSDPAAGAERGLDLHPRCARLPARAVSARRYAFELRKKVASRGRCGICMYRHRFWSYRFYYDDEAVYLHIACLKDLASQSHETLTKLYDILMDGMQWMPTNNWVTTERSVDGSSEKDRSRVLPEEQDEITEEFQYFRSQIVLTSDCVKSFEHKGNLAMTIMEEFVQTPETITHRSHPQHKLRLVTTTCDAPFECDGCEEPGEGPRYCCRTDGCKFDLHKFCAQAPRKLHHDLFQGRTFVFLDRPPPGPSPPRPDGPRRCDTCGDPVRGWCYHCPGANIDLHPCCASLQGAAITLDGLAFDIGAPSRCSLCRTDKGGGRRHREQWCYHTDIDGEGVYLHVACVKHLARRRWLAGREKKYGGQIMLASEELMKEGPLKSISSEQARKIVGAAVRIIITVIFGDPTAIVGDVDTWVPLPLRWLADLFSIQS >OGLUM08G14410.1 pep chromosome:ALNU02000000:8:16861095:16864237:-1 gene:OGLUM08G14410 transcript:OGLUM08G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTPDLGDPPAAEITHPFHPHRLRLAADTVTGGHWPFRCGGCKELAAGRRRYTCEQERCDLTLYTCCATAPLTLEHPLLPGRHFRLLERPPPPPPWLAGDDDRGGGWRPACDACGDLLRGDGFAYHCADGHGLVGLNLHARCARLPLPVAAPRGAAAVKLCRRAAPRRRCGVCMSGEDGYRHGFWSCRFCRGGGGDELVDVHLSCLKELMSHSHETLTNLYEILMEDGPPRTPTHNCGEGTSAQVNSSGESNGDAEEFENQNGTNNGMVPEMKTITHPSHPEHKLRMVTTTGEAPFKCDACKEPGDGPRYHCLTCEDFNMHKFCAHAPSALYHHLFGRTFELLAKPPQGRPEKPHPAATGGGRGESGGRWCDICGDRVFGLVYHCSGANLDLHPCCGSLQTLLVQNRETLDQPEGNLATPKIITWHFFQQGLAKITIDGIAFDIAASRKCSLCSRQEEGPDHCCRRLRRHEQWCYNSSDIVDGAGEAVSLHVSCIKQMARRRWQAGGQIMLASEEIIGEGGPLHGIPSEQARNIVGAVVRVIIAVIFGDRTAVEGDASSWVALSLPWLTNLFTVQR >OGLUM08G14420.1 pep chromosome:ALNU02000000:8:16899631:16900404:-1 gene:OGLUM08G14420 transcript:OGLUM08G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPVAPPEMITHPSHQQHKLRLVTTTCDMPFRCDGCMEPGDGPRYRCDGCNFDMHTFCAKLPATLHHPMYAGRTFTFYAKPPAPSGRRNCDACGDPVRGFVYHCSGANLNLHPCCASLQGPITLDGHDFDVRAPRKCSLCKKEKGPDRELWCYHTNINGEGVYLHVACVKHLAGKRWQAGREKKHGGQIMLASEELMMKEGPLKSISSEQDRIVVGGVVRIIISVIFGDPTANKGDNAKVALSLQGLADLFSIQS >OGLUM08G14430.1 pep chromosome:ALNU02000000:8:16906850:16918503:-1 gene:OGLUM08G14430 transcript:OGLUM08G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLEQSDTKMSRARRLDDETNNRCLIVLDNIWTASVWNVVRQALPEDCRCRIVVTTEVDDVAQACSVYKAEHNASHENRKYIFKMEPFKDDLLRDIIVSRVFGDKDKCPEALNEPSNEIARRCGGLPLAAMAAACLLASWPDKRDEWNYVQNSLIPNLRIQPISKGMTQLLNNSFNNLPHHLKACMLYLSIYKEDQVINLNCLLKQWIAEDFISENDSGSSEKVARSHFYELVRRGMIVPEHINYNDEVVSCTVHDMVLNFVRHKAMEENFVTAIDHSQTGIKLADKVRRLSLHMGYSEYATPPAGIRMSQLRSLVFSGLFKFVPSIWKFNLLRVVSLEGKQSEVFDLTRICELFLLRYLQIEGNICVDLPSNLQGLKYLKTLHIGALVTFLRSDIVHLPRSLLHLRLPPSLQQFVDPGNVDSIDELTNLQDLQLACPQTATPDRIEHNMKLLVSILRKLESLSSLTVINAHFSEDANIRKNSNIAIFLDALDSLSSPPSDLQRLQLFPRVCVLPRLPKWIAKLKTLCILKVSVMELRKEDIEILSQLSCLEVFSLYVRTTPAEPIIFGISGFTDLKYFKFKCSTVSSLSFVEGAMPKLQRLKMEFNGSTLERCNTAIVCFKHCSGVKEVILKIWGAGTGVFDRMTVASDVTNAIMKNTGIPNLTIDHTDHSKLSLVDTMIPWPSPCNGCGELGAGRRYKCEQCNSKVYYDMCCATAPRTLVHPLFPGNAFEFRRQPIASECGRACDACGDLMHGFGYHCRDTDLDLHPRCARLPVRAANAVKGYTLELCRAASASRCCICMCGKEGYRHNFWAYRFCHKGQPFYLHMACLKDWASRSHETLTRMYEILMGGPQETPTDVSREYTSDHVGSSEQDDDTLVRQDEITETVQQKEVHSIQQHDREEHTEKEADAR >OGLUM08G14440.1 pep chromosome:ALNU02000000:8:16924524:16925414:-1 gene:OGLUM08G14440 transcript:OGLUM08G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPVSASQGVMSSLPTVLVSLLESEHGRRLPKELRTMIDLLRGDMVALNDLVLEPSEVERPKSTAESWMKEVRELSYDVDDLVAEIATNTPPTPSSLPGKITRFPQEQIRRRRIINGISGFRARAKEAIIEHTNYRLGNCSIRALYYSPGEHEESPAKGDQGASSTLVGIGSSVETIGEWLTVRAENPSTTVILIVGPAGVGKTTLAKKLYIDFGDQFDHRAFVRTSKKPDRRTTLTSMMSQLQQYDPLHETCDEKILIDKIKMHLRGKRYSTSYLTYLIIVAIMHATSMIYLYI >OGLUM08G14450.1 pep chromosome:ALNU02000000:8:16927214:16932422:1 gene:OGLUM08G14450 transcript:OGLUM08G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAITAFVHNVLGPVVNMLGNRLCMVMSVSQDSASMGHDIIMLASAIDDQLVPGACGEELRELTRDMEDCIERFLHRVACAEDQGAPRARRVARCLRTAHIRYRFATQMKALKKRLSETRERWLTHAILSQSSRRPDDHTTPTPTCLPAQVQPEHVELNPVGIEPAQRELLTMLEEEPAELRVAAIVGFGGSGKTTLAKAVFRSIDVTSRFGSSRAWVDRAKDKNTGEILKSLLQQFGFHQILSVYDERKLQAQLKNFLRGRRYLIVLDDIGNEQWWENIKSIFEESDTNTSSRIIVTTALRSQANYLCSDGKGFIYQMQSLEDQDCKRIALGMAPPLELQMGSEELLKKCDGHPLSLVCVANYFRSKAGQPTGATGQHCRELCRYLGSHIHEDRYFERLKGLIVDNYTSLSNHSISTCLMYLGIFPADVPLERKVIIRRWLAEGYAIASSGIEGSDKGIAQENFETLVDRNLLHPISNVCKNADVKACKSRGTVHEFMLRKSIVERFIMSFPDWRMKVRHLCIDHRKPRNRRRTTDMNLSCVRSLTVFGTAGDTIFEFNRYKILRVLDLEECNDVNNKHFEHICKLWNLRYLSLGAKITVIPKAVAKLKLLETLCLRKTSVEELPVQVIGLPFLLHLIGKFRISDHGYSKSKLIKISEKSKLETLSGFIAEFNSHEFLEILGHMKNLKKVKIWCCQSSAAGDHDSILARKLSEAIQEYITGPFYIGDHHDRKLSICLQGFSGSFLNSVNAPCDSELRSLKLHADELRSLPHFVKCNLGLHITELCLSSVTVTRDVLSDLGELEQLLYLKLIADRIDEFEIPNGAFPNLLRLCFMVRSPYLPTFEAGALPKLVSLQLLCRDLEGLAGVEIGGLVKLKEVTLHSEVMAYTKQSWEIRAGQHPNRPRILLPRRG >OGLUM08G14460.1 pep chromosome:ALNU02000000:8:16977164:16977331:-1 gene:OGLUM08G14460 transcript:OGLUM08G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWSRVGDWESFGAGHGAWIDDSVPLPPGSGAGGSGRWIRWGPQQQSSGVAGHR >OGLUM08G14470.1 pep chromosome:ALNU02000000:8:16982953:16986236:1 gene:OGLUM08G14470 transcript:OGLUM08G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRKAEAARAAEEEADRALYGAFRGAANSLSQLYALAGAHQRLSFHAGERHALEKLYQWMVRQHEIGLRLTVSDIASHIQHKIEYGGDNALTSPRSQHAFQNLQAPMHIPNTSTQQPPSCSITPSNPSKDSMIFSKALSSPVRQNLQLYHVQQGGDTGCFADGIFCPGNRDSDPAASNDSSVDMHSDSPGHDS >OGLUM08G14480.1 pep chromosome:ALNU02000000:8:16986866:16992686:-1 gene:OGLUM08G14480 transcript:OGLUM08G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSGAALAWQQYRALLRKNATLTWRHRRSASLQLLSSLVFIFLIFCIDRAIRSRFSYTTAYRNVPDPAALVAPPIPPCEDKYFVREPCYDFLWSGGGGAGSARVAGIVEAIRRNNPGRPIPAEKVLGFNTPDEVDAWLFQNPMRCPGALHFQVINDTQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQIAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSIMGLYESAYWLSWLTWEAFVTLLSALLTVLFGMMFQFDFFLHNNFGILFLLFFLFQLNMLSFAFMISTFVTKAASATTVGFAIFIIGFLTQLVTTFGFPYSTSYQKYYRTIWSLFPPDVFAQALNILGKATATPEDKGISWNQRGQCQSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNIIPNVNGVRKSVFYFLMPSYWTGKGGGKLQEGGLFSFFGSNRPADDASPTDEDVIAEENLVKQQAANNEVDPGVAVQICGLRKTYPGSFSMGCCRCRTTKPFHSVKGLWVNLEKDQLFCLLGPNGAAMIYGHSVRSTAGMSNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSTIKSVAEQSLIQVKLSQAANVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYIANVNFSGNGHTQSPNVNGNTEVPVNPNIESVKWFFKERLDVDPKEESRTFLTFVIPHEKEPLLTANIFSIKQRFFGELQDREREFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGSSIQKTLNISLISLPFSMAMQIPKGARFVGIPGTESEDHPRGVMVEVYWDQDDNGSLCISGHSDEIPVPANVQLGRPPSLSRRASVGRGNPVGYIIDPNEVTAAR >OGLUM08G14490.1 pep chromosome:ALNU02000000:8:17006110:17020743:1 gene:OGLUM08G14490 transcript:OGLUM08G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSSSSSRGAAGFATQANALLRKNLCFQRRNMKTNACITVFPVFLCVILVVLQGVINHEINKPKYQCGCACVDAAPDGTCRRTECGVEHSTLDQVGSCPIKSPTPWPALVQVPRPESRAVRIASQPFDGLPDPTCRDTGSCPASVLVTGMNRSLAQSLWGGLFPAVPPSLNFTDYLDAFSKIVAGSDTWTWTTQFIEPVFTPGHSLYVVQPQCSSNLSRTISNKAGPVPIQLNIDCVQGLSLWRESASQINNELFRGYRQQGGGGGGGKTNEFIAGYDFLNTNNNGLEINIWYNSTYNNNTAYDVISLLRVPRLVNTASNAYMKFLKGSGVEMLLEYVKDMPKVGTKPKFDLSSLLGALFFTWIIELLFPVILTYLVYEKQQKLKIMMKMHGLKDEPYWMISYSYFFALSAVYMIVFVVFGSLIGLNFFKTNNYGIQFVFYFIYINLQIALAFFVAAFFSSVKTATEGWIVVMEIIPGFSLYRGLYELGQYAFSGNAMGTNGMEWTNLSDSENGMRNVLIIMVVEWAILLPLAFYLDKISSLGSGARKTPMFFLKRFKNRAVSLRRSFGRQGSKVVVEMDNPDVSQEREVVKQLLLEPNASQAIICDNLKKVYHGKDGNPDKLAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTALVHGMDINTDMDSIYTNMGVCPQHDLLWETLTGKEHLLFYGRLKNLKGAELEKAVDDSLKNVNLFHGGVGNKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCLGNPKELKARYGGTYVFTMTTSSEHEQEVKQLVQHLSPSANRIYHISGTQKFELPKQEVKIADVFHAVEKAKRQFSIHAWGLVDTTLEDVFIKVAKGAQGVNVIAFFRQVHALLLKNLSFQRRNAKANAAIAAFPALLCVLLVTIQAVIDGELDRPPFRCGCACVRRDGGRAGAGACAATECGVQHSTATQALSCAVPAPPRWPAVTQVPDAPYRALTPVHPARCRGDGGGGASEAPCPVAVLTTGQNRRLTEGLGRGFFPDIPPSYYLGVPNSNNSSYIDELSKIVPGTSTLPAHVLFIEPGFVPNSTLYVIQRKCIWDSHNTSRSSDAMPIQLDVKCVQGLSLWCRNSAVMNDHLYKGYKGGNKRRTSNEYLAGYDFLDTSKRRFHVYVSYNSTFSRDNGHHPMTVLRVARLVNMASTAYLKFLRGPNVEMRLEYLKEMPKAAMKIRLDLTTLLDALFFTWTVQLLLPVMLTYLVYEKQHNLRLMMKMHGLKDGPYWMISYAYFLSLSAAYMMFFVMFGSLIGLDIFRLNSYSIQFVFYFLYINLQIVLAFLLASFFSSVKSASVISYIYVFGSSLLGEALLQLFIEDITFPKQWLVTMELVPGFALYRGFYELAEYAFAGRQMGKPGMQWRDLNDPINGMKDVLLLMSIEWILLLPVAFLLDHRPTWHPLFLFGFMSTKHSSPTIIPDKVKQRSRKVFADMAKPDVFLERKVVKKLLKEMDMRNMIICHNLKKVYPGKNGNPDKLAVKGLSLALHKGHCFGMLGPNGAGKTSFINMMIGLVAPTYGTAYIHGMDLRRDMNEIYANIGVCPQHDLLWETLTGREHLMFYGRLKNLTGAALLKAVNESLKSVNLFHSGFGDKSVNKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDTTSRSNLWNVIKRAKKNCTIILTTHSMEEAEELCDRVGIFVDGNFQCLGTPKELKARYGGVRALTITTAAGHEEAVERAVARRCPGAAKVYGVGGTQRFEVPRRGARLDGVLGAVEAARRAAPVVAWGVADATLEDVFVRVAMDARAAAHVLS >OGLUM08G14500.1 pep chromosome:ALNU02000000:8:17024153:17028553:1 gene:OGLUM08G14500 transcript:OGLUM08G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >OGLUM08G14500.2 pep chromosome:ALNU02000000:8:17024344:17028553:1 gene:OGLUM08G14500 transcript:OGLUM08G14500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALGLVQVDQSTVAIKESFGKFDEVLEPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALAEKASDAFYRLSNTREQIQSYVFDVIRASVPKMNLDDAFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGQLQAKLI >OGLUM08G14510.1 pep chromosome:ALNU02000000:8:17030118:17030785:-1 gene:OGLUM08G14510 transcript:OGLUM08G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADVAKEHHKEETKDKEHAKEAKPEKEKKEKKEKNGEEAAKPAKEKKEKKEKKEKGKEKKEKVEETTDVAKLRAKLEKLDAKIDDLKAKKQEIVARLVQLEEGATANAAAADAAPPASG >OGLUM08G14520.1 pep chromosome:ALNU02000000:8:17033749:17044423:1 gene:OGLUM08G14520 transcript:OGLUM08G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV12] MDDGGRRWWHGAARESHGRRRRQRARGRWWCTGGARATVAHGLWWPRWCTGSGDSGGSGRATAATAAAAVGRRVGGGGDDSSKAMPTICSTKCLQGTYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDAAPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >OGLUM08G14520.2 pep chromosome:ALNU02000000:8:17034426:17044425:1 gene:OGLUM08G14520 transcript:OGLUM08G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV12] MAASPEQFRGQARLPRCASPLSYDLRLRPDLAACAFSGSAAVAVAVSAPTRFLVLNAAELAVDGSSDLVPSEVVQFEEDEIVVIGFGQDLPIGEGVLKMDFTGTLNDQMRGFYRSKYEYKGESRNMAVTQFEAADARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVIKETVHGPLKTVYYEESPLMSTYLVAIVVGLFDYIEGSTLEGTKVRVYTQVGKSNQGKFALDVAVKSLDLFKDYFATPYPLPKLDMVAIPDFAAGAMENYGLVTYRETALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVEALFPEWNNWTQFLDETTSGLRLDALAESHPIEVDINHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLDGHDLHLEQAQFLSDGSSGPGLWIVPITSCCGSYDAQKKFLLKGKTDKVHIDLTASQNAGGEKGENCWIKLNVDQTGFYRVKYDDELAAGLEKAIKANKLSLMDKIGIVEDSYSLSVARKQTLTSLLRLLNAYRNESDYTVLSHVCLGIDKISVDAAPELSRDIKQLLINLLLSAAKTLGWDPKEGESHLDVMLRSLLLIALVKLGHDETINEGVRRFHIFIKDRKTNILPPDTRKASYLAVMRTVTTSSRAGYDALLKIYRETAEAQEKSRILGSLSSCLDKDIVLEALNFMLTDEVRNQDAFYVLGGISLEGREVAWAWLKENWDHVLKTWPSSSLISDFVKSTVSRFTTEEKAAEVSEFFAGKTKPSFERALKQSLERVRISARWIESIRSEPNLAQTVNELLQHDM >OGLUM08G14530.1 pep chromosome:ALNU02000000:8:17044336:17045619:-1 gene:OGLUM08G14530 transcript:OGLUM08G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of CFIM-25 [Source:Projected from Arabidopsis thaliana (AT4G29820) TAIR;Acc:AT4G29820] MGLEIMTDEAAAAPSPAAAAARVEIYPLCRYYFGARDVAAGGAGAGLETAADRALRLKANFAAHGLRTSVHGILLVELFDHPHVLLLQVRNSSFLLPGGRLRPGEQDVQGLKRKLSTKLSVAGHQDDEDGDGDDEWQIGECIGMWWRSEFDAAPFPYLLPNARAPKECIKLFLIKLPVSRQFVVPRNMKLLAVPLSQIHDNAQVYGSIIAGIPNLLSKFSLNIISD >OGLUM08G14540.1 pep chromosome:ALNU02000000:8:17046423:17047287:1 gene:OGLUM08G14540 transcript:OGLUM08G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGPLDPSNREAMATTPPASSQPPPPPPRALLSPPSTLPRSPYPSPAPPPPAAAAASPARLQVQGRKSGGEQEGARVGAAGGVHDGGGRRGGGREGGGGEKSPEMMAAELKKAETAATGGGWWAGVAQEMSKIEWPAPGKVVGTTGVVLGVIAGSTAALLSVNALLAELSDRTAARAPACRRLMSRESERNKREEEKEGCINAMSTPRGTKTKSTLPRVRHISKTTLQNHQKSQIVPVL >OGLUM08G14550.1 pep chromosome:ALNU02000000:8:17066928:17069612:1 gene:OGLUM08G14550 transcript:OGLUM08G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGEEGTWTAHGGGGRGAERAGEVVAPTRTADAAGTGTGRNAVAVGGGAAEDVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQFPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSVTRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGDSLVSQELEEGEVADEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNADDRRKTMMLCRRFQFIHRALVHAAKQGSLKVLRADLQADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDYWREGLKGSMGI >OGLUM08G14550.2 pep chromosome:ALNU02000000:8:17066928:17069955:1 gene:OGLUM08G14550 transcript:OGLUM08G14550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRGGEEGTWTAHGGGGRGAERAGEVVAPTRTADAAGTGTGRNAVAVGGGAAEDVVVIVSGRRSVGEPTLDVSEMLLQAAQAWRSRRTQREARPDALPPRHPPRPVAADGRGGSGEGTSRARGRGEEGTARGGDGEMMALVRTVDVAVAGGLATLTHGGERDAGAAVATEEKRNGGEVGTKRGMEERAARSPPPPKRSAVSSVRQFPPGCGRDAALPLGRRHGRGRDGDGGVPPLAGNRTDLPLEAVVDGGDPIANVHQIFSKSSHATDENQVACKVGSLEDVVQEGAANSGELLGWKQVLAQAANVLPKRRMVSVTRRFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGDSLVSQELEEGEVADEAYSDVDSQNVAVDDSMAAATEDVKVMNKCKGTLPRAAAEPCAEGPSKEHFKGTRECENDRMGKSSMNVATEVFGDGMMRSKILLTARKAVKSPLNTLHRWPFSKGKEECAVTNSAPFGPKKKFKVKGAYQTKDIPIKIVSTPGLGGKDNLVDKEALILEDDDILKALAVHDGKLKLYLNASSSVQRHGQHGSGNADDRRKTMMLCRRFQFIHRALVHAAKQGSLKVLRADLQADKIVRKLPGFIKPGPIVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDRLSSSGELIYTGSGGQPAGKKKGEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNGKDDSYSRAKQISAFTYDGLYRVVDYWREGLKGSMVFKYRLQRIHAGR >OGLUM08G14560.1 pep chromosome:ALNU02000000:8:17074765:17076142:-1 gene:OGLUM08G14560 transcript:OGLUM08G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVCQTQEQLGTNTRNGVANTVPARQGTTMNHMQIRRDRRHCDPKGRYRCSSILMQRLFEQPVEVDHRRQHCGPRGRHSGNPKLDGVTEVAHNGTIKSKPKSMPMSETPTHEITAETMASQQGQKEALQKVVTGRSANDCPARDADGGRRRSKTEAVRKESGGRVERSTGWCRQSAPGRGRSGLGDAGRAPRRGEEEATVHPAAAGMARRRELATRRPVDPCVTAADA >OGLUM08G14570.1 pep chromosome:ALNU02000000:8:17076161:17076355:-1 gene:OGLUM08G14570 transcript:OGLUM08G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAEVGKLMSRYPSRRARMGRRRVVMDAQEGVMDQGCTGNDDGDPIAAVIEEDDAKEPM >OGLUM08G14580.1 pep chromosome:ALNU02000000:8:17098179:17100492:1 gene:OGLUM08G14580 transcript:OGLUM08G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQASETWRSRRTQREARPDALPPRPVAADGRGGSGEGTSRARGRGEEGTTQVAVAGGLATLTHGGERDPGAAVATEEKRNGGEVGTKRGLEERAARSPPPLPPPKRRAVSAVRQFPPGCGRDAAVPLGRRHGRGRNADGGVRPLDQATAPPLAGSKTDLPLEAVVDGGDPIANVHQIFIKSSHASDENQVARKLGSLKNGVQEGAANSGELLGRKQVMAQAANVLPKRRMVSATRCFPPGCGRDVKTGSGLEFMAVDASCGGVSKEVVATDGGDSLGSLKVLRADLQADKIVRKLPGFIKPGPIVGNVRVVEVGDEFLYRVELALVGLHRPYQGGIDIADHNGVLVAISIVASGGYPDRLSSAGELIYTGSGGQPTGKKKSEDQKLERGNLALKNCIKTKTPVRVIHGFKGQNAKDDSYSRAKQISAFTYDGLYHVVDYWREGLKGSMVFKYRLQRIHAYR >OGLUM08G14590.1 pep chromosome:ALNU02000000:8:17107092:17113883:-1 gene:OGLUM08G14590 transcript:OGLUM08G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:Projected from Arabidopsis thaliana (AT4G11120) UniProtKB/Swiss-Prot;Acc:Q5XF75] MALRLTTEVEAPLRPVGSSSARAAAGPRSAVENIVRMAWSQSARKPMIGLLFRAQQHAARGYSYSAFQAHLSSSNVDQSATLLRRFSSEVPASEQMNLIKQLRERTSAPIKNVKASLVSCNWDIDAAQKDLRKRGMVLAAKKSSRTAAEGLLAIAQDEKRAAVVELNCETDFVARNDVFQYLASSLAKLALSARDPGELVFPFGPDYLENLNVNLDHPKLSGETTVQSAVTEVAAMVGENVKFRRGFIMSTTAHGVVCSYMHTCPQPGLGRLAGLITLEAEDSNAPLDALQRVGKSIAMHIVATKPLFLSKELVSASAVENERDILRTQAESSGKSQMAMEKMVEGRLRKYFEEVVLLEQKYVVNDSTNIKSVLNDLSKEVGSKVTVGNFARMEVGEGVSKQMH >OGLUM08G14600.1 pep chromosome:ALNU02000000:8:17117241:17122852:1 gene:OGLUM08G14600 transcript:OGLUM08G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPRGCKKPLAHATRAALRPSLRSSGVRVHRHRAEPAVACRRARTRVKRVKPSGAAAAGLAYGRHVASPYRSREGEKSGALPRNPSCLLAARSLLAASASPPWPPVSGRGGGLDRWEMLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHSMMYTVLMKSSHVSDENQVARKVGSLENGAEGAARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVSSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQISTFTYDGLFLDNQNFLCTYLNIRTLGWEPKDGENHLDVVLRSLLLIALVELGHEETINEGVRRFHIFLKDSKTNLLPPDTRKASYLSMMQTVTTSSRGGNGALLKIYRETAEAQEKSVKSRILGLPDSGVQSKLDICDHESPSMHDAGKPVTTEEKATEFSEFFAGEGFKPSFERVLKQSLERVRISPRWIESIRSDPNLARTVHELQQQEM >OGLUM08G14600.2 pep chromosome:ALNU02000000:8:17117521:17122852:1 gene:OGLUM08G14600 transcript:OGLUM08G14600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGARWQPRRSGWAEWGGDARPARSPPPRRRRPDVAAGWRGEGTSRVRGRGEKGTTHGGAEGEGAVVAPVRTADAVPARNAVAVAGGLATRGVERDAGQVVAREEKRHGGELGTKRGLEERAARSPPPPKRRAVSAIRQFPPGCGRDAAAPVARGRGCDGGVRLLDEATAAPLAGSKDDSAVPGVVEKVASVDGGDSMANAHHHHHSMMYTVLMKSSHVSDENQVARKVGSLENGAEGAARGKGGHGGELLGRKEVLAQAANLLPKRRIVSATRRFPPGCGRDAVAPLAHREESKVGSSLEAMPVDAGWGVSKEVVTTDGRNNSVNQCASNIVGTVKCQELEEGEVAAEACCDVESQKVAGHGEKLESAVPVTSAVTEVLTRCGSDEMEGCSYAAEATEKHLSMGGKCSIGGPFNEIVHGKRVLGSDGIKREVPSLAMEDHGSIAHDQELVEVELTTGDHIQEAQVATTVNPHESTISRHEAAVSANTAPEVSIRHFSSVKNGNTSQHEETIYASAAADVVKVMNKCKGTKSKAAAEPWAEGPSKEHFKAKRECEKDGMKKSSMNVPTEVFRDGIMRTKLLLTARKAVKPPLNTLHIPFSMGKEESVVTNSASFGPKKKVKVKSPHESKGIPMKIVSTSGLAGKDNLINEKALSLEDDDILKALAVHNGKLELYLNVSSCVERHRQHGSENGNDRSKIRMLCRRFQFICNALLHAVEQGSLMVRRIDLEADKIIRKLPGFTKHGPTVGNVRGVEVGDEFLYRVELALVGLHRPYQGGIDTTDHNGVLVAISIVASGGYPDELSSSGELIYTGSGGKPAGKEKHEDQKLGRGNLALKNCIKTKTPVRVIHGFKGQNREDVSHSRAKQISTFTYDGLYLVLDCWREGLKGSRVLKYKLQKIPGQPKLPLHISKYQNTRLGTQGW >OGLUM08G14600.3 pep chromosome:ALNU02000000:8:17120740:17122852:1 gene:OGLUM08G14600 transcript:OGLUM08G14600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSLKSTEKLLKHRRSTLSSCPAKDIVLEAPNFMVTDEPDSGVQSKLDICDHESPSMHDAGKPVTTEEKATEFSEFFAGEGFKPSFERVLKQSLERVRISPRWIESIRSDPNLARTVHELQQQEM >OGLUM08G14610.1 pep chromosome:ALNU02000000:8:17130101:17135118:1 gene:OGLUM08G14610 transcript:OGLUM08G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVGRRRRRSLGRASGSLSSSPSSSSSRGCGWAWRRGERWSAGARGGGDESWWKRSEARGRGGDREECGGRRRRWLGHVRRGAGRRSMDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTSAVTEVLTSHAYDEMMQIKALQERGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEGGLCASAAAEDVKVMNKYKGTLPKAAVKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSDSFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGFHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVNCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCVADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCTDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTDDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >OGLUM08G14610.2 pep chromosome:ALNU02000000:8:17130233:17135118:1 gene:OGLUM08G14610 transcript:OGLUM08G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDLREMLSSAARRHTWRRSGWGAEWGGGDDARSAALPARCPPPPPPRRPAAAGGRGDEGSGGVRGRGEEGTSRGGSGARREDAAGTGRNAVAVVAGGSATSGAERVAARAEDGRRSMDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTSAVTEVLTSHAYDEMMQIKALQERGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEGGLCASAAAEDVKVMNKYKGTLPKAAVKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSDSFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGFHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVNCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCVADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCTDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTDDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >OGLUM08G14610.3 pep chromosome:ALNU02000000:8:17130351:17135118:1 gene:OGLUM08G14610 transcript:OGLUM08G14610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLDLREMLSSAARRHTWRRSGWGAEWGGGDDARSAALPARCPPPPPPRRPAAAGGRGDEGSGGVRGRGEEGTSRGGSGARREDAAGTGRNAVAVVAGGSATSGAERVAARAEDGRRSMDGPTLELSEMMLHAAQPWRSRCTQRDVRPGAVPPRPVAADGRGEGTSTVRGRVLEGTTRGGGRGGGMEREREVVAPARNAVAVAGDLATHGGERVAGPLVAKEKRNGGGELGTKRGLEKRAPLPPPKRRVVSAKRQFPPDFGRDSAVPLGRGRGRGGGVRPSDGAPARAVLGEKVASAGNGDSMANVHHHAVMDTVLMKSSHASDENLVAFKVGSPENGAEGAARGKGAHNGELLGKREVLAQAVNLLPMRRTVSATHRFTAGCGRDAAAPLARREEGKVGSGLEVMPVDVGGGVSKEVMATDGSKHSVNQCTANIVGAVGVLDGTVQYQELEEGEVADEAYCDVESQKVVGCDSFDDSAGERHEGVVPVTSAVTEVLTSHAYDEMMQIKALQERGSDAAQETEHDLPMGGKCETILPDASPKCSFGGPSNEIVHGKRVLGSHGMKGEVPSLAIEDHGGIAQIDQELEDVEMTTGEYRVQDAQIATHVIPHESTTGRHEGGLCASAAAEDVKVMNKYKGTLPKAAVKSSMNIATGVFGDGIMRSKILSTARKVVKPPVRASHKPPLNTLHRPFSTNSDSFGHKKLKVKRPDQSKDIPMKIASTSGLAGKDNLTDEKALSLEDDDILKALVVHDGKLEVYLNVPSCVQLHRQHGSGNADDRSKIRMLCRRFQFICRALLHAVEQGSLKIRRVDLAADKIIRKLPGFTKPGPTVGNVNGVEVGDEFMYRVELALVGFHRPYQGGIDTTDYNGVLVAISIVCSGGYPDELSSSGELIYTGSGGKPAGKKKDEDQKLERGNLALKNCIETKTPVRVIHGFKGQNREDNSHSRAKQILTFTYDGLYLVVNCWTEGLKGSRIFKYKLQRIPGQPELPLHIAKGLRRSLSRPGLCVADISQGKEMDPICVINDVSNVHPTSFQYISRIKYPSWLTKRHPQHHGCDCTDGCIDSTKCFCAVKNGGKIPFNSNGAIVHDKPLIFECGPSCRCHSSCHNRVSQKGMKIHLEVFRTANKGWGVRSLRSISSGSFICEYVGILLTDKEADKRTDDEYLFDISHNCDDEDCSKGRPSTISSLNSSGGCSQTMEDVCFTIDASEYGNIGRFINHSCSPNLYAQNVLWDHDDQRVPHIMFFAAENIPPLQELTYDYNYKIGEVRDLNGRVKVKDCHCGSPQCCGRLY >OGLUM08G14620.1 pep chromosome:ALNU02000000:8:17139792:17144326:1 gene:OGLUM08G14620 transcript:OGLUM08G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEARAAWQRTANRCIVQEDRKRAPKLACCPPSSEQQHVKSNGNCRNSEDRPVPNFMPLSWNPMNSSLPPDIRWWLQLQPNLGGQKNLAGEHLYFLGREISDKEVEDSAQKNIHDEPLFCEMFDTNPEKIEDVFEPSWMVSTASMKYSSETGLQDLKNIGGYSQVPSKCKENASDCLFNDKEFLDFKNFNPPPSKNPQKDDFDMNAPWKGGERSRPWWQITDENELALLVAERAMQHIENCDLPRPTQIVRVQGTESRSHENMGRYRGSSGPAGTMSYPDTGQCEHIECSYSTASTDEVDLTSDGVWQQQERNVARSDAQDFSRGINTEPRGKRTYQNPAEQAQLLEALCHSQTRAREAEMAGKKAQSEKDDVIKLFFRQASHLFACKQWLKMLQLENICLQLKHREHQIATMIPDIPWITLKKRTTPDHEKEDWTRKKGRRHKNAGSFCDALLFAVGLGLAGAGLLLGWTFGWLLAKF >OGLUM08G14630.1 pep chromosome:ALNU02000000:8:17156639:17160223:1 gene:OGLUM08G14630 transcript:OGLUM08G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCVADRFPGLTDVFLDHGLYIAAGASAAAAERSRAQDWVNESPKSDEQHMQCSTPSEDTQEENGSDDRSLYAIGTYCHNLEVLSVESKHMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRLNNGFFFMSIIFQRNLVIDLPMVHMYGVGLGVQSPPACDVCQDRLLQNTAYKYWTADIIGQSVASLNVTSGRSWTYNLWMARSGSARRRLPWTPKYCEHYMPVRSGIISIVMVLLVEFLFLRIIFHCMSSLFQAAR >OGLUM08G14630.2 pep chromosome:ALNU02000000:8:17156639:17160223:1 gene:OGLUM08G14630 transcript:OGLUM08G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCVADRFPGLTDVFLDHGLYIAAGASAAAAERSRAQDWVNESPKSDEQHMQCSTPSEDTQEENGSDDRSLYAIGTYCHNLEVLSVESKHMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDRLLQNTAYKYWTADIIGQSVASLNVTSGRSWTYNLWMARSGSARRRLPWTPKYCEHYMPVRSGVEFLFLRIIFHCMSSLFQAAR >OGLUM08G14640.1 pep chromosome:ALNU02000000:8:17172091:17175163:1 gene:OGLUM08G14640 transcript:OGLUM08G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAARSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGGIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLDKCKDVKVDIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNREVSLNLPNKDGLTPRDLSWIMIPARFYYKKNSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYQSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >OGLUM08G14640.2 pep chromosome:ALNU02000000:8:17172091:17175163:1 gene:OGLUM08G14640 transcript:OGLUM08G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPVIMDPQLLMAARSGACKALENLLVNHEEAAAESHLVIRMPEEGASNTSSTSSDLAQQGQPANRPAAASPLLEGLTLDSDQDSALHVVATSGDGEQYVQCAEMIHGRARHLLGATNNRGDTPLHCAARAGHHAMVCRLISLAAHEGGAANGRILSTRNKLGETALHGGIRGGNRMVVERLVSEDPELARIPEDRGIGASPLYLAVSLGRLEIARDLLDRSPTTLSYSGPEGQNVLHISVYRGEALSILLDKCKDVKVDIDQGGRYRSMPVLLHLTSQGDKNGSTPLHFAASLKTSTTGLSRWSEYFHPKPSPTTLLLDANESAMYQPDNGGSYPIHVAASNGTLKAVITLLGRSPGCIALRNMQGKTFLHVAVEKKRHSIVAFVCKRPELASVLNVQDNQGDTALHLAVKAGLVSIFNLLFRNRENSRGMIHQSLALARAPVGHSRQDHFYEKHSKRRDEEIDSEYLTNATSVLGISSVLIATVTFAAAFTLPGGYRADDHANGGTPTLAGSYSFNAFITANTLAFSCSLLATVSLLYSGMPSREISIRYVYQSLSLVMMRSSATSLVAAFALGMYVVLAPVALTMAKSVCAITFLSFLSACMEVRRPLIVANSVRIRVGIWAARYQAAPVLKFIGKRFWSYVIIFGLPAVLKIRGTQ >OGLUM08G14650.1 pep chromosome:ALNU02000000:8:17208417:17213631:-1 gene:OGLUM08G14650 transcript:OGLUM08G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINRGVFFKILAFLCFIRSSQGRNHFTTLDLPPLLKASSFSRIQHEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNISHLENFHICLADDSPNSPSQGFISTDGVINARAKVLGGGTSVNAGFYSRADPSFVQDAGWDAELVNQSYPWIEERIVYWPNITPWQAALRDGLLEAGVSPYNGYSYDHLFGTKVGGTIFDEAGYRHTAADLLAAGNHNNLRVLLHASVTRIIFNTEQEHRKPRTIGVEFKDENGGQQHAFLTRNRDSEIIISAGAIGSPQLLLLSGIGPRKELKKHNISVVLRNEHVGKGMSDNPMNSIFIPTKDAPKQSLIQTVGITDGGAFIEASSGFSQSPDSIQCHHGIMSAEIGQLSTIPPKQRNLDAVKKYVHKKYNLPKEVFSGGFILSKIDGPLSTGNLVLVDTDINSNPTVTFNYFQHPKDLSRCVYGIKTIERILKTNHFTNFTLNGGGYPMEVVLNMSVTANINLIPKHTNDSTSMEQFCRDTVVTIWHYHGGCHVGKVVDQQYRVIGVSGLRVIDGSTLFRSPGTNPQATVMMMGRACPREKLPQNETRSSQGHQKQHDLATIEDGRVKIIAAYSAAIRSCLLRVGTPSPPNPPAVQQRRSHGGGMIDPVGEVWWEHYSKHLVGAAHRCYAKSPTRD >OGLUM08G14660.1 pep chromosome:ALNU02000000:8:17211087:17223303:1 gene:OGLUM08G14660 transcript:OGLUM08G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLWKDHCVHSFNLSVNGRNRRVRFCPLYNCPATARQPTMCSEPATSVPSKGHLVVAVGTGSGVLGIILDATRLSCCVMIFGSYKTLQYPAGNASTSAPAYHESESLIQTRSI >OGLUM08G14670.1 pep chromosome:ALNU02000000:8:17223097:17225090:-1 gene:OGLUM08G14670 transcript:OGLUM08G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGGGRAVSWRWPVTPAAGIDGGRSCDSNSGGDTDGGRDSWWLPYAPTAMDFDGEHGALKVWEQRLEPGNGWCNSCLAKLMAVGAEVLAFPAGYCNVL >OGLUM08G14680.1 pep chromosome:ALNU02000000:8:17225449:17232772:-1 gene:OGLUM08G14680 transcript:OGLUM08G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLHGVMEAKVLEAKLSSVSSEASDYGHGQPKLAAYSKEVDSLNEMEDLRLTLESQKLPRTKLLSLIMKLPFIFTCQRFDKSTDQAHHGDGKCLVYATIGMDAARVARTRATDQPQWTEEPLHVYCAHDASDIVFTIVTTGGHRDGDPEDGTAEEVVGQAYLPADDVGGGKEIDRWLPLCDEKRKPLEGLDKVHVQLRFTDVMSDVTSRWGKGVDGPVPPPPYTGLPRAFFGQHRGCKVTLYQDAHVAPPLAGSRCWEDVFDAVANARSLVYIAGWSVSTDVALVRDPRRPAQTLGHLLKSKAGERVAVLLLVWDDRAATGLGAARRDGRMGAARGEDTASYFRGTGVHCVVCPRDAVFTHHQKAVVADGPRGLVAFLGGIDLCGGRYDTQEHPLFRTLATAHRDDFHQPSFPGASVAKGGPREPWHDVHCRMEGPAAWDVLDNFEQRWRGQGGAGGEALLARLPRSSAAREAVELDNQEWHVQVFRSIDSRAVDRFPDTAGEAARCGLVTGATGDTVERSIQDGYIHAIRRAKYFIYIESQCFLGSSYGWNRDVAGGAATAKNAAAAAVAPHTIPKELSLKLASKIRSGDSFRVYVVLPMWPEGVPESATVQAVLDWQRRTMEMMYKDVAAALAARGSTQNPREYLSFFCLGNREPYVPGEHAPPERPELDSDYMRAQQARRFKINVNANIMIGRREYIVWTRDIDKIWTFDKNTMIKTKPLTIDRHDFFAVDDEYIIVGSANVNQRSMDGGRDTEMAMGAYQPRHLVTPNSWPRGQVHQFRLALWREHLGQAAAAAGDDMIYPSRHGCMSRVNQAARQHWDMYASDKFQGSLPGHLMAYPVGVGDRGELWEAVPFFPDTNAKVFGCSSDELPPVLTT >OGLUM08G14690.1 pep chromosome:ALNU02000000:8:17237461:17238084:-1 gene:OGLUM08G14690 transcript:OGLUM08G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVESSSSPSLWWWPLPPWLSPGAAWFVLFTVVVGAVAVSSRAHEQAPPPPPSSTRRRLTRSASSMVMERLRSFSAFSFVHAISGVQEDDITVGPPTSPAASGNAEAAEENPIGLDEAHAHPAVAAVRPPPPQAAAAAATAEERPRKRREAAKGRRAFAEVEGKAEVNARAERFIRQFREDLRLQRLMSVLNRTHALAGAASSSAP >OGLUM08G14700.1 pep chromosome:ALNU02000000:8:17242610:17244558:1 gene:OGLUM08G14700 transcript:OGLUM08G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTTTITTTNTTTTTTTTNNNNNPVSTTTTTASHQRQSLPVAARPPHSSSSGTGSGGSHRGASGGGSGGGGGGGGGGGGGGGGTNQACAACKYQRRKCNPDCPMAPYFPADQQRRFLNAHRLFGVSNILKTLRHLKPELCDDAMRTLIYQAEMRAHDPVGGCCRVICDLERQLELDTAELNTVLHHLAMCRQAAAAGASGSVAAALPAGVLDDACTDLDVTSSNQPLLLSAEQEVVDALYANQEADAAILHAADGHHNQDESQREHHHGQPQQLYDYFYYDSTAGDDVSSKPNLDINVDGMQHFDFDTNYDAEHKVELTSDHQMPVGVDEHNQIDDKGFEIKSAPSLVDVFDLRQEEEQMQTVDVNTDIEVKEMVDMNADIDVKTMVDENSNIDIIKTMVDVNADIVDVVKTVVDVNGDIGVKEELPELENGKIIADVTPGSGIHCARMAAGELLAAVPLLSAGDASFAGGGEAFRLGCYRSSPAIAGSTTAMSGSALRRRCGRRRPLRREAAWWLWLVGCMAGAHEASLLAGARWRGGCDS >OGLUM08G14710.1 pep chromosome:ALNU02000000:8:17244786:17248007:1 gene:OGLUM08G14710 transcript:OGLUM08G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLGTSPHSPSAPLSALVVKLQVVATVVWIVPRSPEFLVGNMVDTDVLVPYLQLLVPLRADHAFLLGFLGLTGASRALWLFELVVVLRLERCAYLHGAELLLSLLGGSAPFKGFQGESPTCSSRIGNIDAYGCRFLLGGVALWTLAICAFIRLAQCSYKMRHRLAT >OGLUM08G14720.1 pep chromosome:ALNU02000000:8:17253892:17254704:1 gene:OGLUM08G14720 transcript:OGLUM08G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEMLSWLSSGVAWFVFLNVIVGAIAVVSWLGHGAGGAPPSASARRRLARTASTVVMERLRSVANFPFQYLSGDYSATPLHVHGDVSLGSDYFYYPREAEEELAEAVAAAPFRPEPPAPVREVVAATTPSMAAPRSSPVAAATAAAIKNEEEEAEAEEEEESISLDEAYALAQQAQAQPRAARSASAPDAAAASAAAAKAADAKPRRARAEEVEEGKAEVNARAERFIQQFREDLKLQRINSIINYTNALRRRGGVGGVAATAPAPAR >OGLUM08G14730.1 pep chromosome:ALNU02000000:8:17255007:17255733:1 gene:OGLUM08G14730 transcript:OGLUM08G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRAIPLLLAPRSSLRAHSLSSSLHPNPGCRRRTLPLSPPVTLLLAPPAAAAAFDRCPGRRRGSRGLPLPPLLSTTAPVGADVFPTPIRAAGADVVPSRRRSQGLPHPAPTPSPSSVVVVAEVHRCRKSPRRALRRSV >OGLUM08G14740.1 pep chromosome:ALNU02000000:8:17274510:17276564:1 gene:OGLUM08G14740 transcript:OGLUM08G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12100) TAIR;Acc:AT5G12100] MSRRLLLPRRSLCSASAATHLHEFASLLAAGRFHASVDLAKSLLLTAQPPAASVVPDLYHALAAAAAASASASPGDPPPASSFLCDAASALVVASARLRLPDGALRLLSDLADEARAPLPSLSSCNLLLETLLSLGRHADVRGAFGILASAGARPDTFAWNKAVQACVAAGDLGEAVGMLRWMGRDGAPPPNAFSYNVVIAGMWRAGRGGDAVEVFDELTKRAVLPNHITYNTMIDGHIKGGDLEAGFRLRDQMVRHGLKPNAITYNVLLSGLCRAGRMGETSALLDEMASQKMVPDGFTYGILFDGLSRNGDSKAMLSLFGKSLKNGVTIGDYTCSILLNGLCKDGKVSIAEEVLQSLVNAGLVPTRVIYNTLINGYCQTGELEGAFSTFGQMKSRHIKPDHITYNALINGLCKAERITNAQDLLMEMQDNGVNPTVETFNTLIDAYGRTGQLEKCFIVLSEMQEKGLKPNVVSYGSIVNAFCKNGKIPEAVAILDDMFHKDVLPNAQVYNAIIDAYVEHGPNDQAFILVEKMKSNGISPSIVTYNLLIKGLCNQSRISEAEEMINSLSNHRLIPDAVSYNTLISACCYRGNIDKALDLQQEMHKYGIKSTVRTYHQLISGLGGAGRLNEMEYLYQKMMQNNVVPSNAIHNIMVEAYSKYGNEIKAEDLRKEMLQKRNNHDDT >OGLUM08G14750.1 pep chromosome:ALNU02000000:8:17280115:17285424:-1 gene:OGLUM08G14750 transcript:OGLUM08G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV43] MDITGAGAMGGGSTAATAAAAAGGGWKTPVSMVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSMPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKAAANQLRGTILLVGSSFTFACWFLIQSKILKVYPYKYWSSMVTCLVGVFQTALVGIILRRDKSAWEVGWNLNLVTIVYTGALATAGKYILNSWAITKRGPTYPTMFSPLSVVFTVVLDSVLLGNDITTGSLVGTALVIVGLYLFLWAKAREIPKKST >OGLUM08G14750.2 pep chromosome:ALNU02000000:8:17281537:17285424:-1 gene:OGLUM08G14750 transcript:OGLUM08G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV43] MDITGAGAMGGGSTAATAAAAAGGGWKTPVSMVLVQLFITGQILLSKVSIGGGMLIFVLLAYNSFFAVVFLLPFALIFERGKWRDMDWGAFGWIFLNAFIGYSVPMSLYYYGLKDTTSSYSVIFLNITPLFTFILSLMFRLEAFKLRSMPGVLKIASILLSIGGTMLISLYKGKSLHLWDSIIQHQNEHKAAANQLRGTILLVGSSFTFACWFLIQSKILKVYPYKYWSSMVTCLVGVFQTALVGIILRRDKSAWEVGWNLNLVTIVYTVCLQPS >OGLUM08G14760.1 pep chromosome:ALNU02000000:8:17302270:17303626:1 gene:OGLUM08G14760 transcript:OGLUM08G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >OGLUM08G14760.2 pep chromosome:ALNU02000000:8:17302248:17303626:1 gene:OGLUM08G14760 transcript:OGLUM08G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTLHYLSDLLLGGSSGKTSHKKKRQFNTVELKVRMDCDGCELKVRNTLANMKGVQSVEINRKQQKVTVQGMVDTQRVLRRAQSTGKRTELWPYVPYTNPYVAPPAAYDKKAPNGHIRRVDAVLPVTPSQEERLATLFSDDNPNACAVM >OGLUM08G14770.1 pep chromosome:ALNU02000000:8:17345937:17346764:-1 gene:OGLUM08G14770 transcript:OGLUM08G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFPDTPATISFHPAHRPGHKLKLVRTGGQKFKCDGCMEHGDGPRYRCERETCNFDLHTCCALAPATREHRLFPGCTFVLLPEPPPPTAAGERRICDACGEGVHARGLVYHCSGRGDGGLGLDLHPTCASLPARFAVGGGRVFELRKEASRRCAECGEMRCGGGRRFWFYRSYSYADGDGEALYLHVACLKRMQTQYGAAADVRSVQVMSSPVMEGVLRSLPPARRRATAAGGGGGLERFLTIVAGVIRAIIGVIFGDPTFLIELAVGAILNS >OGLUM08G14780.1 pep chromosome:ALNU02000000:8:17379589:17380304:1 gene:OGLUM08G14780 transcript:OGLUM08G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGDDGAGYRCGGATSATSTCARAARSRRPPWSTTCSRSARSRSEPSRRRRPPPRAAESATPAATACAASSTTPTTPTSTSTRAARSCAGASWCRTAATSSSASARRRAGLCGERSGRRRNFWAYRTYDDDGEPVYLHIACVKDGHRGRCAAARSSWRARLRRWTACCRACPGGGRGGAAGSRGFARLLTSS >OGLUM08G14790.1 pep chromosome:ALNU02000000:8:17393723:17394532:1 gene:OGLUM08G14790 transcript:OGLUM08G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFEEPPAKLTHWAHPEHELTLAATAGAPFRCDGCQEPGGDGPRYRCTPCNFDLHTDCALPPATLQHPLLFKGGGCTFVFLREPPAPAAASRQCDACGDDVRGFVFHCADRDLDLHPCCASLEDRIVTGGGGDGDGRVFELTKGASSSSSRRRCGVCGDKSRRTFWFYRGRFDGEDVFIHVACVKELAVRRWEASYRRRSGAGQIALAGAPLMEGALQSLPRRTRRSGGFERFSKIVGVIVSAIIAVIFGNPMGLIAAVAGPDGLLRG >OGLUM08G14800.1 pep chromosome:ALNU02000000:8:17397557:17397953:1 gene:OGLUM08G14800 transcript:OGLUM08G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSSINHTLVKVNVEVLDTSLLRTSSQVNFSQPSSSTQPSHALVPSNTYLENPYPMAKHVGVDEQGMYLDDGDEVATCCHAEKTRLQGVVSEEFKMDVHEDKSQDGLEDESQDDCVDESMVSDGIP >OGLUM08G14810.1 pep chromosome:ALNU02000000:8:17410169:17412263:-1 gene:OGLUM08G14810 transcript:OGLUM08G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRASAGMCDWCLSDATVVVGNKPTRGHLRRPSGTRNRSLWSIEEGERRRQPVVASRRAEPRKLGFTHLSLVAVDPADEVAVHVPIRNKYKRPTCLYHESHRRSFIPNASAADEPLPEPEPDRFADHLAPGLEVVDADDDDDHVAFFERTVPALDISIVAAHGRLLLARGRSCYYVCDPAANRWVELPPSTLPPEHGINSGLHYDDLDDDASSGTGRLDFMVVLIGCRHRRVVVETFTSATGRWETKELPEQGTQGLARSVGGGPASPGIHVGGCFYWLTHRRNRGRILRYDVAGGRVTVVREPARAEGSIGRAERSLGSTGGRLRMCAFDVRDDSDESGSPYPHDGGVGVHGVWVMTTDDGVAAPAWRRVHEATVDDVGFYYFHMLFERERPVDFAGACGDFVVLDDSGYKLWRYDYLESGDNRRVELWNLNNPKDDNLRDLYERNQVSYVFEELYDRYHVFPFFG >OGLUM08G14820.1 pep chromosome:ALNU02000000:8:17413581:17414412:-1 gene:OGLUM08G14820 transcript:OGLUM08G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKSDKDAPAPAEIRGHPFHPAHKLKLITADDAGAGRFVCDGCKELGGAGCARYECEEAGCDFDLHAPCALAPDVLPAGRALVEPPTAAPDDGDVRVCDACGDDVRGFVYHCFDRDLDLHPCCAHLPGRVALGGAAFELSSGGTAPRRCLLCTEEGSRPHLRRNYWTYSSDDLDGEAMHLHVACVKRMAYESSSAGSSSSHRTDGGGGGRNMPVIRAPVQAAVALRKKNGRPRSKLKKLLKIVVFVLRVIAGVLFGDPTAMAVAVVGLVFPNG >OGLUM08G14830.1 pep chromosome:ALNU02000000:8:17421164:17421418:-1 gene:OGLUM08G14830 transcript:OGLUM08G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSGEEEDGSGGGRGGFGTSVDVAPERKFRGVRKRPWGKYGAEIHISQQSAHMWLGTFDTAEEATRVYDHAALRLRGPSGMTN >OGLUM08G14840.1 pep chromosome:ALNU02000000:8:17429214:17430758:1 gene:OGLUM08G14840 transcript:OGLUM08G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSTEWMDEDVQGRARRPCEGMRMGTRGCMAGMATCDKAGGEGKVRRRLEESEAAAAEGETGEEKGERFRDGERGGSHD >OGLUM08G14850.1 pep chromosome:ALNU02000000:8:17432195:17432664:-1 gene:OGLUM08G14850 transcript:OGLUM08G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGEEERTAIGALFFLPLLLLARSTFIEDNTSVEEEMLAAVEEDRCSGGKLAKEEAATMRASLRRRKLAAARLFAESGEAPMRRGEMSEAAIFVGGDDCAKATYNDGIWEKHSYECLAL >OGLUM08G14860.1 pep chromosome:ALNU02000000:8:17453840:17457371:-1 gene:OGLUM08G14860 transcript:OGLUM08G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPATKPDYEQDGDGDIEIEYVEDEDDIVPLDPSECSYMACGHSLPCRITAIVAMGTITS >OGLUM08G14870.1 pep chromosome:ALNU02000000:8:17471684:17474142:-1 gene:OGLUM08G14870 transcript:OGLUM08G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIPLSLLGGSNRNDGVLPRRDARAIRQRASRADHHRRGDPLDGLRRNPKKLATAESKARLLERRGRTTATRQCGGVARPGDGGXETATESGDAMESGARDVLFLCARMNAHFSVGSGSPSCRLDNEPVVTVDSASVEAAAMDHAVITVDARVAVHLEHPSTTQPKSSLSIVVSCKEHLNIRKSKEKKAGSGKKGTSKRKCQNTMPIHKKFTDNNIYIHRAAHRTDNNTYNLLGQQQQIPVNIFNLQQQLPNARK >OGLUM08G14880.1 pep chromosome:ALNU02000000:8:17480453:17484186:1 gene:OGLUM08G14880 transcript:OGLUM08G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFVLLLLHRFVSLSLSLSRFPLLYIASSPPPPLHRHCCLAILLALFLSWRRGCGEGVEAVRLGGGSVLGDEGGRDAVPVAGGNGGVRAGGRALHDRVAPRRPHHRRGRAPRARRQVRAPRRCCIRRRRACPVAAVRGAEAGAYSSSSAAAAAAAEAAPAPSPRGRRRGDAPHGDQEPQGDPGEGDGRSRRRRRGQARAPRRRVARRPSPPGGGHEGGYSLPGVCRESEEAYLQDGRCDVVQHRPGEQEGDGDGSRVAGGRPREHLQGQEGRAPLPLIIIVLSPSPSPDAGGARRRRHGC >OGLUM08G14890.1 pep chromosome:ALNU02000000:8:17484417:17485927:1 gene:OGLUM08G14890 transcript:OGLUM08G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELSLAASVWPHFTKSLVVGVIRPPPPTSLPLQGAAVPPPSPSRLAAVRVARKDGSGNVLSSPSLLPKGAMMAGEPRCTKEAETPAAQI >OGLUM08G14900.1 pep chromosome:ALNU02000000:8:17487019:17487857:-1 gene:OGLUM08G14900 transcript:OGLUM08G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYYFGEHYILFLVYPTDQTVIVLDPADYDKDAYMEFLCLLNLAHDRYKKRGGYVKNPSREKLYIRGHWPCYKQPSLTNLCGYYMCEMLRVNGRYRTEFTDLPSIPYSASRFDQKTLINLCADLCRYIRRDICNHLGEFHDPHSELATDPKFKNLREWEREHAVD >OGLUM08G14910.1 pep chromosome:ALNU02000000:8:17490962:17491293:1 gene:OGLUM08G14910 transcript:OGLUM08G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNVELLVHPRGRRMYESERGDGGGGRWRAGGRGCERRRCRKPMSGGGGGGCWEGGHQPRSGGGGEEAAAWGEEKRR >OGLUM08G14920.1 pep chromosome:ALNU02000000:8:17524993:17550164:1 gene:OGLUM08G14920 transcript:OGLUM08G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVGTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRSYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWSSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHITKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >OGLUM08G14920.2 pep chromosome:ALNU02000000:8:17546645:17550164:1 gene:OGLUM08G14920 transcript:OGLUM08G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQHDESTANEVGTTTQLPSYGSSEEPRVYKVGCPPQKNFAREFRDTLRETFFHDNPLRQYKDQSGSAKFMMALQFLFPIFEWGRSYNLRKFKGDLIAGLTIASLCIPQDIGYSKLANLDAQYGLYSSFVPPLIYAAMGSSKDIAIGPVAVVSLLIGSLLQNEVDPVKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIKSFTKKTDIISVMRSVWSSAHHGWNWQTIVIGITFLAFLLLAKYIGKKNRKFFWVPAIAPITSVILATLFVFITRADKQGVQIVNHITKGINPSSVHKIYFTGPFVAKGFKIGVISAMIGLTEAVAIGRTFAALKDYQLDGNKEMVALGTMNIAGSMTSCYIATGSFSRSAVNFMAGCQTPVSNIIMSAVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAVILIWKVDKMDFIACMGAFFGVVFASVEIGLLIAVSISFAKILLQVTRPRTVLLGNLPGTTIYRNTDQYPEARHIPGVVIVRVDSAIYFSNSNYVRERTLRWLTEEEEKAKAEGQSKINFLIIEMSPVIDIDTSGIHALEDLYKNLKKRDIQLILANPGSIVMEKLLSSKLNEHIGSNNIFLTVADAVRFCTRKSMQEP >OGLUM08G14930.1 pep chromosome:ALNU02000000:8:17557107:17558285:-1 gene:OGLUM08G14930 transcript:OGLUM08G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASNVPPSSSTSSSSPHNTTSTHSTELVKGTHRFTVAGFSLQKRNGAGHFAKSGSFDVGGYSWAVMFYAAGEKEEDEGHVSVFLELQSTGVEKVTVKYTFNISGSSLLSAGWGDFKPSCKRLGFNKFMEIETVEEEYLMNDCVTIHCAVEVVREKKAGATVSRRITVPPPAICRHLEQLLESKKGSDLTVQVGESKYDVHRAVLAARSPVFRAQFFGPMAAANGRAGGGGGRCVRVHDMRPAAFEAVLHFVYTDTLPPVKDQEEGFLTNNSASRRHLVNLRDAAAGCSKGEVRVMVREWLAAADRFGLERMRLLCEDALCESIGVANAAATLRLADRHNCALLRALCMEYIASPGMLAAVMATEGFKELKVACPSLLIEILEKVGCCNSE >OGLUM08G14940.1 pep chromosome:ALNU02000000:8:17560783:17561433:-1 gene:OGLUM08G14940 transcript:OGLUM08G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTVESKYLINDCLTLLCDVEVVKAVKTGATMSRFVTVPPPAICRYLEQMLESRESCDVTFQVEQSEYDAHRAVLAARSPVFSAQFFGPMADEDAAAAGSGSRRNVRIHDMKPAVFEAVLHFVYTDTLPLPVMDGDSSLLLSNSTNHRRKRPKLSDVAAAGCSKEDLRVMVGEWLAAADLYDLERMRLLCEDALWETIDVANAAATLRLADQHH >OGLUM08G14950.1 pep chromosome:ALNU02000000:8:17561477:17570361:-1 gene:OGLUM08G14950 transcript:OGLUM08G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAVMTTEGIQHLLSLPMAAVSTASSTSSPPHNTTMCTTHSTEHVKGSHEFTVAGYSLQKRKGVEHSISSGSFEVGGYSWAVRFYPAGRTKEDEGHISVYLELRSTVVEKVTARLRFGVNGASASSLPYERF >OGLUM08G14960.1 pep chromosome:ALNU02000000:8:17566221:17580014:1 gene:OGLUM08G14960 transcript:OGLUM08G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGEILSWKYSMLHSAYLYNGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVKGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >OGLUM08G14960.2 pep chromosome:ALNU02000000:8:17566221:17580014:1 gene:OGLUM08G14960 transcript:OGLUM08G14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVKGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >OGLUM08G14960.3 pep chromosome:ALNU02000000:8:17566221:17580014:1 gene:OGLUM08G14960 transcript:OGLUM08G14960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVKGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >OGLUM08G14960.4 pep chromosome:ALNU02000000:8:17566221:17580014:1 gene:OGLUM08G14960 transcript:OGLUM08G14960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MARALSRLLLPPLFLCRPLPLPPLLHRRRRVVLNPSPRTLTTRAEALFSRHSHLLPADDRSPSPSTRGSVQPPPDYGGGGGGAGPGTIAAIVTSLGGGPAAVGIVRLSGPDAAAVAGRVHFVEYGVALDRDGGVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPAENVSRLISAKSAAAADSALAGIQGGFSALVKSLRSRCIELLTEIEARLDFEDELPPLDLTMLVSKINGMRQEVQDALDTANYDKLLQSGLQVAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPITLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMTISAVDGWTEDDTKLIEHVLISKKSSGAPVPMVLVINKVDCAPFISGEQFEQFHGVFKKHVQTCAVTGKGISELEKAIIEVKGLEPVPSEGRRWTVNQRQFEQLLRTQQAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >OGLUM08G14970.1 pep chromosome:ALNU02000000:8:17570407:17571057:-1 gene:OGLUM08G14970 transcript:OGLUM08G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKTVESKYLINDCLTLLCDVEVVKAVKTGATMSRFVTVPPPAICRYLEQMLESRESCDVTFQVEQSEYDAHRAVLAARSPVFSAQFFGPMADEDAAAAGSGSRRNVRIHDMKPAVFEAVLHFVYTDTLPLPVMDGDSSLLLSNSTNHRRKRPKLSDVAAAGCSKEDLRVMVGEWLAAADLYDLERMRLLCEDALWETIDVANAAATLRLADQHH >OGLUM08G14980.1 pep chromosome:ALNU02000000:8:17583099:17603285:1 gene:OGLUM08G14980 transcript:OGLUM08G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >OGLUM08G14980.2 pep chromosome:ALNU02000000:8:17600101:17603285:1 gene:OGLUM08G14980 transcript:OGLUM08G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASGGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLGRLPTGQRATTLLDLMIIRAFHSKILRRFSLGTAIGFRIKKGTLTDTPAILVFVARKVHRKWLSTTQCLPAHLEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPSIGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDYDITSVNTSVKGVGVIGDVKAIDLQSPISSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGKDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQEALEEQRIILAAAAAAANSTAGESSPVAGPQENEKVDKIYEPLGINIQQLPRDNSATSTGPDEFHVDTVEGVTNVEERQFLIGMSPAREGQEANGDLNNLAELENSPEDICFSLHLGEREPKRLRSDSSLDIDLQK >OGLUM08G14990.1 pep chromosome:ALNU02000000:8:17622025:17624378:-1 gene:OGLUM08G14990 transcript:OGLUM08G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARSRAPWLDLAVVGAGWAWGGTEVDAARGGAVGEGRKLSRCVDREMMMMGKRGGRKNSMRRTTSMTEFAPPVDVLVGGRVADEAEAEAEADEATELEVSCGGEVEEEDAAVEEASYGWFGAGADGAGVRADWLAAYRARAAPALAGLRRNSADFSAVETAAFLRACGLCNRRLGPGRDTFMYKGDTAFCSLECRQQHITHEEWKEKRALAIATAAAAPPQPPPSMPDPTAAGSDNPAGGTLAAA >OGLUM08G15000.1 pep chromosome:ALNU02000000:8:17633583:17634035:-1 gene:OGLUM08G15000 transcript:OGLUM08G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYTPHLTRWHVATSDGDELDCVDYKVRSLIFQCEDCHRLFPDYKEDTHECRQGVLTDGREDGTGVARQRLSWDGVVCGVRRGRQVGVAADSDKGGEERGGRGGRGGLRGVGARLRRPLPRPGEQHSGIGGGRGRLIVRLISVREEIG >OGLUM08G15010.1 pep chromosome:ALNU02000000:8:17640761:17647478:1 gene:OGLUM08G15010 transcript:OGLUM08G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFELEDNLELLLQSIQELIEDQGENNPFGAANHDELIASLLHNNQENPLTDVSVEDVRDGKDMQGIPWEKIVFRRDQYREMKMKNYRNYQNLSYAREEALKDCKKVEKDSPYYDFQYNTRRARPSIVHFQLRNLVWATTKHDVYTVHNQSVTHWSSLDQTSTELINADDCIIPKQRGHGSQSVAMVQVTTMAVDDSLLVIGGFQGELICKRLEDDGVLFSTRVTDDENAITNSLEIYQDPTGSRRLVAANNDCSVRIFDIEYFDLLKHYVFPWSVNILVFNQSVSVSPKGGLFAVLGDHEDGLVVDPKCGKAIGALKGHLDYSFASAWHPDGNILATGSQDTTCRLWDIRNLSESVAVLGGRMGSIRCIKFSSDGRFLATAEPVDFVHIYDSYADYGRSHEIDLFGEIAGLSFSPDAEAFYVGIADPTYGGLIEFNRRHRHHYLNCMW >OGLUM08G15020.1 pep chromosome:ALNU02000000:8:17651539:17664063:1 gene:OGLUM08G15020 transcript:OGLUM08G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRRSRQIRPELIAICAGVEACCGELVGHTQPPSAPRRARWPLRRAFIEARWRREEGRTPAREEGRAGAGHDEGRIWWGDSPPPARDSPAALRRAAAAMSVRCLVAWNVVAFQRCTCTGVDQKLHIRPLLVSAGKAQGLAARSKPPRTRIEAPGRQRVAGWSRGGARARARPWRRVAMRGSLGTWYMREHRNLELLTACHLSFVCAAARSRAGRHYRLLSANACAPLAQDKREKEKDKDERESGERGGKI >OGLUM08G15030.1 pep chromosome:ALNU02000000:8:17665312:17666148:1 gene:OGLUM08G15030 transcript:OGLUM08G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIEGNLMRALGEAPSPQMQKIAPPPFHPSLPPAPANFSSAGVHGFHYMGPAQLSPAQIQRVQAQLHMQRQAQSGLGPRAQPMKPASAAAPAAAAARAQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALTYDQAAYRLRGDAARLNFPDNAASRGPLDAAVDAKLQAICDTIAASKNASSRSRGGAGRAMPINAPLVAAASSSSGSDHSGGGDDGGSETSSAAASPLAEMEQLDFSEVPWDEAEGFALTKYPSYEIDWDSLLNNNN >OGLUM08G15040.1 pep chromosome:ALNU02000000:8:17673868:17674718:1 gene:OGLUM08G15040 transcript:OGLUM08G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALVRAPLRHAVAAASLWPDHNPTAAESSFRLSTTRRIPPRHCPYCAPMHLQHDDNRGAGPHGASGSDWRRRGGEPIGGVDLVDRGELLAKRVLVVRDGDRLADAVGADVSEGDAKREPAGEDAAGCRKIASRDEFQTRRQIEDLK >OGLUM08G15050.1 pep chromosome:ALNU02000000:8:17676872:17678271:1 gene:OGLUM08G15050 transcript:OGLUM08G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRHTHRCVRVLAPRASRTGWYWVEVEPSSWMARLVLTKYVCKEPIAFTYNSSLDLMRQSLWRRQTVADEGEDASNG >OGLUM08G15060.1 pep chromosome:ALNU02000000:8:17693385:17694188:1 gene:OGLUM08G15060 transcript:OGLUM08G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFSLSAVIPTKVRSTKRRVKTVVIMLMETVASDSLDQQRKEAMYGRILAKLDELGARLDHAMGSLSPSSVPATPADSLVSVSSPGATSSVDSQKVFDEMPSNKEPTTASVLHVTMSHVLYPVTAEVLLQVFSPYGAEEVRVYNQGTIQVEAFILFRLCQDATRAREALHGCCIYNGCCFLDVKYMQSCPNDIMSVAPVRCLSICNGHGTSLLMAVSTALPSSVPITTSLDASSISSPTYVNDVPSSTKPISASFFTERRAQANIS >OGLUM08G15070.1 pep chromosome:ALNU02000000:8:17700812:17704747:1 gene:OGLUM08G15070 transcript:OGLUM08G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV82] MTKQSVVVPEVAVPMPPNSAPLLPYPPPRAAPGVAVRKKYLQAQLDLGAGLPLINGWVESMRASSPTHAKAAAALAAAGAVDDERAAWMVRHPSALSKFEQIVAASKGKKIVMFLDYDGTLSPIVDDPDSAFMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASRHNKAKAKGVLFQPASEFLPMIEQVHDSLIERTKCIPGAKVENNKFCVSVHFRCVDEKSWSTLADIVKAELKDYPKLKLTQGRMVFEIRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRKRGQGIGILVSKYPKDTNASYSLQEPAEVMEFLLRLVEWERLSRARPKW >OGLUM08G15080.1 pep chromosome:ALNU02000000:8:17712750:17714347:1 gene:OGLUM08G15080 transcript:OGLUM08G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRPPSAAPLLPLRRHLTSPTTSSPAAAQDDGDGEVRVVWPCNRRRRGMAHFIGRRRVIHAEAAEARAGGDVASRARGEGSPTAATRAVEVSVSSADDGDSHVWIWRWLLLRVDPVVAVSLLLSHPTTAEAQTLLLPSLPLRRRGVHALDGSDSYGFDESINGNLDVPETK >OGLUM08G15090.1 pep chromosome:ALNU02000000:8:17734968:17736248:1 gene:OGLUM08G15090 transcript:OGLUM08G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSLQSSSGGDDEFDSRCGGGGVDSSPLSALLRQSASASGFGGGTGSFYGLQELASPPPQLPPLSQAAAHQWTAPLPGGGGAGGASSSPPSSSSPHGVQVSAEQVVAQQGQGVGAPPARGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFAGPPARSRFDHLFPAPSSLRSAATAAAGGSPSSLPAYLLRPFAQKHHPSPFPPFPSPSTSSPSPSNIAIATSTAAATTAAVAAPGDRYHLASAPSSTLLGMQDHGGSYLSFQSHLGGAQLGASDDVKYTAHTMFDAPGSVLAPRPPPQRLQDPAAGFLGLTHGIMGADGSHMHQQQRSRGHGHGGDELSGVVGGASMTRSVGGGGKKTTFSSGAGAAAHAAPQLERNAESTSVTVAAAPTPSSAAATAAMRTQSVDSWICTSE >OGLUM08G15100.1 pep chromosome:ALNU02000000:8:17762189:17762743:-1 gene:OGLUM08G15100 transcript:OGLUM08G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCQCHIFLFSFFLVFPSFSPWRCAVTGGDVFSVPVLLPLVEYAERTTRDGVSVNFNAPQFIGDGGEEVTFVLERGGAAGLFLCRPGSEWVEPHPAVEETCSMTAPRQRQGVWAHRATASSPSRPIAAPPLGPATATPIKAGAMPRVRRSNHRFASRPRCRFALAHVIAPLQEICICAILKN >OGLUM08G15110.1 pep chromosome:ALNU02000000:8:17764186:17767929:1 gene:OGLUM08G15110 transcript:OGLUM08G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAARRTLVLVNLAAIMERADEALLPAVYREVGEALRATPAALGALTLCRSSVQAACYPLAAYAAVRYDRARIVALGAFLWAAATFLVAVSDNFAQVAVARGMNGIGLALVTPAIQSLVADYSDDNSRGSAFGWLQLTGNLGSLIGGLFSIMLASTTFMGIAGWRIAFHVVALISVTVGILVRLFAVDPHYINFGNKKQHVRKSAWREMKDLVVEAKAVVKIPSFQIIVAQGITGSFPWSALSFAPMWLELMGFTHKGTGILMVTSAVASSLGGLFGGKMGDYLAKHYPNFGRIVISQISSASAIPLAALLLLGLPEDPSTGFLHGSVMFIVGFCISWNAPATNNPIFAEIVPERSRTSIYALDRSLESLFASFAPPVVGYLAEHAYGYNPITYGVGISSVERDKENAAALAKALYTAIAIPMLLCCFIYSLLYQTYPRDRERARMDSLITSELQRIEPDRSHRTSDYYNGEGVSVINIEYGEEGVDADDDEKPLMQFRIEQSAADK >OGLUM08G15120.1 pep chromosome:ALNU02000000:8:17775705:17776967:-1 gene:OGLUM08G15120 transcript:OGLUM08G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEATAARARQQRQPPSWADIPRDLAVQVLRFLPAQVDRACFAAVCPQWRAAARNALLPAPLPLLALPDGAFYCLPYGKPFRFPRAGCAGYKTAACGRWLVFPHDDGCFLVDPFAGATVTLPALSRVRLRPPNAVASYVNVGIAGRNAHVSMFYPHATWMHIKTSDKMPINKLLLCSQNLVAAFIGSSLANAGRNSQILVCQPGASSWSVRAYDKCKLFEDMAFYRGKLYALAHDENLLVVNISQDPNTGDPQISQIGQVIKGDPTWSSVLITDDDDTSTTDKKKLYLVESCGVLLMVRRKVCCRVVGKTVVAGQNEFEVFKADLENSRWVNVTTLGVDQMVFLGRPCSKAVSASQYGMPNDQIFFLDDVMENNKEYSYEEETTSVSVYDMRSAKVSSPLPMAWKHEMISATWLFPWD >OGLUM08G15130.1 pep chromosome:ALNU02000000:8:17778676:17781828:1 gene:OGLUM08G15130 transcript:OGLUM08G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREAEAEARRRWTLVLVNLASVLEKADEVLLPAVYREVGAELGVSPTALGSLTLCRAIVQAASYPLAAYASARHDRARVIAVGAFLWAAATLLVAISGSFLQMAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGAIMGGSFGVLLAPVTFLGVAGWRLAFHAVALVSAVLGVLMWCFAADPRAKSKTAASAAEEARELLRDARGVIGVTTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNILMASDDQLCQEASGSDSSEIRTQEDEEFAVGSLNQSFRFSTTGELYLSRIVLIN >OGLUM08G15130.2 pep chromosome:ALNU02000000:8:17780121:17781828:1 gene:OGLUM08G15130 transcript:OGLUM08G15130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRGLNGVGLALVLPAISSLVADYTDDHTRGAAFGWLQMTCNLGAIMGGSFGVLLAPVTFLGVAGWRLAFHAVALVSAVLGVLMWCFAADPRAKSKTAASAAEEARELLRDARGVIGVTTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGLVGDPVSRRFPNTGRIALAQISSASALPLAAVLLLALPNDPSTGVAHAAVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKCFEAVFASFAPPIVGVLAEQVFGYKPVSSDASVETDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRDRARRNILMASDDQLCQEASGSDSSEIRTQEDEEFAVGSLNQSFRFSTTGELYLSRIVLIN >OGLUM08G15140.1 pep chromosome:ALNU02000000:8:17790447:17800587:-1 gene:OGLUM08G15140 transcript:OGLUM08G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQILGFLSIYRLLSLSLARGITLLPFHLHSTRGTASGLELVPRSTNLCQPLDLPGSNGRESDSYGVVFDCVDIYRQPAFDHPLLKNHKLQIPPRSYSKSLITHFGLQESCPDGTVLIRRTLKEDLLRARAFRGPLKPQKDQSFTPMSYTSTIPGQHFALLLINSEEGSKVQATGAVLEVYPLNVQQGQSSSAQILLVDDSSNAVSVIQSGWHVDPDHEGDTQTRLVTYWTADDYRKTGCMNMLCRGFVLLSRTTTPGMVLTTGSIPLNMTKVVVGDEVVGYFPKEIISGMSGGTEVQMGGVVYAPPGQKSPPMGNGIQPVHSGNYRAARFTWVAAQGARIANWTVARDVADTNVYDATIME >OGLUM08G15150.1 pep chromosome:ALNU02000000:8:17800593:17800907:-1 gene:OGLUM08G15150 transcript:OGLUM08G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGIEKMSKGGCGVRVIVLGDGIIQVVVVPGGGGVQVVVVPNSGAEQQGNAGGAEEDKVRWRRERKKRTAVARERNKGRMTAWERNKFSGGAMEDGGAAGQD >OGLUM08G15160.1 pep chromosome:ALNU02000000:8:17804426:17807476:-1 gene:OGLUM08G15160 transcript:OGLUM08G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSRCSAGSAVALCGGRGGHRLLSCAAAPARRRAPGASASAKGIPRSALRASVTPEFVTAAPDEAVEESSVEREPAAENKLRKLACPICYYPLISSSDQSAPVSAASSSSLECSTCKKFYPSRGDYWDMTVAVGSTEYSESTTVTTEVFRTLALVRADISRLPFVSGSIDAVHAAAAIHCWPSPACAVAEISRVLRPGGVFVASTFVADILPPAVPVLRIGRPILAYLS >OGLUM08G15170.1 pep chromosome:ALNU02000000:8:17809157:17813600:1 gene:OGLUM08G15170 transcript:OGLUM08G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRSRGRLARSLVQRPRRRRSGGREGELARMTRAALDGGAGGGSFGSRLIVAWFTMWRGWRKRQWLHIFTNERRARHGLATSACCPLCTFDEDVEHLFSYVVLITQFKSTHTRGCHAIPQSQTK >OGLUM08G15180.1 pep chromosome:ALNU02000000:8:17814369:17815871:1 gene:OGLUM08G15180 transcript:OGLUM08G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWPRPALLLLLVAVLLLSHIALCSSAAASVGKPNGKAAGGRKALLADDGGEGEEVVVPPPVKKAKGAAAAAVGKIKKKVVGVDGKNQTKVVKGKKSESAGAVKATKKSSSAAAAAKASADAAVVKAKVPKMEKAATAKSKGTDTAKPAKVDKAATAKSKGTDTAKPAKVAKAGSGKAVKPVKAAKSESGVAAKAKKPSNSTVDGGAKPAKSSKKAAQAVVDGEASGGKVNATASSEAAEVEEDVVFAEAAEGTDDLISEFKGLPARLQETLMPDLARLSHSSKLYLSAANAGIADGVRPILGGRWAAAAASAASIALLLLPLFMLTALVRRMAPYLPLLHRALLLAQAYLAIYFATLALAAAATGLEPLRFFHAASPAAYAWTQAAQSLGFMGYLMLQMVDLVAVFSGAASPEEDGNGDATKALGLAQMVVGLAVGLHYYAAVFHRAAAGEAPRANWRVYAVYAACFVVVCACARAERRKKAYLAGGTDGAAEEWKKS >OGLUM08G15190.1 pep chromosome:ALNU02000000:8:17822421:17827900:1 gene:OGLUM08G15190 transcript:OGLUM08G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQCSPDKRPIFHSPRLRERATEADPPPSPAPAKRLGGGGRGSGERPFLFPEDGVWLLLQRALQRSARGLSVTWEARE >OGLUM08G15200.1 pep chromosome:ALNU02000000:8:17823406:17826881:-1 gene:OGLUM08G15200 transcript:OGLUM08G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVTAIALMGVTNSLLGKLTVLLGREYSKLRGVQAGITSLRDELIIMKAALEDLSQLEDCNSQVKLWMHQLRELSYDIEDCIDIFLHSLDHGSVSDGLINKIISWLRTLKVYRHTGKQITALKERAVEVNDRRKRLKLDVDILTLKAVAIDPRLPALFEEADRLVGINGPRDELVEWLTKGNGFAQNRKVVSIVGFGGLGKTTLACQVYQMIKSQFDCTAFVSVSRNPNINKILRDILSQVLYTSNPMSDYQKDHFWRIKENLNQPLEDHQLINMIKEYLKINRYFIVIDDIWSKSAWQVIQCAFPYNSNASRIMTTTRIQDVAQSCCFTHEDYIYDIKPLGSDDSRKLFLKRIFGNEDNHPTELKEVTDENLRKCSGLPLAIINIASLLSTKPVTKHEWKKVWNSIGSMLKQNQDLEIVKRILFLSYYDLPHQLKLCLLHISVFPEDHVIKRERLIWRWIAEGLITEEQGLNLEEVGEKYFNELVNRNMVQPVDIDYTGRAKACRVHDIMLDLIICLSIEENFITIIDDQKSMLSTNKVRRLSLQTNHEKTNIWLGTNRFSQVRSLSVFGDLKQMPPFFDLQVLRVLDLEDCSSLKDGDIENIASLFQLRYLSLRNCHISRVPAQIGKLQLLQTLDLRGTRIKELPETITQLQQLVRLLLGRFGVKMPNGISNMRSLEELVVLDGSKNSVDVVVELGNLTNLKVFSIYWHPNGEIHDEGSYTKSIISSLCKLGEHNLRSLHITHGYSLLLDFLVDSWYPPPCHLEMFRMVSHFYFPRLPNWMSSLSELTFLDINVKQFGVEDMKILQNMPALLSLKLYLEESPQETLVISRCGFQSLKLFYFYPVNGELGLMFRKNKKDGLGLMFEEGATPKLQRLEFRYCAHDAMSAYGVDFDFGIKQLISLKHLGVSIHCRGARNWEVEAAESAIRNAVYLLPNHPTLEMYRLSEHEIVKDEEEWDDDTGYDGDEHSGSTRWDQTNEYFQQQLS >OGLUM08G15210.1 pep chromosome:ALNU02000000:8:17836064:17845115:-1 gene:OGLUM08G15210 transcript:OGLUM08G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLREKKRNQRRVLARRSAAPRSGEGKDFLPLEERPGKKRVREEQPEEPENTSTVLYIGHIPHGFYEEQMQGFFQQFGTVKRLRIARNRKTGKSKHYGFIEFENPEVAKIVADEMNNYLLFEHTLQIAIVPPEKIHPKLWKGVRRGFIPIDRVAIERRRLNKDKTIEEHKKLVDKIVKRDEKRCKRIKAAGIDYECPPLAVSDSEEEPSSQVKEWMRQLRELSYDVEDCIDVFVHRLAHHDPSDGLFRRTKRRLKALRSRHCIAGQIAELKDRAVLVNDRRKRYELDAAASSSAAIAIDSRLPALFEEMDRLVGIEGPRDELVEFLTGGIDLAPQRRVVSIVGFGGLGKTTLANQVYQHIKSQFDRTAFVSVSRNPNVNKILANILTGILETRKLSSVHQKQHSDTIEDLKHKSFEDCKLISMIRENLQNSRYFIVIDDIWDKAAWRDHLRFAFPENNSASRIITTTRINDVAIACHFSHEDFVYVMKPLSSENSEALFFRRIFSSKEKCPPELEEVADDILKKCDGLPLAIVSIASLLSCKPVTKQEWVWVLNSFGSTVMKDQGSHELAVVKRILFLSYSDLPHHLKSCLLYLSIFPEDHTITRDFLISRWIAEGFITEQRGESLEEVGEKYFNELINRNMVQSFEIDPFSRREAYRMHDIMLDLMISLSTEENFATILDGQHCAPSSNKIHRFSLQCKSVERITWLRTTSFSHARSLSVFGDFNKIPPLVDLEVLRVLDLLNCSSLKDDHIENIGSLFQLRYVRLGNISRIPRQIGKLKLLQTLDLSGTAVKKLPQSIVQLLQLVHLFLPWRVELPNGIGNMEALQVLSVFDGTENSSAIIQELGNLTKLKDLDVYWNCDDTESGHEMYINHLVRSLCKLGGFNLQSLCIRNIYPCSLDILADSWSPPPRHLQTFQTDMGCYFSSLPTWMSSLSELTCLRIHMKKVGEEDLQVLKCLPALLRLDLYPGYPKHTLKVSCSGFSRLKEFTYGPSYVDLALILRHQSTIRNGLGMGVMFEAGAMPKLQQLEFGFNAHDMVSAYGAGLDFGIQLLASLRHVLIFIDCRDASDCEKEAALAATTNSVSLRGSYHVEILRILRNVENDEQS >OGLUM08G15220.1 pep chromosome:ALNU02000000:8:17849782:17852685:1 gene:OGLUM08G15220 transcript:OGLUM08G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATATAPASAAALKYIEIYVHIMIGPLDIEFILHFYGDDVVLQKAVTLVLQNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESRIKPRMLSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDAISLERMEEAVNIIKNDKKTEQGDSLFMFARSPDRLLHQDTCLALASSFVLMRLLCFLLPKLNACVKQAWRMQFYELKRLFPSLS >OGLUM08G15230.1 pep chromosome:ALNU02000000:8:17854838:17858009:-1 gene:OGLUM08G15230 transcript:OGLUM08G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:A0A0E0AV99] MFFRHFSNHPTSKSPIPSLPKSRSSTASASPTAAAAAVAVAVVPFPGMATAAKAFWNSPVGPRTTHFWGPVANWGFVLAVCVDGTTAELLASGLSRLQRKRSVISVLTLGESSGVPGE >OGLUM08G15240.1 pep chromosome:ALNU02000000:8:17858965:17862606:-1 gene:OGLUM08G15240 transcript:OGLUM08G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKAVDDTEPGPAPSRPVDRFGFIKPEQGTSPDGISKSKSIHERERESGYWLTVHYFTNLTADIFLGNHRDGRRIKKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLYQFEKLVLEQMPQLGQHFIEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDELVKLPFEKLLHAFRNFPEEATDPDVLLPIAFTFKVSGRLEELEKEYQKKLEGSNASTSTKRSHPLKLKTMSRIGSRALSNFTNSEK >OGLUM08G15250.1 pep chromosome:ALNU02000000:8:17866012:17867463:1 gene:OGLUM08G15250 transcript:OGLUM08G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYATKPLSLFKSHPEAATQPPPDGRNSGYVVVKGPDDDGGGGDDETCCWGTCGGSRVRDLPFPQNRVLTVRYTEQHGESSTTHADAVVFVPVPDLPLASNRYYAVVAAGKHKGLVRACSREEDMTTLCFCRCINDAKPRVFDPADVYQQVEIVQRRRGRFTARSVAADGFPYFLYRKKYWRVYASKPKHFDLGEAPGLNAALRSRQLALDAFPATTTAAVGKWYAPFFLVKESGVSPPEQMDRATFYEVSLEQRWEAAAHGHGDGYAGKLDSKRVLIGGSVEGRQEGGGRHGDDGYVWFRAAGGGGQRVGLCASLWERMRWEEYRGGWVDEHEDAAGEVAGGGSVLVERFVVKRMDGSVAVAVDFVHFNKVREKLV >OGLUM08G15260.1 pep chromosome:ALNU02000000:8:17866093:17867322:-1 gene:OGLUM08G15260 transcript:OGLUM08G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDLVEVHKVDGDRDAAVHPLHDEPLHQHRPAAGHLAGGVLVLVDPPSPARAQTHPLPAAARRPEPHVPVVAVAPAALLPPLDAPADEHPLAVQLPRVAVAVPVRRRLPPLLERHLVERGAVHLLRRGDAALLDEEERGVPLPDGGGRRRRERVEGELARPERGVQPRRLAEVEVLGLGGVHAPVLLAVEEVGEAVGGDGPRREPAAPPLHDLDLLVDVGRVEHPRLGVVDAAAEAERGHVLLAGARPDEALVLAGGDDGYRFDASGRSGTGTNTTASAWVVLLSPCCSVYRTVSTRFCGNGRSRTRDPPHVPQQHVSSSPPPPSSSGPFTTT >OGLUM08G15270.1 pep chromosome:ALNU02000000:8:17873811:17874990:-1 gene:OGLUM08G15270 transcript:OGLUM08G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTKPLSAFAGHPEAASRPPAAAAAEGGCSGYLAVKSDEEGTDETRCWGLRSQPRVRGLPFPQNRVITVSDPSLGEYADTPTRTPSCSCPSPARRPPRTGTTPSSRRASTAASCARAPDEDDAAATTRCFSCRCVRDAKPRPFDPADVYQQMEIVPNRGGPKNFDLAEALGLDDPLRSRSLTTPPPPPATASAVAVGRWYTPFFYVKEDGVPLKAQMDRSTFYEIVLEQRWEEAMGGAAPAATMASKRVLVGGSVEGKQEAVTAASARTGGGGGDGVGVHASLWEKMVWEEQRGGWVADEEVGGGGVRKTMSGGAGGRSVLVERFAVRRLDGSVVVAFDFSHVNKIN >OGLUM08G15280.1 pep chromosome:ALNU02000000:8:17880424:17884111:-1 gene:OGLUM08G15280 transcript:OGLUM08G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADVSSSKTTTTRDYSTAILECAKKKSPNRLMADDAEGGVAVDNSTVTLSEATMEELGIFRGDLVTLRGRRRREAVCYAQKDESCPDGRLRLSRGVRSNLHVRLGDLVTVKPCPTIRNAKRVQLRPFDDSVEGISGDLFEPYLKPYFMDALRPVKKGDRFLVRGHMHAVEFKVMDTEPNNEPVIVAGDTEIFCDEGDPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVRPPKGILLYGPPGTGKTLLARAIAAESGAHFVVVNGPEIMSGMPGESEANLRAVFAEADAAAPSIVFMDEIDSIAPSREKAHGEVERRVVSQLLTLMDCLRPRAQVIVIGATNRPNSLDPALRRFGRFDRELDIGVPDELGRLEILRIHTKNMPLSDDVDLERVGKDTHGFVGSDLASLCSEAAMQCIREKLDIIDIESDTIDVEILNSLTVTMDHLKFAMEVTKPSALRETGIVEVPKVSWDDIGGLGEVKRELQETVQYPVEHPEMFDLFGMSPSRGVLFYGPPGCGKTMMAKAIAKECKANFISIKGPELLTMWFGESEGNVRNLFDKARQSAPCILFFDELDSIAVKRGNSVGDAGGTPDRVLNQLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDASSRLEIFRANLRKAPMSRHVDLPAMAASTDGFSGADIKEICQRACKLAVREVVQKSTLVGKALAMAGAELTVDHFKSAMKHARKSVSELDVIKYEYFKHKFSGGIPDEEEAPATEPELPVGQLRLVAKTKTKAELEAEAEAKAKAEAEAKAKAKLKGKAVAVDDDDDDSTYSMDSMDEDLLY >OGLUM08G15290.1 pep chromosome:ALNU02000000:8:17886086:17886631:-1 gene:OGLUM08G15290 transcript:OGLUM08G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCCGLWSLVNDVPPRPFDRGDVYQQVVLPFMFINDGGEQRLKDQVKRCMFYEMTLEQRWEEIYSCDNTHWGSISGKQPDDEVKVNVTVRRSTALLGGTYAVRGSGLRWSTG >OGLUM08G15300.1 pep chromosome:ALNU02000000:8:17926603:17927550:-1 gene:OGLUM08G15300 transcript:OGLUM08G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLACSREEDKTRILFFSFVDDAPPRPFHHGDIYQQVEVVAVAQAPHCLRGFKAVAVAPDGIPPSLLRRKGWEVSKAMRTSYDGLSDDAHGIDWPLRRRMPDLDGFAIGAGGSPAAVVGKWYCPFMFIRDGERRLKDQVNRCMFYEMTLEQRWEEIYSCDNTHWGSISGKQPDDEVKVNVTVRRSTALLGGTGAVVQEGGVGLDMVVWEKMKWELERGGWVAGNGDLESIERVERREALGRCWDKFGCYLLLESFVLRRMDGSVALTCGFRHTSKTITKWVSKRYGVVLL >OGLUM08G15310.1 pep chromosome:ALNU02000000:8:17938337:17945197:1 gene:OGLUM08G15310 transcript:OGLUM08G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFVDCLKEGRRLPEKPYVLESGEEAGPVPELPTFPRSRSKRNASMEDRCLKELPDDFCNTSYATDVLVVADSGSDCEHQRWSDSSLLKENFVGDHKNSKIGATHVKERRKRLKHAQKSNNEDALDPEDNISSLMVARKGRHESSYTSSRSASKQKGDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENETSMNLKCRILCPLKIEEKQGRQDCDKVPWTVCMIMVKPVSMILRKVRIKKILNWVKVQEAFSQVIHPDKNQLSVLRKQIKEALTLQLMMTREVMRKPLWKNQPVVKGKQNFPVSFAGLIFHPQGASYHVDTDFVIHAFKNGRILWGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTDTFIFDDSLYGLPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >OGLUM08G15310.2 pep chromosome:ALNU02000000:8:17938337:17945220:1 gene:OGLUM08G15310 transcript:OGLUM08G15310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVEGMRDVVATVSGYHGDERHRLVRLIAETGASYVGAMSRSITHLVCWRLEGKKYDIARRLRTRVVSHRWFVDCLKEGRRLPEKPYVLESGEEAGPVPELPTFPRSRSKRNASMEDRCLKELPDDFCNTSYATDVLVVADSGSDCEHQRWSDSSLLKENFVGDHKNSKIGATHVKERRKRLKHAQKSNNEDALDPEDNISSLMVARKGRHESSYTSSRSASKQKGDLSKLLHNDDASMMRKRNSLMKKESRTKHAGYLIESCENETSMNLKCRILCPLKIEEKQGRQDCDKVPWTVCMIMVKPVSMILRKVRIKKILNWVKVQEAFSQVIHPDKNQLSVLRKQIKEALTLQLMMTREVMRKPLWKNQPVVKGKQNFPVSFAGLIFHPQGASYHVDTDFVIHAFKNGRILWGKVSTCPLCKTSFAWISKIDEAGTSDQKIYSQTIPCLTSTDTFIFDDSLYGLPESPSGQGACYQCHCREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCIHCRDLRMLYQRYR >OGLUM08G15320.1 pep chromosome:ALNU02000000:8:17946901:17951058:1 gene:OGLUM08G15320 transcript:OGLUM08G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRFSDWIWSGSRTTRRARDAPASSVAVAMAGALFPDSPSGFREPTMGTLRGPASSGGGARRARKGTSRPRSREVVDREHDMVVVPLDVGGGVDGYSSDSGSDDSDWSIGWLEPQGPELHSDGDSDGSFAVLVPCYRHGRRRVEEPGRGRLADGNVSGAKNFVERWLSSLPN >OGLUM08G15330.1 pep chromosome:ALNU02000000:8:17968463:17968729:-1 gene:OGLUM08G15330 transcript:OGLUM08G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRRLAVSGGRRRRIRPAAARRSGVALRRKVRELRRLVPGGEGAPARSLLVRTADYIVRLKARVELLRALSALYDELPLPAGAAAGV >OGLUM08G15350.1 pep chromosome:ALNU02000000:8:17982907:17983776:1 gene:OGLUM08G15350 transcript:OGLUM08G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEALRLFVQMETDAGFVPCETTMRVRALQGVRDKEAMHGYVVKRGMAGNRFMQNALMDMYARLGKTDVARRIFGTVDLSDVNTLITGCVVQGHVTDTFQLQEEDGIAGVVPNGITLMTLLRGCAILAAPARGKEFHGYAERHALDTDVAERAGGHVRQVRVPGGVRPAAAADTITWNILIMAYGMHGLGGEAMAMFNRMTASGEVSPNEVTFIAALVACSHSSMVERGATMASSRCQTSTTQRGHPGARWQAGGGICHGDLDGAREWV >OGLUM08G15360.1 pep chromosome:ALNU02000000:8:17985483:17988589:1 gene:OGLUM08G15360 transcript:OGLUM08G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NHL domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G23890) TAIR;Acc:AT1G23890] MAASPPLALLTLTLSLALALASAGLVLDDGYTVTTAADLNHPVSAAPHPYALLPRPRAGDLVLLDSAASALYTLALPLSGGAAARSLAGGGGGPAGFADGEPRDAAFDRPRSLAVDHADNVYVADRINGAVRKIAPSGFTTTIAGGRSKGPGRKDGPAQNATFSPDFELVYVPKMCALLITDRGNRLIRQINLKREDCARETQPGLGTTSVSIIAVLCALLGSVIGFCVRHFYPAHEVSINRFFRRMQMQYKTIQRTAALISFSDIRSVVANSTFHALLLKLVRVCVGYLSVVFPSVRLEKRAPVKTCPSLLDLDYPVITSTGPDNKADESTELVGNFIGFDGDTSSEEDNVPASDGKEPAGELVALLDGPELSNKIDDMIEANLSGFSGQENNHCSAVKCSGISRRRLHGESNVL >OGLUM08G15370.1 pep chromosome:ALNU02000000:8:17989634:17992436:-1 gene:OGLUM08G15370 transcript:OGLUM08G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYSNLLEMSCGDGVDFRQPFKSLPRVVTSPGIISDPDWDTRSDGDSVGSASSVERKIIVANFLPLNCTKDEAGQWSFSRDDDALLMQLKDGFSNETDVIYVGSLKVQVDPSEQDQVAQKLLRDYRCIPTFLPPDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEAINTDDDHVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRSLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIDYFGRTVSLKILSVGVHVGRLESILKLPATVKKVQEIEQSYKGKMLMLGVDDMDIFKGISLKLLGLELLLDRNPKLRGKVVLVQIVNPARSTGKDVEEAITESVSVAERINLKYGSVDYKPVVLIDHRIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDNARGSDTNCHHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALHHATDLTESEKRLRHEKHYRYVRSHSVAYWAHSFAQDLGRACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSLEHFASSYNKATRRAIFLDYDGTLVPQSSINKAPSDELITILNSLCDDPKNDVFIVSGRARSLLDEWFAPCQKLGIAAEHGYFVRWNKATEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELLGHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLVVDKVIHRLMNNGKTPDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDDAGEVVRLLKNVAGISSHREAVSHGRVTFRDVMDYVD >OGLUM08G15380.1 pep chromosome:ALNU02000000:8:18017653:18018396:-1 gene:OGLUM08G15380 transcript:OGLUM08G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQWPGLHLNMSSTCRYNDLAALGCFLHCLQLSNINGAEITVTSIADQLPALVTGLPKLSQQRIWRQLLEVNLKAPIGSRMMRGRQQGGNDDFPSLPSSPYLGSLLHRK >OGLUM08G15390.1 pep chromosome:ALNU02000000:8:18034699:18036729:1 gene:OGLUM08G15390 transcript:OGLUM08G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVB5] MPAVCPCEDISPGTLLASLATLSADVADGCDVDRLPALRGGVGVAVRVAGLLREFLEEVRWAAAAELPGGSVLGMSELHVALQKMRFLLEDCGRKGARMWVLMNAEAVASELRVVLGSVATAMDVLPAGVVAASDDARELAALVSQQAWRAAVRPDEEDSRAARSVRSMLARFRSGATPDAEDARLVLGRVGVASWWDCSQEVSFLEAEMLERLEAGGENDNDLVLISGLMAFLLYCRVVLFDRIDYGKADEPAPAPAPRAASYLARINPEGLQCPITLELMTDPVTLATGQTYDRASIKRWVKSGCRTCPVTGEKLRSADVVPNVAVRGIVEQLLLSSGVSLHEPSSKHRCAVDKTASPFGAAAAGGARLAVAFLVSKLCRGTPEEQKKATYECRKLSKRNVFHRACLVDAGAVPWLLHLLSSPDASVQDNAVAGLLNLSKHPAGRRALVEAGGLGLIVDAVSVAAKVEAQQNAAAILFYLSSDAGYCDEISRIPEAIPTLVRLVREGAYRGRKNALVSLYGVLQRGAGGHGRAVSAGAVAALASLLPGDRDDLANDAVALLARLAEQPAGAAAVLSSSALVTRLVDFLGASASRSAKDHCAALLASLCRHGGDAVVALLGKTPGLMPSLYALIADGGAQGSKKARWLVNEIHRHYEQRQPPVAAPPAGDRVIRV >OGLUM08G15400.1 pep chromosome:ALNU02000000:8:18038974:18041383:-1 gene:OGLUM08G15400 transcript:OGLUM08G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAATRIIWFFNLSLLNVMSSEFAANGGIHGSLIGISISVGVSSTQKDTIKAPPPSEAKVMKSATRLSVVMTMVFYMLCGCMGYALPNNLLTGFGFYESFWLLDVANVAIVVHLVGAYQVFVQPIFVFVKRWASCRLSDSAFIAKELRAGPFALGCCGNWNSVAHLGRSSRWKLGSSWTHCGPAKLGSPTCRTAACWGGGVWAADRWAKRASHWGCVREKILTISSL >OGLUM08G15410.1 pep chromosome:ALNU02000000:8:18043641:18047413:-1 gene:OGLUM08G15410 transcript:OGLUM08G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLYPMKTSTRMLWSTSFFRHKPTPSRAAAASRRRSARLVGARACVNGRAASRSGFLGFLEKGGEEGEESMDPGRVVFDSGVARRACPGGAQMLLFGGGGSANSGGFFRGVPAAVLGMDESRSSSSAAGAGAKRPFFTTHEELLEEEYYDEQAPEKKRRLTAEQVQMLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLEHDFDRLKAAYDALAADHHALLSDNDRLRAQVISLTEKLQDKETSPSSATITTAAQEVDQPDEHTEAASTTGFATVDGALAAPPPGHQQPPHKDDLVSSGGTNDDGDGGGAAVVVFDVTEGANDRLSCESAYFADAAEAYERDCAGHYALSSEEEDGGAVSDEGCSFDLPDAAAAAMFSAAGVVHHDAAADEEAQLGSWTAWFWS >OGLUM08G15420.1 pep chromosome:ALNU02000000:8:18057083:18065790:-1 gene:OGLUM08G15420 transcript:OGLUM08G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on acid anhydrides, in phosphorus-containing anhydrides;ATP-dependent helicases;nucleic acid binding;ATP binding;RNA binding;helicases [Source:Projected from Arabidopsis thaliana (AT1G77030) TAIR;Acc:AT1G77030] MARLNPSKPSSRGGKPRSSSADAMAEHKPPPGRPKREGEGASKKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKAMPLILAGHDIAAMARTGSGKTAAFLVPMIQRLRRHDAGAGIRALILSPTRDLATQTLKFAQQLGKFTDLKISLIVGGDSMESQFEELAENPDIIIATPGRLVHHLAEVEDLNLRTVEYVVFDEADSLFSLGLIQQLHDILHKLSDTRQTLLFSATLPQALADFAKAGLRDPQIVRLDLDKKISPDLKLAFFTLRQEEKLAALLYLVRERISSEEQTIIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARNIHISKFRARKTMILIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMAYLLDLHLFLSKPLRPAPTEEELLKDMEGMNLKIDRALANGETVYGRFPQTIIDLVSDGIKEVINGCTDLIALEKPCTNAFHLYLKTRPMPSTESIRRVKDLPREGLHPIFRDVLGSDELSALAFSERLKSFRPKQTILEAEGEAARARNSKGSNQWLDVMKKKREVHEGIINLVHQKNNVDHEPKEELVENISNWERKDVCGNKRKLQSFRDEEYYISSVPQNQHLEAGLSVRANEGFVENRLDAAVLDLVDDETSGMQAQKTRYHWKKNKFVKLNSGDRVTATGKIKTESGAKLKPTKTGIYKKWQQKTHRSIDTGRKYGGFAEEGASTTGSHQRGNRKHTAAGRGRRYIPNADVPFEIRNPEQIQKSRQQKAMDIARMKNRSTKESKFQKFQKNNRRHDGPSKDGKFQKNRRPDGNGKNRRPDGNGKGRGKGKGNANGFGKGKGKMKGKGTR >OGLUM08G15430.1 pep chromosome:ALNU02000000:8:18071588:18076967:-1 gene:OGLUM08G15430 transcript:OGLUM08G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor PWI domain-containing protein / RNA recognition motif (RRM)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G60200) TAIR;Acc:AT1G60200] MAAVAPPPDGLDPPPATPPTAAAGTPPLAVPATNTPPNPATTPTPLTPNPNPSPTLPPPPMSTPPVVAPPMHSFAPSFRPLGAPPPPPPPQQVQVQVQVPPQYGGVPNPGYPMAQQMQPPGVPHVMPPGAVRPPAMYAPQPGVYLQQPGAALPPGMPRYPGPYPMMRPGFPPRPMPPVGVMPLQRPPIIPGIRGAPPVVPPTARPPTPAVTPPDKPPTAVYVGKIAPTVDNEFLLSLLRLCGPVKSWKRTQNPSSGKPVSFGFCEFESAEGILRATRLLNKLSIDGQELVVNINDATKEYLKKHVEEKKKAQEKTKEMEDGGGSGTTAVTGNELSKPVSDETETGTGDAGDKGNEENPKKFGIVTEEDSKADKDVAEKISSMIEEWLKTRPPPPPPPVEQSADISSKHKNGESSVDVTKNDSEDKSGTGSDKRAVNETERIETGSPDKRKERERDKEKRDKDKELERHERERERERVRRDREKDIKYKEVERLYKERLKEWEFREREKEYQRQHEKEREKDRERERKREIMKQEDDSDEEDNRKRRRRSSGTLEERKRRRLREKEEDLADKLREEEEIAEARRRAVELQQQADEAAAAAAAVVAESATVMEVDGDDGKERDAQDKPAVVDVGNNDAFANGVDSGVNNGKDNIGDDKNMTPGEISDTKHNTSAPAKKLGFGLIGSGKRTSVPSVFAEEDDEDNDDKRARPLVPIDYSTEELQAVQANSSTGQPNIVAAAEFAKRILVTKDDKSDVEKDRSRRSSDRTNQRDRDRNDQDVGRTGDERREKTHDREKDKQDKPKSENKNILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWIAKKIIEFLGEEESTLVDYIVSCTKDHVQASKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVETGLSGRAKA >OGLUM08G15440.1 pep chromosome:ALNU02000000:8:18084039:18086421:1 gene:OGLUM08G15440 transcript:OGLUM08G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMGQLGRFMDGIKSKLRGGGGRKTGRKKEAAAMMTYDKMDKTESMRVEIRSRQAQKLIAKNLVAADSIGRRNKRFFLAF >OGLUM08G15450.1 pep chromosome:ALNU02000000:8:18094912:18097785:1 gene:OGLUM08G15450 transcript:OGLUM08G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNPKSPIIQYKNGIVNWQAVQEEGMAMYTRVVRRRHDGEEQDGVAVLDDVRERPDDGGRGDGGVSHQGEGCWSQILSESMSGANLLAGGGGEAVEYRSHRREGRRGKILLDRTVIGADLVIEEVIGTHIIGNKAIMGGSYERAYQSGVADLAGEEAVKSGSR >OGLUM08G15460.1 pep chromosome:ALNU02000000:8:18102059:18105622:1 gene:OGLUM08G15460 transcript:OGLUM08G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQAAVAFGQAAFLARPLRRPPHRLGWAEAGGVAAGRGRASLPRPRLSASLSIGAGGYGDEHAPLFPRQQAWDPYKILGVDYDASEEEIRSARNFLLKQYAGHEETEEAIEGAYEKIIMKSYSHHKKSKINLKSKIQKQVEESPSWFKAMLGFFEVPSAEIIPRRLALFAFIAGWSIVTSAETGPTFQLALSLVSCIYFLNEKMKNLSRASMTGFGVFVGGWIVGSLLVPVIPTFAIPPTWSIELLSSLVAYVFLFLGCTFLK >OGLUM08G15470.1 pep chromosome:ALNU02000000:8:18111590:18116936:-1 gene:OGLUM08G15470 transcript:OGLUM08G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAIPKVDLRGLEPGTPGWEAARATVTASMVSHGCVVVAHGELGADLREALFGRAVREAFALPAEAKRRNVSTVGPYRGYIANIPGMDWESLRVHDADDAARVREFAGLLWPEGNPEFCETIVSFATKMRDLERTVERMTLEGLGVGEDHIASHLAAQDYGVRLSHYGPPPDASTAISLQAHRDDSMTTIIVQHEVEGLEVQAGDGSWHAIPPEPDTIAIVAGELFRVVTNGRVPASVHRVRTPSGRERYCVLVGSRSKDGAVLSAMDELVDGEHPLAYRPCKAEEFIQFRYSEEGRKFSDPLKAFCGINLACDVRCTGEVAIPATQRTRKATKLRAKPRRAGAAAPRPSRAMAIPKVDLRGLEPGTPGWEAARAAVTASMVSHGCVVVAHGALGPELREALFSRAARELFALPAEAKRRNVSTVGPYRGYITNTPGMNWESLHVGAAADAGRVPEFAGLLWPDGNPEFCDTIVSFAKKMTELERAVERMTLEGLGVGEDHIASHLDAHDDAVRLSRYGPPPDAASAMSMGEHRDDTVITIIVQHEVEGLEVQASDGSWHTIPPEPDTVAFMAGELFTRLVALFTTRCKGGTVVSAMDELVDGDHPLAYRPCNEDEYVQFRHSEEGGRFSEPLKAFCGVDVR >OGLUM08G15480.1 pep chromosome:ALNU02000000:8:18139400:18141711:1 gene:OGLUM08G15480 transcript:OGLUM08G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFACCVAFVCVMLRDFAPEMGSCQEWVGSILAYIGVFAGSAMVCFVAAPNLLIFVTRGIHGGGGPPARLRRSAIFVVVGLQAEPPSAWEDGNQ >OGLUM08G15490.1 pep chromosome:ALNU02000000:8:18142023:18143754:1 gene:OGLUM08G15490 transcript:OGLUM08G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGAAQPPPPPLRAPAAVPETLRRLLALVTDVLVCCFLAAMWVTPAASAAAVFSRWACGEGSPAADVAAKVSVASFLATAALAPFASPVVTWRLLGRPRRGGRARERGRGDVTGERRRRQEGGLGRVVQFALFSVCFAVVGLLLQELAPEEKGSIQEKVGSVLADIGLFANSVMVCFVVAPNLLIFLARDRFPSEVFAEHAMA >OGLUM08G15500.1 pep chromosome:ALNU02000000:8:18155908:18156897:1 gene:OGLUM08G15500 transcript:OGLUM08G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPCGRLLAFVPALHLLLRALCTTSVLDDHRCNLSLSFALAMLFISLLGQSILRRSDAKAEAELGGGEEDGDDVLPEKASSSPETHPRAHWMLQGWSPRDVEVGFVMGLAFLPYLWITMLVSLCLLVPRLHPPPPAERGVPVVELLGDLMVHVGCLCVSINLCSLGVPYAMLRLRKALDAKDGDAWIL >OGLUM08G15510.1 pep chromosome:ALNU02000000:8:18191295:18191924:1 gene:OGLUM08G15510 transcript:OGLUM08G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATAASPGRLRRLMGAATDAVLFTSLCAMWVTNAGSVASILARRAGADDRLAPVAAARGATSFSVAVFSVLLPAFVPMFVSRVESLKKLLFPTIGCVGLLCAGIGTCLFGVPCLMHMLKLPGCLLISTTVQYLAALSPVLW >OGLUM08G15520.1 pep chromosome:ALNU02000000:8:18198590:18200616:1 gene:OGLUM08G15520 transcript:OGLUM08G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEAALLPPPPPPPQARWASVAATLLRLLALAADVLVYGLLAAMWLNNAAFAAAIFSRWASGEGSTTVAILSRSARGEGSAAVAVAAATKVFWASFLAVGVFFPFAGPLLMWRLGIGPPPPPETRESGSGGRAAAQRGRRGSTGPASRRQEGGGRAVALLFMFFSWWVAFAGILLQELAPEKASCQEKVGSVLTDIGGFANSVLVCFVFFPDLVIFLMRDSRSSGTHVQSALSCFSRNLMCDCKKLLPVAVYLLKCSFTFTNFSFDFYLERELKVLCIWAYGRL >OGLUM08G15530.1 pep chromosome:ALNU02000000:8:18203542:18209194:1 gene:OGLUM08G15530 transcript:OGLUM08G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMATVLAMGALTPFASPVLLSRLLERDVPIDNELFPHVNRVRARPPHPREPDKAATPPHSARRSNLQESRPLQLRSLAVRAGSF >OGLUM08G15540.1 pep chromosome:ALNU02000000:8:18209575:18212095:1 gene:OGLUM08G15540 transcript:OGLUM08G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAIRRGRLVSGAVSVAAALGALWLACALRIASAVNHCLAVKAAGVSVWADARCDATIELAAPAAVVLLILNAVAARREAKAEAEADAQIREAAGAAARNVVPDPGDLQQPLVTLALPAPTPSPRPERLRLRGSDAVLMFVVAFIYVCCAVLIVVGELLPVVGDLIPVDCQRQCQVQRRCLAWFFKNIGYLWLAVGHCCLIIPYAVLRLRRLARKKVASFFVSVPCMVHPQRLCITYFILVILLFYVEILCSSQV >OGLUM08G15550.1 pep chromosome:ALNU02000000:8:18217299:18239811:1 gene:OGLUM08G15550 transcript:OGLUM08G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEAKEVAEAVAKEAARQAVEAIAAVNAATDAAAEAVAVGKAVAEAMEVAKAAFEAAMKKVAEALAVANEVMAKAALEAAATEAAAKEVAEAVAVLKAALEAAAMEEAEAAAVAKAAAEAAEAVAVAKVAVEAAAKEAATAVAVAKTAFEAAAAKGVAVAKALAKEAAEVVAMAKDLVEAAAKEAAEAVAVAKAVAEAAEAVAVPKAALEASMAVAKDLAEAAAKWAAEAKAAAEAAAEAKAAAEAAAVANAVAKAAAEAAAVADAAAKAAAAAAAEDAAAATRTQLGRLALVVVGFAFALGAVWLASAFRIASAVDHCLAVKVHWLADPMCRDTVVFAAPLAVASLILMAAAVRRQVKAEAEAGAQIREAAGVTHDVLPDPELQPPLPPPPQPAVALASGLERRLLLCGSDEVVMLTIISIYLCCVTFILVGMLLRMFGALFLVYYPGDELEIALLMGVGSGFKNVGYLWLAVGHFFLIIPYAVLRLRRFLNQFVSIFVMHVANRGSSPVLNQRQYRIADLNAAAFQFVRLLVILSSCRCCHRHCLLLADSPAYCACAKSNCTIAVAFLAMLGMAVCAEVKADAEEEAQIIRVVVASSQSRHLSFAAGLCRPDVALPAGPDHLGRRGCDAAVVFFISAMYPYCVAFILMGGGGDEGITRLMNLGSDFENMGYLWLALGHFFLVAPYAALRLRRFIKEEEICLSMVALFGHAGLLFGHATLAGISR >OGLUM08G15560.1 pep chromosome:ALNU02000000:8:18240137:18241742:1 gene:OGLUM08G15560 transcript:OGLUM08G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRVAAEEADAAAAALLTLQELAAAARRMERVRVVPMEVEAPAARPPHPRPRPPPSRVQRLVAALRGRYSRGVDAVLYGFIGAVWVALVAGCVPMAVSRWVGVHGHAVAVVGGAVSWVSVRAMGVLAPAFVPLFVLRGMDRGRAQVVEVRDELENSNVARENPPTDGINPENNGAWRQLAKQANSVAKVICIVFFLVILTGLLIKHLAPVKRSELWAGGSILVEIGFCVCSALSSMIILPKLVFEMRRMGVL >OGLUM08G15570.1 pep chromosome:ALNU02000000:8:18245730:18249100:1 gene:OGLUM08G15570 transcript:OGLUM08G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVPTLQASAAAAAAAAAAARSWPMLRRLWRKAVVAPVLWLYHLGEDIIFYGFLAAMMAANLGGIVPEILGRWACGEGSAVSAAGEEVLACSKFIIVRFLPAFVVQLFVRILARARFEAREAKKEKEKRENNEKTSSTAIRVESSKEQRPESRGARRWGPKGFMPYAIYLAPPLIQLSCLGIKMKAHHEEGSLEWRVGYVLDDFARFTSAILISFVGVPSMLLTAMIPKVKDDDTSSQ >OGLUM08G15580.1 pep chromosome:ALNU02000000:8:18251114:18253589:1 gene:OGLUM08G15580 transcript:OGLUM08G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGAARGFRRRVPEPGWWVLRAVERSLRRRLSRAVAAWLPATVVVGWVTAVAGIAPIVGRWVGGEVGRAMEVAGVHVVGAGQHVFMLLVVPIAVLLMVMQLRLLAGPLMGGRGMLVRTIAAMELSILEHHRERRGKWNQLAQEIVFPLSISFVLLGVAMIGLMITGFSPEKEFSRKNIGWILADVGFLGWHALVGFFLLPKVILTLRATSLFSSGSLNYHCLAFSSPLTIKLNVNN >OGLUM08G15590.1 pep chromosome:ALNU02000000:8:18261771:18265426:1 gene:OGLUM08G15590 transcript:OGLUM08G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGSSAAAARGWRRQVMSGKVAWSRRALAAAAAAGRALPAVTLGVGWVTAAAGVLPAIAGRWVGGEAGLAMERGGFAVLEAGQFAFALLVFPTVVPQLLAMAMERLRDAGPPTLMEDREMLVSTNAAMELPPEQHPECRVKWNQIIKEIEFPCKIGFVLLGFGMIGSLIIGFSPENEFSRQSIGWILADVGLFGWHALSVFFLLPKVIRAVWIHS >OGLUM08G15600.1 pep chromosome:ALNU02000000:8:18267023:18267996:1 gene:OGLUM08G15600 transcript:OGLUM08G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPDAAVVVPVLLPPPVPQVQPTLKDIVVEFPVDGGRGRLVAVLPLPPDHELRRSLDSVAEALASGRLAAVLPPARRNTVAAVLARSRLAAAAADALMYLALAGMWIFQAGMAAQDVAARALGEGSRAYAVAFEVSRVAVLLVFLPVFPFATLLHAVRLAVSDTDEPDEKPAPKSFAAAAREVLSDTICVGSIALMAFVLLVSLGALVKGDSAAKGSCREMIGSVIGDVGLVGFHVINLFVLTPNLALRVWRVKLPGHGHRVVPV >OGLUM08G15610.1 pep chromosome:ALNU02000000:8:18273017:18275018:1 gene:OGLUM08G15610 transcript:OGLUM08G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLAGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLAAMAASHVAVRVQGEGSRANAVALQVSLAASLVVLPVVPVALSLHAIRIAVSGTGEDTEPDKLSGMLHHVLRFIEIHPLVKSGEIDTVGLKRSAVKMDKDLEDDSC >OGLUM08G15610.2 pep chromosome:ALNU02000000:8:18273017:18274035:1 gene:OGLUM08G15610 transcript:OGLUM08G15610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLAGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLAAMAASHVAVRVQGEGSRANAVALQVSLAASLVVLPVVPVALSLHAIRIAVSGTGEDTEPDKPAPESFAASVRASFSHPILAASAAITPFAVLVPVGNLVKGISAASGSRGERIGSAIEVVGLVGF >OGLUM08G15610.3 pep chromosome:ALNU02000000:8:18273017:18274841:1 gene:OGLUM08G15610 transcript:OGLUM08G15610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVIVEVLAGGRLVAALPPPPPEPELLPTRDVIASFPPPPPLQSPVRKAVAAVLARSRLAAAAADALMYLWIAGLAAMAASHVAVRVQGEGSRANAVALQVSLAASLVVLPVVPVALSLHAIRIAVSGTGEDTEPDKRSAVKMDKDLEDDSC >OGLUM08G15620.1 pep chromosome:ALNU02000000:8:18277182:18280405:1 gene:OGLUM08G15620 transcript:OGLUM08G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCDIGVIWSVQNKSNGPLRRPTYSLRFHPNLCFLCRPTPKSRGSPQSCARARYRGGPNSAPEQSCWRSSNRAEVPIPLGVGLPAPGSATHQPPRPGPGRLPCRRHRIGGRQGAPRRDWGGRPAAARPGAEAPALPPEHQDFREEGCQSEPSICRGGLQVQVKDDGSHKERDVELQQYNIISDFTHLVTAPSCDDDILCDQDLF >OGLUM08G15630.1 pep chromosome:ALNU02000000:8:18320019:18320864:1 gene:OGLUM08G15630 transcript:OGLUM08G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHVEASIKFQSHADAENARKAFHGCCRMDLQFEQTPTTSNNSSSPVSLVMKELKADIEELRIVLKELATIIQEKLANEEEWHSKQEVAEEMHTEMDVAVGMVMPSPITVPPTQPVGLEICVKRCLFYTLQQHPQVLKWCTHISASRGRSTHGRPPPKDGLLPNRPWVAIRSPASFKPAQSMAITLQQQQGSIRQRTLPGDPCRWPWQRRHCQRRGAMLTNWAASCCGDDADVRGSAASFGDDSWCSEWAIRRHRIHGVCEKGIQRLHFFRIAGWMGLTC >OGLUM08G15640.1 pep chromosome:ALNU02000000:8:18338506:18342986:1 gene:OGLUM08G15640 transcript:OGLUM08G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPPPKQEELQPHQVKDQLPSVSYCITSPPPWPEAVILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTIVAPTISIILAGRYSNEADPHEKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILLLVFSQYLPHVIHVAKPVFDRFAVIFTIAIVWLYAYILTASGAYKNARPKTQVHCRVDRSGIISGAPWIRVPFPFQWGAPTFDAGESFAMMMASFVALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLIGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIVSKLSMPLFAGACGLSFLQFCNLNSFRTKFIVGFSFFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFASKPFVAGLIAYFLDNTIQRRDNGVRRDRGYHWWDKFRSFKTDTRSEEFYSLPFNLNKFFPSV >OGLUM08G15650.1 pep chromosome:ALNU02000000:8:18345749:18346385:-1 gene:OGLUM08G15650 transcript:OGLUM08G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDGSVKGVGGSRSSSSLPVGTLALPGAPPLLCGEFLDWIEAAAPGEAQDAEAMPSSPGFSFSQKWRGGRRVVEQRGPGPALRGGGSMKSADEGASVRCGGCCVLPFVCVGVLSWWTAICSQGCRVPGESLVRWFTGPAVAMSSGIVISLGRCRGWAMAASLDVVTTVVASFSESLLCGVAVGLAAFGHA >OGLUM08G15660.1 pep chromosome:ALNU02000000:8:18353265:18354859:1 gene:OGLUM08G15660 transcript:OGLUM08G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEVAAVVIQHPGGKVERLYWPATAADVMRSNPGHYVALVLLRVSASSSGGGGGGKAEHSAVGAAVGDESGGAAAAAKITKIKLLKPKETLLLGQVYRLVTSQEVTKALQARRQEKMRRCKEVTDHHHRQPQTGDSAAAGEEQRRPSDHQERKPAEKDRHRSSGGGRGRNWRPSLQSISESAS >OGLUM08G15670.1 pep chromosome:ALNU02000000:8:18363897:18369844:-1 gene:OGLUM08G15670 transcript:OGLUM08G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAAGGGDDDRDVVFEYLLCTEEDAASAGSSFQQLQGLAPAVSSSPSTTTTAPAAAGSCDDGGEEEEEVWTVDVVAELIGGEAERSHSPRADYPGRLRSGRPSDLAARADSVAWILKGNGWAMQLLAVTCLSLAAKMEETLIDTRYIFEPRTIFRMELLVLDALDWRLRSITPFTFMYLFAFKVDPNGMHIRELIHQATQVTLATIHDTEFLDHCPSSIAAAAVLCASSEIMQLVSIDHGTLVSWRIIGLDEEAIIRCYRLMQQLISSNNVGRESTEITMAATTTTTATTAVSSEEVVSSSPPSKRRKMSPGT >OGLUM08G15680.1 pep chromosome:ALNU02000000:8:18371431:18372070:1 gene:OGLUM08G15680 transcript:OGLUM08G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKITVKPLNGAEEYLRWKESMLLVLYTAAVAHVLSDEPPPPPPAAAAAGWARDDAVCRGHILAALHDRIFPDYVRHGTARAAWEAVARAYDGAGALSAGVARRAFDDLEFYANAPLLEQIAHAEALNAATRLPLGDEDLAGALCEMLPGSVGGPASARSGGGATMRDVWRVARLVETRRVCREDMERHGRCWRCGKPGHHSSNCMG >OGLUM08G15690.1 pep chromosome:ALNU02000000:8:18373486:18374133:1 gene:OGLUM08G15690 transcript:OGLUM08G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATNVNIKPLNGAEGYLRWKESMLLRLHTVGVAHVLSDDPPPPAGVEEEDGDAAARRRMWARDDAVCRGHILAALSDRIFPDYVRHRTARDAWDAVARTYDNADAASAVAQRMLYDDLALDGAPLLERIARAEALNAATRVTLSLSDAELLCQTVLPANAVAAIRSGAATMRDVWRVVRIMEAQRIRREDEALHGKCRKCGRSRYHGCNCMR >OGLUM08G15700.1 pep chromosome:ALNU02000000:8:18374710:18374992:-1 gene:OGLUM08G15700 transcript:OGLUM08G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAATAGDGDPAGGRSDSGGGGAPFPPSLPDLAEGGRAATAPSTVSSGAGGGALSHHEWQRRRRQWLPPVDPMATVALAASRN >OGLUM08G15710.1 pep chromosome:ALNU02000000:8:18382001:18382642:1 gene:OGLUM08G15710 transcript:OGLUM08G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLHTVGVAYVLFDDPPPAPAPGPASGEEESAAAASAAAAARRKRARDDAVCRAHILTALSDRIFPDYVRHGTARAAWEAVARTYDGAGASDVARRMLDDLEFFDDDGGGGGAPATLLEQIAHAEALAAAMDSPPSDGALAHALCKKLPQEVAIAAIMRSSGGGGGATMGDVWHVARIMEGFRVCREGMEELHGKCRNCGEPGHHAGDCMG >OGLUM08G15720.1 pep chromosome:ALNU02000000:8:18389846:18392532:1 gene:OGLUM08G15720 transcript:OGLUM08G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNTDESVAARPRAMMSSPTPAPLLPVAPLDGGGGYLRWKESVLLRLRTLDLAYVLSEHQPEDGRTRDDELCRGHILATLSDRLLPDYAHHATAAAAWRALARTYDMDGKLPNLPLDRFFAYRFVDGEPVLEQLAHLEAMGVAGKLDDRTMYGLVHQKLPPALVKAIALASPPRGRVCAVKFAVASTLRTRKTTTTKEETTQMKITGARNGRGAIAGRATTVARRGTSPGTAEDELDRSVKR >OGLUM08G15730.1 pep chromosome:ALNU02000000:8:18393415:18398173:-1 gene:OGLUM08G15730 transcript:OGLUM08G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAAGGGGGGFQELFDSVRRSISFRPGGSAAAATLDEPASASGGGGFRGRISTCLRKSRGMGLLGMVASKSPSPPRRRLLPPPPSPPPAPTKSLSPQPAAAAAVVSQGGDGAGGVGGEEENPPIRWRKGDLLGSGAFGSVFLGMDLDSGELLAVKQVLIGSSNATREKAQGHIRELEDEVKLLKNLSHPNIVRYIGTVREENSLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATTAKTMKGTPYWMAPEVIVGSGHDFSADIWSVGCTVIEMATGKTPWNQEIQEVSLLYYVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLLHPFVTGGLEDLHQETSELLAYDMLTGDLGLNHSGNPSNLNSYKSSDVRPIWDGHSSDDMCQFADNDVVMIGSSFNPMSEPFDDWESPEQRSSQSREFRGLAKHVENNMSENDFTFPCEGGCEEDDELTESKIKEFLDEKAIDLKKLQTPLYEFYNTVNAGLSQGVGETSQVDNITDLQLPLQGRSPPIQMEGVAAVGPTSDVLKSASPKSCTRRFSRSGVENGRILREIASPQLNKFEDKVHDIQENPSISFSERQKKWKEELDQELEIGRVMRLGSFGKAPSPKSRGMTRKRDRVY >OGLUM08G15740.1 pep chromosome:ALNU02000000:8:18401935:18403701:-1 gene:OGLUM08G15740 transcript:OGLUM08G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPRARRWKLLLPPFHSAKRKPPLPPPPAPVAVVPAPAPEKEGKEEEVMPEEFLCPISGAPMADPVILPPGRTYERACVDACAGLSLCPPGASAAAAAIPNDALRAAIRTWCARHGRAPPAPPSAADTREAVLLRAVPAAAARTTTVAAMVPARSSSNLSCSSRASAASTSSSGSSSEMATVEVVEVPRAKEVAELRVAEAEEEMEKEKEVAVLRVAEAEEEKEVAVLRVAEAEEEEVVMVVAKVVEKGDEDQVEAAMAVLLRETRESEERRRALCVPRLLAALRRVLHSKRHTPKAHADAAAALANLTNEPENRVPIVRAGAVTALVEVLSLGTASPEACEHAAGALFGLALDEENRAAIGVLGAVQPLLDLFTARDHAPRARRDAGMALYHLSLSAVNQSKLARAPAAAKNLLSIASDSTTAEPMPIRRLALMVVCNLAKCAEGRAALMDTGAVATVSAILSDNTHRSELEELCVAALFGMSRGNPRFRGLARAAGADRPLILISERAPAGAHKEMARKALRVVLGLGDDSEGDLPGFMNSERNVNGIAGSSVPVHRRRAASWASAPPPAPTPPNAHQWRSVCID >OGLUM08G15750.1 pep chromosome:ALNU02000000:8:18426869:18440807:1 gene:OGLUM08G15750 transcript:OGLUM08G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene beta/epsilon cyclase protein [Source:Projected from Arabidopsis thaliana (AT2G32640) TAIR;Acc:AT2G32640] MAKTKQGKRDVDSYTISGTNKVVRVGDCVLMRPVDSDNQPYVARVEKMELDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDMQSANTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCDDCKDWFHPSCMSMTIEQAKKLDHFVCSDCVKENGAKRPSHAYAGSTKYEPKWIQGFSLSTDSKVPEVVTRVQGPLVDYDLGDGSEIFDVLVCGGTLGIFVATALSYKGLRVGIIERNIIKGREQEWNISRKELMELVEVGILSEEEIEQIISSDFNPSRCAFESKGEIWVENILNLGISPAKLVEIMKERFVSSGGAIFEGKSLSSISVHDDFAVLNLSDGGSLPCRLVIDAMGNFSPIVRQIRSGRKPDGVCLVVGTCARGFDRNTTSDIIFSSSSIRSAGNSGVQLFWEGFPAGSGPTDRTTYMFTYVDPHFGGPKLEELLETFWDLMPAYEDVVLENLDIMRVIFGIFPTYRNSPLPAAFDRVLQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVSGDLLDALSLQLLNPYMPNLSASWLFQRAMSARPQIDVSPTFINELLFANFESMQDVIQFGPLVKTLGLVMITRPQILPSIFKQAGPGVILNWSGHFVMLGYYTFLSTFIDPVLRPWVESLPLRNKYQWKRYLEAWKYGAGLDYRQGE >OGLUM08G15760.1 pep chromosome:ALNU02000000:8:18441226:18443000:-1 gene:OGLUM08G15760 transcript:OGLUM08G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAAMPVPRDAGDGDGDGGAVLPISQLWWLLLNAAVFGMVLGRRPDYDGSSSRPSVDFAMTFLCTLVLELVYYPKLSLPGFLICGLLLWIASRELAASGYVELGSADVSESVYEAIMGPVRHILSERKSRKIAAFLLINTAYMFVEFTSGFMSDSLGLISDACHMLFDCAALAIGLYASYIARLPANGLYNYGRGRFEVLSGYVNAVFLVLVGALIVLESFERILEPREISTSSLLTVSIGGLVVNVIGLVFFHEEHHHAHGGSCSHSHSHSHSHSHSHSHSHSHVHGHEDHHNHDHAHQGVNHNGACCEHHGDANKSHHHDHHHDSNNEESHHNSLTENCKENHSHCHGHDHHHHHDHSEHHQQSGDHAYQDISNISSDPAILEIPLNIIHSHCSEAHSCNGGLQSSENHNKSRNRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISIMIVSSVLPLLRNSAEILLQRVPRSLEKDIKEALDDIMKIKGVIGVHNFHVWNLTNTDIVGTFHLHITTEADKSSIREKASDIFHEAGIQDLTIQIECVKR >OGLUM08G15770.1 pep chromosome:ALNU02000000:8:18446513:18449431:1 gene:OGLUM08G15770 transcript:OGLUM08G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDSLYWEGLVGFFVEGGSRCKHRHKPSRRLHQISEGADDSRVFPAPSRVSSPSHPPPVAVLIHAAGLLRPRRILGRGVLLPLTPSIPPPAAALLLSPDPGLLPCSKVLEWVGRLVRCT >OGLUM08G15780.1 pep chromosome:ALNU02000000:8:18464890:18469853:-1 gene:OGLUM08G15780 transcript:OGLUM08G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVPLLFLRLSARRHRQPFACSRRGARARRRLLSASPARLRGLAAALGAQRRGAAWAPGDSASSRLASPPLLLLRLSGDTAPQRNVASPTRMRSYEPGPAFEENSEEAMLDISQTESTELWLIQWPLNQLDASDFHGQELTLKLHRDGKLSSLESSSGKSYDLVSFAAQQPDATVFLPSGPEAKAVRYPDPEELEKPGLGSLTPSSKKSAGSSKKTRSRFSSGSKNRSSQGSALSLGQQSAEPAHKHNQKRKDESSLGHSNVSGKSAEGSQVRGGDSGTTSEVPQTPGEKSKKKNKKVRIAE >OGLUM08G15790.1 pep chromosome:ALNU02000000:8:18472840:18484312:1 gene:OGLUM08G15790 transcript:OGLUM08G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARRLLLLAGAAAAIALLLSATAPVAGAEDDGYSYIPGSPRGPQNWGSLKPEWATCSSGKMQSPINLGLLDLTLAPGLGNLNYTYQNANASVVNRGHDIMVRFDGDAGSLKINGMAYQLRQMHWHTPSEHTIDGRRYDMELHMVHLNAQNQAAVIGILYTIGTTPDEFLQKMEPYIIAISNQEGKEKMVVGGADPNVAKGKDTVYYRYMGSFTTPPCTEGVIWTVVRKEDDQFGYIPSTPRGPENWGSLKPEWATCSSGEMQSPINLGLLDLTLAPGLGNLNYTYRNANATVVNRGHDIMVRFDGGDDAAAAGGLVINGTAFQLRQVHWHAPSEHAVDGRRYDMELHMVHLNAQNQTAVVGVLYTIGAQDEFLHKIVNGGVDPNVAKEHDIVYYRYMGSLTTPPCTEGVIWTIVRKVHTVSLSQLALLKAAVVNGNEKNARPLQDVNNRNIGLFIPLPLINV >OGLUM08G15800.1 pep chromosome:ALNU02000000:8:18489678:18495690:-1 gene:OGLUM08G15800 transcript:OGLUM08G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methylcrotonyl-CoA carboxylase [Source:Projected from Arabidopsis thaliana (AT4G34030) TAIR;Acc:AT4G34030] MLRRFAARRLRPPATAGYPSSAAAAAAAYAHGGGASVLPDGLDRASDAHARNAAAVGGLLSDLRARVSQVLAGGGAEAVRRNKARGKLLPRERIDRLLDPGASFLELSQLAGSGVYEEALPSGGIITGIGPVHGRLCMFVANDPTTKGGTYYPITVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKISGVSDHFAQDELHGLTLGRNIVKNLHLAAKVPNAQNSACDYQEPLYDVQELRSIAPADMKQSFDIRQVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCAQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCSKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFMWPTARISVMGGIQAAGVLAQIEKNNRKRQGVEWTKDEEEAFKAKVVEAYDKEGSPYYSTARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRIGEGIGILRSEGHGN >OGLUM08G15820.1 pep chromosome:ALNU02000000:8:18508211:18509411:-1 gene:OGLUM08G15820 transcript:OGLUM08G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYQDNPQAAAEPPPDGPGSGILVVEDEAAYATARMDGGGRAGTTHRTHTHTYLDDVVFVPVVGQPLSSGRYYAVRATGRHAGKVSACSREEDVTSCCFWPLVNDVPPRPFDRGDIYQQVEVLRLPPRGRGFTAVAVAPDGIPPGYLRREAWKVHTSASTSYDLADAAHGTDWPLRRRMPDLDSFDFGVGGSPPVVVGRWYCPFMFIKDGGEQRLKDQVKRCMFYKMTIEQSWKEIYSCDNIHRGSISSSVNNEVKVSVTVRRSTALLGGAGAVQGGAPQVFDGVMWFLPAASPAAAAGGGGGLGLDMVVWVKMEWELEKGGWVAGNGDDVERIKRVERRDGLDGQWDKFGCYLLVERFVLTRMDGSVALTYEFRHTDKITTKWE >OGLUM08G15830.1 pep chromosome:ALNU02000000:8:18509631:18510510:-1 gene:OGLUM08G15830 transcript:OGLUM08G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSIGCYVPLPQMGPLGMPAEYAAVGFHLGEFRMPPPPQQEQQQAQTVLGFSQDTHDAGAGGSSEVFGACSWWLMHARVEITLQIGK >OGLUM08G15840.1 pep chromosome:ALNU02000000:8:18510538:18510777:-1 gene:OGLUM08G15840 transcript:OGLUM08G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAAASASTSTTVSGSVHVGLAAKNLKLMHEGAQDLNLAFPHHRGRALQLPEFMAFPSLESSSMCNPQTGGGERRRW >OGLUM08G15850.1 pep chromosome:ALNU02000000:8:18528243:18536895:-1 gene:OGLUM08G15850 transcript:OGLUM08G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPRVTSSMGVMFNLLSKLNTSMDQPGFKDARGDLISLKDELGNMKALMHKFATQDESMDLQVKEWMRQVREVGYDAEDWIDSHPPVSSAEARGTRLGGGFFSRNSRRRKLAELIKELKDRVKEASKRRSRYLIREDWDDAAVDNDEPVDLGPSNVTVDRQLLYGLDGSMLVGTNAPVSELVGKLQAGGEQRFMVVSIVGAGGLGKTTLAREVYRTIHGEFDCCAFVSVGQNPHITAVLLNMLHQLDPQQRLVDDADQQPMDEPTVVGKLREFLEEKRYFIVVDDIWSASTWRDIKCALPENSTRGSRIVTTTRMNDVAKSCSGRPIDFTHLMKPLNEADSKQLFSSRLQQSLPELEACDEVSEEKLFRHVWKICGGTPLAIIVMAGMLNRKSPDWFDHEDNVVEALQKYPALQGMRRTLRICYSDLTLPVKTCLLYLSVFPEGAAMEKKRLIWRWIAEGFIPDAEGDKATAPWETAESYFNDLVTRRLIQPAESGDAVRVTVHNVVLEFISSVAGEENFVTSQVMLRSKPRDVVRRLSLNGGGGDQQGDVDGGESATEQEAPVNLSQLRSLTVFGALAKSLSNGF >OGLUM08G15860.1 pep chromosome:ALNU02000000:8:18613063:18619605:1 gene:OGLUM08G15860 transcript:OGLUM08G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAIPQRQLFVAGEWRAPALGRRLPVVNPATESPIGEIPAGTAEDVDAAVAAAREALKRNRGRDWARAPGAVRAKYLRAIAAKIIERKSELARLETLDCGKPLDEAAWDMDDVAGCFEYFADLAESLDKRQNAPVSLPMENFKCYLRKEPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELASVTCLELADVCKEVGLPSGVLNIVTGLGSEAGAPLSSHPGVDKVAFTGSYETGKKIMASAAPMVKPVSLELGGKSPIVVFDDVDVEKAVEWTLFGCFWTNGQICSATSRLILHKKIAKEFQERMVAWAKNIKVSDPLEEGCRLGPVVSEGQYEKIKQFVSTAKSQGATILTGGVRPKHLEKGFYIEPTIITDVDTSMQIWREEVFGPVLCVKEFSTEEEAIELANDTHYGLAGAVLSGDRERCQRFTEEIDAGIIWVNCSQPCFCQAPWGGNKRSGFGRELGEGGIDNYLSVKQVTEYASDEPWGWYKSPSKL >OGLUM08G15870.1 pep chromosome:ALNU02000000:8:18625716:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEKH >OGLUM08G15870.2 pep chromosome:ALNU02000000:8:18625716:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEVEMHVEKH >OGLUM08G15870.3 pep chromosome:ALNU02000000:8:18629609:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.3 gene_biotype:protein_coding transcript_biotype:protein_coding AAQQTRVGTPNPLLFRRRRRLVAAGHSGRLTVTGLSSPPKTPHPISRPEIAAAQPRRRRRTRRALSTSIASETAVSCH >OGLUM08G15870.4 pep chromosome:ALNU02000000:8:18625716:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVEKH >OGLUM08G15870.5 pep chromosome:ALNU02000000:8:18629572:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.5 gene_biotype:protein_coding transcript_biotype:protein_coding AAQQTRVGTPNPLLFRRRRRLVAAGHSGRLTVTGLSSPPKTPHPISRPEIAAAQPRRRRRTRYKPLYHATELIFIHYIDCIG >OGLUM08G15870.6 pep chromosome:ALNU02000000:8:18625716:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEKH >OGLUM08G15870.7 pep chromosome:ALNU02000000:8:18620890:18632747:-1 gene:OGLUM08G15870 transcript:OGLUM08G15870.7 gene_biotype:protein_coding transcript_biotype:protein_coding GPTNKSWNSKSPPLPTPAPASRRRPLRPAHRHRPLLPAQNPTPHLTPRDRRRAASAAETDATNQRGNFRGLFLVN >OGLUM08G15880.1 pep chromosome:ALNU02000000:8:18622909:18625632:1 gene:OGLUM08G15880 transcript:OGLUM08G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVPSPQKKLIEVLQREQDILWRILWENIDKVKELTDSTSATLRGPEIESMPKTAKIWLHQVREINRDIEDILEKSPSKTCSSKGSNILSCITQPINFVARQRIYKQVQSLSARIDTIKLRLSLLTNFDDKEAPANPTRYQLDDRQLDMLSLDEAKVIGIGYPKAKVTQLLLDEEKQLRVISIIGSAGVGKTTLARSVYNDKKVQGRFRCHAWITIGAPIPMVDRLKSIMVQIFVEKLEEIPARLDFMDEIQIAEVIGRYLADKSFLVVLDDIWNSDTWDYLKLALPNNGQGSRIIVSTRAQEIGRDCRLASDIQIFEKRPLNEDDAWLLFCNKAFPVIQARCPAELEETGRKIVRECHGVPLLVVTIGGLMSMKEQTVQVWKNVLDNLHKKYLPEFTLPSILWFAYSDLPHHLKCCLLYFIMFPRKYSIKRMTLIRLWMAEGFIKNDQESTLEDTAGRYLTELIDRGMVQVADFYDYGRVKSCSVHDMLREIIILKSTEDNFGIPVTRGVNKVRGNVRRLSIINTNDDFLEDNSCTNLRTLFVFGASSISTTSLHAFLVGFRLLRILDLEGAPVESLPDELPDLFYLRYLSLRNTRIDKLPKSLKKMMNLQTLDLKGTYVSQLPSGITKLESLRHLLAYRYYSGRHPPYYYTLGVTLPRRIGNLKELQKLTYVEANQGNGTIEELGSLTQLRRLGIVKLRERDCMHLCSSVAKMTELLSLSASSLDDEILDLGSLNPAPQCLRRLYLRGPLPGIPSWLHSLKNLVRIRLRWSRLNEDSLKELQSLPLVELALIQAYDGTKLEFTQGFARLEILELDHLTNLEHINLEKSMPGLQKISIRSCDKLLTIPHGIEGLENLKELYLFAMPKNFVESLMTGGVKHRRVEHIPVIRHFNEHRDISLTNL >OGLUM08G15890.1 pep chromosome:ALNU02000000:8:18628831:18631848:1 gene:OGLUM08G15890 transcript:OGLUM08G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLTLGRGAAETVVRPAFDKVQYWIELLQGKHTSTEEMVNELDILEGLIKDTDSSSAIHTLKAARGQAEDLCASIRDVIDDAKRFARYNHQHVPLRCIRKYTHNLVGKPSICETAERIVKLRSQVRRLQEILTPFVGQGMVPTSAQAGRLQHRHVAHAEGHWEGMEEPKTSLFQYVLGRESHRQMVALVGMPGVGKTSLARYVYEDNKVKGHFNCHAWMTVEESCATKQLLLGMISRLYEEANVRLPDAINIMDEDELSGMIQRFLKQEERRYVIVFDDISRRGQLKLLSDLALPDKNHPNYGRVIVTSRNREVIESCDHTITITQLTSPDDWNLFCYKAFGSSSFSPGEEICQHRERISNLCAGLPLAIDVLSALLAKKDHSQWSSIISELESHGDLGAATEILETSINELPKNMGHKNCLLYFSMFPKSSTVSHNTLVRLWIAEGFIKRQPRQTRQAVAEKYLSDLVDLHVLMVEDSYKYGRPKNYKVHDLMHQVIQKKAENEDFCTSCSDGNQQAPERVRRMSIQIEEDDFRQNVSLSKLQTLFISNKIPHVPKLLSSTTALKVLSMQGSLIEEFPKEIGNLTHLRYLNLRDTKISNLPMSLGNLTNLETLNLKGTFVSELPKSILKIQSLRHLLAYRYDAPKKPERQPEAIFGVRVPKGIGQLKQMRTFSVVVADKESKIVKELINLKKLRRLGVLNLRREDGSDLCESIAKMDQLSSISITAMDDEYLDIHNLSVVPPQLQRLYLRGQLQVVPQWFTSLHRLVRLLLSGSSLNEDSINILQSLPQLAELSLIRALNVDRIECQIGGFRNLKILDLDQLNGLVNVTLHGSMRWSLTPETVAEMSKVTFLTGCKYLAQNGTMEFAL >OGLUM08G15900.1 pep chromosome:ALNU02000000:8:18635196:18639399:1 gene:OGLUM08G15900 transcript:OGLUM08G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRRPVVQRSLISLLLLPVVLLALPTAAAAGAGWAPFRARDLLPLLPRGLAWPAVGSVHSAVDLLPRFVAHVAPEASSAVAWRATCFADNEAVLTLTHSSAAAAAGRNATTAGAAALGGALLRLKTASAQSWTCMDLYVFATPYRIGWDYYITAQEHTFEIKAWEEPGEMEYVKQHGIAIFLMPSGMLGTLLSLIDVIPLFSNTIWGQDANLAFLQKHMGASFEKRTQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVCLKDENGTLWVAESGYENKKGEEVIAIVPWDEWWGMALKDDSNPQVAFLPLHPDVRARFNETAAWEFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAVMSMWTRLQPLYASNMWNEALNKRLGTEKLDLHGIITETGKRGMSFNQLLTIPEQDDWEYSDGKSTTCVAFILSMYKKAGVFAPFTESIQIRDAYMLKIFEDNQTRLPSWCNSGADRLPFCQILGEYKMELPEYNTIEPYANMNENCPSSPPTYTRPLGC >OGLUM08G15910.1 pep chromosome:ALNU02000000:8:18641618:18652156:1 gene:OGLUM08G15910 transcript:OGLUM08G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELSELADATRQASALLADDDPSEQPAQRRGGSSFLTVVALGNIGAGKSAVLNGLIGHPVLPTGENGATRAPICVDLQRDASLSSKSIMLQIDSKSQQVSASSLRHSLQDRLTKAGSFGKGRSEEINVKLCTSTAPPLKLIDLPGIDQRSMDESMIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGSRTIGVVSKVDQVAEDQRALLAVQALLVNQGPRTAADIQWIATIGNSVPIASVQSGVGSESSPETIWKVEVESLKSILLGSPQSKLGREALVDSLAKQIRTRIKVRLPNLLNGLQGKSQIIQEELAKLGEQMVQSSEGTRVIALGLCREFEDKFLQHITGVEGGGWKVVASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIKGLLELAKEPSILLVDEVHRVLLDIVSATANATPGLGRYPPFKREVIAIGSAALDGFKDEAKKMVIALVDMERAFVPPQHFIRLLQRRMERQRHEDELKSRSTKKVSDAEPSKATSPQTQTKEGSNLQVLGPAGEITAGFLFKKRTKANDWSNRWFVLNERSGKLGYTKKQEERHFRGVINLEECNLEEVLDEEDPTRSLKDPKKPNVPDIGTPGLIFKITHKVAYKNVLKAHKAIILKAESMAEKTEWVTKIRSIVDQKGASATSGLPMRQSHSDGSLMSTSKKDGSLDTMLRKPVDPEEELKWISQEVRGYVEAVLSSLAANAVVLCQVEKAKEDMLNQLYTSISVERIEELIQEDHSVKHRREKIKKQSSLLSKVTRLLRIHDNRSATSNWSNDSAGSDSNPGSSGQSGDEWKSAFDPSQDVDPSAAGTNTGSRRIPSRMPPPPPQGG >OGLUM08G15920.1 pep chromosome:ALNU02000000:8:18656962:18661698:1 gene:OGLUM08G15920 transcript:OGLUM08G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX protein [Source:Projected from Arabidopsis thaliana (AT4G04330) TAIR;Acc:AT4G04330] MASPRCAAVALLHPAGVAAGGGARRRVLLLDQERSLWGTEVRRRRRRRFSSLETPPRCSKMYVPGFGEGSPEKKAARNLQHFFNYIAVRVVLTQLESYNREAYGELMDFVNRNSLNDADTFCKKLIRESPRHKQLAMRILEVRSAYVKHDFEWDNLKRLSFKMVDEANTKLMRDYVLETSHIEDDN >OGLUM08G15930.1 pep chromosome:ALNU02000000:8:18663059:18664654:-1 gene:OGLUM08G15930 transcript:OGLUM08G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVH3] MAAATTMCAAVAVLLVLTSTMAAAAGDGDGDGGGGGFDYKKALHSGLLYFEAQRSGHLPYNQRVRWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTMTMLSWAAAEFWDEIAAAGERRHVLEAIKWGTDYLVKAHTAADELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDNPGSDVAGETAAALAAASIVFRRSNPRYSRLLLRHAEQLFDFGDRYRGKYDSSIGEVRAYYASVSGYGDELLWAALWLHRATGRRGYLDYAVAMADELGGVGWAVTEFSWDVKYAGLQILAAKVLMDGGDHPASHAATLEQYRSKAEHYLCACLGKNAAAGDNVNRTAGGMLFVRRWNNMQYVTNAAFLLTVYSRYLRDSGGDTIRCSGGAMATGDELAAMARAQADYVLGDNPAGVSYMVGYGRRFPRRVHHRGASMVSHRADGRFVGCVQGYDRWFRRGGANPNVVAGAIVGGPDHRDRFRDSRDNYMQTEACTYNTAPMVGVFAHLHAQKMAARTANNNADRSMIKRVD >OGLUM08G15940.1 pep chromosome:ALNU02000000:8:18692112:18693917:-1 gene:OGLUM08G15940 transcript:OGLUM08G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKHPSSKLSEILRPGKLLHRRRRLVSEFADVGQEDALHESDTVKYRVFAGTWNVAGVAPPDDLDLGDWLDAKADSYDIYVLGLQEIVPLNAMNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDDDDDEGAQRHGQQQQPFRCVVSEQMVGIFVSVWARSGLRRHVRHAAASCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILSRTTFRRRTTAAASPAPEELPLPRKILDHDRVVLLGDLNYRIAMDDAEARLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWSIDAAAGGGDKQQQRAPAWCDRILWRGKGMRQASYERCGGYRISDHRPVRALFDAVCELAGGGVGVEHSAAGIASFGHVPLL >OGLUM08G15940.2 pep chromosome:ALNU02000000:8:18692112:18693778:-1 gene:OGLUM08G15940 transcript:OGLUM08G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLGPTRSSAAMKWNSLIGDALNRFTTGRRRRDDDDDEGAQRHGQQQQPFRCVVSEQMVGIFVSVWARSGLRRHVRHAAASCVGAGVLGRLGNKGAVSVRFLLHGTSFCFVCCHLASGGKDGDAQLRNADAADILSRTTFRRRTTAAASPAPEELPLPRKILDHDRVVLLGDLNYRIAMDDAEARLLVRAGKWSMLLENDELLLELAEGGSFDGWREGLVTFSPTYKYHLNSDMFYWSIDAAAGGGDKQQQRAPAWCDRILWRGKGMRQASYERCGGYRISDHRPVRALFDAVCELAGGGVGVEHSAAGIASFGHVPLL >OGLUM08G15950.1 pep chromosome:ALNU02000000:8:18700609:18702548:1 gene:OGLUM08G15950 transcript:OGLUM08G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVH6] MASLSVPPVPTDPRRDAIDLHRAFKGFGCDATAVTAILAHRDASQRALIRRHYAAVYHQDLLHRLAAELSGHHKRAVLLWVLDPASRDAAVLHQALNGDVTDMRAATEVVCSRTPSQLLVVRQAYLARFGGGGLEHDVAVRASGDHQRLLLAYLRSPRYEGPEVVDMAAAARDARELYRAGERRLGTDERTFIRVFSERSAAHMAAVAAAYHHMYDRSLEKAVKSETSGNFGFGLLTILRCAESQAKYFAKVLHEAMKGLGTNDTTLIRVVTTRAEVDMQYIKAEYHRSYKRSLADAVHSETSGNYRTFLLSLVGRDR >OGLUM08G15960.1 pep chromosome:ALNU02000000:8:18702921:18706012:-1 gene:OGLUM08G15960 transcript:OGLUM08G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDAAAASAKAPNGEASGGGGRPVEVEDTLPGVLRSFVDGVCSQGGGGGGGEPLLRRIRAASCETAPRLREASRNSARDLLEWTRRGSGLRAILVISVGTITLIALTGLLIFMFFLLVATTNAVVVSVLMSLAAAGGFLAMFFACLVAVYIGVVSVAVFVISATVISAIVGVMIATGWLGFFWMIWFAARKSMDLTKHSIGVTNSAIQSYSASRHAKQKPID >OGLUM08G15970.1 pep chromosome:ALNU02000000:8:18709642:18717487:1 gene:OGLUM08G15970 transcript:OGLUM08G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDVWLLIGCCQVCQQSGFIQNVRNYADFWVTRYEDEPPEPEIEEELCGLFTFSELEGAEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERASILGTRALQISMNAPVMVELEWGTDPLEVEALERVYTDCPKPISVHRQQLLCECPILANIEPKQIKFSLFSVTKTLVMAVLGTQVAAHHNGHGTSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKEQDFVDLAGSEQAAQTHAVGARLKEGCHINRSLLTLTTVIRELRKAKCGLFVTHGVMPIAKIDVGRSKLSSHKEAPMVK >OGLUM08G15970.2 pep chromosome:ALNU02000000:8:18709642:18717487:1 gene:OGLUM08G15970 transcript:OGLUM08G15970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDVWLLIGCCQVCQQSGFIQNVRNYADFWVTRYEDEPPEPEIEEELCGLFTFSELEGAEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERASILGTRALQISMNAPVMVELEWGTDPLEVEALERVYTDCPKPISVHRQQLLCECPILANIEPKQIKVAAHHNGHGTSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKEQDFVDLAGSEQAAQTHAVGARLKEGCHINRSLLTLTTVIRELRKAKCGLFVTHGVMPIAKIDVGRSKLSSHKEAPMVK >OGLUM08G15970.3 pep chromosome:ALNU02000000:8:18709642:18717487:1 gene:OGLUM08G15970 transcript:OGLUM08G15970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSDVWLLIGYEDEPPEPEIEEEPENNNEDAVDDVVGAEDDREQEKTARPWKTSKYMTKYERASILGTRALQISMNAPVMVELEWGTDPLEVEALERVYTDCPKPISVHRQQLLCECPILANIEPKQIKVWFQNRGYPGNPQGTPALSRLSPPDTLPDPPTVAAHHNGHGTSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKEQDFVDLAGSEQAAQTHAVGARLKEGCHINRSLLTLTTVIRELRKAKCGLFVTHGVMPIAKIDVGRSKLSSHKEAPMVK >OGLUM08G15970.4 pep chromosome:ALNU02000000:8:18708065:18717487:1 gene:OGLUM08G15970 transcript:OGLUM08G15970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDYNDMDMGEQEKTARPWKTSKYMTKYERASILGTRALQISMNAPVMVELEWGTDPLEVSGMDSCKYVHYTPEQVEALERVYTDCPKPISVHRQQLLCECPILANIEPKQIKVAAHHNGHGTSHENHTQESESSVEQHDPESQDDISIKATPADESPPEETSAAPTKEQDFVDLAGSEQAAQTHAVGARLKEGCHINRSLLTLTTVIRELRKAKCGLFVTHGVMPIAKIDVGRSKLSSHKEAPMVK >OGLUM08G15980.1 pep chromosome:ALNU02000000:8:18717187:18718990:-1 gene:OGLUM08G15980 transcript:OGLUM08G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPPPPPPPPPAAAAGRDWSDLPADMVDTVLCKLELPDAVCTCWRAPALDLRRRGVYSFPRTPCLLYIPAAAAANGGSSTRSAELYCLADERPYTVTLPDPPIAERSIVGSSHGWLVTADARSELHLLNPATREQIELPPIATLEQVRPILEAAGDGGDLRGYEVSFYDGDMREYRAPGIYRPDELRDLLNIKAILSCDPSSSSSRRRGGGGGEGGEDGCGGCIVLLIYHVYQQPSFARVGDDKQWHWITTSYYRSPYSDIAYRDGAFYAMNLLGGIHRYDIHHSRATRTVVLADTLGKNSSALK >OGLUM08G15990.1 pep chromosome:ALNU02000000:8:18720073:18720485:-1 gene:OGLUM08G15990 transcript:OGLUM08G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPHLENKFYASDLANNLQLDKDDDDDVLVDGSDNTLADQVDWDWEATYFVQHRHKNNAADFPDIPPAARESLDAYITQAVSLAELLAGCISTNLGLGDTDRVRDAFAPPFVGTRGGARRRGTR >OGLUM08G16000.1 pep chromosome:ALNU02000000:8:18784189:18790607:1 gene:OGLUM08G16000 transcript:OGLUM08G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSTTPDLSLHISLPSGGAAVGTTAPPGLGNGAAVGGAGGGKAAGGGDPWRRLDGSTASTELSLSTPQQQQEGSTSAADVLPWRLRQPTAAAASVPVTLPTIPMDGSAAAARAPIRGVPVYSGGGGGGHPFLGGGGGDHRHNRLYNPYHSTAWPSSSLCSTSPAPAPPPPPAALDPTTSSLLSPSAYHRMLSSTGRLHGVLADTLRGYAGAAAVAGSIGYGSAAAAMGGYGGAGAGGGFASSRFMPRLLPASRRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAAASGPMDGSGSGSGSGDDELLAGDGRQATSSGADADRRMSFTEHRSSSEGAASHAGGGGDGDCSSSAVNSDTIRARSNSPRDLWLSSSVCNMDPQYLVTVEDMEPCRSSSLQVSSHELSSPSLEFTLGRPSWHSIDHD >OGLUM08G16010.1 pep chromosome:ALNU02000000:8:18804291:18804527:-1 gene:OGLUM08G16010 transcript:OGLUM08G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDARTPAPLIIEAGCHRRRTGASLPLPPLCNDGLSAGSSSRRSPRDLVFLADDKRRHGRPRGGRCRPSHATSSSS >OGLUM08G16020.1 pep chromosome:ALNU02000000:8:18804853:18805119:1 gene:OGLUM08G16020 transcript:OGLUM08G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKSHPAQSQPNSRARHVTLRTHQSTTSSSSSSAPRRPVAGRNHHHHSPSPPPPARAPPLPSPLPGRAARRISPLLPSRNPSQAGSV >OGLUM08G16030.1 pep chromosome:ALNU02000000:8:18805134:18810019:1 gene:OGLUM08G16030 transcript:OGLUM08G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSAAAGEGPQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIITFARFAVLLHPLLTRIPLLFVLLLGSSRRIFSPDDDCEVIESEKHSHVFYQAEADIWMVLVVEKNKDIESTWRCGALQGILKEVHSLFTMFHGPIRTLLDRQPSAELARGHLRTFFTDYLSDFNAGKKIQLPTFRDCLKERGTVQMLTISREVALEVQSLTTVLGSCLGNVMCQSLVLFEDLLVSTTLPPDDTLNLYTYAILRLTPRALLSNATSWSYLRKGTSVHAGPTSSSSNGTASVERYRSRSRDTSPGGQNQMHHYFRPLQREKLYKGKDGFVAAGSTTSEVRGAVAWVPILWFQQAEDRMHLCVYQHKNITILLLIPASSLINGDDGIAHVKRHLLENASQNIVTLELKLSRGWGGENAYHVGGYRYLLVDPDRKVSRASPPGKVTTLSKDSLLSLNRLREEIDLEKSRAKRSDSCHDKDFEVL >OGLUM08G16040.1 pep chromosome:ALNU02000000:8:18810758:18843087:1 gene:OGLUM08G16040 transcript:OGLUM08G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGAETPPPGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKGKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTIEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIARSDDNGSFKSNLAFALQSYVGTAEEVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQPIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNARCLPVFDGIVVCSEFKNTILEAYAEQEEWRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRKSRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >OGLUM08G16040.2 pep chromosome:ALNU02000000:8:18836314:18843087:1 gene:OGLUM08G16040 transcript:OGLUM08G16040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MAYKVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSVLAPLERLELAATNNTEEMELQTRALTEPLPTNQQAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQPIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKVDLLDYDY >OGLUM08G16040.3 pep chromosome:ALNU02000000:8:18838880:18843087:1 gene:OGLUM08G16040 transcript:OGLUM08G16040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MGMGTHLYAAEIVLGPLVLRFSMGKIMMERFLDVTMEGGWSQCTWSDHDYLDSFLAGEDAELKLIQDCRVANKVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQPIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRIFQVAKRLGIDFAPAMVGFDYRNARCLPVFDGIVVCSEFKNTILEAYAEQEEWRQAEERKQEEAQALIRWYQLLCSVVTTQRLKDSYKAPSSEHGPEGPSQDVSQQKGTRKSRSSETKTRSSRLQADRPFDSPFPVHDHEHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >OGLUM08G16040.4 pep chromosome:ALNU02000000:8:18838880:18843087:1 gene:OGLUM08G16040 transcript:OGLUM08G16040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MGMGTHLYAAEIVLGPLVLRFSMGKIMMERFLDVTMEGGWSQCTWSDHDYLDSFLAGEDAELKLIQDCRVANKVCALSVALFRALNLTARFVANLDVAGLKPDTKSMGTSNQDEPRLCTKALPSSSFVAGHNEYNNLSPVLSQNNTEGSINTTPKQVKVQGCRKSLSKKLSKCKANQRDSSASLSKDSSSSSQYPSTSSNAEVPRRKGDLEFELQLEMALLASAAKSQDNKLATQLNQSTDSLLNSTPPLKKLRKSEEASSNSSVVWSRNRAPLFWAEVFCGGEASSGRWVHVDVANDIIDGEQKVEAASAVCRKPLRYVVAFAGNGAKDVTRRYCLQWHRIVQGRVNPEWWKSAYKDHHLYALEKWLHKNQVLHPKGPVLGFCKGNPVYPRSCVQTLQSRHGWLREGLQVRENELPAKVVTRPKRTFNSQPIQSNSNSNEDGLKPTMELYGKWQLEPLQLPHAVNGIVPKVDLLDYDY >OGLUM08G16040.5 pep chromosome:ALNU02000000:8:18810758:18838421:1 gene:OGLUM08G16040 transcript:OGLUM08G16040.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 family [Source:Projected from Arabidopsis thaliana (AT5G16630) TAIR;Acc:AT5G16630] MRTRSQRDGHHAAAAAAAGAETPPPGASGGSGGDVARGGGTPRRRRRASPAAEKGKSPAKVEMESALEDKGKNVKVHAEGYDDAGMTRFGRDGSEKNSLEEEDPDAADMDWEEGIVFAAEHDECYSHELGETVTIEFTDLPSSTEKKTARRLTAEEKELAELVHRVHLLCLLARGRVIDKACNDPLIQASILSVLPQHVLRNSVDTPILKANELRSLVSWFHNTFSVIARSDDNGSFKSNLAFALQSYVGTAEEIWKT >OGLUM08G16050.1 pep chromosome:ALNU02000000:8:18811023:18827922:-1 gene:OGLUM08G16050 transcript:OGLUM08G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGRRRDVLLLLLLLVLVARAAAADMAGDRAALLALRDAVGGRHLPWDPSAPTPCGGAWRGVGCSASGDRVTELRLPGKSLRGAVPVGTVGNLTALRTLSLRTNAISGGVPADIGGCVQLRSLNLSGNRLAGGLPEGLFSLALLEKVDLSGNRLTGGVSPERTGRRGFGTGGGSGGGVVAVALAPGPHYTWVLSTGKAAAAAAAILVA >OGLUM08G16060.1 pep chromosome:ALNU02000000:8:18843341:18846288:-1 gene:OGLUM08G16060 transcript:OGLUM08G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGKLSRGAIIGIVLGAVAALVVALTVGFLACFRRRATAPRSRSTAAAAAAHDVAEPITVTVARTDMDAAVKQSHSPPPPGEGSTKLVFVGGAPERPYDLDTLLRASAEVVGKGAAGTTYRATLDGGEPVLAVKRLREVSLSEREFRDRVAAIGAVRHDSLPRLLAYFYSREEKLLVYEFVVGAGSLAALLHGNGEKLDFVARARIALAVARGVAFIHRGGPSSSHGDIKSSNVVVTATRDAAYVTDYGLAQLVGGAAAPPTTKRGAGYRAPEVVDARRVSQSADVYSFGVLLLELLSGRPPLDATPAGGAVDLPRWMRSVVQEEWTSEVFDAAIGNEARAEGEMMRLLQLGMECTEHHPDRRPAMAEVEARIERIVEDACRNADSGSTDGSRSMSA >OGLUM08G16070.1 pep chromosome:ALNU02000000:8:18858823:18859337:1 gene:OGLUM08G16070 transcript:OGLUM08G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMLEEQGESRRVVRLEQEVLIHIQVRRIKQEDEKARGLLQRLQLLEMRPTATAVIGFREPARPPSPSPLRRAGCIVLVFLFDPFLAGIASDKNAEVTSASKADEAKRED >OGLUM08G16080.1 pep chromosome:ALNU02000000:8:18859971:18864469:-1 gene:OGLUM08G16080 transcript:OGLUM08G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWHVDMWGPRGVGIGLVVVAVFKVVEVVEVVVGEVDMCCVEDQGCLLEGPLASGTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGGGSSSRPTGPTVNRYNRGAFQAGRGRGRGRDRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >OGLUM08G16080.2 pep chromosome:ALNU02000000:8:18859971:18862581:-1 gene:OGLUM08G16080 transcript:OGLUM08G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTPEIGQRGGGSSSRPTGPTVNRYNRGAFQAGRGRGRGRDRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >OGLUM08G16080.3 pep chromosome:ALNU02000000:8:18859971:18862581:-1 gene:OGLUM08G16080 transcript:OGLUM08G16080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHFDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRPTRTVVMTNRYNRGAFQAGRGRGRGRDRAPFQSQFQGRGTGSVRGRGQFQGRGRGRRQAGKTADELDKDLETYHAEAMKTD >OGLUM08G16080.4 pep chromosome:ALNU02000000:8:18864469:18865349:-1 gene:OGLUM08G16080 transcript:OGLUM08G16080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCVPPPSGDGWILPPLPGLGMGGSCRPIHRLQRRRADLEEEGGERGGCDLAAAPLLSLSLRRRLLLQPHLAAASVAFALCCRHHRDRTEETKTNNHLLEAASHRNTTVIVNPIYSASGQLVTPSTALGRLGELEQLFFYDKNFTGGIPPELGELTSLQLLDLSNNSLAGGIPSRLYNCSAMWALGLDVNNLTGSPAKFLQLFGNRFTTTIPGEFGRCKNLTRRGGLLPRRSHRRHLVAPATSSSFAGQLAEEKRERERDREMGERERRGKRERE >OGLUM08G16090.1 pep chromosome:ALNU02000000:8:18867383:18868269:1 gene:OGLUM08G16090 transcript:OGLUM08G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVK0] MAGRGKAIGSSAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGAVTIANGGVMPNIHNLLLPKKAGSSAKAAAADDE >OGLUM08G16100.1 pep chromosome:ALNU02000000:8:18875385:18876092:1 gene:OGLUM08G16100 transcript:OGLUM08G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKVKLQRIINDAKRRAAFKKRLKGLKKKASELSTLCSVDTCLMVYGEGEVQATEVWPSVQEATRVLERFKAMPQLDRYKKTMDLDGFIKERTDKLQEKLHKVRRDADESETKILIVEAFYSRCAGLEDLTIEQLTSLGWMADAQLKIVNNHFQKLCEQGLLPESASLSGMNVPPYTIAGYLAVEEAQMQREGWLMTIARGIGSLGYNGFEGSSGSATAEPNGDMVQYLNKGA >OGLUM08G16110.1 pep chromosome:ALNU02000000:8:18881452:18886876:1 gene:OGLUM08G16110 transcript:OGLUM08G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01620) TAIR;Acc:AT2G01620] MLPATATASAAPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITELYMPRCTGLTAEGVVKIVQVLYECNGNLNRIRLHVLNTNYERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSRAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSGQFTCHRCVEFSSNSRENLEESS >OGLUM08G16110.2 pep chromosome:ALNU02000000:8:18881452:18886876:1 gene:OGLUM08G16110 transcript:OGLUM08G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G01620) TAIR;Acc:AT2G01620] MLPATATASAAPPPPPPLPASPGGEPHAALLLSLAYLPLRELLSCAGACRRLRDAVAGDPLLWRRVAVAAPPLARRLTDEALLALTGRAGGTLRSLRLLGCTRVSDAGLLRVVERNPGITELYMPRCTGLTAEGVVKIVQVLYECNGNLNRIRLHGICRMTKHHLDAISSAMCKGNQQEDDQSLFYSHRVHEVLNTNYERRIDVDVCPMCTNVRLVFDCTRDGCRRKDSRAQCRGCFFCVARCETCGGCIDLEELSETELACSDFLCMECWLPLPKCSTCNRPYCKRHENLKVDLSPSGQFTCHRCVEFSSNSRENLEESS >OGLUM08G16130.1 pep chromosome:ALNU02000000:8:18900345:18912011:-1 gene:OGLUM08G16130 transcript:OGLUM08G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLQLNMSSPAQSGNKQKTYTSFGQSKKDISKRMAIELEAYAVKGMRKVHSKWSPVATTAWYRISLSRFNATYLSIVSRPW >OGLUM08G16130.2 pep chromosome:ALNU02000000:8:18900343:18912011:-1 gene:OGLUM08G16130 transcript:OGLUM08G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLQLNMSSPAQSGNKQKTYTSFGQSKKDISKRMAIELEAYAVKGMRKATYLSIVSRPW >OGLUM08G16130.3 pep chromosome:ALNU02000000:8:18900345:18912011:-1 gene:OGLUM08G16130 transcript:OGLUM08G16130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLNFLWSVKVPFSCVSATYLSIVSRPW >OGLUM08G16130.4 pep chromosome:ALNU02000000:8:18900345:18912011:-1 gene:OGLUM08G16130 transcript:OGLUM08G16130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGERRNQSVLWGGERDWMRPRPTRREQTQQHSSTSTSTSTSTKRARWQGEDDEEDDQINGLPDRLELRRARVPSARLMPQLLYPFVATYLSIVSRPW >OGLUM08G16140.1 pep chromosome:ALNU02000000:8:18914825:18917068:-1 gene:OGLUM08G16140 transcript:OGLUM08G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGRKEEAAGKPEEHSDYRGGGGGASAAMQWQFPATKVGAASSAFMSFRSSAAAAREEDSKEAAVFDRFSLSGFRPPPRPSPGDAFDGAAAMKQRQFGFDGRQQYAAAAQHGHREQGVDSYGVAAPHHFPSPSPSPRHPVPFGHANPMLRVHSLPNVAGGSPYRNQSFAVGNSVAGSTVSVYGGPRDLQNPKVTQMTIFYDGLVNVFDNIPVEKAQELMLLASRASIPSPPSAARKSDSPISAAAKLTVPEALPARQIVVQKPEASVPLVSGVSNPITIVSQAVTLPKSSSSSNDSAGPKSGGLPLAVTPLSQASPSQPIPVATTNASAIMPRAVPQARKASLARFLEKRKERVSSVAPYPSSKSPLESSDTIGSPSTPSKSSCTDFTPSTNNCEDSLCLGQPRNISFSSQEPPSTKLQI >OGLUM08G16150.1 pep chromosome:ALNU02000000:8:18943228:18943713:-1 gene:OGLUM08G16150 transcript:OGLUM08G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAPQENVIITATIDIVAAPMPDPPSLRSSTPPDPACHCSPSAGSTAAEVPHAGYAAPPSSSHGEEEAAQRRRRGRGEEADGVDPVRAVMTKRQRRGGRRCRPRHAATMKRQRRGGARSHPLEVAQAGAYPPVSTGVKKGDRGSLSAARCLMGVGLAS >OGLUM08G16160.1 pep chromosome:ALNU02000000:8:18960054:18965549:-1 gene:OGLUM08G16160 transcript:OGLUM08G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVQLLPLLAAAVGSSSSTSSFKPKPLEALCRPLLSHLRPQSKTEVYWQPLKSPIVVGWLVYVLALSFDSYRSRTWFVIRVELGPPFNDESHGDALLSPVTLTPKIYGSAINLDLVPFPWRQPKGINVVAVLRRRGSAVVTAPPQLPVGLLLFLLFGFIWKVVSVVWLTLFLQGCLCF >OGLUM08G16170.1 pep chromosome:ALNU02000000:8:18965578:18968200:-1 gene:OGLUM08G16170 transcript:OGLUM08G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADEASKPPPLPPYPEMILAAIEGLNEKSGSNKSAISKFIEGKYGDLPPAHASLLTAHLARMKESGELIFLKNNYFRADAPDAPPKRGRGRPPKPRDPNAPPPPPKPSSPRPRGRPPKSKDPISDAIPKSRGRPPKKAKTAPAPPPATGDGSAPVKRGRGRPPKLVWPLMVATGVLVGGGGGSWYLCASWTANRRHGGQIIPEQEPQSKMEFSDLDGLGGGGGGASGGGCRHPARVRVCVGGGDGVCVAPGTSVAVVEVATVSALPSVLRCPSSPFAVPELAVALFPSVAPVLAMAPAGVGMCAVIGGVAVVAP >OGLUM08G16180.1 pep chromosome:ALNU02000000:8:18982019:18988533:-1 gene:OGLUM08G16180 transcript:OGLUM08G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVEFSVLGAVQKFRSLIAGPTPPAATAEGEEVARRTSGPPSPATPTRSRSGAADTTPPLPARPGGGRRAIALRRQISSPQLLRCHAVRRGDGEDDDEPGVQFFTPGNDYLHDFSDTDSLSVSTPNGVARSLTPSPLESPTWMVGHNDASPTSKRNERLSLDSLGCDTRLNGGIADRSGGDMTHYPADFDANVWLPPSPEDEGDDVEARLFGFDYEDDEAGDSGKLLALGNFNTNKIVGVDTITDIAHKEGLRNAVLGHFRALVAQLLKGECIDLENDNGSKSWLEIVSSLAWLAAGYVRPDTKKGGSMDPTDYVKVKCLASGDPSDSNLVRGVVCSKNVKHKRMASEHVNAKLLILGGALEYQKVTNKLASIDTILEQEKEHLRAIVAKIESRRPNVLLVEKSVSSYAQELLAKDISLVLNVKRPLLDRISRCSGAQIASSIDNIASARLGQCELFKVHKVSEFSSGKQTNRRSMKTLMFFEGCPRRLGCTVLLRGSCREELKKIKRVVQLAVFAAYHLSLETSFFADEGATLPKVPSRPMVVVNDIRSDPSNYFAGSAGVGIPHGLKPVQGKHSEATRVNGMFKENSISPGSLSLNEEGEGVISEHRESKIPVEHMNCHDHDSFHATESCKGHKIFPCSLDHDIRTSDMVMQYQYLNDLTQLPINDDRQGMVSGKKFQEVDHYGPKPHDDYLMGDADGPNELSGEYFPATDNHQSILVSLSSTCIPKSMLCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKESSESHVRCYTHQHGSLTISVRRLLSQKLPGERDGRIWMWHRCLKCEPKDGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCRSRQDWMRRMAVEIYSKMETLHSEVYDFLHHNEKSVTSEDEPVKAGVQRQIIEMKDLLKMERNGYEILLLPVITDSNHSVQVSIDVLELNRLRRGLLLDAYIWDRRLCYIDSLLKKDSHVSNPDIFLDVRLKEWKADLLVGDTKIGKSTNLSQSSGSPRKSLLSREGCLNDTEYRMGETNSQIDLVTHPVDDAEDLDKVFRRFNGETEQPVTTATMGKEPVERLPSLASIFSDKIDLAWTGSSEIQDDLLQGFTKIDEYGSFNFPDNPSYGNSVTPVRIHSFDSKFAIRQRERNGLAPTSLHLSSFRSAEYFGDFTSILKDPMPNIRRACSQRSPGAVEKLNVVLTRTLTYISSASHMIDDGARLLLPQIGYEDDAVIAVYDDEPTSIVSYAMTSQEYVQQVTRKLNSSLSFLHLPNAIDSSHGLDGTLLSQEDHLDSKGTHFKFSFDDESPLSEDKAKFSVTCYFAKHFASLRKKCCPKDIDFIRSLSRCKRWNAQGGKSNVYFAKTLDERFIIKQVTRTELESFVEFAPQYFRYLMESLTSGSPTCLAKIVGVYQVNIKGLKGGREVKMDLMVMENLFFERKISRVYDLKGSLRSRYTSSESKVLLDSNLLEALHTKPIFLGSKAKRRLERAVWNDTSFLATADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >OGLUM08G16190.1 pep chromosome:ALNU02000000:8:19014315:19018636:-1 gene:OGLUM08G16190 transcript:OGLUM08G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAIAGGRRRTARVMTVDGTTYKYRPPASAAAALRDHPGYQVLESEEVRRLGMRARPLDADAPLKPGKLYFLVELPRLARAPRRTWSGALVHVGGAGERLESMMLSRRSASDVAASPVSSVEAGEGGAVRLRMRLPKAEVARLVKESRDAAEAAEKIMQLCVDRDHSSAPATPKKARFMIDVPDEIIGF >OGLUM08G16200.1 pep chromosome:ALNU02000000:8:19035017:19035897:1 gene:OGLUM08G16200 transcript:OGLUM08G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLPQEVIQGPHVTIYFYMRPLKRSTCENRWRGDGGHRGGRAPACGSTAPNLRNGDGRSERPQRPAGRSAVHRSTRHPHPREQAATTAGGRIRCPCPWEQRRWADPPLPPSGAAVEANGHGDQQENSPPPPSGATAVHGYAALVLGSGGLRQWDRGRGDDDGSDGGCDDDNDGNNCTMTMAVMAAPTTLVVVAAPTTTAVVTSVDGRYP >OGLUM08G16210.1 pep chromosome:ALNU02000000:8:19051599:19054034:-1 gene:OGLUM08G16210 transcript:OGLUM08G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPNAHKPPLQLQARNKAKNTSPLLCPPPVRPRSMAMAAAVLVAIALPVSLALLLVAKAVWVTVSCYYLTPARIRRVLASQGVRGPPPRPLVGNLRDVSALVAESTAADMASLSHDIVARLLPHYVLWSNTYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLKGRVGHMVECTRQTVRALREAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEWLLKESIDRSREIADEGRTPSASPCGRGLLGMLLAEMEKKEAGGNGGGEVGYDAQMMIDECKTFFFAGHETSALLLTWAIMLLATHPAWQDKARAEVAAVCGGGAPSPDSLPKLAVLQMVINETLRLYPPATLLPRMAFEDIELGGGDGGGALRVPSGASVWIPVLAIHHDEGAWGRDAHEFRPDRFAPGRPRPPAGAFLPFAAGPRNCVGQAYAMVEAKVALAMLLSSFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLPPPRP >OGLUM08G16210.2 pep chromosome:ALNU02000000:8:19051599:19053223:-1 gene:OGLUM08G16210 transcript:OGLUM08G16210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVECTRQTVRALREAVARSGNEVEIGAHMARLAGDVIARTEFDTSYETGKRIFLLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEWLLKESIDRSREIADEGRTPSASPCGRGLLGMLLAEMEKKEAGGNGGGEVGYDAQMMIDECKTFFFAGHETSALLLTWAIMLLATHPAWQDKARAEVAAVCGGGAPSPDSLPKLAVLQMVINETLRLYPPATLLPRMAFEDIELGGGDGGGALRVPSGASVWIPVLAIHHDEGAWGRDAHEFRPDRFAPGRPRPPAGAFLPFAAGPRNCVGQAYAMVEAKVALAMLLSSFRFAISDEYRHAPVNVLTLRPRHGVPVRLLPLPPPRP >OGLUM08G16210.3 pep chromosome:ALNU02000000:8:19053263:19054034:-1 gene:OGLUM08G16210 transcript:OGLUM08G16210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPNAHKPPLQLQARNKAKNTSPLLCPPPVRPRSMAMAAAVLVAIALPVSLALLLVAKAVWVTVSCYYLTPARIRRVLASQGVRGPPPRPLVGNLRDVSALVAESTAADMASLSHDIVARLLPHYVLWSNTYGRRFVYWYGSEPRVCVTEAGMVRELLSSRHAHVTGKSWLQRQGAKHFIGRGLLMANGATWSHQRHVVAPAFMADRLKVTHRLATAAPLPAAAAEQS >OGLUM08G16220.1 pep chromosome:ALNU02000000:8:19058249:19066823:-1 gene:OGLUM08G16220 transcript:OGLUM08G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAGGAKEDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSTHCVERKPENITGSSELNFLNNIKEDILNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSASSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDSSSQPLCSLSLQNVPVLESRPLECDDLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGIPPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPEFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPYPQNPKEGEALGDLTGVSGGSWDKVWNSALAHVCSEPQTKIAELIVPCKDSCSRYMECRDKVEPE >OGLUM08G16220.2 pep chromosome:ALNU02000000:8:19061339:19066210:-1 gene:OGLUM08G16220 transcript:OGLUM08G16220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEASFKDFKGSIGPEGAVDVKQSLVSLGATGRRPGFMIRLCTCTGRPSSLRNLNNSGVSMTCKGCGGESTTDRAGPSCSSKLNTMGLELPRPIDPEVRWKTVNRRQRAARRARTSFSGEDRIRDEIRSFYACGNATNQELAQDDAPVSESEKFGVSILGRRFSDPVGNVPIKKRRFLMDCSPSPPPTPLLVDPYEKLLSRSCGGISSHGKHHKVKTPRLDYMEETKEHFGVDDFSGISILAAAACTSELDDDTLNVECSKSTHCVERKPENITGSSELNFLNNIKEDILNSLDASNCKSDPLLESSESVPDTKPVVATRLNCENLVESTHTQKDCSASFSASSSANKADDSSTASDTKSSGVTMSINASNPDKSVGGLQDAVVETKHSNGTRDSRLHWDLNVAMEAWDTDCGGDDVPDAADPDPVAFAISSCSDAENVLNKLQVCQAPFDSTIAGNIPDLSEDKTPVIDAPKDASTKSESDFAGDSSSQPLCSLSLQNVPVLESRPLECDDLSAGTKELPDNNDISKVKSQLGSDPDCSSLPPMTERFALTVIEEKLDVSHASALDCVGLSQMVSTDGCDGINSVQMSELGSRVKPFTSRLVSEESTNLATVTVFNKSSTDLGWSNDKLGQTSQQSISELKNQDLLDVDSGTSKIGQSGHDKVEHVTNELSISKKAADVDDDLDLSDSHMNDNPGSSDRGMSHAHEEEGADATISNNLTCTDSSNALICRIDGACVAPPINSECIKPSTTDMDSIADSQSAEQSYLGKVLSNNFMEHCNETEAPHIIKDLAGTGNIVAEEDDSQYEDGELRESGEYWGDDCYEEVKPANYQVLDCKSDAPGIPPFPLGSMSKNTGDRVANFNGKHSRNGGGDVSPAALKRSWSTTCIDDGSGTMCAGSTGEKALSVHLRVNGETRMYEMNPGHVIAGSSATVNQSERVNDGLGDDLSSLRTKPTGWDMLPEDQRHSQHDSRDTVDSSNRCVLSTSDTAGGGESLRHMELSSGDMQPRADRPRSFDRAHINELCRSDDGYGSGSKAERTIDIRKSHERGGASRHIQGSSRVEQWVENSNNSRTTWRKSPDYYNYGLPGPRNAAEAAVAKMQSNGFVVAPDGTLVKAVDTANASKMARRVRNNTLSSSYRPLSGRGSPIDRDGGCGVSRGPAHAREASPERRFSTSGNRSVRYGPDMDKDHANVNMSSARCSLASRQRRFPQHRASLNLSRAHSRSPSGSRSRSPHAWTSPRNRREVMVNGSSSLWRHSRSRSPPNYMTEVRMGRMTSPSRQPEFGDRVMRYSPSSRDRAYSQHASTWADGRNCSTVDLPDHKKRYSRRSPPLRVTSRNDRFDVDSHGRPRSGELYRPTQGRLPYGFERGRGNRHDGNGDDQREYADRYETHSAKPYDRNGATKQFRNHTGDKLRPCISAPRSPEPQRRGSPRRFDRGFGRQLGVDLPRGTKEDNKNPFRYD >OGLUM08G16230.1 pep chromosome:ALNU02000000:8:19075369:19079805:1 gene:OGLUM08G16230 transcript:OGLUM08G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDERRGFRDGPPPPLARARPISPRRLEEELSSRRAEMRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPSFSGHGAYGPPMATPGMGLQGVYDDGYPSIGSRYGTGSWAPHDPHGYPQL >OGLUM08G16230.2 pep chromosome:ALNU02000000:8:19077917:19079805:1 gene:OGLUM08G16230 transcript:OGLUM08G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRHRIPREYYDERRGFRDGPPPPLARARPISPRRLEEELSSRRAEMRRIHDDNQRLADEIVGLRQAMPRLKEDLHAASQAIPKLRAEKELESRELTQRNLKLEAELRSLEPLRQDALQLRSEAGKLQSIRQEMTAKVQGLLKELEHQNSENQKIPVMIAERDALRQELVRMRGTLEYEKKARPDLTAQVQAMEKDLVSMAQESEKLRAEIKKRNAPSFSGHGAYGPPMATPGMGLQGVYDDGYPSIGSRYGTGSWAPHDPHGYPQL >OGLUM08G16240.1 pep chromosome:ALNU02000000:8:19081915:19086788:1 gene:OGLUM08G16240 transcript:OGLUM08G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSIKTLKGSSFEIDVEPTSKVSDVKKLIEVTQGDNVYPADQQMLIHQGNVLKNDTTLEENKVVENNFIVIMLSKKGSSSAASSTAKEPTKQPSVDRAIPPAPATQPPSPPAPVSEPVTAPVPTATTASAPAAAVTAASTEADNYGQAASNLVAGSNLEGTVQSILEMGGGAWDRDTVMRALRAAYNNPERAVEYLYTGVPEQAEAPAAVQALPVPAAVQALPASGQPVDPSQAPQSAQLSIPSSGPNANPLDLFPQVLPNASANAGGGNLDVLRNNSQFRGLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLRLINEPAEGAEGNLLDQFAAGMPQTVAVTPEENEAIQRLEQMGFDRDLVLEVFFACNKDEQLAANYLLDHMNEFDDDAPEPPQ >OGLUM08G16250.1 pep chromosome:ALNU02000000:8:19087628:19090130:-1 gene:OGLUM08G16250 transcript:OGLUM08G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGEKTTRAGSESSGHHWAGYSCSAGEASSPRLPLRHRPPPRDFRRYIIFGCPYRHPKKPKAPAKDKVQEKVPQQTMIASWGMANYVEYNQVKNIFQEIGEIVGIYFSSTRHLAVVDFSTEQAAESALYHFMGYHLMGRPLKLAWFDPKDFAVLRDIPTRGERMPNYLMQTVCVTGFDSSLEIGTIRHALEEIFANDHMKKLVTPVNLDGTSTGKAYIRYDVASSYNGALHCDGVSEIGGRILRVTKWPDFSWCKKRRIGRAGCDKDDAGLAVPDQDDTPKWHTPSTGKRTLFDDGSGDEAGVTM >OGLUM08G16260.1 pep chromosome:ALNU02000000:8:19097848:19099434:-1 gene:OGLUM08G16260 transcript:OGLUM08G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNEEHVTLIKEYRGKIEAELSKICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTMVAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGGDEVKEASKGDAGEGQ >OGLUM08G16270.1 pep chromosome:ALNU02000000:8:19102585:19109908:-1 gene:OGLUM08G16270 transcript:OGLUM08G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLVGHPNPKNPTADRGAQREKGRKPTPRRTRAPKDSPLLPLSLSVLGLGHEGGTHGFFRRARRTKTRTSSASARPCSAASSPLPPMAPPPASSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGARALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDSGGQWQARYVACGGRHTLAIATWTEADDESHSRRSDLAGDAAAATPPPCVAVAEMAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPRVKTTPSLRCEDSPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >OGLUM08G16270.2 pep chromosome:ALNU02000000:8:19106189:19109908:-1 gene:OGLUM08G16270 transcript:OGLUM08G16270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESTSRLVGHPNPKNPTADRGAQREKGRKPTPRRTRAPKDSPLLPLSLSVLGLGHEGGTHGFFRRARRTKTRTSSASARPCSAASSPLPPMAPPPASSLPTAVLAWGSGEDGQLGMGGYEEEDWARGVAALDALAVSAVVAGSRNSLAICADGRLFTWGWNQRGTLGHPPETKTESSPAPVDALAGVSIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEAERKEDGARALRRDIPIPQRCAPKLKVRQVAAGGTHSVVLTQDGHVWTWGQPWPPGDIKQISTPVRVQGLENVKVIAVGAFHNLALTEDGILWAWGNNEYGQLGTGDTQPRSQPIRVEGLSDLSLVDIAAGGWHSTALTKEGEVYAWGRGEHGRLGFGDDKSSHMVPQKVELLAGEDIIQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPMEVPIDLPPPGTSGGDSGGQWQARYVACGGRHTLAIATWTEADE >OGLUM08G16270.3 pep chromosome:ALNU02000000:8:19102585:19105099:-1 gene:OGLUM08G16270 transcript:OGLUM08G16270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMVAAAAPPASLCRPIAVPRAPMRRRRLTPRVKTTPSLRCEDSPKIAQLQVQAMRSSEESSSDEDDEILSELKEKWDAIENKSSVLFYGGGAIIAVWLSSIVVKAVDSVPVLPNILELVGLGYSGWFVYRYLLFKENREELANGFDALKKRITGNEE >OGLUM08G16280.1 pep chromosome:ALNU02000000:8:19112836:19116268:1 gene:OGLUM08G16280 transcript:OGLUM08G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPETVYTGPQRLECGPRKGWPHWLAATKPGRGEHRVPPPTAAAAMAAAAAATARSSVAPHASPTLRRSGSSGSGEAMSRGSLHFLRVLLKILPSLSTLTGIADSVYLLRLQKQGRAAAGCIPSTHFSVAMLAC >OGLUM08G16280.2 pep chromosome:ALNU02000000:8:19112836:19115964:1 gene:OGLUM08G16280 transcript:OGLUM08G16280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQPETVYTGPQRLECGPRKGWPHWLAATKPGRGEHRVPPPTAAAAMAAAAAATARSSVAPHASPTLRRSGSSGDRQWIAALPESSFKDITVSLNAYRNCRFGLFASTAKAVRHIQFRLVTLMKEQEEEKEVSVADRDSSNPGRPLHAHFTQESTLQTAPCAAAASSRPAASLVRFFHLVQFHRVQFTELSTATSVQALFRRLIGPLTDVLAC >OGLUM08G16280.3 pep chromosome:ALNU02000000:8:19112836:19116268:1 gene:OGLUM08G16280 transcript:OGLUM08G16280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLPYVSIRDDLSVTVRHIQFRLVTLMKEQEEEKEVSVADRDSSNPGRPLHAHFTQESTLQTAPCAAAASSRPAASLVRFFHLVQFHRVQFTELSTATSVQALFRRLIGPLTDVLAC >OGLUM08G16290.1 pep chromosome:ALNU02000000:8:19131312:19136613:1 gene:OGLUM08G16290 transcript:OGLUM08G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAASAAARRRWVQWEEVVVSNDRGRRLVHYYLRGAAAGGGGGGEVRELAVVGRERSPRHMSYVVQGRFLRSLAAAGVGVGAVVAVPSPSRSPLPASAEGGAPRKWRSRREVVDWLSSLVSGCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHTSSVRNNSKEFTWLGPAWLCEKRWKHYRSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPYHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGNPSSKLESDKRCDDKSLGTKISHDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLVMSR >OGLUM08G16290.2 pep chromosome:ALNU02000000:8:19131312:19136245:1 gene:OGLUM08G16290 transcript:OGLUM08G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDELAAASAAARRRWVQWEEVVVSNDRGRRLVHYYLRGAAAGGGGGGEVRELAVVGRERSPRHMSYVVQGRFLRSLAAAGVGVGAVVAVPSPSRSPLPASAEGGAPRKWRSRREVVDWLSSLVSGCNYGSSSMSNRFNENPYDDIEFTDVAASKDVSHTSSVRNNSKEFTWLGPAWLCEKRWKHYRSFCRKGITISVHNFVYILSEEKKRLIAQVEDLYEDTNSTNVVMVRWFDKVDEVGVELPPDVGDREIFFSPGLQDLSVECIDGLAAVLSAQHFEKFQSSPKHSYWQPYICRRQIDEDGVKPFDVTQLQGYWSQEVRFKVTKGASSSDGAQKRKRDAFSETDPQQCVPSAAFGSDSLKNDLEHKTQKQLYPGSRAEVLSQDSGIRGCWFRCFVLKRRGDKIKVRYEDLQDADETGNLEEWVLLTRIAKPDQLGIRIPERPMVRPYHVHSKDPCSFDAGSIVDAWWNSGWWEGIVLQQGNDRRLQVYFPGEKQIADFCEDDLRHSREWAGGKWNSLGERKDITHLLPPTSVHEEGGLLSKPVSQEGNPSSKLESDKRCDDKSLGTKISHDQKHQKRVLADLTNALKFDNLKWRPRKRSRRSGSKRQSDTSSGSGSSSQGDMEESSPCGSFAVLNSAPDEEVCKSSGEPLFMRVSNLIAGSFHLGSF >OGLUM08G16300.1 pep chromosome:ALNU02000000:8:19136777:19138004:1 gene:OGLUM08G16300 transcript:OGLUM08G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKFSLQFYCMTVRMSIDCNGCYQRIRRALLQMQDLDSHLIDRKQQRVSVCGAFVPQDVAIKLRKKTNRRVEILEIKEIDAGDGHRL >OGLUM08G16310.1 pep chromosome:ALNU02000000:8:19138399:19142844:1 gene:OGLUM08G16310 transcript:OGLUM08G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 (formin homology 2) family protein [Source:Projected from Arabidopsis thaliana (AT3G05470) TAIR;Acc:AT3G05470] MGMAMRCVLVLFSVSPVLLLFNFEMLEVALHLASREKELDTAAVTPSASLSFLSRFRIMLGMNHHRSRGRRHKRCSEAPAPAPAPVPAHQARSEAPAPLVHVPRKGMPSTHRSHIAPARSPVHKVKDGGHTKIPRSTIVALGVVGLCLVVLGVVIAAFSVRRSRKFKKVCTKAFKPFRHGSRDQRSPAATRKVSSHPSPDPLTLSSIVQYQQNLPNLKQSSESKSLSIQSTIPMGTELIVSDHAVINNSQSDEVESFHSIPCSDFSAESIVELPQQICDRRAIMNPSESFLQTHDSPSDSSYQSLSPDCTSRLSPKDQTFTASSHLSLRSKTCPEKSDGENAEISCHDGLKISSISGSMEHQEVPIEEQTRINFRNPPSQHSFPPFYHTDTSQSKINIAFTMTNSKVESSSKESSRIETSSSMGIPKPAPPPPPQKNPPPNLKGQCYGLPPPPPPLPLQIQVGKDGSPLPRLKPLHWDKVRAAPNRSMVWNDIRSSSFEFEFDEKMIKSLFAYNFQGSMKDEEAMNKTASTTKHVIEHHRLQNTTILLKTLNANTSQVCNSVIQGNGLSVQQLEALVKMKPTKEEEEKLLNYDGDINMLDPAENFVKVLLTIPMAFPRMEVMHYKENFDDEVAHIKMSFAMIKGACTELKSSKLFFRLLEAVLKTGNRMNVGTLRGGASAFKLDALLKLADIRGTDGKTTLLHFVVKEMARSKGLKALEKLNETPSSCHDTPTEREEYSSMGTEFVSELSNELGNVKKVASIDLDTLRNSISNLSCGLAQLRNLIEKDLASDDKNNNFLQCMKSFLNHAENTMQGLKADEAQVLLNVRELTEYYHGEVSKDESNLLQIFIIVKDFLGLLDKVCREMRGTKHNQTLNLVLPLK >OGLUM08G16320.1 pep chromosome:ALNU02000000:8:19142606:19144987:-1 gene:OGLUM08G16320 transcript:OGLUM08G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVN5] MATAPAPVSLSAAASTVPARLRVGRGAAAAPMARRRARMVVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVAKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEDDLQAALAKAQELSKAQPQQAPPPSDAAAPPPPPPPPPPAAAAPAPVAAGTKGIATPQAKKLAKQHRVDLAKVAGTGPFGRITPADVEAAAGIQPKPKVAPAAAAAAPTPLSAPAIGAVPQAAELPPVPGATVVPFTGMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKSKGVTMTVLLAKAAAMALAQHPVVNASCRDGKSFTYNTNINIAVAVAIDGGLITPVLEDADKEMNHMSSLNSLSNGMMQLDIYLLSQKWKELVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGGIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >OGLUM08G16330.1 pep chromosome:ALNU02000000:8:19184084:19186780:1 gene:OGLUM08G16330 transcript:OGLUM08G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIAYGAKGSGAAKGPSFFFPALSPPWPSSPPVLPPVVGIFEPSRRPHPRERPPPHDHLLPVPAIGAAAAVAGAAAASPGVGAGPGRSFWVSPRRRRPWRRPGKRGRGKGERERGGRGKEEDVSGSHNTLFV >OGLUM08G16340.1 pep chromosome:ALNU02000000:8:19193584:19199217:1 gene:OGLUM08G16340 transcript:OGLUM08G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIVSFVSLPAHLLEQKWAGSLLKSFPVGGGDGGGRRRRRVAHSGVDQVSPHPHPARWGRPLDRGAAADQPPVAKLSPPPIRAPRHCSHLENRAVRPRQPRRRCPPPSASRYTLSVAFGDEGLRHPDDVNEILVHWWEGHHRKLDDDLANDFAASYAVAASSLLPLFAKP >OGLUM08G16340.2 pep chromosome:ALNU02000000:8:19193584:19199217:1 gene:OGLUM08G16340 transcript:OGLUM08G16340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIVSFVSLPAHLLEQKWAGSLLKSFPVGGGDGGGRRRRRVAHSGVDQVSPHPHPARWGRPLDRGAAADQPPVAKLSPPPIRAPRHCSHLENRAVRPRQPRRRCPPPSASRYTLSVAFGDEGLRLDDDLANDFAASYAVAASSLLPLFAKP >OGLUM08G16350.1 pep chromosome:ALNU02000000:8:19205223:19213251:1 gene:OGLUM08G16350 transcript:OGLUM08G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLRPPDLGFYWSRRAQRPADHGRGRGDQICNWGQQARGGNCIICKKLWNPLSVIIAIKKPPHEATLSSFHTDGP >OGLUM08G16350.2 pep chromosome:ALNU02000000:8:19205223:19207465:1 gene:OGLUM08G16350 transcript:OGLUM08G16350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLRPPDLGFYWSRRAQRPADHGRGRGDQICNWGQQARGGNCIICKKLWNPLSVIIAIKKPPHEATLSSFHTDGP >OGLUM08G16360.1 pep chromosome:ALNU02000000:8:19209111:19219283:-1 gene:OGLUM08G16360 transcript:OGLUM08G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKARELSILCDAEVGLLVFSSTGRLYDFASSSMKSIIERYNETKEDPHQTMNASSEAKEAASLRQQLHNLQEYHRQLLGQQLSGLDVEDLQNLESKLEMSLKNIRLRKDNVMMDQIQELSRKVYKTKSNGHPTADFSPSEDGKR >OGLUM08G16370.1 pep chromosome:ALNU02000000:8:19242754:19245060:-1 gene:OGLUM08G16370 transcript:OGLUM08G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLCCHCSGMSGTQMTSGGEAVGVPPEPWRWADGGQGWQWKRGRLWVRMWQSVAANPTTQASRDEEESGWLGWGGGGDGVTAGLGRKARSCKGEDPSLAGLASTIDVIF >OGLUM08G16380.1 pep chromosome:ALNU02000000:8:19249562:19250362:1 gene:OGLUM08G16380 transcript:OGLUM08G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSSSSSPSTLDEYDARFFFPGADAYTAGHRQDEETLEAVLRQPVTTTAAVAAAAAAVEGGGGGGGGGAGGSPAAAAAATRRRPFRTDRHSKIRTAQGVRDRRMRLSVGVARDFFALQDKLGFDKASRTVEWLLTQSKHAIDRLTLPDSADAAAAPAFAAAPPPADQHSSAMAAAAASAAKEKGEASSSSTTNASSARARNRGHDGSSPVAPMDERGRRGVELDWTAAAAASTEQPMDGLEYYFQYYNHLEEIMSCDPTTTTDE >OGLUM08G16390.1 pep chromosome:ALNU02000000:8:19263654:19266181:-1 gene:OGLUM08G16390 transcript:OGLUM08G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L12/ ATP-dependent Clp protease adaptor protein ClpS family protein [Source:Projected from Arabidopsis thaliana (AT1G68660) TAIR;Acc:AT1G68660] MEAAVPSRVALSASRLSNHHHHAVGGERYAIYRGRCPNPAIPMALAAAAAPGKGGGVLDRPIEKVTPGRQSEFDVRKSRKMTPPYRVLLHNDNYNRREYVVQVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIICSQSEAEDHCTSLRGNGLRSSIEPASGGC >OGLUM08G16400.1 pep chromosome:ALNU02000000:8:19274510:19277078:-1 gene:OGLUM08G16400 transcript:OGLUM08G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEAVGGGDGTALLPWPGSAAAATTSGAAAPLYMPPVAAAAAPFAAGEQLPVEQPFYFDGGGGVAGHNHHPHHHQYGMEAPPPMTMMQMGGGGSSSSRMVVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAMMEDGAAGGEAAAAPVVLLPTEDDELEVDAAADEGGRLVARASLCCEDRADLIPGIARALAALRLRARRAEIATLGGRVRSVLLIAAVEEEDPDEAGNDDDDEHGYGVAASHRRHELVASIHEALRGVMNRKAASSDTSSSSAGGGGGSIKRQRMISAHDHQGSFNSSGW >OGLUM08G16410.1 pep chromosome:ALNU02000000:8:19312740:19313304:1 gene:OGLUM08G16410 transcript:OGLUM08G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIGQMFPIIPIQCLGERPAIDGVLSDLTSDSDGKVDHFISGRHSLPLHELPVHGTRGYYLGGTYQEALGGLHNLFGGPSVHEHEVMLEVFKQRTDGATAAALAKAFGAMPYLSFYPEAAAMARGESSGMSSDSEVSATGVAEDDDEWEFMRGLIV >OGLUM08G16420.1 pep chromosome:ALNU02000000:8:19315996:19319371:-1 gene:OGLUM08G16420 transcript:OGLUM08G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRYPRKLVLAGCLTSLTVMTALSVSLGWVAPNLISRKWTHHVTTLLFFVFGILSLWEGFKEDGDSEELAEVEAELDANFKSNKAESKSKSKANDDKKKQQRPFVLQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGVLAQALCTTAAVMGGKSLASQISEKMVGLSSGVLFLLFGIMSYLSGPEGEL >OGLUM08G16430.1 pep chromosome:ALNU02000000:8:19327037:19328659:1 gene:OGLUM08G16430 transcript:OGLUM08G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVREDSRPLGGLDGLYGVQLAGRSMYSDDEAVKTSIIDPLAREPQEGVGTSRRLLIRRLWQQRPPCLRPIHCSLSCDKHPGETIANVVTSIPFIVLGLQTPRRCQLTVMCQSCRKNLNTALYANSLIGVGIASSLYHTSRGRIRKYMRWADYTMIATTTLCLSRALRNEHPKLLMAASTLLLPFQPLVVSAVHTGIMEAKMANQVVSFAKRASTEPELRMAHNLHKMSSLLGGALFIADDAFPETPYLHAAWHLAAALGVGTCNKLLE >OGLUM08G16440.1 pep chromosome:ALNU02000000:8:19329881:19342447:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKRRTEKTIDCMKKERDAQPLKWHQMDGLPRLPFLEYRHSP >OGLUM08G16440.2 pep chromosome:ALNU02000000:8:19329881:19342447:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKRRTEKTIDCMKKERDAQPLKWHQMDGLPRLPFLEF >OGLUM08G16440.3 pep chromosome:ALNU02000000:8:19329881:19341237:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKCWKEEKNKQLKLRSKWQVLMIDKNRLNTPIYQDRIFVENMHACT >OGLUM08G16440.4 pep chromosome:ALNU02000000:8:19329881:19338992:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKRRTEKTIDCMKKERDAQPLKWHQMDGLPRLPFLEVCLV >OGLUM08G16440.5 pep chromosome:ALNU02000000:8:19329881:19341237:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKRRTEKTIDCMKKERENMHACT >OGLUM08G16440.6 pep chromosome:ALNU02000000:8:19329881:19341237:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLMPTTMAYPRRTEKTIDCMKKERENMHACT >OGLUM08G16440.7 pep chromosome:ALNU02000000:8:19329881:19336308:1 gene:OGLUM08G16440 transcript:OGLUM08G16440.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinanase/levansucrase/invertase [Source:Projected from Arabidopsis thaliana (AT1G26761) TAIR;Acc:AT1G26761] MLTAAPLLSPPPPPPRCHVAIPLRCHAPTQQVAAAAADRGLLLRGAGSPVVKRAPGGWLLWYQCGARVALAASTDGLRWGPPVEPDPLVASTDWWAFDTAAVRPSDVLLFSGPDASARSGFPSSAVYWLYYSGSTDERFGSPFPAAAAAAAEDVPALPGLAISQDGRHWARIEGDHHTGALLGVGEEEEGGDPRRGWEARCVAAPKVVLHAEGDLRMYYHSFDEMSQRHAIGLARSKDGVRWRKAGKVLEGGKAGSFDEGGVRHGHVVRDRAAGRYVMVYEGVDANGRVSIGMAVSEDGLKGWRRSSELPILRPSDDDEGWDSTVVGSPCLVQMDGAYDWRMYYTGVGRDGEAAIGMAYSEGHGLQKFEKWDAVLIVPLMALWVTGSIPILANLFFSRRITTTVKVT >OGLUM08G16450.1 pep chromosome:ALNU02000000:8:19335173:19336699:-1 gene:OGLUM08G16450 transcript:OGLUM08G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPSKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKAINGTLNNTAGDKSAKVTASLSHMAQWESARLEAEARLARESKMRIAASTPSKLHAQSTNPPASTPSPCFDVLNAWQSAKIDLESPTSTLTFAGSNASMLPFSTTTALELSESNSNVWQQRSDELEGEESEWKFVSKQQLQGMHGKETEEHFIGCEESWFPGTANIGAGFTGMLLDGSNMHDTSECWDESSNGQDEQRSQVSEDAENKNYWNGIFSMVNSEQPPLQPPLL >OGLUM08G16460.1 pep chromosome:ALNU02000000:8:19341353:19343318:-1 gene:OGLUM08G16460 transcript:OGLUM08G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQRLPAGFRFFPTDEELVTYYLARKAMDATFTSAAIRDVDLYTSDPWHLPCDSSAASTGGGGGSECYFFCRRSSKYPSGARVRRATAGGYWKSTGKDKGVYAAGGGGGLVGTKKTLVFYEGRAPRGEKTSWVMHEYSRAPSTNFIRGAQARLNDAAGFDRHLFVSEWVICRVFKKQPPIEHWLEMEQEVETTTTTTVQEHTPNRRRLPPAEAAAAAPPPSGQPWQHTSRRSGDGRAAIDGGNREEEDEHGLAREESSSPVVISSPSRCTSSPSSRLLNHEHLGASSSDDLPELMEFGDIYGGIAAGGPTDQQASSSNSNSICNFLDEPYYC >OGLUM08G16470.1 pep chromosome:ALNU02000000:8:19350020:19351354:-1 gene:OGLUM08G16470 transcript:OGLUM08G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKTTTRRRRRGVLDLEAQFAFFRSQHRHPVNAAAHALLAWPILFTGLLVLHFLPSPPALPLDPALALALAYAAAYVAADRRVGALAGLLLAAGWAASRALAARLGFALAWKAALATQLFCWTWQGPAVGDLPEVFLMEPFLILLQILNKQFGYEPYPGFSKNVDKKMEAILRENREELKQRKAT >OGLUM08G16480.1 pep chromosome:ALNU02000000:8:19354420:19356225:1 gene:OGLUM08G16480 transcript:OGLUM08G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G47530) TAIR;Acc:AT3G47530] MASHLTRALLSSPPSYAAAAATASAAAALLSSTSPLPAARFLQLHAHLLRTGLLLLPLAPTAASAFLSLAAASLPSHRALPVLLHHLALAPEILPSTFRLNAILRSLRGPDALRFLRRARALGRRGNAFSLSIVLGHCRALAHARQLHANVVAEGHSPDALLATSLVSSYAACGDGDSARKVFDEMPVRDTVAWNVLITCYTRNRRTKDALKLFDAMRGGENGAEPDDVTCILLLQACTSLSALDFGEKVWEYAVDHGYGGELKVRNSLITMYTKCGCVDKAYQVFCETPKKSVVTWSAMISGLASNGFGKDAISAFEEMGRSGVAPDEQTFTGVLSACSHSGLVDEGFKFFDIMCYEYQLKPNVHHYGCMVDLMGRAGLLDQAYELVVKDMRVAPDATIWRTLLGACRIHGHIDLGERVINHLIELKAQQAGDYVLLLNTYAAVEDWGKVAEVRKLMKEKGIQTTPGCTTVELNGEIHEFIAADASHPRKAEIYEKLDEINKHLRIAGYVPNVSSELHDLDSEGKECALAYHSEKLAIAFALLVTPQHRPIRLAKNLRVCVDCHNFTKVFSGVYHRLVIVRDRTRFHHFKEFQCSCNDYW >OGLUM08G16490.1 pep chromosome:ALNU02000000:8:19377906:19381158:1 gene:OGLUM08G16490 transcript:OGLUM08G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease 1 [Source:Projected from Arabidopsis thaliana (AT2G02990) TAIR;Acc:AT2G02990] MAAAARATARVGLALLLAVVGVGAQDYDFFFLVLQWPGSYCDTKQSCCYPRSGKPAADFGIHGLWPNRDDGSYPQNCDPDSEFDPSKVSDLLGSMRSEWPTLACPSNDGIRFWAHEWEKHGTCAAAALGDEHGYFEAALRLRSRLPVLAALRDGGVSPDGGYYTLSQIKGAIQRGVGAEPFVECNRDESGNSQLYQLYFCVDAAGERFVDCPVSPGGRPCGNRIEFPAF >OGLUM08G16500.1 pep chromosome:ALNU02000000:8:19382707:19385371:-1 gene:OGLUM08G16500 transcript:OGLUM08G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQSIHRIHAVHGRRRQKPVVSEALTPPPPPPPSPSPATTTIKSEPPTPLPAALFTTQKKRGQRQPTHPLPSPSSAHESTTNPAIELKMASAVTISSVGAQAGLISKPRNHGFTSYSGLKAASSVSFESGSSFLGRNASLRASVAPRIVPKAKSGSQISPEASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPSQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPEAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTDEETEQLTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFVQSELTELPFFASRVKLGKNGVESIISADLEGVTEYEAKALEALKSELKASIEKGIEFVHKQQTAAASV >OGLUM08G16510.1 pep chromosome:ALNU02000000:8:19402719:19411461:1 gene:OGLUM08G16510 transcript:OGLUM08G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGVAEEVARLWGELPVRVDWAAVAAQWAAAAAAARAAVVVPAVRALVAVSLAMTVMILAEKLFVAAVCLAVRAFRLRPDRRYKWLPIGAAAAAASSEDDEESGLVAAAAAFPMVLVQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKVFIVIYFTDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTKFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >OGLUM08G16510.2 pep chromosome:ALNU02000000:8:19402719:19411461:1 gene:OGLUM08G16510 transcript:OGLUM08G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGGVAEEVARLWGELPVRVDWAAVAAQWAAAAAAARAAVVVPAVRALVAVSLAMTVMILAEKLFVAAVCLAVRAFRLRPDRRYKWLPIGAAAAAASSEDDEESGLVAAAAAFPMVLVQIPMFNEREVYKLSIGAACSLDWPSDRVVIQVLDDSTDLVVKDLVEKECQKWQGKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKECEYIAMFDADFQPESDFLLRTVPFLVHNSEIALVQTRWKFVNANECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRIAAIDDAGGWKDRTTVEDMDLAVRATLQGWKFVYVGDVKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILENKKVSFWNKIHLWYDFFFVGKIAAHTVTFIYYCFVIPVSVWLPEIEIPLWGVVYVPTVITLCKAVGTPSSFHLVILWVLFENVMSLHRIKAAVTGILEAGRVNEWVVTEKLGDANKTKPDTNGSDAVKVIDVELTTPLIPKLKKRRTKFWDKYHYSEIFVGICIILSGFYDVLYAKKGYYIFLFIQGLAFLIVGFDYIGVCPP >OGLUM08G16520.1 pep chromosome:ALNU02000000:8:19412217:19414689:1 gene:OGLUM08G16520 transcript:OGLUM08G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHHLRKCWGYSLCLEGMNRRRVASVLKPLISSGVDEGADKDIHNLQKHKILFCSCKSMMKVT >OGLUM08G16530.1 pep chromosome:ALNU02000000:8:19415244:19416481:-1 gene:OGLUM08G16530 transcript:OGLUM08G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQQQHAGEAPASAAAAARARLRWTGQLHERFVLAVAELGGADSKPHPRAFLLLLPPLTSLIMGMADRSALFLGAIAGATPKSVLRAMAVPGLTLYHLKSHLQVRACVRHAAGELSPAADQLLANSVCIGSWFLTVLSSLPLLQKYRQAVSRGGNGGGGGSGSLNDRSSSSERQPADHDGDSAADEPRTIAYDGDSDGDTKEALRDSSRSMVQMQREVQRKLQEQIEVQRHLQLRMEAQGRYLQSVLRRAQQVLADHSLASSPEAATAELSELASAVDIECMSSSSPPRHHRQSAATDSCVTTTSSSEAESKAAGSKRLHTSDCTVEQPVQGKRAFNFLQRHNQADQEEEQEEEYAGAEDGSSSEIDLNR >OGLUM08G16540.1 pep chromosome:ALNU02000000:8:19418365:19427844:1 gene:OGLUM08G16540 transcript:OGLUM08G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQASQRVAAEGGIFMPVQAKTEYQERKFSDMYRDRSLCKDLNQGQRIVIKRRRRGLIEQFTQISSARFALKAPVLFAIMSSSKMGIPLPTYGRGSESEAGWPAGTASGDHDGDHQDGFRKKKTIRGSTYGITVCATS >OGLUM08G16540.2 pep chromosome:ALNU02000000:8:19418365:19421913:1 gene:OGLUM08G16540 transcript:OGLUM08G16540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSENQASQRVAAEGGIFMPVQAKTEYQERKFSDMYRDRSLCKDLNQGQRIVIKRRRRGLIEQFTQISSARFALKAPVLFATSLD >OGLUM08G16550.1 pep chromosome:ALNU02000000:8:19507722:19510184:1 gene:OGLUM08G16550 transcript:OGLUM08G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTSAAWMLHVGGAAAEQASSSSSSKGGGRVVTAGTTTMDTGGYNNGGGGGGGGGNGGGGGDHQESSSSGGGGGQSSRLAARGHWRPAEDAKLRELVALYGPQNWNLIADKLDGRSGKSCRLRWFNQLDPRISKRPFSDEEEERLMAAHRFYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSTAYRRRKLNQAVQRKLDATTASDVVVAHHHPYAAAHDPYAFTFRHYCFPFPAASPAAADEPPFTCLFPGTASTAGRGGGGGMTWPDAMAAGEVIDDGAGGGRYVVAEPPPPFLVPAAPHGWLGGHEMMVMVNDGGDVAAGVASSYDGMIGRDQGGGGSHFEAAAAAAAAAPAFIDFLGVGAT >OGLUM08G16560.1 pep chromosome:ALNU02000000:8:19517966:19521945:-1 gene:OGLUM08G16560 transcript:OGLUM08G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase family [Source:Projected from Arabidopsis thaliana (AT3G29010) TAIR;Acc:AT3G29010] MAAGVAGARGAGRPLMRLVTMSGVPILRQLHLEERLLRRTGDNWCIINDGTAPATIVMGVSGRVSELVEIEPVLRDKVPVIRRFSGGGTVIVDQGTVFATFICSKTAIPGLQPFPRDIMSWTGQLYDKVFDGFGEFHLRENDYAFSQRKFGGNAQSITRDRWVHHTSFLWDYDMKNMDYLKIPKRAPDYRLARNHTDFLCRMKEYMPSRSVFTEGIISALGDHFTVEPTEPEAVLSDDTGFKPSTKLLSPQDLEDVIASKESLKSSQAEALLVTTTSQCPVTQSGRQPESS >OGLUM08G16570.1 pep chromosome:ALNU02000000:8:19524138:19525082:-1 gene:OGLUM08G16570 transcript:OGLUM08G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light-harvesting chlorophyll-protein complex I subunit A4 [Source:Projected from Arabidopsis thaliana (AT3G47470) TAIR;Acc:AT3G47470] MASVTARAPVAALRPSASLKSTFLGQSSTRLARAPTTRRNVRAEAKGEWLPGLPSPTYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVLTKIGLIDAPQWYDAGKATYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKSYSLPPHECGYPGSVFNPLNFEPTLEAKEKELANGRLAMLAFLGFLVQHNVTQKGPFDNLLQHLSDPWHNTIIQTLSG >OGLUM08G16580.1 pep chromosome:ALNU02000000:8:19526167:19531412:-1 gene:OGLUM08G16580 transcript:OGLUM08G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQVMQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDITTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >OGLUM08G16580.2 pep chromosome:ALNU02000000:8:19526167:19531412:-1 gene:OGLUM08G16580 transcript:OGLUM08G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:polypyrimidine tract-binding protein 1 [Source:Projected from Arabidopsis thaliana (AT3G01150) TAIR;Acc:AT3G01150] MPSGSATQFRYTQTPSKVLHLRNMPWECTEEELVELCKPFGRVVNTMCNVGANRNQAFVEFADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDISIDVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPRYLLPEHVPTCHLRITFSAHKDLNIKFQSHRSRDYTNPYLPVNPTAIEGIAQPTLGPDGKIKEPESNVLLASIENMQYAVTVDVLHTTSQRQQLLNKLWKGTAYMMEVTAHDERSRDYTVSSDPSAQMQAAAQAPGPSTPGVAWQNTAPSASFYGSTAAATPVGQVPAWNPNMQAGAFGSASSAYPTQPMMPGSVPHYPGIGSSSGALPVSFQASHQMPQYGVPPAAPHHAPPAGQPMYFPK >OGLUM08G16590.1 pep chromosome:ALNU02000000:8:19532091:19542488:1 gene:OGLUM08G16590 transcript:OGLUM08G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSGGHLRHRVERSRGGSEQSQQRRSSLSIRGLFPLPPAVAAVACGAEDYKQPCLMRIRSQI >OGLUM08G16600.1 pep chromosome:ALNU02000000:8:19542756:19546796:1 gene:OGLUM08G16600 transcript:OGLUM08G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATVISGMLHNESVEFLSFVYSGKVRSHRAEEGMYAWIAANYALGILGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSTPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRVGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVENQIGGVPVDWALGAFIVQKASNQTDMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRVCKFS >OGLUM08G16600.2 pep chromosome:ALNU02000000:8:19542756:19546796:1 gene:OGLUM08G16600 transcript:OGLUM08G16600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNARAHHPRGESQEAAATTLAPPPPPPRPTISSAMGSRRARGRRLLAALLAAAFAVALLLLVPRSPRRRPHEYGVVIDAGSTGSRVHVIAYRSSSSSPASALPRIDWARTASMKAAPGLSSFASDPGGAGRSLAPLLEFARRRVPPESWAETDVRLMATAGLRLLDAAVAEAVLDSCRVLLRGSGFQFQDDWATEGMYAWIAANYALGILGDDSQDTTGIIELGGASVQVTFVTDKPLPPEFSHTLKFGDATYNLYSHSFLQLGQNVAYESLHDMLSTPGHKSMATHLISQAKYRDPCTPRGFSPMEGAVKLPASVLESKVEYRPYAHAVGNFSECRSAALTLLQKGREECRYHECRVGAAFVPDLDGKFLATENFYHTSKFFRLRSKSFLSDLMLAGEKFCRGDWSKIKKEYRSFNEGELLLFCFSSAYIVALLHDTLKVPLDDKRIDVENQIGGVPVDWALGAFIVQKASNQTDMLHPEGEKISPEDGIRHGERPVHHNQGETMSLARRRWDLVEFLRWGASDRVCKFS >OGLUM08G16610.1 pep chromosome:ALNU02000000:8:19549663:19552226:1 gene:OGLUM08G16610 transcript:OGLUM08G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMLNVSNLPESDEATEADMNLPFGGVSLPPLSTTHTLLSVRESMGAEEEPLIQTVECRICQEEDNISNLESPCACTGSLKYAHRACVQRWCDEKGDLTCEICHEPYKHGGMIIYCMFFLMRLSSSSLVYSGGWTITGTSFDLRDPRIIAMAQNHIMEADYDDYSVTNASSAAFCRSAALILFLLRVAGFLLPFYIMAWAINILQGRRRRQVAAALAATEVAFILQSGQRRGMNFTIAPDSPATPQHEPIP >OGLUM08G16620.1 pep chromosome:ALNU02000000:8:19557645:19558328:1 gene:OGLUM08G16620 transcript:OGLUM08G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQAAAAGGGGGGGAPMCANGCGFFGSEATKNLCSKCYRDQLKAAPSSPPSSSPAAAPDLVANEEEKASTAAAAADEQLALCSSGCGFFGSKETNNMCSKCYRDHLKATSPLFSSSSSPATASTTDITVPIAPATTAPTPSLKGKEEEATAAASSSAAAAAKPNRCVACRKKVGLLGFECRCGGTFCSTHRHADKHACTFDFKKSDREKIAKENPLIVAPKITKF >OGLUM08G16630.1 pep chromosome:ALNU02000000:8:19559807:19564326:1 gene:OGLUM08G16630 transcript:OGLUM08G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease family protein [Source:Projected from Arabidopsis thaliana (AT1G14270) TAIR;Acc:AT1G14270] MASPSTPPSLLFRLRPTPRGGGGMWPLRVVSKRRRLRQLLSPRTGRRRVGAAAVEQLVLRLPETRRRRGDGGFACSCSFDDSNESPQLPPDNKEILDDWSVLRRWDVPWEWPTISLTMVACAVSFLLTGMVEQAVLEYIGFEAGEATIDEKAEVLFLGQFSVTAAVLGVIFGITNTYRPFSNDIFRYDLKEPFKLENGWLLWAGIGFFAAIISIALAGAAMSFLGGETPERETDSLVLLLPLIGSSNISTACLLGITGVLAPILEETVFRGFLMVSLTMWFSTPISVLITASVFAFAHLTPGEFPQLFVLGIVLGFSYAQTRNLLTPIIIHAMWNSGVILLLTVLQLQGYNIKELLLAS >OGLUM08G16640.1 pep chromosome:ALNU02000000:8:19560178:19560558:-1 gene:OGLUM08G16640 transcript:OGLUM08G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQLELDADGSGGVPSCANCCGGVVATTTARSKNLCARCYRDHLNAVDGAAEAARTRALLASLACDLNVGTFGDAHGGASGFGFKNADRDSARGGHHQVVEVGDDGEGAAVTRLRRRRMVRTCE >OGLUM08G16650.1 pep chromosome:ALNU02000000:8:19570300:19572344:1 gene:OGLUM08G16650 transcript:OGLUM08G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26870) TAIR;Acc:AT1G26870] MEERNDVNMDKSDEILLPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKFDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSTEGTKCIGLKKSLVFYKGRAARGIKTDWMMHEFRLPTLTDPSLPKKPIDKNIPLNDSWTICRIFKKTSSMAQRALCQTWGAQLPGTIDPDIFSTLQSVQASQFALESSSCSLQAAATAAHQITSKYALQGNNNNQQQQQQHKPSNPLDGSSCKVINFNCSQSAEVQNSQIILPFEAHTSQKTATPLLFDTQFGQPDQISRFVVDSSVNANGGGISNKSQDPSARKPGSGFSMNSDWDGVARINFPFDLGADSSEDWRSSIPWESFLSPTTVHAEMPN >OGLUM08G16660.1 pep chromosome:ALNU02000000:8:19573612:19575030:-1 gene:OGLUM08G16660 transcript:OGLUM08G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTAK >OGLUM08G16680.1 pep chromosome:ALNU02000000:8:19614719:19624634:1 gene:OGLUM08G16680 transcript:OGLUM08G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFVDWWAFGYGLGGLVALSGEQREQEYVARATRGHSDALQRDRQITECDESGRSGSINAMMKRMVILRRCEPPPLPPPQPAAAVVAAMGGCCGRVRYGECRRNHAARMGGHAVDGCREFLAEGEEGTGGALRCAACGCHRSFHRRVVVVQQCCACDTAAAAAAAGGWEWRDCSPESSSSASSTTAS >OGLUM08G16690.1 pep chromosome:ALNU02000000:8:19644863:19645769:1 gene:OGLUM08G16690 transcript:OGLUM08G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTCRSLFLSLSVHLLPPVPPGCGAATRRGSCTEAEAENDPFDVIHSESVAMFHCWARDVPNLVVSWHGISLEALHSRIYQDLTRGDDERMSPASNHSLAQSVYRVLSEVHFFRSYVHHVAISDTTGEMLRDVYQIPNRRVHVILNGVDEAHFEPEAALGRAFREDLRLPKGANLVLGVSGRLVKGADLPPSTVGRSPPPLLPFLFPFTIGFASPRRVHFSPFPFPSTSESGHQRHHHSRPELSSLCCRSGHLLLPLCLTPPSPDARRPGTLSATNVADPWPPEH >OGLUM08G16700.1 pep chromosome:ALNU02000000:8:19645531:19645725:-1 gene:OGLUM08G16700 transcript:OGLUM08G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGDAHPGRVVSSKGGEGDGRNGNTKSSAPAGYGGGAGGLTQRWRERGKGKNELSSVRQSLW >OGLUM08G16710.1 pep chromosome:ALNU02000000:8:19654196:19657961:1 gene:OGLUM08G16710 transcript:OGLUM08G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSRLIRDLAVGFFHQTHEDDSSSSVAPPRFVPLPSASSRFGGGELDNPGLFKNSRLIASRKGSLVVELRHTSRERIGCVPTSRYNRVHPHDPNNVLTPPIAKLVVCNPMTGDMSILLPVLSGKDRPGLYACALLTTDDLQDSADPLPPVIEKKLIAIKSHATTKLNS >OGLUM08G16720.1 pep chromosome:ALNU02000000:8:19657991:19662419:1 gene:OGLUM08G16720 transcript:OGLUM08G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G74680) TAIR;Acc:AT1G74680] MALTRRLLIDLSSRRRLFNAGKFSTTHKKKPVLHEAVSLAGFLRCSRALVSWMVAERKMQPSPAAPPAAEHRRRALLRYVVFLAVSLLAFSCWALVSSRIDGAVLAATAGGEHDDHDGIIVRSSTQAEMPASGGNATSRGAVEVGVGTPAAMITRQPSSGETTTTAASAATCDAESALLRVYLYDLPPEFHFGMLGWDGKAAGAAWPDVAGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTTSGDHRRRRPCTAVRVTNASLADVFLVPFFASLSYNRQSKSPHGGHGSGGRSDRQLQGELVRYLARREEWRRWGGADHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDGDSPGAQPLQGGRVRQRLYQLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSDFCVFVRASDAVKRGFLLHLLRGISQEEWTAMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHLVKLQLHKRGRYQRTLSES >OGLUM08G16720.2 pep chromosome:ALNU02000000:8:19657991:19661170:1 gene:OGLUM08G16720 transcript:OGLUM08G16720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT1G74680) TAIR;Acc:AT1G74680] MALTRRLLIDLSSRRRLFNAGKFSTTHKKKPVLHEAVSLAGFLRCSRALVSWMVAERKMQPSPAAPPAAEHRRRALLRYVVFLAVSLLAFSCWALVSSRIDGAVLAATAGGEHDDHDGIIVRSSTQAEMPASGGNATSRGAVEVGVGTPAAMITRQPSSGETTTTAASAATCDAESALLRVYLYDLPPEFHFGMLGWDGKAAGAAWPDVAGDPRAVPRYPGGLNLQHSVEYWLTLDILSSTTSGDHRRRRPCTAVRVTNASLADVFLVPFFASLSYNRQSKSPHGGHGSGGRSDRQLQGELVRYLARREEWRRWGGADHLVVPHHPNSMMDARRRLSAAMFVLSDFGRYPPDVANLRKDVIAPYKHVVPSLGDGDSPGVRQRLYQLIKDEKDVHFTYGSVRQNGIRRATKGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPFEDVLDYSDFCVFVRASDAVKRGFLLHLLRGISQEEWTAMWRRLKEVAHHFEYQYPSQPGDAVQMIWGAVARKMHLVKLQLHKRGRYQRTLSES >OGLUM08G16730.1 pep chromosome:ALNU02000000:8:19661853:19663757:-1 gene:OGLUM08G16730 transcript:OGLUM08G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEQKVYGLKGISLAFGREEDEVPEKKPRVLAQSNAANLNNKGGYSASPSSADPNRMSERRVRRGSDPIHNRC >OGLUM08G16730.2 pep chromosome:ALNU02000000:8:19662377:19663757:-1 gene:OGLUM08G16730 transcript:OGLUM08G16730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGQALGVGVAAECVSVGNGDRGGGRRMELLAVAVEAEECADDEEKEVVAAERNALAGSTPAAEANRRARAASLCGGNGGGGRRRRRLIAVVPLEIEDRD >OGLUM08G16740.1 pep chromosome:ALNU02000000:8:19665316:19665498:-1 gene:OGLUM08G16740 transcript:OGLUM08G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAAGDDGAAGGGHGRFMDRFVSALQHYSWARRRSRGALPCRSPGLAPAAAPANFNPNQ >OGLUM08G16750.1 pep chromosome:ALNU02000000:8:19667584:19672379:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MAVATCRIRLAQSPASFDKRMGLCDSVHCRCGTVAVELAHQLADWVAPVQQRHLTGQIAGGELLPLRILLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.2 pep chromosome:ALNU02000000:8:19667584:19672866:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MCCSPASLCPPGPTTTPPLRRPPRARCVEGRGAASEYHSAATTRSLCSTPFPHRSPVLRPRRRIPASPSSARVAAALVHTASVAAFNKGSNFIRLFSFKCQRVHLQENKKACSTKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.3 pep chromosome:ALNU02000000:8:19667584:19672379:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MAVATCRIRLAQSPASFDKRMGLCDSVHCRCGTVAVELAHQLADWVAPVQQRHLTGQIAGGELLPLRILLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.4 pep chromosome:ALNU02000000:8:19667584:19672866:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MCCSPASLCPPGPTTTPPLRRPPRARCVEGRGAASEYHSAATTRSLCSTPFPHRSPVLRPRRRIPASPSSARVAAALVHTASVAAFNKGSNFIRLFSFKCQRVHLQENKKACSTKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.5 pep chromosome:ALNU02000000:8:19667584:19672379:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MAVATCRIRLAQSPASFDKRMGLCDSVHCRCGTVAVELAHQLADWVAPVQQRHLTGQIAGGELLPLRILLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.6 pep chromosome:ALNU02000000:8:19667584:19672379:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MAVATCRIRLAQSPASFDKRMGLLLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.7 pep chromosome:ALNU02000000:8:19667584:19672866:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MCCSPASLCPPGPTTTPPLRRPPRARCVEGRGAASEYHSAATTRSLCSTPFPHRSPVLRPRRRIPASPSSARVAAALVHTASVAAFNKGSNFIRLFSFKCQRVHLQENKKACSTKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQIQLEARESRAYDLVILRSLQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16750.8 pep chromosome:ALNU02000000:8:19667584:19672379:-1 gene:OGLUM08G16750 transcript:OGLUM08G16750.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVU1] MAVATCRIRLAQSPASFDKRMGLCDSVHCRCGTVAVELAHQLADWVAPVQQRHLTGQIAGGELLPLRILLDDNHFELVHILIKMAVSLKSSGSFCSTPPQWLHSTRDRILYGYSHSNAKECTCKKTKRPAPLCVKATSTKVELDFNDPSWKQKFQEDWDKRFNLPRITDIYDLKPRPTTFSLKKNRSPAGDENGTPMDKWNGYVNSDDRALLKVIKYSSPNSAGAECIDPDCSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQLSRHLVENINLAGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGEYGVLRHLEHLLKTKGFCVVCVAEAAGQELLQKSGATDASGNVILSDIGVHMQQKIKMHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVITAPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM08G16760.1 pep chromosome:ALNU02000000:8:19680845:19696513:1 gene:OGLUM08G16760 transcript:OGLUM08G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLQQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >OGLUM08G16760.2 pep chromosome:ALNU02000000:8:19680845:19697438:1 gene:OGLUM08G16760 transcript:OGLUM08G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLQQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >OGLUM08G16760.3 pep chromosome:ALNU02000000:8:19680845:19696542:1 gene:OGLUM08G16760 transcript:OGLUM08G16760.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLQQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKGHNRKHKRHFNSSSTASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >OGLUM08G16760.4 pep chromosome:ALNU02000000:8:19680845:19696542:1 gene:OGLUM08G16760 transcript:OGLUM08G16760.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1336) [Source:Projected from Arabidopsis thaliana (AT5G35180) TAIR;Acc:AT5G35180] MATITLKPPATAAAAGGEVSEVELSEAGSPDVGSQSSGSGGSGRSTAGSSGWVYHLGVNSIGHEYRHLRFLVIRGKTVAMYKRDPSKNPGIQPIRKGVVSHTLMVEELGRRITSHGELYVLRFYNRLDQTKKGEIACGDPGEARKWVEAFEQAKQQADYDLMTRGVSWNRSQNENELNLDGHRPRVRRYAQGLGKLVRIGKGPEKLLQQSSNLQSHEIINTNFGGDSGDAFEAHEWRYVRTFNGIRIFEDIANTKGGKGVLLKSVGVVGANPDTVFAVVLSSDKHKRYEWDMLTADLELVETIDGYYDVIPACHKNKPPRHGYEPSTWELRRLNPPGSSTPKCLVTHMLEMSPSFWDRWKRRHNENFDRSIAFALLSQVAGLREYFAANPALTSDLPSTVVKPKQSDSLIIQSELEDSELNDEFYDALARGESFEDEDSDDDDDMIPKAGKVKFKNISWAIAGLAMKPTKASVEKSELVTNSTPVTIDSNHFHGTLRRAKSENDSNSWSEPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKADERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGTDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTGLVVDLAILIEAKEEKELPEYILGTVRLNRANPDSAVPI >OGLUM08G16770.1 pep chromosome:ALNU02000000:8:19700808:19706433:1 gene:OGLUM08G16770 transcript:OGLUM08G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MRRSSKKSSSSAAAATAGDVQVNEKQNRKRKGVSTNLTSRKAQCGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >OGLUM08G16770.2 pep chromosome:ALNU02000000:8:19700808:19706433:1 gene:OGLUM08G16770 transcript:OGLUM08G16770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Domain of unknown function (DUF298) [Source:Projected from Arabidopsis thaliana (AT1G15860) TAIR;Acc:AT1G15860] MRRSSKKSSSSAAAATAGTTRGVSKEIERIDQLFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRSGLKALRADTINKLKKAFPELVQEVTRPSNFQDFYPYAFRYCLTEDKKKCIEIPVACELLNLVLGLQFRPQVDKLVNYLKINFPSLDNYDSDLAWPLILDNFVEWLRENKS >OGLUM08G16780.1 pep chromosome:ALNU02000000:8:19708548:19709177:-1 gene:OGLUM08G16780 transcript:OGLUM08G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGYTASASSRRMGKPALPLIQCPQCKLKTIVWRKAKMSENYGRIFYTCPSHQRDGTGCDFWYWEEYYEQYLIKGGYLQACSGSRGKRQVIDLHGEGEGEGEGEGVXATPGRRASAVGSRGISVTRRSFSAGYQAQRVQSIITGYLVLSPFTGCFVMCFSLSFRSGEFWNWKLNHLSSTSMYC >OGLUM08G16790.1 pep chromosome:ALNU02000000:8:19710661:19710927:-1 gene:OGLUM08G16790 transcript:OGLUM08G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAARRVDSRGRGCRRRAWRGAWRQRTLPPATSLVWGMVAKDAAADDDLRREAWTTEDAAAGDDLRHGAWRVGLPVMTPQCSAPDT >OGLUM08G16800.1 pep chromosome:ALNU02000000:8:19726735:19727995:1 gene:OGLUM08G16800 transcript:OGLUM08G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIAATATLSRPVVK >OGLUM08G16810.1 pep chromosome:ALNU02000000:8:19730075:19732046:1 gene:OGLUM08G16810 transcript:OGLUM08G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLLRLRAHAAGLSQRLAPRLLPSRPYISDMRRSAFSDRLLRSLRSEISSRRAPSPPPSAAPFAVDDRPGEQWIRLRRAFGGAGDDEEEEVRVDATMVDGATAPTRSGEVAGAGPDAAAGPQLRMHISVNVEVTKAARPDLALTFECSAWPDEMEVERVYPVRRGGPAAAQQYMGRQFSELDDEMQSTVHDYLEHRGVNDELAAFLHSYMENKEQTELVRWFKNVECFIKK >OGLUM08G16820.1 pep chromosome:ALNU02000000:8:19732804:19735708:-1 gene:OGLUM08G16820 transcript:OGLUM08G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AVV9] MEASSWDALRKQARRLEAQLDDQMSAYRKLISMKSDGSENDIESDIERSLKQLQQVNCQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRAKQQHASLLDLRDFDRAKFDVEEGADSDQALLKEQAAISRSTGQMDTVISQAQATLGTLMLQRSTFGGITTKISNVSSRLPTINQILSSIKRKKSMDTIILSLVASVCAFLIFIYWMSK >OGLUM08G16830.1 pep chromosome:ALNU02000000:8:19740335:19741360:1 gene:OGLUM08G16830 transcript:OGLUM08G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAIAACFRCAPSAAAAAAAASPPPTTTRRFPSPTSAYNAASSPNPRSLDAPMAAAAAACVESPDEGVEIREVWAGNLEAEIAAIRDEVDRYPYVAMDTEFPGIVCRPVGNFRTTDEFNYANLEANVNMLKLIQLGLTLSDEGGDLPRRGTGGRRCIWQFNFRGFDPRTDPSNADSIQMLRTCGIDFDRFATEGADPIRFAELLMSSGVVLNADVQWITFHSGYDFGYLLRLLTGRNLPDNMPAFFDLIRIYFPVLYDIKHLMRFCSNLHGGLSRLGELLDVKRVGTCHQAGSDSLLTLGCYNKIKEVYFKGSTEKHAGVLYGLVIEDGVNRPPPTQPNE >OGLUM08G16840.1 pep chromosome:ALNU02000000:8:19742244:19742654:-1 gene:OGLUM08G16840 transcript:OGLUM08G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRFKIKVLSAGQQILKKSSRNHAFNVPFFFPIFEISTKESHLFYSQLVARCCSLLCSPHSLHYPQVDVLTVGATVPRVGGGRTAVAPPAARARKMTGGRGGTAAPPTLGHPVRNHSTRIQGNVLVGVHGFNPF >OGLUM08G16850.1 pep chromosome:ALNU02000000:8:19745167:19746549:1 gene:OGLUM08G16850 transcript:OGLUM08G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNLDLERYMGRWYEIACFPSRFQPRDGTNTRATYTLAGDGSVKVLNETWTDGRRGHIEGTAYRADPASDEAKLKVKFYVPPFLPIFPVVGDYWVLHVDDAYSYALVGQPSLNYLWILCRQPHMDEEVYGQLVERAKEEGYDVSKLKKTAHPDPPPETEQSAGDRGVWWIKSLFGR >OGLUM08G16860.1 pep chromosome:ALNU02000000:8:19748216:19755027:1 gene:OGLUM08G16860 transcript:OGLUM08G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25430) TAIR;Acc:AT3G25430] MAAATRAATTSAARQVTKRNFAEAVQDLAAHVEACDYVAIAAQRTGAPTGWRRALPVDTPETAYLKAKLAAESFQPLHFAICPFRVDATSPSTLVAYPYNFHLFPRDELQLGMPAYSFSCQSSYLSSMAHSGFDFNMCIYDGSLVNTLRQLILGSESYGSRPSFSIDVCSDRQVQLVLEAANHISDDLVPLVVPDKAGTARAVRVIFTSSPEDKNLLLMDIKKMEDEHNLKFRGFREVIDLLSSSQKPIISYNCLNDFTMIHSKFVAPLPPNLHEFMCSLRMVFSNVIDISHMWREIGPLRKAKNIQAALSYLQRQYFVPMDVEIPQQDGNNSVTKSGENVLRITKLFAKLSNLLKISPNGQTHSGDKCHTVEEYSYILYPSCTAEESEDDKSSNESNTTRSVRTDNVVFLWGFRETSVKELRSRLASLHHAFSKDFELRLLDNSCSALIFHSSDTAIDLLREINSESPSLNNFFSEGLKAAGFDAYRKVCRSGLWDSDLAEALDGVSSEPSTSTLSGRGTSEICWNTSLMLDLKEYLEC >OGLUM08G16870.1 pep chromosome:ALNU02000000:8:19763976:19764344:1 gene:OGLUM08G16870 transcript:OGLUM08G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDAYVHHIMESVGELLLIRSFSVEADNLPTVEAGCIYFMKQNLANNKRPYAWLIYAMLLKQKAASSLSIRSKSC >OGLUM08G16880.1 pep chromosome:ALNU02000000:8:19768900:19769400:1 gene:OGLUM08G16880 transcript:OGLUM08G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLRRRRWRWRWRRRRRQREERRGGRRLGEPAVEVAVAPTRGALERRRISGIRRRRRHELPAPIHRVPAQARRHSLYTAAVADAGVDLLFPAAAAAAAAAPPLGNTTGLYLTPTTASIARARSEKEKERKTTGEEVEARR >OGLUM08G16890.1 pep chromosome:ALNU02000000:8:19772439:19772793:1 gene:OGLUM08G16890 transcript:OGLUM08G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGLVMILEMTPPHKTSMLNPFHAELIHAEEVREVATTNSPLMVFTSWFHGRCVRWDDQESEGFHKVMAYFPGNFVNLAPIFDEVHLTNHGSILSIILICVEEECDQAVQ >OGLUM08G16900.1 pep chromosome:ALNU02000000:8:19773329:19773694:-1 gene:OGLUM08G16900 transcript:OGLUM08G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEASDRHNHFVHGVHLVYQVPTLELTHEEQFPGRLHDVVDIGDKLRHQG >OGLUM08G16910.1 pep chromosome:ALNU02000000:8:19777246:19779835:-1 gene:OGLUM08G16910 transcript:OGLUM08G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVLALAVAFLLGASGYGVDRGAAAASPAAEGVEVAYGSTIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEVDDSNSYWIVRPSPDSSAKQGDAIETGSIIRLQHMRTRKWLHSHLHASPLSGNLEVSCFGGDGQSDTGDYWRLEIEGGGKLWKQDQKVRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLATEGVYLPVNKSK >OGLUM08G16920.1 pep chromosome:ALNU02000000:8:19779852:19780376:-1 gene:OGLUM08G16920 transcript:OGLUM08G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVQTKKPRPINACDLNRAASKSPIMHPTVCTPSFDVSVPRAVPPFAHYTWRGSGPQSPATQTRIASPLPPFSTPTETPPPPPRPRLGKIPIPNGRDTHTPTPRPPRARERSRSTATVSASRFPARGHGTAGLSRRRLRSSQEERHSQLRLLRLFDAFILLAAAAAASATGVP >OGLUM08G16930.1 pep chromosome:ALNU02000000:8:19789015:19795815:1 gene:OGLUM08G16930 transcript:OGLUM08G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde dehydrogenase 11A3 [Source:Projected from Arabidopsis thaliana (AT2G24270) TAIR;Acc:AT2G24270] MAAVAGTGVFAEILEGEVYRYYADGEWRVSASGKSVAIVNPTTRLTQYRVQACTQEEVNKVMETAKVAQKAWARTPLWKRAELLHKAAAILKEHKTPIAECLVKEIAKPAKDAISEVVRSGDLVSYTAEEGVRILGEGKLLVSDSFPGNERNKYCLSSKVPLGVVLAIPPFNYPVNLAVSKIGPALIAGNALVLKPPTQGAVAALHMVHCFHLAGFPKGLINCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESVADIVVEKVKAKLAKLTVGPPEADSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAIMISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >OGLUM08G16940.1 pep chromosome:ALNU02000000:8:19794844:19799250:-1 gene:OGLUM08G16940 transcript:OGLUM08G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acid desaturase 6 [Source:Projected from Arabidopsis thaliana (AT4G30950) TAIR;Acc:AT4G30950] MATASGVSTPLQLPSTRRVGGCCSRPGSPAPGKNAFPRRAAAGSPAGTFFVKRDSVYKGQSCHQFLPLKQSGRLQAAVLPVTPPLLDDEEKRKQMCEDYGFKQIGEQLPDNVTLKDVMDSLPKEVFEIDDLKSWTSVLISVTSYALGIFLISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNIPNELPRVKISLASVLAFMAIGWPLIILKSGIAGWFKFWFMPWMMSTFTMVHHTAPHIPFKTSEEWNAAQAQLNGTVHCDYPRWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIKQNWGKYINEASWNWRLMKTILTKCHVYDKDRYYVPFDEVAPEESQPIKFLKKVMPDYA >OGLUM08G16950.1 pep chromosome:ALNU02000000:8:19805129:19805356:-1 gene:OGLUM08G16950 transcript:OGLUM08G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPVLDVLPVAGAAAAQLALDGGVSASCPPHRSAFPLPRTASGVGVVLLVVVVARMRMPRCDNSALVLRCACGS >OGLUM08G16960.1 pep chromosome:ALNU02000000:8:19805414:19806766:1 gene:OGLUM08G16960 transcript:OGLUM08G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting serine/threonine-protein kinase 6 [Source:Projected from Arabidopsis thaliana (AT4G30960) UniProtKB/Swiss-Prot;Acc:O65554] MAAAAEEGEGKKGGGAVLQGRYEMGRVLGHGNFGRVHVARDLRTGRSVAVKVVAKEKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSKIYLALELVRGGELFGRIVRLGRVREDAARHYFRQLVSAVDFCHSRGVYHRDLKPENLLLDEAGNLKVVDFGLSALADHARADGLLHTLCGTPGYAAPEVLRDKGYDGAKADLWSCGVILYVLLAGSLPFPDDNIVTLYRKAQRGDYRCPAWLSTDARRLIPRLLDPNPTTRISVAQLVETPWFKKTSISRPVSIELPPAVADPAPAKEEAEKDEPETLNAFHLISLSEGFDLSPLFEGDSAKGRRDGGMLFATREPASGVISRLEGVAARGGGRMRVTKSGARGVRLEGAERGGAKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSEELRPALQDIVWGAAADPTPTAAV >OGLUM08G16970.1 pep chromosome:ALNU02000000:8:19813062:19813262:1 gene:OGLUM08G16970 transcript:OGLUM08G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKSSWPELVGVLATLAATQIGKDRPDVAVEVLPPGAPLTPDFNDKRVRVFMDDNGIVFKIPVIG >OGLUM08G16980.1 pep chromosome:ALNU02000000:8:19826476:19830924:1 gene:OGLUM08G16980 transcript:OGLUM08G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT5G58005) TAIR;Acc:AT5G58005] MSTLSPDGDSDYGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRASFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >OGLUM08G16980.2 pep chromosome:ALNU02000000:8:19826476:19827556:1 gene:OGLUM08G16980 transcript:OGLUM08G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vib family protein [Source:Projected from Arabidopsis thaliana (AT5G58005) TAIR;Acc:AT5G58005] MSTLSPDGDSDYGGGEAAGAVMADVLSKGREACYKARDAFYTCVEKHADKKPTEIATMGLLYPADCKKSRASFVNSCRPSWVKHFDRQYSAKKRVQRLLDGGDDRRGPISLPQPYTFKQ >OGLUM08G16990.1 pep chromosome:ALNU02000000:8:19832233:19839082:-1 gene:OGLUM08G16990 transcript:OGLUM08G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDGAVAADAGGAAAAVVQQGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPGMHTHGRHKLLQLVHDPKNAHLKALVGAGERLVLCKADLLDYDAICRAVAGCHGVFHTASPVTDDPEQMVEPAVRGTEYVINAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDYCKETRNWYCYGKAVAEQAAWEAARRRGVELVVVNPVLVIGPLLQPTVNASVAHILKYLDGSASKFANAVQAYVDVRDVAAAHLLVFESPSAAGRFLCAESVLHREGVVRILAKLFPEYPVPTRCSDEKNPRKQPYKMSNQKLRDLGLEFRPASQSLYETVKCLQEKGHLPVLAAEKTEEEAGEVQGGIAIRA >OGLUM08G17000.1 pep chromosome:ALNU02000000:8:19848434:19857480:1 gene:OGLUM08G17000 transcript:OGLUM08G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPTPPLTLVTSPTTPKWAKEKGTGRRIGTRVRCDGLWYINHEELGLAAVVGDVEKEISLLHCQLGHPSFEILSKLYPDLFSKVDKHRLVCDACELGKHTRSTYVGIGLRNCEPFILIHSDVWGPCPVTSVSGFKWFVTFIDCHTCMTWIYMLKHKSEVLRCFQDFHKLVTTQFDAKVKIIRTDNGTEYINNEFVSYISDEGIIHQTTCPGTPPQNGVAERKNRHLLEVARSLMFQMNVPKYLWSEAVMTAAYLINRMPSRILGMKSPVELLLGKREFKVPPKVFGCVCFVRDHRPSVGKLDPHAVKCVFVGYASSQKGYKCWDPIGRRLFVSMDVTFREFEPYYKSKGDLDQFLEEFSTVMEVDSREGEIERGDTHRKNVGDKNGETVVVGSIPCSIDNASKEAVEVIGDTQDKDREMVLHEEDGEDGEDEVVVGTIPCPMERAEKVKQKDVLVYQRRRFDSQGEKRKGLVQS >OGLUM08G17000.2 pep chromosome:ALNU02000000:8:19848434:19857480:1 gene:OGLUM08G17000 transcript:OGLUM08G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSVLHVPSFPVNLLSVSSAIDQLKCIVVFDENSRLFQEKGTGRRIGTRVRCDGLWYINHEELGLAAVVGDVEKEISLLHCQLGHPSFEILSKLYPDLFSKVDKHRLVCDACELGKHTRSTYVGIGLRNCEPFILIHSDVWGPCPVTSVSGFKWFVTFIDCHTCMTWIYMLKHKSEVLRCFQDFHKLVTTQFDAKVKIIRTDNGTEYINNEFVSYISDEGIIHQTTCPGTPPQNGVAERKNRHLLEVARSLMFQMNVPKYLWSEAVMTAAYLINRMPSRILGMKSPVELLLGKREFKVPPKVFGCVCFVRDHRPSVGKLDPHAVKCVFVGYASSQKGYKCWDPIGRRLFVSMDVTFREFEPYYKSKGDLDQFLEEFSTVMEVDSREGEIERGDTHRKNVGDKNGETVVVGSIPCSIDNASKEAVEVIGDTQDKDREMVLHEEDGEDGEDEVVVGTIPCPMERAEKVKQKDVLVYQRRRFDSQGEKRKGLVQS >OGLUM08G17010.1 pep chromosome:ALNU02000000:8:19856653:19859275:-1 gene:OGLUM08G17010 transcript:OGLUM08G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFARRATAPVDADDGCGVPHPSPRVQVTMDALRRELWEEGIRQEVIAAEIVEQRELEAKVQRDTGLLCDVPSRLSVSFQPVRGDTFPSPHGELWLGGPMAMPAGASMFRVPVKDRIEEWYRPPWDRTADEENASFNGLYKEATRISLNDHAKMRRKVSSGVKRKRGADTFQMNNNMDLSVTRHYPPTWNCGICKANCSSELDLKNHLRGRRHQENLEALKREDKEMEAKVYAKEVAQFVEKNQKFVPRWSCSTCKANCTSASDLENHFRGRRHQQNVGRSSNVVMLRA >OGLUM08G17020.1 pep chromosome:ALNU02000000:8:19861703:19865371:-1 gene:OGLUM08G17020 transcript:OGLUM08G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVKTEGRKAAGTVDCALRQPLVPPEKNIAAPAGRRREVASRFKSGGTPAPQAAASSVRRCTSPSLSRASAAEGTASTNRAQSAERRRSSTPSSSSTPSGGGGGAASRPRTPVRVAAATEVHGISRRAASTKPPDGLWASARSVPPLLQKESMAMATSAKKRHKLADGSSSDQTKVQARNVTETERKRSPLRGRNIGNQCENARPSETPNKRVVEQHRWPAAMMGGRGSAVLTSRSNGVANTPIRSVTPSNPTRGLSPRRICPAEVKANGLNQPLNGLAKRLATHESRREDKTESGSDVSSQTSENSKAATRPSRTLSSPVLHRSSSPNKVLSAASPASTAFQSPLRTRPSAPCRSRCCSTSQSGVAPPVFNYIVDARKGKKSASQFENIHQLRLLYNRCLQWQFLNARSEDTLTFQKSSIESILYSVWKSIVQLRDSVTVRRIDVQLLQQELKLYYLLKEQIAYLQHWPELEGENGSTLIGAIEALQACTLRLPVTSGAQADAVAVKNSISSAVDVMQALSSSILYLLSKVEGRTSLVSELSDMARQEKVALGTGVQPPYSSDATEGRSFRMTLIIQVEETSHAFNMYRHGVKMERTYKILE >OGLUM08G17030.1 pep chromosome:ALNU02000000:8:19865447:19867166:-1 gene:OGLUM08G17030 transcript:OGLUM08G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHARKSAGVRRTATARDPIAATNLRSLARGEEADEAAAAAATRRSGNGGGSSRRDPRSSRVYPGGWRRLVVDLSGDIAC >OGLUM08G17040.1 pep chromosome:ALNU02000000:8:19874002:19875386:-1 gene:OGLUM08G17040 transcript:OGLUM08G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTVFLLLSLVCLASPCAAQRVICEAPPCTTASQMKTTPTNRASHVRLPEGVTGAESLAFDSSNRGPFTGVSDGRVLKWGGDSAGWTTFAYNPNYRSNPTCASSSEETESTCGRPLGLAFHLKTGILYFADAYKGLMRVGPRGGQADVLATEADGVPFNYLNGVDVDQDTGDVYFTDSSTTITRRYQENIMRNRDATARLMKYDAKTKQVTVLKDRLPYANGVAVSHDGRYLVVAHTGPAQVFRYWLKGAKAGQYELFADLPGYPDNVRRDAKGGYWVGLNREKITFNVPAAAAAASPAKHLVGVRLNGDGVEVEELTAASRAVTLSEVVERDRKLWLGSVDLDYVGLLQ >OGLUM08G17050.1 pep chromosome:ALNU02000000:8:19875850:19882714:1 gene:OGLUM08G17050 transcript:OGLUM08G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >OGLUM08G17050.2 pep chromosome:ALNU02000000:8:19875850:19882714:1 gene:OGLUM08G17050 transcript:OGLUM08G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >OGLUM08G17050.3 pep chromosome:ALNU02000000:8:19875850:19882714:1 gene:OGLUM08G17050 transcript:OGLUM08G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEDTQLQKAIFAQYIMMKKLFMELEEEREASATAASAALSMIRKLQKEKEEQRMEAWQYKRIAEEKISHSDKALEILQEVLQQKELENFYLRNQLLVYKHKLLDVGIDECDIADETITNNIPLFESKTVENLCRSIKRNFSLPTLQMKKLFAEKDTDRNVESTKSRLGGFVCNLSEDELKHISGNASDFKALEVQKSLLTDGDATEEHGEEPNPPSSDLSQQPHLLEESSCSSSLLSNHRDTSSERAIQVGENAEDTRHGDQLKQSHSGIGKEEVQSHPLEESSSCSSFSTSSNHRDICSEREIQVGRNAEDAPHGDQTKESHSGIEAEEVAVHPISDIVDTIKIQERSQGVEESSCTANEIITKESELSPKDVPKERRPQALSKLSATRKVGSMNNLYRNVHVITDKSSTPRGKNSTPRAGTERTRSRLKRVQSEKMVEMNDTRKNKEQIIMLKEVYEQLNMIESHMRPSTSQETPRNDQSLESVLEAALSFSL >OGLUM08G17060.1 pep chromosome:ALNU02000000:8:19888242:19892687:1 gene:OGLUM08G17060 transcript:OGLUM08G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRSNGETAAASSDDSSSGVCGGGGGGEVEPRRRQKRPRRSAPRDCPSQRSSAFRGVTRHRWTGRFEAHLWDKNTWNESQSKKGRQGAYDGEEAAARAYDLAALKYWGHDTVLNFPLSTYDEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGKWEARIGRVFGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDINLYIRWYHGSCRSSSAAAATTIDDDDFAEAIAAALQGVDEQPSSSPATTRQLQTADDDDDDLVAQLPPQLRPLARAASTSPIGLLLRSPKFKEIIEQAAAAAASSSGSSSSSSTDSPSSSSSSSLSPSPLPSPPPQQQPTVPKDDQYNVDMSSVAAARCSFPDDVQTYFGLDDDGFGYPEVDTFLFGDLGAYAAPMFQFELDV >OGLUM08G17070.1 pep chromosome:ALNU02000000:8:19893066:19901511:1 gene:OGLUM08G17070 transcript:OGLUM08G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPRLGRRRGGDDQQPPHPPEASSEALPCAASPPARRRGGDHQPPHPPEAALEALRGAASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVVVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPPPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLSGILLQTQYCGWGSRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >OGLUM08G17070.2 pep chromosome:ALNU02000000:8:19893066:19901511:1 gene:OGLUM08G17070 transcript:OGLUM08G17070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPRLGRRRGGDDQQPPHPPEASSEALPCAASPPARRRGGDHQPPHPPEAALEALRGAASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVVVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPPPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYKIKIIPTGLYWLAALGLVTAQMSALTNHFADRKRLRSLRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >OGLUM08G17070.3 pep chromosome:ALNU02000000:8:19893066:19901511:1 gene:OGLUM08G17070 transcript:OGLUM08G17070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPPGPAPGTSSSSSSLPSRRRRRPPPRLGRRRGGDDQQPPHPPEASSEALPCAASPPARRRGGDHQPPHPPEAALEALRGAASPPARRRGGDLHPSHPPEAASEALRGAASPPERRRGGDRQPSHPTEAASEAPSGSASPPARRRGGDQQPPPAVAVVVTSEGGVGPRRSFRISLRHRVRVVPWVKPPVARKPKDPAKPPPPSIEALAAEWAKEKAASGAPEEECVLPFLQKDAPKKLDIVKVLGLDGFGYMDTVILSLKSYRKNIPITVGNFVIVMQSCIRLVLKKVMDAVLDMMQVQQMEAFRRLPLPHTFQEFNIDPIKKEELDNGTEPPPYTQYCGWGSRALEAIEKDDFVIEFVGEVIDDETCEERLEDMRRRGDKNFYMCKVKKDFVIDATFKGNDCRFFNHSCEPNCQLQKWQVNGKTRLGVFASKAIEVGEPLTYDYRFEQHYGPEIECFCGAQNCQGNMSVSGKD >OGLUM08G17080.1 pep chromosome:ALNU02000000:8:19902832:19907541:-1 gene:OGLUM08G17080 transcript:OGLUM08G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLFLLLFFLVVSYAPFLAFSSEPLNPEVEALIAIRQGLVDPHGVLSNWDEDSVDPCSWAMVTCSAHNLVIGLGAPSQGLSGTLSGRIANLTNLEQVLLQNNNITGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRLSTLRYLRLNNNSLSGAFPSSLAKIPQLSFLDLSYNNLTGPVPHFPTRTFNVVGNPMICGSSSGSHAGNANAAECATVVAPVTVPFPLDSTPSSSSSSSRAAAAAVGRSKGGGGAARLPIGVGTSLGASALVLLAVSCFLWRRRRRHRCLLSGPSSVLGILEKGRDVEDGGGGEVMARLGNVRQFGLRELHAATDGFSARNILGKGGFGDVYRGRLSDGTVVAVKRLKDPTASGEAQFRTEVEMISLAVHRHLLRLVGFCAAASGERLLVYPYMPNGSVASRLRGKPPLDWQTRKRIAVGTARGLLYLHEQCDPKIIHRDVKAANVLLDECHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALEVGKGSGVIQHQKGVMLDWVRKVHQEKLHDLLVDQDLGPHYDRIEVAEMVQVALLCTQFQPSHRPRMSEVVRMLEGDGLAEKWEANHRPAAMAAAAAPHELGYDHRNDSNGSVFFNDFHDNDSSLSSDEVRSIDMVEEMELSGPR >OGLUM08G17090.1 pep chromosome:ALNU02000000:8:19916345:19917022:-1 gene:OGLUM08G17090 transcript:OGLUM08G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKAGVVLGVAVVAAAVLAVEGRAARKDLGVNLGGGLGVGGGGGLGVGTGGGLGLGSGIGVGIGGGGGGGGGGGSGSASGSGSGSYSGSGSGSGSGSGSGSWSGSSSGSSSRSGGGGSSAGSSAESGAGSNAGPGGAGSYAGSRAGSYAGSNGGDGGSGAGSYAGSSAGSYAGSNGGGAGSYAGSEASSYAGSGAGPHGGSGAGSGSYAGSRAGSYAGSGHGK >OGLUM08G17100.1 pep chromosome:ALNU02000000:8:19929154:19929921:-1 gene:OGLUM08G17100 transcript:OGLUM08G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPSLLPTPPASKLPALLPTPPRSQMLPLLPTPCLIILPASFRAPPVSDPKPGRADAVERWDARKKAGGSAASSSSSSSGSAIPCRADACERWDAHKNKKAGGSAASSSTSSRASGGSPGRADSCERWDAHKTPGSPASSTGSNGSACRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMKTTTSAQMISKPHTETVVKPPPALLPFAGPAAYFSAPDPSMLPVPTFLLARCR >OGLUM08G17110.1 pep chromosome:ALNU02000000:8:19937406:19938149:-1 gene:OGLUM08G17110 transcript:OGLUM08G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDAHKKPGYSVASSSSSSSEIPCRADACERWDANKNKKAGGSAASSSTSKASGGNPGRADSCERWDAHKTPGSPASSTGSTGSPCRADSVERWDSSKRPLSRASSTAERWDINKKPRPAVGSGKSNSTSRTMKTTTSAQMISKSQTETMVKAPLALLPFSGPAYFSAPDPSMLPCPPSCWLAS >OGLUM08G17120.1 pep chromosome:ALNU02000000:8:19948140:19948853:-1 gene:OGLUM08G17120 transcript:OGLUM08G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVLPALRPTPPASNFPALLPTPPRSQMLPLLPTPCLIILPASFRAPPASDPKPGRADAVERWDARKEAGSSAASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRARGGISPGRADSCERWDAHKTPGSPASSTGSSRSPCRADSVERWDSNKRPLSRASSAERWDINKKPRPKKDAVGSGKNNSTSQTTTMVKPPQPFSQFSGPAFFASPDPGMLPIPPSCWLTSH >OGLUM08G17130.1 pep chromosome:ALNU02000000:8:19950578:19951410:1 gene:OGLUM08G17130 transcript:OGLUM08G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNNAIGALNLVTLLLSAPILGGGIWMATRGDGGECDRHLSSPAIALGAVLMAISLAGLVGACCRVTWLLWVYLLAMFALIVALLGFTAFAFAVTNRGAGEAVSGRGYREYRLGDYSTWLRRHVGSSKNWDKIRSCLAGADVCRSLQDRNETWAQFVADDLSPVQSGCCKPPTSCNFTLSSADPDCDEWSNDADEICYGCRSCKAGVVAALKRDWKRVAIVNVVFLAFIVVVYSVGCCAFKNSRRDSVHRRSGGWKQAGYA >OGLUM08G17140.1 pep chromosome:ALNU02000000:8:19954591:19955313:-1 gene:OGLUM08G17140 transcript:OGLUM08G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCHFALLLLPSLFLSLSAGRRDGRWQQWRRSYGGVSAWEAMVGWVLCVVARLGDLGEPEAERGEDEDVAGLDAREQAVGVHDAEGEHGQGELLPLHADEELLPLLHATTGAIGANNGKAGGEEDVLEVVWKAWCMREARRWPSSRRGEGVRWRMRRERRSGVSWGRKREREAGGGRRHGGEVAMEGGYEEEVRSSLVSGGWVKCGSSSVGVGAGIANGDGLEVSREAGCHHQRTRWGGR >OGLUM08G17150.1 pep chromosome:ALNU02000000:8:19957013:19958393:-1 gene:OGLUM08G17150 transcript:OGLUM08G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFSIAENESIGRERYNFMQRQSYR >OGLUM08G17160.1 pep chromosome:ALNU02000000:8:19961286:19962056:1 gene:OGLUM08G17160 transcript:OGLUM08G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVFPALRPTPPASKLPALLPTPPRSQMLPLLPTPCLVILPASFFRAPTPPPSDPMPGRADSVERWDARKKASCSSASSSSSSSSSGLPCRADSCERWDAHKNKKAGGSAASSSSRASSGSSGRADSCERWDAHKTPGSPASSTGSNGIPGRSDSVERWDSSKRPLSRASSAERWDINKKPRPEEDYALCSGKSNSTSRTMNATTSAQMISKPQTEPMVKPPPALLPFAGPAAYFSAPDPSMLPMPNFLLARCR >OGLUM08G17170.1 pep chromosome:ALNU02000000:8:19963723:19970461:1 gene:OGLUM08G17170 transcript:OGLUM08G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLDGINKGEPPCFGHEVDIKFVGAIGRETSPCRRHTWGIRKNEWLRRKLTESGARPKIVTGGIWSRGPIPLGRRPKLTVRFHVFSARGFVARHVSPSKFWKPPERATLIPSSLLVSSSSALPDCHLPRFPSLAVASTQIRKTLGQRTGIRNTARNSGARATRSTSMEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPSSPPAGAGSSDKMVALVQKYRGSWRGALAFNIEESEHQRRQRLAAWRARCATSDCHLYSCCDQEMLMINRVQANAEHMLLQPEPCLRWIVQIVEPHK >OGLUM08G17170.2 pep chromosome:ALNU02000000:8:19963723:19970461:1 gene:OGLUM08G17170 transcript:OGLUM08G17170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLDGINKGEPPCFGHEVDIKFVGAIGRETSPCRRHTWGIRKNEWLRRKLTESGARPKIVTGGIWSRGPIPLGRRPKLTVRFHVFSARGFVARHVSPSKFWKPPERATLIPSSLLVSSSSALPDCHLPRFPSLAVASTQIRKTLGQRTGIRNTARNSGARATRSTSMEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPSSPPAGAGSSDKMVALVQKYRGSWRGALAFNIEESEHQRRQRLAAWRARCATSDCHLYSCCDQEMLMINRVQANAEHMLLQPEPCLRWIVQIVEPHK >OGLUM08G17170.3 pep chromosome:ALNU02000000:8:19963723:19970261:1 gene:OGLUM08G17170 transcript:OGLUM08G17170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQLDGINKGEPPCFGHEVDIKFVGAIGRETSPCRRHTWGIRKNEWLRRKLTESGARPKIVTGGIWSRGPIPLGRRPKLTVRFHVFSARGFVARHVSPSKFWKPPERATLIPSSLLVSSSSALPDCHLPRFPSLAVASTQIRKTLGQRTGIRNTARNSGARATRSTSMEKKELLGVRKSPPLTKRRRKVTAGGAGGGSMAKAIAAYLASDSFMYAPLVSNSPPPPPSSPPAGAGSSDKMVALVQKYRGSWRGALAFNIEESEHQRRQRLAAWRARCATSDCHLYSCCDQEMLMINRVQANAEHMLLQPEPCLRAQSKPPLGDRAIGAQLRQLAAARAAGRRQVEAAGAMRHCGSSRAGKPGGGDRL >OGLUM08G17170.4 pep chromosome:ALNU02000000:8:19969280:19970261:1 gene:OGLUM08G17170 transcript:OGLUM08G17170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLQVLQRKPYHYLRLLPELNSSAQSKPPLGDRAIGAQLRQLAAARAAGRRQVEAAGAMRHCGSSRAGKPGGGDRL >OGLUM08G17180.1 pep chromosome:ALNU02000000:8:19973618:19973896:-1 gene:OGLUM08G17180 transcript:OGLUM08G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKSMVAVGRSNGRSSGGGMVSLRRRRPFQLMVLRRLRELKKIVPATSRRSSGGRGGGADVDALLRRAAEYICALEVKVAVLRKLSAIYGA >OGLUM08G17190.1 pep chromosome:ALNU02000000:8:20024978:20026480:1 gene:OGLUM08G17190 transcript:OGLUM08G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHYTMHAHINYTALPPTSPLQLPLPYLPPPPPPPQPPLLQLQPPPPSSPVSFDTAFQSRISPSILLIILILAVIFFVSGLLHLLVRFILRPAPRDAGDADSGDANVTAFQGQLQQLFHLHDAGVDQSFIDTLPVFLYGAVVGAGRKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPYGGGCSPLLFVLESGSEGSISDRLDAASSAHLSLVMEQEEEAEPEQKHAEAAEKADEVVVSVKLGKFRSQATEVAAAAAGGGSSSANPEQDVRRCYSMGTYEYVMDERSLLRVAVKPPAKKRPTTQMPGHRVAMSECDCHSKRESFRGLDALPGGKLAQAQPPKPPAKVGKKESFSFSKIWMRGAPRIRKDGASSRRASSFRLSSVLQRAASDVGATAAPKPLRPDVVSPVTESEYNVSAWDKSEKSASGSVADWDLESAAATAAVPGAGAGNGLSSRADEAPSFARRTLLWIRGHL >OGLUM08G17200.1 pep chromosome:ALNU02000000:8:20039452:20041536:1 gene:OGLUM08G17200 transcript:OGLUM08G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPFLFWGCLSFVDFFFDHHQPRRELQRARRGVRADGGDTAHRGLGRAGHAVGVVVNVVCGANIDEAELVRALAEGRVAGAGLEVFEDEPNVPPELRAMDNVVLRRLAAPPHPSPALPAATRPAPSAGERKGIERRGKREDRQREGGVRMTGGAHMGPTIFFYYFVRGNDM >OGLUM08G17210.1 pep chromosome:ALNU02000000:8:20051308:20055197:1 gene:OGLUM08G17210 transcript:OGLUM08G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPNSGDEGGAPPPTPPPPGARRVVVAHRLPLRADPNPGAPHGFDFSLDPHALPLQLSHGVPRPVVFVGVLPSAVAEAVQASDELAADLLARFSCYPVFLPAKLHADFYDGFCKHYMWPHLHYLLPLAPSYGSGGGLPFNGDLYRAFLTVNTHFAERVFELLNPDEDLVFVHDYHLWAFPTFLRHKSPRARIGFFLHSPFPSSELFRAIPVREDLLRALLNADLVGFHTFDYARHFLSACSRVLGLSNRSRRGYIGIEYFGRTVVVKILSVGIDMGQLRAVLPLPETVAKANEIADKYRGRQLMLGVDDMDLFKGIGLKLLAMERLLESRADLRGQVVLVQINNPARSLGRDVDEVRAEVLAIRDRINARFGWAGYEPVVVIDGAMPMHDKVAFYTSADICIVNAVRDGLNRIPYFYTVCRQEGPVPTAPAGKPRQSAIIVSEFVGCSPSLSGAIRVNPWNVDDVADAMNTALRMSDGEKQLRQEKHYRYVSTHDVVYWAQSFDQDLQKACKDNSSMVILNFGLGMGFRVVALGPSFKKLSPELIDQAYRQTGNRLILLDYDGTVMPQGLINKAPSEEVIRTLNELCSDPMNTVFVVSGRGKDELAEWFAPCDEKLGISAEHGYFTRWSRDSPWESCKLVTHFNWKNIAGPVMKHYSDATDGSYIEVKETSLVWHYEEADPDFGSCQAKELQDHLQNVLANEPVFVKSGHQIVEVNPQGVGKGVAVRNLISTMGNRGSLPDFILCVGDDRSDEDMFEAMISPSPAFPETAEIFPCTVGNKPSLAKYYLDDPADVVKMLQGLTDSPTQQQPRPPVSFENSLDD >OGLUM08G17220.1 pep chromosome:ALNU02000000:8:20076342:20078253:-1 gene:OGLUM08G17220 transcript:OGLUM08G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLPLDPIDGPTPPRWYMNGGPKQIRLERNPLEIILQALEPNSVPSATVCPSLSFARSPTPAALRNCTDSHRRFRFT >OGLUM08G17230.1 pep chromosome:ALNU02000000:8:20083729:20084651:1 gene:OGLUM08G17230 transcript:OGLUM08G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSAVLEAEQSEARAKEVGRRLSGVSGGGRARRGGRGGSLRQQSDGGPAQAEKNRSPNRQQARGAAAVWNPQIHEGEQRSHELDSNSVHFSGSQIELIPMANVQPIYDDLELDYGLLLAHDIWAGTGICTYRNSGILHGIGEFG >OGLUM08G17240.1 pep chromosome:ALNU02000000:8:20085089:20090598:-1 gene:OGLUM08G17240 transcript:OGLUM08G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDAGCNLKFPSRMRVCETALVAVAWSRPVRRPHTRHYYDNHLHHHHHQRRQEQSHAHLILSTEMGAIAASSLPYSRVLPFLPPWTANSAIRAVLASIFALFWHKMRLVVWHWFFFFFFTSVSSSWNGLALLSLSRDLILPHSISSTWKASDTTPCNWDGVSCNKKNSVVSLDLSSSGVSGSLGPQIGLMKSLQVLSLSNNSISGSIPQELGNCSMLDQLDLSSNSFSGEIPASLGDIKKLSSLSLYSNSLTGEIPEGLFKNQFLEQVYLHYNKLSGSIPLTVGEMTSLRYLWLHGNKLSGVLPDSIGNCTKLEELYLLDNQLSGSLPKTLSYIKGLKIFDITANSFTGEITFSFEDCKLEVFILSFNQISNEIPSWLGNCSSLTQLALVNNNISGQIPSSLGLLRNLSQLLLSENSLSGPIPPEIGNCQLLVWLELDANQLNGTVPKELANLRKLEKLFLFENRLIGEFPEDIWSIKSLQSVLIYENSFTGRLPPVLAELKFLKNITLFNNFFTGVIPPDLGVNSRLTQIDFTNNSFVGGIPPNICSGKRLRILDLGLNLLNGSIPSNVMDCPSLERFILQNNNLSGPIPQFRNCANLSYIDLSHNSLSGNIPASLGRCVNITMIKWSENKLVGPIPSEIRDLVNLRVLNLSQNSLQGVLPVQISSCSKLYLLDLSFNSLNGSALTTVSNLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNVLGGSIPSSLGRLVKLGIALNISSNGLVGGIPPLLSNLVELQSLDLSLNGLTGDLDMLGNLQLLHVLNVSYNRFSGPVPENLLNFLVSSPSSFNGNPDLCISCHTNGSYCNGSNVLKPCGETKKLHKHVKIAVIVIGSLFVGAVSVLILSCILLKFYHPKTKNLESVSTLFEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAVKKLAISAQKGSYKSMIRELKTLGKIKHRNLIKLKEFWLRSEYGFMLYVYMEQGSLQDVLHGIQPPPSLDWSVRYTIALGTAHGLAYLHDDCQPAIIHRDIKPSNILLNGDMVPHIADFGIAKLMDQSSSAPQTTGVIGTFGYMAPELAFSTRTSIKSDVYSYGVILLELLTKKQAVDPSFPDNMDIVGWVTATLNGTDQIELVCDSTLMEEVYGTVEIEEVSKVLSLALRCAAKEASRRPPMADVVKELTDVRKSAGKLSKPEKTASRSSS >OGLUM08G17250.1 pep chromosome:ALNU02000000:8:20090286:20091751:1 gene:OGLUM08G17250 transcript:OGLUM08G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAVHGGRNGSTREYGRDEAAIAPTAFTGETVVIVHFLSTGSGYRISFSRRPTQGRLGSRRSGHPFPPPSSFSASPSPERPPAKPRSRKDGGGGASRQLARAARGVTLGGGDDDHQICVASAGSSGVAADGGEGIGNEGNGDGGRDGDGDGSLGNRRRRWRRPRIRWQSTRIWHLCAGSARWRRGRAGAAWCGWQEDGATVGDGGDGPARCGGVAWETRGDDGDSGQPARGAAAEAICMEAQLVTPEADKARPVTAAVRGGEAEVPVRHDKACRCGDSGETLVEASSVIRDRW >OGLUM08G17260.1 pep chromosome:ALNU02000000:8:20116591:20120328:-1 gene:OGLUM08G17260 transcript:OGLUM08G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVGCLVQLGPIVFLSADGLALLDLAKTLILPSSISSNWSADDATPCTWKGVDCDEMSNVVSLNLSYSGLSGSLGPQIGLMKHLKVIDLSGNGISGPMPSSIGNCTKLEVLHLLRNRLSGILPDTLSNIEALRVFDLSRNSFTGEVNFRFENCKLEEFILSFNYLRGEIPVWIGNCSSLTQLAFVNNSITGQIPSSIGLLRNLSYLVLSQNSLSGTIPPEIGNCQFLIWLHLDANQLEGTIPKELANLRNLQKLYLFENCLTGEFPEDIWGIQSLLSVDIYKNNFTGQLPIVLAEMKQLQQITLFNNSFTGVIPQGLGVNSSLSVIDFINNSFVGTIPPKICSGGRLEVLNLGSNLLNGSIPSGIADCPTLRRVILNQNNLIGSIPQFVNCSSLNYIDLSYNLLSGDIPASLSKCINVTFVNWSWNKLAGLIPSEIGNLGNLSSLNLSGNRLYGELPVEISGCSKLYKLDLSYNSLNGSALTTVSSLKFLSQLRLQENKFSGGIPDSLSQLDMLIELQLGGNILGGSIPSSLGKLVKLGIALNLSRNGLVGDIPPLGNLVELQSLDLSFNNLTGGLASLGNLQFLYFLNVSYNMFSGPVPKNLVRFLNSTPSSFSGNADLCISCHENDSSCTGSNVLRPCGSMSKKSALTPLKVAMIVLGSVFAGAFLILCVLLKYNFKPKINSDLGILFQGSSSKLNEAVEVTENFNNKYIIGSGAHGIVYKAVLRSGEVYAVKKLVHAAHKGSNASMIRELRTLGQIRHRNLIRLNEFLFKHEYGLILYDFMENGSLYDVLHGTEPTPTLDWSIRYSIALGTAHGLAYLHNDCHPAIIHRDIKPKNILLDNDMVPHISDFGIAKLMDQYPAALQTTGIVGTIGYMAPEMAFSTKATTEFDVYSYGVVLLELITRKMAVDSSFPGNMDIVSWVSSKLNETNQIETICDPALITEVYGTREMEEVRKLLSLALRCTAKEASQRPSMAVVVKELTDARHVAGSYSKQNSGPSNS >OGLUM08G17270.1 pep chromosome:ALNU02000000:8:20124547:20138617:-1 gene:OGLUM08G17270 transcript:OGLUM08G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVGNKKSKGSFCAFCHPCLLLLIVAIQFLMIYSPTLDQYMVMLTTDEFIPEPHLRCDFSDNKSDVCEMEGAIRILGRELEVFLVAPRLASISGRSGVNTMGLDANATRWKIQPYTHKGESRVMPAITEVTLRLVTVDEAPPCDEWHDVPMKGMFGEGVLLNLR >OGLUM08G17280.1 pep chromosome:ALNU02000000:8:20150836:20155267:1 gene:OGLUM08G17280 transcript:OGLUM08G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRFDSPATAPKAGSGAAAACPPRGCYARNKLVAPSSPHASPQTSGGLARLPAVASSGEEDGDLSCVGGGDNARGIGDLSMGDIIIRIPGDLTVVTIVGFDCFVVECFSCFDVGALRFALALEAYHIFTSQVTIKHVMERIQELTCVDVDGIEAEASIAPPTEIDRTGGVGATSNDECATMTGDDHEGPLKGALEATVLAEVCEITGNSGGGGGEGGGGGGGGGGGGGGGIESMAVAARVQAATTTNLFQSSFTPRSSSF >OGLUM08G17290.1 pep chromosome:ALNU02000000:8:20159514:20160056:1 gene:OGLUM08G17290 transcript:OGLUM08G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGAGFNATAVAAAKAAVVAPVAAGGAAAHSAWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLEGGAGGRGGNDDGGAPADGAKPAASELPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSSSNGDQADDEKKKVQEVAMGSLVKDGENGEHSESQRDRDEHHIPEV >OGLUM08G17300.1 pep chromosome:ALNU02000000:8:20171284:20176674:1 gene:OGLUM08G17300 transcript:OGLUM08G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHLPPRSQEPLPSDFPPIETSVDRRGIPHYRWAIGNRRYSNILMRPRCLTPLTREEAHEIIREDAQPDSLNLPTFSNA >OGLUM08G17310.1 pep chromosome:ALNU02000000:8:20172072:20172377:-1 gene:OGLUM08G17310 transcript:OGLUM08G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEPVRDTAPMEAMGAGHPRQHLSFLEILALALPRLTRYRLLQRGGHGRARRGDNAGNPPPSSLYMLSVVPVPRLCCSMYIYDAEAAGRNPCPVLSSPL >OGLUM08G17320.1 pep chromosome:ALNU02000000:8:20173317:20177912:-1 gene:OGLUM08G17320 transcript:OGLUM08G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW18] MSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAVYSRTLTVFTAQGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPVAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >OGLUM08G17320.2 pep chromosome:ALNU02000000:8:20173317:20177912:-1 gene:OGLUM08G17320 transcript:OGLUM08G17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW18] MSPAELLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRTKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGAELVSFDEAIGRADFISLHMPLTPATSKVFNDESFAKMKNGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPAKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGKLAVQLVAGESGGIKGVKVVYTTARGPDDLDTRLLRAMVTKGIVEPVSSTFVNLVNADYTAKQRGLRITEERVSHESPVAEAPLESIQVRLSHVQSKFAGAISDGGDIVLEGRVKYGVPHLTVVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQTNVNISFMSVGRTFRGKQAIMAIGVDEEPDKETLEHIGHIPAIEEFVFLEL >OGLUM08G17330.1 pep chromosome:ALNU02000000:8:20185868:20186056:-1 gene:OGLUM08G17330 transcript:OGLUM08G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEARPAVGTEARPATGHPVQLLHHRSPGSTGKLRLNSRTLGRAPPLPPAVERMCYLLGS >OGLUM08G17340.1 pep chromosome:ALNU02000000:8:20190700:20195571:-1 gene:OGLUM08G17340 transcript:OGLUM08G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: SGT1 (InterPro:IPR010770); Has 1807 Blast /.../o 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65490) TAIR;Acc:AT5G65490] MATSASASTSSPFPFPARRPPDDTLFYAVYPLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDHTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTARVIVPVPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLRGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYRKSLEVTGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >OGLUM08G17340.2 pep chromosome:ALNU02000000:8:20190702:20195571:-1 gene:OGLUM08G17340 transcript:OGLUM08G17340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: chloroplast; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: SGT1 (InterPro:IPR010770); Has 1807 Blast /.../o 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G65490) TAIR;Acc:AT5G65490] MATSASASTSSPFPFPARRPPDDTLFYAVYPLPLPTALPPPDLHAALRSLHLSLSSHLAPFLASHLFHRDAFALTIPPPDHTAATSPCALCASPPVPHLHGALRFGDSLADEWLGVSLLFELTRAFPSLAARAWDSDGEFLLIEAAFALPRWLDPDTAPNRVFIFRGELHILPPSLFPGTPSLDAALAAVHDDAVDTRAPDAVQAAIQRRIAGLPEKASENLHTARVIVPVPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLRGPGGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRREGEEGKGSTWEVYRKSLEVTGCFNGLLPGSKEYKRVMEDAMQYYKSSSLFSRTREILSAPVRRIDEILAMPYSAEEFEGSDLPPSDDDSWLYNGEDELAAELCARQQEMEEYETAKQYRKSQRKNVSGSSSSQSNEFNLGEITESMQEFVRKMSSFEGAEVPANRKDMESVDLDVNQFFKAMESVLGRSKDEPGNEAGFDGKSSSSDIDLEDDSDYGSDFGEESGEKGMDNAFMESYSDALNKELSMTTIEKSFARAPHPDTSNEGPSGAADTDGEMTPVDVDLNLVESFLNSYSSQQGLPGPASNLLGLMGVKVPPDGKKP >OGLUM08G17350.1 pep chromosome:ALNU02000000:8:20198722:20201933:-1 gene:OGLUM08G17350 transcript:OGLUM08G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRGTATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLLVGRTGKHLFLTDNDDGRRPLLLQMVDDCDDIKFRSALRSFKRRVAYANANFDRIL >OGLUM08G17350.2 pep chromosome:ALNU02000000:8:20197335:20199009:-1 gene:OGLUM08G17350 transcript:OGLUM08G17350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHSSFKWLMTHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVTVLIDNVIQLFRFHSKCF >OGLUM08G17350.3 pep chromosome:ALNU02000000:8:20199012:20201933:-1 gene:OGLUM08G17350 transcript:OGLUM08G17350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRGTATPAHGAHSRADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFACWENRKAFIPYRQ >OGLUM08G17350.4 pep chromosome:ALNU02000000:8:20199012:20201933:-1 gene:OGLUM08G17350 transcript:OGLUM08G17350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAGAASMGRGTATPAHGAHSRWPRAVARLRLAFRSPAPAASGGARWIGCFRPAPPAAVKEAKGKRPEVEKEPARGGGEDVWSAQAEAEVAQGGGFPEHLVVMVNGLVGSADDWKFAAEQFVRRMPEKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRRGVKKISFVAHSLGGLVARYAIGRLYEPNNKTKSSSEKSRDEGERLEGFIAGLEPMNFITFASPHLGSSGNKQILPPAPFLMWPAFPGKKSFGNCTFACWENRKAFIPYRQ >OGLUM08G17350.5 pep chromosome:ALNU02000000:8:20197335:20198647:-1 gene:OGLUM08G17350 transcript:OGLUM08G17350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWRTSSIRRQHELPKHRLLVRDEKYPHIVHVDKGATNSNEAEARSDLYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVKSYWLNSDGADVTVLIDNVIQLFRFHSKCF >OGLUM08G17360.1 pep chromosome:ALNU02000000:8:20204949:20209075:-1 gene:OGLUM08G17360 transcript:OGLUM08G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTDAIHRLRSSLREPSSAPASASATPPFPSVADAVAAFDSRVGVGAAGATPRCGRCGAAGGLLRGEGSAVCAYCGCPRREGCGGVAAFRGSVAYRWLLGSLGLDGSEPVEFDNESTDSSKTKEALKNGMVLSDLLDLKLSFPPENKETSGSTENNEQSSAEHMLKLSGVNLDSFFAARMENTTTAAIPTQKHTVVQEKQSTDSHGSSSLEMRATYLTGTKTSSQNTNQIEVTPAFANWDADFQSASSESVTEDSKKSDLFNSASNVKASSFPAHVTAISPVVPSGNETYMRSTKLEDSKDLASASGMLVKDESNSGIFPENNIAEFTESSLSKSSAHSDQLPARGDTGVGIDEAFDDWQEFTGGNQGSLSNAGEHMEGPIESNPSEIKTVDTWPVSSMESSNNVTDNSVDDWQAFTSSSGQGGNSVKPIEGSAASQGGDVVRPVGQTASISFEHFSEANSVELWPVGNINELHSTKVVNETNDSFDDWQDFTTSGQGQGAPSNQVGGMIEVSRITQKETSDDSSFTTDVKEEINKDLVNTTNAMLDDFQSFSGSDLAPQSSSFVSGEMMNPSFGQHEGTDTVQSWLGGSNNMGTNMATTNSEDNSFDIWQDFTTSGHQKENISIFERKTTSTSSEPAKETDPMDLWLTSNAQESNSSKDANRINDSSGGWQDFANFGQKESMKIPGVGHSAKDSSSNSAELKNHEQINEDSDPFDDWQDFKNSHPLDTSLQVPSNSSFDNSLVRMPDALEGLEFGSFAQSVPSQSQRDNKENSNETNTVSSNHNLERMVGRQQTGDLGSLATIWPTTSHDTQSVSKPESADANVERLLSQMHDLSFMLKDELSIPDKPVGHSKP >OGLUM08G17370.1 pep chromosome:ALNU02000000:8:20210552:20211129:-1 gene:OGLUM08G17370 transcript:OGLUM08G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPPHPHLNPLPKHEDSGSHSVGGGTGGVALHGDGILVAIHSTVNAQISGDIMELTARAAMVVPGAAMLIARLHKEIHAPPSPVAAAAILAAESPRRTYRPSFPSQESRTRHRNTTNSPSAVVTATTVFIHAAPTTGSGAVAPLFLRKREGRRRKMNEMMKNVTSVAQSQFYKIPVTRQ >OGLUM08G17380.1 pep chromosome:ALNU02000000:8:20230500:20236777:1 gene:OGLUM08G17380 transcript:OGLUM08G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW30] MDEALVGRLEAAVSRLEALNGAAGATARGGYGGQVDHASAHDPAILAFDELVAGAVGRVSAAAGKIGAEVAEVTRVLEKAFLVGKDLLVRTKQTQKPTVDSIAVFMGPLNETILEANSLAEGTRSSHGNHLKAAAGSLAALAWIGYTGKGCGMPLPIAHVEESWQMAEFYSNKVLVEYKSKDPDHVEWAKALKELFVPSLRDYVKTFYPLGPVWQPPGSSTSKAPSAPCPPSASLFSSSAQSSQPKTGMSAVFAEISSGKSMTQGLRTVTADMKSKNRTDRTGVVAAEGKEAHKKPSSSSTKLPSKLELQMGRKWIVEHHVGNKNLVIEDCDTKQSIYVFGCKDSVLQVKGKVNNITIDKCSKMGLLFKGVVAACEIVNCNSIEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHSLPQQFIHAFKDGQFTTLPACHSGG >OGLUM08G17390.1 pep chromosome:ALNU02000000:8:20234563:20236625:-1 gene:OGLUM08G17390 transcript:OGLUM08G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEQFVFRSRLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLSFGDVDALSRRVAAGLSSIGVCHGSTVMLLLPNSVEFAVAFLASSRLGAVTTTANPLHTPPEIAKQVAASGATVVVTEPAFVAKVSGLAGVTVVATGGGAEGCASFAGLAAADGSALPEVAIDVASDAVALPYSSGTTGLPKGVMLSHRGLVTSVAQLVDGENPNLHLREDDVVLCVLPMFHVYSLHSILLCGMRAGAAIVVMKRFDTVKMLQLVERHGVTIAPLVPPIVVEMAKSDALDRHDLSSVRMVISGAAPMGKELQDIVHAKLPNAVLGQGYGMTEAGPVLSMCMAFAKEPTPVKSGACGTVVRNAELKIVDPDTGLSLPRNQPGEICIRGKQIMKGYLNNPEATEKTIDKDGWLHTGDIGFVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHAAVADAAVVPMKDDSCGEIPVAFVVARDGSGITEDEIKQYVAKQVVFYKRLHKIFFVDAIPKAPSGKILRKDLRAKLAAGIPAC >OGLUM08G17400.1 pep chromosome:ALNU02000000:8:20249600:20251368:1 gene:OGLUM08G17400 transcript:OGLUM08G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPERRSSTTTTSSLLGSFRTAVKKVRFLLSFSATRWILSSIVGSRAAPTRRVSFGPAARPPSLLDYEGSAIVSPPARSGAPSRTASLGPSPTRTVTRTSSAASSELQRTWSSSSSPGGGDDDIDRRAELFIANFYKHIQMERQVSLQLRYLDRMPSSSPKGDGITVWTCRPNEEETEDNEIYYEEEAEDEERDSEEKEINYEEEVGQDEEREAVENEINYDEEAEDEESETEEDYWSEFDFENDEVILRANP >OGLUM08G17410.1 pep chromosome:ALNU02000000:8:20257877:20260618:1 gene:OGLUM08G17410 transcript:OGLUM08G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNFGGAVGLLPSGFGKKPWLVQAQGTETLSFVDILDRSLHVRVVPDLQGKLCLGCVHGGDWLLMVDEITGGCFLFCLSNSSKISLPPLREPLGDMGACVVLGSSPLNRDCTVVITSLPEPEESFLLHCHPGDEEWTKLMVPLRSDRLFGKLVNCSGQLYSLSSFRKLLTIDVIDDALHPKILNIEWESSCGHNFEPYLVESCGELFVVLASLYGYPYNCPLNGVSVYRLDQAESMLRRVDGIGTDRAFLISGHYGFSCTAMEGLVQGNCVYIVWSGCDCERIYMFCLDDMTISLQPILPHPTEDLRRGFWSVPAGIEATELVQSAPSIHRGAEINVLNNFNMDEDAQATIKASWQDLPIEMLELIVSNLSLVDRLRFPSVCKQWSSVSNPVAQAKVWPWLMHCVRQDGACKMFDPLCGIEYSMKVGPFDANERHAFRFSKDGWVIVTQGDDSIFVINPFTKEIVKLSMAGGWYRFTGISFSSVPTSPDCVFLGVCSSPKGDGIKVWTCRPNEEETEDNDIYYEEETEDEERDSEKNEINYEEEADQDEEREAEENEINYDEEAEDEESETEEDYWSEFDFENDEVMFPVARNNPVYFRGEFYFLGQRGNLSVFNPGNNEWRILDKPEPIHADLTPYDEGKEACYLVELRGELIAVFHRNANEPPRVLKLDESKMEWVEIEDIGGGALFLDYRASIALPSTEAGHGNRIYFPKFSEDGKKAIFYDLEAKKYSPMFYGAKEPMNCVWFVPKLQSDEYS >OGLUM08G17420.1 pep chromosome:ALNU02000000:8:20288051:20290400:1 gene:OGLUM08G17420 transcript:OGLUM08G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDDNSLPPGFGTRPWLVQGSRGDTLTFVDVSDLSLHETVVPEVRGKTCLGCMHGDWLLMLDESTADCFLLRISTNPRSKIQLPPLHQPLNFLSTIKMLESPDSPKCTILIASSPEVEEESYLLYCRPGEDEWTKLVSPFNDIHLSAFMCNYEGKIYSACSNLVVIDMVDGKIQLQRVGTIKDEEKYARGSGCYHVVESCGKLFLLWIEELGCFGNDGLLTAIDVFCLDLELMSWERVESIGSDRTFLISENYTFSCPSIEGVLQGNCVYLVWSSCDSERLYKFCLDDMTISFQQILPQPTKPWCRAFWTVPASIQSILPMEISAKPNSLLSTKLSKDILLNDLDEHIEDGPENSLCLWEHLPVDLLELIVSNLSLVDRIRFPTVCKAWSKVSNPIEQAKVWPWLMHISKQDGMCRLFDPLRGEEYNMQVSIFKTNEDRHIFRSSKDGWVFASAGIYGHDIFIINPFTEDIVEPPMFERRYHYNGVSFSSPNPMCPNCYFFGINSSLSGKFLNIHTWRHEETEWIEQHFEYDVPFPVGYNNPVMFCGKFYCLGRKGNLGAFDPTSNTWEILDKPEPIHAEMDLLEDDHRGREFCYLVDLEGELISVLLHNANEAPRVFKLDLTKMSWVEVEDIGGGALFLDHRTSYSVGSPDGGHGNRIYFPRYSVDRKPVFYDMDNKIYYPSFYGHIEPLNCAWVVPNLHKNESTSED >OGLUM08G17430.1 pep chromosome:ALNU02000000:8:20318816:20323596:1 gene:OGLUM08G17430 transcript:OGLUM08G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW35] MASTCSSSIPQLILLLSLTVFLLANAHPMAPPSPPRKAAAPPTAAKGVTGISPVLVSTLRETLDAIKNVASIISSFPIGGILGGGDLRLSSAIADCLDLLDLSSDELSWSMSTTSSSSYQPTNAGAATSSHVGTGDARSDLRSWLGGALGNQDTCKEGLDDTGSVLGSLVGTALQTVTSLLTDGLGQVAAGEASIAWSSSRRGLAEGGGAPHWLGARERRLLQMPVGPGGMPVDAVVAKDGSGNYTTVSAAVDAAPTESASRYVIYVKKGVYKETVDIKKKKWNLMLVGDGMGVTVISGHRNYVDGYTTFRSATVAVNGKGFMARDVTFENTAGPSKHQAVALRCDSDLSVFYRCGFEGYQDTLYAHSLRQFYRDCRVSGTVDFVFGNAAAVLQNCTLAARLPLPDQKNSVTAQGRLDGNMTTGFAFQFCNVTADDDLQRALAGGGNQSSAAAAAAATQTYLGRPWKQYSRVVFMQSYIGAVVRPEGWLAWDGQFALDTLYYGEYMNTGPGAGVGGRVKWPGFHVMTSPAQAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >OGLUM08G17440.1 pep chromosome:ALNU02000000:8:20322584:20324765:-1 gene:OGLUM08G17440 transcript:OGLUM08G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW36] MSTTFSDFDPITERRHVERQRQERRRVMVAAGAASVILIIIVMGGAAVAYNASFGDGGSSSSSGSASGGGAQPSLHGVSKIIKAMCAQTDYKDACEKSLAKAAANISASSSSSPKDVVRASVAVIGDAIEKAFDKSSAIVSDDPRVKAAVADCKEIYENAKDDLDRTLAGIDAGGVDGLTKGGYQLRVWLSAVIAHQETCIDGFPDGDLKDKMRDAMESGKEMTSNALALIGKASSFLAALHLPASSAASHRRLLSFAFDEDVTKQPEVNRSSGNSLRRLLSFAFDEDATKQPEVNRSSGNSLRRLLSFAFDENAPKQPKGNDDDVLVWVNRQERRLLKAKFQNKLKPHVVVAKDGSGKFKTINDALAAMPRKYTGRYVIYVKEGVYEEYVTITKKMANVTMYGDGSKKTIITGNRNFVDGLTTYKTAIFNAQGDGFMGVALGFRNTAGAAKHQAVALLVQSDKSIFLNCRMEGHQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCVLVLRRPLDNQQNIATAQGRADRREATGFVLQHCRFAAESALGDASRPAVRSYLARPWRKYSRTLIMNSDIPAFVDKAGYLPWSGDFGLKTLWYAEYGNKGAGAATAGRVSWPGYKKVISKKEATKFTVQNFLHAEPWIKPTGTPVKYGMWA >OGLUM08G17450.1 pep chromosome:ALNU02000000:8:20327856:20330558:1 gene:OGLUM08G17450 transcript:OGLUM08G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYLWYNAISITYCVILARYHVKPVRYQTISITYRVILTRYHAIPVMYQMISTTYRVILARYHVILVRYQTISTMYRAILARYRMIPTKYQVIPTRYSWASRWGRSTSTRAVGPLQPSLVDEDGDGGGRGRALQRRW >OGLUM08G17460.1 pep chromosome:ALNU02000000:8:20338976:20350342:1 gene:OGLUM08G17460 transcript:OGLUM08G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVGNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFVGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFVVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >OGLUM08G17460.2 pep chromosome:ALNU02000000:8:20338976:20350342:1 gene:OGLUM08G17460 transcript:OGLUM08G17460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVGNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFVGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >OGLUM08G17460.3 pep chromosome:ALNU02000000:8:20338976:20350342:1 gene:OGLUM08G17460 transcript:OGLUM08G17460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSPEGRLVELFGAVKSWMPRRGDHSPPPPLPPPPASQVGAGGGGGAASPQPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRAPGDAAREDGERIRVCNYCFRRWLEEEAAARRDVAQPSSPVLSPSASAVSIGSDKSSSTARSSAGTNGQMSSYTNVSYTDFPSMAVEGQGECCEREGCAEKQLPAMEPAGGVEPATYVGNTSDPYNFGINRSDDEDDDYAVFHSDSKTQHLQNSDEYFKTVCFDAHQVDCSHVKESVSPMQDTENFVGSVGVDKTGDHIMDNTEECNTRSSSLYSMEMLENEPVDFENNSSLWLPPEPEDEEDDHDGALCDEDDGEDATGEWGYLRSNSFGSGHCRSRDKSAEEHKRAMKDIVDGHFRALVAQLLQAEKVQLVDKSGKQSWLDIVTSLSWEAASILKPDTSKGGRMDPGGYVKVKCLACGRPSDSFVVKGVVCKKNVAHRRMASRKEKPRILILGGALEYQRISNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAHIVPSIDYVSSQKLGHCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPLGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILQMPTASSPNDSLQAFVVQTDGSTFNGFQTMDQTMVMCSPEYKSCKKLGAGSAQTESAHFNGQDKNIACLHGMVPWSSTDPLVQQSASSLCHCPSCSRDVVNKKHFEERQPETSGHTLDNDFNALSAHRTNLESVESGHLFAYNSENGDKIRAKLSVPLNVQISLDDDSSKDDSVIKKDEIPASPADNQSILVSLSSRCVWKETVCQRPHLLRIKYYGNFDKPLGRFLRDQLFDQNNNCISCELPPEAHVYCYVHPQGSLTISVRKLAVKLPGEHDGKIWMWHRCLRCPRVIGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQHQEWVEQEANEVVDSAELLFTEVLNALHQISEGRPITGSFDGNMKILELRRNIMELEEILQIEKADFTESLKNLLNKEIRKGKPFIDILEVNKLRRQLLFLCYLWDQRLIFIANSGGKYCDTLGGLRVGSRSSDSNDKSVDTNATTKLEKSSKGSEVLSNAKEGSLKQSQSPLHANDKEPNQPDQSNGNSSRIGAGLNGMEDAIAKINHSNSADVKDNLDHQESSIGVRRVLSDGQFPVNADISDTLDAKWRGENGTVPDTSILKPLALLEGSADLKNQAKAVPTNASLSVRSGDTVEDLSSWLKMPYMTFYDSLNTNSGTAPRFGALADYNPVYITLFRELSQQGGARLFLPTGANDVVIPVFDDEPTSIISYALVSPMYCHQMLDENSKNKDGPDSSLPLPVYDSGNFNPFHLFEDFGSADDLASSISGTRGSFVPDLVHLRVPFEDGGPLGKVKYTVTCYYAKSFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGLDYFKYLSESISTGSPTSLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSSSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNAPPTVISPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNLCQEDPQNASQE >OGLUM08G17470.1 pep chromosome:ALNU02000000:8:20350694:20351290:1 gene:OGLUM08G17470 transcript:OGLUM08G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGDGSGRKTPWTQEEDEALRRAVREHRRQNWAEIALALPRRGPKSCRLRWCQHLSPELDSRAFTAEEDAIILAQQRVHGNKWATIARCLPGRSDNAVKNRWNSALRKLLQVQHARGAGSPPAAAAAAAGDDRDDAPVCLQLFPARAGGVKEAGLFAGEKDVEEEDVATSLTLGLPVLCEAELELRLGPAWPATA >OGLUM08G17480.1 pep chromosome:ALNU02000000:8:20351869:20362762:1 gene:OGLUM08G17480 transcript:OGLUM08G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sec34-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73430) TAIR;Acc:AT1G73430] MATTPETLPNSEAVSHAYKFASTWEKNAPLTEQQNAAIAALSRAVSERPFPANLEKSLVKDGGVVVPEKEAALEEGAMDAVLVNTHQFYKWFSELESAMKSETEEKYRLYESTLEERVKTCDGILQQVDDTLNLFEELQSLHLSVATKTKTLHDACDQLLLEKQRLIEFAEALRSRLNYFDELENVSTSFYSQTMNIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKAASSQVQAAIRGNGSAKNVVTEGVEASLIYVRFKAAASELKPILGEIESRSSRKEYTQILSECHSLFCEQRLYLIRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPASASDVSSIAPLMDPLCTYLYDTLRPRLIYEGSIDSLCELVDILKVEVLGEQLSRRGESVAGLRPILQRILADVHERLAFCARTHIREEIANFRPSDEDLDYPGKLERSVDATTSSNVSGNSDIYVTWYRPLEKTVSCLSKLYHRLEPTVFTGLAQNASKVIAKKATAMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKSTCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVGTAIQQDLPKVMTMMRLYLQNPSTRLILFKPIKTNIVEAHIQLHSLLKSEYTADEIQSIGMLPIPDLQSQLDALL >OGLUM08G17490.1 pep chromosome:ALNU02000000:8:20372369:20373558:1 gene:OGLUM08G17490 transcript:OGLUM08G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAASVVLKQMVRPRAAAAAAAGRHGAVSCSFLKACSLCRRELSPTKDVYMYSEECRWQQIAVDEAREREAAAAAAGRPERRGLARHHSPHRAAAPVRGRPRKTLAVA >OGLUM08G17490.2 pep chromosome:ALNU02000000:8:20372652:20373851:1 gene:OGLUM08G17490 transcript:OGLUM08G17490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRSQSIFHLGEEGGVVHCHRGGNHLVAASMGMGGAGGHYGSGTRGGGRRARERERLVVGLQIIVHSQHHHGRHAHAHAASVVLKQMAAAAAAGRPERRGLARHHSPHRAAAPVRGRPRKTLAVA >OGLUM08G17500.1 pep chromosome:ALNU02000000:8:20375919:20376236:1 gene:OGLUM08G17500 transcript:OGLUM08G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARALLSSARVAGAGIAAVSCCCRRSFSAAAAAAVRQQEPAAAAAAGTTAKLQAAEGAAAGSKEGFFWMREPRTGNWMPENHFNDVDAADLLSQLLFAKKN >OGLUM08G17510.1 pep chromosome:ALNU02000000:8:20377714:20420283:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVSKPDYSLLFSQSRVGSQVRDSPSPEALTLPPVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARSLVNCTLLAVLCGKVTDKQQKPPGLVPEVKRPRLSYPFPELISSGRLEVHTLINPTVDQFRKAQQAVQPNLMYLQGQQLENEEEIGTLVWGDADVSDPQIFSSLISPPFPTIVYLEVPAGEKLAQSLQLKGIPYVLYWRNSFSSFAASHFRQALISVVQSSCSHTWDAFQLAQASFRLYCARNNDAQSVKLGPRLLGDAPKINIFLPENEMVEEEGSSEHFPAIKIYDEDVNMKLLICGAPCILDASLLGSLEDGLNALLNIEIRGCRLQNRVSAAPPPLHAETLPHGVVTMRCDITTCSSSHVSLLVSGSPQTCFDDKLLENHIKKEIVEKGQLVRAVLVREDDKPSSVEPLTSMSVASGASTFEVWMTLPKWAGQVLKYLAPEISYKSLVPLGIACVNGTPVSSFDRQDVDRLLFFCKNEAIVNGLYSHLPRWSASLVKDRLKGTPESKSSTFSANGVGEYQKHPMKGTSLLVKPKLKSAKMRPIPHSSKRQMHPFVGIPPSFIHDASQVKPSLPAPPVRHNALPVAPTTQRKLSSGTSRVEPAVPLNPLPMKKHGCDRLPIGICSEEDFLKDVMQFLLQRGHTRLVPQGGLAEFPDAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELSHDDVGGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPESANGFRIASAQRNI >OGLUM08G17510.2 pep chromosome:ALNU02000000:8:20385087:20427817:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLTIHRRRVAPSPIPSKIPPIPAGIEDRSRPHHHPGGASIAYESSSSSSSHRGCKRDKGKRARDISTAAASVAASAAVAISTLSSSSSAAAAAAKSSPLCASASVLASPLQRSSSTMSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVSDPQTFSSLICSPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLLSVVQSSCSHAWDAFQLAYASFEQYCVRNNDVQRLMLGPHLLGDAPRIYITPPGNKMTEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPFRWCKLQDRVSAAPPLHVDSALLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDGAIGSGLYHHLPRWSASLAKDRVKRNFVSKPGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.3 pep chromosome:ALNU02000000:8:20385087:20427817:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLTIHRRRVAPSPIPSKIPPIPAGIEDRSRPHHHPGGASIAYESSSSSSSHRGCKRDKGKRARDISTAAASVAASAARSSSTMSHFKSGSRVKCTMLAVLCGKVGKQRTPPGPVPESQRPRPSFPFPELISSGRLDVHTLINPTVDQFLEAQRALQPRFIYLQGQLLDNEEEIGALVWGDADVSDPQTFSSLICSPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLLSVVQSSCSHAWDAFQLAYASFEQYCVRNNDVQRLMLGPHLLGDAPRIYITPPGNKMTEEEDTSEYFPDIKIYDEDVHLKLLICGAHCTPFRWCKLQDRVSAAPPLHVDSALLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDELLEKHIKKELIESRRLVRVVSVSEDDGPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDKEDVDRLLFFCTNQDEDGAIGSGLYHHLPRWSASLAKDRVKRNFVSKPGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.4 pep chromosome:ALNU02000000:8:20385087:20420283:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKGISYIMYWRYSLSSYAASHFRHSLMSVVPSSCSHAWDAFQLAYTLFEQYCVRNNEVQHIKIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVSKPDYSLLFSQSRVGSQVRDSPSPEALTLPPVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.5 pep chromosome:ALNU02000000:8:20385087:20420283:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKLMQPCMGCISACIYFIYDEDVHLKLLICGAHCTPDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVSKPDYSLLFSQSRVGSQVRDSPSPEALTLPPVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.6 pep chromosome:ALNU02000000:8:20385087:20420724:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASLGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVSKPDYSLLFSQSRVGSQVRDSPSPEALTLPPVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.7 pep chromosome:ALNU02000000:8:20385087:20420283:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHPRCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVSKPDYSLLFSQSRVGSQVRDSPSPEALTLPPVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.8 pep chromosome:ALNU02000000:8:20385087:20420724:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.8 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASLGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYVIRAPFDLPWLERFVTLMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKVGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17510.9 pep chromosome:ALNU02000000:8:20385087:20420724:-1 gene:OGLUM08G17510 transcript:OGLUM08G17510.9 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSLAHQQASLGRGSMLSESKFRKAKQAVQPNLMYLQGQQLENEEEIGCYVIRCGGSISIRSSCSNAFAGFNGCGSVVFFCSTMPHFPSSSWVKCTMLAVLCGKVGKQRTPPGPMPESQRLWPSFPFPELISSGSGGISIRSSYSYMALQFLHSIDKAWIGFFSFARTKMKMKLLEMIYIPICQMKQNKSLVPLGIACVDGTLVCSFNRQGVGPLLFFCTNQDENEDIENDLYSDLPRWSASLEKDRVKGSIGSKPARQIGYKGSDNVEWGKGGVDAKNGGWTCGSRGGGRKMKSSRTSSARRRSIASVHQEDPSAASSSKTPTTNGRPRPLSQKPPCALAPHRVGRYSAARVLALHEDGRLVILLPVTNISSHSAASSPSPRTASPLPTCSPEEEVKETAGEKGILRRIRSRIALEVDAAEKPQKQQQQIIKAERLRICGVVCSTMPHFPSGSRVKCTMLAVLCGKVGKQRMPPDPLPESQRPRPSFPFQELISSGRLDVHTLINPTVDQFLEAQRALQPRFMYFQGQQLDKEEEIGRLVWGDADVSDPQIFSSLICPPFPTIVYLEVPSGEKIAQSLQSKDSSILNSLEDGLNALLNIEFRWCKLQDRVSAAPPLHCDSTLLDGVVTICCDITTSSSSHVSLLLSGSPQTCFDDKLLEKHIKKELIESRRLVRVVSVSEDDRPSSAEPLTSMSVASGASTFEVLMTLPKWAAQVLKYLAQETSYKSLVPLGIASVNGTPVSSFDRQDVDRLLFFCTNQDEDEAIANGLYHHPPRWSASLAKDRVKANMVNESSPRSSSPSSSSSSSSPRGEEEAQEGSSRSCGFRFRARLLFDPRTGSGDLSFMNDLLLLVVLQGSMVE >OGLUM08G17520.1 pep chromosome:ALNU02000000:8:20389377:20403612:1 gene:OGLUM08G17520 transcript:OGLUM08G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGASPITTCDKGRNTATIDAATTILLFANPPCCCMERQKDSLETNPVTTTTNNMWAMMSKSSRLQKWKLQKPRTLKSKARLKMGHIHRWGWGACRGSIPSSSCRTYQPLQAATQPN >OGLUM08G17530.1 pep chromosome:ALNU02000000:8:20405281:20406261:1 gene:OGLUM08G17530 transcript:OGLUM08G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEARLCEEKGKRRRCARRCSGHRHRQENDEAAVLVKREHPGRAIAADAVRRERLDRTAASDSAAAACHLWLAFYSMTRQKDPLDGLKLYSGDEHYWFVSSSSSSLLLLIHMQGQFDGSTTATVEYMTGRGGERANVGHSGGDGVVEAERWSSLVTATRWWRSERNTARKGILVSPGVKLGKNRENWPKWQNKCLASVGSGILTTPFRGMALWRNPLFAVA >OGLUM08G17540.1 pep chromosome:ALNU02000000:8:20438433:20443109:1 gene:OGLUM08G17540 transcript:OGLUM08G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSSSNDAAADLTVLVDDFYFSVLSHGRNDDDDAADGDDGELFPISDEKYASELQLQEVIMSSSVAATTARSSSAPVIFIGECSSSHAASSSSRLTSIPAAAATTLVFCKICMDAVPPSAAHRASRGCDHAFCAACLAGYVGAKIQERIADVRCPEERCRGALDPELCQGILPREVFDRWGAALCEAMVLAAPRAYCPFKDCSAMMLDDAGEAVTESECPSCRRLFCAQCAVPWHAGVDCAAYKKLGKGDRGKEDLLLVEMAKGKKWKRCPKCKYFVEKSQGCLHITCRCGFEFCYGCGGQWGVTHATMAMAEAAEGQRPRQRQQHPSCGICMEPMPPSEAHRGGGGCAHAFCRACLAGHVRAKVESGGGAGAVRCPDPSCGGALDPELCRGALPGDVFERWCAALCEAMFAGARRTYCPYPGCSEMMVADADDEGCAGVTQSECQACRRLFCARCGVPWHAGVSCAEFGRLGEGERGREDLLLVQAARNGGWKRCPRCRFYVEKSHGCLHITCSMNVMQNSG >OGLUM08G17550.1 pep chromosome:ALNU02000000:8:20445219:20447609:1 gene:OGLUM08G17550 transcript:OGLUM08G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilase family protein [Source:Projected from Arabidopsis thaliana (AT3G14240) TAIR;Acc:AT3G14240] MASSASRTLLLLVVVVVVAAAVGGVLGGGGEERTFIVRVDANAKPSAFPTHAHWYEAAVMAAEGGGGGGEWREGGPLIHTYSAAFHGFSARMSPAAAAALAEAPGVAAVVPERVRQLATTRSPRFLGLLSSPPSALLADSDFGSDLVIAIIDTGISPTHRSFHDRGLGPVPSKWRGVCSSGPGFPPNSCNRKLVGARFFSTGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWVGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVQLGNGQVLDGVSVYGGPALQSGKMYELVYAGASSGAASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVHRAGGIGMVLANGVFDGEGLVADCHVLPATAVGAAAGDKLRKYIGSSTRQAPATGTILFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDGRRTEFNILSGTSMACPHISGLAALLKAAHPTWSPAAIKSALMTTAYIKDNSNGTMVDESTGVVADVFDFGAGHVDPMRAMDPGLVYDITPVDYVNFLCNLNYTEQNIRAITRRPADCRGARRAGHAGNLNYPSMSATFAADGTRATMKTHFIRTVTNVGGGRAAYRATVRSPEGCTVTVQPRQLAFRRDGQKLSFTVRVEAAAPAKKMEPGSSQVRSGAVTWSDGRHAVNTPVVVTVQAPLQ >OGLUM08G17560.1 pep chromosome:ALNU02000000:8:20463543:20463911:1 gene:OGLUM08G17560 transcript:OGLUM08G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPPPTALAAGSDLHEAGDVQIPPPPSPPTGHLAAVECLFEILRRLPGGCERGASTCVSRSWFVMEDDELSASVPLL >OGLUM08G17570.1 pep chromosome:ALNU02000000:8:20465789:20466055:-1 gene:OGLUM08G17570 transcript:OGLUM08G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRTSSEIELAMAGYFDASDEASEICKQLLTNIKNAQSNYLSMDSFLATISNSVTATDGAAAMTAVAPLAAVWSNPFSDAATRSSFR >OGLUM08G17580.1 pep chromosome:ALNU02000000:8:20472706:20473189:-1 gene:OGLUM08G17580 transcript:OGLUM08G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVTVAASRGRSHRNQTDAACCLGRTQGYDGESLRPRHRVRVLLGVPYACGSRGLRYHQTTRGRRVVQAPAAPRVAGWLWPIARYLHAMRPAPMPQVAAYSRAHRVGSALRVAASSGRPAVWVQGVRAARAFSQLRAYRHGRYREVQNFI >OGLUM08G17590.1 pep chromosome:ALNU02000000:8:20481936:20482346:1 gene:OGLUM08G17590 transcript:OGLUM08G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGLSKLRCMIRRWHSSSRIARAPPSAGELEEGSAAAAAAAGRAASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQNLVDRTGGGGGAGAATVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >OGLUM08G17600.1 pep chromosome:ALNU02000000:8:20487912:20491247:1 gene:OGLUM08G17600 transcript:OGLUM08G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPGLWGHASLSPTACTIARRWTWSGRDGRWSSTIPSSWRSTRSWHGGEGCCAAAILLLIVGGGVERQTARMRTWSGRDGRWRSTSPRRSAKKSCHGSEGCCAAAILLIVADVGGGGVERQMARIQTWSGRDGRWRSTSPRRSAERSCHGGEGCCAAATLLVVAGAAGGGVECEEEVAANVVIVGASGGGMECEEEVAASVVIVGAGGGGVECEEEVAASVAPPRLRGRHAVPGEVNGKGKRRKEKEKKKEREGEKEGKRRGNPGI >OGLUM08G17610.1 pep chromosome:ALNU02000000:8:20493585:20494289:1 gene:OGLUM08G17610 transcript:OGLUM08G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAMGGDGLGEGGRQQRTRMRAQQWSPGHHPPLCHAHRSLHHRHARSGPAATALEKKGKRGNRERRDSLTDGYIDENNTGSAVIDRCKQVKLNKMFEINAITRRSVMIGQNFILIDPLVCI >OGLUM08G17620.1 pep chromosome:ALNU02000000:8:20495591:20500450:-1 gene:OGLUM08G17620 transcript:OGLUM08G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWVFKQGCGGDRQRRPSVAMRTGEIGAGGADRSGGGAEEIDDVDVVGRRWRRRRVEATASASDGAERERCESGSGDCAIWSIDNEAPTVTSSISNMSTQSFKGAHRDKLCV >OGLUM08G17630.1 pep chromosome:ALNU02000000:8:20508404:20510709:1 gene:OGLUM08G17630 transcript:OGLUM08G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELFLRPPTSSSFRSAVPRGRWEVFKEKGRKNVECSRRPSFVLIIKLIDQEENDSDNDMTH >OGLUM08G17630.2 pep chromosome:ALNU02000000:8:20508404:20510282:1 gene:OGLUM08G17630 transcript:OGLUM08G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNGSLYAVLGVASDCSDADLRTAYRKLAMKWHPDKCGAAGSSAGGGAEAAKVRFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILEAMNKTGPTENGKNESFEDLQRQFEELFLRPPTSSSFRSAQEDAGKSSKRRAGRTRPSFVLIIKLIDQEENDSDNDMTH >OGLUM08G17640.1 pep chromosome:ALNU02000000:8:20518849:20519349:1 gene:OGLUM08G17640 transcript:OGLUM08G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKTTTLAAVSSCLLLAQQLLLLAPATTATSIPVGGGGGGATASSTVPVSSHRDSDDADADVPPFFPFPGGSGAAAGCWNAVLRAEVCAGDVLRSVASLLLHDGERHPWGVHVGAPCCGVLQAVGDRCFRDLLTDSPFRPLYAPLVNHVCGALPVGGGVTPIHRH >OGLUM08G17650.1 pep chromosome:ALNU02000000:8:20552130:20554110:1 gene:OGLUM08G17650 transcript:OGLUM08G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDETVAGPRPDSGLGKLRKYSSFSPSSSSAAAAAPGTAPPDAPAATVTRSITIVRPLSLSVPSPRGGGGEYRSSVPSSPASAPDSPFASATTPKGDSWRRLRRKPKTATDAAPEAAAAVGPRSPTVYDWFALFLSLSLQGYSSAMGGHQFVGPMNYSAIQRGEKVLKNLKKQNNQSSRGISMTKEAS >OGLUM08G17660.1 pep chromosome:ALNU02000000:8:20571488:20583111:1 gene:OGLUM08G17660 transcript:OGLUM08G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLEPHRIPTTIAAPSSSSSPSAKQSRAEQKQSAEICSEVDGEVSSKKRGSGRRPQRDMWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWVQISDQSFDARLQIFFDMVDTNVDGRITREELIVLSASANKLSKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKVESSSGFKWAPPGRSNQCSVAGEPAGTEDPRPGRLRVKAPVIQKSPRPTGLPLSCGATIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRYHFHKEYF >OGLUM08G17660.2 pep chromosome:ALNU02000000:8:20571488:20583111:1 gene:OGLUM08G17660 transcript:OGLUM08G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLEPHRIPTTIAAPSSSSSPSAKQSRAEQKQSAEICSEVDGEVSSKKRGSGRRPQRDMWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWLIVLSASANKLSKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKVESSSGFKWAPPGRSNQCSVAGEPAGTEDPRPGRLRVKAPVIQKSPRPTGLPLSCGATIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRYHFHKEYF >OGLUM08G17660.3 pep chromosome:ALNU02000000:8:20571488:20583111:1 gene:OGLUM08G17660 transcript:OGLUM08G17660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLEPHRIPTTIAAPSSSSSPSAKQSRAEQKQSAEICSEVDGEVSSKKRGSGRRPQRDMWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWVQISDQSFDARLQIFFDMVDTNVDGRITREELIVLSASANKLSKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRYHFHKEYF >OGLUM08G17660.4 pep chromosome:ALNU02000000:8:20571488:20583111:1 gene:OGLUM08G17660 transcript:OGLUM08G17660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTLEPHRIPTTIAAPSSSSSPSAKQSRAEQKQSAEICSEVDGEVSSKKRGSGRRPQRDMWTPSRGSASGRRATGHRRIADYLADDRTEASTENGSFNTAYSDELFAPTSSSAGGDGVGGMLPAFLADQSDLVEVMLELDEESMVVRSVTPTTGALYGPTSLAGGGAAHTPPGSGRSLSRRALKGLRFISRTTGSAEAAELWTRVEHRFNALSRDGLLSRDDFGDCIGMEDSKEFAVGIFDALARRRRQELERISKEELYDFWLIVLSASANKLSKLKEQAEEYALLIMEELDPEDLGYIELWQLEALLLQRDAYMNYSRPLSSGSTAQWSQNLGGGGGQQGGQGQGQGQSEGRRNDWRRRWSPRRAAARAQVAAEENWRRAWVLALWFAAMAGLFAWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDSITFHKIIATAIALGICTHAGTHLACDFPRLIGSSREEYELLLSGFFGASRPTYRGLLAGVEGVTGIVMVVLMVVSFTLATRPLRKREAPRLPFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVTKWHQRTTWMYIAVPLMLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFVPSVPRRASFGALGMAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSRSDDSANSFSVSTASSNKRRAYRTSRAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARSTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGKPTLAKELKKLSLDMSHKTTTRYHFHKEYF >OGLUM08G17670.1 pep chromosome:ALNU02000000:8:20584872:20588313:-1 gene:OGLUM08G17670 transcript:OGLUM08G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEAPPGALNLADYAPAGARTVDCFRRIRKIGEGTYGEVFEAMDIITGERAALKKIKLDDGKEGVQHMEQEDQYYMEQLLKGLHYCHVNNVLHRDIKDYLLPFIGANLLISGGGKLLKLADFGLARPFTRDGSFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLRKPLFPGRTEV >OGLUM08G17680.1 pep chromosome:ALNU02000000:8:20596116:20596389:1 gene:OGLUM08G17680 transcript:OGLUM08G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKIDDTPIVSITGSCRRDLDHDLS >OGLUM08G17690.1 pep chromosome:ALNU02000000:8:20597447:20598178:1 gene:OGLUM08G17690 transcript:OGLUM08G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIGISGGGEGGVVSPAQPSSPERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRGAGSLNFPESPPPDVRRRFPGAALTVEQIQAEAARHANRPFPANTAAAGGSSSSYSISQRQEAAAPARSTSNDDTAMSSVPSTDGGAADYDGGDDVIDWSFMDTLPAMSSSAASTNADLVPAMDDFMYGFLHTMPPSPCEDGGEDVMIDGNCNMDQTFFSVDLWIF >OGLUM08G17700.1 pep chromosome:ALNU02000000:8:20609453:20609928:-1 gene:OGLUM08G17700 transcript:OGLUM08G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMHSYNWGLRVPQMRGDFDGLMASMRFWLSAGRRASASMAQPLLLVAASGSRLHMMLEKGEISQWSTCEGVEFGTVASRREPPKR >OGLUM08G17710.1 pep chromosome:ALNU02000000:8:20633546:20633734:-1 gene:OGLUM08G17710 transcript:OGLUM08G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTECRVADLVAAAMELYVWEERRLLLLSADPVAFGLRFFLSPKAAATAAITAAAAPPPPV >OGLUM08G17720.1 pep chromosome:ALNU02000000:8:20644393:20648541:-1 gene:OGLUM08G17720 transcript:OGLUM08G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQDEILKKDRAELLQAQAELWCHTFGHLKSIALRCAVELGIPNAIHRNGGSASLPELLGTLPLAANKRSCLPRLMRFLVSFGIFKEDISREGTTTTTTTTTTTSVYQLTPVSRLLVDGIGMNSNTEHTCQSTYVLVCVSQLQVGASLCLGNWLTSSDENTPFGMAHGMDFWDFTGHDAEYSMLFNKGMASDSHFVVNIVIHECAEVFVGFVLHDWSDEDCVRILTRCKQAITNKEGGKVIIIDTVIGSPSQQILEATFNGYMHDDVDNRQRTRRERLV >OGLUM08G17720.2 pep chromosome:ALNU02000000:8:20644393:20648541:-1 gene:OGLUM08G17720 transcript:OGLUM08G17720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKQDEILKKDRAELLQAQAELWCHTFGHLKSIALRCAVELGIPNAIHRNGGSASLPELLGTLPLAANKRSCLPRLMRFLVSFGIFKEDISREGTTTTTTTTTTTSVYQLTPVSRLLVDGIGMNSNTEHTCQSTYVLVCVSQLQVGASLCLGNWLTSSDENTPFGMAHGMDFWDFTGHDAEYSMLFNKGMASDSHFVVNIVIHECAEVFVGVRSLVDVGGGNGAMAKAIADAFPHIKCSVLDLPHVIHGTPTDGIVEFVAGDMMHFVPSADVVLLKFVLHDWSDEDCVRILTRCKQAITNKEGGKVIIIDTVIGSPSQQILEATFNGYMHDDVDNRQRTRRERLV >OGLUM08G17730.1 pep chromosome:ALNU02000000:8:20675152:20675904:1 gene:OGLUM08G17730 transcript:OGLUM08G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSYVATAPSPSTVLAHLAAAKVSILLGLFDPELACVTSNFLPTSDSARGAVLAVAVADIISM >OGLUM08G17740.1 pep chromosome:ALNU02000000:8:20688721:20690159:-1 gene:OGLUM08G17740 transcript:OGLUM08G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCFARWASARDQLRCRLGEGGLCGCDHGSSCGGSNYGLSGSLLCRAQFLLSAGAHPTAVADALHLLAARAVEVLHGMAIPVELSDRETGLTSSSRQQSLTRLPGPRKGKPEDTGHPG >OGLUM08G17750.1 pep chromosome:ALNU02000000:8:20691216:20692329:1 gene:OGLUM08G17750 transcript:OGLUM08G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AW83] MDGNAVDELIRRLLDGKKVKPSSSAKKVQLSEAEIRQLCVTGKDIFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEFGGLPPTANYLFLGDYVDRGKQSIETICLLLAYKIKYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLDRIREIARPVDVPDQGLLCDLLWSDPDRESSGWGENDRGVSFTFGADKVTEFLNKHDLDLICRAHQASRHRHRHLLLPCLFLRSWGQMDEQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPFRGKSQAE >OGLUM08G17760.1 pep chromosome:ALNU02000000:8:20692808:20693220:-1 gene:OGLUM08G17760 transcript:OGLUM08G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQHRFFASRVLRWWLAQPVFLSDAQADPTPSIEIAETAPPPARLFVQSCRCELEPCTRTMES >OGLUM08G17770.1 pep chromosome:ALNU02000000:8:20710644:20712542:1 gene:OGLUM08G17770 transcript:OGLUM08G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAELEAAERVVMRWDSTSASDEPMLFDGARAEAERFLRAVDDLRRLAPPSPAAVGSPRRLSSGSSSVSAGGGGGAATAVQVAMARLEDEFRHVLSSRALDLEIEALADLGGSLSISSDRSNSASSAELQVVDEDDSVSSLVGRRSSYRSLPSIREIDLLPDDAVSDLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWKALEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPISNITVTAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKVAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTVHPLTRYVMNYSSLISDYKVTLSELIVSRPSASARLAAEGNELAPSLAELDLPEPDNQTPLAAHIIWIIVVLEHNLEGKASLYRDMALSHLFLMNNVYYIVHKVKDSPDLWNLIGDDYLKRLTGKFTMAATNYQRSAWLKILNCLRDEGLHVSGGFSTGISKSALRERFRSFNAAFEEAHRVQSGWCVPDTQLREELRISISEKLVPAYRSFLGRFRHHIENGKHPELYIKYSAEDLEIAVNDFFEGVPPSPHIRRRSHS >OGLUM08G17780.1 pep chromosome:ALNU02000000:8:20715830:20718461:1 gene:OGLUM08G17780 transcript:OGLUM08G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMTATEVAALLDLKPHPEGGYYTETFRDGSVSVTTAQLPPQYKVDRAISTAIYFLLPSGSVSHLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDPELHYSLVGCTCAPGFQYEDFEMANFDDVKSIAPKAEPFLKYLIPSTK >OGLUM08G17790.1 pep chromosome:ALNU02000000:8:20719609:20724802:1 gene:OGLUM08G17790 transcript:OGLUM08G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMSFYWGTSVTILFDGWRTSGWPGYLASLLALFLAAALYQHLEARRVRLRAGRRHRAGAGGAAASSAAVLHLLQLGGLARNGEEADGDGIGENKVAENKAAVNGSQVHNVVLVWQH >OGLUM08G17800.1 pep chromosome:ALNU02000000:8:20726794:20728356:-1 gene:OGLUM08G17800 transcript:OGLUM08G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSALATLLSMALAAFLLLGLIKRKGSRRGYNLPPGPTPWPVIGNFNLIGALPHRSIHELSRKYGELMLLRFGSFPVVVGSSVAMARLVLKTHDAVFIDRPRTASRKHTTYGYADITWSPYGAYWRQARRICVTELFSARRVASFEHIRADEVRALVRGLFAAASSGRSGAVHLNRDHLSTLSMNVITRMVLGKRFFGEGADAAEGPVSTLSEFKWMLDELLLLNGVLNVGDWIPWVDWMDLQGYVRRMKKVGKMFDAFMEHVLDEHSERRRREGEAFVARDMVDVLMDLADDPSLEIKLGRVGVKAFTQDLIAGGTESSSVTVEWALSELFKNPAIFATATDELDRVVGRGRWVTEKDIPNLPYLDAIMKETMRMHPIVPLLIPRVARDDAAVAGYDIPKGARVLINVWTIGRDPELWDAAEEFMPERFIGSRIDVKGQDFELLPFGSGRRMCPGYNLGLKVMQLSLANLLHGFAWRLPEGMKEEELSMDEVFGLSITRKYPLQVVVEPRLPVQLYSL >OGLUM08G17810.1 pep chromosome:ALNU02000000:8:20745592:20749620:1 gene:OGLUM08G17810 transcript:OGLUM08G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRLNIVSKTHAHCAPARESAAPNPEPHTPPHQSRAEWAYATTCTRSASPPASSSRARARDAPLRQHLNPQPNAARVATTIHTIRRADAPAPAPCRWRACVPELTVARAVGAALLAHLSYAAVACRVASSLARSLAALRVVVLRLRWISWVEMGEAPRPKSPPRYPDLCGRRRLQLEMQILNREVGFLEQELQGLERIQPVSSVNEFVGAKSDPLIPISKLCNCLLCLCCWCRCLPKPKKPSCFSCSCCSCCDTSCCRPSCGCLKAPSSCCCKSNCSLKAPSSCCCKSNCSCCSSDCCTCSLPSCGCTGCGHCRPRCGGGGGCCPPSDCCSSCKCSCSSCTRCCSSCAGGCKPSCSGCGTGCSSCGGGCCPKCSSCAAPCVGCLALLRRWLSCRSSCCKGQPSCCKCQSSCCEGEPSCCCCCGGGKGSSACCCGRPCCLGGATPAPSCPECSCGCSCSCPRCKDGCSCPSCGNPCCAGGCLC >OGLUM08G17820.1 pep chromosome:ALNU02000000:8:20748625:20755164:-1 gene:OGLUM08G17820 transcript:OGLUM08G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENTLTVPIPDVASAAIDEAVKAVADAVGNSFTPSSGSDVDPTTGEAVAAAAARRASKAAHDALSTALVSFLSTTSSSATSTSDGAPHIPASTAPATTAPASTTPSSVPPGASSIPSALEQLFAAARLAAGLGACGNYDDTEHEQPQEHSGHDGAGVAPPRQQGLPQQHADDPFPPPQQQQQEGSPSQHDDWHLQQDGCPLQHDDRQLSHRRSSARQPTHGAAQDEHLGQQPPPQDEHPVPQPLQLGLQPPAQLLQHRVQDEHEHLQLEQQSLGGQQPPPPPHRGRQWPQPVQPQLGRLQVQQSLLQQLQLDLQQHEEGALRLQLDLQQHEEGALRQPQLGLQHDVSQQEQQEQLKQLGFLGFGRHRHQQQRHNKQLHNFDLIKNNRFKIF >OGLUM08G17830.1 pep chromosome:ALNU02000000:8:20775237:20775671:1 gene:OGLUM08G17830 transcript:OGLUM08G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPFFLAFAIIALLSRYVPFALPPMARDLLADNSAEPARAAKCAVSVAVAGLMLLVSLQCGAGGEQYCPDVRVEGRALWLNCAALFLGMVVGGVAVVVMPPLAAVSPLVQVMVEHLTRFTETIAVTAFAHDFCIFVKLVRLKQ >OGLUM08G17840.1 pep chromosome:ALNU02000000:8:20780158:20785339:1 gene:OGLUM08G17840 transcript:OGLUM08G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFWPGPPGAQPVGDLQVAKLALLVAGNGFISPSSYLRRCCDDDGPCFVDGAGERCLHLANLVTAMLGLALVLGQMAFFSAAAFRPQAALVTVAARWIVSLAKLATVGTLQLWVYPVGDLRVAQLALLVAGNGLIVSPSSYLSSCPCDGGGRCFFQDGVSEQICFDLANLVTAVLGLALLVGDMAFSTAVPGRSTQSQALATVARWLVGLAKVATVGTFQHWINAFYLCLKTWSITSYIIHPSLHDNPVLVFA >OGLUM08G17850.1 pep chromosome:ALNU02000000:8:20786163:20786630:1 gene:OGLUM08G17850 transcript:OGLUM08G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIDFLQLEPPREPRPQPAVQAALPVAKSALFVAINGLVAPSYLRWCLACDDGGGGGGGGGLRRRCFRGEAGGDVEQMWYQMASFATAVLGVALLAFHVASSSAPAALAAWLVWLTKVFTCGTLQFGLNIVHFCIKIIYFKLIRGLDSNLSRG >OGLUM08G17860.1 pep chromosome:ALNU02000000:8:20787779:20790111:-1 gene:OGLUM08G17860 transcript:OGLUM08G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRTSWKSMLCCVGGGGGVAGVEDDVPPERRRVRGGGHHQRLLSSSSSASSASRVSLSSLSSTGTLTPEDLSLTLSGSNLYAFTYAEMRAVTGGFSRANYLGSGGFGPVYKGRADDGLRPGLAAQAVAVKYLDLDCGTQGHREWLAEVFFLGQLRHKNLVKLIGYCYEDEHRMLVYEYMSNGSLEKHLFKSLDGAMPWMRRMQTAVGAAKGLAFLHDADTPVIYRDFKASNILLDSDFNTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTDKSDVYSFGVVLLELLSGRHSVDRSRRHREQSLVDWTRKYLKKPDQLHRVVMDPAMEGQYSYKGAQEAALVAYKCLSPSPKSRPSMREVVKALEPILDMNDYLQIGTFVFTVVVEDNKKDDVTKTKQVDGENKVDMRIEATVEEKHQSHHDRYRQKNPNSAIHADIVLQRDGSIGSYTTSFRRHRRTPSYLKERGA >OGLUM08G17870.1 pep chromosome:ALNU02000000:8:20808406:20813072:1 gene:OGLUM08G17870 transcript:OGLUM08G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPAIAVYTSPPGAVYTSSSSSELEASSRGSAPCATAAPPSPASSHRHQAIAGGLSCLFSSPTAAPRAAAAQDELGALWHDRSGEATAVGGGGGGGGGGYSYPQPSSPFKWRDMLHHSPVPLFHSPASSPASRSPSASWLAGRERERLFSSFVRNALGSCVDYAPVAALPLGVSAAVGVGAGELAFELDEHLSEAEPSCEPYAHDLLAGAQARHRIFHDELVVKAFFEAERAHRGQTRASGDPYLQHCVETAVLLAKIGANATVVSAGLLHDTIDDSFMDYDQIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVISFDEALLTSTLDKLDKGLRDEGISYHSLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVDTEQDCYQALDIVHKLWPRVAGRFKDYILHPKLNGYRSLHTVIMCEGIHPFEVQIRTKEMHLQAEYGFAAHWRYKEGGCKHSFVLQMVEWARWVLTWQCEAMSKEQSSGLGRSDAIRPPCPFPSHSEDCPYSYTRQCNHDGPIFVIMLEHDKMSVQELPANSTVVDLMERVGANSPRCSPYSFPLKEELRPRVNHKPISDPNRKLCMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTRDVFVGQKLCAQLVDEPRIVGSGGDSSPGKACGQEAVLNEKAEENSQLSCRNHESNQTGNQNGDRDLEGTSQSGWIAGLEPWRDATRRSEIEGMAGRWSSIFRVVFGSGVD >OGLUM08G17880.1 pep chromosome:ALNU02000000:8:20813568:20813934:-1 gene:OGLUM08G17880 transcript:OGLUM08G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERVPLLAGKLGHDERGRRHGGGLGGAVPGEHLHQPVHHRRRRADPPERGLAEPRVRRDVDEDPDAAAAARRAILLAGGRPLQRSQK >OGLUM08G17890.1 pep chromosome:ALNU02000000:8:20819403:20820953:1 gene:OGLUM08G17890 transcript:OGLUM08G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSSISGRRPAACMSWRRCPASFGSEFRLCLEGWWREFRHLLATARASPWLGAGGGALAMPSCERSGRRSGGGVRARRPAKRSGAVAASGRARGGRRSRVERRRPRVTLPASAGVVATRATFSTHSANPAEPLDQRRRHITTRIDRSGPPP >OGLUM08G17900.1 pep chromosome:ALNU02000000:8:20824204:20826916:1 gene:OGLUM08G17900 transcript:OGLUM08G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDVGRAAAREAAMPAACSSSSIGKDSDECSPPGKEEEEGEEVQSAFVGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAVSSCGSAKDIAKAESAYSRKRKNLLAYSIMYETSQETAAEVYETGPPKRLASLSRNSLVTLASSSSRSSSSISIEETELTEQLHSPPSPANDENSAVYNAPALQLGSCDPKTSSVPVRSFSMMDLRRLHRPRSSCRLKGQKTTD >OGLUM08G17910.1 pep chromosome:ALNU02000000:8:20830596:20831130:-1 gene:OGLUM08G17910 transcript:OGLUM08G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKEEMMMFFPSGLRVMLVDDDMKTEMLSFFPDGLHVILVDDGKKAMRTATATLSTLHYLALGV >OGLUM08G17920.1 pep chromosome:ALNU02000000:8:20837869:20840809:-1 gene:OGLUM08G17920 transcript:OGLUM08G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLWRVVAWRRCCLEERIPGDSMDDIAAHAGAVGKDGNDNDVIIIEEPQVHFKAVRSRGSRKRQLTINVDSGSSDGADANPRQKLEHKNDAKGPLGQHVASHLQQQQQQPAGTAVISFSNTTVQAPTGNAVIYFSNAASPAATGNVVISFDNVSASAAMQAPVMGQRLSGGVQPDAPQQRLYMGPFPTKALHHHLATIRNHINIVPAAFTPQDRMTMNKDKAPMIELPFGLPVDDFLVGQTAYGSAGPSIGAPDDNDDDAAMYAYTSALNNNAAVGSLMVPPIESTFTIIEPIVGTKGEGSVPVVVVSEDQNNAVAAIEATAPNNAELFMMPEQVAVDAPVDVEEGIMFSLESLLGLDEDMIPMEDAGGEATDGSLNIKEGGMEIGWDLDLDDILMNNTNEFAFLDDLAWIE >OGLUM08G17930.1 pep chromosome:ALNU02000000:8:20845917:20847308:-1 gene:OGLUM08G17930 transcript:OGLUM08G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGFKGIEEGAFNFEQEDEMILFFPDGLHVMIIDDDAKAVRRATAMLSTYSLSHPPFIAPPGQSSSHSRYRV >OGLUM08G17940.1 pep chromosome:ALNU02000000:8:20853541:20858275:-1 gene:OGLUM08G17940 transcript:OGLUM08G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQARISFFPDGLRVMIIDDDAKAVRRATATLSQLQYAVVATHSTASAGLRALSGDNVVEIQAILCDVHKVVSSGFDFRRVVESELRIPVIYLLSKMEEEDMVAGEDAEFLNHLLLTATYIVRKPLNPTVMARLWRVVAWRMYCLEERIQANVAANAGAGGEDDDDDDVVIVEEPQVHFKAEFRTKHQKKDMAIERPLISSDSVFLKAILPTLKISPCNPLTLTGGIGSSSVAAEAFAGGSSSAAPLQIPVFQQQSTGNGNTVISFSNNASPMAMRAPTDNTMISFNNVSAAPVANAVISFSNISRSAAMQAPAARGQHLSGDVQQLDFPQQKLYFGPFSYQGPPPPSMHNHINLLPPTSSPVTCSMDKGKAPIIELPYGMPVDDFLVGQTAYGGAGPSIGATDAAAAVYPYTDAPSNNVATGCLMAPPMGPAFSITEPTVVAQGEGTGTGVDAGTSEKNAIVEAPNNPAPLMVLDQVAADAAMDVEEDIMFSLESLLGPDYDLLPMEDVSAPDTAAVGDAAGGSLDGEEGGMDIGWDLDLDDILMENVNDFAFLDNLAGSE >OGLUM08G17950.1 pep chromosome:ALNU02000000:8:20867133:20867345:-1 gene:OGLUM08G17950 transcript:OGLUM08G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEKDIMFSLESLLGLDYDMLPMEDTSAAEAAAADDLAGMDIGWDLDLHDILVENANDFLFLDSIAGSE >OGLUM08G17960.1 pep chromosome:ALNU02000000:8:20867379:20868187:-1 gene:OGLUM08G17960 transcript:OGLUM08G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEHHVILSDSMFLKAILPTLNVSPCNPLTITGGAGSSTVAAAAFAGGSSSPAPLQVPVFQQQSTGNGNVAPADNSMISFNNITAAPVANAVISFNNFARSIAGQASATMGHHLPAGCSPAEGAFSYQGPLPPSMRNHINLLPTALPLQIGIAMDKGKAPLIELPYGIPMDDFLVGQTAYGGAGPSIEAPHATAAAYPYTDALNNNVAAGSLMASPMEPTFSITEPTVLTQGEGSEMNAVATTRNNAAP >OGLUM08G17970.1 pep chromosome:ALNU02000000:8:20873250:20879476:-1 gene:OGLUM08G17970 transcript:OGLUM08G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRSGADTASASAGVTLPPSPSPPPPTEMAGGSSAGTPWPSPSTALTLGLGLGPPAADGSLKSPGLAPPPRRSLRLASGAAASGVASPTTTPASSAPAAGADGASSGSGSASSGRRKGRAQAIPAPVASPTSAGEFGGGGEVRVWGGGGGGNRSGEAAFISLRSGSRVAKRSMELGVQMGGEMGLGSNGGGGAGGQVHDEMPHRNVDISGKRRKIGMEIPYVSDSESDNDDDCILPGEDGSRMPVQLCAASDLIELNLFTMSMGRTDEGRMGDSVKTGKKKASGVTIRENDIPIDEHVHGQSSAIGESIELLASPMRSALGENNAGMYSTEEERRQKARYDVKGKGKLDLGNDDSGAGTGIGKFSPDSKGKAKMVVEESSLSVSSGADQMDLNSVISEEVQSFPADHWEPRRRERARQRAIEMAPRFAFFKADEDGHSDDGDDNAEELEPVPDPQDWPGPFSTAMRIITDREAKLRARELNSSNLNKSANKVISWIPSKDRKSPLRSAPSLTSLCLQTLSNNAEAIESLAGIPDELKNRLLSSLCHSRKMNVHLLGELMCDNPVTVQLSECSWLSEDDFETIFGKCRTEILQLDLSGRCMPDYMLPATLAKVPNSMPLLKKISLKGNYRLSDSGLDTIISAAPSLSSLNLCECSLLTSTGIENLANKLSLVLTELYIDDCLNVDAMMILPSLQKIKHLEVLSMSGIQSVCNKFVNELIPVHGSNLKELAFAGCLQLTSSSIKTIAGNCPQLSSLDLRNLNRLRDSAMRHLRNGCRLIKKIKLQRNTFSDEAVYRFLEQSGGYLTELCLNNVEKAGNLTAYAIARNCSTHLEVLDLSFCRELTNEALGLIVDSCSSLRILKLFGCTQITDVFLKGHSNSLVTIVGIEGNILKQTGSL >OGLUM08G17980.1 pep chromosome:ALNU02000000:8:20881083:20883042:-1 gene:OGLUM08G17980 transcript:OGLUM08G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTIPARPLLAAVLAALLLSAASAADSKNNPADQLVALINSNRTASKASTLDDNQGLGCIALQYIKAYEGQCNQVGESKKPPETSFAETFAPNCGVQAATLTKITGRLLACQSNYATPDQAFNFLVNDAKSIQVLHSKNHTEVGAAVSGTSGGGPYFWCVLFSSGKPTTSFKVDGGVPKSVRPGCFSGNNDDCMGANAAVSIGAGTWRLVAALLFSAACVFAL >OGLUM08G17990.1 pep chromosome:ALNU02000000:8:20888678:20892884:1 gene:OGLUM08G17990 transcript:OGLUM08G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVFTRWPCTIAQVQANTGAIKLQNPRRHLIWLSYTNTAHGCRQLGIDLGGAVPMSAMSAPCEHQSCRPPAAQSRPGRRLGGLQEEAGRHPRAAAPPRVPLEQAAPAFRGQLGHQSPAARAAGFLDPCSDIHDRNGAFWCSAQRGPPRGRSSRHRHHRIVPPCDGSGGKNRRFRMRSATFLCDGGGVVWNTASSASSSRGGDGGGGAFLFLLWRGASATSGGGGVWTSTFSAAAALPFSPRAFLLGRGASGTCSAGAVSFTSPWESRR >OGLUM08G18000.1 pep chromosome:ALNU02000000:8:20889946:20892444:-1 gene:OGLUM08G18000 transcript:OGLUM08G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVPKNMEPQISPRAPPPDTDCLVAGEPTRRRDSSAAGGSRDSTAMAVGVLDAPLPPPICRPASCHAASSPPSLPSGATAATSPPALSMDEFNEKFFEEYGCDLTELLFTEEEVRVLMLEYEAEKAHLLSHGEVKETAPAEQVPDAPRPSKKARGENGKAAAAENVEVQTPPPPLVADAPRHSKKRKAPPPPSPPREEEAEDAVFQTTPPPSHKKVAERILNRRFFPPDPSHGGTILWCLCRELLPRGGPRCALHQKAPFRSWMSEQGYVEEAGGAGGWALVPKLSAECGRSLFQRYARWRRRAWMPTRFFLETTQPPTRT >OGLUM08G18010.1 pep chromosome:ALNU02000000:8:20893541:20897340:1 gene:OGLUM08G18010 transcript:OGLUM08G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxophytodienoate-reductase 3 [Source:Projected from Arabidopsis thaliana (AT2G06050) TAIR;Acc:AT2G06050] MDRPPPDQQRQKQAPLFSPYQMPRFRLNHRVVLAPMTRCRAIGGVPGPALAEYYAQRTTQGGLLISEGTVVSPAGPGFPHVPGIYNQEQTDAWKKVVDAVHAKGGIFFCQLWHVGRASHQVYQPNGAAPISSTDKPISARWRILMPDGSYGKYPKPRRLAASEIPEIVEQYRQAAINAIEAGFDGIEIHGAHGYIIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRISPAIDHLDAYDSDPIKLGMAVVERLNALQQQSGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRLMRTLRGTYQGTFMCSGGYTRELGLEAVESGDADLVSYGRLFISNPDLVERFRLNAGLNKYVRKTFYTPDPVVGYTDYPFLGQPKSRM >OGLUM08G18020.1 pep chromosome:ALNU02000000:8:20900003:20900662:-1 gene:OGLUM08G18020 transcript:OGLUM08G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPLLPVLLSTMILLSAVSTTTTALTQDFCVANLPLGADTPSGYQCRPAATVTAADFYSGALARPGILIRPFNTSLASAFVQQYPAVNGLGISASRVDILPGGVVPLHTHPAGSELLYVLDGALVAGFISSSDNKVYYKEVSKGGMFVFPQGLLHFQYNTGDTTAVAFAAYSSSNPGLQILDYALFANNLPTSYVVKGTFLAEAEVRRLKSKFGGSG >OGLUM08G18030.1 pep chromosome:ALNU02000000:8:20901976:20904572:1 gene:OGLUM08G18030 transcript:OGLUM08G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSPAVGWRPRAAPFSPATPAVTASVAGRIREDGEDEGRAARAAARRRRRSGGQAKGRRGWRSGVWAKGRRGRREWRRRRRPERLGEGAAGMAATSLLDPLPLRLYSGDLHLQIRPN >OGLUM08G18040.1 pep chromosome:ALNU02000000:8:20905014:20905655:-1 gene:OGLUM08G18040 transcript:OGLUM08G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin-like protein subfamily 3 member 3 [Source:Projected from Arabidopsis thaliana (AT5G20630) UniProtKB/Swiss-Prot;Acc:P94072] MAKAVMMLPVLLSFLLLPFSSMALTQDFCVADLTCSDTPAGYPCKASVGAGDFAYHGLAAAGNTSNLIKAAVTPAFVGQFPGVNGLGISAARLDIAVGGVVPLHTHPAASELLFVTQGTVAAGFITSSSNTVYTRTLYAGDIMVFPQGLLHYQYNAGQSAAVALVAFSGPNPGLQITDYALFANNLPSAIVEKVTFLDDAQVKKLKSVLGGSG >OGLUM08G18050.1 pep chromosome:ALNU02000000:8:20910849:20911160:1 gene:OGLUM08G18050 transcript:OGLUM08G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLPTAARGGRSMGGHIKGHRLPTAACDGELPTVVRREASGRSLCCLDDECVLEMRDVVDATRDDGERL >OGLUM08G18060.1 pep chromosome:ALNU02000000:8:20915629:20916167:-1 gene:OGLUM08G18060 transcript:OGLUM08G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRWSTGHGWLVVVQMTGRQRRLWTCGCAVGFRGDMFDGYHTIRDGLVELYFASDVSIGVLGMHTDQQPHDLGPRGGLVVLGSRRKATSLATRNWRRLWQLRGEKAGDFGRNERGVWGEGKW >OGLUM08G18070.1 pep chromosome:ALNU02000000:8:20932458:20935667:-1 gene:OGLUM08G18070 transcript:OGLUM08G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin oxidase 7 [Source:Projected from Arabidopsis thaliana (AT5G21482) TAIR;Acc:AT5G21482] MAVLEVRVSAPYKLPGPKLPRERQSKRASCCTVTSRLQRELSSARARLSSARARLQREREREKQETQRRAKMMLAYMDHAAAAAEPDAGAEPAVAAFAAAMDFGGLVSARPAAVVRPASSDDVASAIRAAARTAHLTVAARGNGHSVAGQAMARGGLVLDMRALPRRMQLVVAPSGEKFADVPSGALWEEVLHWAVSKHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAQLEVVTGDGECHVCSRSADPDLFFAVLGGLGQFGVITRARIPLSPAPQTVRWTRVVYASFADYAADAEWLVTRPPHEAFDYVEGFAFVRSDDPVNGWPTVPIPDGAHFDASLLPANAGPVLYCLEVALYQRGGGGDGGGDDMDKRVGEMMRQLKYVRGLEFAAGVGYVDFLSRVNRVEDEARRNGSWAAPHPWLNLFISSRDIAAFDRAVLNGMLADGVDGPMLIYPMLKSKWDPATSVALPEGEIFYLVALLRFCRPYPDGGPPVDELVAQNNAIIDACRSNGYDYKMYFPSYHAQSDWSRHFGAKWSRFVDRKARYDPLAILAPGQNIFARTPSSVAAAAAAVIVMMVMMGMKRRDRDEHNDDDDDDDRVIVRYIWEGCKRGGFRFDGMDDVSRAVGPAAVARLCSRSCKRENERCKRFPALILFLLIPPGEIGMPFDGDTHLVSLLG >OGLUM08G18080.1 pep chromosome:ALNU02000000:8:20940512:20949797:-1 gene:OGLUM08G18080 transcript:OGLUM08G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPNRKRRLAAAEAEAEPELSPPDALGALPVEVLDNILGRLHIYDVVRTSALSRAWRRRWESLPTVDLTRSPGVAASDVDAVLLRRSAAPVRAFRLAARDPSWFVDALHDWLLYLSRSGVQALDLWFPTPSFRLHSCLFSCRELTSLDLEGCRLPPAPSGFEGFPNLKKLHLTKVSLPEHGGKALAALFAGSPLLEDVELMNALLVGDGADEWVIRAPNLRKLIMVAPFPYAGRVEDVPRLEVGILCGPNYAKFLTGMAHVTKLEFVCSFMRSTEVDVVEQLPFLFEKLRSLVISVNFCRMSHILFMFCLLRSAPVLEELDVLGQGDDAQDIDANDEFLNAQSTNDMFAKLRVVRMKKVACLCNEMHFMEFVLNKAGVLRVLSVYPSSGSTCSNEQTIITEHPRVSPDAQVIFMNRESANNGYMHTSSVNYELETIRSGTWLDVEHPRKIHRLDLDAVDQQKQLEKYLSEKSNIPIPPFPDSSSVSSSSQPVVRTSALSRAWRRRWAALPSVDLARSPGISEPDVDAILLRRSAALRTFRLVARARKGTWSVDALPHWLLYLSRSGVQALDLSFPELRFRLHPCLFSCGELTSLALNSCRLPPAPSGFAGFPNLKTLRLEDVDVPRHGGKEVAALIAASPLLEDLGLLAVKLIGDGPDEEWVIRAPNLRNLTMVCETAFGGRVEDLPRLDEGRLFGPNCAKFLAGMSQVTKLDFFCNCMLSTEVDVLERLPFLFKNLKNLSLAVNFFKMSHMLAIFCLLRSSPFIEELDVWGCTEGAKEFEANDRFLNAQLTDDVFVKLHVVRLKNIACVRNEMHFMEFVLSKARLLRKLYVRLSFYAVCSNEEAVIDIAEYPRTSSDAEIIFMECGIDCPEFPELTAPHQPILNSSLIQLLMLKAMLRQGDITDPVSNGVGNAHVYSEEGHIVNHVANVNADSPEGHVWDREATDSHPIVHGSVSAVQIWSRPCLLVVGALAVFCDYTSIF >OGLUM08G18090.1 pep chromosome:ALNU02000000:8:20950217:20954339:-1 gene:OGLUM08G18090 transcript:OGLUM08G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVRSPPPPSRQRRSVGPAMDALGSLPLDVLDNILSRLHIHDVVRTSALSRAWRRRWESLPTVGLLNSPGIGASDVDALLLRRTAPARSFRLATRDRSWSPTAFHDWLLHLHRRGGLRDLELTLRYEFMYQKLNSCLFSFRELTSLKLYCCGLPNLPAEFAGFPNLKTLHFSMVQVQSPGGRGIATLIAASPVLQEASLIDGKLIGDGPDEDWVIRGSNLRKLTIALGHKYGGRIKDIARLEECCLFGPNYANYLMRMAHVTKLSFYCNFILSTEVDVLERLPFLFENLRSLVLGVNFCILSHILATFCLLRSAPVLEELDVWVFSDGTQEMKTDDEFFDAQWVNHMFAKLHVVRMKKVSCLCNEMLLIEFILSKARALRVLSLTLASNSQFSIEEAITDITEYPRAPPYAQVIFAGREPECANDEWNGFVDLSAELSDLEDIQTSGRRSLDTVNPRRRQRLNGESVAQLQQLEEQLLELEKEEEEHHMRRMQALNEMDQESENVFRHQEYIISTIEKTMPLLMLLMLMLVLQQRMLPMVLRMVLRILQMAISDWSFMILVIGVLSLAVKFSVVNILDCGTILNLSSVEVITVVLQ >OGLUM08G18100.1 pep chromosome:ALNU02000000:8:20961123:20961962:-1 gene:OGLUM08G18100 transcript:OGLUM08G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSPRRRKLRRLSPGAAPQQPQPSLNSLPSEILENIVGRLPVRQAVRTSALSRDWRRRWESSPGIRFGWGSGEAGAAAAVGQILARYACPVRHFRHGWIESGGSARADEWLVVLAGRGVEHLALIFSEADNFLFHTLHAAIFSCRELTKLELGSCRLPAAPSDFSGFPNLTVLTLTMVAFPPHGERTLEAMISSAPLLQSLELKNVSMEGGEWDEWVIRAPNLKDLIIQLEFDFLWEIEQLPSIQTATISVDNESTDRDFVQLLTCFARPSYTGKLVC >OGLUM08G18110.1 pep chromosome:ALNU02000000:8:20963380:20967286:-1 gene:OGLUM08G18110 transcript:OGLUM08G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPNRKRRLAAAEPEPPPPDGLGALPVEVLDNILGRLHIYEVVRTSSLSRAWRRRWESLPTVDLTRSPGVAASDVDAVLLRRSAAAPVRAFRLVARDPSWFVDALHDWLLHLSRNGVQALELWFPTYNFQLHSCLFSCRELACLDLDSCRLPPARMGFEGFPNLKKLRPHEVTLPEHMGNMLAALISASPLLEEVELVSVFLVGDYPDEEWVIRAPNLRKLIMVAAFPYGGRVEELPRLEEGILCGPNYAKFLTGMAHVTKLEFMCHYMLSTEVDVLEQLPFLFENLRSLVISVNFCKMSHILFMFCLLRSAPVLEELDVVVMLNDHLQIHYAITCFKGQSNDAQDIDANDEFLNAQPTYDMFAKLRVVRMKKVACLCNEMHFMEFVLNKARVLRVLSVYPSSGVTCSNEQAFITEHPRVSPDAQVIFMNRESANNGYMDTPSVNYKLETTRTGNWIDLAHPCKINRLDLDAVDQHKHIEEMLLIRQKLLKERKEMAQALHEDKKLLLNYFAAVKKYFTSNLKYLSEQLNISIPPFPEPSSVSSSSHPTSPRLAEALTDPANRSADNVQADSRADQVAIGASSARANSPKPEDNV >OGLUM08G18130.1 pep chromosome:ALNU02000000:8:20972722:21012471:-1 gene:OGLUM08G18130 transcript:OGLUM08G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPPRRKPRLPPPPPEGDPTASAVGASLESLPGELLENIVSRLSLRDAVRTSAISRSWIHRWESAPDLRHYWPRRSRPDAICAVLARYSRSVGQFCTWGIRADAFPHIDEWLPLLAAKGVQTLTLSFWDYSDVNVEYYTLHPAIFACGQLTSLHLERCFLPTAPEGFGGFPNLTLLSLVYVGLPENGERKLEAMIRMSPSLVSLELSNVEVTDDDFEDWIIQAPNLERLTITSDIDYGWQIQDLPSIQDANINIEDYSIDRDFVKLLTSLAQVGELELFIPSADGNVLEGISCSFQKLRSLTLHTNFYKASSILSTFGLLTRAPNLLHLEIEITDHENQSDEVDIDFLNALWTNSLFANLDFVSIKSATCWSNEMRFIEFVLSKARLLGEFYIYHDDTGSYSKPREEAIIELAKYKRASPKAKVFFRDMEASAFVIDFGPSTIAITGFFSDCVAGRLNPSSTTSRRREGSPPRRKLRPPSPPGSAAQPSLDCLPSEILENIVARLGIREAVRTSAVSRAWRRRWETSPGLSFEWDRGEVDPAIVATVLARYSRPVVSFRSGWVEREHSAVTDEWLVLLAGRSVESLTLGFAEFDDRRFHTIHSAMFSCRELTELYLENCRLPAAPSGFLGFPNLTTLSLTMVNLPEHGESTLEAMISLSLLLEWLDLRSVCTDGNQMDEWVIRAPNLKHLTIESDYDYLWRVEELPSLQTATVKVDDDSTDRDFVQLLTCFAQVSMLELHLPATEDNALDGLSCSLEKLKSLTLHANFRSVSSILCIFSVLMRCPNIGVLDIEIMGSEFPQNDEIDAEFFNTLETNDLFTNLDDITLRNAPCLSNDMHFIEFVLSRVRLLSKFWVFRDDSNSLSKPSEEAVIEIEKYRRASPKSRVFFRSMEITSFTWTVVKNPVLLDRIIGMVMTSSPGRKLRPSQGDAGTAAAAALDSLPPEMLTDVISRLPIRETVRTSALSHASRRRWESVPYLTFCWPRRTPPGAISTALRRYSGLVRKFTNLYIGEEEEEEEAPFCYSDRWLLLLAGKGVQSLFLAFRRSDEEIPMLHSSTFSCCELTQLELADCYIPGLPTCFAGFPNLTSLRLSDVGFPDGAKGLEILLARSPLLKSLSLLMLLFPAKNGVYHQWAPNLQNFFITGLYDDGWQISDLTFLEEATVDWPLYSYDRDFVKLIIGLSQARELDFAMPVRDVNVLEGLSCSFKNLKCLSNASKLETLRIKLFDDSTQDDEVDNDFLNGQWTDDLFSNLKSVYVRNMTCKLSEMHLIEFILSKARNLEKNDVCLAEDCSKSNEEAVSERGERNGTADSGEEMADVQSPPPPPSGQRRRVGPAPDALGSLPLDVLDSILSRLHIHDVVRTSALSRSWRRRWESLPTVDLTRSPGISASDVDALLLRRTAPARSFRLATRDRSWSPTAFHDWLLRLTRGGGGGGLRDLELTLRYTYINSKLNSCLFSFRELTSLRLHCCGLPHVPTEFAGFPNLKTMYLSVVKVQRHGGKGLATLIAASPVLQEVTLIDVVLIGDGPDEDWVIRASNLRKLTIALGSEYGGRMEDLPRLEECCLFGLNYAKYLTGMARVTKLTFYCNCMLSTEVDVLERLPFLFENLRSLILGVNFCMMSHILAIFCLLRSAPVLEELDVWNWSEGAQEMEANDVFLNAQWINHMFAKLHVVRMKKVSCLNNEMHFIEFILSKARVLRVLSLTLASDSLSSIEEAIIDITEYPRASPDAQVIFMGVEPESANNDMNGFLDLSAEFPDVEEEETSGLGSLDTVHPRRRQRSNGESVAQLQLLQEQLQELEKEKDQHYKPTVEELKEIIQEGKKNAIKFQNYFESTIQVTTRPGDTPVDPADNVAANVPEDSREGHATSGDADVHVRSPIDFVAKCVGNGCAESPEDQI >OGLUM08G18140.1 pep chromosome:ALNU02000000:8:21026182:21026535:-1 gene:OGLUM08G18140 transcript:OGLUM08G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVLRRPSSPPRASSPDAEALRPTSPTAERPCSRWTPRFSGQNEILIQHDSIRVTPTDLLSKLE >OGLUM08G18150.1 pep chromosome:ALNU02000000:8:21028329:21039857:-1 gene:OGLUM08G18150 transcript:OGLUM08G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREEYRAKRRRLPAAEPDFLAGLPPEIVDDIISRLDIRDVVRTSALSRAWRRRWESVRGLDLSFRSSAPAAAISSVLKRAAAPVRGLGLRVPGRRFRRAVRWLRLLPRKRVQSLDLHFEFVFGEKPSLDPSILSCLELTTLVLEGCIFPPSPPPPSFVGFPELTKLSLSEIDLPRHGGRRLEAMIAASPLLVELSLSNVRSLHHWERWLIRGPNLRSVWIWTDYDYGCRIGELPRLEDAIVFASAIKTEVLCKILEGISHAETLGFDAITDQFNGNPPERFSFTFQNLRSLDLHACLDQISSTSWVFCILRSAPNLETLEIEVDCDDDEVDAGSVEGFANAQASDDIFPRLRDVWLHSIDCSSNEMCFIKFVLSKARSLELFSVRVTSSRLSYQEACIEMAKYKRASPLAKLRLIRG >OGLUM08G18160.1 pep chromosome:ALNU02000000:8:21046343:21051904:1 gene:OGLUM08G18160 transcript:OGLUM08G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLAGGAPPLREQSDDADDAAVARGAGECATPSTRQAIKALTAQIKDMALKASGAYRHCKPCAGSSSSSPAAAARRHHPYHAYADSGSDRFHYAYRRAGSGGDATPSVSARTDFLAGEEEEEEEEEEGTTADGSEDDEAKEWVAQVEPGVLITFLSLPEGGNDLKRIRFSREIFNKWQAQRWWAENYEKVMELYNVQRFNQQTPLPTTPKSEDESLKEDIPATPPLNSERLPHTLHRSLTGGRTTGYGQPDSLGHQHNLGNGHRQQHHHCYTGHQCYGSVGLASTPMLSSISGAKTETSSMDASMRSSSSPEEVDRSRELSVSVSNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHDQYL >OGLUM08G18170.1 pep chromosome:ALNU02000000:8:21055802:21056749:-1 gene:OGLUM08G18170 transcript:OGLUM08G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGAGFLLVGLWHLYNHIRLFLLRPTAYVAPVWFPVRRARYLELALVIAGAVASILMELVVGPARHQPFDADGTVPSDHLHNFEHASISLALLVYAAAAAALDVARVPHGRAVSQLVAAVAFAQQLMLFHLHSADHAGVEGQYHLLLQGVVAVTLAATVLGVATPRSFAVSLVRSASLVLQGVWFLAMGVMLWTPALLPKGCFLSREDGHDVARCRADDGGDALARAKALVNLEFSWYLSGTVVLVVALYLRLCRLYPEEPRYMPLVRGDCRDDDDDGGGDGDDNDVEAGKGGAGHVLREPLEIARP >OGLUM08G18180.1 pep chromosome:ALNU02000000:8:21059881:21060807:-1 gene:OGLUM08G18180 transcript:OGLUM08G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Family of unknown function (DUF716) [Source:Projected from Arabidopsis thaliana (AT1G49470) TAIR;Acc:AT1G49470] MGTFLGHFVPGLAFAILGLWHALNTVRAYKLKGASGFRAAAWFPFPSPVPGLKHLELYLLLSFSVLAIVDQLVDFPLLSFTIQPDALEHATMYLHLAVYASVALAADGVAASSSHHGGAQAQLGEVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVTASLVSTSATVVLPRSFAVAVVRSASVLFQGLWFIVMGFALWVPALVPRGCHGAEAGGGAMRSAVACPTDEAARRAVVMANLQFSWVLAGVWAVTAYLCLRVGGSRSMEYRQIQAPSGGDVGALAGDGDATQSQKRVFPVSDNV >OGLUM08G18190.1 pep chromosome:ALNU02000000:8:21062529:21063993:-1 gene:OGLUM08G18190 transcript:OGLUM08G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWRHRPSLVTADGDLLVHTIVSPERTPNCYFPLDDFFHHDVDTLKPPGFEWEVDGTLQLEEELWSLPSYQGSPLPRITPTFPVFSMHEADVLHFILDRPGYDDKCWVITVDIKNKSSLGSSNEFRDYLMISTDLSKYSLRSKIVQLGRIWSLVATWTGYQQLEPTEWEEARSVQHWWESLANTRGVPKGGLRSLILLKERNRRIFDHKEAATGFSNQESRRRLVFGF >OGLUM08G18200.1 pep chromosome:ALNU02000000:8:21064051:21065622:1 gene:OGLUM08G18200 transcript:OGLUM08G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNDRDVRVSLRLASISLPIEAVYSPSPARPSEWRRRRNSRVGAAAATTGRCTGGAAATAGRRGSTAGQHGGGDRWPARRRRGNSRGSTRLYRGPARQRQPLAGAQGGAAAARRKGATLVRRPVGNCGPARSGATGSSGLGEYGWGREGVKGKVGAGAVRGRRCWRRHRCSRATVLEEMQGRLADATGLDFVMFAIAEIVLKFSDISMKVC >OGLUM08G18210.1 pep chromosome:ALNU02000000:8:21077879:21081216:1 gene:OGLUM08G18210 transcript:OGLUM08G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPSPVTPPSPVLQCGSGLGGEVSSGGGPGGEGGSGGQNGEGRSGGEWVAGTARRAAAGERAICRARHQPRLRHRPPRQEAATVDTAVSNSKNSIRTCIIRYSVWGRKKEMKKKGDGNARRERGPSAQHPLRKFLARTRTRRGNKGEQPYFMSPQSIARSSMKQDAQWRQGDIGLVSVVRWGCMRERKS >OGLUM08G18210.2 pep chromosome:ALNU02000000:8:21081219:21082109:1 gene:OGLUM08G18210 transcript:OGLUM08G18210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWTALKMLEVADQALSGPRCQELPDHTYPRFAPSNQATLPSHRGSLSQGPASTPICRWRLARREGIRGWWWPSTSASIPLRMELWEGGAVVVGSSVIDNMVEMEEGNDGVAEGGMH >OGLUM08G18220.1 pep chromosome:ALNU02000000:8:21086878:21087780:1 gene:OGLUM08G18220 transcript:OGLUM08G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERDGRDQPNIDSFSQLPFIRQAAREKPPSSSSGGSVVVPPAPIRLFGFDVPPDASTTADVLGENKESAAAVVAAEGSKQTASGLDAIGGGGGSRKFECHYCCRNFPTSQALGGHQNAHKRERQRAKHAQFQTAMAMHHGHGQYYPLPDPYAAAFAAYPGHHHHHRFAATAAAAMPPPPHYPSWAAGSRYYSGPGSISQPINGSPVAPAGMWRLPAAASCVGLATTTTTAAPLPARRQERPAATIPSLLGGGEEPVVLGGAGSTSFSPSTSSSSSSASPHERRAQPARKENVSLDLSL >OGLUM08G18230.1 pep chromosome:ALNU02000000:8:21093247:21094110:1 gene:OGLUM08G18230 transcript:OGLUM08G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACSTGLSQYSVFSPISAGDVATHRKPQDASTAPPLVPTAMPPPSSTNQSLKTSDLEWNYELKLPFINHPDQNQRKGERWDLDGEGVHVSAERDDGGKAFLHTLSSFMCTREEFPGRSSIPNCSRPSTLNPGIL >OGLUM08G18240.1 pep chromosome:ALNU02000000:8:21104223:21108262:1 gene:OGLUM08G18240 transcript:OGLUM08G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DnaJ/Hsp40 cysteine-rich domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G34860) TAIR;Acc:AT2G34860] MAAVGCQFLLSLSPPLYSIRRPAAAHRPAKARSHISCCSRHDDAEACSTSKPLTNGKEEEKTTPSRRKCLACLCAVTLISASGPTMLTPNGLASDMMSKPAVCRNCNGSGAVLCDMCGGTGKWKALNRKRAKDVYLFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGKILPDL >OGLUM08G18250.1 pep chromosome:ALNU02000000:8:21108879:21112613:-1 gene:OGLUM08G18250 transcript:OGLUM08G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aha1 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G12050) TAIR;Acc:AT3G12050] MAKYGEGDARWIVQERADGTNVHNWHWAERDCLEWSRSRLTSLLAGLPVLSGEGGLALRTTALDKLDGEAYVNIRKGKVIPGYELSLTLAWEAEATTESGVVKVSGAAEVPYLADENADEDPELRVTVRGDDGPVARRAKDAFLTKGKPLVLEKIREYVAAMAKGGPAKDELDSKKTPTKAAAVEAGGAATAPAPAAEKKEEAPAVKEKKAKAKDKEGFKTIEMTEKFYCRAKDMYEILMDENRWKGFTQSNARISREVGGQFSLFDGSISGVNEELQEGKLIVQKWRFGSWPDGVHSTVRLVFDEPESGVTVISLKQTDVPEEDRYGNSTVVENTERGWRELIFQRIRGVFGFGI >OGLUM08G18260.1 pep chromosome:ALNU02000000:8:21153722:21165299:1 gene:OGLUM08G18260 transcript:OGLUM08G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSPPPPPPAPADAEAVPLFYCYECESTVSLPPPPPPPSRPLFCPRCRGEFLEEENPNPPPEPEEEEEVSSPPPPPPPPPGFLSDSSSDDEEGGDLDLGMGGMDAAAARAYLSRLVHHHLYDDEPIDVAAAAVSLLQRSGLHQGGGGGGSAPAAAASIAALPTVEVSEPATACAICKDDLPLAAPARRLPCGHLYHSECIVQWLEMRNSCPVCRSRLPSDEPQDAAAPSDPGPAPMRITIRLSTNRRRVRTSSDAAPPVAASPTQLAQAVTGEGGGGPANSTETVSSEWPPPSESDAVMSDAREGQRFFD >OGLUM08G18270.1 pep chromosome:ALNU02000000:8:21167522:21168902:-1 gene:OGLUM08G18270 transcript:OGLUM08G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDAVTVADGGRPELVLGLGVGVGAGVRRGEEEERGRREDVVGAGRWAAMAAASPEPSVRLSLVSSLGLHWPSETGRSEAAARGFDVNRAPSVAAGAPGMEDDEEGPGAAPALSSSPNDSGGSFPLDLSGHGLRGHAEAAAQGGGGGGGERSSSRASDDDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELAELRALKTARPFYMHLPATTLSMCPSCERVASNPATASTSAPAAATSPAAAAAAPTAAARTAVTSPEPHRPSSFAALFAAPLGFPLTAAQPRPPPPASNCL >OGLUM08G18280.1 pep chromosome:ALNU02000000:8:21169213:21174448:1 gene:OGLUM08G18280 transcript:OGLUM08G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGATWSGRFDPDSSHSTHLGWNEVPGTITQPWMTRGGKVVTHRAGVGDEITKERMRRRRHRVLVRCGMPDEGTDGERIYSEGEPSLAIAARDAPVPSVLPPAPPVVPVPPLRPMASSVRAL >OGLUM08G18290.1 pep chromosome:ALNU02000000:8:21174536:21175310:1 gene:OGLUM08G18290 transcript:OGLUM08G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGREHASQGAEAAEHRPPAQPRQEGQHLPPAATQRNHSGAGGGCSARTRRNGGGGLEWGRVQHLFYVLGELESFSGDANHFLAAHGLRALARWLPAAVGTAAAAAVQASTCGAAAAAATPRPLHVVDLGVSHGVQRQRVARGAGECVDEVEAVEGVAAAEEDEVAAGAGEEGGVARDRVGGEGCHDDELGERYAMRYAGAEELGQRRRSGGMRGRRRRRRRSKWRQGWHAAAKTR >OGLUM08G18300.1 pep chromosome:ALNU02000000:8:21188086:21189584:-1 gene:OGLUM08G18300 transcript:OGLUM08G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVHRRGALLLHAVQGGHHAGADAQHPGHRGGGRHQQLGGHDEGDGGALLDGSTLTLSMVVITAVATPLIKLLYDLSGRFGRAKRRTMEGWRPNAELRVMACLFSEDHAAPLLNLIEESGSSRDAPMSLIVLHLTVLVGHATSVLKPHRKSRSSCGNPTPLPTSSPSSTSSIPSLAASPSTPHSSPRRWSCSWCCASPAIWWGRRGALRGTRPGSRPHLPPRFSQDRRIATSLLRLFLQQQMDGMGWDGMSRYSPTPTFMTNDVGSCMHISICTAHRSTGCERPWALARSVFAACASACPHTGH >OGLUM08G18310.1 pep chromosome:ALNU02000000:8:21196088:21196360:-1 gene:OGLUM08G18310 transcript:OGLUM08G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRIGSSSSEAPPPPPPSRFGGALPTARWLTVGGSGGGSDADGGGGGFPRADLVATTSRRRQRLPASESGKDNGIGGFSWAYQAAMWR >OGLUM08G18320.1 pep chromosome:ALNU02000000:8:21196910:21198412:1 gene:OGLUM08G18320 transcript:OGLUM08G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNQLWLLWATLAVSLLYYISNRRRRVGGRRRCPPGPMPLPLVGNLLNLRGHLPPALARLARTYGPVMMLKMGLTTTVVISSGDAAREAFTKHDRHLAARTVLDVTRSLGFADRSMIWLPSSDTVWKTLRGVTAASIFSPRGLAALRGVRESKVRDLVGYFRGRAGEVVDVRHAVYGCMLSLVSSAFFSVDVVDLSAESENEFRQSMTFLMEVVSETNVSDFFPFLRPLDLQGWRRLTERYLGRVTCFLDDVIDRRFAADASANRHGDFLDSLLDLVSTGKIVRENVTTILLDVFIAGSDTITATVEWAMAELLRNPSEMAKVRAEMDGALGGKKTVDEPDIARLPYLQAVVKEAMRLHPAAPLLLPHRAVEDGVEVGGYCVPKGSMVIFNAWAIMRDPAAWERPEEFMPERFIRRGDDDEVDFWGKTFEFIPFGSGRRVCAGLPMAERVVPFMLASLLRAFEWRFPDGVSAEELDMSHRFTIANFRAVPLKAVPVVVS >OGLUM08G18330.1 pep chromosome:ALNU02000000:8:21199628:21203439:-1 gene:OGLUM08G18330 transcript:OGLUM08G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase 5 [Source:Projected from Arabidopsis thaliana (AT3G17760) UniProtKB/Swiss-Prot;Acc:Q9LSH2] MVVSVAATDSDTAQPVQYSTFFASRYVRDPLPRFRMPEQSIPREAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMNSVNKNYVDMDEYPNRCVNMIAHLFNAPIKEDETAIGVGTVGSSEAIMLAGLAFKRKWQNKRKEQGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNNLLTEKNKETGWDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYPGVGWVIWRSKEDLPEDLIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCMENTAILREGIEATGRFEILSKEAGVPLVAFSLKDSGRYTVFDISENLRRFGWIVPAYTMPANAEHVAVLRVVIREDFSRSLAERLVSDIVKILHELDAHSAQVLKISSAIAKQQSGDDGVVTKKSVLETEREIFAYWRDQVKKKQTGIC >OGLUM08G18340.1 pep chromosome:ALNU02000000:8:21208281:21213884:1 gene:OGLUM08G18340 transcript:OGLUM08G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIGIRLARDKYFSKPAFDTVMTEDDNCEITSIQSLIGCSGRRWIMGVISQLEERQFYLEDLTGANSITSFLSTEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSEPFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMDNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLIYRMRWSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >OGLUM08G18340.2 pep chromosome:ALNU02000000:8:21208281:21213724:1 gene:OGLUM08G18340 transcript:OGLUM08G18340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIDNFYTVSNWVQWSEMDYGEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSEPFSRDVQMCYLVEKTMEKLGVVLDGYDSVEAVPSLFVLMDNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLIYRMRWSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >OGLUM08G18340.3 pep chromosome:ALNU02000000:8:21208281:21213724:1 gene:OGLUM08G18340 transcript:OGLUM08G18340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSAATRKKLQRKFRLRGFTLKVDALEEAAAFLARFPDAEDDALDLLLDELDKEPRGGGGRCGVSGGHQRALGAAGGGFVRRATVPLRSNQESIPADYSSMEKLEIKQPYTGIDNFYTVSNWVQWSEMDYGEHKITSGFFVENTVILAEGELLSNGIFQVNTCGFPPLEDREASLSMLMGLDFFGGGVIAAEETVRLSTLEKKAMNDMFVILSDVWLDSSETMEKLGVVLDGYDSVEAVPSLFVLMDNFCSRPCNLAFNSFEELRLQFGKLGEMIAARSRLKEHSRFLFIPGPEDAGPSKALPRCTLPKYLTEELQKHIPNAIFVSNPCRVKFYTQEIVFFQQDLIYRMRWSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHTIVLGDKSEQKAFKYAGITCFNPGSFANDSSFAAYRPCTKEVELSALES >OGLUM08G18350.1 pep chromosome:ALNU02000000:8:21220508:21224018:1 gene:OGLUM08G18350 transcript:OGLUM08G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSHTVTVSMDVEAGQKNKDKKGISQDLILAYKTLGVVFGGLVTSPLYVYPSMNLTNPTEEDYLGIYSIMFWTLTLIGVVKYICIALNADDHGEGGTFAMYSLLCQHANIGILPSKKIYTEEENLISNQPVVAGRPGRLRRFIESSIIARRLLLLTAILGMCMLIGDGILTPAISVLSAIDGLRGPFPSVSKRAEAMFADLGHFSKRSIQIAFMSSIYPSLVLTYAGQTAYLINNVDDFSDGFYKFVPRPVYWPMFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSKDKEGEVYSPETNYMLMLLCVGVILGFGDGKDIGNAFGVVVILVMLITTILLTLVMLIIWGTHVVLVALYLVPFLLLEATYVSAVCTKILRGGWVPFAVSVALAAVMFGWYYGRQRKTEYEAANKVTLERLGELLSGPGVRRVPGLCFFYSNRQDGGWLTPVLAHYIRNMRSLHEVTVFLTLRYLLVAKVDGKDRVQAVRRLGPAGVYGCTIQYGYADAIDFEEDDIAGQVVGALRERVVDGEEEGERVEAARAAGVVHVRGKMRFHVGKDTRLFDRVLLGFYELLHGACRSALPALGIPLQQRVEIGMLYKA >OGLUM08G18360.1 pep chromosome:ALNU02000000:8:21226808:21230259:-1 gene:OGLUM08G18360 transcript:OGLUM08G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGLGDTGRFLFEWVPQLRCFGLGVVGVGAAGDPIPHGHGHTPHGRELELELFFPKCMESPASEAVVTGLPAHQDTMVMYDEFDELLQSFWDGNEEQQLVGFDSSCFLNEKNSDVTCFLDYDGDDLPLALSSVSPMEPLDNTVGQPQAELQHPPSSASSHCNVGPHASDTGASADKDCSSKRPATPEANETASSKRSRTTAAPPPLSGVGTSVVYPFAVVKPSGLDGGATLADINARILTRPPRPVRHPVGEFACAPRAAAGGDRPAPSGKTVAGFTRLHTAGSGTITIIRTKG >OGLUM08G18370.1 pep chromosome:ALNU02000000:8:21283787:21287337:1 gene:OGLUM08G18370 transcript:OGLUM08G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCAPTAVPPQDASGAATEPFRSLQIATAGAAAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACSRCSKAYAVHSDYKAHLKTCGTRGHTCDCGRVFSRVESFIEHQDACSAGRPRAAEASSSPGRGGGVVVGAPAAASSSQQQQQQQLFAVPASLSRTASSASPSSDLVVSPVAWPATGGGGPAMASPRAAAPAPAGGSIAAFHHRFTDPALSPPTPCGGRRGGCHTTNSLELQLMPLRTTTTCAGGSLGGTPVATAVGYYASSSPHSPAAALPSRQPVADAMRLQLSIGFGGARDDGNNNSSSSSGEVLSASATRLKEAAHEQLRLAMAEKAAADEARAQAKRQAELADQELATARRMRHQAQVELSRAHALRDHAIRQVDATQLQITCYSCRHKFRARAAAMISSDVASYVSSVVTEGGDAEVDNDGNLHRRRLNADDGMPRSHSRTMAMDLN >OGLUM08G18380.1 pep chromosome:ALNU02000000:8:21295751:21296941:1 gene:OGLUM08G18380 transcript:OGLUM08G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARQWWRRAAAAAKDRRSLYLTRVAALRPASPAAAAALRNAELEAVVIRATSHDERSVDYRSAARVFALARASPAVLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSSPTKTSGFSAFVRAYFHFLDTRSLFAAQDMDNNNDDDADDEDARLDGVSRLQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQICTGIARFLVGVLGSAPTTPRPRPGETMAAARRRRGLQGMRVLRKASEQSAQLTSYFELCRSLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEDRGKEKVSEEKALVAVEDTGVASRTVVTREWVVFDDDDEDDGVAGARQGHFGHYVNPFLGAPWEAVTGSGNLLV >OGLUM08G18390.1 pep chromosome:ALNU02000000:8:21300030:21307426:-1 gene:OGLUM08G18390 transcript:OGLUM08G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT1G68100) TAIR;Acc:AT1G68100] MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLFLHCSNSNFPHGTDH >OGLUM08G18390.2 pep chromosome:ALNU02000000:8:21300956:21307426:-1 gene:OGLUM08G18390 transcript:OGLUM08G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZIP metal ion transporter family [Source:Projected from Arabidopsis thaliana (AT1G68100) TAIR;Acc:AT1G68100] MRGGLLVLLLLTAAVAGGGGHEGSSSCPFHAHDKPHDDHHHGHGHGHSCGGGGDDSHHVHHHHHGHGHGHDGGIQRRLLPEELAEEADLELDSFGDHHHHHHHHHEHHHHDHHHGHGDFQAELSPLGMWLSAMGCSLLVSMASLVCLVLLPGKPSKTMVDCLAIFGVRCLGIHFFINCHMPLVEGILIHMIIRIIIILMSIHMHTLWKIFLLIVRYVEDNSQKGAHGMGHHHHHHKRHDRSDKAKLNHAEKDHEDKGVNQAEKEPSHDGAIEKTDGVTRADSKSAIRKRGLSSGSNSADREPVNSESDPAPNKALSSEDSSVSNSNMVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFTVTKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPEMNDQKTTVKSSMIQLVSLTMGMLVALGISLVE >OGLUM08G18400.1 pep chromosome:ALNU02000000:8:21309405:21310804:1 gene:OGLUM08G18400 transcript:OGLUM08G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWF1] MGKTWAIITHLNSIAGPSITLLYPLYASICAMESPTKVDDEQWLAYWILYSFITLLEMVAEPVLYWIPVWYPVKVLFVAWLVLPQFKGASFIYEKLVREQLRKYRARGGAAATVTAGEDHKVHIAKAEHDHGH >OGLUM08G18410.1 pep chromosome:ALNU02000000:8:21314548:21315909:1 gene:OGLUM08G18410 transcript:OGLUM08G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRPAAASGSASEASDGEAGGAGRRRSPSRSRSPSRSASPSRSRSKTPPHNAAVLSSTPASAAVDFVAASDSDAGADADARLASPRRSRERSPRLHSDSDNSAAATAEAAEAAAAAAAFDDGDDEGNATPPPRSRRSSRVEATGVKPISSRPMDASRRPAAASSQSQRRSKRPRSSPTQHSPEQHKRPPRVWNPQDEVTILRALISYRAKNGALPGSSQDTGKLHNMIRGQLSVKASTTQLSDKVRRLKHKYNLILTRVTKSGRDPDLPTEHDREVYELSKKVWGTKSSGAGAGSGGGGGGGRVYENAEVVQSDEEQGSRDDSDEDMESGWDDRDHRNRRLKAIVVANGNGNAVTGGRSVHGNGSGKGDVADKGKDMYPYLWEAVEELSKEHPSGTAFRKAFGVLDGSRARAMEEKLNRFRLSEIRQQLRRMDLMKETIKMVLDALEGSDL >OGLUM08G18420.1 pep chromosome:ALNU02000000:8:21335888:21336575:1 gene:OGLUM08G18420 transcript:OGLUM08G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGERWPAALEAKALLQASLPLASIGLSTHLGVLLYFPLHSGDPVCDVYNSEAVTTTTATSIFGSVAGSGSYRGHSGQIWCCLGSSLHLRRFVFLHSLAGQKLHSAVTRNWRWRVLLCLCVKFLFLCFYYDVHVKSLCFVPSFRME >OGLUM08G18430.1 pep chromosome:ALNU02000000:8:21352304:21355021:1 gene:OGLUM08G18430 transcript:OGLUM08G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFGGGKRITRVEVTLDGGETWLVCVLDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >OGLUM08G18440.1 pep chromosome:ALNU02000000:8:21356578:21360318:1 gene:OGLUM08G18440 transcript:OGLUM08G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Kinetochore protein Ndc80 (InterPro:IPR005550); Has 24780 Blast hits to 15608 proteins in 1321 species: Archae - 545; Bacteria - 2969; Metazoa - 12597; Fungi - 2181; Plants - 1581; Viruses - 39; Other Eukaryotes - 4868 (s /.../ NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G54630) TAIR;Acc:AT3G54630] MRRGGGGGGRRLPKSSLAPSAASDRTPLLDPHVLHPRNLDLAFSRRDSDAASLCSSRPSSIGTGPSFAAPVTNFSDRASQAAALRVVNAYLAPAVSLRPPLPSAKDIVAAFRHLFECLDFPLHGAFEDDLLFVLRVLRCPFKLTRSALKAPGTPHSWPPLLSVLYWLTLLVNSSESGAGGDDSPAASNDLMLYITNSYSLFISGDDDSVASLDEEYSSKARAHAQAAVEASQALEKEALDLESKRTKLTSGPSRLEALQAEKEALTADVEKFEAVVKSWTVKIQEKEESSVHLEKELEAKLMDQQRIAAENEELMKKVDAQVVNVRDVDRMQREIQSVERDNVKLENGNATLEEKGWELEAAVVGKLEEIEGLVEQCNQALRKLKPGIDFQYMLNTKASSPVELLGTSYKTIMKPALNSLADEARRISILKHDESVELEKQSQRNAKILSEKKNHISVCQTKTDEMVARLDSLDVEIGNHVSRCKADARLMKDELEKKDHHLSTVEKESEEFLKISEKKLEDAKRETDEEIQMCARELLKLIDSVTEYKEFMETSISGMRKDLYETVDDISSLASKAASTRQTSAQFVM >OGLUM08G18450.1 pep chromosome:ALNU02000000:8:21368773:21375080:1 gene:OGLUM08G18450 transcript:OGLUM08G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVQPRQFGHLEPGSAPVRGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPPRKPPSDGSDDEEEEQEDWRELYSSHLQLEVEPPVRDARDEGTADAWIERNPSLIRLTGKHPLNCEPPLARLMHHGFITPAALHYVRNHGAVPRGDWSTWTVDVTGLVKRPMRLTMDELVNGFPAVEVPVTLVCAGNRRKEQNMVQQTVGFNWGAAGVSTSVWRGARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCXGGALSKTTRIMGPEGWVKRIIVTTAESDNYYHYKDNRVLPSHVDAELANADAWWYKPEYIINELNVNSVITTPGHDEILPINGITTQRGYTMKGYAYSGGGKRITRVEVTLDGAAAPVPRYRRRRRARPLPRLSPSSSTRDGCRGPTARVEVLLPQRDAQLYLVGIT >OGLUM08G18460.1 pep chromosome:ALNU02000000:8:21376570:21380201:-1 gene:OGLUM08G18460 transcript:OGLUM08G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrate reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWF7] MAASVQPGQFGHLEPGSAPVCGAASSNGAKAYPPANGIPRRADSPVRGCGFPPLVSPPSRKPPSDGSDDEEEEQEDWRELYGSHLQLEVEPSVRDARDEGTADAWIQRNPLLIRLTGKHPLNCEAPLARLMHHGFITPAALHFVRNHGAVPRGDWSTWTVEVTGLVKRPMRLTMDELVNGFPAVEVPVTLACSGNRRKEQNMVQQTVGFNFGAAAVSTSVWRVARLRDVLRRCGIMPSKGGALNVCFEGAEDLPGGGGSKYGTSITRQWALDPSRDIMLAYMQNGEPLLPDHGFPVRAIIPGCTGGRMVKWVKRIIVTTAESDNYYHYKDNRVFPSHVDAELANADAWWYKPEYIINELNVNSVITAPGHDEILPINGITTQRGYTIKGYAYSGGGKRITRVEVTLDGGETWLVCVLDLPEKPTKYGKHWCWCFWSVEVEVLDLLGAKEIAVRAWDQSHNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHKGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFMNTTDGKQFTMSEVRKHSSQDSAWIVVHGHVYDCTAFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGAGYSSDNSVHGASNLSQLAPIREAIKAPAPVALSSPRDKVPCQLVDKKELSRDVRLFRFALPSSDQVLGLPVGKHIFVCASIEGKLCMRAYTPTSMVDEVGHFDLLIKVYFKNEHPKFPDGGLMTQYLDSLPVGAYIDVKGPLGHVEYTGRGEFVINGKPRNARRLAMIAGGSGITPMYQVIQSVLRDQPEDTTEMHLVYANRTEDDILLRDELDRWAAEYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEEVLREHVPEGGDDTLALACGPPPMIKFAVSPNLEKMKYDMANSFIVF >OGLUM08G18470.1 pep chromosome:ALNU02000000:8:21387276:21387893:-1 gene:OGLUM08G18470 transcript:OGLUM08G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFARLNGTNASSSGLPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDMYDAVRRELVSVLRPLPPANDTEIGLETCFPWPPPPTVTMTVPDMELHFDGGANMLHPILSLLFCRGSTTVSQYHVSTYDLCSILLPQIL >OGLUM08G18480.1 pep chromosome:ALNU02000000:8:21395007:21400567:1 gene:OGLUM08G18480 transcript:OGLUM08G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVLVLALVAATLLPASHCSVSGVGFQLKLRHVDAHGSYTKLELVTRAIRRSRARVAALQAVAAAAATVAPVVDPITAARILVAASQGEYLMDLAIGTPPLRYTAMVDTGSDLIWTQCAPCVLCADQPTPYFRPARSATYRLVPCRSPLCAALPYPACFQRSVCVYQYYYGDEASTAGVLASETFTFGAANSSKVMVSDVAFGCGNINSGQLANSSGMVGLGRGPLSLVSQLGPSRFSYCLTSFLSPEPSRLNFGVFATLNGTNASSSGSPVQSTPLVVNAALPSLYFMSLKGISLGQKRLPIDPLVFAINDDGTGGVFIDSGTSLTWLQQDAYDAVRRELVSVLRPLPPTNDTEIGLETCFPWPPPPSVAVTVPDMELHFDGGANMTVPPENYMLIDGATGFLCLAMIRSGDATIIGNYQQQNMHILYDIANSLLSFVPAPCNIVAFMQTQSWPRLARTNHRESHPSGRFSKETTYYMALVVLVLVLSLAAAVVVTGAGGGTFDFRADLDHPYAGSSLSRHDVVRHGARASKTRAAWLTAKLAGVLSNRRGGVSPADVRLSPLSDQGHSLTVGIGTPPQPRKLIVDTGSDLIWTQCKLSSSTAAAERHGSPPVYDPGESSTFAFLPCSDRLCQEGQFSFKNCTSKNRCVYEDVYGSAAAVGVLASESFTFGARRAVSLRLGFGCGALSAGSLIGATGILGLSPESLSLITQLKIQRFSYCLTPFADKKTSPLLFGAMADLSRHKTTGPIQTTAIVSNPVETVYYYVPLVGISLGHKRLAVPAASLAMRPDGGGGTIVDSGSTVAYLVEAAFEAVKEAVMDVVRLPVANRTVEDYELCFVLPRRTAAAAMEAVQVPPLVLHFDGGAAMVLPRDNYFQEPRAGLMCLAVGKTTDGSGVSIIGNVQQQNMHVLFDVQHHKFSFAPTQCDQI >OGLUM08G18490.1 pep chromosome:ALNU02000000:8:21402392:21406288:-1 gene:OGLUM08G18490 transcript:OGLUM08G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMCICPMWHMRGILGPGVAGAVFVVGWWFWVDAVVCSAVQVSFLHYLPGENPLSFFFLVLNPFELMLEAVSRNPGIFASLAALMFNCSEALALCGIAGAVGLLVQDALTDKGPSVWTGVAGVLQCVLVLIRYFFKLTQLVYIYALWISVDYSDL >OGLUM08G18500.1 pep chromosome:ALNU02000000:8:21407755:21408789:1 gene:OGLUM08G18500 transcript:OGLUM08G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRSVVLALALWCLVADGEAVWLELSTTATKCFSERIQSNVVVIGDYDILFDGYPTRPILSIQVTSPYGKVLHHREKVMQGQFSFNTAEPGVYLACFSVDTLDKELVGVALELTKLETAVQAVHGNLMYLRSKESDMRDVSDWTNFKITWLSLMSLSVCVIASIAQLWHLKRFFRKKKLI >OGLUM08G18510.1 pep chromosome:ALNU02000000:8:21409525:21411025:-1 gene:OGLUM08G18510 transcript:OGLUM08G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGGGGGGGMDALPDGVVQHILSQLSSARDVAACAGVSRGMRGCVPFLPALYFPRGAFDAAGGAAAADDAIGRMVEAAARLEELVIYCPFSAARLPRWLAARSASLRVLELRMDSAVSSGAGSGHLDCIGAVANLEELRLWGLTMTRAPAWGQLERLRVLEIVGAAVRDVAVNGAVGACPNLTDLALIGCECSGAVAMTLHLVERCRLDFVGSGNCSLALAAPLVESLEIQGFCWISLQGGIRLKHLTIAKNTGTGSVYNIEIGKLPELEKLSLRGVQWSWGAISSVLQCAREVKYLVMKIEFCGDHDTLEPFPEVDLVDFFNSHPKLIKFEIHGAMFAAMCQKNSLKNLDSRFSIPCLEEVLITVRSPLNAELKLNTLESLVKYSPRMRRIVVRISQMKNCHGSADGFFEEICKFMYMNNGRVRIE >OGLUM08G18520.1 pep chromosome:ALNU02000000:8:21411704:21412414:-1 gene:OGLUM08G18520 transcript:OGLUM08G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRWRSIPSSPAYRYLSYFSVSIASRPYTGSTATPSATGELTNGGHELCRVEVVRHHVAELEPNDEAAGHECSDLDEQHELTLLLPLRRRPLFESPLHTGSVIVVVLPPPPPIGSILAPYDRTSTSHCREPPPSFP >OGLUM08G18530.1 pep chromosome:ALNU02000000:8:21416752:21419995:1 gene:OGLUM08G18530 transcript:OGLUM08G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGKLTRTPSSLLRSPTVRAASFQAVAGDDPEPDDKKAQGRRKKLALLRPAAHGLRPGPAQSVLLLVVALPLLALAVVVLRHDGAHHLVLLAAAAAAALAAAAAVARLRGRLRVRRAATGLPVSVRWFIGEGGGDDDDDDEQHQHRKGKGKVDGLAVREGVEFYSNGDCYEGEFHRARCSGSGVYNFFGKGKYEGDWVDGKYDGHGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAAGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGCYHFRNGDRYSGEYFADRIHGFGVYSFANGHCYEGSWHEGKKQGLGMYTFRNGDRRSGEWDAGALKNPLPLSDQAVQRAVLAAQRAADNAFHLPRVEEQVNRAVMAANRAATAARVAAIKAVQNRIDGKFCHTEV >OGLUM08G18540.1 pep chromosome:ALNU02000000:8:21423366:21425609:1 gene:OGLUM08G18540 transcript:OGLUM08G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTVSKGAAVPSPAYEVTTTTSLSSVLAASAYSVLRSASMGAAVVRLDAYEDDGGDDVCTPEKIPEKSSPEV >OGLUM08G18550.1 pep chromosome:ALNU02000000:8:21438969:21441090:-1 gene:OGLUM08G18550 transcript:OGLUM08G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTRLLVLLAAASLLLATAVPAARAQEETDHEEEFTYISGDEKGPEHWGKLKPEWAQCGAGEMQSPIDLSHERVKLVRDLGYLDDSYRAAEASIVNRGHDIMVRFDGDAGSVVINGTAYYLRQLHWHSPTEHSVDGRRYDMELHMVHESAEKKAAVIGLLYEVGRPDRFLQKMEPYLKMIADKEDREEKVGMIDPRGARGRASVYYRYMGSLTTPPCTQGVVWTIVKRVRTVSRYQLDLLREAVHDEMENNARPLQAVNNRDISIFRPYPHKRY >OGLUM08G18560.1 pep chromosome:ALNU02000000:8:21448031:21448282:-1 gene:OGLUM08G18560 transcript:OGLUM08G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRVAITAVLCLLFPRAWPPCDARANMPGNLTRIRVVVDNDQVVTEAGWFDDARGQYGIGALEAMEHGQGDNDGDGDDKCNR >OGLUM08G18570.1 pep chromosome:ALNU02000000:8:21469609:21473718:-1 gene:OGLUM08G18570 transcript:OGLUM08G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTRARLLLAAAVLLLSAAPAARAQETDDERKFGYVPGTENGPGNWSRLDPRWAKCNTGNMQVVINGKAYKLKQLHWHTPSEHTVNGRRYDMELHLVHDDGNSNTAVIGNLYQIGNPDPFLLMLEPFIRRIADTKDKSEPIGVVDPQLAKSPDAVYYRYMGSLTTPPCTEGVIWTVFKRAQTVAQYQLDLLREAVADGYENNARPLQKVNNRNISIFIPDPKKD >OGLUM08G18580.1 pep chromosome:ALNU02000000:8:21479011:21479632:1 gene:OGLUM08G18580 transcript:OGLUM08G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASPMSWMRERLSMIRSSAARERRRAQWWEEGEAAVVAIAAAAADLKSCGAAADAAVLDLYPVLEPVVKVGSVGANECGEVPGLSPRAPPQDRRERERERGGAGAPRKREVEKGCMWISSAIACPVVRVRGGREVAAAAPPQDHRERGEGAGDIEEEGARGVEHVTRRPSGFVG >OGLUM08G18590.1 pep chromosome:ALNU02000000:8:21480574:21481890:-1 gene:OGLUM08G18590 transcript:OGLUM08G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNLCHHHRRCSGLLATSLTTTRTMEWEEESEAARQKAAAASASVVPAPFLTKTYQLVDDPATDHVVSWGDDGGGGGESASSFVVWRPPEFARDILPNYFKHSNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKSAAATWPPFPPPPPPFFAPRHFAAGAFFRHGDGMLHGRLGALVTTTERRHWFESAALPVAPSSRLLSQLGPVIAPARRAAATPEEEALMQENHRLRRGNAALVQELAHMRKLYSDIIYFVQNHVRPVAPSPAAAAALHGLGVLRPPPAGGKAPAAEVRGASGRSATSSSSLTVAEDQPTLLALRLPRTTEKIINEVSGGNGGGSTKLFGVHLSSADEQTSSGASRKRSPPQEQPPTSPAPKRTLVVEHSELRLSIVSPP >OGLUM08G18600.1 pep chromosome:ALNU02000000:8:21497886:21498629:-1 gene:OGLUM08G18600 transcript:OGLUM08G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDMLNSNPEAQLELMNTMLQLEQLSAFPDHHGMVVPCSPTSPCMGAQGGHHHFSSVNHQPAHGVVSSGGANTGDGYRDQYYTQLLPAAAYSNAAGGGRGSEYHTTTTTRPASGGGGDGGVGPAAMREMIFHIAALQPVNIDPETVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAANGHRPPPPTATSAAAAATVAYPGLNGQW >OGLUM08G18610.1 pep chromosome:ALNU02000000:8:21510282:21511346:1 gene:OGLUM08G18610 transcript:OGLUM08G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLAFCEEKGEEREEETDRRIPGGTASGGGGTAGRYTDIAADLIDSDSDESRDRSRKRSRIQKGPKERSSRKKKSRRDGSTRKKEASEGARFALEDGNGGTGYVMSGSRHARITAVRLRKENQVYSAEEKRALAAFNSEQRARRESKVRDDLRCLVDRTLGKLAGSDHDDDPSSAR >OGLUM08G18620.1 pep chromosome:ALNU02000000:8:21516232:21520777:1 gene:OGLUM08G18620 transcript:OGLUM08G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGGELHSGGGGGGEGVLVGRGWRKEEAEGGGGGGGCSASSTSRGSSLCDSPLPSFVRHRGGPGSDLELDGLPTSSSNGSHEEDHGPLQGVKGEGWMQVQGPIKNSAARSTGECQDQRYQLGSVLFHGKNERKQRPASVDFGCPSVDRSSTHSPGFLVNGTGAMNKGLSVSSQNKPGAPTSPGTPSYNRQGATVVGYQQGWSSERVALSSNGQRRHSGNSMVLPHNTGRTLPSKWEDAERWIFSPNPSNALGRTSIPQSRRPKAKSGPLGPPGRFSEPYSSVSSSSSLLDTGRVGNLTANSPFLAGVLLPEHVCVSSSHAGRDLSGASGEDKSNGMGGRSGEANGAHPAVWSTRVCQRMDSAVQSSPSLPTSQDSVQACTDEQIEITTDLTTSSKPEISRKDVATQTSPELSRSSSPSGRPSFSRSLSVQQVKELESCFSKLEIRDVQMDDRVTLTRWSKKHVTRGSEKNSTNIIEWKKKTVESKSSAWEVTETAKCISKIEGEEAKMTAWENLQKAKAEAAIQKLVMKLEKKRSYSLERIFNTLRSAHRKTHVIRSTTTTNLDQHISRTVKRPSHLSKNGQMSSLSGRHCHFQDGIGGGNQKAC >OGLUM08G18630.1 pep chromosome:ALNU02000000:8:21521948:21529030:-1 gene:OGLUM08G18630 transcript:OGLUM08G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGVGDEEAHATPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVRFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEECLVLDLWLEIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFQLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSVLG >OGLUM08G18630.2 pep chromosome:ALNU02000000:8:21521948:21529030:-1 gene:OGLUM08G18630 transcript:OGLUM08G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGVGDEEAHATPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVRFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFQLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSVLG >OGLUM08G18630.3 pep chromosome:ALNU02000000:8:21522466:21529030:-1 gene:OGLUM08G18630 transcript:OGLUM08G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGEEGRVVVDLRSAAESAGGVGDEEAHATPLHEIESLCMRCGDNGTTRLLMTMIPHFREVVLMAFECPHCGERNNEVQFAGQLQPKGCCYRLEILNRQVVKSDSATIKIPELDFEIPPEAQRGSLSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDKFLAKLRSLGLGEAAFTFVLDDPAGNSFIENQNAPSSDPLLSVRFYERTREQQAALGFLVEPSTEEPGDQPVNHASTVEGNSEVLQEPHGSVGAVAGRRAIAQGNPDEVAAALCRYSAPEECLVLDLWLEIPYFREVIVMATTCDMCGYRNSELKPGGEIPAKGKKITLRVQNGKDLTRDVIKSDSAGVKVPELELELASGTLGGIVTTVEGLIVKICEALQRVHGFQLGDSTLEWKKKKWEDFNDRLSKLLSLQEPWTLIIDDGLAASFVAPATDSLEDDNQLTIEEYVRSWEQNEELGLNDMDTSSADAAYNTTNP >OGLUM08G18640.1 pep chromosome:ALNU02000000:8:21532180:21535028:1 gene:OGLUM08G18640 transcript:OGLUM08G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKHGGGSERRGTAAGAGAAPLARQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAAAAAEGGLQRQGSLTLPRTLSVKTVDEVWRDFEREASPGAAAADGGGEQQQPRRQPTLGEMTLEEFLVRAGVVRENTAAAAAMVAAAAAPPVAPRSIPAVNNSSIFFGNYGGVNDAAAAAAGAMGFSPVGIGDPTMGNGLMSGVAGIGGGAITVAPVDTSVGQMDSAGKGDGDLSSPMAPVPYPFEGVIRGRRSGGNVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEQNMELQKKQKETLRPSLDASGLCPFPSE >OGLUM08G18650.1 pep chromosome:ALNU02000000:8:21573488:21586628:-1 gene:OGLUM08G18650 transcript:OGLUM08G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMAGLSPAAHAAGLRRLALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPIFFVTDDRVLCCGLDILHFFTRDSSFQPLDLRPPSSSSSVAPSSGEATPYMRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSSSGCASPPARRSRTPHWVDTYLDRLGSVLKRGGWRDTEVNEMVEVTASGLFDGEEAPAVDADAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAIQLPPEIAVKVERLAKSVARR >OGLUM08G18660.1 pep chromosome:ALNU02000000:8:21612354:21620577:1 gene:OGLUM08G18660 transcript:OGLUM08G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSHSQSQAQAQAQSQAGRRRRCGWLLPLLVGAAFLAEIAFLGRLDMAKNAAAVESWTTSFYARSSAPARDGKAAVVVPGADADDAPPGGGEVVEEDDGDIRLCEERLEREDGVPHDRDFDKDPVLVGGAAKVSYLFSRSAPPRALPFHFGDPPPCALAFELGELLLDCSAQQDWNKCSVGCEFGFSATKTPDATFGIAPDPTVESILRSMESSQYYSENNIAVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLESLDVKIDSYGSCHRNHDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVTGAIPVVIGAPNIQEFSPGEGAILHIKELDDVPSIAKTMKHIASNQEAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHVATKIHEKEERTPKFMNRPCSCSSKRGKVRPSHLSNSRGDACSNNRGFMDAVMVRCRQCQASRSDQLTMGALESAVLAKFRSLNHVPVWKDERPPSIRGGDELKVYKIYPIGLTQRQALYQFRFRDDADLDKYIKDHPCAKLEVIFV >OGLUM08G18670.1 pep chromosome:ALNU02000000:8:21622006:21623633:1 gene:OGLUM08G18670 transcript:OGLUM08G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSADIHDDVSHNQCLTKQGGGGHDGGAGQQCGPSEGAVASYGSWLNSHQKHQFSPLPDLAPSDTRRSTAGEKKMSYSSVQNAS >OGLUM08G18680.1 pep chromosome:ALNU02000000:8:21627718:21632596:-1 gene:OGLUM08G18680 transcript:OGLUM08G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFFVFVTAAVLCFVVPAFLLLCTSVQRRRDVGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKERMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKLSFDVGVVTIFGGRLGRRHREELRTNYSVVERGYNCFPNRFPGTLYHKAIQARKRLRAILSEIVAERRARGGGGGGGGGDDLLGGLMRSRDDGTAGAVALLTDDQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEPEEDMYMAMGTITAAGA >OGLUM08G18680.2 pep chromosome:ALNU02000000:8:21627718:21632596:-1 gene:OGLUM08G18680 transcript:OGLUM08G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLFFVFVTAAVLCFVVPAFLLLCTSVQRRRDVGQGGGRDWQKKKKLRLPPGSMGWPYVGETLQLYSQDPNVFFASKQKRYGEIFKTNLLGCPCVMLASPEAARFVLVSQARLFKPTYPPSKERMIGPSALFFHQGEYHLRLRRLVQAALAPDSLRALVPDVDAAVAATLAAWSGGHVASTFHAMKKVSARTRTRSCRQLPQTRGRSARRHATRVKLSDVWGARARARPGRLSFDVGVVTIFGGRLGRRHREELRTNYSVVERGYNCFPNRFPGTLYHKAIQARKRLRAILSEIVAERRARGGGGGGGGGDDLLGGLMRSRDDGTAGAVALLTDDQIADNVVGVLFAAQDTTASVLTWILKYLHDSPKLLEAVKAEQMAIYVANEGGKRPLTWTQTRSMTLTHQVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVAPRPSTFLPFGSGVHACPGNELAKLEMLVLVHRLVTAYRWEIVGASDEVEYSPFPVPRGGLNAKLWKQEPEEDMYMAMGTITAAGA >OGLUM08G18690.1 pep chromosome:ALNU02000000:8:21667351:21669292:1 gene:OGLUM08G18690 transcript:OGLUM08G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWI3] MGKHHVTLCCVVFAVLCLASSLAQAQVLFQGFNWESWRKQGGWYNFLHEKVEEIASTGATHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTEAELKSLIEAFHDKNVECLADIVINHRCADYKDSRGVYCVFEGGTPDGRLDWGPDMICSDDTQYSNGRGHRDTGAGFGAAPDIDHLNPRVQRELTDWLNWLRTDLGFDGWRLDFAKGYSAPLARIYVDNTNPTFVVGEIWSSLIYNGDGKPSTNQDADRQELVNWVEGVGKPATAFDFTTKGILQAAVQGELWRLHDGNGKAPGLMGWMPDQAVTFVDNHDTGSTQSLWPFPSDKVMQGYAYILTHPGIPCIFYDHVFDWNLQHEIATLAEIRSRNGIHAESTLDILKAEGDIYVAMIDGKVITKLGPRYDAGGIIPSDFHVVAHGNDYCVWEKEGLRVPAGRKHY >OGLUM08G18700.1 pep chromosome:ALNU02000000:8:21671900:21672808:1 gene:OGLUM08G18700 transcript:OGLUM08G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWGAALGTISPGRKPGGWGMAVTGKWQWMVAVERQSTTEGEDNGGGFRVRQLGQRGWRMCAPLQATRKRGDVDLGKGDDSPHWLSTLSSSPAPHAVAAAASSPPLSFPNADLVLRLHLDPCPTTTRISRLARITRREAAAGREERRRWRGFLTSAPTVLPLLPSRSVELPLLHRSAKLPAADTNTVDIAVNPPSCCSRCSAEFPPLPPSCCSR >OGLUM08G18710.1 pep chromosome:ALNU02000000:8:21673616:21676141:1 gene:OGLUM08G18710 transcript:OGLUM08G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWI5] MPPDVEVIRHEHIDHPSSTRDRSVVVSSNSLSNTVSAYTDMKNTSSLCLLLLVVLCSLTCNSGQAQVLFQGFNWESWKQQGGWYNMLKGQVDDIAKAGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTAAELKSLIAAFHGKGVQCVADVVINHRCAEKKDARGVYCVFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGEGFGAAPDIDHLNPRVQRELTDWLNWLKSDVGFDGWRLDFAKGYSTDIAKMYVESCKPGFVVAEIWNSLSYNGDGKPAANQDQGRQELVNWVNAVGGPAMTFDFTTKGLLQAGVQGELWRLRDGNGKAAGMIGWLPEKAVTFVENHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEITALAAIRERNGINAGSKLRIVVADADAYVAVVDEKVMVKIGTRYDVGNAVPSDFHQTVHGKDYCVWEKGSLRVPAGRHL >OGLUM08G18720.1 pep chromosome:ALNU02000000:8:21689829:21690656:1 gene:OGLUM08G18720 transcript:OGLUM08G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNQEYMIRFDGHIDDASPSSATAEPPPPLPPPRPFAGRAISAEREHSVIVATLLHVISGYRTPPPEVFPAARAEVCGVCGMDQCLGCEFFAGESGVVSFDGAEKVAAAAAAAPAGAAAGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAAVEFRGPRAKLNFPFPEQLSAHDDSNGDASAAAKSDTLSPSPRSADADEQVEHTRWPQGGGGGGAGGGGETGDQLWEGLQDLMQLDEGGLSWFPQSSDSWN >OGLUM08G18730.1 pep chromosome:ALNU02000000:8:21718038:21718940:1 gene:OGLUM08G18730 transcript:OGLUM08G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNPEQQQPPLPHHQLMAPPRMSFSSDFALEPPPPPSGPGRASMGDADFEFSAVGSRPMIAADQLFSKGRILPMREVSGGGGGGGRAVTLRDELRGHDGAAVADGRHRRAARPAGSGGGGGVRWKEMLGLKRPGHRKHGAADEGGASTHDLDHMGFG >OGLUM08G18740.1 pep chromosome:ALNU02000000:8:21723531:21725156:1 gene:OGLUM08G18740 transcript:OGLUM08G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAAAADGEIPAAAARMASSSSSSWGAICDDVVRNIFARLPARDAVASMALSRHHRRLIASPEFARLHCRHGAPLPRPHIAYVATAVVATHRDMFGRVKSLEQWAEQRAKHGFGTGAFACQSSYDDPEQDRPWTYHGFHVAGDGRRRGTDPMRVLARQKRNDQNYDGTCNGVVLLAGKGEAEDDDPSIGLLLNPAVAGDEMKVSLDCSSPDKRTNYHISGLGYGPRTRTYKLLARKHEFVLNLKVYPNGRKTRGRVSGQPLYIDRADELVVYSLGTAAEQQPRTVLAGLDNDKIPGRSLYMDGAVYLLNADKGTVLAFDVDDEAITPIDLPGERVVVEGGGEPRSHAKSDLMEMSGRVCVATADGGDETRYAIWLLMGDRRWERRCAFRNDYLSSAAKAAGVWDCGSGLLILLQSGDEISIVIHDDVTQEVSHLDTPPNASPEEESYYRICWGYKLTLVSPASIIGDGELSQHEQQRRDLAAYVLAALKPAKELDRRMGQHAALRTVCFMEFLVRIMQKLPSLLHHGITDLDRFY >OGLUM08G18750.1 pep chromosome:ALNU02000000:8:21726622:21727326:-1 gene:OGLUM08G18750 transcript:OGLUM08G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVRRVGSDLSSGIYSAAASHGHQQQTTSDNGHGSANDDDDDDVAKAKQRLSSLLFSGVGTWRRSVDGSGGDSKRQVKGNSSRGGGGGLDIAQLVKKYASMVERLFFASSLSNNRRRGGGDQSGRRTELRRRRHSFIISGLRRGAAAAAAAPSKRHEGSWLFSAPASLRGSPVASGHLSVKVSTSSEESTMEELHSAVQAAIAHCKNSIAVAAKQQAGGGGGGGDHADRCKC >OGLUM08G18760.1 pep chromosome:ALNU02000000:8:21729818:21730342:1 gene:OGLUM08G18760 transcript:OGLUM08G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSNISTQKTHYEVLSVNEGATYDEVRAGYRAAILNAHPDKSQAKLDSLVSSVEHGEFFSVQKAWEVLRDPKSRTEYDKQLQTSRQNSANIAYEIEIEEMTVESTGDAMELMYPCRCGDHFSILSSDLAEMGILVDDDGEIYFQSSECVSASVVLSCGSCSLKTRLVINRTS >OGLUM08G18770.1 pep chromosome:ALNU02000000:8:21732226:21746705:1 gene:OGLUM08G18770 transcript:OGLUM08G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIEGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENIANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >OGLUM08G18770.2 pep chromosome:ALNU02000000:8:21732409:21746705:1 gene:OGLUM08G18770 transcript:OGLUM08G18770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLELQDGIRMPWNVIPGTKQDAANCVVPVSAIYTPLRPNPAIPVLPYGPLRCRMCRSILNPFCVVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEFMSTAETGPVVPPVFLFVVDTCMIEEEIDYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDQILEQMCFFAGKTKPTTGVIAGSRDGLSAESISRFLLPASECEFVLNSIIEELQKDPWPVSADQRASRCTGTALSVAASLLGVCVPGSGARIMAFIGGPSTEGPGSIVSKSLSEPIRSHKDLDKGSAPLYTKAVKFYEEISKQLVHQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKESLQRIFQSSDNDLGLSFNGIFEINCSKDVKIEGIIGPCTSLEKKSPLSSDTVVGQGSTSAWKMCGLDRKTSICLVFDIAKKDGPDAISQSTSNQFYFQFLTYYQHHEGQMRLRATTLSRRWVAGSGGVQDLIDGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISMCSKFGDYQKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVFNNSPDETAYFRMMLNRENIANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTIVIFHGITIAQWRKAGYQDQQGHEAFAQLLKAPHEETDSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVIFTDDVSFEVFMDHLQRLAVQ >OGLUM08G18780.1 pep chromosome:ALNU02000000:8:21744757:21745869:-1 gene:OGLUM08G18780 transcript:OGLUM08G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISSALLLLLNMAGALLSPRAPPPAPAALTGAGDGEDVDFFFFPFLVLYKSGRVVRFIGTDTVPASMDPATGVASKDVVIDADAGLAVRLYLPNVANLTAGKRGGGDKLPVVVFYHGGGFVTESAFSPTYHRYLNALVSKARVVAVSVEYHLAPEHRLPRAYDDAWAALRWVLENAGAGPEPWLSRHGDTARLFLVGDSAGGNIAHNVAMRAGGEGGLHGGAAIRGVALLDPYFWGKRPVPSETADPATRRWRERTWGFVCAGRYEVDDPVIDPVAMARGEWRRLGRARVLVTVASLDTLSARGRAYVAAARASGWGGEAVLYETPGENHVYFLVEPDGEKAAKEMDAVVAFINEGEQVITASRMDA >OGLUM08G18790.1 pep chromosome:ALNU02000000:8:21750307:21754489:-1 gene:OGLUM08G18790 transcript:OGLUM08G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLFALLVVAAAMCASVASALRSRAATDPNMEVKFDFTPFLIQYRSGRVQRLMGTTVVAPSLDVRTGVVSKDVVVDRSTGLAVRLYRPKHRGGRLPVLIYFHGGAFVVESAFDPVYHNYLNALAAKAGAIAVSVNYRLAPEHPLPAAYDDAWTVLRWVAADMQRGADSWLARRGDASRLFVAGDSAGGNIAHNLAMRAGQHGGGATIRGVALLDPYFLGKYVDPTAQRAWGFICAGRYGMEHPYVNPMALPAASWRRLATSRVLMTVSDLDRLGPWQRAYVDALRGSGWPGEARLYVTPGEGHCYFLNNLESPKAAMHMATLAAYRKNIAVSSTSVLSFTVGCDDCLSVWLISPPRFTKHNKQKTINSVQDLVITLLIPAMNPDTEVDFDFSPLLIRYKSGRVHRLMGTARVDAGTDAVTGVTSKDVVIDAQSGGLAARLYLPGGVPRCEKLPVVVYFHGGGFVVHSAFSRVHSRFLNALVAAAGVVAVSVDYRLAPEHPLPAAYDDAWAALRWTVASCSASGGPEPWLAEHGDAARIFVAGDSAGANIAHNVTMRAGKDGLPGGARIEGMVLLHPFFRGGELVPSERADPELPRRAERSWGFMCAGRYGIDHPFINPLSTPAEEWAALGCRRALVTVGELDTMRDRARMYVEALRGSAWEGEEAALYETGGEGHVYFLEEAAAAAGGDKAEAELDAVVSFIKRSSAAT >OGLUM08G18800.1 pep chromosome:ALNU02000000:8:21758765:21759727:1 gene:OGLUM08G18800 transcript:OGLUM08G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPDDEITFEFLPLIRCYRSGRVDRLLPDTRVPPFVDAATGVASRDVTIDPATGLWARLYLPDLDGGERKLLPVVVYLHGGGLVVGSAADALEHGFANRLCARARALVVSVDYRLAPEHPVPACYDDAWSALQWAVAAASADPWLRDHGDRERVFVLGYSAGGNIAHNVTLRAGAEELPGGASVKGMALLHPYFMAAKKADGEVKNAWLRGKLEEMWALACGGGRTTAGLDDPRINPVADGAPSLRRLGCDRVLVCLADDELEVRGKAYYDGLLESGWAEDAAELLVSGEDHEYVHRDPDSAKAVVVMDRLAALFGGKN >OGLUM08G18810.1 pep chromosome:ALNU02000000:8:21760415:21761398:1 gene:OGLUM08G18810 transcript:OGLUM08G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAPTAPPAASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAATGVASHDRVISSNVSARLYLPRLDDSAAAKAKLPVLVYYHGGGFCLGSAFNPTFHAYFNTFAALANALVVSVEYRLAPEHPVPAAYADSWEALAWVAGHAAGDGDEAWLVDHADFSRLYLGGESAGSNIAHHMAMRVAEEGLPHGAKIRGLVMIHPYFLGTNRVASDDLDPAVRESLGSLWRVMCPATTGEDDPLINPLVDGAPALDALACDRVLVCIGEGDVLRDRGRAYYDRLTSSGWRGEAEIWQAPEKGHTFHLLEPHCDAAIAQDKVISGFLNR >OGLUM08G18820.1 pep chromosome:ALNU02000000:8:21767118:21767438:1 gene:OGLUM08G18820 transcript:OGLUM08G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHKVVFCTCILIFIVVVISGQAEARRLAAVANGNEDAVAVEGDGSFRAVQETASSASTDHAAAGRGGGAAAAAVQGSMPMTTTDSRPTAPGNSPGIGNKGKINN >OGLUM08G18830.1 pep chromosome:ALNU02000000:8:21785114:21786507:-1 gene:OGLUM08G18830 transcript:OGLUM08G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRFGTGMHGSGPPMARSVAPGGGEPGRRVGGVGGVELAALADRVGGLGGVEVAAVAAASTIASEARVAAAGMEARLLWPPAGYGRPAARGGSPVRAGQRVDVGGRRRRLRIRRKLQRWRTARSQRPSSRWRGGDGGGVGARVSFPLLRALSCRLIPQGWLPGESLVFALLRPWWTVAMVFVASLLGVVV >OGLUM08G18840.1 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSRNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18840.2 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLKALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSRNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18840.3 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLNVYLAKIRALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSRNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18840.4 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLKALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVNPKGVKSRNGAAAAARGVFGLDWPEPSVTFALSCGSWSSPAVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18840.5 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQTVSAVKDQKGTEDTTVIDSENISTEANKVSEELLTCLLNIFSQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLKALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18840.6 pep chromosome:ALNU02000000:8:21788403:21794658:1 gene:OGLUM08G18840 transcript:OGLUM08G18840.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKETSPGVTDRYQAANKTEEAVVKRTPPPPTRRRRRNITREYETNRTRDHHFTAGFCLAFWAPPRLAAAAASVGSSDPTSPIGRSCQWPPNLTLVVIGDAHGAGEAMSGSVGRRRSPAAVRHGGVRAPPRSDKVMERPNSKIPAMKASSSNAAAPQMIMNRSQSRRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVSFRQGLYEEAVTISMAKSAYFSDTDRCTPARHGQVPDQAASASWSSLKRVTNVKQTPRRTIPSMNHGGDRPGKENQSCTTNSFRDHSRFPLKTVPKCSNPEEEKCADFQMRSSSDQDEDRSSSPSVSGSCESSDGAACAGDPYGVLELGSRDIGPYKQFRAVDATSFDQNVFDSSNSLLGRRLKALLQKLSSVDLVGLSHQQKLAFWINTYNSCMMNAFLEHGAPTTPQTLVAMMPKATINVGGRVLSAMTIEHFILRLPYNAKHVRVYTACHVEDELEAAKRDYLQAAVGVSTATSISIPKLLHWYLLDFTKDVSSLMDWVCLQLPGERRRHAVEAVEASRRSPSPPPVQVVPYEFRFRYLLAT >OGLUM08G18850.1 pep chromosome:ALNU02000000:8:21801464:21801992:1 gene:OGLUM08G18850 transcript:OGLUM08G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGFRLANPTLGGWGSCPGRRWWRPDPRRIRPDLEGGWPAAGTDGDGGDDGGGPRRLKATSAADHGDVGGGPRRVQDATAGTSAASSWSSRSNRAPSGAFRPPDPRHPGRIWTGGGWWRQPVMVAAGGGGWRRWRGDDRRTTVADGGGRGGDGWRGRMCGSRRVDVSNNI >OGLUM08G18860.1 pep chromosome:ALNU02000000:8:21802244:21802753:-1 gene:OGLUM08G18860 transcript:OGLUM08G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQATKEPLPSHGQQQLLGRDCNLSSLPSIAAHHQRAAPTSSAEATEERVAHHKNEADLRRRRPRRTPRYEGFRTEKDHPRSSIHRSFSEATPPRRTRRVTSLPLVQNRNKVFTSRFVGNMKERHDDASKKVNGARGRRRRRTGQRNGKAFANVFTSHTQAPHIDDH >OGLUM08G18870.1 pep chromosome:ALNU02000000:8:21803961:21808480:1 gene:OGLUM08G18870 transcript:OGLUM08G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50560) TAIR;Acc:AT3G50560] MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASEGYTLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADGDGDASPRPTPFLAISPDAFHRALAVSAAGAFYCAHQVIPGMVERGRGTVIFTGSSASVTGYAGYSDLSCGKFALRGLSQSLAKEFQPAGVHIAHMIIDGVIGEPRSGRGRCGGEAASASGADPDAVAQSYWHVHAQDRSAWTQEMDIRSASLM >OGLUM08G18880.1 pep chromosome:ALNU02000000:8:21809222:21812723:-1 gene:OGLUM08G18880 transcript:OGLUM08G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT3G50520) TAIR;Acc:AT3G50520] MPTCLPPPPRAAIAAVLTSLLPPPPGASASLAPAGPAAAVARQIRRNDGHHPGAAVGMSSASVAERERESEAGEFTEVVVVRHGETAWNASRIIQVPCSVPPAPSPIYFSEALVFNFGEKLWLGKLGRINDSLVGHLDVELNEIGRQQAVAVWYDIFQIAVVFDPALRERHIGDLQGLKYEDAGKEKPEAYRAFLSHKRNRQIPGGGESLDQLSERCVSCLYNIVEKHQGERIILVSHGGTIRELYRHASPMKPLHGKIHNTSVSVILVSGATGRCIVKACGDISHLKETGVLENAFGGDKNSA >OGLUM08G18890.1 pep chromosome:ALNU02000000:8:21815632:21816396:1 gene:OGLUM08G18890 transcript:OGLUM08G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIDGAASLRSLLRPSSDGRRTTKLSGGGGGGGAGGVGGIFKMFKLLPMLTTGCKMAALLGRHSGGRAAPLLADHAPTVTLFGHRRGRLSLAIHEDTRAPPAFLIELPMLASAMHREMATGTVKLALESDTRSARRRLLEEYVWAVFCNGRKAGYAIRRKDASDDDRHVLRLLRGVSMGAGVLPPPPADRRGGAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGSDNGGAAGRDRECAPELSIFLVRKK >OGLUM08G18900.1 pep chromosome:ALNU02000000:8:21832285:21834589:-1 gene:OGLUM08G18900 transcript:OGLUM08G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWL0] MAPVQTPEQSNGSLTLNPVVQRVLSRGKSLLSPSTPRSPPPSYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPEARLANYFDVIAGTSTGGLVTAMLTAPNDNGDPLFAARDINDFYLEHCPRIFPPVSKGPLGLFKSMTGPKYDGRHLHSVVQQLLGDKRVDSTITNIVVPTFDIKLLQPTIFSTYDARKDVSKNALLSDVCISTSAAPTYLPGHRFETTDKDGKPREFNLVDGGVAANNPTLLAMTHVTKQILLGCQDFFPIKPADYGKFMILSLGTGSAKIEKKFDAVESGRWGVLGWLFNKGATPLIDSFSQASADLVDIHASVLFQALHCEKRYLRIQDDELTGDAASVDVSTPENLQRLVGVGKALLKKQACKVDLETGKNEPDMNRKSNEEELVLFAEMLSRERKARLQKKQGSMKI >OGLUM08G18910.1 pep chromosome:ALNU02000000:8:21849827:21851683:-1 gene:OGLUM08G18910 transcript:OGLUM08G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWL1] MSSPPSYGSIVTVLSIDGGGVRGIIPGTILASLEEKLQELDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINNFYLEHCPKIFPPRSRCSRVNETITNVVIPTFDIKLLQPITFSRYDAQNDVSKNALLSDVCISTSAAPTYLPGHRFQTTDKDGKPREFNLVDGGVAALLSD >OGLUM08G18920.1 pep chromosome:ALNU02000000:8:21865518:21866054:-1 gene:OGLUM08G18920 transcript:OGLUM08G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHVSKQILLGNKDFFPIKPVDYGKFMILSLGTGSAKIEEKYDAVQSGKWGMFDWICHVHDRSSPLIDSFNQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKVNLETGKNEPDMDRKTNEEELENFAKMLSEERKARLQKKAFLQ >OGLUM08G18930.1 pep chromosome:ALNU02000000:8:21879953:21890373:-1 gene:OGLUM08G18930 transcript:OGLUM08G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWL4] MAPVQRPEKSNGSLTLNPVVQRVLSRGKSLLSPSTPRSPPPSIVTVLSIDGGGVRGIIPGTILACLEEKLQKLDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLKHCPKIFPPRSIPIVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRFDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTKDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >OGLUM08G18930.2 pep chromosome:ALNU02000000:8:21879953:21881899:-1 gene:OGLUM08G18930 transcript:OGLUM08G18930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWL4] MAPTTNQSSGSAPLTVNPVVQRAVVGAQLPTTSPCRTPKSPPPSYGSIVTVLSIDGGGVRGIIPGTILACLEEKLQKLDGADARIADYFDVIAGTSTGGLVTAMLTAPNDQGRPLFAAKDINDFYLKHCPKIFPPRSIPIVGLFQSMAGPKYDGKYLHSVVQSLLGDKRVNETITNVVIPTFDIKLLQPITFSRFDAQIDVSKNALLSDVCISTSAAPTYLPGHRFQTKDKDGKPREFNLVDGGVAANNPTLLAMTHVSKQILLGKQDDFFPIKPADYGKFMILSLGTGTAKIEEKYDAVQSGKWGMINWVYHDGSSPLIDSFSQASADLVDIHASVLFQALHCEKSYLRIQDDELTGDTASVDVSTPENLNRLVDVGKALLKKRACKVNLETGKNEPDMSRGTNEEELDHFAKMLSDERKARLQKKACLQ >OGLUM08G18940.1 pep chromosome:ALNU02000000:8:21899577:21900078:-1 gene:OGLUM08G18940 transcript:OGLUM08G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEACLAREARAVEMKVGLAREARPMEGGWIGARDASGGGGRFGARDVAGGGGGDLGARRSCRWVWRGLRRMKAGRRGAPVQGSQMSAELEWWWSIGGERRVKTQSSLGRTDNDDSFTLLRVLSCCLTPQGWLPGESLVLAPLSPDGRRRRFFHRFSS >OGLUM08G18960.1 pep chromosome:ALNU02000000:8:21908337:21911119:-1 gene:OGLUM08G18960 transcript:OGLUM08G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWL6] MASASSPEGASSSSPEKVKMVTVLSIDGGGVRGIIPATILAFLEKELQKLDGPDARIADYFDVVAGTSTGGLLTAMLTAPNENNRPLFAADELAKFYIEHSPNIFPQKNWVLSKIAGTLRMVSGPKYDGKYLHSLLREKLGDTRLDKALTNVVIPTFDIANLQPTIFSKFELKYKPLKNALLSDISISTSAAPTFFPAHYFETKDDNGQTREFNLVDGGVAANNPTLCAMSQVSKYIILEDKEDCDFFPVKPTEYGKFMVISIGCGSNHDQKYKAKDAAKWGIFNWLIKGSSAPIIDMFTSASADMVDIHLGVLFSALQCEKNYLRIQYDQLTGSAGSIDDCSKENMDNLVKIGEMLLDKNVSRVDLETGHYVDVAGEGTNRDQLAKFAKQLSDERRRRQNEPSN >OGLUM08G18970.1 pep chromosome:ALNU02000000:8:21918393:21922576:-1 gene:OGLUM08G18970 transcript:OGLUM08G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDPPPSAADLAPRIHIGMEWRSRGQIKMERRCSCRIGKEAKVQAKDVMALTVAVAVPMEEAEVQVEEVAMLAVEVAVPTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVERKSGPVRVPLLLVPGGDCGGGGGDANAEMSVFGGDSWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAESRLREKELSRQQEINKRLAISPEASVSSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANNKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLAEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLG >OGLUM08G18970.2 pep chromosome:ALNU02000000:8:21918756:21922576:-1 gene:OGLUM08G18970 transcript:OGLUM08G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPEVDPPPSAADLAPRIHIGMEWRSRGQIKMERRCSCRIGKEAKVQAKDVMALTVAVAVPMEEAEVQVEEVAMLAVEVAVPTEEIMAPSRGVCAYDGGGGGHCDGGAAHGATEWRRHRAWAAPAFLRRERRRGKSPINRTIVASWAGPNQPTSGCSPSYSESLVKAQVERKSGPVRVPLLLVPGGDCGGGGGDANAEMSVFGGDSWARDARQRKRRLDDLMLPASAASPSSSSPDSFRRLSNGKFACLVCPHRPVLDSPLMLSMHNKGSRHIAAESRLREKELSRQQEINKRLAISPEASVSSSGKQHYGVRSSDMKEKPLIQQTRQAILEAQSSRFIIDSANNKSHDLERTSNSSLCDSQVTPSVPMEKWSGDTVKGKFFAGDRTATKMLAEEQADFQKRQEQELKFTASGWKRDGHGRWYRDENVSHIFFCMALSFVCYS >OGLUM08G18980.1 pep chromosome:ALNU02000000:8:21922802:21926220:1 gene:OGLUM08G18980 transcript:OGLUM08G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAKTIPVKIPRVLPPYGGEARRRDAMDPAAARRKAERWIGVAEKLLMARDLEGCKQFVSQARADDPTAPGADDLAAAADILLAAQRRRLATGAPNPYAVLGLDCADPASRDPDVVHSAYRRLSLLLNRSHPDRPCLHAFADAARLVAEAWAFLFDPVRKASLDSSLDAAAAAAAPRPPPAPSPQKQQPQPRSPRPASPPPVPAAPEVASAVSTPPARPKRGRPPRAAKPQPTPERQQEAEVEAAATFWTACPSCCNLHEYTRSYEARTLLCPSCRKPFFAAAMATPPPIVPGTDMYYCSWGFFPMGFPGGPAFAPPTSSSSSSSPTKQAPAALGFYPMGPYSLPLPAQGDAAEGNAAVGSGDGTVTAPSPPPPPAAAAPLPVKPKLVKLGARKRGRPKSSKNKHVA >OGLUM08G18990.1 pep chromosome:ALNU02000000:8:21927885:21932340:1 gene:OGLUM08G18990 transcript:OGLUM08G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEHAEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHLVFWTAKKMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAGNLKAKTSSKKVRKQKGLKESPASEHMREDTKDQHSAEQHQELGYTATTGVATRKGRRTREGARQLSPTDGEDQASGKKASIEGSSYKTEKQAGSVYDEEACKMTTTERSVGRREGLRRSACTPMKAYLDPSEDRTSLFSDTSASEGANEVNRTPENSNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICCASELNITGDPTPVAKGGRGIKRGGSRAEAESSNITQRSRKGRIDQASSNGKKRAKDTSETMNHDNSPNSLRGPFYSTVRSNTSNVLQSLVPQHFGYRYSKAIITHELSFGYT >OGLUM08G18990.2 pep chromosome:ALNU02000000:8:21927885:21932340:1 gene:OGLUM08G18990 transcript:OGLUM08G18990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEHAEKGDGVQECQSSPALSEDNLRHSFRLGDITWVKHTGSWWPAQVVENSCISSKPKKTAKHHVPVRLYGTCVHLVFWTAKKMLKRENKSAMEAFHEAVKKELSHVNSPCDSTEEAGNLKAKTSSKKVRKQKGLKESPASEHMREDTKDQHSAEQHQELGYTATTGVATRKGRRTREGARQLSPTDGEDQASGKKASIEGSSYKTEKQAGSVYDEEACKMTTTERSVGRREGLRRSACTPMKAYLDPSEDRTSLFSDTSASEGANEVNRTPENSNQHEDDSTIDGTLASHAEIRVMVRDILFSDIIAKQHAAEMAYVDEVINGICCASELNITGDPTPVAKGGRGIKRGGSRAEAESSNITQRSRKGRIDQASSNGKKRAKDTSETMNHDNSPNSLRGPFYSTVRSNTSNVLQSLVPQHFGYRYSKAIITHELSFGYT >OGLUM08G19000.1 pep chromosome:ALNU02000000:8:21939183:21939939:1 gene:OGLUM08G19000 transcript:OGLUM08G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGECSAAAARKGGSPAVRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRCRADDATEGADVVVPGEGDEVGVEDEDDDEGERDEGCYVVGGGDRRWRRRVRAWVCCADRPGLMSDLGRAVRSVSARPVRAEVATVGGRTRSVLELDVVVASDAADNDRAVALSALRAALRTVLLNREELLAAAATDGYKRPRFSPRCSSLT >OGLUM08G19010.1 pep chromosome:ALNU02000000:8:21942091:21942555:1 gene:OGLUM08G19010 transcript:OGLUM08G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHLLVLLLAFSAFHCSYAARHLLDTAAAAAAPEAAPAQPSMPTVPTTLPPIPSIPAVPKLTVPPMPSVPIPKVTIPPTAAGTIPSLPIPAIPTTIPTIPTVPVTLPPMPSIPTTIPSIPTTIPTTIPTIPGFQMPPIPFMSSPPKTTSP >OGLUM08G19020.1 pep chromosome:ALNU02000000:8:21943706:21945537:-1 gene:OGLUM08G19020 transcript:OGLUM08G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 domain 2-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G38090) TAIR;Acc:AT4G38090] MAAVRIAPRLRSLPLLLPGPDAAATAAAVHRSCSSCGGSLAALAPARLRAMSSSSSSSSVSPSPYTTLVGRVSCEREIKKSKFIAIAAPVPDERAAMSFLNEVSDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTCLVKPKARVGMEVPFDLLGTVYHQLQHYQAEDIKQDYDTGKDGTVMVMFKLEYEKIENLGNAVNSACSRKIELLL >OGLUM08G19030.1 pep chromosome:ALNU02000000:8:21946047:21948461:-1 gene:OGLUM08G19030 transcript:OGLUM08G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial [Source:Projected from Arabidopsis thaliana (AT3G52300) TAIR;Acc:AT3G52300] MSGNGVKKVAEVAAKAGKAIDWEGMAKMLVSDEARKEFNTLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVELKEAEKESLKESERIEKELAELQEMKKNISTMTADEYFAKHPEVKQKFDDEIRNDNWGY >OGLUM08G19040.1 pep chromosome:ALNU02000000:8:21956393:21957454:-1 gene:OGLUM08G19040 transcript:OGLUM08G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSQLGGGEGDGKLVVGGGAMGEKKQQLECFSDEVDSRDDGGGAAEETTAGGGGEGVAAVVVVGKRRRGRPPGSKNKPKPPVVVTRGGGRAGGGGGDAILSISATFLPPAMAAAAPRAALGGLSISLAGPHGQIVGGAVAGPLVAATTVVVFAAAFASPTFHRLPAEYDDAPAPVSGSGADADEHRGRRRTEPPEHHHLTPLHPRGIALATATTTTTTQPVYASACQHEEVWPPAAAAAASAPRPRPPYQ >OGLUM08G19050.1 pep chromosome:ALNU02000000:8:21957541:21958164:-1 gene:OGLUM08G19050 transcript:OGLUM08G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGGGDGERRSKENGRALRMASQQQERLEINCSYLRLRSMALLASFASLTLTPRRAPSPGKKSLPVG >OGLUM08G19060.1 pep chromosome:ALNU02000000:8:21960643:21967307:-1 gene:OGLUM08G19060 transcript:OGLUM08G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVECARAYYSELFPSVVHAAEMVDEAAWGAPPAVVPAQAEAEAEMEARAQPQPQPPVGAKGVCAVCFRPTTFRCKQCKAVNSFKCQIAHWRQGHKNECRPPSTDANHDDVAELSVAKERKIEQTSASEENIAETNTAATVKNLNDKTKDMPSEVLASVEVPDDDHSVSAVKLPQSSSQVASLGSRKTESNMKPTTPVENGSYTKDLDEALVCRSQPSPPKISGSGSLINKESLIDSKQHQDCSQTSNSKKYADNNNAQAALPVAVEPKTSRTALHVEVRHSKTKAAGSDNIGVSKMVPSVFTVDKVSPVPGGRSVTPNSSKRADNIAERNSKPSEKSISTANSLATSLKKIVRQQTAPKVVRHYPSEPTHFPYELFIKLYEKVELQPFGLHNLGNSCYANAVLQCLMFTRPLTSYLLGGLHSKNCSKKEWCFMCEFEKLVGEGRQGKIALSPTGILSHLPDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKSGTHRLHEETTLMQLIFGGYLRSKIRCTRCDATSEQHERILDLTVEIDGDISSLEGALERFTSTEVLDGDNKYKCSRCKSHERAKKKLTISEAPNVLTIALKRYQSGKFGKINKAIRFPETLNLQRYMSPKADDTSPVYSLYAVVVHHDIMNAAFSGHYVCYVKDTHGKWYKTDDSQVKPVSLENVMSKCAYMLLYARCSPRAPSSVRPALMAQDPARVKKDKARVNSGRWHGGGPIHQGGQMYADHMTDDLPHTYDEFGHGPYSPAESPSPSESSSIFTSSDTGSHSTDSSESTRNSTSEDMERLIFGDQVSYFDGSMFGHGENDRMTYSRSKSSLGTSSSGQEVDQYRPDEHRLQGAREGWNQGDESSSLYTNQSKHQFSSKLTEQYRRRLDGTEHDPGEANSVLLRRSARERTAQTFY >OGLUM08G19070.1 pep chromosome:ALNU02000000:8:21968783:21971254:1 gene:OGLUM08G19070 transcript:OGLUM08G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDEWTTGTRGEFHHFSSRSLARNIRVSGAGHQGIVAGGPIVHVSRRSSSPAAASSTVHATSELSGGGRVLSVIQAPRRSSSLMAASFTVHAMSELIASSRVLLAPRLSTSTTALSRPS >OGLUM08G19080.1 pep chromosome:ALNU02000000:8:21970945:21971496:-1 gene:OGLUM08G19080 transcript:OGLUM08G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQPGFTAAIAVETVYFTRGCGVASVAVGCGRRRRAAQLLTPTEDGDGAWGLAVVAESKRGAVLRSSASSTSELIDVGCHDGRDRAVVEVDRRGARRTRLLAMSSDMAWTVKDAAISDELRRGAWMTERTRPPPLSSDVAWTVEDAAAGDELRRETWTMGPPATMPWCPAPDTSQVSPNTW >OGLUM08G19090.1 pep chromosome:ALNU02000000:8:21979479:21980462:-1 gene:OGLUM08G19090 transcript:OGLUM08G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAAAAAVAPTQAALRPALAFHAGQAVAIPHDVIAPPRKPGPIAVGAQILRAEGAAGLFCGVSATMLRQTLYSTTRMGLYDILKKKWTQENGGVLPLHRKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLGTHVVASFAAGLVAAAASNPVDVVKTRVMNMKVAPGAPPPYSGAIDCALKTVRSEGVMALYKGFIPTVSRQGPFTVVLFVTLEQVRKVFNGVEF >OGLUM08G19100.1 pep chromosome:ALNU02000000:8:21980646:21980960:1 gene:OGLUM08G19100 transcript:OGLUM08G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEECGTLALCDICCSCVPLSWGLASDVLFVCCVLAEEEAPKPLFIVRLASWRELGDGTRRRRKKERKRGRGGSGEGRFGGGWWPREGEDLAAPRERSLVVAWT >OGLUM08G19110.1 pep chromosome:ALNU02000000:8:21989647:21994686:-1 gene:OGLUM08G19110 transcript:OGLUM08G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWN3] MASISGAAAAAPSSSGASCGLRLRRDHLPRSSHFRLARPSSITDVSRSCSSSSSSPPRSLSSKQSGHADVLAHGAVDKDPIRLWNRYVEWLYQHKQLGLFVDVSRIGFTEEFLRRMEAPMGRAFAAMRELEKGAIANPDEGRMVGHYWLRNPALAPNSFLRDKIETTLERILAFASDVISAKIRPPSSPAGRFTQILSIGIGGSSLGPQFVAEALAPDNPPLMIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAAQMDEETRNTEIKENPAALLALCWYWASDGIGSKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHEWELEPSVTCGDYLFGMLHGTRSALYANDRESITVTVQEVNPRAVGALVALYERAVGLYAYLININAYHQPGVEAGKKAAGEVLALQKRILLVLNEASCKDPAEPLTLDQIADRCHCPEEIEMIYKIIQHMAANDRALIAEGNCGSPRSIKVYLGECNVDDDMNS >OGLUM08G19120.1 pep chromosome:ALNU02000000:8:21998103:22001878:1 gene:OGLUM08G19120 transcript:OGLUM08G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNNTECTYGQWDKNLNDRVSKSVLVLSSLTGDTGGGNPAVARSPLPPPPRRRRQSRAATRTMAAGLRFSLVSPWGAVRMAKDHPDPSRRNLAGRQPTAGQRGEAAAGLEVVATEKEKRWGLTAAGMEGGSEDGDGEQDLVTPEVDPPLPRGQIWYGASSRRWRRYGARGWPTTVAAADCCGNRMHSRRRQRRGARGDGGGSGRTAARWLAIGSSGYCGCGGRRHGGGDRDYGGRRHGGLGQLAGGVLDDRT >OGLUM08G19130.1 pep chromosome:ALNU02000000:8:22013490:22016790:1 gene:OGLUM08G19130 transcript:OGLUM08G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSSCHDAAASMLLCAEDNSSILWLEDEEGEVGERRSGGCRSMVGDLAGGGGGGGGGVEEEEDMFPPQSEECVASLVEREQAHMPRADYGERLRGGGGDVDLRVRSEAIGWIWEVYTYYNFSSVTAYLAVNYLDRFLSQYELPEGRDWMTQLLSVACLSIAAKMEETVVPQCLDLQIGEPRFLFEVETIHRMELLVLTNLNWRMQAVTPFSYIDYFLQKLNDGNAAPRSWLLRSSELILRIAAGTGFLEFRPSEIAAAVAATVAGEATGVVEEDIAEAFTHVDKERVLQCQEAIQDHHYSMATINTVQPKPASTRRGSGSGSGSASSSVPESPVAVLDAGCLSYKSDDTDAATIASHGGGRRKSCFDSSPVTSKKRRKLSR >OGLUM08G19140.1 pep chromosome:ALNU02000000:8:22029749:22030621:-1 gene:OGLUM08G19140 transcript:OGLUM08G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDEGDGDEEMPPMPLSSGYDAPMQPGLGGGGGGVPKPGGGVGGGGGGGGGGGGGGARYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESESPTGVGPAEPSAVSPAAISAYGASPHHQFSPYYRTPAGYLHHQQHQMAAAAAAAAAAAAGGYPQRPLALPSTSHSGRDEGDDMSGMVGPMVIGPMVGMSLGSAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKAP >OGLUM08G19150.1 pep chromosome:ALNU02000000:8:22035725:22036302:-1 gene:OGLUM08G19150 transcript:OGLUM08G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLDTARSDPKVGDDRSGAGVGVGSQTEAAPDGSYAWTAVIGGGGGGGGGDDRWWGRPKTVVVGRSWLREAGAACVAPGGLVRAMARRPARSMEREADITDDRGAGEADL >OGLUM08G19160.1 pep chromosome:ALNU02000000:8:22074289:22084173:1 gene:OGLUM08G19160 transcript:OGLUM08G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWN8] MEDEATSVAAPLLRPRGGVDAEAVKQQLWPAGARVAGEWWVESKKLWRVVGPAIFQRIALYGINVVSQAFIGHMGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWLVLLMFAVALTPTYVLMEDLLLLIGQPADLASLAGKMSVWLLPQHFAMAMLLPLTRFLQSQLKNWVTAVTAGVALALHLVITYLLVNTLHLGLLGAVAAANVAWWIVVLGQLVYVVGGWCPLSWKGFSMEAFADFWEFIKLSSASGVMLCLENWYYRVLVLLTGYLNNAEIAVDALSICLTINGWEMMIPFGFLAATGVRVANELGAGSGKGARFAIVVSVTTSVAIGLVFWCLIIAYNDKIALLFSSSKVVLDAVSDLSVLLAFTVLLNSVQPGIWSGLIGGTAVQTLILAHLTIRCDWDEEAKKASTRMEVWASSK >OGLUM08G19170.1 pep chromosome:ALNU02000000:8:22079888:22083970:-1 gene:OGLUM08G19170 transcript:OGLUM08G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal recognition particle receptor alpha subunit family protein [Source:Projected from Arabidopsis thaliana (AT4G30600) TAIR;Acc:AT4G30600] MLEELLIFTRGGLILWSSCRALGGAALKGSPIDALIRSCLLEERSADASFSQDTYALKWTFNNDLGLVFVAVYQRMLHLLYVDDLLAAVRKEFSQIYDPKRTSYDDAFNEVFRQLHLEAEARSEEMKKNKQVTGSRPTKVTTKTNRGDTQGSGGGRKKGDSGKDDSDGDSGKEHTLPNGNSKMQENSLKDNSHARSVVVKGKENGDPNDGAFDVNKLQKMRNKGNKKNEVASNVAKNTSKANTKKNLKKNRVWDDTPDDKKKLDFTDPADERGDEVIDQVVVKQGESMMDKDDVVSSDSDEEEEDGEENSGASQKKKGWFSSMFKSIAGNNVLEKSDIQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALLRILTPRRSIDILRDVHAAKERGKPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVSLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLAELSAVPTTRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OGLUM08G19180.1 pep chromosome:ALNU02000000:8:22085621:22089095:-1 gene:OGLUM08G19180 transcript:OGLUM08G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPHKSLIPPPSLPSLFLSLSHRFFPFGRRRAVRAEAALGDESEQLAGGDEWLDCAGVHGRRQRAAGQLQADTMVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >OGLUM08G19180.2 pep chromosome:ALNU02000000:8:22085621:22087493:-1 gene:OGLUM08G19180 transcript:OGLUM08G19180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSRLPSHEEQSAAAAADGSATPSQGIPVVDLGVLINGAADERSRAIRDLGRACEDWGFFMVTNHGVPEALREAIMDACKELFRLPLEEKKEYMRAKPMDPIRIGTGFYSVVDAVPCRRDYLKMFSHPEFHCPEKPAKLREIATEYATCTRALLLELTKAISESLGLAGGRLSEALNLESCFQILVGNHYPACSRPDEQAMGLSAHSDHGLLTLLFQNGVDGLQVKHDGEWLLAKPLPGSFFVIAGDQLEIVTNGRYKGVLHRAVVGGEQSRMSFVSLIGPCMDTVVEPLPEMAADGRGLEFRGIRYRDYMEMQQSNSINEKTALDIVRVMHQAG >OGLUM08G19190.1 pep chromosome:ALNU02000000:8:22088249:22088794:1 gene:OGLUM08G19190 transcript:OGLUM08G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCRRRLHLATAGTSTSPLLASSSPTSPSGFISSPAPHPSPRVSVGGDVGRAPPGRGRCRRRGMEVDAPCASSSTTRSSSGRAPWRAAAAVAFTFFTQSCAVNVVYGHAWCGRVHIPVEAGAIALIGLPALELEGLPWFIKVGPGPYPAYFDLVMKQFDRLELADDVLVNSFYELEPELS >OGLUM08G19200.1 pep chromosome:ALNU02000000:8:22092519:22093810:-1 gene:OGLUM08G19200 transcript:OGLUM08G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFPPHIMVLSKMQRLYDACDMIFSSPASAAPTIGEIRWLQHLLDGMEAADVGIDDGESPPSSSSSSCSSSDDEVSSKDGRLLPARAFTRITYVHIHQCADFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVKSYDWVTPPPPCSRKSGLARVVAADEVRHAPCKTSVLFPRSGGNMHAFTAVTPCAILDVLTPPYSEELGRPSTYFNDIPIPSLPGFAFLEETDLPEDFSVAGAPYVGPELELVVDMDDDDDDYDDYDE >OGLUM08G19210.1 pep chromosome:ALNU02000000:8:22119995:22122738:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEATGSLKELEAHYYSSVAF >OGLUM08G19210.2 pep chromosome:ALNU02000000:8:22117981:22122738:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEATGSLKELWEEV >OGLUM08G19210.3 pep chromosome:ALNU02000000:8:22120745:22122738:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEATGSLKELEAHYYSSVVRTPPDE >OGLUM08G19210.4 pep chromosome:ALNU02000000:8:22117950:22119597:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYRFSGTPLGLVRLGHSRLGSGPNPHGKSRRGKDTGPRKYRRSARFYPGPPRCFPLVIGREIFSFFGGEEKILLTRRHNERGRCGGDGCTARCDSDGDGDGTFSPPAVDSSGGHLLPGFGRKSERMVVQIQSE >OGLUM08G19210.5 pep chromosome:ALNU02000000:8:22120747:22122738:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGGAAAGPTAAAAAAAVQKQKTLLQKADADVSSLVDNFAALINIARVNDPPVRNTQEAFQMDMRGSRMVHSADSLLKLVSELKRTAIFSGLASLTENVDRRIEIFSQQVEGTERMLERIGQEATGSLKELEAHYYSSVVRTPPDE >OGLUM08G19210.6 pep chromosome:ALNU02000000:8:22119071:22119597:-1 gene:OGLUM08G19210 transcript:OGLUM08G19210.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYRFSGTPLGLVRLGHSRLGSGPNPHGKSRRGKDTGPRKYRRSARFYPGPPRCFPLVIGREIFSFFGGEEKILLTRRHNERGRCGGDGCTARCDSDGDGDGTFSPPAVDSSGGHLLPGEFLPFPLASSSSVRVSCARGLVLLRRCTTVAGMAGDAAASHPLTMAPLKL >OGLUM08G19220.1 pep chromosome:ALNU02000000:8:22126176:22129302:1 gene:OGLUM08G19220 transcript:OGLUM08G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAAAAGGGGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGAAGHAAAARSYRARVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELSPTHPIRLGLALNFSVFYYEILNSPDRACTLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDATKPEDEH >OGLUM08G19230.1 pep chromosome:ALNU02000000:8:22132054:22137753:-1 gene:OGLUM08G19230 transcript:OGLUM08G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRHQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECGFLISSNWVLELCFSVLTIDISWPQQSAKDASSSRYVSFYISSSYIDYLPLTLFLAH >OGLUM08G19230.2 pep chromosome:ALNU02000000:8:22133946:22137753:-1 gene:OGLUM08G19230 transcript:OGLUM08G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLRHHAALASSRLLVRDNQRITALARAGDVAAARRVFDAMPRRDAVSWNALLTALWRAGRDLPAARSLFDDMPSRNVISWNSIIAGCLAHGDLAAASAYFARAPRRNVASWNAMLAGLVRLGSMEDARSLFDQMPERNVVSYTTMVDGLARCGEVASARELFDAMPTRNLVSWAAMISGYVDNNMLEEARKLFEAMPEKNVVACTAMITGYCKEGDLQNARRLFDGIRAKDVISWNAIISGYVHNGLGEEATKLYIIMLREGIKPDQATLIALLTACSSLALLRQGRSTHAVVIKAMLESSISICNALMTMYSKCGNVDESELVFMSLKSQDIVSWNTIIAAYAQHGRHQKVIALFHEMELCGLIPNDITFLSMLSACGHAGRVDESLKLFDLMFSKYAISPRAEHYACIVDILSRAGQLEKACSYIKEMPSEAEKNVWGTLLCASQTHGNVQLGELAAKMLVLSDFESSGAYVMLSNIYAAAGMWGEVNRVRSQMKEKGVKKQPGHSWTEIADKVHMFVGGDASHPEMDMILSELRKISFHMQMVTDKTQMMEELVQECGFLISSNWVRSWS >OGLUM08G19240.1 pep chromosome:ALNU02000000:8:22132237:22134443:1 gene:OGLUM08G19240 transcript:OGLUM08G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKILKKTNLDRFCAFLLTLQGGSRVYDFGGTQVAWSANSGLAAGVRSTSNGDKASNSITEASEMQEKLNKLQEELKNEKKEKARALDEIAGLKKKKNENKVTSNGGDDKLDLVHRLEQLEGELEAARDSEKKLLVSLGAQTKQLEQTKVSLEEAKLEIASLKDNKKSSEAFSALSSNPSQPARNLRRRGIMSFSFADPGEVETWSLQRELKLAVEAEEKCKKAMDDLAIALKEQTTDARDAKAKLSLAQSELTNARTEMENSKALLKNTEEKLQVALEEAAQLKFESDELAAASKEKERGLVDCIKMFEGDLIKAKEENNKLIESQRVIRDENSRLREMLKHAVCEANVAKESLEIARAENSQLKEDISEKENTLQSIIQDYESLKVSESAAQSSIGELKDMIDAMFSSESTKTSAEASPRDTKGNEVYYDHERTQLEDIRNPARHKKRTVLRKFADIMKKRNSQSAI >OGLUM08G19250.1 pep chromosome:ALNU02000000:8:22143172:22145561:-1 gene:OGLUM08G19250 transcript:OGLUM08G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWQ4] MPPPTMMLPPSPGDSDPSGSRDMDDEDLVEDLLVTVNSARAFVEFRRTQRKECANLLRWLELVLPLLEELRDSAPPLTEDAYHRLALLGRAFSAARRLLRSCHDGSKIYLALESEAVQGRFRAVYEKMNSALDGMPYSELAISDEVKEQVELMNAQLTRCKKRADTQDIELSMDLMVILDNKEGERNADRAILERLAKKLELQTLADLRAETMAIKKLISERNGQSGDSTKQIIELLNKFKEVAGVDEKNVLGEVSVTKSLDKCPSLMIPNDFLCPITLAIMRDPVIVATGQTYERRSIQKWLDSGERTCPKTRQRLSHMSLAPNYALKNLILEWCDKNKVELQKREPEPVAEQDDEHQRGAEDIPSLVEGMSSIHLDVQRKAVKRIRMLSKECPENRTLIADSGGIPALIGLLACPDKKVQENTVTSLLNLSIDESNKRHITKGGALPLIIEILRNGSAEAQENSAATLFSLSMIDENKLTIGRLGGIAPLVELLQNGSIRGKKDAATAIFNLVLNQQNKVRATQAGIVPALLKIIDDKALNMVDEALSIFLLLSSNAACCGEIGTTPFIEKLVRLIKDGTPKNKECALSVLLELGSKNKPLLVHALRFGLHEDLSKIAKNGTSRAQRKATSLIQLARKCY >OGLUM08G19260.1 pep chromosome:ALNU02000000:8:22153106:22154102:-1 gene:OGLUM08G19260 transcript:OGLUM08G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSAALLPVVADGGGGVGVEEEMDVDEDMAMCGGRGGGGGEKKRRLSVEQVRALERSFETENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRQSYDALRADHDALRRDKDALLAEIKELKGKLGDEDAAASFSSVKEEEEDPAASDADPPAAGAPQGSSESDSSAVLNDAEILPHKPAPAAAADAAASEETEAVVTGAALLHHAEVFFHGQLLKVDDDEAAFLGDDGAACGGFFADEHLPSLPWWAEPTEQWTT >OGLUM08G19270.1 pep chromosome:ALNU02000000:8:22154347:22159885:1 gene:OGLUM08G19270 transcript:OGLUM08G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVLIFYPSQLIYSRGDFFFEQRGVTKSIAIRKCRMFPLKSMFLWFFCLSEDSEKGGRVLLGSPKEMNPRILEFFLIYSTVCRHDIYVSTCGYYFCLSAHYYTNRLEIIGYWLQHKLFPHVVLTTISMFTYRTSYLLCAINPYIPCTQRSACFFLVFTKFPTCI >OGLUM08G19280.1 pep chromosome:ALNU02000000:8:22168773:22177347:-1 gene:OGLUM08G19280 transcript:OGLUM08G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDPSPITLVNSTERIWRKREGRGQDPVPLLVSLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKDTPEAPAIAAQKLKNMGPVTRNEWIMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPSILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGEVLSN >OGLUM08G19280.2 pep chromosome:ALNU02000000:8:22168773:22177347:-1 gene:OGLUM08G19280 transcript:OGLUM08G19280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDPSPITLVNSTERIWRKREGRGQDPVPLLVSLAVGLAVRFLAPRPAEVTPQAWQLLSIFLTTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEACIAPAMPSTTARAGGVFLPIVKSLSLSAGSKPNDPSARKLGSYLVQSQLQASGNSSALFLTAAAQNLLCLKLAEEIGVKIANPWISWFKVASLPAIISLLATPYLLYKIFPPEIKDTPEAPAIAAQKLKNMGPVTRNEWIMVATMILAVSLWIFGDTIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGMAGQLTNLGIVSWMSNCVAKVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALYSAFLAMHLAAGVPSILSALALTYNSNLFGALTHYSSGQSAVYYGAGYVDLPDVFKLGFTTAAINAVIWGVVGEVLSN >OGLUM08G19290.1 pep chromosome:ALNU02000000:8:22177953:22182273:1 gene:OGLUM08G19290 transcript:OGLUM08G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCTANLMSRLSSIYSQHRTSGLRSDRSIMPNSTSNSLRTISSVHLPYNHRARNFHISHAAGDSSEHVIINGQASPCKVVQADAAALGTIAADMAPVVDGFSADDDELDLDSPTEGFSSIPEAIEDIRQGKYVIVVDDEDRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEDDLERLELPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSANDRANTVLALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPAAVLCEIVDDDDGSMALLPKLQDFARRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFQSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEDLGLPVDSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMETKRLKMGHVYGTRPSGNTSTLADGGIKKEQDQIDSASEQE >OGLUM08G19300.1 pep chromosome:ALNU02000000:8:22182988:22193470:1 gene:OGLUM08G19300 transcript:OGLUM08G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >OGLUM08G19300.2 pep chromosome:ALNU02000000:8:22182988:22193470:1 gene:OGLUM08G19300 transcript:OGLUM08G19300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVASQPRAAMCGASTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPHAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >OGLUM08G19300.3 pep chromosome:ALNU02000000:8:22183454:22193470:1 gene:OGLUM08G19300 transcript:OGLUM08G19300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAIALRTSKIYTLEKVYGFRLVCRSVVDLRSQKFHTRVYKRKSYFRSSASECEKIIHSARWLEFRRQRVAFQRTRRTIHLIPLASQDDSSGLSVNGSPQVDSASEMDDIRVKLVKALQSEDISTGLVQSIHDAARSIELAFLDHSKSSKNSWFPKEWLGVDNNEWIKPLSYQAAVGSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLSSLEGAIQNELSKREPTLYQWYSSDQNPLVVRTFVNSFENDPRFNSATAICHERQQMNTSESDLSLLMLGLTCLAAITKLGSTKVSCQQFFSMVPDIIGRFMDMLLEFVPLSKAYTLTKDIGLQREFLCNFGPHAADPKFSSDRGVEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQTYLSSNRLTNLDDSINDIVVCEELEWLPFYSGDVPAATIEGREDVHKGEIISRVLNVCSYWMTSFIKYSSWLENPSNVKAARFLSKGYDMPKDQTFPEAKEHLVARTELASFDKSLESVEEALVKLEDLLQELHLSSSNSGKEDLRAACSDLEMIRRLKKEAEFLEASFRAKTEFLEADASSRPLSPAVEEGRGKTASNANESSTPQKPANRVENKRRPIWDLFGRPSGRRVELVQQTSDQNVSVANVDNKDTQSNDILRFEQLRRELIELEKRVQKSADNAQKEETYVANETLDSSVSSSPVSMPSGPASKKENVITKSVEKVKETTTTVVQGTQLLAIDTGAAMGLLRRALIGDELTHKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAILAFIQRYVPSMIPSTYAPERLDLLRQLEKVKEMGVAEGSSEEMVEACFGTRQKMVSFRL >OGLUM08G19310.1 pep chromosome:ALNU02000000:8:22196989:22197423:1 gene:OGLUM08G19310 transcript:OGLUM08G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLHLVVVAMAALFAAAAVAQGPSASPTPAPKAQPPVATPPTRPPAVAPVSPPAAQPPVTTPPPASAPAPVPAPSAAATPSPQASAPTAEPPVLSPPAPAPGSISQSPTEAPTSPPPPSAASGVSPSAAVAAWAAVAAVAAFY >OGLUM08G19320.1 pep chromosome:ALNU02000000:8:22198726:22198950:-1 gene:OGLUM08G19320 transcript:OGLUM08G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQQQHDLVNIDNTSLEHSTGSNSVYNRDGGYMMLMSPASATTRGGEKPRVAGGSRRPRDHGGDIQNVFASCR >OGLUM08G19330.1 pep chromosome:ALNU02000000:8:22199782:22200081:-1 gene:OGLUM08G19330 transcript:OGLUM08G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNERPVLRLPPIPFPIQLVSGMGRDGSSMMCSSAKGSLSHSFFTSTYVQYTLFRVLFRVIRVLIQCADIQQCTHVGICIVLRFCSCILFQKH >OGLUM08G19340.1 pep chromosome:ALNU02000000:8:22202583:22203245:-1 gene:OGLUM08G19340 transcript:OGLUM08G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSALIAMLLVMVVGCAAVASAMELSFIVGDAQGWNTGVDYTAWAKGKTFEANDTLVFRYARNQHTVTEVTKSDYDACTVSGKPISDFEGGALVTFIALSPGEHYFICKIGNHCASGMKLAVTVSNSSDTPRPQPWIGPYSTPASASAHLHAGGAVVAAAVGILLNLALF >OGLUM08G19350.1 pep chromosome:ALNU02000000:8:22221646:22222492:-1 gene:OGLUM08G19350 transcript:OGLUM08G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVLIKLLVVVGCAAAASAATLTVGGSSGWTLGQNYDTWASGQTFAVGDKLVFSFIGAHTVTEVNKNDYDNCAVASNSISSTSTSPATLDLAAAGMHYYICTVSGHCAGGMKLAIN >OGLUM08G19360.1 pep chromosome:ALNU02000000:8:22223284:22223580:-1 gene:OGLUM08G19360 transcript:OGLUM08G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATSPLLPESLINAVSTSVADAVRASYQAPPGSTIDDAMAKTVADSTADRQGIRRRPAVHAALKQHLPALSIAPAATSPPPTMDTAPELSLSVLFL >OGLUM08G19370.1 pep chromosome:ALNU02000000:8:22230812:22231601:-1 gene:OGLUM08G19370 transcript:OGLUM08G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTASSAAAAPGRGGMEWELEREMVLMAAAGGEHQKKQRQQQPARRAFATDLLQNCDLPPPAKLFGPLPTLQRLENAAAWTSTSPDRKGGDGEGGGGDGGDRLMRALRLSQSRAREAEEKLAAAGASNGELSALLVRDSVVLSAHRRWVMMLEAENSGLRGAAGAAGSAKEGVGEDEDEDEDEDGGARRGAAAWWLALAVCVGIAGIGLAMGKLL >OGLUM08G19380.1 pep chromosome:ALNU02000000:8:22233405:22236830:-1 gene:OGLUM08G19380 transcript:OGLUM08G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT4G09040) TAIR;Acc:AT4G09040] MALPLVRLPPLPAARHPPLLRLPKHSVPPHGGRVSFACSSGSRAAFAACCASASVAPAAEAEAVVEEPEGPRTRLIAQNIPWDCTADDMRALFGKHGSVVDVELSMYNSTRNRGLAFVTMGSEEEALSALNHLNSTYVVPSAPMPKHSVFVGNLTWRVRSRHLRELFASTPGVQSVEVVFHTTSPRRSAGYGFVSFSSKEAAEAAISTFNGTKLMGRSINVMFKDDNAKKNKSAAPTEEDLKAESSEQIY >OGLUM08G19390.1 pep chromosome:ALNU02000000:8:22241398:22242540:-1 gene:OGLUM08G19390 transcript:OGLUM08G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADYRTSPSTAGSNAAILTVRGHSSAAASAAAAHTICALSSAAGNTIATSTATAHSICSWSSAAGKTIATSTATAMTDSSAARSTRSIPPYLIGRMQMDGEEIAVVSSPLVEPDTIAEVPVISMDDDDHPTSPTSTKIAVEERPQPKLRICSVDVDLSWSPNGHVPEAPQRTAWEATGERSHGVKKGNPERRTVGVGDADAEYRSPDLQARSPSIASELAAVRLVLLVTGASGLDAARARPDDRRRFPIPSAASWLGVPVARARMTALRWVRSSTALRGNSSDAAAAAAAPSPSSASSTPTSSTFLEEMALPLAAPIMAASVVTAASKARVSSWRLPGMAAAPHCCGRGGDGGVRGGGCGGGGGGGGGDVDLIGGRRDL >OGLUM08G19400.1 pep chromosome:ALNU02000000:8:22245819:22246139:-1 gene:OGLUM08G19400 transcript:OGLUM08G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEASLLPAASSHTVVRRKRALQLNETVYEEPEYVATKGGTYNVAEMPERFKSPNNVDGQDAWTTAMFVRGMILLDASFRELQSRIASEKMPSMFVIEALFQNAH >OGLUM08G19410.1 pep chromosome:ALNU02000000:8:22247190:22249528:-1 gene:OGLUM08G19410 transcript:OGLUM08G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMQPIGPLPRGEKPAEGGADLSDIDSGWVVLGKSDIVPADLAGQSLRSSPKTIPTWARWVIGGVLHTVVPFYERARYVEDETARNVETAAEVVEQIAEVTQKLASNVADHLPENGCLQKAVEKIEYIAEVVDEDAEKVEAITEKIENFSDKIDAKVEPIFTEIEKEFEDSTSNDGVNANI >OGLUM08G19420.1 pep chromosome:ALNU02000000:8:22261873:22263475:-1 gene:OGLUM08G19420 transcript:OGLUM08G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVFSEGYYFGCGGAMAAEAAAGGAWSWSHGYGGGVDQGKGVMELVVDDGVVNAFWDGGGGGASSSPVMAAVPGFIEEPDGGNSSVADAAPPPEHGGASVVGRDGSAAAPAAAAGRRKRRRARTVKNREEVESQRMTHIAVERNRRKQMNEYLAVLRSLMAASYVQRGDQASIIGGAINYVKEMEQLLQSLEAHRHARRARTDAAAALPFAGFFTFPQYSMSAVPTTTTTTVSAAATENGNAVAGAGAGDDADADASGSKPSSVADIEVTMVESHANLKVLSRRRPRQLLRMVAGLQHHRLAVLHLNVASAGHMALYSLSLKVEDDCQLTSVDDIAAAVHGIVETIEQEQQQQQKQSCS >OGLUM08G19430.1 pep chromosome:ALNU02000000:8:22280777:22285220:1 gene:OGLUM08G19430 transcript:OGLUM08G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRSGVLVFGLVCVFQLSHSSSDDDFTKVRAVNLGGWLVVEGWIKPSLFDGISNGDMLDGTQVQLKSVGLQKYLSANGGGGGNLTVDQDVASTWETFRLWRVSYREYQFRCIKGQFLTASNGDVISATADSPGDTETFYIERNNSMLHIKLLNGGYLQVTNNNQLTSNYPSQPGWDDGMATFEMTIVANNLHGDYQLANGLGPDQAMVVLTEHRKNFITGKDFYFLSKNGINAVRIPVGWWIAYDPNPPAPFVSGSLDTLDRAFYWAHFKSAQYGGSDFDIRIYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPANIEKTLDVINFLAQRYANNPSLLGIELLNEPSAGAVPIGTLVSYYKTGYQIVRSYSDKAYVIFCQRIGNADPMELYQADLGPTNTVVDLHYYNLFDPFFEKLNATENIQFIYNNRMPQVQALDKANGPLVFIGEWVNEWNVTDASQTEYQLFGKAQLEVYGEASFGWSYWTVRCNSVHWDYEWNKRNRYLIGGSPLESPKYMLLVAGCLLYLLFILT >OGLUM08G19440.1 pep chromosome:ALNU02000000:8:22288929:22290176:1 gene:OGLUM08G19440 transcript:OGLUM08G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPRGRRRGDNGRLLLLAAAAAVIASLVGGGAAQPTTSGPGPNYFNPKSFNPSMAVVIVVLVTAFFFLGFFSIYIRRCAGGPLGGPGGYGVGGGGGGRVGGGFTFAAARSRRVRGLDPAVLGAFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPRCSHAFHADCIDAWLAAHVTCPVCRANLAFDAGVPPPPLAPPHALTTGQDVAAAPPQPAAPEQVTVDVVVADAEETEEERIRREEAAELMRIGSVKRALRSKSGRRPPQFPRSHTTGHSLAAAAAAVPATADDAAERYTLRLPDHVLREIVTSARLRRTTSLQSFRTTAAAGGGARAGRSVRLGQSGRWSNVSSLMRTFSARLPAWGSARRGAEADSPGKDGAKVAGDCTAAVEQHCDGGGGGACPRPLGDHV >OGLUM08G19450.1 pep chromosome:ALNU02000000:8:22291708:22292019:-1 gene:OGLUM08G19450 transcript:OGLUM08G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFFRFLKLVGVGFKARTEREGRELFLKLGYSHEVQFTAPPAVRVFCFKPNLICCTGIDKNRVHHFAGAVRNSKPPEVYKGKGILYIDEVIKLKPGKKQKK >OGLUM08G19460.1 pep chromosome:ALNU02000000:8:22293389:22311791:1 gene:OGLUM08G19460 transcript:OGLUM08G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEENSRGSGTKISDSAKAEPSKWSEAPQLFDVTGEPPPLPAAAGPADGEAFGQSGSAVGGARRRREAAAAAAARDGRRVRNSSEKLHMGTIKLTCTLSNPKEVSRTVVIEARCGILATNMSVCCNGRRHSLVHNIVIVILGENEREGCQWWLRRWGQIHQPPELGALEMARRVPITI >OGLUM08G19470.1 pep chromosome:ALNU02000000:8:22296711:22303782:-1 gene:OGLUM08G19470 transcript:OGLUM08G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASRTPVSLHSLLVAGGHGKRCVAGAGGRKRGRVQRRHLTQALESFWRHAPRPAPPAASARGEANRSWQPPPLENPAFEEYYKEQRIVREEEWDDFISVLRKPLPATFRINASSQFFKDICSKLENDFKRYLESEVSDEYGEDAIRPLPWYPGNLAWHLNFSRKQLRKNQALESFHEFLKHESEVGNITRQEAVSMVPPLFLNIQPDHHVLDTPGSKTFQLLEMIHQSKEPGLLPRALVVANDVNAQRCDLLIHNMKRMCTANLIVTNHEAQNFPDCRIANDLSEIYKKDCKPQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNGLHLLQVDISMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRSGNSVELFDVSNELPELVHRPGLSTWKVQDKGSWFQNHDDVPCDRKNVILPSMFPSNKSIQEGQSVCDDIEVNTSSNGSFSRNFSIEKTNKVYCDTDGISNSNTTKHSDSTPNSTSSNFPLHRCMRIVPHDQDSGAFFIAVLHKLSPLHECQMVEVTKTEVLDDDESLEEQKKISIDAHTSEDSNLTEVALVTDDVKNDQAESGNRMNKLQDQCKWKGVDPVLFFRDLTVIKSIVSFFAINISFPLEGHLVTRSADPNNARRIYYISKSVQEILQLNVEVGEQLKIASLGLKMFETHRSKDGCPCAYRLSYEGLPLLLPYISKRILCASPNDFLRLLQYRTVNFAHFINARFGEEAASLIPGCCVVILREGHQNLDLGSITMDPTTIAIVCWRGKATLNAMVSPPDRKELLERITQRFGLKALRVEEENPRRQN >OGLUM08G19480.1 pep chromosome:ALNU02000000:8:22304533:22306510:-1 gene:OGLUM08G19480 transcript:OGLUM08G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWT0] MAGQFAKPRSDGFEERDGVKLPSYRGDNINGDSFDEKSRLPDPHRMIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYMELAHRVDEALGFMAAAGLTMDHPIMTTTEFWTSHECLLLPYEQALTREDSTSGLYYDCSAHFLWVGERTRQLDCAHVEFLRGIANPLGIKVSDKMDPKELVKLIDILNPQNKPGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLGSRYHTHCDPRLNASQSLELAFIIAERLRKRRIASWQLNKNSHLGNIPSLGL >OGLUM08G19490.1 pep chromosome:ALNU02000000:8:22312227:22317744:1 gene:OGLUM08G19490 transcript:OGLUM08G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWT1] MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPYASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >OGLUM08G19490.2 pep chromosome:ALNU02000000:8:22313448:22317744:1 gene:OGLUM08G19490 transcript:OGLUM08G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWT1] MEGNARGGGHSEALKNYNLGRTLGIGSFGKVKIAEHKLTGHRVAIKILNRRQMRNMEMEEKAKREIKILRLFIHPHIIRLYEVIYTPTDIYVVMEYCKFGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVDPMKRITIREIREHQWFQIRLPRYLAVPPPDTAQQAKMIDEDTLQDVVNLGYEKDHVCESLRNRLQNETIFQATVAYYLLLDNRFRATSGYLGADYQESLERNLNRFASSESASSNTRHYLPGSSDPYASGLRPHYPVERKWALGLQSRAQPREIMIEVLKALEDLNVCWKKNGQYNMKCRWSVGYPQATDMLDVNHSFVDDSIIMDNGDVNGRLPAVIKFEIQLYKSRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >OGLUM08G19500.1 pep chromosome:ALNU02000000:8:22323358:22324326:1 gene:OGLUM08G19500 transcript:OGLUM08G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAASAAAAAAGEEGALPQRRRKSAPGQPWAHMETMHLLDAYEERWTRLRRGQLKAQQWEEVAADVAARCAASGAALRKTGTQCRHKLEKLRKRYRIEGARPVTSLWPYFRRMERLERGPLPVSSAFPPPPPAASPPAAASDEDEDEDDDEEEDDEEEEEVEEPIPRNNTRSINGILRDSGGGFSGFAPRPPPQQPPPSFAMLSTAPPRKRVPYEAFQAKAAMADKVKEDEPPPVASRPGGGANEQLSAVLRDFGQGIMRLERRRMEMQWEIDRGWKETEARHNRMLLDAQRHLHEALAAAPPPAKKARREHGGGGGDGS >OGLUM08G19510.1 pep chromosome:ALNU02000000:8:22326726:22331126:1 gene:OGLUM08G19510 transcript:OGLUM08G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYRAGGPGAQVTRTAPVWVWLPSNRIRALLTLFVLTSPHLSSLMPPPAPLAPLRSRAHLRLHCRLPPSPSPSPSPLLSRAPSRRWPPPLRASASGRGGASAAAAPTSSALDALLSAAELLCLAPPAICSVVCAARLVFPPPTTTGAPASGLVGGRMFVVQYVLLVGAVAIGSLIRRRQWGRLCQVGGGGGGGAAARGVDFAGRIGEVEESVRGVVAAVGVLSRTVEKLGVRFRVLRRTLRDPINETATLAQKNSEATRVLAAQEDLLEKELGEIQKVLYAMQEQQQKQLELILAIGEASRILDDKEDLPGNDTSSTIMEKENEQTDIKVETITGGNNRP >OGLUM08G19520.1 pep chromosome:ALNU02000000:8:22333882:22334325:1 gene:OGLUM08G19520 transcript:OGLUM08G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVEMAGERRKVANGGTNGGGRHAMGRRRGRGDGEGVRAQRRRREGCGIEGGGMGRGARPSKRMRPPSSFTVGAASRMHGSTIVDCRRTGPLVPCTRRCLKCAREHRRRRRRRSGAAAAVTVVTRLLPAADFRRYLRLRQIRSLTR >OGLUM08G19530.1 pep chromosome:ALNU02000000:8:22339573:22340571:-1 gene:OGLUM08G19530 transcript:OGLUM08G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKRGRLVGWVLLLLLAVAIAGAAAATPRQLFLVTQAPVTLTNHHGQLLTGNYSVNLLWYGRFTPAQRATVADFLLSMSSPSAAAAEAAAVSGGPAAPSVASWWATTARYHPGAARLTLGRQVLDASLSLGQRLSETSLAALAARLSPHRGSIAVVITAPDVLVDGFCLSHCGLHASATSAAAAAATASPAATRGRGRFAYAWVGNAAEQCPGECAWPFHQPAYGPQAPPLVSPNADVGMDGIIINLATLLAGAVTNPYGGGYFQGPTEAPLEAVTACTGMFGAGAYPGYPGQLPVDAATGASYNAVGVAGRRFLLPAMWDPKTSQCSTLV >OGLUM08G19540.1 pep chromosome:ALNU02000000:8:22346444:22349693:-1 gene:OGLUM08G19540 transcript:OGLUM08G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRKREVAPPPHTSSSYPPGVPTLSAVPYPYGGPWFATPPPAWFASPPSQAMPSSSVCPLPMAAKTNIELQHDFEEWNMLCSECPNHGAALLKGSLYELNLVLGQITYRHILMILFSSL >OGLUM08G19550.1 pep chromosome:ALNU02000000:8:22353812:22354187:1 gene:OGLUM08G19550 transcript:OGLUM08G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASATARPSTASVALRRGDRYLFYHSGTGAASRHIVGVVEVAREWYEGEGEAASGGAVDVRAVGEFRLLVVLVEIKIGDGVRTIGVFWRKVAR >OGLUM08G19560.1 pep chromosome:ALNU02000000:8:22357247:22366650:1 gene:OGLUM08G19560 transcript:OGLUM08G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel family protein [Source:Projected from Arabidopsis thaliana (AT5G64250) TAIR;Acc:AT5G64250] MGWKGILGFNHGVVQAPLGPDISGPELAAAVANAGGIGLLRLPDWPAPDRVRDLIRRTRSLTERPFGAAIVLAFPHEENLRVVLEEKLAVLQVYWGEFPRERVDEAHLAGVKVLHQVGSFEEAAKAKEAGVDGIIVQGCEAGGHVIGQEGLLPLLPRVVDLVSDTDISVIAAGGIVDGRGYAAALALGAQGVCLGTRFLTTEESFAHPLYKKRLIEINCTDYTNVFGRARWPGAPQRVLKTTFYDQWKNLPEQETEENQPIIGHTIIHGVHRDIRRFAGTVPNATTTGDIDSMVMYAGQGVGLITEIIPASEVVKRNGRLVKAPDPMTQVAINGWPGW >OGLUM08G19570.1 pep chromosome:ALNU02000000:8:22367964:22369465:1 gene:OGLUM08G19570 transcript:OGLUM08G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGTPPLAAAAAAVAAVFLSALLLASASASRLPPPRRLLPLVGGEVAVAVVAGEEEKVRLGSSPPSCYSKCYGCSPCVAVQVPTLSAPSVPAAAAHDAAPLVATFTNYKPLGWKCQCRDRLFDP >OGLUM08G19580.1 pep chromosome:ALNU02000000:8:22372139:22381099:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTREAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDEIAAGPARMEALFCLCRRGVVSGQETPLVRHSGSGGLAPSGRPPANKAAALLREGQPLWCLSGMEAKL >OGLUM08G19580.2 pep chromosome:ALNU02000000:8:22372139:22381099:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.2 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDEIAAGPARMEALFCLCRRGVVSGQETPLVRHSGSGGLAPSGRPPANKAAALLREGQPLWCLSGMEAKL >OGLUM08G19580.3 pep chromosome:ALNU02000000:8:22372139:22381099:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.3 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTREAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDGKQSSGVASRRTAAVVSERHGGQVVRHPRTASSVYMPEQGPGWL >OGLUM08G19580.4 pep chromosome:ALNU02000000:8:22372139:22379105:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.4 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTREAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDGKQSSGVASRRTAAVVSERHGGQVVRHPRTASSVYMPEQGPGWL >OGLUM08G19580.5 pep chromosome:ALNU02000000:8:22372139:22381099:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.5 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDGKQSSGVASRRTAAVVSERHGGQVVRHPRTASSVYMPEQGPGWL >OGLUM08G19580.6 pep chromosome:ALNU02000000:8:22372139:22379105:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.6 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTREAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDGKQSSGVASRRTAAVVSERHGGQVVRHPRTASSVYMPEQGPGWL >OGLUM08G19580.7 pep chromosome:ALNU02000000:8:22372139:22380383:1 gene:OGLUM08G19580 transcript:OGLUM08G19580.7 gene_biotype:protein_coding transcript_biotype:protein_coding METEVFPVVDLRVLTQSDLDELAAASAHAVDPRSSCPDRAVFNESAGSRKQTFSRVRFVPAAAAAAAAAAASASAAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSLTENPSFPQTQTLARSPSPDPDQLTTNSRGVSVDLVSLSRLADPYDAELGKRTARMTTEEELMGFISSLEGQWVNQRMRRKLVDASFFGDHLPSGWRLQLGIERKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGRIIDHAVAASKHAEVNNSMKSRDVNLYSRLNTISFPIATANNETSTALNDGKQSSGVASRRTAAVVSERHGGQVVRHPRTASSVYMPEQGPGWL >OGLUM08G19590.1 pep chromosome:ALNU02000000:8:22381911:22391326:1 gene:OGLUM08G19590 transcript:OGLUM08G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPTPLFPSTLLALCPEFDAERRFRPGRHLSATSLTWSAASINMSSSSSPLHPSLSLSSPSSSSLLRDKAAHRPPTAPDSLSGITLYMALIDRAVFNESAGSRKQTFSRVRFGAAAAVAASPSSPSPAAAAKLPRGNDKEDSFIAYHLRRLFAPDDPSSPQTQTLALPAPPSPDPDQLTTNSKGVSVDLVSLSRLADPYDAELGKRTAGMTTEEELMGFISSLAGQWVSQRMRRKLVDASFFGDHLPSGWRLQLGIKRKDRKAWVNCFSYVSPKGQSFATCQEVSAYLMSLLGYPEFKTDNIEYGSTQQHGLCADDGVNVLGVQHQIGTSMDSQSNFPVASATFYSHSRDQDETVADDINSYECQQCNLTFHGQSAYAHHLITFHKVSSKRRKSNKVSKFGEPVIGKDGKFECPVCNKTYEEQSRYFGHIGSHAKYQGLTPEAFLQTFSGKVGNNSFAGLSSSLQVLVGSPQLNEKTTACEARSQHHDCSTKHGGNSTRGIDLFNSNHPANFNGHNQSWCRSDEIPPTTEAQSTWTYRNNEMNCADRTVPRTVPQPNDHEDCRVSGFAEATNFNDQAGRHQGFRPFSFGTTNHCQGQIIDHAVAASKHAEVNNSMKSRDVNLNSRLNTISFPIATANNETSTALNDVNRSCITGKGFSGSFSNNDGAASIVLPSSGLNNKIPSSLGVADRSSIAARSFNAGYVNENGASEANNIGNKNNTMVYQTSLAMRPVSPCDLQLGFSGQKQQILPGYGELRPAASGSPQLGGMAANSSIPTRPSQPQFGSMARTDALPTGPSQPGSLARPNFVPTGFSQFASRPPTSVPPADSSQFAGSMARQNIPTTSEPTLVLGYTPQMVNGPPAQLGWDLSLSRMVSEGMLPVLCIWCNSQFHHFGPIDAQQSGSFGFICPACKEKMSGNPNAPNNGPWQP >OGLUM08G19600.1 pep chromosome:ALNU02000000:8:22391973:22392717:-1 gene:OGLUM08G19600 transcript:OGLUM08G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase [Source:Projected from Arabidopsis thaliana (AT4G30380) TAIR;Acc:AT4G30380] MAISRRSSMLLVMALVLRTVSLATAASGVATFYTQYTPSACYGNRNMGNMVAAANDRLYNNGAVCGRCYAVKCAGAAAGGGGGNPCTGASVTVKMVDNCASSDGCTSTIDLSREAFAKIANLDAGVIRITYNPTYV >OGLUM08G19610.1 pep chromosome:ALNU02000000:8:22393903:22397335:-1 gene:OGLUM08G19610 transcript:OGLUM08G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSTSLLLPTAAAAAAARRSPSGHRAPPSAARHVVACASAASAGSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPGSAAATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLTWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYIAAAEKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLPLGVFKINQMLDSEDADTFFENFCTVL >OGLUM08G19610.2 pep chromosome:ALNU02000000:8:22393901:22397239:-1 gene:OGLUM08G19610 transcript:OGLUM08G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MRWYFGENGWPTSKIFETPPSSDSDKEKLTWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYIAAAEKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLPLGVFKINQMLDSEDADTFFENFCTVL >OGLUM08G19610.3 pep chromosome:ALNU02000000:8:22394177:22397335:-1 gene:OGLUM08G19610 transcript:OGLUM08G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39970) TAIR;Acc:AT4G39970] MASSTSLLLPTAAAAAAARRSPSGHRAPPSAVLSPPRRLATLRXAARRSPSGHRAPPSAVLSPPRRLATLRCLGYARRRAQARHVVACASAASAGSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVSCEPGSAAATDAPLYWDEAFYDDLQNRIGGGKPKMRWYFGENGWPTSKIFETPPSSDSDKEKLTWKTERYKEILKSGTVKPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFNGLDCFLAGDDVKLKKPDPSIYIAAAEKLGVQSQNCLVVEDSVIGLQAAKGAGMSCIITYTPSTANQDFSDAIATYPDLSNVGLEDLKLLLQKSLVTG >OGLUM08G19620.1 pep chromosome:ALNU02000000:8:22401456:22411597:1 gene:OGLUM08G19620 transcript:OGLUM08G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-type ATP-ase 1 [Source:Projected from Arabidopsis thaliana (AT4G33520) TAIR;Acc:AT4G33520] MDPAAPLLALSKAISSSSRSKPSLLASPHHFLLSRGHGSGACGCLPPAPPPPRRTPFAASSTSASAARRLAVPGDLLLLSLARLALPGGGGGGGGGGWKRPRASQGTAVAEEASGQEADVIILDVGGMSCGGCAASVKRILESEPQVRSANVNLATEMAVVWAVPEDEDAKNWKLQLGEKLANQLTTCGYKSNLRDSSKASSQTVFERKMDEKLQQLKQSGRELAVSWALCAVCLLGHISHLFGVNAPLMHLLHSTGFHLSLSIFTFIGPGRRLILDGLNSLFKGSPNMNTLVGLGALSSFAVSSIAAFVPKLGWKTFFEEPVMLVAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDPEQSSFTEVPCDTLSVGDYIVVLPGDRVPADGVVKSGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKITVEVRRPGGETAMSDILRLVEEAQTREAPVQRLADKVAGNFTYGVMALSAATYTFWSIFGSQLVPAAIQHGSAMALALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDILEKFSEVDAIVFDKTGTLTIGKPVVTKVIASHREGDENTKDSCNNEWTEGEILSLAAGVESNTTHPLGKAIMEAAQAANCLYLQAKDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVLHNPFADGENFGQSVAYVAVDGTLAGLICFEDKLREDSHQIIDILSKQGISVYMLSGDKKSAAMNVASLVGIQADKVIAEVKPHEKKSFISELQKEHKLVAMVGDGINDAAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMRTVKQNLWWAFLYNIVGLPIAAGALLPVTGTVLTPSIAGALMGFSSVGVMANSLFLRMRLSSRQQPIHKPQATISDVLPNAAESEKSYPSKWSA >OGLUM08G19630.1 pep chromosome:ALNU02000000:8:22409984:22413433:-1 gene:OGLUM08G19630 transcript:OGLUM08G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFDRYGKVVDVFIPRDRRTGDSRGFAFVRYKYAEEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRIIEEVEKSRDRSRSRSPRRRHRDDHRDRERRGRSRSRSRERHGRDRDRDHRRHSRSRSRSRSRSRSLSPDYKNRRRGRDDDKRRSKSKSKSKSRSKSRSRSKSRSKSRSRSRSRSRSRSYHSASPTRHSASPPRKGSTPRRSPARNGSPEKETNGKGSPPSRSVSPSPQRAGSRSPGSDDKERCRRPDFLSNVYGHGALA >OGLUM08G19640.1 pep chromosome:ALNU02000000:8:22414127:22414735:-1 gene:OGLUM08G19640 transcript:OGLUM08G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTLLERTREQRYSGRSRVTSTVARSCCGELRRAASWRKRKAGVAMASARREDRR >OGLUM08G19650.1 pep chromosome:ALNU02000000:8:22421898:22423408:-1 gene:OGLUM08G19650 transcript:OGLUM08G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDESGLKKGPWTPEEDEKLLHYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALATLRDQLAATACGGGGGGDTAAAAAAQIMQGAGGGGGGGGGADVAIQAAKMQYLQCLLQSAASTIASAGSGGGGGGAMSAHDADAALAAAAAAAATCSSSPAGSSVPITPTAVGHSGGGQTQTTPCAFSEAPVITSDDVEANLRLLGCGGGGADAFACHGGSLPPLADLSDLTTTTMTNPLDWCSATASASSCGGGGGASSPFPWPEFFHDDPFITDFL >OGLUM08G19660.1 pep chromosome:ALNU02000000:8:22431030:22437450:-1 gene:OGLUM08G19660 transcript:OGLUM08G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLVLPSFPCRVVCSSFAVWRIWRGTQGRTWRGGGAAAAEGEGRWRSGRRRSKFWANGRKAPARLRRCHACMRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCESDWNKVRNFLCCLNGFVI >OGLUM08G19660.2 pep chromosome:ALNU02000000:8:22431030:22437380:-1 gene:OGLUM08G19660 transcript:OGLUM08G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGRLELSCNHLYMPAHACRKREYCESDWNKVRNFLCCLNGFVI >OGLUM08G19660.3 pep chromosome:ALNU02000000:8:22435916:22437450:-1 gene:OGLUM08G19660 transcript:OGLUM08G19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMLVLPSFPCRVVCSSFAVWRIWRGTQGRTWRGGGAAAAEGEGRWRSGRRRSKFWANGRKAPARLRRCHACMRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGR >OGLUM08G19660.4 pep chromosome:ALNU02000000:8:22435916:22437380:-1 gene:OGLUM08G19660 transcript:OGLUM08G19660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRAACGLPCAGALRCLWLPALRPHAKLCAYASSGNGMALSMAARGALNGGR >OGLUM08G19670.1 pep chromosome:ALNU02000000:8:22440981:22441478:1 gene:OGLUM08G19670 transcript:OGLUM08G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHITGDDGEGCNSSESGWTMYLASPMHGDDDGGGKRSGSEGSNVDDGYGYISGRGSRKEYEDDGDGDDDDSLASDASTGPAKVKVPSAPDGDDAGGRRKHDGDEDGGGKEEEEEEEEDHGLHTKFSMSSGKKAGKTEKGGEGKSSKKGQNKRGSSSRTRFFW >OGLUM08G19680.1 pep chromosome:ALNU02000000:8:22446630:22450818:1 gene:OGLUM08G19680 transcript:OGLUM08G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPWIPTAGAPPPRAAPAVVYSWLSERHPVKEAGAGAGASNAQESRPRVSPAENSEVETREHEEKVNKYQAVLAARLKAKYFSGKAFGKENVFEEMTIQSETILLSSTNIPGPPLPIIPVHLSTYMASFICKCPWNHGGQMYDQTHLNCICSHSYLHATVPANLHQV >OGLUM08G19690.1 pep chromosome:ALNU02000000:8:22451024:22451722:-1 gene:OGLUM08G19690 transcript:OGLUM08G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHYHGGHGEVASLHLLSPPNPPFFGAAAHHHHHHHGLINMALPPQAYFVPAAPPPPPFQEATAALAAGDDATAALAVFELERILEEAAAAGGGGGGNGSPSSGSDGCCYGLQGVAPAAEEERRRRRMVSNRESARRSRMRKQRQLSELWAQVEHLRGANRRLLDELNRALRGCADVRRENSRLRDEKAELVGKLQLLLPRQPAPEKNAADDQSSCSSEPCKNSTTTTAAE >OGLUM08G19700.1 pep chromosome:ALNU02000000:8:22459193:22461272:-1 gene:OGLUM08G19700 transcript:OGLUM08G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIDLSMEKVYLLHVVICLLHGEWYREDAEFGLIRFQDDNKPLVLSESKNSEQENLKNTKRDGQHDEMAVLDQGQAGLDSGRGAGSSVRRRRWCQEVVTRGVPCVVFGSAISLQRYVERVCAVEAGPVARLHAKAGPAEVRWHNDFSTEWRALLGEMDARQATFQSVVGIWAVTCCGSGTEDETRRRSARVKGRTAARGVIGVAHGEGARQLGATHGWV >OGLUM08G19710.1 pep chromosome:ALNU02000000:8:22474714:22475525:-1 gene:OGLUM08G19710 transcript:OGLUM08G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSSISGRRPAACMSWRSVGDAVVHDDANSTRRMTVNLMAPSFLARRHANTTDSRSSGPPRKTAAIWVPTFWSPWFLAAVVSSLVDILVGSWKLVPPLQNGRSVKMPTVHRASSSTLRLSSRHWCSRAPRRTRRAPVSCRRRRRRRAAAPAIGFVAGDNLTVPLRFALPPRCRRSQS >OGLUM08G19720.1 pep chromosome:ALNU02000000:8:22478825:22479634:-1 gene:OGLUM08G19720 transcript:OGLUM08G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVRLASDEMPQTLDASSSSSCYDAFVPVFRPDPSSGFSAASVVAAADRLRSQFLSVEPDLFHDALVAPSPDHLGFPDEEEEEEEIRWDCLQLDDEEEEEEGVADLRLEASNAAEEFDWEEVASPSGGAGMDQPEPEWEVLADVPPPPADEGFVYTSDRDVYEVLVGEGLFLKSKPPAARSAVEALPSAVVAAGEDGEGEECAVCRDGVAAGEHVKRLPCSHGYHEECIMPWLDVRNSCPLCRFELPTDDPQYESWKASRAAAA >OGLUM08G19730.1 pep chromosome:ALNU02000000:8:22482617:22484185:1 gene:OGLUM08G19730 transcript:OGLUM08G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPYLARAVVPSDIGVWFMVVPYLTRAVVPSDIGVWFMVVPYLARTVLATPLRAFVPSCPRVWQTRRDVSSFTVRLHQLFGVIFLHDRHDCVTVFVFSVSSRTIGPRCPPIATYSSPGAQNWNLTQQPHSWPLQFHWQGPK >OGLUM08G19740.1 pep chromosome:ALNU02000000:8:22487428:22489946:1 gene:OGLUM08G19740 transcript:OGLUM08G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGGNGFGVESNASAVHGGMAMAWQWHGGQMSGGSSCAAAPPPVQQPAMDSLAWSSTVSPSTGAATAASGAGFLLPPAAVRGGFGSFPVNSGGIVEPAGDCSSESKKRRSDEIAGTDHANASNALADSGNETECSKDANGEVIGPPATAAAGGKSKGKGAKDAGEAQKEGYSHVRARKGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKALMLDEIINYVQSLQRQVEFLSMKLSAVNPRIDLDIESLVNNSKDVLRFPGQPSSAPMGFSFSTEMMPGLQLSRPGILQGGVHGMINPYVFTSLMQKQQQNDKGAFREPQMHQTLDGSFRNTAQMPYPQVMSSEELSIRQDQDGFHM >OGLUM08G19750.1 pep chromosome:ALNU02000000:8:22490609:22500033:-1 gene:OGLUM08G19750 transcript:OGLUM08G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKFAFFPPDPPAYGVADEEEPPPPGSAAPAAAATARRVSLTGVPWREGVEARRVRTRRGTEIIAVYVRCPKARLTVLYSHGNAADIGKMYELFVEFSARLHVNLMGYDYSGYGRSSGKASEANTFADIEAAYKCLVEVYGTREEDIILYGQSVGSGPTVDLAAQLHRIRAVVLHSPILSGLRVMYSVKKTYWFDIYKNIEKMPLVKSPVLVIHGTNDDIVDCSHGKQLWELCQNKYEPLWIEGGDHCNLQTFPVYIRHLKKFISTIENMPLEKESSSTENEKLLAETETASDGSALSDAPWTTSQRLEPPRKSTRHELPPRLSNDRVDKRRRSTGRREKPRSIVEKKEKSRRSVDTFDRTRDEHDQPDKPRKSIDRSLGASSVAALRPCAGRVRAPGAGAARGRGSARCGRGVRWEAWSGSRGRLVRVRCDAAVAEKAEETAEEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSSLLADGGELEIRIKPDPDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEGVADSSSYVIKEETDPEKMLTRGTQITLVLRPDDKFEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEAKEGEEAKEGEQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEISEKDDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHTSKNEGDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDNKESKQEYTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIVKDLNAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRSDTETEAATTGDASTETGSSEATVTEVIEPSEVRPESDPWRD >OGLUM08G19760.1 pep chromosome:ALNU02000000:8:22500945:22503394:-1 gene:OGLUM08G19760 transcript:OGLUM08G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTCARSSGGRGVEAVEHGGVVLVRWRLLPQRRRRPVEQPDLDDIAKVALHSDALDTVKSDGMALLFESLAATGVLEPDDALLAEMRVWVCKGELGCRKKRQWQADQSYCQPILQFNVTFRAYTGSGPQQQELQKS >OGLUM08G19770.1 pep chromosome:ALNU02000000:8:22503157:22503918:1 gene:OGLUM08G19770 transcript:OGLUM08G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLTVSRASEWRATLAMSSRSGWIEAVVVGRAAQGAPVQPAAVGAGEGAATAPELRRRVRQPRRRGRPTSARRWSASWWAKRTRASYSGMARTGGSGTPGCSSGRRAWRWPGSPACLQSAPPRLVRRTQPPSPRTSTPGSRSSTQAEWDDGAVGGVGGGGSAPAPGARQAVPEEVGDDPIGGVGVVVRVPEDERLAVAAGPRVRQAQAPHRHAHRRPTVAASACDSDCPPASRPRPTPTPSTGSSSFHATR >OGLUM08G19780.1 pep chromosome:ALNU02000000:8:22508782:22510248:1 gene:OGLUM08G19780 transcript:OGLUM08G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHAPPRSPASDGGHGDGDGDTKKPHVLVVPYPAQGHMLPLLDLVALLAARGLALAVAVTPGNVPLLAPLLASCPPSSVATVTLPFPAASASGLLPAGCGENTKDLPGHLFRPFMASLAALRAPLLAWCKAQRRRRRRVTAVVSDMFTGWTQPLAAELGVPHVTFSASGAHYLAVSHSLWRRLPRRRCPDEAVSFQDVPGSPTFRWSHLSWLYRTYVAGDEVSEAIRQFFLWNLESSCFVANSFTAIEAAYVDRPLPDLMEKKVFAVGPLSDAVGRCTDRGGKPAVAPARVAAWLDAFDDGSVLYVCFGTQQALSPAQAASLAGALGRSAAPFVWAARGGTPVPGGFEAATAARGMVIRGWAPQVEILRHRAVGCFLTHCGWNSVLEAVAAGVAMLAWPMSADQFTNAWLLAEAGVAVAVAEGADAVPDAGQMADAIASAIGNGGASVRQRAAELGRSTAAAVAEGGSSSVDLVELVSMLSSPKLTN >OGLUM08G19790.1 pep chromosome:ALNU02000000:8:22524036:22525418:-1 gene:OGLUM08G19790 transcript:OGLUM08G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWX3] MAATTTNGVPSRRRRPHVLVLPLPSRGHLLPLLDFAHRLSTRHGIALTVAVTASDLPLLSAFLASTPLAAALPFHLPDASLPENSHHALLAVHLSGIRAPLLSWARSRPDDPPTVVVSDFFLGWAQLLADDLGVPRVVFYASGAFAVAALEQLWNGALPLDPKSSVVLDTLPGSPAFPYEHVPSVVRSYVAGDPDWEVALEGFRLNARAWGAVVNSFDEMEREFLEWLKRFFGHGRVWAVGPVADSGCRGEERLPEAEQLFSWLDTCPARSVVYVCFGSMYKPPPAQAAALGAVLEASGARFVWAVGADAAVLPEGLEERTAARGRVVRGWAPQVEILRHAAVGAFLTHCGWNSTLEGVAAGVPLLAWPMKADQFIDARLVVDLRGAAVRVAEGAAAVPDAAKLARALADAVDGAKCGDVRAKAGALAAAAAAAVEEGGSSRVAFESIAKELDLLTPSFG >OGLUM08G19800.1 pep chromosome:ALNU02000000:8:22542534:22545669:1 gene:OGLUM08G19800 transcript:OGLUM08G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13635) TAIR;Acc:AT1G13635] MLTTSSHSRHHHAFERSPNHSMMKNIADRNKHDLLQSAMNHAASKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVLVSLERRREEDDDKVSDHDVEGGEEDFDCSEPGSLHRCSWITKNSDEAYVQFHDECWGVPVYNDNRLFELLALSGMLIDHNWTEILKRRDMYREAFADFDPSTVAKMDENDVAEISGNKELKLAECRVAKEFGSFSGYIWGHVNHRPTVGRYKHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQASGIVIDHLVDCFRFPECLHLADRSWGITNVAA >OGLUM08G19810.1 pep chromosome:ALNU02000000:8:22545797:22552903:1 gene:OGLUM08G19810 transcript:OGLUM08G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDEVGGRGRAASSRAQAGGSKQGAGRPAAGGGTRRRRGAAPGQWAKGGTAAAASSSTAAGQQQASRTADRGFEELRIPGKNQSMPAPVLTCMGLSFYLMALECDHGSSAHS >OGLUM08G19820.1 pep chromosome:ALNU02000000:8:22547893:22549794:-1 gene:OGLUM08G19820 transcript:OGLUM08G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVPDHTFNNGYSSKNQIEGRSLSWKRVFVQTDKGSVLGIELERGENAHTVKKKLQLALNIPTEESSLTCGDQLLNNDLSYICNDSPLLLTRNHMHRSCSTPCLSPNGKDVQHCDDSRVIEIVGCTSPSARMKQLVDDIVRGIEKGIEPVAISSGMGGAYYFRDMWGEHAAIVKPTDEEPFGPNNPKGFVGKSLGLPGLKKSVRVGETGSREVAAYLLDHKNFANVPPTMLVKITHSVFHMNEGVDYKTKSSDNKTQAFSKLASLQEFIPHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNILVRKLYNDASRFETQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSEEDLEYITNLDPIKDAEMLRMELHTIHEASLRVLVLSTTFLKEAAACGFCLSEIGEMMSRQFTRKEEEPSDLEVLCMEARNWVEEREWLLPQADFEGEDDNESTQFDLDSEDDSTTFEASFSNNIRPIKGNSRDPPSKLAEVNEYVDEDDNNEFNKDDVGTCTSPITTWTPSTSNLSISSNELSFSGRRKSHSGVAKNKVTSKINSNSYSGNHSAKEKLPHNSSFAKLSDLSANKWSPFLEKFQDLLQSMFQDRKQTAGRNPWLTQRLGTSCQF >OGLUM08G19830.1 pep chromosome:ALNU02000000:8:22567694:22574553:1 gene:OGLUM08G19830 transcript:OGLUM08G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPPPHAAVTAEKQLLHQHALAAAGAFTISHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNNGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKVGFMSRIDTKSHYIMSSLIRHLIVKQWAVSLGWVMALKNIDKPQSDTNQITADVVKDTDVKVLPVDYFV >OGLUM08G19830.2 pep chromosome:ALNU02000000:8:22567694:22574290:1 gene:OGLUM08G19830 transcript:OGLUM08G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQGNKATHDFLSLYAAAATATDYSPLPRHPDSKPSAPAPPPAQDFFLKTHDFLPQVEKRADQTPPPPPPPPPHAAVTAEKQLLHQHALAAAGAFTISHAVAAAATAVKQEPPFAPWCQPVAAVDPRGHQWSLPFAARAVAVASSRPQQQQQQPPPPERKGGGGFMDAGSRSSGGAGFDDDDGHAARREVSSSLKELTVRVEGKGGSCSGSAGTDQMPNTPRSKHSATEQRRRSKINDRFQLLRDLLPHNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSYPEWNQENAKVVPWTNIYFRSSWKNAQNNGQVPADHSPDPPELLKNGSPYMFPFTGNSDNNNAVETAAASGAQDQAETDPMSRVSYRSVDTPSPNNVADKVTSQPHAQLVRPSPAENHTVNCDKLNNSDLAIDEGTISLSSQYSQELLNKLNHALENSGIDLSQASISVQINLGKRAMKRSTPAATSTSKELTDPASNSQAMGRQLRLGDGAEEHRQASKRHKSDNS >OGLUM08G19840.1 pep chromosome:ALNU02000000:8:22578317:22579147:1 gene:OGLUM08G19840 transcript:OGLUM08G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQQPETGRRPAQQFATVDLRRPKGYAAAPATPQPGSAATAAAAAGPAATAAAAAAGEGDPCPRCESRDTKFCYYNNYNTSQPRHFCKCCRRYWTKGGTLRNVPVGGGTRKKSSSSSSSSSSSSAAAAAPAAKRQKTSKKRRVTTPGPLAATTPVLTEAAADSAAKTTTEATSEKKTTTSTTTTTPPAPDTTSEITTELVVPAVEEDSFTDLLQPDSAAVTLGLDFSDYPSITKSLADPDLHFEWPPPAFDMASYWPAGAGFADPDPTAVFLNLP >OGLUM08G19850.1 pep chromosome:ALNU02000000:8:22581627:22581913:-1 gene:OGLUM08G19850 transcript:OGLUM08G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEAGIEELLEMGKMAGAGGTCCSLFKEEKVGLAGEVGRQWRRQCGSRAGERKRRDDVLGGGRRKRVLEIC >OGLUM08G19860.1 pep chromosome:ALNU02000000:8:22585574:22587385:-1 gene:OGLUM08G19860 transcript:OGLUM08G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDGDAAFHRNEAISAVQDVDQYYGDDDDFDDLYNDVNVGDGFLHNSSSSQPPPSQQLPPPSLPPPPPQQQPPSQQLPPPPQQQQPPPQHSLPPPPPLPQAPPPQQQKVHIPGVAAPAPNHPPSQPNLPPRAAPAPPPPQQHQIQQGGGDGFHRPGGNYGGGPIVVGNGGPAVVGGDGPGGTTLFVGELHWWTTDADLEAELIKYGPVKEVRFFDEKASGKSKGYCQVDFYDPAVATACKEAMNGHLFNGRPCVVAFASPNSVRRMGEAQVKNQQSMAAQTSSMQPKGGRGGGGAGSPQVGGNYGGGRGGGPGGGAGGGGGNWGRGGGGMGRGPAGNMRNRMGGPAGGRGIMGNGGMVAPPPPMLPPGGMMGQAFDPTGYGAMGRMGAGFGGFPGAPGAGPFPGLMQPFPPVVAPHVNPAFFGRGGMGAGGVGMWPDPNMGGWGGEEQSSYGDDAASDQQYGEGGSHGKERAERPPDREWSGASERRREREKDIPPAQEWPDRKHRDERDMGRERDRDYDRERERDRDRERERDRDRERDRERDRERERDRHRDDRDRYGDYHRHRDRDSERNEDWDRGRSSGVRSRSREVDHSKRRRMTPE >OGLUM08G19870.1 pep chromosome:ALNU02000000:8:22590351:22590953:1 gene:OGLUM08G19870 transcript:OGLUM08G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARADLALVAVLLAACAAVALAAEAQAPAAAPKSSSSSNSSSGSHTSPSKAPSPSKSPEKSGKAPAAAPPKAAAAKAPSGKSEAPSEAPDAESGAESPEAGEESGKSPAGAPKDSSSSSSEEEEASSPDSGDMEDETAAEAPTAEEASGPAADGPPEPEADSPAADSPGPGAADEAGSAGMSSGVTAAVVAAAAAAVLSL >OGLUM08G19880.1 pep chromosome:ALNU02000000:8:22595238:22596531:-1 gene:OGLUM08G19880 transcript:OGLUM08G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGMDCGRRTGGPLHGNLQDVMNNFYPYDLLYVPCSDNPSSFFSICDDPFLSSFGDYEEPNRIGFRFPDWDRGYHRA >OGLUM08G19890.1 pep chromosome:ALNU02000000:8:22598129:22598950:1 gene:OGLUM08G19890 transcript:OGLUM08G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLRLAAALLLVALLPLAASAADGKAAPAPAPAKAKAPAAPPPAPPNITASMAKGGCKAFAALVAASPDALSTFQSAADGGVTAFCPTDDAMRSFMPTYKNLTADGKASLLLFHAVPVYYSLRSLKSNNGVMNTLATDGSAKNFNFTVQNEGDKVTIKTDASDGVARIKDTVYDKDPIAIYAVDTVLEPVELFEPAESLAPAPAPVADAPKASKSKKASHRHVADAPGPAGDDAPPADQRKSSKKNAAAAGAPCLRWLAALPVAVAVAAALA >OGLUM08G19900.1 pep chromosome:ALNU02000000:8:22600705:22601817:1 gene:OGLUM08G19900 transcript:OGLUM08G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLAVASLLLVALAVVARPPLALAVKDYPADASAAAKKSPVGKADTPTTDKEAAAGKADVATVAKKPPAGKADTSATVTGKEYATAKGNTVTVAKKSPVAKADVPAMGKKSTVAKVDAATVAKESTAGKTGKKAAAKESTTSGKTNTVADAATVGKKSLAGKADTPATGKEYTTAKADAATVAKKSPADKADKLATSKESVVAKADAATVAKESTAAKTSKKATAKESTASDKTSMEAAAKKSTASKTGTEATTKESTASVKTDTETAAKEYTAPGKSDATATAKESTAGKDDAPTMAEKSAPGKAEASAAAKESPTNKADAAAAGPTSRGYQYVNFVIKKPVKAKEKSSARADGLPIDPTPDGQMMH >OGLUM08G19910.1 pep chromosome:ALNU02000000:8:22606227:22606980:1 gene:OGLUM08G19910 transcript:OGLUM08G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:A0A0E0AWY6] MAPKAEKKPAEKKPAEEKAGEKAEKAPAAGKKPKAEKRLPASKGEKGGEGKKERGRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >OGLUM08G19920.1 pep chromosome:ALNU02000000:8:22608006:22615412:1 gene:OGLUM08G19920 transcript:OGLUM08G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVKAQVQEQVVSYSESLACAILAAGRRPPPWLLPALDAVPARGNNTKMSSIDLAHMRNENSLHHAQSQTYQWVKPKTHEFGGCKPGGLHIVNYAREIDQSQICVSESVVQEFNIAHSLNEGLPSTSPVEVPHSVMSSLLQEDTSQPVESNLQGIPHSVSSPLPEQITMGVSEIDSLTGMTCMASQLPENVSLLSLKSIALEGPDSVFTPLSQKEIADAGDTVSLMEPIAKTESVAGLISMASPQFDNDRLQTNLLEGPDSVPTSLSQADARHTAETDSVEILDQEEDTDTPREYSFPDKRVDENLKILEHQSSECHVRSPPCDGSSLRPDYLANAICEAPKMLSTPQENMLGDEQQGSECHVMSPPCDGSSLQPDYLASTVCKAPKMLSTLQEKCFEAQSEAYDVYVRNDMNGSVIPERFSTESAEKLLRSHDGTECKIFSFDIAMEIDSDSCETVSDKQALATQPSAQHLLHSSRCGEITSKKSDAQSNNSHQGRSVADVIQVQGNSSFEGIEINCQSDRALYSLCSTMSTSMDCQPDILDKMENRADMSGKPQHPVHHLDRLGSSECISLDLERRIVTSNWKSSVSYKVHTSVDSSSQRTMSSLSDIIHFNSLRMKSLSSSSSSLSGNVVTVPQDSLPNCSDILSDGDGEYTRKTNNCSVYPGADVKYVAVDDQILNHTDYVSSGCEVLNPENHPSSTPPSTFPSYASSDQQSQQAGASNCSNKELGEKCIHDDPGQPVSDGHIPLQNGDNCADFDETVEVHQSCGIPIPANSPTIKERVLEAYRDSTKWVNLSSNLSSKCKINSKITSPLRSKYESLTARFEKLLGPVSLVEVEPKWHYPSYDTKRMGVFGNQEDCEIPLTPSFGKYSLQKPSGVCYTSNCTGSIPDLACFQIDEDSSTSEASRKYMDVGRLDLPTTTASSRESDHQAHLIIDQAMQNPKENRAPSIRKEVKVTQSLHDRESKGRILGNQNESHKSEANLDKGWKPSNIVTSMTSFIPLVKQKQRPTTVCVKRDVRVKALEVAEAVKRREQKKQNEREMRKAAAELERERVKQEREQKLKQMEQKKKTDTRKRQWEDDGRKEKEKKKKCIEEPRKQQKQLGERMHAGNSWEDASQKDPDDTEIRKNTVRVVINQLLSDEKTESFPILVTSGSNNVKAVVADGNSGSSGHQIHGRLSDDADKSYEMSPYEDSDEEDGGDLEHKEKVRRRQKHIPPWTRKEILDEILLSNRTLDPREIFERKCSFSLSDVLAPPIPQRRLN >OGLUM08G19930.1 pep chromosome:ALNU02000000:8:22618665:22622489:1 gene:OGLUM08G19930 transcript:OGLUM08G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRIIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >OGLUM08G19930.2 pep chromosome:ALNU02000000:8:22618809:22622489:1 gene:OGLUM08G19930 transcript:OGLUM08G19930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAVKQIVPAHQVKPAVDPVHAKASKAGKDGRSDLIAKEILEEQKPAHRRQESSESILDKGPSNVGSDSGVLDVPLTPKGDSGELKEIQGLDCNGNQEKKTSQKSSTSESFASAKVSDGTNGLRKTCGSGKVSDTADSTESGKSSMCRPSTSSNVSDESSCSSLSSSTTKPHKGSDSRWEAIRIIRSKDGILGLSHFRLLKKLGCGDIGSVYLSELSGTRSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTVVKSANPGPDALQRNNQAYCVQPACIQPSCIQPSCVAPTTCFGPRFFSSKSKSKSKKEKKSKPEVVNQISPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPMVSFSARDLIRGLLVKDPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPKPVELERPPKPAPATEKVAPAANQKGSDNYLEFEFF >OGLUM08G19940.1 pep chromosome:ALNU02000000:8:22626379:22627689:1 gene:OGLUM08G19940 transcript:OGLUM08G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGKSIRRSNGHASSSSSTLDGDDTSSASGGGMSIYYQKINQRVAVLEKVAVSVHESRASTREAALASLVGALEGFVPAHFIGWHLRGEIVRGCCASIKKGAAAKEARLALRAVALLAVTLGPGSKRRILPAETYNPLEPGPGSKKIMAETFPLVSRILEVSTDAPLVIAALESLAVVAFVDVAAENMDDTEACMKALWGLIRPSTGPKVAGVARKTSPHVLAAAVSAWTLVLTTTDGWKKKKAAASSPAAWRDTAAHLASLLHSDSRAVRMAAGEALAVTIEMKLLTRDSNGALISGVAARASELANEAAGAGVGKANFVEQKELFKSITTFLAGGKAPASSVRASSSHHGRLTASTWTDIVRLNFLRRFLGGGFLPHLQGKVLMGEEAPLIGQVFVVKEDDMAMDHKKKVEKQRTLNRERQIVSDLKHGSSHV >OGLUM08G19950.1 pep chromosome:ALNU02000000:8:22627995:22647940:-1 gene:OGLUM08G19950 transcript:OGLUM08G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKREDAAADGEITAAVSRAGTSSSSFGGAAICDDVVRNIFARLPARDDVASMALSRHHRRLITSPDFVRLHCRHGAPLPRLHIAYVATAPVVTHRDMLARINSLQERGRQRERYDDPELDRFSSTSRGEDDEHFSGLLLNPAVADGEREVSVVVPPSPDDYHASGFGYGPMTRTYKLLLCKHKCVANFTTYSNGRRRRNVPGEPLYLWRADELHVYSLGGGAAEQPRTVLAGLDGDMIHRRSLYMDGTVYLLNADKETVLAFDVDDETITSIALPGERVTGGKPRSHLKSDLMEMSGLLDPYESVCGASQWTTGVRSRSRSRLRGRQCYDQKFIAVWLLTTERRCAFRNDWCWPATVAGVWDCGSALLIVIQADDESSIFMYDDATGEVSRLKLPPDASPEKSDYRICWGYKPTLVTPASIVGEFDQEKQRCRDIAADIARRRDAPERDAQAERAGSGAAHRFMEFLDLHM >OGLUM08G19960.1 pep chromosome:ALNU02000000:8:22651185:22653163:1 gene:OGLUM08G19960 transcript:OGLUM08G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEDELAVDPGVGAVCDPVTKLRFEAIVCLLDRIPITLQQIDMFGQDVAFRTKRIGNIEALLDFLKGSAAATWGARAGAGEPIDASSPELHRLVEDAETAYRDVRGLQPRMVVQMPRVFHKVCLPKLHQLLVIARRLLAQNVALRRLLLQEPVGCDVSPMALAEAAVSSDDFVRHGRNKVVIDEFGYEDLLRRRHTGHESQNDADDAEQHGREVREGEYEDLILMRHRDTSHELLQDDARRRRADAEAEQQGGDGEVRDEYEDYLCRQLGAVYSGPDQIYEHDMRGPEPAHSPNTPDQIYVPCERMLPYPSNCDNAEDRIHMACLALKNLVKDMEGIYSPRGTLWQYLEDVISLAHALFLENTKLHRFTDQASHQDLPLQPPQGFPFQQQPQHDGYQQPGVPFQQPQQGGYYGHGQGIMFQRGGYLQDVPFQHWQWQQGGYGQGFMFPQAQHQGGYGQGFLSEQPLPGDPSFMNMQAPYDGDGGVLFQKPQHHHHGHVPSEKGAIQAKGKQKMREPKTVMCPDWCRTGHCSSGDGCEYAHSQDELRVIDAGPKYRTSRAVTG >OGLUM08G19970.1 pep chromosome:ALNU02000000:8:22657357:22659160:-1 gene:OGLUM08G19970 transcript:OGLUM08G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRPRKPPKDGRVFVRGLAAGTGEADLLRHFDRYGVVDEVSIPGVEADTLTGLPALRFAIVKFGHPEFAGLALADREQVIDGQTVHVGREDPRQSGCHSSGYKPLKQSTRQIGERKRRVGDMIKVVIGPLPEDSLERGLLKYLKQFGSVDAGMLIIDCIIKYISRDGQELTVKIDKSKNAAWSTCEDTFHFSDRRKNSDGRINPNIYRGLINKTPPTPAACAYSYNRTGGIAGKKCNIPNGSCNYPTCPKSYHGSIVNQTHFPHPAAYAYSCNRTGGIAEQMCNIPNGFCNYPTYKLNPNFYRGSSIVNQIPFPYPAAYSYFCNPTGSFAGQICDDDFAYQCGGMNWNATILN >OGLUM08G19980.1 pep chromosome:ALNU02000000:8:22689767:22696273:1 gene:OGLUM08G19980 transcript:OGLUM08G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLELSSLEGHRSSENPESRDEKTEIPFYAQRYESVRCSCGAEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFSANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYAAVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPGRAWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >OGLUM08G19980.2 pep chromosome:ALNU02000000:8:22690108:22696273:1 gene:OGLUM08G19980 transcript:OGLUM08G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPRLELSSLEGHRSSENPESRDEKTEIPFYAQRYESVRCSCGAEEEVDDCPIEEVRLTVPITDDPALPALTFRTWLLGLISCAMLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKVFRVKGTPWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYRREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPSNLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISVVCLVWKKSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIVNIMAGFFLIVYVIVPAAYWADAYGAKRFPIISSHVFSANGSRYDVNQVLDTATFEFSQAGYDAAGKINLSIFFAFTYGLSFATLAATLSHVALFHGGSIWRQTKAAVSGQGGDVHTRLMKRNYAAVPQWWFQVMLVAVLGLSVFTCEGFGQQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVITELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVSNICDPAKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPVWALSRAFPGRAWIGLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNYVVYRRYKGWWARHNYVLSAGLDAGVAFMGILSYAVLQSRGINGVNWWGLQVDDHCALARCPTAPGVSAPGCPVQ >OGLUM08G19990.1 pep chromosome:ALNU02000000:8:22694726:22697738:-1 gene:OGLUM08G19990 transcript:OGLUM08G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAEARSREHGGGGGGGSGSAGKIFVGGLPRDTTEADFVKHFGQYGEIVDSVIMRDKHTSQPRGFGFITYSNPAIVDRVMDDIHEFNGKQVEIKRTIPKDSMQSKDFKTKKIFVGGLPQALTEDDFKHFFQKYGPVVDHQIMRDHQTKRSRGFGFIVFSSDQVVDDLLANGNMIDLAGAKVEIKKAEPKKSSNPPPSSHGSASRSAYGRDSRGHSSGNDYGGLANAYSNYNSGGFGPYRNHGVYGGGSLSGYGGIGEYGVQYGRYYPGLGGSGGMPSFGYASRVGPYGGGFEGPYAGGNLSGYRRGGDESFGGLSSSSFGGAMYGGAAYDTALGGYASGSTPERSRGNLAGGSGRYNPYGR >OGLUM08G20000.1 pep chromosome:ALNU02000000:8:22705081:22711507:1 gene:OGLUM08G20000 transcript:OGLUM08G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVVKKNLNPAWDQEFSFSVGDVRDVLKLYVYDEDMIGIDDFLGQVKVPLEDVLAADNYSLGARWFQLLPKGKTEKAIDCGEICVAMSLETAGATRSWSDDLVSELTDIQKDYSLSSQGTGTSVALSYQGSEACQEESVNGNLGRAGFTEEDNCSQDTDKNQTTAEDKSNGIPAAASTGIEVSKTDKSNKPSFVDRVCQMFVRKSDDVVTTPLVTTDKSEDVQEATTGYEAPATGSQTYSASTDTPFDELLKYFESKHQEVEMPVDLQGILVDKSYITSPSDLNNFLFSPDSNFRQTVVELQGCSDVKMESWKIDSDGESLKRVITYTTAPSKLVKAVKATEEQSYLKADGNGYSVLLSVSTPDVPCGTYFRTEILFRILPGPELDSEQLTSHLVISWRINFLQSTMMKGMIENGAKQGLQQNYAQFSDLLSQKIKPIDVDAGSDKGQVLASLQRGQESDWKIAFLYFCNFGVLCSLFVTIYIAVHVQLRSSGAHKGLEFPGLDLPDSLSEIVMGGLLFLQLQHIYKKISCFIQAREQKVGDHGVKAQGDGWLLTVALIEGTKLAPVDATGFSDPYVVFTCNGKSKTSSIKFQTLEPQWNDIFEFDAMDDPPSVMNVHVYDFDGPFDEVTSLGHAEINFVKSNLSELADVWIPLQGNLAQSWQSKLHLRIFLSNSKGSTMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCCLKRKLHTQGHLFLSPRTIGFYSSMFGRKTKFFFLWEDIEEIQAVPQSISSWSPSLVITLHKGRGMDAKHGAKSIDNGRLKFCLQSFASFSVANRTIMALWKARSLSSEYKMQIAEEQSQNNDTLQSEDSGIFVGVEDAKNLQMNEVFSSSISANMASLMEVFGGGSFEMKIMNKVGCLNYSATQWESDKPDEYQRQIHYKFSRKLSPIGGEVTGTQQKSPMPNKAGWIIEEVMELQGILFGDFFTIHIRYQIEDLAPKQRACSVQVFLGIEWSKTTRHRKRIEKSVLSGSSARLKEMFILASKQLPHAR >OGLUM08G20010.1 pep chromosome:ALNU02000000:8:22715562:22722958:-1 gene:OGLUM08G20010 transcript:OGLUM08G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSMPAPKDSVVAYLLYNTAVSIAILADMVRAALVFLGLPWLDYDHATCPLCRHRLLPATTESPSPSPATATPHFARI >OGLUM08G20020.1 pep chromosome:ALNU02000000:8:22733119:22734444:1 gene:OGLUM08G20020 transcript:OGLUM08G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKAPAMENVGVVDFPTDVLVDILSQLPTSSRRLCRLVCRRWRDTIDKRTPERDVRTKMLTFVKGLDNEASAYVVDEARGRHRRVWTSSCSVDVIGTRNGLICVLDGGTGAVTVANPATRESLPVPPPPPRQAGLLPCSPDARTHEAYGFAFHPATLRYAVVHVPFYFNKSGTFDAVHVYTLGRGGRGAPPSWRSVPTPGASGRFQPGGVACVDGVAYWITAGTPAAIMSLDLKDNRVAPVKWSPETPGRGCRCSYRLTEMRGRLCVAVTVEETEKPTKRVEVWWMESTRDQRWTRRYNIMLETPKQHVMWPLFAHGENVLTVAQVFKEYNLHKHKVSDKRSSQCSMVKIWKKKPGVEIMNYGVADHTGISTFAYMETSEPLEIYK >OGLUM08G20030.1 pep chromosome:ALNU02000000:8:22735235:22735850:1 gene:OGLUM08G20030 transcript:OGLUM08G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEEAAGFGELPADALEEILVRIPASARRRLRLVCRHWRDVVDDRTPERRSRAKTLVYVSRPAGAAAYVLDDDDDDLGAAAGSYGAAAAPPPPPQTGPDRRFRFRMVGTLNGLLCLYEELAGDIVVLNPVTGETPLHIRGPAGNRLDPAALSFVYLETTGQYKIVHLRRLPISLAARAGAHRRGGRRFMAERAGPRRNEL >OGLUM08G20040.1 pep chromosome:ALNU02000000:8:22735911:22736390:1 gene:OGLUM08G20040 transcript:OGLUM08G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSFDLTDERFGRATPLPSPAPDWLAVNCLKEVGGGGGMVGIVAYASGWCGLEMWVLERGGGRKKMRWRWRRRFSVPRRVGPHDLVGLRFGHGHGHGEHVVTKLFGDSPPWCVSGGGVRRAQCQCSVALTTCANSPL >OGLUM08G20050.1 pep chromosome:ALNU02000000:8:22746581:22752744:1 gene:OGLUM08G20050 transcript:OGLUM08G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQEGILCIGHIPSDAVVEILVRLPPSSRRRCRLVCRHWRDLVDDRTPEMRSRAKALVLVHAVAHVFDDLPEGRRRQLLPNCRGVDIVGTCNGLVFLCEWSRGFALVNPFTGERLDGAGVPPPPCPRGEEPPFYQPTHAAYAFGYHPTTGRYKIVHFPIQDRRTETFDAVRVLTLGAEEDASTSWRDVPMPAGGSSRRGSCGVVSVDGSTYWITRDTERVMSLDLGDDERVAAVTPLLPARTAGPGCTCKLTDVRGRLGVAVSVSMATSTDTDVWVLEGGGGGGGEGRWSRRYSVRVHGVEQQLAWPHFAHGEHVLTTSTHCSIRGFLYAHRLSDDGRRRLQCSVVRINERRSGKVVGSFGACYRRDLRTFAYVETTEPVSVASRRFRLVCRQWRDAIDERRAGRRRSAAPRRSSSLMMIGIYLFDDLTRGISREVLHDCQNSRTQASPSAPRLEASSVLGNPVTGETRRWTSRRRLAPLRVSTLDSEGSQQVQLRLIGYLPAASTGRYKIVHFPVKGVRRGAGFHVGG >OGLUM08G20050.2 pep chromosome:ALNU02000000:8:22738189:22746641:1 gene:OGLUM08G20050 transcript:OGLUM08G20050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQETSIGDLHTDAFVEILRRVAPSARRRLRLVCRRWRNVINSRAPAWRGHAKTLAFVYHRPGPATAAYAIDGLEEDDEGCYRKLWDAAADPSLPAIYSRLRMIGSCNGLLCLYDEGVTGDIALLNPVTGETLDVAGPPGHRLRRQEPYGHVPYGEAFSFTYHEATERYKIVHLAVSDGRLEAVEVLTLVDGAPSPSWRRVAAPAGSSCWLPAGVVSDGQATYWINHGGGDRLMSFDLTDERVATITSLPVAAKNLNAGCLRKARGRLCVGNRIHHDYQNNTEIDEMWLLERDGCESLERWYCRFYLTTRLCMEGQQVVGPHFTQGEHILAHRHGSLYVHRCVSSATRPQCSVAQMHEHWPYHEPMFTCGHASNIRAFSYIETTEPLNVYQCNGGSKIGSVVNNDEEMATGKTTSLGQMLTSMFNDLPSPHPKRPVARRRKRRTNHFK >OGLUM08G20060.1 pep chromosome:ALNU02000000:8:22752771:22760130:1 gene:OGLUM08G20060 transcript:OGLUM08G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRAAAAAAASPASTASSTGSPTYGTEMAMSFDLKYERVAAILRRLPPLDRRRSRLVCRQWRDAVDSRAPARPGPAKTLVVAHGAGYVFDDVPGGSSREIPSPCPLADIVGTCNGLLCVVGTGGFVLSNPVTGEALHVPLPTRIGAPLRRWEHNEYYSFAHHPTTGLYKIVPFPVDDRWTGSFDAVQVYTLGEAASWRDVPAPAGSSRRKSCGLVSVDGFTYWVAMDTKKVMSLDLKDERIAAVITLPAPASEPGRQCRLTEAHGRLAVAAIVTQPTNTKTEVWVLEGGAGGRRWIRRYSVQLHGPDRQMVWPHFAHGDHAMTASTWQYSRSHELLYVHRLSGGKGLQCREARINGKSPGKAVGKYDRRTYRDLRTFAFVETTEPLNVYSGHGCRHIGKEISAG >OGLUM08G20070.1 pep chromosome:ALNU02000000:8:22760982:22764492:1 gene:OGLUM08G20070 transcript:OGLUM08G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVWVLEARGRRGRGRAWRGALDHVAAPGARRARPGIGRACNGARRATSQWSVSCILRAVQKILRFTLQSAPPLCDADERRAADFFSCKRQQNLSHYLARNLIIVEKANLKECHIMQTRSSFARVLGGQNANQNTPAENAFYAEMFQCTADDLQFYMAFSIVTIREYKLSLAVAFSLSIECSPIFPKVTIGNKMVKRCYLKVTELGDEEMLAKWQV >OGLUM08G20080.1 pep chromosome:ALNU02000000:8:22767285:22770942:1 gene:OGLUM08G20080 transcript:OGLUM08G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWRATATRLLVLLACACAVFVPRCHCVGDQGEALLRWKASLLNGTGGGGGGLDSWRASDASPCRWLGVSCDARGDVVAVTIKSVDLGGALPAASVLPLARSLKTLVLSGTNLTGAIPKELGDLAELSTLDLTKNQLTGAIPAELCRLRKLQSLALNSNSLRGAIPDAIGNLTGLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGISGSLPATIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLKKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLPNLQQLQLSTNKLTGNRLTGGIPASLAQCEGLQSLDLSYNNLTGAIPRELFALQNLTKLLLLSNDLAGFIPPEIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDLGGNRLTGPLPAAMSGCDNLEFMDLHSNALTGTLPGDLPRSLQFVDVSDNRLTGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPFLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLVVGSGGDEATRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRSDSSGAIHGAGEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVRDHLQAKRAVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPVEGGAAGGGEGKEQNAAAAAAPPAAERRSPARSTLPKGGSSSCSFAMSDYSS >OGLUM08G20080.2 pep chromosome:ALNU02000000:8:22767274:22770942:1 gene:OGLUM08G20080 transcript:OGLUM08G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRWRATATRLLVLLACACAVFVPRCHCVGDQGEALLRWKASLLNGTGGGGGGLDSWRASDASPCRWLGVSCDARGDVVAVTIKSVDLGGALPAASVLPLARSLKTLVLSGTNLTGAIPKELGDLAELSTLDLTKNQLTGAIPAELCRLRKLQSLALNSNSLRGAIPDAIGNLTGLTSLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGISGSLPATIGNLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNTLSGGIPPQLGQLKKLQTVLLWQNQLVGTIPPEIGNCKELVLIDLSLNELTGPIPRSFGGLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNQLTGAIGVDFPRLRNLTLFYAWQNRLTGGIPASLAQCEGLQSLDLSYNNLTGAIPRELFALQNLTKLLLLSNDLAGFIPPEIGNCTNLYRLRLNGNRLSGTIPAEIGNLKNLNFLDLGGNRLTGPLPAAMSGCDNLEFMDLHSNALTGTLPGDLPRSLQFVDVSDNRLTGVLGAGIGSLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPFLEISLNLSCNRLSGEIPSQFAGLDKLGCLDVSYNQLSGSLEPLARLENLVTLNISYNAFSGELPDTAFFQKLPINDIAGNHLLVVGSGGDEATRRAAISSLKLAMTVLAVVSALLLLSATYVLARSRRSDSSGAIHGAGEAWEVTLYQKLDFSVDEVVRSLTSANVIGTGSSGVVYRVGLPSGDSVAVKKMWSSDEAGAFRNEIAALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAAEWAPRYDIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVDSGSAKVDSSKPRIAGSYGYIAPEYASMQRISEKSDVYSFGVVVLEILTGRHPLDPTLPGGTHLVQWVRDHLQAKRAVAELLDPRLRGKPEAQVQEMLQVFSVAVLCIAHRADDRPAMKDVVALLKEIRRPVEGGAAGGGEGKEQNAAAAAAPPAAERRSPARSTLPKGGSSSCSFAMSDYSS >OGLUM08G20090.1 pep chromosome:ALNU02000000:8:22772513:22778657:1 gene:OGLUM08G20090 transcript:OGLUM08G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongator protein 2 [Source:Projected from Arabidopsis thaliana (AT1G49540) TAIR;Acc:AT1G49540] MSPPAAGGLAGARGEGGGAGVGRVFVGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPLRGEIVTTLPGHKATVNCTLWLPTKKDVLQIRSRERHYLLSGSSDGIIMAWKIDSGKREWTHALQLPVMHKKGVTCLAGRMVSDTVSIFASTSSDGTVVIWKMEDEPTSVGSCEVSCLHSISVGPKPMVSLSLAVLPGQGGHLILAMGGLDHKVHIYCGDQSGKFIKACELKGHSDWIRSLDFSLPVMMGSEVHSLFLVSSSQDRTIRIWKMNSDAVSSAHTTSRKEDIGIASYIEGPLFVSGNTHYQVSLESLLVGHEDWVYSVEWQPPTLLTDDEAHQPMSILSASMDKMMMIWKPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLGSENWQPQIVPSGHFAPVSDLTWSRSGEYLLSVSHDQTTRIFAPWRSQVSPGDVTCWREIARPQIHGHDINCVAFIQGTGNHRFVSGADEKVSRVFEAPLSFLKTLQHATLLKTDNSGDFDDVQVLGANMSALGLSQKPIYTHGPRETQSSISNDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPETHKLYGHGNELFSICCDHEGKIIASSCKAQSAPVAEIWLWEVGTWKAVGRLQSHNLTVTQMEFSCDNAFLLSVSRDRHLSVFSIRKTDDGAEHHLVAKLEAHKRIIWACSWNPFSYEFATGSRDKTVKIWSVQDSSSVKLLVTLPQFHDSVTALAWTGRDRASNAGILAIGMDNGMIELWNISGGRASTDSSGSGSSPLSFACMLRFDPLLCHVSTVHRLRWQKSDSSDEKSALQLASCGADHCVRVFEVRDI >OGLUM08G20100.1 pep chromosome:ALNU02000000:8:22777665:22778363:-1 gene:OGLUM08G20100 transcript:OGLUM08G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYHVQSPRSILLKMIATKQQPPALPPPPPPKLILQPRQRTSPAMWCAAIVCFAFSLLLIAAGAVILIVFLAVRPRAPSFDAAGATLNAVYVGSTSPAPYVNGDMTVAANVSNPNQKMDVVFRSAAVELFFGGRRMAAQALPAPFVQRRGQSQIISVHMVSSQVALPPELAVAMVNQVRSNRVVYTIRGTFKVQAKLWFWHYTYSMSALCDLELTAPPCGVLVARRCITR >OGLUM08G20110.1 pep chromosome:ALNU02000000:8:22779839:22782059:-1 gene:OGLUM08G20110 transcript:OGLUM08G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLPIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDVALLMFSPSGRLSHFSGRRGVEDVILRYMNLSEHDRGEAIQNREYLISMLQRLKRESDMATQLANPGALNEKIEEIQQEIYSSQQQLQITEDRLRMFEPDPAAFSTSSEVDGCEKYLMELLTRVVERKNNLLSSHMAPFDATTAAMQGADGTQMYVSQADGLATFGGDAAMWGPDGGADPGHPMFSASDPLIYLRDHDVYDANSQVAGLHGGDPCAAGGAAAAAAAVGCVDDDIAGGHAAAADAWRQAYTCTELLSTLIPTTPFPLMPLLSTATVVAMIGGEDELLTISHALRVPLLQHCLGPEDQYLSMEHGMVAAAQEPVEASTASCSYVPSDENSGTPVMAYDSNPPPANIA >OGLUM08G20120.1 pep chromosome:ALNU02000000:8:22786297:22789103:-1 gene:OGLUM08G20120 transcript:OGLUM08G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFCSKQNPSGQQPHCCNHSSSSTAAPKGNNRFAKFGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGRVSFNNQSLHSLGHISNPYEQAISIIGQTLSRFDEDNLIPCYGFGDATTHDQKVFSFYPDNKPCDGFEQALDRYREIVPQLRLAGPTSFAPMIETAIGIVDSSGGQYHVLLIIADGQVTRSVDTGNGQLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTEIMSRPVPASKKEAEFALSALMEIPEQFKAAINLQLLGKRRGFPHRTVLPPPVRDFQQYYGCSTVKETQSTSYGSLQKKTSTPKQDSDIGDQTCPICWLEAKNLAFGCGHQTCSDCGKDLKVCPICQREISTRIRLY >OGLUM08G20130.1 pep chromosome:ALNU02000000:8:22790345:22794588:1 gene:OGLUM08G20130 transcript:OGLUM08G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G26900) TAIR;Acc:AT1G26900] MQLRRRGRQIAALLKSASRAGDLLQLHAAMIKSSLFPHHAFPTAKLLASLHAPLPYALSLFAAIPTPTLFHHTALLRALSASVGGSLATALPVLASARARLPALDEFAFQPLLALCAKRGPDDDAAAASLGRQVHALVVRYGFSGVVSLGNALCHFYCCCGGGGGEGMADARRVFDEMPERDAVSWNTVIGGYVRAGEVTKAVDMFSEMMCCSVGISVTALVTMIRCGWQAEPVHGFCIKVGFCRDAKVASAMVGMYVREKSVECGRKVFDETTKRDLVLYNCMVDGYAKAGQVEEAMGLVDRMRLEGVRPSSGTLVGVLSACGASGAMAAGSRLHEIALEAGLELDTALGTALMDMYFKCGYPSEAVAVFDAMQERDVKAWTVMIMGFGVNGQAGEVISLFRSMEEDGVVPNEVTFLAVLNACSHGGLVSEGKKFMESMVLQYGIFPNTEHYGCIIDLLGRAGRLDEAYELIASLSSQGDATAWRALLAACRVHGNVNLGRMVQARLDNMGDYHPSDAILLSNTYALESRWDEIAHVRDSEDQKIVKDKKEVGCSSIEVSCSFLSNATCLVAWKVSGRSQVMADDNGLDDPGVMQELFTLPPGVTIMQD >OGLUM08G20140.1 pep chromosome:ALNU02000000:8:22797745:22799654:1 gene:OGLUM08G20140 transcript:OGLUM08G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPLAPTKCLTECSNNNITWVAANSSHIGEVLAPTAAWELGDRKDMDQAPYIVTKDLLKVTPTKCSTICSSSDTKPDLTVVAPVTCTTLAVSSMVLVATDGTTGNTNIDAPVCFKETHAMCSMVGLDVNGGNDQVVVAFQTKTCVLRGDQALDVSIEVFMPSSYMLTPINGCSIIPLISLAITNILLDINSETADWQGPPSQVLLNTTSDVAIRKLVMGRINLWLPPTSNELVDTNNGQHSTSIGQGYIGCHHGLHQLNPGGLILLNIVNLQEVGNSIKVKVPWLLSDQPRFEGVPMDYLMTPWDPGGSGNNLHRLEDKPNAKEMGLLGT >OGLUM08G20150.1 pep chromosome:ALNU02000000:8:22799801:22816230:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFGLEPFFPLAGVRFSPPRRCGRIPKRSPVGHRRRRHDADGSEDSRQPCREEEKEEEEEEHGAETASAETLGLHQHPHSDHSGPLCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSRLMHQWGLTFYIRVDLQGSFHTYPDVGGPFPSLQEAHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIRKRTKSYATEKTHKRMCQLVQALVEKYNEDHNLLGDLAHKLKDVLHYQSVRDKYEDKIYYGCANQGSVDMKHPDPHEYDGGHLDLGRPYECVDQWSDSEDDAEYVKAKEAKIRRMYEPAPSPSPRQPSQSYSLARTEEEHVVETASAKAHADPLYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKIWHLNSKDVLHYQPLCENHMWYYHLNFTAKTKEDGFDSTSDNLFFVEVKCMGKGNYEEMVVSFFCMVNPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKSLNVPESGFICQVKCTRTVSSAIRGCAPVIRVRPAAEPRWPPRPCWECCLHRRVSLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRTPSSDSKKQATPSRRAAPNDGRRKLTSGMEAQRKMLRSRSGGALDDDGRRQLGGRVRVGEGRRAKLLAMDVGDGGSRLAWQQGDQEGRWTTSGTAAVGSAAAGRRRSAEGGGRRRRAKVWKGGENPAHGNFHPRQKKKGFMVKGMEPLQYC >OGLUM08G20150.2 pep chromosome:ALNU02000000:8:22799801:22816230:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFGLEPFFPLAGVRFSPPRRCGRIPKRSPVGHRRRRHDADGSEDSRQPCREEEKEEEEEEHGAETASAETLGLHQHPHSDHSGPLCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSRLMHQWGLTFYIRVDLQGSFHTYPDVGGPFPSLQEAHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIRKRTKSYATEKTHKRMCQLVQALDLAHKLKDVLHYQSVRDKCMGYYHLNFTTETKESDDLDASIDNLFFVEIKHEDKIYYGCANQGSVDMKHPDPHEYDGGHLDLGRPYECVDQWSDSEDDAEYVKAKEAKIRRMYEPAPSPSPRQPSQSYSLARTEEEHVVETASAKAHADPLYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKIWHLNSKDVLHYQPLCENHMWYYHLNFTAKTKEDGFDSTSDNLFFVEVKCMGKGNYEEMVVSFFCMVNPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKSLNVPESGFICQVKCTRTVSSAIRGCAPVIRVRPAAEPRWPPRPCWECCLHRRVSLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRTPSSDSKKQATPSRRAAPNDGRRKLTSGMEAQRKMLRSRSGGALDDDGRRQLGGRVRVGEGRRAKLLAMDVGDGGSRLAWQQGDQEGRWTTSGTAAVGSAAAGRRRSAEGGGRRRRAKVWKGGENPAHGNFHPRQKKKGFMVKGMEPLQYC >OGLUM08G20150.3 pep chromosome:ALNU02000000:8:22799801:22816230:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFGLEPFFPLAGVRFSPPRRCGRIPKRSPVGHRRRRHDADGSEDSRQPCREEEKEEEEEEHGAETASAETLGLHQHPHSDHSGPLCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSRLMHQWGLTFYIRVDLQGSFHTYPDVGGPFPSLQEAHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIRKRTKSYATEKTHKRMCQLVQALVEKYNEDHNLLGDLAHKLKDVLHYQSVRDKCMGYYHLNFTTETKESDDLDASIDNLFFVEIKHEDKIYYGCANQGSVDMKHPDPHEYDGGHLDLGRPYECVDQWSDSEDDAEYVKAKEAKIRRMYEPAPSPSPRQPSQSYSLARTEEEHVVETASAKAHADPLYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKIWHLNSKDVLHYQPLCENHMWYYHLNFTAKTKEDGFDSTSDNLFFVEVKCMGKGNYEEMVVSFFCMVNPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKSLNVPESGFICQVKCTRTVSSAIRGCAPVIRVRPAAEPRWPPRPCWECCLHRRVSLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRTPSSDSKKQATPSRRAAPNDGRRKLTSGMEAQRKMLRSRSGGALDDDGRRQLGGRVRVGEGRRAKLLAMDVGDGGSRLAWQQGDQEGRWTTSGTAAVGSAAAGRRRSAEGGGRRRRAKVWKGGENPAHGNFHPRQKKKGFMVKGMEPLQYC >OGLUM08G20150.4 pep chromosome:ALNU02000000:8:22799801:22816230:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFGLEPFFPLAGVRFSPPRRCGRIPKRSPVGHRRRRHDADGSEDSRQPCREEEKEEEEEEHGAETASAETLGLHQHPHSDHSGPLCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSRLMHQWGLTFYIRVDLQGSFHTYPDVGGPFPSLQEAHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIRKRTKSYATEKTHKRMCQLVQALDLAHKLKDVLHYQSVRDKCMGYYHLNFTTETKESDDLDASIDNLFFVEIKHEDKIYYGCANQGSVDMKHPDPHEYDGGHLDLGRPYECVDQWSDSEDDAEYVKAKEAKIRRMYEPAPSPSPRQPSQSYSLARTEEEHVVETASAKAHADPLYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKIWHLNSKDVLHYQPLCENHMWYYHLNFTAKTKEDGFDSTSDNLFFVEVKCMGKGNYEEMVVSFFCMVNPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKVKCTRTVSSAIRGCAPVIRVRPAAEPRWPPRPCWECCLHRRVSLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRTPSSDSKKQATPSRRAAPNDGRRKLTSGMEAQRKMLRSRSGGALDDDGRRQLGGRVRVGEGRRAKLLAMDVGDGGSRLAWQQGDQEGRWTTSGTAAVGSAAAGRRRSAEGGGRRRRAKVWKGGENPAHGNFHPRQKKKGFMVKGMEPLQYC >OGLUM08G20150.5 pep chromosome:ALNU02000000:8:22799801:22803713:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAATWFGLEPFFPLAGVRFSPPRRCGRIPKRSPVGHRRRRHDADGSEDSRQPCREEEKEEEEEEHGAETASAETLGLHQHPHSDHSGPLCAEKGHAASAQTLLEASSMIADSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSRLMHQWGLTFYIRVDLQGSFHTYPDVGGPFPSLQEAHNAIDRHLEGRRHPKLWLKQDGVSAMDIIVRQSIYWPDGSIRKRTKSYATEKTHKRMCQLVQALDLAHKLKDVLHYQSVRDKCMGYYHLNFTTETKESDDLDASIDNLFFVEIKHEDKIYYGCANQGSVDMKHPDPHEYDGGHLDLGRPYECVDQWSDSEDDAEYVKAKEAKIRRMYEGLDDPCVVEDLSTD >OGLUM08G20150.6 pep chromosome:ALNU02000000:8:22803356:22816230:1 gene:OGLUM08G20150 transcript:OGLUM08G20150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYAKEGHVPSSITATSSSCQQADAVAQDTEVLPPSGSCLSSSPASHVWARHVKDWGLIFYIRVDLQGSFHTYPDVGGPFQSSQEADKAIDRYLEDHRDPKMRIGQNDDISSIENVIRRCLYWPDGTIKRRTKSSTTWEAKKRMHQFIQALVDKIWHLNSKDVLHYQPLCENHMWYYHLNFTAKTKEDGFDSTSDNLFFVEVKCMGKGNYEEMVVSFFCMVNPIDNGKPCKGCTNNGTADMKHPDTGEYFAGHLDAYLPYGCFGQWSDSDDDDKYVKAKEAKLRRMFKSLNVPESGFICQVKCTRTVSSAIRGCAPVIRVRPAAEPRWPPRPCWECCLHRRVSLVAASIVTVAGVILLLGVAWFVHRYRNQRKWSMKDAASDKSRWVVTSFHKPEFNEEDILSCLDEEVVGVGRTPSSDSKKQATPSRRAAPNDGRRKLTSGMEAQRKMLRSRSGGALDDDGRRQLGGRVRVGEGRRAKLLAMDVGDGGSRLAWQQGDQEGRWTTSGTAAVGSAAAGRRRSAEGGGRRRRAKVWKGGENPAHGNFHPRQKKKGFMVKGMEPLQYC >OGLUM08G20160.1 pep chromosome:ALNU02000000:8:22832047:22834670:1 gene:OGLUM08G20160 transcript:OGLUM08G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:seed imbibition 1 [Source:Projected from Arabidopsis thaliana (AT1G55740) TAIR;Acc:AT1G55740] MDPAGIASLADNSANFANRLTHIKENHKFQLNGRKGHREENPANGLAHIVNEIKGKHQLKYVYVWHAITGYWGGVRPGADGMEHYESKMQYPVSSPGVQKNEPCDALNSITTNGLGLVNPDRVFSFYNELHAYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYHQALEASIARNFRDNGIICCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGNHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVIGAFNCQGAGWCRVGKKNLVHDEQPATVTGVIRAQDVHHLATVAADGWNGDVIVYSHIGGEVTCLPKNASLPVTLKTREYEVFTVVPLKKLDNGVSFAAVGLIGMFNSGGAVTAVRYVEDAGVEVRVRGSGTVGAYSSAKPARVVVDSEATEFSYDDGCGLVTFELAVPEQELYSWTISIEY >OGLUM08G20170.1 pep chromosome:ALNU02000000:8:22846914:22848149:-1 gene:OGLUM08G20170 transcript:OGLUM08G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHQIWSKSGICEKTICTMPKRIMLFACYNLLLLFILMVAVLHDPTVITHKSGAAVFGKKFGTKLGLERSVDPFEVLEAFESVRLIGCQSDVVELHLFTNRWLWDAQFFPDLPIQSMSEVFM >OGLUM08G20180.1 pep chromosome:ALNU02000000:8:22848185:22848646:-1 gene:OGLUM08G20180 transcript:OGLUM08G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKKRGIRDWIGLFFLLSLSSRPPRLRLAAVKPTEADGASLRDAAAAARWRETNSGKEKNSKHLPVGFRKIMGRRWIIYGPRWAAAGRAVAFEHGGGRANEHGGRRTGPQWRRWPKKERGGGGRAPPAYSAHHVAQEREEHGDDGGEADEL >OGLUM08G20190.1 pep chromosome:ALNU02000000:8:22848809:22859639:1 gene:OGLUM08G20190 transcript:OGLUM08G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFRCREEEEEEHGAETASAETLGLHQHLHPDHSGPLCAEKGHADAASAQTLLEVSSMISDSSSSSQPKAVAVAQDTIEFSEPSGRCLSLSPVSRIWSQLMRQWGLTFYIRVDLQGSFHTYPDLGGPFRKLTMLSIAILKAAGIQNCKVPQLWLKQDGVSDMDIIVRQSIYWPDGSIKKRTKSYATEKTHKRMCQLVQALVDKYNEDHNLFGDLAHMLQDVLHYQSVRDKFMGYYHLNFTTKTKEADDLDAGIDNLFFVEIKRSGRGKHKEMLVNCFCMYVVLTNLSLDIIVNVFLAVVYDEDKICYGCANQGSVDMKHPDPCKYDGGHLDMGRPFECVKAKEAKIRRMYEQADEVAQDTEVWPPSASCLSSSPASRVWARHVKDWGRIFYIRVDLQGSFHTYPDVGGPFQSSQETDKAIDRYLEDHWDPKMRMGPDDNVSIMDKVIRRCLYWPDGRIKRHTKSSSTRAANKRMHQFIQALVDKYNDDHNLLGDLALKLKDVLHYQPICENHIWYYHLNFTAKTKEADGLDSTSDNLFFVEVKRMGIGNYEEMLVSCFFMVNPDNGKPCKGCTNNGTVDMKHPDTDEYFAGHLDAYLPFGCFGKWSDSDDDDKYVKAREAKLRHMYEGERRGGGEIIRPQMVVVSGESVTIHYHSQLPCGSLKK >OGLUM08G20200.1 pep chromosome:ALNU02000000:8:22863966:22867950:1 gene:OGLUM08G20200 transcript:OGLUM08G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >OGLUM08G20200.2 pep chromosome:ALNU02000000:8:22863966:22867381:1 gene:OGLUM08G20200 transcript:OGLUM08G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G55760) TAIR;Acc:AT1G55760] MSDGARVEAAPRLAQWRVDVLPCYTYRKSEPFRIGLWNWYLSVERNNKQTCVKVFAELSNSAKNTTPAPIASFITKLLISFPPNQKTIVHPGIFDKPLKHEGFVWAIDSTVTGRFVIEIEFLDLKIADPSYNSLTGSNSAFQGGEPASIWASHQIKKSSDNTALSSLARMLQEGILTDITINATDGSIMAHRAILASRSPVFRSMFSHDLKEKELSTVDISDMSLEACQAFLNYIYGDLRSEEFLTHRLALLRAADKYDISDLKEACHESLLEDIDTKNVLERLQMAHLYRLQKLKDGCLRFLVDFRKVYEMHDDFKVFLQTADRDIIAEIFQGILTTWSGR >OGLUM08G20210.1 pep chromosome:ALNU02000000:8:22895868:22899614:1 gene:OGLUM08G20210 transcript:OGLUM08G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MKSGCWNLRNKNYHLSTRAFMNISRVNKGMSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWINSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWMPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQTGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >OGLUM08G20210.2 pep chromosome:ALNU02000000:8:22895868:22899614:1 gene:OGLUM08G20210 transcript:OGLUM08G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWINSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWMPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQTGALTLLSLMILLTHTLRRPSPALLKSLASAVKST >OGLUM08G20210.3 pep chromosome:ALNU02000000:8:22895868:22899298:1 gene:OGLUM08G20210 transcript:OGLUM08G20210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MKSGCWNLRNKNYHLSTRAFMNISRVNKGMSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWINSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWMPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQAQ >OGLUM08G20210.4 pep chromosome:ALNU02000000:8:22895868:22899298:1 gene:OGLUM08G20210 transcript:OGLUM08G20210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MSLGDFKFIYWMEYGHRMWGRALGFLFSVPFAYFIAKGYVTRQLGLRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPEPPAGSMNWINSAAKIKKLAIPVSAVVGITAISGAFVAGNDAGHAYNTFPKMGDTWMPEDVFAMEPFIRNFFENTSTVQLNHRILATTTLLSVGGLWLAARKVDMHPAIKSLIGSTLGMAALQAQ >OGLUM08G20210.5 pep chromosome:ALNU02000000:8:22895830:22897197:1 gene:OGLUM08G20210 transcript:OGLUM08G20210.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase 15 [Source:Projected from Arabidopsis thaliana (AT5G56090) TAIR;Acc:AT5G56090] MMGSRVAAALLRRGRDQASSLMAARLPRGAPAPSPAAPRVGSGSVCGCGGGGGLLTGSRSTGSVFSASRLASFHAFRSIGSKTLMGQCTRKMTTTVAAMNSGVTNAAANSGLKLLVTKGPQAQKAIGIWLFGCATWVFGLVILGGVTRLTRSGLSMTDWKFTGSLPPMSDEEWLLEFEK >OGLUM08G20220.1 pep chromosome:ALNU02000000:8:22908255:22912047:1 gene:OGLUM08G20220 transcript:OGLUM08G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AX31] MATMASAAAASASARRWRWRWKWRTRDAVLALLIASVLAPPLLLYGGAPIAPFSGPILMGSAASGLDLSNLDAFAAVKEPIQTVASDAAALKAGLIQHIVDQSSGIDRGTKDNGMVASVNKKGGVEFTKENGLIDDGKLRENKVRAMRNSSGLNITLNKDHTADRPPEKTTDTTSEDSDIRAISNNTSHSTASPDSTIRVLRDQLKRARTYIGFLSSRGNHGFIKDLRRRMRDIQQALSGATNDKQLPKKYYLSHRYTKFFTVGISDDDLCLVSGVHGRIREMELTLTKVKQVHENCAAIISKLQATLHSTEEQMQAHKQEANYATQIAAKALPKRLNCLAMRLTNEYYSSSSSNKHFPYEEKLEDPKLQHYALFSDNVLGAAVVVNSTIIHAKTPENHVFHIVTDKLNYAAMRMWFLENSQGKAAIEVQNIEDFTWLNSSYSPVLKQLESQFMINYYFKTQQDKRDNNPKFQNPKYLSILNHLRFYLPEIFPKLYKVLFLDDDIVVQQDLSALWSIDLKGKVNGAIQTCGETFHRFDRYLNFSNPLIAKNFERRACGWAYGMNMFDLSEWRKRNITDVYHYWQEQNEHRLLWKLGTLPAGLVTFWNQTFPLDHKWHLLGLGYKPNVNQKDIEGAAVIHYNGNRKPWLEIAMAKYRKYWSKYVNFDNVFIRQCNIHP >OGLUM08G20230.1 pep chromosome:ALNU02000000:8:22913475:22916272:1 gene:OGLUM08G20230 transcript:OGLUM08G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSLVSRCLCLLLLLLLLAVAGNTVAVTTPGPHGHGNGAARAAVQMPPKYYDDDAAVVGRGAAGVTTVPPADDDDGCWESVVGTSPPCARDALLSLALQAPRLSGECCTVLARAGDKCVAGVFSGLPSGEKYLPLVKRICSLFYQRDVRCAAGGRRSAWHGSTLAQKAGVARRHNWHSGRPLWEVGVGDDIEETRPTTRPNGCGWPERREQPIKNAVHIVEIPVTGDGACPDAGNAEVAAFLDKAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASAVSPPAEQRAACCSRWWVPSSLSLVASLALAAAVQLRVCAYWRASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWGPLRWCYQNIVAACLLAVAAATMCSGKFILCS >OGLUM08G20240.1 pep chromosome:ALNU02000000:8:22918707:22919485:-1 gene:OGLUM08G20240 transcript:OGLUM08G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHAPTLRIGSNRRDHFPQFHSIPLLPPERNPSNGAQIHHPSSTSSAPRAGRPRPLPAGGSLPPTRRALRPRAAALRPASPRRRRRHRRLAAGRRRAAPELLGGAVPRDRAHHGLQEPQPPPRPHQEDHEGRRGRPHDRRRGPRRVRQGVRDVHPRAHPSRLGARRGEQAPHAPEVRHRRRHRPHRGLRLPRRHRAPRRGQGRRGRRRRRRRDPPPRRRLARHRPHGLLLCPAAVTFSYRIASSLFSDFYLWNAFLLLS >OGLUM08G20250.1 pep chromosome:ALNU02000000:8:22941158:22942829:-1 gene:OGLUM08G20250 transcript:OGLUM08G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKVAPVAADDGGGSFDHVHRFYVFQAQAHDYAFQRIQLDFATIDMRINWSNLRPLVSHFTHEQPIKNAVHIVEIPVTGDGACPDGGNAEVAAFLDKAAEAESSGSHPLGEIAGSAGHLLLLKLWQREESRLGRRACALEALMDAARRDAFYLCAAFLAFHGLSLALLFAASVSASAVSPPAEQRAACCSRWWVPSSLSLVASLALAAAVQLRVCAYWRASRRLRRERGDARALARCVQELRMKGAAFDLSKEPQYGVTRAKCASVEGAGAWGPLRWCYQNIVAACLLAVAAATILCS >OGLUM08G20260.1 pep chromosome:ALNU02000000:8:22943138:22943410:-1 gene:OGLUM08G20260 transcript:OGLUM08G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSVRIAALSAAAAPVQIYGYMAARDLYESLRNYVFRRSRDDPFVLPGHYSDPDSLISLLGPKRDTSRCRIPPCMIEYDLKIKRGEEE >OGLUM08G20270.1 pep chromosome:ALNU02000000:8:22945323:22945703:1 gene:OGLUM08G20270 transcript:OGLUM08G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREDDAGDHRRPEPQAKEDNSSTSASPSHDPKITQEENRWEDEDEYEEEEEELLYDVDDGEDAPAEACVLTGVKHSDGSIYKPKPPYSLLGLYHLDDASETRLEPMRLTAPTDRCRPCWLDCTVH >OGLUM08G20280.1 pep chromosome:ALNU02000000:8:22945713:22946462:1 gene:OGLUM08G20280 transcript:OGLUM08G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQIFSVRIAALSAAAAAAPVQIYGFMAARDLYEPLLNYVFRCSRDDPFVLPGHYSDPDSLVSLSGPKRGISLQNPAMIEYDLKIKRGEEEQDDLQLIDGVAVFSDLTPFHGVYTQRIHGIHGAVDISLALLREGMECTIEIRVPEVADGGIHLLVSCFVSKIPQKIKLYDDTITEPWKLRNYVVAVQRDTTLIVDFKVAPVVADGGGGSSDRVHLFYAFNAEAHDYAFQWIQLDFTTIDMRVNWSNLF >OGLUM08G20290.1 pep chromosome:ALNU02000000:8:22950466:22954801:1 gene:OGLUM08G20290 transcript:OGLUM08G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLLLLVAVVAAAVLSQLGDAAPSTAEVFWRAVLPGSPLPDAFLRLLRPDTISFVGKAEAAGGAARSGFPFDYTDYRGSDSPTTASGLDLAGDFGERVGEPAPFGYDYSAQGEGGGGGATAGEQVLAVDEGFNYDKYVGARKLRGGGTAGGEDDDDEPFGYDYKAPSSGGGDGTAASTTVFFHEEAVRVGERLPFYFPAATTSALGFLPRRVADSIPFTAAALPGVLALFGVAPDTAEAAGMRETLRTCEWPTLAGESKFCATSLEALVEGAMAALGTRDVAALASTLPRGGAPLQAYAVRAVLPVEGAGFVACHDQAYPYTVYRCHTTGPARAYMVEMEGSRRGDGDGGAAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGSPVCHLMPYGHIVWAKNVKSSTA >OGLUM08G20300.1 pep chromosome:ALNU02000000:8:22952777:22954762:-1 gene:OGLUM08G20300 transcript:OGLUM08G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AX39] MAGALCISCSPAPLLWALLLLTVSPGNAFYLPGSYMHTYSQGEEIWAKVNSLTSIETEMPFSYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTKGLNENDAKLLKQRTRDLYQVNMMLDNLPVMRFTEQNGITVQWTGFPVGYTPAGISEDYIINHLKFKVLVHEYEGRNVEIIGTGEEGSGVISEFDKKGMSGYQIVGFEVVPCSVKRDAEAFSKSNMYDSIEPVSCPMELQKSQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGAKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCPKLLCVMIGDGVQILGMAIVTIIFSTLGFMSPASRGMLLTGMIILYLFLGIAAGYVSVRLWKTIKGTSEGWRSVSWLTACFFPGVLFMVLTVLNFVLWGSKSTGALPISLFFALLAMWFCISVPLTLVGGFVGTRSAQIEFPVRSNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLVLLVIVCAEVSVVLTYMNLCVEDWRWWWKAFFASGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYLGYSFLMAFAIMLATGTIGFLTSFSFVHYLFSSVKID >OGLUM08G20310.1 pep chromosome:ALNU02000000:8:22967691:22968025:1 gene:OGLUM08G20310 transcript:OGLUM08G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVACGRRPMNLLDNQNNGVF >OGLUM08G20320.1 pep chromosome:ALNU02000000:8:22968069:22968500:1 gene:OGLUM08G20320 transcript:OGLUM08G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADERVNGDYDSTEMERVIALGLWCVHHDPSARPSIRDCYGHTPIQWRPATGATGQDARADVRAAGGLDVVLKCDAVAVHIDDEWPCYSHLVFLGFVRFNGFEELVFIAQASVLFRVDA >OGLUM08G20330.1 pep chromosome:ALNU02000000:8:22971307:22976978:1 gene:OGLUM08G20330 transcript:OGLUM08G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARGRRSGAWRLTDGLELCGCYWRNREAEVALPSLHLPSHRLPLASSQTNTCLFCTLCPHSCAPGKNFPVGCAVGLLIFSVFYLPPSPVAALSFNYTTFSTEGDKDIKIEGDGGPRAGCIDISANRASGIDGSVGRVSYKPPVQLWDAATGELHHNLLLQHQPEHESNKGDGMAFFLASYPSRLPENASGGGLGLTNKSYDNVSTGEGRFVAVEFDTFPNSEFDPTATYDHIGIDVNTIQSMKTKALKSFSLIGNMTAIVDYDSNSSILSVKLWINDTTTPYTLSSMVDLKTALPENVTIGFSAATGASVELHQLNSWYFNSRSSFEQKQSIEVASPPPPPPPPQPPSPQYSGVVAGVTVGAALFIVLIFTIVVILVRRRRNGRIKNSREAEDEHNVGSDGDDHDGEPIVEIEMGTGPRRFPYYELVQATKRFAAEEKLGQGGFGAVYRGYLKEQGLTVAIKRFAKDSSKQGRKDRLRHRNLVHLVGWCHGHDELLLVYELVPNRSLDIHLHGNGTFLTWPMRVKIVLGLGSALFYLHEEWGQCAVHRDIKPSNVMLDESFNAKLGDFGLARFIDHTVGMQTMTAVSRTPGYVDPECLITGRASAKSDVYSFGIVLLEVACGRRPMSLLDNQKNSVFRLVEWAWDLYGQGDVLMATDEQLNGDYDTTEMERVITVGLWCAHPDPSVRPSIKVAMAMLESSEELPMLPTKMPVPTYASPVASLDGLFTSPVGMSFSSATQSSTMSSGYTTHTSSSSGTSTSAGSKDSSSLLKHQY >OGLUM08G20340.1 pep chromosome:ALNU02000000:8:22999556:23004889:1 gene:OGLUM08G20340 transcript:OGLUM08G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSRLYNILTVVVFLSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNHLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVVKQKALVETLEAVQESSRARKRLFCS >OGLUM08G20340.2 pep chromosome:ALNU02000000:8:22999556:23005245:1 gene:OGLUM08G20340 transcript:OGLUM08G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHAEDIEISLCDGNSEDERRRRRIGSNLRRKAIHAIKKRGRRRVDCRFPPAISIEDVRDAEEERAVAAFHDRLAAHGLLPDKHDDYHMMLRFLKARKFDIDRAMQMWADMLKWREEFGADTILQDFDFHELDEVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGFKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSRLYNILTVVVFLSELPDFLGGSCSCSDKGGCLGSNKGPWNDPFILKWQGMISDISNAESGSDVDDFGSFFQKGVDYGYLTPVHEEVRGTDSLTYYSCDDQTRRDIAPESCKGVQATGMVQNQLPDNRQPSTNRNPHDSGNNGHLDGAFARRSLQNFIQVVVTTFIKLLSFLRLFISRPVRRLENVHSCTVPVPSEEKPEPRSIRDDDMTMCLQRLDSLESLCNHLASRPPEIPREKEHMLLNSFERIKCIEADLERTKRVLHATVVKQKALVETLEAVQESSRARKRLFCS >OGLUM08G20350.1 pep chromosome:ALNU02000000:8:23008428:23008959:1 gene:OGLUM08G20350 transcript:OGLUM08G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLWLSTLTSTNTQYASAAEERRRVSAAVGKAAEGERGGGQSSGGRARRSGRQWMGCGAPPCSATTTARVPHGAGDEGLEAVDHVVSLAGRDSTRRTRSSALPRTRSVAGKSTAAMSWTTRSCLSVKTSVGPTRCP >OGLUM08G20360.1 pep chromosome:ALNU02000000:8:23016385:23020418:1 gene:OGLUM08G20360 transcript:OGLUM08G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRSGYRAAEQEDRQVDLMGDSDFDDDEYGQPIESKEDTSAVDVKKGKDIQGIPWDNLSFSRDRYRKTRMVCTPVDKGALYYEFQYNTRSVKPTILHFQLRNLVWATTRHDVYLLSQRSVLHWSPFASEKHKVIDLQGHITPSEKHQGNVSEGFYQAQVSTLAVRGNLLVAGGFRGELICKFLDREGISYCCKSTHDDNGITNSLEIFEKPSGSVHFLASNNDCGVRDFDMENFQICNNFRFPWAVNHTSLSPDGKLVAIVGDNPEGLIVDTNSGKTVHELRGHLDYSFASAWNPDGRTFATGNQDKTCRVWDIRNLSKSVAVLGGNMGAIRSIRYTSDGRFLAMAEPADFVHIFDVGSGYRRKQVVDFFGEISGISFSPDTEALFIGVHDRTYSSLLQYNRLRFYSYLDSAI >OGLUM08G20370.1 pep chromosome:ALNU02000000:8:23022514:23024521:-1 gene:OGLUM08G20370 transcript:OGLUM08G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAVGSPAAEVEAGASPGSSPVIKTKGRGLRRWRRIPREQRKEGSAASAAAGSGSAQLHKRRIPLPGGAPRGKLHEVVLEEEEEESSTASVESRFVPPAKLDPSLGVLLVASSGFSVGAGGADSDHSEDRSSGSRSSTAASAPRRHNDLSPFAADRAGRSSRAARARAAEAQCSLRSSNAVNSRRQSIAGNGIHKVLGDHCDHGEETPLMARDYCNVETGSVVVGRLAQGSVDSDADAAQRSVGNNGDLGSGMKSSADPYAESISLLQRTQEALENEIKMFAVISKESNDNFDGNDDDWSGLVDLAEPLEGTSQNVKDPESRPEEASYLGRLFLEKTEAEIKSIILTRAAQTWAPLVDDQIALYNAQKSLSGDYKQLELKLQHTKNRAAMLEEMAEKLRAECRVLSGSSEVLRLQSRASRVSLFCFIQFVLLFTAVGTFLARLLPSPTEYVPT >OGLUM08G20380.1 pep chromosome:ALNU02000000:8:23027051:23028936:-1 gene:OGLUM08G20380 transcript:OGLUM08G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGNGDAPVIKNAHSDIDSTNKTLLKSDALYKYVLDTTVLPREPECMRDLRLITDKHQWGFMQSSADEAQLLGMLLKMAGAKRTIEVGVFTGYSLLATALALPEDGKVVAIDPDRESYEIGRPFLEKAGVAHKVDFREGKGLEKLDELLAEEAAAGREAAFDFAFVDADKPNYVKYHEQLLQLVRVGGHIVYDNTLWAGTVALPPDTPLSDLDRRFSVAIRDLNSRLAADPRIDVCQLAIADGITICRRLSCTVQAFTATSGSSRTWQARQAGWGRGVGVDSGMCQHDGIGEGGDRRRAVAAIWRWVACWPGWWHLASQQVLQLQPHPPTPNQADHHEPTTGAVVRSVPHLAVAGGSAARPPTGCRHGSGRRRVGGTGEAVYRKRWPAERGPVTSDVRGNLWWPPRGPREAPSTLFGRSALSCPPTAEAGPVEPDYRLYGFG >OGLUM08G20390.1 pep chromosome:ALNU02000000:8:23034714:23040014:-1 gene:OGLUM08G20390 transcript:OGLUM08G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQLFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGRVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLNSCMPPSPCYLCLSSVAGEAVTEEHMSMACTKVWRSTMYTPRRLKRTTPASRVSSTAMAAANGDASHGSNGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >OGLUM08G20390.2 pep chromosome:ALNU02000000:8:23034714:23040014:-1 gene:OGLUM08G20390 transcript:OGLUM08G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQLFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGRVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLNSCMPPSPCYLCLSSVAGEAVTEEHMSMACTKVWRSTMYTPSGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >OGLUM08G20390.3 pep chromosome:ALNU02000000:8:23036508:23040014:-1 gene:OGLUM08G20390 transcript:OGLUM08G20390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRPGSNTLLKSDSILEYVLDTTVYPREHERLRELRLITQNHPKSFMGSSPDQMQLFSVLLKMIGARNAVEVGVFTGYSLLATALALPDDGRVVAIDVSREYYELGRPVIEDAGVAHKVDFRHGDGLAVLDQLLAGGEGKFDFAYADADKEQYRGYHERLVRLLRVGGVVAYDNTLWGGSVAMPRDTPGSSAYDRVVRDYMVGFNAMVAADDRVEACLLPVADGVTLCRRLKLDPPARNAPTGHPIPITRLTPHAPTRGGAADADPKYPTHMTMSP >OGLUM08G20390.4 pep chromosome:ALNU02000000:8:23034714:23035925:-1 gene:OGLUM08G20390 transcript:OGLUM08G20390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANGDASHGSNGGIQIQSKEMKTAIHSNDSPKTLLKSESLHEYMLNTMVYPRENEFMRELRLITSEHTYGFMSSPPEEGQLLSLLLKLTGAKNTIEVGVFTGCSVLATALAIPDDGKVVAIDVSREYFDLGLPVIKKAGVAHKVDFREGAAMPILDNLLANEENEGKFDFAFVDADKGNYGEYHERLLRLVRAGGVLAYDNTLWGGSVALEDDSVLEEFDQDIRRSIVAFNAKIAGDPRVEAVQLPVSDGITLCRRLV >OGLUM08G20400.1 pep chromosome:ALNU02000000:8:23048617:23049591:-1 gene:OGLUM08G20400 transcript:OGLUM08G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKILSKSGSFQEKVVSHGFKGSNLIEEIILSTPKKSTGDQFLALLRTSTSSASAAASRAKDAADQSTTAAAVAAEEFVKIETINVSELLAGLEEEEEEEERDDGERCSAQACVLDGAAATPARATSFRTVEEFDALVTRSGSSEVAEAASSADQDATGAKPSEQEEAATAAAGNKRRARARQLGELKVPLPPAFDFSKSGSLRDWLLQGGQIFSPGSYVTPKFGTTSPAPPPPPPPQERGGVLHNAGEPQPQHTVFDPELVAQFEQAMERLSEDEERVLEKILEAMGAAAEEEEEEEATATATARLEMPSHRPVMVVQE >OGLUM08G20410.1 pep chromosome:ALNU02000000:8:23061181:23064138:1 gene:OGLUM08G20410 transcript:OGLUM08G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKEAGSFLDVPKDIPIATTKSLTIRTNGGFSSSSDRSNPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPTPAAAPPPQEAAAPSPPASYSNSEDTDAPSASRTPPSERYDSGGIDPATKVSDCGGGVQPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGSLCRFCKWLKSELELQGIASFVADRAKYSDTQSHEIADRIICSVAFGVIVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPPEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANETNWRSCVSKTVTLLRSKLGRKSIAEKESEGPEGMPFPRNRHFVGREKELSEIEGMFFGSTVDIQEVDCPRGSAANDRSSGVSDGFADEESDTVRTSNARYISLEMRKCKEPTLEAWIDPVIELSSGKGRNLQKQRSKHRRSRFRCNSKGYGSASVLCITGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKERGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVINLEPMQLPQLSYNDAMILIKGKQKNDYPPEEMEVLRKLDERLGRLSFGLWIVGSLLSELMITPSTLFEAVERISLNESLFPLGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSKMIIAGSWLAPAPVSSTLLAATASKLPMKGSMHLLGESLKTAFLCGTHCFLAPHGRKAEVESALLLVKLGLARKATRHPGCWIQFHPITQLFGKIRGGLAPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPSVQLKAVDMVHFIRKTALPLAIDSFMTFSRCGSALELLKVCTNVLEEVEKSYASRIQDLNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAHTLAAQETLAKLVRYRSKI >OGLUM08G20420.1 pep chromosome:ALNU02000000:8:23065962:23072874:1 gene:OGLUM08G20420 transcript:OGLUM08G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AX55] MMAQASDLEPLRSGAGALPSSADPDSPSTPRRSRVRELLRSLDRRLSSRGRHHRHAAEGAAASPRGGGGGEPGSEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHIIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGVDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCAYGCAEMMENNRERRIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFSLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVMSGNKEAFEATSPRHGYSSLLPPTDRNETDRRRPDRDDVELAILDDDPYRYGINNEDMLLDDLKVSQAMSKQFIKVIPTVTIKEATRLMHDKQQNCVLVVDSEDFLEGIVTIGDIRRKGFESELSEDTPRNGANSSTLDANSSLVSSCLTRGFQYHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRRAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQSAVNGH >OGLUM08G20430.1 pep chromosome:ALNU02000000:8:23073256:23076802:-1 gene:OGLUM08G20430 transcript:OGLUM08G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTGFGVLKIDTSRVGYSTPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMHSNVKPSIPKKTEDETRHDRVFFFQPILGSKPPTCPVAEKGFSVNHQNQPSVTDNHQELSLQSSSTAAKDFTSATIVKPKTSDSMLDNDDHPSPANDQEENATNKNEEYSSDLIITPAEDGYNWRKYGQKQVKNSEHPRSYYKCTFTDCAVKKKVESSQDGQITEIVYKGSHNHPLPPSNRRPNVPFSHFNDLRDDHSEKFGSKSGQATATSWENAANGHLQDVGSEVLTKLSASLTTTEHAEKSVMDKQEAVDISSTLSNEEDDRVTHRAPLSLGFDANDDDVEHKRRKMDVYAATSTSTNAIDIGAVASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERSSHDLKSVITTYEGKHNHEVPAARNSGHPSSGSAAAPQATNGLLHRRPEPAQGGGGGSLAQFGYGSAGHRPAEQFGAAAAGFSFGMLPRSIATPAPSPAIAVPAMQGYPGLVLPRGEMKVNLLPQSGNAGAAASQQLMGRLPKQHPQM >OGLUM08G20440.1 pep chromosome:ALNU02000000:8:23084344:23085345:1 gene:OGLUM08G20440 transcript:OGLUM08G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGGGAGDCRPPESSSHENEEKNALIIPCSLAPIKTGKKYDQQEEEEENNWEYDEEEEEFLYDIDEDDDMMEASELIGVKHSDGSIYDPDSHPFHSLYCLDDTRETSLLPMRLSARTDHCQPCWTACIVHHGCRMMQIFSIKIAALSNAAADAPVQIYGFMAARDLFDPLRNYIFNRGRDDPFVLPGHYSDPNSLIRLSGPKRGISLENPAVIEYDLKIKKGEDEKDDLQLIDGVAAFSDLTPFHGVYSRRIHGIHGAVDISLALLRNGKESTIQIKIPKLIMAAYTYPSLALSVKYLRKSSSSMAPLLIHQNSETSWLLCSCALKNINTSC >OGLUM08G20450.1 pep chromosome:ALNU02000000:8:23087941:23088294:-1 gene:OGLUM08G20450 transcript:OGLUM08G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQHIRDGCRRVESSMEDYKCKKVEKGHGEKRKVGEGYDPRWSSPIEITMKICNDDVSDEATEKET >OGLUM08G20460.1 pep chromosome:ALNU02000000:8:23088651:23091098:-1 gene:OGLUM08G20460 transcript:OGLUM08G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVCRAPGRSLTELRHPRRTAPRRGGAQPRRRAAQAPSRAGAGRMAQQHQAASKASRSSSSHQAITPNLPSAENGQKEKREGTGITKRFRSE >OGLUM08G20470.1 pep chromosome:ALNU02000000:8:23092635:23093140:1 gene:OGLUM08G20470 transcript:OGLUM08G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAVAGRSLDEAFEVTSAVDNIGAWGWLDVQGCRRSLKRLGTRSSMRRAWLDRAVDSEGKAHARDVVREIISRGTDDWIWGDGPDAISAATDELDQSALGWRSATCPPTPTHLPYIDGAASRSWPLHARQHTVVAAGYDVPTGALDHASWRTIPRRSSR >OGLUM08G20480.1 pep chromosome:ALNU02000000:8:23094834:23098105:1 gene:OGLUM08G20480 transcript:OGLUM08G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDNLLTLLLLEENTVTLYLDGTEHEFVENFIHCQGHYRFWLLWNSAVRVTYGRRMLYTTPVHGTFYYVLRYEAEYVVLVIDARTGWLVGFFNNRGIYEMRICHAMEHGTGSRLGDGFEWVKRLIVNWSSISQQVMRSLSNQRYNPHDCGIRELLTFDDLLKSTFYLHVDAYLEGIFKHTALPPPPRDLSWCPVDLGGDGEEDIPLPREPQPTPGSAVHRRGQQQLTKKKGLSGGKLGGPPVAPEIFLRWSLSDDQEKDYDELVSYLEEELGLKMHKARRFDLDCTNHLVKHHAMLPDQNLSAQDQIDSDTINEAPQALPTSVGSQISGCSVDETLQALPTISSLISSNTESLSPQALPTSSESAAGVTIDDLRSLCSQEFITDPVVVHAFNRLSDRIDSEDVLLVNPAMSHLLGNSDATVVSTQLQSLGLPSRKLVLFPVNNQDPHLLGEGTHWSLLVFDRNHGGRPCLAHNDSSPGDANLRAARRLAASLLPYLPPETKCTKAPTPKQNNGTDCALYMIKCAEVICGWWRNHAAGGSESHWLGVVARGVTMDSVDDLRTQLIHDIGQLLNGSSSS >OGLUM08G20490.1 pep chromosome:ALNU02000000:8:23099778:23101109:1 gene:OGLUM08G20490 transcript:OGLUM08G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80150) TAIR;Acc:AT1G80150] MLSLGAIRKLCAAFDAIALTVIAAGLSRTSHHPFSAHAHSSQPDFPTIASCRAAVASSKWRRRHPSTTKESAGVEPAEPPVLVRIKNERDPVRLYELFRANAHNRLLIENRFAFEDAVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKARMPDHALRTFREMGLYGCPRTAKSLNATMKVLLRARLFDEVLQLFESSETYGVELDDISYNTVVKMMCDLGELRAAFRVMQEMEKAGVRPDVITYTTLMDAFYKCGQREVGDGLWNLMRLRGCMPTLASYNVRIQFLVNRRRGWQANDLVRKMYASGLRPDEITYNLVIKGFFMMGEHEMAKTVFGAMHGRGCKPNAKVYQTMVHYLCERREFDLAFRLCKDSMEKNWFPSVDTINQLLKGLISISKDRNAREIMKLVIGRKPSYSNDEVKTFQDILSLGNTRR >OGLUM08G20500.1 pep chromosome:ALNU02000000:8:23104048:23106922:1 gene:OGLUM08G20500 transcript:OGLUM08G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G55590) TAIR;Acc:AT1G55590] MEKRRRLVVAGDGEGDGGEASGSAARGLVESLPEALLVEVVVRLELEAACSAASSCRALRAAAAAAFSAVTSLDLSMFPPTNAILNRILAGNGALRCLAVNCSLLDDSAVGAIAKGSLRELSLLKCSSFSSYLFVAVGERCKNLRSFVLEMAASDDDEHFGICRKSIAHIVKGCGYLENLSLKFFPLLGPGSVDFESLVPIPSTIKVLLLQPVSNWQAKRLFPISTSLKTSISNTLESLSLVLDIITDELVAFITGSLHKLVELCLEDNPMKEPDLHNDLTNVGLQALGLCHNLAHLSLTRGKQNCSSTFRRVTDFGIMMLADGCKQLKTIRLAGFSKVRDAGYAALLQSCKDLKKFEVSTGYLSDLTCLDLDEAAPKITEVRLLCCSLLTSETAISLSSCTKLEVLDLSGCRSIADSGLASISQLSKLTLLDLAGADITDAGLSALGNGRCPMSSLCLRGCKRISNNGIASLLCGSGTINKTLISLDIGNVPRISGRAVTLIAKNCEQISSLCLRNCLLINDSSLETLGSMRHNLGKSSLRMLDLSYCSRLSRNFLGLFEPPFFRGLRWLGVGKNMLERRGCSPTVAELLERKPGLTVCGNACEMGCRNQCHPDIRRQ >OGLUM08G20510.1 pep chromosome:ALNU02000000:8:23108017:23110890:-1 gene:OGLUM08G20510 transcript:OGLUM08G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSDPAVATHPQAGAAAAASSSSGLTFKLHPLVIVNVSDHHTRVKAQAACSRDGASSAAAGGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRAFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMQIHKALMDINESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRANYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVSMQKGDMPLDNSLLRQVSSLVRRLPAMESEKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKFNATYERSTARRGGRGAFM >OGLUM08G20520.1 pep chromosome:ALNU02000000:8:23111729:23121269:1 gene:OGLUM08G20520 transcript:OGLUM08G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSSGWADEFQTQYNANANSWADQFVHEEMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >OGLUM08G20520.2 pep chromosome:ALNU02000000:8:23111729:23121269:1 gene:OGLUM08G20520 transcript:OGLUM08G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin 5 [Source:Projected from Arabidopsis thaliana (AT5G56290) TAIR;Acc:AT5G56290] MAMRHLITGQGNCAPDGASSSNPFGNLANAIFGQSSKAQSIKELPGSAVNVPTTSELGTAAPLSTIPGSENEFKQDQLPLARGADFIRGGPSHDWVESFRPPGLPEFAVPDAQLKEFEQIFNSTGPTFGPPVMDGPPQRVLSGVLRSFLASGQAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDSNYRMKGPMHGPYPEMEEYWNQSQSAMRSGPMHNAADKWITEFGKQNNNPEEWAHSFEQQYGSNGWASEFEQHQSQMAMTGGMNMANLAAMEQSRMLAQTLASNNDPKFQMSQGADKWASEFSTEYNQGGLNENWIDEFSKMRVDDEWAEEFSGGTFGESSADPWVDEFQNQLSASKQNSGASRGVYVFSDMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEADPTNLEVLLALGVSHTNELEQGEALRYLHRWLQNHPKYGGIAPPQPTDSPYGPDVIRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIAAFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQQALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDSRNLDVLQKEFPL >OGLUM08G20530.1 pep chromosome:ALNU02000000:8:23122565:23125317:-1 gene:OGLUM08G20530 transcript:OGLUM08G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKFLNKKGWHTGSLRNIERVWVAEEKEKEEQRKIQELKKQQDEEREKAAFRKLQEDAGLKPRQERLDFLYESGLAVGKGSSEGFQALQPSAPAAAAAASSSAQASAGSSKAAAPGALFEDKPQSANDAWRKLHTDPLLLIRQREQDAIARIKNNPIKMAEIKKSVEAEKKQKEEKKEKRKHKKRHHHKSKSKRHHSSENSDSEESDGRDERRKSVQASEHKREEKRSRHDKKDHGQDSEDDERRKRWHATSEDDEPRKSRKEKKGQREDSEDDKPKKSRKDRRRHDSEDEEPRRKHQRSEDDEPKRRQSEVSGDDEPRRRRQEMPKHDEYSRRDRSDADDRRGRHYTPSDDRRGRHYTPSDHNSAYPKHDSSDSRHRRPEYGRGNSTSELGSEGQRRQESQQGRNGPTFNRRRGVQHMSEEEREARLRQMQADAEVHEEQRWSRLKKAADDDAKEAASVNANQFRGKNFLEEEKKSIFGTEKGGSATIEESIRRRAYYSQRNAHESNAFRR >OGLUM08G20540.1 pep chromosome:ALNU02000000:8:23127131:23131132:-1 gene:OGLUM08G20540 transcript:OGLUM08G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDLPPRAGAGAGASPGWPQRWRRRRQRGVERGGAVSAGGGGVFSIGVGGKKLHHGGGGGGEMTEEELAKVEGRVCVNGASAAACLHTQQGRKGTNQDAMVVWENFNTSDSVFCGVFDGHGPYGHFVAKKVRDSLPVKIRTLWKTSANEDTSSHQNGSISGSVNSEESPVVDDEWGEYADDSEKLPEMFLPLKQSYFKAFKLMDKELKMHPTVDCFCSGSTAVTLVKQGLDLVVGNLGDSRAIMGTRDAANNLTAVQLTVDLKPNLPREAARIQQCRGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPQISYRRLTEKDEFIILATDGVWDVLSNKEAVDIVAAAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHAKSPDLIQENESEEETTEDVAIPDTVAKVDQDIAQGDAHISSEEQITEPALQHSYTLRDVDEIVPVEEPPVSKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRILSGEKRSTSWRKRR >OGLUM08G20550.1 pep chromosome:ALNU02000000:8:23140323:23141999:1 gene:OGLUM08G20550 transcript:OGLUM08G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVKVASCAPTEERAYSCGDEDITQEEKMLLQRFPIHESDDYEHEEVNCELAKSGDQICSVPYGLYDLPELNDILSLETWNLCLTEDDRFRLAAYLPDMDQHDFFVTMKELFSGSDLFFGSPVKSFFHRLNGGFYSPEVSQARELLMIFERRRYYHFLKSHHDGMIFKFASMDKVGGRCGASTGLQGKVNSWNDRRHEDPLTGVDISGSPFNRSLSIANEVKDATLPPLKRTKRMDGTVTTHCSAKRKGIVYRDKSMEMSSLKSPVFHVPGELTTCIRLPKGVLKIKTDCASLIDHNEGIHRTPEPMLADQLGIQVSSLPCASALDVHGFAMNSAYYYHINTSKSTLRNLHVRPYQREGTLDTYPHSVESPFGVQIMVPEELKRGYYSRMPNSFHQSTTKHSPEYCNEAPHEKNLLKNFGQQNAVIPESSPDPFTRNTDCHQTNGYMTHGLKTAESISEVLTLGTDTAGPYKHLLEQSETMRYPEGLKLKTPASQSVTEVEEGHRYPFTYKRRKLQKRLDLVDPVKKSTMVDSEPLSALASVANVKIKGNQALKIGS >OGLUM08G20560.1 pep chromosome:ALNU02000000:8:23142761:23144464:1 gene:OGLUM08G20560 transcript:OGLUM08G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPHLLPLLLGRLVVSGDLRRSPAHLRRIVPLLPSHPHLAAALCAVHFPLFPSSSATFLHNILIRASASSASPRLSFAAFSSLLRCGLVPDRFTLPPLLGSAGKLPAFPRTGAQAHAQAVRRGFLADVFVVNALLAMYGALRDAASMREVFGSCAGVADVVSWNTVIGGYVKCGEMESAKRVFDEMPRRNGVSWSTMVGGYAAAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNAMLRGYSVNSDMDGARELFDVMPEKDVVSWTCMISGYAQAGRYQDTLELFRAMQTESNVQPNEVTMVSVLSACANLTALEEGRWVHAFIDKHKMVLNNEYNLGAALIDMYAKCGRTDIAVKIFHSLDRKNVSAWNALITGLAMNGNARDSLDAFEQMKRTGEKPNDITFVGVLTACAHGGLVDEGRRCFQSMASTCGVQPEVKHYGCMVDMLGRAGLLEEAEELIRSMPMAPDVMVFGALLGACRMHKRFDVAERVQSEIHSLNLNAQQSGCHVLISDIYAAAGKWFDVLEARQVVQRSGIRKWTR >OGLUM08G20570.1 pep chromosome:ALNU02000000:8:23145884:23149781:-1 gene:OGLUM08G20570 transcript:OGLUM08G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRNKIAELLRYHSTKSGDELTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRKEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETAEADTDMPPLEDDAGESKMEEVD >OGLUM08G20580.1 pep chromosome:ALNU02000000:8:23150186:23159068:1 gene:OGLUM08G20580 transcript:OGLUM08G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: WLM (InterPro:IPR013536), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567); BEST Arabidopsis thaliana protein match is: zinc ion binding (TAIR:AT1G55915.1); Has 30201 Blast hits to 17322 proteins in 780 sp /.../ Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G35690) TAIR;Acc:AT5G35690] MRIVVPPSLRVPYGRRRLLEHSVRSPPPKKKKKSLLPSPLEGGAAASLVFPPPPPPRVRECAAAIAVRFSACLPIRGATGVIAARRPTRRIFLREGGEWCDAADLLQFHMDEKRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVNPETLKLIVPQSTNKGSKLITPFSDPHSVLTLKEAAISDGKPIRMMGVFNDEIEEVSDNGKRTDLRIIGFEEEEQRLRQRTSGRPQVPLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKRTLLHELAHMVHSEHDAKFFALNKQLNDEAASLDWTKSRGHVLSGRKIFDSYEDEFVLEPDSVVVGHKLGGGSSSLASARVLSGSAAYKRFLNASADLESSKDSVTISGNEILVPGTKFELDPDDVGQDFSQENAKVEPDPDDNDDMNVDVGTGASWSSVSRSFTEQDTISHSEPDPDDIRQQSTAGCLEPDPDDSSNVDILSWELRIDGKQNGEPDPDDNGTSKFIPKPVNKMEVETELGSNFAVLNSEPDPDDSSNAILNKKLGIDRSDETTCEAVVEECGNKMEVETEQSRNSTIPKSEPDPDDHAANSNIIELQRIEEPVAALCARLQKAIEMLRSQATPAEATSALQTLFRIIKNVIEHPHDIKYRRLRKSNPQFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVSMA >OGLUM08G20590.1 pep chromosome:ALNU02000000:8:23159119:23164147:1 gene:OGLUM08G20590 transcript:OGLUM08G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQPRPHAVRCERSPHPDARAYAAVGVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHNAALGGEVNADVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGSTLAQRVVPVLANDTPEQLAARVLHELLPYVMTELCGEKMVSHLLEARQTLTSTLNSWYYLKDCCAYARGIEEGDGANDSHS >OGLUM08G20590.2 pep chromosome:ALNU02000000:8:23159119:23165531:1 gene:OGLUM08G20590 transcript:OGLUM08G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQPRPHAVRCERSPHPDARAYAAVGVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHNAALGGEVNADVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGSTLAQRVVPVLANDTPEQLAARVLHEVYVEAAAALCDDRIVWREDGVPLIRSQTNPDESRIPLNTTPCPPSPTCRDLSKLFVAFWSSSKAAPGSIST >OGLUM08G20590.3 pep chromosome:ALNU02000000:8:23159119:23165531:1 gene:OGLUM08G20590 transcript:OGLUM08G20590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVASTGSGLRCSPNPMPKQQHERRSARVGFVSQPRPHAVRCERSPHPDARAYAAVGVGAKGGEAGGVGVERKRLAVFVSGGGSNFRAIHNAALGGEVNADVVALVTDKPGCGGAEHARGNGIPVVVFPKSKSAPEGVSTDELLNALRELRVDFVLLAGYLKLIPVELVQEYPKSILNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTVHFVDEHYDTGSTLAQRVVPVLANDTPEQLAARVLHELLPYVMTELCGEKMVSHLLEARQTLTSTLNSWYYLKDCCAVENPLKHDSMPSISNLSRSIKIAAPGSIST >OGLUM08G20600.1 pep chromosome:ALNU02000000:8:23160241:23180419:-1 gene:OGLUM08G20600 transcript:OGLUM08G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYLLQWSNNVALVGCDTQVDLRGADQENTLISSCTAICPSDGQDGGTIVGAGGTGTCLGIGCCQATIVSGHASYGVQIHVLNGSSYSGRNSDYVYIVDQVFNYTLDMAFETSLPEALPAMLDWLISTNNSSCTSTGSAPECRSAHSFCQNYDHFSSNPSGYQCHCSKGYEGNPYVPGGCNDIDECKQPEKYSCYGGFCNNTHGNFDCQCSNGTIGDPFRKGGCIPITVMVNGPSKGFPGSSIGLVVGGCSVLLLLVLVAPFMIRKELEKATDNFDKTREVGNGGHGVVFKGILDLHVVAIKKSKIVVQREIDEFINELLGCCLETEVPLLVYEFISNGTLYQHLHVEGPVSLSWNNRLRIALEVAHALSYLHSAASMPIFHRDIKSSNILLDNNLTAKISDFGASRYIPMDQTGITTVVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPIVYRFGDGDSLVSHFISLFKSHDVADIIDPQVMEEESGEVSEVAALAAICTKLKASERPSMREVEMALEYLVQKRGCGHGFDLISKLPISIGIVGSIICCSYHIHTEMVRMVVSSRRVHAQLLILQLLAAVVAAADELAVPPAKKIKSCTTRCGNISIEYPFGVEPGCYHAVGFNLTCDHSYHPPRLFLGDGTVLDISIPNGTVRINSGRINLKNNDRGSANGTWGGGLPDGGPFFLSESESSLLLMGCDSQVVVRELGGNHTLLASCSVICPSLSLQRGFFQIFRTSACSGIGCCQTNIIFGYSTYLIQNHKVDQSLDASYSEIYLVDQGFNPNSDEDPQALPALLEWVISKSTSNCPRNSSASECRSAHSSCRDTDAWAHKGYRCECSDGYQGNPYIIDGCKVTRKEDIIVGAKMASRATLLSQTDAKTQRCIHAMESAEIRVGHFIVNVKMEHMGIPLKRGCITSKNSLTARVVKQRRDKKLKEKFFKQNHGLLLQQLISKNTDFGERMIITLEELQKATNNFDRSRQVGDGGHGVVFKGILDLNVVAIKKSKIIVQREIGEFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISHGTLYHHLHIDGPISLSWGDRLRISLEVARALSYLHSASSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYIGRLTDKSDVFSFGVVLVELLTRKKPVADTFDGDSLVSHFVSLLSEGNLINIIDPQVKEEEDGEVHEVAALAALCTKLKGEERPSMREVEMALENILSKKGPFHKGNRESSRPSKNQISALYMSIEGVATEAIYQLGNNKTEANLTDRVGTSYN >OGLUM08G20600.2 pep chromosome:ALNU02000000:8:23160241:23180419:-1 gene:OGLUM08G20600 transcript:OGLUM08G20600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPYLLQWSNNVALVGCDTQVDLRGADQENTLISSCTAICPSDGQDGGTIVGAGGTGTCLGIGCCQATIVSGHASYGVQIHVLNGSSYSGRNSDYVYIVDQVFNYTLDMAFETSLPEALPAMLDWLISTNNSSCTSTGSAPECRSAHSFCQNYDHFSSNPSGYQCHCSKGYEGNPYVPGGCNDIDECKQPEKYSCYGGFCNNTHGNFDCQCSNGTIGDPFRKGGCIPITVMVNGPSKGFPGSSIGLVVGGCSVLLLLVLVAPFMIRKVRLHKMKKMKEKFFNENHGLLLQQLISRNTDFAERMIVTLEELEKATDNFDKTREVGNGGHGVVFKGILDLHVVAIKKSKIVVQREIDEFINELLGCCLETEVPLLVYEFISNGTLYQHLHVEGPVSLSWNNRLRIALEVAHALSYLHSAASMPIFHRDIKSSNILLDNNLTAKISDFGASRYIPMDQTGITTVVQGTMGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPIVYRFGDGDSLVSHFISLFKSHDVADIIDPQVMEEESGEVSEVAALAAICTKLKASERPSMREVEMALEYLVQKRGCGHGFDLISKLPISIGIVGSIICCSYHIHTEMVRMVVSSRRVHAQLLILQLLAAVVAAADELAVPPAKKIKSCTTRCGNISIEYPFGVEPGCYHAVGFNLTCDHSYHPPRLFLGDGTVLDISIPNGTVRINSGRINLKNNDRGSANGTWGGGLPDGGPFFLSESESSLLLMGCDSQVVVRELGGNHTLLASCSVICPSLSLQRGFFQIFRTSACSGIGCCQTNIIFGYSTYLIQNHKVDQSLDASYSEIYLVDQGFNPNSDEDPQALPALLEWVISKSTSNCPRNSSASECRSAHSSCRDTDAWAHKGYRCECSDGYQGNPYIIDGCKVTRKEDIIVGAKMASRATLLSQTDAKTQRCIHAMESAEIRVGHFIVNVKMEHMGIPLKRGCITSKNSLTARVVKQRRDKKLKEKFFKQNHGLLLQQLISKNTDFGERMIITLEELQKATNNFDRSRQVGDGGHGVVFKGILDLNVVAIKKSKIIVQREIGEFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISHGTLYHHLHIDGPISLSWGDRLRISLEVARALSYLHSASSMPIYHRDIKSSNILLDDSLTAKVSDFGASKYTPIDRSEITTAVQGTIGYLDPMYYYIGRLTDKSDVFSFGVVLVELLTRKKPVADTFDGDSLVSHFVSLLSEGNLINIIDPQVKEEEDGEVHEVAALAALCTKLKGEERPSMREVEMALENILSKKGPFHKGNRESSRPSKNQISALYMSIEGVATEAIYQLGNNKTEANLTDRVGTSYN >OGLUM08G20610.1 pep chromosome:ALNU02000000:8:23181618:23182505:1 gene:OGLUM08G20610 transcript:OGLUM08G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAMVVLIVTAALLPQKIQTDRSHIAHIHKNLATGGQIHVLDLVEAGSDGRWARDGGHGKGRSGGGGGSALWREAHPAARAKGKQSSRETRPGGTRRPEVHPHRPPQALVAGRRGRRWRLDPASRRPDPASDGRETVASGGRDADTRPPTSWRPDLVGEVGKALAAGSGFLEARSGARRSGGGSVRWPGRGSTSPDLVETGSGGGGVWQSVGEALAAGRRGRRWWRDVGGGGGCGRRERWRREVGRRQK >OGLUM08G20620.1 pep chromosome:ALNU02000000:8:23219516:23226202:-1 gene:OGLUM08G20620 transcript:OGLUM08G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGFVFSLLLTTQLAAVAPAKIGLGNCESRCGGVDVPYPFGSSYGCHRRGFRVTCDRTHRPPKLFLQTNGPEVLEISVRNATVRVRAAVWSFAAAAAAAGNASDVVTKVTVLPSNLRPYALSAARNALVVVGCGFQLQAAARPTTTPTPFGSCAPSCPAAEPRGRECDGVGCCQMAPIPAGTTSSLHVNLSWLDRNATAAPPPRPSWVAPGARMATVEKEWWSHRRNALAVKMSLLSSSARGRGADGLVIPAVLDWALNASSCAAAAKRSDFGCVSENSECVNSTASAAAGYVCRCNDGYDGNPYLPGGCQGPRMRLAPGVYLSMGVGIGMCLLLLVLAAIFATKRLRARKVKKVREYFFKQNRGLLLQQLVDKDIAERMIFKLEELQKATNKFDEARILGGGGHGTVYKGILSNQHIVAIKKSKVIIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHVGASQRSLPWKDRLRIAFEVANSLAYLHSAASTSIVHRDIKTSNILLDDRLTAKVSDFGASRGIAVDQSSVTTGIQGTHGYMDPEYCYTRRLTDKSDVYSYGVMLVELLTRKKPSMYMSPEGASLVVHFTILLNQDKLYDVLDEQVIEEGEDEVRQVAAIAAMCLRMKGDDRPTMRNVEMSLQGLQCRENNVHFNLGMQEQLSGMNGLTFQEGNADASDNCSSRQHNGDGSVEVLEISIPSGTVRMNSSSIVPVSTSSAVGTGSVNKTGKYHTWGGLRKGGPFFISPYKNKFLVLSCSNVQVLLLGGDNSTVNACATYCPPAPKKGQPFQFPMRNECSGIGCCSAAIPKGYTSYSIQIQPANEISEFDAESSVYIAEEGSYNATRLIFETVSALPALLDWAISNSTCGTKPSAAPACRSSNSYCQNYTSYVYNGYQCHCNAGYQGNPYIPNGCQDIDECSHWKLHSCYGTCVNMPGTFHCRCPDGTYGNPLMEGGCIKIKNSSLGLSIGLVVSGGTVLLLLALCAPLATRKIKLRKMKKTKERFFKQNHGLLLQQLISQKVDIGERMIITLSDLEKATNNFDKSRELGGGGHGIVYKGILDLHVVAIKKSKIVVQREIDQFINEVAVLSQINHRNVVKLLGCCLETEVTLLVYEFVSNGTLYDHLHVEGPMSLPWDDRLRIALEVARAVAYLHSASSMPIFHRDIKSSNILLDDSLTAKVSDFGASRYIPIDQTGVTTAVQGTFGYLDPMYYYTGRLTDRSDVFSFGVLLVELLTRKKPFVYTSSNGDALVLHFVSLHTERNLVDILDPQVMEEGDGEVQEVAALAATCIKLKGDDRPTMREVEMALENLRVKKKHATLGTTSNRYDGDQIAADYLSTRGITDESIRQYTMEEEILSSGTYPR >OGLUM08G20630.1 pep chromosome:ALNU02000000:8:23233812:23246618:1 gene:OGLUM08G20630 transcript:OGLUM08G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYNHTLPVRCIGNDRCFREGFKLVCDPDYDPPKLFMNGPGYEVHKIKLARRVLHLDTGITQMLGGDSYNQKWILDLDDKLFRVSADMNVFITLGCGFHFFIVLLQQQETMLLAAANCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEVLSRLGATAAGALDAARNVGVRTVVNWMLGNSSCVEAKKLSDFGCLSDNSECFDGPAGRGYACKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGLIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFFKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFILNGTLHEHLHVNSAQSVPWKERLRIALEIARSLSYLHSAASVSIIHRDIKTTNILLDDRFIAKVSDFGASRGIPIDQNIVTTTIQGTFGYLDPEYYRKSRPTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLLEIVDSQITEEQGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGLQGAVNTIRGDQKAQRRAVQLNSPLTEESDSNIVAVGDAGYHNSSRRLSMEEEFCFSWNICNCKELPSCISRILHNSFEAHCEESKMEKHAVALAAFTFLAAPLLQPPLFVAGNGSSCTTSCGNVSFEYPFGVEAGCYHPGFDLTCNHSYSPPRLFLGQESSTMHQVLEISIPNGTVRINSSRMVFASAVLENSTTMRWEVGKSYFLSDLNIIALVGCNAQVSLRDWGDTLVNSCITSCPLSLESGNGSCSGIGCCQASIAMHIPVYSISANQVVDPGAGPDPNEPNFFVYIVDQASFHFDTNMVTKGISNTPEALPAMLNWLILSNSSACSASTNASAPSSSPECRSANSFCKGYNDIDECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCQDINECAEPEKYSCYGGLCINTPGAFVCRCHDGSYGDPFTKGGCRSSKGLTIGLIVSGGSVLLLLGLAAPFIVRKVKLQRLISRNTDFAERMIITLQELEMATNNFDKSREVGTGGHGVVYKGIIDLHVVAIKKSKIIVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPIGGTFDNGDGLVSHFISLLSKGNLYNIIDSQVKEEEDGEVLEVATLATTCTKFKGEERPMMREVEMALESIVSKKGSFCNKNSQSSSRPDENRISALYMSIEGVTKDKTITITESSTEEEIPLSSRFSR >OGLUM08G20630.2 pep chromosome:ALNU02000000:8:23232196:23246618:1 gene:OGLUM08G20630 transcript:OGLUM08G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTARPPRRRQAAAAADEPAGGLAPPSSQQETMLLAAANCVSNCRPGYPILATDGTCYGIGCCNASVVEDHNSYTIKLLSLSSPRAVPFNASMVVVKGEWWRRADNAMLLQQEVLSRLGATAAGALDAARNVGVRTVVNWMLGNSSCVEAKKLSDFGCLSDNSECFDGPAGRGYACKCRSGYDGNPYMPNGCQDINECMLPNPPLCFGKCINTVGSYECICPGGTSGNAHIQNGCVSSKLKFSGLIIGIGLGGSLIIVVLILAGIVVRRKFKSRRAKKLKEFFFKQNRGLLLHQLVDKDIAERMIFSLEELEKATNNFDESRKLGGGGHGTVYKGILSDQRVVAIKKSRYAIKREIDGFINEVAILSQVNHRNVVKLFGCCLETEVPLLVYEFILNGTLHEHLHVNSAQSVPWKERLRIALEIARSLSYLHSAASVSIIHRDIKTTNILLDDRFIAKVSDFGASRGIPIDQNIVTTTIQGTFGYLDPEYYRKSRPTEKSDVYSFGVILAELITRRRPTSYISPEGFNLTEQFILLVSEDRLLEIVDSQITEEQGEEEAREVAEIAVMCLNLKGEDRPTMRQVEVKLEGLQGAVNTIRGDQKAQRRAVQLNSPLTEESDSNIVAVGDAGYHNSSRRLSMEEEFCFSWNICNCKELPSCISRILHNSFEAHCEESKMEKHAVALAAFTFLAAPLLQPPLFVAGNGSSCTTSCGNVSFEYPFGVEAGCYHPGFDLTCNHSYSPPRLFLGQESSTMHQVLEISIPNGTVRINSSRMVFASAVLENSTTMRWEVGKSYFLSDLNIIALVGCNAQVSLRDWGDTLVNSCITSCPLSLESGNGSCSGIGCCQASIAMHIPVYSISANQVVDPGAGPDPNEPNFFVYIVDQASFHFDTNMVTKGISNTPEALPAMLNWLILSNSSACSASTNASAPSSSPECRSANSFCKGYNDIDECKSPHIYPCYGDCKNTRGGYDCQCHHGYKGNASILNGCQDINECAEPEKYSCYGGLCINTPGAFVCRCHDGSYGDPFTKGGCRSSKGLTIGLIVSGGSVLLLLGLAAPFIVRKVKLQRLISRNTDFAERMIITLQELEMATNNFDKSREVGTGGHGVVYKGIIDLHVVAIKKSKIIVQREIDEFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGSISLPWDDRLRIALEVARALSYLHSSASMPIFHRDIKSSNILLDDNLTAKVSDFGASRYISINETGITTAVQGTIGYLDPMYYYTGRLTSKSDVFSFGVLLMELLTRKKPIGGTFDNGDGLVSHFISLLSKGNLYNIIDSQVKEEEDGEVLEVATLATTCTKFKGEERPMMREVEMALESIVSKKGSFCNKNSQSSSRPDENRISALYMSIEGVTKDKTITITESSTEEEIPLSSRFSR >OGLUM08G20640.1 pep chromosome:ALNU02000000:8:23261354:23272271:-1 gene:OGLUM08G20640 transcript:OGLUM08G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCCELLESCEGKCLRSFHAIRDAGEDCQTLGYTRRQFDVFPCASANCGYFYHAKCVAQLLFTENEAKALEYTTKIASGVKFACPLHKCDVCKYGENKDEKELQFAVCRRKIAFDDFVDNGVFHFQRAWEGLLPNNRILIFCLKHDIDPKLRTPTRDHIKFPDNPAVTRKPFDVNGMNKKVVKIRLLEDCPPAPLSSDKKSFGTVNRFSSSDVITKKRKVLVSGGTKHCALSAVAREKTSVPSFIPLSSFPVIDKSTERRIHEFAQKVSSDITIEDIQKKLVVPSTHTPVSKNTDKITLGMVQRSVEAINAALHMLENGASIEDVKSVCAPSDLFQLARWKNKLNIYLAPFLHGMRYTSYGRHFTKLDKLEQIVDRLQWYIESGDTVVDFCCGSNDFSLLLKEKLEASEKSCFYKNFDLIQPKIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDRKYPPYELIWEDSHQLAGKSFYLPGSLDADNKIMEQWNMSPPPLSLWSRSDWARKHKEIAKTMGHISKNVWCLDDTQRSVVNTGHAQMANEGDDDLDNKERQEEAPLNASVIDQLLSDTYHDPTSSPGDYWTDTNGRSRQPCNYEGRNDPTHEYHAGMGCGSDMSISSSDKSDCEKQTETMSNSEHGHTGSEAHDHVGSAPVEQPTGFADCDEVTSAGIEYHSLENSPLTERPADAAGVQYKMLEDTPPPLDELVPGFSGQPIVSLPGGGRLSAGLQYQRLEDTLSRGTPEAGAGCRQLEDSLPAPPAASEVDAVVAKYLPQTTSDLPALPFVPAPRIPFPGLQFAPRNDLWQGWYPPPEFLSRGMDHPPFMHGSSGWLDD >OGLUM08G20650.1 pep chromosome:ALNU02000000:8:23261403:23262402:1 gene:OGLUM08G20650 transcript:OGLUM08G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDRNSGGGYHPCHKSFLGANWSPGKGILGAGTNDILQPLHRPQKQQGVQVVNLPAVCNQHQLQVSLWTVCLPAFDIATQLKACLLQEVKRSAAQKSQLFPCVSEPNHYGSIEKEEVVTYSIVP >OGLUM08G20660.1 pep chromosome:ALNU02000000:8:23276714:23277523:-1 gene:OGLUM08G20660 transcript:OGLUM08G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAAMMVTILLCCSSISPAFAQKHKGPPAAAAVSLPPSPAPSPAAPRHVDLADLLSVAGPFHTFLDLLEKTDVLRTFQSQANGSKDGITVFVPKDAAFASLARSATANLTSDQLKSLALYHALPRYYSLAEFNRLGGAASPVPTLAGGEYTVNVTDDMGTVHVGSMWSNPKISSSVYSTRPVAVYEVDRVLLPMQIFRTDPPMAPSPAPAPDAKPASDAASPLPGKSSSAKAKADDKKSSSSRRGAGIAGYFLALAASASAGLLLLC >OGLUM08G20670.1 pep chromosome:ALNU02000000:8:23281284:23284267:-1 gene:OGLUM08G20670 transcript:OGLUM08G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLCRVAPGDKHGTFGRRGNENDGLPLRFMLGRKKTTRGSRLSMLFSSLFSTESSATRDSLLSGRHLPHNGASAAAPSSRRWRPLHGGGTLLSGNATLMVSPRMRLISGALITMVVATYPRHDALPLALRPHKVRVARSNCHKLRVSEIQAIRHELPIDADFQSGCDESPHRTVFVLPVGESDIYVRFPTTSIRHHMDGSYNYYDKPEVVGAVSASQFVQFAGSFDRRRHTESPQEAKNKDQGLCSSSGPREHQNLEH >OGLUM08G20680.1 pep chromosome:ALNU02000000:8:23285308:23285715:1 gene:OGLUM08G20680 transcript:OGLUM08G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIVDGSTVRSFVDDEAAFNASVDGRFAALDADRDGVLSYADMSGELMALRVLDTHFGVDDGHGGADDGLYRGLFARFDRDGDGKVGLHEFRAEMKEVMLAVANGLGFLPVQMVVEDGSFLKVAVDRELAKAA >OGLUM08G20690.1 pep chromosome:ALNU02000000:8:23286452:23288931:-1 gene:OGLUM08G20690 transcript:OGLUM08G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MAPYIAFFSTLSILPASSFARITEMADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >OGLUM08G20690.2 pep chromosome:ALNU02000000:8:23286452:23288142:-1 gene:OGLUM08G20690 transcript:OGLUM08G20690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alanine:glyoxylate aminotransferase [Source:Projected from Arabidopsis thaliana (AT2G13360) TAIR;Acc:AT2G13360] MADYVYGPGRNHLFVPGPVNIPDQVIRAMSRQNEDYRSPAVPALTKTLLEDVKQIFKTTTGTPFLFPTTGTGAWESALTNTLSPGDKIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGYGADLAALDYKLRQDPSHSIKAICIVHNETATGVTNDLSAVRKILDKHRHPALLLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRTALDLIFEEGLENVIKRHNRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGHLNELQLMGALSGVEMVLKDIGYPVKLGSGVAAAAAYLSNSTPLIPSRI >OGLUM08G20700.1 pep chromosome:ALNU02000000:8:23295409:23300618:1 gene:OGLUM08G20700 transcript:OGLUM08G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDQELARYVESFVRQAAAVPGAVAAAGGISAESVARQLGPQLGLDLTPKAPLIRDILLALFSPPPQGAPFTSSAPSPSASASASAAAAGHFFSQQQQQQQQLQTFLTASQQYQHRGGAGAAAAPPSAYGVAAAAAGYRYGQPFPQDEGAHLQRLVQMHHHQQQQQQQQQMAAAAAGVAAAPPTAVESPRPAAASKKESASTGVKRRGGPGGLNKVCGVSPELQAIVGEPTMARTEIVKQLWAYIRRNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLAKHIRPLEAKKDSNRDSKKLKPVDSEPISPAETDVNQLPIILSDALASFFGTGEKEMPSSEAVKRVWDHIKSNNLEDPANPTMILCDSKLKQLFGCESLTAMNRLTGL >OGLUM08G20710.1 pep chromosome:ALNU02000000:8:23300346:23302219:-1 gene:OGLUM08G20710 transcript:OGLUM08G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALLSASTTAPCRHQQQQHRLRLLLLPSAAPPRAGTRSSKSAAAAGVRGRVRVNSLFGDGGGGDDGFRAVRRLVKLNSAVQNRSVRELLELAGDECLYFFGRISSIDVSQVSKNMFLLLHAMMLRHHVSFVLKPTENEGFDLGVKWSLEWKGKKLPWDLDCNITTNHVYRGMLLINEVNKNLDAVILTMANKLLPEGTLESNRRTIVACAIIGLVVMVVFYNMFKNL >OGLUM08G20720.1 pep chromosome:ALNU02000000:8:23313360:23315305:1 gene:OGLUM08G20720 transcript:OGLUM08G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLLLLRRRLWLRPRQRPKRQSRQPPRRPSRRRRRRRRRRSPRPRQVRRKNRSQRQRQRRRPLPSKKRRRRPSRWRRRLRRRHRPHPRWLPSRPPSELARDGEPEM >OGLUM08G20730.1 pep chromosome:ALNU02000000:8:23322064:23323146:1 gene:OGLUM08G20730 transcript:OGLUM08G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVSNDSVVMVVATATLGLGSMFGDIIGQSSISKIVLKFKNTYILRRRETDGVFWLAAVSAMATEVIDQFKIQSLRMI >OGLUM08G20740.1 pep chromosome:ALNU02000000:8:23325335:23331786:1 gene:OGLUM08G20740 transcript:OGLUM08G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGAVRLWCCGLLLMLLSGGGGGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQITQAIWSRTDKFDFAYLPILPVTNVTDFAKSSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGYLLSNLTFIKTVASGIMVPKEYIWPVTNNYIQPAKSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEFPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFDTTNVQRTSFSNRASIFKDIQRTPGIFTFNLTWAEISSSDLRPKISSPESRYYLVRNPVYQNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQSVNIDGIITDFPKTVRRYKIIYPGHIMVSLTVAACTHAVLLAVNSCTGLGVNMPSYMNPAEIGGLAQLLNGSQAQPPALAPMPVLNTSDVTEPPFPPAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >OGLUM08G20740.2 pep chromosome:ALNU02000000:8:23325335:23332223:1 gene:OGLUM08G20740 transcript:OGLUM08G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSSWGGGGGGAVRLWCCGLLLMLLSGGGGGGGGAAAQRPPAYKTLSGKAPLVIAKGGFSGVFPDSSQNAYVFALSSTSGDTTLWCDVQLTKDGVGICLRDLLMNNCTSINQAYPAGEKAYIVNGQRSKGWFPIDYTISSLQITQAIWSRTDKFDFAYLPILPVTNVTDFAKSSSVWLNIEHDIFYRQHGLNMTKYILSISKGGSVQYISSPELGFLQSISGRVNRKTKLVFRFLDATSSDPSSNQTYGYLLSNLTFIKTVASGIMVPKEYIWPVTNNYIQPAKSIVRDAHSAGLEIYASDFANDRIIPYNYSYDPLEEYLHFVGSDNFSVDGVLSEFPLTAAAAIGCFTNLNVSSKTDHGSPLIISHNGASGDYPDCTDLAYQKAVDDGADVIDCSIQMTSDGVPVCMSSINLFDTTNVQRTSFSNRASIFKDIQRTPGIFTFNLTWAEISSSDLRPKISSPESRYYLVRNPVYQNAGNFFRLSDFLTFAKDKDLSGIMIIIKNAVFMANSLGFDVVDSVTKALSDAGYNNQTTKAKEVMIQSEDSAVLVNLKQLETKYKLVYTLPSTIGDASASSLVDVKKFADAVIVDRESIFPESQGFIMKETNLVKDLRSAGLAIYAQVFRNEFVSPPWDFFSDVTVEINSYVQSVNIDGIITDFPKTVRRYKMNSCTGLGVNMPSYMNPAEIGGLAQLLNGSQAQPPALAPMPVLNTSDVTEPPFPPAAPKNAPGGAANGSTPAPGASPSGSQAAAVMRAGILPMVTALFASLLI >OGLUM08G20750.1 pep chromosome:ALNU02000000:8:23354423:23357032:-1 gene:OGLUM08G20750 transcript:OGLUM08G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHVLIAALIMVGVLEFANADKYKPTESILVNCGSDKEGQDIDGRKWLSDKDSKWLIDGEKSSIMANADFQDPSLPSPVPYMTARVFTKETMYNFSVGEERHWVRLHFYPASYHDLPAENFFFSVSTSTGITLLKNFSVYITAKALSQAYIIREFTLPPSTTGSLSLIFTPTAMNNASYAFVNGIEIISMPNIFSQAAASVDIAGNEVSTTDSSLQTIYRLNVGGSYVAPTNDSGLSRDWYDDTPYIYGAAVGVTYQANDTVQIKYPKNDPDAEYAAPASVYLTSRSMGPDPKVNKNYKLTWVFEVDGNFTYIVRLHFCELLLSKPNQRVFDILINNKTAQSGADVIGWGGQFVPVYKDYATIMPGGAGDKVLWVQLMPNVGSGSEFFDSLLNGLEIFKMSDSSGNLAGPNPDPSKLLEEAESSAQGKFKSKPSNLKATVIGGAAGGAAAFGIVAAICIVVYQSKKRKVLNNSASHSSGWLPVYGGNSHTSTSKSSGGRSAALINPNITAMCRHFSFGEIKSATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDEGEMILVYDYMAHGTLREHLYKGGKPALSWKQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDEKWVAKVSDFGLSKTGPTAMNQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLHDIIDPLLNGKIAPDCLKKFAETAEKCLADHGVDRPSMGDVLWNLEFALQMQETFENGGKTEGADSTSDSTTTSVADSMAANAAALSLISEDMDEEDIANSVVFSQLVRPTGR >OGLUM08G20760.1 pep chromosome:ALNU02000000:8:23408177:23409932:-1 gene:OGLUM08G20760 transcript:OGLUM08G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELVEVTTKPSAREVATCCASDSEGRWETGSSDSQRNGGPSDGWIHQPRDGGQRPRRRWDLSPIQSGWRRKRRIDTSDLARASPLETNPHRAAASTPQNRRLGSGFRRLREAAMVRRCVVVATGQMVWDAVAAWTPHCMFASSKIWLHQILLHALRRD >OGLUM08G20760.2 pep chromosome:ALNU02000000:8:23408994:23409932:-1 gene:OGLUM08G20760 transcript:OGLUM08G20760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAELVEVTTKPSAREVATCCASDSEGRWETGSSDSQRNGGPSDGWIHQPRDGGQRPRRRWDLSPIQSGWRRKRRIDTSDLARASPLETNPHRAAASTPQNRRIQYIFGRSRLRSRLAAAAGRWRAHPSLHVLVGWARRMVMSSPSIPPL >OGLUM08G20770.1 pep chromosome:ALNU02000000:8:23417482:23418183:-1 gene:OGLUM08G20770 transcript:OGLUM08G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMHEGDRPGDAATHWCHRRRGQRSGSAECMSRATVAKSTRHSSGDHPKQQVSIGVFLRRSVYFYGQIDARRLNFSCLQGHKRREPVYRNCQRYIPEILMPVIEYLDVYREGLYVYVVRSMHMLSVFRCYFFPVDAYAVFFLVNYMLFACFNLHMNVYVLTLIAMLVSV >OGLUM08G20780.1 pep chromosome:ALNU02000000:8:23419674:23445852:1 gene:OGLUM08G20780 transcript:OGLUM08G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRARVERSAGGVAAGSVQWRIGWLLRNLILDRTVITEGKRAFKVERKSFTGDLRNGISSAYFHYLAVVPFRNKPTFREICCTREGKNKYRGDESKRHATNLPKLSGSDTPSIVDTSTGADSFNDCPSPDNAWRCEGDNAAQASNTNFGLHRGVWQSAVMQSPSKISYAECTGTEQTYLWVGSGSVAGWGGWGAVACLSDTSAVSGIKTTTTNFLSSVGGNEGGKRGEITGLREMIVGDDGIW >OGLUM08G20790.1 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNFNGILASCYSHPDYDKDKEPRGDNAGTPFADELVTAVHINFPSMFDTIGPHIYGLHAEQNKRVLDALGEYDRQAKICADNIAKNIRRVQTCHARVSDHIVSIIRGAMQTQETAQRAGTYTEKQPTFQGEPAAMPSNQEDVPKLADTAPQSRTPPSTAVMECLVNTIVIPDSTMIFTLATMGFSKLIHLICRHLSLITQCCNLSAKQPYQSLKLSAPVDVSTMLGVSDLQRPIHLFPHTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKNPEEVTGTTSHLSKDGGDDLASLSLPSDDNLSESQLASKIDQICLRECDPGLFSLVTTFERYFPPPFREMKWPIMFVPKNPHLSGGPKDVPKCQPADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20790.2 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNFNGILASCYSHPDYDKDKEPRGDNAGTPFADELVTAVHINFPSMFDTIGPHIYGLHAEQNKRVLDALGEYDRQAKICADNIAKNIRRVQTCHARVSDHIVSIIRGAMQTQETAQRAGTYTEKQPTFQGEPAAMPSNQEDVPKLADTAPQSRTPPSTAVMECLVNTIVIPDSTMIFTLATMGFSKLIHLICRHLSLITQCCNLSAKQPYQSLKLSAPVDVSTMLGVSDLQRPIHLFPHTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKNPEEVTGTTSHLSKDGGDDLASLSLPSDDNLSESQLASKIDQICLRECDPGLFSLGNEVANNVCTKKPTPFRRPQRRTKMPASAILIQADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20790.3 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNFNGILASCYSHPDYDKDKEPRGDNAGTPFADELVTAVHINFPSMFDTIGPHIYGLHAEQNKRVLDALGEYDRQAKICADNIAKNIRRVQTCHARVSDHIVSIIRGAMQTQETAQRAGTYTEKQPTFQGEPAAMPSNQEDVPKLADTAPQSRTPPSTAVMECLVNTIVIPDSTMIFTLATMGFSKLIHLICRHLSLITQCCNLSAKQPYQSLKLSAPVDVSTMLGVSDLQRPIHLFPHTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKNPEEVTGTTSHLSKDGGDDLASLSLPSDDNLSESQLASKIDQICLRECDPGLFSLGNEVANNVCTKKPTPFRRPQRRTKMPAREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20790.4 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNFNGILASCYSHPDYDKDKEPRGDNAGTPFADELVTAVHINFPSMFDTIGPHIYGLHAEQNKRVLDALGEYDRQAKICADNIAKNIRRVQTCHARVSDHIVSIIRGAMQTQETAQRAGTYTEKQPTFQGEPAAMPSNQEDVPKLADTAPQSRTPPSTAVMECLVNTIVIPDSTMIFTLATMGFSKLIHLICRHLSLITQCCNLSAKQPYQSLKLSAPVDVSTMLGVSDLQRPIHLFPHTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKGNEVANNVCTKKPTPFRRPQRRTKMPASAILIQADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20790.5 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKNPEEVTGTTSHLSKDGGDDLASLSLPSDDNLSESQLASKIDQICLRECDPGLFSLVTTFERYFPPPFREMKWPIMFVPKNPHLSGGPKDVPKCQPADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20790.6 pep chromosome:ALNU02000000:8:23435293:23439582:-1 gene:OGLUM08G20790 transcript:OGLUM08G20790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTHDGFEQPDLIRWLMDRTDPKTMTIQISENKKIAITPWKISIVLGTPFGGEPLQYPDKKCMAAAFAQLANELGVPPSSNISVPMLKSKLEHRKDDPTAVRFFIMILTNKLLLPSTSFYITKKDAWLGMDLSRVARIDWSKAVFDLLRDSLVLWHKTDSHQSSQTYICSSVASLVLLYIDNLDVIKLGLTIDRLHTPRIQLYTKDLVEAISQGDRVKDEEDKIVFGQLNTLLVPSSYQLSVPLKSACYPKNTRKIQPQSYVLQKWVYKRTPHLKNVQKGNEVANNVCTKKPTPFRRPQRRTKMPASAILIQADLCFATCTDIVKSFSARQMAQGMFIDAFADFLSREDMENRPLSANNRIFIPTTISALINIENVTRNDTKDNYKPDALVEQLHFALGNIEMFLPVIHDDHWSLYIINHNQKSFDILDSKRYDMIGGTETQHHFPMAQKTLKRLSDGFQVFMGGKFPKFGNYRKCYIKCPKMAMGSNDCAFYVMRFMEKYNGDADKLLQSFPKVPSDKLRAQILHHLIFNRFNSVQELHQDIETFRVPDNAQ >OGLUM08G20800.1 pep chromosome:ALNU02000000:8:23441077:23442483:-1 gene:OGLUM08G20800 transcript:OGLUM08G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNGGSSVPTSSTRSGPFADITNVIDANLTNDHPAANKNGTNVPKDRENCQHNNLDCTDVTKLSATELKRKRAREWYASLTKEQKEDRNKKARDIRKRKNFESQGTENVGSIVTPVRLPFTNSADMSYSTPSEYTMPLQAEDAHGDVTNLSASELKKKRSREWYASLTKEQKEDRNRKAHEARRRRKDESQGNVPKATTSKFSAPTPMLGDISIVTAGDPAGREQWVSNDELLDTPTTKGTGNVYPYVHLQ >OGLUM08G20810.1 pep chromosome:ALNU02000000:8:23447316:23451611:1 gene:OGLUM08G20810 transcript:OGLUM08G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNGSSYGESSSDDVEAPLLLPAARGGMMAKGDRRRPAAAAAAWVRALLAHKYPAIAAGPAACAAVCAAVDLGDGHGEARNMLGVLAWVFLWWVTGAVPLAVASMAPLFLFPALGISSSDDVARAYMGDVISLVLGSFILALAVDHHRIHRRLALNVLSLFCGDPVRPSLLLLGVTGTTALVSMWIHNTACTVMMMPVATGILQRFPRGGGGDIDDCGGQEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSSSFPEQKPITFSSWMSFGLPMAIILFLALWLTLCLMSNGFCREDGVGCGLVVLWMTRNITDNIPGWGVLFHNKVGDGTVTIMMATLLFIIPSGKREGEKLMDWNKCKKIQWNIILLLGAGFAIADGFKTSGLTDILSNGLRFLKGAPTLVIVPVACIFSGIMTEFTSDDSTTTLVLPLFAELAKSIEVHPALLMVSGAIGAQLSYLLPTGSPSNVVGFSTGYITIKDLVATGLPLKIVAIAALTVLLPTLGSTIFGMDIKS >OGLUM08G20820.1 pep chromosome:ALNU02000000:8:23451699:23462816:1 gene:OGLUM08G20820 transcript:OGLUM08G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) TAIR;Acc:AT5G55500] MMPVRMYHHHHNNNNHKHRLRRIIPRVLLAVFAIYAVSFVAYLLRHQSPHPHPHPHPAADPERDAVDVAGGGGGGGGAVDRVRVEAPSSQKPWPRLPSFLPWTSASVRPPPPPKHSCEGYFGNGFSRRVDVLPARGGGGGGGWFRCHHSETLRSSICEGGRLRLDPGLIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAAAAKRTGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEGWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLKYHAINLPGSYARVADVISELSNILKGLGC >OGLUM08G20820.2 pep chromosome:ALNU02000000:8:23451699:23462816:1 gene:OGLUM08G20820 transcript:OGLUM08G20820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) TAIR;Acc:AT5G55500] MMPVRMYHHHHNNNNHKHRLRRIIPRVLLAVFAIYAVSFVAYLLRHQSPHPHPHPHPAADPERDAVDVAGGGGGGGGAVDRVRVEAPSSQKPWPRLPSFLPWTSASVRPPPPPKHSCEGYFGNGFSRRVDVLPARGGGGGGGWFRCHHSETLRSSICEGGRLRLDPGLIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAAAAKRTGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEGWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLKYHAINLPGSYARVADVISELSNILKGLGC >OGLUM08G20820.3 pep chromosome:ALNU02000000:8:23451699:23458086:1 gene:OGLUM08G20820 transcript:OGLUM08G20820.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) TAIR;Acc:AT5G55500] MMPVRMYHHHHNNNNHKHRLRRIIPRVLLAVFAIYAVSFVAYLLRHQSPHPHPHPHPAADPERDAVDVAGGGGGGGGAVDRVRVEAPSSQKPWPRLPSFLPWTSASVRPPPPPKHSCEGYFGNGFSRRVDVLPARGGGGGGGWFRCHHSETLRSSICEGGRLRLDPGLIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAAAAKRTGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEGWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLKYHAINLPGSYARVADVISELSNILKGLGC >OGLUM08G20820.4 pep chromosome:ALNU02000000:8:23451699:23458086:1 gene:OGLUM08G20820 transcript:OGLUM08G20820.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,2-xylosyltransferase [Source:Projected from Arabidopsis thaliana (AT5G55500) TAIR;Acc:AT5G55500] MMPVRMYHHHHNNNNHKHRLRRIIPRVLLAVFAIYAVSFVAYLLRHQSPHPHPHPHPAADPERDAVDVAGGGGGGGGAVDRVRVEAPSSQKPWPRLPSFLPWTSASVRPPPPPKHSCEGYFGNGFSRRVDVLPARGGGGGGGWFRCHHSETLRSSICEGGRLRLDPGLIAMSRGGEPLDQVMGRAEEEELPKYEPGALQVEAAAAAAKRTGPLVEAGFLDAYVPTGGIGMHTMRSLLDSGRVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSNVTYVKNFSGPVCFRHAILSPLGYETALFKGLSESFSCEGASAESLREKPDHQKTARLSEFGEMILASFDLLRDDILSSKTSNGLNVLFVRREDYLAHPRHSGKVESRLSNEKEVYDAIEGWAKGQKCKINVINGLFAHMNMKEQLRAIQEASVVIGAHGAGLTHLVSATPDTKVLEIISSMYRRPHFALISHWKSLKYHAINLPGSYARVADVISELSNILKGLGC >OGLUM08G20830.1 pep chromosome:ALNU02000000:8:23469898:23473472:1 gene:OGLUM08G20830 transcript:OGLUM08G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYTSFFKNPYYYYCTSASSFPTAPAAAAAAAAAAHLPPPLPPPYAALYPTAGGVGVGAHHHHQYPPAAFFHPPLVHQQHQAPPSPPLREALPLLSLSPTPARRGGVVDAAADSDSDDDDDGDCCYHHLQDQEGAAAGSTATPAAAAARAPLFADLNCIPTCCGDDNDGGDPMDVEIAGTTADIDAAVALRIGLPAGGTEADLLSGLTGAGVEHEEEEEDCKVDGGGSGGDDEVVPLGFSSTPIGKLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHAHTALDADDDDGAVSDADAVVRPSSSLQPPPR >OGLUM08G20840.1 pep chromosome:ALNU02000000:8:23485940:23491160:-1 gene:OGLUM08G20840 transcript:OGLUM08G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indigoidine synthase A family protein [Source:Projected from Arabidopsis thaliana (AT1G50510) TAIR;Acc:AT1G50510] MGVAVSPEVEAALARGGAVVALESTIICHGMPYPKNLQTAMEVEAVVRENGAVPATIAILNGVPHVGLSGEQLKSLAVSGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRNGEQTMDISSDLTELGKTPVTVISAGVKSILDIPRTLEYLDDTLCKLQSQDLIQETQGVTVAAYKTNEFPAFFTEVSGCKVPCRVDSPEECAKIIYANKNLHLGSGILIAVPIPKEHAASGNAIESAIQKALKEAENFSLAYSDIALVKNNALVGAKIAVALSDLHQRVTNSKDFGGLPYRVHVQRQARSETWGILFILTMASVCSIALIINE >OGLUM08G20850.1 pep chromosome:ALNU02000000:8:23492157:23492888:1 gene:OGLUM08G20850 transcript:OGLUM08G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLASLLSPSPLPTTTTSSTSPRAVRLAPAKPLAATLAAVAAAGLLALSPATAAAAAAGEAEFKVYYGTAASAANYGGYGGNASKKDAAEYVYEVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEREYLTFLAGFRALAPVGAVLDNLALSDVGLQDQIASADGVLSTERRDGGGQLYYEYEIAGAGAHSLISVTCARNKLYAHFVTAPNPEWSRDEAVLRRLHQSFKTVDPAGPPLASS >OGLUM08G20860.1 pep chromosome:ALNU02000000:8:23495081:23503664:1 gene:OGLUM08G20860 transcript:OGLUM08G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAAGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPERASEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSCEQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSSSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVSNVGIYVFSSHMVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >OGLUM08G20860.2 pep chromosome:ALNU02000000:8:23495081:23503664:1 gene:OGLUM08G20860 transcript:OGLUM08G20860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDAAGGGGDGGRYFKADLTGAGVVQLSERVREKLREFVDDYTNNTLVEYVILLLEGGKRKDEAIKELDVFLGKDSRAFISWLWDHLSVNLHLYVQTQEQLQVDNKDDEAPNELPGEQKSSELQLRSKDQTHPERASEPSTTRSRTKRDWKGIGREGNENFPLRSVLKDILHGEEKRSQKVNEIRHPPSSNQRNGRKRDRDDEPQQIKRDLPLRRDVGASCRLLKFAVRDAVKAVQQTSSSTEPSSKRLRSVVSTSSADSLHGKRIETSTEGHLYDKKPERTRQILQVPGAALALRAAAEAAADSTKVRSTGSVFSRLGQGNAVNQTPRSCEQQRDYEDFKPATTADDHDSDRYDNDDEISGDITLEDGEAEMNVDSTSDDDVSRDDGITRYGSSDSQVAAYPSVVEKKDVFVKCSVEPETSTMRHSNLIKEEQPGSSSVISMSKTVVVPVNANNLEPSNYETPKDVHVVEKTDITPMNATVTSLTSNIKELAHGEVQKDSQRSAIASSVTSSYSTAHPTEDADSRTLYVHFGATKDALSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMARILKVVRRSSHEAAHFYGWPGGGRTSMYARHGRMAYPRGGLPGSTFRGRAPMIAGARSLQWKREPSVTDSNTGATVALPSAEQVLPPAT >OGLUM08G20870.1 pep chromosome:ALNU02000000:8:23510544:23511056:1 gene:OGLUM08G20870 transcript:OGLUM08G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQREKKVEEPTELRAPEMTLCANSCGFPGNPATNNLCQNCFLAASASSSSSSAAASPSTTSLPVFPVVEKPRQAVQSSAAAAVALVVERPTAGPVESSSKASRSSSVNRCHSCRRRVGLTGFRCRCGELYCGAHRYSDRHDCSFDYKSAARDAIARENPVVRAAKIVRF >OGLUM08G20880.1 pep chromosome:ALNU02000000:8:23522892:23524163:1 gene:OGLUM08G20880 transcript:OGLUM08G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLDRARALRVLGRGAMGTVFLVEARYGGFRYALKVFDKRSAAATRHDAERRARWELSVLSRLAHPHLPCLLGSAETPGLLAWAVPYCPGGDLNELRYALPDRVFSPAAIRFYVAEIVSALCELHASGVAYRDLKPENVLLRADGHVTLTDFDLSRLLPPKTAAPSSASPPPRMFQGGGHRPRVSARSEIPLFSHATKPDPSPPAANPSAKQQLQSLVRFIMKGDRSELSKKAKSARVSPVSRKPASFASSWGKSFSFVGTEEYVAPEMVRGEGHGLAVDWWAVGVLAYEMAYGRTPFKGKNRKETFRNVLLKDVEFAGDSRRRLPELTDLISRLLERDPMKRLGYQGGADEVRAHPFFAGVAWDMLDVVSRPPYIPPPADDGDEVVGDGEDFSIREYFDKLHQPPPPESESSSSEFSSEF >OGLUM08G20890.1 pep chromosome:ALNU02000000:8:23542524:23542973:1 gene:OGLUM08G20890 transcript:OGLUM08G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISAAAAGMLRARLRGGGGDGAGRWTTPGHEERPKGHLFNRPPPGESRKWEDWELPCYVTSFLTVGILGVGLSAKPDLTLETWAHHKALDRLQEKDLAAAGQVPREL >OGLUM08G20900.1 pep chromosome:ALNU02000000:8:23552308:23557826:1 gene:OGLUM08G20900 transcript:OGLUM08G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKMVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLVEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLREVWLERQ >OGLUM08G20900.2 pep chromosome:ALNU02000000:8:23553354:23557826:1 gene:OGLUM08G20900 transcript:OGLUM08G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKMVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLVEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLREVWLERQ >OGLUM08G20900.3 pep chromosome:ALNU02000000:8:23552308:23557823:1 gene:OGLUM08G20900 transcript:OGLUM08G20900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKMVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLVEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLREVWLERQ >OGLUM08G20900.4 pep chromosome:ALNU02000000:8:23553376:23557826:1 gene:OGLUM08G20900 transcript:OGLUM08G20900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDNGEVRDEKGVGSDYEPARVSGVSKKLMRKDTRENSPRMAKSSGSRQVQNKLQHKASNNIQSRSPKPRKVVNAAKSAEVRRSDTVRVPSRAPSELSEETDDIVSEAGTVDDSKGNEEAKEIDVLDEAPHCDQSTGTDDEIPEIEEKIVDDEKPVVYQRNEELQSKIDKLEQELREVAALEVSLYSVLPEHGSSAHKLHTPARRLSRMYIHASKFWSSDKIASVAKSTVSGLVLVAKSCSNDASRLTFWLSNTVVLREIIAQTIGISCQSSSTITAINMNGSAKSLDGRSMPMLWTNSSSGKQTKFTGMQVPDDWHETSTLLAALEKIESWIFSRIVETVWWQALTPHMQTPVEGSSTPKTGRVLGPSLGDQQQGTFSVNLWKAAFHDAFNRICPLRAGGHECGCLPVLAKMVMEQCVGRLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDNFGIDADDSEEDGTDTGSERSAAESKSFQLLNELSDLLMLPKDMLVEKSIRKEICPSIGLPLVTRILCNFTPDEFCPDPVPSIVLEELNSESLLERCTDKSATSAFPCIAAPVVYRPPSLLDVAEKVADTGGNAKLDRRASMVQRRGYTSDDDLDDLDSPLASLIDKSAPPLLSKGIAHFTAQRGVSMENARYTFLREVWLERQ >OGLUM08G20910.1 pep chromosome:ALNU02000000:8:23559801:23561038:-1 gene:OGLUM08G20910 transcript:OGLUM08G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKGGAARKEEVVTREYTINLHKRLHGWYVLPTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEVPPEGLKGLGTKVVDETD >OGLUM08G20920.1 pep chromosome:ALNU02000000:8:23561442:23561890:-1 gene:OGLUM08G20920 transcript:OGLUM08G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRCAPAAASLALYSHIREASPPTPFIFSLLLAALASSSSPPSYPSTGFACLAAACLAHVQAFKCNVLAHPVITPPDGAMEIAAVYDDCACARAVEVRRGGKEEIMSTTEREREGAIPPQALPLAGEGDEVGNGYEEGGRG >OGLUM08G20930.1 pep chromosome:ALNU02000000:8:23568305:23571866:1 gene:OGLUM08G20930 transcript:OGLUM08G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKNEVKKKRNSQCTDAGSDSGGRAVKIGTGSEGLSMSPPMEWGIWNEGVEKPPRRRRLDKRPYYLLRIVSTSTSVHTMKLTNATECVNLPGGFDCLCFDGTEGNPRKKGGCLPVKHYLLEFWVCTSGLWLVVPQLFCSSQLVLPSY >OGLUM08G20930.2 pep chromosome:ALNU02000000:8:23571587:23573092:1 gene:OGLUM08G20930 transcript:OGLUM08G20930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQKLKRMREIFFKQNHGLLLQRLMSQNMDIGERMVLGLEVLEKATDNFDKTREVGGGGHGVVYKGILDLKVVAVKKSRIIVQREINDFINEVAILSQVNHRNIVKLLGCCLETEVPLLVYEFISNGSLDHHLRVDRPISLSWDDRVRIVLEVARALSYLHSAVAVPVFHRDIKACNILLDDHLTAKVSDFGASRYIPIDQTEVTTAIQGTIGYLDPMYYYTGHLTDKSDVFSFGVLLIEVLTRKKPMYRTDQGDSLILHFASLLRQGHLADIIDAQVMMEGDGEVQEVASLAAVCTKMDAQDRPTMREVEMVLENVRVNMKKLASDGTKIKLGIGASYVGYRGHGRGGSR >OGLUM08G20940.1 pep chromosome:ALNU02000000:8:23588182:23589324:-1 gene:OGLUM08G20940 transcript:OGLUM08G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAPSPAAPPLLLAALAALAVVASASAAACSAGDRDALLAIRAALSEAHLGVFSSWTGTDCCTSWYGVSCDPTTGRVADLTLRGEADDPVMAPAGRPASGVMSGYISDAVCRLGRLSSLILADWKQISGPIPPCVATALPYLRILELPGNRLTGEIPRSIGSLSRLTVLNLADNLITGGIPSSITSLASLKHLDLTNNQLTGGIPDDVGDLTMLSRALLGRNKLTGAIPTSVGSLTRLADLDLAENGLTGGIPDSLGSAHVLTSLYLGGNRVSGRIPASLLQNKGLGILNLSRNAVEGAIPDVFTAESYFMVLDLSRNRLTGAVPRSLSAAAYVGHLDLSHNRLCGSIPAGPPFDHLDAASFASNSCLCGGPLGKCT >OGLUM08G20950.1 pep chromosome:ALNU02000000:8:23596697:23600181:1 gene:OGLUM08G20950 transcript:OGLUM08G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGIVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKNAPER >OGLUM08G20950.2 pep chromosome:ALNU02000000:8:23596697:23600181:1 gene:OGLUM08G20950 transcript:OGLUM08G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGIVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDADPGIDS >OGLUM08G20950.3 pep chromosome:ALNU02000000:8:23596697:23600181:1 gene:OGLUM08G20950 transcript:OGLUM08G20950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLAAANTPREILLLLLPRRSEIEAHTPPFTSPSLLLAAQGKGKGGGILAYIGPRGASASSLLLLVLFAGIEGNSFFFLRWIWFGWFAADIGWTGCGSTHLQSICGDLLNFHGGLTQSLGLRKCSRSQTSSYNVKLGIVDCSLHRNIKSSDRPSLRYFVSLVGRQFRCGLSGKEGSLNMKLDMPSRDNFSSMSWKWRGLHQKIGGTTSGLCLGFAVSGIANAEVPVEISISNSAASTSSTHGKEVYTGIPGDGRCLFRSVIHGACIRAGRPIPNEDLQRKLADELRAMVADEFVKRREESEWFIEGDFDTYVSHIRHPHVWGGEPELFMASHVLEMPITVYMHDEDAGGLIAIAEYGQQYGKEDPIQVLYDGFAHYDAVQIPAKNAPER >OGLUM08G20960.1 pep chromosome:ALNU02000000:8:23605284:23607944:1 gene:OGLUM08G20960 transcript:OGLUM08G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKAPFLLLEDDNKFVYSNRPYRWVFAISNDTIDEAMQTKMATTQMYLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMELMTNQLMEVNIEGVRCSQLTSQLKKRLPCLERLRTINPENEAETSSSSTDINDIFVDKTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVGRGMKDVKTSVISLEGCTQLDNLFLRGLLNLVELDLSGCAIKVLDFGTMVTDVPCLKRLFLLGCEHLRAIRWGPNGGRSTLLELLCIDTRPARKVLGCARPSLAVEHKSFRLQVHACIVDARLARSLLAPIIYYCYFNISITSSMASSSGVVQPEETSKKMTEPSGQKHCGVAGIYGDVFSKVGDTVTTMEAFPQPPTQQLDRHMEIGDGSHSVESEVKQAYESNNLIQLMAWYTGSLHVHDDSACRHALAAGTWYYLRWCRVERCSNLDVVFPPAADELGRLEIIWASDLLKAHCIWSRGIKSYCYLQSLQHLHLRSCPSLRFALPMARPSFPSLETLHIIHCGDLRHIFAPDTERQPTSIEFPKLTTIHLHDLPSLQQICEAVEMVAPALETIRIRGCWSLRRLPALKGRSVDEEKPAIEMEKDVWDALEWDGVDAGHHPSLYQPPQHSRYHKHKRMPRGTLLGIWGFRCAHSVFCVCSAE >OGLUM08G20960.2 pep chromosome:ALNU02000000:8:23604063:23608326:1 gene:OGLUM08G20960 transcript:OGLUM08G20960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLGIPDGFFEQCSSLCVLVLSCCAFNFVSPPFLHCQTLKFIGLDRCKSNSTVELQGKWACLQNLRVIDLRYTDWVEIFHEEKMELMTNQLMEVNIEGVRCSQLTSQLKKRLPCLERLRTINPENEAETSSSSTDINDIFVDKTDLQLLDLSGNKEMKNLPTSISNAGQLKVLILDGCDALEDVVVPNRLPSSLRSFSFDGYGSAAPSRASTIELPLQSCRPVGRGMKDVKTSVISLEGCTQLDNLFLRGLLNLVELDLSGCAIKVLDFGTMVTDVPCLKRLFLLGCEHLRAIRWGPNGGRSTLLELLCIDTRPARKVLGCARPSLAVEHKSFRLQVHACIVDARLARSLLAPIIYYCYFNISITSSMASSSGVVQPEETSKKMTEPSGQKHCGVAGIYGDVFSKVGDTVTTMEAFPQPPTQQLDRHMEIGDGSHSVESEVKQAYESNNLIQLMAWYTGSLHVHDDSACRHALAAGTWYYLRWCRVERCSNLDVVFPPAADELGRLEIIWASDLLKAHCIWSRGIKSYCYLQSLQHLHLRSCPSLRFALPMARPSFPSLETLHIIHCGDLRHIFAPDTERQPTSIEFPKLTTIHLHDLPSLQQICEAVEMVAPALETIRIRGCWSLRRLPALKGRSVDEEKPAIEMEKDVWDALEWDGVDAGHHPSLYQPPQHSRYHKHKRMPRGTLLG >OGLUM08G20970.1 pep chromosome:ALNU02000000:8:23604893:23618115:-1 gene:OGLUM08G20970 transcript:OGLUM08G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALAGDGSASPSSAYIVAFEEALKGMGLEEVRCPDDLQATELICGRRKDDVQVGAPLHAAPPEACFTSLSTLWLPSPISMWRSSCWVGGCGKASIVVTERRRYKVEGTTGENQHAKATALFKKTIWYSKEDREYPR >OGLUM08G20970.2 pep chromosome:ALNU02000000:8:23604893:23618120:-1 gene:OGLUM08G20970 transcript:OGLUM08G20970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRLLLRSPEMAPPRRAVGLEEVRCPDDLQATELICGRRKDDVQVGAPLHAAPPEACFTSLSTLWLPSPISMWRSSCWVGGCGKASIVVTERRRYKVEGTTGENQHAKATALFKKTIWYSKEDREYPR >OGLUM08G20980.1 pep chromosome:ALNU02000000:8:23621698:23625198:1 gene:OGLUM08G20980 transcript:OGLUM08G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARAAAVAVVVVLGLEVAAALNTDGLALLALKFAVSEDPNGALSTWRDADNDPCGWSGVTCVDGGGGRVAGVELANFSLAGYLPSELSLLSELVTLSLPYNQLAGQIPVAITALQKLAALDLAHNLLSGQVPAGIGRLVSLSRLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEFGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDDNPRLCGFPLKVECAGEKEDPRIPEANGGMNPGAAAAVGRPPRRRSSPTVPVLAAIVVVAIVAGVILQWQCRRRCAAATARDEEKESAKDKSGAVTLAGSEERRSGGEEGEVFVAVDDGFGMELEELLRASAFVVGKSRGGIVYRVVPGHGPAVAVRRLSEPDDGDGGSDSGWRRRRAFETEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLSNGSLHSALHGGPTASPTPLPWSMRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGGAHKAAAAQSKKLGGAACALRGGGGALAYVAPELRTPGGAAAAATQKGDVFALGVVLLEAVTGREPTEGEGGLELEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDAELRPRMRAVAESLDRINA >OGLUM08G20990.1 pep chromosome:ALNU02000000:8:23626173:23628064:-1 gene:OGLUM08G20990 transcript:OGLUM08G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGLRLRALGLCRGNRGAFPAAHGGGGGRLHPRRPRPAGAFCSLATTGNGAAAAAGPVGSGAEVARAKRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGVRYVVVKIHDSWDEFRDYFMKQDGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQSALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGVALYEAARQLNYEQLHYQPELPEEAQGLFPAEDIYA >OGLUM08G21000.1 pep chromosome:ALNU02000000:8:23640795:23644611:1 gene:OGLUM08G21000 transcript:OGLUM08G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPAMSSSGLLRYRSAPSTLLAEFCDDFLPPAAAPRAASPDADNVFSRFLADHQIRDKSPPATATAAAAHFPDDPTMATQHHHQQQQMMFQHHPQQMASVEGLYRTVSSTGIDAATAAAGGGGGGLLRQSSSPAGFLNHLNMDNGYGSMLRAGMAAAAGGGGGVGFRNGANAAAAADSPGGSGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGGGGGGGRGYLSGYPMSSGWEESSLMSDTNISGVKRQRDSSEPSQNGGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >OGLUM08G21000.2 pep chromosome:ALNU02000000:8:23640630:23644611:1 gene:OGLUM08G21000 transcript:OGLUM08G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSPVSKDLNLPVQPPAMSSSGLLRYRSAPSTLLAEFCDDFLPPAAAPRAASPDADNVFSRFLADHQIRDKSPPATATAAAAHFPDDPTMATQHHHQQQQMMFQHHPQQMASVEGLYRTVSSTGIDAATAAAGGGGGGLLRQSSSPAGFLNHLNMDNGYGSMLRAGMAAAAGGGGGVGFRNGANAAAAADSPGGSGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGGGGGGGRGYLSGYPMSSGWEESSLMSDTNISGVKRQRDSSEPSQNGGGGGGLAHQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQVKGLNDSRANCTCSAKHQQYSG >OGLUM08G21010.1 pep chromosome:ALNU02000000:8:23670233:23681364:1 gene:OGLUM08G21010 transcript:OGLUM08G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVCWLLCAALAAAMACYYFTGTTRRRSRRLPPGPTPLPVIGNVLSLRGNMHHALARLAGEHGPVMALKLGLVTAVVVSSAGAAREALAAARGVRERKVRDIVGHLYRRAGEVVDVGKVVYGGMLNLVSSALFSADVVDVGEESAHGLQEAVEEIILAIAKPNVSDLVPFLRRLDLQGWRRWAEKRYDKVFGIFDSVINSRLADASTGKHADAGAGDFLDSLLDLMSAGKIARDDVTSIMFDLFGAGTDTIAITVEWAMAELLRNPSIMAKARTEMEDVLAGKKTIEENDVEKLPYLQAVLREAMRLHPAAPILVPHRAEEDGAEIGGYAVPKGSTVIFNVWAIMRDPAAWERPEEFMPERFLDMAEEVDFRGKDYKFIPFGAGRRLCPGLLMAERVVPFILASLLHAFEWRLPAGVTAEALDLSEKFTTVNVLVTPIKAIPILASDQI >OGLUM08G21020.1 pep chromosome:ALNU02000000:8:23702622:23702995:1 gene:OGLUM08G21020 transcript:OGLUM08G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAVPILASMFHVDHN >OGLUM08G21030.1 pep chromosome:ALNU02000000:8:23703416:23703884:-1 gene:OGLUM08G21030 transcript:OGLUM08G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALWVVTASRWLVREGETGHTVDAAAAKEDDAGHYAAAGSGGGGGAEEDADDHREKRGTPTPTTTMLAARGLPPPPPLPPSSPPTGRCALARLSPPPLRASAPLRFAGRRTLARRLRRPPLSPARRLPFLATYPRQREVKKKRKKKGERES >OGLUM08G21040.1 pep chromosome:ALNU02000000:8:23711915:23712103:1 gene:OGLUM08G21040 transcript:OGLUM08G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVVPFILASLLHAFEWRLPDGMSAEELDVSEKFTTANVLTVPLKAVPILASSASELQAS >OGLUM08G21050.1 pep chromosome:ALNU02000000:8:23712539:23715141:-1 gene:OGLUM08G21050 transcript:OGLUM08G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEATTSSSSSTHHPPHATAAVPAPATRHEIQAAIAKATELRALHAALLQGQGAAAANAGSAYSRSPAASLIRLPPGASPALSKAAAAAVAEDYPVFTPTYDEEALSGMNYIRQDNRSLSENWSGIGLDHEGQEDEVAFSDFDNHNTFSSSNSELHFSSSNEHRRNRMGCRNHPSFLQPALSTDSFIKSASKRTDLAEFKAVTTCNTCKPATISRHPEADVDALKNLSSRVPPQSNYHPSICSRPRQKGPHILSWLLPKSKRKVKSDMSPNTVECENMSQLLKEWGVFSLESLKKELAEANENRDAALQEAAEMKSSLGELTTKLVSLEGYCSELKKALKQATSTKNMISHSKRSARSLAVSRDNSMPVSHEVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQVMLTDKHPKVVLYHLEALMNQAMYQDFENCTFQKNGPPKYLDPKEDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSMLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLVILRVEENRAFDQMYMEDIHLDKQRSQNPCQVKIMVTPGFYVQDRVLKCRVLGRYS >OGLUM08G21060.1 pep chromosome:ALNU02000000:8:23748300:23749446:1 gene:OGLUM08G21060 transcript:OGLUM08G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFFTTALLLQSFLAFLLLLMIWSKRRSRDYPINKIKEGDIRMLVYEHMVNRSLDAHLFRNDGTILNWSTRYQIAVGVAKGLSYLHESCHDCIIHCDIKLENILLDVSFVPKVADFGMAKLLGRDFSRVLTTMRGTVGYLVPEWISGVAITQKVDVYSYGMGDVGSLVDHQLQGDINMEEVERACKVACWCIQDEDFNRPTMGDVVQVLEGLVEPDMPQVTRLLESILGDVQEFKFDQISGISTE >OGLUM08G21070.1 pep chromosome:ALNU02000000:8:23750793:23751110:1 gene:OGLUM08G21070 transcript:OGLUM08G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKMFLGRVLMQSVVANANAKPLAFNWFLPSPDRWSIRASQDGVAMDGDKMQLPSRKTEIGTKGPEAEAVKCPGLGWTVWPAVSRDPVRAILHGPHENHSQSC >OGLUM08G21080.1 pep chromosome:ALNU02000000:8:23751678:23753124:-1 gene:OGLUM08G21080 transcript:OGLUM08G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAEKYTAEKIEDDPTGCEVEWVERTLAAPVVGAQGRGRGDHGSYTQVHEECLHEPTLGIADGREVGKKVVVSLAARSPPLAMPLCVSVVGLSMTLAINREKRLRMEEADRCNEQEGYHPSMLAISSHRQKWHTIKNPFSPITSKYKPKKRMNNCQT >OGLUM08G21090.1 pep chromosome:ALNU02000000:8:23754665:23770886:1 gene:OGLUM08G21090 transcript:OGLUM08G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRINSIMNKNLIRKPKWIIVDLGKVENSPDEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >OGLUM08G21090.2 pep chromosome:ALNU02000000:8:23754984:23770886:1 gene:OGLUM08G21090 transcript:OGLUM08G21090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRINSIMNKNLIRKPKWIIVDLGKVENSPDEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >OGLUM08G21090.3 pep chromosome:ALNU02000000:8:23754665:23770886:1 gene:OGLUM08G21090 transcript:OGLUM08G21090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPLTDHDAVNTGCEFDCQRSSDQMCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRINSIMNKNLIRKPKWIIVDLGKVENSPDEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >OGLUM08G21090.4 pep chromosome:ALNU02000000:8:23754986:23770886:1 gene:OGLUM08G21090 transcript:OGLUM08G21090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCCEHSVAQFSSDQQLNPEENLALYCKPLELYNFIRHRAIENPPYLQRCLLYKIRAKQKKRIQITISLPGSNNKELQAQNIFPLYVLFARPTSNVPIEGHSPIYRFSQARLLTSFNDSGNNDRAEATFVIPDLETLIATQAYGLTFILVSRGTKKNKGRTGQNLCENDCSEKHVDYSSLRKLAGKCFWGKIPITLLNSSLETCADLILGHIVESPISICMSPGYLEPTFLEHDNCLSFCSRKADAMVPYQLQVKVSAAEAGAKDILKSPYNSFSYSDVPPSLLLRIVRINSIMNKNLIRKPKWIIVDLGKVENSPDEVTEDFTCPFCLVRCGNFKISEDYQAVNVTLKKDNMRTEFVAAEVDNSHRIFYYRSRFKKSRRTEILPVARADAHIMESGSPEETQAESEDDVQEENENALIDDSKKLHGSNHSQSEFLAFGKSRKLSANRADPRNRLLLQKRQFIHSHKAQPMTFEEVLSDNDSEDEVDDDIADLEDRRMLDDFVDVTKDEKRIMHMWNSFIRKQSILADSHVPWACEAFSRHHGEELLENSALLWGWRMFMIKLWNHSLLSARTMDTCNRILDDIKNERSDPKKQ >OGLUM08G21100.1 pep chromosome:ALNU02000000:8:23776314:23777348:1 gene:OGLUM08G21100 transcript:OGLUM08G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEEAALAAAVVKGKRSKRQRAHAAAMVPIAASAATAEEESMESSLSLSGGAAAAEGSSTTSPLMTTATTARGDEAVSGCVTEEEEDMALCLMLLASGGHGERAPDAEAVAAKEAKFRSRRPADGAGAGEFVYECKTCSKCFPSFQALGGHRTSHKKPRLVALPATTEPAADDKVKPAIPEAAAAAAEEKPPKPSPPRPPASRPIATDPTVLAIPVIPKQEVLDATNAAAIASVSKQPRVHECSICGAEFASGQALGGHMRRHRPLIPASASSAVVSVLDAVDAPRQKEKSLLELDLNMPAPCDDAAAETTTSSAATSPAFAFAVSDRSPLLVPAALVGCHY >OGLUM08G21110.1 pep chromosome:ALNU02000000:8:23793319:23794533:-1 gene:OGLUM08G21110 transcript:OGLUM08G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPPPPVAVAKTGFSDLQPDLVGEIHGRLSFLDRLAFVAVSSAASRDALKPEPPWLVLRGETPETVTVFSLADRRSATVRASDPAMRGHVIIGSSGGWIVTADKRGRMRLANPVTGEQGELPAITTIPFVNATSPGGHHFVMDMEPFVHIRYGGEHESWPHPYGTFTHTAGDVRLWFYRKVVLSASPRPGDYAAMLLLDSYFGAPAFATAGDGRWRVAPSRDGVEDAIHHGGKFLSVTYTGIVEAWERDGVSGEFTGKAVTTPISCPPQRRKYLAAAPGGRLMIVLKNTNAGVKKGYFEVQVFDEMTQRWEAAEDIGELAILVGINSSLCVSTAKHPELKGGCVYYSDDEIGKAWLRREYGYSNSKPNVGVYSLKDGKVMIIPGLGEHLNWPPPAWFTPSFP >OGLUM08G21120.1 pep chromosome:ALNU02000000:8:23804624:23806329:-1 gene:OGLUM08G21120 transcript:OGLUM08G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGWVMDIIWHTLLLGGDAMGGIWSSWSTEILLGLSFVAQLVLTVTAGFRWRGAGSRMRCVIWFSYVSADYVATTALGNLSISRTAGSRRLAAFWAPFFLLHLGGPDSITAYELEDNQLSARHVLELVLRVAGAVYIVYKSTSGSWALIPASWLMLFVGVAKYAEKTMALRRANLANVRRTVERERRLQRRRSRTTKANFSFAGDDDEGGLLMTAHTLFPICKNSMVDSSVETASNTDDAAIVHAKETLFREENYKNVFRVMEMELSLMYDFLYTKAAVIHTWHGYAIRAVSPVFTAVSLVLVELSNVAGHHRRSDVVITRVLLVATFLLETVSLLRSLASSWTGFWLDRELRPVWGCGWIRHEVLCRSRWTWLRRQVASICRLAGAKDHRRWCGKMGQLSVLQLIITGGASEREDRSWDKECERYSKEKTIVVPQDVKELFFRRLLGQLINLRKRREDTGADMEMELRNMVVNMRTKRGHVILEVWVEMLFYAGYRCSKESHAKQLSQGGDLTTIVWLMAEHVGLFLVNKTSKGAEEDYWNTRKRRYSRQPASQNV >OGLUM08G21130.1 pep chromosome:ALNU02000000:8:23818960:23820183:-1 gene:OGLUM08G21130 transcript:OGLUM08G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPPLPPLPAATTGFSDLQPELMGEIHGRLSFLDRLAFAAASSAATRDAFKPEPPWLVIPGDTPGTATVFSLADRRSAAVRAWRPAMWYSVIIGSSGGWIVTADKRGWMRLANPVTGEHGDLPAITTIPFINATSPGGHHFIMDMESFVHIRYRGELESWMYPYDTVTHIAADDMWLSFYRKVVLSASPRPGDYAAMLLLDDYFGTPAFATAEDGRWRVVPSVDGVEDAIHHEGKFLSVTYTGIVEAWERDGVSGEFTSNVVTTRMSDGGDDHRKYLAAAPDGRLMIVLKNTKRMKQQNHFKVQVFDEMTQRWEAAEDIGELAILVGVNSSLCVSTAKHPEMNAGCVYYTNDDMWEASMRRDFWCSNRKPNVGAYSLKDGKEMSIPGLGDHLSWPPPAWFTPSFPR >OGLUM08G21140.1 pep chromosome:ALNU02000000:8:23835049:23837985:-1 gene:OGLUM08G21140 transcript:OGLUM08G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAFSDLPPELMAKIHDNLTFLDRLAFAAAISAPSRDALKPEPPWLVLPGETPETATVFSLADRRSATVRASGPAMRGHVIIGSSGGWIVTADERGRMRLANPVTGEQGDLPAIATIPFLKADQGGRYFVLIMEPFVQLRYRGELESWPLRPHPYGTFTLTDGDMRRWFYRKVVLSASPRPGDYAAMLLLGNYFGTPAFATAEDGRWRVAPSRDGVEDAIHHKGKFLSVTYTGTVEAWERDGVHGEFTSKVVTPRMANGGDHRHCSKYLAAAPDGRLMIVFDETTQRWEAAEDVGDLAILVGINSSLCVSTTKHPELKAGCVYYTEDKIGKASLRRGARCSSWYHRGDESHNDDSDRNVAMYSLKDGTAESIPELGEHLSWPPPAWLSEWVTKIQEKH >OGLUM08G21150.1 pep chromosome:ALNU02000000:8:23839772:23841315:1 gene:OGLUM08G21150 transcript:OGLUM08G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPPSSSSSISASRLTQPSPSSTHGDACREQDAAVAGPGRRGATVAPHPRWGFEANLGSHVDLQLLGCRFVTDCDYSGCEISGDEDSNRRLLLHWSSKHDESNNDCDTSAGSANHLTI >OGLUM08G21160.1 pep chromosome:ALNU02000000:8:23843616:23845868:1 gene:OGLUM08G21160 transcript:OGLUM08G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGWVMGIIWHVLVLARNTIVDVWGGWSMEILLGASFLMQLVLAFSAGFRWRGDSPTLRNVIWLFYVSGDFVATLALGHLSVSGTSGKRRLVAFWAPFFLLHLGGPDSITAYELEDNQLSARYVLELVLRVTGAVYIVYKSISGSWALVPAAWLMLFVGVAKYTEKTLALHGANLVNVRSSVERQQQHQRTEGGSHRPRKLAFEVDDDDNDLVMKAHSLFHICKNSMVDSSVVAESDIDDAVAAQTKKTLFDLEWKELFTVMEIELSLMYDFLYTKAAIIHTWHGYCIRVLSPLATTVSLVLVELSNEGGRRHKRSDIVITRVLLVATFLLELASLLRALSSTWTGFLLHSKLRPGWIRHEVLCMRRWHRFHSAITSLGRPAKAQAHRQWLGKMGQLNMLHLVIIQKELERPAPKGGQYWDKEYQRCSNETMIPEDVKKLVSELVSGQLRALRHLMKEVVAQEGAEELSEGGNLLRMAVYLRKKRGQRALKKGKLFEELRWSLGDELQLGILTWHIATNMFLLLSGKAAKAKGECTGDEGPKVCAVMTLSNYMMYLLAVRPYMLPGPVIRKPVGQTSEELARIWSKHQAAPAAVDDQESSSSPSFCNIRGFMRSKFSQWHNRWRVSTRLSHGREEEELALKLIERDNDTALSKYLSRGIDVAQKLLDLEDTRKEIDMVQVILAVWVEMLFYASYQCSKESHAKQLSQGGELTTIVWLMAEHAGLFIVNKTKKGVEETNWKNRKADKNN >OGLUM08G21170.1 pep chromosome:ALNU02000000:8:23891186:23896551:-1 gene:OGLUM08G21170 transcript:OGLUM08G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGEPRAAAAAEGGEKRAALLREITEEGGFAFVASAEKAAADGDLRAAEAAREMAWEQLHACPRSEVGRAWRDAYALACLHVAALRVAGGGGDGRRAALRALDMGLIMGGDLLRAELEEAIARVVADRSRGCGGGGGDGAGENGADVEKWMEGLSRKRDLADVLKVLPVKSLSCKQIERRSCISLEAFIRDYFLCESPVILSGYIDHWPARTKWKDIRYLERIAGDRTVPVEIKELREDIMVPEYCNAGGGELQKLNAWFGPEGTVTPLHHDLYHNLFAQGNPQILVFIQRVDHPLCFEITGMFALHQSSYSDKRLWPYPKWLHVLGRKYFRLYSASISNDLYPQRETMLSNISQVDLDNINVNEFPRTGDVEFMDGILEEDVRNPRKT >OGLUM08G21180.1 pep chromosome:ALNU02000000:8:23900640:23904095:1 gene:OGLUM08G21180 transcript:OGLUM08G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSGGGGALSAQAVAALGEGIGLVFGRWTALQMAVENQWGGRDSRAKADQLAESILSWFANSKGKHYYEDLVDMMYDTVSESFNADFEDGSVEEVAEQLLIMHEECLQSNYSSVEKLRNSRAQGNAVSQSRKMVVDGDDDSSDDEDDDDDGEPSMMDNEAGSAEKMAVDEPKPSKPVPDADGWTTVPPRRGRGKN >OGLUM08G21190.1 pep chromosome:ALNU02000000:8:23906123:23907982:1 gene:OGLUM08G21190 transcript:OGLUM08G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSILTEDLGDTSDFEVDGVENLTENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQQAALEESKTKNMSEQALRKKTARVQDGILRYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVRFDVNGPVAIAKYEVENSMLVDAKRRGVLNQHSLMDLQDATLGSLLSSLMQHCSPQQRKYPLDKGVPPPWWPSGNEDWWISLGLPMGISPPYRKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNCVRKSKCLQDKMTAKESLIWLGVLRREEIYFRSSDNVGSQITHRSSREGKSDDIYSSSDEYDVDHLEEPPRSSSSKDNVGRRQPTAQIREEHTSSRHNRGRHDQQPNQVLPSNEGTNESRKRKRPSGHLLTAESEVEVTQKDDNQLAIVSNALPNMSRINQTEMMGMANQMTSLSHVNTTETLQHQYVQGNFVSSPAAVVNNYNSNQITNVNPSRIYMGYQPLACQNNGHTNPWSEDTFQVDVGPPPIGFTTNSSSGGNHSLPMKQSLPKSIDDHVPITETGVLADSSSYGYHITATGSRNSTSVAGHGNQLMGDPFDSGTADKFNGNSFDGLPFDFIGSSPIPDIGVLLDDDDIMPYLGT >OGLUM08G21200.1 pep chromosome:ALNU02000000:8:23910466:23915166:-1 gene:OGLUM08G21200 transcript:OGLUM08G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSHTTTTSSSSSTHLFASSSCIASLRRPSSSSSVVAAARRTRRQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLQLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPSARCTPSTSCCARTSATRCGSTRAPARR >OGLUM08G21200.2 pep chromosome:ALNU02000000:8:23910466:23915166:-1 gene:OGLUM08G21200 transcript:OGLUM08G21200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSHTTTTSSSSSTHLFASSSCIASLRRPSSSSSVVAAARRTRRQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIETGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQSRHRIKPNSFTSIAIDSAAFQVSNGVCCVLTAEDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLQLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPSARCTPSTSCCARTSATRCGSTRAPARR >OGLUM08G21200.3 pep chromosome:ALNU02000000:8:23910466:23915166:-1 gene:OGLUM08G21200 transcript:OGLUM08G21200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPQLNPSSHTTTTSSSSSTHLFASSSCIASLRRPSSSSSVVAAARRTRRQGSSRVVVVCASSSATASRGDSSSDMAAAAAVRVKAVATIKVTVGELINRSIDIRDLIGRSLSLELVSSELDAKTGKEKATVRSYAHNVDDDDHSVVTYEADFDVPSGFGPIGAIIVTNELRQEMFLEDINLTASDGAGNSTVLPIRCNSWKRGDGTGEREADDRVYDYDVYNDLGNPDSNGDLARPVLGGNKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSPEKEDYFLRKTVGSVLQAAVPAAQSLLLDKLKWNLPFPSFFVIDKLFEDGVELPGVDKLNFLESVVPRLLEHLRDTPAEKILRFETPANIQSRHRIKPNSFTSIAIDSAAFQVSNGVCCVLTAEDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADLLEEQMRRVMTVEEAISQKRLFMLDFHDLFLPYVHKIRSLDHTTMYGSRTVFFLTDDGTLQLLAIELTRPASPSQPQWRQVFTPSTDATMSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPSARCTPSTSCCARTSATRCGSTRAPARR >OGLUM08G21210.1 pep chromosome:ALNU02000000:8:23918923:23920035:-1 gene:OGLUM08G21210 transcript:OGLUM08G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEDGGRFQPSRVQTPVARDAAVQAAYDGFAARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDSGVTGMGIPNSTSI >OGLUM08G21220.1 pep chromosome:ALNU02000000:8:23930589:23946084:1 gene:OGLUM08G21220 transcript:OGLUM08G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXG6] MLRPQLNPSSTHHTTTTSSSSSGAHLFASSSCIASLRRPSSPSSVIAGAGCRTRRRRQQGRQRVVVRCVSSSSAASSDMAMAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGRRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLNLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADVVEEQMRRVMTVEEAMRQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTVFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTXEAARASAPPQGRRPPGPPPAGARKSDRITVDQEVEAFESSSSTEEIREPALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVTGDVELQAFWTEVRTKGHGDKMDAPWWPKLDTPGSLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >OGLUM08G21220.2 pep chromosome:ALNU02000000:8:23930589:23946084:1 gene:OGLUM08G21220 transcript:OGLUM08G21220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXG6] MLRPQLNPSSTHHTTTTSSSSSGAHLFASSSCIASLRRPSSPSSVIAGAGCRTRRRRQQGRQRVVVRCVSSSSAASSDMAMAAVVKVKAVATIKVTVEGLLNSLRPSKAIDNIRDLIGRSLFLELVSSELEAKTGKKKATVHSYAHKVDDDDHGVVTYEADFDVPTGFGPIGAVVVTNELGQEMFLEDLNLTAGDGAGNSTVLPIRCNSWVQPKSSIDEGTPGRRIFFAKAYLPGQTPAGLRSYREEDLKQKRGNGAGQREADDRVYDYDVYNDLGNPDSNGDLARPVLGGSKQFPYPRRCRTGRPPSKKDPKSETRKGNVYVPRDEEFSEVKNAQFLLKTLQSVLHAAVPAAQSALIDNLNLNLPFPSFFVIDKLFEDGVELPGVEKLGFLHSIVPRLLELLRDSPGDKILLFDTPANVQKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADVVEEQMRRVMTVEEAMRQKRLFMLDFHDLFLPYVHKIRSLKHTTMYGSRTVFFLTDDGTLRLLAIELTRPASPSQPQWRQVFTPSTDTTKSWLWRMAKAHVRAHDAGHHELITHWLRTHCAALPADLVRRGMAEEDPTAEHGLKLAIEDYPFANDGLLIWDAIKTWVQAYVARFYPDAASVTGDVELQAFWTEVRTKGHGDKMDAPWWPKLDTPGSLAHTLTTIIWVAAAHHAAVNFGQYDFGGYFPNRPSIARTVMPVEEPVDGAAMERFLDNPDQALRECFPSQVQATVVMAVLDVLSSHSTDEEYLGGEQTRPWNSDAAVQAAYAGFTARLKEIEGVIDGRNKDRKLKNRCGAGILPYQLMKPFSDAGVTGMGIPNSTSI >OGLUM08G21230.1 pep chromosome:ALNU02000000:8:23940346:23946005:-1 gene:OGLUM08G21230 transcript:OGLUM08G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPHRFLSFLSLFRPSMTPSISLSRAVNPAYAACTAASLFHGLVCSPPRYSSSVEWLDSTSSTAITTVACTCDGKHSRSAWSGLSRNLSMAAPSTGSSTGMTVRAMDGRLTRRSSLTIRRGYASCCCTSRGDTTIPPSTSPRTDETRIGFHYKHLQFVHKAIQEGVKVKGYFTWTFMDCFEFGDGFKDRFGLIYVDRATLARFRKKSSYWFADFLRR >OGLUM08G21240.1 pep chromosome:ALNU02000000:8:23947016:23962313:-1 gene:OGLUM08G21240 transcript:OGLUM08G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVALAHVVLHEKHCAALPHGIFFPYLWIGALLTRDTTPRQVRGGSDSSIVGSQANLAMDRRLLLSALLFIALACSSNRVHGALNRHSFPEGFLFGTGTSAYQYEGAVDKRGQNIWDTFSRIPGKIADGSNADIANDFYHRYKEDLNLITAMNMDSFRFSIAWSRILPNGTISGGINKEGVEFYNSLINEALEDKYGGFLSENIVKDYVDYADLCFSLFGDRVKLWNTFNEPTIFCMNGYATGIMAPGRCSPYASASCAAGGDSGREPYVAGHHLLVAHAEAVRLYRARYRAAHGGEVGITQVSHWFEPYDAGSAADRRARRRALDFMLGWFMHPVAHGEYPPAMRRLVGGRLPAFTAEQSEMLRGSFDFIGLNYYTSNYAVAAPPPNKLHPSYLTDNWVNATGMHTTPSNLPLIVYNMIRVRPAGMRWLLLALFLVALVSNGAAVHGAFNRFSFPEDFIFGTGSAAYQYEGAVNEGGRGPSIWDTYAHIPGKVEDGSNGDVAVDFYHRYKEDLNFVTDMNMDAFRFSIAWSRILPNGTISGGINKEGIAFYNSLINEVISRGLKPFVTIFHFDTPQTLEDKYRSFLSENIDFVDYADVCFREFGDRVKSWNTFNEPMIFCAGGYGSGTKAPGRCSPYVSKKCAPGDSGNEPYVAGHNLLLAHAEAVRLYRQKYQATQKGQIGITQVSHWFVPYSDAAADKHAVRRSLDFMYGWFMDPIVFGDYPGTMRKLVGDRLPKFTAEQSELVKGSYDFIGLNYYTTNYAKSVLRRPSKLKPAYATDNWVNQTAYRNGVPIGPPAFTKIFFTYAPGLRELLLYTKRKYNDPDIYIAENGTDEANNSTIPIAEALKDDNRISFHYQHLRFTQLAIKEGVKVKGYFTWTFMDDFEWGDGYTGRFGLIYVDRETLKRYRKKSSYWFADFLKR >OGLUM08G21250.1 pep chromosome:ALNU02000000:8:23964417:23976244:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKYESAEALANECPGPNEDDH >OGLUM08G21250.2 pep chromosome:ALNU02000000:8:23964417:23976244:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKYESAEALANECPGPNEDDH >OGLUM08G21250.3 pep chromosome:ALNU02000000:8:23964417:23975847:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >OGLUM08G21250.4 pep chromosome:ALNU02000000:8:23964417:23975847:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >OGLUM08G21250.5 pep chromosome:ALNU02000000:8:23964417:23975847:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMDLQVAVNDILGPILRITFHISVSHLNSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >OGLUM08G21250.6 pep chromosome:ALNU02000000:8:23964417:23970791:1 gene:OGLUM08G21250 transcript:OGLUM08G21250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAADDDYMGDLSHFLPPPTPSSPSRSLGRRRQPPAQQAQGQGKRAKGVVPWQERRRRDRERKQREEDERTMAGLAEAIPETNVGFRLLKRMGYDPAAGGGAGAEPVGIEIRRSRAGLGAEPAVSVAPPQPSAKVAEGERRRVEEMAVELRARQSTQWRSRRVVWDYRKAEAALAQLENREAAPPPPEGEEKAEEEEEEVITEEDLQNILAKLRDEHYYCLYCGCKQKHWQMNVLGQMKMTINSLCLGQQRMVLVTTGDDSDLQVAVNDILGPILRITFHISVSHLNSEEAANMDHPPLTSASPSKASTEHHWCREHAANPRCACGLTLHQHTPFELILSYNTQQQSSMALHLEGWKHTGLKVV >OGLUM08G21260.1 pep chromosome:ALNU02000000:8:23969467:23973255:-1 gene:OGLUM08G21260 transcript:OGLUM08G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASGGGAAAAAGGGVGGSGGGGGGGDEHRQLHGLKFGKKIYFEDAAAAAGGGGTGSGSGSASAAPPSSSSKAAGGGRGGGGKNKGKGVAAAAPPPPPPPPRCQVEGCGADLSGIKNYYCRHKVCFMHSKAPRVVVAGLEQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRRPQTPLASRYGRLAASVGEEHRRFRSFTLDFSYPRVPSSVRNAWPAIQPGDRISGGIQWHGNLAPHGHSSAVAGYGANTYSGQGSSSSGPPVFAGPNLPPGGCLAGVGAATDSSCALSLLSTQPWDTTTHSAAASHNQAAAMSTTTSFDGNPVAPSAMAGSYMAPSPWTGSRGHEGGGRSVAHQLPHEVSLDEVHPGPSHHAHFSGELELALQGNGPAPAPRIDPGSGSTFDQTSNTMDWSL >OGLUM08G21270.1 pep chromosome:ALNU02000000:8:23984467:23984796:-1 gene:OGLUM08G21270 transcript:OGLUM08G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATRELEGLLPPLFTSSTAFDVASFNHQPVLRAEAFFPLIRLVHNHWTIGFGGLEAARFSSLELKATATGSSDGGGMSSLTKKQIHIANIDARGVASIGSKGSGGAA >OGLUM08G21280.1 pep chromosome:ALNU02000000:8:23991847:23994371:-1 gene:OGLUM08G21280 transcript:OGLUM08G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPHGSQQPTAVLGTLASYAPTLAMSISSLNVKVPIPSALGDLPLLQTIQLEAMAGMYGPIPSSFAKLCHLQFLFISGTSISGSIPDFLVKTNLSALSITNSKLNGSIPESLSILPNLRVIDLSGNMLTGSIPPGLFHGGPVQIVAKINLTKILTKCSSAINPSFLFDIAKPMAKIDLSWNRLEFDMTKVRFPHHLNYLDLSHNSIKGRVAKSLKDINLKFCNVSYNELCGEIPTGRYMAYHGADCYVHNKCLCGSPLPPCKNGKPNILTPFTIGISLLILPHLFPLDRIASLDQSQNRILYLKILGAVKVQDICWDSDPEHGLDSRVWTSDRLLTRGW >OGLUM08G21290.1 pep chromosome:ALNU02000000:8:23996445:24001694:1 gene:OGLUM08G21290 transcript:OGLUM08G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXH9] MEYHHQSHSPPPSDDDVVVIQMNAAAIAAVDERSSTNEVDDAAAGKGGGLTRRTFSQAYKMKHRTPLEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSISLDDPGEADFVGVLSIILWTFTMICLVKYHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISVLSAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKTAAWETLGAIVLCITGAEAMFADLGHFNKSSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSTTFYSSTPEPLFWPMFIIATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSGKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKGEYELANVMEREEFIKTVTTSSRVPGICIFCTDMMNGIPPIVCHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >OGLUM08G21290.2 pep chromosome:ALNU02000000:8:23996445:24003766:1 gene:OGLUM08G21290 transcript:OGLUM08G21290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXH9] MEYHHQSHSPPPSDDDVVVIQMNAAAIAAVDERSSTNEVDDAAAGKGGGLTRRTFSQAYKMKHRTPLEFTWRQVALLSFQSLGVVYGDLGTSPLYVFSSISLDDPGEADFVGVLSIILWTFTMICLVKYHVNFKGNMPVPVTHLASDINLKFHSKKRILTSKLLKFLEQSTKWQAVITYIVLAGTCMVLGDGALTPAISVLSAVQGIQSRSSSITQAHVVLLSVIILFILFFFQKHGTSKVSFTFSPIMILWFTFVAFIGLYNIIKHYPPILKAVSPHYIIIYFIRNKTAAWETLGAIVLCITGAEAMFADLGHFNKSSIQMAFSVIVYPSMILAYAGQAAFLVKNPSKLSTTFYSSTPEPLFWPMFIIATLAAIVASQALISASFSIIRQSIALGCFPRVTMKHTSGKHEGQVYSPEINYFLMVACILITVGFKGGPEIGQAFGVAVIFVMLFTTNLMTVVMLIIWESNIALASLFFVFFFSIEGIYMTSLMNKILQGGWVPFAITAFFLIITLSWTYGRSKKGEYELANVMEREEFIKTVTTSSRVPGICIFCTDMMNGIPPIVCHYVQHVASLRELMVFVTIRVLPVRTVLPEERFIIDKLEPVGVYRCIVQYGYMDNHNMEGDDYVASVIASLKEIAENDDEILVLDSALINGSTFVLGRTIIKMGTRHNCLKRFFINNLYRFLQKNFRSNMSSLKINPGKTLQVGMLYEI >OGLUM08G21300.1 pep chromosome:ALNU02000000:8:24002180:24003720:-1 gene:OGLUM08G21300 transcript:OGLUM08G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRPEEAAAAAPPWSRLEGRVVLVTGASSGLGREFCLDLARAGCLVVAAARRADLLRSLCDEINASAPRASAAAAAVELDVASGGPALEAAVQSAWDAFGRIDVLINNAGLRGGVHSPLDWPEDEWDTLIKTNLTGSWLVAKHVCRRMHDAKLKGSVINISSVSGLNRGHLPGSTGYTASKSAMHYVTKLMALELGAYGIRVNSIAPGIFKSEITAPLLQKKWLNTVVSKIVPLKTHGTTDPALTSLVRFLIHETSSYVTGNIFVVDSGATLPGVPIFSSL >OGLUM08G21310.1 pep chromosome:ALNU02000000:8:24004420:24008409:1 gene:OGLUM08G21310 transcript:OGLUM08G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQPLDSSGTDDDLPPTYQPRGPRVIFNGNGSLPQPSLHSNVDREIRQIEQQAYTGVLRAFKVQSDAITWEKESLITELRKELQISDKEHRVLLKGVTEEEAVCRIRQSRQTGGTQSSSHHSSVVHTPVPAKRQKKSHSVPVTPQASVITMHAVVGKKLFYKGKGRANQGLLYQGGRQASDRVLKRLPSNNSPMLGSSRRRGRLHPNELIKGYSPLDGFGIPNTGNVVMEVEKVLSNPNMLEIEKAMKLLRDQEQSLLDAIARLDEASDGENEITALKIERR >OGLUM08G21320.1 pep chromosome:ALNU02000000:8:24009442:24012232:-1 gene:OGLUM08G21320 transcript:OGLUM08G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARSGDPGVSPESSSAAAAAAAAGSGGGEIWGTSEDLLLACAVSRHGTASWDAVAKEMQSRCPSAAVFTPATCRLRFRVLHRRFSGGVTAENEDADGGEEEEEPDAAAVAGWVEELRELRVAELRREVEKYDLSIGSLQSKVKRLKEEREKSISGETKPPPPPAAVKEEEEDVRKGSPEEAGAVEDRVSGHESGRSCKESNSSDLKRSENDPAVADDDREEEEEEEAAAAPAAGEVAVKEEASGESVAGSKEADAEKESSDVQSSASPSRRRRRKGGGGGGEEAEAASPSVSVPLPAAEAEPLVAFLESVRTSKAGAVFERRLDSQDGERYSGTIRRHVDLETVRSRLVGATAAAACYASTSEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQVSKDRQPHAGAKAPAAAAAEEEKKKPAKADADIAGPLLEKAPIIVCRKRSSIAKAAAAAAKGEKAETDKKEKDGSEEKKAAAAATTATAAATAKDKKARGMRTNMSRGPARNQKTAKLSETGEGTKKSDKKGGGGGGSSSAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQKKSSSSSSGKGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAPPTPPPSKRAKDDKPTRKRGKK >OGLUM08G21320.2 pep chromosome:ALNU02000000:8:24009442:24017484:-1 gene:OGLUM08G21320 transcript:OGLUM08G21320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLLRASVPIGVLGLPLHSGLSAGGDPRELRLDLSTAFSYGPAIRLSYRPNYPALPFSVSVRAGVGPLGSPARAPFSLAAEFNLLSGNPGSPAFFLLLKPRLGDFSLSHALRSSPHPGNKIGEVSDGDGHGREVNYKAFSFAAAGKSGGGVGALLSGMRLTTRSVLPLWGRASLRFNWGLRAPPELQAALAADDAMVGGSRSRKGGARVPVSKMPLLVIDKISIEQSPRAADKTRGNADSSPPAPAIAAAADADAADGTGRGGEGFSLVRRQLEALNAESGMLRRAVEDLRAEVGSRRAAVSTAGAPDTWRTPPAPPQPAQPYHYSSPVKPDRWGSGKDMAAAENAAKPSSDELGDELKRALEARLRSLQSKVKRLKEEREKSISGETKPPPPPAAVKEEEEDVRKGSPEEAGAVEDRVSGHESGRSCKESNSSDLKRSENDPAVADDDREEEEEEEAAAAPAAGEVAVKEEASGESVAGSKEADAEKESSDVQSSASPSRRRRRKGGGGGGEEAEAASPSVSVPLPAAEAEPLVAFLESVRTSKAGAVFERRLDSQDGERYSGTIRRHVDLETVRSRLVGATAAAACYASTSEFYRDMMLLCANALVFFPRGSPEHAAALQLRALVSKQVSKDRQPHAGAKAPAAAAAEEEKKKPAKADADIAGPLLEKAPIIVCRKRSSIAKAAAAAAKGEKAETDKKEKDGSEEKKAAAAATTATAAATAKDKKARGMRTNMSRGPARNQKTAKLSETGEGTKKSDKKGGGGGGSSSAAAAAGGVAKKRNAVDFLNRMNQNGSPSTERVSLLETLKLSAAATEQQKKSSSSSSGKGDGRKEAGGSGSKKGAAASTPPGRRIGRPPKRAAAPPTPPPSKRAKDDKPTRKRGKK >OGLUM08G21330.1 pep chromosome:ALNU02000000:8:24018822:24020696:-1 gene:OGLUM08G21330 transcript:OGLUM08G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNPACGRQVTTMATDPECPSSRRRRQRGGTEGWRRRQQTTAVVDGVFDSKTRWRRGGPGREDNGGGVAHRGGTGGGATRRGGAGGKMRRRAGSGGAEERISGSSTREGEREKAWDMRGAHKWLGLFGWPNLACARSTLASRMAWPGSRMQEG >OGLUM08G21340.1 pep chromosome:ALNU02000000:8:24039837:24042685:1 gene:OGLUM08G21340 transcript:OGLUM08G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRPAHARHMESNAARAAAAAAGEGVGDHDDDGEEEEEEKWREALAAAWGQSRAEREAIRARYAAVKDMIRAEKDGADMRRLGVAMGEIEQLHHKVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSCSDLGAMASPLFMTATGCQTMKRVARRLFDRFPSKPAGLYETTPDLDERNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMEGVVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRKRDEFAQGEGMDETLIKPCAEDVILKRKRRSEAESLKHWFSSCKWQ >OGLUM08G21340.2 pep chromosome:ALNU02000000:8:24039837:24042685:1 gene:OGLUM08G21340 transcript:OGLUM08G21340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRPAHARHMESNAARAAAAAAGEGVGDHDDDGEEEEEEKWREALAAAWGQSRAEREAIRARYAAVKDMIRAEKDGADMRRLGVAMGEIEQLHHKVQRPKEQVADGEALLELVNSLAITAKSKKKDGPTPSEFVTSLLTKFGVRASLLDASIESFSCSDLGAMASPLFMTATGCQTMNDTDKNMAVMFKLLRKNKCVKLENLILNRQSFAQTVENIFALSFLVKDGRVEIDVDDKGNHFVVPRNAPAAELITSREVINSQYVFRFDTKDWKIMEGVVEPGDELMPHRQNNIGEHYNNAKSYSASEPQRKRDEFAQGEGMDETLIKPCAEDVILKRKRRSEAESLKHWFSSCKWQ >OGLUM08G21350.1 pep chromosome:ALNU02000000:8:24043344:24047270:1 gene:OGLUM08G21350 transcript:OGLUM08G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial editing factor 19 [Source:Projected from Arabidopsis thaliana (AT3G05240) TAIR;Acc:AT3G05240] MAAARAAAAVDAYQHNTLIRAAADHRSLLLAFRAMLREGVAADHFTFPFALKALAQAHHRSPPPCAAATATATTTLGCLHAQMVKSGHGANVYAASALVHAYVSRADAASARAVFDAARHRNVVTWTAMIAGHAAAGEAREAVALFREAVARGQEVNGITVAQVMGACAQSRDLESGRWVHATLRRWGVEPILLDVALATAVLHMYASCGGLDAAFEVFDKMPRRNEVSWNAMAEVCSRHGRQDKVLEVFPGMHSVGMKPDKVTWLSILRACTSKGAAGLGQSVHAYMEKTIGHRHVAVCTSLMDMYSKIGNARSALQIFQCLKRKDLMAWTSMIIGLAKHGHGKDAVQLFNQMQHGGVVPDHVAFVGVLTACSHAGMVDEARKYFDSMWNHYGIRPTIKHYGCMIDLFSRAGRLAEAEGMMQMMPIQPSVTMWGSMMNGCKVHGRADIAERVGRQVAELNPQFGAIYVIMSNIYAEIVLPSVQLLTLYVAWKERGTVEPANELYSGNGEQYRNTRYRSTKRELGNAGRPSELNLVGEPHHCRLIPAIATYDGHSPFSTVGAEDHCADGINRLPCIVTGFHRWEQAAADKSPAGGLAT >OGLUM08G21360.1 pep chromosome:ALNU02000000:8:24050475:24052335:-1 gene:OGLUM08G21360 transcript:OGLUM08G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC (No Apical Meristem) domain transcriptional regulator superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76420) TAIR;Acc:AT1G76420] MGDALWEMLGEEMAAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGGVDIAEVDLNRCEPWELPEAARMGEKEWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVVAAAAAGGALIGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSTKEEWVICRIFHKVGDQYSKLMMMKSPASYYLPVSHHHPSSIFHDLPPVPFPNPSLVPFHHDLPTSFHPPLLQHSHANSKNSSSNNGGFVFPNEPNTTNSSDNHISCNGAMAAAFPSFSCASTVTGKGGPPAQLGVNAGQQEPPPPTWMDAYLQHSGFIYEMGPPAVPRGA >OGLUM08G21370.1 pep chromosome:ALNU02000000:8:24054433:24058794:-1 gene:OGLUM08G21370 transcript:OGLUM08G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHHQALDLHLLLSPIPKPLLATTLPFKCARTLQAALAVPIRSQFHAAIWEKYNTIINCLLWKHGVGAQVEAVGVGHRTRTAGRKGSGADLAINKVKNDNRQVDWRLPAIKGPQRGDGRRSSHCVDSLSPGGGVLSTPRFLPLKDYKMVQISCYFIASSISTLHSTLVLASPRSGLQPLVHGHGNRRVVRVHLLSYHLDETDMRGEGTMYLQKPLYFRTEAWVVEMTTLQGQLWQVKVADQSGKTSTGALGSRYDDE >OGLUM08G21380.1 pep chromosome:ALNU02000000:8:24065537:24066059:-1 gene:OGLUM08G21380 transcript:OGLUM08G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAILETIKPRRSAERENLPVTNATGKGRGGDHLIGLRRRMSSFSVRIQPLMSSAGAGGAFRRATSMPSVKALAAQAGAVRRWWGWGLGWVMNRRPAFARDLEMNDDEAAALGCHCRGTWRHVFYRLRAGARRLLGRDGLPLSAHDFRYDSVSYAHNFDNGDAAAAARPEP >OGLUM08G21390.1 pep chromosome:ALNU02000000:8:24068841:24074298:1 gene:OGLUM08G21390 transcript:OGLUM08G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPPLSSPIGRRWITGSASSGASGTTSSTPSPSRRPTTPPRSGRGGTPSPSASTWCTVGGASHGGHRHRGAAAAAAPPRGRRQHT >OGLUM08G21400.1 pep chromosome:ALNU02000000:8:24073454:24074100:-1 gene:OGLUM08G21400 transcript:OGLUM08G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVVAYRKLSPASRRGGGAARAWVALLRRAAAGVAARLQRAARRRCVGVGCGGARRLTWAGLCVGRGVAVAAPARRISSAAGSYDPASYARNFDDGVWKAEEGCAGAARFAGANVNAD >OGLUM08G21410.1 pep chromosome:ALNU02000000:8:24077312:24079415:-1 gene:OGLUM08G21410 transcript:OGLUM08G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVGVEAGVFDTVDGLVGEVMRLHRSLPARPAVEEVEAAEALAAAADREERARADAVARLRRSPAVPDELLCVAQEMHRALAGFQCREQKRDAARLLELEALHTLFDDLIQRASQCLPSTSTRAARRIAAPAAATTTTSTAAAGSSSSSAVGNAERHASSGTNGFTASRVAGTSTSTGRVSMDDSYVRKAKAAMWDGGAAATNSHLPRGPVEANSVAVRADGNYGDDNEKLSLIKLASMIEVSAKKGARDLNLQGKLMAQIEWLPDSIGKLTGLVTLDISENRLLALPDAIGKLFSLAKLDIHANRISQLPESIGDLRSLIYLNMRGNQLSSLPSSIGRLLNLEELDVGSNGLSSLPDSIGSLTRLKKLIVETNDLDELPYTIGHCVSLVELQAGYNHLKALPEAVGKLESLEILSVRYNNLRSLPTTMASLTKLKEVDVSFNELESIPENFCFATSLIKLNVGNNFADLQYLPRSIGNLEMLEELDMSNNQIRVLPDSFGNLKHLRVLRAEENPLQVPPRDIALKGAQAVVQYMSDASKRTTKSEPMKPKKTWVHFCFFSRPNKRKHDRIDNAT >OGLUM08G21420.1 pep chromosome:ALNU02000000:8:24084839:24086321:-1 gene:OGLUM08G21420 transcript:OGLUM08G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKIERENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >OGLUM08G21430.1 pep chromosome:ALNU02000000:8:24087863:24091445:-1 gene:OGLUM08G21430 transcript:OGLUM08G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTQASPMAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPGTTRPSPPPSTRHPAASTRCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNLAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLIFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >OGLUM08G21430.2 pep chromosome:ALNU02000000:8:24087863:24091445:-1 gene:OGLUM08G21430 transcript:OGLUM08G21430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20/M25/M40 family protein [Source:Projected from Arabidopsis thaliana (AT4G38220) TAIR;Acc:AT4G38220] MASKKSSTQASPMAAAAASLLLGLLLVLPVPAVSSSDAEEAVVSRFREYLRIDTAQPAPDYAAAVAFLRGQAGAAGLEARTLELVAGKPLLLLRWPGRRPSLPSLLLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYAHTTFNTDMKCVGMQYLEAIRRLRSAGFIPDRNIYLTFVPDEEIGGHEGIEAFVASKEFKDMNVGLVLDEGLASPGEEYRVFYGERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEAIRRFRTSQFDLVKSGAKAEGDVVSVNLAYLKAGTPTPTGFVMNLQPSEAEIGLDIRLPPSVHTEALEKRLAEEWAPSSRNLIFEFKQKTSVLDNFGKPAVTPADSSNVWWALFEEAVKRAGGKLGKPEIFPASTDARYFRVLGIPAFGFSPMTNTPILLHDHNEFLSKDEYLKGIGIYESIIRTLATLKDSNVDYESRAEL >OGLUM08G21440.1 pep chromosome:ALNU02000000:8:24094503:24097184:1 gene:OGLUM08G21440 transcript:OGLUM08G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMGGGAGGGGRGPRDMRLTMQEAAKKLSLWDSATFRPILTHVELEPILAAAGCMPVTTAQDRVFDKVFRVMRSHGVEDDGLIVYRDGTLDDATYAVCSEHSPVQDVGYHVIPGNTCVELGYLKSGRIAGNCDEETCCRGGAAAADIARARKLEPQ >OGLUM08G21450.1 pep chromosome:ALNU02000000:8:24098369:24099515:1 gene:OGLUM08G21450 transcript:OGLUM08G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTELKVEMVALHEKRVRKCLSKVKGVERVEVEGSLQKVVVTGYANRSKILKALRRVGLRAEPWSPRNELLSAYAAGSLMAANNYYHTFF >OGLUM08G21460.1 pep chromosome:ALNU02000000:8:24100188:24106290:1 gene:OGLUM08G21460 transcript:OGLUM08G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVDKVADYIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >OGLUM08G21460.2 pep chromosome:ALNU02000000:8:24100188:24106290:1 gene:OGLUM08G21460 transcript:OGLUM08G21460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGELAAEKHVRYIVTVEKRKDSFESLVMEHIRLNGAYWGLTTLDLLHKLRAVEADEVIEWIMSCYHPESGGFGGNVGHDAHVLYTLSAVQVLCLFDRLDALDVDKVADYIAGLQNEDGSFSGDIWGEVDTRFSYIAICTLSLLHRLEKINVQKAVDYIVSCKNLDGGFGAMPGVFCCVGALAITGSLHHIDRDLLGWWLCERQCKEGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKDKLAKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRKEH >OGLUM08G21470.1 pep chromosome:ALNU02000000:8:24104402:24107278:-1 gene:OGLUM08G21470 transcript:OGLUM08G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSEQGLMAGRDLFGMPKSPPAAAAAPPQSVRMAYTSDGTPVFAPVSAAVSAPPGYQPGGAAGGNGAAALADSGGEPVAKKKRGRPRKYGPDGSMSLGLVTSPTAAASTPVAQGVPGPFSPTQPKPPASFLSSVSPDGVKKRGRPKGSTNKPRIDAVGSAGVGFTPHVITVLAGEDVSAKIMSFAQHGNRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLTDHGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAATPVQIVVGSFNSEGKKEPKQHAHSEPASAPSKAVPTAGMGPNSPPSRGTLSESSGGAGSPLHPGIAPPSSNSQPPFLSSMPWK >OGLUM08G21480.1 pep chromosome:ALNU02000000:8:24115069:24117781:1 gene:OGLUM08G21480 transcript:OGLUM08G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G76450) TAIR;Acc:AT1G76450] MAAAVTTTTTATTTHLCRGLSSSAAAAAKPRRATTLRCGAAARVEGLGRREALLGVLLSTATAASAPVAAVAATAELQEGFRTYEDEANKFSIAIPQDWLIGAGEVSGFKSVTAFYPDQVADSNVSVAITGIGPDFTSLKSFGDVDAFAETLVNGLDRSWKRPPGVAAKLINSRAANGFYYIEYTLQNPGEQRRHIVSAIGMAFNGWYNRLYTVTGQYIDEDGDVDKYRAQIEKCVQSFRFT >OGLUM08G21490.1 pep chromosome:ALNU02000000:8:24118811:24120707:1 gene:OGLUM08G21490 transcript:OGLUM08G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALHHQAAAAPVTTTTDGGELRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDSHVVRLLDLKQGQNKEGQTILYLVFEYMDTDLKKFIRAHRQNLQKIPVPTVKILMYQLCKGVAFCHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRSFTVPLKKYTHEILTLWYRAPEVLLGAAHYSTPVDIWSVGCIFAELATNQPLFAGDSEVQQLLHIFKLLGTPNEQVWPGVSKLPNWHEYPQWNPSKVSDLVHGLDADALDLLEKMLQYEPSKRISAKKAMEHPYFNDVNKELY >OGLUM08G21500.1 pep chromosome:ALNU02000000:8:24125540:24130839:1 gene:OGLUM08G21500 transcript:OGLUM08G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXK5] MEVRRRAPPPPLPPPPGRVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRSSTPLHVVGLAEMLAIFGLVASLIYLLSFFGIAFVQSIVSSSDDEEEDFLVGPARGSSAAAAVAPPPPPSSPAQCSLLGSPHDDAARERMPEEDEEIVSSVVAGKVPSYVLETKLGDCRRAAGIRREAVRRITGRQIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGAVSVVLRDGMTRAPVARLPTARRAAELKAFLEDSVNFNTLSMVFNRSSRFARLQGVQCAMAGRNLYMRFSCCTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEDVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEAVNDGRDLHISVTMPSIEVGTVGGGTQLASQAACLDLLGVKGANRESPGSNARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSSKDMSKVIS >OGLUM08G21510.1 pep chromosome:ALNU02000000:8:24132610:24135749:1 gene:OGLUM08G21510 transcript:OGLUM08G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAGRGWSRTVGNTRSFVGNALGGLRGWSNLASWAVAGTLAYYLWVRPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKDPTKSDN >OGLUM08G21520.1 pep chromosome:ALNU02000000:8:24136498:24140377:1 gene:OGLUM08G21520 transcript:OGLUM08G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 7 [Source:Projected from Arabidopsis thaliana (AT5G63870) UniProtKB/Swiss-Prot;Acc:Q9FN02] MSQPDAAADDPSPSPSPNPPPPPVAWPEDGALTREWVAGLTATLDWCSRSLPADRLPDVLPAALVQRLVLAASVILHREPNLVRVDPRPDQAVVVVGDVHGQLHDVIFLLRDAGFPSDDRVFVFNGDYVDRGAWGLETFLLLLAWKVLLPNSVFLLRGNHESKYCTSVYGFEQEVNFKYKGQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTIVMPSKRTKKGKKGNKYKANSSVDPTLMKLGSLDELLKARRTVLDPPWEGSNLIPGDVLWSDPSLEMGLSSNKERGIGLLWGPDITQQFLYTNNLKLIIRSHEGPDARDKRHDLLGMDNGYTIDHHVECGKLITLFSAPDYPQFQASEDRYNNCGAYIVLNPPDFSTPVFHSFEAVKPRPKANPYYDFEDVIDSDEELNLGAMDDGSASS >OGLUM08G21530.1 pep chromosome:ALNU02000000:8:24140642:24141049:1 gene:OGLUM08G21530 transcript:OGLUM08G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLTSLFSAAAAAGVRTLGSPASVRLCSHRQRRRRLVVAFVRWRYKGTARKEAALSELIERKVAEATEACAGEAAGEAGCRVAWDEVEEVSQAKAGLRHQIAQGADDPLEPFCSHNPLADDCAVVYDDDDDE >OGLUM08G21540.1 pep chromosome:ALNU02000000:8:24142736:24142948:1 gene:OGLUM08G21540 transcript:OGLUM08G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAARIASIAGSGDGGALRRPRCQIRRLFALYSGDLHLQIRYAPPLYSYLVTLWRYAVKMVARVQLRGG >OGLUM08G21550.1 pep chromosome:ALNU02000000:8:24143783:24149551:1 gene:OGLUM08G21550 transcript:OGLUM08G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLVTHLHRLSHRALPSPPPSRPPTTTSLPFLLSRRLLSDDAAPPPAADVPNKELKRRLETYYGVDDEAELPSVTEAVLERKLADVHSETDDELIEELRSKPLPEVRDRDFESDFEEMHDTDEELDNLYNARQYVEKKIKSDEFFNMDDDKWNKMIKKAVDNGHLGNMNECENILEDMLHWDKLLPDEIKKKVEAKFNELGDMCEKGELEPEQAYELFKEFEDKMVSECTELMEAENPTDVDEFSKMENKSVKLDDPPGEGPVLRWESRIVFAPGGDAWHPKNRKVKLSVTVKELGLSRHAFRRLREVVGNRYNSGKDELTITSERFEHREENRKDCLRTLYAVVEDANKANKLADDARNAYVKNRLKANAQFMERLKVKTQKLRVAA >OGLUM08G21560.1 pep chromosome:ALNU02000000:8:24150368:24155748:1 gene:OGLUM08G21560 transcript:OGLUM08G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSLSSLFSAAAPLAGGGGGAGVRTLGSPASVRPISHRQRRRRLVVASVKWRYKGTARKEAALSELIERKVAEATEAFYNYIFSESK >OGLUM08G21570.1 pep chromosome:ALNU02000000:8:24160843:24167926:1 gene:OGLUM08G21570 transcript:OGLUM08G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEAASSGGGVEVREAELAVEEAPAATSEGRVGEAVSDPFVVAGGDLSGEASNLEAKPDPAVLGEGGEAVESNAAPGCAETVHEEDGVDGGCEAGAVEEEDAPMANGHVLPEAPVANGHVHSEAAPATETAEIAGSVEDGMNGRIADEHDHPDTSIAESKGCVVEESKGCVVEEVNGEEAAPEIADSSVLVEEGVDGRELEGVDDRIADEHDHTNTSTVESEVHDDSLIKQVDADATELMEQEAISSEQDGSDVPIENGHTHVGVSADCGEGAKSDVQVDQSNVEEANANSVKPVEEVTALIQDGLGGPVSNGHGHVDASGHGYVTGADLDVKGSNSKGEDTETFEELVTATVDYDQSDIAMANGHDQVERSFDSGEVETKSEVCDSKEKSGECATDAMELVKQEATTGEQGTEAVSVVNGCDHPNTNADSDEAPMQILVTSKESGIVQSVVEVVESVHLEGTLKIDQQTEGDQKVANKKVTEEEILTNGYEQGDAKVANKKVPEEEILTNGHEHVEESAGITSVLEPLVGDGQQDFIAVNLLENRADDNREDALEDTFTSGIDEAAITSGVDEAAMEADASTVEKNDDTAIDGTETKEKHEKTNGDILQGLDLSKDNVECGVNGDEVSTFQPVESISCSTVEIEREEISDQQQTSASLQDAEQALSATNGNHLSDNSELKQESDMEDIDGANLCADPGVVPALHGETTSSDLADNDGAEVENSTPACDLGASSGAPTGDNDSKENSAAAVAQVEEDVPSQDDAQVEEDVPSQDDDNCPADGAPGEICSENANAFTTSSCVAETEYVQDIASTTVDIIHDKHNDDDENINTDITGNHSEPKLEINIDNEDRGDIQVIKPYPVYLMKVPRFMSESHWEKIQDAQICLDELTQKRDAINVLRQKKKALCDDYREKLEAARQEERGARTAHGDKRNDLNSVQSMIGRMNRANSIQEIDDMIAMKEKIIAHESISLKEEKRLLQDIKELKAQKKQLSSNMGSKAEMGEAFEQKEHIHEQQKILKKDSDVLLTNLKSLEDKTRFIKKAFDDERDALRKLTEEHQAAHEVRQKAYDEWFELKKEPGRKNKFFFMYRKDSRAAKEYVDNGDMKGLVLFCNNQVESFMELWNKDDDFRRQYVESNKNSTLRRLGTSDGRKLGPDEVPPEIPRYSNRMQSNPPLLPVPSTHASASASEATPAKPASPVTVVEEKTFPVLQSSQSSKPSKPKVVGNSSSKDTPGAPIPEREDVEKSEKEKKRRTEQELELSRQAAELAIREEELRQEKAAAEKERLRLEQKAKAKEAEERKRRKAEKALERAEFRAKKEAELMEKRRAKRDRTRGSTSADSGSGSGEANAEATVTNDADSSTIENSRGVDLSQHRALKKRPPTLKQLNKMEPMPLPLRNKGRRKMRQYIMVAVAAVISVLALVVASKYVPSNFRASSS >OGLUM08G21580.1 pep chromosome:ALNU02000000:8:24181487:24188455:1 gene:OGLUM08G21580 transcript:OGLUM08G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAVAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEGELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >OGLUM08G21580.2 pep chromosome:ALNU02000000:8:24181487:24188454:1 gene:OGLUM08G21580 transcript:OGLUM08G21580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVGPQVAPPMFLHQIQPLPPHATAAKKRGNPWPAAAVAAAEAKGGGNWNPRMWDWDSRALTAKPSSDALRVNAGLSHHQQQQQQSPPAVAKAAEALRQGGGGSGGLNLQLGLREDAATPMDVSPAATTVSSSPSPPASSAPAQEPVVRPSKRVRSGSPGSASGGGGGGGGGGNSGGGGGSYPMCQVDDCRADLTNAKDYHRRHKVCEIHGKTTKALVGNQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKLPSIPPIPDKDNLVQIISKINSINNGNSASKSPPSEAVDLNASHSQQQDSVQRTTNGFEKQTNGLDKQTNGFDKQADGFDKQAVPSTMDLLAVLSTALATSNPDSNTSQSQGSSDSSGNNKSKSQSTEPANVVNSHEKSIRVFSATRKNDALERSPEMYKQPDQETPPYLSLRLFGSTEEDVPCKMDTANKYLSSESSNPLDERSPSSSPPVTHKFFPIRSVDEDARIADYGEDIATVEVSTSRAWRAPPLELFKDSERPIENGSPPNPAYQSCYTSTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPSTIPGNLRGEIVNWLKHSPNEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQGSDLDFWRKGRFLVRTDAQLVSYKDGATRLSKSWRTWNTPELTFVSPIAVVGGRKTSLILKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPHLILGRYFIEVENRFRGNSFPVIIANSSVCQELRSLEGELEGSQFVDGSSDDQAHDARRLKPKDEVLHFLNELGWLFQKAAASTSAEKSDSSGLDLMYFSTARFRYLLLFSSERDWCSLTKTLLEILAKRSLASDELSQETLEMLSEIHLLNRAVKRKSSHMARLLVQFVVVCPDDSKLYPFLPNVAGPGGLTPLHLAASIEDAVDIVDALTDDPQQIGLSCWHSALDDDGQSPETYAKLRNNNAYNELVAQKLVDRKNNQVTIMVGKEEIHMDQSGNVGEKNKSAIQALQIRSCNQCAILDAGLLRRPMHSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRFNSGRSFKWERLDFGTI >OGLUM08G21590.1 pep chromosome:ALNU02000000:8:24193288:24196146:1 gene:OGLUM08G21590 transcript:OGLUM08G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASPRHVASCLCLLLLVTAAAAQRLPVKSYSYGSFYRDDPSVSSLLFRGAAGVSNGALQVTPDSRNLNNFLSNKSGSVLLPEPFTLWRRLDAAAAAAGNGSSTSTRVVSFNTTFSMNVYYDNESRPGEGLAFVVAPTADGPPPGSHGGFLGLTNATLEATPATNRFVAVEFDTFKEPGGYDPDDNHVGLDVGTVASNKTASLAGFNITIATNKTAPANYTAWIEYDGAARRIAVYMGVRGAPRPATPVLASPLDLSELVPERAYLGFTASTGVSFELNCILDWNLTIETFPADKKSKGWVVPVAVAVPVAAIAAAAFVVARMARARRSMERRRQERLEHTLTNLPGMPKEFAFEKLRKATKNFDERLRLGKGGYGMVYKGVLPAAAVDDDDGRPPAATEVAVKMFTRDDAKCVDDFLKEVQIIHRLRHRNIVPLVGWCHKKGQLLLVYEYMPNGSLDQHIFRRGAVHEQRPALSWESRRDIVADVAAGLHYVHHEYGPMVLHRDIKASNVLLDASFRARLGDFGLARVLDLDRSSFTDLGVAGTRGYIAPEYSVGHKATRQTDVFAFGVLVLEVVTGRHALLGDPACPMLSDWVWRMHGRGALLGAVDQSLGTDGFDAGEATRLLLLGLACSHPNPGDRPTMPEVLQILSGSAPPPEVPQLKPSFVWPPDGGASAHYDLIDIGALTSGSLAAGGSSAAAAAADDDDDDSARATRDTASAGLRPPNSTGDFFPALSSGR >OGLUM08G21600.1 pep chromosome:ALNU02000000:8:24198066:24201939:1 gene:OGLUM08G21600 transcript:OGLUM08G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFLVLLFLLATSASSSSTSTSLATNTTDVGRDGGDNAVSFSFSSFHAEARGVNVTVVGDANINGGALQITPDSLNDASRYLTNKSGRVLYAAPFKLWHREKGGGEAANGSTAGKRVASFSTVFTVNVFRPNGTVPGEGFAFFIAPSAAAPPAGSTGGFLGLTNAATDGNATNQIVAVELDTEEQPYDPDDNHIGLDVNGVVSVATTSLKPLGIEISPVDPVKYDVWIDYDGAARRIEAYMAVSGKARPASPVLAAPLDLGATVAEWSYFGFSASTGLKYQLNCVLAWNMTVERLPRDDDGGGDHGKGLTLALAIGVPVAAAALAAALGYLYVAKRRRKVSGGDDGSGITGTMIRSLAGGPREFEYRELRKATNNFDERMKLGQGGYGVVYRGVVVGDHTSPGGAGSAVEVAVKKFSRASTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEYMPNGSLDQHLFGAAAAERRLLGWDLRYSIVAGVASALHYLHDEYDQKVVHRDLKASNVMLDTAFSARLGDFGLARAIETDKTSYMEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDIDGFCFLVDWVWRLHRDGRVLDAVDSRLDGAFDAGDAQRLLLLGLACSHPTPAERPKTMAITQILLRSTPPPEVPPFKPAFVWPAIDGGLDTTTSTASSHVSTNMTSTSTWSGNFMGGSRSHEPPPPEQDASDSVV >OGLUM08G21610.1 pep chromosome:ALNU02000000:8:24206552:24211937:-1 gene:OGLUM08G21610 transcript:OGLUM08G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXL7] MAKKLDAVVEEKLKGSELAAMAQVELEVDSVLTGEEEDESLLLSMSSSSNKLQTREGWWTTFVLYHGCCMDRQAATSVSLARAQFVPRDDDALLATYPKCGTTWLKALAFAVANRFRHRVIVDGAGAGAGDHPLLTQSPHDLVPFIELPFRHNHPVAALDAIPSPRLLGTHMPHHLLPPRANELGCRIVYLCREPKDVVVSTWHFMNKVIDGFSIDFDKAFELFVDGCSPFGPIWNHCLGYWNKHVEELDRIIFLKYDDMMADPAGHVKKLAEFLRVPFTDDEVDAGVVEEVVRLCSFAKLSRLPVNSSGVVDRSSGRPMENSVFFRKGEVGDWKDYLTEEMAKKLDAVIEEKLKGSGLTF >OGLUM08G21610.2 pep chromosome:ALNU02000000:8:24211946:24212870:-1 gene:OGLUM08G21610 transcript:OGLUM08G21610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXL7] MAQGQLDAQSVVVPTATGDEGDDILVSVPTREGWWTTFVLYHGCWMTRQTARSVSLVRAQFAPRDDDVVLATYPKCGTTWLKALAFAIANRSSHPVAAGDHPLLTQSPHDLVPFIEMPFRHIHPLAALDALPSPRVLGTHMQHHLLPPRVDELGCRIVYLCREPKDVIVSLWHFINKVKSGTGKTT >OGLUM08G21620.1 pep chromosome:ALNU02000000:8:24216411:24217003:-1 gene:OGLUM08G21620 transcript:OGLUM08G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXL9] MESSVFFRKGEVGDWKNYLTEEMAKKLDAVIAEKLKGSGLTFGAVYAWVPNKLQ >OGLUM08G21630.1 pep chromosome:ALNU02000000:8:24224678:24225394:-1 gene:OGLUM08G21630 transcript:OGLUM08G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFHVTDRLGCKLTNDSVITYIEQAGGFSWWFLDSGTSSLGMWNGPTRPMALEGLTALELTGADRTRLISEVFAVLADMDCGVVEGRTWMHRVHLGYLIFLRDEETDTERMARIEAASDTSFAATPAAPAAAPWPPSPPPPSRTPSAASTLDKTTSKTTEGGDLLWFLEKKEVIYPVFRLRDVIHTRAGVEGGELDLFLRTTHHHRQFHRAAVPDPPIFAA >OGLUM08G21640.1 pep chromosome:ALNU02000000:8:24231194:24235759:1 gene:OGLUM08G21640 transcript:OGLUM08G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAASEVFMETTICCAETPRASYSSSYLQQCHSRSVVSTHGNVLDISPRLSYHKPTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKHGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNSADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >OGLUM08G21640.2 pep chromosome:ALNU02000000:8:24231194:24235759:1 gene:OGLUM08G21640 transcript:OGLUM08G21640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEGGQDGSNGQPNSHLLVQPPTSNLYYSLRFIMSRNPWKTQHQRCSWKQPFVVQKHQELAIHLLICSNVTREAHMEMYWTYRLDSLITNLWTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKHGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNSADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >OGLUM08G21640.3 pep chromosome:ALNU02000000:8:24231754:24235759:1 gene:OGLUM08G21640 transcript:OGLUM08G21640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKTTNKDKMLRRRYSLNLPEHLPEHHVITSAEQSEKTISKSVADLVWEIAALEEEVVRKELHLLSLYRAAFDQHLGVSPRVSTQVDQEIHHQKSRKKADEGALRLRNIKESASYNLPTVSTVSDSKHGLSRSSSGHSSLANFLSASIAEYVPKISCKLSEDIVRCISAVYCKLASQSSQNSADFETLSTPSFSSSSSTFSLKHRVDSWSPRCHYNVNTSSDKYDSLNEKSEQYNGMIICPRIYIDAEKFEYASKMLETVRSLIKRLEKIDPTKMAHEEQLCFWINIHNALVMHAFMAYGLQEKRMKNTDMILKAAYNVGGLSVNAQIIQNSIIGCQSHRTSVWVRTLFTPLKKSASGSSIHPYALHPPEPLAHFALSTGAISDPPVRLYTAKKVNHQLDQARTEFIQASVIVRKQTIFLPKVLHHYAKDAALELPDLVEMACEIMPEAQQKEIRQCLRRRIDKCVEWIPFKSSFRYTIHRSLAE >OGLUM08G21650.1 pep chromosome:ALNU02000000:8:24232263:24239982:-1 gene:OGLUM08G21650 transcript:OGLUM08G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGESTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVPPHLLLSSYPLRLPPPRLHDQLLVSATFPRSGSTRGGRPMASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKHDLTCHLNQVRQLESSILRIMMENFSIVLKIDPSKVQGMYLDKKMNS >OGLUM08G21650.2 pep chromosome:ALNU02000000:8:24235973:24239982:-1 gene:OGLUM08G21650 transcript:OGLUM08G21650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGESTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSVPPHLLLSSYPLRLPPPRLHDQLLVSATFPRSGSTRGGRPMASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKFAMKFGLLAAV >OGLUM08G21650.3 pep chromosome:ALNU02000000:8:24235973:24239982:-1 gene:OGLUM08G21650 transcript:OGLUM08G21650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGESTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSRTSLLRFLLIFFSPLIPFAFLLPAYTTSSWFLLPSLGLDQQGESQSLTSCLTSILAPRGGRPMASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKFAMKFGLLAAV >OGLUM08G21650.4 pep chromosome:ALNU02000000:8:24237607:24239982:-1 gene:OGLUM08G21650 transcript:OGLUM08G21650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTKGKVCVTGASGYVASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWNLTGAKERLELVRADLLEEGSFDDAVMACEGVFHTASPAAVLDSAINGTLNVLRSCKKNPSLKRVVLTSSSSTVRLKDEADLPPNVLLDETSWSSMEFCESLQIWYAIAKTLAEKAAWEFAKENGIDLVAVLPTFVVGPNLSHELSPTTTDVLGLFQGESTKFTMYGRMGYVHIDDVASCHILLYETPRAAGRYICNSAVLDVNELVTLLARRFPSYPIPKSLPCVYGEQTYGFSTAKVRELGMKFRDVEEMFDDAVDSLRAHGYLLNSFDDD >OGLUM08G21650.5 pep chromosome:ALNU02000000:8:24232263:24236974:-1 gene:OGLUM08G21650 transcript:OGLUM08G21650.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGSSNAGSLTQWLREKGFDEEAIGRMSRRCKNLHGLDAGEASGVWDYLLNVVKIERRKLRYVVTKCPKVLTLSVDDKLVPTVQCLTTLQAKPGEVAQAIVKFPQILFHSVEEKLCPLLAFFQTLGISEKQLAKLLMVNPRLISYSIEAKFSQTVDFLVGLGIDKEGMIGKIMAKEPYIMGYSVDKRLRPTAEFLKSAVGLEGSNLQRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKDQVMALVAGYPPVLIKSVKHCLEPRMKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQMNSRCSLSEMLDCNQKKFAMKFDKKMNS >OGLUM08G21660.1 pep chromosome:ALNU02000000:8:24240650:24243700:-1 gene:OGLUM08G21660 transcript:OGLUM08G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHDGGGGGGGDGDSLFEGMVLFTPSLSVDPDPAPPVAEAPDPKPPTTRDDAAVAGADGAASQQQPPPPLDEDLFSDLTLLSPQSPVDLSGAHQGQDQDHSAAAPPSPVPAPAAPVAVLARQASSSASSSLRKKKRAVRIGYGRSPQPAPPSPPAVAIAATTATAAATASVIHGSVLPDASMHHQSAPTIPVHHLEHLDNGVEQAAAVAVVDPDENSLHVKEEDGELEEDGGAGAEAMGIEERLALLRSQISGKLESIQQRATAVAAKRRQLAGRRRKVAEDVGSAASRHKDLERELEEACEAEDFERAEKISDSLAALEKDKDRLLTALRDAELNYDSVDLELQDVLDSRIAAEEEAASLLEHFAKDATDHTDSVSKEAEEISLKEIEGWRESMELLETKKLETEVESELVLAARSGLEDSIEHLVEDDKREKDMLSKKGDTLAEELTELLKLVRLKEAEIAENNAQIQEVQERITAVVSRFYGSQTDIDLKLKTLQEAQTKMDSEAQALALKKNEIDSFISLAEQKDSKLREIINDCSSEAKACQQSVDIRRKLASSILKSREDRIGLLKMEEEILQDIQVLRQQTSDARISLQEISSRKAVIQQDIATFKQKLSFIDKRGPELEAEKRVAAAARNFKEAGRIAAEAKALNSEKEELHAKLEKAATDLEVIEKDITATTDKIQECEGLIILKEKESAMTSYKRLRLDAAAARAELTAATETDDSEEVEVLRKEAEAAESKAMELKTCYDLELDDNKIMFQPVVPIVFITNSTGQHLLEIASSFGLSP >OGLUM08G21670.1 pep chromosome:ALNU02000000:8:24245944:24246618:-1 gene:OGLUM08G21670 transcript:OGLUM08G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRSSGGGGGWGVRMASSARRRRRARGLGDGAAAIHMCRVDHLNGSDVFFSVGGETFHAHQALLAGRLLVFKALLLGSTAEAAACSITLNDIKPAMFEALLHFMYTGDFLLAGAHSSSPNSSNANTDMLHRLLAAAHEYKLDRLKLMCARKLEESLSVETVARTLGYAKMCGCLELKSKCLDFFLAKKNIEALATHGYFWLWHNFPSVIQEIKVFWSLECLQI >OGLUM08G21680.1 pep chromosome:ALNU02000000:8:24247475:24258278:1 gene:OGLUM08G21680 transcript:OGLUM08G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEFFKSLLAAADRFALDILKLICAQNLWERMTDESFAATLCKLDYLESEKIAIDDSLPETKVSAGEHHARIRLYPRGIEGGHGEHVSIFMFIDDVDDDDPRIDAAVFEVFLTDKHGAPSPQHARRSTEAGGTRVMGWHHFIRRGDLESSHVVDGVATFVCGLVVLRDDDGDDRRRWRPCIAVPPPNLAAHLAGMVGCPDGSDVSFSVGGETLIHAHRAVLAARSPGGAPRLHGGGHHAVRHTLHDIEPATFRALLHFVYTDALPASSTSSSTAAAAAAVECIDFLMEDSNFKKAAVTDDYLHLYAELPESVSVETVAMTLACNKMHNYTELKARCLDFFMEESNFRKGVVTDDLALASWSWEKNTLVVVDAVACMSSRFSDADLVVADPRSRAKKLAIGKYLPGIRISARELNAEIQLFPRGLKSDN >OGLUM08G21680.2 pep chromosome:ALNU02000000:8:24246672:24247471:1 gene:OGLUM08G21680 transcript:OGLUM08G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRSPTRSLPSIRRCPVTILISRSPTPAFGVPTQPCVMDLVSNFIEHKVEYLEIQKLARLPKLTISAGEHNASLLWWLSPRQQDNGEYLSFAVAMLNETDTYTHLVFEIFFMGKDGLPAVQHSQRSLLVRKASQGFRFDAWWRFVKLSELDSRYVVTFICGLIILRNHNEPIAVPPSNLGNQLGIMVGSANGSDMSFSVGGEMFHAHRAVLAAQSSIPCVTLDDLEPATFRALLHFIYTDALLP >OGLUM08G21690.1 pep chromosome:ALNU02000000:8:24252774:24254519:-1 gene:OGLUM08G21690 transcript:OGLUM08G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRSSALNTGDRAASTARCAWMSVSPPTEKDTSEPSGQPTMPARCAARLGGGTAMHGRHRRRSSPSSSRRTTRPHTNVATPSTTWDDSRSPRLMKWCHPMTRVPPASPTTDLRAPPRVLRRRRAMLVRQEDLEDGGVDPGVIVVDVIDEHEDRDVLAMAALDPTRVETDPRMVLPGRDLRFWQRIVDGDLLGFQIVELALDETGGQHKIG >OGLUM08G21700.1 pep chromosome:ALNU02000000:8:24258362:24259294:1 gene:OGLUM08G21700 transcript:OGLUM08G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHHHPRTMQTGKNDRLFWRFVGWYRFVKRSAVDSLHAIDGVVTFVFGLVILRDDRHHPIAVPPPNLGGHLAAMVGSADGSDVSFSVGGETLIRAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIELATFRALLHFVYTDALPPRDILSPSFFKKLFAAADRFALDRLKLMCAQKLWESVTVETVAETLACAEMHSCPELKSRCLDFFVEEKVVVTGGYLRLMQGFPSVIDEIKARLEI >OGLUM08G21710.1 pep chromosome:ALNU02000000:8:24271926:24272687:1 gene:OGLUM08G21710 transcript:OGLUM08G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTMQEAEERERKKKKVAEKEEKITRTPRIHRGSGWIEPWSPIFYAQLCCSGGGGDVWVGRDHHQPARIRFLGGSDRNTAARSRCTGKNTSVDGDDDGGGDFLFAVRAGFLGAGGHTVGARSLLSSDVGVVGSSSSSFFRGALASSDGSGEAVDDDVRAGGGGGAVVLIGGSGGRTRSPGGAAAGELIWAREFLARVWEIKAWLSAREARREEGEDKRGREAVAPWLLNSRPRERREKRKKKLKRFLHGVS >OGLUM08G21720.1 pep chromosome:ALNU02000000:8:24286618:24288273:1 gene:OGLUM08G21720 transcript:OGLUM08G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVARGGMMPAGHGFGKGKAAAVEEEEDEVNGFFVEEEEEEEAAVSDASSIGAASSDSSSIGENSSSEKEGEEVESKAKEVAVEVEGGGLGFHGLGTLESLEDALPIKRGLSNFYAGKSKSFTSLAEAAAKAAAKEIAKPENPFNKRRRVLAAWSRRRASCSSLATTYLPPLLAPDHAVVEEEDEEDDSDAEQCSGSGGGCRPREPTFPPPRLSLHAQKSSLTPRSSNPASSFRSPRSFSLSDLQNAGSYN >OGLUM08G21730.1 pep chromosome:ALNU02000000:8:24292590:24292877:-1 gene:OGLUM08G21730 transcript:OGLUM08G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRSSPPAPEIRSPELDGAEDTDVEEKFDDAFDIPHKNASHDRLRRWRAWL >OGLUM08G21740.1 pep chromosome:ALNU02000000:8:24292888:24318823:-1 gene:OGLUM08G21740 transcript:OGLUM08G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXN8] MAQGRLLTLLPPPPPESRSTGRDRSEESDVEGMLNDPFDVVPQKKKKEASSVDRLRRWRQAALMLNTSHHVICNLDLKKEEEKMREICRRASTSDTGNLSSFQEVIRAAFRIKQVEGKDLRVTHGAFPPDVPVPALMLEPCAGQKLGLFVSAPEVAIRMLRDQGRPSPFEPNFGRPEPRKIRSVTPDGMTGDVRTGDGDSEYVLEMEEADSSAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVLRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKSILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGTISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKDPDGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPVGRRHAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPTCWRRASRRGFEAHPPYLRRGTLDDDNSNYDSDGRGSGGWANLARSSGGPPHVRRAGTHGYSPFCPAVGLTWQRVRSFVEASHHSRAQRAENDERVIATAKRGHDNTKLHGERGRRPVATPQGPGGVSCESATREGASRCWWNLPEGSRAGVGATAKCAEARGWSVSSLLSCGRGGEGGLVGDLID >OGLUM08G21740.2 pep chromosome:ALNU02000000:8:24292888:24318823:-1 gene:OGLUM08G21740 transcript:OGLUM08G21740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXN8] MAQGRLLTLLPPPPPESRSTGRDRSEESDVEGMLNDPFDVVPQKKKKEASSVDRLRRWRQAALMLNTSHHVICNLDLKKEEEKMREICRRASTSDTGNLSSFQEVIRAAFRIKQVEGKDLRVTHGAFPPDVPVPALMLEPCAGQKLGLFVSAPEVAIRMLRDQGRPSPFEPNFGRPEPRKIRSVTPDGMTGDVRTGDGDSEYVLEMEEADSSAALVLNASRRFRYTLDLKKEEEKEMIRRKIRAHAQVLRAAFLFKEAGEKDLREAYTGIKLETASRSFPIELEKLTALNRDHDSVLLQEVGGVKGLSDLLKSNLEKGISLNADDLLQRRGIFGANTYPRKKRKSILRFIFEACKDLTLIILMVAAAISLTLGMTTEGADEGWYDGGTISDYRQSLQFRHLNEEKQNIQVEVVRGGKRCGTSIFDLVVGDVVPLKIGDQVPADGVLISGHSLAIDESSMTGESKTVHKDKKEPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGMVGLTVAGAVLVVLWIRYFTGHTKDPDGTTQFVAGTTRAKKGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVQAYFGGTMLDPCDDIRAVSCGATELLIEGIAQNTTGTIFVPEDGGDAELSGSPTEKAILSWGLKIGMDFNDARSKSQILHVFPFNSEKKRGGVAVQSDAGVHVHWKGAAELVLSSCKSWLALDGSVQPMSAEKYNECKKSIEDMATSSLRCVAFAYCPCEIERIPKEDIADWKLPEDDLTLLCIVGIKDPCRPGVKSAVQLCTNAGVKVRMVTGDNIETAKAIALECGILDANGAFVEPFVIEGKVFREMSEAARGDIVDKITVMGRSSPNDKLLLVQALKRKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDNFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRQPAIYQIAILLIFDFSGRSILRLQNDSREDAEKTQNTFIFNTFVFCQIFNEFNARKPEERNVFKGITKNHLFMGIIAITTVFQILIIEFLGKFFKTVRLNWRLWLVSVAIGIISWPLAYLGKFIPVPVRPLQDYFKPTCWRRASRRGFEAHPPYLRRGTLDDDNSNYDSDGRGSGGWANLARSSGGPPHVRRAGTHGYSPFCPAVGLTWQRVRSFVEASHHSRAQRAENDERVIATAKRGHDNTKLHGERGRRPVATPQGPGGVSCESATREGASRCWWNLPEGSRAGVGATAKCAEARGWSVSSLLSCGRGGEGGLVGDLID >OGLUM08G21750.1 pep chromosome:ALNU02000000:8:24293431:24299517:1 gene:OGLUM08G21750 transcript:OGLUM08G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSAANVGWPTCRSREEPSNLDRVDIAGRRDNMMKEPKLVRQQKDETEMGTKKNPSSKANRRGREGGRERSWMWSPQAPSQHPHPHLAISRALPPVHSSTRASCKICPAAGSTPVTVVVAVIVVESASAEVGRLEGVGRGREGSEARKWRRGGTSAIAAGKGNKRPGARRHGRGPGEQRSDGVGKTTSYSR >OGLUM08G21760.1 pep chromosome:ALNU02000000:8:24299795:24301171:1 gene:OGLUM08G21760 transcript:OGLUM08G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPEEDDDDQQQQHPAARRCAACRYLRRRCADDCVLAPFFPASRPHRYACVHRVFGASNVARLLQSLPMAERGNAANTMAMEAYWRVQDPVYGCTGIINRLQEEIRAVQCELARTQAHLAIVVASSQQPPPPPPPPPLPSPPPPPQQQQQEEQSPPPLLDPADEFLNLDGL >OGLUM08G21770.1 pep chromosome:ALNU02000000:8:24320233:24325205:-1 gene:OGLUM08G21770 transcript:OGLUM08G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVARASASPPPASASTGGDRKRGRSSPSLPPPPPAPGPPPQGPHGSKRHRRDEGGGGGFDRRRLGPGVGYDNPDDRRYGNDHGGAGGRGGYGDERGQGRNFNRAPDWTDSGRGGWNDGPANSRREGLMSYKQFMQELEDDVSPDEAQHRYEEYKSEYITTQKKAYFDLHKNDDWLKNKYHPTNLEIVMESRRNELARTAANQFLQDLQNGSLDIGPGLTSSSTNKSGNSVDDADANGKKGKLGKSQDDLYYAAPKAHPVSSEPRRIRIDVELAQALICKLDSEKGIENNVLSGSDHDRAEKDKSHGSMGPIVIIRGSSAVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADSRTFNGASSNAAEWEKKLDSFWQDRIQGQDPMEILKAKDKIDAAASEVLDPHVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVQKHLKLKHADLVRELTSKVRENIYFDNYMSDPKAPGGTPIMQQPAPREKVRQRPPIESRLRDERGNRRFDRNDSPTHDGSSDNPDDAYDSYGDPVMHGAFPPDIPAPPVLMPVPGAGPLGPFIPAPPEVAMRMMRDQGGPPPFEPTGGPRPRRPGRGGLPMGGPSPILAAPLPPPHMHDPRKIRSYQDLDAPEDEVTVMDYRSL >OGLUM08G21780.1 pep chromosome:ALNU02000000:8:24326743:24329411:-1 gene:OGLUM08G21780 transcript:OGLUM08G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALAGTAAASLPALPSHQPRSRLAPRSLALPGGRSCCGPLRAAAAGGGGGAKDDAQAGVTPNGSPVIKVTPAASRAVERGEIKAKGFMPKSDSALSSQNGVLGSTKTDKPHTTLSTHTTTDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCTVMSGMHHRRTGYPFGSLVDFSNDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYVAKHQQWASQQWGNFYYYRMQNISDIYFIGGFGTVAWVDVKEYEAIQPDKIAVDGGEQSLKELNAIFSKPLREFLSSEGEVDDAALISVDSKGIDIRVRQGAQFNIQRLAFDVPHKVETLEEAKRALHEIIKTTSK >OGLUM08G21790.1 pep chromosome:ALNU02000000:8:24330829:24333507:-1 gene:OGLUM08G21790 transcript:OGLUM08G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17365) TAIR;Acc:AT3G17365] MTGGGGGGGGTAAQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLAVYVRRHHRLLLAGCGNSVFGENMVDDGYQDIVNIDISSVVIDQMKKKYRDKPHLKYMKMDVRNMAEFESGSFDAVIDKGTLDSIMCGQNSQENASQMLEEVNRILKDKGVYILITYGDPSYRLRLLKDLQLWTVKLHVIDRWERSREPSWELTKPLPLDGDSTSVVSLLGPKPDVHYIYVCIKGSDDGKSHLDSRAEVNGEA >OGLUM08G21800.1 pep chromosome:ALNU02000000:8:24340879:24344264:1 gene:OGLUM08G21800 transcript:OGLUM08G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQVESGSEAAAATAAAAAVLTAPLSLEGGLAAELRPANLVQRVLSLFRNVRPGSDLSHFQLPATFNLPKSQLQLYGEGVYCVGKDYLRRCAKGSDAVERFAAVVGWSISTTRPPIFGFAPYNPVLGETHHVSSGSLHVLLEQVSHRPPVSALHATDDDGEIELVWCQNPIPKFHGTSVEATVKGMRHVKLLKFSENYEIDCPNLLIRLLPAPSVEWSGTVRIVCKESELEAELIYYRSNAFLGLGGDPRCVKGKIFSSRSGEIICEIDGHWDRIVSAKDAKTGKVSVLYDAESAIAVLKTPVVRNQEGVSPSESVVVWGEVSDAILKKDWERSSQAKRRVEDTARRLDRERNDKGEVWIPKHFSLSQDKNGSWECSPLEKSVPPAPIIVPS >OGLUM08G21810.1 pep chromosome:ALNU02000000:8:24344641:24346011:-1 gene:OGLUM08G21810 transcript:OGLUM08G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRSWRAPACVIFVLALVAQWWSSSMAASCSFTISNHCAQTIWPATLAGAGTPQLATTGFRLDPGQSVQVPAPAGWSGRIWARTGCDFSGAGSGGAAAAAGAAACQTGDCGGRLECAGTGATPPATLFEVTLGKGGGGGDLDYYDVSLVDGYNLPVVAVPQAGGATGGGGGGCATTGCTADLNRCTCHTSMHASCTRVERVCADVSISAACPKELQVDGGGGGGTVACRSACEAFGEEAYCCSGAYATPATCRPTAYSAIFKTACPRAYSYAYDDGTSTFTCSAAAYTIAFCLPPTGSNTSGATPLISSPANGQNSAGGDASSTPPPAGNNGAGISSNQPPPPTSVYQLPPPADVNGAGGTYQPPPTEDSNGAGSADQPAWLTMPSSASKRMMPSSSAASTRHNQLRSLLLLLPALLLFRF >OGLUM08G21820.1 pep chromosome:ALNU02000000:8:24347253:24348647:-1 gene:OGLUM08G21820 transcript:OGLUM08G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 family protein [Source:Projected from Arabidopsis thaliana (AT5G56940) TAIR;Acc:AT5G56940] MVVRIRLARFGCRNRPFYRLMAADSRSPRDGKHLEVLGYYDPLPGKDDGKRMGLKFDRVKYWLSVGAQPSDPVERILYRAGILPPPPMLAMAHKGGPRDRRPIDPMTGRPLDLEGVTVVDDSHTPKSGDGAPNEETA >OGLUM08G21830.1 pep chromosome:ALNU02000000:8:24357051:24362393:1 gene:OGLUM08G21830 transcript:OGLUM08G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLLVWDKKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVNVSASCVAKLSPLKKSVVEPVNENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >OGLUM08G21830.2 pep chromosome:ALNU02000000:8:24357051:24362393:1 gene:OGLUM08G21830 transcript:OGLUM08G21830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGEERRRFSNLRGVRWRVDLGILPASPGASVDEHRRAAADSRRRYVSLRRRLLVDPHVPKEEGRSSNLIVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPEYGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDPKWSFGADNQNDSERSSKSNTLDELDPDTKEIILLSDAYGAEGELGIVLSERFMEHDAYSMFDGLMDGGSGVVRMAEFFSPSSVGSSSNLPPVIEASSALFHLLSIVEPTLHNHFIELKVEPQWFALRWLRVLFGREFCLNDLLLVWDKVFACSNNMLLGSDEEYNFRILCSDRGAFIAAMAVSMLLHIRSSLLATELDVFCLQRLLNFPTNIDVQKLIEKANSLQSIAIDANTSSSSFLLKRDSYEFDRVHSNLSSSTPPRTPLHPVSESYWEEKWRNVHKDGTTPKEVERGNSFSKQLKKSLTQKLGLSRTESDPSPVKVLSVSSNDTRNSVRRCLLNTLSDDLDRSNELAGKTQEDEFPIISVHREHPLSSAEPSKLKAAGENVNVSASCVAKLSPLKKSVVEPVNENATQGTKCVPEACSSGENSPVFYAARASAGNEPLNGQDTDSESSSVTSNSFAGDHDRDEILKDEPSSSNDDNKTIQDSEAASSDKSPDRNGTSERAVVSNERKPFISKFQWLLKLGRPSVEGNMEKSSGETSADKQDGDTSCSPSDGNSNNSRGSVKLASGDKKVMGSLKNLGQNMLENIQVIESAFQQDRGQPGPVENFSNNILGGKGQVTAMAALTELRKISNLLSEM >OGLUM08G21840.1 pep chromosome:ALNU02000000:8:24363923:24366086:-1 gene:OGLUM08G21840 transcript:OGLUM08G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSVPAARHRWLPWNGLARGSSRTPHCHAAAAAVSSWHVHDSSSPCASSWSWASQVGDRIQLRPFSAAPAIVGDGIEDVDDRRVKQMRRRRDARIAQKAFMEYLHVTRGICFSDAEHMSKRSPIFISKLLEKVKDAAKEPTEEGEEVPFRSKVKKREMRDERVSKALVRLFNFHPINEFEPFFESIGIAPGEYEPLLPRDLMFLNDDETMLDNFRVLCNYGIARTKIGRIYRDATEVFSFGHGVLASKLKALEDQGLSKTSVIKLVMSSPVILVRDPNVELKILRWLDDVGIQRDWLGRFLSVKKSYNWGKMVQVPQFFSDLGFTNEVDNFTRNLRRGIFFLAEIGVSEEDIKKFVVSNGSILGSVQLKKPNSIMTHLSVGKKRLCRMVMEDPQLLMKFSLGAKVSRIPKVDLHEASFKEKVKFLQNLGFMEGSEGMTRGLKAFRGKGDELKDRYDFLVKTGLDPEHVVQMITMAPHVLNQKIHVLESKISFLVNDMGYPLSTLRLINLEVL >OGLUM08G21850.1 pep chromosome:ALNU02000000:8:24372696:24373870:-1 gene:OGLUM08G21850 transcript:OGLUM08G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPFPKRRRLMAPPPRSASAAAAAGGGFIPDDILFSEILVRLPAKCLVRFQSVCKLWRATIISTSFARRHLEHSRPRPSMVVMPRMFLSNPKKFKLQGVPARAEQGCRAIPMFSMPLHCDGLILIPCVSGEIFVCNLTTSEFVELPRGSHSVALEHRVAFGFDPWSGKYKVARHFLRSSHSGGESRAGHEILTLGDGEDCWKWKATIDPPYPINARTPICLPGFFYWSALHSTTGHGLSKVSSHVILRFSLRDETFTVHSNPPCRGFLSNNDMLCQLGGKLCYVHSASPCELTIWLAADGPSLAWSLRCRIRLPIPRQLVKYVIRILGPQYIRISFLVGFPIRCLSIFPIYTLVSRTGRGDVPIVIPLRL >OGLUM08G21860.1 pep chromosome:ALNU02000000:8:24380451:24380663:-1 gene:OGLUM08G21860 transcript:OGLUM08G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTYNDKPFDAKVMACMEIYSWKVTELRGGLEWPLHVFGFIAVRDLLDHKSNFIFNRDRDDAQRLTGTA >OGLUM08G21870.1 pep chromosome:ALNU02000000:8:24381281:24387472:1 gene:OGLUM08G21870 transcript:OGLUM08G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHALVLLSFFRNTVKFGPNRYHFGTDDHQIRFPDDPRDRIWQKYEDVSEWTDVPDTVNGIVQNSPNDTYNVPSAVMRSVSTPLNDSRMDLSWSSDSSMNVDIATKFFVVLYFAEVEAIQGNALRQFDIILDNNTLVSAFSPIPMMTSVFSGIVQGSGSHGISLVATSISNLPPLISAMEIFVVRPLNESSTYSEDAHSMMIIQTKFSVKRNWAGDPCSPATFSWDDLNCSYTPHGPPRITGLDLSHNNLSGPIPDFLGQVPSLIFLDLSSNNFSGSIPTNLLQKSQEGLLTLRTENNPNLCGTDKCGLILNQSKRKTKLVLEVVPPVKEETRFKYKELKLITDSFKTIIGRGGFGPVYLGYLENGTPVAVKMRSQTSNQGNTEFLAEAQHLARVHHRNLVSLIGYCNDKKHLALVKEIFTLNPSLGCNALTLRWTLANGNQQASKTGIPAQVLQSTIDP >OGLUM08G21880.1 pep chromosome:ALNU02000000:8:24412348:24413241:-1 gene:OGLUM08G21880 transcript:OGLUM08G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPPLPFALAAALLLTIISGHLAAPATADGYVGGLAIYWGRHADADEGTLRQACDTGRYTTVIITFYNVFGYHPGNYNLDISGHDVTAVGADIIHCQKSRNVTILLAIGGYGGGYSLPTSQSAADVADNLWNAFLAGRRAGVSRPFGHDAAVDGVDFFIDQGGADHYDELARRLHGYGAGVILTATTRCSYPDHRLEKALATKVFDRIHVRMYGAGEIERRCVISSRYSWEKWAAAYPGSKVYIGLVASPEQDEAWVFQKDLYYEYLQFVTKLPNYGGLAVYDRYYDKKANYTGEG >OGLUM08G21890.1 pep chromosome:ALNU02000000:8:24415713:24416651:1 gene:OGLUM08G21890 transcript:OGLUM08G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQRRRSPPTILAAILLLSFLATANLAGAIDPAGRRRNVVVFWGGNKNEGSLRSVCDSGLYNIVIISFYSLFGHGRYWDDLSGHDLRHIGADITHCHFKAVYVLLSIGGGDGKDYSLPSSKSAADNLYNSFLGGSRPGVYHPFGDDVTVVGIDFFIDRGRPDHYYEIAERINYDTRHWRDPIGFKLTATVSCAYDDSDPRMKKALETYLFRRIHVRFYDDPRCSYNHAGLAGVMAQWNRWSASYPYNGKIYLGLAAANLTGKNDMVAVGELYRKLLPAVQKTDTYGGVMLWNSYYDSITHYGRYVSAWA >OGLUM08G21900.1 pep chromosome:ALNU02000000:8:24418179:24418889:1 gene:OGLUM08G21900 transcript:OGLUM08G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKASTVAEKVPKKPRREDTATADGGPTVEDEPTVVAPGCPEFIGGLVVSFCKRSGSVVPAAHHAPSTEQWEGSHKAPCPAGPSFKADPHHTVEVNFPVRSTRCAGYFDFS >OGLUM08G21910.1 pep chromosome:ALNU02000000:8:24418858:24422936:-1 gene:OGLUM08G21910 transcript:OGLUM08G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXQ7] MAQLGQLDAESNVVVGPTATGDEGADIVLASLPTREGWWTAFVLYHGCWMTPQAATSVSLLRAQFAPRPDDVLLATYPKCGTTWLKALSFTIANRSRHPVAGAGDHPLLTTRPHDLVPFIETPFRHLHPLAALDALPSPRLLSTHLPHQLLPPRVAELGCRIVYLCGEPKDVVVSLWHFMNMVGNNGFFLDLDRAFELFVDGFSLYGPIWDHCLGYWNKHAEEPDRVLFLKYDDMMADPAGHVKKLAEFLRVPFTDDEVDAGVVEEVNRRSTKDVVRRNQNIPRT >OGLUM08G21920.1 pep chromosome:ALNU02000000:8:24431754:24433280:-1 gene:OGLUM08G21920 transcript:OGLUM08G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSVGVHGILLLAAAAVLAQCLLAAAVNTPVVQCASGTTKCTVTNAFGAFPDGSTCHVAAAAFPATEEELVRVVAGAAASGTKMKVATRLGHNFMKLSCPGGDGLVISTNALNRVVGVNAARMEITVESGVTLSVSGKGGAVHEYVTGMRVVTPAPASEGYAKVRVVNAGDPDLDAVKVSLGVLGVISQVTLALQPLFNRSVSFRRCGDGNLAERAVAFAGEHEFGDVVWYPARGEAVFRIDDRVATTKTNTISSGDFQNVIQSSRSTEQQQHGDVLPFQAGISVPLSRASAFIRDAQRLLDMNPGALCGGENGGILIRYVKASTAYLGKTEDSVEFDTTSCRHDGDAAMPEEMEQMALRKYGGVPHWGKNRNVAFDGAIAKFPKAGEFMKVKDAYDPDGLFSSEWSDQVLGVAGAGGVNVARNGCGMEGLCVCSEDEHCSPEKGYLCRPGMVYRDARVCRRVAGDAYADA >OGLUM08G21940.1 pep chromosome:ALNU02000000:8:24454541:24456805:1 gene:OGLUM08G21940 transcript:OGLUM08G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVLLLAIKKIGIALANEVTSKAISQFAKHAVQLSELQGSMGRIMRELRVMHDFLCQMDIRSRNNQVYQGWLEEVRKMVYVMEDMVDEYMYLVGRERDLGFRFFLKRSFRQPRSMLSLDRIASMVKETEKNLAHLSQTKERWVPITNNGNSSYNIVQGPQDLASISCSLSEEDLVGIEDNKQKLVDWLEDGDPTCFLIVVHGMGGLGKTSLASAVYRKERENFDCHAWVSISQTYSREDILRSLIVEIFRDKPNAPSNIATMDMTALQDTLKSSLEQKKYLIVLDDVWTPQVYNNDLFGVLVPNLKGSKIIITTRNANIGHLTVPERCLELKHLSECNSWELFCRKAFLKQQECPEELKDLLEQIALVCARQDPSGIEQEGFVESRGRSTTEELAEGYIKELVHMNMLQLVEKNSFDRIKSFRMHDIVRELAVDLCRRECFGIVYNDEDKRESIDIEEKDGHRMVIQRLIEDNNQAIISSLHRLRSLIVLDKSTPPSRILPMIVENSRYMSVLVLTRLPIDKVPDAIGDLFNLRYLGLRHSKVKFLPDSIEKLSNLLTLDLGDSEIQGLPSGIVKLKKLRHLFAQKHNDQSGRVLEWCNGVCIPKGLEQLTELQTLQALELHDDGSVRRLKELRQISPKDNRCSGSGSWLWWWWWWCSSLPPPSPSPPAALPPSPF >OGLUM08G21950.1 pep chromosome:ALNU02000000:8:24467159:24469530:-1 gene:OGLUM08G21950 transcript:OGLUM08G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGEVPGPPEADPLEGIITFTLNLADVDKEQLTLILYPSCANTLLRGHHLLVEIAPPHPSMESCSFLSVSGIRFPKDSRKGQMHVEQGIQSQDMIRVLSSEKVLKMQETHNVTGHGEGFKLRKGSEDAGDTQYKY >OGLUM08G21960.1 pep chromosome:ALNU02000000:8:24472408:24476618:-1 gene:OGLUM08G21960 transcript:OGLUM08G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISRLLLLLAAAVVLVIATGVAAQEEAAEAVVEVEEGIVERAKEEAEAVALRAELQQLRDKISGLESGISERSKELKAKDDSIAKLEKLIEEKSQKIASLQSEITSLQAKGSVAAEEQAGKANARAVELEKQIDKLKKDVEAQSVKKATLENRANDAEKRVQELNAKIDALQKTNDEQKRKLQSTERALKVAEEELMRLHLEATTKSKQLTEVHGAWLPPWLAAHSAHYMEVISGHWNEHGKPAINSFLQKASEKSAQAKKWAEPHVETAKMKWVPVKEKLVVLKKNTEPYVQKVSSKSVEVYEASRDAVKPHVAKVKEFADPYFQEAKKFSKPYIDQVAEVTKPHVEKVRTTLKPYTKRAVRVYGSFLESATTYHRQAQSTILDYLHQHEVSKSLATKELVWFLASALLAIPVYIIYRLLMEAFCSKKPKRPPHGGNHGHRRHKRRHADK >OGLUM08G21970.1 pep chromosome:ALNU02000000:8:24480236:24486150:1 gene:OGLUM08G21970 transcript:OGLUM08G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT3G20260) TAIR;Acc:AT3G20260] MDFFKIKKLGKARKSGGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEEDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQGPKTPWFTIGNMSRMTYYAVLILKDFCQSRLTAGLGTVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >OGLUM08G21970.2 pep chromosome:ALNU02000000:8:24480295:24486150:1 gene:OGLUM08G21970 transcript:OGLUM08G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1666) [Source:Projected from Arabidopsis thaliana (AT3G20260) TAIR;Acc:AT3G20260] MDFFKIKKLGKARKSGGGGGEVVESEEETKAGNNAASDEQKGKILEDDPAAAAAGAGMDADAGNGAVEGQEEEDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGEEEEEEGSSSREWMESDVGDGFPLCGFDSLYEKYCERMAVFDKMITQLLKDPGSFNISKKSPRSASKLASTLRNLSFKRRDDLQEDCEHLQQQQSEDDPYQTLETAYVGHVSLSWEALHCMYVHLSLILAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEQGSRVEIYARSRSSLSKLLQVPTFQGPKTPWFTIGNMSRMTYYAVLILKDFCQSRLTAGLGTVADGKYNAEDQVEPSIFASDLIKLLEESIMTFRLFLKKDKKKNSALMSVHSHTGSSIQQVQSSLDKKEVKVKELFKKKKGWKSKTWPATMEEVQLLFALIDIKVVSRVLRMAKLSKEQLLWCEEKMSKLDLSDNKLRRDGSPILFPC >OGLUM08G21980.1 pep chromosome:ALNU02000000:8:24491408:24506619:1 gene:OGLUM08G21980 transcript:OGLUM08G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFVSPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYTSYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALDVMATESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDHPLLLFTSNCILSLVFFNLQVQHSSDSIYALILSGDTESDS >OGLUM08G21980.2 pep chromosome:ALNU02000000:8:24493198:24506619:1 gene:OGLUM08G21980 transcript:OGLUM08G21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHFAYIESLRRVSMALQWFVAGDDHHELIFDPFVSPLKQQKPELLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEQPRPVETIRIESHYPTDNFGGMDRFFAAQSSPMRPSSYYTPPYDRPNYPPPSPQEPVRNSYYMSYDRPSYPAASPQEPTRTSYYTSYDRPSYPPPSPQEQESSQWDFFWNPFSSLDSFAYPRPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQQHEQVHQKEEQVDDDDNDDSDDDDDDDDDDDDDGDDECDHSDERCMPSNGGACPVKTEVNGKQETKGFESKGVQCEPRNKVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLAEAMKDIDSQFLGICDAAKEISVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLAPTSSIEDLYDNETNSCYSEESCSTMSGSHHSTLDRLYTWEKKLYKEVKAGERLRIDYEKRLNHLRNQDVKGDEPSSVDKTRAALRSLHTRMKVSIHTVQSISARIEVLRDEEMQPQLMELIRGLSRMWRTMAERHKAQKRTIDDAKLLFLQRHPTAAAATATAIALSAPDAATPPPAAVALDVMATESAVRLIGGTGSGNWSKDFGAFDSSLGSLSGEGLGFVDNNSGVYGGWRESVPNRSGSAPPSMEGSLAALGHMIGQQSGNLEATLGGKLGNVADSSKSEEQLRADPAYCDYYGSKVNLNPRLPPPLMSRESRRFMNRVGKVKEWRVVSQDDSNKGSLFIPRSTLSTHREEPEDDRSPRLDSSSAEDAQGSGKSGSNFDSHYTCMNLGDFASESFQQKAASLYDSSTHPSNSNTGDGISDHSDINSSTNFSIDAVKTSGLNSWTPVPVTNTVRSTHSNSISSTSVPSSSSPDNNPSMQTSQQEKPSIDIKHGNDVPGSGSILTELDTVNSNMKNLRISLDSHDTIHVKQQWPDNVLQQFGPSPLVQGDPIQMIPQGTHLPHVPFVENLSHTQLKLPTGDMQQFLPPPGMTTPFYAPNSFGSPYYQNLHPASVLPTPFGTAGYALSGSALPPVMTSYAPQVSVATPVDSPITPSFSGRPSGFPSPGNLSAGAEFVQPYKMYGQQLGVTMQPSVPDPNFFQFFQHPSLLSYAGINQYNTMGPRVSVVGNPADSFDPQKVLSQPAYPSDQRLQLPRTGIYNSPAARRGGAAPNYQGMTPYVGAPMTYPTSPVFQGQTFTGVFSPGRRNDSVRFQTPSRNMTAYSGVQGQREREKFDDPKACSFLEELKSNRARRVELSDIAGRIVEYSADQHGSRFIQQKLENCTAEEKASVFAEVLPHASSLMTDVFGNYVIQKFFEHGTPEQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMELDQKIELVRELDGNIMRCVRDQNGNHVIQKCIECVPTEHIGFVVSAFRGQVASLSMHPYGCRVIQRVLEHCGGDSQGQCIIDEILESACVLAQDQYGNYVTQHVLERGRGHERTQIISKLAGQVVTMSQNKFASNVIEKCFQHGDMTERDLLIREIVKQTEGNDNLLAMMKDQYANYVVQKILETCNEQQRELLLSRVKGHLQALRKYTYGKHIVSRVEQLCGEGDHPLLLFTSNCILSLVFFNLQVQHSSDSIYALILSGDTESDS >OGLUM08G21990.1 pep chromosome:ALNU02000000:8:24507932:24510552:-1 gene:OGLUM08G21990 transcript:OGLUM08G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMCAHASTPAIAGGDTVLEQLRASRAAIVSVLATAVEAEAAIDAAGDRLGDMYSGLPSSSQLQSQAVAARALRARIDRAAAAALRAVHEAEAEEMRFEGPLDEALLGLQDLFEALLLRLKHPGGGGGGGEVSDVEEEYELGTDDEVDAAARIARTLAGNDCLDICLDIYVKVRYRRAAKAMMRLNPEYLKSYTPEDVDAMEWEALESAMALWGPHFHVAISGVLAAERRLCARVLAPLPPAVWPECFAKIAARIAAAFFRFADGVAAAAAREPQRLFRLLDMLDAVARERGRLDELFSGESATLLAIRERAREVERALARAAAAAFYEFGLRVETHYVAAAAAGESGHVPKIVRYAVNYLKCLASDDYRGTMDAALRAGAGDDDGGDSEALAEAASNVLEALHRHVEAARRALPDAVASHVMAMNSYWYIYMRARGSELASLVGDDTMRRRYKASAEEAAWEYQDAAWGPLVRLVSGSSSGAAKAWPSPEEAREKAAAFADALEERARRHGAEYKIPDGDLREQIKAAAAKAVRGAYAGFLRANDSAVASGGGRREFLPVDAIEGMVRRVFDEMGDGGGVAGSAGRTRSRRQSGNLEGFEG >OGLUM08G22000.1 pep chromosome:ALNU02000000:8:24511583:24515514:-1 gene:OGLUM08G22000 transcript:OGLUM08G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEEEGVWRGGGGKKLALASIGFADVRVGAVAGGGGAGAGGGYKEDLLVVGLPKDDDFDVAKVVGDVAVGLPDVGAAVRNFLRNREVGEFVSGALAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIVEQNGWQGLWAGNTINMLRIIPTQALELGTFECVKRSMTEAQEKWKEDGCPNIQIGNLKIELPLHLLSPIAVGGAAAGIVSTLVCHPLEVLKDRLTVNREAYPSIGLAFNKIYQTDGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAHKKKSLSRPELLIIGALSGLTASTISFPLEVARKRLMVGTLQGKCPPHMIAALAEVFQEEGIKGLYRGWAASSLKVMPTSGITWMFYEAWKDILLAPELHT >OGLUM08G22010.1 pep chromosome:ALNU02000000:8:24516296:24520638:1 gene:OGLUM08G22010 transcript:OGLUM08G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRRRRVGAAVRWLSRLAPPAPAEADPVVVRVDGSNVARLGKPKPGPRPRQLLSLPPFPGGGDGDPLPGRKAAAPRRVTAVSWVKHYLADVPQEVVQAHFNKRLVYSECSDHEVSVETIKSQKHHLKKLLQIKHNDVMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHKDSAILVLNKPPKVPMKGNLPVHNSMDVLAAAALSYGNEEGPKLVHRLDRESSGLLLFGRTKESFTRLHWLFTSVNLAKTNSQVWNAACEAYMQRYWALVIGTPKEREGIISAPLSKVLLDDGKAERVILAHPSGIDGAQEAVTAYRVMGPTIHGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKLRRPEGLEIQKGSVLSKVPLLHLHCREMVIPNIAKFLSSNGEWHENGAPWSKEKPNLLRFIAPMPAHMKISWNIMSSYLV >OGLUM08G22020.1 pep chromosome:ALNU02000000:8:24519730:24520467:-1 gene:OGLUM08G22020 transcript:OGLUM08G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAVREMARHGLPVDSATGNAFVCHYAASGTVPQMEAAYRRLKASRLLVSVAAIRAMASAYISHRKYYKLGEFVTDVGLGRRAGGNLLWNLYLLSFAANFKMKSLQRAFLDMVAAGFTPDLTTFNLRAVAFSKMCMFWDLHLTADHMRRDGVAPDLVTHGCFVDAYLERRLARNLNFAFDRLGAGEPVVATDAVVFEAFGKGGFHASSEVLLEATGGERRWTYYKLLGVYLRKQHRKNQIFWNY >OGLUM08G22030.1 pep chromosome:ALNU02000000:8:24522002:24527265:-1 gene:OGLUM08G22030 transcript:OGLUM08G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAGGNHQQQQQQQQRLRQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVHPNVTESLLIEVFQSSGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHICGQAIKVNWAYASTQREDTSVMLESCGITKPDAPEEAETAITEMTGKWLGSRQIRCNWATKNNAEEKQETDNHNAVVLTNGSSSNPGMEASQDTGSKENPENNPDCTTVYVGNLGHEVNRDELHRHFYNLGVGAIEEVRVQQDKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGNKPTPPGTSSKPLPPPLPSYQPVPMAGVPQGFSAADIVAYQRQLTLSQVAAGQIAGQHGLAGQVSAGLLAAGSQALYDGYPNQSSAQQLMYYN >OGLUM08G22040.1 pep chromosome:ALNU02000000:8:24531252:24532652:-1 gene:OGLUM08G22040 transcript:OGLUM08G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04610) TAIR;Acc:AT5G04610] MAVSSELEGLQDVEPSRFIAFSFPNPLLLLDHASDPYAHGGHEFLRVAVLDHSSPHPSPRTAAMLVPAGRHRDWIFSTRAGHLYLLLASRSQCSHLSRLILVGPELSASSPSRVVVVAAAARPDPDPAHARLLPLLLALCPRAAFGGDAIPDVPLLSFHDDLLRLAPVKVVAGPVVGEMVVEDVAVDCAPRPAELRRRLRFKRMPFLVQTQVRLVRQLSPGDSLMLDALDEVGGGSLQPEVGGELVQPYLQAMAAGLAVIAPSMDESFRLGGKPRCLCAGIGGGALLMSIRMGLQCDVLGIEADGVVLDVARSHFGLVEDEFLQVRVGDAIQMIQDFAHQGDPDMNFSAIMVDLDSSDAICGVSAPPLEMTKESILLASRTILHRDGAFILNVIPPAADGSFYRGLIDVLRHVFSELYEINVSNGENFVLVATVSPVETILADSSGSIMTKLRKLAGDFLEHITRI >OGLUM08G22050.1 pep chromosome:ALNU02000000:8:24533946:24540202:-1 gene:OGLUM08G22050 transcript:OGLUM08G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXS2] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQVAASMRKDADAQIPSYPNLPSKLICILHSVTMLADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWDGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLFANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDRFLPNQACSSSDGGHPWRITGD >OGLUM08G22050.2 pep chromosome:ALNU02000000:8:24533946:24540202:-1 gene:OGLUM08G22050 transcript:OGLUM08G22050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXS2] MASSGGGGGGGEEGEGRGATKVNQELWYACAGPLVSLPPQGSLIVYFPQGHSEQADPDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPRLDFSMQPPAQELQARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLLAGDSVLFIRDAKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSQFTIYYNPRASTSEFVIPFAKYQKAVYGNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKTSHWRNIQVAWDEAAPTERRTRVSLWEIEPIIAPFFIYPSPLFTAKRPRLPGMTDDETEMDGLLKRAMPWDGEEICKKDLNIQNSVVPGLNLAQWMNMQHSSSLPGTVVQPELLNSLSGKPVQNLAAADLSRQISFHPQFLQQNNIQFNTALVPQQNQQTEQLAKVIPTPNQLGSVIIPQKVVQDCNSEQRQHVVTQPVQGSQPNINIPQPQLVVQAQLQQPQVILQAQLQQPQVVVQAQLQQTQPSVQSHTVLQGGLQQIQLLQQQQPHVQHQQIPQQLHHHQQQTQQLQPVQQVQQSVQEHQQIKIQPVHVSMDASMNTQVADHQMKLQLLKALQPQQPLISEQQKMLLDLQQQVINSQSAPQQCVQVTNQAISLHNSNTIQYPTQQKVQSHQVQDLTGNVIPNSKSDIATSMGASSLHVAGGRQLLKTDDVPSTSTSPSTNSNPVLLQSIPSSSKNQSLTTAGKTSQSSVVLGPTIEQDTKPYQNVKQTVMIPKTTEQRPATGQDCINNNPQMDYLDTSSSATSVCLSQADGSLQQNFPPSSFHQHHLLKDTVPDSEFEVTDPRNNLLFGVNIDGQLGLPLNADLFANDIGTDKYMDQLPGNGISNFISSKDSQQELSSSMISHSFGVADMAFNSIDSAINDTPFLNRNSRSAAGPAHQRMRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLGDQNRVGWKLVYEDHEKDVLLVGDDPWEDFVKCVRCIRILSPQEEMQMRLVGDFGDRFLPNQACSSSDGGHPWRITGD >OGLUM08G22060.1 pep chromosome:ALNU02000000:8:24548616:24549326:-1 gene:OGLUM08G22060 transcript:OGLUM08G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVESPGGGVTLKRKGGEEPELFICPGDVDGGLPLACRATKMRRLVRDDDAAAADDVAMGEAPSAAEVAAVGEGAMVVYEPVDSVGGVGLLGQLRRRLRPWASLRAGAEWIRDMLREADSRTVRRLLSGAEEEEEDGAGMALVPWGSAPAAAGDSMAEDTVGVSSSSSEEEEDGEGSAEAMEVEEEGAHLAGAGAAAGCGEGYLFRRWPQHCMPPPPPQQLPAIGQASPVMWSW >OGLUM08G22070.1 pep chromosome:ALNU02000000:8:24552492:24555071:1 gene:OGLUM08G22070 transcript:OGLUM08G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQITPSIKIEGPHNFNIYAKRAINICFLLCYTMLYFVLSIIRLQFKDDGGVAEHGVEIGVEEMREQVDGVSTIRQVVGEEVIRRWLACVHQRLPAVAWALWESNNRRQMAGVEGHVDLAFRFIFL >OGLUM08G22070.2 pep chromosome:ALNU02000000:8:24551694:24555071:1 gene:OGLUM08G22070 transcript:OGLUM08G22070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQITPSIKIEGPHNFNIYAKRAINICFLLCYTMLYFVLSIIRLQFKDDGGVAEHGVEIGVEEMREQVDGVSTIRQVVGEEVIRRWLACVHQRLPAVAWALWESNNRRQMAGVEGHVDLAFRFIFL >OGLUM08G22070.3 pep chromosome:ALNU02000000:8:24549781:24552177:1 gene:OGLUM08G22070 transcript:OGLUM08G22070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAQWCGAAGLDRWVGRWRCTLSPAALPVPSRRTRRKGGVVGCVSVPREVAAAAAVEPAAPPEAEAETELSCMLPELAGALPEQCVVQPCNPHTDRTGPRVSETAVVPCGSSFSRKSNRLPFVTVLTLTSLRRPIYHRRFRSLGARLDPGQGSP >OGLUM08G22080.1 pep chromosome:ALNU02000000:8:24550439:24551437:-1 gene:OGLUM08G22080 transcript:OGLUM08G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G80290) TAIR;Acc:AT1G80290] MASHRRLRLLLLAATLAAAAAAVAGVEEEEAFCGVESMPDAATLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWSNPSTPDSLLSSFPPAVTLHRTASASLNSRFLPHPSIRTAAVAVADDDVLPDAAALSFAFAAWQQRARPGTLVGFFPRSHHLDLARGRWAYGAAARQQARYSMVLTKLLVLGAGLLREYSCSPELAAARAVVDRERNCEDILMNFVAAEESGEGPVLVEAGSIRDWGDPRNDDADAGAGAGGEEMKAVGLSSTGGAAHWEKRGDCITEFHRLLGRMPLRYSYGKVVAAAGGEQALCSKRGRLVRCDKE >OGLUM08G22090.1 pep chromosome:ALNU02000000:8:24554177:24560987:-1 gene:OGLUM08G22090 transcript:OGLUM08G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 1 [Source:Projected from Arabidopsis thaliana (AT2G39930) TAIR;Acc:AT2G39930] MASLPHCLSARPLVVAAAPGRPGPGLRGGARRRNAAVSAGNAGRQVGLRRSVASAVEVRVGEDEEEGVEEEEEEVEVEAVVMPERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGASAASLCLFTPDDLEADEVTEEVPLDPLFNRTGNVWHVFIEGELHNMLYGYRFDGMFAPHCGQYFDVSNVVVDPYAKAVISRGEYGVPGPGGDCWPQMAGMIPLPYSTFDWQGDLPLRYPQKDLVIYEMHLRGFTKHSSSNVEHPGTYIGAISKLDYLKELGVNCVELMPCHEFNELEYFSCSSKMNFWGYSTINFFSPMIRYSSGGIRNCGRDAINEFKTFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFIVDCLRYWVTEMHVDGFRFDLASIMTRGCSLWDPVNVYGSPVEGDMTTTGTPLATPPLIDMISNDPILGDVKLIAEAWDAGGLYQVGQFPHWKIWSEWNGKYRDIVRQFIKGTDGFAGGFAECLCGSPHLYQAGGRKPWHSINFVCAHDGFTLADLVTYNKKYNSSNGEDNRDGENHNLSWNCGEEGEFAGLSVKRLRKRQMRNFFVSLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEESSDLQRFCSLMTKFRKQCESLGLADFPTAQRLHWHGHQPGKPDWSETSRFVAFSMKDETKGEIYVAFNASHLPAVVGLPERPGYRWEPLVDTGKPAPYDFLTDDLPDRAHAVHLFSHFLNSNLYPMLSYSSIILELQPDD >OGLUM08G22100.1 pep chromosome:ALNU02000000:8:24569902:24571183:1 gene:OGLUM08G22100 transcript:OGLUM08G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKDSYLDLLLIPAGIVFPIAYHVWLWHVVRRRPLSSTVGINAATRRLWVLGMMKDNEKKAVLVVQSMRNVIMGSTLMATTAILFCTGVAAILSSTYTVKKPLSDAVFGAHGEYMMALKYVTLLLAFLLSFLSHTTAICTLNQATFLLNTLPSSSSFAADIAGLPVTKDYVADVLERGFLLNLVGNRLFYAGVPLLLWIFGPVLACLCSVVMIPILHSIDVVYVEDGSSKGEANNARVEMVYESDESVMQV >OGLUM08G22110.1 pep chromosome:ALNU02000000:8:24575177:24580173:-1 gene:OGLUM08G22110 transcript:OGLUM08G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEEETSSMSPWSSSLLSAAASSQPLLLNPAYARSKSVIHDELRSFRVFLQWCALDHSSRAARAASYAAFLALALAVPAAVSLSLRADAGASPVSASAITFNRVAQAPATGLAAISFAALASFFRRGGGLRQLLFLDGGLRDDTAFVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFFFATVRVEPPLPLPGVGVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIYHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRAFILSCLVTITVSQLGALVVALSSKDGKSFANSGDLLVGSSVQLSGFFMCLFGAARITHRAQRIVSIASQWHMSMEAVAHHAKSAPLPAAPSSSASDVDAPHQPELGTTTTAACAYRSRHALVTYLCHNSGGITLFGFTLDRGLLHTIFAFEMTLVLWILSKLEKEGLSGELDLAPLKSLTGLRTLSFMDNEFAGAMPDVKGLGGLRAIFLSGNKFSGEIPADAFAGMGWLKKVSLSRNGFTGAIPASLAAVPRLLDLQLNDNKFTGKIPDFPQKDLKVFDVSNNELEGEIPASLKSIDPQMFEGNKKLCGAPVDAKCEAPSPPATTSPPAATSGKIGTSPSPPAAAETTTTGTVPAEEGTQGATKPTKGSTSFGVLAAFLGTLAIIGFAVVALQRRREYNTQNFGPAASTKPTLPSAPASPATKPTHAAAAAATAAAAATGGGGARSSSVSGSTARGGGGKAGEQGRLTFVRDDDRGRFFELQDLLKASAEVLGAANLGVCYRATLTGGHSVVVKRFKEMNRVGKEDFEEHMRRLGRLSHPNLLPLISYYYRKEEKLLIHDYVPNKSLAHLLHGEGRRVKKLVHWPARLKLVKGVARALQYLYDELPMLTVPHGHLKSSNILLNDRFEPLLTDYSLVPVMNQSHSAQLMVAFKSPERRQFGRSSKKSDVWCLGILILEILTGRPPSYDPPPQPEAATANGDLVGAVASTPEGEWLEKVVDADMIRKGEDEESKGEMVKLIKIGMACCEAAVDSRWELKTAVESIEELKGGKEEDANDEHSFYSSIDGDEFASVAIN >OGLUM08G22120.1 pep chromosome:ALNU02000000:8:24581073:24586203:1 gene:OGLUM08G22120 transcript:OGLUM08G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67325) TAIR;Acc:AT1G67325] MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTSPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGPGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANDSSADQDNQLLSKLQLSHDFQDNNEQTKVDPPGGPAGVPTSSHALRMAALNGILEHFVIL >OGLUM08G22120.2 pep chromosome:ALNU02000000:8:24581073:24585821:1 gene:OGLUM08G22120 transcript:OGLUM08G22120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran BP2/NZF zinc finger-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G67325) TAIR;Acc:AT1G67325] MSSQVDNRSQSAGKRARTDGGRREDDWVCPSCQNVNFAFRTTCNMRNCNQSRPTDYTKDMQKPMQTPPPHFPMSGGYMSPGTSPSMYLGGGAPPYGTSLYGGPALPRYGIAQFPGGSGYPYGYGGRLPMGSPYGPPMHMAGPPYSAGSMMGPGGMYGMPMDRYSLGLPAGPGPMGARAGSYSEEGSQKKPAGAGRDNDWKCPNCNNINFAFRTVCNMRKCNTPRPENQGSKPDGARGPKPKMPEGSWKCEKCNNINYPFRTKCNRPSCEAEKPFQTNNANDSSADQDNQLLSKLQLSHDFQDNNEQTKVDPPGGPAGVPTSSHALRMAALSELQNSVKS >OGLUM08G22130.1 pep chromosome:ALNU02000000:8:24589505:24590074:1 gene:OGLUM08G22130 transcript:OGLUM08G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPAAHAPKNLGLRGVRRRLWGRWAAEIRVPRGHRAAARLWIGTFPSPAAAALAYDAALYCFHGGAPPGNRAFNFPHAPRLRIDDRRRHALTPGHVRAIAERYAHDVGSVLFRPLPPPPPPVAAAAVPVFAAPAPPMAPAPANHAADPYYCNEPDTTTDEDVMAAADRLLSMDIEEVAALIAIVQQGE >OGLUM08G22140.1 pep chromosome:ALNU02000000:8:24592343:24593835:-1 gene:OGLUM08G22140 transcript:OGLUM08G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1997) [Source:Projected from Arabidopsis thaliana (AT4G31115) TAIR;Acc:AT4G31115] MRLVAAEPCCLFRISSVAAVAAGRRRPWRAAAASGNAHAEAEGDEDGQSLSARARGRRARLSARRRERIVVVEGGGVGGIGEFLRQPAGVESLLNTRALQSFAAVDEAPGANTFRCTLQSIGFLGFQVAPVLDLRVAPTCHDCTVEMLSCRFEGSGSVEQQNELFSAFMSNHITWKDDGEEPCLDIDVNLEVTLEVYTKPFSMLPLSAVETPGNLLMQGLLDRLVPLLGEQLLRDYHSWVQLQQQHSLKFPH >OGLUM08G22150.1 pep chromosome:ALNU02000000:8:24597677:24607889:-1 gene:OGLUM08G22150 transcript:OGLUM08G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRLDSATSDTAMGRSVWTRQPAIRRWGEASGLGNPSAIHPMGRSIWNPGTIHGEINRPLPIFLASRNDVSSNLCLSVRHHPSIRKPQIHTSLDIIASSCKHILQFGHDCDHGKIATKLKQINLGQQNLKVFGRLIRLWDAKNMASASTPTIFNIDGVILDEEGTMVQFTIPKKLENEFRPSLTLGCVYMFVDVNTVDIKNKKYIYHHQKYMLQFKSSTKVHHLESRGSSIPNYGFEFCPFDQIPSKSGISKPLIGTLDAVAFYNVAEDLVEVNATRATQNLKIDATEHAIALDIAIGKTRLFHIAMNTKYSTHFTINYVLKKSYPVENENTSLILPTLENTKVAKESATKQLATDEGLTTMEHCSKEDQHPTTPPSLQPPETTLDNNTVNQIIPSAKRALQFEKELHIDQPSPAIANTIQVATNQLYHPQQVDLSKEKQPSTEFSPGQNSKRHKKVTETSTNGEENQLQQPKIADQQPSGHKEQAQLIHTNYLQAVSKITASKSIAIYITLQGKSSTDDLSKLQPTQAHVVNYMKNKLPHMHIILDGENVKNNEI >OGLUM08G22160.1 pep chromosome:ALNU02000000:8:24609886:24615068:-1 gene:OGLUM08G22160 transcript:OGLUM08G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRAAAAAASLPLLLLVALLVAAEGRRHKDGGGDEEAKACDKGWECSGSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCGYSVATGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVKNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQPLLTVNKARRTGMELTNVPHIAASSRFFSSCSRCTCRIRRSGKTRIGASAAGRRCSSDDSACSEPSEQQNADFFSGPISSLCYTRRDFASVALLPFILPHVHIASAAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDLVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNIFDNSYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >OGLUM08G22160.2 pep chromosome:ALNU02000000:8:24609886:24613222:-1 gene:OGLUM08G22160 transcript:OGLUM08G22160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLLTVNKARRTGMELTNVPHIAASSRFFSSCSRCTCRIRRSGKTRIGASAAGRRCSSDDSACSEPSEQQNADFFSGPISSLCYTRRDFASVALLPFILPHVHIASAAEPIDGSIIQNGVRNVLSKVKAAGMLRLAFHDAGTFDIADKSGGMNGSIIYEVDRPENTGLNKSIKVLGKAKEVIDLVQQVSWADLIAVAGAESVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDATALKTLFSKKGFSTQEMVVLSGAHTIGGKGFGNPNIFDNSYFKVLLEKPQPSSSGMPAMVGLRTDWALTEDDECLRWINLYAQDQAKFFADFKDAYIKLVNTGASWRSA >OGLUM08G22160.3 pep chromosome:ALNU02000000:8:24613452:24615068:-1 gene:OGLUM08G22160 transcript:OGLUM08G22160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSRAAAAAASLPLLLLVALLVAAEGRRHKDGGGDEEAKACDKGWECSGSRFCCNDTITDYFKAYQFEELFAHRNDRSLAHAAGFWDYHAFITAAALFEPRGFGTTGGKEVGMKEVAAFLGHVGAKTSCGYSVATGGPLAWGLCYNHELSPSQSYCDNSNELYPCVEGVKNYNYGIIGQGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTLSKRYPGFGATMNILYGDLICGQGSIDKMNVIVSHYQHYLDLMGVGSDKAGDNLDCADQVAFNPSSKNLDS >OGLUM08G22170.1 pep chromosome:ALNU02000000:8:24616958:24621733:1 gene:OGLUM08G22170 transcript:OGLUM08G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETGYYDVLGVSPTATESEIKKAYYMKARQVHPDKNPNDPKAAENFQALGEAYQVLSDPTQRQAYDAHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDEHIDTRREEKLAETLKNRLHIYVQGNKEEFVQLAQAEVTRLSNAAYGTVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAAAGAIALMQLQEDLKKYLSAEGHYTEEELEMFMQNHKKVMVDSLWKLNVADIEATLSRVLQDGSVRREELRARAKGLKTLGKIFQRVKLNNDEGEASDMRNIDNMDDNDGSSPDTSPRREPPYNPIPNPPHAQSPYVEAPQFGGTYYPFNFPMPTAPPGAQRDPIP >OGLUM08G22180.1 pep chromosome:ALNU02000000:8:24621950:24622990:-1 gene:OGLUM08G22180 transcript:OGLUM08G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISSSFAELKLEYPGTTTTTNSFAVGDGFDKRVGDGEQSWVIRCYPRGYREEDNGEYVSLRIGVPARSNTVRAIFHTFLMRRDGGVGAPSIICSDRAFPMSVPGHPRGYGGAFRHLVRRSDLEPLYAVDGVVTIVCGVVVFADAGGGGGDDDDGGPIPVPRSNLGGQLGGIVDRADCSDVSFSVGGETFHAHRAVLAARSPVFKAELLGSMAEAAMPCVTLHDIDPATFKALLHFVYTDALPSPSTSSTTTTGFFESLLVAADRYTLERLKLMCAQKLWESVSVETVATMLGYAETYHCPELKSKCLNFLMAESNFKKVAVTNGYFHLRQDFPLIIEEIKKRIES >OGLUM08G22190.1 pep chromosome:ALNU02000000:8:24635252:24640079:-1 gene:OGLUM08G22190 transcript:OGLUM08G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTAASMLASGFIEYKLDYLETQKLAIGECLPGIRISAGEHNATILFFPRGCEGRNGEYIAVFLLLTEIDPKINVIFEVFLMNKDGKPSSLCAKNSSIDVIRGTSSGFRFIGWHRFIGWHRSIGWHRFITRSDLESIYVIDGMATFICGLVILGDGGAIAVPPSNLGGQLGAMVGSADGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPTTFRALLHFVYTDVLQIIEGSSSSTTASTSHHLLHHQRLLAAADRYALDRLKLMCTQKLWESVSVETVATTLGCAEMHGCPELKSKCLDFFMAESNFKKAVKNQKLSVETFHVHRAMLATRSPVFKAESLGSMEETTVCHASRCTTSTWPRSKLYYTSCTSDLLEPLLAAANRYALDRLKLMCAQKLWELVSVETVATTLICAEMYNCPELKSICFNFLTADSNFKKVVVTEGYFHLGQSFPLPTCLSRFSQRQIAYVCPKYLGARVGRDGCHNSDLCRDGCHNCPELSSRCLDFFTANSNFKKVVLTKGYFQLGQSFPLRGDKSDD >OGLUM08G22200.1 pep chromosome:ALNU02000000:8:24659843:24660403:1 gene:OGLUM08G22200 transcript:OGLUM08G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNCPELKSICFNFLTADSNFKKVVVTEGYFHLGQSFPLVIGDIKARIETCDFFEKYIKGSVRFVSQNSLGDIKARIETCDFFTTKIGLKRRRLDVVQRDARHGGLGHGAEELRPEHRRARGEHGPVRVERLAANGERHVRAVGAAPIALSWAPRLGGGTGIGLPWTSLRTTMNPHTNVAVPLSLT >OGLUM08G22210.1 pep chromosome:ALNU02000000:8:24660050:24662183:-1 gene:OGLUM08G22210 transcript:OGLUM08G22210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFICGLVVLRNDDDGDDHVAVPPSNLGSQLAAMVGSAVGSDVSFSVGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLRDIEPATFRALLHFVYTDVLQIEGSSSTSTTDLLQRLLAAADRFALERLKLMCAQKLWESVSVETVIATLCCAEMHSCPELKNRCIDLVVTKDNFMEVAVTKDYFHLGQKASWMTVIGEWVPERRISAGEHNSTIVFHFYSHAGCKYISVFLLLDENEIDPKISIIFEVFLMDKDGKPSSHHAKRTMGPNMETASGLRFTGWRHFVKQSDLQPDHVSDKGTATFVCGFMVVRNDVHGNPIPSYGGSADGSDVSFAIGGETFHAHRAVLAARSPVFRAELLGSMAEATMPCVTLHDIEPATFQSYFCCKEVASFNPRFYIP >OGLUM08G22220.1 pep chromosome:ALNU02000000:8:24674023:24702120:-1 gene:OGLUM08G22220 transcript:OGLUM08G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASGFLELKLDYSATNAYAVGDMLTSDVFSAGGFTWSVDYYTRGYEKEGNNGDYLSLFLKLVTKSNNIKAIFDVFLMEKSGQPSSSVAKRCVQVYPPKGYTAWGWPFFVKRSDLESSHMVDGKVRIMCVVIVLRDDDDNNNGVPVPPPPPPDDVTGHLGRLSVPPPDIGVHLGRLLDGGDGTTDVSFVVDGERFAAHRAVLAARSPVFRAELFGGMSESTSSCITLKDIDAATFRALLRFIYTDDLPAADAGKLNHQGSSMGAFFQHLLGMADRYALDRFKLMCGQRLLHRMTSDSVAEILACAETYDCPELKNKCIDFFAVEENFRRAVFTDGFALLVQKFPLIAAELRKRIVKPMAPASGFVELKLDYSATNASAIGDPINSDLFTAGGLTWRVNCYPRGDKADNNGDYISLYLELVSKSKNIKAIFDAFMVDEHGNPSDGSNRLVQVYPLAGYPAWGWPRFVKRSNLSSVFVVDGKVRIMCVVVVRRDDDGDGDGNRVPPSPGVTGGHLDGGLLPLPPPNIGVHLGGLLDSEDGADVTFVVGGGGERFAAHRAVLAARSPVFRAELFGCKSESTSSSSSSYITLQGIEPAIFRALLRFIYTDELPADAGKLHQGSSSSTNVFFKHLLAMADRYALDRLKIMCGQRLLDNMTPDSVAAILVCAEMYNCLELKNKRIDFFAVEENFRKAVFTDGRTERKKGGGCLLAGRRARRGNHRAGKLASMRGEREEAGRPMNGTGRLARGRGGRRVGWGGRRACEEREKAGKRAEGDSHRAGRPMNGTGRSACARGEGEGGEKGGGRQPSLHGGGGGGEALSPSILVASTPRVFARDAAGSLHPDNSDAAGVHPTASTTPLGSFVLMLVPKSFESDHARVRGQQQPNVCQGLMAGNTATGDCQTGDGDRRVRLREAWAFRRWRLEDRGGPGVPAASRPLGQAPSTRRAGEDTRRGRAERKKGGGCLLTGRRAGSGSHRAGKLASVRGEGGGGEADELAWRGRRRGGPQPVDLPTLFKLDYAAANKNCAIGDIVRSDVFPAGGHAWRIRCYPSGYSKEDNGEYLSLFLELVRESTSNNVRAIFDAFLVEKDDEPSSTHADRGVHVHPTNGYTAWGWPQFVKRSDLESSSSSYVVDGKVRIMCVVIVIRDNTVPVPPSDIGAHLGGLLDRGEGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGSMAESKMSSITLHDIEPLTFRALLRFIYTDKLPADDGGDQLKMAAMATDELFQKLLAAADRYDLSRLKLMCAQKLWEAVSVDTVATTLIHAEMHGCPELKSSCLDFFVQDKNFKETVLTEGYIQQCPPNARLHGVSFVVGGETFPAHRAVLAARSPVFRAELLGPMAEAKMSRITIHDVEPVTFRAMLWFIYTDELEEKDSMATDFLQNLVAVADRYDLSRLTLMCAQKLWEKVSVENVATMLIYAEMHGCPELRTSCLDFFVQEENFKVAVLNEGYAQLVQHFPSENYCYSKLEEFDHSVLITLISVYNQDYGSWILFESIDRYISDSIPLAIAFWFAAPYAGMPDSGSLELILDYEATNHCAILVDGETFPAHRAVLAARSPVFRAELLGSMAEEKMSCITLHDIEPVTFRALLRFVYTDELPADDGGELNTTAMATDQLFQKLLAAADRYDLSRLKLMCAQKLREAVSVDTVAATLVHAEMHGCPELKSSCLDFFVQDKNFKEAVLTEGYVQLVQPTNDGRAIGDVVRSGVFSAGGHSWRIRCYPRGTKELEAESNGKYISIFLELVSKSKNIKAIFDVFLMGKSGQPSSSVAMRCVQVYPPKSYTAWGWPQFAKLSYLKSSSHMVDGKVRIMCVVIVLRDNNAAMSVPPSDIAAHLGSLLDRGDGTDVSFLVDGETFPAHRAVLAARSPVFRAELLGPMAEATMSCVAVHDIEPATFRALLRFIYTDELSEDGIEIESSSSTTTMMVMTSELLQKLLAAADRYDLGRLKLMCAKKLWEMVSVDNVAMTLFYAEMHSCPELKTRCLDFFVADKNFKKAVLTAGYVQLVQHFPSVIDEIRQLVES >OGLUM08G22220.2 pep chromosome:ALNU02000000:8:24702123:24709718:-1 gene:OGLUM08G22220 transcript:OGLUM08G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGGFIELKLRYEDLAAGDFVRSDDIYAGGHTWRVLCYPRGGGEMNSNGQRIGGEYLSIKLDLVTRSTNVRAIFAAFLVHLDGHPSAVHAKSFVAVYPLGAGGRGGRRLVDFVSGDGWVTVVCGVLIPSDSPQALSPPPPPPPSSGGGGGHIGRLLYGAADVTADVALVVGGETFRAHRAVLAARSPVFKAALFGSMAEATAPSVALRDMDPAAFRSVLHFIYTDALPDDIDELAGFSPVDMFQHLLAAAERYELGGLKLLSTKKLLDNVTPENVAGIIVCAEKYGCPELKKKCLDYLAREDEHFRKAATTQGLVHVNMFTGGGFMPFVLDYSETKNHAVGSLVCSDEFSAGGHLWRIECYPHGTKTAAKNGGEYVSLFVSLMSKSGSGTKAFFVADVLNGGGTPFERDEKRITAIREFKRNGDNWGWHQFLNRADLEKNCVTGSGLVTFICGIAVLCRGGGGEQLAVPPQKIGEQLGLLLDSAEGSDVSFVVGGEKFAAHRAVLAARSPVFRAQLFGCMSDATSSCIMLQDVEPAIFRALLRFIYTDDLPGDTGELDGSPIDTFLQHLLAMADRYALDRLKLMCAQRLLQDMTADSVADILACAETYNCPELKNRCIDFFAAENNFKKAAFTDGFAVLLQKFPVIAAELKKRTVHLPPP >OGLUM08G22230.1 pep chromosome:ALNU02000000:8:24690163:24694090:1 gene:OGLUM08G22230 transcript:OGLUM08G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISPNRLQISSTAAASSLACKLQSKKKKRKTKRRMDGGGGNWRPTQGADPAAAGGIDLSAPAPAPAGGDWRSQLQSEGRTRIVNKILETLKKHLPVSGPEGLNELQKLAVRFEERIYTGATSQSDYLRKLSLKMLYMETKTQQSPGNAQVL >OGLUM08G22240.1 pep chromosome:ALNU02000000:8:24711419:24713813:-1 gene:OGLUM08G22240 transcript:OGLUM08G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWVRQAEVWVGQAERWIRQQPPEQVYVAVAVVAVTVLLLVAVSCLKSSKANTIVLSGLSGSGKTILFYQLRDGSTHQGTVTSMEQNNDTFVLHSELERRGKVKPVHVVDVPGHARLKPKLDEVLPQAAGIVYVVDAQDFLSTMHAAAEYLYDILTKATVVKKRVPVLIFCNKTDKVTAHSKEFIKKQLEKELNKLRESRNAISSADITDEVKLGNPGEAFNFSQCQNKVTVTEGAGLTGNVSAVEEFIREYVKA >OGLUM08G22250.1 pep chromosome:ALNU02000000:8:24721507:24729269:1 gene:OGLUM08G22250 transcript:OGLUM08G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEAAAAAMAARARETLRKSASSSSSSPYAAEAYARSTDDGPVASASCDARLERCCREVGAAVAVVEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDDVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGGDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENVSRRNADFDHIYRTMTFNKPHGSAASATSVQLHKTVNANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGRTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >OGLUM08G22250.2 pep chromosome:ALNU02000000:8:24721507:24729269:1 gene:OGLUM08G22250 transcript:OGLUM08G22250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDEAAAAAMAARARETLRKSASSSSSSPYAAEAYARSTDDGPVASASCDARLERCCREVGAAVAVVEEPERVVSGGGALPEFVGEGGGEGIYRVPLRAAMHPGRPPPLEVRPHPLRETQVGSFLRALACEPRRRQLWAGSESGVRVWGLDDVFAAAGCGARRGDEESAPFRESVPVPPVLCVEADAANALVWTGHKDGRIMSWRMDLAAGGDDDDAPLFREALTWQAHSRTPVLSMVITSYGEIWSGSEGGVIKAWPWDVIAKSLSLMPEEKHVAALRIERSYIDLRNNAAAGNISSFPAADVKHMLADHSRAKVWCLTSMAFAVWDARTRELLKVFGMDGQIESARLEAPVMPEQFIEEEIKAKPVKKDKPQSSFNFFQKSRNALMGAAGAVRRVATKGTFVEDNRRTEAVVQAMNGTCMKALGERVWVGYASGIIQVMDVEGNLLAEWTGHSCPVIQMAIGGSYVFTLAHHGGIRGWPLASPGPLDDILRTELSNRELSYRRLVNIKMLVGTWNVGQEKASYESLMSWLGRAFFDVDLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGRTLDEGISFHRVGSRQLAGLLIAAWARKDLKPHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVYDRRICFVNNHFAAHLENANGNQVDEDIPEMAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLKERDQLQAEMRAGKVFQGMREGLIRFPPTYKFQRHLPGLAGYDSGEKKRIPAWCDRILYRDSRDVLTAECSLECPVVAKITSYEACMGVTDSDHKPVRCAFSVDIARVDEFTRRQEYGKILQSDKRLHSLLRESHFVPDTIISTNNIILENQEHVVLRITNDCQRNKAAFEILCESQSITKQDGTKSEFPPRASFGLPLWLEVEPSVGLIEPGRTMEVTVHHEDYYTQEVFVNGVLQNCWCEVTRDKEAVLLVNVTGSTSTETITHRINVRHCCSTISASPPINPPSITTPSVDVLSGEASTRSSKKNPSNYLQRSDFKPFGSSEVHDLCPL >OGLUM08G22260.1 pep chromosome:ALNU02000000:8:24731816:24733952:-1 gene:OGLUM08G22260 transcript:OGLUM08G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGVFLASLLLAAVAPARAAGGGCAAERFSSNRVYAACSDLPHLGASVHWTYDAAASASLSVAFVAAPPSPGGWVAWGLNPTGGGMAGTQALVALPKGGGGGYEVQTFDIEGYSLSAPGKLKYPATDLAAEVAADGRVSVFGKLALQNGTAEVNQVWQVGPVSSGSMVPHAMSSDNKAAMGKLNLLTGAATSSGGGGSNLRKKNTHGILNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSLHRNIGITVFALGTLQIFALFLRPKKDHKYRVYWNAYHHSVGYTIIILGIVNIFKGMSILNVEQKWKTGYIITISILGGIAVILEAVTWSIVLKRRKEENKSYNGASNGHLPLSM >OGLUM08G22270.1 pep chromosome:ALNU02000000:8:24745865:24746656:1 gene:OGLUM08G22270 transcript:OGLUM08G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPHRLAAVLALILLASPAAMRAAEAACAGEKFPAGRAYAACEDLPSLGAALHYTYDASKSSLSVAFVAAPAGAGGWVAWGLNPTGEGMAGTQALVALKGGSSSSAPAVKTYNITGYVALGGASTPIAFPATDLAADEGSGGKIRLYGKLQLHKGMKSVNQVWQVGSSVTGGAPDKHAFGPANLASKAKLVLAGSKAATATSPASEPAPAPVAGGPAPSSGSDSGASSSVAPTAGKNAATTAAAVSAPALAVAALVGFLAIV >OGLUM08G22280.1 pep chromosome:ALNU02000000:8:24750132:24752325:1 gene:OGLUM08G22280 transcript:OGLUM08G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYLCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >OGLUM08G22290.1 pep chromosome:ALNU02000000:8:24754869:24755883:-1 gene:OGLUM08G22290 transcript:OGLUM08G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAHRPASCRTSPAASPCCEYSAACAFRATAMNMQRVVVVVLHPELSTEALEQRTTTALASVALDWIAES >OGLUM08G22300.1 pep chromosome:ALNU02000000:8:24761930:24764133:1 gene:OGLUM08G22300 transcript:OGLUM08G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRVGGDYISSLLSSSPRLDFGVPVLDAVVAPGGGGGGGDCGLDKLCGDPGFAERAARLSSFNNGGGGVGQRYGGAGAGLFGMPPPAPGDFAGGGSREASSVSDPASSAMKDAAANAKKRKSTAAAAAAAKGKGKEPPVGEEKESDGKRCKTGNGEKESSVKPKAEQAGSDSSVEDGGGGGQKQGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDMFQACGPSASSVFSLESSNSAFPFAEQGDVFQQFAQNSMESQCTLNQLDLALSQATNAAQYAFQDGTAGANLQQRNFWEDDLQSVFHIENGQSQENGVSAPNFHGW >OGLUM08G22310.1 pep chromosome:ALNU02000000:8:24777744:24779142:-1 gene:OGLUM08G22310 transcript:OGLUM08G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIACIVVSSSGDRRIDQMQKGGGGGSSSAVVVRMGPCGGDGGGARDMDMRGVGRVVRVAVRHGAAVDAMSVLYERNGHEEWTDLWGGPGGTLSEISLQPGEYLTSVAGHYGRLDGDLVVRSLTFVSNMRAYGPFGHEDGVAFDLPAAGGGGGKILGFHARSGRRLDAVGTYVKIG >OGLUM08G22320.1 pep chromosome:ALNU02000000:8:24780280:24782851:-1 gene:OGLUM08G22320 transcript:OGLUM08G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESEGETEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKDVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFDNVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAEGLFFMETSALDSTNVRTAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIEGDSKDDQKQSNRFGCC >OGLUM08G22330.1 pep chromosome:ALNU02000000:8:24783111:24787052:1 gene:OGLUM08G22330 transcript:OGLUM08G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVTGLGGGSARTPSFFLAALLSWRLVVVIVPTRPTRRPRAAAKTARLPRRRPLGPTLLSYPSSSSHTRRSGGTAREQRDGPSRTVSVDGFLSGGGGGFGLMLRKHGLASDLIVDATMVNAEGEAPQQGRHGGGPLLGHPRRQRRELLRVVVQNQNAQFESLYLVGTRLGLVAAMADTFPELGVTASDCIKMMWIQSVLYFAFYGTGKPLEMLLDRGTSKPDKYLKAKSDSNMPSQVWETTWSWLLKDGAGLLILDPYGGEMVHVAPVVTPFPHRQALYNIQYYGFWSKSGAAATEKHMGWIRGLYGEMEPQQPSPPSQDLSPSAAPGAGEYGSRGNLNLSENINCLKT >OGLUM08G22340.1 pep chromosome:ALNU02000000:8:24786513:24787482:-1 gene:OGLUM08G22340 transcript:OGLUM08G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPGTNKLKMLLYFLLLIVATLVITAEVGVVLAAAARVLSPSTTEEVPVVTSVRLPAQTEISSSSEGEARGGGSSRASAAAAARRMAIGSRAPTCTYNECRGCRRRCSVQEVPVDAGDPMNSAYHYRCICHL >OGLUM08G22350.1 pep chromosome:ALNU02000000:8:24793087:24794472:1 gene:OGLUM08G22350 transcript:OGLUM08G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G18390) TAIR;Acc:AT5G18390] MPPLAAAAALLRRVGLGLGAGAGVGVRGLATLPDAAQPQHPTSKDAYFAAVHHLSTVVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAEPLHAARFLAWLRAKPSFAASADHFDALLLPLARARLFTHLWSLAADMRALGLPLSPSTFSAVISSYGQSRLTDQAVEVFNRLPRFGCPQTTQVYNALLDALCANGSFAGAYKLLRRMARKGVAPDRATFSTLVDAWCAAGKLREAQAFLDDMAERGFHPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCSSGDVEFAVALLADASSRGLCPDISTYKVMIPAVAKAGRIDEAFRLFYAALEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKSKGHPPNRPVYVMLVKMCVRGGRFVEAANYLVEMSEAGFAPRAPTFNSVVDGLRHCGKHDLAQRMEQLEMSMNGN >OGLUM08G22360.1 pep chromosome:ALNU02000000:8:24797181:24802669:1 gene:OGLUM08G22360 transcript:OGLUM08G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXW1] MDDDFEMPPPGADDDLMMGEDGMGDFGGADGPSLKVGEEKEIGKQGLRKKLLKEGEGWETPEVGDEVEVHYTGTLLDGKKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPDLAYGESGSPPTIPASATLQFDVELLSWTSVKDICQDGGIFKKILKEGEKWENPKDLDELTLCIAVVKYEARLEDGTVISKSEGAEFTVKDGFFCPALAKAVKTMKKAEKVLLTVKPQYGFGENGRPAAGEEGAVPPNATLLVNLELVSWKTVTEIGDDKKILKKVLTEGTGYERPNEGAVVKVKITGKLQDGTIFTKKGHDEPEPFEFKTDEEEVIDGIDRAVLNMKNGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVIYEVELVSFVKDKESWDLNNTEKIETAGAKKEEGNALFKLGKYVRASKRYEKAAKFIEYDSSFSEDEKKQSKQLKVTCNLNNAACKLKLKDCKQAEKLCTKVLELDSQNVKALYRRAQAYMQLADLELAEVDIKKALEIDPDNRQVLDVKLTYKNLKEKVKEYNKKDAKFYSNMFAKMTKQPAEDGKAGSGAESKQGSEPVTAA >OGLUM08G22370.1 pep chromosome:ALNU02000000:8:24802306:24806844:-1 gene:OGLUM08G22370 transcript:OGLUM08G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGAHKSRGAAAAGGGGGGEGASGGGGGNHMVCHLCGYQYPNAHPSAKQRRAHRKNCGNPSSPSPTAAAAHAAVEEGDGKRLLLLRDDGEEAAAGGGGGDVGDGASAVAADSGGVLPGSAREVGNVADDDGNAERSSSHPHVSEVQVGLSKCTEDCVVSGDHIPPSGNDSKASGTENDEIQSGVVTRLTENVPHLEDGHHSESAVSSDQCMGSTSCLVPEHGDGARLSSEFSADEINKSSVMSLETVTGLSKDGIGNNEDDLSCVERPKAVEEDRLVNDSNVVSKEQIPCKETVSSLEQSEVMFTIGNNEDDLSCVERSKAVEEDRLVNDSNVVSREQIPCKETVSAMEQSEVMFTNSVDHVSNSTKEPVNLLEDKVSCIEKHVCLDETSSNDLFQLASGGSYSEASGIDKPRHQADCASLTPDQLVIPKEMDIDGLHCTDADVGIKALSSAVGHADEDITAVNLSKNVCSPHLTVGDDIQDSVRQTIDITPMPPQVDLAEVSTSSTSHEIDKVSRKDGIDERNPNVNLTSHEVNEVHGIDVEEIPHIEDIAAYNDYQEPNTVRATCDFEEDMQNEEIIAEASSHNITAVQSTCNVEEKEQIEKFDRNSGCNKINEISSRGVEETKLTDVNVETADEINVASSLENVEEKQSNRETIADPSVEIDVANLPSSLELSKLDVETSTYHTAYEANAVNAMENVEEMKQKEEIAVAPTSHINTISSTTNDDQKQSEELSVGPSSDEITVPHGEFSVKEKTEETVSDPTSNKTDMVSTSGGVEEQNHGDEVTSGTNTHEESVILVHTTDNVEKKMNKDLTSEPADNVEEEVQSEDIATDPTSHESSTLHITDGAESKKQDAKVAADPAAGKIDVPRSADDAEEQKHEATVSTDDDLKGDDPSESNSPQIIDGAGDKEQDAETAADPPPGKTDAPPSTDDAEETKPKEEELETVGTVVDDPKEEDKEEIADKEVIVNSDKNHVSLKSLLSEKAAETKESKKPSTKDRVLSFRRRVSKDGGSPAKPGSPKAAVSGQQQDWNSPARLPVEKKPKGKKQQWVPFICCPSMS >OGLUM08G22380.1 pep chromosome:ALNU02000000:8:24809102:24812196:-1 gene:OGLUM08G22380 transcript:OGLUM08G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLAAAAAAFLLAVAMSCHCHVARGWGGLGVNYGTVADDLPTAARSVELLRAAGAGAVRIYDANADILSALTGTGVPVSVTVPNDAIPSLTAAASPAAADEWVARNLAPHIPAARVVCLLVGNEVLSDRATAGAAWPSLVPAMANLRRALSARGLGRVKVGTTLAMDALGASYPPSAGAFRDDIAGAVVRPLLEFLNATGSYYFVDAYPYFAWAANHRSISLDYALFQGEASTHYVDPGTGLTYTNLFDQMLDAVVAAMARFVYGNVKLAVSETGWPTAGDADELGANVHNAATYNRNLAARMAKNPGTPARPGAEIPVFLFSLYNENRKPGPGTERHWGLYYPNATWVYEVDLAGRRPAASYPPLAPTPPAPDQDGAPVWCVLAGGGGEAANETAVAAAVEYACRQRSGTCAAIEAGGECNQPDTLAAHASYAFNAYWQQFRKAGGTCYFNGLAEKTTKDPSHGSCKFISSLD >OGLUM08G22390.1 pep chromosome:ALNU02000000:8:24813032:24814836:-1 gene:OGLUM08G22390 transcript:OGLUM08G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINVEYAPRFFQGKHKEKKHKKESKERRGRERKEKNSDRRKDKHSKKHKREKHKDKRKNKDDDRYTNQTLEKATLRNADLDNGRLKEKIQHEAVKDIKPANELVTQILDQEGHANHTSSSTGKLLPSTKSFGSAGSKGKKRSLSSVIEKSRQPTHLNHEMIEKKYSVAYDCASLGSKPRLQNGRSLQVGSAEKHSNTNRKHSHNRMDRPQRNTEGTSTITTVVSGAERAPNGVVTPSPNSLLRTEQVGQDPVVSSHFPSRNSDSMSPRGLMEIRNGNNSDFQIRMDQQSVRSKAGAVKRKGKTNELKSNDHKYVEDKDRDRLANERKTKDRIEEKEKVGKVVVSKQERKELDSLGASKNKIDGLQRQLGQLNEEFTSDDVKKRKDAEANSSLLVAEHSMRMNKLPRISPTDPRTNGEILDYSQGSGPSSPVGTNTYKADRFQDSKECYNNGVTGSHHLKEPKTSVSSSNHGSSQVSPKPPHPDAKYLGQVYSIPAMDDWSKGIDQSWLLSRGSVDWKSEILEAAESPRVWAEARLIDSADVVALPYVVPL >OGLUM08G22400.1 pep chromosome:ALNU02000000:8:24824377:24825819:-1 gene:OGLUM08G22400 transcript:OGLUM08G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSGVVDAAAKGVKLGGGGGGALMVRRVASGKLLSASSHLLFRATILATLCLVCLFTVHYPSLLSHSFHLSSAAAAAAAANGKHRAASRSSHRSLLGSSAAVAYGGAAWEKEVRRSAAPRRDGGLSVLVTGAAGFVGAHCSLALRARGDGVVGLDNFNSYYDPSLKRARQRLLASRGVAVLDADINDAALLERLFDAARFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRTADGADARRDFTYIDDVVKGCLGALDTAGESTGTKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGRKANKRVVTMPSNGDVPFTHANVSHAARDFGYRPATSLDAGLRRFVDWFVHYYKLDTAKIAKGKRKSMAMSAAS >OGLUM08G22410.1 pep chromosome:ALNU02000000:8:24882590:24882808:1 gene:OGLUM08G22410 transcript:OGLUM08G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGRGRVARHDGRRGLGHRDDEPKVGPGSVAIATMTAVREFSGGVLKRGGGISGCGGRSRAMRNVERTMGV >OGLUM08G22420.1 pep chromosome:ALNU02000000:8:24883914:24890817:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAASTSSSSRCRCRITTPSSARGWSNRQGWRLRHRVWAAQAADQQGGVQQQQQQENEDDVVVDSNVLPYCSINQKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >OGLUM08G22420.2 pep chromosome:ALNU02000000:8:24884188:24890817:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >OGLUM08G22420.3 pep chromosome:ALNU02000000:8:24883914:24889606:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAASTSSSSRCRCRITTPSSARGWSNRQGWRLRHRVWAAQAADQQGGVQQQQQQENEDDVVVDSNVLPYCSINQKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >OGLUM08G22420.4 pep chromosome:ALNU02000000:8:24883783:24890817:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSVKCANCSSSLVYDSASRLITLPETAEA >OGLUM08G22420.5 pep chromosome:ALNU02000000:8:24883914:24890817:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPSPVFPAAAAASTSSSSRCRCRITTPSSARGWSNRQGWRLRHRVWAAQAADQQGGVQQQQQQENEDDVVVDSNVLPYCSINQKEKKTIGEMEQEFLQALQAFYYDKKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSDRSCTKAIPCIYHGEQDELFIL >OGLUM08G22420.6 pep chromosome:ALNU02000000:8:24883783:24890817:1 gene:OGLUM08G22420 transcript:OGLUM08G22420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVAGNPIMTDAEFDELKLRLRKEGSEIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWVAQAITSAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGARNSDRSCTKAIPCIYHGEQDELFIL >OGLUM08G22430.1 pep chromosome:ALNU02000000:8:24895601:24896646:-1 gene:OGLUM08G22430 transcript:OGLUM08G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASTARSRKRAHDGSRQKVDVINLETTAPVVNTGSQHEALILRGTRTSPIDVEALDDKRRSRKIMRRSVAVVDLEKDTGPGAEKCGPNQYDACQGCSKGTNLYLSCVSEQTGQAIHDKLRSYLLREMHPGMPQGSEEMPYL >OGLUM08G22440.1 pep chromosome:ALNU02000000:8:24898555:24901401:-1 gene:OGLUM08G22440 transcript:OGLUM08G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQKKNAHVPKFGNWDNGGNVPYTVYFENARKGKVAAAGKMFNPNDPVDNPEAFSSSIAAPSPSRAPPPPPSHHERAPSDAPPPPPPPAPYAGXXXXXSGGGGSSYSVEHSPSPSPLHPYSDSGSGSYGGGLVANSRAKGGGGGAPRGNETPTRGSAVPRFGDWDSNPASADGYTHIFNKVREEKQTGQAAGKPAAGLGKGGGGAAGHGNAAKRYHDDDFASTKCSCFGWCK >OGLUM08G22450.1 pep chromosome:ALNU02000000:8:24902818:24907232:1 gene:OGLUM08G22450 transcript:OGLUM08G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKSMLPRKCRYKWTPRKISEEHLHVSPFSHSASSGLSTAAPLAADENPRGIASAAAAMYSDRVSGRKRSVMDRLGSGGGGGGGGGGGGSRPRPDSVKRFRQADGTWRRELYMDSVGVQTGVPSRNIQGNQKPHLQQNTQVMRKSSVPDLREKLSGVQRPQLNSTVQIPKSVPEISTSAKPVQKREPVQKREPPVNAALPATKKVNEPSAPKQCQEKVDMKALLHMNEEDMKSLGIPMGPRKKILSALASKKKKLSKSLPTS >OGLUM08G22460.1 pep chromosome:ALNU02000000:8:24911341:24915953:1 gene:OGLUM08G22460 transcript:OGLUM08G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXX6] MNWAHDSMSQSDLGPVKNTGGHPEVISAANQPSPIGAVVFLPLPSALDSLLFSNFSASSRCSPLVGRSISGGSPPRVLLVSCLFVPDAPGRSLHGFLGCRIRGGAVLDAMDEESQPKSPSLERPAAATDANSGGGYRARHCRHVLYGEDDINLAIELIKTCDDTPMEISVCLDCESRFCTTHGKWHASINKHWVALVYKKPHVTYCFACEECYFIRTENFGEVMDNEEDDYFISFREEDEKGMRVDNEAGDHASGSVIGHACPIKGIPNLGNTCYLNSLLQCLLVLGRLRAGILGLDAPLGLLEFKGNGMHDSQEALCILRTGLDKEERAMKLSNMQAGAPSAVAPTVIDSIFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQKESPAKSVELSPWTKGHRSPRKICIKLLSAIDKHKSDNEKTHKISERGDSQSPASELEDVFLVKTSKPLKVDSTKVEQISHSKDAIGGPLQTRKDKVQGKVVDALPQKVLCDAKVDGMDAATADSRIPEDRSSPSFVSPLSEENALIASGSDVKINDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEEIEWTCENCSKVVEKPGIMSSTKEDTTAGDQSEQSEKSAYQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDFKKVIGHVSFKEILDVGQFIQDSEFEGYSMQDSQQIAERDSTKMEQITQNKDTVHGPLQTQKDKVQGKAVDVLPQKVLYDVKVDRINVATADSLIPEDPASQSFVSPLREENALASGSDDEKNDSAVQPEVSTEAKRTTSSVKVTTEDKGKTQISDVVYDKAQDIESLVSIECLELHFEAKMIEWANENCSIILVEYREPIMSSTKGDTTDGNQSEQSEKIICQSEQSYEKKLFPEVGTCNTDIVQAIVEGRDSHITGLELEYVTHVAIEETDHPKRSLGSRKVIGHVSFEKILDMGLFMDPRY >OGLUM08G22470.1 pep chromosome:ALNU02000000:8:24922031:24928527:1 gene:OGLUM08G22470 transcript:OGLUM08G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-associated/translation elongation factor EF1B, N-terminal, eukaryote (InterPro:IPR015940), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567), UBA-like (InterPro:IPR009060); BEST Arabidopsis thalia /.../tein match is: ubiquitin-associated (UBA)/TS-N domain-containing protein (TAIR:AT1G04850.1); Has 7001 Blast hits to 5165 proteins in 492 species: Archae - 9; Bacteria - 505; Metazoa - 2653; Fungi - 905; Plants - 287; Viruses - 19; Other Eukaryotes - 2623 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G48690) TAIR;Acc:AT5G48690] MATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISSYLVMPRDKINLALLNAAGVEVASAMENPYFGLLSK >OGLUM08G22470.2 pep chromosome:ALNU02000000:8:24922031:24928527:1 gene:OGLUM08G22470 transcript:OGLUM08G22470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-associated/translation elongation factor EF1B, N-terminal, eukaryote (InterPro:IPR015940), PUB domain (InterPro:IPR018997), PUG domain (InterPro:IPR006567), UBA-like (InterPro:IPR009060); BEST Arabidopsis thalia /.../tein match is: ubiquitin-associated (UBA)/TS-N domain-containing protein (TAIR:AT1G04850.1); Has 7001 Blast hits to 5165 proteins in 492 species: Archae - 9; Bacteria - 505; Metazoa - 2653; Fungi - 905; Plants - 287; Viruses - 19; Other Eukaryotes - 2623 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G48690) TAIR;Acc:AT5G48690] MATPQLDKRILSELELMGFPTARSIRALHFSGNSSLESAINWLLEHENDPDIDQLPLIPREINIECGDTPNEARNDIQGMRANAQESKPEESTAAGRQKPVLYTFINCCHTSHLNFLYQETSQVERELNADQNEDEVRRRIIELFKSKQDGQERERGRIRNQLQEDKRERIRAAKDLMEAKRTLEENQRKRFVSYATSPQIKPVEPAVSPEQLRDCLRNLKKNYKDDTTKVTRAFQILLKIIANIVKNPEEEKFRRIRLNNPVFKERVGNLQGGVEFLELCGFMKLAISSYLVMPRDKINLALLNAAGVEVASAMENPYFGLLSK >OGLUM08G22480.1 pep chromosome:ALNU02000000:8:24972952:24984450:-1 gene:OGLUM08G22480 transcript:OGLUM08G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVLRRSVTLADQLAAVGPAGTAAAAGSCNLRDLLKLRDEDDLAAGRRAALPTSSGGGGEGGDPLVRRAVSLPAPVTATPPPPPPPETPPRQLPAVSPPTVGEEEEEEQGERVSLMALLEQTERQWSAGSGATVQQQQHLASPSATAASASAAAEDEAEAEAGKGAAGGGCCCVCMARAKGAAFIPCGHTFCRTCARELLAGRGRCPLCNAAILDVLDIF >OGLUM08G22490.1 pep chromosome:ALNU02000000:8:24986344:24990238:-1 gene:OGLUM08G22490 transcript:OGLUM08G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY0] MDEEKASKGRPLNAPRLDLDLNLDLFAAAVPDPGCGDTASPEASKPEEKGSKGRPLKAPRLDLDLDLNLLAPAVPDPGCGDTASRVKKAAAPTSNTDEELCAHFVRFEEDMVQFISKLRSSKFTARCEHYLCENKVEKSSILVCIDCSLPFCIGDGTMDKPQGHARWHADLEQHCVAALFSKPDTLYCFICERCLNMEVDDTESESDRAECRHLLDEEDVALIVSEVTASKNIPACQHPGCKINGRTRIMVCTGCNKHFCTRAEAKKKPHGHARLHARKCEHHWVGLWYSDPYKGYCFKCEFDLTLSAPTVEQGMVFGKELFGQESGLVKGHGCVIRGMPNLGNTCYINALLQCLFVLSKLRARMLAPDAPSYILGYELKELFQEVNNVDNAQLQLNPTKFFACIRVLDARFVSSDMQDSHELLCFLLNEFDKEEKSMVPPVSPTVVDSIFRVQLSATISCSHCSYNSVSHEVMYELSVPLPSERPPPKSIASPPRDISCMSREKTGIKLFPEVDMSNTEIVKAIAEGSVSHIASLELGDVDKEKTSEPLDGDSVEVEQRSPSKADDLGQNDNAGFENTSGDPQVSIEAKKNAFSVEGAAEDKGKAQFSNMAYGKAKDNDSLASIEECLALFFKEELLEWRCDNCSGVSHHLSTTGSKDGEQMMASTNENTIIDRDQTVQLDKVALQSEQSKNLESLALECTSSKQPHGSDSERKAILAMDSITEGINTLPPVKHTYSLRSRGRPPSHNRITSGMIHGEQDLASDNIANKKTDCHERVQEAVSSCLPAEEPDDLLSGQENTSSLDQGKWKQVNVDHSADQVDAKQKERENRNQGGIQTRVINKLPPVLAIHLKRSKETGKVRGHVNFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTSSGHMVAYVRPNQEQPDGGTSPWYCASDTNIRQVSLEEVLKCEASLFFYERIGG >OGLUM08G22500.1 pep chromosome:ALNU02000000:8:24994718:24998374:-1 gene:OGLUM08G22500 transcript:OGLUM08G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY1] MDDDKASKGRPLKSPRKDLDPLAAATPDPGCGETAPPEVSKPKEKAAAPTSNTEEGLCPHLERFEEDMAMFVSRLMASKFTPSCQRLMCDSKVDKSSIMVCIDCSLPFCIGDGTMNKPQGHAREHAQFYAHLEKHCVAALFSKPDTLYCFICERCLNMEMSDMEAESDSSSDQVGCEHFVLDEEEITLIVSEIFASKNIPACQHPGCTITGNTCIMVCTGCNKHFCTRAEAMKKPYGHARLHAQKCEHHWVGLWYSDPCMGYCFKCEFEWILGAPNAERGMVFGKEAFDQESGLAKRHGCVIRGIPNLGNTCYVNALLQCLFVLEKLWARMLASDAPAGFVGSALKELFQEVNSVNNAQRPLNPTKFLDRVRMLNAQFAGSDMEDSYELLCFVQNQLEKEEKSMIPAVSTTVVDSIFRVQLSATVSCRRCSYNSVSHEVMYDLSVPLPSRNISCMSREKIGIKLFPKVDMSNTEIVQAIAEGRDSHITGLDLGDVDKEKPSEPLEVDSVEMEQHSQSKDGVHVPSQIQKDEVPGEIIQAPTKADDLGKNYNAGLEYTPSEPEVSIEAKKNVCSVEGAAEDKGKAQFSNMAYGKAKDNNSLASIEECLELHFEAEMVEWKCENCSEIARRMSTTSGKDSEQMMASTSENKIIDGDQTEQSDKIACQSEQSSNLDSGEQDLASDNTANKKNECHEGIQEAVPSCLAAEELANQLSGQGQNASSLDQVKLDHSADQVGPNQKEREDRYQGGIQTRVINKLPPVFAIHLKRSQLTGKVRGHVSFEEILDVGQFMDPSSEDKDNSSYHLVGVIEHIGPSTRSGHMVAYVRPNQEQPHGGPSPWYCASDTNIRQVSLEEVLKCEASLFFYERIGG >OGLUM08G22510.1 pep chromosome:ALNU02000000:8:25000137:25004420:-1 gene:OGLUM08G22510 transcript:OGLUM08G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGKGMSPQYAVAPESGCGDTAPPDEVSSPEQKAAAASTSNTEGRVCPHFDPFQDGVLKFISELRSYSYDCAPRCDHYLCENKVEKSSILVCIDCDLHFCIGDGTMNKPQGHARWHADLEQHCVGALLGEPETLYCFICERLLDLDVSNMQRGDFSCGKEEIDRIESDVSSSKNAVVCHHHSFDTEDIVIIKDFVESEKGDPMCDNETCLTTGEHHMMVCSECKGYFCIEPVTKAKPQGHIREHALLQEHWVAVWHNDLYVGYCFECEDSLVIGGEEGKEGLAVNAEAGSHASGSSDGHGCVIRGISNQGNTCLNALLRCLLVLGKLRARMFGPNAPLGILGTILRGLFVDANSVRHAPGPLNRALLLACVRRFDSWLIGTSIHDSHELLCCLRNRLNEEDKIIRPPNKQQGAPSSVAPTVIDSIFGGELSVTTSCKRCSFKSYSRDVFYDLSVPLPPKGAPSNSVASPPQNERPISQCKICVLSEGGDSQIPASELEDTVMVKTSDPLEVDSNKLEQIVQSKDAVHCPLQSPTMKENTWIASVSDVEKTDTAVLDNAFSGLKVSTEAKMVISSAEINSENKGKARSLDIVNDEAEDINSLVSIEECLKLHIESEMIEWTCENCSKMMASTNVNRTVYGDQAEQSDRKTCQRELSSDLIRLSVECSSSSSQPHGSGVQNHDMPAVDIKTSGETSGMSSVEKDSSSCSIANKKPECLGGAQEDASSCRLTEKQANLLSVHCQNISIEDQERGNQVNLGHNAHQLEENQYDQQDRNEGAILTCLISKLPPVLVIQLNRSLGPLKVSGHVSFKEILDVELFMDPSSEDKFSSRYRLVGVIENRGLSIDIGQCVAYVRANNQQQGSGSSSWYCATDDDIKEISLEEVLKCEAYLLFYERMCR >OGLUM08G22520.1 pep chromosome:ALNU02000000:8:25018444:25027673:-1 gene:OGLUM08G22520 transcript:OGLUM08G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY3] MDSRRPLLIPNEGDEPPPQPPEPPLAGVSDFRGRPVYRATSGGWRSALFVAVLEMGCSFSYYGVSANLISYLTGPMGQSNASAAAAANAWSGTARMLPLLGAVLADSFLGRYPSILLACTLYVMGLGMLTVASSLPACAATAAAAAACCPSMAQVIFVYVSLYLVAFAQGFDKPCGLALGAEQFDPGHPRESAARSSLFNWWFFSMAVAVAVAIAAVSYVQENVGWGVGFGVPFAVVSCASAVFLLGTPTYRLYAPPRPDTAMDGKRSSSEEEDARGALRRLLPIWAACVAYGVADAQIMTLFNKQGRMLDRRIGGVELPPAALQTIGPATIVLFVPIYDRAVVPALRRATGNPSGLTTLQRTGAGLATSLAAVATAAAVEGRRLGAARAGRRPAMSWAWLVPQYASMGVADVLAAVGMQEFFHGEMPEGRRSVGLALYCGAVGIGGFVSAALIAALDGVTRRDGGEGWFADDLDRGHLDYFYWLLAGVSAVALAMFLCFARSYAYRNKTLLVPSNTSNATQDDRPDQCTTVQTAMYSEKKKARARDGDGDRDAAERRRKGKAPCLEPPPTPPRAMAAAAAAAAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPYEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGLGAPSGVLGDLLHDLFVDTNGPSYAQRLLDPAMLLRCVRFHYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHDLSMPLPPKGTPARSVASPPRNGRCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVDSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGVERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNRDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADQKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGLCMDAGHFVAYVRPSCPQQTNGSSLWFCASDADIREVSLEEVLKCEAYLLFYERIEG >OGLUM08G22520.2 pep chromosome:ALNU02000000:8:25006547:25027673:-1 gene:OGLUM08G22520 transcript:OGLUM08G22520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY3] MDSRRPLLIPNEGDEPPPQPPEPPLAGVSDFRGRPVYRATSGGWRSALFVAVLEMGCSFSYYGVSANLISYLTGPMGQSNASAAAAANAWSGTARMLPLLGAVLADSFLGRYPSILLACTLYVMGLGMLTVASSLPACAATAAAAAACCPSMAQVIFVYVSLYLVAFAQGFDKPCGLALGAEQFDPGHPRESAARSSLFNWWFFSMAVAVAVAIAAVSYVQENVGWGVGFGVPFAVVSCASAVFLLGTPTYRLYAPPRPDTAMDGKRSSSEEEDARGALRRLLPIWAACVAYGVADAQIMTLFNKQGRMLDRRIGGVELPPAALQTIGPATIVLFVPIYDRAVVPALRRATGNPSGLTTLQRTGAGLATSLAAVATAAAVEGRRLGAARAGRRPAMSWAWLVPQYASMGVADVLAAVGMQEFFHGEMPEGRRSVGLALYCGAVGIGGFVSAALIAALDGVTRRDGGEGWFADDLDRGHLDYFYWLLAGVSAVALAMFLCFARSYAYRNKTLLVPSNTSNATQDDRPDQCTTVQTAMYSEKKKARARDGDGDRDAAERRRKGKAPCLEPPPTPPRAMAAAAAAAAEAGPSRGGCVPSRNPLKDPGDCEAVAIRDTDGEDCGHFSCDMDEIADIEMGMAARGDPMCEHETCLATGSNLMMVCPECGWCFCVGGLAHRAKPLGHIREHAYRRAHWVALRCEDPYEGYCFECEDSLAIESQMVADDGAGGGEEGYGCVVTGMPNLGNTCYLNALLQCLLVLGKLRARILGLGAPSGVLGDLLHDLFVDTNGPSYAQRLLDPAMLLRCVRFHYPQFRGIAMQDCHELLCCLRDGLDEDERKWRAGKMQQGAPSAVAPTVIDSIFAGQLSVTLSCKCCSFKSDSEEVFHDLSMPLPPKGTPARSVASPPRNGRCISQQKTRMELFPAINKTNTEKIHAISEGGDAQVPASESEHMVMVKTSEPLEVDSNHLEQISQSKGDVHGPLQAPTREENTLIASGHGVERTVSAVLDSIKPEDSIEAKMDTLSAEVATEDKGKDRNRDAVYDKADDINSLASIEEILELHLKAEMIEKRCENCSNADQKASPISGKHGEQPVACTNVNGTVDGDQDEQDQGRGKQVNMGHSAHQVEENQYDRPDRNKGAIKTCLFSKLPPVLALHLKRNLWPLKLKVSGHVSFKETLDVKLFMHPSSEDKDNSSYRLVGVVEHLGPSMYSGHYVAYVRPSPPQQTNGSSSWFWASDTDIREVSLEEVLKCEAYILFYERMEG >OGLUM08G22530.1 pep chromosome:ALNU02000000:8:25014413:25017343:1 gene:OGLUM08G22530 transcript:OGLUM08G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGWIANPEDNIYETVRSFSCDDLESHISDALNDNSEAAQRNRDASKRTTIHFYLMNTAIHSFNTLSSGLHRYQSLCQGLLKDEYAILYRGSDTFNPIREKDGSILILETDTNILDAYPQARWRILEEADEEPIYLNCKYIPLKNQPHIAKAKLWYYEKLNSRNEYAPRNVTKDEASIQKPTGSEGKLSNQEAILQTKQASDETLHYRVVQFKHENLLTSIICHKGYSLWTALYNHLVLNRALAVKPSEVKLQFLPEREVKDAIIAHLKLVLGEIEKSEKSKHEKLYNCEFIWKCFIRSTADTHQIYPVLDSFTSFKDSFGRSLICVLGIELVHGWVANPEDNIYETVKSSSDDLESHISSLDARSDNSEAAQRDRDASKNLLAARNQFTSYGYQSLCQGLGKDEYAILYRGDDIFNLIREKDGSILILETDTDILDAYPNARWRILEEVDEEPIYLNYNYIPLKNQPHIAKVKRWYLEMKSKKKINEASSNEGAGQKQCYRDTRVSVSTRVRRGKTQL >OGLUM08G22540.1 pep chromosome:ALNU02000000:8:25029612:25041685:-1 gene:OGLUM08G22540 transcript:OGLUM08G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY6] MYVMDDEKRPRVGDTAESPRKSPRLVHRIPTTTDQAESGVGLKSLLGLIKNNPSKELDDPNAASLGVIDVEKKAARPGDTAATALDVNDWWEKVVALQWMASVGGDCRARRCRHVLYGEDDINLAIALIKTCDDTPMCNADNCDNTEGREISACLDCESRFCTTHGKWHASVNKHWVALVYKKPHVAYCFACEECYFIRTEHFGVVMDNEDDYFISLREEDEKGMRVDNVAGDHASGSVIGHACPIKGIPNLGNTCYLNSLLQCLLVLGRLRAGILGLDAPLGLLGSSLRSLFDDADSVNNAGGLLDPEKLLACVRMLNPEFKGNGMHDSQEALCILRTGLDKEERAMKLSNMQAGAPSAVAPTVIDSIFGGQLSVTSSCKHCSVRSLSHDVFHDLSVPLPQESPAKSDELSPWTKGRRSPRKIRINLLSAIDKHKSDNEKTQSPASELEDVFLVKRSKPLKVDSTKVEQISHSMDAGGPLQTRKDKVQGKVVDVLPRIPEDPASPSFVSPLSEEKNDSAVQPEVSTEAKMTASSAKVTTKDKGKTQISDVVYDKAHDINSLASIEKCLELYLETEIEWTCENCSKVLKKPGIMSSTKEDTTAGDQSEQLEKSAHQVEENQNEQKDKNECPIQTRLIRKLPPVLTIHLMRYLEDLTKVIGHVSFKEILDVGQFMDPSSEDRDNSRYRLVGFVEHLGPSMYAGHYVAYVRPSPPQQTNGSSSWFRASDTDITEVSLEERIEQDEYIGTDAMEEGKRARAADTAGSPWKSPRLEPPAASASAAADDSEWFQAMLLEASGTGVERCEHFMSDQDHVDYIVSGLRFSEDVPVCGDYTCDVTAANDIMVCLECELRLCANHACIHAMHEEEHSIALYYERLNRVYCFKCEEAYDIGVKDDDGGMTDNNGVPREESPAKSALRMMMGESLRQRSHVSGLADGHAHAIKGILNLGNTCYLNSLVQCLLVLGKLRARILGPDTPLGTLGTILYDLFDQTYGVNNTGGLLDMTWLLAYVRKSDSRFVGAFMQDNHELLCCLRKKLDEEDRGTNPPNMQDDAVTPTVIDSIFGGQLSSHISCKCCSFSSVSHVAFHDLSVPLPPTQSKSIASPPRTKGYKSQQKIHAELEVDKRNPEKIHTIAEDSDSQSPSELEDVVLVKTSEPLKVDSTKVEQIFHSKDAVCRTLQTQKDKVQGETVDFLPQNMLPDVKVEEMDLTKTDAHVPEDIGPPLRKENAWIESGSDVGKNVSAVLDDVFSEPEVSSEAKTDTFSVEVRKSRSSDISCDNAQGINSLASIDKYLELHFEAEMVEWTCESCSKVAQKPGINLGKYSNPMMSSTNEDITVDGDQSELSEKITCRSEQSNGRPECHEGVQEAEPSCIPAEKQANLLSGQDQNASILSEERGEQVKLHHGAHQVEENQNEQKDWNKGGIKKHFISKLPPVLVIHLMRSLLGPHKVIGHVRFKEILDMGLFMDPSSEDKDNLSYRLVGVVEHRGLGNDAGHFLAYVRASPRQETSGSSSWFRASDDSIREVSLEEVLKCEAYLLFYERMEN >OGLUM08G22550.1 pep chromosome:ALNU02000000:8:25041687:25045346:-1 gene:OGLUM08G22550 transcript:OGLUM08G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY7] MDEKARAGKSPRLKRRSSGSDPLEEAAGLTSDSSSIRRCRHVSCDQDTIDLGIALIKASIDGPACDSFKCGTTEERGILVCLDCGSSLCALHARWHARMNRHWVALMHKRPNVAYCFSCEDAYFISRVRFGGTADEDFFPISTPKKDEKGNKVDNEAGGHASAATGAGSGGGTMAVLTSNVSPQRCRHVYKKGDVARVIKRIMLSDIAAACSDSMCDTTGRSLILVCLGCENPFCSGHADVHAKSTKHWNYLIYQSPYIVCCFVCKGIVFLGGQDKEEMPVDNATAGDASGSVIEHAHAIRGIPNLGNTCYLNSLVQCLLALGRLRARMLRPEDTTGRLGTVLRYLFQDTDSVNNSGGLLNPSGLLRRVRMFVPESQVTSMHDSHEALCRLRTNLDEEERLMKYGALSVEAPTVIDSIFGGQLSVTKTCKCCSLSSVSHGIVFHDLSMPIPPKKPLAKRVEPLWITKGRRSQRKRNTEKTHTIAEDGDSQNVMVKTSEPLGVDSTKVEQISQSKDAVQGPLQIQKDKVQGKAVDFLPQNVLSDAKVEGMDATRADSHIPEYLAPAPTFSPLREDDARVALGIDVEKNGSAVRPEVSTEAKVTTSSAKVTAKDKGKTRSSNIICNKAQDINSLASIEECLELHFEAETVELTCENCSKFAQKLNKSVIQTRLSLLPPVLVIHLKRSLLQSDKVKGHVSFKEILDVGLFMDPSSVDKDNSIYRLAGVIEHHGHGKDSGHFVAYVRQSRPQQTNGSSSWFWASDTDIREVPLEEVLKCEAYLLFYERMEG >OGLUM08G22560.1 pep chromosome:ALNU02000000:8:25047575:25051646:-1 gene:OGLUM08G22560 transcript:OGLUM08G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AXY8] MGEKKKKNATRDVSDWCWWGKAAALLSGGDRRTRRCRHVLCEQADIDVAITLIKTRVDTPVCCVNKCDDTEGREISVCLDCQLSFCTAHGKPHAFMNDHWIALVYKRPNVAHCFACEECYFIRADSLGEGMAVGENGFSIGTHKKDEKGMTTVNNEAGVHASMVSDYESALMVAFLNDVGTPRCRHDLYNKDEVDLVQRRIMFCDITRMCSDCGNISGLMIFVCLGCEKPFCTVHSSLHAESTKHLVGLVYHNPYVACCFLCSETFVLIAEGDKRMPVDKAAGGYASESVIGHAHAIKGIPNLGNTCYLNSLMQCLLVLGKLRARMLGPDAPSGTLGTALHDLFEQTYSVNNATGLLETSLLLDCVCNLDPQFVGGFMHDNHELLCCLRKNLDEEERMRTPPNMQDSSAGAVPPTVFNSIFGGQLFVTRSCKCCSFRSVSHAVFYDLSVPVPPKKPPAKSVESTPWIEGHRSQPKICINQFEAIHKRNTEKTHRIAEDAESDPASELKDMVMVKTSEPLEVDYTEMEQISQSKDYVQDPQNVLADVKTAGMDATTTDTRIPEDIGPHPPVSQLREENAQIVSCNDVGKDDNAILEVSSEHKIDTISAEVTTEDKGKTCSSDVVCGKAQDIDSLPSIDECLELHFKAEMIEWTCENCSKGARKSDVTEGTYSEQMLSSTNEDTAVGGYQREQSEKITCQSEQSNKKPECPEGVQDAVPRCVPAERQDSMLSSQDQNATTLDEGRGKEKLHHSAHQVEECQNEQKDRNKGATQTRISKLPLVLTIHLMRSLLGPDKVMGHVSFKEILDMGLFMDPTSEDKDNSIYRLAGVIEHHGRGKDSGHFVAYVRPSPRQQTNGSSSWFCASDTDIREVSLEEVLKCEAYLLFYERMEG >OGLUM08G22570.1 pep chromosome:ALNU02000000:8:25054928:25055593:1 gene:OGLUM08G22570 transcript:OGLUM08G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKDLLRRLTIISIPFVFIAIPSIVIIVGMLSPHAAEPRDQSSPAAPGRNHSVSMMSTMTGGQMILSCRTAFSGNWEYFHYFILDPYKPQRAFFQPPPPPPNSAAAVPYAILCKWGYMGNFLQDVVVFNSSAAYAPRCRVEEGGCHYLFEDGKMFLVTGRRATRAAPARRREKRLVGDVVLRECAHVLGVFPTVCRYKPHEHNYVGKIIGRWRWWFNY >OGLUM08G22580.1 pep chromosome:ALNU02000000:8:25057960:25062097:-1 gene:OGLUM08G22580 transcript:OGLUM08G22580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSEETSAGKVPAMAIDDSNQARVVSNESKSPIIEKKKKTKMVRYTQEQIEYCIANPEELRDKKVIKLTELLSKECLARMGQERVDRLYARERAEEEQIVEWKKLQEVLRNERENIYKIPDKPKDEVLRNERENIYKIPDKPKDVLKQYYAKGYAEYEVVVDDGDVDVDEDDEVPARVAHPGRRRFRNGIVVKKNQSGGGSIRKIN >OGLUM08G22590.1 pep chromosome:ALNU02000000:8:25063247:25065922:-1 gene:OGLUM08G22590 transcript:OGLUM08G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSTTRLLLLLLLVAAAAAAAAGDQEDPRGGGDNGTARLDRRTKMFLHAARASDGGATGMEKAGLGLFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALSALVVMTILSTGLGRIVPNLISRKHTNSAATVLYAFFGVRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRIFSRFCTPVFLESFVLTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSFAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >OGLUM08G22600.1 pep chromosome:ALNU02000000:8:25068350:25073579:-1 gene:OGLUM08G22600 transcript:OGLUM08G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNHLLPLLRASSSSPLHLRRLIPSTAAASSSSSVHPNRRLHSTAAARSTTTATPFSMEDYLVDTCGLTAAQALKASKNVSHLKSATKPDAVLAILSGVGLSGADLAAVVAAEPRLLCTKAPSVALRVASLRHRVGLSDPQIASLLLLPGGAKGLHTCDMAPRLEFWIPFLGSFEMLLKILKRNNAIVSSSLEKVIKPNIALLRECGLSVCDIVQMSQTSARVLTFSPERLKVIVQQAEKHRMPGCSWAFKNAVDTVARSNEGIVNARMEFLSSSLGFSMEKLRSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKRTVDFYGCVCVSNEDFVARFSPNILPLPSCPPPPAPAIPIHPASEQGAVVHPAASAASILVSTSYSTAACADPHPSRERSSRVAVVRPAAAAGGGGRGGVDGEGIEREGGMRQQRGRGVGRGDPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDLMSRPEYNSIFVKKPDLDGRWPGLM >OGLUM08G22600.2 pep chromosome:ALNU02000000:8:25068350:25070782:-1 gene:OGLUM08G22600 transcript:OGLUM08G22600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLQFVLGKFSPNILPLPSCPPPPAPAIPIHPASEQGAVVHPAASAASILVSTSYSTAACADPHPSRERSSRVAVVRPAAAAGGGGRGGVDGEGIEREGGMRQQRGRGVGRGDPGLLTRAVEKVFRLVRLAEFEILFVLFFLIAFVLFKDLMSRPEYNSIFVKKPDLDGRWPGLM >OGLUM08G22600.3 pep chromosome:ALNU02000000:8:25070900:25073579:-1 gene:OGLUM08G22600 transcript:OGLUM08G22600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLQNHLLPLLRASSSSPLHLRRLIPSTAAASSSSSVHPNRRLHSTAAARSTTTATPFSMEDYLVDTCGLTAAQALKASKNVSHLKSATKPDAVLAILSGVGLSGADLAAVVAAEPRLLCTKAPSVALRVASLRHRVGLSDPQIASLLLLPGGAKGLHTCDMAPRLEFWIPFLGSFEMLLKILKRNNAIVSSSLEKVIKPNIALLRECGLSVCDIVQMSQTSARVLTFSPERLKVIVQQAEKHRMPGCSWAFKNAVDTVARSNEGIVNARMEFLSSSLGFSMEKLRSAVCKCPQILGLSESKLHSKIEFLVGKVGLEPDYILQRPVLLTYSLEKRLVPRHYVVEVLLVKGLIKRTVDFYGCVCVSNEDFVARYIDHHENAVPGLTDAYAAVCSGKLPALKDKEFLVTEVGLHGEACNALVQPGDDALVQPREVVGAKA >OGLUM08G22610.1 pep chromosome:ALNU02000000:8:25075335:25078169:-1 gene:OGLUM08G22610 transcript:OGLUM08G22610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLWDGSGWCFCSGGAKLERIKNSVLAAKGAAVAAVSFPAGGGGGGRGGSGFLIHRALLLTTHGTIPSAAAAAAAEVRLSHGRLPARLVPQRFFITSPILDLTIVGLDVVNDELNSHGQQPHFLKTCLNPSLDLGSTVLLLGHTKRKDLTIGEGNVIITTDNLIKFSTDEVAWYPGSAGFDMHGNLAFMVCDPMKLAPSTPTGYASASSAALLSAKKDVPTQFGMPIPAVCGWLKQHWNGNLEDVSKPMMPPARLISTGQRSECSSVGRLNYIKTMEREGGDGMSSSQIPPRLTQHHGSCSSASAKISCGENDSVDSHSIHEQQDLTSQMHEPKIEQSASLMDNNFPPGHPRSIRLPLPLKHMMSHENKIKPNPSFSHEAQLANVRINCGTLHNVAYQENCWSEAQSSSSPPDISELGDERGGFSSGEETMYSAETRESRNIPSPKEKNPKMVGRSQSFVNHSKWDSPKSVESSKGAPSKSHTFIPLRKPHLQAAAISQKSQDFFSPTVSSSMKKRNLSQIPMKPRQSAQVTSKWII >OGLUM08G22620.1 pep chromosome:ALNU02000000:8:25080783:25084755:1 gene:OGLUM08G22620 transcript:OGLUM08G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGGGAMPAGSSGGDGEVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNHPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHPPIIPQQMPPPSYVRTGRPRLSEFHDRSFEGDYGREGDEIGNGISESGGMRGKLIKRNKKLSAESEMYGGHRINVEAIGTLGEGDSRSEIRSRGDRDMDNQHILQAEEKDVVSSVATVLSDLCGPGEWMPMAKLHTELLEQFGNVWHHSRVRKYLSPEDWSPTETKGRPWYGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >OGLUM08G22630.1 pep chromosome:ALNU02000000:8:25088479:25092102:-1 gene:OGLUM08G22630 transcript:OGLUM08G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVLARNPNVYASVLGVVWACIAYRWHLSLPGIVTGSLQQERVIACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAVLGFV >OGLUM08G22630.2 pep chromosome:ALNU02000000:8:25088479:25092102:-1 gene:OGLUM08G22630 transcript:OGLUM08G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVVGPAPAVMSSSPPPEKQSGGGDVEMNGGVVAAPGGGVRLPFWATARTVGLKLARNPNVYASVLGVVWACIAYRWHLSLPGIVTGSLQQERVIACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAVLGFV >OGLUM08G22630.3 pep chromosome:ALNU02000000:8:25088479:25092102:-1 gene:OGLUM08G22630 transcript:OGLUM08G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRFFTAEQCAAINTMVVYFSMPFFTFDFVVRTDPFAMNYRVIAADAVSKAIAIAAMAAWARTRCGCAAAKAGAQSWSITGFSLAALNNTLVVGVPLLDAMYGRWAQDLVVQIAVVQSMVWFPLLLMAFELRKAWVVVGPAPAVMSSSPPPEKQSGGGDVEMNGGVVAAPGGGVRLPFWATARTVGLKLARNPNVYASVLGVVWACIAYRVVHGAAGEACGAGLTALGMALRFVAGPLATLVGAAALGLRGDVLHLAIIQAALPQSIASFVFAKEYGLHADVLSTAVIFGTLISLPILIAYYAVLGFV >OGLUM08G22640.1 pep chromosome:ALNU02000000:8:25096698:25099076:1 gene:OGLUM08G22640 transcript:OGLUM08G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY00] MARFEAYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDSSKIAHLADKCVLASSGFQGDVKALQRNLAAKELLYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSQSDAVDLVKDVFASATERDIYTGDKLEIVVINSAGSHREFIELRKD >OGLUM08G22650.1 pep chromosome:ALNU02000000:8:25099400:25101751:-1 gene:OGLUM08G22650 transcript:OGLUM08G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIVFLRASAADLTAGKPPLAGVPASAPLSAAAAAIPASSEAAVAVWRVDGASHHHHHRAPTAAATVVGLLSSIDVVAFLANHPGGAAAAFMTPAGDVVPHEHALVREVQPDTRGVLVGKNIKEGCVINKQPFAPFYKAVLKITGTPRRNPSPSPSPATRSPSTTLGRDRYCCLTREDIVRFLINCLGALAPIPMQSIASLGAISRAYSHVEDSSPAIGAAWELPSDPRAVAIVRTGHDGSRVILGEISGHKLWKKDYAAAAEAMATMSAMDFATGVDESGPSPTATAAAAAGNVGGGGARARMGSVEEEIAPVPRLTRFSSRKIGFSASLANMIMVSHRKNRVLTCKATSSLAAVMAQMLSHRATHLWVVEDGDADKGAVLVGMIGYMEILRAVTRGVVVPPA >OGLUM08G22660.1 pep chromosome:ALNU02000000:8:25105673:25106788:1 gene:OGLUM08G22660 transcript:OGLUM08G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILKSCSIDSEIALIGDDLLSEIIVRLPFKSVARSACVSKDWRAAVSDDYLRRRLPLLMTTVYFPDDDAVAAGGGGGGGPRFACAASDGNDGHRLEDCDLGFLPERGGVVVCDGCNGLLLCRSPGTPEFFVVDPVTRRWAALPAPAKAATLSVLAFDPSTSPDYRVVNFTGWRDRGAAVEVFSSATWAWTARDTEFGGVPASSLSGSMHYHDGILYILASEPDCLVSLNLADFSSTAAVIDLPEPVDGGDAHVAHSGGRLHYIFRDGELLKVWELDDDDQWRPKHAVKVEHLAHGGDEVRFLAMHPEEEDVVYTWSPWKVVEHDLRRKTTTCHCQAWEFGEGERNRVVKAWLVPSSCYLSDCLAHCPVKC >OGLUM08G22670.1 pep chromosome:ALNU02000000:8:25110814:25111923:-1 gene:OGLUM08G22670 transcript:OGLUM08G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCDDLLVEILSRLPFKSLARFKCVSRSWRALISGGDLRRRLPLLAAGLFVGDGGGGEPRYATACSGDGVEFCDMSFFPLAETAARVVDACEGLLLYRSLAAASAMYVACPATRRWAALPVPRREAQLPLLAFDPADGGGGSPRYHVVCFVAWQERGATVDVFSSETGAWAERDAAFGGVEASSLSPTTHYRGGVLHVLAYPDRVVLMDLAATTTTTTSVAPCRLAPRLPDDVDAGARLGHSRGRLHYAKCDGERLRVWALDVDAASPGSCQWILTNTVRVNEMTINGGLWAASEVKFLAFHQDMADVVYLSSPEGKVASCDMRRKEMVSSWKLGAEHHVVRFWLLGFSSGLMSCLGGEQACQIAGAS >OGLUM08G22680.1 pep chromosome:ALNU02000000:8:25114156:25114602:1 gene:OGLUM08G22680 transcript:OGLUM08G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHRIHPVGVDSPAPAPDSQQQVGKGRSTASYGEKEQLPITTPRPYAPAPLPPPVLPVRVLCWTLLAVLALAGLEPEPADREVTATSPNRRIGIYYDSLEVTASFNGTELCRGGFPTLYQGHRSTVRPVILLAGETRLDSAMAAKLL >OGLUM08G22690.1 pep chromosome:ALNU02000000:8:25117909:25122796:-1 gene:OGLUM08G22690 transcript:OGLUM08G22690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 [Source:Projected from Arabidopsis thaliana (AT5G14180) TAIR;Acc:AT5G14180] MSTACHGVRGFFSISAAAMTIVVTTAVVLLSGPQLAAGARVLINHAELRRRDIATAAGGGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAVIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRIRMGFNQ >OGLUM08G22690.2 pep chromosome:ALNU02000000:8:25118342:25122796:-1 gene:OGLUM08G22690 transcript:OGLUM08G22690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myzus persicae-induced lipase 1 [Source:Projected from Arabidopsis thaliana (AT5G14180) TAIR;Acc:AT5G14180] MSTACHGVRGFFSISAAAMTIVVTTAVVLLSGPQLAAGARVLINHAELRRRDIATAAGGGGGDGACATAVAPFGYPCEEHEVTTQDGYILGLQRIPRGRIGGVTGGGAAAARQPVLLQHGVLVDGMTWLLGSPEESLPYILADQGFDVWIANNRGTRWSSRHVSLDPKSRSYWNWSWDDIVVNDMPAIVDYVCSHTGQKPHYVGHSMGTLVALAAFSEGRMVDKLKSAALLSPVAYLSHITTPIGVVLAKAFAGELISDLLGIAEFNPASPQVSNLVRTFCRKPGMNCYDLLTSFTAADIFLKYEPQPTSTKTLIHLAQTVRDGVLTKYDYVMPDANVARYGQADPPAYDMAVIPAWFPLFLSYGGRDSLSDPADVALLLDDLRRRGHAGDRLTVQYLPQLAHADFVIGVCAKDLVYNDVISFFRRFH >OGLUM08G22700.1 pep chromosome:ALNU02000000:8:25127578:25133511:1 gene:OGLUM08G22700 transcript:OGLUM08G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY07] MDAAMESAVGPHFSGLRLDSRRLSSSSLPTSPSAASSNGCGGNDAPAAVNGFAPPPPPSAAAAAEEGSKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEESDRVQDYNFDHPDAFDTEQLLECMGQLKSGLSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVISVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVVNTTFQIRGMHTLIRDRDIATPDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSTYMGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGQQLIYHKFPLDIAERHVLLMDPVLGTGNSANQAIELLISSGVPEERIMFLNLISAPEGVHCVCKRFPRLKIVTSEIETGLNEEYRVIPGLGEYGDRYFGTD >OGLUM08G22710.1 pep chromosome:ALNU02000000:8:25134789:25139639:1 gene:OGLUM08G22710 transcript:OGLUM08G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDKTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDTRRRFPPGTNEQHVLERAQAELSRRAGVRMQFLDTAHCGGFCQLSRDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >OGLUM08G22710.2 pep chromosome:ALNU02000000:8:25136535:25139639:1 gene:OGLUM08G22710 transcript:OGLUM08G22710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRGDMGKLIPVISFFLGAALTAAFVIATMDINWRLSALASWNNNDSPPAVTDEMKALSELTEVLRNASMDDKTVIMTSINRAYAAPGSLLDLFLESFRLGEGTEPLLKHVLIVAMDPAALARCRQVHPHCYLLRRPEGAVDYSDEKRFMSKDYLDMMWGRNLFQQTILQLGFNFLFTDIDIMWFRNPLRHIAITSDIAVANDYYNGDPESLRNRPNGGFLYVRAARRTVDFYRRWRDTRRRFPPGTNEQHVLERAQAELSRRAGVRMQFLDTAHCGGFCQLSRDMARVCTLHANCCTGLANKVHDLAAVLRDWRNYTAAPPAARRRGGFGWTTPGKCIR >OGLUM08G22720.1 pep chromosome:ALNU02000000:8:25142021:25144303:-1 gene:OGLUM08G22720 transcript:OGLUM08G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSTEPSNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >OGLUM08G22720.2 pep chromosome:ALNU02000000:8:25142023:25144102:-1 gene:OGLUM08G22720 transcript:OGLUM08G22720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDLRVHFKNTRETAFALRKLPLVKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNADVKGLDVDNLFVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHVELILSEKEEAVKKEPETTIAPRRQ >OGLUM08G22730.1 pep chromosome:ALNU02000000:8:25150407:25151594:1 gene:OGLUM08G22730 transcript:OGLUM08G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGVLNQRIRRWSHAVRAVVKTLLAGERRLCDEVFASDEELGHECFADVARGCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDARDFFSSEVAGVAAQLGSTIRHTIDQFVNVIHGESSRRPVLGGEIHPMTRYVLNYCGLLAECRATLDMVLADNNTSNHDTNDDDHDGGGGGGASSTPSGRCMREILTHLLRNLDEKSRLYDDAGLKNIFLMNNIYYIVQKMMVEFPALRELLGDDWVRRHRGQIRQYETGYLRASWMSVLASLRDDASPAAAHGHGGRAALKEKARSFNAAFEELYRSQTAWKVTDPQLREELRIAVSERLIPAYRSFVGRSRQLLESGSSSGRHSSSAAKHIKYSLEDLEDYMLDFFEGVQKFVR >OGLUM08G22740.1 pep chromosome:ALNU02000000:8:25153537:25158423:1 gene:OGLUM08G22740 transcript:OGLUM08G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKM >OGLUM08G22740.2 pep chromosome:ALNU02000000:8:25153537:25158977:1 gene:OGLUM08G22740 transcript:OGLUM08G22740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTAPASYSDEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPIVDDLSRYSVSITGIVNKNVQLSMADIRSLPKYDVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVTSLGGKHVEFVSVDRCKEEKGGPYKASIPLKQATDPEADVLLAYEMNGETLNRDHGYPLRVVVPGVIGARSVKWLDSINIIEEECQGFFVQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYAVSGGGRGIERVDISVDGGKTWVEAHRYQKSNVPYISDGPQSDKWAWVLFEATLDVPANAEIVAKAVDSAANVQPEKVEDVWNLRGILNTSWHRIKIQNSSCVGRSKMAFGCFWP >OGLUM08G22750.1 pep chromosome:ALNU02000000:8:25158437:25159897:-1 gene:OGLUM08G22750 transcript:OGLUM08G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASASASAAALWGHKHLPLLARASSKESVEYILQALWRTRRTGLDAADRAVVRDMLHLASDADLDPLLVCLRVLIRRCVHGNIGKDEVAKLFPEEVSPELQRLLTLLLQKFQPEWQEDVAKDQASASRPETTECPSNQNQDTTEQPAAGATEIQNGGKSSVVEKELKLQLTKDTLDKMLEDMYSTKGQASNTGNTNGHEETAGCT >OGLUM08G22760.1 pep chromosome:ALNU02000000:8:25169100:25174407:1 gene:OGLUM08G22760 transcript:OGLUM08G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGARDAVVEVAKHMGKSLAVSKNAADDMMRVLSRYEGEAPMFPLSPPEVDQAEEEEVFAAAEDIIRRCNSVSSPSEMVDYLYAVDDAIAATALQGELASRAAEAVQAAMPRLEEEVDDE >OGLUM08G22770.1 pep chromosome:ALNU02000000:8:25177432:25182701:1 gene:OGLUM08G22770 transcript:OGLUM08G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY17] MVSRKRGGGGGVAMAVAMLLAAASASRPSSSLEGFQPLSKIAVHKATVDLHGSAFVSATPALLGDQGEDTEWVTVKYGWANPSADDWIAVFSPADFISGSCPNPSRYPDEPLLCTAPIKYQFANYSANYVYWGKGSIRFQLINQRYDFSFALFTGGLENPKLVAVSEAISFKNPKAPVYPRLAQGKSYDEMTVTWTSGYDISEAYPFVEWGMVVAGAAAPTRTAAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFLRDLWPNKEYYYKIGHELSDGSIVWGKQYTFRAPPFPGQNSLQRIIVFGDMGKAERDGSNEFANYQPGSLNTTDRLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVPAETMYYYPAENRANFCLNFFFYHMLYRIKLFGSNWSVHTRTRHRYKVDYGMFRFCIADSEHDWREGTDQYKFIEQCLSTVDRKHQPWLIFAAHRVLGYSSNWWYADQGSFEEPEGRESLQRLWQRHRVDVAFFGHVHNYERTCPMYQSQCVSGERRRYSGTMNGTIFVVAGGGGSHLSDYTSAIPKWSVFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVERDYRDVLSCVHDSCLPTTLAS >OGLUM08G22780.1 pep chromosome:ALNU02000000:8:25183912:25190065:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MGSLKMTGKAPCAALPESSCAYLLQELKMIWDEVGQEENERERILQEIEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWTQHKLQSSIKQRLQYQPWLKYYLEQQTSYYGSTT >OGLUM08G22780.2 pep chromosome:ALNU02000000:8:25183912:25190727:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MQPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDEV >OGLUM08G22780.3 pep chromosome:ALNU02000000:8:25183912:25190727:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MQPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWENKKVQTQLARRHENSFILRPNTSCSRPSSRGFNTSPGSSTIWSSRLPTMVQQPSADNSSAEKDVHIRKVRNRSMQRALGNNRSISISYEDKTPSLSAIKQGVSPI >OGLUM08G22780.4 pep chromosome:ALNU02000000:8:25183912:25190065:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MQPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDELPLLAMLKDYMLTLKEKEEEKYRQWTQHKLQSSIKQRLQYQPWLKYYLEQQTSYYGSTT >OGLUM08G22780.5 pep chromosome:ALNU02000000:8:25183912:25188609:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MQPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVELLMAKTEIWEQEREKVFYYDEV >OGLUM08G22780.6 pep chromosome:ALNU02000000:8:25183912:25188324:1 gene:OGLUM08G22780 transcript:OGLUM08G22780.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:microtubule-associated protein 65-8 [Source:Projected from Arabidopsis thaliana (AT1G27920) TAIR;Acc:AT1G27920] MQPEKMTGTLKEQLNSITPALQEMQMRKEARLKQFIEVQTEIQRIASEIAGRPENEAITVNQEDLSLKKLEEHQSELQRLKREKSDRLCKVEEYKVLIHNYAKIMGMDPSKILSNVHTSLLDGANDQQTKNISDDILNKLNTMVQQLKEEKNQRMDKLHSLGKALTKLWNILDTNMEERRPYGEIKIYSMTSGSSMLGPGSLTLETIQKIESEVQRLDHLKASKMKELFMIKQTEIKEICKKSHMDMPYQTEMNKIMDVIMSGDVDHDDLLKTMDEYIYKVKEEATSRKEIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGKYKSYLIESKVSAYMLY >OGLUM08G22790.1 pep chromosome:ALNU02000000:8:25190519:25200026:-1 gene:OGLUM08G22790 transcript:OGLUM08G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPQAILPLRALPPDAPLPPPLPAPFHSPSTPAAATATATATPATATATPATPPNPPAPPAAPPPTFSSSRPPHPWEIAARAWLESFPDGRPPTEPEVDAYIDVHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYKWLESLELESLVTSKEISEWLTSNPQIMDRLVEKHSKYHLIHYTQRMHLKLLKKRGKIPKSLQLSAARATVPASASPVTPQESTPTVRKSLPPVTGVSASRFVGGDAPIRDKKMSLSKKKEAFLKYELLTDLQNQLTSVLLKNRRTVAIKETDFQKPETNVSMQEGAATASTSTPTEVTKIYCNEQPNQGAADNEFGQKRKRNPIIVTPAWCYSEATTGTLQHEQNSSSHSNGARSYDIWKGHKGVKLVPTGLKLVQMEVTLEGIVKDGAPFLKDGIHLRSSLKQGVRKVLDVKFHPEGLPQLVSCSNEAPNELLLFNLLSGRATQLRGHNTKIQATAFAVKGASIVSCGSNLLKVWDCITGSCLYTLGGDDHNSVGHTQKINAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSIDTMEFCSENLLACGSDCEYGGSAVVQLWDIESPESYLSFSASDSYITSLKTNPAGNTIITGSGDGTIGLFDIRACSAINHLSVGPGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPLNQSRDVSRSKDMRFFRPLHCLSHGKQMPTAEYTSQLPGHVDEGDQGVNAMQWLHKEPVLVTVSGDGRLLLLLMTNTFPQGEVTKKLFYIIVKVGVPTLIGVSHILSQEMINVLP >OGLUM08G22800.1 pep chromosome:ALNU02000000:8:25201899:25205724:1 gene:OGLUM08G22800 transcript:OGLUM08G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHBP synthase RibB-like alpha/beta domain [Source:Projected from Arabidopsis thaliana (AT3G01920) TAIR;Acc:AT3G01920] MLTIPISFLQNSSIVHSFLKYVDFTVEPTRKIRGLSEALCGPRPKAQRTRRPWIRSRERHKPRRIPPLGDNPTQPRPSPMATATATATAAAAAASSSRLPFRRAASSSSSSQLRLPAAPPPRRASFAVVALHKRNPKRLKYASQRQFTRGDAGMLRVQVEPSGEDAWKLEPVVELINRGAVGIIPTDTVYSIVCDLSNNDSVERLRSLSASCAAHYETLIPTQPDFLEALTKGKLTYFVLSSYTFILPATKELPKQCIRHGSSTRYAKRRQVGVRLPDDPICEAILQNLDEPLICTSVKSLSEDEWILDPVIIADIYEQQGLDFIVDGGTRIADPSTVVDMTGSYPTIIRQGKGPKLDWMVAEDEEQESRPTFAYKAA >OGLUM08G22810.1 pep chromosome:ALNU02000000:8:25231314:25236507:1 gene:OGLUM08G22810 transcript:OGLUM08G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDLKMPPAASWELADELENSGGGGVPAAVSSSSAAVGGGVNAGGGGRQECSVDLKLGGLGEFGGGGAQPRVAVAGEPAKGKGPAAAATGAAAAASSAPAKRPRAAAAAGQQQCPSCAVDGCKEDLSKCRDYHRRHKVCEAHSKTPLVVVSGREMRFCQQCSRFHLLQEFDEAKRSCRKRLDGHNRRRRKPQPDPMNSASYLASQQGARFSPFATPRPEASWTGMIKTEESPYYTHHQIPLGISSRQQHFVGSTSEGGRRFPFLQEGEISFGTGAGAGGVPMDQAAAAAAASVCQPLLKTVAPPPPPPHGGGGSGGGKMFSDGGLTQVLDSDCALSLLSAPANSTAIDVGGGRVVVQPTEHIPMAQPLISGLQFGGGGGSSAWFAARPHHQAATGAAATAVVVSTAGFSCPVESEQLNTVLSSNDNEMNYNGMFHVGGEGSSDGTSSSLPFSWQ >OGLUM08G22820.1 pep chromosome:ALNU02000000:8:25237417:25244289:1 gene:OGLUM08G22820 transcript:OGLUM08G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKKKKKPQSLLVLTSWRSIGMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEFGLKFGIWLKLETDVTEKLCVYERNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMFSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEQHEAMNSACMNTYMPPWLP >OGLUM08G22820.2 pep chromosome:ALNU02000000:8:25237417:25242486:1 gene:OGLUM08G22820 transcript:OGLUM08G22820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKKKKKKPQSLLVLTSWRSIGMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMTKTLEKYQKCSYAGPETAVQNRESEFGLKFGIWLKLETDVTEKLCVYERNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLTELQRKEQMFSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEQHEAMNSACMNTYMPPWLP >OGLUM08G22830.1 pep chromosome:ALNU02000000:8:25245929:25248431:1 gene:OGLUM08G22830 transcript:OGLUM08G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWGHTPPPHGGARGVEPPVRATGRNLLGEDLDSLGIKELESLEKQLDSSLKHVRTTRTKHLVDQLMELQRKEQMFSEANRCLRRKLEESNHVRGQQVWEQGCNLIGYERQPEVQQPLHGGNGFFHPLDAAGEPTLQIGYPAEQHEAMNSACMNTYMPPWLP >OGLUM08G22840.1 pep chromosome:ALNU02000000:8:25252469:25266427:1 gene:OGLUM08G22840 transcript:OGLUM08G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQDLSLSSIISCIKIHSAIIRPLSEEMGAGTMAPTGDSHDAIIPSETWILNSDETSRRPRSPATLPPPVPTPLHGRAAAQQQQQQLARRGDGEMEMLGFVVDRWRSWGMEGGGRRRKKGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRLRQKERSDDPVPKINHITQCCLIVQVIISVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMKVASVLPQSEKKRKSCSISEPRSGARASNLTRLLEAKHGDGLRKK >OGLUM08G22840.2 pep chromosome:ALNU02000000:8:25252469:25266242:1 gene:OGLUM08G22840 transcript:OGLUM08G22840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLQDLSLSSIISCIKIHSAIIRPLSEEMGAGTMAPTGDSHDAIIPSETWILNSDETSRRPRSPATLPPPVPTPLHGRAAAQQQQQQLARRGDGEMEMLGFVVDRWRSWGMEGGGRRRKKGKVELRRIEDRTSRQVRFSKRRSGLFKKAYELSVLCDAQVALLVFSPAGRLYEFASSTSSIDTIFGRYWDLLDTTIDLNIEARESRLRQKERSDDPVPKINHITQCCLIVQVIISVLESNVNELNIAELRGLEEAMTNALTVVKNKLMMKVASVLPQSEKKRKSCSISEPRSGVSS >OGLUM08G22850.1 pep chromosome:ALNU02000000:8:25266983:25267204:1 gene:OGLUM08G22850 transcript:OGLUM08G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELQGWETPRREECRIPVMPQCPAPPRKRPVVLPELGKERREPRKGRYFQPPDLELLFVLVPPRRQASSCV >OGLUM08G22860.1 pep chromosome:ALNU02000000:8:25268275:25270650:-1 gene:OGLUM08G22860 transcript:OGLUM08G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASAAAAAVASGISARPVAPRPSPSRARAPRSVVRAAISVEKGEKAYTVEKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDTVNAALIETLKKGTSFGAPCVLENVLAEMVISAVPSIEMVRFVNSGTEACMGALRLVRAFTGREKILKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATSETLTAPYNDVEAVKKLFEENKGQIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQDGALLVFDEVMTGFRLAYGGAQEYFGITPDVSTLGKIIGGGLPVGAYGGRKDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYDYLDKITSDLVRGVLDAGAKTGHEMCGGHIRGMFGFFFTAGPVHNFGDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTVEAAAKVLRRI >OGLUM08G22870.1 pep chromosome:ALNU02000000:8:25272106:25273871:-1 gene:OGLUM08G22870 transcript:OGLUM08G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADAVAKAFVEHYYRTFDTNRPALVSLYQDGSMLTFEGQQFLGAAAIAGKLGSLPFAQCHHDINTVDCQPSGPQGGMLVFVSGSLRTGPDEHPLKFSQMFQLLPAGGNFYVQNDMFRLNYG >OGLUM08G22880.1 pep chromosome:ALNU02000000:8:25274269:25283430:1 gene:OGLUM08G22880 transcript:OGLUM08G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMILGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSELIVKDYAYPRAIALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLAMASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >OGLUM08G22880.2 pep chromosome:ALNU02000000:8:25274269:25282696:1 gene:OGLUM08G22880 transcript:OGLUM08G22880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGGRVRGFVRNRWLVFVAAMWMQSFAGVGYLFGSISPVIKASLGYNQREVAGLGVAKDLGDSVGFLAGTLSAVLPLWAAVLVGAAQNLVGYGWVWLAVTHRAPVPPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQMYAMIHSPDHAALIFMVAVGPTMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYSVCLLLAAYLMGVMILGDLVDLSHTVMVLLTIILIVLLIVPIVIPVILSFFSDNDESAYASLLQSPGKEEASASTPSEEQTEVIFSEVEDEKPKEVDLLPASERQKRIAELQTKLFQAAAVGAVRVKRRKGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEDSHIFVSMISIWNFLGRIGGGYFSELIVKDYAYPRAIALATAQVFMAFGHFSFAMAWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTVANPAGSLVFSGIIASGIYDSEAEKQAHQHHNSTLLAMPGRLLAMASDATQPLKCEGAICFFLSSLIMSGFCIVAAVLSLILIYRTKIVYANLYGNPRT >OGLUM08G22900.1 pep chromosome:ALNU02000000:8:25286544:25291154:1 gene:OGLUM08G22900 transcript:OGLUM08G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRRRRRREHTEGEETAQGMANDEQASPTPGLDKDADRSKWGTEGSTIESWMLVKASKKAGKAPKERVQNSTTSERIFV >OGLUM08G22910.1 pep chromosome:ALNU02000000:8:25291297:25297121:-1 gene:OGLUM08G22910 transcript:OGLUM08G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWRGLALAAVVAWCVAAAAAAAPDAALQSKCQQDFTKLTDCMDYATGHEEAPSSTCCGDMSATQQARPECLCYIIQQVHGGRNEVQSLGLRFDRLLAMPTACKLPNANVSLCITAATTPSSTTPGAAAATAGGFKVQAGLSYGVVAAAMVSAVFSSIF >OGLUM08G22920.1 pep chromosome:ALNU02000000:8:25299327:25302233:-1 gene:OGLUM08G22920 transcript:OGLUM08G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPQAQNRRCPLPSSSVVVVVSSIGLPRLRATATSFLLSPKRDLASPEMEVLSWRTVCLLVLCTVALLRPAAAIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEDGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETVDFDVHVGHFSYFDQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALLESAALIAASVIQVYLLRRLFERKLGTSRV >OGLUM08G22930.1 pep chromosome:ALNU02000000:8:25302400:25302895:-1 gene:OGLUM08G22930 transcript:OGLUM08G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLIIFTLLLHPSSLSLSPPFFFFSSHLRRPNPCGGGGVVEPPPRRREGGGKEGGRPSGIAGVAWVAEALWSPRSGDGKAAAREAIGRRELGDGKGAAREAVGRRERSGWRRPAAMTAWWRNGTLNGGTIEEMADGERNGGPMRGAHDWQGNLVAIFGQ >OGLUM08G22940.1 pep chromosome:ALNU02000000:8:25312085:25314879:1 gene:OGLUM08G22940 transcript:OGLUM08G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACGSPSTEVVDEFEKLVIRMNPPRVTVDNTSDMTATLVKASNTSLFLVLDNSCSANKYGTLLEVVQVLTELKLTIKRAYISSDGEWFMDVFHVVDQDGNKLYDGQVIDRIELSLGAGSLSFRAPPERSVEVEAEAAAAQTAIELIGKDRPGLLSEVFAVLTDLKCNIVSSEVWTHDARMAALVHVTDADTLGAIDDQDRLDTVKRLLRHLLRGGGAGARDRKATARAAIPAPRRDGAAAHAPRRLHQMMHDDRAAAAAQPSSSSGDGGGRGRPVVEVVDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLDDSPVTSGDERDRLGRCLEAAIQRRNTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVATRGARAANVFYVVAASGEPVEAHAVEAVRAEIGEQVLFVREDAGGGGGGGEPRSPPGRDRRSLGNMIRSRSEKFLYNLGLIRSCS >OGLUM08G22950.1 pep chromosome:ALNU02000000:8:25341343:25345583:1 gene:OGLUM08G22950 transcript:OGLUM08G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGGELVVVEEDDEYAKLVRRMNPPSVVIDNDSCDSATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGNKVQNKEVTDCIKKCLESEDYLVPPATSPAGGAAPSEETTCIELTGTDRPGLLSEVCAVLASLRCNIVNAEVWTHDRRAAAVIQITDEATGLPVRDGGRLSQLQELLGNVMQGDGDGGGGGGDSRKGSTVVSLGAANAERRLHRLMLDDGDAGRCGEERGGVAAAKAKAKVVVMDCTERRYTVVILRCRDRPRLLFDTLCALTDLHYVVFHGTVDAEGGSAKEAYQEYYVRHVDGHPVRCDAERLRLVRCLEAAVERRASDGLELEVKTEDRAGLLSEITRVFRENSLSIIRAVITTKDGEADDTFYVSDAYGNPVDGKAMEALGEQLGHVVLRVKSNGRAAINRAEDSGGAASIIGNLLKGSFQGFRLIRSYS >OGLUM08G22960.1 pep chromosome:ALNU02000000:8:25346016:25349593:1 gene:OGLUM08G22960 transcript:OGLUM08G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKVEVNLLRLLEAAPQQQNQAKLVHYVTTARELLEQLGAETTPEGISSVSKAKISEYSEKIEALAARLAVLEPENEMPVDENREVESSYEGEKPGSPISLSSGLRRRPIAHTDVGPSSHARKDRDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKILDSTERAVEHSLASTGRAATRAAEVYSLASKTTCFQWLLIFMMTCMFIMVVLLIRVT >OGLUM08G22970.1 pep chromosome:ALNU02000000:8:25353412:25356500:1 gene:OGLUM08G22970 transcript:OGLUM08G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNTEENAPPVLPDAVTNGCEAGNADVEYIDSESLTDLEDAGATLSNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPRLGVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDAPATSPDADADAGAESWEAFCQSKLSPLSAQAILRVTSTTKEGVAVGVTSTPPKEGVTVGC >OGLUM08G22970.2 pep chromosome:ALNU02000000:8:25352873:25356500:1 gene:OGLUM08G22970 transcript:OGLUM08G22970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSALKDLNLAQSAELEKTKDSSAKSCITKPVLNGNKCNNTEENAPPVLPDAVTNGCEAGNADVEYIDSESLTDLEDAGATLSNPRSAVCKTALMTCADIFKAYGDLMVDSIDPLLVQLFLKSSQDKRFVCEAAEAALISMTSWIAPSALLPKMQPYLKNRNPRIRAKASMCFSKSVPRLGVEGIKEYGMDKLVQIAATQLSDQLPESREAARKLALELQAFYEKSQASSSGEVDDAPATSPDADADAGAESWEAFCQSKLSPLSAQAILRVTSTTKEGVAVGVTSTPPKEGVTVGC >OGLUM08G22980.1 pep chromosome:ALNU02000000:8:25356840:25357259:-1 gene:OGLUM08G22980 transcript:OGLUM08G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGVRNKQGVIMKALLDRCLSKHRNGGRPEPPDGSFAVYVGGGGAARERFVVRTECVNHPLFRALLEEAEEEYGYVADGPLELPCDAGEFVAVLARIEREMAEERTVGCAGGLVLRLHPAAHLMLAAPATPPPMIVG >OGLUM08G22990.1 pep chromosome:ALNU02000000:8:25359120:25365026:1 gene:OGLUM08G22990 transcript:OGLUM08G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKVEVNLIRLLEAAPRQQNQTKLVHYVTTARELLEQLGSETTPEGISRLLDANFVYMMLLVCFGGCVSKAKTSEYSEKIEALAARLAAPEPENEMPVDENREVESSYEGDKPGSPISLSSGLRRRPIAHNVVGPSSHERKDRDIGAPIKLDEEAQAHIEKHRKLQEDLTDEMVELARQLKESSLTMHQSVQETEKLWYVDIGNCLGRSLIPPRELSSIAWRALGVQPREQQSDFEIDYGSEEHASIVYKTLAVDKELQPDKVKREMSVSGGKLVVHFEAVEARFLRASFSAFVDLTVLVTKLVEEYGISKEGEGSI >OGLUM08G23000.1 pep chromosome:ALNU02000000:8:25369904:25370613:1 gene:OGLUM08G23000 transcript:OGLUM08G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFEITWIHYYSAKWHFILLPFYRISILALHEALVRQPRDPLVVATFALALYLGGDMSLALDIGKSINRQHGVETVDGSHKARISGITHVPLDFPVICKEIAEGHPLLAIIRTGKGFDDLQYNQIYKPPKVSRIVDGKSAGLHCITLVGGAMRKGRKMHYKFVNTHGEEFCKLRSLNRDDGIKGGLGNVIAEGILRRPVKFLREGRGSV >OGLUM08G23010.1 pep chromosome:ALNU02000000:8:25372738:25375569:-1 gene:OGLUM08G23010 transcript:OGLUM08G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAKGGSDSSAGSSGSTPSSPATGRGGSDSSDANQPSPVKERGVVLSELLGHIHGYYKAALGRLPVEETPALIPRLLDAGVCFGLMDPISNIIVNTVSEPLLPDCTASEEEEEDGKSRKRKRGRDEAILSEIAADASCIRCLPPRMGRCETSPERRRNVPVAQRSLEGLVTFLICYFRHLPVSEALHYLLLTKADLLAAVHIIEYTRGIGGRLFPISSPTTEVALRCAAISASHPDPPAFAARSLSLASRLGDQPSSQILTDGCYLSLDAIHRLHEFLQEPLKEPPDFPKPVRQAALRLNHYIKGISSLNKFPHEFTDTLRTLLLEKIHVLYLKATARLPRDDLRRRYHHSLLKAGHCFGPANDPVSNIILNTIWYDTTFPPHEELKLDMICTNSLVRIECRSLNGLLAFLHNLFPALSEHDAMMYLFHSNANLEQVTFRAMCDHDISCSHEDAYKAAADAAWHPHPDAQAEFAVSTHPMLLPIDKPSLAVSRTLTSSEVELISRYFSQKSYPAKSVPSVPELVPRADELVKRSQQNFMANQYFIRRKVKAALKRYAKEKGTEYELHVICGTNFDVPENGRYGYFRNRKGFPYAHVNFLARPKGSQRDNTAPSLFFLECNNGEEDIGTLFSCCAELESPTDSGRCFHCECKGTKIVHPAIGTYRGRETDFEEMSCGKQEIDSKGLIISEKMAIEFVGLCEDDSIYFDPSKDYDFALGLNNLVREDDEALEELHRDIAECERMKVSDMSCEPDLLAEITEDVLQDCQGHLVPQC >OGLUM08G23020.1 pep chromosome:ALNU02000000:8:25379115:25381364:-1 gene:OGLUM08G23020 transcript:OGLUM08G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY50] MAGGFLSMANPAVTLSGVAGNIISFLVFLAPVATFLQVYKKKSTGGYSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVEAAYIVLYLVYAPRRARLRTLAFFLLLDVAAFALIVVTTLYLVPKPHQVKFLGSVCLAFSMAVFVAPLSIIFKVIKTKSVEFMPIGLSVCLTLSAVAWFCYGLFTKDPYVMYPNVGGFFFSCVQMGLYFWYRKPRNTAVLPTTSDSMSPISAAAAATQRVIELPAGTHAFTILSVSPIPILGVHKVEVVAAEQAADGVAAAAAADKELLQNKPEVIEITAAV >OGLUM08G23030.1 pep chromosome:ALNU02000000:8:25380457:25400702:1 gene:OGLUM08G23030 transcript:OGLUM08G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARFININMNVENARMTYIVKRGIRVASKAASADNAVPIWLLDNYHGGEEDGISGGRPGIRDARPAGGQLGWNGETTTTTITEIPQSPGKSSMRCLATNTQKATTGATMRNIV >OGLUM08G23040.1 pep chromosome:ALNU02000000:8:25398031:25401048:-1 gene:OGLUM08G23040 transcript:OGLUM08G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY52] MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADISDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQMMNAQIIAAWEAIQSDPVH >OGLUM08G23040.2 pep chromosome:ALNU02000000:8:25398033:25401048:-1 gene:OGLUM08G23040 transcript:OGLUM08G23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY52] MYVPQPSRSDAAGRDAGDQPRVYQVWRGSNEFFLRGRFIFGPDVRSIFLTMFLIVAPVVAFCVFVARHLIDDFPGDWGISVMVVVVVFTVYDLTLLLLTSGRDPGIIPRNTHPPEPEGFDGSNDSGVQTPQQLRLPRTKDVIVNGISVRIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYIIKIRNAESLSVWKAMLKTPASIVLIIYCFLCVWFVGGLSVFHCYLMSTNQTTYENFRYRYDRRANPYNRGVLNNFLEIFCSRIPPSKNNFRARVTVEQGLQQTRVASRGFMSPNMGKPVGDLEMGRKPVPWDEPRTAADISDLEAGLGGFLDEKEGRLTHASPDLSRDDLPADLMEGRAGTHSRRSSWVNRTGTSESVDSMNAQMMNAQIIAAWEAIQSDPVH >OGLUM08G23050.1 pep chromosome:ALNU02000000:8:25402862:25403939:-1 gene:OGLUM08G23050 transcript:OGLUM08G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase inner membrane subunit 8 [Source:Projected from Arabidopsis thaliana (AT5G50810) TAIR;Acc:AT5G50810] MENSAEMQRFIEFTADSYLNITLQQEQQKAMVSEMVGKLTSVCWDKCITSTPGSKFSSGETTCLTNCAQRFLDMSVIIAKRFEMQ >OGLUM08G23060.1 pep chromosome:ALNU02000000:8:25404322:25407489:1 gene:OGLUM08G23060 transcript:OGLUM08G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAATARAAALCRIAACASASSAAAAATGGRRGAEKLPFSLAERGMVVGGHRGMGMNAVGAPPGARIEAVRERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQETDAVYAKRVTDLLLEEFLSYGPQKNSHEISKPLLRRTSDGRVVNWSAKDDDSLCTLQEVFERVSPRLGFNIELKFDDDIFYERSQLDRALQAVLQVVSQYASNRPVFFSTFHPDAARIMRELQSLYPVLFLTEGGTAQHKDSRRNSLDEAIRVCLEYELHGLVSEVRGVLKNPSAVLRAKESNLALLTYGQLNNVWEAVYIQYLMGVNGVIVDLVEEISNAVADFSKPVLNQSMLGSGVDLVEAKHQAFSQQQLGFLLRLIPELIQQPH >OGLUM08G23070.1 pep chromosome:ALNU02000000:8:25405981:25409378:-1 gene:OGLUM08G23070 transcript:OGLUM08G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRPERAGQAAPATGDRPTRRSEERETAGITGGRKAEYLSRSEKASVQVQRRGHNMNEAIVGSSDISSEVPS >OGLUM08G23070.2 pep chromosome:ALNU02000000:8:25406433:25409378:-1 gene:OGLUM08G23070 transcript:OGLUM08G23070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRPERAGQAAPATGDRPTRRSEERETAGITGGRKAEYLSRSEKASVQVQRRGHNMNEAIVGSSVNENS >OGLUM08G23080.1 pep chromosome:ALNU02000000:8:25409092:25412005:1 gene:OGLUM08G23080 transcript:OGLUM08G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNQMRGHLAKYKREYTTPLDLTRTAQIFSFPSSRNPRRLSLLAPAGWPVAGCRSRLPCPLRPAGSRHYRWWNAALTEGCGWRAARPQNGG >OGLUM08G23090.1 pep chromosome:ALNU02000000:8:25419489:25423595:1 gene:OGLUM08G23090 transcript:OGLUM08G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAAAPVVVRHGGVVLPPGFRFHPTDEELVVQYLRRKAFGLPLPAAVIPDLHNLFKLDPWDIPGASSDGDKYFFAVRPPAARGRRQQVTASGGCWKPAGGRDKPVVVARCGGSHLVGVKKGMVFVPRQGRKAPAAAAAAAGGGCWIMHEYSLALPMHKKGCLAEAEEWVVCRIFQRSSSGSRSPRRPDNDVRRTMPAVAELGRSPSSSSSQSSCVTSSSDQEEVSSG >OGLUM08G23100.1 pep chromosome:ALNU02000000:8:25446399:25453106:1 gene:OGLUM08G23100 transcript:OGLUM08G23100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVARRRLGSGCALGQLMQALRPAAAAAAARTYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITAFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTLEEGFPQHGVGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVEDIVRAAKRACYRAVPMAATA >OGLUM08G23100.2 pep chromosome:ALNU02000000:8:25451486:25453141:1 gene:OGLUM08G23100 transcript:OGLUM08G23100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMYHSIMVSSQVSTSERWAYDLISCAVWPSDGHASCAIIESHQYPVCNLKVTRLVDGEAQPSPANTSRCPESEATDISAGSSNDAN >OGLUM08G23100.3 pep chromosome:ALNU02000000:8:25451486:25452196:1 gene:OGLUM08G23100 transcript:OGLUM08G23100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMYHSIMVSSQVSTSERWAYDLISCAVWPSDGHASCAIIESHQYPVCNLKVTRLVDGEAQPSPANTSRCPESEATERVTGGELESYTCVLQLAKLWVSLNSNGHGP >OGLUM08G23110.1 pep chromosome:ALNU02000000:8:25453973:25460711:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPRFLVACSGSKLCFISSCRGSYGDMARRGRMIPFRLMGSSRLYECSSTLPVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSSDGSEEKDAKAKCCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKFVVAYGSQWTRRHANWAGLAVMGPRSCS >OGLUM08G23110.2 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPRFLVACSGSKLCFISSCRGSYGDMARRGRMIPFRLMGSSRLYECSSTLPVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSSDGSEEKDAKAKCCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OGLUM08G23110.3 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPRFLVACSGSKLCFISSCRGSYGDMARRGRMIPFRLMGSSRLYECSSTLPVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECNGADSPIRLVAQSKLLISSQLIDYFVFAGSSDGSEEKDAKAKCCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OGLUM08G23110.4 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECNGADSPIRLAHLMDQRRKTQKQNVVRWTVT >OGLUM08G23110.5 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECSSDGSEEKDAKAKCCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OGLUM08G23110.6 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKTFMRQKVTLSPTLSSSNPMKEGSNAGDINIGPKAESVSCGEVTERESSECNGADSPIRLVAQSKLLISSQLIDYFVFAGSSDGSEEKDAKAKCCSLDSNMMESNKHNSPVNKKNNTDSDDCCCQMDNLGGKNSCCASSRINDSSGGGVIRYALHLRFLCPSSKKSSKSMLRCKSDPSSVPYDSNAAKEDDRRFYLYNDLRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OGLUM08G23110.7 pep chromosome:ALNU02000000:8:25453973:25459612:1 gene:OGLUM08G23110 transcript:OGLUM08G23110.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVNPAEEAPTPSSRPVSSPRSFEGVGACDLDGLLGGSSSSRVFEYPSIGDSDRKTALDIPNGSTEYSRDSHCFDGPAGLRGLRIDSRDADSRSSHKLDPTVHVPTMRVVGFESGSVGGSAIIETDNCHTPFDQHELQARKRLLSPLKNVLNRQFHGDILNISAGDARFELSDSARKLNSSCFQDSKKANTGMLDSFEPQAWTNSRCSNGSPEWDANKINSNLFTDGPLLGSKESLAYLDHLAASAKLAHSPLSLSPLSPKFMNRTKATGAQCRTMRDIEHHFLDSREKGGPDGIRMLSVLEETNILHDEYNVMTPKRSSCRRYQIWGPESTPTSPRVGYGRSLSLPVRRSLVGSFEESLLSGRLSYGKDNQIIDGFLAVLNITGGSFSPPTQKLPFAVTSIDEDSSLLYYSSIDLAGRSSATNCRSPKLERSLSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCSYDLTDMPSGTKVQDIMTNFSFKNKL >OGLUM08G23120.1 pep chromosome:ALNU02000000:8:25461721:25465502:-1 gene:OGLUM08G23120 transcript:OGLUM08G23120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGGGGGGRGQQQQWPCDYCGEAAAALHCRADAARLCVACDRHVHAANALSRKHVRAPLCAACAARPAAARVASASAPAFLCADCDTGCGGDDGAALRVPVEGFSGCPAAAELAASWGLDLPGGCGGEEEEADDAFFSALDYSMLAVDPVLRDLYVPCDPPEVVVAGGGGRRLKGEALGHQLAEMARREAETAHPHTQPHSDLSPRTPRRTSAAASGRLQEKQAPPPLPHAAATAAAAAAAQEAPLPYTSLLMMAPANCTELMENDRVGDEDENVLWESTAPSVPPTQIWDFNLGKSRDHNENSALEVGFGSNNGGFMIKSYNDMLKEISSGTTKDLEDIYDSRYCAAAEDIMSTNVCQLSSKNPSTGSNKRKVSSCASTIDGPTTSTSHVPAASGALGGSSNDRGSALPKEISFCDQTVVPTGADQRPCTIKIDSETLAQNRDNAMQRYEKHIRYESRKLRADTRKRVKGRFVKSNGAHDDVSNGG >OGLUM08G23130.1 pep chromosome:ALNU02000000:8:25481245:25481619:-1 gene:OGLUM08G23130 transcript:OGLUM08G23130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQSKRACAPMPMEVSSPGNQPIAPPGNQPVTQPLPPQFVVPSSFASTYRPGALFPAVTTQSMAPSSAPCWFTTLQQPDMTGSSTQGPWLFSTGIGPSTENAEHPDIHALYDTVPLFSIFLVI >OGLUM08G23140.1 pep chromosome:ALNU02000000:8:25493807:25495502:1 gene:OGLUM08G23140 transcript:OGLUM08G23140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTLHHRGLTPHLTSLHHQGTRATSIRASNLTTRRRRRRHHRHMTTATTTVVMRVLELGFSKDGFLSRGLLLLYNSQIVTDQINVVCDTNQSGFVV >OGLUM08G23150.1 pep chromosome:ALNU02000000:8:25502899:25503629:1 gene:OGLUM08G23150 transcript:OGLUM08G23150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDWHPPDNDDDDLQVRQGQGDLEVNKRWAGRQSARMGGVRQVRGRAVGGSGWWTVAQGQALAGGGNSVDRDSSGGEVNSYFFLVKTMAGLSRLNITLSHN >OGLUM08G23160.1 pep chromosome:ALNU02000000:8:25514356:25515973:-1 gene:OGLUM08G23160 transcript:OGLUM08G23160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSPHHSLLLKATAAGAAIATTNDPNISSFFLYNHSHGSQAPQPANAAAAAIVEDASLESSVSAVLDTSPSVDRKRKAAEDSAHSKDSCKDGKSRRGKKASKEVEEKSTTEDEPPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGMDSDGLHDQKIGGMFQEALAMPTPVLNQSSPAPSQAIMDTTSTTSYSLQSQHGAISFSQDNDSYLMQAVGEPRQQEMLNQLVFNNMCSFQ >OGLUM08G23170.1 pep chromosome:ALNU02000000:8:25523360:25526152:1 gene:OGLUM08G23170 transcript:OGLUM08G23170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGNVRVKPPIVCAFVVVLYLVRWLDPNWNRLYYMVFVWESCVQNKIILCS >OGLUM08G23170.2 pep chromosome:ALNU02000000:8:25523360:25526152:1 gene:OGLUM08G23170 transcript:OGLUM08G23170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGNVRVKPPIVCAFVVVLYLVRWLDPNWNRLYYMVFVWESCVQNKIILCS >OGLUM08G23180.1 pep chromosome:ALNU02000000:8:25533327:25533977:-1 gene:OGLUM08G23180 transcript:OGLUM08G23180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRCAAAECPAFFLHDACFRYPERIKAKGHFSAGHSLALTAHADVAGGACTVCAMTLDGFSHVYSCSKSRHLACGTGGFRAHPRCGNLPPQAGAPSHPHQLFLRAPDGGGGVRRCVECNAVAGAAGGGGGGRQVVRAWSYQCQTCQDSELCLTCVLGRDGVPPCCCCGQCVCGGGCFVGCAGLAGNAMGHFIHELFRACTGLSFKSAMGTARPPA >OGLUM08G23190.1 pep chromosome:ALNU02000000:8:25534155:25539332:1 gene:OGLUM08G23190 transcript:OGLUM08G23190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLVWLLFETPSGFAMFSFNSYIFEEENAIELWLKQFQKFEDKSAAINCTTGLGEELRDMLKIWCRRGEKLMVGSLEYKEIIEADQELKGVRCLYNNYVMEVMWGIKNLMHILVPEEQKVLTKEERLPVSKGLEMILHRYKFDVKPVMINNDIVETACYLYHCDFLEKRHSKGLHMSDYHLLKISGLNSSEWDTMKLVTALKKISRPGEEIEHPPEMFSSDELLKIVKDADKYKDKIYKTAVSEIWNDLVCSYSIKKEKLRHMQFLVEAAAQEAAKREVNQAAIHKIME >OGLUM08G23200.1 pep chromosome:ALNU02000000:8:25537982:25545908:-1 gene:OGLUM08G23200 transcript:OGLUM08G23200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLVTSISHIEAKKRFEFLEAGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNTGNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNKFVNDVWEEVLPSSKNGESDDKLRAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDNVTANDKRAVYSLIVQSRANVNLVYGEMSYSSRSTTGRSSQQEHSSSPSDGSPVFFDCHSHEKVSPREPLSPASTSSRVDDGDERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHQCILKGRHVHAKLLLSRGANPQVADQDGRTALQCAIDSGTSDDEILVLLEDYTR >OGLUM08G23200.2 pep chromosome:ALNU02000000:8:25537982:25545908:-1 gene:OGLUM08G23200 transcript:OGLUM08G23200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAKLDDSPMLRTQIQSLEESAEILRERCLKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALRELGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDARKRFDKASLLYDQVRDKYLSLKKGTRADITTAIEDELHNARSSFEQARFNLGYDLLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKKQIDREIRLSNGLTDAHNGDGIQTIARSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQINRTPGGCSSHPRSIGNPTEHGSGLLSRLFSSHYHGIIHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAIDQMDWIEKITGVIASLLSSQSPEQCFLLSPKSCGHDGSASESSSFTSQDLEPSMYDDLTLEKNTGNGQHDVRGTHYHKTNMKPEKPIDLLRKVDGNIICVDCGSMEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNKFVNDVWEEVLPSSKNGESDDKLRAHGLDTAWHFTVSKPKHSDPFSAKEKFIHAKYADKEFVRKYSMDEIQLAQQMWDNVTANDKRAVYSLIVQSRANVNLVYGEMSYSSRSTTGRSSQQEHSSSPSDGSPVFFDCHSHEKVSPREPLSPASTSSRVDDGDERCEGFSLLHLACHVADVGMVELLLQYGANVNAIDSRGRTPLHQCILKGRHVHAKLLLSRGANPQVADQDGRTALQCAIDSGTSDDEILVLLEDYTR >OGLUM08G23210.1 pep chromosome:ALNU02000000:8:25548770:25554133:-1 gene:OGLUM08G23210 transcript:OGLUM08G23210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWREETGEKNAHLFLASAEISEEKNTHRGERGERSGKLHRIEAPTHAKLAREAADASARKRKAADGGGEGDAAATVPEEAVMGEAAAAAAAPEPVVEGGGGGGGEGLNRNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDGAAAAAEKPYITLLYRPGHYDILYPNYGARACHQRPALQRKGLVLLVLGGELGGMGLLDARWHAASTDMAT >OGLUM08G23210.2 pep chromosome:ALNU02000000:8:25549717:25554133:-1 gene:OGLUM08G23210 transcript:OGLUM08G23210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWREETGEKNAHLFLASAEISEEKNTHRGERGERSGKLHRIEAPTHAKLAREAADASARKRKAADGGGEGDAAATVPEEAVMGEAAAAAAAPEPVVEGGGGGGGEGLNRNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGAEELLERTRDQMVSDYGLFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDGAAAAAEKPYITLLYRPGHYDILYPK >OGLUM08G23210.3 pep chromosome:ALNU02000000:8:25549717:25554133:-1 gene:OGLUM08G23210 transcript:OGLUM08G23210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLIQIERWREETGEKNAHLFLASAEISEEKNTHRGERGERSGKLHRIEAPTHAKLAREAADASARKRKAADGGGEGDAAATVPEEAVMGEAAAAAAAPEPVVEGGGGGGGEGLNRNPSGGGGGGGGGCSDSVSVELSMGGDYYHSCCGDPDPDLRAPEGPKLPYVGDKEPLSTLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVERILKKIEQCKKTLADLGYIEFTFEDFFSIFIDQLESVLQGHESSIGFVTSGEIQRRAEFFEPFISGLTNSTVVQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDAGNISVNHHDFSPEANSSDGAAAAAEKPYITLLYRPGHYDILYPK >OGLUM08G23220.1 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.2 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.3 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.4 pep chromosome:ALNU02000000:8:25554050:25560897:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.5 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.6 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MTWWAHIATGPHSDELIYALHSGVEAAAADQIQCSSRRGDVVVGVGGGVVGAQPRPHPPTGHFPRPRALLPPLSTLLSAFLLHSSHLQESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23220.7 pep chromosome:ALNU02000000:8:25554050:25561902:1 gene:OGLUM08G23220 transcript:OGLUM08G23220.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G52630) TAIR;Acc:AT1G52630] MSSSASAAAWWARSRVRILLPVIFLAPALYFLLSPPSSPPFFFTLPTSREESPSASGSRVIWAQRRVAEWRPCGWWRAAMPAPSRRNGYIRIDCYGGLNQLRRDLCDGIAVARLLNATMVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEVVKDMPEEIASKEPFKVDCSKRKGHFDYVETVLPALLEHQYISLTPAMSQRRDRNPSYAKASYCQGCYNALRLNKNVETKAIELLQAIPKPFLSLHLRFEPDMVAYSRCVYTGLSSKSLSAIEAARGEDRKALTGEAALLWRNRGKCPLTPSETAFILKALGIPTDTNIYLAAGDGLMELEGFTSIYKNIYTKSSLLTHDDFEKMHGNTKAALDYYVSVSSDAYVATFFGNMDKMVTAMRTMQGHQKTLVLSRRAYANYTAVGLSGEQLAMAMWDAHREEYIMGRGSALPEQCFCEFKL >OGLUM08G23230.1 pep chromosome:ALNU02000000:8:25566476:25571382:1 gene:OGLUM08G23230 transcript:OGLUM08G23230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMRFRPRIFSPSLFGLSKPRTPTLAASPPAAAAARRRIVSMASSTTTSEDALRRALAERQAAVDAQAEAVRALKAAGAAAAKAEVDAAVEALKALKVEAGAAARRLQAAVGAGGGGAAREEMRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCAVKANVLAFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDHCKEKLEKDLTLSPEKAAELKHVLAVLDDLSADELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLNVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFVDVADLEFLMFPRELQLSGESAKLVKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKNRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVVEALETMSEKEAMDMKAALESKGETNFQVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPIVAPIKCTVFPLVKNQEFDDAAKVIDKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATSVTIRERDSKEQIRVGIDEVASVVKQLTDGQSTWADVSFKYPSHIGPQGDQE >OGLUM08G23240.1 pep chromosome:ALNU02000000:8:25575502:25580578:1 gene:OGLUM08G23240 transcript:OGLUM08G23240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTSLLVLALAAAAFAAGTEAAGDGCSAGCDLALASFYVTPNENVTNMADLFGIGAANYRSLAPYNPSITNLDFINVGNRVNVYFACGCRSLPGSPSATYLAGAFPFQMSRGQTYTTVAANYNNLTTAEWLQATNSYPPNNIPDTAVINATVNCSCGDASISPDYGLFLTYPLRAGDTLASVAATYGLSSQLDVVRRYNPGMETATGSGIVYIPVKDPNGSYLPLKSPGRRKAKHATLLQSSEDSTQLGTISMDKVTPSTIVGPSPVAGITVDKSVEFSYEELSNATQGFSIGNKIGQGGFGAVYYAELRGEKAAIKKMDMQATHEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGMGYEPLSWAARIQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGGTSMPTGTRVVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNSPDPKEGLRTLIDPKLGEDYPIDSILKLTQLAKVCTQEDPKLRPSMRSVVVALMTLSSTSEFWDMNNLYENQGLVNLMSGR >OGLUM08G23250.1 pep chromosome:ALNU02000000:8:25585640:25588692:1 gene:OGLUM08G23250 transcript:OGLUM08G23250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MLVGTMRRATILVLLIALLSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPENPPEGYEEKWMINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGNGQEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPYQ >OGLUM08G23250.2 pep chromosome:ALNU02000000:8:25585316:25588692:1 gene:OGLUM08G23250 transcript:OGLUM08G23250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: vacuole; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Stress up-regulated Nod 19 (InterPro:IPR011692 /.../ 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G61820) TAIR;Acc:AT5G61820] MRRATILVLLIALLSVIAPLPLEALNVRGHLLKSKTFLSPAFFLHPGSVSNKFYYDVPFPRGHLALKSFNAEVVDENGVPVPLHETYLHHWVVEPYYALKNSDSADAQKLPKGIIARNSGVCKKTLGQYYGLGSETRHTATWVPDPYGIEIGNPENPPEGYEEKWMINVHAIDTRGAIDKLGCTECKCDLYNMTIDEYGRKLPKDYIGGLYCCYDETQCKVKEGFNGELRKLFLRYTVTWLDWSDAVVPVKIYIFDVTDTSPPDEKSGTACKVEYQVEECSPENRAKNECIDVKVTKEVIPRGGDIVFGVAHQHSGGIGASLHGQDGRLLCASIPSYGNGQEAGNEDNYIVGMSTCYPKPGSVKVSDGEVLTVVSNYSSDRQHTGVMGLLYILVAEQEQPAPKPALCFSFPVPYQ >OGLUM08G23260.1 pep chromosome:ALNU02000000:8:25590538:25596111:-1 gene:OGLUM08G23260 transcript:OGLUM08G23260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAPPASSGSEVTGAGSGKVDSGGGAAMEERFADLCKSKLGLDESITRQAMQLFKESKSILLSSMSSLGSGSPEEIERFWSAFVLYCVSRLGKAGKGKEDGGISLCQILRAFSLNIVDFFKEMPQFCIKVGSVLAGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLLNDAKPPENSAEPNAQASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIVHIPVRLRNFNIKESSSFAKKSDKGVNLIASLCEKYHTSEDELSKAIEKTNTLIVDILKKKPCPAASECQQDRLSFIDPEGLTYFKNLLEEDSLKLSLLMLEKEYENAINTKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCASPTGNGYCSSKMAPITPVSTAMTTAKWLRSTISPLPSKPSGELLRFFSACDKDVTDDITRRAGIILGAIFTSSSFGERICTSVRSTNRIDAIWTEQRKMEALKLYYRVLESMCRAETQILSGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIESFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPTLSAEINRLGLLAEPMPSLDAIAAHHNISLEGLPPLPFQKQEHSPDKDEVRSPKRACTERRNVLVDNNSFRSPVKDTLKSKLPPLQSAFLSPTRPNPAAGGELCAETGIGVFLSKIAKLAAIRIRGLCERLQLSQQVLERVYSLVQQIISQQTALFFNRHIDQIILCSIYGVAKISQLALTFKEIIFGYRKQSQCKPQVFRSVYVHWASRSRNGKTGEDHVDIITFYNEVFIPTVKPLLVELGSGTSPNKKNEEKCAADGPYPESPRLSRFPNLPDMSPKKVSAAHNVYVSPLRTSKMDTLLSPSSKSYYACVGESTHAFQSPSKDLKVINNRLNSGKKVSGRLNFDVVSDLVVARSLSDQNSASAAATTADIATKTPVKLEQPDC >OGLUM08G23270.1 pep chromosome:ALNU02000000:8:25597869:25603107:-1 gene:OGLUM08G23270 transcript:OGLUM08G23270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLTVNQSYCSKVEEANLEFALRAFLIKLTVAEPLTRPLPSDGSWEITAYFQSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSPREPRDPPTEP >OGLUM08G23270.2 pep chromosome:ALNU02000000:8:25597871:25602032:-1 gene:OGLUM08G23270 transcript:OGLUM08G23270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSSRILNGKTRYFSTLRSSHTENKCILSVPVSSLSHHHLPILLSRATVSGLLLKLPLVHSVLRSLSLGPTPSLSISFLSLLRCFANMTLDNYSLNIAISAAARLPSVVGSQFHALSLKLSLASDTFVLNALINMYSSCNYPASARLVLDSAPQGASDVVSWNTIIAGYIRGGMPNKALQSFHQMAKEQVRLDEVTLLNVLVACARTGAMKVGRLCHALVVLNGFEINCYIGSSLVSMYAKCGMVEEARRVFNRMPERNVVCWTSMIAGCTQSGRFKEAVDLFRDMQIAGVKADDATIATVVSSCGQMGALDLGRYLHAYCDGHGLGKELSVKNSLIDMYSKCGDVNKAYQIFRGLTKRDVFTWTVMIMGFAMNGLCVEALDLFAQMEGEDKVMPNEVIFLGVLTACSHGGLVEQGYHHFHRMSKVYNLVPRIEHYGCMVDLLGRAKLLAEAEQFIKDMPVTPDVVVWRSLLFACRASGQVRLAEYAAERIEQLEPKRCGGHVLLSNVYATTSRWVDVNNVRTGMDNSRTSKKPGCSFIEVDGCIHEFFAGDESHFETEAINNTLFGINELLVAESFLTFKYALWLVDYANHRGIINRSAFERRRYMNVVVQKAVHPQLAGYIHSATSGLLPFIQKGLVERVVVIFYDKAHVPVEKFVFKLTVNQSYCSKVEEANLEFALRAFLIKLTVAEPLTRPLPSDGSWEITAYFQSLPPDGEKEAQLWIPTDTKQWMQPPQITPIKSMSCDPVKMQLYLEQPSPREPRDPPTEP >OGLUM08G23280.1 pep chromosome:ALNU02000000:8:25605510:25608138:-1 gene:OGLUM08G23280 transcript:OGLUM08G23280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AY98] MEGRMQGKAPLSDSNRRIMDADAPPRRVYQAWKGSNIFFLGGRLIFGPDVRSLLLTVCLIVIPVILFAAVISGQLAHEYHNQIGGWVASVAIIFTAYIIVLLLLTSGRDPGIIPRNAHPPEPEDVGESSNLSEWPGGQHGSTGLPLTKDVLVNGVLVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCVYVFAFCWVDLRIIMDTHRCKLGRAIMKSPVSGILILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPHNRGLVQNFIEILCSRIPSSRNNFRAKVKEDSATFTSSLGMGRALSPPKMSVDLEMGMKRQTVAMEELEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEIATDIEAFAEEFGLEQRFTERKKIEQRTSHNP >OGLUM08G23290.1 pep chromosome:ALNU02000000:8:25612240:25612758:1 gene:OGLUM08G23290 transcript:OGLUM08G23290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMRKVAEFLRKAVVALRGKASVLRARLLFLASLRRRTAVVGAISHHLRALMPAGNAPPAAAAADGGRLPAAEDDDEQLALDDFAGLSELATLFQEVDDDVDGARYPDWTHSLFDDDGEGGGEEAASVMEVIRRGREGDGEEFDMEEEIDHAADMFIRRVRHRMAANRRSF >OGLUM08G23300.1 pep chromosome:ALNU02000000:8:25614327:25619969:1 gene:OGLUM08G23300 transcript:OGLUM08G23300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHNLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMTETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >OGLUM08G23300.2 pep chromosome:ALNU02000000:8:25614730:25619969:1 gene:OGLUM08G23300 transcript:OGLUM08G23300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLGQILPAPAPASALSPGVRDFGPDLWENGRFGSILTEGDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHNLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMTETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >OGLUM08G23300.3 pep chromosome:ALNU02000000:8:25614327:25668007:1 gene:OGLUM08G23300 transcript:OGLUM08G23300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHNLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLAHTSQRKQYGYTIRHHPQLRLEDTMALLLL >OGLUM08G23300.4 pep chromosome:ALNU02000000:8:25614730:25619446:1 gene:OGLUM08G23300 transcript:OGLUM08G23300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLERSPPPPPPPEGGGGGGGGGGASCSICLDPVVAGGRSVARLQCGHEFHLGQILPAPAPASALSPGVRDFGPDLWENGRFGSILTEGDCIGSAFNAKGAMQCPNCRKIEKGRWLYASGHHPSPDIDIGGWVTGETYDITSDIPFGFQWCPFSGFTQLASVFEEGEAEQTSYHTVGDHSNAASSSLVCPYLALRGFLHPVHVPSSSSSGAENSSFHRHPTSLEGHAAHNLSNTQVFHATESRNHDNDHRYMSNLPVSGIPDHSVAPFGIGLPRYDSSSQQRTRPYAHHRPLVHRPTPRNGSNMVTPLGSVPAVMTETRGHGHGARGHMYQQSMHSLQSSPFPPTSRRVRPRALTITSFIAASSSAEIGGHHGFAPPVNRSNSSDGEAVSRPVDRPYGWGQEGFTPFPWIPADGESHWWGTFNPMQNHTHGGFTRRPTGERMPQSHPDSGYHSMHPQRMPPFL >OGLUM08G23310.1 pep chromosome:ALNU02000000:8:25623859:25627074:-1 gene:OGLUM08G23310 transcript:OGLUM08G23310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVLSVGKSVLGGALGYAQSAFAEEVALQLGIQRDHAFIRDELHMMQAFLMAAHDERDEHKVIKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRNCSPSMLLERRRVAKKMKELRAKVEDVSQRSNRYRLIDGSGSKAATDAVQHTINGVTIMSETEETRQQQERAKKDLVGLINTNDKDLRVIGVWRKTGVLDDKSIIKRAYDDLKMKRFVCYAWIKLNSLCNQTWLLQSIARQLYENSLQHTREVKIEATDLVDQILQKMGNMAEDDLVNAFKGYLNEKSYFIVLTDLSSVEEWNKIKTCFPSNDRGSRIIVCTEQVEVARLCVPQDNGSQDGTHSLEPSSSSNVTTATLNNNATAPKSFSRTETMIATLEESQLIIGRENEKSSIINLIINRASSQQSQIISVWGMGGLGKTTLVQDIYRSQEVRETFVMRACLTVLRPFNYDQHIKSLAKQFGDEKETDLTKILEGKRYLVVLDDLWNTKEWDVIMPCLPNNAGSCIIVTTREESIAKHCSKERNNAYTHRLGRLENNQDRDLFIKKFIF >OGLUM08G23320.1 pep chromosome:ALNU02000000:8:25633816:25665202:-1 gene:OGLUM08G23320 transcript:OGLUM08G23320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMALSVGKSVVNGALGYAQSAFAEEVALQLGIQRDHAFIRDELHMMQAFLMAAHDERDEHKVVKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRNCSPSMLLERRCVAQKMKELRAKVEDVSRRSKRYRLMDGASSKAATDAVQHTINGVTIMSETEETMQQQERAKKDLVRLISTNNKDLRVLAMWGTSGLLEDTSVVKRAYDDLKINKKFKCYAWIKLMCPFNQAEFLRSIIRQFYVNSLQQSAEAQQGAADLVDQIPHQMGKMDEDADLLVNAFKRFLNEKSYLIVVFTDLSTIEEWDKIKKCFPNNNTRSRIIVCTGQVEVARLCVRRDNVPPEHKQLSNDKTIYVCYEKTIKRLTRIETMVANLEESQLIDREKDKSHIIKLIKNQDRQQLKRPFYPDQLVKSLTDQLGENFKNLKNCLIVLDDLWSIKEWDAIISQLPNTESSCIITTRDVKIANHCSKEINNIYELGGLENNQARDLLTKKSTVLSLGKSVLSGALGYAQSAVAEEVALQLGIQRDHAFIRDELHMMHAFLMAADEERDKHKVVKAWVQQVRDVAYDVEDCLQDMAVRVGKPSWWRKCSPRMLLERRRVAQKMKELRAKVEDVSQRSNRYRLIDGSASKATDGMQSRIAGATTMSELEETRRQQDKAKMDLVGLINTNDKELRVIGVWRKNGLLDDKSIIRRAYDDLKMRKFECYAWIRLKSLCNQTWLLQSISRQLYENSLQETREFKMEATDLVDRILQKMGNMEEDDLVSAFEGYLNEKSYLIVLTDLSSLEEWNKIKICFPSNDRGSRIILCTEHVEVARLCVPQDSVPPEHKKLLDDQIIYAFYEKGSQDGTLLVEPSSSSNITTSNGNNNLTANKKLGRIETMVSTLEESQLIGRAKEKSDIIKLIKKGSQQSQVISIWGMGGLGKTALVQDIYRSQDVSSYFGARACVTVLRPFNSGQLIDSLAKQFGDEKEKDLTKILEGKRYLVVLDDLWDTKEWDDMVAQFPNKAGSCIIVTTREENIAKHCSKEATNAHIYKLSGIENDQALQLFTKKVFKEKTNLDEQYPDLVEQANLILKKCNGLPLAIVTIGGFLANQPKTALAWRKINEHISAELEMNPELKTISTVLLKSYDGLPYNLKSCFLYLSIFPEDHKVSRKRLMQRWTAEGYTTEARGKSPIEIAHDNFIELISRSMTLLLVAQNASRPERGIDYCQLHDLMREISIAKSMEENLVFRLEKGCSSKTQGTARHLAISSNWEGDKHEFESLVDLSRLRSLTVFGEWKPFFISKKMRMLRVLDLEDTYNLVDHHLEHIGKLIHLRYLSLRHCGNICHLPDSLCDLRQLETLDIRSTSIAMLPKTIVKLRKLKYIHAGNKNFMGQKSITERSLRLLENGPWLCGACCVPRLLEDIGPVNGFNRRDACNYSCCIQPCVLMMDLDDLFPMLPRGSRKLKDLHTLRHVHLAWGNTVIQEIKRLTQLRKLGVVGINKKNGPSFYSAISKLSQLESLSVRAGLNQALHGTSSSSTSSPPENLQSLKLEGVLGELPQWIGKLQNLVKLRLRGTQLEDADAAIKVLGALPSLAILRLWLQSFNDDVVCLNFRQEQQEATAVVLFPSLRVLQVRWIGSVRLKSVQFGGGATPKLELLQFSDRTSSCGVGFLSGLKELKSLREFILDEDSKYKDDFVKDVFKEANWEEKYPELVGLIEPILKKCGGLPLAIVTIGGFLASQPKSALAWRKLNEHINAELEMNPKLETIKTVLLKSYDGLPFHLKSCFLYLSIFPEDYKVNRKRLMQRWTAEGYTVEMRGKPKKEIAHDIFMELISRSMILPAQKSIKVRQGIDYCQLHDLMREISITKSMEENLVFRLEEGCSSNNQGTTRHLAISSNWEGDKHEFESMVDLSRVRSLTVFGKWKPFFVSEKMRMLRVLDLEDTEGLVDHHLEHIGKLIHLRYLSLRQCGNICHLPDSLCDLRQLETLDIRSTSIAMLPKTIVKLRKLKYIHAGNKNVMSQQSITERSLWLLANGPWLCGACCVPRLLEDINMYGPVNTYGFNRRDACNYSCCIQPCVLMMDLNDLFPMLPRGSRKLKDLHTLRHVHLAWGNTVIQEIKRLTQLRKLGVVGINKKNGPSFYSAISKLSQLESLSVRAGRNQGLRGCLDHGTSSSSTSPPPENLQSLKLEGELGKLPRWIGKLLNLVKLRLRWTELEDADAAIKVLGALPSLAILRLWEYTFNHRVVCLNFRCREQQEATAVVLFPSLRVLQLRWIGVGLESVQFGGGATPKLELLQFNNRTSSCGVGFLSGLNELKSLREFMLDEDSNSKYKDDFVKDVEEQFANHPNPNKPLLKRFSVFKEKINLDEQYPDLVEQANLILKKCNGLPLAIVTIGGFLANQPKSALAWRKLNEHISAELEMNPELETIRTVLLKSYDGLPYHLKSCFLYMSIFPEDHKVSRKRLIQRWTAEGYIAEARGKSLIEIAHDNFTELISRSMTLPIAHKSIKLERGIDYCQLHDLMREISITKSMEENLVFRMEEGCRAKSRGTSRHLVISSNWNGDEYEFENMVDLSRVRSLTVFGEWKLFFISKKMRMLRVLDLEDTEGLVDRHLEHIGKLLHLKYLSLRGCDGIWQLPSSLGDLKQLELLDIRRTNIFMLPKTIIKLQKLKYLHAGRVELVKQKSLTTRCIEVLKIEPELCAICCVAQLSLIDGVNRHGACNFACCLAPRVVMMGLHELYDKPVPTLPRGSRKLKGLHTLQHVHLAWGNTVIQEIKRLTQLRKLGVVGINKKNGPAFCSTISKLSQLESLSVHGDRKAGLRGCLDHGTSSSTSPPPGNLQSLKLEGELGKLPQWIGELQNLVKLRLWETELEDADAAIKVLEGLPSLAILRLWEYTFNYGVVCLNFRCREQQEATAVLFPSLRVLQLDRIGVRLKSVQFGGGATPKLELLQFIYRPSSCGVIFLSGLEELKSLREFMLDDGNEYTDDFMKYVEEQLANHPNQNKPVLKRFSRFN >OGLUM08G23330.1 pep chromosome:ALNU02000000:8:25686710:25687996:-1 gene:OGLUM08G23330 transcript:OGLUM08G23330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRQSIDINGHRRQPILLDTNVLITDAMNDDTVATLTSSRGHTIKVSSWISQPPAISYLSFHCHYSSHPRYNNFDELDGKIVGAEGSFILLTALASPRGSERYDYLMYKLHGYGDNGESSSSLEQVPLPHVYPLPVIEEFGIVPNGGKHFDLAALVVDYRSPMKCSYSMHIYSSKDTNWRVIPMVDPYPEVRKVIATKVITIAEGVLGWVDLDHGVMVCDLREDVPGLRYVPLPAPLPQNWYRLKEFLPGTLAKSFRDLVCVDGVMSFVEMEHRVIVTTEKPSDPSKMQVLYDTDLIVSYNLKDLNKKPQQLQRKDGWRIVSWSRLVSSNCWEKGCEVDAVDISGLSPNLAENLASPTLGVDDGDVVYMRSKASLGKGKMVAIDLRRKKVKAIGPYSFEKHDPFSLKAFSTCLLPPDDWRKRSKYK >OGLUM08G23340.1 pep chromosome:ALNU02000000:8:25688371:25702017:1 gene:OGLUM08G23340 transcript:OGLUM08G23340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERSSSSSESTGNDEKKSSKPQGSSNDHQGFLPGGPPANTFDFASLHSLLNDPSVKEIADQIAKDPAFTQMAEQALEGEGEQGMPAIDPYIETMQKFMESPHFFTMAERLGDALVKDPAMSSLLENLTSPMHNAKIEERVSQTFRKISQAMGPLGGPDFAEPSGTEGTEEEGEYEDESIVHHTASVGDDEGLKKALDGGADKDEEDSEGRRALHFACGYGEVRNDKNISLRILCKTDCMYALLVFRKLHLKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGMKGCVDLLLKNGAAVTLENMDGKTAIDVAKLNNQDEVLKLLEKDAFL >OGLUM08G23350.1 pep chromosome:ALNU02000000:8:25705651:25716511:1 gene:OGLUM08G23350 transcript:OGLUM08G23350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLGGAVSYAQSAIAEEGALKLGVQRDQSFIRDELEMMQSFLLAADKEHNGHHHEVITTWVKQVRDVAYDVEDCLQDYAARLKKPPWWSLPCTVHRERRRIGNEMKELRAKVEDVSQRNMRYHGVYAAAPQSSSSVTAAELLRSTTTIDDFDEARRAAKQREKVDLSELITNDRQGGLRVIVVWETRSGPAGTVPVVRAAYEKLKGQFECHAWVRLMHSFDANEFMGSLVRQFKANSHEGTGKTPQGTPSGVSVLNEMEAQNYNLLHDFTGYVTNKKYLVVLNGLSTIEEWDWIKTYLPNNHNGSRVLVYTQQAEVASCCTDDPYKVSEIQHEGSFAKPLYVFYKEVVSQSVNSDLPESSSRPFLNRDSNTAAVKELTRSGTQIIGRGKEKDDVIKLLSDCNLNHQVISVWGMVGIGKTTLIKSIYQSSDLEKLKFERRAWVTISHPLKETELLRSLAQCIDEDSPEKKGESKLRLARNDLSKMELNMLRGKVSQDLEGKKYLIVLDDLFSLVEWDSIIRNLPTNNNGSRIILTTRFQDVAQSCSKKEMYMYNIKGLTDEDARELFLTKVRMDGDESELKPDMMKEAEIIIKKCGRLPLAVATVGGFLSTRPRNIIEWSEFGDHISEEFDNNPSLEKMKKILRSSYDGLTYHLKSCFLYMSIFPKDHDIRYRRLLRRWTAEGYSRATRNRSNEKVAEEQFKALLNKSMIQQSETIASGKTDDHITSRSKDKVRHLVVSKSWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIMLLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAILKNLKKLTQLRKLGVTGINKNNCKKLCSAIADHGHLQSLLLRAEGNAGLEGCLDDLSPPPENLESLQLYGNLVTLPEWIKDLKNLQKLSLRNTNLKADAAMEVLGNLQMLAILRLQHDACEENELHFGPECLKGLTTLEFVNWWTLKSVKFEGVATPKLKELLVDNCEQINNGGFSGIETVSSLKKVSLLGYNYDQTYTEFKEQLQQQLNMIKSKPILKIL >OGLUM08G23350.2 pep chromosome:ALNU02000000:8:25705651:25716511:1 gene:OGLUM08G23350 transcript:OGLUM08G23350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSVGKSVLGGAVSYAQSAIAEEGALKLGVQRDQSFIRDELEMMQSFLLAADKEHNGHHHEVITTWVKQVRDVAYDVEDCLQDYAARLKKPPWWSLPCTVHRERRRIGNEMKELRAKVEDVSQRNMRYHGVYAAAPQSSSSVTAAELLRSTTTIDDFDEARRAAKQREKVDLSELITNDRQGGLRVIVVWETRSGPAGTVPVVRAAYEKLKGQFECHAWVRLMHSFDANEFMGSLVRQFKANSHEGTGKTPQGTPSGVSVLNEMEAQNYNLLHDFTGYVTNKKYLVVLNGLSTIEEWDWIKTYLPNNHNGSRVLVYTQQAEVASCCTDDPYKVSEIQHEGSFAKPLYVFYKEVVSQSVNSDLPESSSRPFLNRDSNTAAVKELTRSGTQIIGRGKEKDDVIKLLSDCNLNHQVISVWGMVGIGKTTLIKSIYQSSDLEKLKFERRAWVTISHPLKETELLRSLAQCIDEDSPEKKGESKLRLARNDLSKMELNMLRGKVSQDLEGKKYLIVLDDLFSLVEWDSIIRNLPTNNNGSRIILTTRFQDVAQSCSKKEMYMYNIKGLTDEDARELFLTKVRMDGDESELKPDMMKEAEIIIKKCGRLPLAVATVGGFLSTRPRNIIEWSEFGDHISEEFDNNPSLEKMKKILRSSYDGLTYHLKSCFLYMSIFPKDHDIRYRRLLRRWTAEGYSRATRNRSNEKVAEEQFKALLNKSMIQQSETIASGKTGFCQVYNLMHEIIISKSEDENLVFVLDDHITSRSKDKVRHLVVSKSWSREKKDMQNIVDVSHIRSLTVFGEWRSFFLSKKMRMLRVLDLEDAYGLQDTDLVPIGKLRHLKYLSLRGSFGIFNLPNSFGNLLNLETLDIRGTWVTKLPATIGRLQNLKYLHAGTRSYGQTIISLLRDFKSFQEDMGIRFAVSLIMLLISSWLRNLDLFSVEVPRGIGRLRAIHTLSVVNIARGKAILKNLKKLTQLRKLGVTGINKNNCKKLCSAIADHGHLQSLLLRAEGNAGLEGCLDDLSPPPENLESLQLYGNLVTLPEWIKDLKNLQKLSLRNTNLKADAAMEVLGNLQMLAILRLQHDACEENELHFGPECLKGLTTLEFVNWWTLKSVKFEGVATPKLKELLVDNCEQINNGGFSGIETVSSLKKVSLLGYNYDQTYTEFKEQLQQQLNMIKSKPILKIL >OGLUM08G23350.3 pep chromosome:ALNU02000000:8:25705588:25706282:1 gene:OGLUM08G23350 transcript:OGLUM08G23350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQDPVGERRACGGAAGARRPFESAALPRWPEKGVEAGAGGGAGSRRGRWVQRQCLRVTEPQMFVQFQQSSRSQRNLVKQFGYGGCK >OGLUM08G23360.1 pep chromosome:ALNU02000000:8:25716463:25720724:-1 gene:OGLUM08G23360 transcript:OGLUM08G23360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPTSKVQTAQHKSGVKSGRKERSDGPDGPRTIHETSTSITNPPIRSSPIISPRANLISSPPSHADDKPNRSHRITRLSHSSTPYPNLPRIPPKPNHTLHALAPRESPEVCVFAARAWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWTAGAVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKAGDEEAGMQNPA >OGLUM08G23360.2 pep chromosome:ALNU02000000:8:25716463:25720724:-1 gene:OGLUM08G23360 transcript:OGLUM08G23360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHPKPTSKVQTAQHKSGVKSGRKERSDGPDGPRTIHETSTSITNPPIRSSPIISPRANLISSPPSHADDKPNRSHRITRLSHSSTPYPNLPRIPPKPNHTLHALAPRESPEGGREGRMAPPLLPAAEEDGGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWTAGAVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKAGDEEAGMQNPA >OGLUM08G23360.3 pep chromosome:ALNU02000000:8:25716463:25720315:-1 gene:OGLUM08G23360 transcript:OGLUM08G23360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLLPAAEEDGGGCVARWMRRDVLLGLALGQFVSLLITSTGFSSSELARRGINAPTSQSLLNYILLALIYGGILIYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIILTWIFLKTKYGLRKFIGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVIFGSMLYACSNVTEEYLVKKSNRIELMAMLGLFGAVISGIQISILERKELHSIKWTAGAVLPFIGFALAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFAGTAAGLLIYSYKSSKEAEETAQVAGASDEHGKAGDEEAGMQNPA >OGLUM08G23370.1 pep chromosome:ALNU02000000:8:25724762:25728978:1 gene:OGLUM08G23370 transcript:OGLUM08G23370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G43360) TAIR;Acc:AT2G43360] MMLLARAALRSRLRCQPLSAAAAPAAAFSSAPSPAAAEAERAVRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRSVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYSTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKDIRGMGMEVCCTLGMLEKQQAEELKKAGLTAYNHNLDTSREYYPNIISTRSYDDRLQTLEHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEAPAADTERCEEAASM >OGLUM08G23380.1 pep chromosome:ALNU02000000:8:25733651:25741421:1 gene:OGLUM08G23380 transcript:OGLUM08G23380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDAEMPMSSDEEMLDDEDYYDYSDDMGEDDDGSGGGGGEGGDSDEEEEGEEEELVGGDYEGREAEGSDEVVSRREQRYIVLTEKDINERQEEDIGKVSAVLSIRREEACVLLHHYKWNISKLSDEWFADEEKVRDIVGLLLNGIDLPNSRKLTCGICFEGYSSDVMSSAGCDHFYCHECWEGYISAAISDGPGCLSLRCPDPSCGAMVLQNMINKLAKDDDKVRYARFILRAYVEDSKKTKWCPAPDCTCAVEFVSDGNYDVSCNCKFSFCWNCTEEAHRPVNCETVSRWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWAEHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKAEKEQLAKLTDVFGIPETQLKFIIEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFEYLQGEAESGLERLHQCAEKDLQSFLTVRSDNTEPAPSIAEFGDFRVKLAGLTSVTRNYFENLVQALEAGLEDVHSTAQGTTSSNATNIPSKKAVTKGKTKKQLPRTSSDNSDEGWPCERCTFINPSSVDACSVCDKHRY >OGLUM08G23390.1 pep chromosome:ALNU02000000:8:25741691:25745115:-1 gene:OGLUM08G23390 transcript:OGLUM08G23390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCYSAYASSRKLRGRISKISLVIPDPVPDAEAASPRKDDGIDGDGDDVRGGGGCDDGGDVVAIATTTAEEFARRYVLGKELGRGEFGVTRRCSDVATGEALACKTIRKHRRLAPPRVTAAKAAAAHGEDVKREVAIMRRMSSASSSRGGGAASSAVVRLREACEDAADGSVHLVMELCEGGELFDRIVARGHYSERAAANIFRTIVDVVQLCHSNGVIHRDLKPENFLFANKSEDSPLKVIDFGLSVFFKPGTSIFSKIFTSHRDRFTEVVGSAYYMAPEVLRRSYGPEVDVWSAGVILYILLCGVPPFWGDNDEKIAQAILRGAIDFNREPLPRVSANAKDLVRRMLDPNPSTRLTAKQVLEHPWLKNADTAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARNLPVEEVDKYVQMFHHMDKDKNGHLSLDELLEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSVHLKKMSNDEYLAAAFNYFDKDGSGFIELDELREEVGPNEQAILEILRDVDTDNDGRISYQEFELMMKSGADWRNASRHFSRANFSTLSRRLCKDTHTP >OGLUM08G23400.1 pep chromosome:ALNU02000000:8:25747341:25751412:1 gene:OGLUM08G23400 transcript:OGLUM08G23400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51130) TAIR;Acc:AT5G51130] MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGEGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSDLVLLGLIETANWNLRRISRLDKVAVENTKAHNSSDSLSESCPEKVAPEISNGDISNGNHHDIFRVVSFRRENFVDSMCTSSEHLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLVGAVTGFDRPIEVYHK >OGLUM08G23400.2 pep chromosome:ALNU02000000:8:25747341:25751412:1 gene:OGLUM08G23400 transcript:OGLUM08G23400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51130) TAIR;Acc:AT5G51130] MATTTAPEDAPSAAKGAKGEAKRKQSNWRGGGGGEGGQGQKRKRKEVFVYGNYRNYYGYRIDRNVDEDPRLKIFKREWFESKDCLDIGCNQGLVTIGLAAKFKCQSILGVDIDSGLIETANWNLRRISRLDKVAVENTKAHNSSDSLSESCPEKVAPEISNGDISNGNHHDIFRVVSFRRENFVDSMCTSSEHLSVTKWIHLNWGDDGIITLFVKIWRLLRPGGVFIMEPQPWTSYRRNRLVSEVAKENFNTILIHPDKFREILLDKIGFRSVEVVTDKLVGAVTGFDRPIEVYHK >OGLUM08G23410.1 pep chromosome:ALNU02000000:8:25752146:25753940:1 gene:OGLUM08G23410 transcript:OGLUM08G23410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHRNVFARQKAEEKERRLSKVVRESTDAEEVARARADLYERVTLRSEARGGGFTLADFMSGAFSGFLPPGYPLPLNRPPKRHAAAVAGDEDDDEEDTASSITTCCGEKKKKKRQRIVVPVRIQEGKEEDAASESVASHLLGEEEEETGASSKQQAMVCISVAECQRVHRSALDYFLAVLIVVAVVVAARLLICAVARCLVHNVAGAAAHHHHHHQHSPTTTDDDVEMWGAGGTTGPPPPPAIYRHAQPASQLQEMEAAEPPRAGRAVTVAE >OGLUM08G23420.1 pep chromosome:ALNU02000000:8:25754293:25755973:-1 gene:OGLUM08G23420 transcript:OGLUM08G23420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAARPAPAEAEARLVDCGEGGYESMVYPAMVEKAKETILAMMRAQAIRKLAHRRHRSEGIAAIATFASSSCDPASPAAMASLYAPRLTRWRVATSGGGVVRDCVEYDGKPLFFRREDCRRLVPDDEEDARQCLEIAGEVFPLMEERMVPAALHGGGGVREAARCVEYVDDDGAVLLLTVTPMTEGKEKEVVVVDGGEVRVVDGGGYYDPDSGTVEHVVDVEGAREAYVLLVSVREALNRIVRIKRLN >OGLUM08G23430.1 pep chromosome:ALNU02000000:8:25757901:25758317:-1 gene:OGLUM08G23430 transcript:OGLUM08G23430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTVREATMGNKNAMGSFMLHNDIWSLRSGTNPGAIRWSMKRKTDEEKKKGDSGWCWREWWRRTRRRRFNWRRRWRQSRRLQTSSFNFSVARCCDRRSSATFAPTPASAADTPALALLLPVAAPAPVPHEQPKGKR >OGLUM08G23440.1 pep chromosome:ALNU02000000:8:25760104:25762923:1 gene:OGLUM08G23440 transcript:OGLUM08G23440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTMNGLLMALALLCLTINFREIAACISTERDALVAFNTSIKDPDGRLHSWHGENCCSWSGVSCSKKTGHVIKLDLGEYTLNGQINPSLSGLTRLVYLNLSQSDFGGVPIPEFIGCFKMLRYLDLSHAGFGGTVPPQLGNLSRLSFLDLSSSGSHVITADDFQWVSKLTSLRYLDLSWLYLAAFVDWLQAVNMLHSLEVLRLNDASLPATDLNSVSQINFTALKVIDLKNNELNSSLPDWIWNLSSLSDLDLSSCELSGRIPDELGKLAALQFIGLGNNKLNGAIPRSMSRLCNLVHIDLSRNILSGNLSEAARSMFPCIKKLQILNLADNKLTGQLSWLEHMASLEVLDLSENSLSGVLPTSISRLSNLTYLDISFNKLIGELSELHFTNLSRLDALVLASNSFKVVVKHSWFPPFQLTKLGLHGCLVGPQFPTWLQSQTRIKMIDLGSAGIRGALPDWIWNFSSPMASLNVSMNNITGELPASLVRSKMLITLNIRHNQLEGYIPDMPNSVRVLDLSHNNLSGSLPQSFRDKELQYLSLSHNSLSGVIPAYLCDMVSMELIDISNNNLSGELPNCWRMNSRSNQFSGEIPEELSQLHALQYLDLSNNKLAGSIPRSLGKLTSLLSRNLEWDSSPFFQFMVYGVGGAYFSVYKDTLQATFRGYRLTFMISFLLTSIDLSENHLTGEIPSEIGNLYRLASLNLSRNHIEGSIPETIGNLAGLESLDFSWNDLSGPIPQSMKSLLCLSFLNLSYNHLSGKIPYGNQLMTFEGDSFLGNEDLCGAPLTRSCHKDSDKHKHHEIFDTLTYMFTLLGFAFGFCTVSTTFIFSAASRRAYFQFTDNICNWLVAVLERKLSLIR >OGLUM08G23450.1 pep chromosome:ALNU02000000:8:25764438:25767205:1 gene:OGLUM08G23450 transcript:OGLUM08G23450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43560) TAIR;Acc:AT2G43560] MRRCAIHSPPLPRFLIRTCLIPSSSSPLPFSSTMAATASSSSAAAASPLLLPSARRPLPSSKPWLPDGRAFRGSRALSSAARRCFCCCCRCEAAGEAGTAPPPQQRGVDDGGVVCTSATRSRRRSVLGVAVGVSSALALGLAAFDDALAAGLPPEEKPKLCDAACEKDLENVPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIKGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVAPSSPVMFDVNLLYIPGLDDE >OGLUM08G23460.1 pep chromosome:ALNU02000000:8:25768093:25772573:-1 gene:OGLUM08G23460 transcript:OGLUM08G23460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLLKLLQHMNSDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDTIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGSPEDLVVTNSTFLGSKKAQQSINGSKDASTLSLEKEQSKLEKLKPSVKNNGAEIKKPQLTKSNSSLSKQALNSIIDKKEVVSSKTKPTSARSTPSSPTSVYSLPASFERFSNDIKQRTKSKGADKSSPSRLSLLEKAASVLKATTAGRKSSAGNLLSNTMSSIESGPKALRRSWEGNAEAKSKGNSDSKAAKTEKKSENRSTSTPRRKPLVEEKPLHKDDSKIQTPPRKSSASAPSDDSEKIVNKPSSPLRRTSGVSSNTNITNLVKIASNSKKLTDASASWTSLPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAENLLRCLSSYAEVSSTAEEQNPQPAVEQFLALHAAMSRATVVADSLTKATATSTATSPDRSTPSEAAAVDEESLAVAVERRRRAASWVGAGLATDLSAFSLYNLKPPPAIAASPLAVVLVDESARPAAATKASPPAKSRLFPPKVKGRVGPVAAAVAAAPVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAAPWDRDRAARMLPQLKRVNDWLSEIAKPTEPPPPQSDADGEEASGGAPAVANGGGNTVPEETIERLRKKIYEYLLTNVDSAASVLGGAGAGAGEVALPAANGKRV >OGLUM08G23470.1 pep chromosome:ALNU02000000:8:25772583:25773986:-1 gene:OGLUM08G23470 transcript:OGLUM08G23470.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQTCSAELSVACSRLHTPHHTTVAFLLFHREAKRERDWHRRKKPTGGGGRSRASGRKKNHWLGSAEERRHCTGGNVL >OGLUM08G23480.1 pep chromosome:ALNU02000000:8:25778874:25779386:1 gene:OGLUM08G23480 transcript:OGLUM08G23480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLSLYAPQLTRWRVATAGGGVVRDCVEHDGKPLFFSREDCRRRLVPDDDEEDARECLEIAGEVFPLMEERMVPAALHDGGGVREAARCVEYVDDDGAMLLLTVTKEKEVAEVVDGGKVRVVDGGGYYDPDSGTVEHVVDVEGARAAYVLLVSVREELNRIVHIKRLN >OGLUM08G23490.1 pep chromosome:ALNU02000000:8:25780564:25781112:1 gene:OGLUM08G23490 transcript:OGLUM08G23490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPAAAVTTLLAVVVLVSVAASLPAAVVGDARFVARTCKRTNHTECVKMLSADRRSARATTVRQLAGIAVDIAAATVKSSAAAVYGKFLENHGQALELTLLECWWMYDLAAGEAQAAVDAYSSGGRGAYLDVVRHQLTGYYAGIMCDNMIVRRASVSPVADIDRTTATHCNIAVDLIGLLY >OGLUM08G23500.1 pep chromosome:ALNU02000000:8:25781823:25788421:-1 gene:OGLUM08G23500 transcript:OGLUM08G23500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYC8] MVRGSVDVATKEMEALCIGQNQETKEDVSFETQDTSLKAGKVADGKGAPYSPPEDDDDAEGDCPFQDGAQVIAAKKKKKKSKANRKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVRKLIKENGFEAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPMFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRAQYKLSLPSPPPPPHRLLPPAAAAWKMPKQIHEIKDFLLTVMRCPLSKDVKFKVRCSKHLYSLCVFDAEKVNRLKQILPPVQLLILMYHPAIYSAGCLTPSVMSCAISTTRALITSWLAALNPVNSGGGHSWRSINQTVYPYKRPCGMDDLEEEEQLSVQPSAANLGGSCKSHGCGGGAASQGQRFRHLVSSPWGP >OGLUM08G23500.2 pep chromosome:ALNU02000000:8:25781823:25788421:-1 gene:OGLUM08G23500 transcript:OGLUM08G23500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYC8] MVRGSVDVATKEMEALCIGQNQETKEDVSFETQDTSLKAGKVADGKGAPYSPPEDDDDAEGDCPFQDGAQVIAAKKKKKKSKANRKKKGPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKYMRSILKPGMLMIDLCETLENTVRKLIKENGFEAAHWTPNGGDKTVLQYDDVMKLDFGTHINGYIVDSAFTVAFNPMFDPLLQASRDATNAGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHGIGPYQIHFGKSVPVVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDVGHVPLRAAKAKQLLVTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVEPCPPMCDVRGSYVSQSEHTILLRPTCKEVISRAQYKLSLPSPPPPPHRLLPPAAAAWKMPKQIHEIKDFLLTVMRCPLSKDVKFKVRCSKHLYSLCVFDAEKVNSTTRALITSWLAALNPVNSGGGHSWRSINQTVYPYKRPCGMDDLEEEEQLSVQPSAANLGGSCKSHGCGGGAASQGQRFRHLVSSPWGP >OGLUM08G23510.1 pep chromosome:ALNU02000000:8:25788457:25788836:-1 gene:OGLUM08G23510 transcript:OGLUM08G23510.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRSGWVVATEDDDSKKAAAASQRLRRSLASPALPAAGDRRRLFRRTRESPPPPLVCIKEGL >OGLUM08G23520.1 pep chromosome:ALNU02000000:8:25788902:25790701:1 gene:OGLUM08G23520 transcript:OGLUM08G23520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein [Source:Projected from Arabidopsis thaliana (AT1G80750) TAIR;Acc:AT1G80750] MGRNFSGPSPARDEKAIIRRETQTPPIPETLLPRDAAAAAAVQDEACVEIAATMMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLIFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKLN >OGLUM08G23520.2 pep chromosome:ALNU02000000:8:25788902:25790990:1 gene:OGLUM08G23520 transcript:OGLUM08G23520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30/L7 family protein [Source:Projected from Arabidopsis thaliana (AT1G80750) TAIR;Acc:AT1G80750] MGRNFSGPSPARDEKAIIRRETQTPPIPETLLPRDAAAAAAVQDEACVEIAATMMAEEGTQQLPYVRETVLKKRKVNEDWAVKNRERKAAKRQRRRDDGKGAIKRPEDFVREFRNKELDFVRMKTRLKVRKLPPAETLNSKLIFAIRIPGTMDLHPHMRRILRKLRLTQVLTGVFLKATDATMKRLLVVEPFITYGFPNLKNVKDLIYKKGRGFLDKEPFPLTSNDLIEKALGEYGIICLEDLVHEIASVGPHFREASNFLMPFKLKCPERRLQMKKKPFKDGGDSGNREDKINELIEKLN >OGLUM08G23530.1 pep chromosome:ALNU02000000:8:25795629:25804541:1 gene:OGLUM08G23530 transcript:OGLUM08G23530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGDVLKKINDLVEDMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGLGSIIVITTRNQRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLATIGKCIVHKCKGPPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPYEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHYRYDSSIITSHLINTKHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNDLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILGLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASPEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKDLILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPYWASPVSLDVSSPVNMMVPLEDKKSRRPFEALRSLTLRGSNCFLATCSLFFAGTAKGHKQMMAVFVLATCSLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLVRRLPALKSLIIRDCPFLVRRFQEGGEYFGLAPHVKLSQRQKAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >OGLUM08G23530.2 pep chromosome:ALNU02000000:8:25795629:25804541:1 gene:OGLUM08G23530 transcript:OGLUM08G23530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGDVLKKINDLVEDMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGLGSIIVITTRNQRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLATIGKCIVHKCKGPPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPYEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHYRYDSSIITSHLINTKHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNDLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILGLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASPEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKDLILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPYWASPVSLDVSSPVNMMVPLEDKKSRRPFEALRSLTLRGSNCFLATCSLFFAGTAKGHKQMMAVFVLATCSLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLVRRLPALKSLIIRDCPFLVRRFQEGGEYFGLAPHVKLSQRQKAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >OGLUM08G23530.3 pep chromosome:ALNU02000000:8:25801066:25804541:1 gene:OGLUM08G23530 transcript:OGLUM08G23530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWKMSMKSQQSVHTSDKLQTSSCPSSSSVKKEVTDEEETLQGWWIGGHQRQRTSPISDFYPLSSLPFPPSSLLGFGRRRRRRWGWMASAASAPAFERLAGIRTLAESGRFKAWFLDQFGVLHDGKKPYPGAVLALEKLAEKGAKMVIISNSSRRSSVTMEKLESLGFDPSCFLGAITSGELTHQYLQKRDDPWFAALGRKCVHLTWGNRGAISLEGLGLQVVSNVEEAEFILAHGTEALGLPSGDPLPKSLEDIEQVLMLCLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVNPHECITVGDSLHHDIKGANASRVASAFITGGIHATELGLNEIGEIAGEDAIDSLCHKHGSYPTYVLPSFTW >OGLUM08G23530.4 pep chromosome:ALNU02000000:8:25795629:25801538:1 gene:OGLUM08G23530 transcript:OGLUM08G23530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLGDVLKKINDLVEDMNKFGLMEHAEAPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKMVYNDPIVQKHFQLKMWHCVSENFEPISIVKSIIELATNRKCDLPDSIELLRRRLEGVIDRKRFLLVLDDVWNEDDNKWNEHLRPLLNSVGGLGSIIVITTRNQRVASIMETLQPYKPACLSEDESWELFSKRAFGRDVQEQEDLATIGKCIVHKCKGPPLALKTMGGLMSSKHQVKEWEAIARSNIGDSVKGKDEILSILKLSYKHLPYEMKQCFTFCAIFCKDYEMEKDMLIQLWIANGFIQEEGTIELSQKGEFVFNELVWRSFLQDVKTILFRSLDYDFVVCKMHDLMHDLAKDVSSECATTEELIQQKAPSEDVWHVQISEGELKQISGSFKGTTSLRTLLMELPLYRGLEVLELRSFFLERLKLRSLRGLWCHYRYDSSIITSHLINTKHLRYLDLSRSNIHRLPDSICALYNLQSLRLNGCSYLECLPEGMANLRKLNHLYLLGCDRLKRMPPNFSLLNDLLTLTTFVVDTDAGRGIEELKQLRYLTNMLGLYNLRKIKSTSNAKEANLHQKQELSILRLFWGCMSSYMPGDKDNNEEEMLESLKPHSKLKILGLYGYGGSKASVWMRDPQMFRCLKRLIIERCPRCKDIPTVWLSASPEYLSLSYMTSLISLCKNIDGNTPVQLFPKLKDLILFVLPNLERWAENSEGENNDVIIFPELESLELKSCMKISSVPESPALKRLEALGCYSLSIFSLSHLTSLSDLYYKAGDIDSMRMPLDPYWASPVSLDVSSPVNMMVPLEDKKSRRPFEALRSLTLRGSNCFLATCSLFFAGTAKGHKQMMAVFVLATCSLSKVHLGLWECFAFVKDLTVHGCDDLVQWPMEELRCLICLRHLSFRACGKLEGKCRSSDEALPLPQLERFEVSHCDNLLDIPKMPTSLVNLEVSHCRSLVALPSHLGNLPRLRSLTTYCMDMLEMLPDGMNGFTALEELEIFNCLPIEKFPEGLVRRLPALKSLIIRDCPFLVRRFQEGGEYFGLAPHVKLSQRQKAAEEAAGWMAPVFERLTGIRALADSARFKAWFLDQIGVLHHGNMPYLVPSLHWERGEDGYH >OGLUM08G23540.1 pep chromosome:ALNU02000000:8:25819978:25824780:1 gene:OGLUM08G23540 transcript:OGLUM08G23540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEQQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >OGLUM08G23540.2 pep chromosome:ALNU02000000:8:25820368:25824780:1 gene:OGLUM08G23540 transcript:OGLUM08G23540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTSFRLGALEKLKSFRGMEQQKSFKMMSMDNFRRHRDSPGKRGDTPLHLAARSGNAAGAQRIIAEFDPEVAAERAAQANHDGETPLYVAAERGHTDVVREILKVSDVQTAGVKANNSFDAFHIAAKQGHLEVLKELLQAFPALAMTTNSVNATALDTAAILGHTEIVNLLLESDANLARIARNNGKTVLHSAARLGHVEIVRSLLSRDPGIGLRTDKKGQTALHMASKGQNAEIVIELLKPDISVIHLEDNKGNRPLHVATRKANIVIVQTLLSVEGIEVNAVNRSGHTALAIAEQLNNEELVNILREAGGVTAKEQVHPPNPAKQLKQTVSDIRHDVQSQIKQTKQTKMQVQKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDITQAPPGMSLGQAYVASNPAFLVFLVFDALALFISLAVVVVQTSLIVVEQKAKRRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGAVIMLTTLGSMCYCIIAHRMDERKIRKASTSQSRSWSQTVDSDPDLLNSEYKKMYAL >OGLUM08G23550.1 pep chromosome:ALNU02000000:8:25824092:25824732:-1 gene:OGLUM08G23550 transcript:OGLUM08G23550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPRCFCSLNEPECLIVSAHLQMANFVLSGMVVDSSEPSTVYDNVEIRMVKERKYGVFSSSSSNNMQQTCPRCSFADTRPQLQIWI >OGLUM08G23560.1 pep chromosome:ALNU02000000:8:25827545:25833348:1 gene:OGLUM08G23560 transcript:OGLUM08G23560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDAPDSTTTSSSASSASAPAPSAARLNAAAPEFTPRSAAHHHHHHANPRRQHRGGGGGAYHHHQQHYQPHHHHQHHQHWQHYGEDEGDAAAAGAVGEGGIPEDVARRVVKQVEFYFSDVNLATTEHLMKFMIRDPEGFVPMSVVASFRKIRELVVSDDGKRVRRRVLFTEADAEEVQSRIVVAENLREEHRYPNLMKIFSAFGSVKSIRTCYPQGGIDGAGTSTGKASKIEMLFANKVHAFVEYETVEDAEKAVSEFSSGRSWRDGIRVRSLLGCLKQAMGQGRRGGDEVDAADEDDPETTDHPQDYETEDASQISEAHLDHQADDGYHDKGGMRHGRGRGRGGRGRGRGQYYGHSRDANHPIGTPPSNHSALADHPSKPPPGPRMPDGTRGFTMGRGKPVNPTNAV >OGLUM08G23570.1 pep chromosome:ALNU02000000:8:25833330:25835367:-1 gene:OGLUM08G23570 transcript:OGLUM08G23570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEENAHAINQSLWFLKMNSIRRFSGMRKPNAPSAIHKDKDESVVFFREMYKREKDRDINLLEPMQSVEFDAIQGGRTSKAPSGKTDFLIAVDEKHDYDWLKTPPATPLFPSIEMETNSSQMVFQKELPIHQQVKPSASRLSGKTEATKTSARSMFPAPNSSSEKSIFRVSTQSISNEKNQIERRSTSAAITSRKQKVVAAITPTAPTATCNATKKHSDRCYASQGSSTNGLKRVTNPELPYCAPKNLITTPLTAKAWRRDLAFGVHDTVEIGRIRRQSCLPVTVMDGKQKGLPDKVKAVTVSNNRGRAGDATLIKAMRRTDGKKEQRPKHGNQAK >OGLUM08G23580.1 pep chromosome:ALNU02000000:8:25838579:25839362:-1 gene:OGLUM08G23580 transcript:OGLUM08G23580.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNTSLFFFFAGLAENNSCQMAFQKELPISRPVKPSASRLSGKTGATKTLLRSMLPTPDSSSKKNIYRGAPAPSSISNEKRSTYAAIMSRHQKPVAATAPTASTSSRNAPSYTLTDAIDSTLGADDIGSGSTRRQACPPAATRGTKELQLDGKQNS >OGLUM08G23590.1 pep chromosome:ALNU02000000:8:25839642:25843274:-1 gene:OGLUM08G23590 transcript:OGLUM08G23590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLILPMVRGVAAKAADALVQSVTGACGAVDNDRRKLQRQLLAVQCALADAEARSETNLAVRRWMKDLNAAAYEADDVLDDFRYEALRRDAEVGDGKVLGYFTPHNPLLFRVTMSKKLSNVLEKMNKLVEQMNELGLSVDRTESPQELKPPYLQMHSAALDESSDIVGRDDDKEVVVKLLLDQRYEQRLQVLPVIGIGGSGKTTLAKMVYNDTRVRDHFQLKMWHCVSENFEAVPLLKSIVELATNRRCQVPDKDTIQLLRRQLEGAIGSRRFLLVLDDVWNEDENKWQDELRPLLCSAAGGHGSVVVVTTRSQQVASIMGTMRSHELACLNDDDSWELFSKKAFSEEVRETAELVTIGRLIVKKCRGLPLALNAMGGLMSSKQQLNEWKAIADSARDRDEILSMLKLSYRHLPSEMKQCFAFCSIFPRNHEMDKEVLIQLWMANGFIQEDGIMDLEQKGEYTFQYLVWRSFLQDVKAKKTLDHLAELQPSTILQKEIMDKALPYESIGCKMHDLMHDLAKDVADECVTSEHVLQHDASVRNVRHMNISSTFGMQETMEMLQVTSSLRTWIVPSPLCRDLKDLSLASLRALVIEKGIFHYHSVMSNHVITYSKHLRYLDLSMSQIVMLPSSICVMYNLQTLRLNGCSFLKYLPESMGKMRKLLHLYLLGCDSLVRMPPNFGLLNNLRTLTTFVLDTKAGCGIDELKNLCHIANRLELYNLRKINCRNNGIEANLHQKENLSELLLHWGRDKIYTPENNAYNEEEVLESLTPHGKLKILELHGYSGLKIPQWMRDPQMLQCLTTLRISNCLGCKDLSTLWLSVSLEHLQLSRMDNLTTLCKNVGVGAEGYTIPQQVFPKLKSLKLELLFSLEKWAENTAGEAKNLVTFPELEMLQIIRCSKLASVPDCPVLKELDRFGSYMLAMNELTHLTSLSKLNYVADSLCDCVSMPLGSWPSLVELVLRSSTHIPTTLQVEANQGQLEYLRSLSLVNCFTAASGSSEMRLGLWKCFAFVEVLHIHMCLSLVCWPTEELTSLIHLRHLYIEHCHRLEGKGSSSEEKFMSLSHLERLHIQNCYNLLEIPMLPASLQDLRLESCRRLMALPSNLGNLAMLRHLYLMSCYVLKDLPDGMDGLVSLKILEIQACAEIEEFPQGLLQRLPTLKELSRQGCPGLETRCREGGEYFDLVSSVQRICIPAAAKTEMEEESRSGI >OGLUM08G23600.1 pep chromosome:ALNU02000000:8:25845677:25849219:-1 gene:OGLUM08G23600 transcript:OGLUM08G23600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLLLPVVRGVVGKAAGALVQSVTRMCGVDGDRHKLERQLLAVQCKLSDAEAKSETSPAVKRWMKDLKAVAYEADDVHYEALRRDAQIGDSTTDKVLGYFTPHSPLLFRVAMSKKLNSVLKKIKKLVEEMNKFGLVERADQATVHVIHPQTHSGLDSLMEIVGRDDDKEMVVNLLLEQRSKRMVEVLSIVGMGGLGKTTLAKMVYNDTRVQQRFELPMWLCVSDDFNVVSLVRSIIELATRGNCTLPDRIELLRSRLHEVVGRKRYLLVLDDVWNEEEHKWEELRPLLHSAAAPGSVVLVTTRSQRVASIMGTVPAHTLSYLNHDDSWELFRKKAFSKEEEQQPEFAEIGNRIVKKCKGLPLALKTMGGLMSSKKRIQEWEAIAGSKSWEDVGTTNEILSILKLSYRHLPLEMKQCFAFCAIFPKDYQMERDKLVQLWIANNFIQEEGMMDLEERGQFVFNELVWRSFFQDVKVESFHVGIEQTYKSITCYMHDLMHDLAKSVTEECVDAQDLNQQKASMKDVRHLMSSAKLQENSELFKHVGPLHTLLSPYWSKSSPLPRNIKRLNLTSLRALHNDKLNVSPKALASITHLRYLDLSHSSKLEHLPDSICMLYSLQALRLNGCLKLQHLPEGMRFMSKLRHLYLIGCHSLKRMPPRIGQLKNLRTLTTFVVDTKDGCGLEELKDLHHLGGRLELFNLKAIQSGSNAREANLHIQENVTELLLHWCHDIFEYSDHDFDLDVVDNKKEIVEFSLPPSRLETLQVWGSGHIEMSSWMKNPAIFLCLKELHMSECWRCKDLPPLWQSVSLESLSLSRLDNLTTLSSGIDMAVPGCNGSLEIFPKLKKMHLHYLPNLEKWMDNEVTTVMFPELKELKIYNCPKLVNIPKAPILRELDIFQCRIALNSLSHLAALSQLNYVGDWSVSKDLQVIPIRSWPSLVTLALASLGNSLLPDEQQTTMPPLESIQKLSIRYSSCFFSPNSSNWPFGFWDCFAFVEELSIVLCDDLVHWPVKELCGLNSLRCVRFSYCKNLTSSSSEESLFPSGLEKLYIEFCNNLLEIPKLPASLEKLCINECTSLVSLPPNLARLAKLRDLTLFSCSSLRNLPDVMDGLTGLQELCVRQCPGVETLPQSLLQRLPNLRKLMTLGSHKLDKRCRRGGEYWEYVSNIPCLNRDFIEERPC >OGLUM08G23610.1 pep chromosome:ALNU02000000:8:25846344:25850957:1 gene:OGLUM08G23610 transcript:OGLUM08G23610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCCSSGRSEFPKEASANVTRDGQERNITVVTSLSIHFSKLGLPLSSEQELLAHGNTEQEGAVRREVAQYLVGGGVADLGVAAQCLVVDVVGLVRDGLEVLHPPLHGGAGLALRLGVGQLALDGQKLALQLVAIAVDAAHASDALDERAGRLADHPAHHREEQRFCHASTTTQLALADSDNGPSLLLPKRQRQDSSDEPSSF >OGLUM08G23620.1 pep chromosome:ALNU02000000:8:25849834:25850025:-1 gene:OGLUM08G23620 transcript:OGLUM08G23620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDDQVIKNRMSESRGQSSRAIAVMARRAETPLRSRGLDLYLARSALAVMLLPTNLAAENKA >OGLUM08G23630.1 pep chromosome:ALNU02000000:8:25853257:25869633:1 gene:OGLUM08G23630 transcript:OGLUM08G23630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFVMPHEDTPRQGLWLSPLDIFLPTGRCQCRSLGRKAKAPVVFSTSWQAVSVYFSRPIENQSGTEWTKGVDSNGRPDIDCNVEDTFFIVVPSKLTVDRDAFSHLKAETERHRHRLLGKVTGGEAAEQPTTARRRRPASIFLLMDKALKSEIEGVEEIESCFIAPSEDTPRQGLWLSPLDIVLANRGHTPNVYFYRRDVVAASANTDFFEVGRIKEAMAKALVAFYPLAGRLHVDGSSRPKIECNAEGALFVVARSELTVNDFSDLKPSPELRRLFVPRIEPVSIVLGIQVTFLSCGGVALGTVLHHAAIDALSACHFLQTWSSFCRDGEAAVVDLPCHDRTLLRARSPPVVHPDVHSMFSLKLNLCEPSGPISTKIFTISVHQLAALKQICGGMSTFCAVSALVWQCMCVARQLPLDAETCVTFPVNIRRRVTPPLPDRYFGNALVIMKVASMVRDVVLGMLAASAAQIKSTLGRFDAELLQSVIDYNEIAGMSNKPAKGNLPDTELRMIGWLGMPVYDVDFGWGKPEVMSRAESVRSGFVYMMDGTDNDGGGVRVLMCMEARKMEEFERLQYFLMDKALK >OGLUM08G23630.2 pep chromosome:ALNU02000000:8:25866983:25869736:1 gene:OGLUM08G23630 transcript:OGLUM08G23630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESCFVTPHEDTPETIEEEVKEMESCFVTPHEDTPRKGLWLSPLDIVMVNRGHTPTVYFYQRDTATAAADFFEVGRLKEAMAKALVAFYPLAGRLSVDGDGRPEIDCNAEGALFVVAQSKLTVDAFSDLKPSPELRRLFAPRIEPASIMLGVQVTFLSCGDVALGTVLHHVAIDALSAFHFFQTWSSFYRDGEAAMLELPCHERTLLRTRSPPIVHPDVHSMFSLKLNFCEPSDPISTKIFVISKNQLDALKQICGGLSTFCAMSALVWQCMCIARQLPLDAETRVIFPVNIRRRVKPPLLDRYFGNALVDLKVASTVRDIVLGTLDVTAAQIKNALGRLDDEMLQSAIDYNEMAGMPNKHTKGNLPDTELRMVSWLGMPVYDADFGWGKPEMMSCAESVRGGFVYMMDGIDNDGGGVRVLMCMEARKMEEFGRLFYAKPPAPAVRVSLVTGNRDGQ >OGLUM08G23640.1 pep chromosome:ALNU02000000:8:25870999:25881382:-1 gene:OGLUM08G23640 transcript:OGLUM08G23640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSVPLPSHLLVAAAAGSRGAWQDGAIRGQSHDGGGRLDDYCSITIDGSGGLSEDIIQQRLQSVVHQREELQRLEMELRAQVIAHPQIIEAQRSFEAAAKEHVTAAAKLKEQLHEREKYILELEVKLDDKDRELDALKIDHQTVWANQDLLREQTKELATFRRERDNSEAERAQHLKQIHDLQEHLREKESQMLALEEQHRAAQDNIIYKDEQLREAHAWMARVQEMDTLQSQTMQAELRDRTEQFNQYWISFQQQYVEMQRGFLHTIQQLQLELNELRDRTGAPKDGSQTAQESSAESTLGQNKGNNMAANGSGTTDSSQSVKSNGVPDGSTKGNSNASPVPVVPSSLLGIGGFVPSAQIAGMHSYMMHPQGVPPSLASPNSAVPQFGSFQSQSTIQPNMQWPNQQEAQNASQTPDETNYHTSPPDQKALQQAAGNNDELSLKQNQATHAEHLTATAHGKQQQQQRFPSVVSESTHEQKLQVVESNVTEHLVYNEQQKAQDSSSIVSPIRKFEHQEQTNEFKHLISKLYVHQDEKVASGNQSEEQVARHQHKASDFDASTTQIHLKGGATEFTANVGNQTDTHTSAGGGLGSLLPRIPKEPSLLDERSLLACIVRAVPAGPDGRIKISTTLPNRLGKMLAPLHWHDYKKHYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAVASSAPYSLLPSVAVTPVAQSTRQKRGPVVDSRSSNVMPSRNGSTTASFGDQFDKGGHIPKPNDSVGYNIVQGIGDVTIASKVKDIQENGFSDEVRPGQSSMHAVSANGVRQERSGLPAGLRGLHTFPEDEQVACRSDHSYSKPEGIADYRASLFQQKCVFADKNKTARAEELSTKLQPNWWIPRHECTRQKIVKLSVLHRKTENASGCDCKAVQRNMAESLLLPVVRGVAGKVADALVQSVTRMCGIDGDRRKLERQLLAVQCKLADAEAKSETNPAIKRWMKDLKAIAYEADDVLDDFHYEALRREAQIGDSTTRKVLGFFTPHSPLLFRVTMSRKLGDVLKKINELVEEMNKFGLMEHVEVPQLPYRLTHSGLDESADIFGREHDKEVLVKLMLDQHDQQNLQVLPIVGMGGLGKTTLAKLIYNDPSVQEHFQLKMWYCVSENFEVGSLLKSIVELATNRRCQLINTIELLRRQLEEAIGRRRFLLVLDDVWNDEENKWADDLKPLLNSVGGAGSVIVVTTRSQRVASIMGTLEPYELRCLNEDDSWEVFSKRAFGKQVQEQAELVSIGTRIVKKCRGVPLALKTMGGLMSSKQSVSEWEVIAESNIGARVQGKNDVMDILKLSYRYLSPEMKQCFAFCAIFPQDYEMVKDELIQLWMANGFIPEEETMDLTHKGEMIFHDLVWRSFLQDVKEEFIIGYHCDSIVCKMHDLMHDLAKDVTDECASTTKELDQLKGSIKDVRHLRIPEEMEETMTELFKGTSSLHTLIDRSWRSTLWNVSVEFNLASVRALRCSVINSAITNAKHIRFLDLSETSIVRLPDSICMLYNLQSLRLNSCDELEYLPKGMRTMRKLIHIYLYWCDSLRRMPPNIGLLNNLRTLTTYVVDTEAGCGIEELKDLQHLTNRLELYNLHKVKSEEKAKQANMYQKKNLSEVLFFWGRQKRYMPNDNAYNEERVLESLAPYCSNLKVLELHGYGGVEIPEWMRDPHTFQRLSKLNISNCPRCKDLPPVWLLVSLEELSLSCMDNLTTLCTNDDVEAEGCGTSLQIFPKLKKMFLRNLPNLERWAVNISGDPSSFITLPQLEILRISDCPKLAGIPDCPVLRDLNIDRCSNIAVSSLAHVTSLSYLSYDAEGFDSMTMPLGSWSSLMRLKVRSLANMVISLEDQQNQGESNLVNLRRLNLHGPKCFTTVSGFSELHHGIWVHFAFVEHLVIGDCHDIVRWPTEELRCLIRLRSLHIFKFTNLGINFSLSEEILYLSCLEELNITSCSGIVEIPKLPASLEELFIQSCQNLVVPLPPNLGNLASLRNFIVIKCESLKLLPDGMDGLTSLRKLHLDGCPGIEKFPEGLLQRLPTLEYLHLYSCSVSNDQLVRRCKEGGEYFDLLSSIPDKSIIFSERYYRKRFLPFC >OGLUM08G23650.1 pep chromosome:ALNU02000000:8:25887879:25888667:-1 gene:OGLUM08G23650 transcript:OGLUM08G23650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELVLSPSSFSATACFPTLDFEFCEVPDQWLLGLGHDELDKDAAASALAAAAASQSASNDDVPRNPPATTTTKRRGRKPGPRSGGGGAPPIGHVEAERQRREKLNRRFCELRAAVPTVSRMDKASLLADAVDYIAELRRRVERLEAEARRAPLAPSAAAAAAWAAGLGAGAIGRDDLVVRMVGRDAAILRLTTAAAAARHAPARMMCAVRALNLAVQHASVARVGGATVQDVMVDDVLAALQDEARLRAALLHTLQLADTT >OGLUM08G23660.1 pep chromosome:ALNU02000000:8:25893994:25894502:-1 gene:OGLUM08G23660 transcript:OGLUM08G23660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGGGVKGFYRQKKKGGVAKKPISRKKLPPQNCSESQDCGDHDLGDEVEEQLQQFDMDMTYGPCIGMTRLRRRERAAAMGLRPPPRLRDLLLPPPAPHPLPSSSSSPAKILTGSSGGGGSTSVQGECLWEGKVS >OGLUM08G23670.1 pep chromosome:ALNU02000000:8:25902240:25906615:1 gene:OGLUM08G23670 transcript:OGLUM08G23670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPGARPHHVSPGQPPVVPRSPTPLDLSSAAAAAAAASFRRLSPSLRPPAHPQARLPSPYPQIPSSSSAAAAGSSGHHARSLSQPLFFSLDSLPPLPYADLAAPPAIPPSPPSSSSDPPPPGLPPRKGGHRRSQSDIPFGFSHLSPPLPPPAPVKREAATAAEGCRSDGDDFALYDLVNSYMDLDGMEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >OGLUM08G23670.2 pep chromosome:ALNU02000000:8:25902240:25906892:1 gene:OGLUM08G23670 transcript:OGLUM08G23670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPGAADPGARPHHVSPGQPPVVPRSPTPLDLSSAAAAAAAASFRRLSPSLRPPAHPQARLPSPYPQIPSSSSAAAAGSSGHHARSLSQPLFFSLDSLPPLPYADLAAPPAIPPSPPSSSSDPPPPGLPPRKGGHRRSQSDIPFGFSHLSPPLPPPAPVKREAATAAEGCRSDGDDFALYDLVNSYMDLDGMEALNSSEERHEDRDSRASGTRAGSVADSSENEAESHSTPVERKDGGGKSRHCRSLSVDSFIEKLNFDESPKLPLPSPSGGLSRSGSGSLDGGAASLFGAEFANGEFTEAEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSTGLATQNNELKIRLQAMEQQAQLRDALNEALTAEVQRLKLATGEITDGRMSKGLQQQMNSQLIQLQQLQIQQQQSSQTTQQGQQQQPQKSA >OGLUM08G23680.1 pep chromosome:ALNU02000000:8:25911864:25912409:1 gene:OGLUM08G23680 transcript:OGLUM08G23680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLPPAMCTRSVTSVALPTHGDGGGCRRVPLSPFDVYWVALPPVRRVFLFPSPPPPPPPPFGDVVRALRDSRGGAPGVLPLRRCAGLLAGGGGGGGVVVHRRLVVETGGGVAFVEAETDLDFERLVDEGEEHDEDALRQLVPDIRRDELPAPVMAAQLMEFVGGGGGVAVHHAAADGRGL >OGLUM08G23690.1 pep chromosome:ALNU02000000:8:25931538:25941141:1 gene:OGLUM08G23690 transcript:OGLUM08G23690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALARKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINRVDQELDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYRYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREVLALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFRPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >OGLUM08G23690.2 pep chromosome:ALNU02000000:8:25931538:25941141:1 gene:OGLUM08G23690 transcript:OGLUM08G23690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVELTGDGGTTAETRWLSPPLTHDDNRGFLQMLREKKERLGVGAAKVEVRLEKLTVEADVRVGRRAVPTLLNCAINAAQELAACAHMCTTRKKPMKIINEATGTIRPSRMTLLLGAPGSGKTTLLKALARKLDSSLKMKGKVTYNGEEVNSSTPQYLHAYVSQYDLHHAEMTLSSLKKLICTGHNLWRRKQPYNKLYYQAIKIECMQILGLSECADTLVGDEMRRGISGGQKKRATIGEMLVGLARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRENATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWIGNANKYRYHSIEKFAESFRTSYLPRLVENDHFESTNAGKSKEVKTSTSRMISSWNIFKACFSREVLLLKRNSPVHIFKTIQITVLALVISTLFLRTNMRHDTVLDANKYMGALFMAVVIVNFNGMTEIAMTIKRLPIFYKQREVLALPGWALLSSVFLLSLPISFVETGLWTGLTYYVIGYAPSFVRFIQHFVVLFAMHQMSMSLYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDNLQPWLRWGYWTSPFTYAQNAVALNEFLDDRWATEFHFANANTVGETILKVRGLLTEWHWYWICVSILFGFSLVFNILSIFALQYMRSPHKHQVNINATKVKVDYNSQIVGNGTASTDQVILPFRPLSLVFDHINYFVDMPKEMTKYGVTDKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLQFSAWLRLPSNVKSHQRNMFIDEVMDLVELTGLKNAMVGLAGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSSNMIKYFEAIPGVPRIKEGQNPAAWMLDISSRTAEYEIGVDYAEIYQRSSLYWENRQLIDDLGKPEPNTEDLHFPPKYWQDFRAQCMACLWKQNCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVGMERVVLYREKAAGMYSTMAYAIAQVAVELPYMFVQVFIFSAIVYPMIGFQMTATKFFWFALYMVLSFLYYTLYGMMTVALTPNIEIAAGLSFLIFIFWNVFSGFIIGRQMIPVWWRWVYWANPAAWTVYGLMFSQLGDRTELIQVPGQPEQTVKEFLEGYLGLQDRYFNLVTSLHVAIIALFTFLFFLSIKHLKFQRR >OGLUM08G23700.1 pep chromosome:ALNU02000000:8:25933076:25939865:-1 gene:OGLUM08G23700 transcript:OGLUM08G23700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAIVEYMPAAFSLLAYIHLMYCSANMLRMLKTSENPNKMLTQIQYQCHSVNGDVQYPQRSHGWRLSGQVYKLEEYLTKEGKGTSNSPGPNDKPTINADAIIFTTDVVIDALFRLLEL >OGLUM08G23710.1 pep chromosome:ALNU02000000:8:25941542:25954157:-1 gene:OGLUM08G23710 transcript:OGLUM08G23710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT2G35110) TAIR;Acc:AT2G35110] MPWPTDRPPTNRPLLASPLLPSTSIQLLLHHLREGAESESFLRNGSEISPRLKATGLPLLPACLFCTQNMAHVSFKSKEADSMSRWSKYLSTEESPPSASLSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMMVFSALALAQCEVVWYFQHVGIASSKSSRGRTVDIDAADPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTKAVPNIVVRGLEQLAAFQNVSKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREHRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGTRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >OGLUM08G23710.2 pep chromosome:ALNU02000000:8:25941542:25954157:-1 gene:OGLUM08G23710 transcript:OGLUM08G23710.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription activators [Source:Projected from Arabidopsis thaliana (AT2G35110) TAIR;Acc:AT2G35110] MPWPTDRPPTNRPLLASPLLPSTSIQLLLHHLREGAESESFLRNGSEISPRLKATGLPLLPACLFCTQNMAHVSFKSKEADSMSRWSKYLSTEESPPSASLSWRAMGVDGPQGSASGQKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNAHTFSEAFWKAGVMPNFPKICITLSKKFPEHPNKLQLEKVDKFALDALNENAEGYMQNLEQWITLLLDLLEFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSDKIPRKMILQVYNILHIMLKGGRDCEFYHRLVQFVDLYDPPVKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLVLSLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLMEVHEQALVSADALHHERRILLKQEIGRMMVFSALALAQCEVVWYFQHVGIASSKSSRGRTVDIVTIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGENVPAITCDLTDLRKHWLSILMIVTSSRSSVNIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELEGQLSKHGSLKKLYFYHQHLTTKAVPNIVVRGLEQLAAFQNVSKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAAIRLNNATRAKAVSGLLAPGHESYPDNSSSVKMLEAAMQRLTSLCSVLNDMEPICVLNHVFILREYMRDCIIGNFRRRFHSMIRTDSCLQRPSVIESLLRRHLSIIHLAEQHISMDLTEGIREVLLAESFTGPFPNLQVFETPTETHGGGSAIDIISNWYIDNFVKDASRTGVVFDASQNCFRSSQPIGGGYLAEAFTDKRELKALVRLFGGYGVDRLDKLLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADFCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLAMQLPEEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEIGSANDNSWTLLPYLCAAFMASNMWSTTAYDVNTGGFSNNLHCLARCVSAVVGGSEYTRMEREHRRSSLSNGHMDELQEPELLSRVSAEANIKSAMQLYVKLSAGLVLDSWNDTSRPYIVPKLIFLDQLCEMSPYLPRSTLEVHIPYTILRSIYHQLYGASLMATEPMEPSPRQSPLISLAHASPSMKQNRADTTPRSHTFEPGYHSSSGSQYDEGYEGDRRTGERQLRSMRRSGPLDYTGTRKVKFVEGSSSGSHGAGSGSLQRFAVSRSGPLSYK >OGLUM08G23720.1 pep chromosome:ALNU02000000:8:25953996:25959477:1 gene:OGLUM08G23720 transcript:OGLUM08G23720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGSSGEASNYYYSYLFSSTSTWFGFVFTPTHDSVGPTYRAKATTLYTSTTPLPKPGKILLTGAVRAKPESWTELRKDTEWHGWEWDRAAQRRIFPPDAGWMNPADLGGFGRPSERASRASGRVTAWRERERERDGRCLATTTTMCCGREEGAAASAGPGDKRGGDGEADACLATTTTTCCIRGRREQRRADGRRRRRPGEEARGPRRWKEAAATGGGARPQGPEMINGTLLLIESISPLRKSITTVSTAQGNSATSTQTQTSNGIAVPTHRGEEDKGPPSPPPASQHVSTWCPPEAVGPHLPLPPRPPRRGPHHAAANVRAPHARPGWWTPPHQSRDGQAVTLATARSARALFDPPHHHHFGHTRTTTGLAPPPGSGRVR >OGLUM08G23730.1 pep chromosome:ALNU02000000:8:25959939:25961060:-1 gene:OGLUM08G23730 transcript:OGLUM08G23730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQAQDKAEEGEEEGTRQQHAQAGPVGAAGGGGGGGAAAVAMSAIPMNSWLVPKPEPVEFFGGMAMVRKPPPRNRDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSDGETIRWLLQQSEPAIIAATGTGTVPAIATTVDGVLRIPTQSSSSSGPASSAVVDGEESSAKRRRKLQPTRAVAGASPLATAAPAAYYPVIADPLLQGSGGAAISVPSGLAPITATGAPQGLVPVFAVPATGSPAVAGGNRMIPQATAVWMVPQPAGAAGAGNQPTQFWAIQSAPQLVNFAGAQFPTAINVADFQQQQQQQPVSTTIVQNSNSGQHMHFSGADSHEQQRRGRKEGNSGGVVDHPEEDEDDDDDEPVSDSSPEE >OGLUM08G23740.1 pep chromosome:ALNU02000000:8:25965600:25973221:1 gene:OGLUM08G23740 transcript:OGLUM08G23740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAEGGWTCRLHLHRLLVERGVPPPLLLHEIGVQELGHERPQPVGVVEVGQEPQRRVHHIDPHLAGGVLPLAAAVDVLEDGGPVGVVLVGEADVAEEAAGEGDVVAVGELLHQRRRHLLPLPVEHVERQRWPPLELHPRPVHPHQRLPLLRLRVPQLLHRHHQPVADAPQPVAYGDQPQRVRVVQPPALHLHYWRWRRRRIGLADLRRVAVLLAGGVHLRYVLECERDEGATEWAGEGAGGVEVVRRRGGRVELGGVAVVERPAACGDDADDADAAGDDGALERGEAGLLDEEAREAGGVLAEHLEEVADDEVRAEEGEAQRVEVLGAQRARVERDVPPLLVRRAHPQVWVPGPRHPRHQREHEEPPPVPAAAAAPPPAEQLLAEVGGVDAHARPRERRRHHLRTAAARALRHRARRLALVVVHGEKPLAVLLEGVGLRQQPERGGPVRRAHHGVLVVGDVERRHDAPRHGAADPARRGRRRDVVPALHLRPQARGLVRDVKERRLPRRARRRRRRRGRRRRHRRVHLRVGEEVDAGVVEAHVAVEVLVLAVPDGVGLGEPGVLRERGDELGVRLLHRRERLVVGELRAGEQPRRRRLDEIVPRRPRRGRRRRRRRGPPLDAHRHAAGDAHELTLRSVRRRWRRRRWHLLVVAGCRVLAPGQVLVDEQEHGCLMDRVVLDELGELQLLLLLSRRERPDGRRVAACDCFSLVAGRRRRRLLVFFSG >OGLUM08G23740.2 pep chromosome:ALNU02000000:8:25972480:25972804:1 gene:OGLUM08G23740 transcript:OGLUM08G23740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVVLDELGELQLLLLLSRRERPDGRRVAACDCFSLVAGRRRRRLLLATGSQGVTATYQAED >OGLUM08G23750.1 pep chromosome:ALNU02000000:8:25970491:25972470:-1 gene:OGLUM08G23750 transcript:OGLUM08G23750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLINKYLSRSKNPAAGDDKEMPPPPPPPPANGAEREFMCIPGCVPVRVKRGTTTTTTTTTTARTSRHNFVKSAASGLFPGAQFTNHESLPAMEEAYAEFVAAFPQYAGLAQADAIRDGEYQHLDRHVCLDYTGINLFSHAQMNSSVPSTSATPPASSSGTAWQPPFFDIAYKSTSLRSQVQCGDDVAAASAAGGIGGAVTRRVMASLNIPDDEYAMVCTANRTTAFRLLAESYSFQQHGKRLLTVYDYESEAAGAMAESARRRGAEVMSATFAWPGMRVHAADLRKKLLRGRRRGGGGGHRRGLFVFPLVSRMTGARYPYLWMSAAHEQGWHVALDACALGTKDLDTLGLSLLRPDFIVCNFFKVFGENPSGFAGLFVKKSSLAALERSVIARSIGIVSIVPARRWSLHDGYSTELDPAAAAADDLDTTSSFSGPLSGTLIALTLKNVPEMDAAGEENGHSPEIREADPPPPPPPIMEVECRGLDHADALGLIAIGNRLRCISNWLVVAMQKLRHPQSEQGKALVRVYGPRVKFERGPSLAFNVFDWKGEKVAPPLVQKLADRHNISLTCGFLRHICFSDKYDADRAAVLEHIDGGGKRKDAAGEMGIYVVNASLGFLTNFDDAYRLWAFVAKFLDADFVEKERWRYTALNQKTVEV >OGLUM08G23760.1 pep chromosome:ALNU02000000:8:25981990:25984045:1 gene:OGLUM08G23760 transcript:OGLUM08G23760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like zinc-binding alcohol dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G51970) TAIR;Acc:AT5G51970] MGKGGKGAEAAAAAVAGAGEEENMAAWLVAKNTLKIMPFKLPPVGPYDVRVRMKAVGICGSDVHYLRVICCSSSSLTERMEDNVAYICLLFECVQEMRIAHFVVKEPMVIGHECAGVIEEVGSGVTHLAVGDRVALEPGISCWRCRHCKGGRYNLCEDMKFFATPPVHGSLANQASKQFSSISISLQGEDAILINVVLPENVSLEEGAMCEPLSVGVHACRRADVGPETGVLIMGAGPIGLVTLLAARAFGAPRVVIVDVDEHRLSVARSLGADAAVRVSARAEDVGEEVERIRAAMGGDIDVSLDCAGFSKTVATALQATRGGGKVCLVGMGHNEMTVPLTSAAIREVDVVGIFRYKDTWPLCIEFLRSGKIDVKPLITHRFGFSQEDVEAAFEVSARGRDAIKVMFNL >OGLUM08G23770.1 pep chromosome:ALNU02000000:8:25986834:25987544:-1 gene:OGLUM08G23770 transcript:OGLUM08G23770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNTTAMGQLMSSSATTAATATGPASPKRPAGRTKFQETRHPVFRGVRRRGRAGRWVCEVRVPGSRGDRLWVGTFDTAEEAARAHDAAMLALCGASASLNFADSAWLLHVPRAPVASGHDQLPDVQRAASEAVAEFQRRGSTAATATATSGDAASTAPPSSSPVLSPNDDNASSASTPAVAAALDHGDMFGGMRADLYYASLAQGLLIEPPPPPTTAEGFCDDEGCGGAEMELWS >OGLUM08G23780.1 pep chromosome:ALNU02000000:8:26004874:26006573:-1 gene:OGLUM08G23780 transcript:OGLUM08G23780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDDLLLEVLRRLPPISLAASRVVCSAWRAAVDANRLLRADLLPLSVAGIVVNPLSLGSRFLCRPNAAATIADDLDDIRNTSRGIFNRFDFRVSDHCNGLFLVDDDVQHVVNPATRQWAPLPGYPPPHPCYPHKLFSPTICLVFDPAVSPHFEVLVIPRLRSELRRPREWPPSPFVLRAFSSRTGGDQWEDRISLSNGTYRVIQSEPSMSLRYREKHPDLYLGKSEKGVHCARVSFDHLMVWFLSESYGQMKWVLKHRSRIASLLPRRTTYEEQSGESWILHGANYQGDFHADADDVSWMFNLEWQRDSYDDDDDHHENKRHAKYVTFLGFHPFDEEVVFLGETFRRGFAYNLNNSEIQDLGNLCPKFYDLTYHQALIQTSFVYTPCWIGLERALSLSLSLSLSLSLPVNS >OGLUM08G23790.1 pep chromosome:ALNU02000000:8:26007369:26009275:1 gene:OGLUM08G23790 transcript:OGLUM08G23790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVSLHCRAGIMYVTTDSSAGEPLLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >OGLUM08G23790.2 pep chromosome:ALNU02000000:8:26007369:26009275:1 gene:OGLUM08G23790 transcript:OGLUM08G23790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TraB family protein [Source:Projected from Arabidopsis thaliana (AT5G52030) TAIR;Acc:AT5G52030] MAAGPTPSTAASFRPPLPPPPPCFDYREAMLGHTRAAAVTAADPALAALVESGALVRVPRRRFGPVPAWRPPEFVEPEDVWILGTSHLSEESVADVERVLRAVRPDNVVPLLKSNMFSLGGSKFFGAVNRSINLGGQTALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDLGAQLVLGDRPIEITLERAWKSLSWDEKTKLVVSLFRGITSTTDTSQDEKAAGSPYELYEKLSISYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGIIGKGHMNGVVYALISDQGDLRFRDLVGRASSDTWASSLIKGLVRDTIIGIVLWGLYEQLHAVF >OGLUM08G23800.1 pep chromosome:ALNU02000000:8:26010879:26011453:-1 gene:OGLUM08G23800 transcript:OGLUM08G23800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLVFFFLLLLGFGNAEEELDTETCDVAKLSAEIGTYCEFDQGRMYPGRCCDNIIDAADGHDGGGTQCICRVWMEDAVRKTGITFRELLHQYIDCGGLKPSLPHLADSACSAAPEMVGTLPGPGKISGADTTNGVIFSAMRPAIIVNKMLAST >OGLUM08G23810.1 pep chromosome:ALNU02000000:8:26023535:26025145:-1 gene:OGLUM08G23810 transcript:OGLUM08G23810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYH1] MCHCSSKVVAVAQCHQPLLPPPEACPALHDRPRSARGGGGAIAEVASIVRLAMPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVVLLLAASVPIAALWLAMHRVLVAAGQDPDIAACAYEFILCSLPDLAVQSFLHPLRVYLRAQSITLPLTYAAAAGLALHVPVNVLLVHGLGLGIRGVALAAVWTNLNFLLFLVAYAYFSGLIRGDDDDGGGNGKAGEEGATTTTTTMEWGWLVKLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGILIQTTSLLYIFPHSLSCAVSTRVGHELGAGRPERARLAARVGLACGAALGVVACAFAASLRGVWARMFTADAAILRLASSALPILGAAELGNCPQTAGCGVLRGSARPGRAARINVSAFYGVGMPAALALAFWPARLDFRGMWAGMLAAQLVCAALMLLAVRRTDWDEQAARARELTGAVAGVVVGDGDVVVKGDHADAAKVKADSGLLVVTVLS >OGLUM08G23820.1 pep chromosome:ALNU02000000:8:26031046:26032073:1 gene:OGLUM08G23820 transcript:OGLUM08G23820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAVGRDRGQEKEIIREAHNNKLATESLMDEQGLLQLQLHASCMVHDATGAILQASSISMIRNKIDQYTNDDDAGNARSYLVCMFVCSVLGLKKRKCAEMMHGYSWELILFHQECCTNGALEPAVQLLVCIVHTHLEAAR >OGLUM08G23830.1 pep chromosome:ALNU02000000:8:26036088:26037115:-1 gene:OGLUM08G23830 transcript:OGLUM08G23830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGKDQKFPAMKKETAAVAKEEVWEVRPGGMLVQKRSPESEPPPGGAPVPTIRVKVKYNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYRDKERDSKAFLDIAGVKDRSKMLLLEDPTAQAKRLLEERRHCKAERAAKSVSRVALDVDKLASKVSALEAIVSKGGRVVDADVVALTEALMNELVKLDSIAADGEVKEQRRVQEKRVQKYVEALDAIRAKTKKAAAAPPKARPPHLPPRPPPAQQQQRRQFQPPAPATATAPAPQTATASWESFDLLSSVPSTSSAPVTTMAPATTTTTSPSPRFEWELF >OGLUM08G23840.1 pep chromosome:ALNU02000000:8:26038758:26041962:1 gene:OGLUM08G23840 transcript:OGLUM08G23840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTEANKKSALFGEQKQNMAKQRHPCMGELPQQQSNSSDRWGPSVSESCTIFFLGQGRPPTAGAHVEHGDASTSARTHVLHHKDDDTSLRERIARTTEMGDQSDVPGSCLMDRNNKIQWPPHMENFFPGKDEPSRNSDRWSVDDAEKMPKVATGSTGWSGGGRGPECGSRRELKRQRQRAGADANEIE >OGLUM08G23850.1 pep chromosome:ALNU02000000:8:26042447:26046816:1 gene:OGLUM08G23850 transcript:OGLUM08G23850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPGAPKLRPPPIKPAAPHRHRQAQRSSSSKGKVIFAASSEEAQEQGRYGGEQGERGGGGGGGVRARAGAAGRGRGGAGRRRGGVRAAAEQAAGVPRVRGARRR >OGLUM08G23860.1 pep chromosome:ALNU02000000:8:26044052:26049720:-1 gene:OGLUM08G23860 transcript:OGLUM08G23860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAASVVFYLHAPNTAPALLRLVPTLRRFTAHGPTNLKLRGKEKKKKEFATCRIKILHTHTASWLRDSSSSSRLGFLSLLLAVASPRRRRRRAKAAAVQPPSPPPAAMVRPTQDAIDTFVGITGADEAVAARKLEEHHGDLNEAVNAYFNEGDRTSTRANENPIPTGYDDMELDEPFGPVPTFHMPLGNPFSLLDQGFLERAAAVYGEEPHITHPREVRRIDVEVKDNNTPAGSSGHGPVIEDVTGHEFSHGPEIRGTVLIDEDDDDDNLPSAQDTRLPSNPSTSNYSVPRAPPIANVSDYNNDIEEEMVRAAIEASKRDADGLTNGLRSGERENASRGRDDDEIARAVSMSLETAEQERVLRQVGAHVSDHSDLSDKEDIEGATGTVERQVPTSGKVGTSDQLVDEENFQDDDVEEHSFVRQHSRRVPSGNDESTEALERANSHPSSLLPHNIENNQQFNGVFPSEVWGGISSEEHDEAVMLEAAMFGGIPGRAAYPFSLPYHQNSSRYPTVAHPPSPTLTAQRLLREQQDDEYLASLEADREKELKAEQEAELRRLEAAAEREAAIAKQKQEEEEKRRKQLEEEELESKLAAKQASLPKEPLPDDVGAVTVVVRMPDGRRQGRRFMKSDNLQVLFDFIDISRTFKPGTYRLVRSYPRRAFTDSESQMSLSDLGLNSKQEALFLEQISG >OGLUM08G23870.1 pep chromosome:ALNU02000000:8:26050653:26050857:-1 gene:OGLUM08G23870 transcript:OGLUM08G23870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQELSASGSEGHELTTARSTSLVALNMAGAHHHFPHPREKDELGSNFFMSLSSSLLPAPPPSSPA >OGLUM08G23880.1 pep chromosome:ALNU02000000:8:26051395:26051883:-1 gene:OGLUM08G23880 transcript:OGLUM08G23880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGGAGLGVGGGGGRGGMRATATAVALIWPRDGLERGGAAVGGASTAVSVGEKGGDHRWRGAGGQRVDGMGALCGEVGVWRRKVGDSRRSGGAAGGGAAVGRGAAVGGRGARGGCASGGGGWRLKGWWHRDVRSKRGGVEGNKPIFCPVTTRVRDLPPTV >OGLUM08G23890.1 pep chromosome:ALNU02000000:8:26054920:26057717:-1 gene:OGLUM08G23890 transcript:OGLUM08G23890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYH9] MASSSGEGKGAGGAGYQYAPYGGSYYDEERRWWPWLVPTVLVACIVVFLVEMFVNDCPRHGSPLRGESCVAGFLHQFAFQPLRENPLLGPSSATLEKMGALDWAKVVHQHQAWRLISCIWLHAGLIHLIVNMLSLLFIGLRLEQQFGFVRIGIIYLLSGFGGSVLSVLFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPRKYKAYQYVLWAVALFLLLVGFVIALVMLFKGKNGNDGCHWCHYLNCIPTSRWKCST >OGLUM08G23900.1 pep chromosome:ALNU02000000:8:26061982:26069241:-1 gene:OGLUM08G23900 transcript:OGLUM08G23900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSRLAVKESCLPMTMPMPETFAQYSNPLRSTRAYGQLCRKQPDTTVYPGQICAAAGGGGGMADQTAAAVVVVGGAAATMGEPSPPPPAPAAEAAGVGVGQQQRTVPTPFLTKTYQLVDDPAVDDVISWNDDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGERRLLCEIHRRKVTPPAPAATTAAVAAAIPMALPVTTTRDGSPVLSGEEQVISSSSSPEPPLVLPQAPSGSGSGGVASGDVGDENERLRRENAQLARELSQMRKLCNNILLLMSKYASTQQLDAANASSAAGNNNNNNCSGESAEAATPLPLPAVLDLMPSCPGAASAAAPVSDNEEGMMSAKLFGVSIGRKRMRHDGGGDDDHAATVKAEPMDERPHGKDEQSAETQAWPIYRPRPVYQPIRACNGYEYDRAGSDQDGSNST >OGLUM08G23910.1 pep chromosome:ALNU02000000:8:26072408:26074852:-1 gene:OGLUM08G23910 transcript:OGLUM08G23910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSHRVERSEMKPGDHIYTWRAAYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAISSLLSQGSPECPTFPDCGFQLPDSGVVLTCVDCFLRGGSLHGFEYGVPPAVFLAKLRGGTCTTAAADPPDAVVRRAMHLLQNGFGSYDVFENNCEDFALYCKTGLLPADEPGSIGRSGQASSAIGVPLAALLSTPFKLLAAGPLGMAAVTAGMYCAGRYITDIGVRKDVVKVEVENLSAHLGWRRAKAEEEMAMKKQQPSSHDTKVKKSLLPLKRKRDNFCEIITS >OGLUM08G23920.1 pep chromosome:ALNU02000000:8:26076789:26082267:1 gene:OGLUM08G23920 transcript:OGLUM08G23920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENYWRFADARQQQAMVAAAAAAAGMAPTAATVATAGQAAAGMAPTAATVATAGQAAAGMPPQAAMAQQAAAAPPLKRARPDYGDVPAGQDMTGYYPRETDRTGYHALRENEAIGASYDRYLRNGMPSVAATETNRPVVGGMGGMGGMGGYPVDDRRMIGVGMDSRDIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATLALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >OGLUM08G23930.1 pep chromosome:ALNU02000000:8:26082329:26085385:1 gene:OGLUM08G23930 transcript:OGLUM08G23930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHTPLTPEITLEQALANKGKEKNIKMAFSLHFPRHHGAGDTCPHRERGGANGERDPAVHRDLDGIKWSTSPHPLVPFPFVPPSPAAAAAVAVDASMSASAAVSSTCAAASSTTSRRSSSSPASRVQATPRRSLPSRLVASRTSPRSPVVPPVYATASPGGAGGTTAAAARKKLLIFDAEEYLAESLAKYTARLSGEAVAERGAFTVALSGGSLIKALRKLTESPYLEAVEWSKWHVFWVDERVVPKDHADSNYKLAMDGLLSKVPIPASQIYAINDTLSAEGAADEYETCLKQLVNDGVVAISEVTGFPKLDLMLLGMGPDGHVASLFPGHPIVNENQKWVSYIKDSPKPPPERITFTFPLVNSSAHIALVVTGAGKAGAVHKAFSDKQSSSDLLPVEMVSQQEGVLTWFTDKPAVSMLSSI >OGLUM08G23940.1 pep chromosome:ALNU02000000:8:26088012:26093022:1 gene:OGLUM08G23940 transcript:OGLUM08G23940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGGAERAREASRPCRSGEVELNLRGLEGKENGHFLVGIGTIYFHQMGSFGVEPEIRAKVWPFLLGVYDLNSTEAERNVIQTNKRNDYEKLRRKCHHVLHSYKGSGLNVINEAACEYHSCNEESEPLNLESVSTRSSPSPKGLKSLRCGISRQENATEGIDEDTSELTYVDPYVAESESSDSGTSDEEDLDRMSVSANTEVNRDQGPKFVRSASSKSGFFRNNKTPEDFATWQRIIRLDAIRTDPEWALLSHNRAEVSKEKALQYARSVGLKDYDHLEPYMIYHAARLVAVLEAYALFDPEIGYCQGMSDLLSPIIVVMEEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQAAIRAGIGRSTWSKIRLHAPPTDDLLLYAIAACVLQKRKLIIERYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNLHDKI >OGLUM08G23950.1 pep chromosome:ALNU02000000:8:26099900:26101664:-1 gene:OGLUM08G23950 transcript:OGLUM08G23950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSPSTQDCGGWLLYVSLAAKCGGDPCRVVGFVAVAVVAFAVTSLLHWLSPGGPAWGRYWWNRRGGLGIAAAIPGPRGLPVLGSMSLMAGLAHRKLAAAAGGSPARRRLMALSLGETRVVVTADPGVARELLASAAFADRPVKESAYGMLFHRAIGFAPYGTYWRALRRVASTHLFSPRQVSASAAQRAVIARQMVEAMRSAAAAAAAAGGGVAARPFLKRASLHNVMWSVFGRKYELAAPESEETAELRSMVDEGYDLLGQLNWSDHLPWLAPFDLQKTRSRCSSLVPRVNRFVTRIIDEHRARLSLAVDAAVDFTDVLLSLHGDDKLSDADMVAVLWEMIFRGTDTVAVLIEWVAARLVLHQDVQARVHDELDRVVGSDRAVTESDASKLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGFLIPSGTTAMVNMWAITHDPAVWPDPNEFKPERFVAGPSSDQAAEFPIMGSDLRLAPFGSGRRSCPGKSLAIATVGFWVATLLHEFDWLSLSDKLCGVDLSEVLKLSCEMATPLEARLRPRRKV >OGLUM08G23960.1 pep chromosome:ALNU02000000:8:26129963:26137334:-1 gene:OGLUM08G23960 transcript:OGLUM08G23960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEILDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTCDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >OGLUM08G23960.2 pep chromosome:ALNU02000000:8:26129963:26137335:-1 gene:OGLUM08G23960 transcript:OGLUM08G23960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEILDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTCDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >OGLUM08G23960.3 pep chromosome:ALNU02000000:8:26129963:26137335:-1 gene:OGLUM08G23960 transcript:OGLUM08G23960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWVDWGECRWGGDMGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEILDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTCDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >OGLUM08G23960.4 pep chromosome:ALNU02000000:8:26129963:26137334:-1 gene:OGLUM08G23960 transcript:OGLUM08G23960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVVWNIILYSRLNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEILDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTCDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >OGLUM08G23960.5 pep chromosome:ALNU02000000:8:26129963:26137335:-1 gene:OGLUM08G23960 transcript:OGLUM08G23960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLISWVDWGECRWGGDMGAAEEEAAAAWDKAEAKEERIMVSVRLRPLNGREAGDSCDWECISPTTVMFRSTVPERAMFPTAYTYDRVFGPDSSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSVLDIYDYIEKHPEREFILRFSAIEIYNEAVRDLLSHDTTPLRLLDDPEKGTTVEKLTEETLRDKDHLRNLLAVCEAQRQIGETALNETSSRSHQILRLNFVDLAGSERASQTASAGVRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPARSHIEQSRNTLLFATCAKEVVTNAQVNVVMSDKALVKHLQRELERLQSEIKFPAPASCTTHAEALREKDAQIKKLEKQLKELMEERDTVKSQLDCLLKSDCDDHSDGRVAKRWDEHSRSSESFARNASEEAFSVSDTSGVPYQDQDNAVFNGSYVFSDDRDDIVFPVQTVDLPEETKHEKFMSPWHPPSHHSSSDCIESYHMTEAASRTASEVSEEHCREVQCIDIHEHRRSTSHKFDLLLPQDTEFQTPELEISKEAVPQPDEDQELESITNRMEDPTRMCPVEEEQQDEILDTCESNGTTDNDVKLYTCDSNISFDIQKPYPNGCLTVKRCILSSKDRALSRSKSCRASFMIIPNSWFDDSEYTSQTPPNEILKHTPRRLDKVRRSLYPENDNPSSVDRSEFSGEVSSDEVVKDMSTIDEVAKDMCPSDAEQETLTCDISCLTKLKKTDSDHEDELDEYQDQQSIRDGSTTLRTVKDVGIDSSLSASPSRWPIDFEKMRQEIIQLWHECNAPIVHRTYFFLLFKGDPADNIYMEVEHRRLSFIRRSFSASPAGGELNSAVVSSLKNLRRERDTLYKQMLKKLTNGEKERVYARWGIDLSSKQRRLQLSRLVWTQTDMEHIRESASLVAKLIELLEPAQALKEMFGLNFTLAPRSERRSFGLLGT >OGLUM08G23970.1 pep chromosome:ALNU02000000:8:26153472:26154468:-1 gene:OGLUM08G23970 transcript:OGLUM08G23970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGGGRHGRDDHHRPPVNPTDSAAAFLYPSTASRGGFQLWQQQPPPAAHPFYAQNIIRFADDPAAPPSSRGGRGGGPGGSGGGGTISCQDCGNQAKKDCTHLRCRTCCKSRGFDCATHVKSTWVPAAKRRERQNLLASAAESSKRPRDSAAAATSTTPTTSSGEQQQMMVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTTVSIGGHVFKGILHDVGPEHSSGGGGGMGGRHAAAGEAGSSPSTAAAPHGGGEGGSSGVAAAAAAVSSSAVVMDPYPTPGPFGGAHFFHGHPR >OGLUM08G23980.1 pep chromosome:ALNU02000000:8:26155454:26155642:-1 gene:OGLUM08G23980 transcript:OGLUM08G23980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDPVGASPLPPPLSYRFPLPLTCLEGVDVSAAAFAASPAAFLVYPGGDEEREGGRKEKA >OGLUM08G23990.1 pep chromosome:ALNU02000000:8:26168649:26169644:-1 gene:OGLUM08G23990 transcript:OGLUM08G23990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVTAAAPPSPDKSTNLFMQIVVHPDGTITRPFVPDAPPSATGPVLSRDVPLDASLATSLRLYLPNPASPPPPPTSKLPVILYFHGGGFVLFSTGSVFYHASCEAMAAAVPAIVVSLDYRLAPEHRLPAAYDDAASAVLWLRDAAAGDPWIAAHGDLSRCFVMGSSSGGNMALNAGVRACRGLDLGPAAVRGLVLHQPYLGGVARTPSEEKSGDDAVLPLEANDKLWSLALPAGADRDHEFSNPAKSMAAAAAAALTGLPRCLVTGSDGDPLIDRQRELVAWLRGHGVEVVAKTDFAGSHAAELFVKETADELFAAVRAFVSGAGDVVHS >OGLUM08G24000.1 pep chromosome:ALNU02000000:8:26174128:26175764:-1 gene:OGLUM08G24000 transcript:OGLUM08G24000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSTPAMLLYAALFAAALLYLAVAVRRGRGAGLPPGPTGLPLVGSLLSLDPELHTYFAGLAARYGPIFSIRLGSKLSVVVTSPALAREVLRDHDLVFSNRDTPDAARSISYGGGQNIVWNPVGPTWRLLRRICVHEMIGPAGLDSLHGLRRREFMATLRHLRARSGEPVDVGAQMFLTMMNVVTGALWGGNVGSESERTTVGKEFRELVADITELLGAPNVSDFFPALAPLDIQGIRNKSDLLKDRFDDIFARIIQKRTESDHAAAAGETASDFLEYMLKLEKEGGDGKTAFTMTNVKALLMDMVIGGTETTSNTVEWGMAEMLQNRGTLRKVREELDAVVGRDGVVEESHLPKLHYLNLVVKETLRLHPALPLMVPHCPGEDATVGGHRVPAGARVFVNVWAIQRDPAVWKDPEHFIPERFLPADGGGGRRLDFTGSEQEYMPFGSGRRICAGVAMAERMVAYSLAMLVQAFDWELPAGERLDLAERFGIVMKKATPLVAVPTPRLSNPQLYSA >OGLUM08G24010.1 pep chromosome:ALNU02000000:8:26176678:26187680:1 gene:OGLUM08G24010 transcript:OGLUM08G24010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGHWHLLQFELRFPPTCEEDTDHCLFFHPSKSTCIDRFISWGDKTRATHSDIATMCVSKTSK >OGLUM08G24030.1 pep chromosome:ALNU02000000:8:26186362:26187959:-1 gene:OGLUM08G24030 transcript:OGLUM08G24030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSKRGPYAPRGPDGALPFLLRWWGKEGCEVAVTATSANSAPPLAQPLENHGTDLKVSGLLLESCNSDGLVRTEEPKYW >OGLUM08G24040.1 pep chromosome:ALNU02000000:8:26202233:26206753:1 gene:OGLUM08G24040 transcript:OGLUM08G24040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSRRPVAVVLSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGLGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFKLRSTYMLDKDNFALYYVVLPCAGLALLVHPSTSHNIINRISWAFCVYLEAISVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >OGLUM08G24050.1 pep chromosome:ALNU02000000:8:26207227:26210062:1 gene:OGLUM08G24050 transcript:OGLUM08G24050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAKDTTAAAAADQNPTPNPPTAAAPPDDSAAAAAAGRRPFTSLTQEEADLALARVLQEQERAYMMLSAHHGGDYAASDGGSYEFDEEGEGSDFEDEDGDGDGDGEALDEDEEVADADADADAAGDPAELDPARYEDDEAFARALQDAEEREVAGRLMALAGLSDWRVMDHDDDDVDDDDEDDDDDDDDDDDEDEDEDGDDPQDAWEDVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSITYRAQDKQDGNMEQCVICRVEFEEGESLIALPCKHSYHSECINQWLQLNKVCPMCSAEVPTSQDTRA >OGLUM08G24060.1 pep chromosome:ALNU02000000:8:26207573:26208351:-1 gene:OGLUM08G24060 transcript:OGLUM08G24060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARERHEAAGDLALLGVLQRPREGLVVLVPRGVQLSRVTGGVCVCVCVGHLLVLIQRLPVAVPVPILVLKIAPLPFLVKLVAPTIGGRVVAAVVRAEHHVRPLLRRQKKNTDKPNPPDRGEAARSRGGGVRLLPAPGGRGRGRGRPPPG >OGLUM08G24070.1 pep chromosome:ALNU02000000:8:26210830:26212662:1 gene:OGLUM08G24070 transcript:OGLUM08G24070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERSSSAGLHCDANNPRCRSHVLRDRRATCKERVKVVVGRGARVVSDLESSDRERRRAESAKEHYYPSNDPIAKPALKYH >OGLUM08G24080.1 pep chromosome:ALNU02000000:8:26212843:26213283:1 gene:OGLUM08G24080 transcript:OGLUM08G24080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAPSVGIAFAPKPPPAAAVGSYRARKVRCAVAVAPAPAPAGTLYDVLGLRAGATVREIKAAYRRLARERHPDVAASAGADDFVRLHDAYATLSDPDSRARYDRDVVAVASMARGAHHRTMAAPAAAPRWYGRRPRRTWETDQCW >OGLUM08G24090.1 pep chromosome:ALNU02000000:8:26216457:26218397:1 gene:OGLUM08G24090 transcript:OGLUM08G24090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKAILARPIQLAEQVIKWAEEAQTCRQECLDLKAKVERLASLLRQAARADLYERPARRILDDTGKALDKAAALLDRCRGHGLIRRVFTIIPAGSFKKTSNQLDNSLGDLSWILRVSNYSNADDLDDDHIGLPPIAQNEPILFLIWEQIAVLYTGNPEARADAAASIVSLARDNDRYGRLIIEEDGVPPLLRLIKEGSSEGQETAALAIGLLGRDPECVELMVLAGVCTAFAKILKDAPMKVQGMVAWAVSELATNHPKCQDAFLQSNVIRLLVSHLAFETVQEHSKYAVASKMSIHTVLMDKKNNGSTSSSHHHDALDAVDHAAATTTTTTAMAAKPTGGGAASSSGAGAGSAGTGTTSSSSVSVGGTVAGTKQHNASLSGTSTKAREFEDPETKAYLKANAAKALWQLAMGNAAVCKNITESRALLCLSVLLEKGVDDVRYNSAMALMEICLVAEQNADLRRSAFKPTSPAARAVVDQLLRVVHKADYDELLIPCIISLGCLSRTFRATETRIIGPLVNLLDEREADVSREAAVALTKFACTENYLHVDHSKAIIHHGGAKHLVQLVYFAEQAVQIAALLLVCYIAHNVPDNEELAQAEILTLLEWASKQAAMVQDPLIENLLLEAKIRMELYQSRGAKGYY >OGLUM08G24100.1 pep chromosome:ALNU02000000:8:26220462:26221274:-1 gene:OGLUM08G24100 transcript:OGLUM08G24100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPTAIWTHKYYGPAHPLASFLHTLPSNRISATPLYSVAAFSRRLLLYSGDGEAAMHPPPPTPRSSVQVGEWGIRTAVVLLLEHFSEKASQQSFEPITRQWAPRLPIAL >OGLUM08G24110.1 pep chromosome:ALNU02000000:8:26224568:26227888:1 gene:OGLUM08G24110 transcript:OGLUM08G24110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRMLLMLMQLLGSPTSLAVLLLSFFQGSVGVGAITFTFTNRCTDTVWPGVLSGSGTPPLETTGFALSPGGSRSLYAPSGWSGRFWARSGCDFDDSGKGSCATGDCGSGQVECRGAGASPPATLAEFTLNGADGKDFYDVSLVDGYNLPMLVQASAPDCPDTGCLVDLNERCPSELRADDGRACRSACEAFGRPEYCCNGAYGNPDTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPRSTPSSGNSKNGSRRPSHEQLEDAVWLASLKASSGAGMAATAASWPASLAFQSALAIAVVILLAQQEHPMNHSVKEAVTKY >OGLUM08G24120.1 pep chromosome:ALNU02000000:8:26229193:26231626:1 gene:OGLUM08G24120 transcript:OGLUM08G24120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEQCDVISLPEDQVFEMLTRVSLDDLAACRQVSTRWRRLTYEPAFAPLHCRRADAVSGYLVQTVARNRYHATFVSSMHPSPPPADLVSLDFLPSPHVRVEAVSPHRGLVCCVDADADADAATPRKPASSYYVCKPATRQWRALPNPRLRYRTAATAMLARPGGGGGGAADFKIVRFSVPTLRDCLRCEVFDSRGMAWRRSADVAVWPESLVEAAPAVRAHGAMHWLRWPDRLSGGAEDIFAFDVKTETWRLIGLPPEATTEKRWARKKVAAVEGKLCLVVVVDEEVEVWVLAGYRQERWVKKMTASLTRLAMEEGNSFILRDLYASDVAFFNSVYRVLCQYT >OGLUM08G24130.1 pep chromosome:ALNU02000000:8:26232087:26236060:1 gene:OGLUM08G24130 transcript:OGLUM08G24130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYK6] MQPAVTFVSKKPWAFWPFLSGLHNASPMPEYDPAHGPMGVRFVFVFVVVVFFSCKKEKAKQEQQREKAEAAAQPTRKSKARAGKPRRRRHHHHQQAGMARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSMRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTEKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGKETIKLAIRALLEVVESGGKNIEIAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >OGLUM08G24150.1 pep chromosome:ALNU02000000:8:26253113:26256826:-1 gene:OGLUM08G24150 transcript:OGLUM08G24150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVDRARRHLRALISSKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRYEEEYTHGSNAGLKIAIDLLEPIKAKSPKITYADLYQLAGVVAVEVTGGPTVEFIPGRRDSSVCPREGRLPDAKKGALHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGAWTQEPLKFDNSYFLELLKGESEGLLKLPTDKALLEDPSFRRYVDLYARDEDTFFKDYAESHKKLSELGFTPRSSGPASTKSDLSTGAVLAQSAVGVAVAAAVVIVSYLYEASKKSK >OGLUM08G24160.1 pep chromosome:ALNU02000000:8:26257631:26263170:1 gene:OGLUM08G24160 transcript:OGLUM08G24160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYK8] MSAAAVLAVVAAAVAALAAAASGYELTKNGTVITYDRRSLIIDGHREIFFSGSIHYPRSPPDTWPDLISKAKEGGLNVIESYVFWNGHEPEQGVYNFEGRYDLIKFFKLIQEKEMYAIVRIGPFVQAEWNHGGLPYWLREIPDIIFRTNNEPFKKYMKQFVTLIVNKLKEAKLFASQGGPIILAQIENEYQHLEVAFKEAGTKYINWAAKMAIATNTGVPWIMCKQTKAPGEVIPTCNGRHCGDTWPGPADKKKPLLWTENWTAQYRVFGDPPSQRSAEDIAFSVARFFSVGGTMANYYMYHGGTNFGRNGAAFVMPRYYDEAPLDEFGLYKEPKWGHLRDLHHALRHCKKALLWGNPSVQPLGKLYEARVFEMKEKNVCVAFLSNHNTKEDGTVTFRGQKYFVARRSISILADCKTVVFSTQHVNSQHNQRTFHFADQTVQDNVWEMYSEEKIPRYSKTSIRTQRPLEQYNQTKDKTDYLWYTTSFRLETDDLPYRKEVKPVLEVSSHGHAIVAFVNDAFVGCGHGTKINKAFTMEKAMDLKVGVNHVAILSSTLGLMDSGSYLEHRMAGVYTVTIQGLNTGTLDLTTNGWGHVVGLDGERRRVHSEQGMGAVAWKPGKDNQPLTWYRRRFDPPSGTDPVVIDLTPMGKGFLFVNGEGLGRYWVSYHHALGKPSQYLYHVPRSLLRPKGNTLMFFEEEGGKPDAIMILTVKRDNICTFMTEKNPAHVRWSWESKDSQPKAVAGAGAGAGGLKPTAVLSCPTKKTIQSVVFASYGNPLGICGNYTVGSCHAPRTKEVVEKACVGRKTCSLVVSSEVYGGDVHCPGTTGTLAVQAKCSKRPPRSAATAQ >OGLUM08G24170.1 pep chromosome:ALNU02000000:8:26273993:26276068:-1 gene:OGLUM08G24170 transcript:OGLUM08G24170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYK9] MASSLAGSALSFATPVKAVKTNSISFTSARKGNAFLRLQPVPMRFAVCCAAKKETVEKVCDIVKKQLAVPEGTEVSGASKFSDLGADSLDTVEIVMGLEEEFHISVEESSAQSIATVEDAAALIDKLVEQKSAEAKSS >OGLUM08G24180.1 pep chromosome:ALNU02000000:8:26290283:26290666:1 gene:OGLUM08G24180 transcript:OGLUM08G24180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEMKPAGAGGTTVGDGFFTEADLAAADQLVQLSVSGGGCEDDGYDSSSSTTLQSVNNAEASAAMDDDDDMGLDRRVRKRYRHLSELYAATLPVKENHGGGKRKKREEDMGKKKQPQPQPQPQPR >OGLUM08G24190.1 pep chromosome:ALNU02000000:8:26293216:26293644:1 gene:OGLUM08G24190 transcript:OGLUM08G24190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLARRRKLPPPAAEAEAEADGESLVMSLSSSPKQQQRFTALELAAAEQLIHLSESSSSPRSSSFSFTSASASASSPRSVSNAPRRGGGLGEADDEDDEQEVGGRPRRNRRLRPIAEIYAATAPIGGGRKAKAKAAGVEY >OGLUM08G24200.1 pep chromosome:ALNU02000000:8:26295826:26299085:-1 gene:OGLUM08G24200 transcript:OGLUM08G24200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVQMSDSEPQAAPPAAPAASALHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLAFALPPSSEAYTRLTSLVPKEDDTEMDIDTAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDDAKACANASIARLKNLNRRTVDVLASRLYFYYSYVHELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPITARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRIGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSDNPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >OGLUM08G24210.1 pep chromosome:ALNU02000000:8:26300264:26305241:-1 gene:OGLUM08G24210 transcript:OGLUM08G24210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G47530) TAIR;Acc:AT1G47530] MSSTSGSAWDHSNNGGGGSPELREALLLGDGGSSPESREIKGIAVKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDEIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAVLLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLAFTDLLSFARLSLGSAIMICLEFWFYITNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVVLVSSVAIGVAFFAAVLLLRDVYGAPFTGSPEVVHAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLGRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLAVDDPI >OGLUM08G24210.2 pep chromosome:ALNU02000000:8:26300264:26305241:-1 gene:OGLUM08G24210 transcript:OGLUM08G24210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G47530) TAIR;Acc:AT1G47530] MSSTSGSAWDHSNNGGGGSPELREALLLGDGGSSPESREIKGIAVKKQDDLEEIRSVGELMRLAAEENRRLWYLAGPAIFTSLAQYSLGAVTQVFAGHLTTLELDAHHMLGIYLQRSWVILTAMSVILLPIYLFATPILRFFHQDDEIAVLAGRFSLYMIPQLFAYALNFPIQKFLQAQSKVMAMAAVSAAVLLFHVALTWLLLVPLRMGLVGLAVALNVSWWLVVLGQLAYIVMGYCPGAWNGFDWLAFTDLLSFARLSLGSAIMICLDTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAARLAIAVVLVSSVAIGVAFFAAVLLLRDVYGAPFTGSPEVVHAVASLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYINLGCYYCVGIPVGYAIAFPLGRGVQGMWGGMLTGVGLQTAILVAITARTNWNKEASEAHARIQHWGGTAKLAVDDPI >OGLUM08G24220.1 pep chromosome:ALNU02000000:8:26303799:26304350:1 gene:OGLUM08G24220 transcript:OGLUM08G24220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGTGSGGDDDELVLPPASFQDGLPSSRSYPSCIGGGSAAAASASLERELLYRAELHQHQQQQQQLGGGGGVERRKRRAMKNRESAERSRARKQAYLQELEQEVRLLRAENAALRHQCHQLKAAAAEAEAEAAAAAAAAKKPTIQRTSSATF >OGLUM08G24230.1 pep chromosome:ALNU02000000:8:26308970:26310128:-1 gene:OGLUM08G24230 transcript:OGLUM08G24230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAVHASFSSYSPPVVAGGRDDAGSRSMSRLPARVAGAVARGIVTFVFATVGTILGAITGGLIGLATESGMVRGTGIGAISGAVVAMEVVDSSVAMWCSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQNAVDSQMNAADAPFRESAPTLAEMFDTGIPGVAAAATGMPADAIAALPVTTFAAAAAGDDRAGCSVCLQDLEDGERARRLPECGHTFHLHCIDSWLLRHASCPLCRRTVVAAAVAVDDVVVG >OGLUM08G24240.1 pep chromosome:ALNU02000000:8:26315784:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVERPGGKTMPGSEDQTHAPHDGAIVQWKPSPEHPFPAGKDTHAVHRHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >OGLUM08G24240.2 pep chromosome:ALNU02000000:8:26315786:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVERPGGKTMPGSEDQTHAPHDGAIVQWKPSPEHPFPAGKDTHAVHRHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >OGLUM08G24240.3 pep chromosome:ALNU02000000:8:26315784:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >OGLUM08G24240.4 pep chromosome:ALNU02000000:8:26315833:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCPATCGPASLGTPGPGSPSGATVLFQNLSDQMRFSSLAQHVPVHKETEHHLPDQKMFCLPQCQEKCLDLSVQKAFQKKFVVGKFRCHKVVGVWQVNKVSKGELVPSKELTLTKPLFIDFKDFFQFSFLCVDIIIVVILHRKGQVAKQCPARRIKRMLLMTEPLFNGSPLQNILSQQERIPTLFIGMQALWTHQAGSWISHGITSQLEHLLRC >OGLUM08G24240.5 pep chromosome:ALNU02000000:8:26315784:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCKHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >OGLUM08G24240.6 pep chromosome:ALNU02000000:8:26315786:26324061:-1 gene:OGLUM08G24240 transcript:OGLUM08G24240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAGGDVHLCIAVRPSESRKLGSAPPHRRRCSLAVALADADEGNPLPRGCKHASTLDTSGRIMDFSWYNFTTGAPAPVLSPAMTARAQVCWLKS >OGLUM08G24250.1 pep chromosome:ALNU02000000:8:26315823:26322441:1 gene:OGLUM08G24250 transcript:OGLUM08G24250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSTGAGAPVVKLYHEKSMILPDVSRVLACLYEKNIEFETVKDSYKDILRLQASRSVPVPFYDGPTFLQESRAICRYIAETYEQRGYPFLLGKDVLERASIEQWLRHEEHAFDPPSRALFCHLAFPVQDDDDDDINTEKRKLEEVLEVYEQRLGESEFLAGNKFTLADLVHLPNTHHLVTSEFAYLYDSRKNVQRWWDTISNRQSWKQVLKDMNRVEEEYQMELEQQEEQWQTELPQTSVGHTIRLDPRQTTSTESRTVLVPPPSAGMISTSFSSQREQPLPSETTRQDKPSPRKESNFFTTTEKTPSTPRSRAPTTQKQPSSTFFTPSTTPKIPQRTDTDISSSKDAPYQTKPSETTSKEAHDKSHLSGFFKARSHTNETATPTKHSPQEDSKTSTKIPKTGDISEAVGPNSPISTKAPHEIDERASVDPRFDKPAPYTKPTTNIPQTSSGRPSAQIDLGTSPGTEADKTSSDLGGGVQSPYAQGRAEQVKKTSSDQRGSETAQPAQPRGTQQFTKDARQADQNRIAASPRQQPSGEQNVHKQFIAPPILKIPDLSTMQPESQEDTHNIMSEDERFSTKRLRKMMEESEKEAQEVKSQPTDFRPSKEETPSIYKKPSDVQDRTILDDRKSGRSPSAGTRAPDYPTSAAERRVASQPKEGMPYDDRGATKPQKSPSINEQEKIPVVPSQAPPASSGKASESLKEVSPDDGLAQVSTINQWRQTSAPPPTKLAAPDAPRNVELAKTEGVDKRTQPSTTKETPRNDRNVLATGQGADRGVGNEQYDKNSIDERAPQMTPRQAAPSVTQRASASIQERISGARGASDDMFGKTSSADQSNTPAIPKQTTVQGATPDVRGTSYADREMKLPADEKATANKQKPVSSSQQTIEPIRGGTPTSYGSTDDDLAKTSRANERQTPPSKAQAPASNRQSASTALQGGTPDARGENTAVKPSVTSPTGMPTSSRRQEPTPSVTSPTGVPTSPRRQEPTPDTQRRRAADQMPSQAPLPSSFSTRNKENGISEAGQTNTVAPDGLPGPGVPKDAGPQVAGPSVVKSQKNMNEAYSDGPSTQQLPNVQYRSQPREAKEEQGADAALINEIGKAQKDDLLANPNQSSTGRVQPTSTEETSKQQLQSGLNKPISSKDGKETVSYGSSATSREMLPSIPDKSMRVQQPQGDKSSYSSISQEDNVKQGSQAALQGSGNEQPKKRDLLANADEKIRGTTGEALQKSDEGRISSNTEQMKSNRNNSKPDGSTEPTSFDGNEGNLPESQRRGSSSNP >OGLUM08G24260.1 pep chromosome:ALNU02000000:8:26323886:26324098:1 gene:OGLUM08G24260 transcript:OGLUM08G24260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDTYLHPRGNGFPSSASARATASEHRRRCGGADPNFRDSDGRTAMHKCTSPPARGMPQPQPPPPLDG >OGLUM08G24270.1 pep chromosome:ALNU02000000:8:26325344:26328068:1 gene:OGLUM08G24270 transcript:OGLUM08G24270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYYICSHIDPLALSPIPGIALDTLFLVAIQALAVILVSKFFHLFLRRYNQPSVISQILAGVVVGGMGLRSAIVHVDVDNVEDMYGGYISAARILYMFLVGLDMDIAALRHATHRCVAFTYATVAASLLLAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSIAVARIATELKLTVTENGRLVVAAGIATNLICILGDGVLSSTTRAKGKIEGVARGEHQIRKGFLALAVAAGAVWMVRPAVTRINKRNVGQHHVGVRDLAVMLLAIWFVGNIPQFLGFDGMPTSFALGLAFPREGAAARSVADALAPPVKGIMLPFYFATIGMRMNFNSMSGAIIVPGVLITLLGLFGKAIGAAAVASYLSMPLSDALRFSVLLNIKGHVDTMNMKFAKSEGVWAEQALYAMIIGNLISTLVAGPVVAVVRRKEEEAYRTRHQAMESLGAEQELHMLACVHSAHAAPGMLSLVELLVSEPQEQPAVHVLHLFDVGEERVVRIPYHQRIRDDDDGGGRDERGGGRDAVTRMNTIVDLFSRATGIWFRQIDVVCRGGAALDDAGAVCRAAEGVHARLLLAPCHKEQRYDGKMWCRLGGRRELNHGVLSRAPCTVGLLVDRLYRNSGTSFNVPSSVAAEAAATSGGGRTLLHPCSDRAVTHVVAAVFFGGADDREAVSLASRLAEHPSIGLTVFRFVKRSTYDSVTSAKVDELDMAFQEGDVDERFLWRFYERYAATEMAMYVEKVVERPADVEETLAGMAGMFSLVIVGRGGRQPPELLAGLERWADAGGEMGPAAEILASNDSLEMGSVLVMQQHTVVIKQ >OGLUM08G24280.1 pep chromosome:ALNU02000000:8:26329065:26329496:-1 gene:OGLUM08G24280 transcript:OGLUM08G24280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSKKLAQLSKKWQGMGAIGRKRVTASEKEIIHHSSCSSSVAGKGNCVVYSCDGRRFEIPLTYLRTPVFVELLRMSQEEFGFSSDGRITLPCDAAVMEYVMCLLGREASEEVEKALLSSIVMPCSHHPSRMAQHQHFAVCSI >OGLUM08G24290.1 pep chromosome:ALNU02000000:8:26337453:26342101:1 gene:OGLUM08G24290 transcript:OGLUM08G24290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXAVALCRDRAPLLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQALPPPSSSDVDHAAPPRLPDLRKGDHSLPVDAVVIKQVDDDGGGHIHFPSDDDDDEGGSDGSGGHIHFPSDDDDDAEPPPPRPAAAPAPHAATPYTYAPPYGYGPGAGQYEYGVDMGGYGQSFFSISYARSQPPPPSSVVSYEHRPQTANATVHYYPGDGAAGPPLPGSYYGSAAPPPSPPRVSAWDFFNPFESFESYYHQDQPSPAPPAYTPSRSSNGAREEDDNGIPKVEHDEVDKVDNHQDANGMPLPAKDVSEEQHRKSKSSEASSSTSSSMISDLHVVQKSVIEEQLRHSDAAGPPAIPGKVYNDDVEVVEEIRSQFEHAAKSAFDVSKVLEVGKMPYYQKSSGLKVSSMMICGLSSVGEEFLQFEEDKAMECGNLSSTLEKLYMWEKKLLEEVKTEEKMRVLYNQKREELKVLYGRGAEAHKLEATETHIRKLSTKISIAIQIVDTISKNINNLRDDELWPQTCELIQGLMQMWHAMSKCHQIQCHAISQARNLDSKLESARFSEAHMDLIKRLELQLLELISSFATWVNAQKSFVGTLNEWLKRGIDYVPEVTDDGTPPFSPGRLGAPPIFIICNNWAIGTGRISEKEVVDKMQAFASSVLHLWEKHRLEWRQGMMANKDMDRDLRVMERDELSMRKALDAQSKKLVLVSNQSGVSLSAQVVHDSGPTAEVGLQSCMNKVFEAMESFTAACANAYSDLHLRSEEEKTRLGQNNGRVPSFISDLAGKYIASN >OGLUM08G24300.1 pep chromosome:ALNU02000000:8:26343118:26343912:1 gene:OGLUM08G24300 transcript:OGLUM08G24300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQLCRLRQNVASSSSSSSAAAAMAGALEGVARVYEHVGPLVRFACAEQVEEELEASVALLDACAAARDSLWAMRACALDLEVAVRRGDAAGAQSAASAYARLARKARADVKKQQRRLTNSRRRERPTRDGDDAQSLQEARRLAVDVLDRVVAAAAAGGATGPSRWSTCVARAFRNRTRVACEDAEEIAAASPPALAHLYCSSSPSKDLHDGGETAARVQKQLRTLGDTIQRLEDGLELLFRRLVHCRVFLLNMCSSLAECV >OGLUM08G24310.1 pep chromosome:ALNU02000000:8:26345815:26346522:1 gene:OGLUM08G24310 transcript:OGLUM08G24310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQRSTSLPSMPHPNYIKIEEELRNICSGISSPSATIEMVSDALRRLGGVYNCINEIISLHSNQAHGKKLEEEMERSLEVLDLCSAMQEMFADLKMTIQELQMVLNRGDHAVVQVKAQSYIRLVKKAKHHLKKASNKSTSDEDGRLVSLLTTARGITASVLKSALELLSKQISTCNPSKWSLISKSFQKAKVSCEEVQLQALELGIVGLESGAENLFRRLIQTRASLLNTLSS >OGLUM08G24320.1 pep chromosome:ALNU02000000:8:26347659:26356104:1 gene:OGLUM08G24320 transcript:OGLUM08G24320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQRSASVPSSPCSNDTTIEQQLQTLNTVVSSPSATIDTMCDGLRKLGDIYNSIEELICTPSNQVSLCQKLQRKLVEEELGRSLVLLDLCNAMQESFMELRMSVREMVLAIKRGEDASAQVKAYIRLAKKARKQFKKVSKKTASDKMDCRVVKLLAEAREITVSLLESTSCFLSKKIETPKWSLVSATFQKSKVMCEEEQLQELELTIKDLESGAELLFRRLIQGRRKILLKWILLYSAETCQLQHTSYNPMACHLRSVSLPSKRQSNEAEIEDELQSLEASISSPSTTIDGLRRLGDVYNQIEEMIHLPSNQVFSAQQRKMLDGEMECSLELIDLCSAMQENFTELKTIIQDLHAALRRGDSASIQVKIQSFTRLAKKAQKQCKKMSKKTTSDKEDCKLIKLLIKARVLTVILLESTSCHLSQQLVVPKMSLVSKAFQKKRSVVCEEEQLQALECIIGDLENGAELLFRRMIQSRANHAQVDTIAAQFPFSSYPSPEKRKQERNIMAFHLRSASAPSSPRSSETNVEEQLQSLKATISSPSSTIRTMNDDLKRLKSIYDSIDEIMCMPSSQVLLCQSQNRKAVEQELECSLVLLDLCKAMQQNFSELKASIQDMMLVIKRGEDAAVQANIPSCIRLAKKAQKQYKKISKKTLSPDQESCRVVKLLAEARETAFSMLEISSHLLSKQTVMPSYSKWSLVSKTFQKRRIICEEEQLQALELDIVDLESGIENLFRKSIQSRVSLLNALSIDKANRVDTIVAYLLLFQLQREEEKKSITASSEDMAFHLRSASVPSSPRSSEIDIEGQLQNLKAIVSSSSSTIETMSNGLKRIGNIYSCINEIMCLPSSHVAICQPLQRKAVEQELERSLILLDFCNAMQESFSNLKQSIQDMQLIMKRGDNAAVQVKIQSYICLIKKAQKQFRKTSKKSSSVDLESCRVVKLLAEAREIAILMLETSFHLLSRQLAMPSSSKWSLVSKTFKKRALFCQEEQLQVLESNIADLDTGVKNLFRKSIQSRMPYVAPATSKGSLCSGSCYHRKNKPASTHEVERIQQRDKVLATDMAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIEMISDGLRRLXADHVPA >OGLUM08G24330.1 pep chromosome:ALNU02000000:8:26356151:26356621:1 gene:OGLUM08G24330 transcript:OGLUM08G24330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSLELLDICNAMSEVFTELKAIIQDLQVSLRKGDNAVAKIHSYIRLVKKAKKHFKKTVKVASDKEDCKIVKLLSKAREITTSLLESTMHLLSKQIQMPKLSLISKAFQKKNPVICNEEQLQVLECCIGDLEAGAGLVFRRLVQSRVTLLNILSS >OGLUM08G24340.1 pep chromosome:ALNU02000000:8:26357648:26358355:1 gene:OGLUM08G24340 transcript:OGLUM08G24340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSTSLPSRPLSRVEEELHSIEAWISSPSLTIETISDGLRRLGDIYSTIEKIMCLPSNQVCSSQQRKLLDREMECSLELLDLCNGMNEVFTELKAIIQDLQVSLRKGDNAAVQTKIQSYIRLVKKAKKHSKKTVKKVVSDKEECKIVKLLSEAREITTSLFESTIHLLSKQIAMPKLSLISKAFQKKNSVICNEEQLQVLECCIRDLEAGAALLFRRLVQSRVTLLNNLSS >OGLUM08G24350.1 pep chromosome:ALNU02000000:8:26359251:26359955:1 gene:OGLUM08G24350 transcript:OGLUM08G24350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSIEACISSPSLTIETISDGLRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHFKTVKKVASNKEDCKIVKLLSEAREITTSLFQSTVHLLSKQIEMPKLSLISRAFQKKNLVVCNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSS >OGLUM08G24360.1 pep chromosome:ALNU02000000:8:26362771:26364472:-1 gene:OGLUM08G24360 transcript:OGLUM08G24360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMILKLSKQQFQTFLRLRVIESNAPNLSSLSFSGNVKLSLGDPLQVKRLSMIHPKVVCYARAELPSVMPNLETLAIYSNDEVVNTPMLPTKFLYLKHLTISVSSAASFNTSYDYFSLVSFLDASPSLETLILNVSQEHMKHESVLGDSSPLRQMPEHRHCYLKSVKMTGFSSAKNLIELTCYILKNAVSLECLTLDTLYERACSLVVTVT >OGLUM08G24370.1 pep chromosome:ALNU02000000:8:26366664:26367248:1 gene:OGLUM08G24370 transcript:OGLUM08G24370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEEELHSLEACVXLLDGEMECSLELLDLCNAMNEVFTKLKAIIQDQQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNTMICNDEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSL >OGLUM08G24380.1 pep chromosome:ALNU02000000:8:26368203:26380074:1 gene:OGLUM08G24380 transcript:OGLUM08G24380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPISKVEEELHSIEAWISSPSLTIETISDGFRRLGDIYSSIEEIMCLPSNQVCSSEQRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVFLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVLDKEECRIVKLLSEARENTTSLFESTMHLLSKQIEMPKLSLISRAFQKKNPVICNEEQLQVLECCITDLEAGAGLLFRRLVQSRRRLLDGEMECSLELLDLCNAMNEVFTELKAIIQDLQVSLRKGDGAVLQAKIQSYIRLVKKAKKHSKKTLTKVVSDKEDCRIVKLLSEAREITTSLFESTTHLLSKQIATPKLSLISKAFQKKNQVICNEDQLQVLECSIRDLEAGAGLLFRRLVQSRVTLLNILSS >OGLUM08G24390.1 pep chromosome:ALNU02000000:8:26379714:26380720:-1 gene:OGLUM08G24390 transcript:OGLUM08G24390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLSAARISRRVTLLWTNLLNRSPAPASRSLMEHSNTCNWSSLQITWFFFWNALEMRDNFGVAICFDKRVFLECFFAFFTKRMYDWILA >OGLUM08G24390.2 pep chromosome:ALNU02000000:8:26379714:26381291:-1 gene:OGLUM08G24390 transcript:OGLUM08G24390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSVKTSLIILRSIYELRMLRRVTLLWTNLLNRSPAPASRSLMEHSNTCNWSSLQITWFFFWNALEMRDNFGVAICFDKRCVVDSNREVVISLASLNSLTILQSSLSETTFVRVFLECFFAFFTKRMYDWILA >OGLUM08G24400.1 pep chromosome:ALNU02000000:8:26380993:26381700:1 gene:OGLUM08G24400 transcript:OGLUM08G24400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSVSLRSRPLSKVEEELHSVEACISSPSLTIEAISDGLRGLGNIYCSIEEIMCLPSNQVCSPQQRKLLDGEMECSLELLDMCNTMSEVFTELKAIIQDLQVSLRKGDDAVLQAKIQSYIRLVKKAKKHSKKTLKKVVSNKEDCRIVKLLREAREITTSLFESTTHLLSKQIAMPKLSLISKAFQKKIPVICNEEQLQVLECCIGDLEAGAGLLFRRLVQSRVTLLNILSP >OGLUM08G24410.1 pep chromosome:ALNU02000000:8:26381340:26384375:-1 gene:OGLUM08G24410 transcript:OGLUM08G24410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSAANSSARVVSLMSDSDQDASCWDKESAWQFIAGSSQSQCQCVEKGDPALDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPVILLMCLCAFLAARRYCLLVASHNSPPPEKARKSGGVEPALASRRRPPQGAAACCIVSSTQGGQLRRVTLLWTNLLNRSPAPASRSPMQHSNTCNCSSLQITGIFFWNALEMRDNLGIAICFDKRCVVDSNREVVISLASLNSLTILQSSLLETTFFRVFLECFFAFFTKRMYDWILA >OGLUM08G24410.2 pep chromosome:ALNU02000000:8:26381708:26384375:-1 gene:OGLUM08G24410 transcript:OGLUM08G24410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSAANSSARVVSLMSDSDQDASCWDKESAWQFIAGSSQSQCQCVEKGDPALDESPEENLNTVVKTSNVQLQHLQLLSSQEASLFFLETSWDHLLELDMVAICFGKSFAAAVSSAATAISLASEAAGLLLPPPEILPTRRLPQLTTTGEGKKEWRGRARSGESATAAPGSGRMLYSLQHPGGAAEMEGSANPLKGGRQSQGLKSDRS >OGLUM08G24410.3 pep chromosome:ALNU02000000:8:26381340:26381687:-1 gene:OGLUM08G24410 transcript:OGLUM08G24410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRVTLLWTNLLNRSPAPASRSPMQHSNTCNCSSLQITGIFFWNALEMRDNLGIAICFDKRVFLECFFAFFTKRMYDWILA >OGLUM08G24420.1 pep chromosome:ALNU02000000:8:26384642:26385367:1 gene:OGLUM08G24420 transcript:OGLUM08G24420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSASAPSSPHSNKTNVEEQLQSLKATITSPAETVETMLDGFSRIGAVYNNIEEIICLPSSQAQLCQNQQRKAVEQELEHSLVLLDLCNSIQESVSELKTSIQEMQLVHKRRDATVVQANIQYFIRLTKKVQKQSKKISKKSASAEQEGSRVIKLLAEAREVAISMLESSSHLLSKKITTSNSSKWSLVSKAFQKTRLACQEEQLQALEFAIVDLESGVETLFRRLIQIRVSLLNALSL >OGLUM08G24430.1 pep chromosome:ALNU02000000:8:26384998:26387041:-1 gene:OGLUM08G24430 transcript:OGLUM08G24430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNACNCSSWHASLTSDHLLEFDVVIFFDKRCEEDSSIEMATSLASANSLITLEPSCSAEADFLLIFLDCFCTFLVRRMKYWMLA >OGLUM08G24440.1 pep chromosome:ALNU02000000:8:26386634:26387164:1 gene:OGLUM08G24440 transcript:OGLUM08G24440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNEANVEEQLQSLRATIASPSATVETMLDGFSRIGGVYRNIEEIMCFPSSQVLLCQPQQRKAAEQELERSLILLDLCNAMQESFCELKASIQDMQLAIKRADDAAVQAKVQSFIRLTKKAQKQSKKISKKSVWTPVFITGIDRVISTISLDQVVWYTRIHS >OGLUM08G24450.1 pep chromosome:ALNU02000000:8:26408185:26410974:1 gene:OGLUM08G24450 transcript:OGLUM08G24450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHQRSISLPSRPLSKVEDELHSIEACISSPSTTIEVVSDGLRRLGDIYSSIVEIMCLPSNQICSSQQRRLLDGEMECSLQLLDLCNSMSEVFTELKVIIQDLQVHLRKGDGAVVQAKIQSFIRLMKNAKKHSKKTVKKVVSDNDCRIVKLMSEAREITTSLFESILYLLSKQITMPKSSLIPKAFQKKNLVICNEEQLQALECCIGDLEAGAGLLFRRLIQTRVEEELCILDACISSPSTTLDTMCEGLRRLANIYSSIEEIMCLPSNQAFSSQQRKLLDGEMECSLELLDLCNVMHEDFTELKAIIQDMQVALRKGDDAAVQSKTQSYYRLLKKAKKHFKTAKKVTYEKEDCRMARLLREARDISTSMLESTLHLLSKQIEMPKQSLVSKAFNKKKVVICEEEQLQNHKELSIGPEHSLVNSGLNRMAAKDSAGNARHCEDTADTVCY >OGLUM08G24460.1 pep chromosome:ALNU02000000:8:26411008:26412971:1 gene:OGLUM08G24460 transcript:OGLUM08G24460.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAILSGAAFQRGGSGGKGGRGLTGSRRRAAHLLAPLLLLRWGVVASGETKTRGLGNEWEKPWFGVDNIAKQS >OGLUM08G24470.1 pep chromosome:ALNU02000000:8:26413032:26413748:1 gene:OGLUM08G24470 transcript:OGLUM08G24470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASAPSSPRSAKTDVEEQLQSLEEIVSSPSATIETMCNGFRRLTDVYSCMDEMMCLPSFRLQQRRAVEQELERSLALLDLCNAMQESFSELKASTQEMQLAIKRGDDAAVQSKAQAYTRLTKKAQKQFKKINKKSASEDQEGCRVVKLLADAREIALSVLESTLHLLSKQIVMPSQSKWSLVSKAFQKTRVTCQEEQLQALELDIVDLESGVETLFRRLIQSRVSLLNALSL >OGLUM08G24480.1 pep chromosome:ALNU02000000:8:26413045:26415929:-1 gene:OGLUM08G24480 transcript:OGLUM08G24480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSKRWTRITKNKSWCIIYKLRAFRRETLLWINLLNKVSTPLSRSLMSNSSACNCSSWHAILAFWKALDTRDHLLEFGFTICFNKRCNEDSTIEIAASLASADSLSTRNSALDQSPEQSLNSALKINDVQLQRLRCKVDSSTERAISLASASSLTTLQPSWSSEADFLLIFLNCFCAFLAGVSLSLGLNCCIITPLDGQLHLLGARLELREALLHGVAEVKQGERPLQLLLHSSPLLKPEAGQAHHLIHAASEGWKAHSRI >OGLUM08G24480.2 pep chromosome:ALNU02000000:8:26413045:26415746:-1 gene:OGLUM08G24480 transcript:OGLUM08G24480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSMTSSSARRNSALDQSPEQSLNSALKINDVQLQRLRCKVDSSTERAISLASASSLTTLQPSWSSEADFLLIFLNCFCAFLAGVSLSLGLNCCIITPLDGQLHLLGARLELREALLHGVAEVKQGERPLQLLLHSSPLLKPEAGQAHHLIHAASEGWKAHSRI >OGLUM08G24490.1 pep chromosome:ALNU02000000:8:26416000:26416731:1 gene:OGLUM08G24490 transcript:OGLUM08G24490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLRSASVPSSPCSNESNVEEQLQSLKATISSPSATVETMLDGFSRIGGVYNNIEEIMCFPSSQVFLWQPQQRKAAEQELERSLVLLDLCNAMQESISELKASIQDMQLAIKRADDATVQAKVQSLIRLSKKAQKQSKKISKKSASDDQEGCRVLKLSAEAREVAISMLESSLHLLLKQIVMPNSSRWSLVSKAFQKARIACQEEQLLALELDISDIESRVETLFRRLIQNRVSLLNALSL >OGLUM08G24500.1 pep chromosome:ALNU02000000:8:26416449:26421216:-1 gene:OGLUM08G24500 transcript:OGLUM08G24500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLAAAINSIWDLSMLRRVTLVWTNLLNSSPAPASRSAMQHSNTCNCSSLQITAFFFWNALEMRENFGIAICLDKRSNVDSNREVVISLALLNSLTILESSLSEATFFTVFLECFFAFFTSRISSKRRTRIKGNRSWCIIYKLRAFRRETLFWINLLNKVSTLLSISLMSNSSASNCSSWHAILAFWKALDTRDHLLEFGITICFNKRCNEDSSIEIATSLASADSLSTLHPS >OGLUM08G24500.2 pep chromosome:ALNU02000000:8:26416449:26420335:-1 gene:OGLUM08G24500 transcript:OGLUM08G24500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRVTLVWTNLLNSSPAPASRSAMQHSNTCNCSSLQITAFFFWNALEMRENFGIAICLDKRSNVDSNREVVISLALLNSLTILESSLSEATFFTVFLECFFAFFTSRISSKRRTRIKGNRSWCIIYKLRAFRRETLFWINLLNKVSTLLSISLMSNSSASNCSSWHAILAFWKALDTRDHLLEFGITICFNKRCNEDSSIEIATSLASADSLSTLHPS >OGLUM08G24510.1 pep chromosome:ALNU02000000:8:26420088:26420348:1 gene:OGLUM08G24510 transcript:OGLUM08G24510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLSNAREITTSLFESTLDLLSKQIAMPKFSLISKAFQKKNAVICNEEQLQVLECCIADLEAGAGLLFRRLVQTRVTLLNILGS >OGLUM08G24520.1 pep chromosome:ALNU02000000:8:26430779:26431507:1 gene:OGLUM08G24520 transcript:OGLUM08G24520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLRSASVPSSPCSNETNIEEQLASLREIICSPSATMETMCNGFRRLTDVYSCMDEIMCLPSSQASLCKHQQRREVEKELERSLTLLDLCNAMQESFSELKATTQEMQLAIKRGEDAAVQTNVQSYTRLTKKAHKQCKKINKKPASSADQESCRVVKLMADAREITFSVLESTLHLLSKQIAVPSSSKWSLKAFQKTRVTCQEEQLQVLELDIVDLQSGVETLFRRLIQSRVSLLNALTL >OGLUM08G24530.1 pep chromosome:ALNU02000000:8:26432722:26433453:1 gene:OGLUM08G24530 transcript:OGLUM08G24530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLRSASVPSSPCSNETNAEELLQSLKVTISSPSSTIETMSSGWKKLGSIYNCIDEIMCLPSSQALLCQPLQRKAVEQELEGSLVVLDLCNAIHGSFSGLKACIQDMQLAVKRGDDAAVQAKIQSYIRLAKKGRKQFKHISKKSSSADQESCRVIKLLAEAREIALSMLESSSHLLSKQIALPSSSKWSLVSKTFQKRRLVCEEEQLQVLELDIVDLETGVENLFRKSIQSRVSLLNTLSL >OGLUM08G24540.1 pep chromosome:ALNU02000000:8:26434433:26435041:1 gene:OGLUM08G24540 transcript:OGLUM08G24540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIEILSDGLKRLEDIYSSVEGIMCLPSNQICSSQQRKLLDGEMECSLELLDLCNAMHEVFAELKAIIQDMQVSLRKGDDAVVQAKIQSYIRLMKKAKKHFKKTVKKVTSDKEDDKMVKLLSKAREITTSVLESSMDLLSKQIATPKMSIISKAFLKKNSVVCSEEQLQVLECCIGDLEAGAGLVFRRLVQSRVTLLNILSS >OGLUM08G24550.1 pep chromosome:ALNU02000000:8:26436407:26438968:-1 gene:OGLUM08G24550 transcript:OGLUM08G24550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35250) TAIR;Acc:AT4G35250] MASSTSLATLPSQLASPARRAALSRSATARPRHHHHPLLHAPPKGCRLVVTCNAQTAVPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDAGLDYLIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKASKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTIFSVPMNDTYQLLGVDSKDILTLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >OGLUM08G24560.1 pep chromosome:ALNU02000000:8:26442126:26445999:1 gene:OGLUM08G24560 transcript:OGLUM08G24560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEQPLLNSYTDYEDSIYGCFRISQALLAEKMNLHVLSVVRAHNSTSLLSMLLMRLPIVPSLVLTDLPFSAQADLFVVHIIGVVELLLAILYTVLWYMELMRWPDSTACDPRTIASQ >OGLUM08G24560.2 pep chromosome:ALNU02000000:8:26442126:26445999:1 gene:OGLUM08G24560 transcript:OGLUM08G24560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSSGIARCIADVRAAAGSTTFLAGTLSLKEENEVHLIRFSPAESELVCDGLFYHPNEIWDLKSCPFDHRVFSTVYTSGEGYGASVWKIPELHGQSNSPPLEQLFTLDEHTGKIRCVLWWPLGKHDKLISIDDRNIFLWNIDASNKSAKVMQKGSADMLPNLRGGSWDPHDHNSIAAITDSSLHCWDLRSMKKSNAIEHAHFRDVDYNPKKQHLITTAEDEFGIRLWDLRMLKYPLKNLPGHSHWTWAVRHNPEHDQLILSAGTDSTVNLWFAKVGTDDSGPESPPGSPTREEQPLLNSYTDYEDSIYDLFVVHIIGVVELLLAILYTVLWYMELMRWPDSTACDPRTIASQ >OGLUM08G24570.1 pep chromosome:ALNU02000000:8:26447184:26458453:1 gene:OGLUM08G24570 transcript:OGLUM08G24570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPRRRGGGGGGGGHNKCPRPALLPAAALLLFLLAAVALLYVSPPPLTDHPALASSRRRSPHAPLLNSSGGGSTVVSEHSEISRVPISKEADGLWGSKFASRFYGCSNSSSRFLGSSVITQPDRYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQTSFWKDASNFSEIFDVDWFISNLSKDVKIVKELPEIGGKLRTPHRMRVPRKCTQRCYVNRVLPALLKKHVVRLTKFDYRLANRLDTDLQKLRCRVNYHGLRFTGLIEEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERKELGAIRKRWKTLHAINPEKGRRQGRCPLTPEEVGLMLRALGYRNDVHIYVASGEIYGGARTLAPLKAFFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDGSDAFVTNNNGNMAKILAGRRRYFGHKRTIRPNAKRLYSLISNRRNMSWDSFSSRVRMVQKGFMGEPKELRPGRGEFHENPSTCICEKTVSKTVAKSNSQSEQVLSNDTERGLAIQTEQVSSNDTEMGIATSEPTVPDHTDEEAGESEADEDAPGEKEEIIDPEADDDAFFGISYGFVVTELTILESVTSMDVNNRPNKQSEQLPLKKLPDGGKAHTSLKSSNKLSHLSTSQASEAFDPFTSHSQQQQAVLTNHQEVSAPLLSSSLA >OGLUM08G24570.2 pep chromosome:ALNU02000000:8:26447184:26458453:1 gene:OGLUM08G24570 transcript:OGLUM08G24570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLSCKNLLPCCMGHPPATSPAGATAGVSVKVSDRYVEIKNGIFELMLSNPDGIVTGVRYNGVDNLMEILNKEDNRGIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSLGETRVAFKLRKDKFHYMALADDRQRIMPMPEDRVPPRGQQLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFLTGEYWKKVHGPVFMYLNSSWDGSDPTLLWEDAKVQMMIEKESWPYCFALSDDFQKTEQRGCISGRLLVRDRYLDDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAEDDGSFCIRNIVAGDYNLYAWVPGFIGDYKLDTKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDGDLVYTIGQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLDSVSPNSTYKFRVALASSANAELQVRFNDQDRTAPHFTTGLIGKDNTIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >OGLUM08G24580.1 pep chromosome:ALNU02000000:8:26463051:26470149:1 gene:OGLUM08G24580 transcript:OGLUM08G24580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPATSSPAGATAGVSVMVSDRYVEIKNGIFEVTLSNPDGIVTGVRYNGVDNLMEILNKEDKRGYWDLVWSKLGERTGIFDVIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSMVETRRIMPMPEDRVSPRGQQLAYPEAVLLVDPINPDLKGEVDDKYQYSCENQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFMTGEYWKKVHGPVFMYLNSSWDGSNPTLLWKDAKVQMMIEKESWPYYFALSDDFQKTEQRGRISGRLLVRDRYLHDADLYATSAYVGLALPGDVGSWQRECKGYQFWCRAHDDGSFSIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIDQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLHSVSPNSTYKFRVALASSANAELQVRFNNQDRTAPHFTTGLIGKDNTIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >OGLUM08G24580.2 pep chromosome:ALNU02000000:8:26463051:26470149:1 gene:OGLUM08G24580 transcript:OGLUM08G24580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPPATSSPAGATAGVSVMVSDRYVEIKNGIFEVTLSNPDGIVTGVRYNGVDNLMEILNKEDKRGYWDLVWSKLGERTGIFDVIKGTEFRIIYQDENQAEVSFVRTWDPSLEGKAVPLNIDKRFIVLRGCSGFYTYGIYEHQEGWPGFSMVETRRIMPMPEDRVSPRGQQLAYPEAVLLVDPINPDLKGEVDDKYQYSCENQYNNVHGWISFDPPIGFWQITPSDEFRTGGPVKQNLTSHVGPTMLAMFLSGHYAGDDLTPKFMTGEYWKKVHGPVFMYLNSSWDGSNPTLLWKDAKVQMMIEKESWPYYFALSDDFQKTEQRGRISDLYATSAYVGLALPGDVGSWQRECKGYQFWCRAHDDGSFSIRNIVAGDYNLYAWVPGFIGDYKLDAKLTISSGDDIYLGDLVYEPPRDGPTMWEIGIPDRSASEFYVPDPNPNYVNRLYINHPDRFRQYGLWERYAELYPDSDLVYTIDQSDYTTDWFFAQVNRRTDQSTYQPTTWQIKFNLHSVSPNSTYKFRVALASSANAELQVRFNNQDRTAPHFTTGLIGKDNTIARHGIHGLYWLFNIDVSGAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRMEGPSGS >OGLUM08G24590.1 pep chromosome:ALNU02000000:8:26472116:26476577:-1 gene:OGLUM08G24590 transcript:OGLUM08G24590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRYSLCTTPSVSKYKSSSSSFCCESYSLPRDSFITHRAFCDALAQESGRIMPPMGAALYAAAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVRGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMK >OGLUM08G24590.2 pep chromosome:ALNU02000000:8:26472116:26476577:-1 gene:OGLUM08G24590 transcript:OGLUM08G24590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDPDAEVVALSPHTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPSCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESGRIMPPMGAALYAAAGAGMAIGGLTGMAASHQLQPFQDHSSAITTAANAAAQFDHLMATSSAAAGSPAFRAAQPTSSSSSPFYLGGGGDDGQAHTSLLHGKPAFHGLMQLPEQQGSNGGGLLNLSYFSGGNGGHHHHHQEGRLVFPDQFNGVAAGNGARAGSGEHGNSGNNADSGSIFSGNMMGGGGGFSSLYSSSDQTVPPPQMSATALLQKAAQMGATTSSGGAGSVNSLLRGLGSGGALNGKPAGAAGFIMSGESSSRSTASQTAENESQLRELMMNTLSATGGGTGAGTVFVRGGFPGVDDGKLSTRDFLGVSGGAPGLQLRHGGAAGMGMAGSLDQEMK >OGLUM08G24600.1 pep chromosome:ALNU02000000:8:26480774:26480986:-1 gene:OGLUM08G24600 transcript:OGLUM08G24600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAEAADLVTTRLGLLLIMVARAHPPRAVCCHLPRGGLGDNVGAGGGGRSGVHGKPASRWRRRHQSSLQ >OGLUM08G24610.1 pep chromosome:ALNU02000000:8:26486720:26486944:-1 gene:OGLUM08G24610 transcript:OGLUM08G24610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEAADLAMVRLGLLLVMVARAHPPRAVCGRLPRGGLGDNVGTGGGGRSGVHGKPASGSASRWQWRHQSSLQ >OGLUM08G24620.1 pep chromosome:ALNU02000000:8:26490661:26493415:1 gene:OGLUM08G24620 transcript:OGLUM08G24620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYR9] MREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCHPHANKIVINSYTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILFFGYMLLASFSFFVLTGTIGFCACLWFTRLIYSSVKID >OGLUM08G24630.1 pep chromosome:ALNU02000000:8:26501319:26505124:1 gene:OGLUM08G24630 transcript:OGLUM08G24630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYS0] MFGTPDPGESPHQMRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARTDRDALVFQGGYHVGVKGQYAGSKDEKYFIHNHLAFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRIICDLSLPVCXEREREEKGRGAHWQGERGRKGGAGAHGQREGN >OGLUM08G24630.2 pep chromosome:ALNU02000000:8:26501685:26505124:1 gene:OGLUM08G24630 transcript:OGLUM08G24630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYS0] MRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARTDRDALVFQGGYHVGVKGQYAGSKDEKYFIHNHLAFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRIICDLSLPVCXEREREEKGRGAHWQGERGRKGGAGAHGQREGN >OGLUM08G24630.3 pep chromosome:ALNU02000000:8:26501739:26505124:1 gene:OGLUM08G24630 transcript:OGLUM08G24630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYS0] MRPPAMLRWPAAAAALALLLLLIAAAPPTAAFYLPGVAPNDFQKKDPLQVKVNKLSSTKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARTDRDALVFQGGYHVGVKGQYAGSKDEKYFIHNHLAFLVKYHKDENSDLSRIVGFEVKPFSVKHQFEEKWNDANTRLSTCDPHANKIVINSDTPQEVEAGKDIIFTYDVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGSYLGFKKPAIEAPVKTNKIPRQVPEQAWYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRIICDLSLPVCXEREREEKGRGAHWQGERGRKGGAGAHGQREGN >OGLUM08G24640.1 pep chromosome:ALNU02000000:8:26513351:26514782:-1 gene:OGLUM08G24640 transcript:OGLUM08G24640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRWRGAVAAGSGGGGEVAAVDEHLGEGHVAALGEERGKLREEGQVHGEVSLVDGSAEPPQDGAHGVTVLVGPADDAKRRVVEQHPRPLAVAELAPNGGRRCPAGWRLRRTRSAHAATRMRWKTCGGDGEGEDSAACSGWSVPSGTSRTNLNDP >OGLUM08G24650.1 pep chromosome:ALNU02000000:8:26517259:26517609:-1 gene:OGLUM08G24650 transcript:OGLUM08G24650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKKLSKEACIHAAQNNRLPLRVVMQVVFFEQLRAAAGASPAAATGGIARRLVEEEDEDDDVSRGGGDWSKSRALPTPTSSTRERSPWPPSSQEERRGRWCEMTPHLTGERDSLR >OGLUM08G24660.1 pep chromosome:ALNU02000000:8:26517464:26518225:1 gene:OGLUM08G24660 transcript:OGLUM08G24660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAAAGLAPAAARSCSKKTTCMTTRSGRRLFCAAWMHASLDSFFTSIKLEIFRSSSPPSARSDATDNKYTLRKTSMSVYSPSWVALAASRKEVGEEDSDAHGGVEEGAEEVAEVRERAADDDEDAGAARGHHARRLRRPPHPPGQPFVPLLRRGGRRQRPPPSPGLAPRAPPRQESVGEWKSRADGQRWP >OGLUM08G24670.1 pep chromosome:ALNU02000000:8:26521445:26521919:1 gene:OGLUM08G24670 transcript:OGLUM08G24670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYS6] MREPKMCQIVCKATISDKQAKELKEKIEDEYRVNMILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFE >OGLUM08G24680.1 pep chromosome:ALNU02000000:8:26533664:26538742:1 gene:OGLUM08G24680 transcript:OGLUM08G24680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLPYRILDNLPLVVPIARPDRDDVVFQGGYHVGVKGQYAGSKDEKYFIHNHLIFLVKYHKDENSDLSRIVGFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMMFAVLGFLSPSNRGGLMTAMLLIWVLMGLFAGYASSRLYKMFKGSEWKSITLKTAFLFPGIAFGIFFVLNALIWGEKSSGAVPFSTMFALVLLWFGISVPLVFVGGYLGFKKPAIEAPVKTNKIPRQIPEQAGYMNPAFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEIAIVLCYFQLCSEDYMWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFSFFVLTGTIGFCACLCQSVIAVHPGVNGQPRYRGQRKPMQKAVSSVAVAVAAVRRPTRRCHLPALPGDEVLVKVNELMSIETQITYSYYSLPFCRPDNLTESAPTLWQLLHGDRQQRSPYQFEMRVPKKCQIVCRVLVGEKEAKELMEKMEDEYRVNIVKHQYEAQWNGANSRLSTCDANANRFILSSDSPPEIEVGEEIIFTYDVNFEESDIKWASRWDAYLSMTDDQARWFSIVNSPVTLIGLSVAMAMTMLRSLHRDIFRYSQLETQNEAQVETGWKLVHGDVFRPPSNPVLLCAYAGSGVQLFGLRNVVLLTWVLMGMLAGYTSSRLYKMFKSGSEWKHITMATAIQFPGFAFVIFAILNTLLQDENSSATVPPTTMCALVLLWSGITPPLVFLGGYLGYKRPAIEPPVEINKTPRKIPKQAWYISPVFSILIGSIFPFTIVFIELFFGLIFIWYHQFYRGFGFLLITLVLLLVACAEISVAFCYYQLRSGNYKWWWRSFLTPGCSAVYLFLYATFFFFAKLSIVKPVSVMFYFGYMLVVSYAFFLLTGTIGFFSCFFFTRFIYSEGIWMNLRLEFWSWN >OGLUM08G24690.1 pep chromosome:ALNU02000000:8:26539237:26541747:-1 gene:OGLUM08G24690 transcript:OGLUM08G24690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPLRRRNSSSSSFFRPPHQTLTLASFICGGDWADGGREWTARQETDGDSGGAGAGVPIVAQKRTRELTWEEKAATVLDIVTSHKSPAFQLAQGDCSSSCGLIDVMEKDQSISGSHVGKHTGSCDSDKNGDSWEMRDVAVDDQCCPMDVEQGDNKQQERDLLMEQEDLELTWEEKVVEVLHIVRCRGFTEYNHKLLRSLPTRFCTHNIAFFDLDKESKLGRGPPVKKALASSEYWRMMDSVNVILIKVTESDVSYPISIFGTVLARDVYDYRRGRDDAQIITSPEDTLLLTGPNRALAASDNIYFEFHLKIKGDEGVDKDFSKGLLEHSTICYTKQPMTLSLESLLSTIEFVYTPVPCAVEASVAVNIKGLVSSKFSGKVTAWTSGDDENKIILYDSEVKGTNRALGPGGSIDLTRRFVAVKLDDTLVLNVSVSEGDHHEEAELFELVVGHDDEEEECIRQQGPYELQVKVVWTAGLEESWRRSSRSLPAMLV >OGLUM08G24690.2 pep chromosome:ALNU02000000:8:26539237:26541527:-1 gene:OGLUM08G24690 transcript:OGLUM08G24690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHETPNLTNGGREWTARQETDGDSGGAGAGVPIVAQKRTRELTWEEKAATVLDIVTSHKSPAFQLAQGDCSSSCGLIDVMEKDQSISGSHVGKHTGSCDSDKNGDSWEMRDVAVDDQCCPMDVEQGDNKQQERDLLMEQEDLELTWEEKVVEVLHIVRCRGFTEYNHKLLRSLPTRFCTHNIAFFDLDKESKLGRGPPVKKALASSEYWRMMDSVNVILIKVTESDVSYPISIFGTVLARDVYDYRRGRDDAQIITSPEDTLLLTGPNRALAASDNIYFEFHLKIKGDEGVDKDFSKGLLEHSTICYTKQPMTLSLESLLSTIEFVYTPVPCAVEASVAVNIKGLVSSKFSGKVTAWTSGDDENKIILYDSEVKGTNRALGPGGSIDLTRRFVAVKLDDTLVLNVSVSEGDHHEEAELFELVVGHDDEEEECIRQQGPYELQVKVVWTAGLEESWRRSSRSLPAMLV >OGLUM08G24700.1 pep chromosome:ALNU02000000:8:26556594:26560205:-1 gene:OGLUM08G24700 transcript:OGLUM08G24700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPGGEGGGCGGGGFGLGGREREREHVSVAVACVGESEYSCSPIPSTHQTLAAGRRRHPTPPQPRRLAYHSSSAAVPWGRDSSAVPAPSARWRSRPFNAGSPVRLWRGRDGDANGDAQRRRRRGMRLQRRLRADNKRRLARGRGNGGGPAKQRLRVGHEATAAARRGEQATVAA >OGLUM08G24710.1 pep chromosome:ALNU02000000:8:26560393:26564961:1 gene:OGLUM08G24710 transcript:OGLUM08G24710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYT1] MAPKQASKQETKEPPESPVFELVLSDLQQSYQNVMEVEIPRELEALITKEAPITSQFSKVAGKLYTPRKGGTFLVKMRPTETSTPEETVSLLFRWEDLYFEAFHARGKWFKLKDAKESLPPRTQLPYPKKNGIYHLTRISTSYKDLGG >OGLUM08G24720.1 pep chromosome:ALNU02000000:8:26564978:26565373:1 gene:OGLUM08G24720 transcript:OGLUM08G24720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANCHGRLLMAHDLITQGRLEVLTSGPLCLPVVAISECIRFPLLLRWVKGTLSTPLSADNVGKMVPNKYSKHFHYWGDFSNALFLERPPSGCKLTLSQIAETVGVIKWTSQMTALQPKKNDDEASTSTR >OGLUM08G24730.1 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.2 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.3 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.4 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.5 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.6 pep chromosome:ALNU02000000:8:26569756:26587377:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.7 pep chromosome:ALNU02000000:8:26569756:26586676:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.8 pep chromosome:ALNU02000000:8:26569756:26586676:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24730.9 pep chromosome:ALNU02000000:8:26569756:26580146:1 gene:OGLUM08G24730 transcript:OGLUM08G24730.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPASRPPTPTPTANAAASSSRIDSPSLRAALAMALIHYNRLPSRAAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEIEPPVASCRCHFFDGCGDLPTPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILSSEREKEIIEEVINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGTATKAFRRAAEIAMAPSASRPPTPTATANAAASSSRIDSPSLRAALAMALIHYNRHPSRAAAAAAPSPQALLNWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLPPPTDGDAGEHWVDDVLRRRFVRLEYNTEDEIQQLSLSIDFLVELSDGLFAKREAGSSFATFSHQAVDFILASLKNILLSEREKEIIEEMINGLVARLMKRMCTTPENAGSVDCSDAQFSLQHLFRKLGNEEFVGQRIILAVSQKISNVSEKLLLADPFDDGFPEMHSNMFIMIQLIEFLLYDNFNNWLCRDHFDRKLFEEWVRSILKARKDLEVLDGRNGLYVVYIERVIGRLAREVAPAAHQGKLDLEVGQYHPASGICSNQQVTSTAVSEPKSGLLPQRCTLLERLTRCHVRLIRGWVKA >OGLUM08G24740.1 pep chromosome:ALNU02000000:8:26582240:26582722:-1 gene:OGLUM08G24740 transcript:OGLUM08G24740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIISARSTTTTTTTAAAGDVNLDLRLVHSTAAGRQHTAATRNPAPPLAAAGDDRAFSCTYCRRRFYSSQALGGHQNAHKLERSLAKRSRELSAGVTTAAPPPPPHPELGWPYPPQGGGGAAAAVVSWIADGGRRYGYRVHAAAAGGDADDIDLSLKL >OGLUM08G24750.1 pep chromosome:ALNU02000000:8:26589098:26593583:-1 gene:OGLUM08G24750 transcript:OGLUM08G24750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >OGLUM08G24750.2 pep chromosome:ALNU02000000:8:26589098:26593583:-1 gene:OGLUM08G24750 transcript:OGLUM08G24750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAHGGSDRPGSMDSQEPRVDAEQKPVLSDNPEEQTIPSKDEKVLEPTISHDSNGINLPSEGQAQAGTSNIGGGHNAAYPQTMYSSQAQPFYYQGPGYDNPSNEWDGYPPYVSVEGLEAGPAVVYNDDPQLMYHGGYGYDPYAHYSPISTPVPAAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGEAMVPIDPTQGAFIAETLSPNSFLFGPRPEWFRSSEGNGSFPSPAASPQPAGGVSGPFGQSNFPMASGMQSPQHRPFYGFGTPSDSYGRVFSHGGYFPQATNYGGPFPSFGLNGRSSIPMEKGRRRGRGNALLCSCNGSLDFLNEQSRGPRATRPKKQPEDGGKDEKPSAGVDCELYNRPDFVTEYKNARFFIIKSYSEDNVHKSIKYGVWASTTNGNKKLDSAYREAKEKEEHCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGMEMLKIFKDHEEDASILDDFDFYEERERALLENKARLHQQHQLPSSTVVEPKKPLTVATDLVGHITKSFAQAVRLGEAKNVSPNSADKGASGDSSVAAKPLEVKEAGLA >OGLUM08G24760.1 pep chromosome:ALNU02000000:8:26609941:26611254:1 gene:OGLUM08G24760 transcript:OGLUM08G24760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:7,8-dihydroneopterin aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYU5] MGGHVSCLLGPRSSHPQQICLSLSATTPPPPPTGGASASTATRRARRRRGRGAMAGKKEEEEAVVYRGDKLILRGLRFHGTHGVKPEEKKLGQKFVIDVDAWMDLAAAGDSDDISDTVSYTEIYRIAKNVVEGPSHNLLESVAQSIANSTLLKFPQISAVRVKVEKPHVAVQGVLDYLGVEIFRQRKA >OGLUM08G24770.1 pep chromosome:ALNU02000000:8:26611753:26615536:-1 gene:OGLUM08G24770 transcript:OGLUM08G24770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQVDEVEGGERRRRRPLAEYAAELEAVSLSVRAAPPLRLKALVRACHHALALFDLLGVEAGFWKTEYAERLVALERAAEAMDSVEELVDRDVAAGRVRATGTHSNNLVRVKRGIELKRALFQLMLAQLQQQRPGGGGVSFDGVVSMAYAAVFARYHDKNVQSTVADSICAIPVKSISDFFATINETDESAAAEMQKYIDAANGIISYIDELFASRGVSADF >OGLUM08G24780.1 pep chromosome:ALNU02000000:8:26619676:26624665:1 gene:OGLUM08G24780 transcript:OGLUM08G24780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYU7] MVRKNGWQLPAHTFQIIAITVFFLLVVAFYAFFAPFLGKQVLEYIAFGIYTPVAFVVFILYIRCTSINPADPGIMSKFQNGFRNAPTSGTGLQDTNLPGRGDVATGTNSPTSTFKSSLDGRSNHAGLAAGDGNNLSSQPPRSSSCSLVGGLICALFVEEDCRTLVDSEHQADGEDALFCTLCNAEWLNNCVGRKNYFTFLALMITSLLWLAIEIGVGIAVLVVCFVNKNSESIIQDKLANGLTRPPFATIVAIFTLLSIIACIPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPQEEEEEDGVNIVYSPTNSATTGFSGGSSLGLPYKGSWCTPPRIFVDQAGMVPSTIDPDTAGNAERANRAKKQVKISAWKLAKLDTNEAMKAAARARASSSVLRPVDARHRGGHDAGDLSSSGNGSVRSSVSAAAKEQRRRASSLPSSCAQSVASQDEYEQSGSSVMSSPVRLHKLAPPPLPAAHNVPPRPPPPVNAAPEAAIPRPPPPVPPATRISNPMFQSATSYVRENRRASVVWDQEAGRYVSVAPAPATARPGGGGGGAEQPAARAPPFLANPGGEREPLSAARSRNNPAAPAPTNAAPSSSSTLPSERLTYSGQSIFFGGPLLGGAAAAGEPRRDEAAGTRARGGESFPVFAPGTFQKKPPPFRR >OGLUM08G24790.1 pep chromosome:ALNU02000000:8:26625732:26632713:1 gene:OGLUM08G24790 transcript:OGLUM08G24790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome 1 [Source:Projected from Arabidopsis thaliana (AT5G08470) TAIR;Acc:AT5G08470] MSGGGGMEVEVRVVGGARSCFAALPLHLIHALSRTSVSGDLPPVLALHLRAAATATAARWSLAWPDSRIIPILEFRLWIISSVKSLIKCWGLQVAQELAECISLPDGTVAQLSVARSLAKADSVCIEPFSEDDWEILESRADLAEETILTQVGVVYEGMKFPLWLDGHNIVKFVVTSSSPKKSLVQLVPGTEVAVAPKKRRENSSQDVQKQSALKEEAQTKALLRVQAADRKYVHKFKYKGVELGVILSYAVLVHPDTAARASISNLQLVTVSSKSSPKRLAQKGKEVTQKKGVYVKTFSANVKNDQPILTISPLHFKMQEKVAHDGSELGSQEANIWRKTSIPSENRDPFQEAHFGNNDDVLSADVDNTSESILEHKILIKQWLVGQLKDMGLHVGNSEISPVVLPTKVLLHFEAVDQKHNRGKEFLYLLKFGFENSSYSNSQGNAELSWSIQTDDLENLELKFGRLELGEPESFDSVLDDEFSNGFKLTRSSLGWMENAMSDVTKRLSVLLSSTSLRLFDQLKLPFPGHVLVLGPRGSGKTALVRAAAKYFEDHKEILAHVIYIDCSKLTVGKAKETKQTIEDSISEALLHAPSVILFDDMDNVVSVSSDPQAPQSSSSSDSIVRYLADIMDEYKDKARNACGYGPIALMASAQSLQSLPQDLTSSGRFDFHVELPVLAIPEREALLKHHVEEHELQCSKEVLSEIASKCDGYDAYDLDILVDRAVHAAASRFVLPSSASVNCAEPTLVKEDFLKAIHDFLPVAMRDLSKYAPADGEGGWEDVGGLNEAVTIIKETLELPSKYPNIFTKAPVRLRSNILLYGPSGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAAAAAPCLLFFDEFESIAPQRGTQSAGVSDRVVNQFLTELDGVESLTGVFVFAATSKPQSIDAALLRPGRFDRLILCDFPGWHERLEILKVHSREVSLASDASLEEVASLTEGFTGADLAAILRDAKLAAVHKVLEDRNNGISDTQPCISKELLISTAREARPSTSAEQKMQYDMDFGQFVSSRKSVSTKAARGCDDV >OGLUM08G24800.1 pep chromosome:ALNU02000000:8:26633113:26638963:1 gene:OGLUM08G24800 transcript:OGLUM08G24800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGINGNKGRCYDFWLDFSECMSRCRQPTDCGLLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAIRRREEAAKEKAEGAPAVSAQH >OGLUM08G24810.1 pep chromosome:ALNU02000000:8:26636088:26644779:-1 gene:OGLUM08G24810 transcript:OGLUM08G24810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGSWDAIDWNQIKEPRPPRSSSRGMEDFLLEDEEVYAQGHGVVLLNTDEAGILSVTNFRLLFVSQATKGVIELGTIPLTAIEKINDDVKLQPLPRQHDKKQPRELLQVIGKDMRVIVFDFLPKTKQKNEVFDALRRYSKPTHLWDLYAFSCDPSTVYQKSDPKMRLLKEYHRLFRKWFPHSGSEFEKDLRNEWWRVSKVNSTYSLCSTYPSALIVPRSIRFIASFFFPIRQTLACYFVGLELFWQGHLSHCNTDEKLVSALCTQIIDATGSLRKLYIVDARPRANALANGAKGGGSESASNYPRSEVLFLGIQNIHTMRDSLFRLRDYVDTHGSVSSNGTSSAVSLVGDRRNRGSTWGGGNLNSMTQFSSMLGEWLNHIQSIMVGASWIAAQIVQESASVLVHCSDGWDRTTQLVALACLLLDPYYRTFNGFQALVEKDWLAFGHPFAERMGVPTITDNNSGSQFELLRQPSLGTLSNSPNRGALGSSVSTSNTTSGQSQTSNNSSPILLQKFLVDFMDCVLSCRFGNFLCNSEREREQSGAVSSCHCMWTYLADLRASGGSFHKHRNPFYDPLKHNGPLVPPAAALAPTLWPQFYLRWTCPIESQGGDLESQWHAMNKKYTEAMKAKDTAESRVKDIKTKMESMQLELQREKRASSSALAMAQRAQRESVAIRKAVRSLGCTVNFGTNESQVEKTEGLTYSFRRDTDFESQHEKSSDFSVSITAIEDSLVSETPSNHICESLCPFRTREGCRWPDAACAQLGSQFVGLKANFDAFDRLSVQDSYFGSE >OGLUM08G24820.1 pep chromosome:ALNU02000000:8:26651206:26652364:1 gene:OGLUM08G24820 transcript:OGLUM08G24820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVVVVVAAAAVAVAVVVLLGAAAPAASAMEFGDKDVASEEALWELYERWRGQHRVARDLGEKARRFNVFKDNVRLIHEFNRRDEPYKLRLNRFGDMTADEFRRAYASSRVSHHRMFRGRGERRSGFMYAGARDLPAAVDWREKGAVGAVKDQGQCGSCWAFSTIAAVEGINAIRTSNLTALSEQQLVDCDTKTGNAGCDGGLMDNAFQYIAKHGGVAASSAYPYRARQSSCKSSAASSPAVTIDGYEDVPANSESALKKAVANQPFYSEGVFAGKCGTELDHGVAAVGYGTTVDGTKYWIVRNSWGADWGEKGYIRMKRDVSAKEGLCGIAMEASYPIKTSPNPAPKKIKKVTDDDEDDDDEEHRHHDEL >OGLUM08G24830.1 pep chromosome:ALNU02000000:8:26653317:26658205:1 gene:OGLUM08G24830 transcript:OGLUM08G24830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRLWSSGASDKNKAMVEQLQRYGIIKSSKVAQVMETIDRGLFVPPGASPYFDSPMPIGYNATISAPHMHASCLELLEKHLQPGMRALDVGSGTGYLTACFAIMVGPEGRAVGVEHIPELVTSSIENIKKSAAAPQLTDGSLSIHITDGREGWPELAPYDAIHVGAAAPQIPQALIEQLKPGGRMVIPVGTMFQELKVVDKNQDGKVSIRDETAVRYVPLTSKDAQLQAN >OGLUM08G24840.1 pep chromosome:ALNU02000000:8:26656612:26658139:-1 gene:OGLUM08G24840 transcript:OGLUM08G24840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSVTSLAMAMAAAASADATQAPHKLSALPFHHLLFSRAPPLLRASRRLPLAPLVASSDAAAAAEAGVEWSETEEADEGSQEEEEEEVVEEQAEAEEEVVASVEEEEEEAVEEEFAAEPPEEAKVYVGNLPYDVDSEGLAQLFEQAGVVEVAEVIYNRETGQSRGFGFVTMSTIEEADKAIEMLNRYDINGRLLNVNRAAPRGSRVERPPRQFAPAFRAYVGNLPWQVDDSRLLQLFSEHGEVVNASVVYDRESGRSRGFGFVSMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >OGLUM08G24850.1 pep chromosome:ALNU02000000:8:26659547:26660566:-1 gene:OGLUM08G24850 transcript:OGLUM08G24850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQLPNAVAVRATAAGKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSLTVLQRAVRSWNAHNHKHPVAGGGVRFCVNFGDIVDGFCPKDRSLAAVQAVVAEFDRFHGPAYHMLGNHCLYNLPRSKLVSLLRMPSGRAYYDFSPFPGYRFVVLDAYDFSAVGWPRGHPVAAAARRFLDERNPNADKNSPSGLAGVDRRFVMFNGAVGEVQLRWLDGVLRGAARRREAAVVCSHLPLHPGAASPSGLMWNYEEVMRVVHRHGCVAACLAGHDHRGGYGVDARGVHHRTLEAALECPPGTDAFGRVDVYPDGLTLVGTGRMGSTDMRLQLHSPVAELS >OGLUM08G24860.1 pep chromosome:ALNU02000000:8:26663203:26668348:-1 gene:OGLUM08G24860 transcript:OGLUM08G24860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASSAACSSPAPNPTTRYPVRRRVPRPPLVAASRHCTASPFPTTISISSSGLGQARPRDPFLNPRLRFAAAAAAAEGEMAAEASAAAAEGAQAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEVGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASEEATPRRRLVGHPQPPLLLRPRPHHELRASSSSAAAAATAEPPVVHVPHHVHHLAVGEHGGAVAAEPPPHPPHLSHPLPVDADPHLPLTAAAAAAGRVHRHLVLRPALPEPAPRGVRRRRAAQLLSPAEDDGATAVVAEPAGEVPRRAALAEEQRELGVGDDGESPVGSGDEPDAYVASAVSQWTPTAVPAAVARDRLPRFLCSTWWAHLDDGESASSKATARPVAPAALRVHVTRMRSSFPECSDAPYTVVVAACSERSSAPPPPPESPAAAAAMPTELSPKHDSSLIASGFGFSSGEISCCCCNKMECTMYCARMRGEEEEEEAKKEDGFDSRRVAF >OGLUM08G24860.2 pep chromosome:ALNU02000000:8:26664547:26668348:-1 gene:OGLUM08G24860 transcript:OGLUM08G24860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAASSAACSSPAPNPTTRYPVRRRVPRPPLVAASRHCTASPFPTTISISSSGLGQARPRDPFLNPRLRFAAAAAAAEGEMAAEASAAAAEGAQAKPFSVLFVCLGNICRSPAAEAVFRNLVSKRGLESKFLIDSAGTIGYHEVGNKADSRMRSASKKRGIEVTSISRPVKPSDFRDFDLILAMDRQNYEDLLSSFERWRHREPLPDSGPKKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVAENASVSA >OGLUM08G24870.1 pep chromosome:ALNU02000000:8:26663359:26664258:1 gene:OGLUM08G24870 transcript:OGLUM08G24870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELSCFGDSSVGIAAAAAGDSGGGGGALDRSLQAATTTVYGASLHSGKELLIRVTWTRSAAGATGLAVAFDDALSPSSRCAHHVLHKKRGSRSLATAAGTAVGVHWDTAEATYASGSSPEPTGDYYLAVVADAELALLLGEGGAARDLSRRFGDDGGGAVVLSRREQLRGAATAHTTRCRFREGGAEHEVAVHATRGGGGGGEGEVRVSIDGKRVAEVRRVGWGFRGNRAAVLADGEVVDVMWDVHDWWFGRGGGGGGAGAGAQFMVRARAEKEGRLWMADQPPARGGFFLHVQCYRR >OGLUM08G24880.1 pep chromosome:ALNU02000000:8:26668730:26674258:1 gene:OGLUM08G24880 transcript:OGLUM08G24880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclophilin71 [Source:Projected from Arabidopsis thaliana (AT3G44600) TAIR;Acc:AT3G44600] MATASDAPASSTITTATDDAEVERDQGNGNGAVSAAPAAVGKEAAAEEEEMIGPAPVPPRPRKKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADYFITGSADVMQVSVDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAIEWVYRQGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHAGPVKVMKYNHVHDVVISADAKGLLEYWSPSTLKFPEDAVNFRLKTDTNLFEIAKCKTSVSAIEMSNDGTQFVVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQKSDIPMYRLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESSNFLIYATLLGIKIINLHTNKVSRILGKVENNERFLRIALYQGDKGNKKVRKIPSVAANVNDSKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >OGLUM08G24890.1 pep chromosome:ALNU02000000:8:26674939:26678745:1 gene:OGLUM08G24890 transcript:OGLUM08G24890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKHFTYVILGGGVAAGYAAREFAKQGVKPGELAIISKESVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKADLASKTLTSSADATFTYDTLLIATGSSSYVAHVMNICLLDQVIKLTDFGVQGAEANDILYLRDIEDADKLVAAMQAKKDGKAVVVGGGYIGLELSAALKTNNFDVTMVYPEPWCMPRLFTSGLAAFYEGYYANKGIHIIKGTVAVGFDADANGDVTAVKLKNGNVLEADIVIVGVGGRPLTHLFKGQVAEEKGGIKTDAFFETSVPGVYAIADVAAFPMKLYNEIRRVEHVDHARKSAEQAVKAIKAKEAGESVPEYDYLPYFYSRSFDLSWQFYGDNVGEDVLFGDNDPTAAKPKFGSYWIKDGKVVGVFLEGGSAEENQVIAKVARAQPPVADVEALKKEGLDFAAKV >OGLUM08G24900.1 pep chromosome:ALNU02000000:8:26679913:26684853:1 gene:OGLUM08G24900 transcript:OGLUM08G24900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALTSQLNALVNNMFAMGLLDDQFQQLQMLQDSTAPDFVSEVVTLFCDDGERIICELARQLEKPNVDFDRVDSYVHQLKGSSASVGAQKVKNTCIQFREFCQQRSRDGCLKTLDLVRTEFYDLRNKFQAMLQLEQQIQACYPKH >OGLUM08G24910.1 pep chromosome:ALNU02000000:8:26696399:26702759:1 gene:OGLUM08G24910 transcript:OGLUM08G24910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYW1] MMASDRLDAERIIEYFKGKSILITGATGFLGKILVEKILRVQPDVKKIYLLVRAIDQASANQRVQSEVTETELFSVVKEKHGKGFSRFIEEKVVALAGDIIYDDLGLDPPLLQHLADNLDVIVNGAATTNFYGRYDVSLDVNVLGVKHLCQLAKKCRGLKVFLHVSTAYAGGEQEGLIQERAFEEGWALREGMHLDVDAELRLVADVRREVEDDDDKARRKAMKELGLARARHFGWSNTYVFTKAMGEMVLSRMLLQSSSPAPAITGAGGVVVVRPSIITSIQRDPVPGWMQGTRTIDTLIIGYAKQNLSCFLGDLDLVMDVIPGDMVVNAMMAAAVAHSGEVGREKPAVYHVSSSLRNPAAYSVLYEAGRRHFTEKPRVGKRGEVIPTKEMHFFKTIASFQVYMLVKYRLPLEILHLVNLLLCGLFSRLHSNLARQYRYVMHLVDVYGPFAFFKGCFDDINLERLRQRMGKSRNPQDDEMFNFDPKTIDWEDYFYRIHIPGVLKYILK >OGLUM08G24920.1 pep chromosome:ALNU02000000:8:26703391:26706682:-1 gene:OGLUM08G24920 transcript:OGLUM08G24920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCKFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >OGLUM08G24920.2 pep chromosome:ALNU02000000:8:26703391:26706682:-1 gene:OGLUM08G24920 transcript:OGLUM08G24920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNEQIHRDKLIIDTDPGIENTSEFQPSRKLLLANSFPYKLVPDDSMTILMAFRAPTVEIIGLTTIFGNTTTKNATQNALLLCERAGHPEVPVAEGSAEPLKGGEPRVADFVHGSDGLGNLFLPAPTSKKVDENAAEFMVNKVSQFPGEVSILALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVSPAAEANIYGDPEAADIVFTSGADVDVVGINITTQVCFTDEDLLELRNSKGKHAQFLCDMCKFYRDWHAESDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWTVDVPNVLAFVKELLMAP >OGLUM08G24930.1 pep chromosome:ALNU02000000:8:26709574:26715079:1 gene:OGLUM08G24930 transcript:OGLUM08G24930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQTEALKEAISQVVADCKEKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >OGLUM08G24940.1 pep chromosome:ALNU02000000:8:26712439:26714529:-1 gene:OGLUM08G24940 transcript:OGLUM08G24940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand family protein [Source:Projected from Arabidopsis thaliana (AT2G27480) TAIR;Acc:AT2G27480] MADYNRYGYGGYGSTPSAPPASSYGYTTTPSAPPASSSSSYGYGHGGGGYPSSTYPPPPPSSSQAYPMGMGGFLVFPPGTHPDVERAFRAVDRDGSGSIDERELQDALSSAYHRFSIRTVRLLLFLFNKPASHSPSRMGKPATLLPCAPNYISSLHRFNHAQKMKFSFSLFAVLMSSRVRVTVELPWAMAVLPIGLISVLGGNIQGIFDRYDRDRSGKIEKDELREALRSLGYAVPPSVLELLIANYNNGVSSRGALDFDNFVECGMIVKGLTEKFKEKDTRYSGSATLSYDGFLSMVIPFIVP >OGLUM08G24950.1 pep chromosome:ALNU02000000:8:26718447:26721662:1 gene:OGLUM08G24950 transcript:OGLUM08G24950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin family protein [Source:Projected from Arabidopsis thaliana (AT5G03880) TAIR;Acc:AT5G03880] MAATALHLPPLLLARRLRFSSSSAAASTSTSRRTTCLSAQLDDTAAASTSTSDKPAAASFAPPPDFKPPEPKTFEVKPGQSDDIATASLAIPFRLGTGIFALGYSVSLVSPDEVAPDEYALDFQGRKVKESSKIGQCPRPEKPIEIYEFEGCPFCRKVREMVAVLDLDVLFYPCPKNGPTFRPKVLQMGGKQQFPYMVDPNTGIAMYESDAIIKYLADKYGDGTVPIMLSLGILTTITAGLAMSGRSGKGSKYTPAKLPPEPIELWAYEGSPFCKIVRETLVELELPHLLHSCARGSPRRQEFLKKYGIFQAPYIEDPNTGVKMFESADIIDYLRATYAA >OGLUM08G24960.1 pep chromosome:ALNU02000000:8:26723409:26729180:-1 gene:OGLUM08G24960 transcript:OGLUM08G24960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36200) TAIR;Acc:AT2G36200] MSSRQDKEKAVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQVQYNLKEKDYIISEQKKAENALTQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLTTSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >OGLUM08G24960.2 pep chromosome:ALNU02000000:8:26723409:26729180:-1 gene:OGLUM08G24960 transcript:OGLUM08G24960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36200) TAIR;Acc:AT2G36200] MSSRQDKEKAVNVQVLLRCRPFSDDEVRSNAPQVITCNDYQREVAVTQTIAGKQIDRVFTFDKVFGPTAKQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKASLRCCCSFIMCLPLPKGCLLFVFLSSKCLQSGPKGQLPADAGVIPRAVKQIFDTLESQNTEYSVKVTFLELYNEEITDLLAPEEISKAALEERQKKPLPLMEDGKGGVLVRGLEEEIVTNASEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMTTSLEANQKQINDLQEKYDSELQHSADLSKKLEATEKCLDHTSNLLSTTKEDLKQVQYNLKEKDYIISEQKKAENALTQQACLLRSDLEKSNRENAALYSKIARGDKLNAANRSVVNSFQADLASKLDILSTTLTTSIDQQNKHLKSVENLCKSCVDSHDTATSEIKKKILASKALYMSHMEAFQNVVLLHKANSNSTLEDISSLSAASSCVEGEAQKIFGDIQNLLADHRSEVAHFTQELRESFRISLDRTKDMSSFILGLFDKYVEETSKLQSHSNHTHEAQVKSLEDFQKAYEEQSKSEEQKLLADITSLVSKHVTRQRELVGGRLNSLGDAARGNKAFLDEHTSAMEVVTKDAKRKWEMFAEQAENDCKVGSNFSAAKHCRMETILQEWSAIETNEQHEAEIASSRATAEEHASNSSKDLLQDVDNMLQEARNSSSRVVSTVEAHLGEIQHLQESHSSHTAGINTHADNAFQSSYKDYEPTGETPVRSEPEVPSKDAIESLRAMPMESLMDEFRENHPYEPSKDRRPSLIPRSPLATINN >OGLUM08G24970.1 pep chromosome:ALNU02000000:8:26736668:26748776:1 gene:OGLUM08G24970 transcript:OGLUM08G24970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYW9] MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPIRVF >OGLUM08G24970.2 pep chromosome:ALNU02000000:8:26736668:26748776:1 gene:OGLUM08G24970 transcript:OGLUM08G24970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYW9] MSKLQSEVLKEAISQVVGESKEKGRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPIRVF >OGLUM08G24980.1 pep chromosome:ALNU02000000:8:26736964:26743276:-1 gene:OGLUM08G24980 transcript:OGLUM08G24980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLFWYTKDKKSDSEDALKEEIRRVKEEEEQSMREALGLVPKRSSRPKGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKGPRREEESSSFNLDPPEMVPAEQADNPPPETKPEREDSDDDRRSKRRREERGGERERKRERHSEGKERRRDKQEKRSRHESDDRSKRHRKDKHKRRHDSDSDYVRVTRLDEGQIRNFLGRRGVGLIRSKGTHALEFTFTFSASRERERKREATISALLEGAMNGNKQSLIYSFVAKGSVVLAEHTAFSGNFSTIAVQCLQKLSPNTSKSTYSCDGHTFNFLVDRGFVFLVVADEAVGRSVPFVFLDRVKEDFMQRYGSSIDEEGQHPLADDADDDDFLLEDRFSIAYNLDREFGPRLKDHMLYCINHPEEISKLSKVKAHLTEVKGIMMDNIEKILERGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAVAALILFLWLIICGGFKC >OGLUM08G24990.1 pep chromosome:ALNU02000000:8:26749159:26751042:-1 gene:OGLUM08G24990 transcript:OGLUM08G24990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVAAASRVQARLAARLAPRRLLSSGGKVLGEEEKAAENIYIKKMEQEKLEKLARQGPSPGEQGSSTPAADVKAEGGPTAGASTVKNKNYTLIAGAVGVLGASAIAWYRLSKPEKSEEVAN >OGLUM08G25000.1 pep chromosome:ALNU02000000:8:26753470:26755193:1 gene:OGLUM08G25000 transcript:OGLUM08G25000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAINDLMTRGLIRMVSVHSSQQIYTRATNT >OGLUM08G25010.1 pep chromosome:ALNU02000000:8:26758598:26769026:1 gene:OGLUM08G25010 transcript:OGLUM08G25010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11540) TAIR;Acc:AT3G11540] MGRPGMDSTEGRESNGVVPERNGGAVPAKQQLDGKDTLRYANILRSRNKFAEALQLYNNVLEKDEANVEALIGKGICLQAQSLPMQAIECFNEAVRIDPGNACALTYCGMIYKDEGHLVEAAEAYQKARNADPSYKPAAEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDSHYAPAYYNLGVVYSEMMQFDLALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQGKMDAASSMIQKAIFANSTDAGSITSAVQAYEKCLQIDPDSRNAGQNRLLALNYIDEGSDDKLYQAHREWGKRFLKLYPQYTSWDNPKVADRPLVIGYVSPDYFTHSVSYFIEAPLAHHDYSNYKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKKVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPDTTQKHVEELVRLPESFLCYSPSPEAGPVCPTPAILNGFITFGSFNNLAKITPKVLQVWAKILCAVPNSRLVVKCKPFCCDSIRQKFLSTLAELGLEPLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLTKVGLGRLVAKSEDEYVSLALDLAADVTALQELRMSLRGLMAKSPVCDGENFTRGLESAYRNMWRRYCDGDAPALRRLDLLQEEPCSNNNKQDFDDNQVAKLADLKAQRVDAAVDGDKQSQLTAHAAVVGEVQQAPIMVNGVSSPVSSGKVEANGHISR >OGLUM08G25020.1 pep chromosome:ALNU02000000:8:26767358:26770870:-1 gene:OGLUM08G25020 transcript:OGLUM08G25020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYX5] MDPRSKADRIVRRTAMIGAATAAYFLLTADYGPDYPNPIRKAMEASPQYIKHLSIFKYGPRKNNKNQENAEPEATKEESLLFSSLLAPPPPPLASPCPVPKMWGSADGGTPEVTLETSMGAFTIEMYYKHAPKTCRNFLELSRRGYYDNVIFHRIIKDFIVQGGDPTGTGRGGESIYGAKFEDEIRPELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVSKGMEIVKRLGSVQTDKSDRPIHEVKILRTVVKD >OGLUM08G25030.1 pep chromosome:ALNU02000000:8:26771804:26776717:1 gene:OGLUM08G25030 transcript:OGLUM08G25030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydratase family [Source:Projected from Arabidopsis thaliana (AT3G23940) TAIR;Acc:AT3G23940] MQSLALTSPSLPAPATASGRRRRRLERIRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLRLAEAVRDGVREAGMVAFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEFVTGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPKDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLQLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRPLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGMFFSGPALVFEGEESMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDKITIDVVKRVIDVELTEAELEERRRKWTPPPHKAARGALWKYTKLVSPASRGCVTDE >OGLUM08G25040.1 pep chromosome:ALNU02000000:8:26776842:26779089:1 gene:OGLUM08G25040 transcript:OGLUM08G25040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPSEKKPPASGGEKKAPLPKVVTLNKALKLAQTWVDKMSASDQDEPKAKDFEGRPPGLGLGAKVAPNVKRAAPTDPVERRLLGKVNAQKRKATEEEKTIAQEVNDDSDDDSGETESRTSAFSKKRTAPSVTAMPLGKKAR >OGLUM08G25050.1 pep chromosome:ALNU02000000:8:26778562:26780578:-1 gene:OGLUM08G25050 transcript:OGLUM08G25050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQPKVAMHKITTSLHLVHRILDSILDRAYDDKLDRLYESVNNDDQRGGLKILASQAHHIHELAKEVQINFSGYMEMQRKEEKRFGSMISSLKKENQDIRSMLKIAVTEKEAAENRLCVLKGDKDQGRSAILQIAEKGLHKVGFGFIMDVITGESEREENMSSNSATEISTTGRESDQGVDSLTSVVGKTMENMQNEINDLRQALHKSRSDCDRLQLLAAEQAQKIVKYELHIKDLEEREIFLLHSVEELTVDLKEVEREAARWREACELEVEAGKDAIKQLNQEVALLTEELRRVKADLEAANSKLQLKEKLAATAMAAQAAADECLKLADSRSAGLQLRIEELTKQIEQEDEHAGKERGSAHRRTRYACWPLRRLRVISASSSARKWFVDQNGRLPPGTEALLQIRI >OGLUM08G25060.1 pep chromosome:ALNU02000000:8:26781205:26787669:1 gene:OGLUM08G25060 transcript:OGLUM08G25060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASPPVPSKVNASSRPDRSPRPQSLEISWDSQALCKRAESCELEAEAPSINPTLLPVLEDLLIELYAILRPKPDDYEQRHLMIDVFNKIAEEIYGKKKGFPVVEAFGSFTMDLFTSKSDLDLSVNFNADFHSQFARKDKISVIRNLAKVLYAHQRNGRCHGVLPVVTAKVPVLKVIDKGTGVECDISVENKDGMSRSMIFKLISSTDERFQILCYLMKFWAKAHDVNCPRDRTMSSMAIISLVAFHLQTRRPPILPAFSALLKDGPDFPSIQRNVSLVEGFGSRNKESVAELFVSLMSKLLSVEGLWEQGLCASNFEGSWIFKTWERGVGNLSVEDFLDRSQNFARAVGKEEMQKISECIRVAVLNLNNFFRGKIDAPKLKNLLFEPLRQDELISNPSLKRPKRKDHPTHGPESNPQQQKKAKHIIGPESNQKQQKKVKHTVNPGPAASRSATNLHRPTAFVPQIRPIQPINQVSHIPQPLVDPMFAYGLPPQQHLHSAPLYSQGLLGQQQGNFIHLNPGIQPQQQAQHMFVPLLEQQPVINGFHPYDFHLAQLIQHNENIALQKNIAYGTYPYYRR >OGLUM08G25070.1 pep chromosome:ALNU02000000:8:26796150:26798849:1 gene:OGLUM08G25070 transcript:OGLUM08G25070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVDLVNAGEQQQMGSKRAAAEDGDGGVDDSREYYCRRGVRHLCDSGITRLPGNYVLPASDRPGQAAGAAAAAGGSVKLPVVDLSRLRVPSERGAVLRTLDAACREYGFFQVVNHGVGGEVVGGMLDVARRFFELPQPERERYMSADVRAPVRYGTSFNQVRDAVLCWRDFLKLACMPLAAVVESWPTSPADLREVASRYAEANQRVFMEVMEAALEALGVGGGGVMEDLAAGTQMMTVNCYPECPQPELTLGMPPHSDYGFLTLVLQDEVAGLQVMHAGEWLTVDPLPGSFVVNVGDHLEILSNGRYRSVLHRVKVNSRRLRVSVASFHSVAPERVVSPAPELIDDRHPRRYMDTDLATFLAYLASAAGNHKSFLHSRRLY >OGLUM08G25080.1 pep chromosome:ALNU02000000:8:26826256:26827227:-1 gene:OGLUM08G25080 transcript:OGLUM08G25080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVAPPESEGAAGTTRLPEWLETLLSTRFFLACGAHPASPRNECNMFCLDCPSPSPPFCYYCRSHRHQSHRVIQIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNERPQPRGAGAAAGKAAASPYNCQICARALLDPFRFCSLGCKLVDTKTGGRGATVQPGDATNDDAAAAGGSSKNGGARPQGRRRKGIPQRAPFGS >OGLUM08G25090.1 pep chromosome:ALNU02000000:8:26835942:26841274:1 gene:OGLUM08G25090 transcript:OGLUM08G25090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYY3] MGLLMRPVALLIYCTTASLFFLTSSSAAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVQIKAQVKAAQFLEAENTWQSLESIILEQANYIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >OGLUM08G25090.2 pep chromosome:ALNU02000000:8:26835942:26843899:1 gene:OGLUM08G25090 transcript:OGLUM08G25090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYY3] MGLLMRPVALLIYCTTASLFFLTSSSAAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDELNGAMRWQWQDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVQIKAQVKAAQFLEAENTWQSLESIILEQANYIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >OGLUM08G25090.3 pep chromosome:ALNU02000000:8:26835945:26843899:1 gene:OGLUM08G25090 transcript:OGLUM08G25090.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYY3] MGLLMRPVALLIYCTTASLFFLTSSSAAAIAGGTPDGSEEWGYVQVRPKAHMFWWLYRSPQRVNNKGSTPWPTVLWLQGGPGASGVGYGNFMEIGPLDTNLKPRPSTWLSKADLLFVDNPVGTGFSYVEGGDRTLLARTDAEAATDLTTLLSQLYRSNNTRLQGSPLYIVAESYGGKFAVTTALAALKAIHAGRLAASLAGVALGNSWISPEDSVQIKAQVKAAQFLEAENTWQSLESIILEQANYIDFYNFLKDDSSSDANLEQQQRQRLLASLGQSRRRYSSYLSSKVTTEGGFEGIMNTVIRDKLRIIPKNVTWSEQSDDVFEALAGDFMKPRILEVDELLNLGLNVTIYSGQLDLICATKGTLDWIQKLKWDGLKNFTNSRRVPLYCSGGEAAGTQAFLKSYKNLKFYWILGAGHMVPIDNPCPALKMLGDITQSPAQ >OGLUM08G25100.1 pep chromosome:ALNU02000000:8:26841323:26843759:-1 gene:OGLUM08G25100 transcript:OGLUM08G25100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAIAGAATRRLLLAPARRRAFWSSPWAEESPHSPGPSADENNKKKPSSHHRLAAVMDAVADRKLPPELRGRANAVRSETDIINVVEQRIWHSMEKGHFENLPGKGKPLNLNSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIARWRSALRKAWANRSEDDRSTWHDDHRLLQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKELDKLKHVGHEAKGVEIMTFALHVEH >OGLUM08G25110.1 pep chromosome:ALNU02000000:8:26844181:26846454:1 gene:OGLUM08G25110 transcript:OGLUM08G25110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSADMERIFKRFDTNGDGKISLSELTDALRTLGSTSADEVQRMMAEIDTDGDGFIDFNEFISFCNANPGLMKDVAKVNSAIAAAATGNDDIDAAASGKDDIDAATAAGNDEFAAAVACFNVAAATTAGKDDINAAAAGKDDIDAATTENDEFSTAAACFNAAAAGKDEFDAAAAACFNACRNPLLAAT >OGLUM08G25120.1 pep chromosome:ALNU02000000:8:26848048:26849341:1 gene:OGLUM08G25120 transcript:OGLUM08G25120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDLLAWYAIRGMYSDVLALAVTMVLDHEDFTGVRVKSGTLFDNILITDDPEYAKKFAEETWAKHKDAEKTAFDEAEKRRLEEESANSKIDDSNDDDSDDEDEADDDKADVVAEQTKDSDDEKPQDIKVSADEKPNSSKYDSSLCEEG >OGLUM08G25130.1 pep chromosome:ALNU02000000:8:26850221:26850832:-1 gene:OGLUM08G25130 transcript:OGLUM08G25130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATQASAAKCHLLAAWAPAKPRSSTLSMPTSRAPTSLRAAAEDQPAAAATEEKKPAPAGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNVFDI >OGLUM08G25150.1 pep chromosome:ALNU02000000:8:26875168:26876080:1 gene:OGLUM08G25150 transcript:OGLUM08G25150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCRSRAPVGGAAAADLATLSAGGKGARRRGEGATPPRRRPYGLRRWCRGEQHVPAKQEKA >OGLUM08G25160.1 pep chromosome:ALNU02000000:8:26907475:26909848:1 gene:OGLUM08G25160 transcript:OGLUM08G25160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAGAGAEEVPGEAVGDVIHLLLRRHPVPHHRRLHGEGRRRLGLPLRLRALHHPLRRIHRVGGGVRGADMVHRQGGPGVRGGVSARPDPGGGHHGLPHPRRELLPRRHHRRRLHHRRPLPRPLGQEPRACSPRQGRRRHCHRPRRRPLPDHRRRQAVLLRHPAPPAAYLLLLRQPRLIDQSVSLLITCVHASSHLIDRLAASTTDLAC >OGLUM08G25170.1 pep chromosome:ALNU02000000:8:26911507:26913958:-1 gene:OGLUM08G25170 transcript:OGLUM08G25170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >OGLUM08G25170.2 pep chromosome:ALNU02000000:8:26911507:26913958:-1 gene:OGLUM08G25170 transcript:OGLUM08G25170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >OGLUM08G25170.3 pep chromosome:ALNU02000000:8:26911509:26913958:-1 gene:OGLUM08G25170 transcript:OGLUM08G25170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRDVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >OGLUM08G25170.4 pep chromosome:ALNU02000000:8:26911507:26913958:-1 gene:OGLUM08G25170 transcript:OGLUM08G25170.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRGLYPSLFLVQASVFFFFPFSIPTNDAGGVDADVINRLNVLRGKGMAAMYSWSCKSRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >OGLUM08G25170.5 pep chromosome:ALNU02000000:8:26911509:26913958:-1 gene:OGLUM08G25170 transcript:OGLUM08G25170.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGTRTRRRAATASPGRNKVWVEPPGKTHHLRSPPPPPSPSSSKRVAVVYYLCRNRHLEHPHFIEVPLASPDGLYLRGLYPSLFLVQASVFFFFPFSIPTNDAGGVDADVINRLNVLRGKGMAAMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPDRQQNGVGEPKVETLKHPPEESPHSRGSQEGCSSSSSPSAVTKEASPPPPTPQPQQQAQSATLLPSSSASTNREDDQCRTPRSGSSGNMSPEPAGRVAPPLSEASSPGPLEYRVCKPIGAQDASTQTDDSERDAPERNSRMAGVCTENSTSDSEIQECHPRSTQPSPKGPGVVRESPPVCSSDASPGGRVETLESLIRAEASRRSSFRALEEEHLFAPMGVKFKPANLLMQLITCGSISVKDHRSFGLIPTYRPRFTQVEFPSPMFSTPLALRHLDNIPCNARTIGMRIPESEYFSGSLVETKKQDESGKGGTPTLKRSSSCSEDRVSDSNRDMESMAESGSFRCLPQTIKMISCKQSRSGTILSPNSDVRNSYSRQECSTRSSPLGSSKSASNRMTDLSLGKLSSSRVESFHEEKEKVIKIEES >OGLUM08G25180.1 pep chromosome:ALNU02000000:8:26919986:26923257:1 gene:OGLUM08G25180 transcript:OGLUM08G25180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper/zinc superoxide dismutase 2 [Source:Projected from Arabidopsis thaliana (AT2G28190) TAIR;Acc:AT2G28190] MQAILAAAMAAQTLLFSATAPPSSLFQSPSSARPFHSLRLAAGPGGAAAARALVVADATKKAVAVLKGTSQVEGVVTLTQDDQGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANAEGVAEATIVDKQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLSTGNAGGRLACGVVGLTPL >OGLUM08G25190.1 pep chromosome:ALNU02000000:8:26923589:26924382:1 gene:OGLUM08G25190 transcript:OGLUM08G25190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVEIHAWIWRGGDRRWGLLVAAATVAPGGAEVGEVRLRDGRIRLDDDRRRWVHPKMRGRRRGERATEAAAGGDGATAWRPAAASSGRLLAAWWRMATAQRRSVEAVATVDGSGDDDRDYGGSGDVGGGEGVGCKVRMATASSGCGGGDRDCGHRRHDGLRRLAEGVGDDCIWPARHRLVEGSETGLAQRGPPASSAAPPRLLTTAALRRHHPLPPSGAAAGESRSEGRGEEQRGGEGPVVRRGEEERCGGED >OGLUM08G25200.1 pep chromosome:ALNU02000000:8:26927876:26929242:1 gene:OGLUM08G25200 transcript:OGLUM08G25200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AYZ8] MAAEADARKVCGLPERVQLHGAMLALQFGYAGFHVVSRFALNMGISKLVFPVYRNIIALILLVPFAYFLEKKDRPQLTLSFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIERVRLDRRDGVAKVLXGAQRLAGEGRRCRGPTCRQSLCGGGASVR >OGLUM08G25210.1 pep chromosome:ALNU02000000:8:26929415:26930593:1 gene:OGLUM08G25210 transcript:OGLUM08G25210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCTWALGRVVLAVVFLVALAAGDAAPPKVHRNHGKFTAGPWKQAHATFYGGRDGSGTLDGACGYKDTSKEGYGVQTVAVSTPLFGAGAGCGACYEVKCVDSPDGCKAGAAPLVVTATNLCPPNPGQSNDNGGWCNPPREHFDLSMPAFLQIAQEKAGIVPISYRRVPCVKVGGIRYTITGNPYFNLVMVSNVGGAGDVAGLSVKGNKRVKWTPLKRNWGQEWQTSEVLTGESLTFRVMTGDHRKATSWHVLPPDWQFGVTYQATKNFN >OGLUM08G25220.1 pep chromosome:ALNU02000000:8:26930718:26932400:-1 gene:OGLUM08G25220 transcript:OGLUM08G25220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTASMLGDALLSDSLMWWSDGNDCLSLAMLRSLRVPQQMWRDDEEILAIIGGNNVVLLRDFFMYELVVDVEWAGIAGESFSLPLAKYDDGGGRAEAGHEEAARGGVRAKRKLNQRRLSLSANQSGGGHFPSWRSNFVASSNAFAQAADRLMFVVNLKQ >OGLUM08G25220.2 pep chromosome:ALNU02000000:8:26930718:26932163:-1 gene:OGLUM08G25220 transcript:OGLUM08G25220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPTAALVHDGIEIHLFFCCLCLPPLSSLRVPQQMWRDDEEILAIIGGNNVVLLRDFFMYELVVDVEWAGIAGESFSLPLAKYDDGGGRAEAGHEEAARGGVRAKRKLNQRRLSLSANQSGGGHFPSWRSNFVASSNAFAQAADRLMFVVNLKQ >OGLUM08G25230.1 pep chromosome:ALNU02000000:8:26932696:26936804:1 gene:OGLUM08G25230 transcript:OGLUM08G25230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lactate/malate dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT5G58330) TAIR;Acc:AT5G58330] MAAIDLSSPARSSPAPLSPRRGSLHLLLRRPRRPTLRCSLDAAPKQAQAQGPPAAVAAEEAPTARKECYGVFCTTYDLRADEKTKSWKSLVNVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYVVFEDAEWALLIGAKPRGPGMERSALLDINGQIFAEQGKALNSVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALMRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGRPVKEVIKDTKWLEDEFTKTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVNPTPEGDWFSTGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVKDVAMDDFLWERIKKSEAELLAEKRCVAHLTGEGNAFCDLPGDTMLPGEM >OGLUM08G25240.1 pep chromosome:ALNU02000000:8:26937251:26940947:-1 gene:OGLUM08G25240 transcript:OGLUM08G25240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPSSSSSSAPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVHGRPLKVDAIAEVDLYKVEPWDLPARSRLRSRDSQWYFFSRLDRKHANRARTNRATAGGYWKTTGKDREVRNGPTTVGMKKTLVFHAGRAPKGERTNWVMHEYRLDGQTTIPPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEEKDNSDDQEKEISGAMEKGYLQMSDLVQNLGDQNENGTIALPVSDNSNNSNHSEDVDGNSGDILSDQNLGSNFLHHAEPVEQNGLVLNENMFSSANAGDLFNISSPNDGFLELKDFAGIADLENPLANESTIWPSDGWPWKSTDSMEAVNGASNEFSPLAGEQIFQPEELEQLLQSLQEDSHMGSTISDPPHSSITNLAKPEEDCLMFYDAPFDSSMCDDGFRQLNGFLGSPSTNLSGIDMVDDGMPYYDAMDDNLFNDLLSSVQPSAGSSSHAFSGPVLTQEVNNTTYTYSPTQKVLEPNLVVGAPSSARLPEAGSQLNYVVLPDSQTKSSLIGKRFVKILDSISAPPAFAAAEFPASLRKSLAPISGAHHNTIRVSAEVISIGSLTPASQDKWSLEKDEGMELLFSAGFEPDTRVHFGCNTITAVLRGGFCLFFFSAIMLLVSYEVGMCIYGK >OGLUM08G25250.1 pep chromosome:ALNU02000000:8:26943870:26945754:-1 gene:OGLUM08G25250 transcript:OGLUM08G25250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HXXXD-type acyl-transferase family protein [Source:Projected from Arabidopsis thaliana (AT5G23940) TAIR;Acc:AT5G23940] MAAVDNAPPAPVVTVTSTRTVAPAAAKCVLATFDLPYITFYYNQKLLLYRLPNGASDFPDAVARMSASLSDALAFFYPLAGRIRQDDHDGSLTIHGQHGAEVIEASADHVSVDQLAGEECSEEAEKVMQLLVPYTGVMNLEGLNRPLLAVQLTRLRDGVAVGCAFNHAVLDGTSTWHFMTSWAELCRGGGAPSLLPVHNRGMARSVRVNLPLPASAEAHEKTDPNGPKVPLVARVFSFPPSAVARAKAAANAALPPGAKPFSSFQSLAAHIWRAVSRARALGPSDITVFAVFADCRARLSPPLPPAYFGNLIQAVFTGVPAGMLLAGPPELAAGLLQKAIDDHDAAAITRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFRVYDVDFGFGRPERVRSGANNKFDGMVYLYPGRGGDGGIDVELSLQPEPMQRLDKDQDFLQMRAP >OGLUM08G25260.1 pep chromosome:ALNU02000000:8:26952104:26955993:-1 gene:OGLUM08G25260 transcript:OGLUM08G25260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAERRIRMWWRTAGRPKHLWGRRSMLYMGHSRIYMDRYYDPIELHGQPPPLLMIPGGGGGGGGGISPYLVQSQHGHGGGVDGMEMEEGGGFMGEQPQCHPLLYNLSVLKDRVQQLHPLVGLAVAHNAHAHGPLDVSAADAIIQEIVAAASSMMYAFQLLCDLGTAPTTAPSQETAAASAVVVKNNDHAADAGQMEDDHLMQQQWQQNGSRQHDYSSHAHAPPVFHSETAAPAGATSATDTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSKAALSNPTKLLAKGGDETMAAAARKYSCPQEGCRWNRRHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCGRKHFSVLSDLRTHEKHCGDHRWLCSCGTSFSRKDKLIGHVSLFAGHQPVMPLDAPRAGKRQRSSSASVAGNIDDTTGIGMGAA >OGLUM08G25270.1 pep chromosome:ALNU02000000:8:26968748:26969623:-1 gene:OGLUM08G25270 transcript:OGLUM08G25270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVTVSSARDLKNVNWRNGDLKPYAVLWVDDGAKCSTRVDLDNADNPNWDDKLTLPLPPSSRLDDALLYLDVVHANAAEGVKPLVGSARLPLRDVLDDAGVGARVSRSLRLKRPSGRPQGRLDLRLAVRESARYYDPSPYPAPYGQPAGTRDPYGAPPPAYSSGSGGQYPYGGGYGSGGYAAPPAGYPSAYGAPPQPAYGGAPPVAAYGAAGGAPVVVEETKKKSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKFEEGVAEKVEDDLDTDDDYAAGGYDDDY >OGLUM08G25280.1 pep chromosome:ALNU02000000:8:26971053:26979216:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.2 pep chromosome:ALNU02000000:8:26971053:26978846:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKNCYLPNLRKEVCASLLLSDDNLMPPTQSRIGQDQPRLPCRYMSSARLASKRTTCSIATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.3 pep chromosome:ALNU02000000:8:26971053:26979144:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKNCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSIATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.4 pep chromosome:ALNU02000000:8:26971053:26978846:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKNCYLPNLRKEVCASLLLSDDNLMPPTQSRIGQDQPRLPCRYMSSARLASKRTTCSIATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.5 pep chromosome:ALNU02000000:8:26971053:26979216:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.6 pep chromosome:ALNU02000000:8:26971053:26978846:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MARRLISPCQGSGLLNAGFLGVFSSHHYHRLPLSSSHRSSQLKNCYLPNLRKEVSHWTRPATPSLSLYSARLASKRTTCSIATEPPPSTTEEPEMDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.7 pep chromosome:ALNU02000000:8:26971053:26979216:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25280.8 pep chromosome:ALNU02000000:8:26971053:26979216:-1 gene:OGLUM08G25280 transcript:OGLUM08G25280.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1 family protein [Source:Projected from Arabidopsis thaliana (AT1G63770) TAIR;Acc:AT1G63770] MDLPKEIFLKEYKKPDYLFDSVNLEFQLGEDKTIVTSKIAVSPGTEGTSSPLTLHGRDLKLLSIKVNGKDLKVSEDYMVDSRHLTVSRPPGGTFNLEIVTEIYPQLNTSLEGLYKSTGNFCTQCEAEGFRKITYFQDRPDVMATYTCRIEADKTLYPVLLSNGNLIEQGDLEGGKHYALWEDPFKKPSYLFALVAGQLDCREDSFTTCSGRKVTLRIWTPGQDLAKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRTYQFPQDAGPMAHPIRPHSWTIFIPGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAIQEVPPTPGQPVKEPMFIPIAVGLVDSTGKDMPLTSIYSDGMLQSLTSDGQPVFTTVLQFNKKEEEFIFNNIPEKPVPSLLRGYSAPVRLDSDLTESDLFFLLANDSDEFNRLLSVSCFKSSSLLLEIFNLRILAFLRWEAGQVLSRKLMLSLVADFQQQKTLALNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMPVADPDAVHAVRTFIKKELALQLKDDLLSTVTNNRSSEAYTFNHDSMARRALKNTCLAYLASLNEPDTTELAFIEYKSATNMTEQFAALAALSQNPGQVRDDTLLDFYNKWQHDYLVVSKWFALQATSDIPGNVANVQKLLGHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDESRQALAKAQLEMIVSANGLSENVYEIASKSLAA >OGLUM08G25290.1 pep chromosome:ALNU02000000:8:26981577:26986594:-1 gene:OGLUM08G25290 transcript:OGLUM08G25290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZ15] METLQQTLGDTLASAATTVVVDNVTNYMGQMAIAMAMLTTLENFLKLRSTLSSHIDLSCHFPFNSPQSTLLTSNLHPILSRLLQWRLINGLGDGRNSQTKSCASATAGSRARSIASTHAASATPSARRSRGPEASGSTSTTATTQHQLQCSGEGAASASASQSGRDLLLLLSNQSTMGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYAISSVTTMFIGHLGNLPLAAASVGLSVFATFALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILLGATVLMVPVYVLAEPLLLLVGQDPEVARAAGRFTLYILPGAFAFAVNFPSGKFLQAQSKVGVLAWIGVAGLAFHVGITYLAVSVLGWGLPGAAAAYDVSQWASSLAQVAYIMGWCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLITVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDAHLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGVALQTLILLFVVWRTDWKAEAAQASARVHKWGGTDETKPLLQGDHSDRD >OGLUM08G25290.2 pep chromosome:ALNU02000000:8:26981262:26986594:-1 gene:OGLUM08G25290 transcript:OGLUM08G25290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZ15] METLQQTLGDTLASAATTVVVDNVTNYMGQMAIAMAMLTTLENFLKLRPGRDLLLLLSNQSTMGSVSPPAPEEDAAAVESAGAAARMFWHETKRLWAIGTPIAIGTITNYAISSVTTMFIGHLGNLPLAAASVGLSVFATFALGFLLGMGSALETLCGQAFGAGQVSMLGVYLQRSWIILLGATVLMVPVYVLAEPLLLLVGQDPEVARAAGRFTLYILPGAFAFAVNFPSGKFLQAQSKVGVLAWIGVAGLAFHVGITYLAVSVLGWGLPGAAAAYDVSQWASSLAQVAYIMGWCREGWRGWSMAAFHDLAAFLRLSIESAVMLCLEIWYLGLITVLTGDLDDAQMAVDSLGICMNINGYEGMIFIGLNAAISVRVSNELGSGRPRAAMHAVVVVVAESLLIGLLCMALVLAFSDKLALVYTSDAHLLRAVSRIAGLLGVTMVLNSVQPVLSGVAVGGGWQGLVAYINLACYYLFGLPVGYLLGYYFNLGVGGVWGGMLCGVALQTLILLFVVWRTDWKAELVCTSGEAQMKPNLSYKEIIQTEISICIPCFSFSFPPAQSRYEVGLQIQFLSCMYQAALYSSIVRFLYTLLGHNLLTLYAIGDLGFFPPSSIYYYKQEYNRSNCNCLDAVMTMMIPWFTMNLNELPAA >OGLUM08G25300.1 pep chromosome:ALNU02000000:8:26986942:26987121:-1 gene:OGLUM08G25300 transcript:OGLUM08G25300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPLVPCGDVADADEETALLTADARVARRRPHPDDVVNGGGGANALMSEDELRVLVD >OGLUM08G25310.1 pep chromosome:ALNU02000000:8:26991400:26992107:-1 gene:OGLUM08G25310 transcript:OGLUM08G25310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEPSGDHDHELNGTSAGGGEPKDGAVVTGRNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPSAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDQEEEEAAAGGMMAPPPLMAGAADPLLFGGGMHDAGLAAWHHARPPPPPPY >OGLUM08G25320.1 pep chromosome:ALNU02000000:8:26996918:26999522:-1 gene:OGLUM08G25320 transcript:OGLUM08G25320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRDFRSHRAALFDGIEEGAIRSSAYSSQIHEHENDQAMDSLHDRVSVLKRLTGDIHEEVENHNRMLDRMGNDMDASRGFLSGTVDKFKMVFETKASRRMATMVASFIAVFFLIYYLTK >OGLUM08G25330.1 pep chromosome:ALNU02000000:8:26999587:27005542:1 gene:OGLUM08G25330 transcript:OGLUM08G25330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPEICADDGDDEEDGQVWRLRLDHCACAQGVRSSKEASAASLISAIYRRRRPQVIIIFIGVHEEKRSLDGCLPPFIGNPRDETREGCGQSNSRARSLDGRQGIKV >OGLUM08G25340.1 pep chromosome:ALNU02000000:8:27000695:27001794:-1 gene:OGLUM08G25340 transcript:OGLUM08G25340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKILMHLPVSDRCEAAVTMSYEAQARLRDPIYGCVAHIFSLQQQVVSLQAQLESLKAQATQGYGDGCSISSPQNDSCGNMLTSIVQDEQQFVGPTMASNSSVKNENHSYIANGHLAPMSAQSSQGFEAELCMAEYSNTNPCCSVQGNWYHDMEDLQSVAFAYLNQA >OGLUM08G25350.1 pep chromosome:ALNU02000000:8:27008283:27009023:-1 gene:OGLUM08G25350 transcript:OGLUM08G25350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALSGSGSGSLLVLSVSVVGILFTSILLLAYYLFLACSWRRRSHQTARPTPPLPSFFLATTSTAADQPRRGLGLEEAAIRRIPTLRYHQQQQHNKQQQCGVCLGEFREGERLRQLPPCLHSFHIDCIDAWLATALTCPLCRAHVTVDTNHIAAATTSTRHDDDQLLSGVHQPMRRSFSLDSCHLYLAIILHPHQLSDSDTRSRSRREPKPAVLESERPSRTLRRSFFSFSHTTTSPVPTPILPI >OGLUM08G25360.1 pep chromosome:ALNU02000000:8:27012356:27012790:1 gene:OGLUM08G25360 transcript:OGLUM08G25360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGGWDDQGNGGGETTKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPAEARNYVRGSGSSSSSRQQQQQQQHQHQQRQVIVLECLDDQVLQEMLKGGDDQYRSAAGSKRNNY >OGLUM08G25370.1 pep chromosome:ALNU02000000:8:27021682:27023292:1 gene:OGLUM08G25370 transcript:OGLUM08G25370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGEQQQLEVLHALDVAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPSPGTLPPHVSASVNGVAFVGTLSGQLFFGWLGDKLGRKRVYGITLMLMVLCSLASALSFGHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIITGGLVAILVSASFRAAFPAPPYGEDPVASTPPQADFVWRIILMLGALPAALTYYWRTKMPETARYTALVANNAKQAAADMSKVLQVVEMRNIGNNGGSRRPFGLFSGEFVRRHGLHLVGTSATWLLLDIAFYSQNLFQKDIFSAVGWIPKAATMSALEELFRIARAQTLIALCGTVPGYWFTVALIDVVGRFKIQAVGFFMMTLFMLALALPYHHWTAPGKNHVGFLLLYGLTFFFANFGPNSTTFIVPAEIFPARLRATCHGISAASGKLGAIVGSFGFLYLAQSPDRSKTEHGYPPGIGVRNSLFLLAACNLLGLLFTFLVPESKGKSLEEMSGDAEAQEEAPPPLQAVL >OGLUM08G25380.1 pep chromosome:ALNU02000000:8:27028514:27031739:-1 gene:OGLUM08G25380 transcript:OGLUM08G25380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGVGHEESWPMRSPHHTWVGGFPSPIQGGRSERVGGRGGAEEIPNHNYSPSRRRHRRLPYSRTAAMVSDASKKKAAQKKAAAAAKRGAKASSSSSSSSSAADKAANGIAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSSEIEASDMSALQAVICCDEERMKLEKEAEILSAQDDGGGDALDRIYERLEALDASTAEKRAAEILFGLGFNKQMQAKKTQDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQSKKLKLYSGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVSFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLAPLDGMVRRHNHLRIAQYHQHLAEKLDLDMPALQYMMREYPGNEEEKMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMDFKEHLRSRAGLSD >OGLUM08G25390.1 pep chromosome:ALNU02000000:8:27041933:27047557:1 gene:OGLUM08G25390 transcript:OGLUM08G25390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 [Source:Projected from Arabidopsis thaliana (AT2G36910) TAIR;Acc:AT2G36910] MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPQSHPQPDAPAAAAPPPPAPLTPPPPKSPPPPPHIQTTDLPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERVMRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPNAGTILLDGHDLRDLNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQARPGGNQWVAFERCSELVQVTWTDYWIMPRFLLLFLPLSKAQTMAPAHSSPSFDFSIYNSYSTYYHYGLVSYTVVEYSRILLFSFCCAHDTVGERGLQLSGGQKQRIAIARAMLRNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAISEVGTHDELMARGDGTYARLIRMQEQAHEAALVAARRSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDADFITGLGLGVDSKQQQQQHYFRVQASSFWRLAKMNSPEWGYALVASLGSMVCGSFSAIFAYVLSAVLSVYYAPDAAYMDRQIAKYCYLLIGMSSAALLFNTVQHLFWDTVGENLTKRVRERMLAAVLRNEIAWFDMEDNSSARIAARLALDAQNVRSAIGDRISIIVQNSALMLVACTAGFVLQWRLALVLLAVFPLVVAATVLQKMFLKGFSGDLERAHARATQIAGEAVANVRTVAAFGSEAKIAGLFEANLAGPLRRCFWKGQIAGSGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSKTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMQAVFEAMDRRTEIEPDDVDAAAVPERPRGEVELKHVDFAYPSRPEVQVFRDLSLRARAGRTLALVGASGCGKSSVLALVQRFYEPNSGRVLLDGRDLRKFNLRSLRRAMALVPQEPFLFAATIHDNIAYGREGATEAEVVEAATAANAHKFISALPEGYGTLVGERGVQLSGGQRQRIAIARALVKQAPILLLDEATSALDAESERSVQEALASSSGSGRTTIVVAHRLATVRNAHTIAVIDDGKVAEQGSHSHLLNHHPDGCYARMLQLQRLSHSHVAPGPSSSTTTHGT >OGLUM08G25390.2 pep chromosome:ALNU02000000:8:27041933:27044503:1 gene:OGLUM08G25390 transcript:OGLUM08G25390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP binding cassette subfamily B1 [Source:Projected from Arabidopsis thaliana (AT2G36910) TAIR;Acc:AT2G36910] MEEEIKGRVVVLGADAAADPELEAFHLPSADQPPHSHLLHHHHSPQSHPQPDAPAAAAPPPPAPLTPPPPKSPPPPPHIQTTDLPPPKPLPPAPLRQLFSFADGLDYVLMTLGTLGALVHGCSLPVFLRFFADLVDSFGSHAAHPDTMLRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQSTRMRIRYLHAALHQDVSFFDTDVRTSDVIHAINADAVVVQDAISEKLGNLIHYLATFVSGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALAKLSSRSQDALSDASGIAEQALAQIRIVQSFVGEERVMRAYSAALAVAQRIGYRSGFAKGIGLGGTYFTVFCCYALLLWYGGHLVRRAHTNGGLAIATMFSVMIGGLALGQSAPSMAAFAKARVAAAKIFRMMEHKPSMEREGGVELEAVTGRVELRDVEFSYPSRPDVGILRGLSLSVPAGKTIALVGSSGSGKSTVVSLIERFYEPNAGTILLDGHDLRDLNLRWLRRQIGLVSQEPALFATTIRENLLLGRDGATQEELEEAARVANAHSFIVKLPDAYNTQARPGGNQWVAFERCSELVQVTWTDYWIMPRFLLLFLPLSKAQTMAPAHSSPSFDFSIYNSYSTYYHYGLVLDQKM >OGLUM08G25400.1 pep chromosome:ALNU02000000:8:27050157:27064624:-1 gene:OGLUM08G25400 transcript:OGLUM08G25400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRKVKKRRLSHKTARRGKFLVKADDAVYDELVKLADAGKDADTTQLPVDEDLPGMGQFYCLHCDRYFASESVKEEHYRSKRHKKRIKQMSGPAPHTQLDAELAAGMGMPDNGLKLMSM >OGLUM08G25410.1 pep chromosome:ALNU02000000:8:27065249:27065698:-1 gene:OGLUM08G25410 transcript:OGLUM08G25410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFATTIAAAASALTSISATEVRHAAGHEEATGLGHRQAGAVAKGRNAAGDGLGLRAEQLWLGDDDGGEVRTGEGEGQAGAVAKGRDAAADGVGLHAEHPRLXGGRRLRIGSDDVDDSAPLGLVVIGGSRRMQSREAGGATGDRWQG >OGLUM08G25420.1 pep chromosome:ALNU02000000:8:27067893:27069881:-1 gene:OGLUM08G25420 transcript:OGLUM08G25420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane kinase-like 1 [Source:Projected from Arabidopsis thaliana (AT3G24660) TAIR;Acc:AT3G24660] MRSVMMCCLLLLLVSAAAGAEGKSEVALLLERVKPALQGEGEVGGNAQLATWTASTPLCQWRGLRWSTAATLPRELPCGNLSAGLAHHPVPDDLLLLLSIRLPASALAGHLPPELAAFSALASIFLAHNSLSGPIPLALGNAPALSLLDLSSNRLSGSLPLSIWNLCSGNARLTLLRLHGNALHGPIPDPAALAPNTTCDALSLLDLSANRLSGPFPSSLVTTAFPALRSLDLSDNRLHGPIPHGLAPIHSLNLSYNNFSGQLPPDLASLPPDAFLANSPALCGPPLPHHCLPSNPLTSSAVAAIVIALMAAAVVLASLSIGWAQGRWRRAPLPPEEGTLTEDGEGKLVVFQGGEHLTLEEVLNATGQVVNKASYCTVYKAKLAEGGGSIELRLLREGCCKDAESCAPAVRRIGRARHDNLVPLRAFYQGRRGEKLLVYDYFPGNRTLHELLHGHGEQSQGMRPALTWARRHKIALGVARALAYVHAGHGEAHGSVRSSNVLVDEWFVARVAEYAVHRLLVAAAVGKADGYRAPELQSRGRCSPRTDVYAFGILLLELLMGRKASGELPAVVKAAVLEEVTMMEVFDAEVARGVRSPAEEGLLQALKLAMGCCAPVAAARPTMAEVVRQLEEVRPRNSSRPSAIYSPAEPRSDAGTPTAAVV >OGLUM08G25430.1 pep chromosome:ALNU02000000:8:27071257:27071622:1 gene:OGLUM08G25430 transcript:OGLUM08G25430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAGGLCCGVPSLPTYNYKSLLKWRRQSEEEEIGDRKSNHPIQDSKRGWINRVIEMNSPGGVNEWEDSPGEMESEAASAVGMGMMEVDADDRHPPSSSLPIDADFFNSFPDDFDDQDLA >OGLUM08G25440.1 pep chromosome:ALNU02000000:8:27073076:27082915:-1 gene:OGLUM08G25440 transcript:OGLUM08G25440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGNWRPTQGADPAASGGIDPNAPAPAPAGGDWRSQLQSEARNRIVNKIMDTLKKHLPVSVPEGLNELQKIAVRFEEKIYTAATSQSDYLRKISLKMLSMETKTQQNPGNAQVIQNQNPPGSVPGLPQGSNPTQSSAIPLMSQQQARQPNSTSVQSSLTNLGQNLPGVNQTSTLQNMSGMPQNTMNNGLAQGASQDIYATQRQMAGRQQQQQQSQNQLIYQQQQIMMKQKLQQNSLMQQQSLLQPTQMQSSQQPMMQIPSSLQPGQSIPQTQPMVQSSSQGGIQQNALNSVPQSVQSLLQQPTQSAMRQQQHSQSSMHPQPSLQQAQPTQQPNIPLQHQLMGQQQNLQQNQLIGQQNGAVDMPQQQRLPVQSNNLLNMQQTQQMLNQQSMPSHQQQQLGSQANISTLQQQQQQNQQQQQLLGTVPNVPNIQRMHMLQTKAVQQPQQQQHAQQSSLGLMQPQSQHNQLQQSQQHLMSQFQAQPNQLQQQLGMPQQPPMQQRLQTSAGMLLQQNNIDQQKQFMQAQRGLQEVSSSTSVDSTAQTGHTGTGTGDWQEEAYQMVKNLKDQYFPELNDLYNKISLKLQHVDNMVPPQKPTEQYEKMKSFKLMLERTMHVLQLNKGNIQPALRDKIPAYERQIISILNSQKRPRVQGQQTQFQQSAGQGPTSNIPQQQQPSQGLQQHDNHTNQMPQASMPSMSTGLQSSGAAGIQHLPASPATNFGVPATQQNVTNAPQATSNLEVTQGSNFNSLQHGSMSGALQQGSTGPMQGTLNAQQQASSSMLSHNSVGTMQANANSMQANANSLQQLKQQQQEHHMMQSQQMKRQMFQQFQQKQMLQQQLPAQQQLQKQQQSQMQVPQHHSGNDANELKVRQGAAMKPGIYQQHLAQRNNFYNQQIKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLPSQVKTGTPLQSANSPYMPSPSPPVAPSPIPVDSEKPLSNLSSSLTNTGQATHQQTNMAPQSQSIAVNTPGISASPLLAEFTSVDGNQANMPTQVLTKSSAAERPLDRLLKALRTTQRQSLSAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGTGTSKKMKRDTSAMPLNVSSAGSVNDSLKQTFSIGTPELQSTATSRVKWQKTEINHALMEEIHEINQQLIDTELHVCEDDAESFGATSEGTEGTVIKCTFTAVAVSPSLKSMFASAQMSPILPLRVLVPASYPKCSPVLLDKFPDEQRNSDDLSTKARSKFSILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWENCVGA >OGLUM08G25450.1 pep chromosome:ALNU02000000:8:27086200:27093619:1 gene:OGLUM08G25450 transcript:OGLUM08G25450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGIRRVCAWALVFFDFTCRITRRQLLLFILVYRYRYRNTHDVSPIQIYLSTLYIVQQILSPITHSFIPHGTVQIISVKSQRSRSIPPPTSLNRRMPPPSAPHGPSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRVAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPRCTKSSRGQVAADAFRGDLICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPLHLLSPLHYRGASLSTKVGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQSKAMIIQLDRSV >OGLUM08G25450.2 pep chromosome:ALNU02000000:8:27086200:27093365:1 gene:OGLUM08G25450 transcript:OGLUM08G25450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGIRRVCAWALVFFDFTCRITRRQLLLFILVYRYRYRNTHDVSPIQIYLSTLYIVQQILSPITHSFIPHGTVQIISVKSQRSRSIPPPTSLNRRMPPPSAPHGPSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRVAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPRCTKSSRGQVAADAFRGDLICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPLHLLSPLHYRGASLSTKVGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQSKAMVDRNS >OGLUM08G25450.3 pep chromosome:ALNU02000000:8:27086200:27093619:1 gene:OGLUM08G25450 transcript:OGLUM08G25450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGIRRVCAWALVFFDFTCRITRRQLLLFILVYRYRYRNTHDVSPIQIYLSTLYIVQQILSPITHSFIPHGTVQIISVKSQRSRSIPPPTSLNRRMPPPSAPHGPSPSLSLSHRASPRFRSRTRDAGNRSAISAPLPISRVAVLCLTDLPSPPARPPPIPIACPPPGFTSPPTPSTLFCSTDPRCTKSSRGQVAADAFRGDLICHRSPPPARPPLIPTVTGCRWRTSRHRVLCRRINVDHLASLHHIPNPGLPPPRPAAASVIDSLSPLHLLSPLHYRGASLSTKVGDLKEVVVHIQRDIKTVGDSMSIKMQYLQSTANDIANVVGKSLENHMQLLDGQNRSV >OGLUM08G25460.1 pep chromosome:ALNU02000000:8:27089109:27089678:-1 gene:OGLUM08G25460 transcript:OGLUM08G25460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAAAGRGGGRPGFGMWWRLARWSTLIRRHRTRWRLVLHRHPVTVGISGGRAGGGDRWQIRSPRKASAATWPREDFVQRGSVEQNSVEGVGGDVNPGGGQAMGIGGGRAGGDGRSVRQRTATRLIGRGALMAERFPASRVRERNLGEARWESEREGDGPCGAEGGGIRRLREVGGGIDLDRWDFTEMI >OGLUM08G25470.1 pep chromosome:ALNU02000000:8:27099932:27100630:-1 gene:OGLUM08G25470 transcript:OGLUM08G25470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDIGEGESCCGRRKQQQQQQNISSSKSRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFNTALDAARAYDSAARALYGDCARLNLLLAAATAGAPPAAAATPSVATPCSTNDDSNNSSSTTHQQQLTTMLQLDDDNYTLQPSSSDQEDFETYVTRLPKAEDFGLEGFQEVPLDVLDEAGGGISIWDLSICPADFMAAAAATTAKSS >OGLUM08G25480.1 pep chromosome:ALNU02000000:8:27100853:27119913:1 gene:OGLUM08G25480 transcript:OGLUM08G25480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPVGSTAPPPPSITSNTAINSRRSCTTTPRPPKPSHKSRRSSERETTLTANRVHIENRRPARRLTLYDPPLVLVSPPLSSPLCYSGLSFSWKPSPKQNRRREQHPRHSSPLPATSHLSIPIAVDPHATAVAELPIPITNLPPIPTASMGTASATTSYHRCLDRATSVPSPSLPPLLCTTASSPSPPSNSLSPLAAASTLLRCHCASCCARPRLYQTNQHLQTSNKPVPPPVLPHPRIVHRY >OGLUM08G25490.1 pep chromosome:ALNU02000000:8:27115176:27120153:-1 gene:OGLUM08G25490 transcript:OGLUM08G25490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGEEGSMAGNWFWRAEATAPGRQGEQPRPPVEHAYALFSLGPPARNIVTTWIVKCMRIVDVIALLCDRNVWRKEMLSLV >OGLUM08G25500.1 pep chromosome:ALNU02000000:8:27120159:27121943:-1 gene:OGLUM08G25500 transcript:OGLUM08G25500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPPPRLLTPKPDPDAPLPPLPYPDPNLAQSMLFSAQSPQAQPQAPPPHIQPPASASAEAPSGDEKNKKKKKRARASQEMVRITNLSIADHLHYRSLVRRARLTFEALRAIYQRQDLASAGGIHNRFDLRASSKMLSKGLWMHRDIRTVGSIPGLLVGDSFFYRAELCVLGLHTAPQAGIGYIPASIVDQGHPVATSIVSSGGYLDDEDSGDVLVYSGSGGRLRNRLDHSADQTLQRGNLALHYSCHYGIEVRVIRGHACDHSPSSKVYVYDGLYRVVTSTFGPGKSGRDVCKFKLVRIPGQDDLGSKAWHTAAELKDALDSKIRPPKYISLDIAKGKEPFRVPLYNKLDDDRSPLFYDYIACPDFPTTQQLLKRQTQRGCHCAELCGSRCSCERKNRGADGPVYTSDGILLRGRPLVYECGPLCGCPMTCPNRVTQQGMKHRLEVFRSKETGWGVRTLDLIQPGAFICEYAGDVLSLDSHSGDAPLPPMEDGSSIIDPTKFPERWREWGDASVVYPDRVPHFPLFAGARYRLDVSQRRNVACYISHSCSPNVFLQYVIRGNEDESYPHMMVFAMETIPPMRDLSIDYGLD >OGLUM08G25510.1 pep chromosome:ALNU02000000:8:27122109:27124618:1 gene:OGLUM08G25510 transcript:OGLUM08G25510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPFAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGSLHPPPSPPHALYCAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDIDVNNS >OGLUM08G25510.2 pep chromosome:ALNU02000000:8:27122109:27124618:1 gene:OGLUM08G25510 transcript:OGLUM08G25510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSLTLSRLPVAALGLPFSSCSPPPPRLRFPFAARRARSLATRASSSSPDSSFGSRMEDSVKRTLADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDQLGAQGPQLQKVLERLTGQSTVPNVFIGGKHIGGCTDTVKLHRKGELATMLSELDIDVNNS >OGLUM08G25520.1 pep chromosome:ALNU02000000:8:27125339:27128340:1 gene:OGLUM08G25520 transcript:OGLUM08G25520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRREYSEQYHHRAGAGAGAAIVVVVVAVAAAMVCECEAKPRAFFVFGDSLVDSGNNNYLMTTARADSPPYGVDYPTHRATGRFSNGLNVPDIISEYLGAESVLPYLSPHLDGPKLLHGANFASAGVGILNDTGIQFANIIRIEKQLRYFNQYQDRVRGLIGGAAARRLVEGALVLITLGGNDFINNYYLVPFSARSREFALPDYVRYIIGEYGKVLRQLYHLGARRVLVTGSGPLGCAPAELATRSATGECDLELQRAAALYNLQLVRMTRELNAELGAGDVFVAVNAYRMHMDFISDPAAYGFATSKVACCGQGPYNGVGLCTALSTLCPDRSLYVFWDNFHPTERANRIIVSQFMSASPDYMHPFNLSTILAMDAATAAAAP >OGLUM08G25530.1 pep chromosome:ALNU02000000:8:27127264:27130488:-1 gene:OGLUM08G25530 transcript:OGLUM08G25530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haem oxygenase-like, multi-helical [Source:Projected from Arabidopsis thaliana (AT5G32470) TAIR;Acc:AT5G32470] MRGLLRRVYLRLPPFPPATSLYYWSRTRPAAAGPNHPIPRRMSTSSTAAAVVAEGSAARRFWIAAASREAAFAAYTPFLVSLAAGALRLDSFRQYIAQDAYFLHAFARAYEMAEECADDDDDKATIVVLRKTILRELNLHASVLQEWGVDPNKEIPPIPATTKYTDFLLATSTGKVDGGKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELAVFLKQDENHPYKKWIETYASSDFENNALQIEELLDKLSVSLTGEELEIIGKLYQQAMRLEVEFFSAQPVDQPVVAPLSRYCGPKDKLLIFCDFDLTCTVVDSSAILAEIAILSHQKASQGGADSSLDRTKSADLRNSWNMLSNQYMEEYEQCIASLLPPEEARSLDYDQLYKGLEVLSQFEKLANSRVVDSGVLRGMNLDDIRKAGERLILQDGCKNFFQKIGKTRENLNLDVHILSYCWCADLIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGHINRQMESPLDKAEKFKSIKSDVGSTGTLLSVYIGDSVGDLLCLLEADIGIIVGSSTTLRRVGKQFGVSFVPLFTGLVEKQRRIEKEESSIFKARSGILYTVSSWSEVQAFILGNDFS >OGLUM08G25540.1 pep chromosome:ALNU02000000:8:27134032:27138919:-1 gene:OGLUM08G25540 transcript:OGLUM08G25540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of Unknown Function (DUF239) [Source:Projected from Arabidopsis thaliana (AT5G18460) TAIR;Acc:AT5G18460] MAKRRRRWRRQRCCSSDPPLAVAAAALLLLLLVVVTAAPVVDAAAAGRHVVQRHLDRINKPGVRSIHSADGDIIDCVPRHKQRALDHPLLANHTVQTQPSQMPASASLLDRRQQLSRRAWQTWHHSGHCPRGTVAVRRTAAADVQRARSLALFGRKKQMRSPLPAPDVVTGNGHELTMHAIGNLRQHAIAYTAAEVYGARATISVWAPEIDEANGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDNRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSVGGPQYDMTLLVWKDPKLGNWWLSYGDGAGGLVGYWPAELFTHLSDHATMVEWGGEVVNTHPPGSAHTATQMGSGHFAAEGFGRAAYFRNLETVDADNSLAAVPLDAIQTMAEDAGCYDIRKAYDDDDGRGGWGAHFYYGGPGHNTASCP >OGLUM08G25550.1 pep chromosome:ALNU02000000:8:27143826:27149704:1 gene:OGLUM08G25550 transcript:OGLUM08G25550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLSESAASHLHSFLVAMTMVRIIGPSPAQSSSPTPRRPRPPRPRRRPPTMASAAASSSKPPVVLGCGAVSADYLATVASFPNPDDKIRSLTLKVQGGGNTGNALTAAARLGLRPRIISKVSNDPQGRNILKELQDDGVDTSHILVAEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMVPEELTQENLFAALDGADIVYFDVRLHETALLVAEEHFFVLVKGTPSSEIELCLRNNPVQASQRKLPILIDAERKRDGLDELLNFASYVVCSAKFPQAWTGASSTPVALVSMLLRLPNIKFIIVTLGEKGCLMLERSTTDASEAEEIDVESLLESLEKKEVLSSSMPKCIASKSNLRISADGIGSISGRLLLGTAEIIPSEELIDTTGAGDAFIGAVLYGLCSGMPPEKMLPFAAQVAACGCRGLGARTALPHRTDPRLVAY >OGLUM08G25560.1 pep chromosome:ALNU02000000:8:27151417:27156368:1 gene:OGLUM08G25560 transcript:OGLUM08G25560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTNNKIIHNSLLCTTPVLTCKNMTNSQLHFFKEKINYVHEHYTHSEEKNKERRAHGGRARRGSVCLHRNRLEPSASSSLSPRTRTPTATRPSPARRRLLQPTADAADGEGPPPAGRRLPLAARRRLLTPPTERERGGGEEGEGAAAARCRRRRGEGEEREEREGGGVKEP >OGLUM08G25570.1 pep chromosome:ALNU02000000:8:27151626:27151913:-1 gene:OGLUM08G25570 transcript:OGLUM08G25570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLSWLKRLRPTMLRTTTTTGAKIPLPSFTLPILAGARSGRADRGRAAHELSANECESPDTELDHVGRALAPGRDTDDAAAAAIIASRRQDYT >OGLUM08G25580.1 pep chromosome:ALNU02000000:8:27152862:27155528:-1 gene:OGLUM08G25580 transcript:OGLUM08G25580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLGIQHLCSDLLEINKASEQDFRRNLYLSYLSFVRMSEEGGGDLDVMSDLKQQGMVQRRVIQDVRNSLYYSSAMSMALETNGGGDMVAEAEMNNGGGGASSSVLDELLWENRMEQAVEQLMQEQESGVLALSLSARKAMVADRLASVAEHPRTPRPELLRAVAGLCRLGEARRANHLLLGYYRRSVLRGVDELRQKQKQRNNNIIIIKELVRTVLSTIVEASRSVVSSEAAEARRWAREEMDGLGVAFRELVHMAAADGKLSLLLEAARCALSYGPLLLLLDEELAEYLRELLARCMEEALAMYAAHLRQVLRLLVLPVPDNDDDEGASSSMLLGRFLLSGVLRTSTTKHNCWCLLTTSGRKLVTLMQEVADDVSPLLELDLALGSTLLHLLADLLRDYMLMQLGATAAADDMMMVSLLINCTTLLSLFPLIARRIFTTTSSQQPADFHHATNNKGELHLHGLIVSIKEAAAQVWTCFCHHFIRHTIMSTTLHHKTHSSSSIRHGANMPSSAFQVLFLRVRQLNSLYGAILTGEDGTMKKLLQELMEAIILFYLSDEDLHDSWIIRQASHAVPIQDTLLLQIQLDVHFLLQVAQFGGFSSDDFRDNALDALRKAQAKVVPLSSSLEQQQHEEWAADAARHAMQVLMMGSQADEENSTDSVQKDELAADDDDEMQPDAWVGACTCSDGKSSDEFVSIEDDQLAIHSENEAGAAAAAQQVTIEEATSAQAKEEKNSSCSLQDR >OGLUM08G25590.1 pep chromosome:ALNU02000000:8:27161787:27164713:1 gene:OGLUM08G25590 transcript:OGLUM08G25590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane 22 kDa (Mpv17/PMP22) family protein [Source:Projected from Arabidopsis thaliana (AT4G14305) TAIR;Acc:AT4G14305] MSDVVAMAGQAYMRQLQAHPLRTKAITSGVLAGCSDAIAQKISGVPNLQRRRLLLIMVLVEQLTASPWNNMMFMMYYGLVVEGRPFSQVKSKLKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIATSKKA >OGLUM08G25600.1 pep chromosome:ALNU02000000:8:27166782:27167262:-1 gene:OGLUM08G25600 transcript:OGLUM08G25600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRCDSSSAVGPFEYQPAIMCKCGGKVTWWISWSIDNTGRRMADAASLLSSLKREKEEFGLVVQAERLNAEEKTREVEAATQELNSVKVLACENT >OGLUM08G25610.1 pep chromosome:ALNU02000000:8:27167503:27172720:1 gene:OGLUM08G25610 transcript:OGLUM08G25610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARHLPAILLLSSALLLALASASASAAAPALAAFVDAASRRYLRDQQHHDQAASMSLDQVSAAVSVLLGFAPPPSLPAQSSSKLDKLLLPNPFDRPRAVFLLQIDGFHAFVESITSEAGSIFKTTIDGLSDSATGLTDKDDLVIVHSDESPAVDSGSEYFDSDLTDLANWLGGSYEKVDGKLIIPLESGESLTLLITKEADMEFASSLISLRKTIKRGIQVHEDFSGGVMSPAELLVCHFKGIKALEEEYGSTEIVKQGTDVVRTAVTKAFDLLRGAYNGKIVGLVISTKEASPSLVGPASSLHISRWLKETSQTNTTIASSEILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >OGLUM08G25610.2 pep chromosome:ALNU02000000:8:27167503:27172720:1 gene:OGLUM08G25610 transcript:OGLUM08G25610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARHLPAILLLSSALLLALASASASAAAPALAAFVDAASRRYLRDQQHHDQAASMSLDQVSAAVSVLLGFAPPPSLPAQSSSKLDKLLLPNPFDRPRAVFLLQIDGFHGMSVLFHFIICTYSFSASIDVSLHHMSAFVESITSEAGSIFKTTIDGLSDSATGLTDKDDLVIVHSDESPAVDSGSEYFDSDLTDLANWLGGSYEKVDGKLIIPLESGESLTLLITKEADMEFASSLISLRKTIKRGIQVHEDFSGGVMSPAELLVCHFKGIKALEEEYGSTEIVKQGTDVVRTAVTKAFDLLRGAYNGKIVGLVISTKEASPSLVGPASSLHISRWLKETSQTNTTIASSEILVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >OGLUM08G25620.1 pep chromosome:ALNU02000000:8:27173252:27174319:1 gene:OGLUM08G25620 transcript:OGLUM08G25620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRWQLLGQASGFLQDKYKQARLALGDVTPAELLVQEATNNDGGVGPDARTLACIADAAFDMDDYWRIAGVLRRRMARAGDWKEWRPVYKALVVLEFLLTHGPDEVPRDFLPDVAALRDLRGFTHVDDRGFDWGACMQRRCDSVLSLLTDAERLRDARRRIRVSHSTHELGQQHGSPSSSSGSPSSASSRTSSQSHASSWSVASSDSPTMVCLCAAAADYRHDKKFDAYTADDDWMQHLQVHNTTTTLEYDDDDDHDHDCPNHPPHTTPRSWDAHDDHDCPNHPPHTTPRSWDAHVHDLSLLQRRGRPGTDATFCSRMLGAVNVSSRASGFQSLSQPERRSASKKLQRQLSMEY >OGLUM08G25630.1 pep chromosome:ALNU02000000:8:27174823:27177303:-1 gene:OGLUM08G25630 transcript:OGLUM08G25630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G04500) TAIR;Acc:AT3G04500] MSSSRNPNPPPPGSSSSGTNYFPLPFHLQQQPPQPPPMAMASTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPANARATATSSSDPAAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKMPKKSILHKKFVKAEASFADVY >OGLUM08G25630.2 pep chromosome:ALNU02000000:8:27174823:27177303:-1 gene:OGLUM08G25630 transcript:OGLUM08G25630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G04500) TAIR;Acc:AT3G04500] MSSSRNPNPPPPGSSSSGTNYFPLPFHLQQQPPQPPPMAMASTYQYQQYQQLQQAQQLFQRDAQTITPEALESVKAALATSDVLDPANARATATSSSDPAAKKKAIPRRAAGQSWEDPTLTDWPDNDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAGAIKEMNGLKYPTCSITAIVQLRKYVGNRPIKLRKSNWKERTDVDALERQKNHIQKKPKMPKKSILHKKFVKAEASFADVY >OGLUM09G00010.1 pep chromosome:ALNU02000000:9:3246:3782:-1 gene:OGLUM09G00010 transcript:OGLUM09G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSLSTRRNRLVVPLIVCPSCNVKTIVRCTAKTDANRGRIFYTCPDHEKDGTGCNFWYWEEGYINYLKRNGFIAGEDGTYGKTAQHLDLNQDAFGRQDEIEKKLIAIVPIVREILLAVKCMLVVGLFGVLILVCVLVVVLMK >OGLUM09G00020.1 pep chromosome:ALNU02000000:9:4025:4952:-1 gene:OGLUM09G00020 transcript:OGLUM09G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTRDNNGSCLFAFNEMIPGIVKPEIAEAMAIRRAMSLAKEESFEKIILASDCVSVILRIKLATRDRSSVGCLVGDMKKLATNFLECPNPT >OGLUM09G00030.1 pep chromosome:ALNU02000000:9:54980:59835:-1 gene:OGLUM09G00030 transcript:OGLUM09G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLPVVPAHGEDKKKKKKKKPSQEEEALPLPLPLPPPDRKRKKASEPVNSPERAKKKKKTATPHEPPSAKQQKRPLPFQRTWSPNDEVLILEAMAAHRQEHGKVPTAAELFPVLNGRLDRKRLTYKKLADKLRTFMRRHGRDAKNGPPTQAHDRRLYDLSRNVWVSQTQPPNLSANANSNIAGGQPNQHDAMSTAGKAFVKMRDSYPNLTQALLLLVGTDLEKALTAIDETKAQALDLKVSNLKKELSEAVMESATIQSTESSKIPCFPSTKLQPEFGAEIEKNFQLEHLDEMKGAQSQQMVDSKQQQDKSSAKGIICESSESGIRSIVADNNILCNTLQKEMVVQQKLSCGKTKEVTSKHRHPQKLGELRRVTMHGQKEDTNNEGGKEVLLLSTTRPHIPVAKATLQTSSGSKFVGGMPLGSEWYQVFVNDVLKPEAPLLRPPGMKMAEALKSIIAWPCAQVTDKADFMSETKLYRFAHYKGCGS >OGLUM09G00040.1 pep chromosome:ALNU02000000:9:66050:70096:-1 gene:OGLUM09G00040 transcript:OGLUM09G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVAILQKRKKQAEEMLAPLTFPHLTAAEETPPNPETPISGEAVAVAVRRKERKRKKEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQSPHDQIHGIQVQANPPPLGGGRDEAYCRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPETIMASNSNSIQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQAAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQVLVGEDAATASRTPAKLIAGWKLPAAQSAYEVGELGTGQLWNLKNRWRKGNAKEIKLEREATDERTQSQDMQEARVTEMEHAVPKVEELAVCC >OGLUM09G00040.2 pep chromosome:ALNU02000000:9:66050:70096:-1 gene:OGLUM09G00040 transcript:OGLUM09G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVAILQKRKKQAEEMLAPLTFPHLTAAEETPPNPETPISGEAVAVAVRRKERKRKKEEAAGVGKKEKKRKSREGPPAPEARRKERKRMLMPRQSPHDQIHGIQVQANPPPLGGGRDEAYCRSSCKKIRVLSNREIIKMRIQLRKHQPLPQGIFDPETIMASNSNSIQQDPNHSSPFGAFFDQFCYKPTRQDRTPPLPRTPDLLVRPPPRDHLSSASSQLMTNHTCKINSTCKTTTFKTRSGPNQGNTKVKEMARVNKERKPAPLLTRAEKRSDKYRRLPLDQLVPPPRSPHKLLQEKYASDPWKVIVICMLLNLTQGKQVRRKVKGFFKRYPDAQAAFSADPEKMAKYLAPLGLQRVKVNRIQRFSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPADHKLVDYWKYVCFELPMIQQSQDMQEARVTEMEHAVPKVEELAVCC >OGLUM09G00050.1 pep chromosome:ALNU02000000:9:100952:102004:-1 gene:OGLUM09G00050 transcript:OGLUM09G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPAAEVEEEEGPAREKSRRREKWPLSDQVKILTALAAHREAGEELPSGRALFDEVKQQLSRERFAARDLDKKVSHLRDRYLDHIYKPPPARRRPHQHHTTLFDLSRKVWPQFQHPPQAVHISSHGADSPSGPIVISSDESSGHIVISSKAAAAMAPTVPLASPTPTIVIISSSSSWTSSSSSSTSTGSVSVGGDEEATSRLRRGPYRCWAVDDEIKIIDTIAALRRDNMGNMPDAAVLLRALQAADPPLLRRGLDAATLSQKVYSLKIKFRSAAMTAATNAGKKRLRNKRNKALYHHSKKAWPEELRQAKATAANNIQVHRLRTSYGGTRVGFSSLSSISPDQSS >OGLUM09G00060.1 pep chromosome:ALNU02000000:9:106836:107789:1 gene:OGLUM09G00060 transcript:OGLUM09G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIPPTTEEEEEEEVPAREKSRRRGKWPLSDQVKILSALAAHRQAGEELPSGRALFDEVKQQLSRERFTARDLDKKVSHLRDRYLDHIYKPPPALRRPHQHHTTLFDLSHKVWPQFQHPPQAQAVHISDGADSASGPIVISSDDSSGHIVISSNDGAGADSDAMVVSLSSNGSAPGPIVLSSDEEEEPARKKSCRENWSFSDAVKILTALAAHRQAGGELPSGHALFDELQHQLSRERFTARELDKKVKSLKDRYMDELYRPPAARRRPQNSTLFDLSRKAWPDFHFHFHFQPQPHVEAVQISSSSSSSSASSSS >OGLUM09G00070.1 pep chromosome:ALNU02000000:9:113295:113537:1 gene:OGLUM09G00070 transcript:OGLUM09G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRSITPPRTQSESNPGRSKIGSREVGVVLQPLKCYSSTDIVNSYILSEGYNNFDTESINSSEYTNDCTPLYPFTHPP >OGLUM09G00080.1 pep chromosome:ALNU02000000:9:116658:117059:-1 gene:OGLUM09G00080 transcript:OGLUM09G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDGGAHDRWVRTVVDELRHFRALRPRPGRVPRFTPMPPDVRARMNKTLGALWPLAQSSRDDVVFRVAQMISGVRGPPPRPHAFTPEPEASRIAVAAEAEGFAVASAYAAGKSVATDEERSDLYRKYVKEV >OGLUM09G00090.1 pep chromosome:ALNU02000000:9:147871:149586:-1 gene:OGLUM09G00090 transcript:OGLUM09G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVLVDFEVTKQIKESADENTAMVVKVAAACTWIGGEVFVPKVSTFSSRGPSILSPDFLKPDVAAPGSTILAAIKDSYKFLSGTSMACPHVSGVAALLKALHPDWSPSIIKSALVTTAINDKYGLPILAGGLPQKTADPFDYGGGFIDPNRAVDPGLASDIDPEYFDCILGSNSSCEFEPKNMNLPSIAIPNLKEPTTNSDNLGQADAVYKAVVQSPPGVQILVEPSVLQFSKDKKKQTFNVTFSMARKVQGSYLFESLAWCDNGSHYVRIPIAVRPVIYDNYVDV >OGLUM09G00100.1 pep chromosome:ALNU02000000:9:149618:157882:-1 gene:OGLUM09G00100 transcript:OGLUM09G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIPMATSVNVHNRHQELIRSDHVEEGGEGIWPESASFRDDSLGPLPSKWKGKCLAGQAFGSNLCNRKIIGARWYDKHLNPEDFNGEYKSARDATGHGTHVSSTAAGALVPNISFHGLAAGYARGVAPRARLAMYKVCWEQVGCDEAAILQAIDDAIHDGVDVLSLSLGNPSSEPYGSIHAVKNGITVVFAAGNNGPAPRTLENSLPWVISVASATIDRAFPTVITLANNTSNFVGHSLFYEQDAKDNWYEIYQSGCSFQSVATANVTLAAGKIVLCYNPTTVSIITPAYTMPTAIKVLKEAAAKGIIFATYALDILDSLEDCGSMPCVLVDFDAAQQIKQSADQNTALVVKVTAARTWIGGEVLAPKISTFSSRGPSTLLSDFLKPDIAAPGSNILAAVQDSYKFMSGTSMACPHVSGVVALLKALHPDWSPAIIKSALVTTASNEKYGVPILADGLPQKIADPFDYGGGFIDANRAVDPGLAYDVDPKDYTSILDCFSATNSSCEFEPINMNLPSIAIPNLKGPTVVLRTVTNVGQANAVYKAVVQSPPRVQILVEPSVLQFNQGKKKQSFKVTISMTHKFQGGYLFGSLAWCDGASHYVKIPIAVRPVISDEAYNCIMFRLQRCTFLSAATANVNLAAGKIVLCYSPATVSIIAPSYTIPIAIKALKEAGAKGICS >OGLUM09G00110.1 pep chromosome:ALNU02000000:9:173468:175215:-1 gene:OGLUM09G00110 transcript:OGLUM09G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIGPVQDVSCGGVMKPVLNVHITNGRETAVVALWGPHATQFHSKNLQQQPDHGPVVLLFVGLIVKFRDHQLALQGSTVCRWYRNAPIQETISLISSLHGNPQVIRAIEPSFGQNETIHVKVSDISDLNPHEALVIYYTSAHLMIALYSSHPYVTNTIFYMLYFSGVNQGNSYTVNIAIKDLVPGEAWWYIACSTCKKGAGREGSTYKYSRCSTNAVETRVASSTFYNKYRVAVIAVNPSDLENHQAKAAEFMFFGEIGYKLIGIPVLNLVASVQGARDIVPPEIKVVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASPDPAQKSTVASHDSSVTSSEHGSASADPIQLHTTVCSSLQPMAPSTPSALLGAKVLSNTLICRIVRSIPYNRL >OGLUM09G00120.1 pep chromosome:ALNU02000000:9:183556:194686:-1 gene:OGLUM09G00120 transcript:OGLUM09G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQVTSTQGDSSTGPTPDIAWKNFHKKTAPKVRDWQRKRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDADSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDILADEGSNEDATGSRCTSSSLEDMPCNSTHTLVDDNLAIPFLNKTHRKKKKVKHKKREETISTTTALAENPSADGCRGVAVSTSTCEGINIKTSQTYSHGQSLCEMVKDCCGNDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHERGQYVLSEALLACLEEEFGEKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGVSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSEKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRNHPLEEQKECQTGCRNGNENAMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSSRVSNLHLDLMGCYLHPMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTPDGQFLVLLGSIRMPYCRKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIVGHDGTGSFCLWDISKRTHLATFTAPGIIVFQIQPEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTVIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNARAEGNMFRIK >OGLUM09G00120.2 pep chromosome:ALNU02000000:9:183556:194686:-1 gene:OGLUM09G00120 transcript:OGLUM09G00120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAEEDGDRFIEMVSAGTLYLSGEWERKYWSCSRGKDRYPYPVGYHAVRHFSGISYTMQIHQGPRGPLFQVTSTQGDSSTGPTPDIAWKNFHKKTAPKVRDWQRKRSFPQKIDGLFGFKNASVQRLLRELIVRSTGAVQLNLPHPVTSDADSPLSRKVEAEISDGNEVCMDKTGGPAKRSMRPSQEEGTAKRVHYQNISTSTDKCHNELDILADEGSNEDATGSRCTSSSLEDMPCNSTHTLVDDNLGEFVADSPEQVALSSSSYLSSQKSDLESAEREVAKSMMSILLPQAIPFLNKTHRKKKKVKHKKREETISTTTALAENPSADGCRGVAVSTSTCEGINIKTSQTYSHGQSLCEMVKDCCGNDDGMIDEPGLKSDDMKVVADSFEDDEQGWCDNKSKSMGARCHDDDACSKESLPYGNREDHDGHSECQMGIDDGTNTPDVVYDHERGQYVLSEALLACLEEEFGEKDNSCPANYNQIDVGRRQGEQHFEDPRSGINDDSSISVGVSDKSNLRSGLIDGYAQASAKSWTGNSRHGESLTNLLQSPVHSNAHNNSEKMGGKFDDTEFVDKFVAFDKYGMKRVNTVTVWPVDVRTKTGKRNHPLEEQKECQTGCRNGNENAMVSIGCGSYVCGRVPPKDEDNACHEHAPPDVNHLNGPLCRHKETSSRVSNLHLDLMGCYLHPMPVLSIVLNTKNNSSLLIYVLCGLLESCERFLYVYTIVPKDQQETAPYFVGYTPLLLSSLERSCTGNLPFERSGLQFTPDGQFLVLLGSIRMPYCRLKQIIDCSCSLCKLDQCEDNYLKIVSVDLGYVSLLTKLMAYGSLSCILICEPNYIVTVEDGRNLHIWMMAAGWRIISEEYVIPSSGNVGNSIIELRRMPKSSTLIVGHDGTGSFCLWDISKRTHLATFTAPGIIVFQIQPEDIILASVSDIERRLREITVTGVSRKADKESILSPGKDTAIWILISSASVAEYQSDLRAKEHNARWRLALLANKTVIMGTILDPRATAVDVCGNHGFAGTHGGLLYAWELSSGRKLAGGRVSCVAVDAKSGVVAVADDGCQLVLYSQNKVLSNARAEGNMFRIK >OGLUM09G00130.1 pep chromosome:ALNU02000000:9:222016:222999:1 gene:OGLUM09G00130 transcript:OGLUM09G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWWSWRSLFSSLANANGGGSNADASSGSGTSSPLVHEAQQAAARRRSARTKKPPEEEAAGSQPQPKTRPSPASKASKAKALLRLGDGEPKKKPAPTPTPTQKRSNKRKRVAPAFERSWSRADELTILEAMVNHANTHGGALPDTSDLFAALASSSLDRRDADLPKLADKVHKLKRWYDNARLPQRCPTDDDDDTRRLFQLCGKVWGPPSTVLRTSPRQRHKVVGVLVQGNGANPQQAAAPKVKEKRVRRELSELYVLYPSLAQEVKAHANEYGELIGTAFQFIGDDEARCYDDRYRKMLVDKLNMKKEHADLTRSLLCTLAGYIN >OGLUM09G00140.1 pep chromosome:ALNU02000000:9:230261:239028:1 gene:OGLUM09G00140 transcript:OGLUM09G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase 1 [Source:Projected from Arabidopsis thaliana (AT2G15230) TAIR;Acc:AT2G15230] MAGHAPGGALPLILLVVSCCGRVVSGASPAAAALRRVGSGSGGLCDQLLLPLGYPCTEHTVETKDGFLLSLQHIPHGKNKAADSTGPPVFLQHGLFQGGDTWFINSAEQSLGYILADNGFDVWIGNVRGTRWSKGHSTFSVHDKLFWDWSWQELAEYDLLAMLGYVYTVTQSKILYVGHSQGTIMGLAALTMPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLVTMGIHQLNFRSDMGIQIVDSLCDGEHVDCNNLLSAITVIRKGTFAKYDYGLLGNLRRYGHLRPPAFDLSSIPESLPIWMGYGGLDALADVTDVQRTIRELGSTPELLYIGDYGHIDFVMSVKAKDDVYVDLIRFLRENGWHNSY >OGLUM09G00150.1 pep chromosome:ALNU02000000:9:242342:247254:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00150.2 pep chromosome:ALNU02000000:9:242342:247256:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00150.3 pep chromosome:ALNU02000000:9:242342:247254:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00150.4 pep chromosome:ALNU02000000:9:242342:247256:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00150.5 pep chromosome:ALNU02000000:9:243403:247254:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00150.6 pep chromosome:ALNU02000000:9:243403:247254:1 gene:OGLUM09G00150 transcript:OGLUM09G00150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MYSETDFSFKDANKLCSLIRKQEALAKKKRKWLRSLIPREDDLIKPIKQPKFLKDVYLAESYVRSDEVSCEKVIAHVEKCYGFQSDGYSHHIVQDGLQLFKLQKGKDGSLSPQGLADMQLIINKLSNEALHSVANNATHNRVSFEKTRPAMKKIIEDHLPQYLANLHDENDMSQLSHILTNPFSYRSNSLNITTPISPKMLSSIDQALNVLSTLTIQALVAMKRKLDEVSFTPKFSFVPRISRKAHMVTVIRKECNKMISRVGESGDLPKNLAKALSVVNLYRKQELKCMDISQAEFFPFSKKAIFLQNDVLNAIWSIQKLKKGDLKLLRPILCQGSNYEMLLKTTVRRYLIDCLFECDEGDLPDEALRAIALCNQMPLRQKNDFTEQRKGAEVEAVLNVSSSLRALVYHCTGGQTDDQLMNCESECHSDEQVMSLGCDDYSCDNDFVLTEGYKNFGHQQHKIDEACSSSMVNPVSVSGHFSSGAGSNMKKPTLHEVVDANEVEIRRSSMGLSEICDEAAILAHKLLGKILDNTLLAENKVNGLAGYSLDGSTSHGPQVCNALQGSYDIFAIDPAEKKNQKADIVIKAIENVLPNLPKSCMDKVRRMLHDDKQ >OGLUM09G00160.1 pep chromosome:ALNU02000000:9:249212:251394:-1 gene:OGLUM09G00160 transcript:OGLUM09G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVRPSKSDSHSDSDSHAHHLPPEPPQESSDGEDLELESSEELDSHGAPTKKAPFVAPPPPQQNGKEVSDSPSLPTNSAIVLSPLPPPLQANKNHQDQDSESDSDDDEPPLPTNNAIVLAPPNNQESESDSDSDDDQESALKANKIVSSSGDDDQESDSSDDETLPALQANKNASPSDDDEDDDQESDSGDDDVLLDPALQANKNVLAFNGKRKVPPQEVGQSLQQPKKKKMEAPAQGNTDIDTQFKEKIASYIFLGKVVSVLDEEHPDLFKEAFLKLADSKASALDAKIKQLTLAQVRVSLKGRDLEKELIKLLSGFLKHGENFKEVGADWTGVQYANAPGHFAFGSGSYQPRWYRLILVQDGHV >OGLUM09G00170.1 pep chromosome:ALNU02000000:9:256380:262419:1 gene:OGLUM09G00170 transcript:OGLUM09G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT3G19440) TAIR;Acc:AT3G19440] MGLVNSFRSNKRLRRTFASMAALLYLRRRAAAAALAGVAPRPQWLATAARRGALGSGDDGGETGERGKSPWLQLPPFAPLDAAAAARAISRGGGEGGDGEQGATAIKWVRRCCPDLPTSLVQKLFRLRKVKKNVVTAEISSADASAEQHRLRRVSAKDQLMPGDILFLPVNLKESSVAEKTKKFDNRNEINFLRGLEIYKDEAIIVVNKPPGMPVQGGVGIKNSIDVLASMFEENSSEAPRLVHRLDRDCSGVLVLGRNQLSTSMLHAIFREKTADALADGTQHVLQRKYVALVIGTPRHPKGLLSAPLAKILLQDGKSERLTVRASSNAASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQKWMPLPLPRTIDEELLRKRKLPFGLVVGGGSIAEEQPQLHLHCKQMVLPDVSVALHRLQSSDVNPDFSDLEKLNFVAPLPLHMRLSWEILKSLKI >OGLUM09G00180.1 pep chromosome:ALNU02000000:9:264325:270794:-1 gene:OGLUM09G00180 transcript:OGLUM09G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRP38 family protein [Source:Projected from Arabidopsis thaliana (AT2G40650) TAIR;Acc:AT2G40650] MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTATVADVYQYLEPLYNDYRKIRHKLSDGKFTLTHVDEFIDDLLTKDYSCDTALPRIQKRWVLETSGTLEPRRSALEDDFEEEEEDKEDEQPMDIDEPNGRALLEIETGRGNMKDTTGTEITTEIGIMVGDGKETEIETVKEIETGIEIGIGIGIETVIAYEMRTTVEIGTEQEKGMAGKENAGTETVGGAGAVQGAGAGIDEKETEKMESTVGGVIGVVPVLEVMRRMVAQEMSRRRERKRKRRRVKEMHQIQMTQRL >OGLUM09G00190.1 pep chromosome:ALNU02000000:9:270964:272387:-1 gene:OGLUM09G00190 transcript:OGLUM09G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDANWVDGSTTYPAAARSRGCSYRRALSRQSRRPPPLLGSSGSTTILLLAASFDPAALVVNVLIVVSSTEETPTMERLHVELSSYSSATSPRAVALSGEGGRRERRRRLGEGGRAALASGGLNRNGGN >OGLUM09G00200.1 pep chromosome:ALNU02000000:9:275479:276609:1 gene:OGLUM09G00200 transcript:OGLUM09G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKHQPPSSSVSANAAAANAAAASAPSASAPSSSSAAASDNAYTSFQGLLALARITGSNSDETRGACRKCGRVGHLTFQCRNFLSVKEDLDMEDDIDAGIRAASQANAQAKLDEFRKKTSGGKDADEGSDDEDEDDEEDSDDSSDSDIDPELERIIAERERAKSGRKHSRDEEKKTSRHRSSSRGRSKHRRSTKRSDTEDDLEEERSKDKKKKSRRKRHERSDEDSESDSDKKRHRKSRKDRKRRRSHRRSDDTSDEDESGGEDRRRRRHRKRQHHHRKGASDGDSGSGASDSADDRKRSSRWRRHRKSESSGSDGDERHGQGAKRSKEKRGKEEC >OGLUM09G00210.1 pep chromosome:ALNU02000000:9:286045:292345:1 gene:OGLUM09G00210 transcript:OGLUM09G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZ84] MATFWGLELKPGEAYTHHSAPARLRITQAVLGSCDQGWTTLQCDTNDRETVRLCVLNPGLAVACHLELELQKDENVLLSVDGQNSIHLSGYYTCSHSGNHGRNSQKPTSKAVGSTGFNKKHQDISDKAPVIEEILDDQTVQQQQQQGVNISSKDVEPSHKNGHGQNSEWATCGNGTDDDNDNNGAMFYPSSRNKMEVDEPTGSKDNDYDYWLPFLDASVKRKASETDGENVYTEKGELKTPKIENVLSDQSVDMDQVNEQTCSKNVEPNAIDDVKPTRGHKNTMEVVLPLLDSSVKRKAAEIDGEKVQIEKAKLKMPKTEDVPSDQNNANQVNEQICFKTVGSNAIDDAKLSLGHQNTLEDLDKSQELNVSQTKEQNDVADQITNQDTPTITSSDERYIFTGALETDIEQKNRGAENEQVEVHRCPFEVLDNGIKVEHLVEGNAKAKVASKGKQVCVRYCGRLINGEVIDPTNLDDDTHTFRLGMRVGGKRRLTIPPAQGYGDVATPKIPANSWLVYEVELLEVKRAKRAR >OGLUM09G00220.1 pep chromosome:ALNU02000000:9:293639:298487:-1 gene:OGLUM09G00220 transcript:OGLUM09G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTQRGQAVGVGGQRGRCGDGWLAWAVGEDSAEGGADGVDVGAQDVGAEEEAEAAEERGGGERPFHPEMLMPDIFDGNWVPNLPSGSDGRKWDMALPALEPIAAEEMG >OGLUM09G00230.1 pep chromosome:ALNU02000000:9:299793:304435:1 gene:OGLUM09G00230 transcript:OGLUM09G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZ86] MCKCNATLGNFDHSGWVLVECNVGDQGLVKVAALNPETAPVAPLELEFEENKNVVLSVHGQNSVHLSGYYICSYNGDYGENSKQATKETSLLKYASGLPCKPVLIGQFRRPVLACENCLISRVSKDDGAAENNDEKQGDEAKQSKNVQAELQPHIRVLDSDHGENSKQATKEMESNAMDEDASLGLEHTLGGNVVQAASQEENASQTHEDNDAADHIIQQTDPPILVSEDDGTAEDNDEAELQPRIRVLDSGMTIEDLAKGNVGAKIASCGKKVYVKYVCMLSNGDTVDPTGESSTCKFKLGAGEVISGWDLGIDGMRVGGIRRLGIPPHLGYGDVGRGNIPPNAWLNFDIELLKVKSGRKKARKVDFMYVALDRAYIQL >OGLUM09G00240.1 pep chromosome:ALNU02000000:9:307398:310648:-1 gene:OGLUM09G00240 transcript:OGLUM09G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of RAD51 D [Source:Projected from Arabidopsis thaliana (AT1G07745) TAIR;Acc:AT1G07745] MAATSASGGAGCFPRPPPSGGEEKKQDEEGQCFLDGMDLLKDATENKRFLPTGLQGVDALLGGGLRQGQLTEITGQSSSGKTQVCLCSASHVAARQLGVVMYLDTSNSFSPSRIARIVDGFPISLVREPKNVRLERVMSSIICKSVFDIFDLFEVLHQLELSLKSKVNNGGNKICLLIIDSISSILAPINGGKYPRGRSMMISVAMILKKLAYEHNLSVLVTNHMVAGNGAPKPALGESWKTVPHVRLVISRERGSKICAATVLKHTLLVFA >OGLUM09G00250.1 pep chromosome:ALNU02000000:9:313576:316553:1 gene:OGLUM09G00250 transcript:OGLUM09G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHHRPRPISLTGAPLAKFLRHRACLAEVNPFFLFPIPFSHCHKSPPPPSMSAGAELLPAPFRPSPSLPLLSTTLPGCALLGNTLRRQRHCSPSTGALTLYPSPSPPSLLLLDLEKKKPKERRKKEEGETEKLYRQMRRREQDRLARMDADYQKRKHMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRAKTSNGGEQPNGGESSGGDEDSDDEDKP >OGLUM09G00250.2 pep chromosome:ALNU02000000:9:310696:316168:1 gene:OGLUM09G00250 transcript:OGLUM09G00250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGATGKELVVLDGTGKTSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKHMAEFELRREERLKEAEERTAKKRLKRQKKKQRKKEKKRAKTSNGGEQPNGGESSGFKSFPTATAYRAGFSLTSAALYSTLVSIFNCKQKRDWE >OGLUM09G00250.3 pep chromosome:ALNU02000000:9:310696:313104:1 gene:OGLUM09G00250 transcript:OGLUM09G00250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPGRDSNMQQLIPIAPPPKASSGATGKELVVLDGTGKTSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQQVFV >OGLUM09G00260.1 pep chromosome:ALNU02000000:9:315627:318287:-1 gene:OGLUM09G00260 transcript:OGLUM09G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEEWRFLFPVSSVFAPPSLAVANRNENGNGNGYGPLLFSPLPPPATLHTNIPFPAFQFHPPHSKSTGDALRYFLSSTASFLPTPDLHSLSSSLSDSTTFRPPPPPSNLLAAILLRAPSTSLLLFFPSGHNADHLSYATLHSTAAPLSAVQTLTHGFMHPGHRIHHLAATSSCPPPHSHSPAAATPLVHGFLLAATTYSVNWFKVESSSSSSTSPPALVPAAKQAFDAAVVHACWSKHLHSDCLVLLDNAHLCCFDLHQRRGSVLRVGTATATEGGACLSCDYGPQPWTAVVATTKAILLLDLRYGPDHPGHCKILARVGMQGLFDPDPPLNSECHYLAFCKAPFDDFLMSVATERLLLVLDVRQPLTPVLAWQHGLHNPSHLAMFRLSQLRPSKEHEWASSSGIAILAGSFWSTEFNLFFCGPKDQGSSSSQNAHHLYAWDAPSRISLIGQHCSCSNGLMREIFTDHEPITRNTVVGYHVLPNTMLEESSSSSFPGFALIRLTSSGKLEMQRFRASGDFDEHAMCDGSHHQSVACTTSSIISPDTTAHGENFSSRYKFLKFHYLSKYLEGNLLSALENHNVVNKGSQQIVISEDVSAFAKENSPQCYRSVSDLLCNASVPMNIFETGCQHILNNGLSSDSLLVTFSKYKDMLACSKGKLIYEYPEVPARSRNNDEHRPFLLTKPSGTGNKLTSEAISGDALVGPLLPIPLLLAIEDRNKGTIESSTCQGETSSVSRRCREALEACVPKTSNANATRFSGWYASRELRKKPYFVYEPQIDDRLTLCETARKEGKNAHMDENLTTFVCGKAGVPHSGPKQAASNLFDCNCSPVRMDFELPFVDVQPAEQKAIQSLKNQFLSWQNNFRPYKDFCNTHHIQLQKPQR >OGLUM09G00270.1 pep chromosome:ALNU02000000:9:333394:334056:1 gene:OGLUM09G00270 transcript:OGLUM09G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSKLTVCVNRTLFHLSPFLQDLSRSGDGAGHSHRVFPSDDNERRRREVAGGCGLWCFLDNIIVSKQDGRSTQPTASAAGGATIRLSRRPPDDCPFMATLQSSWCGISLLGSSEEATGGGFKVDGDASSLNPRGRTYGLAIRWLDPRGSRDARGPASGAASPTLVAAHAGAPRWDGSNGEEGFVSSICEAEFFTNELFLSFSSNLLFLLVRENNRGGQEN >OGLUM09G00290.1 pep chromosome:ALNU02000000:9:340577:345514:-1 gene:OGLUM09G00290 transcript:OGLUM09G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKPESSKKTRGVSQRAKKRSIGDDHADDLEQPPPKRSRAKECEFKFIWNWLMEHFDPATNQLVFPGRGAIDVNESVKSVLGIPMGDKDVSYEMESEATEFVLNLLGINDGISPSLTSLGIQLEKLKLVDDKYLRMWIIYAISSVLAPTTATTVSPRCYPSIVDAGNIKNLNSCKFVISTLQKAAKAGKNTNSACLLYMMILYLDSLSFKNLNVPVEGYRATVWTNESINQAILADTSADGSFGALSLKSSFQHEGTNLFGSNVDFSTFINSNVPNTMQEQENDRIVIAVQNLCEEEVEDTEEDEYTDEDGDSDDDEDEGEEDDDDEGEEDDDEDRSENDDNDGAETGRSGIASGGKGADDVIGKGKQLDEGIGFGDKEKHEEKQAPNAAAQNVPESEKQPVQKAEKYPFLTTTIDSHEVPNFNLGFDSSQEVVQTPKGQEATGTSRGKEFPRIITNEDYGSFTTEDYEKVGREADEAIASKSATKSPVAEVISKEPIADDCEVEEETHVPHEYNKRVVKPAKFKSLKIIDTGEYYIYLGDLANSVKPMGSLDNNTCELALIGMSADIKDNSKKIFPARIGVYKIYKNLLLFNGYLLDSQLDRNELKKHFDQTRANRLDHKELELGNGNDKDGHYFVVCLNLKAERFEVYDSLRGEDDEELISASNLVVVSIKTM >OGLUM09G00300.1 pep chromosome:ALNU02000000:9:373002:393462:1 gene:OGLUM09G00300 transcript:OGLUM09G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIADFGLSRLLGEGKSRTVTRNKPGTIGYMAPEYLDGGAITTKSDIYSLGVIIREMVIGRHKMGAPTGNALESWKTRLELDSSHTRQVPLEVGYQQVEACIEISRRCTDTNPDNRPATLEILHKLEETETVDATSSPVLAQIRSISRLMNDLKLMAVTFSRQSSTRVERYKVFSPVPSLSTPPTNKIIWVDSTSGANCYLLSSRSLRITWGDTPGHWRWISLPNSRFAECAELLDVHWLAITGEISPKDLTMDTPYVVYLVYNLASGTSGLRGVQTSSLRLYGERVVATSRVSVYPAVHSGTCDSDVAYPIGRDDGWMELKLAEFTNDHRMLAEAAVIVDFREVNVHIMKSGLIVEGLEFRPTIPSLQLAS >OGLUM09G00310.1 pep chromosome:ALNU02000000:9:391431:393479:-1 gene:OGLUM09G00310 transcript:OGLUM09G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPIPARTLIAPYARLGAMTPPAPALLLALRLLACSPSAGLAPKAAPDGALEVCKKISKVMVVDKLTRLEAADPPARCCSSISAVASSTLAADPPATVASGLPPIEKLNQI >OGLUM09G00320.1 pep chromosome:ALNU02000000:9:412489:413189:1 gene:OGLUM09G00320 transcript:OGLUM09G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPPLELRTEYEAHIAHTSFNRASDQAVLQLKESGEWRGLHLGDLDDAFLFEELGEALAVDVVGRLHVLADLLYVLRLLRNFRGGLDGFLLLIISSAASSASGTYPSSWSNSSGTSDSSAAPGSAKSSAAADDEEDWKESDAASCASERQRVERMWRRGVDGERRNGAEEEGEGLGRKASVAAMAQSERRSIRGG >OGLUM09G00330.1 pep chromosome:ALNU02000000:9:440285:440646:-1 gene:OGLUM09G00330 transcript:OGLUM09G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGLHVAQREVAGALCRAGGGDGDEDGDDATAVVAETEDPVAVIPDSAVPCLDLVPPRWIRPEDVSALGEAGGRWLQLEEVAGMAHVADGGRGGWRRWWMWRR >OGLUM09G00340.1 pep chromosome:ALNU02000000:9:458282:459238:-1 gene:OGLUM09G00340 transcript:OGLUM09G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRGGGGDVDRIKGPWSPEEDEALQRLVGRHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPEEDDTILRAHARFGNKWATIARLLAGRTDNAIKNHWNSTLKRKHHSSLLADDLRPLKRTTSDGHPTLSSAAAPGSPSGSDLSDSSHHSLPSHMPSSPPHLLLPQHVYRPVARAGGVVVPPPPPPPPPATSLSLSLSLPGLDHPHPDPSTPSEPAVQLQPPPPSQMPPPTPSCVRQEPPQMPFQLQPPPPPRPSAPFSAEFLAMMQEMIRIEVRNYMSGSAAVDPRSSPDNGVRAASRIMGMAKIE >OGLUM09G00350.1 pep chromosome:ALNU02000000:9:529083:529406:-1 gene:OGLUM09G00350 transcript:OGLUM09G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSSAPSGGHRRDWWRQVGTDGRSLVESGGLGMQQQQLLHTTAKSVATLPVTMDVTELGVWRSTAGGLGRGRSSTGRLERRGSACSEVRRGGSTIGRFKSYGPWL >OGLUM09G00360.1 pep chromosome:ALNU02000000:9:588360:594477:-1 gene:OGLUM09G00360 transcript:OGLUM09G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPNPQISLRPLFSSLPLAAFAAARRRRSPSTAASPPPHLPRAASLPRLAAPPARLLLRPPHRRHHPCLHRRSQEPIAMPASDKQLASTVKKVTSRELPKKGADVVNMSQGTSPLPKDKGTATEPGKTVGTKRSDAPSSPGYHNVYVRRKVENDHSKVSSSQEVKGNGRDKTKEQETQQNVQHDQTNKPEVSSSVAVQHDQSNKPDLSSSVAVQHDQTNKPELSSSVAVQHDQSNKPDLSSSVAVQHDQTNKPELPSSTYLESCDRSTQEGYMRMLRSLSAADRSMHAIDLEKRAIHLLVEEGKELQRMKALNVLGKVSPNVPSKQAPL >OGLUM09G00370.1 pep chromosome:ALNU02000000:9:598616:601522:1 gene:OGLUM09G00370 transcript:OGLUM09G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFAAAAAAVQGGLALRPVAPPRARLSSSDVARNGGVAAWRAPRRWRVAAVGDLRPAIDEYPEGILSGEWPVNFSLLSYADLRAYLESQIVTTDQMSPTAKLGEVMSRPVQVAMADQRLADIDAFFAAQSGLPVLDEEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKVFVQRDVDVTLAW >OGLUM09G00370.2 pep chromosome:ALNU02000000:9:598616:601459:1 gene:OGLUM09G00370 transcript:OGLUM09G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPFAAAAAAVQGGLALRPVAPPRARLSSSDVARNGGVAAWRAPRRWRVAAVGDLRPAIDEYPEGILSGEWPVNFSLLSYADLRAYLESQIVTTDQMSPTAKLGEVMSRPVQVAMADQRLADIDAFFAAQSGLPVLDEEGRCIGVVSKKDKAKASNGLDSTVGEVMSSPAITLTPEKTVLEAAALMLKEKVHRIPVVNEQQQVIGIVTRTDVFKALEASKV >OGLUM09G00380.1 pep chromosome:ALNU02000000:9:603693:604925:-1 gene:OGLUM09G00380 transcript:OGLUM09G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRPLESVLVAPGVKGKKVLAFKRDGLKKNEAVTGLIHDIVASSSARSAFHVLDLAKVVDLYAGWRRALPGVRPFYAVKCNPDTALLGALAALGAGFDCASRAEIEAVLALGVPPAAIVYANPCKPGAHVAFAAEAGVNVTTYDSEEEVAKVKRCHPSCELLLRIKAPDCGGVKVDLGLKYGANPDEVLPLLRAARRAGLGVAGVSFHVGSGASRAAVYRGAIEAARAAFDAAAELGMPPMRVLDIGGGFVAGAAFGDAAAVINRALGRYFGDLLPTVEVIGEPGRYFAETAFTLAARVIGKRRRGDVREYWIDDGVYGSLNCILLDSYVPRPRPLAGARPGEETHASTVFGPTCDSIDTVVTGYQLPEMSVDDWLVFDDMGAYTTAAGSSFNGFATSAINIYLAYSS >OGLUM09G00390.1 pep chromosome:ALNU02000000:9:665144:666883:1 gene:OGLUM09G00390 transcript:OGLUM09G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLHPVLFSIIFFLLSPAGSPRGVAAADASPPFSFSFDFTTASTYRTDDLSFLNDVKQRPGMVDLTSCLSRCRGRMSYNHPTRNTRDVEVASFSTNFTFAIKAIDGGCQGEGMTFFLASYPSVMPGNSDGGDLAIIDGDTEIAVGQNRFVAVEFDTYNRSTYDPPGNHIGIDLSSVKHSMNTTILPFTLNGSMTANIIFDGTTRMLVASLWLHDHPSANNPFQVSFQLPDPVSSVLPAPEVAVGFSASTGVCKEDHQIMSWSFSSTLGPIPRGLLKLSDLNGTGGLLEMQIVLFSYPY >OGLUM09G00400.1 pep chromosome:ALNU02000000:9:679248:681985:1 gene:OGLUM09G00400 transcript:OGLUM09G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVRFADRHVKLKIILGVIVPGLAVALVWWFFVSCGEQKKMRNTFEMGTRGARRFKYRDLAAATENFSQSRKLGQGAFGIVYRGDKLKQLDRQVAVKKIVRESSEGHKDFFAEVRTISESKHKNLVKFFGWCSRGHSWNILRFMCSCFWSKKNSELFLVYELMTNGNLDDYLYKSESEKVLSWQTRYKIVKDIGSGLFYLHHECYPYIIHRDIKPGNVLLDEEFNAKLADFGLSRVANPNNKTLKTAAIGSQGYLDPQCMKDGKVSFNCSSDVYSFGIALLEIVCARKHREQIWGLYSSGGDVVEAADSRLAIGGNGAVRREMERVIVLGLCALPSRLNTGLPCSKQ >OGLUM09G00420.1 pep chromosome:ALNU02000000:9:721151:734754:-1 gene:OGLUM09G00420 transcript:OGLUM09G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSLGVRLGLWSSTWRPMNSDRQKKREARMKKGMAIFGMNSMGTVGATLRTAKNPRAGPAYNDAACGQLAISKNKSYEAEIAALKNQNAALQMKIQALENYRQAKHKEYAELETIYKKLKAKHCNCLLHALTHAASRRLNVEKNKSYEAQIAGLNQQISALQMKIQALDKYRQNRNRDYCELEKKFKALEIKHTDQDKKYKAMEAKYTNLDKKYVTLLEKHGDVVEARSRGARSQEDWTGGQGVLHPGSRTSRRGLEPAAS >OGLUM09G00430.1 pep chromosome:ALNU02000000:9:740052:741267:-1 gene:OGLUM09G00430 transcript:OGLUM09G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSSSPATTAGRLSPASRCAQPPPPPFPNTSGAAAEASLPDPGADLAASSSAASASKLRSRRHPRHPHHNTAAPTHNPAAGFLPSGRPERIWAATACRRRAAREAKPRCCRPCGRTALPTAARAAARQIRRKGEAGDSGIPIFRDNEKTGREFAKTNMKNNSVEVLFA >OGLUM09G00440.1 pep chromosome:ALNU02000000:9:743634:744814:-1 gene:OGLUM09G00440 transcript:OGLUM09G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGQATEVLEKGNIRLQDREIAGLEEQIRTLRMKLQNHESYEEQKRREYYNLESEYNKLHSSYRNLQACHHNLQTSYHNLEARHNALRWQMSRPGCCG >OGLUM09G00450.1 pep chromosome:ALNU02000000:9:745991:746188:1 gene:OGLUM09G00450 transcript:OGLUM09G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLDAASPFLLYGAAAAGGSSRLLAAASSLRVGALKYCQIYKPYHRIYEPWSSGRCLLHPPP >OGLUM09G00460.1 pep chromosome:ALNU02000000:9:753795:755239:-1 gene:OGLUM09G00460 transcript:OGLUM09G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLQFQPTCGKRPIGARFSTSGTYSVETNLMFCSNHRATRRGYTGDPPTTVLERENADAHKDQVKGLNGRISKLNGTIKELNDTIEALERQVQNLTRYKEEKQKRHANLQKEFAELERKYRDLDAAHKNCGPTVRFPVFTVGQPYYHHHY >OGLUM09G00470.1 pep chromosome:ALNU02000000:9:761182:765280:-1 gene:OGLUM09G00470 transcript:OGLUM09G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPLPPLLPSPMHFLLLLLLHLLSFSSSTAASASSEVAFLTQWLNTTAARPPDWSPSASSPCKWSHVGCDAATGSVTSVTFQSVHLAAPLPPGICAALPSLASLVVSDANLTGGVPDDLHLCRRLAVLDLSGNSLSGPIPASLGNATAMASLALNSNQLSGPIPASLGNLAASLRDLLLFDNRLSGELPASLGELRLLESLRAGGNRDLGGEIPESFSRLSNLVVLGLADTKISGALPASLGRLQSLQTLSIYTTMLSGSIPAELAGCGNLTNVYLYENSLSGPLPPSLGALPRLQKLLLWQNSLTGPIPDTFGNLTSLVSLDLSINAISGAIPASLGRLPALQDLMLSDNNLTGTIPPALANATSLVQLQLDTNAISGLIPPELGRLAALQVVFAWQNQLEGSIPASLAGLANLQALDLSHNHLTGAIPPGIFLLRNLTKLLLLSNDLSGVIPPEIGKAASLVRLRLGGNRLAGTIPAAVAGMRSINFLDLGSNRLAGGVPAELGNCSQLQMLDLSNNTLTGALPESLAGVRGLQEIDVSHNQLTGGVPDAFGRLEALSRLVLSGNSLSGAIPAALGKCRNLELLDLSDNALSGRIPDELCAIDGLDIALNLSRNGLTGPIPARISALSKLSVLDLSYNALDGGLAPLAGLDNLVTLNVSNNNFTGYLPDTKLFRQLSTSCLAGNSGLCTKGGDVCFVSIDASGRPVMSADEEEVQRMHRLKLAIALLVTATVAMVLGMVGILRARGIGIVGGKGGHGGGSSDSESGGDLAWPWQFTLFQKLSFSVEQVVRNLVDANIIGKGCSGVVYRVGLDTGEVIAVKKLWPSTRNGADKDDVAGGGRVRDSFSAEVRTLGCIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRHGGHGGGGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGQHVVDWVRRRKGAADVLDPALRGRSDAEVDEMLQVMGVALLCVAPSPDDRPAMKDVAAMLNEIRLDRDDYANVDLLLKSGAAAASPPRAAAAATSTSSSTPPSSSSFSGSSAMIYNSSSKAKLPFD >OGLUM09G00480.1 pep chromosome:ALNU02000000:9:762313:764452:1 gene:OGLUM09G00480 transcript:OGLUM09G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCRMHPSVLTSAENESRTRPPPATSSLSAPLRVLGQSFLTAMTSPVSRPTRYTTPEQPLPMMLASTRLRTTCSTLKLSFWNSVNCHGHARSPPDSESLLPPPCPPFPPTMPIPRARRIPTMPSTIATVAVTSSAMASLSRCIRCTSSSSALITGLPLASMLTKHTSPPLVQSPELPARHDVDSCRNSFVSGSVTRLSRPASGARPPSSALYDRSSTESLLSAEILAGIGPVSPFRLRLSAMSRPSMAQSSSGMRPERALSLRSRSSRLRHFPSAAGMAPESELPLRTRRLSASSLPNASGTPPVSWLCDTSISCRPRTPASDSGSAPVSVLLLRSSIWSCEQLPSSAGTPPARRLLPRSRKLMLLIPATAAGIVPASRFPPSRSRTRLAALPISGGMTPERSLERRSSLVRLRSKNIPGGMAPVRWLCERSSAWRLARPASDAGMEPSSWFCHANTTCSAARRPSSGGMRPEMALVSSWSWTSDVAFASAGGMVPVRLLSLSMRSCSAGRRPSDAGMAPEMALMERSRETREVRLPKVSGMGPVSEFCHSSSFCSRGSAPSDGGSGPESEFS >OGLUM09G00490.1 pep chromosome:ALNU02000000:9:769839:781954:-1 gene:OGLUM09G00490 transcript:OGLUM09G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AAR2 protein family [Source:Projected from Arabidopsis thaliana (AT1G66510) TAIR;Acc:AT1G66510] MSSGGGAAAAVDPEAATELVRKGATLLLLDVPQRTLLGVDTQVFSVGPKFKGIKMVPPGPHFLYYCSPNRHANEFAPTVGFFLTTHPSEVIVRKWHAQEERLIKLPEEEEIRYSEAVRHFEFDSQLGPYNLDSFGDWKQLSSYLSQSVIERLEPIGGEITIAWESSWMDKAPQTDMERRLMDQLKDGKFAKNAPVQSERRGCYYTTIPASIKHSNISGDELTALNLDKTCLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALPLHTRTNLFVKFIRAIYYQLKHGFQHTQDNRSGEEMENSLFLDEAWFSRDIFLYRLSKDFFAVILEATVVDGDLLSWTRKLKSLLETTFGWDLDNNTVNLIDEDDELALTSLIRVMLLNFYH >OGLUM09G00500.1 pep chromosome:ALNU02000000:9:782391:782570:1 gene:OGLUM09G00500 transcript:OGLUM09G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNQELEAELLAGIGELRFKLELKQLIQGDAISLSMALPLQILIWQLIEMYSLVALGY >OGLUM09G00510.1 pep chromosome:ALNU02000000:9:783466:784672:-1 gene:OGLUM09G00510 transcript:OGLUM09G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGNPCPHAYYRCTVAVGCPAAVAKSSSANAATTEMLELLRDFSDYSSFNSNISGELERLAAAVTPRSDAPQVAAVDLNGGSSSSSRLTTMMPPPLLQLGRRQALPFIVPTGRCASLAAVVEEISGRRASPPWTSAAGDAVAVIPSYENHVTEVSTCVKHSSSHTDIDRLRLSITTPFHDYDVITLIDKIINPLFWC >OGLUM09G00520.1 pep chromosome:ALNU02000000:9:788217:801275:1 gene:OGLUM09G00520 transcript:OGLUM09G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRKEKKKEKRSQQSTHVATQQSKGIRFQRRIFAFCLGVGAPRRRRRGRRRSSTAPAYIRVCERERLQMASAAEEAKGVEEGGGGASANGGDNPATATASASAAAAASSSSPADDRGLPRSSTMPGGINNVEITNETAGPSNLERSRTERRRQNNPADDPTKQLFDDKISLKKKLKMLNRIATVKDDGTVVVDVPSSLETSTTDGVAYDGYSDVTVEEPLDGADIPVRPPMQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFFPLGDMVKNKGFLPSGPSEIPIQRKQMKEIIFSLLPACKEPDPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQAAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPEPLVKWLEAGDKPIYVGFGSLPVQDPAKMTEVIVKALEITGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGVGPLPIPVDQFSLQKLVDAINFMMEPKVKDNAVELAKAMESEDGVSGAVRAFLRHLPSRAEETAPQQTSSFLEFLGPVSKCLGCS >OGLUM09G00530.1 pep chromosome:ALNU02000000:9:804785:808808:-1 gene:OGLUM09G00530 transcript:OGLUM09G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRLSAATPSSPLLLLRRRRLSSAAAEADAGAGEWVRRAGALSLLGLTGAVAASAISDLSVFLSCSSQAIEKASQNQQIVNAIGAPIVRGPWYSTSIAVNHARHSVSCTFPVSAPQGNGLLKFKAVRSGDESWFSFLQRSDWEILLMDAILDVPTDDGKHQTIRVTIPDNTTPSPQIDCKACKSQPTPTPPPPPSPSPPQK >OGLUM09G00540.1 pep chromosome:ALNU02000000:9:811167:818162:-1 gene:OGLUM09G00540 transcript:OGLUM09G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFAPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTRSLCRSAAAAVSGPIRHRAAAGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTVSGMAPPSVYVRPPPPVQSQPTPVQGFYGGPPPANQQFPMSRPAFQQPVQTVPPPPMVGFGNQAAYVTGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OGLUM09G00540.2 pep chromosome:ALNU02000000:9:811167:818162:-1 gene:OGLUM09G00540 transcript:OGLUM09G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFAPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTVSGMAPPSVYVRPPPPVQSQPTPVQGFYGGPPPANQQFPMSRPAFQQPVQTVPPPPMVGFGNQAAYVTGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OGLUM09G00540.3 pep chromosome:ALNU02000000:9:811167:818079:-1 gene:OGLUM09G00540 transcript:OGLUM09G00540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFAPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTVSGMAPPSVYVRPPPPVQSQPTPVQGFYGGPPPANQQFPMSRPAFQQPVQTVPPPPMVGFGNQAAYVTGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OGLUM09G00540.4 pep chromosome:ALNU02000000:9:811167:818079:-1 gene:OGLUM09G00540 transcript:OGLUM09G00540.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFAPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTVSGMAPPSVYVRPPPPVQSQPTPVQGFYGGPPPANQQFPMSRPAFQQPVQTVPPPPMVGFGNQAAYVTGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTLFLTTTRYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OGLUM09G00540.5 pep chromosome:ALNU02000000:9:811167:818162:-1 gene:OGLUM09G00540 transcript:OGLUM09G00540.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec23/Sec24 protein transport family protein [Source:Projected from Arabidopsis thaliana (AT3G07100) TAIR;Acc:AT3G07100] MQPPMGNDRPPQGVPGRPVSAFVPGAATAAPPPSSFGAASAPRAPFAPPPQAAASPAAPFAAAPPAAMAGYRGPPPPQRPFGAGPPQQGPFAAAAPPPQGPFTSAPSSQGPFAAAPQPPSQGPFGTAPPPSQGPFGTAPPPSQGPFGTAPPPSQGPFAASVPPSQGPFASAPPPFRPPPSLVQSPTVSGMAPPSVYVRPPPPVQSQPTPVQGFYGGPPPANQQFPMSRPAFQQPVQTVPPPPMVGFGNQAAYVTGGPPTGGTLQSLVEDFQSLSVSSAPGSLDPGVDVKGLPRPLDGDEEPTKVLEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCGIDGSGRRYDADQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDDLPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFQDNVNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGVGRLRLRGDDVRAYGTDKEHSLRVPEDPFYKQMAAEFTKNQIAVDIFSFSDKYCDIASLGSLAKYTGGQVYHYPSFQAVTHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTLFLTTTRYTSSSGERRIRVHTAAAPVVTDLGEMYRQADTGAIVSLLSRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIYPESLRFLPLYILSICKSLALRGGYADVSLDERCAAGFSMMILPAKKLLNFIYPSLYRVDEVLSMEPDRIGGSLKQLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKVQLRECDNEYSRNFMKILGTLRERDPSYHQLCRVVRQGEQPREGFLLLSNLVEDQMAGTSSYMDWILQIHRQTQS >OGLUM09G00550.1 pep chromosome:ALNU02000000:9:823175:823663:1 gene:OGLUM09G00550 transcript:OGLUM09G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWKQTGQQKGGALRKVRRFASPEEELKDEARTIETSESSMFRRDRGGGISTKNRRASREHLLQLRRSLPTLPPTQRRVGASRATEQLDNLEGGGNIGGGVEEGADATEEALELPTRPADDSPTGPPRGGRAPPCMRQPPARTRQPPPPTRAGLATDTPQPE >OGLUM09G00560.1 pep chromosome:ALNU02000000:9:825802:828914:1 gene:OGLUM09G00560 transcript:OGLUM09G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFKKEHESSDV >OGLUM09G00560.2 pep chromosome:ALNU02000000:9:825802:828158:1 gene:OGLUM09G00560 transcript:OGLUM09G00560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAESSLFKMPTADANIAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKMKVDHNDGSSQQSSSLPRDISSQNVPQRSRFDPTGEIQTGISESHEIFNHRDAIQSSAGLSGQQGENSYNQDLDLTLEAQQRESSSTVESSELTRLNQLACPLCRGTVKGWKIIKEAREYLDEKSRSCSRETCAFSGNYRELRRHARRVHPTTRPADVDPSRRRAWHRLEHQREYGDILSAIRSAMPGAVVFGDYVVEGGDMFSPDQEGGMPNEPSGSLLTTFFLFHMISSSPMRSGDEIRGSSRGLRRQRRRYLWGENLLGLQYEDEDEDDEEENLDEDVQRPRSRRRFVRSRSEERS >OGLUM09G00570.1 pep chromosome:ALNU02000000:9:829405:834468:-1 gene:OGLUM09G00570 transcript:OGLUM09G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPSDPPMSALSHTEGDSSGFTRNNDRNDDAVGGEDSTSSYQPCNSMKCKDGTQIMSLANEDVSNLASDCQEPPSESNHAISHGSNTDSKEEMSSGSGYRRQQSCFSSSTCSWRSSSEVESVSSTPDRSGDVVGNMSVRSKTFERRPDHIASYPSASPDIRRLHAAEGKADFTLDYHSEERWSRRSNQSTAFRTSNGQSIEHHSEIVDIPRQANSMDETTSSSSQWSFDNWGPSLPRGMQYGDEIPSLSSQNYGARIPSLSSRQSYGDEIPSLSRNCNYASRQSYGDEIPSLSSRHCNSFSSRQSYGDEIPSLSRHCNARSSRQNYGDEIPSLSNSDYQCYQDRIPLHHRQWCHDAEAHPQLNYGRGASHGNRYSRDSFVSSIATNQRFKMGTGKHTVTRSDHHRTIKNDNVCKHSDDTLEQVRGPRANKLENATRSKTQEDIRSPLVRRDQFNRPDFIVEYEQAKFFMIKSYSEDDIHKGIKYNVWASTPHGNNKLDAAFREAQILIKEKGKKCPVFLFFSVNSSGQFVGLAEILGPVDFKKTMDFWKLDRWNGFFPVTWHIIKDIPNRLFKHITLENNDNRIVTFSRDTQEIGLLQGLKMLKIFKDYDQETSLLDDFNFYEEKENARCAKKGINAESTHEARLLFFGTGARHSDDFKSMENLEASMENTILY >OGLUM09G00580.1 pep chromosome:ALNU02000000:9:837877:842037:1 gene:OGLUM09G00580 transcript:OGLUM09G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEPPASSSLGNAGEEEEDDDFYWDAEAEAELQAIETAYAAAKRRRLPDWPSPNPVTASASASASGGCSPAPPWAPSPPAFRGNVKARYQPVMFNGSIVYCRTPSEVEKATRDILCKIETMKASGQVSLGFDLEWRPFPRRGDPPCKVAVMQLCMERTRCYVMHIIHSGVPPVLKSLLEDSSSVKVGICIDNDARKMFNDYDVHVQPLMDLSNLANAKLGFPPKRWSLASLTEMVTCRELPKPSNIRMGNWEAYVLSKQQLQYAATDAYISWHLYEVLQSLPDNNVEVEKETITAA >OGLUM09G00580.2 pep chromosome:ALNU02000000:9:837877:840541:1 gene:OGLUM09G00580 transcript:OGLUM09G00580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEPPASSSLGNAGEEEEDDDFYWDAEAEAELQAIETAYAAAKRRRLPDWPSPNPVTASASASASGGCSPAPPWAPSPPAFRGNVKARYQPVMFNGSIVYCRTPSEVEKATRDILCKIETMKASGQVSLGFDLEWRPFPRRGDPPCKVAVMQLCMERTRCYVMHIIHSGVPPVLKSLLEDSSSVKVGICIDNDARKMFNDYDVHVQPLMDLSNLANAKLGFPPKRWSLASLTEMVTCRELPKPSNIRMGNWEAYVLSKQQLQYAATDAYISWHLYEVLQSLPDNNVEVEKETITAA >OGLUM09G00590.1 pep chromosome:ALNU02000000:9:846672:848346:-1 gene:OGLUM09G00590 transcript:OGLUM09G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRIPKNHFIWSTFTQVTNPNLKHCQKQIIQAVYVAMNNLIDLVPATTFADLDSTAMLTIGFASKDI >OGLUM09G00600.1 pep chromosome:ALNU02000000:9:861348:863515:1 gene:OGLUM09G00600 transcript:OGLUM09G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZD0] MVLQHSDRMDSLFSPQTSWVSGPIIVGAGPSGLAVAASLREQGVPFTMLERADCIASLWQKRTYDRLKLHLPKQFCELPRMAFPAHYPEYPTRRQFIDYLEDYAAAFDINPLFGHTVLSARYDETSGLWRVRASSSAGAEMEYIGSWLVVATGENAESVVPDIPGIDGFGGEVVHVADYKSGEAYRGKRVLVVGCGNSGMEVSLDLCDHGARPAMVVRDAVHVLPREVLGKSTFELAVLLMAWLPLWLVDKILVLLAWLVLGNLAKLGIRRPATGPLELKNTTGRTPVLDYGALARIRSGEITVVPGVARFGKGFAELADGRVIALDAVVLATGYRSNVPQWLQGNDFFNKDGYPKTAFPNGWKGESGLYAVGFTRRGLSGASADAIRAAKDLARVWKEATKPTKKSTACHRRCISVIF >OGLUM09G00610.1 pep chromosome:ALNU02000000:9:870994:873107:-1 gene:OGLUM09G00610 transcript:OGLUM09G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDEHLRLPPRSGPGELHHRAAAAAPTQPREAAISLHVDAEADDGPAAAERSSTTTREKRLERFMEYSKRALLYEFPLATSLLQHWTASFTGGSAWAKAGVALLCAALFVDLMGSVYLALVTRLLDAEVTDASCRWHGVRVYASGVLLMSMPFCLLMSLNALYAFLAVALVPPIYLVFLLFAKEHRHGHRGGVLHEFPPPRGERTTMISYEDYDGKLKGQFDASAAVNTIATGAGLMGTFFGYSTANFSPNHDVTVSESLLFLTIVGAQFVMLVTAARPMFRKESSPARLAGFLGLLVGSLPVLLSLSAFAGAIDFLGGLALLAFSIDFLELVVFFKATFYREALEEEPDAPPPPPTSTTTTDGLQLLWLCVMYIYFTALEALYQELARRKTVGWMDSGRVLVYFWAFCCCSLDGGRGKLPLLPPLEELRKRHHQSLGRARYAVMVLAALDVLWQCDNNVLLILF >OGLUM09G00620.1 pep chromosome:ALNU02000000:9:898189:901835:1 gene:OGLUM09G00620 transcript:OGLUM09G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPARDSPHSSLRAHSLSSSLRPNPGCRRSPQLDQRRRCRVFTAAGPAHSLSCLLATPRHTPPHRAPAAAVVVPHSSGAPSRTASAGTVVVPLSVRAPPGTAPDVAGCSPFCRRCCARHAGMQLSSPKVPNLSNVSFDLRRVRPSSFLAPSSLLCQHT >OGLUM09G00630.1 pep chromosome:ALNU02000000:9:903214:917940:-1 gene:OGLUM09G00630 transcript:OGLUM09G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRDTSIVGIYGANNKTLQHIRPCGDGAESVIPLIQQDTCKLVPLSGAAARPWLWILLMAMESDWRMIAMVTPAPPGGWDFSDDALRDEASVKGRDVPFMMHTRKQPPTSLYMQQPPPSKPHPAAGLLLHAAAVAIPKATHSTPCHPTFARSRHRRPLSTRNGRRRPGSHAQQSPLLYHATAPPPTSHYTQLLPPPQRHAPQSPLPTTLCTPQPSLSPKPYAAATAALPVCVGAATPSLSEPPSTSLYTPQPPLPPSLSA >OGLUM09G00640.1 pep chromosome:ALNU02000000:9:919428:921637:1 gene:OGLUM09G00640 transcript:OGLUM09G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVREGKRSPDPPLPSPPMVDPRERETKRQRDPGVGGGSARAASTAWNRCMRRPCRRPQSATTLLHLLAVALLHLLPDQGEEAPLAAAAAGRRKREVVLYISNDDDDLALIDVTYPKRDVDNMQWFREITLLYRGHRLSAPFVLGLIVLCGHAPPNNWCPWDEKGVRDHPRDPDTPIRYIVIYISGSHALCTSLTATSPSTPSACFLSTRTAEGWRGSSHSCTTGVSRWPASVRAM >OGLUM09G00650.1 pep chromosome:ALNU02000000:9:922574:929851:1 gene:OGLUM09G00650 transcript:OGLUM09G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILAWAADVVGGAGAANDDEADDARAVASAAMTPEQRLRAADLDAKAASLRRSIHDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQQSSSCNYSSRAGCYLHPYLKLKTSAATCFGSLPPFRIAADLRFPAISAMTTNMRPNDTFNTISHKALQREITLQEENAAYEKAISNCRQKIQEKQMEVTLLRSNLKEMEISEQDLKAQLDNAQNEQYASQNKASAAASDNTGNALMEAESLINLKSNDLKEKNEELAQAEGLIVDVHAKEKELERLNNLHKNIQSRNNDGSVARNPFRAVHEDSDAKAVRRPYQFGLRTEGLKRLMILRSAFVLYILVLHIVVFIKLSVSKQ >OGLUM09G00660.1 pep chromosome:ALNU02000000:9:935769:939079:-1 gene:OGLUM09G00660 transcript:OGLUM09G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDEGDGGSFDATPTVQPVLLQSADLQVDEVGEPEDQYILLSSTHPDLQENQATGSELAFYMDIEDNPASEQYVYVPGTSYDAKESVAHAAIQCLQKKKNIADEDINYNSLICTEKKFHTTKQMLDLFAHGLQLQRNEGHLLQLKYNKLVHKITKICAQSTSYLPIHVNGSDNQHGQTNDITVTYTGRSPPITSNEIFAKKLIALMRFKRDQSSSFPHRQILFSQSLKFGWFDSLYDHAYLCPPNLKGSESFGWSGAVEQKTQLHP >OGLUM09G00660.2 pep chromosome:ALNU02000000:9:935769:939079:-1 gene:OGLUM09G00660 transcript:OGLUM09G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPDEGDGGSFDATPTVQPVLLQSADLQVDEVGEPEDQYILLSSTHPDLQENQTSYDAKESVAHAAIQCLQKKKNIADEDINYNSLICTEKKFHTTKQMLDLFAHGLQLQRNEGHLLQLKYNKLVHKITKICAQSTSYLPIHVNGSDNQHGQTNDITVTYTGRSPPITSNEIFAKKLIALMRFKRDQSSSFPHRQILFSQSLKFGWFDSLYDHAYLCPPNLKGSESFGWSGAVEQKTQLHP >OGLUM09G00670.1 pep chromosome:ALNU02000000:9:939351:939652:-1 gene:OGLUM09G00670 transcript:OGLUM09G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEISSRLLRASLLSPFSPLRFSRLIQRFLPFLFFPRVSFTVLLRIAFPSELLALPVWSAACARSGGPRASTLSVKIIE >OGLUM09G00670.2 pep chromosome:ALNU02000000:9:939351:939652:-1 gene:OGLUM09G00670 transcript:OGLUM09G00670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEISSRLLRASLLSPFSPLRFSRVSFTVLLRIAFPSELLALPVWSAACARSGGPRASTLSVKIIE >OGLUM09G00680.1 pep chromosome:ALNU02000000:9:946057:964879:-1 gene:OGLUM09G00680 transcript:OGLUM09G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAKRNLVITGEKRCPDRAVQERRSAATAVPGVQSAAVRRSAVPIDPVHFWLSPHAPDVAKESNQERVDREIPSFFLKSGRRPRRPSPSNSRERDISGNLPALIPDQVRKLKKLSVLTLAESTKQPINQNIQSFPLKY >OGLUM09G00680.2 pep chromosome:ALNU02000000:9:946057:964879:-1 gene:OGLUM09G00680 transcript:OGLUM09G00680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAKRNLVITGEKRCPDRAVQERRSAATAVPGVQSAAVRRSAVPIDPVHFWLSPHAPDVAKESNQERVDREIPSFFLKSGRRPRRPSPGLHSPSPLALTRPPPPLRAAAHTPRNAAYSPRCRCRRRRRVAYSPRSAAVAPSPTLLAVGAAVTSRTQPHCRTSLWPPCGQIRRRVGRVRRIGQPRHPRRRIGLPGGEQPINQNIQSFPLKY >OGLUM09G00690.1 pep chromosome:ALNU02000000:9:966019:971860:1 gene:OGLUM09G00690 transcript:OGLUM09G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPSKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQEKCSTFNDACLNNLKLVTSTSNYGWRIKDGKFTLNDKQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKQGSFQDEVKDIVGMETDGGLWDGTEYGGEVGENNHMNPRNSRVFLVTMSPTHTR >OGLUM09G00690.2 pep chromosome:ALNU02000000:9:966019:971860:1 gene:OGLUM09G00690 transcript:OGLUM09G00690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17940) TAIR;Acc:AT3G17940] MEQLVGVHHHHSSSSSLSPRTPSPTHPLPHLLRLPSSSNRLRPPDHPHSSHPVSKLLRVTPPFFLVLLAAVYLLASFTIFSSPAASLRPSKNRPKLLLPMPAPSPPPPDLFELHGGRIRAWITNVGATVTSLLVPDNNGVLGDVVLGFDSLDPYQYSLAINNPPNTLHGGFKGFDKIIWEVAEYVKGENPSITFKYYSKDGEEGFPGDVSVTARYSILASTTLKLEMEAIPLNKATPISLAQHTYWNLAGHNSGDVLAHTVQILGSQITPVDETSIPTGEMMPVSGSPFNFLTETTIGSRIDQVPGGYDHNFVIDCGEVKSGLCHVAKVTDPSSSRVLDIWADAPGVQFYTGNFLNGIVGKGGAVYGKHAGLCLETQGFPNAVNQPNFPSVVVQPGEKQGSFQDEVKDIVGMETDGGLWDGTEYGGEVGENNHMNPRNSRVFLVTMSPTHTR >OGLUM09G00700.1 pep chromosome:ALNU02000000:9:975061:976003:-1 gene:OGLUM09G00700 transcript:OGLUM09G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGSVVQEKKKEKGEKKGKREKELHVIVGKTTHSPSMKISSICKIRSRSIDNGCCSPPPRLLLAANAWSGRGRLVKLPTLAAARCHHTLLVAVGDDDCCSSPPCPLPAIMPSCSPSRLGWFAAT >OGLUM09G00710.1 pep chromosome:ALNU02000000:9:976558:977291:1 gene:OGLUM09G00710 transcript:OGLUM09G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNGDGEEIGNGRTLALMAEQIRSVRLRRAEVAVDNDEQPRCWMGSLVARSCQRASVDSELMG >OGLUM09G00720.1 pep chromosome:ALNU02000000:9:990154:991822:1 gene:OGLUM09G00720 transcript:OGLUM09G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAICLPRTQVICHCPVGRSLSFPRPMPIWDIVPIICSMLYMTLNNNEKLYKIISLAQFIHAIAATEKGQKATDFFSDSLFTSAGRSGSGKAGCVGSRADQDGRLDGREVRDGGISSGGPRGNTGPSITVDTEMEQPRQLKGRPPQLGRMREVSSAAGGNDFRRFRGWRECEKCRPSARGIDFR >OGLUM09G00730.1 pep chromosome:ALNU02000000:9:1000644:1005876:-1 gene:OGLUM09G00730 transcript:OGLUM09G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein [Source:Projected from Arabidopsis thaliana (AT2G15240) TAIR;Acc:AT2G15240] MLPTTASKGRSAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYGESPSHAALTITSVVLVHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVILIGFNPTRYFLSLYFR >OGLUM09G00740.1 pep chromosome:ALNU02000000:9:1008021:1008856:1 gene:OGLUM09G00740 transcript:OGLUM09G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNTTSNDPKTTKDELAPPAPTAAEHGGGKDAVTKTVQTVEVKESVGQEPVLKPTKVVHQIPADQAKDAPKQD >OGLUM09G00750.1 pep chromosome:ALNU02000000:9:1023597:1035700:1 gene:OGLUM09G00750 transcript:OGLUM09G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSCLLAAVRPHPPPPPRPLSPSFIPSALRHRHRLSQAPPLATSLPRPRPPWCRFSASSPPPPPDDPDDYELLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >OGLUM09G00750.2 pep chromosome:ALNU02000000:9:1028284:1035700:1 gene:OGLUM09G00750 transcript:OGLUM09G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVISANAGGAWTPIGDVTTTMLWIHGQITTLNTMQGLFLPSVVSLAVPLALMSLTSEANGSSQKSSSLLSSEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPFMGMMLGLAILWILTDAIHYGDSGRQRLKVPQALSRIDTQGVLFFLGILMSVGSLESAGILRQLANYLDANIPNADLIASAIGVASAIIDNVPLVAATMGMYDLTSFPQDADFWQLVAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYFRKVSGFALAGYAAGIITYLAAQNLPLSLPTSLAEIPFISGS >OGLUM09G00750.3 pep chromosome:ALNU02000000:9:1026676:1028634:1 gene:OGLUM09G00750 transcript:OGLUM09G00750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLDTTGNCDPLCSVDEVSSQYFEANYKPKNDLLKALTIIATALAGAAAINHSWVAEHQDIAMVLVFALGYAGIIFEESLAFNKSGVGLLMAVCLWVIRSIGAPSTDVAVQELSHTTAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRNPRTLLWVIVYAIILNDIISMDGFLLLMPCYQNGLEPHSF >OGLUM09G00760.1 pep chromosome:ALNU02000000:9:1026491:1039022:-1 gene:OGLUM09G00760 transcript:OGLUM09G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEESREATTVVAELPHHSRSVPPSSSPRSLRRGEEGHRSCATELVLVSRSRGEELVIVRAPLSSKSSPAREPPSSSSSNCLAPQTSSSSIRRVRRHLGFLDRCRIPMRVGLEQRPGVERPRWGEGIGRWRCSSLLRCGEWGKAGSGGVHRQGGERPEAAASLVNSPRWVGGESGEGRGPGGCGCLGGWRKLCRAEEEGKLEQFAEKMKELTSNLKPRRTGVGYMLVAASHYPTISSNSRVCY >OGLUM09G00770.1 pep chromosome:ALNU02000000:9:1065489:1067911:1 gene:OGLUM09G00770 transcript:OGLUM09G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMAISLLLLLLLLLGAGHMTQPTAAALNQDGVLLLSFKFSLLADPLASLAGWGYSDDTPCAWNGVVCMAFPSSSASEAARVVSVVLPNAQLVGPIARELGLIEHLRHLDLSGNALNGTVPVELLRAPELRVLSLAGNGINGALPDQVGQLRSLRALNLAGNALSGPIPANLTLLPNLTAVSLANNFFSGALPVGGFPALQVLDVSSNLLNGTLPPDFGGAALRYVNLSSNRLAGAIPPEMASRLPPNVTIDLSYNNLTGAIPTLAPFTVQRPTAFAGNAELCGRPLDSLCASAADPPINGTARSPPAIAAIPKNPTEALPGDDTGAPASGSGQQGRMRMATIIAIAAGDVAGIAVLVVVFMYVYQVRKKRQREEAAKQRMGLVAGRALTSLELCQWSSAEESGQQVFRLADAALRGEMAGREEALASCLRLGFACCAMAPHKRPSMKEVVAAMDRIPSPSSSSSAQ >OGLUM09G00780.1 pep chromosome:ALNU02000000:9:1074147:1076531:-1 gene:OGLUM09G00780 transcript:OGLUM09G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79540) TAIR;Acc:AT1G79540] MRCAAAARSFLPLPSTTRIHTTATASSPSLAAELDAADALHALLSTLPPSLPALLPCLSLLSPTLTPHAVSDALLCAAIPAASRLRLFLFSALSPRLRSRPLHAHAVSLLLRLSSHADEAMFDALADARAAGLPASSSAFAALVAAHSSAGRHADAVQAFSRMDEFQSRPTAFVYNTILKALVDSRVILLALALYNRMVAAGCAPNRATYNVLMDGLCKQGMAGDALKMFDEMLDRGIMPNVKIYTVLLSSLCNAGKIDEAVQLLGSMKDKGCLPDEVTYNAFLSGLCKVGRVNEAFQRLVMLQDGGFALGLKGYSCLIDGLFQARRFDEGFGYYKTMLERNISPDVVLYTIMIRGCAEAGRIEDALSFLDVMKKKGFVPDTFCYNTVLKVLCDHGDLERAHTLRSEMLQNNLVLDSTTQTIMICGLCKRGLVDEAMQIFDEMGEHGCDPTVMTYNALIDGFYREGRLEEARMLFHKMEMGNNPSLFLRLTLGANQVRDSESLRKLVHDMCQSGQVLKAYKLLRSIIDSGVVPDVVTYNTLINGLCKARNLDGAVRLFKELQLKGISPDEITYGTLIDGLLRAHRENDAMMLFQNILQSGSSPSLSIYNSMMRSLCRMKKLSQAINLWLDYLPKKYNFPVESEVLANAHKEIEDGSLDDGVRELIKIDQEYGSISSNPYTIWLIGLCQVRRTDDALRIFHTLQEFGIDITPACCALLINYLCWDRNLNAAVDIMLYALSKSIILSQPVGNRLLRWLCICYRRQDAQALAWRMHLVGYDMDVYLREPTKSLLYSQ >OGLUM09G00790.1 pep chromosome:ALNU02000000:9:1079460:1084548:-1 gene:OGLUM09G00790 transcript:OGLUM09G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPLFLLLLLTGVTAEPAHPGYAEGDGSSCDVAAVAVAERREEFDGGRIVDISHYYREEMPEWESADGTGGGFLRLVRSMRNGSDIANFSELRLTAHSGTHVDAPGHVFDHYYHAGFDVDTLDLAILNANVMESLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLIDNTDIRLVGSHPCGSLKFRACYPRNIYLALLATKVTWF >OGLUM09G00800.1 pep chromosome:ALNU02000000:9:1086129:1097196:1 gene:OGLUM09G00800 transcript:OGLUM09G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAGGVSYQRFPRVRIRELKDDYAKFELRDTDASMANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMAMRFSRDCDACDGDGSCEYCSVEFHLAARATDSDQTLEVTSNDLRSTDPKVCPVDQARAYQHALGGTEPFDTAAAADQRQHPLPCCPIMDIIAKKLGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIRINEELMETLTLEEKRNLVESSPTKVFNIDPNTQQVVVEDAEAYTYDDEVIKKADAMGKPGLIEINAKEDSFIFTVETTGAITAYELIMNAITVLRQKLDAVRLQDDDADLGELGAHLVGG >OGLUM09G00810.1 pep chromosome:ALNU02000000:9:1111984:1112768:1 gene:OGLUM09G00810 transcript:OGLUM09G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELGLHRRRRDPTGSTEGADIIGGRPGSWLALAQSVAARGSWPKSVSSDSQEVIEAGVEHPSKSSHRRRSSASLSSVKPRGGGFGSDDNGDKEVQGRRGGELDGGKKQGHGSGAARHSALRCPLLRRKEGPNRGWSAGRRKGRGVTSSTMGRRVWLCPCSCRQEGC >OGLUM09G00820.1 pep chromosome:ALNU02000000:9:1135069:1136471:-1 gene:OGLUM09G00820 transcript:OGLUM09G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPQSQVHTASSKQAGPYMLHYYSHNPLENQNKLFATKISTSLTSLLVEVENNLRPRNNCGDSDVCRFCWWSMDFCREWGTRGS >OGLUM09G00830.1 pep chromosome:ALNU02000000:9:1141487:1141834:1 gene:OGLUM09G00830 transcript:OGLUM09G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRSPRGDDNAWIWPLWLDSGPPTLDLPGSDEEVTLADGFRQGADGGKADSAGDGKRTFGKASLANDGRRSCDVAGGSKRPAHRKQRRWGIGMATVAVESAVARATGGCRRRQ >OGLUM09G00840.1 pep chromosome:ALNU02000000:9:1144348:1145613:-1 gene:OGLUM09G00840 transcript:OGLUM09G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFATLFDSLYCPEEHLDLFHDTAADDDLHLDLHLHQPPPPPPLLDDDLPALFHALRGKEDPLRPAADDDGYGGVSAREAAVGWALRTVARLGFSALTAALAVAYLDRCFLGGALRLGDRPWMARLAAVACVALAAKVEETRVPVLLDLQLCAAERADPNEAYVFEDKTVRRMELLVLSALGWRMHPVTPLSYLQPLLGTAHAARLHHCDTALLALMPDWRWPRHRPSAWAAAALLATAGWCGGGGGDDAELLALIDAPKDEMAECAKIISEEAAAAAGGIVIGGDNKRKRAAGLYSAPASPSGVIGASACFSCDSSSSSVDSLFAALEPPGRPIKRGAAAATTADPLPADEESRDAWPPYAA >OGLUM09G00850.1 pep chromosome:ALNU02000000:9:1148874:1149439:-1 gene:OGLUM09G00850 transcript:OGLUM09G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHRGKWRHDHRDGEVQLAFVGGAGAVMSTCRRKFGQWWSIEALATTILQSSSSLLALSSRLIPLVGLSGENLILVLPETMTNNSGGAHRRCSPWRRCLGSPLAKASLRQLVQARSRCLVLLRWCPSFYRLAMLAGLRGESGSIFSLTLSHSIPKTWIESSLVAGC >OGLUM09G00860.1 pep chromosome:ALNU02000000:9:1159711:1163996:-1 gene:OGLUM09G00860 transcript:OGLUM09G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMVCVCRRGRGQLGGRLGGVGDVAVPLAERDGPVGSTGEWILRIAAARVGAPLLLHARRVAGASRVGAAPALEDGAIWGVIAAGVSLHADYLPCVSHLTFAASFVDPRPHHDAESEMFGTVSTDVRSMSGDDLILVCFYDSRNHLPTVRSCGSEPMREWSTRRQSHERDRASTWSASAFVIGRHYLNHRPLGRAFPQPPVVQASFASSRSARRYRSPSSPPKRGVVAQAARAVPVNLAAAMRRRSEEDGGGGADSGVERRARPRARARGHRPRSSHGGRRSSCSSSSSSERSWREAVACPRRPPHRRRSPHRLPQCRATAVSSGPGEEAAARIWSVLAVLQNDGGGAVDLKTVAAVVRPRPPSSPATAVWIGAGPEVVGVEGVPAWESRSASSARRVLVLASLINGPCARLFERGSHSFAGNRRS >OGLUM09G00870.1 pep chromosome:ALNU02000000:9:1168350:1168592:-1 gene:OGLUM09G00870 transcript:OGLUM09G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRSSSVLAIPLLLCLLAATTARFAPADNHLLTFGTTAPVVLSDSQRFVPDSGCTSTHLRSRQPSHLWRRSQPWSCG >OGLUM09G00880.1 pep chromosome:ALNU02000000:9:1174449:1176372:-1 gene:OGLUM09G00880 transcript:OGLUM09G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEAENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGRLVDVLISYFEEEKLT >OGLUM09G00880.2 pep chromosome:ALNU02000000:9:1175243:1176372:-1 gene:OGLUM09G00880 transcript:OGLUM09G00880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHEDAKKAYADFEKKVKRTIYIDHLSPQVTSSVLKAALAQCANVVDVEFIVNYTIPYDIPSAALVELDDEIQAKAALDLMNDFPFIIGGMPRPVRATCAKPDMFRERPPRPDIKKEFRWVKQEDGTEYEGMKKLRILAKRQEAENMALIKNQLEEEKELAKQQQELLDGNYKKYDMLENVVQNGNMKSLAQHYGVSLADEF >OGLUM09G00890.1 pep chromosome:ALNU02000000:9:1176407:1178121:-1 gene:OGLUM09G00890 transcript:OGLUM09G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIASDGNMVLSDGATGHALWSTNVTAGVNSSASGGGGGAMAVLANSSNLVLRLPDGTALWKTFEHPGNTFLPGMKIGVIYRTRDGVRLVSWKGATDPSPGKFSFGGDLDQLLQVVIWKGSRVSWRINPWEGYMVDSNYQKGGKSVWGGEDELVGIGKDELAGGQTHGGGGARWCGEDELAGVGEDELASSLMSSGSSPTTLLRRSVRRRHRRSPPTSSLRRLAVRPFSTLPSTRHRSLSLPSSSPAFLAANTAPSSPLSFLLSTSAAGHDLSRVWGFN >OGLUM09G00900.1 pep chromosome:ALNU02000000:9:1180126:1181015:-1 gene:OGLUM09G00900 transcript:OGLUM09G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNIPFYTARVESLHCRISAKAFGAKALPRQQRERLGRHAGTMLAPVPLALTGTSSAPTALALSRRSIFRYSFWQELVLK >OGLUM09G00910.1 pep chromosome:ALNU02000000:9:1181593:1182869:1 gene:OGLUM09G00910 transcript:OGLUM09G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERMERSAVFGEGGGGSPQSNTSKEDTALTESQESMSPILEDQTGLSPETPSKGSLSHRALEALARPESPDYRQTKPPSRTNTSPQPPKDSMAESRSRQPKEGRSIKEERRPGHPEEELKAEDRGIENLGVIHVSSKDHRPSQDNPYSSDKPPPTSPPAQSE >OGLUM09G00920.1 pep chromosome:ALNU02000000:9:1185987:1191455:-1 gene:OGLUM09G00920 transcript:OGLUM09G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAAVLSRAAARLLRPPLPLRTRHLCALPSSSSPAPSEAEILAEIDPIVDLVKDILHSARYGDGAFLSPDDQKAVVEKVLVHHPTSEDKIGCGVDAIMVGKHPDFRKSRCLFIVRTNGETEDFSYRKCIKEYIKQKYPSQADDFIQNHLTRQFTRRPK >OGLUM09G00930.1 pep chromosome:ALNU02000000:9:1239893:1240255:-1 gene:OGLUM09G00930 transcript:OGLUM09G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEQAAMSAMERAVWPWTEGSTSSGGGEAAESSCCSGRSSNNTSRSIDGGGSGSSSAWCGHYWRTGLYLDGKNHISPCSNSNRD >OGLUM09G00940.1 pep chromosome:ALNU02000000:9:1245249:1247916:1 gene:OGLUM09G00940 transcript:OGLUM09G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLPSSSRPRRPPIPPSPNPNYSTSASASASASLRSAAAVAMGQCPSAPRYHHHRHPPRNPPPPSPPPADHAPPQPLPTPDDDAPAAEDHTADLPDDLLAVVFGLLGSADRKRCSLVCRRWLSVDAASRLRLALDARAPLHAALPGILARFPAVSKLALKCDRRAESVADPTLALLADRLGPALRRLKLRSIRLVTDDGVAALAAAATNLRKLSVGSCTFGAKGIEAVLRSCLHLEELSIKRLRGLAQSEPVAVSSLRLHSLCLKELYNGQCFSSLITNSPNLKTLKIIRCSGDWDPVLQDLSQDAMLAELHLEKLQVSDRGVSALSGLEVLYLAKAPEVTDVGLGKLATRSPRLRKLHVDGWKANRIGDRGLAAVAQKCAALQELVLIGVNLTSASLELIAANCPALERLALCGSDTFGDAEISCVAMKCAALRKLCIKACPVSDAGMDKLAQGCPRLVKDARSVDESGVLENAGSDTLPDDLDDRIGGPDLSCGSSGRPSGWKAQMGAFMSRSLSVSMFRRRQRGIFLLHSFKMSPYWDGN >OGLUM09G00950.1 pep chromosome:ALNU02000000:9:1251732:1253091:-1 gene:OGLUM09G00950 transcript:OGLUM09G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQTTMTMATAAVAAWMAGQTDFNEDNSRNSGCVDGGLNDNRSGRDDHERQHKRRLRRWRARGGGSYRAAMNASYEQRGEGKEEGVKK >OGLUM09G00970.1 pep chromosome:ALNU02000000:9:1291307:1291714:-1 gene:OGLUM09G00970 transcript:OGLUM09G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMGKKKPKVPMKKKTKAQMKKQPKASTKKLETPAPTVVGAFMARELSVAKRLVLLSGSNKSSSGDSHSAVFASSSSSVNTPPVTVRVMPHPAEDYLSDEELEDDSQEVPGIPRRTHLYHYIFEIYQVTQPMEK >OGLUM09G00980.1 pep chromosome:ALNU02000000:9:1376957:1377770:-1 gene:OGLUM09G00980 transcript:OGLUM09G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGGVEWGGGGSGRVASWRLLRGGDDVYSTAAVAGGQDACGGRFGRGGMDGHGRLAREVWQTTAFGRRGSGGRSARRLARHDRWWRRSAWREEAWPVVGGRLGAMRRGRQWMRLAWRGEAWSAAEEAGTVRRGAAGGDGGGHGTRRICRWVRCDLRRTKASRWRAPVQWSHMSAEVGRWWSIGAPAVDSQVVSGGTQPGLGQAGSDDARSVIPLLRALSCHLILHVWMPGESPSSVLFETFTDGTGGVFHCFSPWRRRLGMP >OGLUM09G00990.1 pep chromosome:ALNU02000000:9:1388485:1398610:1 gene:OGLUM09G00990 transcript:OGLUM09G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPCCRDSGGKFLHRTSPKSPSIRLNPNSTCNCNCTARLQYNPRNHDEVAASVAVAAAGGGGGRGLFFNPCFTHLHQNPPYWLRLAFCAASAVVWSVAKMTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRAGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPHRPQRVM >OGLUM09G00990.2 pep chromosome:ALNU02000000:9:1388485:1398610:1 gene:OGLUM09G00990 transcript:OGLUM09G00990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPCCRDSGGKNPPYWLRLAFCAASAVVWSVAKMTMEHGEDCCVKVAVHVRPLIGDEKLQGCKDCVSVVSGKPQVQIGSHSFTFDHVYGSSGTPSAAMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMATLFDKIDKLKNQVEFQLRVSFIEILKEEVRDLLDPATAAVGKLENGNGHATKLSVPGKPPVQIREASNGVITLAGSTEVHVTTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKADPIMTLDGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVVLDDVQGLRERISMLEQKNEDLCRELYDLRNHGYTDPCEPELQKIGTGYTKGEGLKRSLQSTEPFDVPMTDSVRAGSPKDIDDEVAKEWEHTMLQDSMGKELNELNRQLEQKESEMKMYGSDTVALKQHFGKKLLELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKTLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHSIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSGRDNSGMNGTSPGSHMTEKSLQKWLEQDLEVMVHVHEVRNEYEKQSQLRAALGEELAILKQEDVMSGAASPPRGKNGNSRANTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRGRWNQLRSMAEAKSLLQYIFNVAADARCQVREKEMEIKEMKEQMTELVTILRHSESRRRETEKQLKQREQAAVTATTSPGNGNGSVKHSADDSNTPLSPVAVPAQKQLKYSAGIVNSPSKGVPAFNKQHLKMVPMAQLPVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETMTRTRPRPQLLPHRPQRVM >OGLUM09G01000.1 pep chromosome:ALNU02000000:9:1400531:1404517:-1 gene:OGLUM09G01000 transcript:OGLUM09G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDGNGGGFVWSYHGGDEGIMVLMCSALLRLEPRRPILVAWLRLIPRLSYIRPGEKKIAPKRKEKALVHYEIIYDRKNGVRQADPKVRHGAAFDSRGMSSGGGGSLLVTLFGLSSFGVWRGEEETFRFRRRLPPLFAMPRQRPKKGERRIDAAIDHFTPMGYATADVRAVVKKLLQVYGGNDGWPFLEEDSYRVVQEALFEKQEQEDHQQQPHPHPQQLEEAPLEDKSMSIIVVHNVMPAETEQQVEDADPMLVDLPAVEATLPLPEAKVTYGTRRPCYGWIEEYESESDNEEQPARLICKRKRPSRWDVKPINW >OGLUM09G01010.1 pep chromosome:ALNU02000000:9:1419972:1425050:-1 gene:OGLUM09G01010 transcript:OGLUM09G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPKKGARRIDAAIDHFTPMGYAVAHIRSVVMDLLKVYGDDWWPFLEEGAYRVVQEALFDKEEQLQLQLLQQEEEQEQEHQQPQQLDGAMEDTPIENSMPIVEMHEVPAEAEPTVEEMQEALFQKQKEEQLQLQLRMCLPFYQFTMTSDATSFLQLEGAMHEVLESAKDQTSHEITW >OGLUM09G01010.2 pep chromosome:ALNU02000000:9:1422349:1425050:-1 gene:OGLUM09G01010 transcript:OGLUM09G01010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPKKGARRIDAAIDHFTPMGYAVAHIRSVVMDLLKVYGDDWWPFLEEGAYRVVQEALFDKEEQLQLQLLQQEEEQEQEHQQPQQLDGAMEDTPIENSMPIVEMHEVPAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQ >OGLUM09G01010.3 pep chromosome:ALNU02000000:9:1422351:1425050:-1 gene:OGLUM09G01010 transcript:OGLUM09G01010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPKKGARRIDAAIDHFTPMGYAVAHIRSVVMDLLKVYGDDWWPFLEEGAYRVVQEALFDKEEQLQLQLLQQEEEQEQEHQQPQQLDGAMEDTPIENSMPIVEMHEVPAEAEPTVEEMQEALFQKQKEEQLQLQLFQQEEELEDQ >OGLUM09G01020.1 pep chromosome:ALNU02000000:9:1448070:1449823:1 gene:OGLUM09G01020 transcript:OGLUM09G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLNPNPLLFLRQGLAVHAGGDFRIPRVDLTIPQRPAQCHEDFCVAIVEPIPLEQDWDHHRALIANSIQDELHYEEQPIPAALRMSNLFSTLVLPRKSIYNSSPSVGQHEPEWALQPFESISPPQERVIEDVIPISVMPPSSPTTVASPISMAPVALLPPKAPVKKRDGKTILYNPYRIQSSRLLQGNATKDLQMDPRMGIGKPRGKSAKKLKEFAGIAKLFIDSSLQESDFNETSYSDMNSNSSPSDCSLSVIQKMGVDMCGHSPEEVAESSLGGERRQKIPRPNMEEN >OGLUM09G01030.1 pep chromosome:ALNU02000000:9:1468184:1478448:-1 gene:OGLUM09G01030 transcript:OGLUM09G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPGRGQRRIDAAIDHLSQYGFPRPIIRQTINELLADTLYGRNGWVFLEEGSYRIVVDRLLEKQANQQEQQEEDAKHEGGTTAIEPLPENGVQTSQAEVPAAASEPAKVVAAVADEMPDSTTSVPLPITAARHTASTRRPCYGWLIESESEDDELDNGVSAVEQSNPPSATNHKMSNGWSYVEQARPSVAMRHEMEPDTHHRGMLSKRRRPSGWDVRPSY >OGLUM09G01040.1 pep chromosome:ALNU02000000:9:1480619:1485243:-1 gene:OGLUM09G01040 transcript:OGLUM09G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZI3] MAAAAAQAQAQQAASSASEGGSPASSAAAAAAAAASFPATSLYVGDLDVSVQDAQLFDVFAQVGGVVSVRVCRDVNTRRSLGYAYVNYSSPADAARALEMLNFTPINGKPIRIMYSNRDPSLRKSGTANIFIKNLDKSIDNKALYDTFCVFGNILSCKVATDASGESKGYGFVQYERDEAAQAAIDKLNGMLMNDKKVYVGPFIRKQERDNSPGQVKFNNVYVKNLSENTTEDDLKEIFGKFGTITSAVVMREGDGRSKCFGFVNFESPDDAAQAVQELNGKKFDDKEWYVGRAQKKSEREMELKEKFEKNLQEAADKYQNTNLYLKNLDDSVDDDKLRELFAEYGTITSCKVMRDSNGVSRGSGFVAFKSAEDASRALAEMNSKMVGSKPLYVALAQRKEDRKARLQAQFSQLRPVPLAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQPGFGFQQPLMPGMRPGAGPMPNFIMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGQQQMMARGGRGYRYPTGRGMPDPAMHGVGGGVMPSPYEMGGMPMRDAAASQPVPIGALATALANAAPDQQRMMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTAQQIQTNATPEQQLASLSLNDGVVSS >OGLUM09G01050.1 pep chromosome:ALNU02000000:9:1488889:1492003:-1 gene:OGLUM09G01050 transcript:OGLUM09G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATLLRSADAAVAVRSTTTAPPPRPRLHRPSTLPLPSSCACGPLRAYAAPAAPAPAAHNNGVYTVGDFMTKRPNLHVVTPATSVDEALETLVQHKISGFPVVDDTGKLVGVVSDYDLLALDSISGSGLTGTNTSMFPEVDSTWKTFREIQRLLSKTNGKVIADVMTYSPLAVRESTNLDAATRLLLETKYRRLPVVDSTGKLVGMITRGTVVRAALKIKKTAEETA >OGLUM09G01060.1 pep chromosome:ALNU02000000:9:1494294:1500335:-1 gene:OGLUM09G01060 transcript:OGLUM09G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G67130) TAIR;Acc:AT5G67130] MAGLPFLLALHIALLLLLPCSCQVGDSCSSARDCGAGLYCGNCAATGKTRPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSIVGEPSHTGVERVTFYNQEDTVTNQLRNGVRGLMLDMYDFNDDIWLCHSLQGQCYNFTAFQPAIDTLKEVEAFLSENPTEIITIFIEDYVHSTMGLSKLFTAADLTKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLLENESGDPGITGSCPNRKESQPLNSRSASLFMQNYFPTIPVENEACKENSVGLPQMVQTCYTAAGNRIPNFIAVNYYMRSDGGGVFDVQDRINGVTLCGCNTIAACQAGAPAGACKDTGAPNRTSSSVNGNVYSGTIEFKSPASAASISNANIPSKFVGLLLLGLVLIIKPF >OGLUM09G01070.1 pep chromosome:ALNU02000000:9:1502580:1509353:-1 gene:OGLUM09G01070 transcript:OGLUM09G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSPPRQHRAVASAARGGRSGGRPEAPSLLQIQRKGSRAAPPPLGTYDGLDWEGAHPKQQQAGPESSRSPQSGGAAAAQHTTAQHCSNRTGIKHIDNGLGVGGKLSVDSLTGGSEMVDKCDSNGGNNNGLVSDSESYGPSVLLVALFRRRELPTGCGAQLISTARFGLHADSPKTSLLRPHPPAATKTSLLDSSSLAPPPPRVLAGDPPRSSAATPEPPPPPPPLLRGHSGAAAAGSFFLPIDAAGLFARASSSPLPPLRRILAGSLPDLCRSSASVILKSQLPMSLQERAPLDDLANSKCHDIIKL >OGLUM09G01080.1 pep chromosome:ALNU02000000:9:1510830:1512440:1 gene:OGLUM09G01080 transcript:OGLUM09G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKQDTYGGSFIRKMKSNQVGPGLLISPNRWTIKACPPPSLSLSLLPPQQCSRQYHARRAMPFLSPLAGDDADDYYYAYDSGFRRGSGGGKIAKKEKDKDKDKGFLSFLPCFLPCYYSDLC >OGLUM09G01090.1 pep chromosome:ALNU02000000:9:1527614:1528150:-1 gene:OGLUM09G01090 transcript:OGLUM09G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEEARKVCFSKRRADLFKMASELSVHFNADVAAVVFSPAGNRAYSIGDPSVMDRFLSSLPAPAPPAETEPEPEVDWSVMEELSRQCGQLQAMVDAHKARLEKAEEKLRESGAAAWMMDLEAEVGRMAPEDVLALVTKLAVLRDGVAERAHEMLREALLAVAAPTPTTPTTPLPAGF >OGLUM09G01100.1 pep chromosome:ALNU02000000:9:1533561:1538080:-1 gene:OGLUM09G01100 transcript:OGLUM09G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPDDSSSSSPSSKTNPSEKGEEITVVEEPILFDNNQEEDNSSNPLNLLADTSELFRNMDDDESPLWLNVLLRTIFWRKCDVHDQLENAHRAEESIFCINCLKTICPHCTHDQPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPIKRSEHHRPKAGTAHCTSCHCWLHNAPSLTCSLSCKKKAGISPDDFSGPEASTRVSRSRNHASNVNQKHPTNTKLRKKPRKQANPERAPFF >OGLUM09G01110.1 pep chromosome:ALNU02000000:9:1552890:1566839:1 gene:OGLUM09G01110 transcript:OGLUM09G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor [Source:Projected from Arabidopsis thaliana (AT1G72440) TAIR;Acc:AT1G72440] MAEAKGKPTSTKKGRKAAASAVAVGDDIDSLKSDVASFASSLGFLGAATASSGFDDSDFRKSGPIIPPKTSKSAQTTDAPPNPKPTTTTTKKPHPLDIHGTNATTKSVSGAVTTNYPLMKATALSGQWYADAGELEASVLGARKQVLPSVGLQEMQRISEGKRQLAEKLLAQYTVEYDMVKRGSGDLKLLEISAKSGTSADKVSAFTCLIEDNPIANMRALDSLLGMVTSKVGKRYAFTGFDALKELFLKRVLPDRKLKSLIQHPLDILPETKDGYSLLLFWYWEDCLKQRYEKFVIALEDALKDMLPNLKDKAMKLGDPERRAASSAAYLLTSLLSAHPNMKMVVIDEVDSFLFRPHVGLRAKYQAVNFLSQIFLTSKGDGPKIAKRLVDVYIALFKVLMSCSRATEGVKHSKYGKKTNENGKKEKGNDFNSHVKHEDPCAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLVHSVNFNVGVQALMLLYQISTKNQIASDRFYRALYAKLLSPASVTSSKPELFLGLLVKAMKNDVMLKRVAAFAKRLLQVALQRPPQYACGCLFILSEVLKAKPPLWAIVLQNESVDDGIEHFEDIVENTDCPAITSRTTDKCNDILATLEKCNSDAEDACDTIECVSPISSGEKDGKGTSAEGLTLQASYNPRHREPSYCNADHASWWELTALALHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDQSHHLIGEELLELVEKEVPPEDVVFHRFYMNKTGPIKPKAKKKTAVLDEDTGELFADDVDDASDESDDEMQELGIGSIEDGEYDYDNLDATTFEEEGDLLRYDSDVELHDISDDISSGADSDTEALEGANHSDGNDSDGEEPVQGQKRKHGAKSGASPFAKLEDYEHLMDGEAEKPTFKKGRKHRVTRDSKEKRKGLSSKEKSGSRKKRSKRSE >OGLUM09G01120.1 pep chromosome:ALNU02000000:9:1582734:1584235:-1 gene:OGLUM09G01120 transcript:OGLUM09G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTVAPRRRRCRAAAARSNVNLHRIQLCVLVKQNIE >OGLUM09G01130.1 pep chromosome:ALNU02000000:9:1586290:1588027:1 gene:OGLUM09G01130 transcript:OGLUM09G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANGNKVPKITRLGAFGEWSTKSRTDPNGRIKRRRGPTKLANVENLLEGVKIIDKMDRFNVPCSQSAIVLGSYLGTLVRKLHLAPLNILQWNHKLYKRVYYPKMISEVERKFAIDGRANNWILHQLDGKLRQYKSKLKKGYYKPNLHMERALQTVPKTVAESQWATLVSYWYSEDSKDRSGRVRGMGPTIIPTNYHGGRFSNISGSSEGSSSSNVNGFISFIVSYLTEKYPEDNLISRLSPSVARVIRRQEVWPQNY >OGLUM09G01140.1 pep chromosome:ALNU02000000:9:1590080:1590706:-1 gene:OGLUM09G01140 transcript:OGLUM09G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAAARRRPSLGRQKIEIRRIESEEARQVCFSKRRAGFFKKASELSILCSADVAAVVFSPAGKAYSFGHPSVEFLLDRFLSSSLPATAGREEGSSVSVVAELNRQYGELRAMVDAHKARRERAEKTMEKQRQLQRAAWMDPEAEVGHMAPEELMALGTKLVAVQGGVAARADQMLRDALLLGRRPNTTTTTTTRAPPGFFHLHPHF >OGLUM09G01150.1 pep chromosome:ALNU02000000:9:1628783:1629784:1 gene:OGLUM09G01150 transcript:OGLUM09G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASMLLVALRRNGRQQPARLEALDQPRRHYRLEFMTREFLAVQGLCLMVVTSALGHNITLPVGRDLRNPPFELMSARINEPLHDPPRLALGGQHKTRHLRRKTRKEEKRPVVYTLEEPEKDENNNSSLPQGNLAGDKA >OGLUM09G01150.2 pep chromosome:ALNU02000000:9:1629794:1630618:1 gene:OGLUM09G01150 transcript:OGLUM09G01150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDRGKRLEAALTKHIAELHSVEPRLLLMSVRSIDDEPPRLNLPSDGFIMVRHAAAVHRDEPLDNRMIVDAIGVITSSWKEHYVEPRPKDLVKLMSDLEDLVGFLNGNSGPPSFEELMITSNDSEATSLLQRYESMCIDASRDLKIFAEDKVKMLTFGKHLDACHADWQTKAGYYEKKAKEARAAAAEYHQLVKCNEEMIINHPAAVDSLSQKVAELENKCANAKTNVEAAKKQKEAGASAPPTILRILSYPCNPYFGLPAPPQ >OGLUM09G01160.1 pep chromosome:ALNU02000000:9:1656714:1665631:-1 gene:OGLUM09G01160 transcript:OGLUM09G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARGKKRKGEVELSHPALERAIVPTTSPLPIAIRQSTLESVEGSSESVASDTGTIKALADDVMHIANALCTEMAAYNNTSNSPKPKAWENLAKKMFTQEDPTQNQENHHPDATVLAILLADVCWPKIPSGFGSNFFGTYQVQLCVSHELPQAVIDPSNFVRVNRTSARKRGNFE >OGLUM09G01170.1 pep chromosome:ALNU02000000:9:1684839:1685126:-1 gene:OGLUM09G01170 transcript:OGLUM09G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPRIPAASSLLLPPPCLGRPRWAPGQSPPPAMPDNATPYYAKGRPRGASRLPSRLPCPPPHWTAATHSAPGDTMASLCRTKGSFPFTASIVS >OGLUM09G01180.1 pep chromosome:ALNU02000000:9:1693672:1695592:1 gene:OGLUM09G01180 transcript:OGLUM09G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDVSLRLDSEWSRVEMQLVEYGTSSISAVSVLASECLDSCTSAPLCLEYGAISEPAAPTVGGVISELAAPTVGVEQPPIHYVDWDSLEIDGTLDDEGRIHVMNDDQLFALLGLRDEERAKKSAPYNAIGRNQYFWSGRG >OGLUM09G01190.1 pep chromosome:ALNU02000000:9:1719762:1721755:-1 gene:OGLUM09G01190 transcript:OGLUM09G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSHPGTHFDTLTRPVRHRSCHFHALCGGVTIAPDLELAFRLASLSSSPASTSCGGVSLWNTSCYRIRRRSLTGALELFSHLCYSRLGWTCGRGFGQVDMIRNGGTRESSNRLALLRPSKCPTARTDQYPTGQSFPEEVQEGEEFYGADEFLF >OGLUM09G01200.1 pep chromosome:ALNU02000000:9:1728176:1734103:-1 gene:OGLUM09G01200 transcript:OGLUM09G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIATTTWQQFEIAELICKFDRNNRTKTLGSFQSCSSIEHLFRLVVALTAILGDDICDARRDEEISMVPEEPVLVDNNNQEENSLQQLNLLADTSEWFKNMDDDDESPLWLNVLLRTAFWRKCDVHDQLENIHRAEESIFCTNCLNTICPHCIHDQPSHQLLKVRRYIFRSVVRVKDMQNFGIDMSYIQTFKCNGHKVVHLRPMKRSKHHRPKSGTPRCTTCQCWLHNAPSLTCSLSCRKRQVYHQMTSQGLKLQLEFLVHGTKHQMSIRNVQLPIQSCVKGLASKRTLKGLHSFDVNVSQNC >OGLUM09G01210.1 pep chromosome:ALNU02000000:9:1737991:1742046:-1 gene:OGLUM09G01210 transcript:OGLUM09G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAVCGKRASSFFEDLPHSPSSPPSKRACFRGGSSPSRPLADPALVAQIRPRFPSVGLEVIENALEECENDFDSAIKFLLNLHVGPTECNVDPIYQSPSGMSTELQVADEGILAGNEAAVPIGNAPCADNFPSSSTQWVEILVNEMTNASNMDDAKARASRVLEVFEKSMTAHVGAMGSFQKESSVYKEQFEAITRENTILKKAVAIQHERQKEHDGRNQEIQQLKQLVAQYQEQIRSLEVNNYALSMHLRQAQQANSIPGHFHRDIF >OGLUM09G01220.1 pep chromosome:ALNU02000000:9:1744248:1744519:-1 gene:OGLUM09G01220 transcript:OGLUM09G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPKSTTQQLTFELCRFCGDSRRSLPVCQEVYMSSEAQAFSCRGDAVELCQSDPLLLLVSSESSTLLEIVTFHDLYPYAMY >OGLUM09G01230.1 pep chromosome:ALNU02000000:9:1749974:1752150:-1 gene:OGLUM09G01230 transcript:OGLUM09G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAGSAAYRALLLTESSRSRPDSLDDAPPPVTCVVVDGVMPFAITVAEEIGVPALAFRTESAFAFLAYLSVPRLLELGETPVPSDEQVRGVPGMEGLLRRRDLPRVVPTKQDDVGAEEADPVPVLLTIADTAAHCRNSRALILNTAASMEGPAIARIAPHMRDVFAVGPLHARVATNTIALEKHEDDDEDDDDYGCKAWLDGQDDRSVVYVNLGSLTVLSSEQLAEFLHGLVAAGYAFLFVLRPDMVASSSAVLQEAVEAAGERALVVEWVPRDVHYVLRHRAVGCFLMHGGWNSMLEAAVEGVPVVCWPFFADQPVVSRFVAAVWKTGLDMKDVCDRAVVERMVREAMESPEIRASAQAMARQLRLDVAAGGSSSSELQRLVGFINELSAVHIC >OGLUM09G01240.1 pep chromosome:ALNU02000000:9:1779558:1779929:1 gene:OGLUM09G01240 transcript:OGLUM09G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGAAGWRGIHVQELGRRSTISSRASLACRLPLVFIQKTKEEDGREHRRDQKAMDGAWWLALDDLDTTIAGNWLYY >OGLUM09G01250.1 pep chromosome:ALNU02000000:9:1781907:1793596:-1 gene:OGLUM09G01250 transcript:OGLUM09G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKQRWASMIVGRHRVCWLPDPETDDRMDEMQMEMEAQAQAHVLLFPWPQQGHINPMLHLASALLDAGLHEVTLTLPGKIWFQTRGMEATTAAIGVTAVRRPESSSAASYVVSESPRARKSFPQGKGAKWCGGFFNRVWPKVGSHGVGNLGSHGGGNLDSTAQAHHCRSGGSSIRKKKMKHVERTILPVVAFVGYILAHEETRTRGRHEVEHGFLAHPAARLPGPA >OGLUM09G01260.1 pep chromosome:ALNU02000000:9:1801883:1805332:1 gene:OGLUM09G01260 transcript:OGLUM09G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDSFDLPLFVEEEDEAGAAAKQRRREQSQKPRRPRAPSFLDTPEVKERRARSSALAAKYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPMRFTDSIINKDHMLTSSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRDNCQVIQSENENIILTGPTRGIVFHGEIFFEINLKIKENEECNDKEFSKGLLEMKVYTRKSMIVSETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDDATTVGDDRVMQLLRRVVVVSVNEMLILNIDAHNIHPNDNLSSRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMMD >OGLUM09G01260.2 pep chromosome:ALNU02000000:9:1801898:1805334:1 gene:OGLUM09G01260 transcript:OGLUM09G01260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQEDSFDLPLFVEEEDEAGAAAKQRRREQSQKPRRPRAPSFLDTPEVKERRARSSALAAKYWEHDPKTGISYYTRACFRDLTTFDLDKETQYGPMRFTDSIINKDHMLTSSLNVLSLKVKSSDVGYPINLYGTVIVRDGLDFNCIFIFRRNRDNCQVIQSENENIILTGPTRGIVFHGEIFFEINLKIKENEECNDKEFSKGLLEMKVYTRKSMIVSETLESRLSEVELVSASVKEALEGTVEINILSGPEVFHGKITACTTDVPNDIILYDSDVDDATTVGDDRVMQLLRRVVVVSVNEMLILNIDAHNIHPNDNLSSRTLRFTPFTRGLDEEVISCGLYKMRVKVVWSTLMMD >OGLUM09G01270.1 pep chromosome:ALNU02000000:9:1809786:1810645:1 gene:OGLUM09G01270 transcript:OGLUM09G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYKQLTTSNGIRLLDTKIKKFMDKFLTMQEHRTKVASRRAQRDETTWFARCASLLSLALAMVVAPARSRLKPGDNNEEDLVLTVAPLRSVDESPVASAEASSRRHRRRRGIWGRIRSLCFSLSQTRLPISNSEAGLSGTFSLRAGTIAGY >OGLUM09G01280.1 pep chromosome:ALNU02000000:9:1859156:1862199:-1 gene:OGLUM09G01280 transcript:OGLUM09G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAYMNQFSCLSGLWFLMLDKGVPRHPGPLKVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDRTGTLTENIMIFRRCCMSDTLYGENNGDALKVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAGWLLFLISD >OGLUM09G01280.2 pep chromosome:ALNU02000000:9:1859156:1863784:-1 gene:OGLUM09G01280 transcript:OGLUM09G01280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYVLSDRTGTLTENIMIFRRCCMSDTLYGENNGDALKVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAGWLLFLISD >OGLUM09G01280.3 pep chromosome:ALNU02000000:9:1859156:1862199:-1 gene:OGLUM09G01280 transcript:OGLUM09G01280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAYMNQFSCLSGLWFLMLDKGVPRHPGPLKVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYVLSDRTGTLTENIMIFRRCCMSDTLYGENNGDALKVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAGWLLFLISD >OGLUM09G01280.4 pep chromosome:ALNU02000000:9:1859156:1863784:-1 gene:OGLUM09G01280 transcript:OGLUM09G01280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETSYISVSFSTAISEDLGQVEYVLSDRTGTLTENIMIFRRCCMSDTLYGENNGDALKVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAGWLLFLISD >OGLUM09G01280.5 pep chromosome:ALNU02000000:9:1850967:1862199:-1 gene:OGLUM09G01280 transcript:OGLUM09G01280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAYMNQFSCLSGLWFLMLDKGVPRHPGPLKVRPHWEALALQSEYVELVAVNDSFITTEYMVTLDLAKGVYAKFIDWDEQMFDRETCTPAHSANTAISEDLGQVEYVLSDRTGTLTENIMIFRRCCMSDTLYGENNGDALKVVPIKSNDGTITYQAQSQDEEALVTAASKLNMVLASKDSNTAVVGERSAGRSPSRVSSGRRLLLRSLLFKSAVQICGDGNEIS >OGLUM09G01290.1 pep chromosome:ALNU02000000:9:1865170:1865648:-1 gene:OGLUM09G01290 transcript:OGLUM09G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYNANKLANLVEKKKLQNWLDYYQLKYERNPSKRATNKTGFLGCFGSEVDAIEYYKAEIEKIEKED >OGLUM09G01300.1 pep chromosome:ALNU02000000:9:1869082:1869865:-1 gene:OGLUM09G01300 transcript:OGLUM09G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSPLGSAHLLVAAKIKDLLDAARSGPRRSRAAASHPAATQDAGLLSFSNEGFQEANMDAEDD >OGLUM09G01310.1 pep chromosome:ALNU02000000:9:1876851:1897813:1 gene:OGLUM09G01310 transcript:OGLUM09G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTISRIRLENFMCHSSLHIELGQHVNFITGQNGSGKSAVLTALCIAFGSRAKSTQRAAALKDFIKTGCSYAAIIVDINNQGEDAFKPEVYGDLVRLERRITESSSSMFLKDQHGQEGCSDGEAGAPVKLMDVVFTGRKVAHRKDDLIEIIEHFNIDVENPCVIMSQDKSREFLHSGNNKDKFKFFFKATLLQHVNDLLLAIRELLDNADSVVQELEKSIKPAMMELDELQQKIKNMEHIEEIAHEIDNLKKKLAWSWVYDVDRQIEEQTVKLLKLKERIPACQEKIDGHAAMIVKLKEELTDKERNARSLVEKSREVTMMKEKLEDDIAQAVALKIELEREHVRGTNVLKNMNNRVKQLQKQIHDFREQYIQYTQDESSKAENDKCEIQKEINSLHSNVTRLKEEERGLHETQMGIVKSIQNMETEIVENRKKITQFKAHIRDLQQRQSDKVSTFGGQRVRNLLKSIERQERRFNIPPLGPIGVHVGGSQITLSQHEINAVPLVKLHILLAIECALGRLLDAFIVSCHRDSVILRECAKEVNYHNLQIIIYDFAKPRLNIPDHLLPSTTHPTVLSVIQSENPTVLNVLVDQGSAERTVLVRDYEVGRSVAFDNRIQNLKDVYTSDGYKMFSRGPVQTILPPHRKGNAGRLCTSLGEKIAEMESEIADMERIISQRTRDMKKPNDKREDIELKIKNLKRKRVEEERLLESKKVQLDDIRKTSADINRVTSSDTSELEAEMMQVEVDIEQKELLVQKTNLRLTKALQDENDRRACYKEFIDGVYREVGPSNVLEKEIERVKDKLQTAEQGKAYYEGIMETKVLPDIKIAEAEFEDLQKLQQESFKKASIICSESDVETLGGVAGSSPEQLSATINKLELRFHKESSRYTESIDDLRALHIKKKEKIEDKQQLYAGFRDKLNSCQKALDMRWKKFQRNAALLKRQLTWFSRCLKILLVTQLQTPEDFQDAVSRKISLDTLVDFAVTQGSQWIFITPHDISMVQPGDRIKKQQMAAPRG >OGLUM09G01320.1 pep chromosome:ALNU02000000:9:1931537:1931921:-1 gene:OGLUM09G01320 transcript:OGLUM09G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRISCGGTVLRFLFDSSSPSCSLSTHTSPQSQEIKPIPRNTDLATGALERGHTVVNAQPWDHVAIPVALR >OGLUM09G01330.1 pep chromosome:ALNU02000000:9:1935236:1935415:-1 gene:OGLUM09G01330 transcript:OGLUM09G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSSPEPEEDCLIIKIESCSRVFAFVDGAVGESGEERDAKTEALVEVLAAVRMSGKK >OGLUM09G01340.1 pep chromosome:ALNU02000000:9:1943218:1945228:1 gene:OGLUM09G01340 transcript:OGLUM09G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRISSSESERPVGSYRFHIPRQPPRIQAEGGKRHMIIGDRRHGGTALWRRGSMLPPSLPLWGVEDGHGHVDGSSRQHPSELGSSSALSVPIGGWIWRLAAAQAHGEVIDGGRCEDEAGDHMQPPPASSASMAMLSEERISASGIVVRERQLHGYGERPFLPCLATMAAKGTAREISTKKIAKKDHGEVGDLTMAMLMPSWISTGNHSSRSPTRCLEQLPEPSKRAHSEAYGNMQLATGDLIVGLHKQATITVPPPNISEIGGHLTAHKNNEMTVGKGVQHTIDVSVAKEATRSLVSSARQSRRGPYECRKCGTMFSSGQALGGHMKSHNSDERWGDKRVPSAFVGSFLSLITPIDVSNVSVPSSRNPHTSSIPNKEEGRVLVMGAAPLNGVPKGSFRLFGENIAEAPKEEPME >OGLUM09G01350.1 pep chromosome:ALNU02000000:9:1946936:1986073:-1 gene:OGLUM09G01350 transcript:OGLUM09G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSKQVHHWCHQVQNQGANKKETMLNTFAASHLDGMLASSSSQLPKPGSDGSQVFEGDLMGRSELGAQLARKKRTGMGERKEEEDERIHIIPNEGLVVTGYGRRTPRECSRTNIQTKGASITWEEGLMPEFEERTQAGGG >OGLUM09G01360.1 pep chromosome:ALNU02000000:9:1950603:1951701:1 gene:OGLUM09G01360 transcript:OGLUM09G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVHQHVPLDEYQSNLRAICAYFKEQWPSTKIILITPPPIYEPVRIRDMYGEDDPSKLPERTNEAAGTYAQACLTVAKELNHPVIDIWTKMQQFPDWQTSALWYGSISSDLIPKLDVWMGLITAIYATCSLLCRLRKQSDQT >OGLUM09G01370.1 pep chromosome:ALNU02000000:9:1966935:1967518:1 gene:OGLUM09G01370 transcript:OGLUM09G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFRAKNSCNSSLSTSTITTPISLGLLRLLSPFFCFFIDLFLFYGCLCSNLHNHSTSPSAF >OGLUM09G01380.1 pep chromosome:ALNU02000000:9:1972373:1972992:1 gene:OGLUM09G01380 transcript:OGLUM09G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWWRRGVDAAVAEWMQQAPKGHGSGSRRWRWSGSSGFSKFKSEKYSPFNAAATFNRCGGIDLGKGERVVGGAADLGDGESRVGVRWEGGGAVETTLGGSMDHNSKSRSERAWGGRSGEEFPAVDGSITGGVADGGGAGGRQDHWRAPAADRMVMATWENDESGWRGCVVVKESARGA >OGLUM09G01390.1 pep chromosome:ALNU02000000:9:1987844:1994270:1 gene:OGLUM09G01390 transcript:OGLUM09G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G35430) TAIR;Acc:AT5G35430] MEPKEAPPPPPPQSPSSTEEEGMLSVTAAMARDAAVLFQSRRYADCAEVLAQLLLKKEGDPKALHNMAIAESFLDGCPDPKKLLEILGNVKRRSEELACASRQQTDSANGTGNSVSSGSRGSGIIPLISAANNATTYGDEFDTTIITFNTAVILYHLHDYESALSILDPLYRNIEPIDETTALHVCFLLLDITLALQDASNAADIIQYLERSFGVVSATNQNENANTAQQQSAQPKPSAKISTPPDSDSNTCAGGSENLSAGNFSDDTLEFESFYSTLDGGNQNLGRPILNDFSRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRSEPAMLAMFYNNLGCILHQQRSIQTSVWCFSKALKYSLSLRSEKPCKLTAISQDKSCLISYNCGIQHLMCGKPLLAARCFREAMPLLCNRSLFWLRFAECSLLALEKGILTSSGATSCNDEIEVDVMGSGKWRHLVINPVKPSHSSESGEEVSLDKYGNLISLRFARQCLLNAQILLDPSTKENLVIASGTEESNQTSLQGQKGSGQKNTTNTDSKPPGPALTNANGEQKGISNLNVTLQSSLALYDDICRKENLKIKQAILGDLAFIELCLQNHLKALSIAKLLQQLPECSRMYVFLSHVYAAEALCALNRPKEAAEQLTVYLRDGDDIELPYSIENCEKALVEKDSDGEDSVAPVVTKLSSGESQYSESLKPEEAQGVLYIDLGMTAAVQGELEQANYMVSRGVALLPNNPRAVLASVYVDLLQGKAQEAIAKLRRCRTVRFRRHSVAVSS >OGLUM09G01400.1 pep chromosome:ALNU02000000:9:1998354:2007708:1 gene:OGLUM09G01400 transcript:OGLUM09G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGGDRSTDPSSGPAPGSRGGGDGRFGRGPSRWSSGGGGGGSGSPPHRFSRGGGGGGGDGGGGGGGGGGGRFHPYRGPSDHSGGGGYRSGGGGEYGEPGSGPRHRYGSGRGDHSDHDNRNNYVKLFIGSVPRTATEDDVRPLFEEHGDVVEVALIKDRKTGEQQGCCFVKYATSEEAERAIRALHNQYTLPGAMGPIQVRYADGERERHGAIEHKLFVASLNKQATAKEIEEIFAPYGNVEDVYIMKDGMRQSRGCGFVKFSSREPALAAMSALSGNYVMRGCEQPLIIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPPNSWHPSSPRSAPHQFNNFGSDNPMAPKGSTVTSTTDTATFRPQMCSGNGSLSSQTAVPSSSHMGMNPPPMAQGHHLGGQQIPPLQKLPGLPQNFPVQLQNNQQGQPLQGPAQQIGQLQVPQSMGPGSFGQNMLSGQLPVSQPLMQQNASVGAVQAPSAVSNSMQAIPGQQHLPSNVAPQMLQQPVQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQQQSNLNHQQPTQVAEQHGQPVQSSNPGAPNAIIPSNINTIPQQATSPAVHLTCNWTEHTSPEGFKYYYNSITRESKIATFVGLTLRVIVLQWDKPEEYVLYEQQQQQQQQQKLLLLQQHQQKLAMQQLQSPPQAQTHPAMQPVQQIPQAQQGQQQMQMKQQELNYTQLQTPGAIDPSRIQQVNDKLVSCYSEMSSTQPAASIKCLDGA >OGLUM09G01410.1 pep chromosome:ALNU02000000:9:2011746:2018610:1 gene:OGLUM09G01410 transcript:OGLUM09G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MASFPLFPALLLLLCSSSLALDSVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGNNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >OGLUM09G01410.2 pep chromosome:ALNU02000000:9:2011767:2018610:1 gene:OGLUM09G01410 transcript:OGLUM09G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin-binding receptor-like cytoplasmic kinase 3 [Source:Projected from Arabidopsis thaliana (AT2G11520) UniProtKB/Swiss-Prot;Acc:Q9ASQ5] MASFPLFPALLLLLCSSSLALDSVSEPTLSWTCGDDQVAILDTSDGGRNLSVNGELVQDRVLGCQKLRSYYVSRCLRCGQQSEAWRGAWKHYCREGSESSNAQNIPRKLLRQPSMNDAKIEDDPCKNMGIHGNNQDDNDSLEGQDHLLAVPGVILLCCGLMIPCFHAEKKEVSRHNTTSIQRNAVESIASLDVSTSSEKVPPTPHRIPPSPSRFAPSPQIARVGSVNLTVQQILRATQNFSPSFKLGEGGFGTVYRAVLPDGQVVAVKRAKKDQFAGPRDEFSNEVELLAKIDHRNLVRLLGFTDKGHERIIITEYVPNGTLREHLDGQYGRTLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTESYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRAAEERITIRWTFKKFNEGNRREILDPLLEDPVDDEVLERLLNLAFQCAAPTREDRPTMKEVGEQLWEIRKEYGKSVRRV >OGLUM09G01420.1 pep chromosome:ALNU02000000:9:2053531:2056485:1 gene:OGLUM09G01420 transcript:OGLUM09G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAATRMPVMVPSTPHTRRAAAKAISMTGAPRARPRRHRQGRPRRRWRSGPRTPRLATLSSSSSTSACRCQIRSMNYFSPPPPSSLVASTAPPLPAIPPAAGEGGLPPRRTGCTRRQTISARG >OGLUM09G01430.1 pep chromosome:ALNU02000000:9:2054118:2056137:-1 gene:OGLUM09G01430 transcript:OGLUM09G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAFAAALLVCGVLGTITGILVAANRIGSDRERSPPAAAAVRRARRRAAARRRRAGVADPSHESGHVFAVGLNDFGQLGIGSSLTHSLAAGPWISGLQDLVYKEIGYRSKKACKYLVSLSHRTS >OGLUM09G01440.1 pep chromosome:ALNU02000000:9:2076482:2077953:-1 gene:OGLUM09G01440 transcript:OGLUM09G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZN0] MAAESTAQAPAPPHFVLAPLAAHGHVIPMVDLAGLLAAHGARASLVTTPLNATWLRGVAGKAARERLPLEIVELPFSPAVAGLPPDYQSADKLSENEQFTPFVKAMRGLDAPFEVYVSALERRPSCIIFDWCNTWAARVARSLGIPRLFFHGPSCFYSLCDFNAVVHGLYEQIVADDEQDMNYVVPGMPVRVTVTKGTVPGFYNAPGCEALRDEAIEAMLAADGVVVNTFLDLEAQFVAFYEAALGKPVWTLGPLCLHNRDDEAMASTDQRAITAWLDKQATGSVVYVGFGSVLRKLPKHLFEVGHGLEDSGKPFLWVVKESELASSRPEMQEWLEEFMSRTATRGLVVRGWAPQVAILSHRAVGGFLTHCGWNSLLEAIAHGVPVATWPHFSDQFANEQLAVDVLGVGVPIGVTAPVSVLNDESMTVDRGDVARAVSALMDGGGEEAGERRRKAKEYGEKARRAMAKGGSSYENVMRLIARFTQTGLE >OGLUM09G01450.1 pep chromosome:ALNU02000000:9:2081536:2082550:1 gene:OGLUM09G01450 transcript:OGLUM09G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSDNNQLILDGRIGLASSLCQVEPILRNPEHKRKAVVLDQQFKGVLCAGQSSQSTSHVSDVYAGDIISTFHLPSRRTIRRRLSFASDNVPIPTGDGLSSGSPTLRAVETFCGLPHPPRCVAAESHKKRKAAMICRREERLYADTLSPSSRSVRRRKIPNDNIVHQPHHTQLRQHNPTSAAQPGGDGT >OGLUM09G01460.1 pep chromosome:ALNU02000000:9:2084279:2084693:1 gene:OGLUM09G01460 transcript:OGLUM09G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZN2] MDLIDGGNENPWKVPLGKQSAMEAVVTLASYDAAKTTVEELRRAFVRLHVMIREAFCSGHEGGRRRRALDQAEYVVHWGQLSHALVRWQRNGFRHWLDPDSGLGQSFNDINVSNAKDALNIVDFLVRPES >OGLUM09G01470.1 pep chromosome:ALNU02000000:9:2091578:2092558:1 gene:OGLUM09G01470 transcript:OGLUM09G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0AZN3] MDHRGDGKEEKKHQYTCSAAILMILLAVSLAAALGILVVSLVAVLAPYLAQPQPASASAAVRPSVHVGFNLTTETYNDLYSKLHRVLTEPSNPPYDPTNVRGRYVLGEKRRPDFFAEPLRWIMVDLVVGGDGGKGRSETKTTTTTLALPDDDLRIIGFANGAGHWHLMPDFAGGLPGPVTTLPISHNYADLIDGGNKNLWKVPLGKQSAMEAVVTLASYDAATTTVEELRRAYVRLQVMISEALRFRAIRKVFGGRGRWEEESFIGPDQAEYVPYWGQLSHALVRWQQSGFRHWPDPDSDLGKSFKDINISNAKDAWNIVDFLGRP >OGLUM09G01480.1 pep chromosome:ALNU02000000:9:2134116:2142333:-1 gene:OGLUM09G01480 transcript:OGLUM09G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLDTGQGEAAVVAAVEGSGFGHRALHMAASGGSVDVLRYLVEDLRLGVNQFNGKGQTPLFLSSIHGRAAATRYLLDHGSNPAIDKTVLPLHGAAAKGHCGIVELFLSKGVDVDLYSIAGTPLLAAAISGQHSTMKILLEHHADVGADMNFRDSNGVTCVMVAANHGSSVIMKCLLDAGANPNIPDEFNTTPIEVAANHGRRDIVEMLFPLTSPISTLPDWSIDGIISHVETFGLKPRDNDLSKRKSAELKLQAREAFERNDYALAVQHYTNAIELSTSAHDKATLLANRSLCWLRLSTGIGAIADANMCRMLRPSWPKACYRQGAAFMFIKDYGKACEAFADGLKLDPANEDIKKALRDAEETMKKDKMERRG >OGLUM09G01490.1 pep chromosome:ALNU02000000:9:2142608:2145767:-1 gene:OGLUM09G01490 transcript:OGLUM09G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKKMLLQAAYDGNLRLSGSGKVGIPAHYPPNPRDIDPPPRPVEIHHAPPERRRRRLSPSRVGASRAALLVPHRRRLTSDLPEQPLVDASAAATDFPGAAFLPPSADIRSIGNYFSVQSPLSGHRVFPNKNLLEEHLLLHQLGTSVCCTKNVIVIFFWVVF >OGLUM09G01490.2 pep chromosome:ALNU02000000:9:2142608:2145767:-1 gene:OGLUM09G01490 transcript:OGLUM09G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGMMGKQEKKMLLQAAYDGNLRLSGSPLSGHRVFPNKNLLEEHLLLHQLGTSVCCTKNVIVIFFWVVF >OGLUM09G01500.1 pep chromosome:ALNU02000000:9:2152073:2152364:-1 gene:OGLUM09G01500 transcript:OGLUM09G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGGPQRRWLRAGFIWHSLTKLELVGMDRAQAPRFGLGPLFQARCRHLLLRSNNVRQ >OGLUM09G01510.1 pep chromosome:ALNU02000000:9:2152465:2157044:-1 gene:OGLUM09G01510 transcript:OGLUM09G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRRAAASSLEILPAWTAAARAADSSLPPEPVLLPRVDCRRIPAAGAAASSPPRPVPTSAPSAMAGADFLPPPAPTSSSSAAVPIPSPIPSIPIISCRSPPSTLPHNPILYQKSKTTSIGMETSCFRERTARIFDQKRSTAAQLFADIKDGISIWKEAVVGHRGMRHSSRQPQVIANKGRG >OGLUM09G01520.1 pep chromosome:ALNU02000000:9:2156629:2157090:1 gene:OGLUM09G01520 transcript:OGLUM09G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEGIGEGIGTAADEEDVGAGGGRKSAPAMADGAEVGTGRGGEEAAAPAAGMRRQSTRGRSTGSGGREESAALAAAVHAGRISSEDAAARRQNMREEEERARRRSKVFTRGAMSSRLEEEERSIRRGWTVQINPDDFSCRIGGGQLLLFILV >OGLUM09G01530.1 pep chromosome:ALNU02000000:9:2158649:2167730:1 gene:OGLUM09G01530 transcript:OGLUM09G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETSLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTKLHTAATHGQCSTMKILLDHHADPDKVVNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMTAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLPEDLCVKKIAQMKVEGKEAFKRKEYLLAAQLYTSALGLGPSPDDSATLLANRSLCWLHLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENATWAALQAVKNDRCVKNNQ >OGLUM09G01530.2 pep chromosome:ALNU02000000:9:2158649:2167730:1 gene:OGLUM09G01530 transcript:OGLUM09G01530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGMGKQRWEKMLLQAAFDGNLRLLRKMARGLVTTGRGRGEADVLAAVADGGSGSRALHLAATEGRMDVLTYLVEDLRLDVNQTNDRGETSLFLSAFFGRTASTRYLLDHGADPMIVGKSGSPLHAAAGKGHCEIVELLLSRGIGIVFDSLYGTKLHTAATHGQCSTMKILLDHHADPDKVVNLDDTPLNMAISSKSLECVKLLIQAGADVNFRDSNGATYVMTAANYGFSGIMKCLLDAGANPNIPDQFGVFPIEVAALQVHREIVEMLFPLTSPISTVPDWSIDGIFAHAKNFGSKPLALGLGPSPDDSATLLANRSLCWLHLENGKQALADANMCRMFRPHWIKACYRQGAAFMLLKEYGNACDAFSDGLKLDPANVDIENATWAALQAVKNDRCVKNNQ >OGLUM09G01540.1 pep chromosome:ALNU02000000:9:2190661:2191305:-1 gene:OGLUM09G01540 transcript:OGLUM09G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSQLNPNATPFVPPPRSSFEESLSGRKASEKQVGDSEKDETADKSSEYDELPDSLSLDDYGESLGKLNISAESSSKGAASTALDPSHYEENGVDNNLAVVESLSKMFPDVSADFIVEALRAHDFDTELTIDMLADLCEGDD >OGLUM09G01550.1 pep chromosome:ALNU02000000:9:2195286:2195919:-1 gene:OGLUM09G01550 transcript:OGLUM09G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGDNGKKAMPQAENKEIAAKDAQDIRRQADEFNSWLDRMEAMDSQELKQYKEQNKDMFNSQKKAAINKIQQKEKKKRKRTVLSPILGAVMKFHRDNDVDPSASGPAA >OGLUM09G01560.1 pep chromosome:ALNU02000000:9:2221455:2222522:1 gene:OGLUM09G01560 transcript:OGLUM09G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTISPRPSSPRKSQNPIRSTKRWRENVWWFRDSVGGDRKAVATPQVIAGDPFGIKPAYLLLSLLRLHRQGQGPHQWLQTTNPGGLANYLPALCYAAAIAALGGVLAIVSSRPRACLPWAAQGRGSPPWPRLRAEENRDSGHRHGIRPCGISLPHGAPPAAALFAARCHCAAPPLSSLRLAAATSPRAPPPIACAAPLRAPPHHAPLWRRLARRRRRRKIAAAAAAY >OGLUM09G01570.1 pep chromosome:ALNU02000000:9:2231524:2236750:1 gene:OGLUM09G01570 transcript:OGLUM09G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTTPRGNETSELGANDGESSSRNSQPNNIEETNPLPEVSNDDAVLIIGQAIELKDEGTRLFQRRDYEEAAIKFGEAIKLLPKEHNDIAFLHCNAAACYMHMNPEDLDHAIEECNLALEASPKYTKALLKRARCFEALDKLDLACKDVQKVLSLEPSNVTALELSESIKELMEEKDVLLEKQIVSPEAEEPKAILAKDKIKRKVSRKFRNSIVEEEVWEMIHEEDMQEDDEAAEEEKCNGNNHVQNDARPEENDTEQMHIKQNRSSEEKYREETRPKQGQCSRGASNDGKTVLQHSSLNMEEMCVNLKHGQEKHQKHLKEIHVRGSHGQETHPFCSGVDKRQKHIDQIQTNSLGMQEKHTDKFERYANGSREKHFLDRHIGRGEDKQEKRSTLKPTIHGRDKHKNHKDVNKRAMKSVKFVCGDDIRIVVIPEHITLMQLMDIARYKYTPHLKSILLKFMDKEGDLVTITSTEELRWVEELDPLKPVRLYIKEVSPDREITRDLVMPTTSYSKLERNHNSMSECGSSRHGGEKNSYTDDWMVQFARLFKYHVGFDSDAYVDLRDLGMRLYYEAMEETITSEEAQEIFQSAEAKFQEMAALALFNWGNVHMSRAKKRLLLSDDASQESILLQVKNAYEWACAEYVKAGKKFEEAVDVKPDFYEGLIALGQQQFEQAKLSWRYADACKIGMGTEVLELFNHAEDNMEKGIEMWEGIEYLRVKGLSKSKKEKVLLDKLGLNGHLKEFSADEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLTEAIEKFKTGGASLPDISVMIKNHCANEKTQEGLSFKIDEIVQAWNEMYDAKKLKNGGSSFRLEPLFRRRPSKLHNILEHIHYT >OGLUM09G01580.1 pep chromosome:ALNU02000000:9:2261844:2268634:-1 gene:OGLUM09G01580 transcript:OGLUM09G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAATPSPSRPLWRSSGGGGAIVSKLLRSPFTTVLEAVRGRAAPDDTPPPVQAPPAPEHNCAGAFDGIAVVAGDGREERLDGGVFLTWEDVWVTAVDSGGKAATILNGVSGSARPGEVLAIMGPSGCGKTTLLDTLAATCIWNLGEFK >OGLUM09G01590.1 pep chromosome:ALNU02000000:9:2271453:2275008:-1 gene:OGLUM09G01590 transcript:OGLUM09G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTVREAIYYSAQIQLPDTMTMAEKLRRADETVREMGLTGTLDTRIGGRSSKGISGGQQKRLSICLDILTRPRLLFLDEPTSGLDSAASFHVMSRIIGLAAREGMTVVAVVHQPCSEVFELFHVLCLLAAGNTIFFGPASMAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESEDRLRCMPAVADEAIDILVNSYKSSNTSEVAKQDMRHINEMDRVTIRRNRAGFITKTLVLTRRSFVNMYRDIGYYWLRMAIYISISACLGTIFYNMGYGSDSIRARSSMLMFISTMLTLMAIGGFPSFVEDMKIFSRERLNGHYGVTIFVISNTLSSTPYLLLIAIIPGAIAYYLSGLQRQIEHFVYFTLVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLTSGFFQLPNSLPNIVWKYPMYYISFHKYALQGFYKNEFSGLVLNLEGPITVSGEKVIAELFQVETGHSKWVDLAVLCGMIMTYRLLFVVIIKVLDIVKPILKGMTFRCNTKCIHGIENLCAPS >OGLUM09G01600.1 pep chromosome:ALNU02000000:9:2305265:2305590:-1 gene:OGLUM09G01600 transcript:OGLUM09G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAMGRPRSNVACTRINHHCAAAVLAISVPPSTIVPRVGWPPCLAAAAGGFPVMHHHLSPPRAEPSRLEPSDRCTPLLCSVASER >OGLUM09G01610.1 pep chromosome:ALNU02000000:9:2315682:2316422:1 gene:OGLUM09G01610 transcript:OGLUM09G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRRRPASAIPSSSAPADELPGRGGDALGAERLEGSVAAGELVKAKATKKPVAFARVWSEADELRILECLAAHVEKHGAPPGRSQLPEVLAGRGLDKEEFTVSEIYEKVRRLRTQYDKMLSGPRPVPGDNRFELSCAIWGNPVAPPPTSPAPEKSSIPASGTRGRRDLEELRPLYPYLVDEVERISTNELGGHVLKMGLEFIDDGTAVRMNGMAKKQRVLELKTMLKLDSMRKEVTRTLLNNMD >OGLUM09G01620.1 pep chromosome:ALNU02000000:9:2357602:2360131:-1 gene:OGLUM09G01620 transcript:OGLUM09G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDETAAAAPATTALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPMDPKNDHLRALDGAGERLVLLRADLLDPDSLIAAFTGCEGVFHAASPVTDDPEKMIEPAIRGTRYVITAAADTGIKRVVFTSSIGTVYMNPYRDPNKPVDDTCWSDLEYCKRTENWYCYAKTVAEQGAWEVARRRGVDLVVVNPVLVLGPLLQATVNASTEHVMKYLTGSAKTYVNAAQAYVHVRDVAEAHVRVYECGGARGRYICAESTLHRGDLCRALAKLFPEYPVPSRCKDEAAPPVKGYLFSNQRLRDLGMDFVPVRQCLYETVRSLQDKGLLPVLPPTADDHHHPSS >OGLUM09G01630.1 pep chromosome:ALNU02000000:9:2373193:2374331:1 gene:OGLUM09G01630 transcript:OGLUM09G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVSKNGIISYDIEGIAECTQIRYFASLLPDIAILPTEIMLTVRHWAVHLMLPWPPPHENSIVLLIDVHAFAKLGIANMVEAKEEMKLFVAKLYVLDLSCATPSIGHFGNHGPFQLLIWSSEAEIKLLIVGHPKQYIEDTILVLVEVSLYDLGGNCSFFEAERGVLTGNKHFYGEQLEFLSDKLELRKKHMLKIIDACYESWSTRKWIDVTRKWLHWMLGGGEHASNFSWYLCWTLKVNIKVKKLLQGANKISSKHKNGGDIREAFEQ >OGLUM09G01640.1 pep chromosome:ALNU02000000:9:2375518:2375883:-1 gene:OGLUM09G01640 transcript:OGLUM09G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGTEEWRRNTDTHKMSAEEVRAAGVEASMRPPGRGQGPGEVLHQRGRMPYGPGTMALAGLGIFGVLGYLVLYHKARPGTPATEVAKVAVGHGDPVAGRDAHKTPDDAAAAAAAARQGK >OGLUM09G01650.1 pep chromosome:ALNU02000000:9:2386896:2387960:1 gene:OGLUM09G01650 transcript:OGLUM09G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGRQQGKVGEDSGKGGAHGISACNLFNGMSSQYEVSKEEILLVMNEKVTKEEALHLIHRLRDAKRRIDEKLDRLLEMFGVKSVVSEDAIFDPYIGISNHPKETHAKCSTVVLNRNNGIVQGVFPLLLGTLDIVSAPGKSTPVMALKTSMEDVKNCPGPHPSIIESRASRCELKSAPSPTNRTGTKVQLMSPWPHPKQMDVIVSNIDLQPMPWSSFNFYLIKGHQDFFDAIGESYDLLAIKELWNYSLLGILLCTRISTKSLQLEIASMLMELVWFQEGEVLQ >OGLUM09G01660.1 pep chromosome:ALNU02000000:9:2395110:2401788:-1 gene:OGLUM09G01660 transcript:OGLUM09G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHSTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSQPEGKTRSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASKAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPLPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRCFRPPLLPYAVEISGARSATVGDMGPGSRGHAASPSLAPQIALKWPEGLGMPRQTPRALGARSPETLARPPRGGESGGGESGWGGRRRSTPSMRGALTVPHCI >OGLUM09G01660.2 pep chromosome:ALNU02000000:9:2396790:2401788:-1 gene:OGLUM09G01660 transcript:OGLUM09G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHSTQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDNGMIVFKLERERPAFSVSGDTVFYVKDRFLRFFEYSTQKEVQLAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDVDGGSYELYIVPKDSAGRTDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVTIFDLQQRLILGELQAPSVKYVVWSSDMESIALLSKHAVVIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIKTLDVPIYITRAIGNNIFCLDRDGKNKLITVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYMDKVGFMCKIAGQNNNLMGQFHNALYLGDAMKRVEILENAGQLPLAYITAATHGLTEIADRLAAELGENIPSQPEGKTRSLLIPPAPLTASGDWPLLRVMRGIFEGGLDATGKAELEEDDEAAGADWGDEDLDMVDASKAMANGGDGFDAEEGEANEEDGEEGGWDLEDLELPPEAETPKNAGNARSAVFVAPLPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGIKNFAPLKPLFLDLHMGSHSYLRALATAPIIPVAVEKGWSESASPNVRGPPALVFTFSQMEDRLKAAYKATTEGKFPEALRQFLNILHTIPLIVVDSRREVDEVKELIEIVREYVLGLRMELKRKELRDDVNRQQELAAYFTNCKLQRVHMRLVLGSAMGLCYKQKNFATAEHFARMLLENNPNESQAKRARQVQQQCSGKKDSCELNYDYRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELAVVGADASGLLCSPTQLR >OGLUM09G01660.3 pep chromosome:ALNU02000000:9:2395110:2396539:-1 gene:OGLUM09G01660 transcript:OGLUM09G01660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSLGSATVGDMGPGSRGHAASPSLAPQIALKWPEGLGMPRQTPRALGARSPETLARPPRGGESGGGESGWGGRRRSTPSMRGALTVPHCI >OGLUM09G01670.1 pep chromosome:ALNU02000000:9:2409889:2410937:-1 gene:OGLUM09G01670 transcript:OGLUM09G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTTSRVRDGAACTHGRRVLAAARRCHRFLSRTSNGVVEVIEEQGRGGVVEREASTVERRWRRCEGTRRSGWGIKGKPRRRRTCERPEEYLEAGSGCKEGKNERPDLRRRCGGRWGHCSRRGVEAGRVRPRGEAGGAAAARQRRGDVPAWGGRRRPAGQAGSCVAAAGGRRLASNQFLHHYMSIYPH >OGLUM09G01680.1 pep chromosome:ALNU02000000:9:2432821:2438476:-1 gene:OGLUM09G01680 transcript:OGLUM09G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHLPHQGKLRRDVKEEALDDGDAAARAEASPFHKRSRLALQHWSTDGGSVSNQQSSQHGFLDEPSPLGLRLKKSPSLVDLIQMKLVQAGKAKDVQHSGIASASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICAMKVVSPENEPGILEIALSRQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRRHFLQCPPGMMNKHVEKLVHCDPRLYSLSQQNDINLDNPYFESKSSIFEDAEGIKGQDFEHKDDGDQLAPQRFTELLPPHSASGRIDTEARQLSGTPDKLLQHFPCSVSGTQVIKQDAASGDCERQESIYNWNGIKVPGIRRSMSKSEIANHIGNHIYRQMYSGNLPAVHRGDSTSSKVTLDGITRFLLGSTQIIGDGDGDGDGDGSMGKLTFDELTRQLLNDSQITNAADERMLMSRVNSLCSLIQRDSGSGQTNPSSSIHGDNEMQERKPQPYALPVSADSGSNTSLPPRQESFGDLLTHLPRISSFPHFL >OGLUM09G01690.1 pep chromosome:ALNU02000000:9:2457685:2480269:-1 gene:OGLUM09G01690 transcript:OGLUM09G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERKGERAGEGSRTASASPAAGGNEGRTSAVCAPASGDEERKKTPVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPLSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRVRVADIFLTLPCKLVSMAWELTEVPGNSTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVTLLLFLKYSFLAMV >OGLUM09G01690.2 pep chromosome:ALNU02000000:9:2457685:2480269:-1 gene:OGLUM09G01690 transcript:OGLUM09G01690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERKGERAGEGSRTASASPAAGGNEGRTSAVCAPASGDEERKKTPVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPLSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRESGMVENPGPSPGGQQPPNALTDSNLCLRSLVLDSSLPCKLVSMAWELTEVPGNSTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRKNLSYQSSYALQLGLNIVAKCFLKINLSQVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVTLLLFLKYSFLAMV >OGLUM09G01690.3 pep chromosome:ALNU02000000:9:2457685:2480269:-1 gene:OGLUM09G01690 transcript:OGLUM09G01690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERKGERAGEGSRTASASPAAGGNEGRTSAVCAPASGDEERKKTPVGNAAVVVDPSTMQIIAKATDQTLQHDSLKSNKCAELNSDSPLSSLEVTEKKGSRLFLSNSNVSKCNSLNMEASCLNPWGWMKPRPSEQKSLPCEGGFPWHPLRHAAIVAIENAAERDKVMFPSIISSTKPNSDGNMEYYSVNESAKRLKDDKKIAHEAICDDLSETRPYLCTGFDIYLVWEPCSMCAMALVHQRFKRIFYAFPNPITGALGSVYRLHGEKSLNHRYSVFRESGMVENPGPSPGGQQPPNALTDSNLCLRSLVLDSSLPCKLVSMAWELTEVPGNSTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSEVTLLLFLKYSFLAMV >OGLUM09G01690.4 pep chromosome:ALNU02000000:9:2457027:2480269:-1 gene:OGLUM09G01690 transcript:OGLUM09G01690.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERERERKGERAGEGSRTASAVVAGGGRQRGKNERRLLPCKLVSMAWELTEVPGNSTPSLRGSTVEVIAAKIEPKLANSLIRQLSQACPLENLRHVKRVRRCCEYGEKSELSIILCLATGPEHCSEMFPQDVKKIVGTYELNTFIAKVARFPATSKEEWEEQCKLWPTSYHPPHDLDGVSGFKECELPSIFNCMRTALRLSELCLSTINNNL >OGLUM09G01700.1 pep chromosome:ALNU02000000:9:2480402:2482706:-1 gene:OGLUM09G01700 transcript:OGLUM09G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVVGFTIDWWTCRTRSIEGLSSAAHHLSRAPPAAYGEGEREEGRRRRERERREGMRWRKWATDMWAHWPKRTSQNLINPISSLPPIQPPQPGERPGDRRCAVVDSAPPHAVPAARGPRPAARAAPHRLGASPGRDAARSSRRR >OGLUM09G01710.1 pep chromosome:ALNU02000000:9:2483583:2486776:1 gene:OGLUM09G01710 transcript:OGLUM09G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRLSAASGGRWMRLIISALAAGSRMPLTTIAVQAGEAGHFCGSTGGRWTAMPRKAKEHAATRKLMNQQMDPFNQTLLSFISFTSPGVRLVDSKLILVPYLKDGLIPPSTPIDVAQHKHQLLNYANSVAIIPPLHTTCVTSMSPCGIGTRVTILRHNDYYDYVLVELFSHQDTRTHLASNKVTLFLWWSPSSGPLADGQWIQKEVLLPIPASNQDKDDMTWPPTYSFRADMVFAVLTTSLCWVDLRTGILVCDHIDKLGTGTDDNDDHLLLLFISLPEECVMKPSLLSWKRSAEEHRTMICRDPETILFISMDNYIQGLPIGDTVLTTWTLKFPLTNHWTWEKHSAPSLFVGDLLNDLPILKLKNPRMIGRRCTLPTARSVALTVKTILSLASLLPSMRGSMSKGTGESRDSVRDQH >OGLUM09G01710.2 pep chromosome:ALNU02000000:9:2483583:2486776:1 gene:OGLUM09G01710 transcript:OGLUM09G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRLSAASGGRWMRLIISALAAGSRMPLTTIAVQAGEAGHFCGSTDIAFFYQLILVPYLKDGLIPPSTPIDVAQHKHQLLNYANSVAIIPPLHTTCVTSMSPCGIGTRVTILRHNDYYDYVLVELFSHQDTRTHLASNKVTLFLWWSPSSGPLADGQWIQKEVLLPIPASNQDKDDMTWPPTYSFRADMVFAVLTTSLCWVDLRTGILVCDHIDKLGTGTDDNDDHLLLLFISLPEECVMKPSLLSWKRSAEEHRTMICRDPETILFISMDNYIQGLPIGDTVLTTWTLKFPLTNHWTWEKHSAPSLFVGDLLNDLPILKLKNPRMIGRRCTLPTARSVALTVKTILSLASLLPSMRGSMSKGTGESRDSVRDQH >OGLUM09G01710.3 pep chromosome:ALNU02000000:9:2486778:2487184:1 gene:OGLUM09G01710 transcript:OGLUM09G01710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRTVLEWSSLESRHSKIFAAEFNCCLQQIALTSFSFVKFVSSKQWDGKRSRSSTFKSLSDEEVVDEDDENWKWVFPDEVGNE >OGLUM09G01720.1 pep chromosome:ALNU02000000:9:2487599:2490210:-1 gene:OGLUM09G01720 transcript:OGLUM09G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQEGCPRSAQTRLRPRSPPSWTQGFRLAASLLPCLLAELEDASSVQIKHSSHYARMVALYTILCMVGEEANKK >OGLUM09G01730.1 pep chromosome:ALNU02000000:9:2492107:2492860:1 gene:OGLUM09G01730 transcript:OGLUM09G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNCSKRSANTIQRALAPLSHGQLGSETLAAPSQRLQPPRRRRKPCSRPGRRRRGKCTXGLGGRKFRSSLPGSSGDSLFTPPLGVINAFKRRGRWGRPGWRERRVWWRRPAWRERRGRRWRRRPRCEEELPVGVVRSSAHEGWPAGNAGAGVPHVGRACVVVEHRCVSRGFAGGEWRSGLDQIDNDGSFPLLRALSCCLTPRGWLPGESPVLAPLSPDGRWRRFFCRFSS >OGLUM09G01740.1 pep chromosome:ALNU02000000:9:2501347:2508696:1 gene:OGLUM09G01740 transcript:OGLUM09G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHAPTTLRPTPVFTDRSRNLDDVVALGYPDLGSTTMTGARRSLPTASTGFPSAVAASSSSHPPPAGPCRSAPPKLPRRRSADLVGRSVLSHRPPSPCNPLPVVDMVAASMAPQRWSVVAMAVRATGSVRSGPHLRLPAWTSLARSTNLVAQLKNSMSA >OGLUM09G01750.1 pep chromosome:ALNU02000000:9:2510601:2511554:1 gene:OGLUM09G01750 transcript:OGLUM09G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTSANFSSCFTFLKEALVLPTQNPKLFTPVFLLIALPSFLVLSTNVLFVQPLSMDMAQLAIKLQTTDPSSAEYRMILEELKHDVTQLILVVVAVELVALVLGFVNQCVGFFAASSTYSGDRYSLPELLRKAMKGNLKGPLITIAMVTVLRVTYMALLGVLIYSVMQVQRHYLIKVLSVQVLLFVLCFLAFLYFNVVGMVSVAVSVGDTERRGIRALRQAWRLMTRVRRKEGLVLVVAICLLSIAVSPVNLVAAAYTKKNMVLGLCLLVVYALLSGAEQLFYFAAATVYYCQAMDSKGEAMDYAYAKIPTGEANC >OGLUM09G01760.1 pep chromosome:ALNU02000000:9:2513846:2514793:-1 gene:OGLUM09G01760 transcript:OGLUM09G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVQRSTPPFYNFLKEGFLLPSRNRSLFVAIFLLVVASTSVLLLVNDLAVQPIAVEILLDAKALNTTDPMSPDFTKLVKEIQDDTRELMIASAAYSLFAVVIGFAIRIIILFGAVATFSGEQHTFGTLLGKARTQLKGPLLTLAFVFVLEITYVALLVAMAGLLAFLMVKKYYVPFLLLSLFVLVGFIFLVYFSVLCSFSVVVSVAEPGCHGAGAFGRAWRLVKEKKRRAVLFVAAISVLAAIVSAVYKLSMAGARSSIVAGLLLGLVYAILMGAVELFGVCSLTAFYYECKGSNEVVATDQYVRVSTDEQPKP >OGLUM09G01770.1 pep chromosome:ALNU02000000:9:2517531:2520241:1 gene:OGLUM09G01770 transcript:OGLUM09G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSSCRPARATRPHTLPRGRTTNECRRDTSSFPPPYLLRSLTRRLNTGENADVGPVVGASEISTPGGDDLYDYIEPEDHEEDACGEPVDLLEWDSYGF >OGLUM09G01770.2 pep chromosome:ALNU02000000:9:2517531:2519003:1 gene:OGLUM09G01770 transcript:OGLUM09G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLALSSCRPARATRPHTLPRGRTTNECRRDTSSFPPPYLLRSLTRRLNTGENADVGPVVGASEISTPGGDDLYDYIEPEGCETCTLP >OGLUM09G01780.1 pep chromosome:ALNU02000000:9:2517954:2518148:-1 gene:OGLUM09G01780 transcript:OGLUM09G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVPANSGEGGGHGEHQWSKGSMVVAAARPRAAWSGGVPYSRRRPNRAASPGGDAGDVPAMD >OGLUM09G01790.1 pep chromosome:ALNU02000000:9:2524480:2525430:1 gene:OGLUM09G01790 transcript:OGLUM09G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDDGGRRLWRTATRLKDREAPRDYEEVLGGGGHRWRGDELPRRSAAAMVAAVLRSRGGGRRGTRLAAGVGFAQGLQRGCRGSQREPGAVGLALSLRASALAGGGGIGLATAAAVVGAWARQGIGRDGVGFVQPWHSGIRGQRVAQGRVASLLGQRERGRAREQQLRVGRAGERHDEGSGRASGRGAPALPQLCQRESRAEVDWSAWKR >OGLUM09G01800.1 pep chromosome:ALNU02000000:9:2572029:2573012:1 gene:OGLUM09G01800 transcript:OGLUM09G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAAMSSSSSSSPCITFIAESMILPTRNIRLFAPIFLLIFCHTFIFLGITAIHVNPLAPSLDSIHSLATGVLVHVYAPKNTTDDGQGQATATDSLIRGHAIVYLAYLVSRLTVQVVAVVAGCTTYSGKRLSFTELLGWEVATTERIRGPLITAMFMGVVDLSTATLLVLAAHMTAFVGGSGMASILGSLLFLAALVLYIHLGAVIPVSIAVSSAEGRWAAPALWLAWRLMKARRKEAGVLTLIACLVPAAICPVYTIAAALSDELLFTFYVWLLGVVFGFFLLPVALQLLSTTAATVFYYHCVEAQVVAHVCDVSVDDRDVVVQV >OGLUM09G01810.1 pep chromosome:ALNU02000000:9:2575537:2582025:-1 gene:OGLUM09G01810 transcript:OGLUM09G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGDRFLEVAGVAVRPAADGKALLPLLPAAAPSPVLPSWDPTAATPCSWVVAGGDVLAAKPGQPVVAPAAAPQPRPLRLQPLLTRLLHGEPDTQPRRSPWPTTSASSRWRTTTRPRLPKPPVAVERRGIRMGTETAFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATFLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNQGEITTSCMQGFIYNLGEDGCSITVTLKSRRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALLLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRRIGCFDLDKQSNPHAGSLSYKEKDAFLLVINGSLHLLFYQERLCKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >OGLUM09G01810.2 pep chromosome:ALNU02000000:9:2577610:2582025:-1 gene:OGLUM09G01810 transcript:OGLUM09G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRGDRFLEVAGVAVRPAADGKALLPLLPAAAPSPVLPSWDPTAATPCSWVVAGGDVLAAKPGQPVVAPAAAPQPRPLRLQPLLTRLLHGEPDTQPRRSPWPTTSASSRWRTTTRPRLPKPPVAVERRGIRMGTETAFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATFLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNQGEITTSCMQGFIYNLGEDGCSITVTLKSRRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALLLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRRIGCFDLDKQSNPHAGSLSYKEKDAFLLVINGSLHLLFYQERLCKVD >OGLUM09G01810.3 pep chromosome:ALNU02000000:9:2577610:2580997:-1 gene:OGLUM09G01810 transcript:OGLUM09G01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHVLSFRFFSSRATALHLRRRELKLLPFPSFPCSSSSAPNTCSQQSHLLLVSSAGPREQTLLPADSRSSLPHGITDNGTRKEGRRRRRGRKRKETAKDEGECVPSAEEVSIRVNTLYESGDPIGKKELGRCVVQWLKQGMHSMAIKYASTEMQNDGATFLLDGGSSEDNLGFVMLAQPYLSAIPMPKGQEALCLKASTHYPTLFDHFQRELRDVLLQQQNQGLISDWRTTQSWMLLKELANSAQHRAAARKPKAPTTHSTLGISLDKTRLMQTKIEDFVKKMSDLLHIERDAELEFTQEELNATPVMDGNSKKPLKPVEYLVTHGQSQQEQCDTICNLNVISSSTGLDGQHLVLFRVKDNHRLPPTTLSPGDMVCIRTCDNQGEITTSCMQGFIYNLGEDGCSITVTLKSRRGDPTFSKLFGKNVRIDRIQALADALTYERNCEALLLLQRKGLQKKNSSIGVVATLFGDKEDMMMMEQNNLADWGESTIHDDELLKKNKYDFDASQLKAITLGLNNKRPVLIIQGPPGTGKTGLLSYLIACAVRKGERVLVTAPSNAAVDNMVEKLSDTGLDTVRVGNPARISPSVASRSLGELVNRRLQKFTEEFERKKSDLRKDLKHCIQDDTLAAGIRQLLKQLGKNFKKKEKEIIREVLSNADVVLSTNIGAADPLVRRIGCFDLDKQSNPHAGSLSYKEKDAFLLVINGSLHLLFYQERLCKVD >OGLUM09G01810.4 pep chromosome:ALNU02000000:9:2575537:2577607:-1 gene:OGLUM09G01810 transcript:OGLUM09G01810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLERASSLHNELLTTKLTTQYRMHDSIASWASNEMYDGFLKSSPSVASHLLADYPFIKETWITRCAFLLLDTRMPYGSLNIDCEEHLDPAGTGSFYNNGEADVVSQHVLNLVQCGVSPTAIAVQSPYIAQVQLLRDRLEDYPEASGVEVSTIDSFQGREADAVVISMVRSNTLGAVGFLGDNRRMNVAITRARRHVALVCDSSTICNNAFLARLLRHIRQHGQVRHVEPGSFGGDSGLGYTPPALPSIS >OGLUM09G01820.1 pep chromosome:ALNU02000000:9:2582276:2583060:-1 gene:OGLUM09G01820 transcript:OGLUM09G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRGDRVEPAGGIHAAGAEDRPERLRPGLAWFVAESSDTHAEARWESGRRGRLRASDEEGGATPVHRLH >OGLUM09G01830.1 pep chromosome:ALNU02000000:9:2591559:2592297:1 gene:OGLUM09G01830 transcript:OGLUM09G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGEGNKLVFLDNAPEAVIGKGATGTTSPLQLRQGKQNGLPFLDIEAPTLAADEDEERRGGGGEVVGEDDEEEVDPFLTYI >OGLUM09G01840.1 pep chromosome:ALNU02000000:9:2592463:2593293:1 gene:OGLUM09G01840 transcript:OGLUM09G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPTQHHPTAAATAVILLLLAVSPAPSGADPDDERCLSSLQQSLSGLRNWSKASFSAPCEGFISHLQGVTCNNGRVYKLSLPGLSLAGTIPPDLSNCTNLQSLDLSSNALSGAIPPELSGLLNLAVLNLSANRLSGAIPRDLARCAYLNVIDLHANQLTGSIPDELGLLVRLSTFDVSYNRLSGPIPVLLANRSGTTGRFNATSFVGNKDLYGYPLPPMRGHALSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATMPGEEGKISHLMPDY >OGLUM09G01850.1 pep chromosome:ALNU02000000:9:2600929:2612226:1 gene:OGLUM09G01850 transcript:OGLUM09G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRDSSIGSSAAAAASSTTGGGFSSPFPNLGVPLSSPQSTDPRRHNPPSLPLSPSLSLPLNSRRIFVGTATLAALHSLSSGPPPFFAWAPASAPPDHHRPESPESLSSPGKPISSALPVGFAMGMASCPARLSTAVGADPLPLPRLALAWHDPLASASPCIGLLLRRQIPTPTYQGLRLAVVKVVEVVASGVPVTTATQHGHIAPYVDLNSP >OGLUM09G01860.1 pep chromosome:ALNU02000000:9:2634556:2636499:-1 gene:OGLUM09G01860 transcript:OGLUM09G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVRVTLRGDRLGQVPRCEARPETRDPRRELLVRRRADGGLGLHHVRGHSCFFSSRCAIGAEATEAAREAAGGGGEECHGGRARLLRPRRFWNNDARAVWLQMLPAAISLVNYLNDLCFQMYQTPPPTLK >OGLUM09G01870.1 pep chromosome:ALNU02000000:9:2666407:2681318:1 gene:OGLUM09G01870 transcript:OGLUM09G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQQLGCCWVLLIALLSCSAATASEVPAIIVFGDSTADAGNNNYILTVAKGNFPPYGRDFDGGVATGRFSNGRLVTDFVSEALGLPSSVPAYLDSTYTIDQLATGVSFASGGTGLDSLTARVASVIPLSQQLEYFKEYIEKLKQAKGEDVANEIITEALYVFSIGTNDFIINYFNLPLRRAVYTTAEYTAYLVGEAAAAVRDTHELGAHKIIFAGLAPIGCLPSARTLNHDAPGECNEEHSQVAVAFNTALTEAIGKLNDELTGLRVVYSDTYSVLSAILSYPSYYGFVNIAQGCCGTGLIETSVLCGFNDHLTCQDADSYVFFDSVHPSERTYQIIANKIINTDLKLVL >OGLUM09G01880.1 pep chromosome:ALNU02000000:9:2692733:2696212:-1 gene:OGLUM09G01880 transcript:OGLUM09G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASRFVLLSRLPAAAASSRFLRPLAAAGSLLLAALAPSAPPAAGARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMDPPPGDPSNPEPTRDEIIDGYIKTLAQIVGSEDEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERTRRNDRPRNFDRSRNFERRRENMHNFQNRDVPPGQGFNSPPPPGQGPVLPRDAPPMPPPPSPPNPGAPPSYQPHAPNPQAGYTNYQGGAPGYQGIAPGYQGGNQEYRGPPPPPPSAYQGNNPGYQGGGPGYHGGNPPPYQAGNPPPYQAGNPVFAGGAPGYQGQGGNPSYQQGSDNYNAGVPAYKRDEPGRNYQ >OGLUM09G01890.1 pep chromosome:ALNU02000000:9:2700052:2700346:-1 gene:OGLUM09G01890 transcript:OGLUM09G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQQNVNECGYDIVYLLMNGYVEFMQQQQQLQKAAMHARSAATTRPIAQPPATPVSLLPVPVPPLPTPVPTPVASPQVQVRLQPA >OGLUM09G01900.1 pep chromosome:ALNU02000000:9:2701747:2704490:1 gene:OGLUM09G01900 transcript:OGLUM09G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVGWLVLLLPALLRRGSSGVAAAGKVPAVIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYADGLPTGRFSNGRLATDFISEAFGLPPCIPAYLDTNLTIDQLASGVSFASAATGLDNATAGVLSVITIGEQLQYFREYKERLRIAKGEAEAGEIIGEALYIWSIGTNDFIENYYNLPERRMQYTVAEYEAYLLGLAESAIRDVHSLGGRKMDFTGLTPMGCLPAERIGNRDNPGECNEDYNAVARSFNGKLQGLAARLNKDLPGLQLVYADTYKILASVVDKPADYGFENAVQGCCGTGLFEAGYFCSLSTSLLCQNANKYVFFDAIHPTEKMYKIMADTVMNTTLNVFL >OGLUM09G01910.1 pep chromosome:ALNU02000000:9:2705987:2708084:-1 gene:OGLUM09G01910 transcript:OGLUM09G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIB/MDM2 domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26810) TAIR;Acc:AT4G26810] MVLRRATAAVGDCPKKVAKLVDLVNLPTALREFAGGQSQMSHLSFFLRVWSHIKSNNLQDPSNRNIVNCDDKLKTVLLGRSKVELSELPMLVKLHFPKFPKS >OGLUM09G01920.1 pep chromosome:ALNU02000000:9:2712680:2717021:-1 gene:OGLUM09G01920 transcript:OGLUM09G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:indole-3-butyric acid response 1 [Source:Projected from Arabidopsis thaliana (AT4G05530) TAIR;Acc:AT4G05530] MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKKKNVDEAVVGLRAKGITVVGVVCHVSIPEQRKNLIDTAVKNFGHIDIVVSNAAANPSVDNILEMKEPILDKLWDINVKACILLLQDAAAYLRKGSSVILISSITGYNPEPALSMYAVTKTALLGLTKALAAEMGPNTRVNCIAPGFVPTNFARFLTTNDTIKNELIDRSTLKRLGTVEDMAAAAAFLASDDASFITAETIVIAGGTRSRL >OGLUM09G01930.1 pep chromosome:ALNU02000000:9:2721942:2722328:-1 gene:OGLUM09G01930 transcript:OGLUM09G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVIDFLCAYGLDATVELGVLLTAGLERSKHSFLWGMHTPVASDMDSMMSREARRGGVAPRGVHGQDSGEERHTGVAVVGAICGGATHPMISVFVTHCIWMAYISGGEKGLAARAGEGYHGMAEQA >OGLUM09G01940.1 pep chromosome:ALNU02000000:9:2725929:2726690:-1 gene:OGLUM09G01940 transcript:OGLUM09G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSMMEGCLLDVQHVQPFNGKGVDMTPTKCLTLGPGSTTTRPPTVENSLAAPKHVFPATMNSFTPSTTTSAIATPSPSIKTNKAEGDMVQVEMKPDETFQELCAKMIAMLNSMLVTCRDIKVESTASVGITRVVAATSTNTKSIPNTLEVSDDDNSISLVDTNGLCMVTATKCLTKGNEQMINNDDDDMASEELVKLTEVNSKFTVLQTSFNNPWFGHQAIFVVHLTCYGCLDRSSEYTASFPPVLGEQLR >OGLUM09G01950.1 pep chromosome:ALNU02000000:9:2738543:2742324:1 gene:OGLUM09G01950 transcript:OGLUM09G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSLSTLSLCTQSPSPSPVASARLVAPAVLGFAGAPRFPTLRAAPRRLTARAVAGDAEDEWGKEPVADQGGAAAAVAEAPADVPVTSEVAELKAKLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGSLPQLVKVEEISQTIDSENFTVQNCIKFSGPLATTSVSTNAKFEVRSPKRVQIKFDEGIIGTPQLTDSIVLPEKFELFGQNIDLTPLKGIFSSIENAASSVARTISGQPPLKIPIRTDNAESWLLTTYLDDELRISRGDGSSIFVLFKEGSTLLY >OGLUM09G01960.1 pep chromosome:ALNU02000000:9:2750316:2754783:1 gene:OGLUM09G01960 transcript:OGLUM09G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYENGDSPAAAAGDGGVILGVDGGTTNTVCVCLPVAMPPPESPGAVPVLSRAVAGCSNRNSVGESAALETLEQVMAQALTLVNTDRSAVRAVCLAVSGVNHPSDQQRMLDWIRDLFPGHVKFYVENDAVAALASGTMGKLHGCVLIAGTGSIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVVKAYDGRGPHTNLTREILRKLELSSPDELIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADSVVAVVRRLKLCGEDGMDQFPLVLVGGVLEGNKKWNISGEVVRCISKVFPGVHPIRPEVEPAIGAALLAWNHHRKGLKLENGS >OGLUM09G01970.1 pep chromosome:ALNU02000000:9:2755885:2759093:-1 gene:OGLUM09G01970 transcript:OGLUM09G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSLLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKKLNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >OGLUM09G01970.2 pep chromosome:ALNU02000000:9:2755885:2759093:-1 gene:OGLUM09G01970 transcript:OGLUM09G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSLLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKKLNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >OGLUM09G01970.3 pep chromosome:ALNU02000000:9:2755887:2758430:-1 gene:OGLUM09G01970 transcript:OGLUM09G01970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLETLDVPLAHSDMNGSSTVQGEPSDENELIVDDLDAIWNELNTSLHVSRYVTDSVMKGTISAVEQESARQIASKDAEIAFLNEKLHQFRNSGLSLSEGRDKLYEEIYNLRQQLVTLSKSLLNSEWGLSVSHYNNFEGAEDESKHRGNEKSSKDGITKENGSKASNEDIFIDPTVLKHMDRDELVAHFNKMMNQMKRQHDSTLQEKTEEIFRLKRENLKKEGPNPWHLRNNKEFELMRKKIWEVITKLDEVLVENKRTIRIKSDVFPGQQDKIKVVDSHNHQLQGAPTDNEEEECTTLIKASHFTPIETNYLNQIRRLESDIEDASIVTIVREETEKILVTEFISEIKMGLHGYEMEFNMNLDFWSIIQKEAIAEAASNINSLLLKYSEENSCAEAQSLHMQEMDKLKLNVDTFNLVIREKEEYLSQIEFKAIEDHLDFLRHELDSLRGKVAKQDSCISDKCRDFDVIVSRLEQALQHVHRNEIALKKLNDRFRTVSDSQKEVEKQNKVLHAIIKEKEKGFSSSISKEKEFTECMRCVVESMRGFEKLVTDQQTIIAHKVQHNESRFSLLKEQCKILAKEGNTLRKKALRYKEISETRASNLQKAELEVDLLGDEVEALTDLLAKIYIALDHYSPVLQYYTGVMEILNMIKKHLNMSK >OGLUM09G01980.1 pep chromosome:ALNU02000000:9:2780282:2783585:1 gene:OGLUM09G01980 transcript:OGLUM09G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLGFRYGVEKVCSGHCRHCLPWGSQLQQVDIVAGMVYFIVREKKVVELSRRHSLKAVETTPFKGLNRVG >OGLUM09G01990.1 pep chromosome:ALNU02000000:9:2789406:2793397:1 gene:OGLUM09G01990 transcript:OGLUM09G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAVAAVLAFARTLASVLALNPFSRLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYRMPMALLGMLVILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >OGLUM09G01990.2 pep chromosome:ALNU02000000:9:2789874:2793397:1 gene:OGLUM09G01990 transcript:OGLUM09G01990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAVAAVLAFARTLASVLALNPFSRLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLVILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIATAVLVYVCSLQFALVYAIGLSYAVMMLHASFRKLTPSSLPDPGNRNRRLQPKRS >OGLUM09G01990.3 pep chromosome:ALNU02000000:9:2789938:2793397:1 gene:OGLUM09G01990 transcript:OGLUM09G01990.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:prenylated RAB acceptor 1.H [Source:Projected from Arabidopsis thaliana (AT4G27540) TAIR;Acc:AT4G27540] MSSSSSSFKPNPLSLSVPDPALDRWLRDSGYLDILDSSASASASASTSSSPSATTTTAAASSTSTLINPTSAVAAVLAFARTLASVLALNPFSRLSTTDLAAPTPSWSLAFLGPPGAASYSWPPTSTQARLRVQENVRRYARNYAALSILVFACCLYHMHCHLPSSLIVALIAFICSQSLRLRNISATAGVSRYRMPMALLGMLVILIVWESVRYCRERWGLATRAPGVGQFLLHSAQIGEVSVANVFLHNKSLERKIEDCGHIQKQSAAAKMYPIHDDVACFFSKIDSFKST >OGLUM09G02000.1 pep chromosome:ALNU02000000:9:2794984:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKS >OGLUM09G02000.2 pep chromosome:ALNU02000000:9:2795834:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >OGLUM09G02000.3 pep chromosome:ALNU02000000:9:2794984:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKS >OGLUM09G02000.4 pep chromosome:ALNU02000000:9:2794984:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKS >OGLUM09G02000.5 pep chromosome:ALNU02000000:9:2795834:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARIKLKQAVPFLNGLLSSLHLKCKQARFYRSLEEAKEFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >OGLUM09G02000.6 pep chromosome:ALNU02000000:9:2795834:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVGLGCKAKGNVRGWDLNLAVYVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >OGLUM09G02000.7 pep chromosome:ALNU02000000:9:2794984:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRRRRGIYWCNGAEPEHLPRVAGPWLRPCVKKKKS >OGLUM09G02000.8 pep chromosome:ALNU02000000:9:2795834:2808479:-1 gene:OGLUM09G02000 transcript:OGLUM09G02000.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAVEGGFVHEEEEVDHPIRYLPLGRVYSSSAPCPLPKKPRSAEDGKPPVIVYYRRRRKKPRVEGPPPSPATAPPMLHPREDDEDEEVTRRKGSLKYELLSLGQAPPALGGDGEEPARRRCLRRSGGAERRGYFSEPKRRQRQGVHKEAASSAGRRWLELEIEAADPLAFVFWPLDEDWYKGSITGYNEATKKHSVKYDDGESEDLNLADERIKFSISSEEIKCRNLKFGISNLNKRGYDELLALAVSLHDYQGLDPGDLVWAKLTGHAMWPAVVVDESNVPANRALKPGRLDQSILVQFFGTHDFARFLCTQLLPENMLQLQKSMEKGSSDANSNKDVHSCDNLSEDKTAESGGDYDEMTQIELGNLRVSKLGRIVTDSDYFHNKKHIWPEGYTAFRKFRSVKDPHVVILYKMEVLRNSDIKARPLFRVTSEDGTQEKQRNVASGLDRDVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFENAGDTFRGYRAVHVNWKDLDYCSVCDMDEEYEDNLFLQCDKCRMMVHARCYGELEPLNGVLWLCNLCRPEAPRVSPRCCLCPVTETCLKDVKRMEPIDGLSRINKDRWKLLCSICGVAYGACIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHLMLLDEDEDPCIRLLSYCKKHRRPSTERPSLESNLAKPAVVVQTDAVPPSGCARTEPYNIHGRRGQKQPQVMATASVKRLYVENMPYIVSGFCQNRVGHDSISEPIQSVGFLDVAHQEAVGNVSSMIEKYKSMKATFRRRLAFGKSRIHGFGVFAKVSHKAGDMMIEYIGELVRPPISDIRERRIYNSLVGAGTYMFRIDDERVIDATRAGSIAHLINHSCEPNCYSRVISVLGDEHIIIFAKRDINPWEELTYDYRFVSSDQRLPCYCGFPKCRGVVNDVEAEGQSAKIRVNRSELFQQ >OGLUM09G02010.1 pep chromosome:ALNU02000000:9:2830788:2831300:-1 gene:OGLUM09G02010 transcript:OGLUM09G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPAAAEQSSDAGRRRRRCSGNAFDEEREGKGIEERRSYGSGKLEVSSGHSFVVRPRVRACGRVACAGWHELGASAHECSAGLARGNTGRHRRWLGLAE >OGLUM09G02020.1 pep chromosome:ALNU02000000:9:2831335:2832812:-1 gene:OGLUM09G02020 transcript:OGLUM09G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFTHLKANGQYTERPAEVQSIGAIYGQTLRLIKELAGIPHCRRQRRPVLDKGAPRSAGGEAGGGGQKREAEAAMAPATPANSEKGGGLMRFIEARGVPGWR >OGLUM09G02030.1 pep chromosome:ALNU02000000:9:2836270:2841557:-1 gene:OGLUM09G02030 transcript:OGLUM09G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKLPRRLMLTNGVAAAAIITVTDLSSQHDD >OGLUM09G02030.2 pep chromosome:ALNU02000000:9:2836270:2841557:-1 gene:OGLUM09G02030 transcript:OGLUM09G02030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQRHKKLPRRLMLTNGVAAAAIITVTDLSSQVGFMYLLTRSAHDD >OGLUM09G02030.3 pep chromosome:ALNU02000000:9:2836270:2841557:-1 gene:OGLUM09G02030 transcript:OGLUM09G02030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLLALLALEHLALDPAAPSPHTTVVQASVVIVLERASERWVQGHRSVGSGSVPRRLVLTNGVAAAVIITITDLFSQVGFLDIRNVFINIVPRRLMLTNGVAAAAIITVTDLSSQVGFMYLLTRSAHDD >OGLUM09G02040.1 pep chromosome:ALNU02000000:9:2857500:2886644:1 gene:OGLUM09G02040 transcript:OGLUM09G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAIGVGIGGFVFGNERSESKEDSYVERFLDCISNGTIPDDSRSAMTELQPLVAESHSAQMSFGAMVTGRPVVDLPRWKDSIALAS >OGLUM09G02050.1 pep chromosome:ALNU02000000:9:2889708:2892205:1 gene:OGLUM09G02050 transcript:OGLUM09G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octicosapeptide/Phox/Bem1p (PB1) domain-containing protein / tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G62390) TAIR;Acc:AT1G62390] MGKSGAKKKKPAAAASASASAAATKSSPAATEPKAPTQSQPPAANGAAPHLVDPGVLLRRAHELKEEGNRLFQSRDYGGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHKAVAEECSLALQAEPLFPRALLRRARALEALGRHELALTDALALLALDPDHQDAVDLVHRLRSRILSPSSSASGSATSTPEPTSRPSPAALGASAVVAGLGPSLPARPFPKKPSPPPPPAQQQQSVPPMSKFNPSPPSPKLVPFSNSPPSSANASAAESSQKVTPTPLVPSSLSLKDKALMDKKVVTRSRPLKLVYDHDIRLAQMPEKCSFRTLREVVASRFPSSKAVLIKYKDADGDLVTITCSAELRLAESCVDIAGSEVIEDGARHGQKLPMLRLHIVEVSPDQEPPMPTEEEKLEQDNELLLKGEDNSPHTSAAVVTDAEVTKQDVENVVAEAEQNTLTGKKDCDHAECKEAEIDDWLLQFADLFRNQVGVDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAAAKFQEVAALALFNWGNVHMCAARKRIPLDESAPKKVMSAQLCTAYDWVRDRYALAGSKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSAWDSSQTFKLFDSAEHKMRAATEMWEKVEEQRMAELKEPSSEALKKRRKQHNADGQRELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLDIADWKKNFDASIERFKLAGASESDISAVLKNHFSNTVSECEDKKIMTLDTGISQISNNIEDKCILES >OGLUM09G02060.1 pep chromosome:ALNU02000000:9:2893169:2895093:-1 gene:OGLUM09G02060 transcript:OGLUM09G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPILIFPSRRLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAASLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKVMKGTFLQQELLKSAIKSS >OGLUM09G02060.2 pep chromosome:ALNU02000000:9:2892982:2895093:-1 gene:OGLUM09G02060 transcript:OGLUM09G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIRRCRPSPAGRGHIAAQSPILIFPSRRLAISRSPRPFLQIRAKSCSPSSAPPLGSRSYAASLQPSTAREDRPGAEVGRRGAHRRLARPSLPRAPTSRFRADCRYSSGLAEPKQEASTKVMKGTFLQQELLKSGFCDLS >OGLUM09G02070.1 pep chromosome:ALNU02000000:9:2901210:2911290:1 gene:OGLUM09G02070 transcript:OGLUM09G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESASRLRVPFPPPKARARTTETGYFLSISSLLGSSMCNNLVTLFSSLTNSFRLNFKVIKASKARPADSCTVAGLAVSIILTSMQMHGAMHRLVSSLTPGASSATISAAICCSKMLPPRHMRSVSCAKKSGDEQRVLAAAGTTASAWIARKTVSWRSRPEAVCSACSSGSNAPAWTIWYCVSWSPKDARDHMQRAEPFCASIVPLASRSTRIGSPPSSTSAERTSSMEATFLSAATARACTTGDPEPGRHALIKMGTPPYAATAHALPTSAVMASMAATARSRVAGAEPESSTRRSGPRPPSSNTTFREATQAVARWATAAAARSRSAEWDDASSRRSSAATSPSVATMCADSAAMSLASKSSSEASALSLRSSPPIWSLAKASRMKRDLSARDAAGAGTCSTMALGDEADGST >OGLUM09G02070.2 pep chromosome:ALNU02000000:9:2901185:2906331:1 gene:OGLUM09G02070 transcript:OGLUM09G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHCTWSFFLFFRPLLSLHLFASCRHRTAHALFLPPSGANPSTQPNPTQPKRRGLHFIHSHIHIHRAGIQSESTISSPITFPASVVERAMRQEATCSLVLTQDAQHRKNQPPLAEEDDDRDHTDDAMPPPCSILLRQEEGEATAAAAGEGLLVPPLNFAMVDHGVYRSGFPDISNLPFVESLRLRSVLCLCPEPYPEANQEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALKVVLDVANHPVLIHCKRGKHRTGCVVGCLRKLQRWCLTSIFDEYQRFAAAKARVSDLRIGPEL >OGLUM09G02080.1 pep chromosome:ALNU02000000:9:2909388:2911139:-1 gene:OGLUM09G02080 transcript:OGLUM09G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G05810) TAIR;Acc:AT2G05810] MPPPLPHSPPPPAAADALGQILQALLPALLLAAESVKALHARWRAVHGTLLALQTSLAAAPDSAVSHPLFADLVASLLPALRSLQALSARCQDPSLPGGRLRLQSDLDIAASSLSLLLHDLSLLLRSGILYVDPSASSPNAIVLQVPAPAASRADKSLFIRDAFARLQIGGLDLKLKALASLLDLLANDIAAESAHIVATDGDVAALLRLLDASSHSALRDRAAAAVAHLATACVASRKVVFDEGGLGPLLRVLDSGSAPATRERAVAAIEAITADVGSAWAVAAYGGVPILINACRPGSGSPVVQALAVAALKNVASIEDVRSALVEEGGLPILVDLLASGTIDAQKGSALCIWSLASLGDHETQYQIVQAGALLPLLQALHTASGLDLHDTVLRAIHALAVVPAAARTLCSSPLFFAQLTDLMCRGGSILLQQMAADMVAELAPGVSDDTKRCMAPCICMLVKMMETAKPATVQESAGRALLALMTLKFNRKELVRDEKSVTRLLHMLDPSNEEIDKKYPVSVVLALALGGGNGTRRRLADSGICQHLQKLAEAEVPGAKKALQRISGNRLKSLLSRGWNN >OGLUM09G02090.1 pep chromosome:ALNU02000000:9:2915301:2915698:-1 gene:OGLUM09G02090 transcript:OGLUM09G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPHPQLGAAAASRCGGNRTGDGVDDNVRATVTARTTAVAAHNENLDDDPGRRRRRPRIHAASQIRARAEIAWRRRRGPESMPPAKSARGGDRVEVAKGWMPRGGIVLQTTAAGASADVDDSGGGWR >OGLUM09G02100.1 pep chromosome:ALNU02000000:9:2942102:2942989:1 gene:OGLUM09G02100 transcript:OGLUM09G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDAQEHVWPPALSPIGHRGAGAHPSPSSLLLGQKKREKREEDDGRKKKEKKKEKGKERLTGGPLHTTKKPPVETLRLPWGCLRSIGPDVEPLVVKTGKTKPKVKVLLLAPYASTRITVGVSLFARPPQHTAQLALRLCVILAASPPLTVVGVTLPPH >OGLUM09G02110.1 pep chromosome:ALNU02000000:9:2945210:3110659:-1 gene:OGLUM09G02110 transcript:OGLUM09G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGMVERRITGMLRNLAQCQGQGVMGYGEAFVKADQKIQPASDPLDSEVHPCSTQPHLCSLARWWRLDGACGTGGDGLQCRRGKSRKYHTRDGDVWLASAALLGGDGKTLTGFHGVDGKMARQRREGGGACARP >OGLUM09G02120.1 pep chromosome:ALNU02000000:9:3113392:3118439:-1 gene:OGLUM09G02120 transcript:OGLUM09G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase 3 [Source:Projected from Arabidopsis thaliana (AT5G08160) TAIR;Acc:AT5G08160] MKAPTNTPIETTRPDLIPTSRAPAPPEEEESSSHAACPSRANAIVKHRREPDDELQAAAMGCSFSGLNALYDTVGGGGGDIWVNDYRFRVVRRLGDAGPAGSFVFLVKEVVAAATASDGTGGAVPGASGLAKKKGIDPSHISADGTYALKKVLIQNEQHLEQVRQEIRVSSQFSHPNLLPLLENAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDINKNMLEKKEYFPTISILQIFRQLCAGLKHMHSFDPPYSHNGVKPDNVLITQRKDQPHLAILMDFESARPARIAIRSQADAMQLQEWASEHCSAHYRAPELWECPTHADIDERTDIWSLGCCLYAMMYGKSPFDYELDEAAGESLQSVTKSAQIKWPTEAGPSYPDSLRQFVTWMLQPHPAVRPHIDDIIIHVDKLIAKYSI >OGLUM09G02130.1 pep chromosome:ALNU02000000:9:3153626:3154070:1 gene:OGLUM09G02130 transcript:OGLUM09G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSESSSNANLLGQSSNVRKLARGREKAAVFPESTARSEHLAATATATADDLLAPAEETSSVGGWIGSPPRGVLPTPTTVRRRRNIARSGHLLATATSDDLPAPAEETSGVGGWIGNPNPKRTSNRGDERRAPSPRRL >OGLUM09G02140.1 pep chromosome:ALNU02000000:9:3154289:3156901:1 gene:OGLUM09G02140 transcript:OGLUM09G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHACAVCLGRMTVSALLLSSPVQRRSPAPPQVPSRRGGCDTPHPHTPPPRGTVGCTFVADIHPIAGSRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWWSDGSFSLLPKAVAKDVSKLLLASCAAAAMLDPGLPILIPNATATQSCSTLVGWRASRLHYNIRPSKMDPAGRNNKE >OGLUM09G02140.2 pep chromosome:ALNU02000000:9:3154289:3156787:1 gene:OGLUM09G02140 transcript:OGLUM09G02140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHACAVCLGRMTVSALLLSSPVQRRSPAPPQVPSRRGGCDTPHPHTPPPRGTVGCTFVADIHPIAGSRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWWSDGSFSLLPKAVAKDVSKLLLASCAAAAMLDPGLPILIPNATATQSCSTLVGWRASRLHYNIRPSKMDPAVKRRIC >OGLUM09G02140.3 pep chromosome:ALNU02000000:9:3154289:3156725:1 gene:OGLUM09G02140 transcript:OGLUM09G02140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVHACAVCLGRMTVSALLLSSPVQRRSPAPPQVPSRRGGCDTPHPHTPPPRGTVGCTFVADIHPIAGSRRASPESSSDSCVSVVGGEQRYQRHLKHRGWQDSERRLRIWWSDGSFSLLPKAVAKDVSKLLLASCAAAAMLDPGLPILIPNATATQSCSTLVGWRASRLHYNIRPSKMDPAVKRRIC >OGLUM09G02150.1 pep chromosome:ALNU02000000:9:3248231:3254169:-1 gene:OGLUM09G02150 transcript:OGLUM09G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRREEGQRLQVSMSSLENMSTVPDCGVPDITTSYLRPSKSTIDLFTAAAGSPVAAHSIMSPPQFMGAIDREARVHRYREKRKTRRFEKTIRYASRKAYAETRPRIKGRFAKRSDTDLEVDQYFSTTADSSCGVVPTF >OGLUM09G02160.1 pep chromosome:ALNU02000000:9:3278286:3292959:1 gene:OGLUM09G02160 transcript:OGLUM09G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYASASSGELGVVAGGGGRSVRVIPLRHPQEAVAGSSPSWWSVVMVKARGMGPRDWAEAALPCLSWMRTYRLKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVDSSSELYTELAILLAFMVGVLECLMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFLGYSVTRSSKIIPLIESIIGGIDQVGFAVSVRSAYRLFIFPFKFFYAQCMFQCNPILQESVGIAKALAAKNGYELDPNKELFGLGIANICGSFFSSYPATGSFSRSAVNHESGAKTGLSGIIMGIIIGGALLFMTPLFTDIPQCALAAIVISAVTSLVDYEEAIFLWSIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIGQKAVLGRLPGTTVYRNRLQYPEAYTYNGIVVVRIDAPIYFANISYIKDRLREYELNLPNSNRGPDVGRVYFVILEMSPVTYIDSSAVQALKDLYQEYRDRHIQIAIANPNRQVHLLLSRSGIIDMIGTGWCFVRVHDAVQVCLQKVQSSSSSSIKLSPQASGDLADSVTTPKLQQRYSFLKNLWKSQVGDGCTGSEVQPLLRQNLV >OGLUM09G02170.1 pep chromosome:ALNU02000000:9:3304515:3305920:-1 gene:OGLUM09G02170 transcript:OGLUM09G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEKSVGVEWSARGTCRSWLLAAVSSGKLGSTAANFTYTLRADLTCHHPLYHRDAPDEKAAIEEVRPTLDREKEVSPSWPIEHLRRTTRADRRMGHRPLLYEVVELDLLIGLPYGLSFSAVDHHLHRRNKSSEAKQECAVEAWHNADAFPPAARKALGQETGGVPLIFD >OGLUM09G02180.1 pep chromosome:ALNU02000000:9:3321122:3322817:-1 gene:OGLUM09G02180 transcript:OGLUM09G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLEPEFPGLPQRCDSCRSAPCAFYCRADSAALCATCDADVHSVNPLARRHRRVPMGVVAAPGAGGAFVVRPAGGVNSSWPIREGRRCDYDDDDADAAGEEDEEATSWLLFDPLKDSSDQGLPPFGDALVADFLNLGGGAGEKEDASSSKDCSSSHGKSSDGSHEFAVPGEPVPERQGFGAVSMDITDYDASNFRRGYSFGASLGHSPPATTKLVARASVDGRSTGGL >OGLUM09G02190.1 pep chromosome:ALNU02000000:9:3323402:3329530:-1 gene:OGLUM09G02190 transcript:OGLUM09G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDPWRREGVGGGVATQSARGDAGGVDLEACEGVPTASNWRRELMATRCRASALSHAKEGQVEGPEGGAGTQQVNFALV >OGLUM09G02200.1 pep chromosome:ALNU02000000:9:3332950:3339374:1 gene:OGLUM09G02200 transcript:OGLUM09G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT3G42660) TAIR;Acc:AT3G42660] MIFQLRNAIVKHIFLLSLFLKISLPYLRHCGREIRCIFPAVLHQKLPAKRPFPRGGTRQNPPKCLQPKPHPAYRQSLETPNKFLNTRRHHSFSLVGEESLVVVVGVTMKGRAMKLREAHKAGSPVFCSVAWGQGGQHVVTASAADVAILIHDAAAVAAAGGRSSGSAAAAALSTIRLHKDGVTALAVAPGSGASLASGSIDHSVKFCSFPEGVFQSNIARFTLPIRSLAFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSVTGLSFDPRNDYLASIDTFGTVIFWDLCTGTEARSLKRIAPTFGSDHSINNALCWSPDGQFLAVPGLRNNVVMYDRDTGEEVFTLKGEHEQPVCSLCWSPNGRYLVTAGLDKQVLIWDVKSKQDVERQKFDERICSLAWKPEGNAVAVIDVTGRFGIWESVIPSTLKSPTEGAPDLNSTKVPLFDDEDDEERPSTSGGLDDDDDDESLGELGPFNHKRLRRKSTYHDHSNGDSEDEDLILQMESRKRMKDTHRDNKEVADKAIGDSATSVRLVTARMQTAFQPGSTPPQPGKRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAALNESGSVFANPCKGDKNMSTLMYRPFSSWAGNSEWSMRFEGEEVKAVAVGAGWVAAVTTLNFLRIFTEGGLQMHILSVGGPVVTAAGHGDQLAIVSHASDCLSSGDQVLDVKVLKISECAQSLSSRLVLTPASKLSWFGFSENGELSSFDSKGILRVFSGQFGGSWIPIFSSIKARKSEDESHWVVGLDANNIFCILCKSPESYPQVMPKPVLTILELSFPLASSDLGANSLETEFMMRKLHLSQIQKKIEEKAALGLDTIALDDEAFNMEAALDRCILRLISSCCNGDKLVRATELAKLLTLEKSMKGALMLVTRLKLPILQERFSAILEEMMLNNAKIANTSGVFSNSNTNYSPSPALSTQAVPPAKVVQNGNSLKLPTLPKLNPAAQRSNPTESNKADVEQANNLKEISTKVSPAQTPLVKIPKNSEMGVKTKKDNDGASHATTVDQNPKGGSGQVGLKNKSVDSCNGVQPQRPVNPFAKSSSSKEQPSSLFDSIKKMKVENEKVDKANSKKVKV >OGLUM09G02220.1 pep chromosome:ALNU02000000:9:3353206:3354480:1 gene:OGLUM09G02220 transcript:OGLUM09G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPCVRSESGGVKKPMTSPPIDAVGVDLLRKILLRLPNMASLVNAALSCKRWRRAASDPAILQRFLPLRRPPLVGFILTDRGDKPVPRHCPNIYFVRTTARKPNLASAAADCDIFFEDLPDIDSDEDDDDGRGFYSDEWRLRGGDGGRLLLSRGRYGLDLAVYDPISRTAIFFRPPQAFRCSFHMVRYAIVVDDADASFRVIGICDDTSAAMFSSRTNKWTLFDFDAEADLCYRFTDSDGMSAGRFVYWRSNTKNNKNVERILLLDVGTMYWTVIVAPFQFGYLKKLRSEEWMKRVRVLAAKAGYVYMEFWSIRKSNSYLLVLNLNTMKLEMFRNGSDEPFRGPAFPFLLRLAPLTTPSWDDANDLQVPSG >OGLUM09G02230.1 pep chromosome:ALNU02000000:9:3355895:3356605:1 gene:OGLUM09G02230 transcript:OGLUM09G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPHNHRGSRYPSVSPSPIRIALPVRIALLSRSPSSLSIVAASSILSARRYLSPRLPRFSSPPSRAVPALLLSLSRSRNCLPI >OGLUM09G02240.1 pep chromosome:ALNU02000000:9:3374246:3375485:1 gene:OGLUM09G02240 transcript:OGLUM09G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSVRRERGAVKKPTISSPFDAVSEDLLRLPNMASLVNAALACKRWRRAASDPAIFRRFFPLRRPPLIGFILTDRGDSVPYSCPNHYFVSATTRKSNLASAAADCDIFFEDVPDIDSGEQRGGGYFDEWRLRGCDGGRLLLSRGCGGFDLAVYDPLARTAIFFSAAKLPWVVRYAIVVDDADASFRVIGIDGDMFFAVFSSSTGKWALFDHTADLYEFTRSDGMPAGRFVYWRSNNKKCRYYDKHEWILLLDVATMEWTVTVAPFPSYCIADLAEHGRLCLVSSKEQNLQLWVRSGSSNGGWMLKTEISLLDQFGYLKKLRREEWMKRVRVLAAKAGYVYMEFWSIRKPNSYLLVLNLNTMKLDIICNDADEPFRGPALPFFLRLAPLAPSPDDTNDLHVPSASA >OGLUM09G02250.1 pep chromosome:ALNU02000000:9:3377504:3378009:-1 gene:OGLUM09G02250 transcript:OGLUM09G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFRGHNGEDDFGPIVNASSQRQGTKGGEEDRDVVPTAWWRRSGVGVEIDRAVMIPTVAVVEKEHVGNPPDHREAFGAKLAALVL >OGLUM09G02260.1 pep chromosome:ALNU02000000:9:3378954:3382679:1 gene:OGLUM09G02260 transcript:OGLUM09G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGSGSVPTAKRRRLVPRPPPVPLEVAGARGPYMPPLCIKSKNPSAKCYGDRFIPDRSAMDMDMAYFLLTEPRKEKENTDMLSPAEEAYKRLLAEKLLNNRSRILAFRNKPPEPEGIVQQLLYETLTSSQTKPARKCRHIPQSSERTLDAPGIVDDFYLNILDWGCKNVMSIALGNTLYLWNSADGSIMDLITIDEDDGPITSVSWSCDGQRIAVGLNSSDIQLWDTSSNRMLRTLHGVHQSRVGSLAWNKNILTTGGMDGNIVNNDVRMRSHVVHIYRGHEDEVCGLRWSGSGQQLASGGNDNLVHIWDVSMASSNPSLGHNRWLHRFGDHLAAVKALAWCPFQSNLLASGGGGDDRCIRFWNTHTGLCLNSVCGLLWNKNEKELLSSHGYVQNSLALWKYPSMVKLAELEDHTARVLCLAQSPDGFTVASVAADETLRLWKIFETSEDAKPVFKTDNVRVGPWGTDCSYFQDLIALVV >OGLUM09G02270.1 pep chromosome:ALNU02000000:9:3384669:3384878:-1 gene:OGLUM09G02270 transcript:OGLUM09G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIPERGSPIRFKRRNWKPKITSWGEERAWDGVANNKRLLRLSRTTSGRKEEARGCSAAQLIGDEDCG >OGLUM09G02280.1 pep chromosome:ALNU02000000:9:3407177:3407503:1 gene:OGLUM09G02280 transcript:OGLUM09G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGARGWRRWSEVAAGARGLRRWCPAVVGWRWRHPTIGGGGQSTGMDETAPGGQICIPNLVEDGSDTRRTGDGAVALGGRGWGTWIQCPTVEGWRQRLLEVEGGGDA >OGLUM09G02290.1 pep chromosome:ALNU02000000:9:3416316:3416807:1 gene:OGLUM09G02290 transcript:OGLUM09G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVEDPPPVAGGARGGRQAVVSQPPVVRATAGVAGTVCSVCTEEIAVADAVVRLPCAHWYHADCISPWLGIRSTCPMCRAELPASDDAAEEGGGAGREKPPRAARAGTSAGGGVRRDASYELLAGGGVLSG >OGLUM09G02300.1 pep chromosome:ALNU02000000:9:3418625:3419347:-1 gene:OGLUM09G02300 transcript:OGLUM09G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFNGIGSGASASGMADLARHGPSRTVGSGSGMARREQTWQRRRQRLQDGGEVLLPLVELATGVAPDAATARFAGHAHRCHRTGRVVVVERDGEKEERMKEEDEYDCGSHVQMRKKIKNRRQWHASNFVKFQWHI >OGLUM09G02310.1 pep chromosome:ALNU02000000:9:3419613:3449910:-1 gene:OGLUM09G02310 transcript:OGLUM09G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEKSIATSLSEAKHLQLLRKLSNRSLITLMKMKRLGFMTTKTRSKQALSDWSPSSCGESFYQALASFNASSLALHPFTSGYAPVDSKFNRIDVVEKTQQDVTRFEDT >OGLUM09G02320.1 pep chromosome:ALNU02000000:9:3440184:3442866:1 gene:OGLUM09G02320 transcript:OGLUM09G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWSEHNGRTIGLYLMAFSFKMLNEEGQGDFVLLFAIDWPLVDISEFMYSTGTKKSVWTQPCHVSQFPPIFTLLKYILYAGDDDGTIPIPWLNLNDQLGDIVDRADGSDVPFSVGGETFHAHHAVLAARSPVFKTELLGSMAESAMPCVTLHNIDPATFKALLHFVYMDALLSPTGGGASSTSTTTGFFESLLVAVDMYALKRLKLMCAQKLGESVSVETVATTLGYAETYHCPELKSKCLSFLMAESSFKKVAFTDGYFHLRRDFPLIIEEIKKQIES >OGLUM09G02330.1 pep chromosome:ALNU02000000:9:3451091:3468623:-1 gene:OGLUM09G02330 transcript:OGLUM09G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALSDLLAEETRLKSLPAPSVSVSHGVLTASRRFGASRGTSSMPCKHCGKTGHSAENCFAQHPEKLAEYRARRASRGRDYGDMVTPMPPHSHLDYHQRVTKTCATEYWPLWVKLSLQNGSAPVKIQFEPFTALVNPKP >OGLUM09G02330.2 pep chromosome:ALNU02000000:9:3456126:3468623:-1 gene:OGLUM09G02330 transcript:OGLUM09G02330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALSDLLAEETRLKSLPAPSVSVSHGVLTASRRFGASRGTSSMPCKHCGKTGHSAENCFAQHPEKLAEYRARRASRGRDYGDMVTPMPPHSHLDYHQRVTKTCATVFQRIVKSICIGHWRVVDKLKNI >OGLUM09G02340.1 pep chromosome:ALNU02000000:9:3468715:3469185:-1 gene:OGLUM09G02340 transcript:OGLUM09G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQINAISINIILDGQNYREWAFCVEIALRGHGLAFHLTDDPPAPKTGDKTNDTNAAEIKSWKINDGKVMAAIFNSVKHSLIMSLSKFQTAKAIWGSLKQRYVQDSGALLHTLMQQTHAIEQNGMSIDEYYSAFDHLIDFNGAYLYNCCMSSTPIS >OGLUM09G02350.1 pep chromosome:ALNU02000000:9:3471415:3471852:1 gene:OGLUM09G02350 transcript:OGLUM09G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAEIGGHLKLSCLLLQRQVEDDSNLGAVTLLFQVRASPDCVQRNNESISLQIKKKVSKLDVPTGVVCVAAAPRSRTAKHLLSGCKLKQLNMKSIKEKKSFEMMSRNNIICLL >OGLUM09G02360.1 pep chromosome:ALNU02000000:9:3475548:3475934:1 gene:OGLUM09G02360 transcript:OGLUM09G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVEDGATAVLGNSSSSGARRRRQRRRSATATAVAEAETAALGDGNSARGEAEAPKDGDAMAAAEEVEAARGGEAEVAGDGDGWKRRWQRDGSAATALGGGGGGRGGGARGSRDGGAGGSRDGGAR >OGLUM09G02380.1 pep chromosome:ALNU02000000:9:3497453:3503177:1 gene:OGLUM09G02380 transcript:OGLUM09G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAAALEEPPRRTLLPMELKESGIELAQHLNRITPPTMWQTLLPSTTAFLAHRRRPSAEQQATNYQTTSTSFNSRKPTMCKKWEEEEDELQTGRVALTSCCSFVYMGLVAGATGTNTIEQWRLARTRYTMERLRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >OGLUM09G02380.2 pep chromosome:ALNU02000000:9:3496533:3503177:1 gene:OGLUM09G02380 transcript:OGLUM09G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLTERYTMERLRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSIDPSVESMDATDNDSATLGDSAAQTTSMLLYFPCGIIRGALTNLGISCSVTADMSNLPACSFVVRIKT >OGLUM09G02390.1 pep chromosome:ALNU02000000:9:3543425:3546951:-1 gene:OGLUM09G02390 transcript:OGLUM09G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQSYSYSGRGSEHTAYRIIFFGQSNRIGFHYILSHFFLTLWFYKTPHMTSKVTPLSQQSKIPNPTQPNRTITPLFARPPAAGIAIARPPPRGFSPCITMGSDFKAIPLIDISPLVGKIDDPSMVNDEDLLQVVQMLDDACREAGFFYVKGHGIADSLMKEVRDVTQKFFQLPYEEKLKIKMTPQSGYRGYQRVGENITKGKPDMHEAIDCYTPIEPGKYGDLAKPMVGSNLWPKYPSNFDVLLENYISLLRDLSRKIMRGIALALGAPVDAFEGTTAGDPFWVCRLIGYPVSTDIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVRNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAVEPVEFCRERTGGVAKYEKVVYGEHLVQKVLTNFVM >OGLUM09G02400.1 pep chromosome:ALNU02000000:9:3570005:3581073:-1 gene:OGLUM09G02400 transcript:OGLUM09G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B006] MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIASGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >OGLUM09G02400.2 pep chromosome:ALNU02000000:9:3570005:3581073:-1 gene:OGLUM09G02400 transcript:OGLUM09G02400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B006] MPSSPTPATAPISCSPSPPLHLHLTARRQTLAPPMWRRLPARRLASALLSSSAPLPHPLHRSLLLLLPAASQRLAPSQTLPRFASSSAAVAAESVSSEEVDELHHAIGEIASGDPSVSAPAPAAGQEGHRRRSGRGKHSAEAMAVHGVGYHKYAMLRRRQIQIETEAWEQAAEEYRELLADMCQQKLAPNLPYVKSLFLGWFEPLRDQIIAEQELVGERGARASHARYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHKFLEKTKKKSNKEMDNEEEGGDSDIAKEQERLRKKVTDLMKKQKIRQVRNIVKKQDNSKPWGQDAHAKVGSRLIELMIETAYIQPPASQSADGPPDIRPAFTHEMRTVAREQQKSSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPISWTGYDKGAHLFLPSYVMRTHGARQQRDAVRRAPREQMQSVFEALNTLGSTKWRVNKRVLSIVDRIWSSGGRLADLVDRTDVALPEKPDTEDEDKLKKWRWTLRAAKKENSERHSQRCDVELKLAVARKMKDEDGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRIAFTENHLEDIFDSADRPLEGKRWWLGAEDPFQCLAVCINLTEALRSPSPETMISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYTGIATRVVEIMKNDALKDPATDPDAARARLLLDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERDMICDDSELFSASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVRWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTALLESFEKSFPELKFPPLPERGDFDLKDVLGSPYFFN >OGLUM09G02410.1 pep chromosome:ALNU02000000:9:3624294:3626710:-1 gene:OGLUM09G02410 transcript:OGLUM09G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSPLGGWDFHPGNEIRDQCRRLHGTPVHSSSFYCSHPFKLVVDVPRSTFRLEESSVAIALRACLGGSPAGFFVSHLNDRCYSFCVCNKSVGLWIYNLRSYICHDYHMRFFLWRDGGPNWRFEFRRWESEQLSEWSVVKRKKSMSVKSKSVTVASSSIPISKVFNRFHVLDSSRSCSKFLIASSSDLNSQNPISRPKVSKYVLALVLPPLLEDQWDEHRAEIREFLDQVRNIRVLASFPHPNAVALFQISSPVHRDALVLGEPIAYDAVHEVRFVRHDQGPNWRNAPYNHRGWVMLLDFPLDYVTLHNVKQVISTFGELDWWYDSDTLKGRVLARVWYKDLDSVPQYVVWEQPNAPNGQSWTIYVYTLNGEFADAFPPDDDLPMGEGPVDPNVNFEDAPAWQFGNVQNFDQEENQGWGNWDEGQDNVNDNVDFLPEIPQPTDVLQINSSSASNSGSIISISSGEASEIGADNSLMVQEVSVDANVLKDLCQRFPQIMFDKNFVKDASFWSALNSGSVLGSSNWASGNDVPVVSGANEILDPVPLAVAPPPSVFLALTDSDAPVKPAKRAYKKRAVGSATPVVATGLRRSTRLLAISDGRKLSFKDDILVELDPNQGIGKPRGKSVKKLKQVAHEVGLLFSGSSLQESDFMEGTAEVEGPANCPIPLLQKMATDLYGVAPQDVT >OGLUM09G02420.1 pep chromosome:ALNU02000000:9:3653121:3654732:-1 gene:OGLUM09G02420 transcript:OGLUM09G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKERWLFLAIVRQVFDSGLTRPNTQRSIRLPVHAHAPSLLVRRIGXPPRRPPAKKLPVAAASTMQWSTDLDRALRSRHPGQYTRQVPSLHLPHSFSNLNLPQPPRRK >OGLUM09G02430.1 pep chromosome:ALNU02000000:9:3671201:3675246:-1 gene:OGLUM09G02430 transcript:OGLUM09G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSWRHLQRASRFSYGSTTTSIGGKGENKWGKPFRSRCFPPHANASLYNTAQGDHWAVTEEMYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDETRLEPMMFSEPTDCRPSWETCSMHSACAMMQIFSLKLAKIPIDNDCIQLYGYIAVRDDLDKLLNYVVNYSRDNPIIMRQGDLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSFDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >OGLUM09G02430.2 pep chromosome:ALNU02000000:9:3671201:3675246:-1 gene:OGLUM09G02430 transcript:OGLUM09G02430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSWRHLQRASRFSYGSTTTSIGGKGENKWGKPFRSRCFPPHANASLYNTAQGDHWAVTEEMYRAEKRGGINGLVVSHSAVDMFSMTIIENSRHRDGSIYRNKAFEGHFCITNRDENLIEMTGPKRGISMCCSVLLEFDMRIKKGEQEKDDLQLIDGAIDYIGLITATFPFTKRINGDCGAVDITLARVYWAVEATIQVIISDVQSGFNLYLSSLCFVSDVWKEIQLFNGPIGESCGLRRYVVAVSFDTWMHLKLKVGQKGSNHYVERYCSFKAINHGCSSQQILGELASISMKVTWSTLLD >OGLUM09G02450.1 pep chromosome:ALNU02000000:9:3756254:3758781:1 gene:OGLUM09G02450 transcript:OGLUM09G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPLPPTAYAPPPSPADRSTDAVPCALLRRPSPPRPPRVRAVVADQSQATAGAVAFLLTSPPPRPTFSSPLQTATNHRPLLPIKGRRASSCARRSDLLSHSLVRPVPSLGAAHPGTLPAAGVSVFQPPKSRRPSSPSSVFNRRREGRDGKKVYYILDGNLAYFEDRIIRLPISGLFALEQGNGSIFKSSRRRQTYAEQGNCDIYRGIVLSKNPRNARTPLAAERYVQSAWGLKFMEQVPLLLVT >OGLUM09G02460.1 pep chromosome:ALNU02000000:9:3765408:3770630:-1 gene:OGLUM09G02460 transcript:OGLUM09G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISGAEHLDCEAQHDSFVDRRSEDDTVYVNCEARRSIRYATFLRLINSRSLVDLVLNLAGDLLVLNTLTFSLDGSPQEVLNNYKRLINEIRLWSYWNGLRVDVSPLYGKKKFVYTTAERGSLYLAVKERTNSAVFVIEGRGLWLKGFWTKNGLYEMLPNAHEDSFIDHPSSLDKAAPGMSTLMKKWSKLSKRTMKHIDLSLEGDPPVFEPLEELSHLDSIEKVLETVRILHLSYSKGVFKHRPIKHPVTWDPVDEGEGDADIVQIPNYSSNNYNESLDQKRRDKKKNRGKEKFKDNTTVSHVRGGQPGGAPVCKDLELLHCWKTCQEVANSSAKECTKPFCSMRQVYSQPNNIQKRNISSMGTSGRGHLLKFAQSIEAPRTTENTKNPVIFPENRLINCDMGMPMDLCNMARGHITNISKYRHMRLKNEVKAKITSLQIDEQFVHHNWASRDLYLVTPTSLKAHSECISMLDRVLRWTNIQFLRYSMAQNKFRKTLQKSQQHLLPPITQSLLRSPDSMIDNFQNCRASRLSPQQQHLGQLLPQRRIVEYEILASKRKGCDFISRTLCRPSESIGMFDRIPSWTDIQFLKYSKSGRVQAAQSSQKQYLGPLLSQRKIVEGQIQASCKRKGLAFIARAVRSVRR >OGLUM09G02470.1 pep chromosome:ALNU02000000:9:3770921:3773106:-1 gene:OGLUM09G02470 transcript:OGLUM09G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPISKIAAPLDGVLGGVNIPSTKATGRTASSRRRRAPRLGVSSPLLSPPLSLGLLAETKLPNETRQPPPLAPLPRVARARRAAIYHLLVVDVGASETAPPAPPSRSAPTGGTRAARRNLPPRRRRRRCERDRAARASISLQQPRLRRASSRQGQVLICFAPLA >OGLUM09G02480.1 pep chromosome:ALNU02000000:9:3787670:3792278:-1 gene:OGLUM09G02480 transcript:OGLUM09G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRHGMKAVVVAVVIAHVLLCHVAGGGGGVPAVIVFGDSTADTGNNNFIQTMARGNYPPYGRDFAGGVATGRFSNGRLAADFVSEALGLPPAVPPYLDPSHSIHQLASGVSFASAGTGLDNITAQILSAMTLSQQIDHFRQYKEKLRWAKGEAAAHHIISQALYILSVGTSDFLHNYLVFPIRGNRFTLPRYEAYLAGAAAGAVRAVHGLGGRRVKLAGLPPLGCLPVERTINPDRPGDCNEMYNMVALSFNARLKRLIGRLNWELPGAQVEYVDQYSILSAIIAKPWEYGFGNSMQGCYGTGFVETGILCALDDALACDDADKYVFFDAVHPSERAYKIIADAFINTTSSVFH >OGLUM09G02490.1 pep chromosome:ALNU02000000:9:3792807:3812675:-1 gene:OGLUM09G02490 transcript:OGLUM09G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin transport protein (BIG) [Source:Projected from Arabidopsis thaliana (AT3G02260) TAIR;Acc:AT3G02260] MRKNNQLPVSTSDPSIPFGFENNMKCEVSTVVELSVPPSFIEGRYTFVLVEQVESTVVEIVERSLEFCLLYLEKSSYACEDYGLLNEVAYFMECVLLRGTPSKVYSLEPSVVNDVIEQWSSVQVDSERISSQEKYFCYLKGLWNVGALFADCFLMAQPGFNCSNSGDDLQRFRLTLSPECLQQDYVIAENTESSHTASPNGMVSIAQHFAVVHLHCIPLLLTLVQKLCQSPALDVIEDTNFNMRLSFGQRILKLVHGLAMEFPCDASDAMMLCSVARCTDSLPVLFKLKFKFANHDRVFSGDGVGTVLLQILDEFLQLIHIIFCNSDICCTVQVCILASLLEIFSPEKWKYDRSAACLMPPLVYSPHIVQYVLKLLNDTKRWTSRVDRDRPGKDVLGYSCNSKTDGLSCHARSKKVPLLKKYTSEEYLQLIFPSEEQWLDDLVHLIFFLHEEGVKSMPLLEKPQMSCTKQVTLSELESVASHEEEALFGNLFAEARSTGVADSVEQPISLGSGPSSSQHGPIQLAADLICFMKMSIFSPEWCTAIYVDACRKFHSNHLEQFLSILQCPAFCSDESIATTSLSEVNSLHINTACFELLQMFLISHECPASLREDLVDKVFNAENGMYTYNNYTLALVARAIISGASSIYNLGRKVFVQYVGYLLEKANDKSSSSLDLNNFCRILPCAFHLEILLVAFHSTTGPEKADLINIVLSSLEKMRQPPLGVNASGLTRWALLLSRLLLVLRHMLLYPVTHPSWLLMRLRSRMRDILLKEGQSRSMNDCLPSFTAEVVEGIFADTVKEYASTSSLFPQLIDVTPAHAEIYFDKSALEALGLNFANLGSNISEILGVWKGRKAEVAEDLIAERYLFLICWSTLSGIGYSGGYEGLLNPDFADVNFFISFALSVSDDASSLLDANLPSVIFGFLKLLQSEILCGPSVLESWDFLRKGAWLSLILSLINTGFWGHQTSGKPDVDLQGKQVVQDAEIFGKSLLTFISENSGHCLHVLSSLLETYLHAFKEAYISFVEKGRVCEDHCYPSWLLKHSAFDKSKHPLLFEKVGSNIGMLEPICDLSSRIDRVATKLGDGRKEYFLLKCLLHGFPVNSASNNSAILSCVLVINEIIYMLNGCIKIMQPNDRDLVDVGVISKLLSMIMTIKSDGMFTSIHKLCDSIFMSLIDQKDDLAGYSDLFVLKQLEGYLADINSKEIMDNEVKEIIVLTIIDLVEDLRSKTNVFKFFLGEAEGAPEGANSLFALEQADMSVFIDVLDKCQSEQVNLKILNLFTDILGDGLCPDLKQKLQHKFIGMDVSCFSSWLEFRTLGHSMKIESTNSTTSGPTALRELTMDFLMRLTCPSSETLAKELQHHLFDSMLLLLDKAFMSCDLQIVKAHFHFIAQLSTDESHFKELFEKTLKLMENMVGNEGLLHTLKFLFTCVESVFGDAGSNRSALKRLSSKSSGNSFGSGSLIPKQLKNSDSLVLRTNQESNSTVDCDASSGEEDEDDGTSDGELVSIDRDEEEDGNSERALATKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGTSSVSPPVTSSFQPILPYHEDVEPVADSGSDFEDDISTEAENCIKLSVPKGFSDELPVFLKNLDVEVRMLELCKKLLPMILSQRELNLLKDRKVFLGGEMPMSQASDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLTKSLLSISIRGKLAVGEGDKVAIFDVGQIIGQPTAAPITADKTNVKPLSRNIVRFEIVHLIFNPLVEHYLSVAGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNKFVKIYDLSQDNISPLHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVALAGDVGAKTLTDTVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTYMGRLDGDSSSITELSYICENDQDGKSKPAGLYRWRELIAGSGALACLSKFKSNSPLAVSLGPHELFAHNMRHASGSNAPVVGIAAYKPLSKDKAHCLLLYDDGSLNIYSHTPNGSDSSTTLTAEQTKKLGSSILSSRAYAGTKPEFPLDFFEKTTCITCDVKFNSDTTKSSDSESIKQRLSSDDGYLESLTSTGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTIVVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEAHVLGGSSASGKSGKKAQTMQAAPIQEQVLADALRILSRIYLLCQPGFCTDTIDADMELNNLKCRSLLETIFQSDREPLLHSAACRVLQAVFPKKEIYYHVKDTMRLLGVIKSLPSITSRIGVGGAASSWVTKEFIAQIHTVSKVAVHRKSNLASFLETHAVAILNVTRKLGYAECLALHSNEKSGVSVAPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPDNHAKASAASNSTTGNAQVMIEEDPATSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPAPHSRDHPMSAIPIELDTFGGEGNEIHFSVDELTDSSVLQAPADRTIQTSPSSIHVLDASESVDFHGSMTEQRTVSISASKRAINSLLLSRLIEELSGWMETTAGTRAIPIMQLFYRLSSAVGGPFMDSTKPENLDLEKFVKWLIDEINISIPFPAKTRCSFGEVSILVFMFFTLMFRNWHQPGTDGSHSKSGGSSDLTEKGPVHVQVSTMTLQSSNDDHDKNEFASQLIRACSALRQQSFLNYLMDILQQLVHVFKSSSINGEGGSSSSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFMDYYKLLLENTFRLVYSMVRPEKEKSADKDKSCKVPNTKDLKLDGYQDVLCSYISNAHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIINKSGGFRNPVPYERSVKLIKCLSTLCDVAASRPRNWQKFCLKHTDLLPFLMDNFYYFSEECIVQTLKLLNLAFYSGKDANHNAQKTESGDIGSSTRTGSQSSDSKKKRKGDDSSEGFSEKSCMDMEQAVVVFTGKDGDVLKRFVDTFLLEWNSTSVRHEAKSVLFGLWYHAKSSFKENMLTTLLQKVKYLPMYGQNIIEYTDLMTCLLGKANDSTAKQSDTELLNKCLTSDVVSCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLESLKSETKFTDNRIIVKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWSLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTGKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKNSNDTDALPACSIPRSPSSCYGCSTTFVTQCLELLQVLSKHATCRKQLVSASILSELFENNIHQGPRTARTLARAVLSSFSEGDADAVQELNNLIQKKVMYCLEHHRSMDISQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDSGEKEPGMGKSSLMQAKNDDTVGHSVTNLSTSKTQSELSGKIPDGSRRRQDISLLSYSEWESGASYLDFVRRQYKVSQAVKGLQKTRHDSQKSDYLVLKYGLRWKRRACRKSSKGDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSSRQFQLLNLLMSLLPRTLSAGESAAEYFELLGTMIDTEASRLFLTVRGCLTTLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDNLLSDVLEAFLVIRGLVVQKTKLINDCNRLLKDLLDSLLVESTANKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTRNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVSGNIISLDLSISQVYEQVWRKHHGQTQHSLSNASQLSAAASSVRDCPPMTVTYRLQGLDGEATEPMIKELEDEREESQDPEVEFAIAGAVRECGGLEIILSMIQSLREDELRSNQEELGSVLNLLKYCCKIRENRCALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTMEANESDISIAQSVFTTTTEETGAGEEAKKIVLMFLERLCPPDGAKKSNKQQRNEEMVARILPNLTYGEPAAMEALVLHFEPYLMNWSEFDRLQKQHEENPKDETLSKNASMQRSAVENFVRVSESLKTSSCGERLKEIILEKGITKAAVGHLRESFASAGQASFRTSAEWTVGLKLPSIPLILSMLKGLAKGDLPTQKCVDEEDILPLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLAEKIQELRHATRDEMRRRALKKREMLLQGLGMRQEFASDGGRRIVVSQPIIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSSGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKNPKKEWDGATLRNNETLCNCIFPLRGPSVPPGQYTRCLDQYWDQLNSLGRADGSRLRLLTYDIVLPPFTFLAPPAIEEHEGETTTVNEVIDDKSLSSVKTQKKCTFAKLTYSRT >OGLUM09G02500.1 pep chromosome:ALNU02000000:9:3821173:3821544:1 gene:OGLUM09G02500 transcript:OGLUM09G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTAGSDEEAIVYGKPHRHRAFPASSDLTAAELLSPHQAPQLSPSNLAVEPPDLGSPAAAEEVVAVRSWEREGEEEKKKRPPPIAVTVAHRCRSHHHRPRTAVRATAAAYGEGGGDDAGGWI >OGLUM09G02510.1 pep chromosome:ALNU02000000:9:3826476:3839720:1 gene:OGLUM09G02510 transcript:OGLUM09G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIVRADASPCAARNCECGAGASPSRSCVASWFPASSWTAHLQTETTAHPSWFDRSNFIAVIKIVVVSSTSRNYHPPHPVRSNPHTLPDSLPTAYYFTPETIVPFVFPAFKASAASSPSRNLRGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >OGLUM09G02510.2 pep chromosome:ALNU02000000:9:3826476:3836804:1 gene:OGLUM09G02510 transcript:OGLUM09G02510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQKAIKHLTFAHLDGWAWMSC >OGLUM09G02510.3 pep chromosome:ALNU02000000:9:3826476:3836707:1 gene:OGLUM09G02510 transcript:OGLUM09G02510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQTTKVK >OGLUM09G02510.4 pep chromosome:ALNU02000000:9:3826476:3839720:1 gene:OGLUM09G02510 transcript:OGLUM09G02510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVVATASDPASIGPAAAFLAMPGWSPGPPIPEGMESFTNGDVRLLKHERSIIAEDDLDNRWQAATGEAVSEVIFLSKHTAVSNRPALTVHPIGVPHLREDETPPQGGRPGWAALPNPRIGPWLRLMQKIAVDQGLVPEFEITLEATHHGPVTNTPTMFVEIGSTEEYWCRQDAAQAIALVLWKGLGLDEGNTVGSWQGNSEKVLLGIGGGHYAPRHMDIVIKDGIWVGHLLSGYSLPMEVSPQGNGKSSSDVGGMWKHSIKVSYDATKAAFPGGQVIAHLDQNQQ >OGLUM09G02520.1 pep chromosome:ALNU02000000:9:3850406:3854358:1 gene:OGLUM09G02520 transcript:OGLUM09G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAARLWVVAVAVAVAVMAAAVEGKSYNITKILAAHPEFSKFNEMLSKTRLAYDINRRQTITVLAVDNSAMASLDHFTLPTIRHILSLHILVDYYGSKKLHALSHGATASSSMFQATGSAPGTTGYVNITSHKGGKIDFISEDADESAKPSRYVKSVKEIPYDISVLQVSSVLSSSEAEAPVPPPAPVNLTELLSKKYCKSFAGLLAANADVFRAVNETKDNGLTLFCPVDAAVAAFMPSYKNLTAKAKTAILLYHAVPDYFSLQLLKSNNGMVTTLATASESKKDYSYDVQNKGETVTLDTRVVNSAVTATVGDAEPLAVYAVTKFLKPKELYKVVEAPAPAPEPSKKHKSAADDSSDDSSDDSGDVKAHKGAAAPAPLARWVTAAAAAAVAALMLMA >OGLUM09G02530.1 pep chromosome:ALNU02000000:9:3851048:3861022:-1 gene:OGLUM09G02530 transcript:OGLUM09G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B023] MGPTNHCLSLSPLCTAASPIRHFPHPSREKQTDAHNPPPRLGFRRSSGRRRRSPSISSPSRHRWWRGRGSEHPSVNAHRHCCFLKSATMSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPLQRHSYPYERQYVYGAEAHHHPTMISSAPYGISPAHTTYYGNGYQVQYQVHRRRRRDGRLGLGGGQHGAHLEHGDVGISFTDFTYLDGFALSSASSEMKSIFPPLCDVMFTYPVVPGADPRRMGNLIVDDGSSVKCH >OGLUM09G02530.2 pep chromosome:ALNU02000000:9:3851048:3861022:-1 gene:OGLUM09G02530 transcript:OGLUM09G02530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B023] MGPTNHCLSLSPLCTAASPIRHFPHPSREKQTDAHNPPPRLGFRRSSGRRRRSPSISSPSRHRWWRGRGSEHPSVNAHRHCCFLKSATMSDMESMATLMESTGSKLQQLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDDLKRQEEEFEETVAKSEQMLEQQEAVVVAKELTSLEKLQQKRDAALAVIFGKSKLNLSTPLINPISKSVNNNAVLNGNIGGSLSVKWPKPATAHGAYLQDENTAVKPRSQLVVLCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFGIFAEFAQDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLVENGRTIDAINLAYAFELTNQFEPVELLKAYLQEVKSVPHFKTGKISLQVQNEMNERELSALKAAIKCIEEHKLDEKYPIDLLQKRVIQLEKAKADKRRAVEAAKPQSKRPRANGSVYAPHTSFPDKSFYQAAPLQRHSYPYERQYRRMGNLIVDDGSSVKCH >OGLUM09G02540.1 pep chromosome:ALNU02000000:9:3865676:3871186:-1 gene:OGLUM09G02540 transcript:OGLUM09G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B025] MPIEGGASAAPSGGEDEGVPIPSRRLGVEVESRVASSAAAALRRSPSPSVVLLLPPHPGAAAMSDMESMTALMESTGSKLQLLQRAFAELESQSAVSLNLKWKQLEDHFHGLEQSLKKKFDELKEQEKEFEETVTKSEQMLEQQEAAVVAKELTSLERLQQKRDAALAMIFGKSKLNLSMPVINPISKSVSNNAVLNGNIASLWPKPATAHGAYLQDGNTAVKPRSQLVILCEEMNVNGLHKFISDNRKDLTSIREEIPVALRGATDPYGLVLASLEDFYFGDNLILDGKKDGNLLGVRRTCLMLMESLAQLQTDATTGFISEGQVLTASIKERAKKIALEWKSKLDSLDFDASNGNCLEAHAFLQLLATFAIFSEFAEDELCKLLPSVSRRRQTPELCRILGLSQNMPGVIGVLIENGRTIDAINLAYAFELTDQFEPVELLKAYLKEVKSMSHVKTGKMSPGVQNEINERELSALKAVIKCIEEHKLDEKYPSDPLQRRVIQLEKAKADKRRAVEAGKPQSKRPRANGSVYAPHITSFSDKSFYQAAAPQRHSYPYERQYVYGAEAHHHPTMISSAPYGMSPAHTTYYGNGYQVQYQVPYIH >OGLUM09G02550.1 pep chromosome:ALNU02000000:9:3905269:3915204:-1 gene:OGLUM09G02550 transcript:OGLUM09G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSACFPSERWVVVWFVTVFDVGVDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAEPLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDYYAPIEPGKYGDLATPMEGTNLWPKYPSNFDALLKNYISLLRDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >OGLUM09G02550.2 pep chromosome:ALNU02000000:9:3905269:3915204:-1 gene:OGLUM09G02550 transcript:OGLUM09G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSACFPSERWVVVWFVTVFDVGVDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAEPLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDLIAEKKKRHSIMRLLNLVNMEILPHQWKELICDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >OGLUM09G02550.3 pep chromosome:ALNU02000000:9:3905269:3915204:-1 gene:OGLUM09G02550 transcript:OGLUM09G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSACFPSERWVVVWFVTVFDVGVDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAEPLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDKDHARLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >OGLUM09G02550.4 pep chromosome:ALNU02000000:9:3905269:3917498:-1 gene:OGLUM09G02550 transcript:OGLUM09G02550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGPSTSYNCISLGLWPDWWRKASSQAAEEAELQSVHANGCWHLGEDISPLVEKIDDPNMANDKDLLQVVRLLDDACREAGFFYVKGHGIAEPLMKEVRDVTHKFFQLPYEEKLKIKMTPQNGYRGYQRLGENITNGKPDMQEAIDLIAEKKKRHSIMRLLNLVNMEILPHQWKELICDLSRKIMQGIALALGGPVDAFEGRTAGDPFWVCRLIGYPVSTDILEEHRTDTGCGAHTDYGLLTLVNQDDDICALEVKNQSGEWIYAKPIPGTFVCNIGDMLKVWSNGIYQPTLHRVVNNSPRYRVSVAFFYESNFDAAIEPVEFCRERTGGVAKYEKVVYGEHLIKKVLNNFIK >OGLUM09G02550.5 pep chromosome:ALNU02000000:9:3915244:3918931:-1 gene:OGLUM09G02550 transcript:OGLUM09G02550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKASSTVVLVEKAPRPATIVYHLASGQIGGERQVLRLQRKQSSNLFMPMAAGTWVKLEQKGDGPGARSSHAITLVGGTAYAFGGEFTPRVPVDNAMYAFDLKSQCWSALDASGDVPPPRVGVTMASVGATVYMFGGRDQEHKELNELYSFDTATNRWTLLSSGAGDGPPHRSYHSMVADAAGGGKVYVFGGCGDAGRLNDLWAYDVAAGRWEALPSPGEACKPRGGPGLAVAGGKVWVVYGFSGEELDDVHCYDPGTGAWSTVETTGGGGGGDKPSPRSVFCAAGIGKHVVVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWARLDDAGEHHPGPRGWCAFSAGEVDGRRGLLVYGGNSPTNDRLGDIYFFTPPLA >OGLUM09G02560.1 pep chromosome:ALNU02000000:9:3927191:3927666:-1 gene:OGLUM09G02560 transcript:OGLUM09G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIRSTSAESNLEMHPWKICEMGTADRLVGFIDIDTSVLHNLDDQESSGSNSPCEVNVIERVVEDIHEEGEIRFGDEPRAPVPPREPVEPVRTPAKHFTVVNSLLSRTNPPRSFSRSPTTYR >OGLUM09G02570.1 pep chromosome:ALNU02000000:9:3930477:3934667:1 gene:OGLUM09G02570 transcript:OGLUM09G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B032] MKDVVGSPGTWSGMSLRVSQCVFAGASVVAMASAYGFSNYTAFCYLIASMGLQLLWSFGLACLDIYSLQTKRDLHNPVLVSLFVVGDWVTAILSFAAASASAGVTILFERDVHFCRMYPQLSCGRYELSVILAFITWSFIATSAVSMFWLLASL >OGLUM09G02580.1 pep chromosome:ALNU02000000:9:3935521:3938999:-1 gene:OGLUM09G02580 transcript:OGLUM09G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDRSSRRPRDDDDRHHRSRDDHRRRRHDADDDDHRRHKRGDGDDDDDDDRRRRHHHRDEEEESRSRRHRHHRDGGRRSLSPSESPPPPAAKRERSSSRAPRDSVERRDSADREAPPPSSRKRKGHEGGGNESDPEGGKRARASVEPPPPKEERPRRERRRFEDADANGKHGDERGKGDKDNSNHGAVNGDSRSGLVPNAGAQQPLNAAPVVVPSSVPMPSKVSSITTTNENEGVSIRSDEVTGKSSTDGSTSSAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPVLNNKLGVTSTDTQIPKKETQPVSSSGASEMAAGAALTEKMAATAGAVGIPGLANIPNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSSELTVPQKPAKAPVLRLDAQGREIDEHGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPRRPGFQFIEEGKLSRQAELQRIKNQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGRPPKQKQKEEIPEIEPWDAKILLSTTYDDFSMEKVNMEKITIYVEHPEPLEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGAEATQDPTRMELEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFEDPNTLETIVCVYRIRDLSHPQTRFKVDVNAQENRLTGAAVIADGISVVVVEGGKKSIKRYNKLMLNRIDWAAAVDDDDDADEESDKPVNSCALVWQGSVAKPCFTRFSVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >OGLUM09G02590.1 pep chromosome:ALNU02000000:9:3942475:3948544:-1 gene:OGLUM09G02590 transcript:OGLUM09G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDEPLYPIAILIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFVPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKAELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQNYLKTEVMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEHSREQLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKEATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQQLLPVVINSSKDRVPNIKFNVAKVLQALIPILDQSVVEKNVKPCLVELSEDPDVDVRYYANQALQACDQIMMSS >OGLUM09G02600.1 pep chromosome:ALNU02000000:9:3956033:3960427:1 gene:OGLUM09G02600 transcript:OGLUM09G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin thioredoxin reductase catalytic beta chain family protein [Source:Projected from Arabidopsis thaliana (AT2G04700) TAIR;Acc:AT2G04700] MMSMASTTASPFCLSPMPRGCKCTVRAQAGAAGADASGKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQAITLEEIKDATSKI >OGLUM09G02600.2 pep chromosome:ALNU02000000:9:3956033:3960427:1 gene:OGLUM09G02600 transcript:OGLUM09G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferredoxin thioredoxin reductase catalytic beta chain family protein [Source:Projected from Arabidopsis thaliana (AT2G04700) TAIR;Acc:AT2G04700] MMSMASTTASPFCLSPMPRGCKCTVRAQAGAAGADASGKSLEIMRKFSEQYARRSNTFFCSEKSVTAVVIKGLADHKDQLGAPLCPCRHYDDKAAEAITLEEIKDATSKI >OGLUM09G02610.1 pep chromosome:ALNU02000000:9:3960935:3971283:-1 gene:OGLUM09G02610 transcript:OGLUM09G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVTGAMGSLLTKMVQLLKEEYNLQIGVRKKIESLLRELDSVYAVLRVVDEVPPEQLDVLVKLWARDLREVSYEMEDIVDIFLVHVESMEPAGPHMLRRLRKKIGKLFKKVKVRHKIAGAIQDIDKKLKEVAARRGRYTVDDIVVTKPEYQATIDPRLLNLFKKATELVGIDGPMDELIEMLALGDDIHPSMNKPKVISIFGFGGLGKTTLAKAVYDKFKPGFDSGAFVSIGQHPDMKKVLRDILIDLDKQRYMHSIMMLLDERQLMNELQEFIQKKRCFIIIDDIWDKKSWELIRCVLQNSNCGSRVVATTRIFEVVAYVSDVYKMKPLSHEDSKKLLYTKIVGGEDKCLDSDPSVDAWHGGNDDVDNTRKILSLSYYDLPLHLKPCLLYLSIFPEDYYIEKIMLIWKWITEGFIHEEKAAGIGLFELGEGYFNELINRSLILPAEAEDKGYIGGCHVHDMVLDLVRLLSAEENFVTVLDGSEELVLLSRNSRRLALQCKSSEPNVECPLLANKGVEQLRSFVVTECCDISMASTCSHVIHVLALQNCLILDHCSKHSLQHVWSLLHLRYLGLQYIDSIELLEDVGHLKFLQVLDLLGTQIKELPESMGLLTKLVCLRANRIYKVSAGLIGELTSFEEIWIEAENDDRIQFMKALGKLSKLRVLRIRLSTYEPDERPNRDLLDCLHNLHSIQTVDIYASSGKKSVMWEEGHASPQCLRHLCLQTLKFCRFPMWLNSSFLPNLCYLELQKLRIFKAPRSLVWFDLHNIICNEKAIMPSLESLKFTIHVRFLKDANLLCFDKQLGFGNLGRTSLQRVEADIYCAGAHTKEVEEAEAALAQATAVHPNHPTLKIVRIFEDRLLSPYKEPDRNITYKTAFKNVKARVVKDDVGYFDFHWLLHNPNIGKFEVYIDCEDATLEEVEEAEAAAWCAANDHPNRPALEIMRRDEDKMMLFDIHQEKFSVSINYENASLEEVEEAEAAARYAVDVHLNHPTIELKRYGKEKMALSDQNQTEQKPCTTL >OGLUM09G02620.1 pep chromosome:ALNU02000000:9:3987077:3987664:-1 gene:OGLUM09G02620 transcript:OGLUM09G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSSAADFDNDEDEKFDIFTDMSTYTETKDTHGEDSDKLSDSDDYKEVAALAAGASQVSSSNTSSMKPNKKSFKRCGKPMTLPQSRNDKGKIKAKLTPTLHGDDDVDALISSTLVGIKDNLAKPVQIAAPQDPNAPLWDMLKKIALEPDDKMRVGLHLCKPEFEAHRSFLVSMGQEYLERWVYKFLSGDDPCL >OGLUM09G02630.1 pep chromosome:ALNU02000000:9:4006955:4008268:1 gene:OGLUM09G02630 transcript:OGLUM09G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRQVTARPNPAALCLPPPRHPFYPEPGHSWSCTSDGRYRSVGRGGDGDGGYSVLGGSRVAQASAKPGLPPGAWPNCSVVRWRSASAAVLQIPSEEAKLGGCHSNDKSRFECLCTYQMIVRTRAPWFRNAGIPSQEVQCSHLHLQFLSTLSSPISIFCFRFSSFEIVALMDEQSR >OGLUM09G02640.1 pep chromosome:ALNU02000000:9:4014803:4015083:-1 gene:OGLUM09G02640 transcript:OGLUM09G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSKKFGGGRPPTGTPSLAWSSVVVVVSLLAGASIVHNIYKPDMTIPPVESTDGGKQS >OGLUM09G02650.1 pep chromosome:ALNU02000000:9:4019089:4021750:-1 gene:OGLUM09G02650 transcript:OGLUM09G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNMMYPIKDQETMLVVAMDMNRQQDTGYRIEIQGLHVRSSEEEPGEEAEAGGRVYIDVPCKQHGFHVHTY >OGLUM09G02660.1 pep chromosome:ALNU02000000:9:4028917:4067961:1 gene:OGLUM09G02660 transcript:OGLUM09G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B043] MLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNQNQTASGVRQGSSRQDLHTSFRPAGQFTNGQTPVVNPQGFRSTHTQSSGNASDGKTMWRTLRLGSVAGVGGAGAVAAGNHLNLHLQGGEAAPKGEAGGAGDGMLMA >OGLUM09G02660.2 pep chromosome:ALNU02000000:9:4028914:4067961:1 gene:OGLUM09G02660 transcript:OGLUM09G02660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B043] MLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNQNQTASGVRQGSSRQDLHTSFRPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPACGFFAWEDDVENSAPRVRGGRGRGGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFTNFQAGQTTMGRHCCLLQLHCSKAMSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLRRRAQCSPLRCHCGVWLGFAKVRGEASMAPCLTRLRPVRYPWRFGIHQARGCESQNGCCCC >OGLUM09G02660.3 pep chromosome:ALNU02000000:9:4028917:4067961:1 gene:OGLUM09G02660 transcript:OGLUM09G02660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B043] MLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPTGEFMVGCRGFPQCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNQNQTASGVRQGSSRQDLHTSFRPAGQFTNGQTPVVNPQGFRSTHTQSSGNASGQVHCTSCGEPCVLRTANTEANRGRKFYKCQDPACGFFAWEDDVENSAPRVRGGRGRGGRSSSRQSSESASAGRRGGTQGRGRRGRGRNADGMMFVAATGEPVHGSCFICGDPTHFTNFQAGQTTMGRHCCLLQLHCSKAMSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLRRRAQCSPLRCHCGVWLGFAKVRGEASMAPCLTRLRPVRYPWRFGIHQARGCESQNGCCCC >OGLUM09G02660.4 pep chromosome:ALNU02000000:9:4028914:4067961:1 gene:OGLUM09G02660 transcript:OGLUM09G02660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B043] MLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQDIKRTLEEEARKCQWLVLWLDCDREGENIAYEVIDICAGANSRLNIWRARFSALIDREIHEAVQHLDRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVLDDTGDDRNIILSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCSHTSDEGTASFGWIRGHLFDYSSAVVIYEMCVEEPMATVQNVRNQEKLKYPPYPLSTIELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNIDLHSIVHEQVAHPNWGIYAQRLLDPEARLWRNPSNGGHDDKAHPPIHPTKFSAGETNWTDNHKKLYELVVRHFLACCSQPAVGAETTVEINIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYIIGQQAGIGTDATMHDHIKKLLDRCYATKDANTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSEVLENCLQQMKACFLDARANKVKLFDAMGTFFARSSRPVNETQNVETVRPCAACNESEMFLKQRPCRNAVWLPRSLSEAAVTDQVCPTCAPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELMEISRFGSHSQTATPARNQNQTASGVRQGSSRQDLHTSFRPAGQFTNGQTPVVNPQGFRSTHTQSSGNASDGKTMWRTLRLGSVAGVGGAGAVAAGNHLNLHLQGGEAAPKGEAGGAGDGMLMA >OGLUM09G02660.5 pep chromosome:ALNU02000000:9:4038024:4067961:1 gene:OGLUM09G02660 transcript:OGLUM09G02660.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B043] MFVAATGEPVHGSCFICGDPTHFTNFQAGQTTMGRHCCLLQLHCSKAMSSRGGGDSGGGLTGGRRPLVQQGHEQDAFAAARLRRRAQCSPLRCHCGVWLGFAKVRGEASMAPCLTRLRPVRYPWRFGIHQARGCESQNGCCCC >OGLUM09G02670.1 pep chromosome:ALNU02000000:9:4073906:4083819:1 gene:OGLUM09G02670 transcript:OGLUM09G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTDTEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPNGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDDHPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAACKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGTIPFW >OGLUM09G02670.2 pep chromosome:ALNU02000000:9:4074099:4083819:1 gene:OGLUM09G02670 transcript:OGLUM09G02670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTDTEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPNGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDDHPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAACKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGTIPFW >OGLUM09G02670.3 pep chromosome:ALNU02000000:9:4074712:4083819:1 gene:OGLUM09G02670 transcript:OGLUM09G02670.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07700) TAIR;Acc:AT3G07700] MAMMMADLSFSSSISNVKLQRFRASSNETSLIKRSPVFRAEARSTDTEKFGTNGRAIKMVPTTELKRSKSGVRVRPDTVNGSPNGAVNGSTKVAINGSPNAAVNGSMKAVTNGSVNGTSLVKGSNMSALVKTQKRMRPNDDPFEEELKVLPSDEGFSWAKDNYNSWQRSADIWSFVLSFRIRVLFDNAKWAYAGGFSEEKQKVRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPEKAKAFIEKEMGCPIEVVYKEFDDHPIAAASLGQVHRAVLHNGERVAVKVQRPGLRKLFDIDLRNLKLVAEYFQSSEAFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLTLLDNRGYSRSLIASRSIESYLIQILKTGFFHADPHPGNLAIDTDGSLIYYDFGMMGEIKSFTRERLLSLFYAVYEKDANKVMKALIDLEALQATGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAISQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRRTSAPELVREIRKQANSARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAACKANVLQMATMYTAIGGTLLNVGVTLSSQGNQIVANGSFVGAGTIPFW >OGLUM09G02680.1 pep chromosome:ALNU02000000:9:4085490:4096515:-1 gene:OGLUM09G02680 transcript:OGLUM09G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLCRSSDDGGRRGNIGDLLRSPFTAVVAALRGHHAATSGDDTAPSTDTAPPEQQHTAGGGELDGVDDGSGRRRERLDDGVFLTWEDVWVTAVDSRGKAATILNGVSGCARPGEVLAIMGPGGQQKRLSICLEILTRPRLLFLDEPTSGLDSAASFHVMSRITDLAAREGMTVIAVVHQPCREVFELFHGLCLLAAGSTIFFGPASTAAEFFASNGYPCPPMRNPSDHFLRTVNKDFDKESGEKLQYEPAVADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTLPFMLPSLSLGTIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTVSSTPYLLLIAVVPGAIAYYLTGLQKQIDHFIYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGSFQLPNNLPKIVWKYPIYYISFHKYALQGFYKNELSGLVLQNDLEGHKTITGEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVTFRCYTKSVIHGLE >OGLUM09G02680.2 pep chromosome:ALNU02000000:9:4085490:4096515:-1 gene:OGLUM09G02680 transcript:OGLUM09G02680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSPLPRWAPTPSPSRPLCRSSDDGGRRGNIGDLLRSPFTAVVAALRGHHAATSGDDTAPSTDTAPPEQQHTAGGGELDGVDDGSGRRRERLDDGVFLTWEDVWVTAVDSRGKAATILNGVSGCARPGEVLAIMGPSGCGKTTLLDTLADEAIDILVNSYKSSDTSEVAKQEMRQINEMDRRMIGRNRAGFVTKTLPFMLPSLSLGTIFYNVGYGPDSARSRSSMLMFIGTLLTFMAIGGFPSFVEDMKIFGRERLNGHYGVVTFVISNTVSSTPYLLLIAVVPGAIAYYLTGLQKQIDHFIYFALVLCSCTMLVEGLMMIVATIVPDFLMGIITGAGIQGIMMLNSGSFQLPNNLPKIVWKYPIYYISFHKYALQGFYKNELSGLVLQNDLEGHKTITGEKVIVELFQVETGHSKWVDLAILCGMIVIYRLLFVVIIKVLDMAKPMLKGVTFRCYTKSVIHGLE >OGLUM09G02690.1 pep chromosome:ALNU02000000:9:4102101:4103549:1 gene:OGLUM09G02690 transcript:OGLUM09G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGTGASRRQRGRPRRRRGGGGGRKRKRVMMRRLTLVDVGGGGQALTLGGARWERRASATPTPATTQHAPPMPGGPSRVADADDDDELSRLLSLAEANLDAGHLRAAHKHAPRTTHLDPDSPRGSLLLTAIFVLVADHSFHRATLLLPDSDSQGSPLSPSALRRHYKSLSKSLRSCSLSSSPVVFSTIKEALRRVANAYAAPALVRVSVDFSSAVVGDGGAVPPGLAPVAILRAV >OGLUM09G02700.1 pep chromosome:ALNU02000000:9:4103621:4106945:1 gene:OGLUM09G02700 transcript:OGLUM09G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGASSQQLTRYAAAQALLPGAHLHANLLKSGFLASFRNHLISFYSKCRRPCCARRVFDEIPDPCHVSWSSLVTACSNNGLPRSAIQAFHGMRAEGVCCNEFALPVVLKCVPDAQLGAQVHAMAMATGFGSDVFVANALVAMYGGFGFMDDARRVFDEADSERNTVSWNGLMSAYVKNDQCGDAIQVFDEMVWSGIQPTEFGFSCVVNACTGSRNIEAGRQVHAMVVRMGYDKYVFTANALVDMYVKMGRVDIASVIFEKMPDSDVVSWNALISGCVLNGHDHRAIELLLQMKSSGLVPNVFTLSSILKACAGAGAFDLGQQIHGFMIKANADSDDYIGVGLVDMYAKNHFLDDARKVFDWMSHRDLILWNALISGSSHGGRHDEAFSIFYGLRKEGLGVNRTTLAAVLMSTASLEAASATRQVHALAEKIGFIFDAHVVNGLIDSYWKCSCLSDAIRVFEECSSGDIIAVTSMITALSQCDHGEGAIKLFMEMLRKGLEPDPFVLSSLLNACASLSAYEQGKQVHAHLIKRQFMSDAFAGNALVYTYAKCGSIEDAELAFSSLPERGVVSWSAMIGGLAQHGHGKRALELFGRMVDEGINPNHITMTSVLCACNHAGLVDEAKRYFNSMKEMFGIDKTEEHYSCMIDLLGRAGKLDDAMELVNSMPFQANASVWGALLGASRVHKDPELGKLAAEKLFILEPEKSGTHVLLANTYASAGMWNEVAKVRKLMKDSNIKKEPAISWVEVKDKVHTFIVGDKSHPMTKEIYTKLDELGYLMSKAGYIPNVDVDLHDLDRSEKSHPMTKEIYAKLVELGDLMSKAGYIPNVDVDLHDLDRSEKELLLSHHSERLAVAFALLSAPPGAPIRVKKNLRICRDCHMAFKFISKIVLREIIIRDINRFHHLRDGTCSCGDYWIEQNLAIASLQPGVSYSIYRETTTISPAAEITSFDGHTPISLFTSSYKSFTFASLLEMVAMRLLYYRAPLILLVFNSGILFPLPYMNLYFVTGNW >OGLUM09G02710.1 pep chromosome:ALNU02000000:9:4108947:4112149:1 gene:OGLUM09G02710 transcript:OGLUM09G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARPLLPHARRLALLPSRRILALLLPRVRRRRRNSLLLPSLNPSVPSAISPSRHRRLHSLDQPSRRAAIAAPSPPDLPVRRLGPLDPVLVAPVLHQRFLWIRDLDPLLSPSPNGIQC >OGLUM09G02720.1 pep chromosome:ALNU02000000:9:4118967:4119283:-1 gene:OGLUM09G02720 transcript:OGLUM09G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGVERIPVYASIDVRLSCDEILFRPTPRRNERGCCGGDGCTARRDSDATATACSLLRQLTPPVATFSLVSSFPSLLP >OGLUM09G02730.1 pep chromosome:ALNU02000000:9:4154230:4157720:1 gene:OGLUM09G02730 transcript:OGLUM09G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPRTPLLLVAAVLLLPQATPIATASPVAFSFPSFSLRNLTLLGGASLRATSVSLPPPSSRALFPLPLPFPRNASFSTSFVFASPAAARPASSLSFLLLPDLLAEGLAAKNRSLPLELTFDASRNLVSASSAGVDVDGNSTAAVDLRNGNEVGSWVVYDASLARLEVFVSHASLRPPTPALAADADSIAARFAEFMFVGFEVTSSSGNGSSDGGFLIQSWTFQTSGMPAVDPASRSSHNVSDSVDSAPALDGLAGHKDGRRRRLALGLGIPLPIVFLGAVTVFVVISLKKWGSGFKKGLGAKAAVGKPRQYTYQHLFSATKGFDPSLVVGSGGFGTVYKAVCPCSGVTYAVKRSKQSRDSYNEFNAELTIIADLKHPNLVQLQGWCAEKDELLLVYEFMSNGSLDMALHPCSEAECHVPLSWAQRYNVAVGIACAVAYLHEEHDKQVIHRDIKCSNILLDSHFNPRLGDFGLARLKDPNTSPRSTLAAGTVGYLAPEYLQMGKATEKSDVYSYGVVLLEICTGRRPIESAAPDSMNMVNVVDWVWNLHSKGKVLDAVDPMLNGEYDAGKMMRFLLVGLSCVNPFSEERPVMRTVLDMLEGNSGLLSVPRKKPLLVFIPNAPIDLEGIVSECNQSTVSSDLYELKIDLN >OGLUM09G02740.1 pep chromosome:ALNU02000000:9:4158559:4158917:1 gene:OGLUM09G02740 transcript:OGLUM09G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVAISLMWSGIPTVASYLTPRKRQASGGVPDSELPSNGDYVPDSKDEASGGVPNSELPPSGGFVLDFKDEASGGVPDPRSPSSPIPLPPQLHPKL >OGLUM09G02750.1 pep chromosome:ALNU02000000:9:4159186:4178622:1 gene:OGLUM09G02750 transcript:OGLUM09G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIEELVEVEEAVVLQDDADAVADDEGVDEFAKTRERNLFAKHSIHFFAIASKNVRDGTEEGYKLCL >OGLUM09G02760.1 pep chromosome:ALNU02000000:9:4178863:4182345:1 gene:OGLUM09G02760 transcript:OGLUM09G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent lipid-binding (CaLB domain) family protein [Source:Projected from Arabidopsis thaliana (AT5G47710) TAIR;Acc:AT5G47710] MEEEEAGVRERRGVLKVVVASGTNLAVRDFTSSDPYVVVRLAAMNKKTKVINSCLNPVWNEEMSFSIEEPAGVIKFEVFDWDRFKYDDKMGHAFLELQPVAAAAKLRRALRLTTGETKLRKVAASVDNCLMCDSFVTYADGEIVLDARLRLRDVESGELFVTVKWIEANAK >OGLUM09G02770.1 pep chromosome:ALNU02000000:9:4185013:4188678:-1 gene:OGLUM09G02770 transcript:OGLUM09G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLHPRRLRAGRPLPTSTPPDNLYPCRPPLHCVLAFFVIYTPEERGFLPRLSVVPVSSSMNDFTTAAKRLPSAGIIPEFHAAISDASQASHNAFSGSSYCVLQMVPFHYGEGVFQISYHGGSLVCPWASHQLFDEMHGRGGCPGLPSGVSTSDSIHVIMSWSAPLSLFLLDAHPSISSSCKKLK >OGLUM09G02780.1 pep chromosome:ALNU02000000:9:4188748:4189041:1 gene:OGLUM09G02780 transcript:OGLUM09G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAEGNDLMGSGGLRRRWRRIGKTLSPAVAVGDDVGSERRMGTTSSPPAAGRGGWGSSSGGKTSPLVQCAPTAEGDDDARSESRVERVMTDEA >OGLUM09G02790.1 pep chromosome:ALNU02000000:9:4189957:4190214:-1 gene:OGLUM09G02790 transcript:OGLUM09G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGSCDRQGDDIQRLNDMTSPGRDVAAGEARTSRQQVDVSPVVSISDEKERRDLRLVVSPTRGVTLFPASSTGDLPRRHLVHA >OGLUM09G02800.1 pep chromosome:ALNU02000000:9:4190691:4191501:-1 gene:OGLUM09G02800 transcript:OGLUM09G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHIHHIQHSRDGVGVGSGAELEYVGSGAELEYVRGSHEVVISESTNGIGGGVELESMPTVVTKNSSWLDSGSVPTATTKKSSVYRRCGVIETPMGMPSSWLLDGEVRIYMRITPVAAISQWTPSHMHKYMSKDFVIGRPRSASVTTACDGREVIISRGRRQERS >OGLUM09G02810.1 pep chromosome:ALNU02000000:9:4194590:4200117:1 gene:OGLUM09G02810 transcript:OGLUM09G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT3G61620) TAIR;Acc:AT3G61620] MEYVNPLTGFRVDGRRPNEMRQLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKDALVRCEYRMADFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRAACINAATLALADAGIPMRDIVTSCSADLNYIEDSAGGPDVTVGFLTKMDKVTLLQMDAKLPMDTFETVMDLAIEGCKAIANYIREVLLENTKRLECQRDH >OGLUM09G02820.1 pep chromosome:ALNU02000000:9:4204854:4210622:1 gene:OGLUM09G02820 transcript:OGLUM09G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >OGLUM09G02820.2 pep chromosome:ALNU02000000:9:4204854:4210628:1 gene:OGLUM09G02820 transcript:OGLUM09G02820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >OGLUM09G02820.3 pep chromosome:ALNU02000000:9:4205327:4210622:1 gene:OGLUM09G02820 transcript:OGLUM09G02820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNIGSRDVCVVGVARTPMGGFLGALSSLSATKLGSIAIEAALKRANVDPALVQEVFFGNVLSANLGQAPARQAALGAGIPNTVVCSAVNKVCASGMKATMFAAQSILLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDFAMGNCAELCADNHALTREDQDAYAIQSNERGIAARNSGAFAWEIVPIEVPVGRGKPPVLVDKDEGLDKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVIARIKGFADAAQAPELFTTSPALAIPKALANAGLESSRVDYYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >OGLUM09G02830.1 pep chromosome:ALNU02000000:9:4252542:4272387:1 gene:OGLUM09G02830 transcript:OGLUM09G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIILVQASHDPESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKGKEVESSLPPPDMQPVHSEALISYNRRNLMKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVVLLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMPEGTSKVSSDASNADCKVNPSLFAEKKTETDYSATYPNVHVFEKVMGRSIGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALGNEPVRVTADTKTIDTVNRGSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVLAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHEQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRPVQSRDGIGSVWSSGGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSAPVFGERVAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGDVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQLVAHPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTMNTDVDMNSIDISENQVENSAPGLDGNVVSVRLDEGAPQETMQPDQLNQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRAAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVIRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKETSQEGLQSDMMQTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNVASKVDYPPHSGQMVSTSVDENRAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASAAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQAVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTRCYTLHKPGEKNA >OGLUM09G02830.2 pep chromosome:ALNU02000000:9:4257460:4272387:1 gene:OGLUM09G02830 transcript:OGLUM09G02830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRAKEFGGGVFSLAATVMSDLIHKDPTCFTVLDAAGLPQAFIDAIMGGILYNSDAITCIPQCLDALCLNSSGLQLVKDHNALRCFVKIFTSRSYLKALGGDTAGALSLGLDELLRHQSSLRSSGVDMLIEILNTISKVGCGGESSSCTESGNSSTPLPMETDVQGGTSRSEVGTSEVGSSEKMVDASLDATSSSIESYLPECICNVGRLIETILQNSDTCRLFSEKKGIEAVLQLFKLPLMPVSVSVGQSISVAFKNFSSQHSVSLARAVCSFCRDHLKLTNELLGSVSGTKLVNSDHVKQSPLLKALSSLEGLLSLCNFLLKGNAFMVSELAFADAEILRELGKVYIEVTWQISLLSDSKVEKQDMEQDDVPGDASVSNLSERDSDDDTNAASVTRHMNPVSVRTSSVSPWNMEQDIISAVRSAASIHRHGRHTLSRIRGRLSGAMDAAHTDIDSPFSPGESSQSHDTIKKSPDVVVSELLTKLGHTMRSFLSTLVKGLPARRRADSSLTPASRSLVIALAQLFLTALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAALTFDSRRRSCNSAIVNSFYVNGTFKELLTTFEATSQLLWTLPFSVPTTGSDQASSISEKVSHNSWLLDTLQSYCKLLEYYVNSSFLLSPSHNQLLVQPMVTELSINLFPVPSEPESFVRILQSQVLEAVLPVWNHTMFPECSPSLITSLISIVSHICSGVGALKQSRAGVGAANQRLTSPPLDESSIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNTTEASKEDGCNKNGPSVVEDKGVVLLPLDDILAVSTKLFSSGDDMAFPLTDLLVTLCNQNKGDDRQRVILYLFEQLKRFPSDSSVDAGALYSFARLLALLLSEDSSIREIGAENGVVPHVLNLLENLKSRTEKTDQTWNSISALLLILDNMIQYAPALDIEMPEGTSKVSSDASNADCKVNPSLFAEKKTETDYSATYPNVHVFEKVMGRSIGYLTDQESQKILLLCCEFIKQHVPAIVMQAVLQLSARLTKTHTLAAQFSENGSLASLLNLPKTCIFPGYETLASAIVRHLIEDPQTLQSAMELEIRQSLSTRGSHASRSFLTNMSPLISRDPVIFMRAVTSVCQLDCSGGRTNVVLLKEKEKDKEKQKVSTTESGALGNEPVRVTADTKTIDTVNRGSRNQKKVPTSLSQVIDQLLVIIMSYSSPKKEQRSDGYFMLSPMDVDEPNTKGKSKVNDEQNLDGSEKSALMSKLAFVLKLMSEILLMYVLAVGIILKRDTELSQLRGGDQVAGHSGLLYHVFNLLSSDRSADVSDNWMGKLSERASWFLVALCCRSTEGRRRVISEIMKAFNYFIDSASSTSRGSLIPDKKVLAFSELINSILSRNSQNNLPVLGCSPDIAKSMIDGGMVQSLSGLLKVIDLDHPDAPKVVNLILKALDSLTRTANASDQIQKSDRYAKNKLTGSHEQTNVANENVIHEQGTSNGHGTIDTVQSTRQQVQELSHDDGNNNAGQDQPVEQMRLDLVENTAGNSSTGGVEFMREEATEGNLMTTTTDAGLDFSAQHQADDEMVEEEDDLGEDGEDEDEDEDEEEIAEEGAGLMSIADTDIEDQENTAIGDDYNDDMMDEEDDDFLENRVIEVRWRETLTGMNRHLRVSRGRGDASGFIDISAEAFRGVGTDDMFNLHRPFGLERRRQSGSRSFTDRSRSDGNAFQHPLLSRPVQSRDGIGSVWSSGGTPSRDLHTFSFGTSDIPFYMLDAGLPPETSAPVFGERVVSTAPPPLIDFSLGMESLRIRRGLGDNLWTDDGQPQAGNHAAAVAQALEHHFITELNVSTFLNNAIPYTGNRVLDMQPDQTGDDVDDDLPSQDDDISEHVTTDSPALPTSSPQQFGTTNQANGDVCPMNDLICQQSADVADVRTEEEMHQIADDMNVIPQSNEDTADRQLVAHPDRDSLSGNLQSYDHVMQDEVEIPQRGQIGNDIRDPSDLESSCHALLTSTSAAPELSDAHVDSTTMNTDVDMNSIDISENQVENSAPGLDGNVVSVRLDEGAPQETMQPDQLNQPDQLNANNEASSTNEIDPTFLEALPEDLRAEVLASQQNRAAPTASYTPPAAEEIDPEFLAALPPEIQAEVLAQQRAQRIAHSQPIGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGARRLPTDNQTAVMDRGVGVTVGRRVISTVSAGAKGKDVEGTPLLDSSALKALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRATLIGHLLNIIKPEAEGLNGWDCMTTYRLHGCQWNIVYAQPQSANGLPPLVIRRLLEVLTYLASNHPSVAGLLVYFDPSTSSNCMILKHGKETSQEGLQSDMMQTSSEGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVSNVASKVDYPPHSGQMVSTSVDENRAPIETHGEPSTMEQVPIQENSQNKDVVVPASGPQQSINVHDILTQLPDSELHNLCNILALEGLPDKVYTLAAEVVKKLASAAVSHRKFFSMELASAAQSLSSSAVEELVTLKNTQMLGLNSCSMAGAAILRVLQVLSTLTSDMSGNSQDQAVGQEEQSILWDLNISLEPLWQELSDCISTTEAKLVHNSSFNPQVPLMDAIEVGASSSTSPPLPPGTQRLLPFIESFFVLCEKLQTSQAVVPSDSNVTATEVKELAGSSSSPSLKTGGVCNITFVRVAEKHRRLLNVFIRQNPSLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRSQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDPDEEKHILYEKNEVTDYELKPGGRNIRVTEETKHEYVDLVAEHILTTAIRPQINAFLEGFTELVPRELISLFHDKELELLISGLPEIDFDDLKANAEYIGYSPASPVILWFWEVVNGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLEERLLLAIHEASEDFGGKIESMVTRCYTLHKPGEKNA >OGLUM09G02830.3 pep chromosome:ALNU02000000:9:4252542:4257436:1 gene:OGLUM09G02830 transcript:OGLUM09G02830.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKGDFHHWLDLFNHFDSFFESYIKPRKDLQLEDDFLEVDPPFPREAVVQILRVSRLILENCTNRHFYSLFEQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIILVQASHDPESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKVCLRQICSLFILKR >OGLUM09G02830.4 pep chromosome:ALNU02000000:9:4252542:4257436:1 gene:OGLUM09G02830 transcript:OGLUM09G02830.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPNIKSFIDCVTATPLENVESPLKDFVWEFGKQHLSSLLASTDADIVEGSLETLRAFVNKSVGKSSIRSASLTSKLFAFSQGWGGKEGGLGLIACSLPSGCDPIATEIGSTLHFEFYRGADKSDKSQSIDNCHRLEIIHLPSIISCKENDLEILEKLVKDYSVPPSLRFSLLTRLRFARAFDSLAYRRQYTCIRLSAFIILVQASHDPESLALFLNNEPEFIDELLSLLSYEDEIPEKIRRLGILSLVALCQDRSHQPTVLSSVTSGGHRGILPSLMQKAVDSIINGSTKWSTEFAEELLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTDTHHLHLVSTAVHVIEGFLDYHNPSSALFRDLGGLDDTIARLKIEVSQVDIGSKKSEEPQSMSKVCLRQICSLFILKR >OGLUM09G02840.1 pep chromosome:ALNU02000000:9:4295027:4298569:1 gene:OGLUM09G02840 transcript:OGLUM09G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDLATKSEQPSGQGLYPAKPNSSKKWFCCMQPTAAES >OGLUM09G02850.1 pep chromosome:ALNU02000000:9:4325141:4327876:-1 gene:OGLUM09G02850 transcript:OGLUM09G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRPIRLASQARLLSGGGGAVAQQPPTQHAIAAQRRLPSRNPWSRIVPSLLPDGKSYHIIDTSFTSEEVFVPTPPPPLLVSTVRRPAAPLAQPIATMFAWPVPPRGWTVSPTTGRYRFGYGFGGESSSSTALRTPAAPTTTWGPAPLLPAPPTLAPPLVVPRGWWTVSPTTGNDRFGDGGTSSSTAAPLTPTGHSTPPEPVLSVPMPLGLTMSPTTAHYSFSYGGASSSSAMPRAPTAPLALRAPAPHLRVPRVLSPPAPMPSALATHVPTPPAPAPPVPTPLAPTPPADVPPGFTMSPTITRYSFGYDGPRPWLLLLLRHGLTVSPTMTRYSFGYSSASSPSAVPCTSSVPLALRALAPHLRALRVSVPRPRAPSASALPAAAPREWTVSLTTSRYSFGDSGASSSSAAPRAPAAPLALHAPAPHLRAPSMAAPPPAAPRGRTMPPTTGRYSFSYGGLSLSYTTPRGPIAPLALRSPAPHLRAWRVPTAPPAAATPRAPTPPAAAAAPAVPPAPPSDLPSWPVLVRPPTGPARARLAPATPTEAFEEYLVQRRAIEATVDDTPWEMIGRSRKTGGPMFAVAGGGRDRAELEAKEARERRKNRMDKRKAAAAARAQQPPPPPPADAPGSSGGGSKKRGGGRKKKQA >OGLUM09G02860.1 pep chromosome:ALNU02000000:9:4350186:4350979:-1 gene:OGLUM09G02860 transcript:OGLUM09G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGIVKLRPLYASRRGVPGAALLPWVWVLPGFTTNERKGRRGAGFPAAERRRRRRLVVFPGVAHLGRALAGQGGERRGRNGEHKGLVRPIKRGDGGYLFWTGKGRRSEEMDSPRRGKADGARIWPAMLR >OGLUM09G02870.1 pep chromosome:ALNU02000000:9:4366510:4366875:1 gene:OGLUM09G02870 transcript:OGLUM09G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGICWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPIAKDTNIVAPVSKDDDVNGSTASHVTINITIEP >OGLUM09G02880.1 pep chromosome:ALNU02000000:9:4374175:4379569:1 gene:OGLUM09G02880 transcript:OGLUM09G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGLAVCAASFHLFLLLASTSSLRRAPTEADTANHARRTAYHFQPAKNWQNGPMYHNGMYHLFYQYNPHSALWDIGNLSWGHSVSGDLLNWAALDTALDPTSPFDANGCWSGSATILPGALPAILYTGIDASKEQVQNVAFAKNPSDPLLREWEKPAYNPVIALPPDVPGDNFRDPSTAWLGRDGLWRIAVSAEVDGVASTLVYRSEDFVRWERNAAPLHASRAAGMVECPDMFPVAENGEDGLVTSTNGAGGVRHVLKLSVMDTLQDYYMVGTYDDAADAFSPAEPERGDDCRSWRRLDYGHVYASKSFFDVRKNRRVLWAWANESDSQADDVARGWSGVQTFPRKMWLAKDGKQLLQWPIVEIETLRRKRAGLRRGTRLGAGAVQEIVGVARSQADVEVVFKIPSLEEAERVDDPNRLLDPQKLCGEKGAAVRGGVGPFGLLVMASGDLHEHTAVFFRVFRHHDKYKLLMCTDLTKSSTRAGVYKPAYGGFVDMDIDDHKTISLRTLIDHSVVESFGGGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAKLEAWDLATATVNVVVGDHHGLVAPALELEPTRTTQ >OGLUM09G02890.1 pep chromosome:ALNU02000000:9:4383842:4384085:1 gene:OGLUM09G02890 transcript:OGLUM09G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAXGGRACITARVYPEHVATSSSHLYVFNNGSDAVKVAELEAWDLATATVNVVVGDHHGLAAPALELEPTRTTQ >OGLUM09G02900.1 pep chromosome:ALNU02000000:9:4387253:4388488:1 gene:OGLUM09G02900 transcript:OGLUM09G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLQYSDQHFCYHQWCPTTIAEGGKLMRANGKTMLAKAAAFHTLPLLCATTRRRVALPLDVKGLQPHQVAIATQLPRALPTRHPTITYHHPILISSTSRRPTTTEKTWRVWGKKRNDRQEDEVFK >OGLUM09G02910.1 pep chromosome:ALNU02000000:9:4393218:4393664:1 gene:OGLUM09G02910 transcript:OGLUM09G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHAAITSPPTAHDAALAQAVQAVADSVRASYKPPEELLVDPTTIRAVADAAATTAEAAARDAFTNFLRPLLQAPAAGELVDTSSTLADPMTAPLPPATDAPPSSLTLSAADLVAVVAQLGALSTAPGRLPPPLSAVLPAPPPVGVFL >OGLUM09G02920.1 pep chromosome:ALNU02000000:9:4397962:4398324:-1 gene:OGLUM09G02920 transcript:OGLUM09G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0B080] MARHPGLLLILLAAVAAVATTSRAQWVGGWNVIEDVAGNNQIQRVGAWAVGKHNQLGTNDRLQFVRVVAAEEQVVQGSNYLVVIDAASSRKKTRELYVAVVADLVGATTYQLSSFKPATK >OGLUM09G02930.1 pep chromosome:ALNU02000000:9:4414899:4417974:-1 gene:OGLUM09G02930 transcript:OGLUM09G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLAASSSLPTAAFSPPSSLSSTSSSPHALRSAVAGAARAVRCSAAKDSILYALEHDEMFNSAEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGSGADKAVPRNMLEQIIWDKEVEVSQRKAKKPLQKVIESSQHAPPARDFVGALTAAYSRNVAPALIAEVKKASPSRGVLREDFNPVEIAQSYEKNGAACLSILTDEKHFQGSFENLETVRNSGVKCPLLCKEFVIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRICKNLGMTALIEVHDERELDRVLKIDGVQLIGINNRSLETFKVDTSNTKTLLEKRGDLIRDKGILVVGESGLFTPDDVAYVQNAGVSAILVGESLVKQENPGQAIAGLYGKDLLH >OGLUM09G02940.1 pep chromosome:ALNU02000000:9:4440135:4440632:-1 gene:OGLUM09G02940 transcript:OGLUM09G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPASAANNGGGNWPGQYSCLTAAPGRGAHSKRSCATAATAAAWHTLGPHPTFQSSLSSSRPSSDFPSSKKLSATRLNGSSGSRGKKSPGPAGVKVGVEAGVYVAVHALRVGENVVAADGSPGSQDPLQRVWLEVHVWAADAGVQGGGHATSVVDDERRRP >OGLUM09G02950.1 pep chromosome:ALNU02000000:9:4442143:4443969:1 gene:OGLUM09G02950 transcript:OGLUM09G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKINAINHHHLIDISPELGGATAIDGPKEMKELGIVDGAVEMKELGVAAAVHGAGEMKELGGTASIDGAADKKELGGAVAGAGGAAETKWLRKLTSSSVNTAVLRDLIARTPMLWYLGERSGTILRPRSRRAGVDALHAVRAVAIGPFHRRDHWLPFPDDAKLPFLRYLQDQCGLDVEHYVAALADESDRLRDEFADDDVGDDVAAEILGDEEKFLQMVLLDSCFIVVVSMMLSKVCTDGDKASCVSRAASISREYFILHMAVSQHAEDIKLDMLVLENQVPFAAVKLLAASCSKLKLLRPVEELVLGCFDDILPKRASPAAGDTEPFQHVLHLFHWSRVPTSKYCILSTPRKLLKIKKESERLFPSSMELCRSAVWFRSAAASCGDLDMWFWGRTASPVAVMTIPCLDVHEYSATVLHNMIAFEKHFHWAHGACVTAHVARMEGLVRCPQDAAFLRRRGVLSSMRKTDAELVAFFRELGEETVGARLPDEYAEMVDAVACHRSRKVSWWCGGFVLHFFPSPWVVVSLVAAAAVIVVPSLLQTVYTILSYVKTT >OGLUM09G02960.1 pep chromosome:ALNU02000000:9:4444453:4444644:-1 gene:OGLUM09G02960 transcript:OGLUM09G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVMPPSGERAQAAIGNLGDGGGGGLGDGSGKGECNGGGGGVRPRRAPPPARLSPRRSSSAR >OGLUM09G02970.1 pep chromosome:ALNU02000000:9:4465532:4466537:1 gene:OGLUM09G02970 transcript:OGLUM09G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B085] MEQVHVETKEKDSNLLAAISSETNHDDNPIATLPTREGWSTPLTLYNNCWLRSHMVTKFMLVKENFKPRHDDVILVTHPKSGTTWLKALAFAIVNRSSFESIDASHHPLLTQNPHHLVPFVGAQGGNLDYLETLPSPRLLATHLPLSLLPSTVTTMGCRIIYLCREPKDAFISRWHFDNKIAQGAKINLDTAFTMFLEGCSPFGPFWDHYLQYWKESLQRPRDVLFLRYEDIVFDPLKVVRDLAGFIGVPFTEEEVKSGVDQGGGKTGDWVNHMSKDMGEKLDQLVEDKFKGSGLKF >OGLUM09G02980.1 pep chromosome:ALNU02000000:9:4468237:4469226:-1 gene:OGLUM09G02980 transcript:OGLUM09G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVRGSRAIQITIAVREENVSVCDKDRHSNSKMLSEDMESIIHMYKEQKYLMMVIMLTTNKVIFSSIVPALLYFFLQLRPVVTFMISISCLNSH >OGLUM09G02990.1 pep chromosome:ALNU02000000:9:4481399:4482345:-1 gene:OGLUM09G02990 transcript:OGLUM09G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGSGSGGAALGRVGSTSLGSGCGYGDGGRAARVLDPAAPTSGGCKGRPKTVDRTAKIECEMLEEQQGKLAHGQDGAPRRRCSRHGAQCSLPHQDKGESKVALPAREKAKGGGGKGALLPSILGGGAGEACRSGVTTLVACGMWSREQGDNGG >OGLUM09G03000.1 pep chromosome:ALNU02000000:9:4489109:4489885:1 gene:OGLUM09G03000 transcript:OGLUM09G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWHVDDEEGEECWRGGGMMQGGCHRRGAARCEREAAQHWCGVRRYATAYPLDAFPINLDQIALLPSSSSFLPFTFLLARRRRWSSRSSGDSGATSSGGASGSSEGGGASYGARQQGQRREQHGAVNLLVSGAVLPRGACSFHVALALVADGAPGETRCELLGFLGSPSLAELHRASVT >OGLUM09G03010.1 pep chromosome:ALNU02000000:9:4491417:4492214:-1 gene:OGLUM09G03010 transcript:OGLUM09G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0B089] MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKEKDVKDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSNKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKETSIVTPVSKDDDINGSTASHVMINITMEP >OGLUM09G03020.1 pep chromosome:ALNU02000000:9:4493242:4493520:-1 gene:OGLUM09G03020 transcript:OGLUM09G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHQQKMQMPPSSKDDEPAAATRPPPPKREERAEKAPEMEKKVVVTVVNGEEEDDDSGSTDNGRRRCVCSAAGQPAGHFRCVCAETDGADE >OGLUM09G03030.1 pep chromosome:ALNU02000000:9:4508020:4509195:1 gene:OGLUM09G03030 transcript:OGLUM09G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENGDSRFVRDLVSPTPAPPLLPTQQALRALSSLQIIQGLRVASPERLPQPSSGQRRILPMAPQAQWWRADGSTTMSVASLPLNQVRAIGLFLNTFLVATMRRQEGPLYHNAIVIPTIAPAPAPAPPTTQMLAMENTMPATVEPVTNHRIINHDIMHFMKETPDIQRLHGVVSPVAVHGNGNPLSCIYCGLVFALRSSEIPGFLPPPGFSYPEPMGPPPLLSLLPPARDASRTAAVCSDPHHFAVTMQYMPKQEMADLIWSSEIPSIHIPSAAGGQHVGTVPSLTGITGATTSMVNLMHMPAIHMKQHMLPPTMLNSSASPKYITSTAVPSTLNMMPMRDILRDQLSLPLAISSSFASGVLCEYVMPEHEDMVCLTLGQSSTMDLDLSL >OGLUM09G03040.1 pep chromosome:ALNU02000000:9:4556426:4559139:1 gene:OGLUM09G03040 transcript:OGLUM09G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMPSHFIGRRQVEGDCRLLEQVSEGETVQLLDCSPFGFSLCILLLVGVELLGQWDVSDALLETPTTTGPGSVPQQHILTSRRLEFSMINNVAHYDFNGHTIVPLSCIIQGSTQNNNKTIFVAENSGTFKFHDT >OGLUM09G03050.1 pep chromosome:ALNU02000000:9:4560607:4567925:1 gene:OGLUM09G03050 transcript:OGLUM09G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGERSTHLARCNARYAARRDKPCAESFALECLDGCNPSLLNLTTCLQTIGDVPATSTLQFEVDMDSFVKDKTDDQYYFLCDDEDAQMVESDDEDKQAPTSSIPDKFNYVYSNIPRSTNVLNPQLLTLETLIFLFQW >OGLUM09G03060.1 pep chromosome:ALNU02000000:9:4589333:4589512:-1 gene:OGLUM09G03060 transcript:OGLUM09G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVTVTGGVLGPVFVLLSRIRPVVDFFRRLCDCLRHPQRRPARPVRAPWKRAATDEQN >OGLUM09G03070.1 pep chromosome:ALNU02000000:9:4591543:4596314:-1 gene:OGLUM09G03070 transcript:OGLUM09G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASEEAIKQFSALMELLDEPLKTTFQHVHQGYARGTLVRFLKAREWNVPKAHKMLMDCLNWRIQNGIDSVLAKPIVPSDLYRTIRDTLLVGLTGYSKQGQPVYAFGVGLSTLDKASVHYYVQSHIQMNEYRDRVVLPKASKMFGKQINTCLKVMDMTGLKLSALNQIKMLSTITAIDDLNYPEKTETYFIVNAPYVFSACWKVVKPLLQERTKRKIKVLYGSGRDELLKVMDYETLPNFCKREGSGSSNDSSDGVDCYSYDHPFHQELYNYIKQQALNEDFIGPIKQGSMHVDVPTPDLEEAKIVETIESELHKFSGANGLSHSFNRIKIEGP >OGLUM09G03080.1 pep chromosome:ALNU02000000:9:4619655:4620060:-1 gene:OGLUM09G03080 transcript:OGLUM09G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHCAILTAAPLAVPVARSLPPLYAVNITTSHSRSVTPRFVHPRCKLINDNYSPNTLSQPGRSS >OGLUM09G03090.1 pep chromosome:ALNU02000000:9:4624142:4626884:-1 gene:OGLUM09G03090 transcript:OGLUM09G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAVPDVVTDKKCDKTMAASSEMSQSQEVISRGGKLGTASSRETLLGDVATSSGVKEQDKDSCSVDESVKLDGNEDAEKSSLRGSVKDSSASAKGSDRASSLTKVSGSTKVSDHAADIVGSGKSSVYRASAGSDVSDESTCSSICSSASKPHKSNDSRWEAIQMVRTKEGSLGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKFFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLLKSSNPGVDPNQKGNPSYCVQPVCIEPACIQPSCVTTTTCFAPRFFSSKSKKEKKAKTDIASQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYEILFGKTPFKGSGNRATLFNVVGQSLRFPESPVVSFAAKDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASTSQKATTTAEKGSDNYLEFDFF >OGLUM09G03100.1 pep chromosome:ALNU02000000:9:4632087:4634749:-1 gene:OGLUM09G03100 transcript:OGLUM09G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLRPRFPLPSFARSAAAASSFSSAPLRRRLSHASKAMGRDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPAKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPESQIAARKA >OGLUM09G03110.1 pep chromosome:ALNU02000000:9:4635020:4637061:1 gene:OGLUM09G03110 transcript:OGLUM09G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLSQRGSHAEPYVSLCSLSPLHLFSSLLPSSSLFFSFHEQWRRRAAGLSRSMRRAAAAREVGVDVEGGEEDDDSHGEEHGDSGGEGAEMAGLSNAPTPPLNRRPVDNGGRRERRQRRTVAHGRGAAAAQDAGQRVGDDELLRSKKRYGGPVATQPTVVGEEAEGEVAGARTRSAAEEIYCNTGKPTLECSLAITHESHIEVVAILIVLLF >OGLUM09G03120.1 pep chromosome:ALNU02000000:9:4656231:4676062:1 gene:OGLUM09G03120 transcript:OGLUM09G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLDLIRNVVGIVGNVISFGLFLSPVPIFWRIIKNKNVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIKAIYLTIFFLFSDKKNKKKMGVVLATEALFMAAVTLSRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPIAKGTSIVAPVSKDDDINDSTASHVTINITTSYALIRFDIFITICNGLGVLFALMQLILYAIYYRTTPKKQDKNLELPTVAPVAKDTSIVTPVSKDDDVDGSNASHPHPRLASLQLANTNWTFHHKHETSGNSPAEEADAAVVQGRAGVRSTAAATRPPPPKREERAEKEPETEKKVVVTVMNGEDDGCADTRRRRCVCSAAGQPAGHFRCVCVETDGADEAMNQVRQRVFQQAVQGALETLNSCLNTELHFRTIRANISILGAMEWKS >OGLUM09G03130.1 pep chromosome:ALNU02000000:9:4676153:4676773:1 gene:OGLUM09G03130 transcript:OGLUM09G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRVDVIHKILRERIEQYNRKVGIENISRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRIPVSEAYLGRVINALAKPIDGRGEIVA >OGLUM09G03140.1 pep chromosome:ALNU02000000:9:4676843:4681386:1 gene:OGLUM09G03140 transcript:OGLUM09G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIAVDLMIPIGRSQRELIIGDKQTDKTAVAIDTILNQKGQRCTSLSPNVPSIKKAPPATKLSQGIRLRSHLWSHDGGAAADSSDSSAFPSVNSATGPRLAAPPPPTPLPPPRQPPRTGRPGRATLWRLRYRRARWRPGQWEGGGEDYTAGEHGHRLDPVEHVWDALVGRSGGLHWRGQRRQNWRRRGSGGAAAAAALVCRVGAAGAGGGDGDREERRRRRPSSAGSAAPELEEEKEEQRRRRPSSEAPELEEETGIGRSSGCGGPRSPPPQAAPHTWEAERRRDNTYPDLPLPSSPSAHRTRGGRICPSRCCRRRILAATRARAYRHHNPCQPPPPPEPIALTPVSHGPRCPSYSAFALGDDILLPATSHNALLRQAVPFPGGVAPSQGHHPLLDTVDQINPSRITG >OGLUM09G03150.1 pep chromosome:ALNU02000000:9:4678178:4678375:-1 gene:OGLUM09G03150 transcript:OGLUM09G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLPPMAIRDLPSFFTDLDDTRLSAAFHGVQTTIEQLDIDRQSGSSSGVAGAKKPWCSSTPSRN >OGLUM09G03160.1 pep chromosome:ALNU02000000:9:4679033:4680870:-1 gene:OGLUM09G03160 transcript:OGLUM09G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRHLRFVVVSTFFLPFPLGISQRSEKKSSEYCPKCKLIPIPKSQLTTYDPQIPKSNSAPRQPRPRPHAHGSSPATSRPLSPTSSSRGRSGLSAPPWSRRVPSPALAPAARHLLASPGHGGRLERRRRGMQTERRRARRRTDVGGGKGGGGIDGRGWRPDRQEKEGARASARWGVDKAKYAAVPSLWWLGGRTVVRRKEGAAAVKRLPPLCLKEEGATPPGKGTACRRRALCEVAGRRMSSPRAKALYEGHRGPWLTGVRAMGSGGGGGWHGLWWRRGLWWQRGSVGDSNGRGRSGLHVCGAPTVTTGGADPDTCYPAASPPPTYAEPPAVAANLCD >OGLUM09G03170.1 pep chromosome:ALNU02000000:9:4681768:4690370:1 gene:OGLUM09G03170 transcript:OGLUM09G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDLIRNVVGIVGNVISFGLFLSPVPTFWRIIKNKDVQDFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLATEALFMAAVALGVLLGAHTHQRRSLINIFANFSWGMEST >OGLUM09G03180.1 pep chromosome:ALNU02000000:9:4691529:4692653:-1 gene:OGLUM09G03180 transcript:OGLUM09G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVASRGAALACRRLSRRLLSHSSSASVLPPLLGHFHQPMVVPPVGLATAPPEMEKLTVPVFHPLTASSSPRLSLDFVPDLSHFSLYDSHHGLLLLRHYNCEYFNPRIFLVCDPVSRRHALFPPPPADEYAGGRIFGAALLSRQADAADGGLRFEAVCVAVDVDRPRAWVATYRDGECRWRALPRSRGVAIEFDTHWLEYLAVRAAGSLYWHICYNPCALALGTDTLEFSFLRVPALMFDGTSNTHKCRIGEMPEDGRLCVGSVERQELLLCVRGSGDGSDNGWVVERRVRIREVLDGVPWIPKNSFLRHFNLWLRDIDAGRTGKVFIGTLGYGIFSYDLNTGKLENLATEDGMQYGHPILPYFSAPVDAGSD >OGLUM09G03190.1 pep chromosome:ALNU02000000:9:4696805:4697535:1 gene:OGLUM09G03190 transcript:OGLUM09G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRTAHTRERKTPRLARRSPPLGDGDAGQPLRRRGLPPPVAPPSLLLFHSPPRPLPPPHSGSPVPTKGDPPCPGAPTVVATFHPLTASSPRLSLDFLPDAADFELYDSHLGLLLLHHHSRPFLVCDPVSRRHARFHPPPLLYGRIVGAALLSREAEADDPGDGGLRFEAVRVAVDDDRPRAWVATHRDGVCSWRALPRSRDVAIEFDPHWP >OGLUM09G03200.1 pep chromosome:ALNU02000000:9:4697650:4700096:1 gene:OGLUM09G03200 transcript:OGLUM09G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEPSAHHKYRVGEMPVDGRLCVGSLEDEGLQLWVRGSGKGSDHGWVLERHVRMQEVLDAVPWLPRNILLRHANIWLRDIDAGRTGKVFIASFGFGRFSYHLDTGKLECLAMEDGMEYGHPIFPFFSAPEVAMSLVESQHKHIDCVKLRKDQAIVNQY >OGLUM09G03210.1 pep chromosome:ALNU02000000:9:4706887:4718624:1 gene:OGLUM09G03210 transcript:OGLUM09G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVATRGAALAFRRRLSLRHFSHSSASASLPLLLGHFHHPRPVPPRGSPGDLNMAPNVPAFQPLTPSSPRLSLDFVPDLSRFTILDSHLGLLLLRRHDDHGDAFLACDPVSRRHALFHPPPTMGRYSGGTVFSAALLSREAGAGGLRFEAVCVAVDVDAPRAWVATCRDGDCRWRALPRSRDVAIEFDPYWLESHRVRAAGSLYWHICNNPCALALDAATLQFSFLRAPAAMWDSTTHHKYRVGESPVDGRLCLASLERDGFQLWVRGSGEGSDHGWVLERHVRMQEVLDAVPWLPRDILIRHAHMWLSDIDAGRTGKVFIASFGYGRFSTTLTPASWSACRRTMACSMGTPSSPTSRLRRSTVLPEFQRKSDTYTAPTPSPPPPTPAMAATATATATGRRAALTFRRLLSSHSAAPAPLPLLGHFHHPAPVPPRGCPPRPHTTLTVPAFQPLAASSTSPRRLSLDFVPDLSHFTILLLRHRERHDAFLVCDPVSRRHALFHLPPVDEYSSGGIFSAALLSRDDAAAGDPGGDGDGGGLRFGAVCVAVNLGRPCAWVGTYRDGECLWISLPRSREVAIEFDPDLLECLAVRAAGSLYWHIRNNSWTLALDTATLQFSFLRAPAAMWDSTTHHRYRVGEMPGAGGRLCVASLEPPGLLELWVLGSGEGSDHGWVMERRVRMLEVLDAVPWLPRNVLLRHLVLWLSDIDAGRTGKVFIATAGFGRFSYHLDTGEMECLATEDGMEYGQPIFPYFSATADG >OGLUM09G03210.2 pep chromosome:ALNU02000000:9:4706887:4711456:1 gene:OGLUM09G03210 transcript:OGLUM09G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASETLQTLLPPPPAEEAPTTTVAALTDDTLRNILHRLNPADLLRAALACHRWRRAAARCVTGAPPPLLGYFFHPADTPPPVHLPFSASRGRLHPAAFCPVDASSSSSPRLSLDYLGGTKGFVIYDVYLGLVLLLPTSLPSGTLPRILVLDPASRRRALLPQPPRDALPGDRWRGRRHIVGAAVLSRAHPSRLCFDAVCLTVDDKHPRAWVASYRDGECSWRALPRDTGVTVAFDPYWFEGRCVHAAGDIYWHICHSGRLLKLDPATLSFSYLLAPSELGDSNKKFRIGETPEDGRLGMATVEDQEMQFWVRGEASGSDNGWFLRKRMNMRRVLDTIPGLPRDMMSRIISIWLSDIDAGRTGKLFIKTQGYGRYSFHMDTGKLERLATEDGKEYGHPIYAYYVAWPPAFLAPELEDCSRRTLWEWELCCAAGGLMLQAFLCKVKPKSLL >OGLUM09G03220.1 pep chromosome:ALNU02000000:9:4722199:4725211:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHTLLPLIVETDCLVAKNLIYSGKEVRSETAFIVTEIDELLSGNREVIIQKLTEVGAKLSRSFGRKIAVLTSDILYVMTLELSNGVFCKTSYTNPPIPKPPPLLSRRGFRPELPPEGGGGGGYRRWQRWLKAVAAWRVEPAAGFPPSSQIRWTRRWKAEAARRVDAAAVDPLGGVRAVASAALPSGSGEAATSAAGFGTAAEGRRAKGRVKAVLEGGYAGRGDGGGGGGQMRTSDARGGRREDGERLQGTSACTVYSSLRSYCEGGDISLQASVTVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVRNRCMIACKSS >OGLUM09G03220.2 pep chromosome:ALNU02000000:9:4723309:4725211:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHTLLPLIVETDCLVAKNLIYSGKEVRSETAFIVTEIDELLSGNREVIIQKLTEVGAKLSRSFGRKIAVLTSDILYVMTLELSNGVFCKTSYTNPPIPKPPPLLSRRGFRPELPPEGGGGGGYRRWQRWLKAVAAWRVEPAAGFPPSSQIRWTRRWKAEAARRVDAAAVDPLGGVRAVASAALPSGSGEAATSAAGFGTAAEGRRAKGRVKAVLEGGYAGRGDGGGGGGQMRTSDARGGRREDGERSVWPLQVKP >OGLUM09G03220.3 pep chromosome:ALNU02000000:9:4722199:4723711:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSVQHATPPICCLRASSSCADGSGGGPLRSPPPPCPATPFRFPFSTRVPLLRAALPATAPPPELAVGEAVKVMAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYEGMKFCNGVVLGTIGVGAILRLLPQPTSTCLQGTSACTVYSSLRSYCEGGDISLQASVTVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVRNRCMIACKSS >OGLUM09G03220.4 pep chromosome:ALNU02000000:9:4723996:4725211:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHTLLPLIVETDCLVAKNLIYSGKEVRSETAFIVTEIDELLSGNREVIIQKLTEVGAKLSRSFGRKIAVLTSDILYVMTLELSNGVFCKTSYTNPPIPKPPPLLSRRGFRPELPPEGGGGGGYRRWQRWLKAVAAWRVEPAAGFPPSSQIRWTRRWKAEAARRVDAAAAALSSAVVAVVRPPPFPTPYIG >OGLUM09G03220.5 pep chromosome:ALNU02000000:9:4722199:4723303:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASQWTTFLVPLRPARVDLLPGNAGCRPSWLDLPALVYEGMKFCNGVVLGTIGVGAILRLLPQPTSTCLQGTSACTVYSSLRSYCEGGDISLQASVTVLKEVTKTRKVPVLEVPLALTKIKKANLETSSFFETLRGTEFPVRTWMRIFVKVRNRCMIACKSS >OGLUM09G03220.6 pep chromosome:ALNU02000000:9:4723857:4725211:-1 gene:OGLUM09G03220 transcript:OGLUM09G03220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MALQHTLLPLIVETDCLVAKNLIYSGKEVRSETAFIVTEIDELLSGNREVIIQKLTEVGAKLSRSFGRKIAVLTSDILYVMTLELSNGVFCKTSYTNPPIPKPPPLLSRRGFRPELPPEGGGGGGYRRWQRWLKAVAAWRVEPAAGFPPSSQIRWTRRWKAEAARRVDAAAVDPLGGVRAVASAALPSGSGEAATSAAGFGTAAVGSGDRRRRPSPPPSSPWCDLPRSRPPILDKEGRRAKGRVKAVLEGGYAGRGDGGGGGGQMRTSDARGGRREDGER >OGLUM09G03230.1 pep chromosome:ALNU02000000:9:4785964:4786593:1 gene:OGLUM09G03230 transcript:OGLUM09G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGDAMESGDAVESAIEYARVSSDAMDSGVCVHAAYQCVLWEEDGEGHRGINLNKDLPNGHREGRAQGQHHGHRAPGAAHLGRAAVRAHPPPAACLLKLIMAMKLKLCCYLFSTVKFHCNLLVIGINSMLTQKVLNLKIYMVLNLE >OGLUM09G03240.1 pep chromosome:ALNU02000000:9:4787418:4790361:-1 gene:OGLUM09G03240 transcript:OGLUM09G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEEYKKVYVTLGEEIEGECKVYIRKGQFTNYYDGIPMDLSAGIYEMGPLKIPCVIKKGTDEHPITPENYCAIKEFRHKNAVVVENFFKDGDKGCLVLSEVVGTFEGCLRRNLSLAFQQKCDVYKFSPALREMIVDFCKVVENLLKVNLFPRNITLDNLYVTCIGNRPVMKVLIYDVEKKEGLLPKQRCQMQERVWDEVKLTINSLCKNLDCLPLHHTTNKFLEYIGKDTIAKLDGYPDVWTYKDKEWYLFSIAAADRERS >OGLUM09G03250.1 pep chromosome:ALNU02000000:9:4803482:4809617:-1 gene:OGLUM09G03250 transcript:OGLUM09G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEQPSPSSSSSIEAKSEDVELVTVNDSFIISEYMTRTRRSAAEQAEQVLVARSSDNSDAIAKKARGGGWWVEDLWEVAEPQLSPSEKLNSCFEDIADRLLPPPPWLARLAGIHGDIYASPRDHICASLRNWALPIADPLFKTRYKASPYARTNAKSYNKDLIWWSTEGTIIQCCLQTVLFFTPAMQFQLLPR >OGLUM09G03250.2 pep chromosome:ALNU02000000:9:4803834:4809617:-1 gene:OGLUM09G03250 transcript:OGLUM09G03250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFEQPSPSSSSSIEAKSEDVELVTVNDSFIISEYMTRTRRSAAEQAEQVLVARSSDNSDAIAKKARGGGWWVEDLWEVAEPQLSPSEKLNSCFEDIADRLLPPPPWLARLAGIHGDIYASPRDHICASLRNWALPIADPLFKTRYKASPYARTNAKSYNKDLIWWSTEGTIIQCCLQTVLFFTPGVRLEIT >OGLUM09G03260.1 pep chromosome:ALNU02000000:9:4825533:4826282:1 gene:OGLUM09G03260 transcript:OGLUM09G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIRGRVRHARVLAAVDRMVMIVATKFAEAVLVMNFEERSMPPPPPPYQRLVIPVECSQAMLASLLRARPLCGERLREARATAEHALADAKAEGDDLAAVDVNLVLTFLAARDGDLDDALRRYKAAVQKDPSDSWPYELVVAAALGSGTLTTLGLERGGRGRLVLVAAWREVDARLTAAVLDDDLDLTLPERVQLRLLHHRRPDGLWRSSTGVG >OGLUM09G03270.1 pep chromosome:ALNU02000000:9:4829752:4830321:-1 gene:OGLUM09G03270 transcript:OGLUM09G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFPLHFHYEDVLRQDLLLKLNHANVMEVPGLFEIRLVPKAASDLKIQFGKLAMEILCGQRFIQTQRGPYFKAGKSFRSNPFLGSEKDTGYVSDFARQSVLRGHGMYHFLVRILTVMSMLDSPVEIWENSIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSANTKDETLLLWSGFLLKDEGETK >OGLUM09G03280.1 pep chromosome:ALNU02000000:9:4835296:4837323:1 gene:OGLUM09G03280 transcript:OGLUM09G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0C3] MEFSPRAAELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINNSNEMSLNLVSKRCKSYPVIRITQQHVKDGIS >OGLUM09G03290.1 pep chromosome:ALNU02000000:9:4904739:4907198:1 gene:OGLUM09G03290 transcript:OGLUM09G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWTEGQLAVLDDDSGSTVEVENCRSSHRATSEPAVVAPAGLPAHPELHLLVLHGRHVEPPVLRRLPDSKLLVPVPQLGRRQQVGEGEHGRVELEQAAGVADVPVDVPRGVHAAALEPEGVERDRDSGVLRERPPAALAAAVGGDPGARVLVVDGEADGVEAEPARVRAREHRGADDVPKATLAVARQRVARGLREQRAAAGGRVEDEDAGEDTGGERRREEEHEAEVDVVDGEALGPATAEAVEGEARGEGGGGGVEGGEGGGVEGGDGRDDVRREGRVGGVEEVAEEWGRRGRDAACGNAVPAVAGEGGAEEVGRGEAVEDAE >OGLUM09G03300.1 pep chromosome:ALNU02000000:9:4905065:4908737:-1 gene:OGLUM09G03300 transcript:OGLUM09G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASVEETEEDADLTENNKKVDVDGMVTIIQNFSGTPADIANKENIVPLPSCWVLGCWLLLLQKNAERGVRSDQNPPLSFSSLTNGIGNPPSSPTTTVAALTDDTLLCILHRLAPADLLRAALACHRWHRVAARCVTAAPPPLLGYFFHPADTPLTAHIVAPIAALHPAAFAPLDSSSSPFSPRLSLHGLGSGGTKGFTIYDVHLGLVLLLPASLPSGVLSRILRGLWHIVGAAVLSRAHPSRLCFDAVCLTVDDEHPRAWVASYRGGECSWRALPQDTGVTVAFDPFWFEGRCVHAAGDIYWHICNSGRLLKLDPTMLTFSYLLAPSELGDRNKKFRIGEAPEDGRLDMAAMEDQEMQFWVRGEASGSDNGWFLQKRMNMRKVFDTVPGLPRDKLSRTEYGQPIYAYFMAWPPAFLAPENAKFPRSIHLPESQFSLSQIRVFPPRPLPLPKLRRRPNRLLPPLP >OGLUM09G03310.1 pep chromosome:ALNU02000000:9:4915682:4923564:-1 gene:OGLUM09G03310 transcript:OGLUM09G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYSKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLVGLLQQ >OGLUM09G03310.2 pep chromosome:ALNU02000000:9:4919983:4923564:-1 gene:OGLUM09G03310 transcript:OGLUM09G03310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MANGLPNPLLTADAARSLVDSVDAFLFDCDGVIWKGDQLIEGVPETLDLLRKMGKKLVFVTNNSRKSRRQYSKKFRALGLEVTEEEIFTSSFAAAMFLKLNNFSPEKKVYVVGEDGILEELRLAGFECLGGPEDGKKNILLEANFYFEHDKSVGAVIVGLDQYFNYYKMQ >OGLUM09G03310.3 pep chromosome:ALNU02000000:9:4915255:4918074:-1 gene:OGLUM09G03310 transcript:OGLUM09G03310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase 2 [Source:Projected from Arabidopsis thaliana (AT5G47760) TAIR;Acc:AT5G47760] MAQQANGVGQGAAGEKKEWGHGQFQYASLCIRENPGCLFIATNRDPTGHMTSVQEWPGAGTMVAAVSCSVQKEPIVVGKPSSFLMDFLLKSFNLETSRMCMVGDRLDTDILFGQNTGCKTLLVLSGVTTLPELQDASNTIHPDLYTNSVYDLDMY >OGLUM09G03320.1 pep chromosome:ALNU02000000:9:4928705:4942063:1 gene:OGLUM09G03320 transcript:OGLUM09G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSDPVASMEKSSLAIGQLQISDSKGISDLEGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRSPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQALVSGFLPQDVIFLVSSLATITDCLCRDSTWRLPLLKLVMVLLEGYITRHRQWLEPLFIHYYSHLRPLLGLVKWLDHLPMVISSHNGLR >OGLUM09G03320.2 pep chromosome:ALNU02000000:9:4928705:4942063:1 gene:OGLUM09G03320 transcript:OGLUM09G03320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSDPVASMEKSSLAIGQLQISDSKGISDLEGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVQQVNDSSAGYYTQFYRSPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQALVSGFLPQDVIFLVSSLATITDCLCRDSTWRLPLLKLVMVLLEGYITRHRQWLEPLFIHYYSHLRPLLGLVKWLDHLPMVISSHNGLR >OGLUM09G03320.3 pep chromosome:ALNU02000000:9:4928705:4942709:1 gene:OGLUM09G03320 transcript:OGLUM09G03320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSDPVASMEKSSLAIGQLQISDSKGISDLEGNPEKLVNGLGPDVRLSLIQSAPSISGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRSPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQSEGSGVWIPAPGRDISGLQPSNYYGLPLQGQHLAFAPAQAGHGTFGGIYHPAQTMAGAAVHPLLQPPQAIAGVGEMVGPPANGYQQPQRAQMNWPNY >OGLUM09G03320.4 pep chromosome:ALNU02000000:9:4928705:4942063:1 gene:OGLUM09G03320 transcript:OGLUM09G03320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSDPVASMEKSSLAIGQLQISDSKGISDLEGNPEKLVNGLGPDVRLSLIQSAPSISGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVPQDSVETTTPYPPQQSHGDQIPSLETSESQARLVQQVNDSSAGYYTQFYRSPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQALVSGFLPQDVIFLVSSLATITDCLCRDSTWRLPLLKLVMVLLEGYITRHRQWLEPLFIHYYSHLRPLLGLVKWLDHLPMVISSHNGLR >OGLUM09G03320.5 pep chromosome:ALNU02000000:9:4928705:4942063:1 gene:OGLUM09G03320 transcript:OGLUM09G03320.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARVSIPAAVRRTIQNIKEIAGGHTDEEVYAVLRECNMDPNETTDRLLNQGTFHESAKESADARWRPGMQGRGGKGGWGNSSRQLSNSSDITGRNAPAEKEIGVNPNMDKCNSSVPVNPNTDTKTSTSISSLSVGQSNGSSDPVASMEKSSLAIGQLQISDSKGISDLEGNPEKLVNGLGPDVRLSLIQSAPSISGECIPALDPVLTPAPEVHGHGETVSTKHAYASQLAAGEKVVSNDVSTASQGTSRSSGSSSTVVPSGSRPSSSCSSRAQQLSSVQKVVPNKEWKPKSTNKPAHAENVICDKVPVSVETVPQSILVSDSIHKEDTTSGVETRPSDMRLSDKQHVIIPDHLQVAESEKYGLSFGSFGACFEQSASFSKDTESEKCSTPQCESSQEADEVLDEPAASHQGVSSTVEMAAESDLQQLPAETADNILPQKVDSSSSIPEVAESDQSNDTIASHVQQVNDSSAGYYTQFYRSPADFDGRISPFTASGAAIKYGNLSVMPTQTGHAQELPQGINSFVLPSVGSTPLATPTPGAVPNSVGIPQQPLQLFRQHLGVLPQYPPNYFPYSQYPLYVPPQPLHFMVPQPPSTGGMYPPVSAAVAPPGKYPTNTYKPGANNGTQTHVGNHGAYGTYDSSPSIYTNNTMVASGTSVESDDISGSQFKETNVYIAGQQALVSGFLPQDVIFLVSSLATITDCLCRDSTWRLPLLKLVMVLLEGYITRHRQWLEPLFIHYYSHLRPLLGLVKWLDHLPMVISSHNGLR >OGLUM09G03330.1 pep chromosome:ALNU02000000:9:4956954:4961566:1 gene:OGLUM09G03330 transcript:OGLUM09G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLSLNYADVMDFDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIATVLHRCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >OGLUM09G03330.2 pep chromosome:ALNU02000000:9:4956954:4961638:1 gene:OGLUM09G03330 transcript:OGLUM09G03330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLSLNYADVMDFDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIATVLHRCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESNLPVPEGCTDPVAKNFDPTDDGSCLYIF >OGLUM09G03330.3 pep chromosome:ALNU02000000:9:4956954:4961790:1 gene:OGLUM09G03330 transcript:OGLUM09G03330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLSLNYADVMDFDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIATVLHRCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >OGLUM09G03330.4 pep chromosome:ALNU02000000:9:4957391:4961790:1 gene:OGLUM09G03330 transcript:OGLUM09G03330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKAEGGKMVCVTGAGGFIGSWVVKELLLRGYAVRGTARDPSSQKNSHLQKLEGAKERLSLNYADVMDFDSLSVAFNGCEGVFHVASPVSVDPRLVPVAVEGTKNVINAAADMGVRRVVFTSTFGAVHMDPNRSHDTVVDESCWSNLEFCKQKDWYCYAKTVAEMVAAEQASKRGIQLVVVLPAMTLGQMLQSTINPSIRHIADFLNGSRKTHRNAVAGYVDARDVARAHALVYEDPKAHGRYLCIATVLHRCEDSKQMVQPFKFSNQRLRDLGLTFTPIKESLYNTLICLREKGHLPPYSSL >OGLUM09G03340.1 pep chromosome:ALNU02000000:9:4964662:4965324:-1 gene:OGLUM09G03340 transcript:OGLUM09G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCLTIGSIHDRLIIAADIAGASEDQMVMVNEHGNYVIQKMLETAAAEWVVDLIVIIVNHNFFRLIHYIHGRHVLACLKILLAVRCIQSLLHAERRRLLALLTPPLYYLG >OGLUM09G03350.1 pep chromosome:ALNU02000000:9:4965363:4969088:-1 gene:OGLUM09G03350 transcript:OGLUM09G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQMIIADPFGSRFIQHKLERATPTELLTRVLEWCDDLEILKELISEIVEGVLELAVDQFGNYVVQYVVEHGGESVRAMIVRD >OGLUM09G03360.1 pep chromosome:ALNU02000000:9:4971668:4971985:-1 gene:OGLUM09G03360 transcript:OGLUM09G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHAVRLPHCMPSWLRLAVAQPRLADARPCQAPSGGTTTVKPGKERNKNKNKEYEIKRRKSGEAVPVAVRPRLVPQETHRLPRRPTQGCPSPATTPSATHRHR >OGLUM09G03370.1 pep chromosome:ALNU02000000:9:4974279:4974534:1 gene:OGLUM09G03370 transcript:OGLUM09G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAHVNVPLHLESVDAITKKLTYLPSITLVTNVSLPLLTVWMSFLAHNLAETVTGVEATILRFLDLALIKSFRPW >OGLUM09G03380.1 pep chromosome:ALNU02000000:9:4976751:4977011:-1 gene:OGLUM09G03380 transcript:OGLUM09G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENATACGGAPQPQVSEERQKKMKHKAAQEIADGSSTSDILHAAADGVCFPRGSSTAPMLLAQPQHTPSVPENVDSWIPEVSLVLR >OGLUM09G03390.1 pep chromosome:ALNU02000000:9:4984597:4987382:-1 gene:OGLUM09G03390 transcript:OGLUM09G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPSWATFFAVMLATVVFVFLLQAVLLRRRGAYKLPPGPKPWAIIGNLNLIGALPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLKTNDAVFADRPRTAASKYTAYDCADIVWSPYGAYWRQARKMCVAELFSARRLESLEHIRHEEVRALLRDLHSAGVAGNTVQLGDHLSMATLGVISRMVLGKKYVEKQPAGAETASSPPTTPEEFKWMMDELFLMSGVLNIGDFIPWLDWLDLQGYVRRMKKVNRFMHRFLDSVLDEHDERRRLQGDGFVARDMVDVLLQLADDPNLDVQLTRNGVKGITQNLVTGGADTSVVTVEWAMSEVLKNPAILAKATKELENVVGSGRLVIESDIPHLPYVDAIMKETMRMHPVAPLLIPRMSREDATVAGYDVPAGTRVLINTWTISRDPSLWDSPEEFRPERFVGSGIDVKGRDFELLPFGYSLGLKVIQLALANLLHAFSWNLPDGIAAGELSMEDIFGLTMPRKIPLLAVVKPRLPDHLYAEP >OGLUM09G03400.1 pep chromosome:ALNU02000000:9:4988029:4988809:-1 gene:OGLUM09G03400 transcript:OGLUM09G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFNSGGGPTLWSRSGGVQATGGVEEAVTTASGCGGAAAGDGDSPRQWRGSDEKKKEQWVYERYEICNQQKVMVAGKLENGLSHLTFRRANFGSLERAVWEESGSYPLE >OGLUM09G03410.1 pep chromosome:ALNU02000000:9:4995496:4995982:1 gene:OGLUM09G03410 transcript:OGLUM09G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAEQQAMTAITGTREDHEGRAASDDSRDQHHLFNHRGEDGSSAWIRLMTVEARCGLLGASTRRSSHKEQVVQMALAMMPAREHARLDSASPELA >OGLUM09G03420.1 pep chromosome:ALNU02000000:9:4999119:4999442:-1 gene:OGLUM09G03420 transcript:OGLUM09G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGIDVKGWDFELLPFGSGRQMCPGYSLGLKVIQLTLANLLHAFSGSLPDGVAAGELSIEEIFGLTTPRKIPLLPIVKPRLPDNLYAEPYDAPCVACMHGCASVGA >OGLUM09G03430.1 pep chromosome:ALNU02000000:9:5010537:5011011:1 gene:OGLUM09G03430 transcript:OGLUM09G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGTAEKQATTATTGAREDQGGREASVDSGDHHHLSIYKLAQGAGGEDGSDAGQGARTPGFGLRGACMRRS >OGLUM09G03440.1 pep chromosome:ALNU02000000:9:5014076:5016728:-1 gene:OGLUM09G03440 transcript:OGLUM09G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPPSWATFFAVVLATGYLLRAVLLRRRSRAYKLPPGPKPWPIIGNLNLISSLPHRSIHEISKRHGPIVQLWFGSCPVVVGSSVEMAKLFLQTHDAVFADRPRTAAGKYTAYDCTDITWSPYGAYWRQARKMCAAELFSARRLESLEHIRHEEVRALLRDLHSAGAAGNAVHLRDHLSMAALGVISRMVLGKKYVEKQPAGGGAATTTPEEFKWMLEELFLMNGVLNIGDFIPWLDWLDLQGYIRRMKNVNRLIHRFLDRVLDEHDERRRLQGDGFVARDMVDILLQLADDPNLDVQLTRNGIKGITQDLVTGGADTSAVTVEWAMSEVLKNPAILAKATEELENVVGSGRLVIESDIPHLPYVDAIMEETMRMHPVAPLLVPQMSREDASVADYDVPAGTRVLVNTWTIGRDPSVWDSPEEFRPERFVGSGINVKGRDFELLPFGSGRRMCPGYNLGLKVIQLTLANLLHAFSWCLPDGVTAGELSMEEIFGLTMPRKIPLLAVVKPRLPDHLYAEP >OGLUM09G03450.1 pep chromosome:ALNU02000000:9:5060866:5066089:1 gene:OGLUM09G03450 transcript:OGLUM09G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEVGHRVCVTGAGGFVGSWVVKELLHRGYVVRGTARDPLPHLQTLEGAAERLSLCYANVMDYNSLLVAFDVCDGVFHVASPVSNDPQFVPVAVEGTKNVINAAADVGARRVVFTSSYGAVHMDPNRSLDTVMDESCWSNLDFCKRKGDWYSYGKMVAEITAVEQASKRGIYLLIVVPPVTTGQMLQPTTNLSSHHFIHYLNGTKKDFPNAVAAYVDVRDVARAHALVYENPEANGRYLCVSAVLHRSELLRLLRELFPQYPIPTKCDNKSRPLIKPYKFSNKRLRDLGLKFTPIKESLYNMILSLQEKGDLPTTVVPRASL >OGLUM09G03460.1 pep chromosome:ALNU02000000:9:5066725:5087193:-1 gene:OGLUM09G03460 transcript:OGLUM09G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSCLHLLITLAVAAAAATGVLQAGRAQPDSNGFISIDCGLSGTASYVDNATKLSYSPDAAFTDAGTNNNISPEYLLPSGSRVFDNVRSFPGAAAPRSCYTLRSLVPGLKYLVRASFKYGNYDGLRRLPVFDLYVGVNFWTTVNITDAAVAQGLEAIIVVPGDSLQVCLVNTGGGTPFISGLDFRPLKNSLYPQANETQGLDLVARMNFVCGSSRDLSGNQLSGSIPSELLKRAQDKSLQLRYENNPGLCINGTCPSPEGDPKLAIYISVPVVAVTVILVFVLFCLLIRKKKGSANNTVNPHNELITHSYGSDSYGHGSMQLENRRFTYKDLQKITNNFEQVLGKGGFGYVYYGILEEGSQVAVKLRSQSSNQGVKEFLGEAQILTRIHHKNLVSMIGYCMDGDYMALVYEYMSEGTLEEHIAGRDHNKRNLTWIERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNLKLEAKIADFGLSKAFNHDSDTHVSASILAGTPGYIDPEYHATMMPTAKSDVYGFGVVLLELVTGKNPILRTPEPISLIHWVQQRLQCGNIEGVVDTRMHGVYDINSVWKVAEIALKCTAQASTQRPTMTDVVVQLQECLDLQYGHASSVPELSIDHVSKTRTILEMDHLERMAARSCLHLLIILAAGVLQAARAQPDSNGFISIDCGLSGTAGYVDNATKLSYSPDAAFTDAGTNNNISVEYFSPANSRIFDNVRSFPSGAAPRSCYTLSSLVAGLKYLVRANFMYGNYDGLRRPPVFDLYAGVNFWRTVNITDAAASITAEAIIVVPEDSMQVCLLNTGAGTPFISGLDLRPLKNSLYPQANATQGLVMVDRVNYGPTDTFIRYPDDPRDRGWRPLIDTTRYMEVSTTKTVQNVAKDLFEAPSAVMQTAITPRNASDSIEVYWTADPSAASAGDPPPGYIAIMHFSELQLVQGNAVRAFNISLNDEWLDRMMPDYLYADADYNTVPFRGSNRYNLTFRATANSTLPPIINALEIFSVIPTTNVPTYAKDVSGITAIKKQYEVKQNWMGDPCVPKTLAWDWLTCSYAISSSPTITGVYENNPDLCINDTCPSPNGKPKLAIYISVPVVAVTVILVRKTKGSANNIVNPHSEPTSHSHGSDSYGHGSIQLENRRFTYKDLQMITNNFEQVLGKGGFGYVYYGILEEGTQVAVKLRSQSSNQGVKEFLREAQILTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLEEHIAGRDRNKRNLTWTERLRIALESAQGLEYLHKGCSPPLIHRDVKATNILLNMKLEAKIADFGLSKAFNHDSDTHVSTSILVGTPGYIDPEYHATMMPTTKSDVYGFGMVLLELVRKSPILRTPEPISLIHWAQQRLQCGNIDAVVDACMHGVYDVNSVWKVTEIALKCTAQASAHRPMMTDVVAKLQECLDLEHGHAGSVAELSIDHVSKTNTIFEMGHLEKIPLPTMSSSPSTR >OGLUM09G03470.1 pep chromosome:ALNU02000000:9:5093496:5095618:1 gene:OGLUM09G03470 transcript:OGLUM09G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 (NTF2) family protein [Source:Projected from Arabidopsis thaliana (AT1G71480) TAIR;Acc:AT1G71480] MALIHHHLAVPVAPAPPPRGHGPATAARRLTRHRPRCRSGAAAGARGWTMMAVTASSMVEPASGEETAARSAADVVRAFYDGVNRRDLAAVEPLIAEGCVYEDLVFPNPFVGRAEILGFFAGFMGSVSSDLRFVIDDISAGDDSRAVGVTWHLDWKGRPFPFSRGCSFYRLQLDEKQQQLQIVYGRDCVEPAVKPGESALLIIRAVTWIFERFPRLANML >OGLUM09G03480.1 pep chromosome:ALNU02000000:9:5096911:5102293:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRFAYGCKVMPSIIGVKNEKNKSKFDWHCHFSYANDSFCTSNYTLAKQFSIIKGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQVIADYHMRGRPRPGQLHLPLPPLYLVRYHCLEPSSASSSWALRHHSPLMLVTSALAPVPLPYACLGHFCPSPILSPPLPLVYIPLGLVSTPSRVMMLKTGVAFPDRSPPYPDLVANGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03480.2 pep chromosome:ALNU02000000:9:5096911:5102293:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRSHMRLFSKKTKDMWWFTEGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQVIADYHMRGRPRPGQLHLPLPPLYLVRYHCLEPSSASSSWALRHHSPLMLVTSALAPVPLPYACLGHFCPSPILSPPLPLVYIPLGLVSTPSRVMMLKTGVAFPDRSPPYPDLVANGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03480.3 pep chromosome:ALNU02000000:9:5096911:5102293:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRFAYGCKVMPSIIGVKNEKNKSKFDWHCHFSYANDSFCTSNYTLAKQFSIIKGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHEIVFGEACLEPLMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03480.4 pep chromosome:ALNU02000000:9:5096911:5102293:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLSRSVARLSRCSSTLQPNSPVSRCLCSASQPLSGEMLHSRRHLHHLSRSHMRLFSKKTKDMWWFTEGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03480.5 pep chromosome:ALNU02000000:9:5096911:5102069:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHETCLEPLMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03480.6 pep chromosome:ALNU02000000:9:5096911:5102069:-1 gene:OGLUM09G03480 transcript:OGLUM09G03480.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRFCGVSDNCPTVDVHPATILEGSSHRDGSIYKFCPGFIQVFQIADRHEIVFGEACLEPLMLSQPMDCQPNRDTCTVHFSTRMMQIFSIKLAKIHMYSGPVQIYGYIAVRDHLDSMLNYVVNRSRDGIIVKQGSCIEMVGPKRGISMSCSVVLEFDMRIKKGDREEDDLELIDGALEYSQITTPAMRPMNSRVSGNCGAVDITLAYLYQAVEATIEVSISKVRQEIQLFDGMVGEPCGLRRYVVAVASDTWMHLKFKVCEKGSKNDVGRYCSFKANRHGFASQQIVVEPGAITVKTVGDIL >OGLUM09G03490.1 pep chromosome:ALNU02000000:9:5110534:5111297:1 gene:OGLUM09G03490 transcript:OGLUM09G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPASTPSSPQIPPLSTPLPLTPSLPSSPHIPPAQRGGGGRRGRRRADAGVLAYMRPRRRR >OGLUM09G03500.1 pep chromosome:ALNU02000000:9:5111456:5125211:1 gene:OGLUM09G03500 transcript:OGLUM09G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on ester bonds [Source:Projected from Arabidopsis thaliana (AT3G27325) TAIR;Acc:AT3G27325] MAGFGGTCRVAAVLVFTAWIALTALTRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFLHVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSMVDPLNGQPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIIKNNPSIIVAFATIPLVCLVHPAIGLGVLLLSHAFHAHSTLCSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTTQFCNIQENTSYIMCNIR >OGLUM09G03500.2 pep chromosome:ALNU02000000:9:5111456:5124443:1 gene:OGLUM09G03500 transcript:OGLUM09G03500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydrolases, acting on ester bonds [Source:Projected from Arabidopsis thaliana (AT3G27325) TAIR;Acc:AT3G27325] MAGFGGTCRVAAVLVFTAWIALTALTRLLRPVPNGCVMTYMYPTYIPVSTPKNVSSDRYALFLYHEGWKQIDFHHHLSNLNGVPVLFIPGNGGSYKQVRSLAAESFRAYQNGPLEPTFYREASTAFSVNELEGFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLARSKGRAQSSDNLPSSVILVGHSMGGFVARAALVHPGLRKSAVETILTLSSPHQYPPIALQPSLGQFFLHVNEEWRNGYKTGLSRTSSAKLSNVVVVSVAGGIHDYQVRSKLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLVVQVAHTLLSMVDPLNGQPFLSSQKRLFVFAKMLQSAVPQSLSWVAPVSGVKPPNLIASGNKEASDLQQKDSLSCPPSLQWTSDGLEKDLHIQLNSVTVLAMDGKRRWLDIKKLGSNGKGHFVFVSNLSPCSGVRIHLWPEKDHSSEQNGVPASKKIVEVTSKMVQIPAGPAPKQVEPGSQTEQPPPTAFLLLSPEEMSGFRFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGTSALSAARIIGSSYIPEEIFLKEDHPLALNLSFSVSLGLLPVILSLRTAGCGVKATGDQLEAEKNKLCKLRCFPPVALAWDPVSGLHIIPNIYSETLVVDSSPALWDSHQGTERSTVLVLADPHCSYEVSLRVSLSAAASRFFLLYSSQILGFMIAVMFFGLMRQSSAWEHDSSVPSVLSAIESNLRLPRAFMFLCFIPVLLFLAFLVFTREQNPPLGTFLLVTMMCYIVANGFTILLILSSKLILYVAAILHVFIKRRWQSWEDGTQSMIVRHFLTLSLPFQSLKIIKNNPSIIVAFATIPLVCLVHPAIGLGVLLLSHAFHAHSTLCSITQKKDLYKSMGDNIILPENKQDGLEQLLPMDDSPTSVKSFTDCQLEVFDCRHGIMILHLLATLMFAPSLVAWLQRIGMGQNFPWFVDSVLCVGTILHGLFGSPPNVSCISFKLPGRRGRDVGLSFLYLVAGYYSFVSSMALAPYRALYALAIIGFICFASRIIETRSTEI >OGLUM09G03510.1 pep chromosome:ALNU02000000:9:5125502:5133979:-1 gene:OGLUM09G03510 transcript:OGLUM09G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G47800) TAIR;Acc:AT5G47800] MYESFLIKGYPLKDIKKFFTMKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDDDGVDGVDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSLLSTVRAARLLPPPLIGEALHVYACKHLPDPLNHAAAAATANGQSSELETAAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASYVGASPSTRAQLVRQAGAQLDEARAVDLLVPMPSSSDPPAYDVGAAEAVLEHFLALFQRPAPPDERRRMSAAMEKVARTFDEYLRAVALHADFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGGGGASGSNSAVAPDAIAMLAARKEKEDEPPAPAADHKSDVHRPRGDHGERARADGAAMTRSLSASTTKTAGAAAPRTAESRGSRMRNKGKVLDEAGDGLVGILLVAALFILLIADGAAALFLLYRPQAPAIAMMAVQLPSFASCNGTVSDFAVTSSAASSSPVQTITVPASAGPSPAAVGAVALQQEQPQQQQQQVAAPPVMEVDSPVTGDEEDGGGFV >OGLUM09G03510.2 pep chromosome:ALNU02000000:9:5125502:5133979:-1 gene:OGLUM09G03510 transcript:OGLUM09G03510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phototropic-responsive NPH3 family protein [Source:Projected from Arabidopsis thaliana (AT5G47800) TAIR;Acc:AT5G47800] MYESFLIKDIKKFFTMKYMKLGTKPDTFYTEEAVRSVLSDVPADLIIHVNNTKYQLHKFPLLLKCGLLQRLCSDDDGVDGVDAAPVPVALHDIPGGEEAFELCAKFCYGISINIGAGNFVAAALAARFLRMTEAVAKGNLVAKLDSFFDSCILQGWKDPIAALTAAWRISGWSESRIVQPCVDAIVEKILTPPSKVTWSYTYTRPGYAKKAHQSVPKDWWTEDVSELDIDVFRSLLSTVRAARLLPPPLIGEALHVYACKHLPDPLNHAAAAATANGQSSELETAAAKQRRVLETIVTMIPGDAGAVTGRFLLRLLRVASYVGASPSTRAQLVRQAGAQLDEARAVDLLVPMPSSSDPPAYDVGAAEAVLEHFLALFQRPAPPDERRRMSAAMEKVARTFDEYLRAVALHADFPVGKFVDLAECLPDIARNDHDGLYHAIDTYLKEHPELSKADKKRLCRMIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERAMGGGGASGSNSAVAPDAIAMLAARKEKEDEPPAPAADHKSDVHRPRGDHGERARADGAAMTRSLSASTTKTAGAAAPRTAESRGSRMRNKGKVLDEAGDGLVGILLVAALFILLIADGAAALFLLYRPQAPAIAMMAVQLPSFASCNGTVSDFAVTSSAASSSPVQTITVPASAGPSPAAVGAVALQQEQPQQQQQQVAAPPVMEVDSPVTGDEEDGGGFV >OGLUM09G03520.1 pep chromosome:ALNU02000000:9:5137930:5138937:-1 gene:OGLUM09G03520 transcript:OGLUM09G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAARWELASTASTEKNRMVFTDCSTTSAAADCPPHAAAHGEGGGRRGARTWGVATEKGEDGATPGRGGRQARYRATKGVGLGVGVGDKMAASARPMIKWPAGWSRWGRGEEEATPVADLVVEEVQIHPQPPVVSLN >OGLUM09G03530.1 pep chromosome:ALNU02000000:9:5177878:5181719:-1 gene:OGLUM09G03530 transcript:OGLUM09G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMGVFGCLYVLSLARKEVTGMALDLNSVTESRSTPCRRDRLWFASEESQHGPLIHIGNPIIVASYYDCIFGNATKVHQL >OGLUM09G03540.1 pep chromosome:ALNU02000000:9:5182056:5182445:-1 gene:OGLUM09G03540 transcript:OGLUM09G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMCLELDVYTVYIQYGRADRMHEAENLLHIMIENGVRPNNVRCTTLISIRCNEGGMVEARRLFQEMAGNGAKSSLVTYNVMIDGTSRRGAHARLKGSERRWRRSYAAVVHWQCVSGKMDVPLGLFEL >OGLUM09G03550.1 pep chromosome:ALNU02000000:9:5182609:5182944:-1 gene:OGLUM09G03550 transcript:OGLUM09G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRAYADSGAPTRSHGHGRGLGANHTPRLGGLDPHSLTSSPSDEQAISTPPPTSSNWLPLLVPAPSRFFSASIVVDGFCKSGHVTYALHLLDEMTSLMRCAITRCSIHTR >OGLUM09G03560.1 pep chromosome:ALNU02000000:9:5185168:5185803:-1 gene:OGLUM09G03560 transcript:OGLUM09G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSAPRYPDDGGGGGGGGICCVLCWCCCFLFLIVAALAGAAAYALFLYKPKAPSYSVSNMSVSQFDFNSNDLTLYTKLVATVRAENPNEMIGIIYGDGSRTVVSYRGTPLCSGHLPTFYQGFKNVTVMEISMEGRHGFGSGLQSALEESEKEGNVPLDVFVSVPVSLRFGSFDVREVRVNVHCALVVDSISPKKKPTIKSATYQGNVEF >OGLUM09G03570.1 pep chromosome:ALNU02000000:9:5200823:5230685:1 gene:OGLUM09G03570 transcript:OGLUM09G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G05970) TAIR;Acc:AT5G05970] MGFVDPAAPLLATCGGDTVKLFDVTVESGDPCVLAYTPAPVHPVNAVRWNHTNLIVASAGDDKKISLWHKKGQNVGQLPTSTVDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHILVTAGDDGSVHLWDTTARTPKVSWLKQHSAPISGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVTGLCWQRSKPVIVNENSSSEVALLGGSSEESVLMPDPLPSATSAFHSGGVIPNLRSSLAANPSGFLSTSTSSTVEETPYRTRPLSGGPLSKLQAPRSNFSLKDDMDVFSPLVDVQPFTPSSGSLWDDHGSDETKRDDKLGEKKLSTTRKFPFIEDNNEPHPISDWKSISNSRQDDASSATTTSMPSWKSELSITSPETATGNALSDRLTHRQQVSRFGASAFQTGSFAFAGLQDSAPTTGNSLKGSLTSNILMNLQNKGVLSNARPSLDISTSSLQSSLSSGLMAKTMPPVNSDQPGAAQSSSQWRPSTYTDRVSTSSVFSEGLASAFGSPKSKKTGAETKDELLSSLLSRQEAAAASSSANLVANNGVVPPQLPTSGLSADQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQESLDLILQAQPCSTGSLTLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVQVPGTRIPTRPAPQKASAEEDELAALQAEMAL >OGLUM09G03580.1 pep chromosome:ALNU02000000:9:5238448:5243530:1 gene:OGLUM09G03580 transcript:OGLUM09G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRDLPTPPPVARPATGDQPADSPIPSMAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSAWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKEESEKVMKLLIHGEETCTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQLLDMNENIPDQTDIMYRVSLVERLRNYLQDKKYLIFLDDMWSQDAWILLDRAFVKNKKGSRIVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQVVMHWAEKIVSKCEGLPLAIVAIGSLLSYKKIDEAEWKLFYGQLNWQLTNNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDHEIRRKQIIRLWIAEGFIEERGDITLEEVAEDYLKELVQHNTCVTKLSDEARRVSLVKGGKSMESGQGARKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHTEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVDVDCDPSNLHRHFPRFQATRICSEFYLLTDLQVLGDIKASKHVVTNLSRLTQLRCLGIRDVKQDHMEKLCVSIKSMPNLIRLGIVSHGEDEILDLQHLGHVPDLEWLHLRGKLHGAGATSNLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYLQKAYDGLLMTFQAGWFPNLRELGLSDMDQLRSIDIEAGTMPNLSILVLCALQNMISVPVGFKYLTSLQILRLWDMPKEFMERTHAEDHVYVKHIHQIRYHALRVKRWKFTSKISHLHSIL >OGLUM09G03580.2 pep chromosome:ALNU02000000:9:5238448:5243530:1 gene:OGLUM09G03580 transcript:OGLUM09G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRDLPTPPPVARPATGDQPADSPIPSMAEALVFIVLQKIGAALGREALNVVGTQLQKQPPTLVDVENNMRQLKIEFHVMKAFLTQQQIHFSQDRAYDAWLDEVKNVAHEAEDVIDEYVYLAGQTAKETSKLKKLFHCSKTTSAWHIIATQLSQIKSRLQNLTNMKARYGISANDSEDGSTSSHESLKELTSDSAYFDTEDDMVGNKEESEKVMKLLIHGEETCTVISICGMGGLGKTTLARAIYKKNEIRKNFDCFSWITISQNYKVEDLFRRILKQLLDMNENIPDQTDIMYRVSLVERLRNYLQDKKYLIFLDDMWSQDAWILLDRAFVKNKKGSRIVITTRNEDVASIANNGCSFKPKYLPWGDAWDLFCRKAFHRLDQNGCPQVVMHWAEKIVSKCEGLPLAIVAIGSLLSYKKIDEAEWKLFYGQLNWQLTNNQKLNYVTSILNLSFDYLPANLKNCFLYCSMFPEDHEIRRKQIIRLWIAEGFIEERGDITLEEVAEDYLKELVQRSLLQVAWTKEYERPKSFRMHDLVRDITVTKCKTEKFSLLADNTCVTKLSDEARRVSLVKGGKSMESGQGARKIRSFILFDEEVQFSWIQKATSNFRLLRVLSLRYAKIVKLPDAVTYLFNLHYLDLRHTEVQEIQQSIGKLRKLQTLDLRETFVEQLPEEIKFLTKLRFLSVDVDCDPSNLHRHFPRFQATRICSEFYLLTDLQVLGDIKASKHVVTNLSRLTQLRCLGIRDVKQDHMEKLCVSIKSMPNLIRLGIVSHGEDEILDLQHLGHVPDLEWLHLRGKLHGAGATSNLQNFSKLRYLSIGWSRLQVDPLPAISHLSNLAELYLQKAYDGLLMTFQAGWFPNLRELGLSDMDQLRSIDIEAGTMPNLSILVLCALQNMISVPVGFKYLTSLQILRLWDMPKEFMERTHAEDHVYVKHIHQIRYHALRVKRWKFTSKISHLHSIL >OGLUM09G03590.1 pep chromosome:ALNU02000000:9:5250821:5252827:-1 gene:OGLUM09G03590 transcript:OGLUM09G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPPFFLLLVSLGFNLIALCAGQNQFIYHGFTGKNLTIDGTTKITPEGLLELTSDKNDLNGHAFFPTPMHFRSSPNSTVQSFSVNFVFAIQSFYSDRSYDGMAFLIAPSNNLSTAWPDGYLGLFNISNRGNSSNRILAIELDTFQNNEFGDISNSHVGIDINDVRSVNSSFAGFYDDKNGIFTNLTLYNGRAMQVWMEYSEEATQITVTMAPIDTPKPKRPLLYATYDLSTMLTDPVYIGFSAATGVISTRHIVLGWSFGMGVPAPDIDITKLPKLPRVGTKPRSNVLEIVLPIASAMFIIIVGTMVILIVRRKLLYAELREDWEIDFGPQRFSYKDLFHATQGFKNKNMIGVGGFGKVYKGVLATSKLEIAVKKISHESRQGMKEFITEIVSIGRLRHRNLVPLLGYCRRKNELLLVYNYMPKGSLDKYLHDVDNRAILNWAQRFQIIKDVACGLFYLHERWEKVVIHRDIKASNILLDAEMNGRLGDFGLARLYDHGKASPLTDVFAFGAFLLETTCGQRPVKQDSQGNQLMLVDWVLEHWHDGSLTEAVDMRLQGDYNIDEACLVLKLALVCLHPFPASRPNMRQVMQYLDKDLPQPELAPTRLGFSKLPLMQNKGFNPSAMSYPELRTSIGTFSGLSGGR >OGLUM09G03600.1 pep chromosome:ALNU02000000:9:5255432:5257609:1 gene:OGLUM09G03600 transcript:OGLUM09G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDIALSLDVINSGVSLKGGNCPRSPPHFSITLFYFYKYCGQRPGFIVKAGMKLTLLLLQILFFGLDLVSCAYGEYVFIYSGFAHNNITLDGAAMVTANGLLDITNGSTRLNGHAFYPTPLLFRNFSSGLVQSFSTSFAFGVQSTYPSQGFTFFIAPSKNFSSALPVQFLGLLNSENNGDMKNQIFAVEFDSIKNIEFQDINNNHVGFDINSLISVDSYPAGFYDDKDGTFSNLTITSSEAMQVWVDYNGDIAQISVTMAPMGMAKPLKPLGSANRNLSSVLSEMAYVGFSSAAGRDNTRHYILGWSFGLNSAAPSIDITSLPKMPHFGPKARSKILEIILPIATAVSILSVGTIILLLVRRHLRYSEVREDWEVEFGPHRFSFRDLFHATEGFKDKNLLGIGGFGRVYRGVLPASKLDIAVKRMSHDSKQGMKEFVAEVVSIGRLQHHNIVQLLGYCRRKGELFLVYDYMPKGSLDKYLYGQEDKPILTWAQRFLIIKGITSGLVYLHDEWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDRGVYAQTTRVVGTIGYMAPELASSSKATPLTDVFSFGIFVLEVTCGKRPIKEDVNGNQIMLVDWVLENWQKGSLTDTVDTKLQGNYDVDEASVALKLGLLCSHPFADARPKMQQVMQYLEGEVPIPEDMPPHLSFEMLTLMQNEGFDSYVMSFPSSVTNHSSAASHGSLMSALSGGR >OGLUM09G03610.1 pep chromosome:ALNU02000000:9:5263855:5269987:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDIQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVTARLTNFFTQKIRQGWGWRVSLAVAAVPGGLLTLGALFLPETPNSLLQQGRDKRRVRVLLTRIRGVSDVEDELEDIVAANSDKGNSSRGLQMIVTQRQYRPQLVMAIMIPFFQQVTGINAISFYAPVLLRTIGMGESASLLSVVVTGFVGTSSTFVSLFLVDRFGRRTLFLVGGAQMLVSQLMIGGIMATQLGDHGQVSKTCALVLIFLIAVYVAGFAWSWGPLGWLVPSEVFPLEVRSAGQSITVAVNFLMTTAVAQLFLATLCRMRAGIFFFFAAWLVAMTAFVYLLLPETKGLPIEQVRRLWAQHWFWRRFVDTASNGEQAKLDC >OGLUM09G03610.2 pep chromosome:ALNU02000000:9:5263855:5271245:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDIQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQAVPLYLSEMAPPLHRGAFSNGFQLCVGIGAVTARLTNFFTQKIRQGWGWRVSLAVAAVPGGLLTLGALFLPETPNSLLQQGRDKRRVRVLLTRIRGVSDVEDELEDIVAANSDKGNSSRGLQMIVTQRQYRPQLVMAIMIPFFQQRPFRRGA >OGLUM09G03610.3 pep chromosome:ALNU02000000:9:5263855:5265421:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDIQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQIIGCAD >OGLUM09G03610.4 pep chromosome:ALNU02000000:9:5263855:5271245:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDIQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQRPFRRGA >OGLUM09G03610.5 pep chromosome:ALNU02000000:9:5263855:5267191:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTVPDIQDLPRRYGGRVTTFVVLSCITAGMGGVIFGYDIGVSGGVTSMDGFLSKFFPEVYRRMKGTSVSNYCKFDSELLTAFTSSLYIAGLLTTFLASSVTARCGRRPSMVIAGSAILAGSAIGGTAVNVSMVILGRVLLGVGLGFGNQVHIIS >OGLUM09G03610.6 pep chromosome:ALNU02000000:9:5267179:5271245:1 gene:OGLUM09G03610 transcript:OGLUM09G03610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICPDLLINKYSNKMFSLSSVPYKIRHLLLNALRCTIVFALLSFVTRTILHVHTGRAAVPVGNGSSAAPRSVQQRLPALRRHRRRHCPPHQLLHAEDQARLGMARLARRRRRPRRVAHPRRALPPGDAQQPTAARQRQAQSQGASHQDPRCQRRGGRAGGHCGRQ >OGLUM09G03620.1 pep chromosome:ALNU02000000:9:5292590:5294260:-1 gene:OGLUM09G03620 transcript:OGLUM09G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKPNSAQARGGNGGMSSYSTSWTDVVIDRIHGEAKSAYESIPPYFRRFDAFGNDEHPSIFKPRLKPQVNQLELFAITTTSPAHGPGQPPLDSAGGERGQLRRPPTPETDKDHIVVAAVGPYHHRTASAQQQPPPLITCAKKCGIVKYLTGSFNLDVVGFLQWVQRNEERARRCYERESFQMNSQEFAEMLLLDGCVLLFAIFLLRPSIREDKLPAELAADADHGREFRNLSAHISFHMKQTTLDLLVLHNQIPFFVLTELHSRLKNTFFAGVNYSLEELALSCFQDVHPFGLKEGDLSPTTQRDGGGNGGGSGTERFPQRVHHLLHLFHWSLVPGQKYGVDINSIPPREPESHLPSATELEESLTIFTKQKDAKGSRSSCCLDITFESSRMATRGVMRLPALHIHGYSEAVFRNLIAFEQNHLRCGHGVTTYAICMARLLQSDADARLLRNSGILPYTQRTDKEIVDFFRQLVDECRNTCMPDDLIALCKDVAAHHQSTGVRVMKGFVLQCFPKQTITFFVIFGAIISIATLIDTVHSMYRYYHPRGNLPPMGR >OGLUM09G03640.1 pep chromosome:ALNU02000000:9:5300112:5301513:-1 gene:OGLUM09G03640 transcript:OGLUM09G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPHGREKTAASPESIALRPPSPTAVTSAWRRWSRGKWGGGDGGVEAVTVGQRGGKGGGKEGQRAGNVGVKAVESGKVRGSNGGMEAVTVARRGGKGGERGGEPVTVAWRRCGWRASGKRGWGSGCSRGTMRKKSSLDILNSGVVVVVQLCRYDSIATMCTVRFFSRKIDFAITLQMLLAPIGVQCPVSSLGLAGDANVLHFGAWGCTADSRIRRVISSYLSGLSGFALALSRSTRSTGPTITVVITPPLPSCDAIKPDIGPN >OGLUM09G03650.1 pep chromosome:ALNU02000000:9:5313029:5316153:1 gene:OGLUM09G03650 transcript:OGLUM09G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERVKGTVKWFDATAAMEATAATAGEEAAVCATCVVRRATRPGTAPRTSTTAWEVYNGRWPHQTWPTSTAAAAAARSFPPDLHYVRVNEPRLSVVHGAGVVVTALPENTPSYNCSLQTQIDDHPQLLGQPNAREGERGITTKGAGLSAAYLAVLVYEGNMMTFRAGWFPKLEKLYLADMEHLSVIERESSTMPIINYVKLIGLKSMMTVPAGFQYLTSLEEVVVEDMPEEFKRRWQGQDHVYIQHIPTSQHIICTDATPVQK >OGLUM09G03660.1 pep chromosome:ALNU02000000:9:5330234:5335327:-1 gene:OGLUM09G03660 transcript:OGLUM09G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVVNNGCIIGSFRACRKNVQHTCSVVTATPDYDGYEWRKYGQKSISKTKHSRSYYKCTNQKEQGCMATKTMSANIESFCCIDHRMIIQEMKREHGLLIDLKNHIIPILRFNNVQADHIVHAFDDILCCSNGIISKIQAEVCDGGNSDPGIDKGNGRNALDNMKVFIEDGTVTKNKRRKNAQHTGSVVTATPDYDGYEWRKYGQKSISKTKHSRSYYRCTNQKGQGCMATKTVQQIENDNSSNSVVKLYNVDYFGKHTCKFGNDMVCPDIVETDSPKYSSINDKYASTRLTNHSDDHQPKNDMKPENLFAVPDMSLFSENMWDIIFEDVTMNSTFSLEQEAKDSWIKHQQESTIHLVQYRHSQHTRTHPYERMHANPTPMSIFEDCAGKYLRLTKSPQAPRYRRVRRLPLEAQRR >OGLUM09G03670.1 pep chromosome:ALNU02000000:9:5398381:5401119:1 gene:OGLUM09G03670 transcript:OGLUM09G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALVFVVLQKIGAILGGQVLNEIRSQFGKKSLIFEVENSVMELASEFRVMQAFINHVGMCSHQNAAYEAWLDEVKSVGFDAEDIIEEYAYLIAQTSNEGGLIKSVLHRSESISAWCHIATQLKQIEARLQKLTAMKDRYGILISEQKLGSNPSHDDLKLMSDSLYFYSQDDIVGNEEELAWVTQRLIQGRKSRTVISICGMGGGRVIITTRNEDVAILADEDHCIMLKTLQWKEAWNLFSRKAFPSRKENQCPESVVQWAEKIVDKCKGLPLAIVAIGSLLSHKKKEENEWKLFYNQLNWQLINNPELNFVIAVLNLSFEYLPSNLKYCFLYCGLFPEDYLIKRKQIIRFWIAEGFVEETGANITMEELAEEYLKELAQRSLLHVAERNVYGRAKSFQMHNLVRDMVVSKCKTYRFSDLVVDHCVTKHKYKTRRISVRSFILFDKKVPYSWLETASRDFRLLRVLSLRRASIHKVPDVVSNLFNLRYLDLAYTRVKVIPRSRCRLNKLQMLDLWFTGVVELPREIKLLTEIRYMVATVMSEDNHRIFNCFLPVRFPCEVLGYIEASKDMISNLRNLNQLRNLFMMKVEHNYLTELWASIKRMPNLVRLGIISCDSDEVFNMEHLDPLPELETFHLRAKLQDGVLPKMFHGLVKIRDLEMGWSGLQVDPVCTFSHMSNLTELRLYRVYEGVLLSFQAGLFPKLKKLSLADMENLTWIEMEDGTMQSLNFIALIGLRNLKVVPEGFQYLMSLQEMFLQDMPQEFIKRAQREDRVYIQHIPKIRKF >OGLUM09G03680.1 pep chromosome:ALNU02000000:9:5405431:5406522:-1 gene:OGLUM09G03680 transcript:OGLUM09G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sulfur E [Source:Projected from Arabidopsis thaliana (AT4G26500) TAIR;Acc:AT4G26500] MASAAATSSSASLRLLTKPPKPLLSKPHLLTLCAPVSFQRLVARSSASPTPSPSAAAAASGSGVDPAQLPPALRDIVALFQSVPDPRTRYKQLLAYAARLPPMDPALKTDANRVRGCVSQVWVHAAPEEGGAQGRVSFQADSDAQLTKGLAALLVLGLSGAPARDVAMVPVEFIELLGIRQSLSPSRNSGLLNMLSLMKRKALEVATGEVTTEEIGSQEVVQEVAERPAAKEKEPEFAAFGAREEEGSEVHSPEEEQLEEMPADVMEGNGGLGGGRQERIKESLERGLSPVELEIEDISHLHKGHAGVSGSNGETHFNVRVVSEAFQGKSLLKRHRAVYDLLQDELKNGLHALSIDAKTPSEV >OGLUM09G03690.1 pep chromosome:ALNU02000000:9:5406525:5406728:1 gene:OGLUM09G03690 transcript:OGLUM09G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVVSRVWRSGLGGGEGAAARRRGQREGERSGCGCWIAGEGGGGGSGDTEEAPRVVVAEEEGREG >OGLUM09G03700.1 pep chromosome:ALNU02000000:9:5417151:5418027:-1 gene:OGLUM09G03700 transcript:OGLUM09G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGASGYVDHTLIPPSKDLARIRPPIAASLPQRLHSCWSAVGAGDPGHAKQAWGWRSGLGKPRNYR >OGLUM09G03710.1 pep chromosome:ALNU02000000:9:5450212:5452528:-1 gene:OGLUM09G03710 transcript:OGLUM09G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKVEIKVPMTDERKKSKVMQIIAKHSGILSITADRDKDKVTIVGNENMDVTCLTMELRKQMRRTHIVIDTVTPVDEKKEKEEKEKKEKEEKEKKEKEEKEKKKEEEQNNPKIVCTPYYVHMVDEPSPSCCQM >OGLUM09G03720.1 pep chromosome:ALNU02000000:9:5467650:5469411:-1 gene:OGLUM09G03720 transcript:OGLUM09G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEAEECSAACGFSLTCQEDGADLGDGVVDDDDDGDVFLFYNAAAAADDEEEEEEEYVEQMVSKEASFCCSSSSSLFDAAAGDGYGDGDGDGDWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDRFCLRRRVDREAMPWAARLLSIACVSVAAKMEEYRSPALSEFDAGGGRVFCSDSIRRMELLVLSTLGWRMGAVTPFDFLPCFSSRLHRHHHGGAGAAGHGAAAAARVALNAVGFIFATAEAGSVLDYRPSTVAAAAILAASYGAPLTKEALESKMSNLSPSCLIDKENVHACYSMMVGDMNNNRRSSKRPLQCSDSNEITTTSTYDSVLVDDVTDTAAFAATAMNKRLRPEPPRIR >OGLUM09G03730.1 pep chromosome:ALNU02000000:9:5476052:5478384:-1 gene:OGLUM09G03730 transcript:OGLUM09G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G35910) TAIR;Acc:AT4G35910] MAAAAASSCGGAGCGPHCSSSASAAAVEDAAAAAAEKVGRLSLSRECGKCGGGAAAVAVAGGLGLCGECFRANLLGKFKLAVTSNAMVLPTDSVLLAFSGGPASRVALQFIHEMWCKAIESWDVSNSQALPVFGVGVAFVDESVLCSKPRDEIEMAIEDIRSIVSSLSTGVKAMHIARLEDVFSTESEDGERRLREAVDMIGDDTGREDFLRCLRMLSLQKIAMENGYAKIMLGSCASAIACHVLSATVKGQGYSLPADVQYVDTRWEIPVVLPLRDCLAQELTLLCELDSLKTQQHLDRPSNGINSLVASFIKRLREENPSREHTIVRTAQKLKPFSFNKFSADGYHDFLPSRLRPKFQKVDSDESTFSEILCLMCGSPFSESELQNLESTKHKAQKKIDLYTAHCCQSCYFQILPAGENLNEHFFSLLPKLWTGKMDTISDSHSLLRDQIEEYLLEENDDGN >OGLUM09G03740.1 pep chromosome:ALNU02000000:9:5479965:5481254:-1 gene:OGLUM09G03740 transcript:OGLUM09G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLIADMSWTVFDLPSHGDESEMMAQLFSAFPIHGEEEGHEQLPWFDQSSNPCYYSCNASSTAYSNSNASSIPAPSEYEGYCFSDSNEALGVSSSIAPHDLSMVQVQGATEFLNVTPNHSLDSFGNGELGHEDLDSVSGTNKRKHSAEGEFDGQTRGSKCTRKAEPKRAKKAKQTVEKDASVAIPNGSCSISDNDSSSSQEVADAGATSKGKSRAGRGAATDPQSLYARKRRERINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDEMWMYAPIVYNGMNIGLDLNIDT >OGLUM09G03750.1 pep chromosome:ALNU02000000:9:5484850:5487303:-1 gene:OGLUM09G03750 transcript:OGLUM09G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPPPPSSSLLRRLPTTRCCCFASASSGSGSASASVRLGSLGGKQRRGHRGVAVMAAAAAGGGGFEARVARIASTIRVIPDFPKPGIMFQDITTMLLKPDAFRDTIELFVERYKDKGITVIAGVEARGFIFGPPIALALGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEPNDRAVVVDDLIATGGTLSAAVKLIERAGAEVVECACVIELPELKGRDKLGNKPVFVLVKAD >OGLUM09G03760.1 pep chromosome:ALNU02000000:9:5490189:5492046:1 gene:OGLUM09G03760 transcript:OGLUM09G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEENIGFLSSGDVAGEFMVADIRNYSNSLELCIFNHHATSPSPSPSPSPSPEQWRIQRVPKIGDDDDDELPKWVNDLVLPLHGHYLCCVDFYNGILLIDADNLQQFSYIPLPEEAMNGCRVDDDEEGPDPARRVSVTGAGPVTLVCIDKIATRGKITRDFTIKSWVLTNIHHHHSKSRRRWRRNFAMGSDEFRRICAENHRRLPQAAEPAFPVVSLADPHAVSFLLKDDGRDLYWIVEIDMVKKAMRSPVALYIHEEEEGEGEGEEKECGGGSCMLWNSFCGHYFIPSWFPSYLREDPIQSSTN >OGLUM09G03770.1 pep chromosome:ALNU02000000:9:5496020:5498471:-1 gene:OGLUM09G03770 transcript:OGLUM09G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHGWMILDRIVHCWSDDGDGVVGGDDDPTASEVAYTCSGHPIRVSLRVADPPAVSRLYVHRGPGWPRVYDMGDAEAIAAHRGSILLSARVPFADLGTVTPGQFPVDYFVYTAGEGLRRPSLTRLPPCFIGGFSSPEQRVMVEQNVGFFCHGGDNNAAGDFTVADINMHKGGRAVELCVLNHYHHAPDCPQQQPQWKVDILEMQQQPNQNENHHLRGWWTDAVLPLHDSYLACVDCYHGIILIDVKNQLYFNYIPLPVEAMNGRRVDKYSPDPARSASINSAGNITVVCIADDNAAAGGRNNNSSAGSAFIESWSLVDIHKSRWVLDFTMEAGKFWDICSAANQPLLPHAPPAFPLVSMANPFAISFLLYDKANNFLLEDKGNGLYWMIEVDMRNQALLSPATLYISEEEEELFINGKEEEQERCCDERYPPMKYFHGHNFIPSWLPSYLKGGDTTSWELSKMKGKQQMAMESSGMDAHLSRPLAGLKIA >OGLUM09G03780.1 pep chromosome:ALNU02000000:9:5498934:5513195:-1 gene:OGLUM09G03780 transcript:OGLUM09G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phragmoplast orienting kinesin 2 [Source:Projected from Arabidopsis thaliana (AT3G19050) TAIR;Acc:AT3G19050] MVRDLAAVRRTPARASSSSSASEAGNDENAPVDALDAAGVDPEASAAAAARPPLLAIQPPQSGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEQPPPRVGGTPYSAVSTPGRHRGKSSAAAASEGGGGSTQSTPTKSVTKPAYSIGMSASRPPMSGGQRGAGLGLGFSMAARGTPMSFAPVTVVNTAEVPHFELREDPSFWMENNVQVVIRVRPLNNTERNLHNYNRCLKQESAQSITWIGQPESRFTFDHVACEAVNQEVLFRVAGLPMVENCMAGYNSCIFAYGQTGSGKTYTMLGEISELEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYNCKCSFLEIYNEQITDLLDPSSTNLPLREDIRNGVYVENLTELEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMSLVDQAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSASETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQRVPRSLSFTSDIFERSGVDVDDGTENMNMDEENDNDAHDRRSLQDLRISNKQLRLLEETLAGAFRRESVAEATVKQLEAEIEQLNRMVNFWWQETTFVIFLEGIINGLLVYERENDTRSAKMTLKFREDKIHQMEALVRDKLPAESYLLEENNTLLKEIDLLRAKIDKNPEVTRFALENIRLSNKLKSYNQFCNEGEREHLLNEVSILRNQVLQILERRAEAEQPNNFPTNFEFKRTSQELETCRGELQACLEANKKLTREIADLQNELSNIHSSNREGHPNVVEKFSSALNQYDSHAPEKKDQCFQEGFMINTDDILNLQLELDIIKTILAEERTTRAEVEKRITCLDDELKAANIHILQTCRQSETMQRELSDARSVIEALESQQIMLINELDELKESNQQSLEHLKKRDLEISRLNNELDVHRRQEFLAMEEPKVQLLKCFENDDSPLQTKLKRMQASLEKARKLNTRYQRDQASHSSAQQEMDEVSRQVEVETAEVIMCLQEELISLQQQLDASSKNELLANQRIDEARLEREQLNDRLLEVMKENECFSALIEEKDKKIGMLTNDWDKLASDIGNFLLDGNAALDEASDQVAFISESISQRKWIEDQVQKMCRGISQRDELLKELQSRLKEADDIRCDLDLKLRSLRGAMQAINDTHQQEKNDQENAMSVLRSQESNERYVNQQQLQELQRIQLLLDESIESFVQKEVIEQSYISLQRAMEEVIHHLESQLDQSKRDLTQLLSETQDKEQAFERLKNEENGVLLTVLSDVLKAKGVIHEFETGFNAIQSSFSVDPEEVVCQNSDLNLEDRVCCDPTGAFEAGEKHNGDVLCKLSKEMECVVYTMQMLQSQMVKLLQEKENAKEYHFQSQRTIKDVSAKVLQLKSEIIDKEKGYEARLKELEIKMQEKEKDTAESFISWNKEREALELEVSEAKSLAIQKSFEASTLISKFEEAQATISDADTTINALVEANEKAKLQIQNFKENEALFLSEKERLLTEISSLKMLLDVKDQSYENLLLEKLEFVSSDVEWMKSKLQQFAELARTWLEENWLEIIGKDCAVSVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDRAKNELEMCSVLKGKLLLDINHNFSRIAKKEQEATELNSRLDAFEKKILHLQAQEEAMLARSNSMYNELSILVEEIDATNKSALATESKEKEELRHQLDEALLCNAMLKDIIQEDVDLPQVNNYMKGCSEFELCNRLADYHNELVTTNIIAKDIESFVLSSELVQHKAQLQKQELMFIDALDGLTTEATLSRVDKDLGSAVIFSLLDDSNKIMIDFDNLKQNKDELMENLHVLSEENLNLRSVVCSLESSIESLQTELDGKTKALIELQYSHTTILEEFKLKSKATELGVSRENDLRSENNLLKHEYLDIVRKEQMMAELVANFDSEKLFVTIQGRLEQVADQVQMYTSNQLNMVTKVSNEIDFIQMSIEGLITHNGFLQSELIRKDELAKGLSFDLSLLQESASVAKDQADELIQLTEAIESLEQELDSKSNELVDAVSGRQLLEAQILKSNQKVSALEEQLASKINELKEVSVEKDELTSKLNHIEGISYTMEDELADKGKAIERLEEELIELRSLLDARTCFLQNLQNDFSKLLDEKKYCETQVLILNEKLEMAQALAEESEAIATEAKQMAEERKTHAEEKDEEVKLLERSIEELETTVCALENKVDIIKEEAERQRMHREEIELELQKVRQQMLAVPSSGQATSSLEGGMGDFTDSSRHSREIKNELLAAQENIRILQKDVAEKETEIAQCKAHISELNIHAEAAAREYKQKFMELEAMAQQVKSDNTSANACSTRPEKISLKPRGSGSPFKCMGLGFVQQMNSEKDEELSAAKQRIMELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMATWAALVDNQQQMDTQESAVTQAHESKEQSDELMKLRSQLDELIEERQSWLDEINQRQSELGAARITIEKLRQKEHFMVAEIELLKAENANGKAIIFNLEDEVKKLTRQQNLQLRINHHNEELSAKLQKLGAVVARTKEELARYRVSDGKDPYEQMEEEELLRNRLEESEQDRSKLAENLSSLCATVLKVAGVRNHESDASLLKALEALNQIQLRIASMEAEVEDLKLKCKLLREKARLSELRSESSSLTSGRSRSPSIKLKRMQSSLEKARDYDLNTRPQRDQASEKWMKSDKDELRSKRSHIKGIRYSMKDELADKCKAIEKLDDELIEFRNLLGTD >OGLUM09G03790.1 pep chromosome:ALNU02000000:9:5564740:5567466:1 gene:OGLUM09G03790 transcript:OGLUM09G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPLSHCAAVLLAKNHRRRGGGGGSNGHRGDDPASFLRQLRDALDAASEDGSLCPPPDAAGAGADADADAAVSRSRSLARLRAQRDFLRATALAAAGGPFRSPSDIPLLPAAIAGFLAMYPDYATTSDVDRLRVEHYSHLDAAAPGGGAGGRVCLDYCGFGLFDSGWDSSSSSFTLSELNANLSNHALYGGAEAGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFETNRRLLTMFDHESQSVSWMAQSARAKGAKTRTAWFRWPTLKLCSTELRKEIVGKRRGRRRDSAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAMDGLEDDSGVHKDEKPASDARNGSQLPAFSGVYTSAQVREAFESDPGRDSSSDRDGASTIFEETESISVGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKGGHFKKGKLGSPLPSSWFAGRKSNKRMSPNLTSRISRSPLYDGQVISFDAAVLSVSHDADCLKEDPEEEIYENGRRNHFRQVSEIQEEPEVEEVVCQHAMNGGGDHKESAIRRETEGEFRLLGGRDGNSRFAGGRLFGVEEIDAGLSMGRRVSFSTEANIIADRLHRASDAAEASGYAFRDDDGCVSDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLRLPGSKGGDGDPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISLGIGFLSHIKVDLNHKQLNGAFDIPEASFYKNGRRDSKKVTIRVEVVTASLGFLTNFEDVYNMWAFVAKFLDPSFLESERLTIAADHSEGQT >OGLUM09G03800.1 pep chromosome:ALNU02000000:9:5575748:5588250:1 gene:OGLUM09G03800 transcript:OGLUM09G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNTPCGHEGSMSYHDNHLQSPSESSSLFNISLPPHSPFQELSGVDSTSLLVSDPPNMQQFCLRCSWTNPKRLAKPSLAIASLSHQHLAFDKTRCMFILKIENDTLKTILKMFAKNVQGLISGVAKLEVPDGKTYDVEISKEHNELVFRSGWEVFAIAYELEQGDILDFGYSGNSHFKVQIFNPRASLMITIDNHPEGKVWMNKPSTTCMDCITNHYWLHMDDRERYFFKVMMSVSDVKDELAIPKKFAANVRGKIPEQVRLEVSDGKMYNVQVTEEQDELVLRSGWANFSSTYQLKHGDLLVFIHSGHSHFKVLIFDPSCTEKEFSCVVTDNTSHVHERSISHDNHLQSPRSEILGKNYSLCSSRKRSRMNPADYPSQRPDVPSSEDIKDPMSSGGLQKSKKSCYVLPMLYNMTSAQEAEVLALEKKIQPQIPLYITAMDKTSVASGSLVFCKDYAVRYLLDQNRTIKLCQSGGSKTWDISLDMDTDDLYALSTGWLDFFRGNLLQEGDICVFEASKSKRGVALTFHPFKESHCPKSSEYTLSTKSPTRRVPKRDYFATNLTNLTDQLERKVKNKIKFIQSDIPIFLSVMRSSNCTRQSSLCFSVKYASKYLPHKDQNMRLRLPETKYKCKAALHIDTSTNLHKLLKGWGKFVNDNKLEIHDICLFQLMKNKKKLTVTVHIIRKGEMEKSHRVCKNCVANHYWLHMDNHGKSFIKVMITDFKNGVTIPAKFARNFGGQMSGTVKLETRNGKTYEVQVAKELNNLVLRSGWERFASAYELEKGDILVFIYSGNSHFKVWIYDPSACEKELPCIITEQLPRVQQRSISHNNHTQLKRNAKSAKLYVDSSGHSKETSEINPANSPSWKPTERVPSSEELDEPVDLANVQKATKSFYSLPRMCNMTSAQKAEVDALEKRIKPQIPLYITVMDKASATDGLLAISKDYAVSYLLDKNETIKLCHSGRSMTWDISLDIDTDDQYALSTGWLDFIRNNHLQEGDICVFEASKNKRGVALIFHPLKQSHHPKPPGCVPSTKFPRHGVSKPNYIVSRFTTLSGQLKIKVEAKVQAMQSEIPIFVAVMRESFIRGRSRYMCFSAKYAAKYLPREKNKIMRLRLPNKSYRYKAVFKINNKVHKLGGGWGKFVDDNKIKLGDICLFQLMKNKKKLMMMVMGEKGCESCRKWQEHYYREHMDVSRIRFFRLMTGDFAHGISIPEKVAEIFSGQITKGFNLKSPSGETWRVGVAKVADELILKSGWEDFAKAHELQENDLLFFTCNGHGNGSCSFDVLIFDASGCEKVSCFFTGKKNSYMCKNFNSIGGQVAGQYLSSDSEDTSTPSVLIGSPHKASTSKKLSGKTKTNPRKEPEDPNCSHWHVIEEKNTDDDEHADYHYTRFANYLTGEERDEIFSLVSLQPGNPVFVVVLQTAHVRRRNILIVPTRFAADHLERKSHDILLIRPNRKQKWSVKYYYLSNTTRGFNCHRWIKFIRENRLREGNVCIFELMKGARRPIMTVHVIGKADNRFVLLG >OGLUM09G03810.1 pep chromosome:ALNU02000000:9:5615960:5622256:-1 gene:OGLUM09G03810 transcript:OGLUM09G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSLHISPPSPAPATEPRLELGLADDTAVAAAKTTTDDERRRRPHQPIQTAHGFKKSSGSGGGGKRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTDRSCVAGHGQASRKMGFLMRRGLVAEVDSFDGFNNNNMVNTTSNNTTSRKSQSPGEQQLQDLQSGWPPHYLASSESDHLLMEQRLMQEIWKGAAAAAAHDHHDQEAATAAALHHDQHVRRLDHIVAGRPPPPPASSSSGGGGDTMASSLDWLLASRRQEQHKYGDRHYDDHDGGYYTAAHRGQAAAAMSAAAAAASGVVKDRRPSLEMSLGRQGWEISMEQRSSVESSSKELTLLKCL >OGLUM09G03820.1 pep chromosome:ALNU02000000:9:5639399:5641660:1 gene:OGLUM09G03820 transcript:OGLUM09G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >OGLUM09G03820.2 pep chromosome:ALNU02000000:9:5639399:5641660:1 gene:OGLUM09G03820 transcript:OGLUM09G03820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGISFRRTCQDR >OGLUM09G03820.3 pep chromosome:ALNU02000000:9:5639399:5641660:1 gene:OGLUM09G03820 transcript:OGLUM09G03820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGESGEDG >OGLUM09G03820.4 pep chromosome:ALNU02000000:9:5639399:5641660:1 gene:OGLUM09G03820 transcript:OGLUM09G03820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGAASRTVLAAVRRPGPSPSAAARALRAPPPVAAPRPRRVPSPFASSSPTAARPLAAMMGSPLTTAALLARLTAHPAASARACCELSQGISFRRTCQDR >OGLUM09G03830.1 pep chromosome:ALNU02000000:9:5642275:5644263:-1 gene:OGLUM09G03830 transcript:OGLUM09G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGCESCREWQEHCYREHMDVSRIRFFRLMTGDFAHGISIPEKVADRFSGQITKGFNLKAPSGETWRVSVKKVADELILMSGWEDFAKAHELQENDLLFFTCNGRCNGSFSFDVLIFDASGCEKVSCFFIGKKNSIGGQTQNAEQYHLSDSEDTSTPSTFLVGSPHKASTSKKLNGKTKTNPNKDDPFSTGKEPEDPNSSRSHVKHEMIEEEKSDDDDEHADYEHADYYYSRFANYLTGEEREEIFSLVSLQPGNPVFVTVLQAPQIHRKGLLIVPSGFAADHLDSRSQEILLMRPNKKEKWYVKYYHASTTRGFNCQRWIKFIRENRLREGYICIFELMKGARRVTMTVHVIGKVDDRFVLLG >OGLUM09G03840.1 pep chromosome:ALNU02000000:9:5645362:5646768:1 gene:OGLUM09G03840 transcript:OGLUM09G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGDDSNHGVDDARIARIAAAITVFPGFPKPGISFQDVTGIFHKPEVFRDAIGLFVERGFFFAPTIALEVGAKFVPLRKPRKLPGEVISEEYSLEYGTDKIEMQIGAVEPNDRAIVVDDLIATGGTLCAAVNLLERAGAEVVECACVVELQELKGREKLGKIPVFVLVETN >OGLUM09G03850.1 pep chromosome:ALNU02000000:9:5647657:5648936:1 gene:OGLUM09G03850 transcript:OGLUM09G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKQVKVTAAAAEAATSSWSELPADLIGQVLLRLPSLADRVRLRAACRPWRTDAKRQAALPPPLPWFALRDGGLVDHHGAPVRRCAPILREGVTDYLAVDNLAFLAHNRAACCSLVILSSPPLDSSPDPLVAALIFEGYYVAISACKRQDVAGFGSVSDWTRKEIHRIPGEDYRMRLADIAFLNGRLYALTVKEGLYVFEPNSGDLDDPMNAPSGFRHCIIDNPEQQEVYTKTDLRYVVARYLAECDGRLFMVRRWMRVPLNVRLGDMDETFSFEVFEADLTTTPCQWRKVDRLGGHAIFLGSECTKVVRASKCVGGVQEDCIYFMHRTFDNPSREYFGPCVDPLGDSGVYNMTNRRITPLLPEAVMEKLCLKRQFLTWFFPADV >OGLUM09G03860.1 pep chromosome:ALNU02000000:9:5687558:5692176:1 gene:OGLUM09G03860 transcript:OGLUM09G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGGSRGSWLPGSVASTALKDVSSSTHRVKTATEKAKLDEELGGGSELSLGRPSNDDDLGGDADWALSLASDQQHSKA >OGLUM09G03870.1 pep chromosome:ALNU02000000:9:5719128:5719711:-1 gene:OGLUM09G03870 transcript:OGLUM09G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSFPAATVVVPHSAGASPRAVPDGAWVVCPSAEPAAVHARWSTTLLPAGAEVDHNPFAPYASALFDLWTPDSCSTPQLQCSMDTKFMWKNMYMRYSSNNFIFK >OGLUM09G03880.1 pep chromosome:ALNU02000000:9:5723143:5725076:-1 gene:OGLUM09G03880 transcript:OGLUM09G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGEGTFELKPYVHVWQILEEFCFEQKVEIKVPMVDEKKKSKVMQIISKQCGILSITADMEKGKVTVVGNDRMDVTDLTTVLRKKMPHTYVIIDTVTQVDEKKEKEEKDRKKMEEECKNLWPNIIYPPYQYPPYMVESSGVQEDVSYK >OGLUM09G03890.1 pep chromosome:ALNU02000000:9:5762807:5764040:-1 gene:OGLUM09G03890 transcript:OGLUM09G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKIVVKMPMDTERKKRKAFKAAVGMTGVTSAMLDGDKLIVIGDGVDPIALTTMLRRSLGHAELLSVSSGDDKKMGGGGGHGGMGMGMGMGFGGGHGGMGFGGGHGGKEGKEGGGKVVVDGVHHHHQQQLQQQHAMAPPMQPYPAAPAYYNAAAPSYPVYPSYAGYPQQEQDPGCSIIIRPRFVNGLRWIMQGQAKMIQELSEKC >OGLUM09G03890.2 pep chromosome:ALNU02000000:9:5763238:5763927:-1 gene:OGLUM09G03890 transcript:OGLUM09G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDTERKKRKAFKAAVGMTGVTSAMLDGDKLIVIGDGVDPIALTTMLRRSLGHAELLSVSSGDDKKMGGGGGHGGMGMGMGMGFGGGHGGMGFGGGHGGKEGKEGGGKVVVDGVHHHHQQQLQQQHAMAPPMQPYPAAPAYYNAAAPSYPVYPSYAGYPQQEQDPGCSIM >OGLUM09G03900.1 pep chromosome:ALNU02000000:9:5768448:5769248:1 gene:OGLUM09G03900 transcript:OGLUM09G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAWWAGVLRRPSLGGSVTYGAWGWPAMMTPEVEACNRDNVWAMLACGVKRKMASGRLRYSSVDVLTESLLLKLHSDSLGEALAWWRVVLTMEKDLSSLSPFVPPTILRQRLGAYAQLFLVGVGGAPIALSLVQCSRSCLAVSGLVLVPSSIVWDSDISVCGDDAFFSFF >OGLUM09G03910.1 pep chromosome:ALNU02000000:9:5782191:5783183:-1 gene:OGLUM09G03910 transcript:OGLUM09G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLGHSNLLEESKESVKHTKGKQEDSFGETAKNDHVDHSDLESSNLPDPGKKNVPKDLEALAGAKKDVPEEVEFIEMNSNDLDNKMRRNIGKRNRQDDNGSKTKKSSNRNVQGHVY >OGLUM09G03920.1 pep chromosome:ALNU02000000:9:5790224:5793599:1 gene:OGLUM09G03920 transcript:OGLUM09G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFAAALLALLLAAMAAPPAEAAVGVNWGTLSSHRVPPPVVVDLLRANRIGKVKLFDADPAVLRALAGSGLQVMVGVTNAELAAVAGSPAAADAWVAQNVSRYVGRGGVDIRYIAVGNEPFLTSYQGQFQSYVIPAMTNIQQSLVKANLASYVKLVVPCNADAYQSASLPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQSSDFPQDYAFFEGSTHPVVDGPNTYYNAFDGNFDTLVAALGKIGYGQLPIAIGEVGWPTEGAPSANLTAARAFNQGLMNRVMNNKGTPLRPGVPPADVYLFSLFDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNPVLKNAREVPYLPSRWCIANPAQNLDNVANHLKLACSMADCTTLDYGGSCYGIGEKANVSYAFNSYYQQQKQDAKSCDFDGNGMITYLDPSMGECRFLVGIDDSKSSAVSSCGCGCGRCGGGGDEECKQHEPGHKGKNSVPPHVSFLFSPLLMLQNAELSGDLYANMAVICGVYIL >OGLUM09G03930.1 pep chromosome:ALNU02000000:9:5793068:5793525:-1 gene:OGLUM09G03930 transcript:OGLUM09G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTVLALVSWLMLLALFITTATASLPCRCCWLVQHPKVTCGHACCGENCCPPTPPPSSH >OGLUM09G03930.2 pep chromosome:ALNU02000000:9:5793068:5793495:-1 gene:OGLUM09G03930 transcript:OGLUM09G03930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNTVLALVSWLMLLALFITTATASLPCRCCWLVQHPKVTCGHACCGENCCPPTPPPSSH >OGLUM09G03940.1 pep chromosome:ALNU02000000:9:5795406:5800992:-1 gene:OGLUM09G03940 transcript:OGLUM09G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPAPKPLCSLRDGDADEAYASSPPPPPPPPPSVRPSGRPAGNGHPYPTMGISKTEVNLLRLLDSAPRQQNQAKLIHYVTTSRELLEKLAAENTSEGISRLNEYSDRIEELAARLASLVPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVENVHSGH >OGLUM09G03940.2 pep chromosome:ALNU02000000:9:5795406:5800423:-1 gene:OGLUM09G03940 transcript:OGLUM09G03940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLLRLLDSAPRQQNQAKLIHYVTTSRELLEKLAAENTSEGISRLNEYSDRIEELAARLASLVPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVENVHSGH >OGLUM09G03940.3 pep chromosome:ALNU02000000:9:5795406:5800992:-1 gene:OGLUM09G03940 transcript:OGLUM09G03940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGPAPKPLCSLRDGDADEAYASSPPPPPPPPPSVRPSGRPAGNGHPYPTMGISKTEVNLLRLLDSAPRQQNQAKLIHGTVGEAGSGKYVRRDIKPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVENVHSGH >OGLUM09G03940.4 pep chromosome:ALNU02000000:9:5795406:5800423:-1 gene:OGLUM09G03940 transcript:OGLUM09G03940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKTEVNLLRLLDSAPRQQNQAKLIHGTVGEAGSGKYVRRDIKPGYENAVEAIRKEESYLEGEQIRSPIALSPGLRRRLTALQEIEQPTNAKERNVAEPLRLDEAAQANIEKYRNLQEDLTDEIVELARQLKDSSLMMNQSVQATEKILDSTERAVAYSLAGTDRANARAVENVHSGH >OGLUM09G03950.1 pep chromosome:ALNU02000000:9:5804764:5805744:1 gene:OGLUM09G03950 transcript:OGLUM09G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRNTILALFIWAMAMVIFAAAMPAKARMEGIHPQGCRCCYFRLRPMIQCAKACCGSDDENCCLVGK >OGLUM09G03960.1 pep chromosome:ALNU02000000:9:5809653:5810024:-1 gene:OGLUM09G03960 transcript:OGLUM09G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSDHQAQAGDNGIPIPNVADNVIAKRYCMKHAALSSGTGDMKAMHKDELKKFDRVFIKVDNDTLRRLISAANVMGVKGLIDLACQRVADMLKGKRLKQMRQTSGIDNHVREGGEDPQVGGE >OGLUM09G03970.1 pep chromosome:ALNU02000000:9:5810040:5822458:-1 gene:OGLUM09G03970 transcript:OGLUM09G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKEGSDSKILLISSDGQHFQVTEAEASMSKLVSNMIEDDCTENGVPLPNVASNVLAKVLEYCKKHAAAADEDVAVKDQELKSFDASFIDVDNTMLFNLILAANYLNVPSLLDLACQHTADLIKGKTVQEIRDTRRGINLSSPSISASSVFNRSMAAPAAPQETNAAAGEMVTLISSDGARFEVPEAAARLSQTVLDEMKKDDYNAINGIPLPNVAGDVLAKVIEYCTKHAAAAAATINAETPAKTSKEEEEEVMMKSFDDEFILVDNHMLYGLLTAADAMRIQGLMDLACQRLADMLKGKTSEQMRQTLGITNDFTPEEEEDRGGGTQPLPLCRGGEEQPPPCQGGGAAAARLRLAKSMATATDGGKMVELISSDRERFEVEEAVARLS >OGLUM09G03980.1 pep chromosome:ALNU02000000:9:5835971:5837376:1 gene:OGLUM09G03980 transcript:OGLUM09G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNHSFHPHSIFSGFTAASIEEVTTLRDGLTAVTKTLIRCSMVGGAPGDRQHRGAAASSAADFVSPPFFLHFDNSREDHCSTALTPRRPPSWPHALHCPAREVHRGPTGVADQDPPRSHHQSCFCRFGACYSCLLFWTPQKATHRFFVLFF >OGLUM09G03990.1 pep chromosome:ALNU02000000:9:5856876:5857379:-1 gene:OGLUM09G03990 transcript:OGLUM09G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAAATDGAADGEKMILLVSSDGEKFELSEAAASLSKTLGNMIEDDCATNGAIPLANVASDILAKVVEYCNKHAAAAAVKASGEEELRKFDAEFVKIDRKKLFGLINAANFLNMPCLLELTCQRVADLIKDMMPEQVREVFGIENDFTPEEEAEVRNENAWAYEM >OGLUM09G04000.1 pep chromosome:ALNU02000000:9:5884682:5885995:1 gene:OGLUM09G04000 transcript:OGLUM09G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSTYPNPAQGYYQGPPASAAAGQDNTAAGGGKSNASKKDQPGFMDNLLACLPCARPAEAKNDAS >OGLUM09G04010.1 pep chromosome:ALNU02000000:9:5919781:5920263:1 gene:OGLUM09G04010 transcript:OGLUM09G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADGTTGEEVKKTIDLVSNDGERFEVARDAALLCKTLRWMIKGGYGRIPLPNVASPILARVVDYLARHAAAAAAMYDDGLDRFDRDFLAGVDQDTLFDLLLAANYLQADGLLDLACKKVAAMMTGKSPEQMREIFHIVNDLTPEEEKEIREDIAWALN >OGLUM09G04020.1 pep chromosome:ALNU02000000:9:5940299:5941432:1 gene:OGLUM09G04020 transcript:OGLUM09G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTLEESKEPIKQIKGKQEDSFAGIAKNDHVDHSEKNVPKDLEALARATKNVPEDVEITEIKSNDLDNKTGRNIGKRNRQDDSGSKTKFILIPYGLAMFITIENWETKSSDHNVQSHGMYHVRERKDDRANSR >OGLUM09G04030.1 pep chromosome:ALNU02000000:9:5948822:5949997:1 gene:OGLUM09G04030 transcript:OGLUM09G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTMAVDGGGRRRRHCFGPHYRTLGSTLTSKEVFNWANSNNQRLLHVGDIDRTRKSYIFTSCSMWLATEDRVESAGDGGMTSYDVEAFMRVNLLLLNEQMWEAMSK >OGLUM09G04040.1 pep chromosome:ALNU02000000:9:5953023:5953524:-1 gene:OGLUM09G04040 transcript:OGLUM09G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDNDTVAVDGGGWRHLRRRHCFRPRCRSICFRSMLKRHLAHGERGGRRGDDEFSQQFLMRFLISSKNLYDSEAVTIATTTSIFGFVAGFGSYRGHSGQT >OGLUM09G04050.1 pep chromosome:ALNU02000000:9:5970045:5971900:-1 gene:OGLUM09G04050 transcript:OGLUM09G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFYQSLLLSVAAVTVLQLLKLLLVRHRRPRTPPGPWRLPVIGSMHHLVNVLPHRKLRELAAVHGPLMMLQLGETPLVVATSKETARAVLKTHDTNFATRPRLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMMRVNEIRAAGPTTPVNLSVMFHSVTNSIVSRAAFGKKRKNAAEFLAAIKSGVGLASGFNIPDLFPTWTGILATVTGMKRSLRAIYTTVDGILEEIIAERKGIRDEKISGGAENVDENLVDVLIGLQGKGGFGFHLDNSKIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQAEIREVLRGKTTVTEADMQAGNLRYLKMVIREALRLHPPAPLLVPRESIDVCELDGYTIPAKSRVIINAWAIGRDPKYWDNPEEFRPERFEDGTLDFTGSNYEFIPFGSGRRMCPGFNYGLASMELMFTGLLYHFDWSLPEGVNEVDMAEAPGLGVRRRSPLMLCATPFVPVVSAN >OGLUM09G04070.1 pep chromosome:ALNU02000000:9:5980339:5989733:-1 gene:OGLUM09G04070 transcript:OGLUM09G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGDVLRTEVGGRGEAVAICVGIMSRKEKPDIIFTAAIDLSTFPRIPKPNKKAKGKHNLGDSNLSEEMKESGEHIKGKQQDNFVGTTKNDHVYHSELESSNLPALGKRDWKTLAMATKDVPVEVEVIETKSNNLGNKRRNNGNRTRQDNGGNKTKREAMGKNTLVDSNLPEETKESSGLMKGKQPNSFVGTTKNGHVDHSKLEFSNFPDLGPIDLDPVPSYMDVLPDSTNTTDQDALGDEVYMCDQYVVNTDSETAENTSDSVWADNSGYSSCSGPSKRGGQRSTKGPPPNVSALHVGEC >OGLUM09G04070.2 pep chromosome:ALNU02000000:9:5980339:5989733:-1 gene:OGLUM09G04070 transcript:OGLUM09G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGDVLRTEVGGRGEAVAICVGIMSRKEKPDIIFTAAIDLSTFPRIPKPNKKAKGKHNLGDSNLSEEMKESGEHIKGKQQDNFVGTTKNDHVYHSELESSNLPALGKRDWKTLAMATKDVPVEVEVIETKSNNLGNKRRNNGNRTRQDNGGNKTKREAMGKNTLVDSNLPEETKESSGLMKGKQPNSFVGTTKNGHVDHSKLEFSNFPDLGFVYPLPHRLCYLYSPIDLDPVPSYMDVLPDSTNTTDQDALGDEVYMCDQYVVNTDSETAENTSDSVWADNSGYSSCSGPSKRGGQRSTKGPPPNVSALHVGEC >OGLUM09G04080.1 pep chromosome:ALNU02000000:9:5989602:5990640:1 gene:OGLUM09G04080 transcript:OGLUM09G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKMMSGFSFLDMMPTQIAAAIHTYGLAPSTNLRAEDIPDPHPDLLPAIFSAFLAPVMGFDAVLALDNPKHHIEAIQVLHIHCLLKSIQFPGWRSVGDHI >OGLUM09G04090.1 pep chromosome:ALNU02000000:9:6001808:6005964:1 gene:OGLUM09G04090 transcript:OGLUM09G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGQNLVVLVQKSLPFQHCFTGHVTDLQGVLAYEAGRKGNIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >OGLUM09G04090.2 pep chromosome:ALNU02000000:9:6002480:6005964:1 gene:OGLUM09G04090 transcript:OGLUM09G04090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASVTTGLQMAAARPCIPACQRLLGSRAALPSFARALSTQTGFASCRKTASAGPFVSLNHKRFAVRAMSAQGLPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVFDSPEDVPDDVKANKRYAGSSNWTVKEVAETVKNDFGTIDILVHSLANGPEVKNSLLETSRKGYLAAVSASSYSFISLLQHFLPIMNPGQNLVVLVQKSLPFQHCFTGHVTDLQGVLAYEAGRKGNIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLASPLASAITGSTIYVDNGLNTMGLALDSPTLST >OGLUM09G04100.1 pep chromosome:ALNU02000000:9:6010832:6011372:1 gene:OGLUM09G04100 transcript:OGLUM09G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRSASAVEAAKEAAANVGASAWAGKEKTKAVVEATVDKARAPDTAARDAADARKADRIREVEATKRHAMRANAAAKERATAATYHPAVDAPGGGDVEGHAGGVPVAATEGAGAGYPPAHV >OGLUM09G04110.1 pep chromosome:ALNU02000000:9:6014409:6015093:1 gene:OGLUM09G04110 transcript:OGLUM09G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAAVAMAPLPPEPAQLSLGDLRAVSSLGRGAKGVMFHVVPTMAREEEWAVSSSIALKATRRRRRDTRRQGTVARTGTGGSGLSGTCTCRRATRCSLHSEASSPSMPSSGLPSTDAAAEISTRRSDTARLRRWLRAKLAVAKNRLAGMAAEISLLKSVVGMPSSRGRSEQQWRSSADAAGADVDVDVDAAHHDIMPLPPWRLEELHRVVELEERKAVATM >OGLUM09G04120.1 pep chromosome:ALNU02000000:9:6017094:6027897:-1 gene:OGLUM09G04120 transcript:OGLUM09G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSKEEIEVLDTGQLHPSILFSDESMEQRKADSVQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNSTISAEQHVNSGSEFEKGNESSDNLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNGNWVQSSFNISFDAKRNKTSCEDQLLKAMSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLLFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCSDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSKIETSREYADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQMNGADGKDCLEAISDGISTETRTSLDSQNMLISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHSCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMRPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRVLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLCLTNVGMERLEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFSNDARKWIWGSFSHLEKEYKKELQGGFLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSVTGLLPKDCISWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCGAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >OGLUM09G04120.2 pep chromosome:ALNU02000000:9:6017094:6027897:-1 gene:OGLUM09G04120 transcript:OGLUM09G04120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVDDGLICSTSCYKMCGHADKSSIIDGEIGWPSMEISPCSTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSKEEIEVLDTGQLHPSILFSDESMEQRKADSVQVEEYQVSHSAVVNDDSSISIPTNQNNSSGQLQLEIHLDATNEKSVPSNAILDANVTDPHQEVISNGGLIEACYGVPVDDIDLKQSNILDGEEITSLPMADNEMTPLDDQIMDQIDDMKEISSIVYNSTISAEQHVNSGSEFEKGNESSDNLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNGNWVQSSFNISFDAKRNKTSCEDQLLKAMSEVMNGQFKILVTRFLAAEGLSLSDGEADKNWLDIVASLSWRAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLLFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVIIKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDPQLLVPCTAAPSSKFCSDIAQNSDPTQQALNSLASDGEYVNQDDFVDPEKSVCMHDSKIETSREYADRKLDDSNNIQSYSSLPVPDPSRNLIGDISLDFAKLTSCDDFAGSTSGAPSNNGVLQMNGADGKDCLEAISDGISTETRTSLDSQNMLISMSSQHIRNQAICEQSHLSRITYYGCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGRLLHRDCLRFFGMRPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKRECLEVEFNNVLRKWRVLFSEAENKVQILKSGDSSQALGENTKASVHDELFLEVNRILAQEKNEFEVYPKTFDLLVKSGTSAHGILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASSDSTIHIKTPENKPKNYEITSVHGDTLCLTNVGMERLEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHGEDGGSHEVDKYAHISDSFCLEKSIDLPVKNELPELVRGNEMYPVAKPSKCFHVFLNLLDFSNDARKWIWGSFSHLEKEYKKELQGGFLDKFHLINKYIPTFSSLAQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGMYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKETRTNFMVMENFLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMTVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQSVTGLLPKDCISWGLSCLLVVMSAVDTRYCTLSGKLSSTSIFIEKLSYKVNPQIGYVNKLEERAKISTPRFSYVVGAHTPERDFTRMRIIADKCGAVLMCDPTHISGLVTTTECRSPFDHCDVVTSINKNLTSPRGGTVFFRRGKKLRGLFFFLKGMKMNCEFEDRINFVVFHSIQLCQDRRTPW >OGLUM09G04130.1 pep chromosome:ALNU02000000:9:6041180:6042004:1 gene:OGLUM09G04130 transcript:OGLUM09G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVKLREDGAAPLLRAKLPVALFSVPAVASLTAGDPADLRLSLATAAPALPSLRLSYAPNRATSPLSLAVVLGSGPGGCPSSSGAAAASAITMAVEVNTAGAVSFSLALKPSLGDFAVRKRFDSAAAGGGGGSGSPASAASEVTMRSAIPVRGGAAAVSVRWGVRIPAEVTAGGEEGAAALALRRLPFLVLGKVTVERRPPPPPASTAEETTTTVEKTRRENERLTRELDELRAAATEKTERKMTSAAAGRRSSGWRSPEMAGDRKTVDLGR >OGLUM09G04140.1 pep chromosome:ALNU02000000:9:6049275:6054049:1 gene:OGLUM09G04140 transcript:OGLUM09G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKRILVEKKGGKNPERFLGCQRPADTRHGTSAAHSFLLNSNGIVLVEKTNLFYEALGMKYINVIRTSLANSIL >OGLUM09G04140.2 pep chromosome:ALNU02000000:9:6049275:6052596:1 gene:OGLUM09G04140 transcript:OGLUM09G04140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMPEERSRSNHPPFYTRLHFFPLLFLPLQMPTQTPPKLQLIKSSINYYLPIRKKRKKSGRNRPWRKKKEFL >OGLUM09G04150.1 pep chromosome:ALNU02000000:9:6053144:6089670:-1 gene:OGLUM09G04150 transcript:OGLUM09G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQIHHLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDVDHRELLNRVNSDDIIRSIREWRSAGGPQAMLPNNAQPMHDLAPSPTTSGRKRQKTSQSFPALPAPPPVMHSQQLALQGPPSSSTAKKGASSGAKGKKTKPGQKVPGGPSVKAMTSSAGPSGRGPHMNRNFPVGLVSFEPSEALHINPLINRKVMSRWPEDNSFYEATITDYNPETDLYALAYDINTANESWEWVDLKQMGPEDIRWQGDDPGIYQGVRGAPGSGGKKSSSRGGPTPGTGRGRGLPKHVSRKDFPPSQNGVGKRSSDDIDILHTESLIKEVERVFSVNNPDPLEVEKAKKVLKEQEQSLIDAIARLAEASDGESDEHNRVRRNAPYAGSQHQANYADAMAVDGGHMLGGADAV >OGLUM09G04160.1 pep chromosome:ALNU02000000:9:6113542:6116788:-1 gene:OGLUM09G04160 transcript:OGLUM09G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKQFMPREQPSGLEGRTVDVGNVRVHVREPVAEGGFSCVYLARDAANPAKQYALKHVVIQDEESLDLVRKEIMVMRSLKGHPNVVALVAHAVLDTGGRAREALLVMEFCEKSLVAALESRGAAHFDEQQVALIFRDVCNAVFAMHCQTPPIAHRDLKAENVLLGGGGAWKLCDFGSVSTNHKCFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYLKSAFDGESKLQILNGNYRIPELPKYSSPITSLIKDMLQSSPDVWFRVNELLPLELQKDLPDGSPSGSAFESHITEDEAPSRATISPSTDNTRSTSSEDPSNLRSQGLSKAAESKGSMGAFWSTQHAQELAFVDDKGPAFDQETVHQVSSMQLQSKNHNTPTHNTYRQSLSASVDSSPGDFEIRFSPNGSEYGLEKTKETKSENKTNVHATNFNSFVADFDNLKVNFQNNVSSLNATRRLKEQQLEAEVTLLKEQLKIANLEKEEIALKFDKLSGICSSQRREIQELKQALATASAMQSVKEFKENSKAELSPPSTSLDTPPREKIEGTPPELRQGLFTSSPGTPSPDPKPWSAFPEEPKAQAAVTVKGAHPRSVRTLRASNSNKASSLGQSNTSSSADPFAFGQDSFKAAPSRALPSKMSNLGNGSQSSKTSNLGNGSQSLNALKAEAKQDSSYQPAGWTGF >OGLUM09G04170.1 pep chromosome:ALNU02000000:9:6117220:6117420:-1 gene:OGLUM09G04170 transcript:OGLUM09G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSPVSLSPSHAEVELDKQPPYPTTPLTSPPPCPCRCRNQNLSPPPGRRSSLLPASPSAASQIPR >OGLUM09G04180.1 pep chromosome:ALNU02000000:9:6121659:6122252:-1 gene:OGLUM09G04180 transcript:OGLUM09G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLLPPPHSGELKLVKIKHLLHAFVLKHGGRLAAALGGAKALLLTIAARGSIAGLRNKIRRRRGRNTKKPRCRGSGGDAMMTMQLKLLLPAAVAVPPPSEIAGGGVEPFDAELAYYDSSWNTMIPAEEQLLRPITGYLSWPEQEAEEDDDQGEEEEDEKNEIDRLADKFIERCHERFILEKQESYRRFHEMLARSL >OGLUM09G04190.1 pep chromosome:ALNU02000000:9:6129006:6130579:1 gene:OGLUM09G04190 transcript:OGLUM09G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNLRNPGLDEVVMKTGKAAGIGLASGTVWGGVVAMHFNGPHVGSNVKYPELVRIGKVSGNYAASFALLGATYVGIEQSLENCRKKKDYINGAVAGFTAGATVLGFRARSLPTAVLSGCAIALTSVLLDVTGMKTTDEEAKTGKAHH >OGLUM09G04200.1 pep chromosome:ALNU02000000:9:6131520:6138431:1 gene:OGLUM09G04200 transcript:OGLUM09G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhodanese/Cell cycle control phosphatase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42220) TAIR;Acc:AT2G42220] MAVLGLSTAFSPPRGSWIAVRIRHGTRPARSNLSLRRRSAIGVRAEVSFVDGDEAKRLVAEEGYTVLDIRDRTQRERAHIKNSAHVPLFVENDDGDIGTIIKRTVHNNFAGLFFGLPFTKRNPEFTKTVKDKFSPESKLLVVCQEGLRSTGAADVLEREGFQNLACIKSGLQTLKPGAYLFITLFPDQAEKLFDLAGIKL >OGLUM09G04210.1 pep chromosome:ALNU02000000:9:6136561:6138339:-1 gene:OGLUM09G04210 transcript:OGLUM09G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT3G52150) TAIR;Acc:AT3G52150] MATTTTISSSLITPPTAALHRRSNCCRCPSRLTVGAARWWARRRQPAVAMRVVASSSVLEAPEEVAARKLYVGNIPRTVTNDELAAMFADHGTVERAEVMFDKYTGRSRRFGFVTMSTPEEANAAIESLNETDMRTDLFRLYQEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKSVTTEMLKNFFSEKGEVLSATVSRIPGTAKSKGYGFVTFSSEEEVEAAVSTFNNAELEGQPIRVNKA >OGLUM09G04220.1 pep chromosome:ALNU02000000:9:6140942:6149288:1 gene:OGLUM09G04220 transcript:OGLUM09G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:topoisomerase 6 subunit B [Source:Projected from Arabidopsis thaliana (AT3G20780) TAIR;Acc:AT3G20780] MDDADAGDGAASGGTKRKAPAAAAAKGKAAGKGKAASKAAESASATAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEIIIEEITKSKFNTMIGLVDRERIDEELYDDFESVKAREKRLAKEARFQETQAKNAALGKKVKEAPAARGKGRGEAAFFRVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEIKSSMKGQNFISFCRLDIDIHNKNLTIQFARRTDVMPPIPLQTKHHPSAVDLLLIKRLISETTKQNLLQFLQHEFVNISKSHAERLIGEMGPDFSAKTTVKSLTSQQLVRIHQLFRQAKFDDPSGNVCTLSTFICVFSVYFLLLSQIYSEYNLRLGIIKELHPDLVATHASSPQVFEGHPFIVEAGISIGGKDVKHGLNIFRYANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEIASAVQSALKQCCLQLKSKIVKKLQARERQDRKRNLNRYIPDVARAIMETLGEIADESPPKRPRYDKEDEELLEKVNSEEVTEMTFRDCLTQHVEQVDYEMALEYAMQSGVSEEPREAFYLNSLEGSYKFTDFRSPVFVFRFIH >OGLUM09G04230.1 pep chromosome:ALNU02000000:9:6152226:6157129:1 gene:OGLUM09G04230 transcript:OGLUM09G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20790) TAIR;Acc:AT3G20790] MAAGDELPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVELSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVSSRSPKILWRVDGTKGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQAKKDGDHKAESRSSYVEGARDVAVLEAMLESSAKQGATVQVKKFLHP >OGLUM09G04230.2 pep chromosome:ALNU02000000:9:6152226:6157150:1 gene:OGLUM09G04230 transcript:OGLUM09G04230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G20790) TAIR;Acc:AT3G20790] MAAGDELPRIAVVGAGIFARTQYIPRLREIAHLVLLKTIWSRTKESAEAAAELARDFAPEIQPRWGDAGLEEIMGDASISAVAVVLAGQVQVELSLKMLKAGKHVIQATMEAETALSVYNSFPNQFPYKPIWALAENYRFEPAFVESRKLMSDIGDMMNIQVIVEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMMVGSEIATVSSISRHVDKALPPPDNICSLFQLENGCAGVFVFAVSSRSPKILWRVDGTKGTVQIERGIASGKHGYQVLFTNENGQCQTTFYPFCGVNEELKAFVHDIVQAKKDGDHKAESRSSYVEGARDVAVLEAMLESSAKQGATVQVKKFLHP >OGLUM09G04240.1 pep chromosome:ALNU02000000:9:6169731:6176404:-1 gene:OGLUM09G04240 transcript:OGLUM09G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPCMLPFSEEKVKFTDTDMSCNLYLMQRADNHGSTPLHFAASLEGPSSNKYVQLQLLLLHSGIARFLARRFSCRDERNCVTSLLLEISLDAAYQPDKRGLFPIHVAASAGRHKAVIVLLDKCPGTAGPQDARGRTFLHIAVEKRRHRIVSYACSMPLMDPILNMQDKNGKTAIHLAVQLGDMDLASCLMMNHKTPRHLAEIGIPPGLYYSKSTAVRPVATSGMTEINIASRNEAEESKKIIESSASARCSSRPSRSPRLSPYVPGGYRADDHRDGGAPTLAGGYAFDAFIVANALAFVCSLHATLGLMYAGMASVDFATRSRHFASAVGQVRSSIRSLAVAFALGTYVVLAPVARTTAMAACVFASTILVYGNTELMSMMVLAWTMSRKSVARIVVGSLSKLWPYVLIFGFPVVLKKWPSLKSQS >OGLUM09G04250.1 pep chromosome:ALNU02000000:9:6169852:6170160:1 gene:OGLUM09G04250 transcript:OGLUM09G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHARTIIDISSVFPYTKMVDANTHAAIAVVRATGARTTYVPSAKATARLRMELRTCPTAEAKCRERVAKSTDAMPAYMSPSVACREQTNANALATMNASNA >OGLUM09G04260.1 pep chromosome:ALNU02000000:9:6176437:6177033:-1 gene:OGLUM09G04260 transcript:OGLUM09G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNGNAGELKAEAHRGEPHAPAAMAMAVCSLLNASRTGNDAELRRLLRTGRPGGDVDGAAAPAARPPRVPRDVHVSPLERLTYQGDTALHMVAASGDETRFLNSATTVCRSAMELLVTPNCNGDTPLHSAATAGNLAMVKKLIQLSKGADGERALAGSEDPELVCVPRGGGGTSPVVPRRAARAHRYCKGDTQGYY >OGLUM09G04270.1 pep chromosome:ALNU02000000:9:6196312:6208053:1 gene:OGLUM09G04270 transcript:OGLUM09G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSREEDRQHHNHLPSELPLGFRSSSPTTMIASSSVSKESSNYDMADFDQASLFLYLDSHDQQSIQEQRQTLNIFPSQPMHVADAAHEAKSAGVAMAMLPNGNQLQVLPSHPSKKPDQQGGQKINSSVPTNPPGPNLPLPNSAKDNKNSSLIKGPKKCGLQKEGSSSGKGATTSNDPEREGRRTLDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLGQLEQQVHVARVQGAMLGAGDQHQGLPSGPSAASLFDLEYGRWVEEHSKLIFQLRAALNEQMADNQLQVFVNGAMAQHDELLSLKGAIARADIFHLLCGVWATPAERCFLWLGGFRPSEAIKVMLKQVEPLSEGQLMSIYELQQAAKGTEDALSHAMDGLQQSLSDTVAAPDVAAAGGFMGHMSLAMNKISAMEDIVRQADGLRQQTLHKLQHMLTIRQAARCFVAISDYFHRLRALSTLWVARPRPEEGPAM >OGLUM09G04280.1 pep chromosome:ALNU02000000:9:6208529:6208739:1 gene:OGLUM09G04280 transcript:OGLUM09G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTFGEMVLVAVREEDVPAMRLRGSCIMVGCRSDDVVLETGGSGLASGGAPMRQNLRLAEVVQLLEH >OGLUM09G04290.1 pep chromosome:ALNU02000000:9:6212311:6217396:-1 gene:OGLUM09G04290 transcript:OGLUM09G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mnd1 family protein [Source:Projected from Arabidopsis thaliana (AT4G29170) TAIR;Acc:AT4G29170] MSKKRGLSLEEKREQMLQIFYDSQDFYLLKELEKLGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRTTYSKLESDLSSSKKRFIELVEQRENLKRGREDSDEREAALEELKAVEQHHKKLKVSTTQLFRIVFQEELAAYADSDPAALEAMNDAIEVAHAAANRWTACLSSEQANGILNVTTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >OGLUM09G04300.1 pep chromosome:ALNU02000000:9:6220530:6220832:-1 gene:OGLUM09G04300 transcript:OGLUM09G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIMAKLVVVFSRAAVVGVNDGGSASGGVLRGEVPFRACMCLYGTPGDSLEVVCAPAKLGQRWRTEERSGGGGKQQCDDVGFLRSSGCQGEKGNGLEMP >OGLUM09G04310.1 pep chromosome:ALNU02000000:9:6234308:6234785:1 gene:OGLUM09G04310 transcript:OGLUM09G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMKHCSQGFLIMSLILLGCFTIPVVRSQGNGIRNGESYRIDINNVTACIRKPFSSQFCCQNKNSPNLGRCYGSVSDCQSNCPNVLDPHV >OGLUM09G04320.1 pep chromosome:ALNU02000000:9:6236251:6236868:1 gene:OGLUM09G04320 transcript:OGLUM09G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSADVVGLTGSSSHVVLAGVRALLGGSHDDLRLRADQLSWSLSVVFFTGGGAGLGPDGSVLVCADVPPLGAALRGKQRTAVRVAVNEADHGACGCSNDIFRDVMRLLSVTSCFSGHLSRPTGCSSPMSSSIPLPRLQAGPYFGCWVRHGAAMSVVHALLFASHEDLRVHHLSHSLSSAFFADGAVAAPFRRRSYGGGLAGGAS >OGLUM09G04330.1 pep chromosome:ALNU02000000:9:6237266:6237807:1 gene:OGLUM09G04330 transcript:OGLUM09G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREEEEEDQIGPIQIKRVLVPSALAALRSWTLSSSAARRSSIPLAARRLPPPQRDFSLSPFWFAAMNLCIFGLLLLI >OGLUM09G04340.1 pep chromosome:ALNU02000000:9:6263821:6268400:-1 gene:OGLUM09G04340 transcript:OGLUM09G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G13380) TAIR;Acc:AT1G13380] MGDTERSSILVHILVIALCLTAFGFAIAAERRRSTGSIVTDSFNSTFCVYDSDIATGYGVGAFLFLLSGQSLLMVVTKCMCFGKPLAPGGSRAWSIIYFASSWVTFMIAESCLIAGATKNAYHTRYRHMVYAGSWTCESLRKGVFIAGAVFVVFTMILNVYFYMYYTKSTSQAAKKINKTTPNVGMTGYA >OGLUM09G04350.1 pep chromosome:ALNU02000000:9:6276482:6280663:1 gene:OGLUM09G04350 transcript:OGLUM09G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSLFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >OGLUM09G04350.2 pep chromosome:ALNU02000000:9:6276355:6280663:1 gene:OGLUM09G04350 transcript:OGLUM09G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MPTTNAAEVIRKGGNLTARYRESGKNSPKPNQNCWAPTAAAAAMADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSLFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >OGLUM09G04350.3 pep chromosome:ALNU02000000:9:6276355:6280375:1 gene:OGLUM09G04350 transcript:OGLUM09G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MPTTNAAEVIRKGGNLTARYRESGKNSPKPNQNCWAPTAAAAAMADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSLFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLRLPGVLQ >OGLUM09G04350.4 pep chromosome:ALNU02000000:9:6276482:6280663:1 gene:OGLUM09G04350 transcript:OGLUM09G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP (Suppressor-of-White-APricot)/surp domain-containing protein / D111/G-patch domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G52120) TAIR;Acc:AT3G52120] MADKGLFANDGSFMERFKQMQEKEKEKAAAASSSAAAAAKATNPKPVVVAANTPSASKRPLELKGGEVKKAGSISSGAKLAFSLKKNKVAVAPVKFAADDEDEEDVGADREEPVKRQKYAQPEAPASAAALSGVVAPSPPNDMTVKQVADKLANFVAKNGRQFENVTRQRNPGDTPFKFLFDKNCSDYKYYEFRLAEEEMLLAQSKEAQASKHASSSTPSSRAPSAPQRSLFEQKTNYQTPASALYGAYEGSSSQGSSSGYGEPPKDPVALMEFYMKKAAQEERKRPPKQSKDEMPPPPSLQAPSSKKGHHMGDFIPQEELEKFMARCNDAAAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERSGRADPIMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >OGLUM09G04360.1 pep chromosome:ALNU02000000:9:6282018:6285866:1 gene:OGLUM09G04360 transcript:OGLUM09G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGGGSYGEVGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLHIDARTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVARWLEELRGHADKNIVIMLIGNKSDLGTLRVVPTEDAKEFAERENLFFMETSALESTNVENAFMTVLTEIYRIVSKKNLVANEEVDSSGNSSLLKEHSQI >OGLUM09G04370.1 pep chromosome:ALNU02000000:9:6291404:6295631:1 gene:OGLUM09G04370 transcript:OGLUM09G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDHHHPDAAAAAAGKGAHHHHGGGGKVHKLLKSAFKRGGDHHHPGSGGGGGDQEGDLLSRSASGSSSTSAASSSRAASSSSGRRGGGGRRGDDTCSSVDGESGELDGSKNAKVLAALRDAKISYAYESFPWEKKMKELLPVPAASCFLSMLLLPKSADGSHTRYKSLEDTLARADAWLASSQAAGVPVAFMNVQTEALLTKISGEMALSTVNMGSLSDLANMANASLYGFEDYHGVDIGVVRAVRLWYTPVAGEAALEIKLLPGDTRLGFAISRTEEGFIYVSSVAEESTPGVASTRSGLLELHRAARRASRLLVVSRVGGEKVLPWMVSTAGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDTALTVKDVAKPPLPPPPTMLMLPSPPSPPPSDAEGDAPPPSGDGDEAPGSGAKGGKDSSFRFQNIDLLPDSWL >OGLUM09G04380.1 pep chromosome:ALNU02000000:9:6298553:6309135:-1 gene:OGLUM09G04380 transcript:OGLUM09G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT3G04740) TAIR;Acc:AT3G04740] MAEGELGQQTVELGAVVRRAAEESYLSLRELVEKSQDEGEGKGGAYGARQRSDSEKKIDLLKFIARTRQRMLRLHVLAKWCQQVPLVNYCQQLGSTLSSHETCFTQTADSLYFMHEGLEQARAPMFDIPSALEVMLTGNYQRLPLCIEDIGSQNKLSPDEEKRALQKLNASVRYKVLVTPRPKEVSNVSVADGIAVFRVDGEFKVLLTLGYRGHLDLWRILHLEVLVGDKGGPIKLEERRRFALGDDIERRMAVSENPFMVLYAILHELCISLAMDTIIRQTNVLRQGRWKDAIRSELVSDSTTGQTGSAPLMQLGQDGEYDLSGSRIPGLKINYWLDEKAGGSAESDSSPFIKIEARQDMQIKCQHSSFILDPLTDKEANLSLDLCCIDVEQLILRAIASNRHTRLLDIQRQLSKNVQISQSPKDVILKRDVEIAKDPVKKTEQKDFADCCGNEVLQVRAYGQAYIGLGINIRSGRFLLQSPENILPPSALLDCEEALNKGSITATDVFASLRTRSILHLFAATGSFFGLKVYEKSQGTLKIPKDILHGSDLMVMGFPQCANAYYLLMQLDKDFRPVFHLLETQSDANDKTNTNACTKEALRFNKIDIGQIQISKSESNTNMFDAKLHALQNIGNCDDVMENRLPIQSGIEPLPLLPACSPSFSSVVDEVFEYEHGALAVPNHSLPQTTLQSTSHPGSLSVGFQGVGTRANASIEGASSAYSGSKFSPGVGLNSYLPSNLRHVQSTNAFSSSTVTKSSSIKLPSSNSNHELSSLSSPTEHVIADGSKSLQLVPASKINGSINLITMGSDGASRKRSISDLFLNLPSLQGLKPSSPRKRRRISESMESWSPLQAYSSDSQSRTSLTYGNILAERNNCVPATTYASVLLHVIRHCSLSIKHAQITAQMDSLAIPYVEEVGLRSPSSNLWLRLPFARDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELSTGSTTTSWGVGVRVANTSEMDSHISFDAEGVILTYSNVEPDSVQKLVSDLRRLANARSFARGMRRLIGVKLNDKLDDDQTSTDIKSQPVNKGNSDAADRLSEQMRKTFRIEAVGLMSLWFSYGTMPMVHFVVEWESAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYNSMPKQMNNIPTQGPLANGSSSTTMHHAPSPANVAATHLGSHNLHTAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPLVGGSLPCPQFRPFIMEHVAQGLNALEPSFMNATQAGAHLNSSAGTLQPAPNANRVNATQGIGMSRPASGVANHVAANLSRAGNAMLASSGLASGIGGASVRLPSGANLPVHVKGELNTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKENEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQNSAQEELAPPEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIEICLENHSGSVSDDITESTLAKSNVKYDRAHSSLEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLKYSFGESNHIAYLAMDGSHGGRACWLQYEDWERCKQKVARAVETVNGSVAVGESGQGRLRMVAEMIQKQLQLCLQQLRDGPLSAGSTAS >OGLUM09G04390.1 pep chromosome:ALNU02000000:9:6327484:6327803:1 gene:OGLUM09G04390 transcript:OGLUM09G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASAVGGAVSAMGGSGIGGVSRGVSGDGRVGGRPGSIRRVSREDPVTAAGADSVVLVRKTDTYRGFPTGTN >OGLUM09G04400.1 pep chromosome:ALNU02000000:9:6329745:6334376:-1 gene:OGLUM09G04400 transcript:OGLUM09G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPSKDHIFPNQRSLISQSSSPHNSLPKKEEIPLLSLLPSIHDHNKIHGCYKQEKEEEVMEDVDISLQIGLPSPDPNSSVIDFAKSNPLGATATTSQELDGDDDDDHKVEVERKEEEEEASDDLCLDYFSMGKLTKGKYWIPTPTQILIGPTHFACPVCCKTFSRYNNLQMHMWGHGSQYRRGPESLRGTQPAAMLRLPCFCCAAGCRNNVDHPRARPLKDFRTLQTHYKRKHCAKPFACRKCGKPLAVRGDWRTHEKNCGRRWHCACGSDFKHKRSLKDHIRAFGRDHRERPPPPPPHPLLPLP >OGLUM09G04410.1 pep chromosome:ALNU02000000:9:6359685:6361455:-1 gene:OGLUM09G04410 transcript:OGLUM09G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFSVPNAPPPHTPALRLCSELTSAPPHQASSYPLLLPLLPPISTEAPRRLPSAAVNGISGGGDGDGEMWLQVLLLPPPALPPATGPHERPTLTALDAAAVVAFRSQIPNVAADAFPAAIGVDPNMSGDCDVLSPDGFSQVFDNSWYRNSSGSTLTISPSSNCYSI >OGLUM09G04420.1 pep chromosome:ALNU02000000:9:6367524:6367951:1 gene:OGLUM09G04420 transcript:OGLUM09G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAPLRNFSSAAASGSEAAATRGLTSSLLRFPAQAKQNSQNSILEESGMMASLPW >OGLUM09G04430.1 pep chromosome:ALNU02000000:9:6367965:6369240:1 gene:OGLUM09G04430 transcript:OGLUM09G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTIRARRMTRVVPISSNNLAAWIYRSVWPRRCHGPCRVIVEPSSPAAASSAAARSPSVCPSGRDRWPVEVMTTAGPRQLGRRSSADAEDEVDDGRPDGDTRAPRTEVDRGDAICCCCLTTARVDKERSWRSISPRRRRQRRQKTKKKLVTASGDWNPPEGDGGGSMSGSSRSPALIPCWIV >OGLUM09G04440.1 pep chromosome:ALNU02000000:9:6381996:6385133:-1 gene:OGLUM09G04440 transcript:OGLUM09G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAEAFLTSCADRIINLLEEHAVMILGVKDDLKKLQAKVELIKAVLEDAERKKLQYRTIEIWLNSLKDVLYEADDIIDLCRTKGRELLEEQPSSSIQQRKMHCSLLSFFSTVRLRHKIGSKIRKLSDRLTDIENNRLVLSLCHLKPCEQQDTTVNVRETSPLIDLDIVGTEIEDSTRKIVDMIFSHEDHFKIVAVTGMGGIGKTTLAQRVYNHVKIKNFYPTTIWICVSRKFSEVELIQEIIRQARGDYGHAKTKAELLPIMANTVANKCLFLVLDDIWSADVWNALLCTPLHSTPRCGCVLVTTRHQDVARSIKAMYIHEVQKLHTRSSLELLCKKARVSREDDIERLVKIGEEIVRKCDGLPLAIKLIGSLLARKGHNPQQWSDVLRSGIWNMKELPGELKGAWGALYMSYEDLPPHLKQCFLSLSLFPADYDLVIWDLRALWVAEGFLHPKEQLIAEELAENCYAELVSRSLLQPIVLYADQRKCRMHDLLRSLAQYLSRGESLCGDPRKLDAFSLSKIRRLSVLMDEEVEEEADPLTRSQRKNLSLRTLMLLEGTSIFQRETIFSFPCLRVLVLNGKAIENLPSSIENLLHLRMLNLNYTSIASLPMSIGSLKNLQILYLIRCLRLHSLPASITQLDDLRCLGLNGTPVTHVPKGLGKLKLLNDIGGFVAGGHTTCQTELQEGWGLEELESLAQLRWLSITRLERATISKPMLKSKCFLRHLILSCTMPQYKKLSFEEINTIEAIFEGLFPPPSLEKLQIINFCGQSLPGWLISSSLETNLPCIEYIHLIGCSFCTQLPPFGKLPQLRYLNIEDAFAIVNIGTEFVGMHGVSTAFPKLEYLTFNGMPNWEEWSMSGNEEEEEPSMPHLVELQILGCPKLRSLPTTLQKITTIQTIGITKCDSLTCVTNFRYLHNQLVIEKSSGVEIISNLPALNKLVITDVHALKHIEHLPSLRYMELCSSSLDKLPEWLQGLADTNRKLANDLQLTLRCSITLMRRCVRKGPDWPTIRRFPHVSVYTHDRSALMEYNHEAGYYFTNLQ >OGLUM09G04450.1 pep chromosome:ALNU02000000:9:6390695:6391108:1 gene:OGLUM09G04450 transcript:OGLUM09G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAPRKGACSAREHQEWRLKSGRRRQHCQRLTGVVAAAGGGCCSPVIGAAGVEEGVEWRLAMTRPPLLLAGGIGRQREGDEGTSMNAAKARDGGATSNKRCGWEESGICMFNLSLREASTVVQGLLCTRAYWAGMG >OGLUM09G04460.1 pep chromosome:ALNU02000000:9:6393250:6393471:1 gene:OGLUM09G04460 transcript:OGLUM09G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVMASGAMVADPGTITVAALGTTTTVALGATTAAVALSNDGVGSRYDDGDDFWHDDNGGGSGGDDGGGFGS >OGLUM09G04470.1 pep chromosome:ALNU02000000:9:6394168:6397765:-1 gene:OGLUM09G04470 transcript:OGLUM09G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLIELNENLDFTIEGDNRGSTPMHFAASLEGPSTEIVQFLTGRSMYPDQNCVTSRLLDLSEGAAYQPDKKGLLRLQHVGRAPGCNPQHAGQGRQHRDTSRCPAWCDMDLASCLMKNHKNQRRMIYRLLLVYCDAPGGNLRHDHFLEQDIASRNEAEESKKIIESTQILGIGSVLVAAVAFAAAITMPGGYRADDHHHGGAPTLAGEGYAFSAFVVANALAFVCSLLATLGLMYAGLESVDFTNRSRHFTVAVGLVRSSIRSLAIAFALGNYVVLAPVAPRTATAVCVFASSILAYGINTGLMPVIVLARTTVMSRKSVTKMWTIAGSLLLVP >OGLUM09G04480.1 pep chromosome:ALNU02000000:9:6424629:6424868:1 gene:OGLUM09G04480 transcript:OGLUM09G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFWSCDWDWDHRRWRVRGGCVEARRWRQWVRGGGGGLCEEEMPVAERGRGNDAGGGKEKTTSWESGWMERQMRNFAGW >OGLUM09G04490.1 pep chromosome:ALNU02000000:9:6431665:6433751:1 gene:OGLUM09G04490 transcript:OGLUM09G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKFRCDGKVESLKKKLKSKQDRSGVVYIELEAWTHCSPGLKYWREKLIKQLLPFRHRSIHRDSSMDVDELLLIAISHGNNEDGSDGVVGISDEVYAAELQLQELIMSSAMAATAAAAADQLDSGSAVHASSEDAAAAAHAKTSPSSALVPAAECSSSSAAAMTLVASVVKCSCSSSAMAPSATTTSFLFCKICMDDVPASDAHRGSHGCAHAFCAACLAGHIAAKLHSGGGVYCPEDGCASAVDPELCQPILPEDNFERWCAALCRAMVLGGRHVYCPFTDCAEIIADERGGDIDGQPTECPACRRRFCERCGVAWHGGVSCGEYGELAVGDRGEGDLAVVEMAKGSRWRRCPRCKFFVDRPYN >OGLUM09G04500.1 pep chromosome:ALNU02000000:9:6434067:6434477:1 gene:OGLUM09G04500 transcript:OGLUM09G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCAWDVGMFSMHILQKRNDMVGTDGAIAEEEQEDFTMLATRVRAAAASPAGAAEEDGHSALGEERRTASSLHVFTSHLNPTFDYMEDGGGPAFAVGASRRLSLKEGGEGRGKSREGEEVGGGWGSSPVLLTPSR >OGLUM09G04510.1 pep chromosome:ALNU02000000:9:6435485:6435733:-1 gene:OGLUM09G04510 transcript:OGLUM09G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDELLLVAISHGNNEDDGGGVVGISDEVHAAEIQLQEVIMSSAMAATAAAAAAAAAAAQLDSGSVLVIRMCELVCLVKAG >OGLUM09G04520.1 pep chromosome:ALNU02000000:9:6449661:6449939:1 gene:OGLUM09G04520 transcript:OGLUM09G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRTVVLVVVAAVCAAVVLVNVPPVEAQQRDCHSICNQSCASSCRPAPISACGKACSLAGPQACSQCQYSVYQQCTGLCFNYCFNSCSHT >OGLUM09G04530.1 pep chromosome:ALNU02000000:9:6452945:6453238:-1 gene:OGLUM09G04530 transcript:OGLUM09G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAVTAAAAMCTVLVILSTGGQPPAAAAQTDYCATACSSSSNGTVTCYNNAINTCSSNCSITPQYCDQCRRESNQQCQNYCYNGCLYGCSLQHP >OGLUM09G04540.1 pep chromosome:ALNU02000000:9:6462878:6466465:-1 gene:OGLUM09G04540 transcript:OGLUM09G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKVVGGKGAGGGQRLTLAPGASANLWSQPAVPKETWGVAQGEEEDERNWWPEVLAATPCRTSPEVSTWDKKGCSRGGFSAPTKYYAVEPLCALGDERSEDEVDREERRSEEEVQGGSVLPEESFVKKAIDQGFTVDEILKAGEHLLCMSSSPKVSLCSMNSGFVGNRKLAKKMVEKVVNQKTTTCKPWKGPLPKARVSQPLTFGDVIGVSLKKKETSVCGFAGEVHDIAEENLDRANKSLASMRLPVVHTCSIMEKAAAAPPPLPPAAVRSSLDDNAKTLKFLMNLDKREKEGRIVLMSPGGQCTSDIEASKRSVELLSKGSSEHKFVSFGVRSNLVQFKCCQGLGRLLSRAGRHMWATEGATRQKQSDVTVGLCTTQLVQTSQTRERKQSVGEQSGSAQGERSRREVTIAWRGEGSGRSDGRGRERGDGFWEEEEEFFGARFDAGRLGLEPGYGFGQQGNHGWGQQRSGFRPRGSRSFGPRRGGFAGRPGRGGWENNRFSTKRFGERPLLKNEGRPGGGINKAGGGSKFATKGEVGGGVSGGGGGASAGKGKVKVGDMEVVVNQMDGAAVVTDDPETNSELAILDCDPSLFFDQHVPEGKDDAALNGNQCQHKMKESEISAIASGILDVAVGKVMNEVCDMVMEEIEQPIEEEELPLDSNLGDEEKGEQEQEQEQEELTLVENNIEEAFKERVARAANIKETVMTPKRSSARLGCNSGVHSVEKAKKRKAWKNLELQQGNEVNSFLSFSNSSITSSLQNLGVLLGASSDEITDSDCSLKKTEDERINSSLSLVRDNLDKLDDGDSSEEEDDLNNLVLGHLCGDLMDEVMDEETSHLSCDSKKVLKAYKSKSRSKKRGIRIAGLNKKCLT >OGLUM09G04550.1 pep chromosome:ALNU02000000:9:6474467:6479340:1 gene:OGLUM09G04550 transcript:OGLUM09G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0T6] MGTSDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTVTAPLPPPFPFHLSFICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHTNNIDYICRAHQLVMEGFKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPAKRPAPDYFL >OGLUM09G04560.1 pep chromosome:ALNU02000000:9:6483698:6488809:1 gene:OGLUM09G04560 transcript:OGLUM09G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVGARLSRSSTRYGPVGNTASSFSGPVRKWRKAWVPIAAGGAGYAGMMGPMGVSRGNKVVLFRWAPVNGGAAGGGGGSGDGDESAAVAAAAAATRRRFVPASGVAQNSTKKSGSTELNLNLGLEDPDDDSDADLSTDEQRDSGSNQRSDNRLKRKAF >OGLUM09G04570.1 pep chromosome:ALNU02000000:9:6487121:6490262:-1 gene:OGLUM09G04570 transcript:OGLUM09G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0T8] MVAASRLLARASRQCVAAVAASAARRRRCPAAALSLPVVTAAKAAAAEPWLGVPYMLNQPLRYSTTIFQRFGFSSTSPELSDKEENQHKDQENATNVSNEGTEDVDLSKEDLVQLVLEKDGLLKSKDEEINDMKDKVLRSYAEMENVIARTKRESENSKKYAVQNFSKSLLDVADNLTRASSVVKESFSKIDTSKDSTGAVPLLKTLLEGVDMTDKQLGEVFKKFGVEKFDPLNEKFDPSRHCAIFQIPDPSKPSGTVASVVKVGYMLHDRVLRPAEVGVTEGGPTTTEEAAENSEQKSSEV >OGLUM09G04580.1 pep chromosome:ALNU02000000:9:6491642:6492247:1 gene:OGLUM09G04580 transcript:OGLUM09G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRRLPPLRSKKNSQQKRRMPPLYTAAARPPQECWSIRERVNFRIAIGRFGQDWPRVAQFISTKSTGQICVYAEEYFLKRHT >OGLUM09G04590.1 pep chromosome:ALNU02000000:9:6493291:6501242:-1 gene:OGLUM09G04590 transcript:OGLUM09G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0U0] MAMSRLSFRPRPLDIHKKLPILKSAREFEDDDPTAAAVAVARAGVLLRQSAPELTAATTATEGEGNPTPTKKNIQEIPTPQFDAVDTYERDYTRTFAQPTCYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNLNPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDAAMEALQYLSVRYGVFQAVYSYWKDKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRMVRRNLDQAKALMDALVKREETKREAMECEVNLRRIQMKYKHEAQLVDEGTALSGFQQVSSRFGSSEDDYADSDDTTTEQPYIRPPVFRPRFADHKLSIIPTLRIKRERELKRRPQQNGWVFKRDPEEPVLLFTRPLDPEKLLAAGIKPPPDPPIENGATMPPFRCRGRIGRGGRIIFDRWNPLLQTPIGQETSYYVPYSRRPPSPES >OGLUM09G04600.1 pep chromosome:ALNU02000000:9:6504149:6504413:1 gene:OGLUM09G04600 transcript:OGLUM09G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTKAATGRGRKEVAAEAVVVTELVDAADVGRGCGVLTERRRHGLTGGEDPDNGNGAVEDGLGRRWRHFR >OGLUM09G04610.1 pep chromosome:ALNU02000000:9:6536054:6540639:1 gene:OGLUM09G04610 transcript:OGLUM09G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAASLLLRYAGRSLQRPIPRALEELRLSPRGLKDSPRRLYSSDGVGTKSQPDKTLQLERAHQDLAEKNNKALEDRMIYHMGNLNRGLDKLEGRIDRLSAVLKEREVQYKKYDQWTLRVVAGLTGIYGAAFLFKKSWNA >OGLUM09G04610.2 pep chromosome:ALNU02000000:9:6536054:6537264:1 gene:OGLUM09G04610 transcript:OGLUM09G04610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVESAASLLLRYAGRSLQRPIPRALEELRLSPRGLKDSPRRLYSSDGVGTKSQPDKTLQLERAHQDLAEKNNKALEDRMIYHMGNLNRGLDKLEGRIDRLSAVLKEREIRPMDTKGGGGSHRFINI >OGLUM09G04620.1 pep chromosome:ALNU02000000:9:6544735:6545076:1 gene:OGLUM09G04620 transcript:OGLUM09G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPEDTCMKWLDTKPLSFVAYFSFGSFASLGTAQTEELTRGLHAAGKPFLWVVRATEEAQLPRHLLDAAMASGDTLVVRWSPCATGCFVTGMTAFLHGEIEQCVRAVMDGKE >OGLUM09G04630.1 pep chromosome:ALNU02000000:9:6555225:6556830:1 gene:OGLUM09G04630 transcript:OGLUM09G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAASLLLEYVSRSLRWPIPRALKELLLSPHCLKESPRWLYSSRRCDEWTLRVVVGLTVFSVSVLHFILD >OGLUM09G04640.1 pep chromosome:ALNU02000000:9:6563529:6564056:1 gene:OGLUM09G04640 transcript:OGLUM09G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRNLLSLATLSSLSATLLPVIATAPETTLGLRTHCFPLLRRAFMPLTRGDSQCLSSKAIAKLRAAAAPTMSTRAGAVERTTETVATVPPIFLLTHTRGIPLHSSLRVALRFHDLEGCISPNEQQPRGRSLTRGHGGIT >OGLUM09G04650.1 pep chromosome:ALNU02000000:9:6581496:6581693:1 gene:OGLUM09G04650 transcript:OGLUM09G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPSPSRQRTGDGPPPPGGGGEERLRWVAGWQAIPRVDPVAVALPCLDLAAAATGGRRQRWWRQ >OGLUM09G04660.1 pep chromosome:ALNU02000000:9:6620846:6623011:-1 gene:OGLUM09G04660 transcript:OGLUM09G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPARAASCPSSSSAAAADDAASCCSSDTGSTFTDLSEVDGIALPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSVRALNPLCYRSLNSALSGCPAPAGKAAVNAARPPQPHGLKAAESKKVAMIGGRKVPGKQEDVHQLRMLENSYLQYRFMNARAEAVARAKASVAEKSLFGLEERITALRVSVAEKKMEVERMRREQTLRSVVDAQVPHLDQWCDLEGDHSSSLIGLTSALYNSSLRLPVIGNVRANSEEITEVLNSSVQLLEPVSSCVKNFLPKVQEVDDVAAKLAQVIASERVAIEECGNLLYQAHNLQMREYSLRSQVMQLKQQDEPK >OGLUM09G04670.1 pep chromosome:ALNU02000000:9:6625514:6635641:-1 gene:OGLUM09G04670 transcript:OGLUM09G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVLELDLSLLLYPFPKFYMETLFAKHERLCMLSIDHVKLQYLCITIYHLLIACFTVNYFIYYLILCNLDHSVYLFKYAGLDIDKYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFVLRNTPSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDINIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERALHDTRAVITTYAGAVVQRDPAVG >OGLUM09G04670.2 pep chromosome:ALNU02000000:9:6626951:6635641:-1 gene:OGLUM09G04670 transcript:OGLUM09G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVLELDLSLLLYPFPKFYMETLFAKHERLCMLSIDHVKLQYLCITIYHLLIACFTVNYFIYYLILCNLDHSVYLFKYAGLDIDKYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >OGLUM09G04670.3 pep chromosome:ALNU02000000:9:6626951:6635641:-1 gene:OGLUM09G04670 transcript:OGLUM09G04670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSEGLGLSGIVSILFTGMVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >OGLUM09G04670.4 pep chromosome:ALNU02000000:9:6626951:6635641:-1 gene:OGLUM09G04670 transcript:OGLUM09G04670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALELSLVSASPPGGLAASPPPPAAIPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSQAAAGENFFMMVFQFLETFVGSLSSGCITAQNILNYFKGTFGIVMKHYTFSNLSNNSQRFVSAFFHLLSSLAETFVAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSANELPGGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVIGDENRSIENYDDNNGYIPPTYEEGSSSGGGLRMKLKEFHKSTTSFTALDRNYLTPFFTSQTDEDDDVFGEQPQNQRRGFYDQ >OGLUM09G04670.5 pep chromosome:ALNU02000000:9:6625514:6626234:-1 gene:OGLUM09G04670 transcript:OGLUM09G04670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAGSRPVLRNTPSGCAAAAACADQYSAATPDNSSVTFGDDEADNESHSSEGYEPEAKCWKEDADNEGSSGGMGGGAGGKPVRKPRLVVHTLSDIDINIDILDAGFRWRKYGQKVVKGNPNPRSYYKCTTVGCPVRKHVERALHDTRAVITTYAGAVVQRDPAVG >OGLUM09G04680.1 pep chromosome:ALNU02000000:9:6641183:6641890:-1 gene:OGLUM09G04680 transcript:OGLUM09G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVSSSSSSSSSSSPARHHKVRRSRRKLAVDEDWEAAFREFLSRDHDDDDHDHDGQHVVVAPLIRGSDKCVHGHEVVASTVGGGASGGRRRADDDDGERRRRRRREKRSYPYRGIRQRPWGRWASEIRDPVKGIRVWLGTFDTAEGAARAYDDEVRRIYGGNAKTNFPPSPPTPPPPPPPEKPAAERSPSTTPPTTTEDSGDSRILIECCSDDLMDSLLAAFDMTTGDMRFWS >OGLUM09G04690.1 pep chromosome:ALNU02000000:9:6664469:6665233:-1 gene:OGLUM09G04690 transcript:OGLUM09G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGALIPNDYGDKPPPPPSESSEWDATTKMKKKKKRGGGGDDDWEAAFREFIAGDDDDDDGGVSMFPSGAGTMETTTEVAPAVAVVERPRRRRRVRRSYPYRGVRQRPWGRWASEIRDPVKGARVWLGTFDTAVEAARAYDAEARRIHGHKARTNFPPDEPPLPAPSQAPFCFLLDDDDDDGVARGNSPASSSAPDSASACTTSSTVASGERGDELILLECCSDDVMDSLLAGFDVSSEPRSVLVWFLQFFVK >OGLUM09G04700.1 pep chromosome:ALNU02000000:9:6698808:6702801:-1 gene:OGLUM09G04700 transcript:OGLUM09G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT3G52155) TAIR;Acc:AT3G52155] MRPPPAPLSGRASPPPHLLLLSNSSLAPSLPTVAAACRMPPAAAAAARSVSVSTAVDAPTAAAAEPARGDAAPAPAAPPRRRLILLRHGESAAGGRLTRDHDRPLSKAGRAAAISVSNKLQQMGWIPELVLCSDATRTKETLKILQDHVKGLSEAIVHFIPSFYSIAAMDGQTAEHLQKAICQYSSDEILTVMCMGHNKGWEEAASMFSGDSVMLKTCNAALLEAEGKSWVEAFSLAGLGGWKLHGIVKP >OGLUM09G04710.1 pep chromosome:ALNU02000000:9:6703935:6705820:-1 gene:OGLUM09G04710 transcript:OGLUM09G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRGGALPLSAPRAAAGGLRGGGGRGAALLHPTCLSPPGTTGRALGLSCQMKRTRWKPVFALETGGPSNADNQDFEDDGGFLGRTRLGRLIQAAARELLEKLNSARNKSPTKIFLVLLGFYTANALATVLGQTGDWDVFVAAIVVATIEGIGMLMYRKPASRPLGRFWSMITMVNYWKAGVCLGFFVDAFKVGS >OGLUM09G04720.1 pep chromosome:ALNU02000000:9:6706321:6707074:-1 gene:OGLUM09G04720 transcript:OGLUM09G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQVDLIGTNVVARCAAPKPRDCVAPRGGAGEAECLLVFVPGARIHELLQCASSYSSTPCHGDGSHLFFLLQITRKMHHWKGVFTVPPTASSSGSCCASGGTSVPLSSAARRAERERRRRASVGLREGVLKVKGQANLTIDAKDKLLLQLERRDAERAKTSSAAPPTRRVVAAPAVRPR >OGLUM09G04730.1 pep chromosome:ALNU02000000:9:6707085:6707663:-1 gene:OGLUM09G04730 transcript:OGLUM09G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSRRFLRIRHLLLPSRPSSSTSSSRGAPPGKRSHEEASGGRAGQSPSPSRTLAIATTARAQQESSFTAKGHAQGIQGEGATAARRRRAKEKASPDLVSEAQQQEKAGGGIRALWSRADELRILEAMTNHVNTHRSTLWDTCRLFAALASSLDKRDTDLPDLADKVHKRKRWYGNACLQQRSRTDDDNTR >OGLUM09G04740.1 pep chromosome:ALNU02000000:9:6723936:6738715:1 gene:OGLUM09G04740 transcript:OGLUM09G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLGIWLRPASPPEASSCERFNGEVPLLLLVELEGREGRRRCRIRRKRRHCCLLCCPRRRRRCAKTTMSSLPLRLLSFLWKRLGEIPPLPPPAATLPPGSTLLQACSAISSLEHVDFVTELGLVDISSVEAVGKGGKEIAGIRQSTAMAAPLPWTPWAWPFGVKDDSCGARAAAVIERVWLGHRSARRPEASSCECFASEAPLLLLVEEKGRGGGEGEDEVLDPEEASALLPPLLPKEEKRMSQDHHELIAAAASLFLEEKIGGKFDSRVKKSLPIYNSSKNIIRIREHVHMIPIYNEKDHSNIPESASCR >OGLUM09G04750.1 pep chromosome:ALNU02000000:9:6725358:6725555:-1 gene:OGLUM09G04750 transcript:OGLUM09G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHQLHLIGTKVVAQRAALEPGGSVAAGGGSGASPTCIKLFLYTMPWRWEPPFLPPSEHEGNAV >OGLUM09G04760.1 pep chromosome:ALNU02000000:9:6756344:6759721:-1 gene:OGLUM09G04760 transcript:OGLUM09G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPGANSYGANSGHQRDADSGMFLSFSEKTQVQTNKDIPESWKVHPRKDEVDPDGWVVEIHLRNDQKTKDKDYNHKFRSKPEVEYFLDTGKRSADASVPSRALDSESE >OGLUM09G04770.1 pep chromosome:ALNU02000000:9:6773412:6773723:-1 gene:OGLUM09G04770 transcript:OGLUM09G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRGEAQGGRAREEWRGDGREEWCSGEGVERGVATILSLLDRLPLGFGSTKFAGRWGRGAACCGWWERSGARCGSETRVARHVGVVWSYGQLMEMDLRWRPS >OGLUM09G04780.1 pep chromosome:ALNU02000000:9:6782664:6783291:-1 gene:OGLUM09G04780 transcript:OGLUM09G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQLHFNTKTLTTHRAREASARPKRPYYQQTGPPTRTKKTPQPPKDSTAGLGAGDQKKGGASRRGGGLAGLKVKLRTGSKGNKDIGVIHVSSEDLQASWDNPKQLWQPPPTSPPAQREEHRGEQHSNCKKEENGGQPPPTACAETAERGRKSREGRREHGGCQRLERSESPTRPPGRTQSHRLTETAGAA >OGLUM09G04790.1 pep chromosome:ALNU02000000:9:6783326:6783600:-1 gene:OGLUM09G04790 transcript:OGLUM09G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPTEVIQRRARPSRRSLQGESPQGNTSNEETAPIRINVTDPGIPGRAFAQDSLKKCRTSKKLEQEC >OGLUM09G04800.1 pep chromosome:ALNU02000000:9:6808321:6810566:-1 gene:OGLUM09G04800 transcript:OGLUM09G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHANTHRGALLDTSDLFAAFANSLDKRDADQAKLADKATPKAPKVKEQFQLCDGEPKKKPTSTPSQKRNNKRKRVAAFERLWSRAGELRILRAMASHTNTHRSTLPDTCDLFAALASNFNRRDALADKVQKLKRGMTMHAYNSTALLATMVCVDCWIAEQHLVDLINTMVVSPRATPEPGGSIAPDGGGGEA >OGLUM09G04810.1 pep chromosome:ALNU02000000:9:6810602:6811095:1 gene:OGLUM09G04810 transcript:OGLUM09G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARISPPAFSCCCASKTGSGLAFLWLAVAEVQLRLRLGRLGRGLSGVWLGLRPALPPEASSCNCFASEAPLLLLVEDKGPGVGGRRYRIRRKRRHCCPLHCPRRRRGCAKTTMSSSLLRLLRFLGKRLGENSSSGCRKVSGVGGTVK >OGLUM09G04820.1 pep chromosome:ALNU02000000:9:6811609:6815571:-1 gene:OGLUM09G04820 transcript:OGLUM09G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYLTQGTIRRGTHGPSGLPSSGDGKGKGVAITGANPCYSGDDSDGSNSISDSERTVTADFRIFVCILVVMVIRRWILVRLITTRQIQKPIARELKAASFGMIANSFSNSLHFSPMWTLSCSQEKGKTQVKNNKDIHESWKVQPRKDEVDPTGWDIENHLRNDQKTKDKYYRHKDCNHKFRSKPEVQYFLDTGKAAGATPIQRTCCCSRLLHDARVYEVFQDYMVSYLLDVRAPSGARYEDDGTIPRDPSLSYRGKYLRASKVYNKERSFKAIEMAGVIGLFAIDDRRLMLCV >OGLUM09G04830.1 pep chromosome:ALNU02000000:9:6830863:6833650:-1 gene:OGLUM09G04830 transcript:OGLUM09G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKPSGLPSSTGGKGKGVPVVGANPWHSDDGCDGSKSSSESERTVTDDYFGIFVHPGHGDQEVDSRKANRHEADHSETDSERTGSAGLGKIANSAGNSHHFSDVDSGLSPEGKRQKSARFQPERILSGGNSYGANSGHQRDANSGMFLLSSEKTQAKTNKDIPESWKVHPRKHEVDPDGWVVEIHLRNDQKTKDKYYRHKDYNHKFRSKPEVQSFLDTGKRSADASVPSRDELYTGRPAV >OGLUM09G04840.1 pep chromosome:ALNU02000000:9:6843156:6843392:1 gene:OGLUM09G04840 transcript:OGLUM09G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSHAIAILFIFLVASPPSPSHARMVPNDDAQHVPPTPAKGGAGRSRALWSAPSDGVGH >OGLUM09G04850.1 pep chromosome:ALNU02000000:9:6846397:6846780:1 gene:OGLUM09G04850 transcript:OGLUM09G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYSIAVAVCIVFVVMSTIPSCYGDEETCTDEVPHCKIVACTNKCRTHHHPKYTARCIHNTNPEQCCCKKDDAGVTK >OGLUM09G04860.1 pep chromosome:ALNU02000000:9:6947709:6948500:-1 gene:OGLUM09G04860 transcript:OGLUM09G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASEGKANIISDQPHAPAIARSSTVFCSGTASTSHCQGCPRGLQIIPRDGDEHILSPLTRLTYQGDTALHMVAASGSDDAENFLKSADIICRSGRAMELLVTPNCNGDTPLHSAATAGNLAVVRKLIHLRKCTADGSAAAATAAMLRRENKTGETALPGAIRFGSVDMMRELLEEDPELVCVPRSGTGTSPLYLAVLLGHTKMKDCRGDTHKVIAKAPNRISFCGPDGQTAMHAAVLRGKGTPLF >OGLUM09G04870.1 pep chromosome:ALNU02000000:9:6949006:6949853:-1 gene:OGLUM09G04870 transcript:OGLUM09G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRDDARLPCGLRRCSLAPRGRSAAPHCRRHHAPVARDPAHCRLDEGRLRPSRAPSPATPESQSLLESDTKETMPKIGTTKSLNNKINVQEELQYASQSQNYITKFHSLAPDPTSAVATLSICGRRRRCHRHRREPSPPDPPREE >OGLUM09G04880.1 pep chromosome:ALNU02000000:9:6968195:6969279:1 gene:OGLUM09G04880 transcript:OGLUM09G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVIMALVAMFAIFSTCHAVSTTNCIALYCITESRLHCFKEVNCFTQKCSDKCRDFTQIPERSYCTFTHETQCCCPLADSQGLASAKVSGSDKDDINIIGSGGGHDRGGRGGGGGRLLGRGHGGGGIPGGGQGGGIGAPGGGHGGAGHGRGSAGGGRGGGAGGSHRGGGGGRGGGLPGRGHGSGGAGGSSGGGRRSPPSHGHGGQGMNQDGDVS >OGLUM09G04890.1 pep chromosome:ALNU02000000:9:7052959:7053462:1 gene:OGLUM09G04890 transcript:OGLUM09G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMRLVGAAMLALLYMVASFQGASGAAAGGSPSSGGGRSSGGSSSSGSSSGGSGTRSPVGSASSSSGRNPATTGSPGGVRSPATARAGSPGGGTGTTPVGAGSPGGGRSPVGGGTVPAGAGNQGSGSWSGDYGSRSSDGGGRMLCRPYNIEHIAIFFMLVLAAF >OGLUM09G04900.1 pep chromosome:ALNU02000000:9:7063623:7064054:1 gene:OGLUM09G04900 transcript:OGLUM09G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRMSLVGAAMLALLYVVASFQGASRAASGGPPSSSGARTPTSGGSPGGSGTRSSAASTSSARAGSTPAGVRGSPAGAGGSPGGGSGSTPAGGGRSFAGGGSADDGDDGTGISSSGGRMLSRSYDVKHFFIYFMLVVLAAF >OGLUM09G04910.1 pep chromosome:ALNU02000000:9:7065017:7065385:1 gene:OGLUM09G04910 transcript:OGLUM09G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRLPTVGSRRLPAHRRIWPTTHRFGHRGLSPDRQPLPPPPPHHQPPDPATAASPPTVGRFLLRHHTANHGSGHRDFSLDRRPLLPVLQHRQPPDPATATSPPTVGRFLLCHCTTNRQILP >OGLUM09G04920.1 pep chromosome:ALNU02000000:9:7073512:7074175:-1 gene:OGLUM09G04920 transcript:OGLUM09G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVDRLRRPCQCLIDHGVVNAPPFVIVGRYRWPLFTSSKSSGDGGGAVVSAATTVAQSPGSGRSMSGGGMQQCAAAAAAAADLFFEIFGFFWLL >OGLUM09G04930.1 pep chromosome:ALNU02000000:9:7118869:7119224:1 gene:OGLUM09G04930 transcript:OGLUM09G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLDFDQNNPIDCDLNNPADCEMMKMEKDKKNVLEKMSFTMHIHLSLLTIVTAIPGTSPPTPPMPTEKPSLALAMSSSMIHRLHVFQ >OGLUM09G04940.1 pep chromosome:ALNU02000000:9:7164266:7167143:1 gene:OGLUM09G04940 transcript:OGLUM09G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSWGWSPNDESAAGSWLQAIYLGFLTGGTSAVKPTTPRWSDRLRRQATIAISDAPHPCVRDGVASLATTDMQHLHGDVLESVVERVPAPDLAAAALVSREWLRAVRAALRRRMLRLPWLVVHVIHLRGQRRLAAAYDPRSGAWLAVPTAPPARHGATSPPQPHSHVRLMRGASGDRVCALSLSGLAVARDALGMDDDALVVALKAPGVWRVDPVLAAVGDRVVAMGGACQLALGDGEDTSAVEVHERGGWTHCGAVPAALRESAAAAATWLSTAATDQRVYVADRATGTASWFDPAKQQWGPTSRLRPDAAVSTWGLAAGRAGAEKIILFGVKHADSRVVIRSWELDGDSLSLSHGAAAAHDTMPSEMSERLFPHGDDGEEETSSLSIGVCGNTAGGYVYNAAVPATGAVLYELRRGGVEGGGVERWAWVACAPVVAEAEALGRVILACSPVGLHELADERLAH >OGLUM09G04950.1 pep chromosome:ALNU02000000:9:7190057:7190427:1 gene:OGLUM09G04950 transcript:OGLUM09G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPRFSFDQIWRGGWRVLEWWGPGPALKGGGSMKSADGLASLVDGNLQSRVKGLRQKPSPVVHRAGNGYDFGRRNLLGALSRVTLSLARRATLGENHIFLDGR >OGLUM09G04960.1 pep chromosome:ALNU02000000:9:7221473:7224638:1 gene:OGLUM09G04960 transcript:OGLUM09G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIQKELMDLRKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYAGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHVYKSQRPRYEETARAWTQKYAMG >OGLUM09G04970.1 pep chromosome:ALNU02000000:9:7228952:7232596:-1 gene:OGLUM09G04970 transcript:OGLUM09G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFMAAAAFLTLVVVLFLAPAPAIAVGEEAAALLAFRRASVADDPDGALASWVLGAGGANSTAPCSWDGVSCAPPPDGRVVAVDLSGMSLAGELRLDALLALPALQRLNLRGNAFYGNLSHAATSPPCALVEVDISSNALNGTLPPSFLAPCGVLRSVNLSRNGLAGGGFPFAPSLRSLDLSRNRLADAGLLNYSFAGCHGVGYLNLSANLFAGRLPELAACSAVTTLDVSWNHMSGALPPGLVATAPANLTYLNIAGNNFTGDVSGYDFGGCANLTVLDWSYNGLSSTRLPPGLINCRRLETLEMSGNKLLSGALPTFLVGFSSLRRLALAGNEFTGAIPVELGQLCGRIVELDLSSNRLVGALPASFAKCKSLEVLDLGGNQLAGDFVASVVSTIASLRELRLSFNNITGVNPLPVLAAGCPLLEVIDLGSNELDGEIMPDLCSSLPSLRKLLLPNNYLNGTVPPSLGDCANLESIDLSFNLLVGKIPTEIIRLPKIVDLVMWANGLSGEIPDVLCSNGTTLETLVISYNNFTGSIPRSITKCVNLIWVSLSGNRLTGSMPGGFGKLQKLAILQLNKNLLSGHVPAELGSCNNLIWLDLNSNSFTGTIPPQLAGQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAEFPAVHLCPSTRIYTGTTVYTFTNNGSMIFLDLSYNGLTGAIPGSLGNMMYLQVLNLGHNELNGTIPDAFQNLKSIGALDLSNNQLSGGIPPGLGGLNFLADFDVSNNNLTGPIPSSGQLTTFPPSRYDNNNGLCGIPLPPCGHNPPWGGRPRGSPDGKRKVIGASILVGVALSVLILLLLLVTLCKLRMNQKTEEVRTGYVESLPTSGTSSWKLSGVCEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLIGSGGFGEVYKAKLKDGSVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKLDWSARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDNNLDARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPTEFGDNNLVGWVKQMVKENRSSEIFDPTLTDRKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDILDGFSINSSTIDESGEKSM >OGLUM09G04980.1 pep chromosome:ALNU02000000:9:7250014:7252490:1 gene:OGLUM09G04980 transcript:OGLUM09G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPWKQLYITTNKEEENDDDMTFDTHVVVAATIARETLDNEVDNDHGHSCNVNGFPRKPDSNKGLPSEEEDDDEGKGDNLSDRIATLVPNHALGLLLDDDHDWPLLHHDPRHLCMVLHYVLHYISYPECHTEKTNDGLDDYDDVEHMLLILSNAYPVEVGEAPKVLTEASLQYFLVQVMNELGYKFTLPDPYLDRRLQAPLHTSIFVRSNTNS >OGLUM09G04990.1 pep chromosome:ALNU02000000:9:7266042:7269641:1 gene:OGLUM09G04990 transcript:OGLUM09G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0Y6] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKCRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKYVDETKYPNGELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGMRVGDKRKLTVPPAMCYDSKAIGEVPKNSSIIYEIELVKVRSKSTS >OGLUM09G04990.2 pep chromosome:ALNU02000000:9:7266042:7269780:1 gene:OGLUM09G04990 transcript:OGLUM09G04990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B0Y6] MAFWGVEVKAGKPYTHRHDPSHGRLRICQATLGSCDSATRTIVQCNVGSKTPIILCSLNPKLAEMCHLEVELEEDDEVVFSVLGQSSIHLSGYYIRSSGRSNAGDDESESYGEDVGESDTDEEFNASDDSYESDFIDDGDVEVSEDKCRSDSVDDGDACSTPDHHKKKDKVQKRRRLKKKHPADSSDDNNDDSSHRPVVRRKAYSMFDSCSEDEDNMSVPVSLAKKENTKYVDETKYPNGELNDDTTKKSNGAKKRKGDAISQDHAPLMDLTNADEPLVSKEGRTKKKSKKKGGKQLEVGDGKHSNKIRTLEDGLIVEDLSTGNLDAEMASNGSKVSIKYVGTLQDGKIVESNVGEKPYKFKLGMRVGDKRKLTVPPAMCYDSKAIGEVPKNSSIIYEIELVKVRSKSTS >OGLUM09G05000.1 pep chromosome:ALNU02000000:9:7270564:7281127:-1 gene:OGLUM09G05000 transcript:OGLUM09G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLAVASPLPPAAARRRPRASASGREVISQCWKCEINQDQPLGNSLRIGHSQGSLQRHGSRNLLAAAAAISIEQAEVSTYLPKGDMWSVHKFGGTCMGTPQRIQNVADIVLGDSSERKLIIVSAMSKVTDMMFNLVHKAQSRDNSYVTALDEVFNKHMAAAKDLLDGEDLARFLAQLHSDISNLRAMLRAIFIAGHATESFSDFVVGHGELWSAQMLSYAIRKSGVPCSWMDTREVLVVKPSGSNQVDPDYLESEKRLQKWFSRQPAEIIIATGFIASTAENIPTTLKRDGSDFSASIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNLSAPGTTICKQPANENADLDACVKSFATIDKLALVNVEGTGMAGVPGTASAIFSAAKDVGANVIMISQASSEHSVCFAVPEKEVTAVSTALHVRFREALAAGRLSKVEVIRGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAVHSRFFLSKTTLAVGIIGPGLIGGTLLDQLKDQAAVLKENMNIDLRVIGISGSRTMHLSDIGVDLNQWKELLRKEAEPADLDSFVRHLSENHVFPNKVLVDCTADTYVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGTDVIILARESGLRLELSDIPVKSLVPEALRSCSSADEFMQKLPSFDQDWDRQRDEAEAAGEVLRYVGVVDVANRKGRVELQRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >OGLUM09G05010.1 pep chromosome:ALNU02000000:9:7289779:7292795:-1 gene:OGLUM09G05010 transcript:OGLUM09G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEGSSSRRWALGDPDVVEVSPEAAAAAHHRIGFCSDSTKSIVVAGCVRTVAHEIIDLDANDDLDGVMIICEKASSDKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >OGLUM09G05010.2 pep chromosome:ALNU02000000:9:7289777:7292892:-1 gene:OGLUM09G05010 transcript:OGLUM09G05010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIICEKASSDKSNHSVSHPSDWPKHPKSGLAEDVPGPSHQPGTSTVLPWGCTTPGRPRKHKEIKTVDNKIDEKYKAFKQFDTVTDHSDHYYSMSGKGNVPEVKKPSKDWVRRIQHEWKVLEKDLPGTIFVRVYEDRMELLRAVIVGPAGTPYHDGLFFFDVYFPSQYPKKPPLVNYRSGGLRLNPNLYDSGKVCLSLLNTWSGHGCEKWNPSNSTMLQVLVSIQALVLNAKPYFNEPGYAASANTPQGEKMSMAYNESTFLLSCRTMLYSLRNPPKHFEDFARVHFCKYGRNILVGCKAYMNGAQVGCLAGNGVQDVDEGDKSCSANFKGSLKTLFDELIKEFTRIGVHCHEFQPQRVNPGSTRAKADTTLRL >OGLUM09G05020.1 pep chromosome:ALNU02000000:9:7323549:7332757:1 gene:OGLUM09G05020 transcript:OGLUM09G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIPAAPATMNPKLLMAARHGDIETLKRLLAVNTAQPPPQVVLQVDRPAAAAPSAAANTLLEGVTSEGDSALHVVAAAAVAAACGDDDDDVFLDCAGVIHGAARHLIRARNSNGDTPLHRASRAGSVNMVRRLIAMAKDEAGDDDHDDHDDGGERRRQRAAVELLLRAQNKRGETALHEAIRSNSRDLVVDELLSHDPELARVPGEEGGTSPLYLAISLRRFEVAKKLHERDEQLSYSGPQGRNALHVAVLIGKGPTEMILGWNGGLAKQGDEKGRTPLHFAASTNRLSMRAMVKLLLEHDRSCVYQPDEEGSYPIHVAAALGGVAGLFAVKLMIEFCPDCAGLRDGTGRSFLHVAVDNLCPSVVALARFSPGLRSAVMNMQDENGNTALHQAVHVCDIMIFFFLLIDRRVLLDVKNNMGVNEKRVEKEERGELSMIYKDAAQNLTIGAVLIVTVTFAATFTMPGGYVSSSDDDGERRGTPTLAGTYAFDAFVAANTLAFMLSGMATFSLMYAGYTPLDFAFRERCVKLSMGLLHSSVRSVGAAFLTATYVMLARVAPKLVVAVYVAAAVGLFYINFEVWMLGWMTLALLSRGDILAALIVGLQTVAVAFWFSWPFAVIFVLPLILKGQ >OGLUM09G05030.1 pep chromosome:ALNU02000000:9:7366886:7367845:-1 gene:OGLUM09G05030 transcript:OGLUM09G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMPRVPPPSPPSAVTSSAAMELMPRMPPPSPPSAVTSATTTTTMELMAGGVHKRRKISDEIAAAATSPPPQQQRRQRCVPDNDGSKESSGESSVVILDDDDDDADALEDGGAVRSKLRLPDARGDEQHAGTSDSMAGTSGGAAVTGGGHGKLLPDLNVVATVAPDHESEEGRHARDAPGRRQDGGTSTTTTMVAGAGVERGSTTGHLRAAVAGYRRTLMLFLEEEDDDDAVEDEQQPAPPPLPPTTSTATAAEANVQRQRQPPCCTFVVHPCAAHAKMRHGAAYGCGCRVTGAVQRGGYHLPRRAVLTTTTTTTTGQ >OGLUM09G05040.1 pep chromosome:ALNU02000000:9:7389652:7392323:-1 gene:OGLUM09G05040 transcript:OGLUM09G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCQALSIDDNRLLPSPSLAVISILSARRRPPTRLPPPLISHRAPLPSPPRCPSRALDKDGAWRARRLAVLLHSLARALILLLTDGAVLPPPSRLLLLLLASVRPAGEHDVVVTGFSYHVQMRSAVSAQRRIQQSSTVDLTKGSSASLFPFEKFDVVTHSMLRKYDSGVKMIEISKMVAEILKDRPSWHRDCRCIDIIHVIRTGNGGTIELIYMQTYAPTTLAAPLDLGRYYTSGLEDGSLVVAHYMGFNKA >OGLUM09G05040.2 pep chromosome:ALNU02000000:9:7389652:7392323:-1 gene:OGLUM09G05040 transcript:OGLUM09G05040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWCQALSIDDNRLLPSPSLAVISILSARRRPPTRLPPPLISHRAPLPSPPRCPSRALDKDGAWRARRLAVLLHSLARALILLLTDGAVLPPPSRLLLLLLASVRPAGEHDVVVTGFSYHVQMRSAVSAQRRIQQSSTVDLTKGSSASLFPFEKFDVVTHSMLRKYDSGVAEILKDRPSWHRDCRCIDIIHVIRTGNGGTIELIYMQTYAPTTLAAPLDLGRYYTSGLEDGSLVVAHYMGFNKA >OGLUM09G05050.1 pep chromosome:ALNU02000000:9:7408689:7412168:-1 gene:OGLUM09G05050 transcript:OGLUM09G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADADACPAVFASRHPTEQELISSYLHPRLLLATTKPASAGAAGGVPSFIHHADAYAADPAELTARHLPARAADGTRAWYFFSPVRTTTERGTRRARAVESGDGCWHSESAVRAVVDAAGRRVGHRQFFSFVKKREEDGKRVRTGWLMVELGVDNDAASASSSNELVLCKIYMTPRMPPPSPPSAVTSSAATMELMPRVPPPSPPSAVTPARSTLAGTT >OGLUM09G05060.1 pep chromosome:ALNU02000000:9:7424322:7430066:-1 gene:OGLUM09G05060 transcript:OGLUM09G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEYQTMPSSAHDKRYSGLSNGGDKEQLPSQDSEILLTSSAVGNAMPLERPLKNVSPTTKIVVKPNEELKSPFLVKRHLFLKRPDPSILDELYSLTTQVTDENSRQAVWVSSNLPINLKLEDIQETIKHVGVMDINYMGLAVRIMARQDAEIFKNTKCLGWRHYVDSNWKQYINDPNNLRNEYSRLSTTYCPSGSHLVLIPVLADGHWTLYAFNMHDKKLCILDSRRDTSEGGDQDPVKRHEKIRKEVCHALNETMDVDFNFLSWKHEFPKVPRQQNSYDCGFFVFNFMRLWDGHRLIRWFSTETKELRKNFLAYILSSSDDHSAMPTNVSELIKKLPGETMMNVELLEAARAGSTKAFCELVIDPARSLGVPSRSRDVPLPLVQIVDNEGTSPLYLATTLRRDSIVKVLTETASGMPRAASYSGPAGKTALHAAVLFSEELSSTLVNWNHSLIKIRDESGSTPLHYLADGKYTTEPSCISVTKLLLQKDPSSGYCEDSEGSLPIHIAAANGTLGIIDQLIKLCPGCESSCNASGQTILHIAVQTESHDVVRFVCSNEMFKMVLNMKDYDGNTALHLAVQKGHNKTFGILMGCKNVSLSIRNRNGYTPLDHAVLNKTSGLTYATYWPGHQRWVCNSLLAAGADFGTFRADHLSSKIPEQAKADREAFSDTLSKSAAVMATCAALLFNAALNIFLNVQAIYHNNNTSTNNNNATQGSDQLKQIQKVQKLSGDSLSISACAILLFAIAGFPILPGVIGRTFALILGLGVLIGSSMISLQALAARLDLAKVYGTGIGAFCVIFSLLCVTLCTNLLRKIVQHARPLWARCGARGFFRSILNVRRAQNYSAIPLLQVCALIEVLLLTCLVMSSSIEIVTKNFLIPLQLVRR >OGLUM09G05070.1 pep chromosome:ALNU02000000:9:7454764:7456905:-1 gene:OGLUM09G05070 transcript:OGLUM09G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTPFTISFPTQSFAETSPAPPPAAPALFGRRRSRTPQPELPPPLDSPRLTYAHQAAALSGVRRSRRCRLHLAADASHATAAFGASEISVPGDDGLYDYIKSEDHEEKDACEDPVDPLEWDSYGF >OGLUM09G05080.1 pep chromosome:ALNU02000000:9:7471476:7476268:1 gene:OGLUM09G05080 transcript:OGLUM09G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 1381 [Source:Projected from Arabidopsis thaliana (AT2G31340) TAIR;Acc:AT2G31340] MAAASAGAAGRRRAWRVIPRPVLETVLHNHALRPRVPQPLVLHGPRGVGKSTLLLRRLLPRWSEPPHAAAFVDFLRPGSDAPWSLLLPAAEGAAPSLPDLRLRLESALEGLARDAVLRGAVGSKDVLAALSRSHGLHTALTRLAGPAARRGGRGGGGYPVPTLWARAVLAASSSAHGDDSTFCIGEGEATNCSMEEKAYMQEAMAALRVAKEVLGMQEGWRKEAVREMNRTGRYSRPLANSATDWPCLLLDVLSGAAEVDFFQPKLVLNNVDVLRKATCEDDTMVPAAMYHDSLIWRVIALGANEQCLPVIMSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVPEFFSEKEVWKVVDEVLGTNPRQLSEIYMLKQNADSTGVLHDQNIEEIIDIYLAHLQVSVVNPAMEAALGMVQKFASDVREGKVPENRLSFGAPWRHPPRGDNPDASYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEIFDDPAALAMSEACSAEVTIELSRINSILMATCDTWAKLSTLDERSRLQVEISMEQDRSPLSILALHMQLT >OGLUM09G05090.1 pep chromosome:ALNU02000000:9:7477415:7478002:1 gene:OGLUM09G05090 transcript:OGLUM09G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRSAHVDAAVIAAAALLLLAATAAEGAVSSWESNSDEDYHIFVDNRMSDNMHLSCYAVQGGGRSEFYHSFRADPGREVQLPYLQPAPNARLVCKWACAGNYLKGVTLFSSSWREATSGECRRRGGGCNVVFDGHEMFVDGRSGGGGRRLLGDLPQHECQKMLLVFNRRCWFKSHRHPYVGRTMNGLTDYLMA >OGLUM09G05100.1 pep chromosome:ALNU02000000:9:7481727:7482947:1 gene:OGLUM09G05100 transcript:OGLUM09G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10630) TAIR;Acc:AT3G10630] MAPFASGGGYCSEAWSYVASLEEHAADAAAANFTLAIAHHGDLESPEFWLGLPEESKNMAYRLATARCELSRAVVVCHSEPGAWYPPMYESLPCPPTGYDEPAFVVGRTMFETDRVSPEHVRRCNQMDAVWVPTEFHVSTFVKSGVDPSKVVKVVQAVDVGFFDPAKHAAIPLPIGVPVMAPDDSRLDLVNSKGKGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLINAYHSDTDFDRKIRSFVKDSSIEKPMDGWAEVRLIDEHIPQSALPRLYKAADAFVLPSRGEGWGRPVVEAMSMELPVIVTNWSGPTEYLNEENGYPLDIDRLTEVTEGPFKGHLCAEPSVDRLRTLMRHVFSDREEARRKGKKAREDMVERFSPAIVATIVADKIQQALAST >OGLUM09G05110.1 pep chromosome:ALNU02000000:9:7489554:7492749:1 gene:OGLUM09G05110 transcript:OGLUM09G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding family protein [Source:Projected from Arabidopsis thaliana (AT1G76570) TAIR;Acc:AT1G76570] MGYYNRNGKASKCCRQRRRSREPRQCSGLVISIAPRVRPTSSRSPFAINLLDADRWVPPMPPPLLLRLRSPAPPAAAGSLSRRRRRAGLAPVRASWQELAGVLVFSAVPFTAVKALANSPLGARLRRRLDDRKAAAAAEADALRAAARQARTASSWYGDERPRWLGPVPYEYPAHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVVPELLDLFGVVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGLYLPGDINYPGGALFDPLGLSKDPVAFEDLKVKEIKNGRLAMVAWLGFYIQAAVTGKGPIQNLVEHLSDPLHNNILSSFV >OGLUM09G05120.1 pep chromosome:ALNU02000000:9:7494414:7502044:1 gene:OGLUM09G05120 transcript:OGLUM09G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRRQEDWGKGLNHNPCIGGLPGIVLSCLLQGQPLQLHSVRMGEHLHPHNKQIASIAFHAKGELLAVASGHKLFIWDYNKRDEASDPPMILRTRRSLRAVQFHPNGAPYLLTAEVNNLDSADSELTHATSSGYSNSPSAVFFAIMNSACCPYSESRFSSPCLIWPAYVRDDGSICLLRNDWVSGSSDVQQPSDSETQQAGHMITPMDVCPGEPGVNNYDDEVSASLSTRIEMHTPSWQNSSRFHNSNAAADLHRIDIRQVSDLSSDTPNPEMPAHSRIDVPNSMPMDLFASSNTIDVQMFLRDVEAGHHHNNYTGGSHSWELPFLQGWLMAQNHTGLRATLPNNEVIGDLPISGTAGTDNVMNESSNMYSFERVGPSSSIPITTDSLRGLSKHRHMLASVPGGAGSSLQGAQNGEARVNVVSLGIGSEFATTLFAGDGAELPCTVKLRIWRHNIDNPCAVLAPEACCLTISHAVLCSEMGTHFSPCGRYLVACVACLLPQTEVGEHVSQSPVQYDSTGAGTSPTRHPLPSRRVIYELRVYSLEEETFGTVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHNSLLRGIFMDGKTTIPVYTVLEVYRVSDMELVRVIPSAEDEVNVACFHPSPGAGLVYGTKELISTDSYAQ >OGLUM09G05130.1 pep chromosome:ALNU02000000:9:7503494:7513607:1 gene:OGLUM09G05130 transcript:OGLUM09G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ferrochelatase 1 [Source:Projected from Arabidopsis thaliana (AT5G26030) TAIR;Acc:AT5G26030] MANLKTVAIAICVRFALCEALHGCFEIGARSWVAIATTAIPGTPFPLPPSDLSSLARSRRRLPPSPGGGSMECVRSGSGVLDPRCSPRFLGKKGGSLTSCGKATSTNLAICTKHEQNLHGNVKPSQLAASGSSYSVHRSPVLKQRQNLSARSTSADVYTTFDENVRAVSSHAAEEKVGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKVALKKKNLNANIYVGMRYWYPFTEEAIDQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSYFAGLPISIIESWYQRDGYVKSMADLIEKELSIFSNPEEVMIFFSAHGVPLTYVTDAGDPYRDQMEDCIALIMGELKSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQQGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGVENWGRVPALGCTSSFISDLADAVVEALPSASALVTKKKVDESDSDMDLMHYLSKMFFGSILAFVLLLSPRLISAFRNTLL >OGLUM09G05140.1 pep chromosome:ALNU02000000:9:7510564:7513492:-1 gene:OGLUM09G05140 transcript:OGLUM09G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMSCVNHETGAVDSRKFGVLANWQREYTMETILTQLKKEMATPQNRKLVQPPEGTFF >OGLUM09G05150.1 pep chromosome:ALNU02000000:9:7523904:7525481:1 gene:OGLUM09G05150 transcript:OGLUM09G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGAMVAAGGGGGRPEYPGGLTMFVSMACLVAATGGLIFGYDIGVSGGVTSMDPFLSRFFPSVYRAQSAAAAAAGGGGGNQYCRFDSPLLTMFTSSLYLAALASSLGAATVTRVAGRKWSMFAGGLVFLAGCALNGAAANVAMLIVGRVLLGVGIGFANQSVPVYLSEMAPARMRGMLNNGFQMMITTGVLAANLINYGTARIAGGWGWRLSLVLAAVPAAVMTAGALFLPETPNSLLERGRRGEARRMLQRVRAEGVDVEDEYNDLVAAGEASRAVASPWRDILRRRNRPPLVMAVAIPLFQQLTGINVIMFYAPVLFRTLGFGGGASLMSAVITGGVNMAATLVSLLAVDRVGRRALFLEGGAQMVASQAAVGALIGARLGWSGTAAIPAGYAAAVVAAMCVYVAAFAWSWGPLAWLVPSEVMPLEVRPAGQSITVAVNMAMTFAVAQAFLPLLCRLRFVLFFFFAGWVAAMTAFVALFVPETKGVPIEDMAAVWSDHWYWKRFVDGDGARRRGDIEMGHK >OGLUM09G05160.1 pep chromosome:ALNU02000000:9:7527620:7530644:-1 gene:OGLUM09G05160 transcript:OGLUM09G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAAAVGLLRPCGATAAAAPRQLRNPSPRGFGVGVGQPPRGLRLSAVAPRAGISARRIGLVPASPEQEEERRRGARDVAVAATAAAAGEAGAEEGGGLAKTLQLGALFGLWYLFNIYFNIYNKQVLKVFPYPINITNVQFAVGTVIALFMWITGILKRPKISGAQLAAILPLAMVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSALFLGEMPTPFVVLSLVPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNITLFSIITVMSFFLLAPVTLLTEGVKVTPTVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTGVALAGVFLYSQLKRLKPKPKTA >OGLUM09G05170.1 pep chromosome:ALNU02000000:9:7532279:7532542:-1 gene:OGLUM09G05170 transcript:OGLUM09G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRSRPDPNSPLCREAADSPLRLGDAEREREEPDPEPPCRHYTGEMLRGKGMRKATTIRPRRLAEAGGGEADAAGGGGGGEEVGV >OGLUM09G05180.1 pep chromosome:ALNU02000000:9:7533143:7533740:-1 gene:OGLUM09G05180 transcript:OGLUM09G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHARVALFAAVLAAVLAASTAGFISNEAVGASAAASGGGGGGGRSLLQAKKDCPVNFEEANYTVITSRCKGPMYPPALCCQALKDLACPFTAYINDAQTTCAASMFSYINLYGKYPPGLFANTCKEGANGLECPEDTPQMKPGEDKAASSAAAIVARPVLAAVSAFLMLIVS >OGLUM09G05190.1 pep chromosome:ALNU02000000:9:7534627:7535241:-1 gene:OGLUM09G05190 transcript:OGLUM09G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLAAATTTVPAIGVLLLLALAPSPATATVPARRSAVSYYASVENRLPAAAGMELVCRALGPGFDVYPELSVVPRGRVPRGGARVAEVLIEPGPGRVAWVLCSWGYEGNYLANLKLFDTEWPEAAACQDPAASGGELCRLVFEDDAVSVVAPGGERRVVGDLPVKRCRRHWLLFSTGCTYPDHPNPYAGRLLRNALEFFAV >OGLUM09G05200.1 pep chromosome:ALNU02000000:9:7536794:7537065:1 gene:OGLUM09G05200 transcript:OGLUM09G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGPLARTGKGGNGTGPTNKGGGPGATAYGDLAIGLPLRGDQVPCLRLVIGDLAILELLPSATTSPLWPRCSRTEKE >OGLUM09G05210.1 pep chromosome:ALNU02000000:9:7585176:7590000:1 gene:OGLUM09G05210 transcript:OGLUM09G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0B110] MESGVKFLLVSSEGQETAGAPKSLTFFFFFFHCLPTKRAARTQGEKKKTAPRTNCKVTRRARREMSMNADLGKPRELTGLQQRRALYQPELPPCLEGKAIRVEFGDSTTTIDPTCANMVAQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDALSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYVGRKFSSVCHFFGYQARGSLPSNFDCDYAYVLGHICMHILAAGLNGYMAFATNLKEPTNKWRCAAVPLTAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDESGANVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFYAELPLFNLN >OGLUM09G05210.2 pep chromosome:ALNU02000000:9:7585176:7590000:1 gene:OGLUM09G05210 transcript:OGLUM09G05210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:A0A0E0B110] MESGVKFLLVSSEGQETAGAPKSLTFFFFFFHCLPTKRAARTQGEKKKTAPRTNCKVTRRARREMSMNADLGKPRELTGLQQRRALYQPELPPCLEGKAIRVEFGDSTTTIDPTCANMVAQEFPNTFGQPLVHFLKPNKMDAQANDEHPPIRVGVVFSGRQSPGGHNVIWGIYDAMKTQNLQSVLLGFIGGTEGLFANQTLEITDDALSAYRNQGGFDFLGRTVDQIHTTEQVNAAMSTCCDLDLDGLVIIGGVTSNSDAAQLAETFANHNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAISAGKYYHFVRVMGWKASHVALECALQSQPNMVILGEEVAFSKLTLKEIISKICDGVQARAAQEKYHGVLLISEGLIESIPEMFALIQEINILHSNKVPENNIPSQLSPWATALYNYLPPFIRRELLLHQDSDNSAQLSQIDTEQLLAHLVEAEMNKRMKEGKYVGRKFSSVCHFFGYQARGSLPSNFDCDYAYAMMSVKRHSRSPGAVPTGKPVIHPSPVDLQGKAYALLREKASSFLLDDFYRTPGGIQFDESGANVKPITLTVEDQDYLGDIELLQDYLEKVRNIVKPGCSREILKAAISSMSSVKDVLKVMSAPFYAELPLFNLN >OGLUM09G05220.1 pep chromosome:ALNU02000000:9:7588765:7594947:-1 gene:OGLUM09G05220 transcript:OGLUM09G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B112] MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAVAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTGADITFSTSFTDDIELIAAFRISLEHPGFTMFLTWDISLTSFNYRSTGEGWITGFPVGTAPGLRECLFTLIIAW >OGLUM09G05220.2 pep chromosome:ALNU02000000:9:7586227:7594947:-1 gene:OGLUM09G05220 transcript:OGLUM09G05220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B112] MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAVAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTEINIYIY >OGLUM09G05220.3 pep chromosome:ALNU02000000:9:7587213:7594947:-1 gene:OGLUM09G05220 transcript:OGLUM09G05220.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B112] MAMMAMGAASWAPIPAPARAAAAFYPGRDLAAARRRRGAAAAVAARRPFVFTPRAVSDSRSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNISGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEERATAFGLMKIDDEGRIIEFAEKPKGEKLKSMMVDTTILGLDTERAKELPYIASMGIYVFSKDVMLKLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIRHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETETDKKALSETGGIPIGIGKNAHIRKAIIDKNARIGENVKIINVDNIQEASRETDGYFIKSGIVTVIKDALIPSGTTIIECTFPAEIASRQTLLISWEFT >OGLUM09G05230.1 pep chromosome:ALNU02000000:9:7607453:7607650:1 gene:OGLUM09G05230 transcript:OGLUM09G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPNVSPHISFEAAPVADEATTPSRCIAPKVVPLARCSEPEARSGELVGAAAAEEEEEEVDLMS >OGLUM09G05240.1 pep chromosome:ALNU02000000:9:7627383:7633095:1 gene:OGLUM09G05240 transcript:OGLUM09G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKEDDRRRGGGGGSSTPGMNLKNLVSREYFGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDCVDQLCWDPKHPDTVATAAADKSIRLWDARSGKCQVVELSGENINITYKHGGTQIAVGNKEDELTIVDVRKLKALHKFKFNYEINEIAWNKTGDLFFITTGLGNVEVFGDPSLDDTLHVVGKLNAHTAGCYCIGMDPLDRWPVRTVSFNHTGEFLAYASEDPFIDIANVQTGRSIHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFEST >OGLUM09G05250.1 pep chromosome:ALNU02000000:9:7633745:7638292:-1 gene:OGLUM09G05250 transcript:OGLUM09G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRCAGGGDGGGEGMLARLRRAAARRIGLSCASFFSHAATSPSPPPKTISCSALNAPADSTDEDQEKLEEPTSTRMADKNLCAICLESLSTGSVDIDNGDRPAIFTSQCSHSFHFLCIASNIRHGNVTCPICRAQWSQLPRDLKVPPLLQNNQSDPILRILDDNIATSRFNRRSSIRAARYNDDDPVEPYTLPEHVDPCLRFALIPSPVAAHHHALGHYPCGRVMPLQQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALAVFSMRAIDRLAIVTNATTATRAFPLRRMSSHGKRMALQVIEHLCCVGGTDPVGALQKGLKILEDRAHQNPSSCILHLSDHPIRSCFGVDMNRFNIPVHQFHVGLGFGVQNGFVMHEFEELLARLLGGVISDTQLRIGEHGGVVRLGELRGGEERRIPLDLVSDCGFILVGYSYLEGGREDQFRTGEVAVGFEEKGDNRYCGVRDAGGLSIGGERRSSCCAERWDYLDPFMARRWAKHFNVYRA >OGLUM09G05260.1 pep chromosome:ALNU02000000:9:7655205:7661224:-1 gene:OGLUM09G05260 transcript:OGLUM09G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGRGGGGGGGRGRFGGGGGSRFSAARDDPPPRRSSSGWGVAPPSRHLWVGSLSPGVAAADLSELFLRCGDVEGISRDPGRSFAFVTFAREEDAVAAVRELQGIHLRGAPIRIEFSKGDKGSSSSMDDRYSQHADQRRFTERGRNQQSSPEKSTDKSKRSRPAEPSEVLWIGFPVGLKVDEATLWEAFSPFGEVVKITTFPGRTYAFVQYTTIAAACRAKETLQGNLFNNPRVSICFSRSDSVSAEFGKGSLDAPYSPHLNSSVRPIFREQDFEDFPRARPFDSPPRDMYMPSPHYGPKRLSRDHDDVGFSRDNYLRYGPGVEPDPRSNFEPFRIRGLGPERRMSEDPYEQHRRSPAGDAPWHNIPFERSQGALPLEDSRYAREDPYPFSKKLRTGEAHDSELPEYPFSEFDRGKVGSAYPRRPFYGVPDDDIHPRGYQLAPMHGRNHVDPLRNPTPLVDRHIPGHAQDSFSRHVEVERSTPEYHEPLLKEEWKWDGTIAKGGTPICRARCFPVGKVLNFMLPEFLDCTARTSLEMLSKHYYQAASSWVVFFVPENDADMAAYNEFMNYLGDKQRAAVCKLGERSSLFLVPPSDFSEQVLRVPGKVSISGVILKFEQSDPEVSSPTRKPETFVSHLNHDVRAHEDLDALRRINPPDIRPLPQGSDYLGLSPGSYNPASAHLVPPYKFGNAPSYLGSELAHQKHPPDSHREVAHDKQQQHPDVLPSRWSDNIYNPSPGSGNLNYLAESAIPHTSTDRTPEAYSFAPQGVPKVSTSGYAPVADEASNMSYPPMQPASQQVVRPQQPPSLPLSLPPEQLAQLATLLAQQNQPGKEPVDSLNKESGFIRNPHGHSSMMPHSSGSIPVQNSLPPAPPSASQLQVHAPPVQGSVPPNPSIMHTPNAPMPSHNTLPLPPMHPSGNPAHSSMPLRSFVPPLPEGPPPLRQHTSSAPQAQPALPSGPQTSQQPSAQEDHHGDPQKRLQATLQLAATLLQQIQQQSKPGGQK >OGLUM09G05270.1 pep chromosome:ALNU02000000:9:7674237:7682290:1 gene:OGLUM09G05270 transcript:OGLUM09G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPGLIHHRPDGGEAGRAAGGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEASEQSKDASYLLDAQGGMSVSPRVSTQDVKENQEVKEALRAQMEMQRRLHEQVEVQKHVQIRMEAYQKYIDTLLEKACKIVSEQLASSGFSISDNDLPELSGGVMCGSADTLSSSIFHQLSVSPINLHSPEGKPTPSGIEGQMILQKSPELKRKSC >OGLUM09G05280.1 pep chromosome:ALNU02000000:9:7687542:7692149:1 gene:OGLUM09G05280 transcript:OGLUM09G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGRNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >OGLUM09G05280.2 pep chromosome:ALNU02000000:9:7687542:7692149:1 gene:OGLUM09G05280 transcript:OGLUM09G05280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGRNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >OGLUM09G05280.3 pep chromosome:ALNU02000000:9:7687542:7692149:1 gene:OGLUM09G05280 transcript:OGLUM09G05280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELGGNNMGPDNGANNNSNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVQGLTIYHVKSHLQKYRLAKYIPDSSADGNKAENKDPGDLLAGLEGSSGLQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLGGVKSETPAAGASVTLPSDQFPDSERTDPSTPAPTSESPTQGVPSNRDNGGRNEATKSPQRDDSLSRHEPLTPDSNCQPGSPTASPKHERAAKRQRGNGAEFSETDFALPHSIFESSSGSEFQQCSMSYSGH >OGLUM09G05290.1 pep chromosome:ALNU02000000:9:7692368:7693600:1 gene:OGLUM09G05290 transcript:OGLUM09G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Chromosome transmission fidelity protein 8 (InterPro:IPR018607); Has 127 Blast hits to 127 proteins in 63 species: Archae - 0; Bacteria - 0; Metazoa - 70; Fungi - 17; Plants - 31; Viruses - 0; Other Eukaryotes - 9 (source /.../ BLink). [Source:Projected from Arabidopsis thaliana (AT5G52220) TAIR;Acc:AT5G52220] MQIRVRCGCGEAGCPEWAIVEVQGVVQPQPCFSGRIQGLHIGRLCAAAAPSSKARTTSAAGGLPPPSRSRPSHVSIDSDVQAAFTFTVGYHELAGTKVALKKPLLVLRKKKTAAAAETELEVIGVIRHKILFKDRPKALISKPQVKEKKTLPLPAPAAAPLPQSS >OGLUM09G05300.1 pep chromosome:ALNU02000000:9:7694390:7698301:-1 gene:OGLUM09G05300 transcript:OGLUM09G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEPLLSPLSPSPHLLHPLPEHAEVSTFSPPLSPCPSPASSYKERIIFGAHPPPPPPPPPPPPPRGRRYYRRVSGDDLDVPSCSSSPSPPSDEENPPPNPPSLFDFIGGRTNLHRSRTAPAMAPLNAAAIAAAAASGDSRNPPPPPRRPAIVLHAFLFLLAYLAMGVTFYAALPGNFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLIGFGFVDILLSGMVSYVLDLQEHLLITALKNPRSVRKHRHNYIFDLKKGRMRVRMKVALALTVVAICVGVGAAVLKRVENLGWLDAVYLAVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFVVYKLKEMGKISEKDIMMICDQFQRMDSGNCGKITLSDLLESHQLVTDLNEKKKGKKS >OGLUM09G05310.1 pep chromosome:ALNU02000000:9:7703694:7707229:-1 gene:OGLUM09G05310 transcript:OGLUM09G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYMSRGPPNGSVYVCNLPPGTDETMLADYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVEWFNNKDFHGSTIQVHIAESKNKDTYDNSASLNNSAGLGGQDELDNGAGRGRGHGDGPGKTWQQDGDWLCPNTSCGNVNFAFRGVCNRCGAARPAGVSGSGAGGGGRGRGRGSDDAKGGSRAAAVGGPPGLFGPNDWSCPMCGNINWAKRMKCNICNTTKPGHNEGGVRGGRGGGYKELDEEELEEVKRRRKEAEEDDGEMYDEFGNLKKKFRAKTQQTENAPTLPGSGRAGWEVQLEEKAGKGAEIEAETMTTMNGIAGTEIEAVMGGSGAEAEVEVETVRRKEGGTGAETTAMRGAGSEEPSVTVIAIGEYFMPGSSHAACRFLVFRYFCFVAG >OGLUM09G05320.1 pep chromosome:ALNU02000000:9:7716532:7718690:-1 gene:OGLUM09G05320 transcript:OGLUM09G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERNKDDAGNPEMQVIGNGGTKAIVIDVEPGDDSSPTSNGSPAAPEAEGQRYSSQEERGDGGGSSCGFHVVDLTSNMVVPDDTTTEDQPRPDAGTINGDDCPCPIRRVHGRVRDIDPGAYDPMVVSLGPYHADRKDLRPMQKEKWRCVEYLCDLTGTPSYVDYLPVMDRVCPDAKTYYLDETGHGRSRGGNGAGGLALAVEHANFLHMLLRDAAFLLVSIGALDKLKKADEGGAEQRSQDRWKDVAIAHDMLLLENQVPFVVVEDLYRAAIDTAGRRGCDVPSLSTVMGKFIRGVIQEAEEQDLPLPHHLDGKTPHHLLHLCHTLLEPTPKEPASPVPDNVAVRVKRRWHRAAQYHVNGVGLKKRLFSGGVDHSHHSLLDVKFKGGALEIPVLHASSDSGVGHYVTAYCIFLSRLMCTAEDVTLLAKKGIVVHHLGSDEVVAGLFADLCKNVVFNEDDDECNYLRAACKAADERYQKRVWNWMTLLKHKHFSNPWLAMATVAAVLVTICTVVQTFFTVFPRK >OGLUM09G05330.1 pep chromosome:ALNU02000000:9:7757066:7776769:1 gene:OGLUM09G05330 transcript:OGLUM09G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSGNDKMTIDIESLVKDVTICWQRAEGAKSRSGCKIPSLDQRDRPAAMCIGPNHHNPFYRQMEEEKKAMLYSILTQVDEQHKAAVLTRLMDAIKALENEARDHYLDRAESMSSSEFVQMLVIDGCYILGKFVLPHSCCPSTSDDGAQNGRRAAAPSGSAMQNMELVRDVFYRLDNQIPFCVLDEIHKVLHGKIIRSCTAVADVLVTHVGDLLENLSYSRVHALDVHASPWHLLHLLHTRLQPTAEWGSEKPTKGAAAHVVVSCASTPGFYRWRPATQYDAAGVRFRKFDGSSCILDVKLDGATLRVPSLVVDTNTYALLRNLMMLEQHNPDQLGSHVTAYCVFLSQLAGTPGDVALLARKGIIVHLLPSDSDVAVMFAGLCVGITIGVDEPKHNYLHKERNDLERIYNSRLMVQHTRNCMTLPHRNPMLVVALLAATLGLVCLLLQAIYTMKSYYSRTIIAEAKVQVEAGGKHPSQEAKRRPRRLIDPTPTHQSERQKAMANMDAPILLLPTE >OGLUM09G05340.1 pep chromosome:ALNU02000000:9:7809379:7809804:-1 gene:OGLUM09G05340 transcript:OGLUM09G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALSSSLTSTSVGVTFALVDDNPGVDEMRRVKPGGGELGEYRRVDADPGVVEGKRGMVAAVVALVDGRWVDTVGDPWVVEGKRGRVDPFVALVVVLAEPGVLEFRHVDADPSVLEWKRPSLADGPDGAGLHENRPNASRS >OGLUM09G05360.1 pep chromosome:ALNU02000000:9:7837984:7838427:-1 gene:OGLUM09G05360 transcript:OGLUM09G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATSAISSLSSSSTSTSAGVTFALVDDVPGVDELRRVKPGGGELGEYRRVDADPSVVEGKRGMVAVVVALVDGRWVDAVADPLVFEGKRGRVDPFVTLVVALAEPGVLEFRRVDADPSVLEWRRPSLADGPDGVGLLEKRPNASRS >OGLUM09G05370.1 pep chromosome:ALNU02000000:9:7853923:7857388:1 gene:OGLUM09G05370 transcript:OGLUM09G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEGQAEICIETLLTNLTACWERSRTGSNRPECKIPLLCPPQRPAAVCIGPNHHNPFYHLMEQEKKVMLYGILILVDEQHKAAVLRRLVDAVTALESVAKEHYYMEQVPCDAMRRTAGFVQMLLLDGCYILGKFVLHDLLPVRANGAGTSQQQQHGTGSAMQNMELVRDVFYRLDNQIPFCVLRAIYGVLRECSTTPGVMARGLDETLAVQVQALLKHFGYSIRNQVPGEIWHLHHMLHKHFVPQDMTADDPIPTGDAVRLPVDVVDTGRRSATAATPTLYRWRAATFYHATGVIFMKRHLCHGASSGAWRWFVDGGARSVLDVKFHPLTLRLSIPPLMVDMNTSTVLRNLMMLEQHNPSLGSQVTAYCYFLSQLAGTASDVALLAKKGIIVSLLASDGDVARMLGELCVGITINPADERSHNYLLDTRKGLERMYKTRVIRWIAKLYHRHLSNPFVLMVLVAAMVGFVCELIQAIYAVKSFKRQP >OGLUM09G05380.1 pep chromosome:ALNU02000000:9:7902729:7906373:-1 gene:OGLUM09G05380 transcript:OGLUM09G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLEAVTLTQGATNVKARFRDPVLKVMGTTGRDQMELEYAAWGRTLVSHRPTGKQHMEARRLHVLETVMGRRRHQSTAIKNLIEKNGSRKTRGAGGVVGWFSYHILRSSPIGGSKRFFLRKHITTGSGALQLASATTGGSNPNSTGGDRLQSQIPGSSSQGDGHNQAGDGKINTNNHN >OGLUM09G05390.1 pep chromosome:ALNU02000000:9:7946463:7949052:-1 gene:OGLUM09G05390 transcript:OGLUM09G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRCDGDSRRDRVTQALVAVQPYAGIRRLGRAAGPHGAARRGAAHGHAKCGARVGKVGVRHIRDRRRRRRVFDRVESESSTWEHPGTPPRRADVHATASDPPSPLQICPPLTIPTVVEWKGGLAATVMSAREAGAIPPPQPSPHLERPPRTAAATLRR >OGLUM09G05400.1 pep chromosome:ALNU02000000:9:7949158:7949594:1 gene:OGLUM09G05400 transcript:OGLUM09G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEMNLSRVIHLIALIRSPLELQLIQAMCWRSYPHAFFPNAFHRTPCDHSLTTARDHHCEASRPELEVGGSGGRVVLPPSPLKEPRTAGHREPRVEHFRASPTASRLRFVRTAARVAALRRLSIPG >OGLUM09G05410.1 pep chromosome:ALNU02000000:9:7949623:7949891:-1 gene:OGLUM09G05410 transcript:OGLUM09G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLGKWHDTAAMTELEESPAKLMGAGASTVYGRSISPERWEGEHPGWPIHGELVGGGTAVGAAQPLALIVQTGAGASY >OGLUM09G05420.1 pep chromosome:ALNU02000000:9:7960319:7962953:-1 gene:OGLUM09G05420 transcript:OGLUM09G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKNEGTGDMPQQPAGEIYTIFTVDPNDRKYYLAAVDSSDEVIVKEKTEGSRLWYMVTADEQQQPQQQHKQRSPPPPPPPQGQHHQQQKPFILINKKRNCALVVASKPAAAAARDKQGTTKPEQIVPGAGSSSSRTHQPQTGERRRSTPETGSNKSAGKQPARREERPLQVVLGTHDAEGERWTTEGTPASAGVVAGDADDDRRLISRTCYIQRASNANLVFKAVNTGGRDGSIIELTDKPMVIMGSDQDQLFCCWTIVPVAGDHIIADGGLSLRSLRMDHPRLVAQSGLLSNQHDTRCITPDKSASLSTQPRLEATGETLKDGNKQGDNKKGKKK >OGLUM09G05420.2 pep chromosome:ALNU02000000:9:7961318:7962953:-1 gene:OGLUM09G05420 transcript:OGLUM09G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKNEGTGDMPQQPAGEIYTIFTVDPNDRKYYLAAVDSSDEVIVKEKTEGSRLWYMVTADEQQQPQQQHKQRSPPPPPPPQGQHHQQQKPFILINKKRNCALVVASKPAAAAARDKQGTTKPEQIVPGAGSSSSRTHQPQTGERRRSTPETGSNKSAGKQPARREERPLQVVLGTHDAEGERWTTEGTPASAGVVAGDADDDRRLISRTCYIQRASNANLVFKAVNTGGRDGSIIELTDKPMVIMGSDQDQLFCCWTIVPVAGDHIIAEWIIQDLWHNQACFPINMTQDVLRQVRNGVDGD >OGLUM09G05430.1 pep chromosome:ALNU02000000:9:8011385:8013572:1 gene:OGLUM09G05430 transcript:OGLUM09G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPTGSCSIDIQRLAADLETKLCNVDLDGGRRRRRSPECLISKVKPQVRRVDDSQYTPQVVLVGAYHHKPLDSTDQLAKWTALRRVLPDDGEQRASTLRRCLEAIAGVEDEARSYYEDGAKTWRENQMFVQMLLLDAWFVLDIFNVGGEAAAAVGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELVRVGNSGQDQDQRTQTCTSPPPPQPPGATAIAGGGPSSSSTVVVDGFLRHLRSLLQDQGYSNVEVDITSTRPCHLVHLLHMHFTPMAMSPADGTDDAAAVPTRRARATVYRWRGATQYHAAGVRFKKRALGAAAGDARCVLDVELRRLTTLHVPTLTVDNNTWRVLRNLMALEQNNPHLGSHVTAYCLFVSQLAGTASDVALLARKGVVVHFMATDEDVADGFAGLCRGVALDVDDARRNYLQPTWERMERWYSSRPVNWMALLRRRHLSNPLVAIALLAAITGLVCEVVQAVYAVKTYRPTI >OGLUM09G05440.1 pep chromosome:ALNU02000000:9:8039040:8042525:-1 gene:OGLUM09G05440 transcript:OGLUM09G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCTANIGHETYRIFIVNNGGKNYLAVDTSGNVVLLLWYKLVVDKATGGGGSTTNQNFVLKNKSKPLVVKSSRPGDGRQRLDVVLGQLGRDVGERWTLEERHQGGCYINSGSYPNHIFTGVRGKTTVHLQEKHLAEPALSRWTFVPAEDADPRHTPDGGASLTLSRTAPHGVPQVLEPSGKMHTFTGDAGTSLGSAQSNQRKPVKGGRDSGRRRNP >OGLUM09G05440.2 pep chromosome:ALNU02000000:9:8039040:8042525:-1 gene:OGLUM09G05440 transcript:OGLUM09G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCTANIGHETYRIFIVNNGGKNYLAVDTSGNVVLVEDLERTQPSSNSYGRQACIYLLWYKLVVDKATGGGGSTTNQNFVLKNKSKPLVVKSSRPGDGRQRLDVVLGQLGRDVGERWTLEERHQGGCYINSGSYPNHIFTGVRGKTTVHLQEKHLAEPALSRWTFVPAEDADPRHTPDGGASLTLSRTAPHGVPQVLEPSGKMHTFTGDAGTSLGSAQSNQRKPVKGGRDSGRRRNP >OGLUM09G05450.1 pep chromosome:ALNU02000000:9:8044974:8045309:1 gene:OGLUM09G05450 transcript:OGLUM09G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCNDELGAAMTRRRLGTARRRRRLGTGCRGDAVLVPRWSPTPGGSLPLSSIDNDDKTTAVRVSINFIQVFPSVSAKAVEDQAASVVHYYPVAGRITKPVVGEPEIDSTG >OGLUM09G05460.1 pep chromosome:ALNU02000000:9:8060160:8064413:1 gene:OGLUM09G05460 transcript:OGLUM09G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGVTGDYQTQIDINLLADQLEAKLRDVPLDDGGRRHGSPECDISIVKPQVRLVDVSQYTPQVLLVGAYHHRSLDRCELDKLAALRRALPDDDDERASTLRRYLAAFAGLEREARSYYRDDTDHMGAEEFALMLLLDAWFVLDIFNVGGEAAAAVGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELIHSPPPSPGATAIAGGGSSSSSVVVVDGFVRHLRSLLRDQGYSNLEVDITSTRPCHLVHLLHMHFTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKRRALGLGDTRCVLDVELRRLTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSQLAGTANDVALLVSKGVVVHFMGCDEDVAQGFAGLCRGVALSVDDARRNYLQPTWERMERRYSSRLVKWMALLRRRHLSNPLVATALLAAIAGLVCEVLQAVYAVKSYKTRS >OGLUM09G05460.2 pep chromosome:ALNU02000000:9:8060160:8064413:1 gene:OGLUM09G05460 transcript:OGLUM09G05460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGVTGDYQTQIDINLLADQLEAKLRDVPLDDGGRRHGSPECDISIVKPQVRLVDVSQYTPQVLLVGAYHHRSLDRCELDKLAALRRALPDDDDERASTLRRYLAAFAGLEREARSYYRDDTDHMGAEEFALMLLLDAWFVLDIFNVGGEAAAAVGSRGGSAVDYIFAVRDVFYLLENQIPFFILEKVYELIHSPPPSPGATAIAGGGSSSSSVVVVDGFVRHLRSLLRDQGYSNLEVDITSTRPCHLVHLLHMHFTPMAMAPAADDAAAVPIPTRRARATVYRWRGATQYHAAGVRFKRRALGLGDTRCVLDVELRRLTLHVPTLTVDNNTWRVLRNLMALEQNNPRLGSHVTAYCLFMSQLAGTANDVALLVSKGVVVHFMGCDEDVAQGFAGLCRGVALSVDDARRNYLQPTWERMERRYSSRLVKWMALLRRRHLSNPLVATALLAAIAGLVCEVLQAVYAVKSYKTRS >OGLUM09G05470.1 pep chromosome:ALNU02000000:9:8070696:8071013:1 gene:OGLUM09G05470 transcript:OGLUM09G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGKLVLLVALVATTTNRAAAAAAASMEGRHEKWMAENGRTYEDAAEKARRFEVFKANVERIDRFNAGGNRTYSLGVNVFTDLTDDEFVARYTAAGYYSNATSF >OGLUM09G05480.1 pep chromosome:ALNU02000000:9:8071047:8077106:1 gene:OGLUM09G05480 transcript:OGLUM09G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENVSLSSEGGYTQGIDWRERGAVTNVKNQGDCAFAYIGDGGGITTESAYPYQRAQGPCRFSAGEEGVATVRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYHTGVFMADHCDDDLHLNHEVTVVGYGVDATATTQAEAAATWSATAEAEAAAATSPLPDPAARRQGPGARAHRRGQGRRVASNEPPTRATAARPEPHIAPEHSVTATQLAATGQGGRPARMTGVERAPLLLVACSAFSNISSKRIELYIYGIE >OGLUM09G05480.2 pep chromosome:ALNU02000000:9:8071047:8077882:1 gene:OGLUM09G05480 transcript:OGLUM09G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYENVSLSSEGGYTQGIDWRERGAVTNVKNQGDCAFAYIGDGGGITTESAYPYQRAQGPCRFSAGEEGVATVRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYHTGVFMADHCDDDLHLNHEVTVVGYGVDATATTQAEAAATWSATAEAEAAAATSPLPDPAARRQGPGARAHRRGQGRRVASNEPPTRATAARPEPHIAPEHSVTATQLAATGQGGRPARMTGVERAPLLLVACSAFSNISSKTQYAACRPAASPLAKGRALPPNAPRCEWRRG >OGLUM09G05480.3 pep chromosome:ALNU02000000:9:8071047:8077106:1 gene:OGLUM09G05480 transcript:OGLUM09G05480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYENVSLSSEGGYTQGIDWRERGAVTNVKNQGDCAFAYIGDGGGITTESAYPYQRAQGPCRFSAGEEGVATVRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYHTGVFMADHCDDDLHLNHEVTVVGYGVDGLAATGQGGRPARMTGVERAPLLLVACSAFSNISSKRIELYIYGIE >OGLUM09G05480.4 pep chromosome:ALNU02000000:9:8071047:8077882:1 gene:OGLUM09G05480 transcript:OGLUM09G05480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MYENVSLSSEGGYTQGIDWRERGAVTNVKNQGDCAFAYIGDGGGITTESAYPYQRAQGPCRFSAGEEGVATVRGYQEVPLNEAALAQAVAHQPVSVAITAGGFRFKQYHTGVFMADHCDDDLHLNHEVTVVGYGVDGLAATGQGGRPARMTGVERAPLLLVACSAFSNISSKTQYAACRPAASPLAKGRALPPNAPRCEWRRG >OGLUM09G05490.1 pep chromosome:ALNU02000000:9:8078222:8078662:1 gene:OGLUM09G05490 transcript:OGLUM09G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILRGAAAAGSGLWLGTFDGADTTARAHDATMLALRGRAPRASTSPLHPSAMPPSATLRSAADVQRAVARALEDFEQRESSSPVFPLAIDVVAEDAMSATSEPSATSDDDAITSSSSTTDADEEASASPFELDAAVAAPPKRAM >OGLUM09G05500.1 pep chromosome:ALNU02000000:9:8095469:8100221:1 gene:OGLUM09G05500 transcript:OGLUM09G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGTSSGSSQGTRSSRSEDDLNLQAQMEKKRKRRKESNRESARRSRMRKQQHLDELTSQVNQLKNQNQQLSMALSLTTQNLVAVQAQNSVLQTQEMELQSRLCALTDILMCMNNTSATPTPTIPATTTSACDIFGASSWNQPPIDLYQYQCF >OGLUM09G05510.1 pep chromosome:ALNU02000000:9:8109395:8114915:-1 gene:OGLUM09G05510 transcript:OGLUM09G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEGRRGQMGRLNRAFREKRARFYIFRRCVIINAGGAQIFPEDKAPAFLITDSSSCCHLHTCRNLQIQWFSMAPMKVLGIWHWQCCSCAAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >OGLUM09G05510.2 pep chromosome:ALNU02000000:9:8109393:8113665:-1 gene:OGLUM09G05510 transcript:OGLUM09G05510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMKVLGIWHWQCCSCAAGMYGHLILSAARRMVLNHGAAPGYCASAPIKGPSMITLLTMEKVKKRGKLQNVLREQKARLYIIRRCVVMLLCWSD >OGLUM09G05520.1 pep chromosome:ALNU02000000:9:8143681:8155122:1 gene:OGLUM09G05520 transcript:OGLUM09G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVIFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSTVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQNQLQQQNQLHQQHQLQPQNQLQQQHQLQQQLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMMVGAGMGQQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >OGLUM09G05520.2 pep chromosome:ALNU02000000:9:8143681:8158967:1 gene:OGLUM09G05520 transcript:OGLUM09G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phytochrome and flowering time regulatory protein (PFT1) [Source:Projected from Arabidopsis thaliana (AT1G25540) TAIR;Acc:AT1G25540] MAAAAAERQLVVAVEGTAALGPYWPVTVADYVEKIVRSFCAHEMAGQKLAGTPPELALVIFHTHGPYSAFCVQRSGWTKDMNVFLSWLSGISFSGGGFSEAAISEGLAEALMILQGSSSNSQNHQSHEVQKHCILVAASNPYPLPTPVYRPLVQSSDHKENNDGAKESCLADAETVAKSFAQCSVSLSVVSPKQLPTLKAIYNAAKRNPRAADPSVDHAKNPHFLVLLSDNFLEARTALSRPLPGNLVTNHPITKMDTAATSVPVPTSNGNPSVNGPMLTRQPNGVVANIKTEPTTLPPMVSAPAFSHVTPVANGVSQGLSTVQSPSPSLISQETNLANDSVQEHKPLINPIQQSIRPGGPANVSILNNLSQHRSVATIISGGMPGIPMSGTGQSIGSQQVVQNTAFGSNTPITGNSNIAVSSSLGGIQSNIGISGPPVTQGGSMGSTQLGQGGINTNQNMISSLGTTTVSSAPAMMPTPGMAQQAGVNSLGVTNSSAMNMPIVQHPNAQQQQQQQQQQQQPPPKYVKIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADFLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQNQLQQQNQLHQQHQLQPQNQLQQQHQLQQQLQQQQLQQHMQLQTQGLPLQQQQSQGHPLQQQQMQQMQQQQQQQQIQQMQQQQQMQQMQQQQQQPQQLQQQQQPQMVGTGMGQQQPQMVGSGMGQQQPQMVGAGMGQQQPQMVGAGMGQQYMQGHGRTVQQMMQGKMAPQGPGSMPGAGSMPGGGYLS >OGLUM09G05530.1 pep chromosome:ALNU02000000:9:8155704:8167900:-1 gene:OGLUM09G05530 transcript:OGLUM09G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIRNPAFLQRCLLYNIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQFHGQELVQNPALLWCWRFFMVKLWNHSLLDARAMNACNTILEGYLNGSSDPKKN >OGLUM09G05530.2 pep chromosome:ALNU02000000:9:8154102:8167900:-1 gene:OGLUM09G05530 transcript:OGLUM09G05530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIRNPAFLQRCLLYNIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGHSPIYRFSRACLLTSFHEFGNKDYTEATFVIPDVKNLATSRACSLNIILISCGRAEQTFDDNNCSGNHVEGSTLQKLEGKCFWGKIPIDLLASSLGNCVSLSLGHTVEMSSTVEMTPSFLEPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRGTSGWPYSLGMRSILAVSWTRTCTKSSSTMAIRCFKIGSHPQACSLHPACFLDPAAPSSLASSAAPSYRAPACIAAPSLRRPSAVAAAPSPHRPSAVAADPSLSRPSAVAAAPSPCRPSAVAAAAEAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >OGLUM09G05530.3 pep chromosome:ALNU02000000:9:8154102:8167900:-1 gene:OGLUM09G05530 transcript:OGLUM09G05530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCRHQPRARLSPDEQLAAEESFALYCKPVELYNIIQRRSIRNPAFLQRCLLYNIHARRKKRSLITISLSGGTNKELRAQNIFPLYVLLARPTNNVSLEGDELSKLLMTITVLGTMWKALLSKPKFLEDDSCLTFCSQKVDATGSFQLQVSISAQEAGAKDMSESPYSVYSYNDVPPSSLTHIIRLRSGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKISEECQAVNVSLKTDSWRTELLAEGVDPRHQTFSYRSRFKKRKRVEISSDKIRHVHPHIVDSGSPEDAQAGSEDDYVQRENGSSVAHASVDPANSLHGSNLSAPTVLQFGKTRKLSVERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRGTSGWPYSLGMRSILAVSWTRTCTKSSSTMAIRCFKIGSHPQACSLHPACFLDPAAPSSLASSAAPSYRAPACIAAPSLRRPSAVAAAPSPHRPSAVAADPSLSRPSAVAAAPSPCRPSAVAAAAEAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >OGLUM09G05530.4 pep chromosome:ALNU02000000:9:8154102:8155614:-1 gene:OGLUM09G05530 transcript:OGLUM09G05530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEELKANMCFGGKKIEGWGEQYQDQTAIRCFKIGSHPQACSLHPACFLDPAAPSSLASSAAPSYRAPACIAAPSLRRPSAVAAAPSPHRPSAVAADPSLSRPSAVAAAPSPCRPSAVAAAAEAVGAAAAAVASAASAAAAAFAESAAAAVVAAFAASAAAEADGLGIAAAEAEGLVSAVACVVVVAAVVTVVAAGVAVAAGSVVAAGAAGGADSAVVVGSAAVVTVVAALVAAGLVPAV >OGLUM09G05540.1 pep chromosome:ALNU02000000:9:8190950:8194780:1 gene:OGLUM09G05540 transcript:OGLUM09G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKATSPKSSMTSSTGPKSPVRNGGSPPHKKSTSEFRGRKIEPQNLRKGGQDSITLDESKRRSPTSQTSPKRSSPKHEQPLSYFRLHTEERAIKRAGFNYQVASKINTNEIIRRFEEKLSKVIEEREIKMMRKEMVHKAQLMPAFDKPFHPQRQIITSTYH >OGLUM09G05550.1 pep chromosome:ALNU02000000:9:8212169:8213394:1 gene:OGLUM09G05550 transcript:OGLUM09G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPHLYQSHHHHQHVAPPTKHGGGAGGEEDDQSMLLLSLWPPGHSSHHHQHHHHGAASSSACSSASISAAAVAAAHSGGGGGGHGVAAAASSWFNPSHYHGGGGGGGGFLFQEQQEPNVSISLSIAPPCGVSGGNAAAMAAAGSFATSPLPQQPVASSAVATAAGGGQAPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGGAAPPASLMRLPCYCCAEGCRNNVEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGKLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESSSTGAGGGGGCGGAGADDDDDEYYDDDDDTVSLSRATSAHDDADMIVV >OGLUM09G05560.1 pep chromosome:ALNU02000000:9:8247316:8254950:-1 gene:OGLUM09G05560 transcript:OGLUM09G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRHRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYVPIKRRCCSLVVRRPVNTEKCGNGVVAEEDIKKGEFVIEYVGEVIDDRTCEQRLWKMKRQGDTNFYLCEVSSNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIELCLY >OGLUM09G05560.2 pep chromosome:ALNU02000000:9:8247316:8254950:-1 gene:OGLUM09G05560 transcript:OGLUM09G05560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRYGHPPNPLLLLRPHLASFLRRLPPLRHCSLAYGLELVADPRHRRDLCLPPMPRPAKIRKKHENVFDQLIKAIKAPVDFDLPPVLKEWKSNYYDVVLLLFVDLNMVIDATNKGNMSRFINHSCEPNTEMQKWTVEGETRVGIFALRDIKTGEELTYDYKFVQFGADQDCHCGSSNCRKMLGITKPVNSIVLHNGNLSQDQHVRKKRKTYLENCIGEIVRLWHRRHSMYLAASIYDFNERNGIHTLLFTDATIEEFDLTEEDWDFLPDPDGPEEVFVSIELCLY >OGLUM09G05570.1 pep chromosome:ALNU02000000:9:8266843:8267538:-1 gene:OGLUM09G05570 transcript:OGLUM09G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCPSLNLSCDNTSCNLFCCHPKQHEPPPAPHAAATKPPPPPPHDDPPLKPPPQQQFITAQPPPPDEPPLKPPPTTTTTMPVILVQPPPSFYPAVLPPEPPPPRRPAATPYSNREGVDDDDSHHVAPPPRRSSAARPPSAPETPLPLPCCEKPPPPPRQPPAHDQFAAGVPNGGGYGEKHPVAVAARAVLARHYVPHKNHEVAAPPSPSPPPAAARYDDNWQIIESSRYC >OGLUM09G05580.1 pep chromosome:ALNU02000000:9:8341559:8341956:1 gene:OGLUM09G05580 transcript:OGLUM09G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAFASYLGELLAETMKEEDSMVLGVSEEIRKLNGTLSSLKKFLYNAEKKLIASNWIFTGLDQETED >OGLUM09G05590.1 pep chromosome:ALNU02000000:9:8342705:8342926:-1 gene:OGLUM09G05590 transcript:OGLUM09G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVGAVFLLEGIVSVLYSFLQVKTLLRFSDGRCLRFDAIFLIGGFILKTLPYACLWSVHKKSELLLGAWRR >OGLUM09G05600.1 pep chromosome:ALNU02000000:9:8356206:8361965:1 gene:OGLUM09G05600 transcript:OGLUM09G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILDAFASSLGDILIETMKEEASLMLGVSDEIRKLYDTLNSLKKFLQDAEKKHITSSYAQDWVRKLKGAMYEASDITDLVQIKAEERRISMDTSSGCFHSFLLCLQDPLFAHRIGSQIKSVNQKMDDLCKQAAQLNFITNLTDGNGKHKVIDKTAPGLVPRDAVGKKLEQDTRMLVEVLTKEEKASGGESNNVHVVANSDTESNNVTVVAILGIGGIGKTTLAKKIYSDQAVEDSFNTKIWLSVTQDFNEVDLLRTAIVAAGGDHCGAQEKSLLEPILVSSLTAKKFLLVMDDIWNQKPWEKVLRVPTIKAGARGSRVLITTRNEGVAREMNAVHLHHVSKLGPQDAWAMLKEQLDLSGPETKRLKESGMKIVEKCDGLPLAIKVVGGVLCKRNKTENDWEKVLGNQVWSKIGLPDELNKAIYLSYEDLVPNLKQCFVYYSLFPKDEIIGPDKVVAMWTAEGFLGNNGNSTQLGMDYYKELIMRNLLEPHDDYYNQEYCLMHDVVRSFAQYVARDEALVVGDTENMTNLTLSNFFRLSISANEIEWSNLQKRHSLRTLLLFGNIKFKPGNSLSNLPFLRTIHIRDARCATLIGSLCHLKHLRYLELGYTNISALPQNIGKMKFLEHIGLRGCHSLAELPSSITELPKLRHLSIDETKINAIPRGFKRLENLEMLWGFPVHIIIENTGEYRCSLEELGPLSKLRKLKLIGLENVPYSSMATLAKLKTKENLICLELWCTSGVTVSGRVKESIAMADQEQIVDVFDKLCPPLCLEELTIGGYFGDKLPSWIMMPAKFLKNMRRLDLQDMANCAHLPSGLGQLQDLDCLVINCAPQIEQVGYDFFVQGGQRKTDNRNPSHAVFFPKLHELCLQGMIKWKEWTWEKHVEAMPVLSVLNIRNCKLHYLPPGLSYQAKALRRLSIANVQHLNCLENFSSVIKLDAYDNPDLERIANLPNMQNLTVVGCPKLMVFNNVKSLRSIQLGISEMETLPAYLQDTKLEQLEIACSLKLLKLMVKKESWSEWGKISNIMHVKGFASENGRRWYISYTKHPFSFDTNIEYTLELPEETGYEANIAMEKI >OGLUM09G05610.1 pep chromosome:ALNU02000000:9:8363187:8363983:1 gene:OGLUM09G05610 transcript:OGLUM09G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFVFLLVVLERSYEALQLGEERARSLLISFLALVPFEEEESGKASLQAEKKHASPLLSCVKLLSLLVALMEEEEIDEESLQAEKHPSRHLSRVDLFPLAAPMEEQSDEAFLQAEKKHANPLLSCVELPLVAPMEEQIDEEPLQEEKHSGHLLSCVELFPLVARMKKEEKHPSHLFPLVSPMEDEIGEEDSDEVNLQSRATGDGDGPFMESRTAVVGGGGPFMNFGGSGP >OGLUM09G05620.1 pep chromosome:ALNU02000000:9:8371177:8371371:-1 gene:OGLUM09G05620 transcript:OGLUM09G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTYCSLSCSKLMTCNCGVGCCKKAPPPLPSPEKGGPASKVVDQQPPATPMPPPPAKDPAAGG >OGLUM09G05630.1 pep chromosome:ALNU02000000:9:8384050:8390434:-1 gene:OGLUM09G05630 transcript:OGLUM09G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLGCYTIKSHGTKVARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTVPFWAVPLIGIVLPCAIFGGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGKDVYDNVTTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITVFDRRGHVAKLCIVFLPLLTAALVAVSRVDDYWHHWQDVFAGSLIGLTVASFCYLQFFPYPYDADAFWPHAYTFQLAEASRNNNTANSYSVRPTGFETVNVPEGHGGIALRDTNLEAGRRP >OGLUM09G05640.1 pep chromosome:ALNU02000000:9:8398048:8400690:-1 gene:OGLUM09G05640 transcript:OGLUM09G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWIM zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT1G60560) TAIR;Acc:AT1G60560] MGTEAEAEVVESVSDLAVQDPPGEEFSAADLRWVKYASSEHQRDDVALIPYERMDAFIAGECSNPECPTRFHIERGRKRDRGTLREVRSDDYLLYRMYWCSFGPENYGEGGTILPSRKYRLNTRNRAARPQSMRGCTCHFAIKRLYAHPSLVLIIYHERRHINKSGFICHGPLDRDAIGPGARRVPYVGSEIQQQTMSLIYLGVPEENILQTHMEGIHRYCGSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFYYQDSTDTDAFVLGIQTEWQLQQMIRFGHQDLLASHSSFGVSKLKYPLHTLLVFDSRQHALPVAWIITRSVTKQDTLRWMKALTERIYSVDSTWRIGGFVIDDPASELDPIRDVFSCPILFSLWHIRRTWLKNIIKKCSNSEVQREMFMQLGKVMYSIWSEKNPMDALEQLFQDFVDQTTFIQYFKSFWVPKLEMWIDTIRSLPLASQESSGTIEGYHLKLKVKAYDDSQLDALQRVDWLVHKLTTELHSSYWLNLYADESGSFPEVKAEYIASTSWHRALQIPDDAVIFDDKEPFSAKVTSQKDTSQMWTVWNPGSEFSLCDCSWSMQGNLCKHIIKVNMMCGPRKDFQPSLSLQSFQRVLLDLWQKPMDDSFSLDLSVAWVMQMQERIQKVTELATADGIAEVSNRLPIQWTNKKGRKVAAKRTSPLRVLPHSNGIVQKDFTPKKNRKRKRLSTVSG >OGLUM09G05650.1 pep chromosome:ALNU02000000:9:8404796:8410071:1 gene:OGLUM09G05650 transcript:OGLUM09G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGFMAFRRIRGDEQEEDRDDGGWPEVKRKRQTVPSFISVIRGAMAAEKIQKLGLDLEPFFRKAVQEELERSLSKHGHLLYRSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMSSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGGLRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFGAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLFAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQMN >OGLUM09G05650.2 pep chromosome:ALNU02000000:9:8405051:8410071:1 gene:OGLUM09G05650 transcript:OGLUM09G05650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDQGFMAFRRIRGDEQEEDRDDGGWPEVKRKRQTVPSFISVIRGAMAAEKIQKLGLDLEPFFRKAVQEELERSLSKHGHLLYRSPPMLVNSVDSSLKLAFAKRLQLPIFTNNKLVDVDNNPLQVHLLHMSSTTTSHHHLPMIKKLEVLVLDGDFSHGDEGWSSDEFSGAIVREREGRRPLLVGTLNVAMADDHLGVAFIDDVAFTDNSSWTRSRRFRIGVRAVAVAGSGDGGGLRIREAVSESFMVKDHRGESYKKHFPPRPDDEVWRLKNIRKDGPIHKRLESERVRNVQGFLNLHATNPEKLRKLVVMSDRLWKATLHHAKTCDFGAAEMMQVKQSSIEAYQNWDQLDEAETNKTASGNLGQAHEGSLQADEIGTVSGPHFQSRNQMDSEDSLFAAAVTEDADDALWSPCMTSDGHGLIMWKNNTSVWDQMN >OGLUM09G05660.1 pep chromosome:ALNU02000000:9:8425952:8426641:1 gene:OGLUM09G05660 transcript:OGLUM09G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAAAMLEAGVGRFRGPSVAALLAEMWAPLAVALAALATLPSLLRRLQVLILRLRSRGKEVISSHISTYYSSGDDSDSDGTDEDEEEEDDEESSSGEEEKGRRRERRIGYYEGVADDDEDGCFPWGGAVVRTWQYLPRRISGGARLLAPGTSSAAAVRLWDSITASGGGGAWWDADEGGRAPAAEAPPVVLGWRRDHPHPHPHADEHAARRRRRRAGLLAAVATSPK >OGLUM09G05670.1 pep chromosome:ALNU02000000:9:8430560:8431033:1 gene:OGLUM09G05670 transcript:OGLUM09G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKRSPPLPPLSSVAAVVVLLVLLLLFTFMATEANAQVFCRSQFNLANEACSLRTFSGPNPAVPLRQLNESAVAVMAEHREREHGHGGGGGGGRLRSHRADPYDTACCRRLMGIDNACICQAMSYLPVFMSRVKHAIKLTPVPGCDVSFECAAAY >OGLUM09G05680.1 pep chromosome:ALNU02000000:9:8431852:8434150:-1 gene:OGLUM09G05680 transcript:OGLUM09G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPSSRKVRVFCSDPDATDSSDEDDQNKKERRFTREILIPMENSKTSKPVKTLVQCGTKTVKDSEKEPTSKYRGVRRRAWGKWAAEIRDPVRKSRKWIGTFNSEEEAAAAYLAQSNQFHEELMALKIQSSVSEREDLSSSVTISCVSSSQSCDQKIQARPQEHKRVSVVINHETVEQKFKAQPQAQKIKAQPEVQKRVSVKISHETEDEHLLNLPSTPKGKEISMGAVLGRIDEIPVSNCVGHIDEFPPDDFTRLADAFPVSDFIGMADVPLGDDYIGLADISHLPLPITDPKFDLDAELNWDGFNFASLEQELNCL >OGLUM09G05690.1 pep chromosome:ALNU02000000:9:8460360:8463821:-1 gene:OGLUM09G05690 transcript:OGLUM09G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVTHVAASASTMMCRAGQWLLPHLAYPFKTAQNVDKLTKFRRKLQALKDDNEVRIKNAERKQKICPNIVSEWMEEARQAIDEADEIKAEYDSRTLCFHRLPPNFNVTRSYGISSRATKKLVKLNQVYNSGDHFDEDDFPDKPPANVERRYIGTSVIGMEHYLDKALGYLRKRDIPVLGIWGMGGVGKTTLLKLINNEFLGAVDGLHFDLVICITASRDCKPENLQINLLEKLGLELRMDTGRESRRAAIFDYLWNKNFLLLLDDLWGKISLEDIGVPPPGRDKIHKVVLATRSEQVCAEMEAKTTIKVECLPQDDAWKLFLHNVTEATINLDMRIQRLAKEVCNRCKGLPLALVSVGKSMSIRRQWQEWEAALRSINRSYQLLENSRRNSDNAILATLKLTYDNLSSDQLKQCFLACVLWPQDYSIWNIDLVNCWIGLGLIPIGKAICQSHNDGYSVIGQLKSVCLLEEGDMRQTEVRLHDTIREMALWITSEENWIVKAGNSVKNVTDVERWASATRISLMCNFIESLPSELPSCPKLSVLVLQQNFHFSEILPSFFQSMSALKYLDLSWTQFEYLPRDICSLVNLQYLNLADSHIASLPEKFGDLKQLRILNLSFTNHLRNIPYGVISRLSMLKVFYLYQSKYAGFEKEFDGSCANGKQTKEFSLKELERFENGLALGITVKTSRALKKLSKLQNINVHNLGVEQLEGESSVSLKLKSSMSVVNFKMCLNIETLSIEYVDDSYPEKAIPYLEYLTFWRLPKLSKVSFGEDLLYIRMLNIVENNGLVDLTWIVKLPYLEHLDLSFCSMLKCIIAETDDGEESEIMADNNRVHAFPRLRILQLNYLPNLEIFSRLKLDSPCLEYMDVFGCPLLQEFPLQATFEGITHLKRIRGEEQWWSKLQWDCNKTFDHYKGFFKVFDKNLETFEPTLGTNPFIVSNSSFFAHRRPMMRTAIQFSSYISLLLGGQTSNYTGETSETGSRNLEAHSCRRYKMGIASVIRSCCIFLIGLCLLWYSASVFFHYALAKK >OGLUM09G05700.1 pep chromosome:ALNU02000000:9:8467632:8472157:1 gene:OGLUM09G05700 transcript:OGLUM09G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSIPIGILGEGVAMDKNWRLFRKRFVSYVRRSKKNRTKALRWGPPPILPATPSQPYPLLLLTLLLHKKNFPSHERGGEKVVRRRSFLAAIFGDGWRHTHNDDVGGGGDDDPPRRSTSRLSSIVRRKRRPDADGAVESPQPPLDEAPNSPEGSSASSWWFPFPSPARQVGSTAAGTLAWAPAVTGSRNRRRQRERHALSPPLDSSARSHYLIPSARPLSPPLCESGDALHIHPNPWRRSLSSVAPLPPLHAGRLSPHRRRPRHSPTGAPLRAPPQPVTPLNVPRPFPPSSGSGDGALPPVSGGDGGSGALPSRQAAMVAPLLLPTAGSFSPRQAVAAAPLSRQIRWADGEVARSSALRPLEAAMVTMVRMSVVGCCGGGGDGARPVSPAASTPSTSGGLP >OGLUM09G05710.1 pep chromosome:ALNU02000000:9:8501146:8501553:1 gene:OGLUM09G05710 transcript:OGLUM09G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHLVGAAATPLLPCAHRPTAHVVSTVTVTPPDLALDGRLCHRLRSHNHPSSLPTPPPHAGTSSTPPGTGLLLDLGFGGLISTSSLALVPRPLQLGGQKSPIGPYLQLEFVSMPLGWRRRGGRAAIAVVARFMF >OGLUM09G05720.1 pep chromosome:ALNU02000000:9:8509600:8514937:-1 gene:OGLUM09G05720 transcript:OGLUM09G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRAPLPVGPRAAADGNPAPPGESSKGPGLDGEFRRLVDIADEGVKLVNDAELRLKKRACDNPKDLAAWLRRLQSAKEDLDDALDEFRASMAAQRRRPEQEDRKKSIRHWFSRSSANHEVDKKMKITTEKLNKKFHGILQNGRELGLQPIKLQRQSRISEFPGDLSPQYTLVGDIEQEKLKLINKLTGSESTSAVIAIFGLGGIGKTMLARKVHDDLLTESAFSTVVWVNGSKSFTKKKLLRAILSSSGGKPGEAKKKSNEQIEDMLVTILGAKKFLLVLDDVWADQIHQDFLKVSLQAQQGSRILLTTQDEGVLRQIASDDIHKVNKLSFPDCWSLLCSSACLDEQDCNALTDIGITIIQKCNKVPLAIKVLGGLLGTKNPRREEWQEVISESEGWTLENVPDGMEEICLPIYLAYYSLPYHLKLCFDYCLQLPEGFVIRPQIVTQLWIAEGFIREQDNRNPEDIAEQYYKELVLRNLLQPEIGCFDMSKCTVHDCVKSLLQPSTKDKKSTDSTEGTKFFRSFRTAFVYKNPSGDRGLNWLINLRSLINLRSLDLTGTCIRYIPKSLEHLHHLRLLNLSLTQVLELPESIESLSNLQFLILRCCYWLETLPEEISNLVSLRSLDLEGTTPHIVLSRLSALEQLTALHGFIVDHNAAVPDNDHQNGWPMKELSPLNSLRSLQIMGIDRVPDESRAQEANLASKSHLTHLELCGSSTSDSQVFVPEEEQDRWLSVLCGLQPPQCLEYLKIASYYGSSLPDWILQLRNLQRLVLTDCKLCDSLPALGQLQQLKFLTINGCPKLRIIKWRTGATTKLVFPKLEQLDLSDMQALESLDRFKHGDLLSLTKFHLENSPKLRSLPSGLGYCKVLTSMKIVGADSLQVIDNLPMLKELVVQDCRELVMISNLPVLQVLVVVDCSMLQDLRGVAGLRHVRLVDRVTKELPDWLTGHEAPLLQTFTIVGTTELLRKLVPNSKGWSAIRNMDRVYANLPDGAPFLAYNKGRPDFQMIKTAVGPQLEDRPSAYIILRKLVRMASQTGLLDSVKRYFLPPLAIVLVLLLLATRDFTLIGILLAFFAAIACLAGFYYIYIQKASG >OGLUM09G05730.1 pep chromosome:ALNU02000000:9:8535199:8536298:-1 gene:OGLUM09G05730 transcript:OGLUM09G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGFPSAAAAAATSSSSPRPPDRPLPICSMLASYTEEPTSFVLVGGCQIATIAHACCPRPPPVEDAEEGQSENPDAASMQMRIILTAMYIETFIVQSTGTNPCSSCRNRSLLTAKLNFSLDLNDIRVISEDIGSLVHLTWTL >OGLUM09G05740.1 pep chromosome:ALNU02000000:9:8571105:8580258:1 gene:OGLUM09G05740 transcript:OGLUM09G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPRQRKRKRLAMSWGHYFGEKDHNGMTAGNRVKDEFWSFFTTQEEDMEELDKNIDNYCQARVPKIICQARVDAMKKYYGKGIKGKNASAIELNFEHAFVTGGHQISTRRSAKEVKMHVLLMKTMLNSREDLFHSELYNKTWCINLDQKMQVAWIPTEFKWLALKPHSKVYHEEHGEEHQPVSSELDGNVVYKAFGGLKHGWFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEQRGMLKAVYEKLGMDIPEEVLSRLSIDVLIIHPFMDLFCGGNPVTKVDGPPPSGRHNLATLVGGAGAASAGKECNSNAASRGQYQNFHEIPPDDADFNNLTIYLKSASPAQINFKFWFFCSLQFMMASSLFSYFFGMKSRILSPALPQQSYLSSAELPSLTDHVNEEVAKLDRTVRRITAVLVDADEREIADETMKLWISELKQVTWEAEGILEDYSYELLRSTTVQEEKEGLCRKESRISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDKLTKAAIESVTAKPCDLTELEPLQRQLHKEVKGKKILLVFDDVWNEDTIKWETMKRPFSAVATGSHMIITTRNENVSTIVQAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSDGVPLVLKTLGAMLSLDTSLEFWNHVLTSDLWELGPGWDHILPILKLSYYSLPAILKRCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDSAKRMEEIGHLYLAGSREKFVIVHDLIHDLAKSIGGKEILVKKCCGSSVGGCNTSANNHLRYLAVLAGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTFFQVRVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLDKSVGVLHHLRYLGMCQREIPEAISKMYKLQTLRNTYPFDMIFLPRNVTALSNLRHLVLPRGFPVTIPSGIHRLTKLQSLSTFAVADVGSGAAMLDEIKDINTLQGELCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLQPHNCIRQLVISGFRGLNFSSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGPEFYGDCEAPFQCLETMVVQNLVAWEEWWLPENHPHCVFPLLHTIDIRGSHKLVRLPLSNLHALAAITVSSCSKLETIVGLKERCEVTAGNSGLKAGQTNVLPSLRRVKVTACPSLEEPLISMLRRQTEIGFSYWEQSPSTSPTDIADFGKTTWKDCGKNNDIAKMVRVMQNHCNMKRCNDIMDMPTRRGLSELLEGESEMHCDRLVHRTELNGECKIHTLYCILKSLQVLGITQ >OGLUM09G05740.2 pep chromosome:ALNU02000000:9:8571105:8580258:1 gene:OGLUM09G05740 transcript:OGLUM09G05740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQTGSVLGTSLRREYPGLVKEYAGPRQRKRKRLAMSWGHYFGEKDHNGMTAGNRVKDEFWSFFTTQEEDMEELDKNIDNYCQARVPKIICQARVDAMKKYYGKGIKGKNASAIELNFEHAFVTGGHQISTRRSAKEVKMHVLLMKTMLNSREDLFHSELYNKTWCINLDQKMQVAWIPTEFKWLALKPHSKVYHEEHGEEHQPVSSELDGNVVYKAFGGLKHGWFAMGNGVFKKTEVLAAVKHKKSGISGSTNSYNAVVRENAQLRHEVTEQRGMIREQRGMIQEQRGMLKAVYEKLGMDIPEEVLSRLSIDVLIIHPFMDLFCGGNPVTKVDGPPPSGRHNLATLVGGAGAASAGKECNSNAASRGQYQNFHEIPPDDADFNNLTIYLKSASPAQINFKFWFFCSLQFMMASSLFSYFFGMKSRILSPALPQQSYLSSAELPSLTDHVNEEVAKLDRTVRRITAVLVDADEREIADETMKLWISELKQVTWEAEGILEDYSYELLRSTTVQEEKNILDRISKVRKFLDEICRDRVDLGLIDQEGLCRKESRISRCTSSLLDPLEVYGREDEKKLIISSLLDGCLTFKKRRLKEHEYETCKAGAVRLISIVAMGGMGKTTLARLVYNDARVQNHFDIQAWVWVSEVFDKLTKAAIESVTAKPCDLTELEPLQRQLHKEVKGKKILLVFDDVWNEDTIKWETMKRPFSAVATGSHMIITTRNENVSTIVQAKKVIHLGGLQKDDSWALFCKLSFPDNACRETELGPIGRKIVEKSDGVPLVLKTLGAMLSLDTSLEFWNHVLTSDLWELGPGWDHILPILKLSYYSLPAILKRCFTFLAAFPRGHKFDLEELVHMWCALGFIQEDSAKRMEEIGHLYLAGSREKFVIVHDLIHDLAKSIGGKEILVKKCCGSSVGGCNTSANNHLRYLAVLAGTTPFYSDNKLVPFTLPVAGHFPLRSLSFQSKWRTYLRSCVRNNLRTFFQVRVQSQWWYNLEGCLLHSPHLKYLRILDVSSSDQIKLDKSVGVLHHLRYLGMCQREIPEAISKMYKLQTLRNTYPFDMIFLPRNVTALSNLRHLVLPRGFPVTIPSGIHRLTKLQSLSTFAVADVGSGAAMLDEIKDINTLQGELCIMDLQNITHDRIWEPRSANLSKKKLTRLELVWNPLPSYKSVPHDEVVLESLQPHNCIRQLVISGFRGLNFSSWLGDRSLFSLQELELCKCYYTDHLPPLGQLPNLKQLKLTSLWKLRSIGPEFYGDCEAPFQCLETMVVQNLVAWEEWWLPENHPHCVFPLLHTIDIRGSHKLVRLPLSNLHALAAITVSSCSKLETIVGLKERCEVTAGNSGLKAGQTNVLPSLRRVKVTACPSLEEPLISMLRRQTEIGFSYWEQSPSTSPTDIADFGKTTWKDCGKNNDIAKMVRVMQNHCNMKRCNDIMDMPTRRGLSELLEGESEMHCDRLVHRTELNGECKIHTLYCILKSLQVLGITQ >OGLUM09G05750.1 pep chromosome:ALNU02000000:9:8583192:8587151:1 gene:OGLUM09G05750 transcript:OGLUM09G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASARGFWGRHRRKILVSLGVAGAGYAAYRYLDSHRRQLVRVEQRALEERAAEEIIKNQLQTHFENVQKISDTTTLPFAMHYLRSRIMEELDISHLTEKLMHGKGESSAPALTPKEKYDTWEKIKILSFTRTVSSIWAMTLLSLYVRVQVTILGRHLYLDFARVTDGAQLQEGSDTFSKSGHKDFLATADYLATYGINALITKMQHAATEILKEKQLKDPMGIDEVLETILQILKQFMGLCEDNSWINYLVPENANVYAQLMAVSSSGFDDSSLLKDVRKLDQLMSETRIVLSRNIMDRSLKKIASVVVEDLAEQIGAPIPPPGLPLAKLLAKVAQLSLPLLEEPDKNKHIQIIRSMPEVELFYTFLYANMPPET >OGLUM09G05760.1 pep chromosome:ALNU02000000:9:8588419:8590637:-1 gene:OGLUM09G05760 transcript:OGLUM09G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDDEGIELDEEKQSLVPTSKSSNA >OGLUM09G05760.2 pep chromosome:ALNU02000000:9:8588419:8590637:-1 gene:OGLUM09G05760 transcript:OGLUM09G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQYYATSSLVIGYALCSSLLAIINKYAVTKFGYPALLTALQYLTSAGGVWILGKLGFLCHDPFNLENAKKFAPAAIVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTAFRKQPCPSKLTFLSLVVILGGAVGYVITDSAFSLTAYSWALAYLVIITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMMAPFFWFLTGEYKSLFTAIESRGERWFQVDAFVAVALSCVFGLLISFFGFATRKAISATAFTVTGVVNKFLTVAINVLIWDKHSSPFGLICLLFTIAGGVLYQQSVTKKGITAPQHEPESSEQTKDDDEGIELDEEKQSLVPTSKSSNA >OGLUM09G05770.1 pep chromosome:ALNU02000000:9:8597218:8603028:-1 gene:OGLUM09G05770 transcript:OGLUM09G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT1G18030) TAIR;Acc:AT1G18030] MWSGDKGVMLGTKGSGGGDVGEWLLLLGFGGSIWKGLVHGRLGKRGGDLCAGEIDKRLLELGSCIVQVVMAHQKREATSDNGGGDEEWASKRPKVVGAAAEKEHILTSDASHETNGDEAQGGDASRKENTVSTNPCVSDEKAATNSNVSSGHGVILTSVEADAAEDKGCRHTMEDAWVLLPDASMESPGNLRCAHFAIYDGHGGRLAAEYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDECLLQESTKGNWQDGATAVCVWVLGQTVVVANAGDAKAVLARSTSADGDGAVDDAKSQLKAIVLTREHKAIFPQERARIQKAGGSVGPNGRLQGRIEVSRALGDRQFKKVGLIATPDVHSFEVTRKDHFIILGCDGLWGVFGPGDAVEFVQNQLKETSSATLAVRRLVKEAVRERRCKDNCTAVLIVFKH >OGLUM09G05780.1 pep chromosome:ALNU02000000:9:8607347:8612744:1 gene:OGLUM09G05780 transcript:OGLUM09G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMGAEEARTSRVVVAAAGRSLNPNAKEFVPRWHRHAATADDDDAARRTKLSADAPEFVYEGFWRGVDGLTGYGYGYGDGHDGAPEELVVVVSERLNPDAPEFTAAASIRRRRSPGSGNGISSTRHWSRRGSRNFSRQGRSAPFSSRVRRAQKEEFVRRTIFVSDIDHTVTEDMLAELFGSYCSVVVDCRICGDHSSGLRFAFIEFQDESDAYAALDLDGYVLGICPLRVSPSKTAIMPVNPSFLPQSEAEREMCSRTIYCTNIDKSVNVTDLKYFCEEHFGQVFRLKLLGDDGHPTRIAFIEFAEVDGAINALNSSGIFASGQPIRVCPSKTPIRSVASYYSTSANTMTSN >OGLUM09G05790.1 pep chromosome:ALNU02000000:9:8620087:8621306:-1 gene:OGLUM09G05790 transcript:OGLUM09G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRRPLISLSDSSLISMISQDNQLASIGFSSATGPTHSGHYILGWSFTTDGEAQPLNHSALPLEVAHDFAKQDNLKPPNNQRQEQDRRQLPNHNILAIVILSVLVAMSVLVAVIVVLLCRKKKAGKCEDWEAKCGPRSFRLLPIKKELLLVYDYMPNGSLDKYLHDQDNTPTIGWAMRLGIIKGITSGLFYLHEDWEHVVIHRDIKTSNVLLDTDMNGRLGDFGLARLHDHGADAHTTHFAGTWGYIAPELSRLGKATKATDVSAHDDPIVLVDWVLETWQNGSITDTIDLRLEDCVAEDAELVLKIELLCSHPSPNVRPRMQQVV >OGLUM09G05800.1 pep chromosome:ALNU02000000:9:8621385:8627690:-1 gene:OGLUM09G05800 transcript:OGLUM09G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGGGGGVGKVERLSSIDAQLRQLVPAKLSEDDKLIEYDALLLDRFLDVLHGLHGDDLKDLVQECYEVAAEYETKHDVQKLDELGNMITSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAMTESDIEETLKRLVFDLKKSPAEVFDALKSQTVDLVLTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNEELRSRADDLHRSSKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGYCDIPEEATLTNVEQLLEPLELCYRSLCACGDRAIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWSEERRQEWLLSELNGKRPLFGPDLPRTDEVADVLDTFHVIAELPADSFGAYVISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLESAPAALTRLFSISWYRQRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEQLVKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPSAPKPEWRALLDEMAVVATKEYRSVVFQEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHALQKDIRNLHMLQEMYNEWPFFRVTLDLIEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYVETQKLLLQVAGHRDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVTLRPHLSKEVMDGSKPAAELVKLNPGSEYAPGLEDTLILTMKGIAAGRKESQLAMLPSSLLPFFFLLFLILHATKLDADSDQFICNGFKDTDLSLNGEASVTRGLLNLGNIPQKSSHASRSFPSSAGKIPSFWTSFVFVISSDYANRSANGFALVISTNIGSQNNLQGMYMGLDPFDDENLLFAVEFDTKRDSEFFDINNNHVGLDSSSLISLQPQPAEYYTPDDLFKELTL >OGLUM09G05810.1 pep chromosome:ALNU02000000:9:8640440:8647434:-1 gene:OGLUM09G05810 transcript:OGLUM09G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAPRREREIQQQAALTGWVSLMASSLVPPPWRRRSERRPPTVEAEVGGEAAHRGGVAGVRVGGQVDVVGVAISFGVGDFCVDEEKAQGQIQQYTRAKIPLLDNQRADLQKASSSPPLVGGISSPPPRSFQKLLLLSPPLPMARRGHHHHLAEVALLASASEDLAAVGAGEREGWLDDPAVLPSLAPRARALAVASAARSVLAVVPVAGVGGGVTVRPALGPDDGRISAVEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKAPSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGDSGQLSVLNRHIG >OGLUM09G05810.2 pep chromosome:ALNU02000000:9:8640440:8647434:-1 gene:OGLUM09G05810 transcript:OGLUM09G05810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAPRREREIQQQAALTGWVSLMASSLVPPPWRRRSERRPPTVEAEVGGEAAHRGGVAGVRVGGQVDVVGVAISFGVGDFCVDEEKAQGQIRNFTASLIFTALSETNHNVKPEQYTRAKIPLLDNQRADLQKASSSPPLVGGISSPPPRSFQKLLLLSPPLPMARRGHHHHLAEVALLASASEDLAAVGAGEREGWLDDPAVLPSLAPRARALAVASAARSVLAVVPVAGVGGGVTVRPALGPDDGRISAVEWVPLVGEDAEEAGGEGVAVAVGTDAGWLLFYSLAGDLLHKQSIYPSKILKLNFRERKENAWEDSGSDELSVVFPGVIARFDGADLQNMLQKSLHEVKSHLWKDKSEQEDAEEDSSFGRIPFQIWNVSKFSSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRSRSIVGAILSRGVAATFSTISSLSKIIWRSEPSPTKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKAPSSLHTEYTKSDYCLCLAIHAPRKGIIEIWQMRTGARLLTIPCPKGSRILQPSTRFMSSPFSSLYSPLEVYLFNGDSGQLSVLNRHIG >OGLUM09G05820.1 pep chromosome:ALNU02000000:9:8647945:8648367:-1 gene:OGLUM09G05820 transcript:OGLUM09G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSASRAMATFRHGLGPASRSSTPSCHSHVYEAGDAGRRGDAEQEQQQQQQDVVVVVAGGGVAAVGAPTSAPRCAACVRTGREECRLCTRWSDSRGDCSVRWCSRRSAGATAGQAPDAALPSASPPRRHGDRVDRKLLL >OGLUM09G05830.1 pep chromosome:ALNU02000000:9:8650752:8652622:-1 gene:OGLUM09G05830 transcript:OGLUM09G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQKLYEVCKVSLSANGSLSLEAIDSVCSVLDNVMPSDVGLETEAQSVRSWRNPRVLNRKAIGIFCIPASSIIPLHNHPGMTVFSKLLYGTVHVKSYDWVEDTTQLLKLSKVRPAKIVRDGEMSAPCGAMVIQPKDGGNIHAFKAITPCAILDILSPPYSSEDGRHCSYFRRCRKADPSGILSNRSREPEFVWLEEHQPPNSFVIRRDLYKGPALNL >OGLUM09G05840.1 pep chromosome:ALNU02000000:9:8661724:8663421:-1 gene:OGLUM09G05840 transcript:OGLUM09G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASILGLPSPSSICSPWSLAFEAGLGVEHAVPPLFKAHQLLQPLAPSIANTRSVSDYLLATALEGQGAFDVGSSSSPSSPFPACTPASPAMPSLAPTSGKDDLNFVLFSVQSPFKIFQLVRMDREFRMVVGNLLQINDAWQGQSANEVLKWIN >OGLUM09G05840.2 pep chromosome:ALNU02000000:9:8661241:8663421:-1 gene:OGLUM09G05840 transcript:OGLUM09G05840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASILGLPSPSSICSPWSLAFEAGLGVEHAVPPLFKAHQLLQPLAPSIANTRSVSDYLLATALEGQGAFDVGSSSSPSSPFPACTPASPAMPSLAPTSGKDDLNFVLFSVQSPFKIFQLVRMDREFRMVVGNLLQIGWSTLAVDDWDLDGTDPSLRGEGWLWKGTSLTR >OGLUM09G05840.3 pep chromosome:ALNU02000000:9:8661241:8663421:-1 gene:OGLUM09G05840 transcript:OGLUM09G05840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSASILGLPSPSSICSPWSLAFEAGLGVEHAVPPLFKAHQLLQPVHSCIPSHAKPGADLRQGRPQLCVVQRPIPIQNIPVGQIGWSTLAVDDWDLDGTDPSLRGEGWLWKGTSLTR >OGLUM09G05850.1 pep chromosome:ALNU02000000:9:8661604:8663797:1 gene:OGLUM09G05850 transcript:OGLUM09G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGQTASAPVLWLGGTACSTPRPASNASDQGLQIELGDGRPRIDADCMRQSRMGLWRKGEPPRRLQGHDAVACGGESRGGAPANEVVRQWTAAGIRTAERREDAHGARRGRLVRRWTASAAGNQAEQVRRRGFLVLRREGGCTQSEEEGGWSNGGSGESSGASDRGGGDSCG >OGLUM09G05860.1 pep chromosome:ALNU02000000:9:8674789:8675406:1 gene:OGLUM09G05860 transcript:OGLUM09G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLALEPLTMASDAEQVIHVTVSNLLYPVTKDLLHRVFYAYGAEKIYMHQMETRTEASVQFQSREDVEYARKTFHRCNIYDGCCRMDIHLELPSPAATSSNSASTALFSQIIEESRADLKELAAILQEKSVKDEERRNREAAAANLSVMAARTPAAVSPLPQFSPSEDSSFEKTAKLRLRQRFSSRRRRRGCDSIRARRR >OGLUM09G05870.1 pep chromosome:ALNU02000000:9:8734666:8736232:-1 gene:OGLUM09G05870 transcript:OGLUM09G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRPPSTSSDKKSCQNVSPEKPSSTSFCHPQFPQHLLSHPAYAMNFPFPPFPHYPPYSQNFQYAVPPQYAPYSLPPPDGVMPSPYVPATMDKTWLMYNKEAHVMYLEEAKHKFTFGHCWNAVWDQPKWKEYISSFSTKRVMQSESGGYVSSSEDSEDMQGKCLVDPLYMLTKNREDMTEVQPSVSNQKMQLELLTADASWPIEFQLGRHQLMTGTSKLNEHQQGMAMRDEMLEKGSGPQDFELLDNERVAREDEPKKETQPHQGFKARKVSRKRKGKASSSSCEVQEDIKHALYLQTMLNNDREKMSEVQLHLSKEQLELTRIKQDEANVKKETTLYMKYTELLLADTSRFDEFQKAEYEKAVKHIGGILFSKDVN >OGLUM09G05880.1 pep chromosome:ALNU02000000:9:8831125:8831498:1 gene:OGLUM09G05880 transcript:OGLUM09G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLNCKNFQEWELSVRMLRILIGQACHLADDPPDDRTDATKLSGQVQVVHNAWQARRMTRKIYCTNLSCNFPPNLSPFKSS >OGLUM09G05900.1 pep chromosome:ALNU02000000:9:8889717:8890629:-1 gene:OGLUM09G05900 transcript:OGLUM09G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTE >OGLUM09G05910.1 pep chromosome:ALNU02000000:9:9000283:9016127:1 gene:OGLUM09G05910 transcript:OGLUM09G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILICANAPPHLALLDRRRPDHHTRMHARRPRDKEQSKGIVYCKAKETQETVDRRSGGKDEPRDMEEMVNTIRVMLRTMGDGEISASAYDTAWVALVKNHNGSDSPQFPSTIDWISHNQLPDGSWGDDLYFLVHDRLLNTLACVIALMEWKVHGDKREKGLSFIRENIWRLAQEEEAWMPVGFEITFPSLLEIAKDLALDIPYDDPALHKIYSLRELKLKKIPREILHSVPTSLLVSIEGLRGLDWKRLLKLQLSDGSFLSSPAATAYVLMQTGDKKCLEFLDGIVSKFHGGDMHSIHTAPDMKKIIVALTFLGIDQMTRLGISYYFKTEIDEYCLEYTFRHWTKEGMTYNWHSSVKDIDIGSMAFRLLRLHGYSVSPSFLEKFEKDGEFVCYPGEANKSVSVTNNIYRAAQVRFPGDGNVMERAKRFCYEFLQERRAITKLNDKWVIADGLPGETSPGKQVCHVLKQECIWSNMVPAEMCGSKNATTIHDIANGVKDGGGDGTQDLPSRMQLRTETAVAAPEPSHADGIKDSGGGGACMFRALPSWIGSRIVTTAGAPVPSRVDGVEDSSSRASCYRWWYAENDLEVYGVTHKSALTAFFLAAANIFEPNRADERLGWARTAVLAEAISSLLNSLDAGSDDNMKERFISYLASDGRSHNKHAREDGLLSTLDQLIDSLAPDSTASDSLREAWKKWLLTWTSKERCHNALPEENTALLIVRTVEIVSTRYDSDDHTLNLEYSQLEQITSSICCRLAARVIAQKAEELDRQVDMEMQKLALLVLQNYNAADGLTNRTFLDVVKSFCYIAHCLPETVDRHIFMVMFAT >OGLUM09G05920.1 pep chromosome:ALNU02000000:9:9017354:9017578:1 gene:OGLUM09G05920 transcript:OGLUM09G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAASTTVAADRQRSHSLSPLPSRCAQLFQAFQWEERVTNICYAFLTGLRLWWTWHTMCPFLKLGLNAMEAHC >OGLUM09G05930.1 pep chromosome:ALNU02000000:9:9028633:9030297:-1 gene:OGLUM09G05930 transcript:OGLUM09G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGIAKGHQSTGVNGNSDPGNGDDDVDDLGDYKQDDKQKDKKGDDSAEGELMTLMDLDLKHAEMEVGAQAGKEEKCGIPTDYDIEKMRSEEDH >OGLUM09G05940.1 pep chromosome:ALNU02000000:9:9035701:9036193:1 gene:OGLUM09G05940 transcript:OGLUM09G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHFSFDHLPFCASQPPERNHADDALEHAPERRRFNHRRRLAILDHLGASRYAKNLTSTYSLKCLKNPSRRHQEHGERIFSSPAPIQIRRHSHDQGVDVIPE >OGLUM09G05950.1 pep chromosome:ALNU02000000:9:9062312:9064102:1 gene:OGLUM09G05950 transcript:OGLUM09G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVYKAISLMQRWKVLLSKERDREALNVLLEKLKAKMESLRPVNVLPDNINRVMFGFLPKAGLTLMQ >OGLUM09G05960.1 pep chromosome:ALNU02000000:9:9103724:9104430:-1 gene:OGLUM09G05960 transcript:OGLUM09G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDTAIGCLVQSILGSFFTKQMEAWTHEIGLAEDIKKLELEMKAVERVLAAAEGRSIDKPLAQSLGSLRELLYDAEDVMDELDYHRLKHQIEKGEHLLLLRRLSFLVKAAVLLLKKEATRGRAN >OGLUM09G05960.2 pep chromosome:ALNU02000000:9:9099512:9103716:-1 gene:OGLUM09G05960 transcript:OGLUM09G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEIKRDISKRINRIANNLQKTGNSVRGVLQLEISFRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIIGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVYVNNFNVLQEILLKNIRDKRFLIILDDMWEDRDNSGWDKLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDEKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKALKGCPLAARSVGELLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPNDHRFYGETLVQAWISQNFVQYEDMETGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAKINGLQRNLIPPNIRHLSIITTSHDNDAREDFPIEKFEEILQKIWPFQKLKSLMFFGESSIKLLKSMLTFCKEAKCLRLLRVYVSSADINSVQNSLSPYHLRYLEFIGVYMNVVLPRALTSFYHLQVLNVGIYGSHDVPTSMNNLVNLRHLIANDKAHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNKLVTLGISHLQNVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLESLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLRTIHLENCREWQILRSPEILPFLRRLKLVKMSNLVELSIPSLEELVLIEMPKLEKCIGSSGIELTADLKVLIIKDCPQLDEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELIDLHAVKQLLIPSLQKLVLINMPSLECCSGLTASPVQMSTCNGDKEWLSSLNELTIHDCPCLVLSYPLPPSAMMFRFSVKGIPTHPTMEKSYPHGEGINQLIDLKSLYITGCPNFAMTSGLVFPSLRFLSVQACSISGSWLTEMLSHVQFLDSLELYDCVQIKFITFSQPAAIEGASSLCSAATHSDSDEQLLKIPSNIIHSLRVLVISNCPDLEFGGEEGSLRGYISLDYLCIQHCPKLVPLLELEQGGNQVPPPPPSLDPFRITNLTDKVQSRLLFFLPTITKLVISESPELTSLQLGYSKALKELEIVDCESLVSVEGFGSLTNLRFLTVYDSPSLPRCFEILSHQQGASAILSRLEKLQIGDGSVLTVSFCKQLTSLRIIFFWPERSKRGATMMGLAEEQERALQLLTSLQSHNFWHLTNLLSLPANLASLTSLKWLGIHDCHRIKSLPEMGLPLSLRRLSLRGCSDDLSMKCRMAATEKL >OGLUM09G05960.3 pep chromosome:ALNU02000000:9:9099512:9104430:-1 gene:OGLUM09G05960 transcript:OGLUM09G05960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDTAIGCLVQSILGSFFTKQMEAWTHEIGLAEDIKKLELEMKAVERVLAAAEGRSIDKPLAQSLGSLRELLYDAEDVMDELDYHRLKHQIEKGEGCSASAGNNPGTNYSTSSISSSAYQLICSARQKITSWISSDRRRKRQEEGPTDSTMLPLEIKRDISKRINRIANNLQKTGNSVRGVLQLEISFRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIIGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVYVNNFNVLQEILLKNIRDKRFLIILDDMWEDRDNSGWDKLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDEKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKALKGCPLAARSVGELLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPNDHRFYGETLVQAWISQNFVQYEDMETGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAKINGLQRNLIPPNIRHLSIITTSHDNDAREDFPIEKFEEILQKIWPFQKLKSLMFFGESSIKLLKSMLTFCKEAKCLRLLRVYVSSADINSVQNSLSPYHLRYLEFIGVYMNVVLPRALTSFYHLQVLNVGIYGSHDVPTSMNNLVNLRHLIANDKAHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNKLVTLGISHLQNVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLESLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLRTIHLENCREWQILRSPEILPFLRRLKLVKMSNLVELSIPSLEELVLIEMPKLEKCIGSSGIELTADLKVLIIKDCPQLDEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELIDLHAVKQLLIPSLQKLVLINMPSLECCSGLTASPVQMSTCNGDKEWLSSLNELTIHDCPCLVLSYPLPPSAMMFRFSVKGIPTHPTMEKSYPHGFSIKSDELIMLDDKILAFHNLRGIEALSVKDCPNLVSISSEGINQLIDLKSLYITGCPNFAMTSGLVFPSLRFLSVQACSISGSWLTEMLSHVQFLDSLELYDCVQIKFITFSQPAAIEGASSLCSAATHSDSDEQLLKIPSNIIHSLRVLVISNCPDLEFGGEEGSLRGYISLDYLCIQHCPKLVPLLELEQGGNQVPPPPPSLDPFRITNLTDKVQSRLLFFLPTITKLVISESPELTSLQLGYSKALKELEIVDCESLVSVEGFGSLTNLRFLTVYDSPSLPRCFEILSHQQGASAILSRLEKLQIGDGSVLTVSFCKQLTSLRIIFFWPERSKRGATMMGLAEEQERALQLLTSLQSHNFWHLTNLLSLPANLASLTSLKWLGIHDCHRIKSLPEMGLPLSLRRLSLRGCSDDLSMKCRMAATEKL >OGLUM09G05960.4 pep chromosome:ALNU02000000:9:9099512:9103716:-1 gene:OGLUM09G05960 transcript:OGLUM09G05960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLEIKRDISKRINRIANNLQKTGNSVRGVLQLEISFRGLTSNQRHRMARNTRLTTSVPIEPKVYGRDADRDRIIEMLINEGSSDLLVLPIIGIGGIGKTTLARFVYRDQRIIDHFDLQIWICVSTNFNEVRLTLEILEHVCKDRQEYRDVYVNNFNVLQEILLKNIRDKRFLIILDDMWEDRDNSGWDKLLAPLKCNQVTGCVVLATTRRNSVAQMIGTVNAFQISGLDEKEFWLFFKACAFGNEAYEGQPSLQSIGQKIAKALKGCPLAARSVGELLNRDVSYEHWRTVQDKWKSLQVKDDDIIPILKLSYDYLPFHLQCCFSYCSLFPNDHRFYGETLVQAWISQNFVQYEDMETGLEETGLQYLANLVDFGFFQKVGSHYVMHDLMHELAEQVSSNECAKINGLQRNLIPPNIRHLSIITTSHDNDAREDFPIEKFEEILQKIWPFQKLKSLMFFGESSIKLLKSMLTFCKEAKCLRLLRVYVSSADINSVQNSLSPYHLRYLEFIGVYMNVVLPRALTSFYHLQVLNVGIYGSHDVPTSMNNLVNLRHLIANDKAHHAIACVGNMTSLQELKFKVQNVGSFEIRQLQSMNKLVTLGISHLQNVKTKDEASGARLIDKEYLKKLSLSWNGGSVSLEPDRSKDVLESLRPHHNLKTLSITGYSDPNSPTWLSSNLSVTSLRTIHLENCREWQILRSPEILPFLRRLKLVKMSNLVELSIPSLEELVLIEMPKLEKCIGSSGIELTADLKVLIIKDCPQLDEFTPFQSYSSFGAEQWFPSLRELTIGCCPHISKWEILPLREMHALKNLELIDLHAVKQLLIPSLQKLVLINMPSLECCSGLTASPVQMSTCNGDKEWLSSLNELTIHDCPCLVLSYPLPPSAMMFRFSVKGIPTHPTMEKSYPHGFSIKSDELIMLDDKILAFHNLRGIEALSVKDCPNLVSISSEGINQLIDLKSLYITGCPNFAMTSGLVFPSLRFLSVQACSISGSWLTEMLSHVQFLDSLELYDCVQIKFITFSQPAAIEGASSLCSAATHSDSDEQLLKIPSNIIHSLRVLVISNCPDLEFGGEEGSLRGYISLDYLCIQHCPKLVPLLELEQGGNQVPPPPPSLDPFRITNLTDKVQSRLLFFLPTITKLVISESPELTSLQLGYSKALKELEIVDCESLVSVEGFGSLTNLRFLTVYDSPSLPRCFEILSHQQGASAILSRLEKLQIGDGSVLTVSFCKQLTSLRIIFFWPERSKRGATMMGLAEEQERALQLLTSLQSHNFWHLTNLLSLPANLASLTSLKWLGIHDCHRIKSLPEMGLPLSLRRLSLRGCSDDLSMKCRMAATEKL >OGLUM09G05960.5 pep chromosome:ALNU02000000:9:9103724:9104430:-1 gene:OGLUM09G05960 transcript:OGLUM09G05960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMWLVGSVVDTAIGCLVQSILGSFFTKQMEAWTHEIGLAEDIKKLELEMKAVERVLAAAEGRSIDKPLAQSLGSLRELLYDAEDVMDELDYHRLKHQIEKVLLLKKEATRGRAN >OGLUM09G05970.1 pep chromosome:ALNU02000000:9:9106334:9108569:-1 gene:OGLUM09G05970 transcript:OGLUM09G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTPKGSLLRHGNDHLRPGPGTVVEHTVISFLPNGPSAKSHNRVEPTTALSWNFEPARAVPLMEGSSARGEALREAEARKDSPPQLEGLGAPNQKETAVSVGSKKSATKLGQEEVSRGAGLRHQQLLSELTIIISFPSKLNHQFTNSTLELAIIINRKKTTFGDDD >OGLUM09G05980.1 pep chromosome:ALNU02000000:9:9131265:9136479:1 gene:OGLUM09G05980 transcript:OGLUM09G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKPEDMDHHPPMDQLQGFEYCIDSNPSWGEAIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKARVVQTLLFVTGIKTLLQTLFGTRLPTIIGGSYAFVVPILSIIRDPSLAQIADGHTRFVQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVVALVGLGLFERGFPVVGRCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSLLICVALVWVYAHILTASGAYKHTALLTQFSCRTDRANLISSALWISIPFPLQWGAPTFSANHAFGMMAAVVVSLIETTGAFMAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFPIFAAIYCVMFGIVAAVGLSFLQFTNMNSMRNLFIVGVSLFLGLSIPEYFSRYTTSAQQGPAHTKAGWFNDYINSVFSSPPTVALIVAVLLDNTLDVREAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >OGLUM09G05990.1 pep chromosome:ALNU02000000:9:9146376:9150499:-1 gene:OGLUM09G05990 transcript:OGLUM09G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTASLHPCVCKASPAFRPASSLGARTQPKSTATNPKRPLFQELQRRLSFRIDEASKALETAKQGLLDALVDSTFKFSDQPMLPSESNFAPVNEISEAIGILQIEGEIPEDFPEGSNPLFGALHSTVSIFGKSSEIWVEGEGMLHAIYFTKNSSSATWSVSYANRYVQSETLKIEKTRQKPCFLPAIMGDSAAIVAAYILNYMRFGKVNKNISNTNVFEHAGRVYAVSENHLPQEISIQNLDTGDSWDINGEWKRPFTAHPKVTPGSGELVIFGSDAKRPFLMVGVVSADGTQLKHKLDLNLDRCILCHDIGVTVKYNIIMDIPLTIDISRLIRGNQLIKFEKDSYARIGVMPRYGDAESVVIRGFRAANSIIPGPRISLNKNDLLSDPSKCSLKPGINEEFFSRLYQWRLNMKTKAVSGQYLTGTEFSMEFPVINDHYTGLHHSYAYAQVVDSLESSYGVNEKVILKYGGLAKLCLEEADNVIAETSEDLIKTEYHGFGKDQFFSGAAFVPRVGGSHEDDGWVHIIDAQTFEGPPVAKIILPQRIPYGFHGTFITSKHND >OGLUM09G06010.1 pep chromosome:ALNU02000000:9:9297891:9302402:1 gene:OGLUM09G06010 transcript:OGLUM09G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFKIKGQKKEEAANTNGRPPAKKQSPGELRLHKDIAELNLPKKTNITFPNGKDDLMNIEITLRPDEGYYVGGAFVFTLQVPPTYPHEPPKVKCTTKVYHPNIDLDGNVCLNILREDWKPVLNINTIVYGLNLLFIQPNDEDPLNHDAAAVLRDDPQKFRRNVQTAMSGGYVDRVHFPRCK >OGLUM09G06020.1 pep chromosome:ALNU02000000:9:9305957:9309744:-1 gene:OGLUM09G06020 transcript:OGLUM09G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter 14 [Source:Projected from Arabidopsis thaliana (AT1G77210) TAIR;Acc:AT1G77210] MAGGFVGGGEAIAGRAEQYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTAMDDFLIKFFPEVYARKSAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFAASHLTRRRGRRATIMVGAVSFFLGGAVNAAAANVAMLIAGRLLLGVGIGFGNQAVPLYLSEIAPYNIRGAVNQLFQLTTCLGILVADVINYFADKIHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVEMGRLEEARRVLEKVRGTRKVDAEFEDLREASEAARAVRGTFRSLLAARNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVVVDRLGRRFLFIEAGIQMISSMVVVAVILALKFGHGEELSKGVGTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAAMCHLRWGVFILFAALIVVMSIFVILLLPETKQVPIEEIWMLFDKHWYWKRIVRKDPKLLALVSAPQLKA >OGLUM09G06030.1 pep chromosome:ALNU02000000:9:9321675:9325170:-1 gene:OGLUM09G06030 transcript:OGLUM09G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFRDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNELYPITSSYGMPVYFSLERAAAPFFGIKAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGLPWLPKAAAKLEER >OGLUM09G06030.2 pep chromosome:ALNU02000000:9:9321675:9325170:-1 gene:OGLUM09G06030 transcript:OGLUM09G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAASSFSAAAAAAARRSSPSHLRLLARRHLPFSSTVSFSAAAPPAAAASFGWEDALRVAADDRRGDESDLSGYFRKVDTCNRGMDKKGEFVEFMVEDQVVGYIHQGFVEHLRDFRDVFTIASGSNGNNNVEHVTLHSSLRTPDERTNAVGSVIRSLGDLIPGIRNELYPITSSYGMPVYFSLERAAAPFFGIKAYGVHMNGYVEKESQKFLWIAKRSDTKQTFPGMLDHLVAGGLPYGISCEENVIKECEEEAGIPRSISSNATSVGAISYMDIEGFRYKRDVLFCYDLKLPPDFVPNNEDGEVDSFRLIPVPHAANIIRRTHFFKPNCNLVIIDFLFRHGYHVYKPRLPWLPKAAAKLEER >OGLUM09G06040.1 pep chromosome:ALNU02000000:9:9325531:9325864:1 gene:OGLUM09G06040 transcript:OGLUM09G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPGQLALNAVPSWMALRVDRPTCQFSAPPDSALRWHSSAPVGLALTFESFRPTRAGRESDGDGAFPLQALILLDLLGNQEDWEGISGGGCGVR >OGLUM09G06050.1 pep chromosome:ALNU02000000:9:9354741:9360764:1 gene:OGLUM09G06050 transcript:OGLUM09G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLLKQAAYPFNIRRNVQDLITHTDDLVARRHDIARQIEAADRDGGMIPTHEARQWLDRVESARLSADTIRGRYEQRCRMFGGCSLNLWSNYRISKRAAERLAIVRSCEVVPSPITIDPPALAAVNIPIESAQIHSQESILEEALRCITEGPSAIIGICGPGGVGKTHLLKRINNNFVGDSTFRLVIFVTATRGCSVQTIQTQIMERINLSRDGDLVTRANRITRFLEAKSFLLLVDDLWGGELEMGSVGIPYPLKNQGQLKQKVVITTRSPTICELMNVGTHVNVAVLEEDEARELFMEYNGHKGLYSDPHIGDLAKELVKELKGVASQLIHFGKEMRGRKDPKQWEDAIFVVKTSDTTHLQNEDQLSLKGTIVRNLKVATENMLARSNEVRQKIEIAERNGKTPTNRVISWLRRVDSITSTAEIICGQHQLNLDVSQSAAEKLHEVQECLDNQPINIVVDVLQTPTEYIPIQSFELRSQNIVLQDALQYIADDSVEMIGIRGAAGVGKTHILKKINNSFHEHSDFQFVIFVTASRNIREQIARRLGINQDDRDAKLVTRISKFLEKRSFLLLVDDLREILDPKEVGIPFPLRNSSEFRQKVVFTTRSEHICGQMAVSKKIKVTCLEQDEAIYLFRQNVDMGILHSSPRIEELANTLAKELSGLPLALITTARAMSSRHHPTGWEDAIREMHDLFRHKDNPLNMEKGVYQPIKFSYDSLRNDTLKQCFLTCSMWPVDQNIRKDELVQCWMGLGLVDEPNIRSSYNEAYKLICDLEAACLLESGPNNDVKMQNVIRDTALWISHGKWVVHTGRVSSGPFRNAGHFPNIFEISPPEILVEPSPANWDLFNNFHWDKAMCVSLMCNSMTKLPTVRIDQDLSELKILCLQQNSLDANIARVIQRFIAVTYLDLSWNKLEKIPEELCSLTNLEYLNLSYNFSISEVPKCLGFLIKLKFLYLQGTNIKTIPDRVISSLTELQVLDLLNMYFGEGITMSPVEYVPTILPELGAINNLKEVDIVIEGSFQYELLSQCCNLPLRLVALRKMEQSCALFRLSESIFQDNLLGTTLNYLEVSDSDMNVIEIFRGAEAPNYCFEALKKIELFNLKMLKHIKCFRLSPHDMFPSLSVLRVSFCDRLKNISCTMYLSKLQHLEVSYCNSITQAFGHNMNKSTVPTFPCLRYLSFAYLDVLEKICDSDVTFPQLETLKFTGCPNLMSLPFNKGTVPLNLRELQLEDVKLWKNLIWEEEGILDLLEPYLKIKVSPPTTCLRHKTS >OGLUM09G06060.1 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRVSNCGNVTSESQIFSNTSSRSQKDTLKTERLGNISCGLSNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTLSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSAQIKGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.10 pep chromosome:ALNU02000000:9:9355664:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSSSFTSSLARSPMWGSE >OGLUM09G06060.11 pep chromosome:ALNU02000000:9:9355664:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.11 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSSSFTSSLARSPMWGSE >OGLUM09G06060.2 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRVSNCGNVTSESQIFSNTSSRSQKDTLKTERLGNISCGLSNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTLSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.3 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRVSNCGNVTSESQIFSNTSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSAQIKGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.4 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRVSNCGNVTSESQIFSNTSSRSQKDTLKTERLGNISCGLSNIVGTYSTGDIVIPSPKYIFNKSSTCNSVRLDMTLSGIVFMLVPCRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.5 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSAQIKGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.6 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSAQIKGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.7 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRYGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.8 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSVPKGKWDAYFFWVKNLPEVIHQQKETSFLKEFRNPGDKFCVTVVLVYPQPPCNLFPDFLHEFFGKISDVGIRIKTLVTIIFHKQLACFVLL >OGLUM09G06060.9 pep chromosome:ALNU02000000:9:9355608:9363148:-1 gene:OGLUM09G06060 transcript:OGLUM09G06060.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVAASLACNTIKRVSNCGNVTSESQIFSNTSRYKNLSLMRNPRHLGTSDIEKLYDKLRYSKFVKEHSSSGIFSSLFQLKSRIKTLVTIIFHKQLACFVLL >OGLUM09G06070.1 pep chromosome:ALNU02000000:9:9365608:9366914:1 gene:OGLUM09G06070 transcript:OGLUM09G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPATSTVPRASLLPHSRAAPRHRLHERLLPGTMVVVAVIRACRVTTGHRQRVGSVRASPRVGGWEEGVGVEWLVEVAQRTADAARPREVLSPVTIADREAEEAMDSVILKSFPSHAVFGEENGWRCIEKSDDYVCVLDPIDGTKSFITGKPLFGTLISLLYNGKPVF >OGLUM09G06080.1 pep chromosome:ALNU02000000:9:9372045:9383530:-1 gene:OGLUM09G06080 transcript:OGLUM09G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLEINKSKIFMEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVVQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTSSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELINALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGIASSRFTVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGHSH >OGLUM09G06080.2 pep chromosome:ALNU02000000:9:9372045:9376430:-1 gene:OGLUM09G06080 transcript:OGLUM09G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEVVAVAVAAGTSRTVLVTGGAGYIGSHTVLQLLAAGFRVVVADSLGNSSELAVRRVAALAGDKARNLSLHKVDIRDKGGLEKVFSSTRFDAVVHFAGLKAVGESVQKPLLYYDHNVAGTIILLEVMAAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPHNPYGRTKLIAEEICRDIYHSDSEWSIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPFVQQVAVGRRPSLTIFGNDYATKDGTGVRDYIHVVDLAEGHIAALRKLFESSIGCQAYNLGTGKGTSVLEIVNAFEKVSGKKIPLVIGPRRPGDAEILFSSAAKAEREFKWKAKYGIEEMCRDQWNWASKNPFGYASPDSTKQNGHSH >OGLUM09G06080.3 pep chromosome:ALNU02000000:9:9376815:9384040:-1 gene:OGLUM09G06080 transcript:OGLUM09G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPPWTDLSPINPLLNHPVHGPRPREPSRGHTPKPQPFTGDEKRHRKTSRVAFAREGHLLCFRFRFPLSSLSPLPRGQPTHRGLEEEEEVGEIPVGSAPESIRAKLEINKSKIFMEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVVQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTSSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELINALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGREKTTNKHLRDDDD >OGLUM09G06080.4 pep chromosome:ALNU02000000:9:9376815:9383530:-1 gene:OGLUM09G06080 transcript:OGLUM09G06080.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLEINKSKIFMEHATCDDVHEHAINVSHGETASTSTSHQDLHSDSDDSHQDDRPSTSTQTPSPQSSASTSPTAYNTRNLSFPRRDSMYGHGRSIWNSGLWISFELVIYVVQIVAAIFVLVFSRDEHPHAPLFAWIIGYTIGCIASIPLICWRCAHRNRPSEQEPEQPPAAYPNLTSSQSSEGRNQRSSGTVLHFGCITISCPRPSILAYHFKTAVDCFFAVWFVVGNVWIFGGHSTSSDSQEAPNMYRLCLAFLALSCVGYAIPFVMCAAICCCFPCLISLLRLQEDLGHTRGATQELINALPTYKFKPKRSKMWVDHASSSENLSEGGILGPGTKKERIVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGREKTTNKHLRDDDD >OGLUM09G06090.1 pep chromosome:ALNU02000000:9:9417890:9419152:-1 gene:OGLUM09G06090 transcript:OGLUM09G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTDELVEFILLRLDSSVSLIRAASTCKPWRRIVADAGFLRRFRGLHEPTVTGYYFDSRAESPFATLTMGRQEAALCFRPSPSAAALAIDAGSFSLAFLRDDDVLPSRWSSSWSVADSRGSLVLLRSLAAGHAPFCFPEVVVCEPLTRRHRRILPSPDFGTGCFFYGCYLADGEAAGDCSSSIGMSNFRVVYELYRDDGGAGLARAAVFAAGADGGHSWRETSVGHAIPPFHRMSLMGRAGGSWYFHEGSTMAVLDGSTAEFSSLLFTLQQQPIDPGVYLYIAEGRDGEPRMFTTTGGILTVLARARRPVVAGDGEDDVEEWAVEKSVRLSEATRGLPGYDASFFGGGGGPMDVITRGVGFVVLSPRIRTTAKEEAAPARWWFAVDLETAEVERVHDDLGTIQFPCQLPWQPNLRACPA >OGLUM09G06100.1 pep chromosome:ALNU02000000:9:9420986:9422780:1 gene:OGLUM09G06100 transcript:OGLUM09G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKQQQAAVAADNEQQAEVHSSLKLENKFNPIFEWFHMHTLLRYLSTVEVALCATVSIEKHENVQLKGAPSVLAAVKKCWNVCCDDPRVQGWMLLIIKELTMYLGTIC >OGLUM09G06110.1 pep chromosome:ALNU02000000:9:9425583:9425831:1 gene:OGLUM09G06110 transcript:OGLUM09G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVINELRDELLESAFLRIASPICLVRAASACRRWCRVVADAGFLRLYRSRNALTIGSYVATETSYFTLHQLVPPFCFVQVE >OGLUM09G06120.1 pep chromosome:ALNU02000000:9:9425842:9426639:1 gene:OGLUM09G06120 transcript:OGLUM09G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAASSPAAVTNSSGRFSLDFLPDPDVDTYWVLADSHGGLLLLVPRRYYWGRANASFPIAICEPLTRRHRTVIPPLENKKVALLDAFLLGSDGGGEKKNNNHVVAGVSNVTVLLILYTFRSGAKTACIFSTVTGGGADEELRLRLTRSMDLGRPLEPYRYRRHALRRTRRRLPLLGDFSTGEFSSVTLPKCTGEQPRSYRQHNLRAIGGGAGDDAGEGRVRIIRLVNNEEVELLTPLPLHGICSSSREE >OGLUM09G06130.1 pep chromosome:ALNU02000000:9:9430534:9431772:-1 gene:OGLUM09G06130 transcript:OGLUM09G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNELPDELLESVFLRLASPICHVRAASTCRRWCRVVADAGFLRLYRSRNALTIGSYIATDTGFDTNSSHPSPSCGLSRLAFMPVASSPAAVTNNSDHRFSLDFLPDPAGVDTYWVLADSHGGLLLLVPRSCYWGSALFSIAVCEPLTRRCRTVIPPLDSVNVPRIDAFLLGSGGGEKKNNNVAGVSNFSVLFILYDNWSGSKTACIFSTFTGAGEEMRLRLTRSMDLGDLIRRPKGVPRSDLDADAMHFAGRAGGSLYWGTIYGVVFALDESTGELSPLTLPKCTGEQQPPFYCLHNLRAIGGAGDDDDAGRARIVRVVQHSDLEVLTPLHGSGGSGEEWTVEKTLRLLELARGLPGWKRCFFETPIAARIMAVIGRSVVMTPLNVTWPFSVDLDTMELERVYDWGDKVV >OGLUM09G06140.1 pep chromosome:ALNU02000000:9:9442699:9444303:1 gene:OGLUM09G06140 transcript:OGLUM09G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAMDLPDDLVELILLRLASTVSLIRAAYTCKQWRRIVAAADAGFLRRFRSLHAPAIAGYYYNSDNFTSFAPSPPAASTPAIDDSHFSLDFLQVIVQDIVDGSRPQSSSSSWRIMDSRGSLLLLDFAGSHPDDGVRSLLVCEPLTRRYQWVVPPSAGRGFGGGGCEFSRAYLADGAEADEAGGRVGMSNFRVVYELYHHRHGVSAAVFTFTTGGGGAQFSWEEKAIGDIAGSSSCMHVLGHAGGSWYLYAPGGKPVVFDGSTAEYSASESELPLDADNWLSWDVMIFFPSCFRVAEGRDGHPRILTVVPGGGALKVFARCNNGGGEWVVEKMIPCAAAMRRLLGRPASSSSLGQWMSIVTTRPGFAVVSPQVREGRWFFAVDHDTMEILSGFDHH >OGLUM09G06150.1 pep chromosome:ALNU02000000:9:9449685:9449933:1 gene:OGLUM09G06150 transcript:OGLUM09G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLKEEVRASRQKCGVGSPSRHNRDALRLGKQRRFAARGCMPIPDHLHPTPISLLIIQAAHQPLLEIRTRLQWLAPPIRTK >OGLUM09G06160.1 pep chromosome:ALNU02000000:9:9453177:9455230:-1 gene:OGLUM09G06160 transcript:OGLUM09G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAAWVWQAHPQHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPVARTRGDLPLRFLQSNKSPLEVATEVAKEADEYNGFNLVLADLTTNVMVYVSNRPKGQPATIQLVSPGLHVLSNARLDSPWQKAIRLGKNFREHLRKHGDDEVEAKDIVERLMTDTTKADKDRLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVHYQIE >OGLUM09G06170.1 pep chromosome:ALNU02000000:9:9465532:9466603:1 gene:OGLUM09G06170 transcript:OGLUM09G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:root hair specific 18 [Source:Projected from Arabidopsis thaliana (AT5G22410) TAIR;Acc:AT5G22410] MAMARVATAALMVAAAVLLGLAGGGHAQLQNGFYKGKCGDNDVEAVVQGIVRARFARDAPIVAYLLRMQFHECAVNGCDGGLLIDGPGTEKTASPNLSVKGYDLIADIKAELERRCPGVVSCSDIQILATRDAVALAGGQPYPVRTGRRDRRQSRASDVVLPAPDSTAAQTVAYFGKLGLSAFDAVLLLGAHTVGATHCGAIKDSRLYRYGGRAGATDPALDPYYAFVYKTWVCPNAAASDGNVVFLDDQWSALRVDSNYYKLLQRHRGVLPCDQNLYGDGSTRWIVDLLANSDLFPSLFPQALIKLGEVNVLTGTQGEIRKVCSKFN >OGLUM09G06190.1 pep chromosome:ALNU02000000:9:9476568:9477044:1 gene:OGLUM09G06190 transcript:OGLUM09G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:A0A0E0B1E4] MASGGGAIGEHYMRVIRGDDGYDGGGGQHQEKPGAAVSVAKGAAAAAAAGSMLALAGLTATGTVVALIVATPLLVLFSPVLVPAAFAASLLAAGLASSGALGAAAVGVLAWMYRYLQSPSGEHAPAGAGKVEHARALLDAKAHDVGDWVQHRLDQART >OGLUM09G06200.1 pep chromosome:ALNU02000000:9:9477857:9478177:-1 gene:OGLUM09G06200 transcript:OGLUM09G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTEIVKNKDQERGGGEREEEETVAMAGTGSREEALLVLFDIAWQQGNLLTGGSGACACTNSWPRRVREAGDAAGSWAAAADGVASSVTAGGRGRRRAVEEKGRE >OGLUM09G06210.1 pep chromosome:ALNU02000000:9:9479685:9491039:1 gene:OGLUM09G06210 transcript:OGLUM09G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTERSRRRREGKLRKAWRKEAATAGPTSVNDVPDEVLELVLLRLCDSLALLRAAAACKRWRRLVADAGFLARFRSLHAPHVVGHYHVVDPTFTGALRGGNHVFVPERSPSPLDRRRLSLDFLPEPDSDRAWWELADTRGGLVLLYQNKWNVSFPDMVVCEPLTRRHQGILRPQETKYRICFGVFLIDGAAADETGGCISMSNFRVLSAVCESETSYWYYHGTPRPRAYVFSSGVDGGWRATESDSGSDIELPSLQLINFVGRAGCSLYWGLDGKDAMLALHKTTAEFSLVTIPAIVGESYHPSTFRVIGGGNDGTMRVVRLIGDDLKIFTQLKGSGGEWVVEKLVRLPVATRGLPGHDVGLFHHYARIVTENERYILVTPRVATWLFSVEVETPVVEREHVRNKYAGAAYPYELPWPPGRRTQRGSMPPSPPMATTERRRRCGQRQRRDKHKRGSSMKVAGAGDGAAAVMTTVEDVPDHLLEVILLRLDSSVSLLRAAAACTRWRRVVADAGFLRSFRSLHGARHVVGRYHTVDPCFGSPPSAGGSSVVFVPSSPLVIGVDSRFFSLDFLPDYDDGDSWSWELEDSRGGLLLFSKKRKSTSRWAAMADARGFSFPDLVVCEPLTRRYQGIASPVYFRRHPCLGVFLLDGDAADADDTGSGGIGMSNFRVVAALHDRTWQHDRAVPLACVFTSGSDGGWRVLQSAAASAVDLPERFDFINFAGRAGGCLYWGIDGEDGAMLVLDEATMRFSIDMFPETIRASYDKWTFRVIDGGDDGDALRVVRVMRNDLKVFAQLAGSGEWVVERLVSLPEATRELPGRRKTYFRQEAKIVAANAAYVLLTPQEKKRWLFSVELETGKVERRHERNRGRRRRRRQRRKRASTKQLTAAATVQDVPDHVLEMILLRVDSSACLVRAAASCRRWRRVVADAAFLHSFRTLHGAHRVAGVYHTVDPAYGRPLPGGNFVFVPSTPLAAGDSCCFALDFLPYGGRDSWELLDCRRGLLLLSKKRPRFGGVATSRRFNDLVVCEPLTRRYQVIRCPANLKFFMCLGVFLLDDDAATGVGHAGGVSNFRVIAVLFDHHRWLDYRGMPMSMMFSSSGSGGDGTWQMVQWETIDDVDLPHWIEHITFVGRANGRIYWGLENEDGATLVLDESTTEFSITMFPENIWAPYDKYTFRVIGDGDDGALRVVRVINNDLKVFTQLAGAGEWVLEKTVSLPEATRGQPGHEEGLFFQHGEAMIVAASAAYVLVTPREKACMFSVELDTMEVEREHDRNWYPGPAYQYESLPWPPALQVCTDG >OGLUM09G06220.1 pep chromosome:ALNU02000000:9:9495965:9497302:-1 gene:OGLUM09G06220 transcript:OGLUM09G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARRRNEETRRRRLAMARSTTTLDDIPDILLKHVLIGLSSPVCIVRAAATCRRWRRIIARSDYTRALRFPPLLDAGHYQAVDLRYAAAPRPCGGKIVYVPSASVDARRFALDFLPGGGSAASRSSWKWELVDSEGGLLLLAKTRRRRFPELIVCDPLARRYVVIPPIPDKKYSHCLAVFFWNWNGGPNLSDFTLRCVLHEGIDGAAGGVTTARVYDFKRHYWSHHRKYWDRWFARGGAIDDGVHLRGATLHLAGRAACWIFFGNDDADGADAVLALDMRYPSACKFMLARVPDSLRGGSCGDRFGFRFIDGDNPDDVRLVSVVGGDLKVFLRRDGSGDAWEPEKSLSLRDATRGMPGRKESYFGGAAAAKIVSAGAGYVVLTPAEETWLFSVELATMEVESKHSRNRYAGESFPYHLPWPPTLSAHVSYCKRNRKGLCFQICVC >OGLUM09G06230.1 pep chromosome:ALNU02000000:9:9500475:9501782:-1 gene:OGLUM09G06230 transcript:OGLUM09G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTRRPLRRRATTVDDLDDALLTRVLVLLASPHWFIRAAATCKRWRRIIGAGGGSPCRAVEFHRRGRNPIVGHYYYPGRGSGGAVFVPSASPSLQPRVDGRHFSLGFLPDSDSWRLVDSSGSLLLLAKRKSGWMCHCFPDLVVCEPLTRRYRLIPRLEEMKHHRCIGAFLRREHYHHVCSRMPVPTLKVFVALYEGYAGVSADLGTATAFVFGSERWPWPCDRPRSRWRRAGRATGVHISGAETVHFVGRASGSFYWRMDDDDDRMLVHSCSTYSYSTFSRVALPEAMKGARRRDDGTSSAFRVVAGADGDVRIVWLMSGVLSVFARRREQWWMEKRLQLPAHTAPESFIIGDNNVVIADAGAGRLLLAPAEEDKRRRRRRVISVELATMKIDEPKHHAGGVVTYPYELPWPVTLNACFLSKWSRYEGWGCV >OGLUM09G06240.1 pep chromosome:ALNU02000000:9:9509125:9510219:1 gene:OGLUM09G06240 transcript:OGLUM09G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCCDSAVVVGAGAGAEAEARARARAGRRRRAGVEGAGRWNATATAAGVAAEEAATRKRRASGGEAGLVVVAKRHGAASVAGRRREMEDAVSLREAFAAPADGEVAAARCDFYGVFDGHGCSHVADACRERMHELVAEEMGAGSPAVAAAAAAREPASWTGVMERSFARMDAEVIAGCRAESGSCRCEGQKCDHVGSTAVVAVVEESRVVVANCGDSRAVLCRGGAPVQLSSDHKPDRPDELERIEEAGGRVIFWEGARVLGVLAMSRSIGDAYLKPYVTAVPEVTVTGRSDADECLILASDGLWDVVSNEAACEVAQSCLRRGRQRWCAEAAAVLTKLALARRSSDNISVVVVDLRRGNAL >OGLUM09G06250.1 pep chromosome:ALNU02000000:9:9512230:9512478:1 gene:OGLUM09G06250 transcript:OGLUM09G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVEGVVSSSVALKTISRETEQHKKMGSGGEGRHRRIWFEWDVLMSLSHPLLPSLRGVLATDVVVGLVIDRYGGRDLNSL >OGLUM09G06260.1 pep chromosome:ALNU02000000:9:9512589:9512801:1 gene:OGLUM09G06260 transcript:OGLUM09G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSRGWRRRGRSGRWWRCSTGALTASVTTRGGSEVALEQRVLVRHQVAHALQLAERAMVAETHELALA >OGLUM09G06270.1 pep chromosome:ALNU02000000:9:9515001:9516011:1 gene:OGLUM09G06270 transcript:OGLUM09G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFTAATSGDWRCREGPNSFYLRRQESLFSPSHALRSAVARGGSSAPEPSMINPHRAFPSWSEKCDPSPSQRSDLGPTNDSHGHQQPGPARCYIEQQGNISYLEYGATPPSLRRRKVHNPFSPIPPPVSPPAIDLPSTLRRWQYSTQCSKHNESGNELNKWRRSSCV >OGLUM09G06270.2 pep chromosome:ALNU02000000:9:9515001:9516327:1 gene:OGLUM09G06270 transcript:OGLUM09G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRFTAATSGDWRCREGPNSFYLRRQESLFSPSHALRSAVARGGSSAPEPSMINPHRAFPSWSEKCDPSPSQRSDLGPTNDSHGHQQPGPARCYIEQQGNMYFSEV >OGLUM09G06280.1 pep chromosome:ALNU02000000:9:9523102:9526261:-1 gene:OGLUM09G06280 transcript:OGLUM09G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHRRLLHLAVVFAGLLLPGVRAGTGGAASGDAGALLAARAALTDPTAALSAWRGDDLCRWPHVACDAAAGNAAVSDGVVAGLYLGGLYLAGGFPVALCSLRSLRHLDMSSNDLTGPLPACLAGLQALETLNLASNNFSGELPAAYGGGFPSLAVLNLIQNLVSGAFPGFLANVTALQELLLAYNSFSPSPLPDNLGDLAALRVLFLANCSLTGSIPPSVGKLTNLVDLDLSSNNLTGEIPPSIVNLSSLVQIELFSNQLSGRIPAGLGGLKKLQQLDISMNHISGEIPEDMFAAPSLESVHMYQNNLTGRLPATLAAAARLTELMIFANQIEGPFPPEFGKNCPLQSLDVSDNRMSGRIPATLCAGGKLSQLLLLNNMFDGAIPDELGKCRSLMRVRLPCNRLSGPVPPEFWGLPHVYLLELRGNAFSGNVGAAIGRAANLSNLIIDNNRFTGVLPAELGNLTQLVVLSASDNSFTGTVPPSLASLSVLFLLDLSNNSLSGEIPRGIGELKNLTLLNLSDNHLSGSIPEELGGMDKMSTLDLSNNELSGQVPAQLQDLKLLGVLNLSYNKLTGHLPILFDTDQFRPCFLGNPGLCYGLCSRNGDPDSNRRARIQMAVAILTAAAGILLTSVAWFIYKYRSYNKRAIEVDSENSEWVLTSFHKVEFNERDIVNSLTENNLIGKGSSGMVYKAVVRPRSDTLAVKKLWASSAAASKKIDSFEAEVETLSKVRHKNIVKLFCCLTNEACRLLVYEFMPNGSLGDFLHSAKAGILDWPARYKIALDAAEGLSYLHHDFVPAIIHRDVKSNNILLDADFHAKIADFGVAKSIGDGPATMSVIAGSCGYIAPEYAYTIRVTEKSDVYSFGVVMLELVTGKSPMSSDIGDKDLVAWAATNVEQNGAESVLDEKIAEHFKDEMCRVLRIALLCVKNLPNNRPSMRLVVKFLLDIKGENKPKAMKITEALPAT >OGLUM09G06290.1 pep chromosome:ALNU02000000:9:9527678:9528243:1 gene:OGLUM09G06290 transcript:OGLUM09G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGRKQGTTYPASSLDRSATIWTTRSLSWMDGCISVSRAWPSCSRDGWVGTGRKTTTTTAQAVGCRWGAFWRIEIFAARVREEAPAPAAAARAA >OGLUM09G06300.1 pep chromosome:ALNU02000000:9:9559666:9559959:1 gene:OGLUM09G06300 transcript:OGLUM09G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIEEVMAEAMNSMNVKATEQAVAEAGAEVEANTVVGLDADVLETVAEAKALETMAEAEALDTAVEGDMVTVVSRPSGDPKATTSEEASPEKHGA >OGLUM09G06310.1 pep chromosome:ALNU02000000:9:9569026:9577511:1 gene:OGLUM09G06310 transcript:OGLUM09G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >OGLUM09G06310.2 pep chromosome:ALNU02000000:9:9569026:9577022:1 gene:OGLUM09G06310 transcript:OGLUM09G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEKGLEY >OGLUM09G06310.3 pep chromosome:ALNU02000000:9:9569026:9577511:1 gene:OGLUM09G06310 transcript:OGLUM09G06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPREDPNGGASPSEPAPPQPAKAKGKKKDENKDDDLSEEDQALKEQLELYVVRAQDPDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAYFETMPESDLKKYMADILSVLALTMSAEGERESLNYRMIGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDGMPTDSLKELVEQIVSFHMKVEKLDLLVKHVDSTNYKRTCLYLTSSSKYLPAPDDMSALKIAFEIYMKFGDFANALRIALLLDDKSLELKQIFTATDDFHLKKQFAFIIARHGLSTDIDNDIAADENEKEALQDIISNIKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGATSSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSGSGSSGNWLFKNKEHGKASAAASLGMILLWDSDSGLAQLDKYLHSNDIHVVAGALLGIGIVSCGVKSDCDPAFALISEYFSRDESIIRIGAILGLGIAYAGSQKEEVRENLTAFLSDSQVPLEVLVFAAISLGLVFVGSCNEEIAQTIICVLMERSEPELAEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIRNYCDVTLMSLAYAGTGNVLKVQKLLGICSEHLEKGETHQGPAVLGISLISMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTMSRLSHDADAEVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAGHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPIALAGLVTVLHACLDMKSIILGKYHYMLYILALAMQPRMLLTVDEDLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYLPLTPVLEGFVILRNNPEYHED >OGLUM09G06320.1 pep chromosome:ALNU02000000:9:9578052:9586929:1 gene:OGLUM09G06320 transcript:OGLUM09G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >OGLUM09G06320.2 pep chromosome:ALNU02000000:9:9578052:9586929:1 gene:OGLUM09G06320 transcript:OGLUM09G06320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >OGLUM09G06320.3 pep chromosome:ALNU02000000:9:9578052:9586929:1 gene:OGLUM09G06320 transcript:OGLUM09G06320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >OGLUM09G06320.4 pep chromosome:ALNU02000000:9:9578052:9586799:1 gene:OGLUM09G06320 transcript:OGLUM09G06320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEVLISKTQMITLKCAACGFVSDVDMRDKLTTFILKNPPEQKKGAGKDKKAMRRAEKERLKEGEAADEEMKKLKKEAKKKGASKESTSSKSGAGKKKAAAGSDEDHSNSPTRSHDGDNVAADEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEEPEKKKHEASHKEGASNGSTKHVVEEAKSSPYDDLVKEMKDNLSKGANAVQLKGLMTSSALPPQDAMNALFDALFGGLGKGFAKEVVKKKKFLAAAVPDEASQMVLLQALVAFGAKSSPEAVKEVPIVLKALYDGDVLDEEVITQWYNESVAAGKESQVVKNAKPFVEWLQSADSESEEE >OGLUM09G06330.1 pep chromosome:ALNU02000000:9:9587758:9588153:1 gene:OGLUM09G06330 transcript:OGLUM09G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLAWKLLKNLSVYQCFRHLNKVLTPTFSGPDLQVPNISVMDFPPGKLLLVNILAFLFIEVASNPGSTRRGITGTYNSPELHK >OGLUM09G06340.1 pep chromosome:ALNU02000000:9:9590552:9591283:-1 gene:OGLUM09G06340 transcript:OGLUM09G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVKEARKQARSATHFTVAVAWLPSPTTTPAAPGAGELLTHAPISEPSAMHSDASVVAAEMLSEITPSASADSSGAAACKKPLQSFVN >OGLUM09G06350.1 pep chromosome:ALNU02000000:9:9590652:9592023:1 gene:OGLUM09G06350 transcript:OGLUM09G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGDHGRLPPHAPPPDSPPPAHHRDRLRESQQARLGGAHAAGGGAGGVGAGAGRDLGQHLGGDDAGVAVHRAGLADRRVGEQLAGAGRRGRRRPRLRRRQPRDGDGEVRRAPRLLPRLLHLLHPVGAVLRPRELPHERARRRRGGAGGRRAARRGPRRQLLGGGAPRALPRHGAAHVGVRPRPDARLLRAHRRRAPPPRHQLHAAAPPPVHGEEQGRVAGDGAGDGAGGRREISRGKGRQGRQWQHGGVLHGYISLLIVRNSFHDSSYNFFFVIFVLAENRVENFFFVL >OGLUM09G06360.1 pep chromosome:ALNU02000000:9:9591419:9594884:-1 gene:OGLUM09G06360 transcript:OGLUM09G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINQRNVAVENATVLPLPALPPLATADLAATTGAVAGAVAGDASLLLAVNRWWCSGMELVSRRWSAATVSTEQASIGAGPNTHMSSAVAR >OGLUM09G06370.1 pep chromosome:ALNU02000000:9:9596694:9599315:-1 gene:OGLUM09G06370 transcript:OGLUM09G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02750) TAIR;Acc:AT4G02750] MLPSRHLRSAARQRSHRPPLAAGDASSSGRLDPEVIRSNKAITAHMRAGRVADAERLFAAMPRRSTSTYNAMLAGYAANGRLPLAASLFRAIPRPDTFSYNTLLHALAVSSSLADARGLFDEMPVRDSVTYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRVEEARGLFNSRTEWDAISWNALMSGYVQWGKMSEARELFDRMPGRDVVSWNIMVSGYARRGDMVEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPERNAVSWNAMVAAYIQRRMMDEAKELFNMMPCRNVASWNTMLTGYAQAGMLEEAKAVFDTMPQKDAVSWAAMLAAYSQGGCSEETLQLFIEMGRCGEWVNRSAFACVLSTCADIAALECGMQLHGRLIRAGYGVGCFVGNALLAMYFKCGNMEDARNAFEEMEERDVVSWNTMIAGYARHGFGKEALEIFDMMRTTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHDFGVTAKPEHYTCMIDLLGRAGRLAEAHDLMKDMPFEPDSTMWGALLGASRIHRNPELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDARKMRVMMEERGVKKVPGFSWIEVQNKVHTFSAGDCVHPEKEKIYAFLEDLDMRMKKAGYVSATDIVLHDVEEEEKEHMLKYHSEKLAVAYGILNIPPGRPIRVIKNLRVCGDCHNAFKYISAIEGRLILLRDSNRFHHFRGGSCSCGDYW >OGLUM09G06380.1 pep chromosome:ALNU02000000:9:9600474:9607666:1 gene:OGLUM09G06380 transcript:OGLUM09G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid dehydrogenase family protein [Source:Projected from Arabidopsis thaliana (AT2G38660) TAIR;Acc:AT2G38660] MGGAAAAAAVALLAATRRRPAAGPLAFLLPRAARAGLHEAAAPAAEEEKGRTRRRRRRRSSSSRLLGPDIPDTWDPPPRAAARPPPPSGAGVDYDSTATIINGKSVAEDIRFQIAEEVCQMKNAVGHVPGLAVVLVGDRRDSESYVRYKIKGCEEVGIKSLLAELPGNCTEDVVVDSVSRFNEDPSVHGILVQLPLPQHMDEERVLSAISLEKDVDGFHPLNVGDLALRSRKPLFVPCAAKACLELLLQSGIELMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEEITRQSDIVISAAGVANLVRGSWLKKGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLANTLDSAKLAYGLATESHEL >OGLUM09G06390.1 pep chromosome:ALNU02000000:9:9604292:9607640:-1 gene:OGLUM09G06390 transcript:OGLUM09G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose mutarotase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G66530) TAIR;Acc:AT5G66530] MAASCALTLPSAFSVSVSSNSPRRFRRSRRVVAMASVGQKVYAPGVAVSEGNGGLPKIDLKSPHGSEAEIYLFGACVTSWKVPSGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGTMQQHGFARNMNWSISDSEANEGDPAVTLELKDDSYSRSMWDFSFQALYKVALHSTSLSTTLKITNTDDKPFSFNSALHSYFSASISGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELTLDNGLGDKIVISNANWSDAVLWNPHLQMEACYKDFVCVENAKIETLQLEPNQSWVAEQKIELI >OGLUM09G06400.1 pep chromosome:ALNU02000000:9:9612949:9614073:-1 gene:OGLUM09G06400 transcript:OGLUM09G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQPQPFRFWLPYRSNVASSSRQQPRPSSGTAPPPPPPTPADRPPRASPAPVRAMGEDISVHGESSDESDTIPVQSVDSPRRRGARRRPSVPDLELTLSRSPRAGEERTPRGGGGEGGEGGDTKISISGFPRSRLFDGARAPYRREIEDGLKSLATRGREAPAASADGGQGYRVITLAGHNVGASMVLGGGGAREHGQSPTAAEAEGSARPAPAVAANVNSNVQSVNNSSMEASTCNAGDPGVRVDIKNARDEPVLVSPPKRQQEEEKPKEPVLVRRPPLAVPAAPQPENRVAAQPPPPRARRCLRALMMESGSDTEAAPKPKPKPKSSSCRFQCVADHKPPPPPATTTSNGGGGGGGGDGRSGEEGGKENAR >OGLUM09G06410.1 pep chromosome:ALNU02000000:9:9614918:9616638:-1 gene:OGLUM09G06410 transcript:OGLUM09G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNREPVPARGFGSLVQIPDKIQNSLKVHFGRFLKKNGFGGGSEAEMLPMQVQGTCTTVAPEVRLDKQLQAWKNNPSWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGPRQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRTNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLDQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTTRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQG >OGLUM09G06410.2 pep chromosome:ALNU02000000:9:9614918:9616602:-1 gene:OGLUM09G06410 transcript:OGLUM09G06410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNREPVPARGFGSLVQIPDKIQNSLKVHFGRFLKKNGFGGGSEAEMLPMQVQGTCTTVAPEVRLDKQLQAWKNNPSWSDEPPEIKVTVPQGSLCNLNLKFKAGLPPDAVYNIIIDPENKRVFKNIKEVISRKVVLDEGPRQIVEVEQAAIWRFLWWSGVLSVHVFVDQNRTNHTVKFKQGRTGFMKKFEGCWKIEPLFVDKEACHPLDPCTLEEYDSCTNGTGRVGSSITLDQLIEPAMVPPPPFSWYLRGITTRTTEMLVNDLIAETARLRGLANNAIDKQYVEGKCDTTRDHLTEECNDIKERWRQRRKTGRHGNSLRLTAQG >OGLUM09G06420.1 pep chromosome:ALNU02000000:9:9622802:9628801:-1 gene:OGLUM09G06420 transcript:OGLUM09G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEMLVAAAVSQVARKINGIVGVAQGEVKLCCNFSDDLGDIKDTLVYLETLLKNAENNSFGSDRANLRHWLGQIKSLAYDIEDIVDGYYSSKEQFDGGSYAQKGSLFCSLSNPMLLKGSMVYKMKSKREMLQQRQQLPNQYHFLSHINSAVNFEEKQTTSYRNTDIAIVGRDADLDNLMDILMENSAEELSIISIVGPVGFGKTSLAQFVFNNTRTEVFSFRIWVHVSMGNINLEKIGRDIVSQTTEKIEGNMQLQSIKNAVQRVLNKYSCLIIIDSLWGKDEEVNELKQMLLTGRHTESKIIVTTHSNKVAKLISTVPLYKLAALSEDDCLKIFSQRAMTGPGDPLFREYGEEIVRRCEGTPLVANFLGSVVNAQRQRREIWQAAKDEEMWKIEEDYPQDKISPLFPSFKIIYYNMPHELRLCFVYCSIFPKGTVIEKKKLIQQWIALDMIESKHGTLPLDVTAEKYIDELKAIYFLQVLERSQNDAERSSTSEEMLRMHNLAHDLARSVAGEDILVILDAENERNARYCDYRYAQVSASSLESIDRKAWPSKARSLIFKNSGADFEHVSEVLSVNKYLRVLDLSGCCVQDIPSPIFQLKQLRYLDVSSLSITALPVQISSFHKLQMLDLSETELTELPPFISNLKGLNYLNLQGCQKLQRLNSLHLLNDLHYLNLSCCPEVTSFPESLENLTKLRFLNLSGCSKLSTLPIRFLESFASLCSLVDLNLSGFEFQMLPDFFGNIYSLQYLNLSKCLKLEVLPQSFGQLAYLKSLNLSYCSDLKLLESFECLTSLRFLNLSNCSRLEYLPSCFDKLNNLESLNLSQCLGLKALPESLQNLKNLQLDVSGCQDCIVQSFSLSPRSSQSCQWSEKAEQVRSRNSEISDITYEEPAEIELLRNNPSKDLASISHLNEGRIEEPEVVTEPSATRGMVQQIPGNQLSSPSSHLSSFASSSAPFASSSSDTSTSEHPVPNEEAAALTVPQSNEKCDITPMPVKDGLISEDDAPVHLHQKPLQATAMAAI >OGLUM09G06430.1 pep chromosome:ALNU02000000:9:9655778:9656146:1 gene:OGLUM09G06430 transcript:OGLUM09G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPGIKRKRIGSSSVIDGDGGSTHLAGSSSDTSFNALSAAYRRPPMPHRAPRTRTSARSVAMAMVQVRGRIGKQATTLLARCTSASRWHGGRARSPPPHPRCSPALPSFVPCQRETGGLQ >OGLUM09G06440.1 pep chromosome:ALNU02000000:9:9659343:9676831:1 gene:OGLUM09G06440 transcript:OGLUM09G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIFFPLSVRRSERRRFRNFLSRWNLPPFYLIYPAEMQYQKSKIILLKNRIPKLPCRMATAGAAVDRLLRRLAADAGRLKLPSSIDEDMAQVRQTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKEILLCSSSPCFFNSSRLQRIRIIKRKLDLSTEDSVVLSLMQHSPSNLKHCNEPVIFDGYKILGRDNDRANVKNLLFQNDADKFSIIPIVGLAGIGKTALARLIFHDYGEEWNFDQRIWICIDRKLELNKIANDIISQVNQKEETISEFLLNDQIHNNLQFMKNRLREVLCDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYRLRPLSEDDCWGIFCQRAFDNGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHSMPSALKSCFSYLSIFPIGFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKMPSATGISPTNCRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKISSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYNLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLRALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYRLPLSSGVPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNANLVTEETSDERVSLRKIRSLHDPGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEGHQTSIQSKGKRMLRFEKVKIIIQIHPEKENCKKTMKKAMKKAASVTGVQSVTLCGGNRNLLMVIGDGVDTNKLLKKLRNNVGAADIVETMPVEAEEFEAAAAVSGSKNFMKMMPRWPKSWSFVKQESLVDQLNFPRHSLGVC >OGLUM09G06440.2 pep chromosome:ALNU02000000:9:9659343:9676831:1 gene:OGLUM09G06440 transcript:OGLUM09G06440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIFFPLSVRRSERRRFRNFLSRWNLPPFYLIYPAEMQYQKSKIILLKNRIPKLPCRMATAGAAVDRLLRRLAADAGRLKLPSSIDEDMAQVRQTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKEILLCSSSPCFFNSSRLQRIRIIKRKLDLSTEDSVVLSLMQHSPSNLKHCNEPVIFDGYKILGRDNDRANVKNLLFQNDADKFSIIPIVGLAGIGKTALARLIFHDYGEEWNFDQRIWICIDRKLELNKIANDIISQVNQKEETISEFLLNDQIHNNLQFMKNRLREVLCDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYRLRPLSEDDCWGIFCQRAFDNGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHSMPSALKSCFSYLSIFPIGFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKMPSATGISPTNCRTLLQVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKISSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYNLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLRALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYRLPLSSGVPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNANLVTEETSDERVSLRKIRSLHDPGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEGHQTSIQSKGKRMLRFEKVKVKSQTLKKTRIKS >OGLUM09G06440.3 pep chromosome:ALNU02000000:9:9659343:9670886:1 gene:OGLUM09G06440 transcript:OGLUM09G06440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIFFPLSVRRSERRRFRNFLSRWNLPPFYLIYPAEMQYQKSKIILLKNRIPKLPCRMATAGAAVDRLLRRLAADAGRLKLPSSIDEDMAQVRQTLARLQDVLLSVEGKYFKMSMEAQEWMRKINQISYDIQDLLDEFEDCSEAGSQRGSSWIAKEILLCSSSPCFFNSSRLQRIRIIKRKLDLSTEDSVVLSLMQHSPSNLKHCNEPVIFDGYKILGRDNDRANVKNLLFQNDADKFSIIPIVGLAGIGKTALARLIFHDYGEEWNFDQRIWICIDRKLELNKIANDIISQVNQKEETISEFLLNDQIHNNLQFMKNRLREVLCDKSSLIVLDGLISTDKNQLIELKEMLRGTEKCTKIIVTTSSEVSADLIGTVPSYRLRPLSEDDCWGIFCQRAFDNGAGNMDRAEIGRQIVKRCEGIPMAAYSLGSMMRNKDDNAWLWARDKEIWELPKVFANGFELLAPFSEIYHRFVIDREKLIQQWIALDMVGSKHGALPAYVHGEMFIQELLSLFFLEIQKMPSVVKRPKMIPGCLIAIAVNSLVHAFAKYVAGSDIVISDGRELSRGPSAEKISSTYAVLINHTGHSTLQKDVLTGARAISFKNCLLADAFLRLNHLRILDLTCCYNLELPASIGYLKLLRYLAGSGLRIRKLPNQMSSLQNLEALDFSESHLEELPSFIGSYQKLTYLNLQRCEKLGNLPRTLGDLKRLEYLNLSYCPGVSEDADYLCSLRALRFLDLSGCSELQQLPHLFGNLTNLEDLNLSGCFRLERLPLPDSITGLVNLQYLKLSHVISELPESLSKLERLHTLDLTGYRLPLSSGVPPTLADIIRKMPNLNIMLRDRYGVEMSCSSVSTGGNGRGLPLNLKNKKIVVLQFQIYVHHNIRCSTDNANLVTEETSDERVSLRKIRSLHDPGDLHDVHHLESHEKIEEMQEQARQLVASSRLDEVIKPSNESLSFVL >OGLUM09G06450.1 pep chromosome:ALNU02000000:9:9695570:9698943:-1 gene:OGLUM09G06450 transcript:OGLUM09G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTPPKPLSCREPQREIHNNSENTGATGNDQAQDEEILLHVVAANGDGENYRKCATLISGIDKTLLFARNSENKTALDCAANAGYINMVFHLLDMEREHSVGRLNTTPNEILKKTSGRSETALHEAVRQRCERKIEELKGKDSGLARVPDINGMSPLYLAISLGYRDIVDKLTLTFGDANLSYDGPNGQNVLHAAALQSAELTELIIGRRGHLMNKADWSGSTPLHFAASVGVQGVTTALLDGIDQDRRTDYTQRPDNNGMFPIHIAASVGSMDTITSLVNADQGCATLRDNVKGKTLLHIAIENRKNKVVKLVCKDPRFKETLNLEDNDGNTALHLAVKKRDECIFTYLLENKAVELDHVNLEGYTPLDLAKVIRMEDYFASPQNPAEWMVRVLAHSGAVFTPRRREELIRGGSSQEKEKLGKTLSESTESVLVASALIATLTFAAAFTMPGSYRTTGPKEGTPALGALYGFKVFLVADILAFFCSVAATFSLAEYGNRGTVDPLVRCKFSQRAVWLFHVALRSIIVAFAFGVSVVMWDISLRAISICGVAAVAVVFYGNVPLGHDFRLMLLMHRRFGFSRSWNLYPSTASHLNWTSWQLKSFSATLVWNLVNLFWTYVLIFALAGFAQLKQKH >OGLUM09G06460.1 pep chromosome:ALNU02000000:9:9730709:9734547:1 gene:OGLUM09G06460 transcript:OGLUM09G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGKATAVDVIRGLKRRVDCCRHQYAPWLPWKLDEALGVGVNPTLSLLLDMLLLPPPAPVPDDELGAIKAALYELEDLLDDLDEHAGVRRRPGRPTWKRNNDAEFKLHHQHTNPQHEKYKEMVGFDGATTIGRDTEKQDLKDLLSQSNPDDLSILPIVGLPGLGKTSLARLVFEDKEEGWDFDLRIWIHVDDNFDLEKFAMCIISEANKLMKGKFSHILNRSDCPSYLKFKDCIEDILSSSSCLIVLDGLLYANEHWLPDLKYVLGETKHKCTRFIVTTSSEEVAEVMHTVPSYKLGGLSEADCWTLFSEKAFGSRDATIHSWQTKIGKAIVKRCMGMPILAQSLGLMVHNQDMDTWLAAGNDELWELVERHSLATEVFSSFKQIYYNMSLMLKSCFLYLSVFPRGSDIDKDELIRQWIALDLINSNRHGTLPAVLHGEMLIEALVSRSFLQIVNTCLVSEKKCRNPPTILKVHSLIYDFLRYIAADDIFTLDYAKSPNISVRNQPFRYAVLTNYTWQATMHEDLIAKAKAAKAAFFRNCEATMPIADIFPTLRYSRLLDLSGCLFQELPTSIGELKHLRYLNVSCFRITELPNEMCCLRSLEYLDLSKTCIQALPLFVGDFDKLKYFNLHGCGKLKNLPRNIGDLKRLEHLNLSCCPEICELPSSISGLGELKLLNLSSCTKLELLPHQFGNLSRLEILELARCCSLQRLPESFGGLSKLCSLTLANCSSLQRLPDCIGELCSLEYLNISHAHLELPNSLTKLQSLHIVNS >OGLUM09G06470.1 pep chromosome:ALNU02000000:9:9735039:9749180:1 gene:OGLUM09G06470 transcript:OGLUM09G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRHARLLAASIAVLLCHLPRSAASPSWSDAAVSPPSPSPLPLPQLRPMMQQRSVLPPRVSELPASPFTAKAAFVRYWNRKVHSNRPHPAFFFAKLSPLSAPDAAAFSTLAAAGQLGSRIRAFCAAASLLCPTTPGYGNSGGGGADQFAVYSSGHSNGGGGGGIDSFRRYGKGSQGRNDSFTSYEAEGNVGTSSFTSYNGDATGGAGGFSSYAGEANTVAVTFGNYDHTGNGRSREFSEYTQDANTGEESFAAYGKAANGAAESFRTYGNHSNSIATSFDNYGDRANGAADAFSSYGASGNTPENTFKSYASGSNAGVDDFKGYRDDANVGNDSFTSYASNANGAAVGFESYGKSVNPGSVTFKGYGLGSNPNHRIGFARYSGDNTTFKAYSNDGVEFKEYQNMSKMEVSKLEAAARRPPPRWTPEPGKFFRERDLVAGNRMPMPDIADRTPPRAFLPRDIAAKIPFDAAAVSALFGAAPGTAMRQVVSSTVAECARPPSRGETKRCATSAEDVVDFAVEMLGDNVVARATESTAGGGGDVRVGRVAGGNVTRSVSCHQSLFPYLVYYCHSVPTVRVYEADILAVDSNQKINHGVAICHLDTSDWSPNHGAFIALGGKPGEMEMENNYKS >OGLUM09G06480.1 pep chromosome:ALNU02000000:9:9758112:9770172:-1 gene:OGLUM09G06480 transcript:OGLUM09G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHLTSGSHGRLPHVAIFPFMAKGHTIPLIQLANYLRHHRLATVTFFTTPGNAAFVRGGLSSGDDDDDVAAVVELDFPIDAPGIPPGVESAEGLASMAAFVAFTDAVSLLRPQFEASVAAMRPPASFIVADAFLYWVNESAAVLGVPKVSFFGISAFAQVMRELRNRHGLCAVLKPGDVDDDGYPATLAVPEFPHVRVTLEDLMATFGEPSAVRMMMELDGKLGKAIEESHGLIINSFLGLEAPYIRFWNEHVRPRAWPIGPLCLAQPASATADARPSWMEWLDEKAAAGRPVLYIALGTLAAVPEVQLKEVADGLERAKVNFIWAVRPKNIDLGLGFEERIKDRGLVVREWVDQLEILQHETVRGFLSHSGWNSVLESVTASVPLAVWPMIADQPFNARFLVDELKIAIRVSPIDRTMRGLVSSEEISKVVKELMDGEAGAEATKRVVELSALAKEAMDEGGLSWIAVKEMITELCAMKNDVHEKEEANYCKCFSAQHNTNSGSGDSFKRSNGRLPHLAIFPFMAKGHTIPLIQLANYLRHHRLAAVTFFTTPANAAFVRDGLSTCGGAGEDDDDDLAVVELAFPAADGAPGGVESAEGLTSMASFVAFAESTSLLRPRFEASVAAMEHPASFVVADAFLHWTNDSAAMLGLPKVSFLGTSTFAHVMRELIVRQDPFAVLRPRDAVDDDGNGGAPPATTFSMPEFPEVELPVEELMLTFRDSSAFVAMMELDAKMGKSIEESHSLIINTFHGLEAPYIKFWNEHVGPRAWPIGPLYLAQPASAPAATRPSWMEWLDNKAAAGQSVLYIALGTLAVIPEVQLKEVAKGLEGVEVDFIWVVSPKDIDLGPGFEERIKGKGVVVRDWVDQSQILQHKSVRGFLSHCGWNSVLESVTAGVPLAVWPMNFDQPLNARFLVDEMKIAVMVWTSDSLRRGLVTHEEISRVVKELMLGEVGVEAAKNVAKQSTLAKKAVDEGGSSWVALREMINELCTINVYRK >OGLUM09G06490.1 pep chromosome:ALNU02000000:9:9774410:9776314:1 gene:OGLUM09G06490 transcript:OGLUM09G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEQSVRGIPSKEASWATHCCNGDLWRRNLSHGSAGASGNARCSVKCRAGWEMAQALLFMSNQVLYPVTGEVLHQVYNTYGAVAVQVLSMSPLGVEAFVWFRSSCDAERARSVTNGRNIYDGCCLLDVQHVQPFNGNGVDMTPTNCSTSVPSCANIKSDAKSTSTTLGHVFPATMSLSTPSNESAAVAPPISLTATKENGADMGKAEDKSEKTFHDLCIEIKDMINQMLMTCRDIKVESTMSIDITRVVVVTSTNTKSVPNTLEVSNKANSISLVDTNELCMVTATKCLTEGNKQMINDDDNDMATEDLVELTEVNSKFTLLQTGSSPPAPPWRAAIPWYKAEMTLGSRLLPWPDLWLSQDSGGVVMTKLLHPRQPPSQAEAKAEVGALQLFGCVLNSIDVDCQGEASKTLILERTCSIELRPWPPPYFLLNEVIKEILEICHQDNKLWESLLLNDPDTLCSLQLIWDPGGIDLGTSRN >OGLUM09G06500.1 pep chromosome:ALNU02000000:9:9780968:9788365:-1 gene:OGLUM09G06500 transcript:OGLUM09G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKREHSLLPNGNSSAQGSKQELEPCQTDLHQICFECTKLMYNKIKDRPFRTTHVGGDTPFHSVARAGNLRMLCYLITLLSEERHYNYGEVKEVLEKQNNRGETIMHEAVRRGDKDMVELLMWVDLELVRIPSTDSTSPLYLAVSLGYQDIAKLMYTTSGGALSYCGPNGQNVLHASVLRSKDMEENLILNKCVIFAAMAKELLEWERKDPTVLANQCIQQITAFSFADLADQGDQHESTPLHLAVSVEDRSSIKICWFPFYRPLEVPICELLQASVSPAFKPDETGSFPIHIAASMGVLKAISILLKKCPDCASLRDDKGKTFLHVAVQRKRRNVVKFACRNTELSWMLNMQDSDGNTALHLAIQTGDLGIFGCLMGNQQVCLNLANNNGLTPLDLSESKIPPQFSYKWNALNLIHETLKCAKAERGNIRRDLFEEKHTCQVDVDNESEKLTKLAQVAIIGSVLIATVAFAAAFTLPGGYLSDGGTPTLAGSYTFHAFVIAITLAYVYSSLATFGLIYSAMPFVDLGIRRAYFRSSLGVVACSLRTLAVSFALAVYTVIAPVDRWTAVFVCLSASVVAAFGHLNVVQTLALARALHARMGFRLSGMLLLRVTMQLAFAYWSYPLIFGLPAYLRSRRIGDHQP >OGLUM09G06510.1 pep chromosome:ALNU02000000:9:9825084:9836280:1 gene:OGLUM09G06510 transcript:OGLUM09G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLLRWKNDLSEERDKYGSTPLHFAASVESSLHNRFSSKAIVSKVLEACPSSAFQPDNEESLPIHVAASAGVRSAIVLLIEKWPGCASFRDSDGRTFLHIAVEKQRNDIVRFACKKVVLSSVLNMQDKEGNTALHLAVQLGNLSLVCSLLGNKRVLLNLTNKSGQTPLDVATRKIPTGIFYGWNLEETIHHALVRSGAKHGTIRWDQLQQKHIPPGTAEGDSNESQILSDSTQTLAIGSVLIATVTFGATFALPGGYRADDHINGGSPTLAGRYTFDAFIMATTLAFICSSIATLDLMYSGISMVNLPVRRNHFAVSIFFLTSSGTSLVAAFALGVYMVLAPVDAKTGIAICVLSPFTMLYRNKGRLQKLYALAGPLYIRMGLWALLSLTKDILSGVLKECWTLILIFGWAGLLLEWNKNLATKADKNGSTPLHFASSRTVTNKNWVYLHNIISVLLVPFMRNLHLKDILEANGAALYQPDDGGMFPIHVAASVGEKWAVETFVRMYPSSAGLRDKRGRTFLHVAVENKKVQLNLVNLTGQTPRDIAYNKIPAGMHNNQSIQDLVHCALIQAGAMNGSCRHDRFIEDCKAIDQQKSDGESKKEELQKLKDATQTMAIGSVLIVTVTFGAIFALPGGYRADDQPNGGTPTLAGRYIFDAFVIANTLAFICSSISTTSLLYSGSPLFNIPSRTIFLEAAFYFMKTSITCLITTFTLGMYIVLYIAPVARSTAIVVCVISPLVVLCNSIRYWVKRLALVPSYFVRMGPIWTFGFLASHIFYYSVFEFWPLIFIFAWVSHGRNHT >OGLUM09G06520.1 pep chromosome:ALNU02000000:9:9842921:9849359:-1 gene:OGLUM09G06520 transcript:OGLUM09G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKTTTQSEPNDGVQAQLGPELLMAARHGDRDRLKRLLGTVSPPVALPVGEVVLHVEDVETVGVGVDDDDDAEPTAAVVTSAEAVTVALDSVLHVVASSGDEPAFLESATAVHARASHLLDAGNGKGDTPLHCAARAGMVRMVSHLLDLARRGGGGGVAGDAAARAFARRRNSKGETALHEAVRLGSKAMVGALMSADPELARVVAADGGSPLYLAVSLGRRDDIARLLHEHDRGLSYAGPDGNNALHAAVQKGKERYEFNDLSISETTKMILGWNKDLIKQADRHRGSTPLHVAASWGHHDVISLLLDADPSAAYQPDHDGAFPIHVAAYDGQVRAVSILLGLDNHRKCAGLCSGERRRRDLRGCAELRDGRGRSFLHVAVEEQRQSVVAYACKLGNLSPAVMNMQDDDGNTALHLAVKAGNMWIFNPLMERRQVELNLTNNKGETPLDISWIEKPVGVYFGLNQRVKIYKLLKDANAKQGNHRWDLFLKKHNKKVDEEVEGKKLTESAQTIGVGSVLIATVAFAAAFAPPGDYGDDGAPRLADRYAFDVFIIANTLAFICAGLSVISLTYAGVAAVDMRTRMISFVFSASFMACSARSLGVAFAFGMYVVLAPVARTTAIAACVITGLALADVAWFIFVVAAGEVMLLKRLGIARAWWRLPFAIMATLLMQFWPYIVIVVVVLYSKIRGVH >OGLUM09G06530.1 pep chromosome:ALNU02000000:9:9853433:9855990:-1 gene:OGLUM09G06530 transcript:OGLUM09G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTPNKSVQSFAGSRTEKSTRSSHHREAEQNDRLTTREYTCADFIEALRWIDQEAFKCSTVLNDFSMRANITEPSYRTVKLDGICSTFVSSVLAGGYTYTGEVATTDGDAKENAARVAIKSILVTRSNHMLESIRSNKPTGTTIQGEQSSQQTSAHPAVIFNPTASNYIPCAPHHHYMLHAPFAPLEQMQWRHPGTPQMVPVFPHEQIQWRHPTPVHMPFHPHEQMQCRQSPAPMPFLPREQMQWRHPAAPMPTFLPHEQMQGHNPVAQMAYLPPEQMQRNVPIAHTPSEMMQMWQLPQSISSSNPVLQNGLYSNTGRDDDMVVEVGSAEETMTLSGTKRKMDQTEEALGKQARTTK >OGLUM09G06540.1 pep chromosome:ALNU02000000:9:9893102:9893602:1 gene:OGLUM09G06540 transcript:OGLUM09G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRRFLVVAAVVAGAMYSAATVAADTPGGSCPYPCLPPPIAGGVVNSYPPPPPAGSSSSGSGGGDGGAGGLFGGSYPPPPPEGFQLTPPGVMPGAIAPPFGGGFPYGPAPPPPNPILPWFPWYYQHNNPITGSTTSAATGRTPASMVTMVVLLALFLVALLRVL >OGLUM09G06550.1 pep chromosome:ALNU02000000:9:9916785:9925171:-1 gene:OGLUM09G06550 transcript:OGLUM09G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMMFRLYRVGIKLPTIEVRYKNLNVEAESYVGSRGLPTILNTYANILKNDLAIRFSWLWKNITVVGLGWNTPINRKNLFLELLRREEEENITPDPETDIYLKAATTGEEKAEIVTNHILKILGLDICADTIVGDNMLRGISGGQKRRLTTAPNVDSAAEMLVTLGRALFMDEISNGLDSSTTFQIVNTIQQTIHVLGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPRDHVLEFFKSLGFKCPERKGVADFLQEVTSRKDQKQYWIHGDDTYRYIPVTVIAEAFQCFHVGQAIRSELAIPFDNSKSHIAALKTSKHGVNLKKILKANIDREILLLKRKSFLYIFNALQLTLVAIIAMSVFIRTNMHHDSIENGRMYMGVQFFGTLAIMFKGLAEMGAALANLPVFFKQRDLLFYPAWTYSLPSWIIKTPISFLNTIIWVSITYYVIGFDPNIERQFLVLFVMSEAICGLFRFIAALTRHPVVASTVSEFCILIVMVSSGFILSRDEVKKWLIWEYWTSPLMYALNALAVNEFLSPSWNEALPGFREPLGRLVLESRGVFPEAKWYWIGLGALLGYVLLFNILYTICLSILTYAEGGNNDEATSSNANHNSSPARKGSILPFVPVYMTFEDIRYSIDMPKALKVQGMAGSRLELLKDLSGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGHIHGNITVSGYPKKQETFSRVSGYCEQNDIHSPNLTVYESLMFSAWLRLPAEINSMARKRFIDEFMELVELFPLKDALVGLPGLSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVDMGRTVVCTIHQPSIDIFESFDELFLMKRGGEAIYVGPLGQHSCELIKYFEKPAIELLSFLKSIEGVRKIKHGYNPSTWMLEVTSTLQEQITGVNFTQVYKNSELYRRNKNLIKELSTPHDGSSDLLFPTKYSQSFVIQCLACLWKQRLSYWRNPPYIAVNFFFTVVIALLFGTMFWGVGRKRQSQQALLSAMGSMYSTCFTLGVPNSSSVQPVVNIERTVFYRERASHMYSPLPYALGQVVVELPYIFLQTLIYGVIVYSMMGYEWTCTKFFWYMFFMYFTLSYFTFYGMMAADDKFDNGVRVSDFVESYFGYNLDLLWVAAMAVVSFAILFAILFGFSLKLFNFQKR >OGLUM09G06550.2 pep chromosome:ALNU02000000:9:9916785:9925171:-1 gene:OGLUM09G06550 transcript:OGLUM09G06550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMMFRLYRVGIKLPTIEVRYKNLNVEAESYVGSRGLPTILNTYANILKNDLAIRFSWLWKNITVVGLGWNTPINRKNLFLELLRREEEENITPDPETDIYLKAATTGEEKAEIVTNHILKILGLDICADTIVGDNMLRGISGGQKRRLTTAPNVDSAAEMLVTLGRALFMDEISNGLDSSTTFQIVNTIQQTIHVLGGTAVIALLQPAPETYELFDDIILLSDGQVVYSGPRDHVLEFFKSLGFKCPERKGVADFLQEVTSRKDQKQYWIHGDDTYRYIPVTVIAEAFQCFHVGQAIRSELAIPFDNSKSHIAALKTSKHGVNLKKILKANIDREILLLKRKSFLYIFNALQLTLVAIIAMSVFIRTNMHHDSIENGRMYMGVQFFGTLAIMFKGLAEMGAALANLPVFFKQRDLLFYPAWTYSLPSWIIKTPISFLNTIIWVSITYYVIGFDPNIERQFLVLFVMSEAICGLFRFIAALTRHPVVASTVSEFCILIVMVSSGFILSRDEVKKWLIWEYWTSPLMYALNALAVNEFLSPSWNEALPGFREPLGRLVLESRGVFPEAKWYWIGLGALLGYVLLFNILYTICLSILTYAEGGNNDEATSSNANHNSSPARKGSILPFVPVYMTFEDIRYSIDMPKALKVQGMAGSRLELLKDLSGSFRPGVLTALMGISGAGKTTLLDVLAGRKTSGHIHGNITVSGYPKKQETFSRVSGYCEQNDIHSPNLTVYESLMFSAWLRLPAEINSMARKRFIDEFMELVELFPLKDALVGLPGLSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVDMGRTVVCTIHQPSIDIFESFDESFVIQCLACLWKQRLSYWRNPPYIAVNFFFTVVIALLFGTMFWGVGRKRQSQQALLSAMGSMYSTCFTLGVPNSSSVQPVVNIERTVFYRERASHMYSPLPYALGQVVVELPYIFLQTLIYGVIVYSMMGYEWTCTKFFWYMFFMYFTLSYFTFYGMMAADDKFDNGVRVSDFVESYFGYNLDLLWVAAMAVVSFAILFAILFGFSLKLFNFQKR >OGLUM09G06560.1 pep chromosome:ALNU02000000:9:9930570:9931056:-1 gene:OGLUM09G06560 transcript:OGLUM09G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDVDAGEIYAVDRRREEGSASAAAFSRSPSTGRVDDDDDDLLTYGRSARRMAALPAPAMPEGTELRRPVGGDVVGDDDYLRFLYKFKERFDRKE >OGLUM09G06570.1 pep chromosome:ALNU02000000:9:9935519:9935946:1 gene:OGLUM09G06570 transcript:OGLUM09G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEALYASAPLANNSAASARPPKERMVGGGDLLCDARVPAGVDLRHLPRPVLPCGRVLACCCSSTIAAATSTSLACPNTKAMTKAWIEKRKGRDKIERYPLILIYPVLTEHRSTVFLMVLI >OGLUM09G06580.1 pep chromosome:ALNU02000000:9:9941799:9950054:1 gene:OGLUM09G06580 transcript:OGLUM09G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELACVGPGRRAQPLSTGERRRRRAQPLPRAAPGLHGAMASCPRNQFPQAIGAMQRMQETRCNKAMTAVPPTMWMVCRMESTILYVVELSSPVDISSMKRARPGVTIISAATPISGSGSST >OGLUM09G06590.1 pep chromosome:ALNU02000000:9:9942419:9976016:-1 gene:OGLUM09G06590 transcript:OGLUM09G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVTYGYGGGRREGGWAWSVADGVFSRSSSSAREDDEEDLRWAALEKLPTYDRARTALLALPPDGELREVNVRRLAADEQRALLERVAGVADDHAGFLCMFKELTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPGSGKTSLLMALAGTLPSTVKVSGTITYNGHTMDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDMLMELLRREKEENIKPDPEIDLYLKAATTGEHKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLMKRNSFLYIFQAIRLTVVAINTMTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLPVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIERQFLALFVMSEATSGLFRFIASLTRDPVVASTMGSSCILISMLSSGFILSREEIKKWWIWGYWISPLMYALNTLAVNEFLGNSWNKTISGFSKPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNVLYTICLIFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSITFEDIKYSIDMPEALKTQATDSRLELLKDISDSFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMFSAWLRLPTKIDSATRKMIIEEVMELVELYPLKDALVGLPGVSGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAVVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYRRNKNLIKELSTPHESSSDLSFPTQYSQPFLTQCLACLWKQRLSYWRNPRYIAVKYFFTIIVALLFGTMFWGIGQKRNNKQALFSAMGSMYSTCLTMGVQNSASVQPIVSIERTVFYRERASHMYSPLPYALGQVAIELPYIFLQTIIYGMLVYAMIGYEWTGAKFFWYLFFMYFTLSYYTFYGMMAVGLTPNYNMSTVVSTGFYTMWNLFSGFLIPINPPSPFSRRLISSSSTRRAGEHSHSHRRRAAAGSMDDAGEIHVLGGSLRREASSARSGDAAVFFSRSSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPERKGVADFLQEVTSRKDQRQYWMHGDETYRYVPVKEFAEAFQSFHVGQAIRSELAIPFDKSRSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKATQLTLMTFIAMTVFIRTNMHHDSITNGGIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLVMNETSSGLFRFIAGFARHQVVASTMGSFCILIFMLLGGFILSRENVKKWWIWGYWISPLMYAQNAISVNEFLGHSWNKTIPGFREPLGKLVLESRGVFPEAKWYWIGVGALLGYVLLFNILYTICLTFLNPFDSNQPTISEETLKIKQANLTGDVIEASSRGRITTNTNTADDSNDEAISNHATVNSSPGKKGMVLPFVPLSITFEDIRYSVDMPEVIKAQGVTESRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPAEIDSATRKMFIDEVMELVELSPLKDSLVGLPGISGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPVGQHSCELIRYFESIEGVSKIKHGYNPSTWMLEVTSTVQEQITGVNFSEIYKNSELYRRNKSMIKELSSPPDGSSDLSFPTEYSQTFITQCLACLWKQSLSYWRNPPYTAVKYFYTIVIALLFGTMFWGVGRKRSNQQDLFNAMGSMYASVLFMGVQNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >OGLUM09G06590.2 pep chromosome:ALNU02000000:9:9942419:9976016:-1 gene:OGLUM09G06590 transcript:OGLUM09G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVTYGYGGGRREGGWAWSVADGVFSRSSSSAREDDEEDLRWAALEKLPTYDRARTALLALPPDGELREVNVRRLAADEQRALLERVAGVADDHAGFLCMFKELTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPGSGKTSLLMALAGTLPSTVKVSGTITYNGHTMDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDMLMELLRREKEENIKPDPEIDLYLKAATTGEHKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLMKRNSFLYIFQAIRLTVVAINTMTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLPVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIERQFLALFVMSEATSGLFRFIASLTRDPVVASTMGSSCILISMLSSGFILSREEIKKWWIWGYWISPLMYALNTLAVNEFLGNSWNKTISGFSKPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNVLYTICLIFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSITFEDIKYSIDMPEALKTQATDSRLELLKDISDSFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMFSAWLRLPTKIDSATRKMIIEEVMELVELYPLKDALVGLPGVSGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAVVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYRRNKNLIKELSTPHESSSDLSFPTQYSQPFLTQCLACLWKQRLSYWRNPRYIAVKYFFTIIVALLFGTMFWGIGQKRNNKQALFSAMGSMYSTCLTMGVQNSASVQPIVSIERTVFYRERASHMYSPLPYALGQVAIELPYIFLQTIIYGMLVYAMIGYEWTGAKFFWYLFFMYFTLSYYTFYGMMAVGLTPNYNMSTVVSTGFYTMWNLFSGFLIPINPPSPFSRRLISSSSTRRAGEHSHSHRRRAAAGSMDDAGEIHVLGGSLRREASSARSGDAAVFFSRSSSRDEDDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQRLGPQERHALLQRLAWVGDDHARFLSKFKDRVDRVGIELPTIEVRYENLNVEAEAYVGSRGLPTILNTYANVLEGLANTLHITPNRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTTLLLALAGNVPSGLKVSGQITYNGHTMDEFEPRRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLMELSRREKEENIKPDPEVDIYLKAAATGEQKAEVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMIVTPGRALFMDEISTGLDSSTTYNIVDSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHNSSSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >OGLUM09G06590.3 pep chromosome:ALNU02000000:9:9942419:9976016:-1 gene:OGLUM09G06590 transcript:OGLUM09G06590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGVTYGYGGGRREGGWAWSVADGVFSRSSSSAREDDEEDLRWAALEKLPTYDRARTALLALPPDGELREVNVRRLAADEQRALLERVAGVADDHAGFLCMFKELTTLTSKQGLGNALHITRKKKQKISILHNVSGIVKPHRMTLLLGPPGSGKTSLLMALAGTLPSTVKVSGTITYNGHTMDEFVPQRSAAYVSQHDLHMAELTVRETVSFSAKCQGVGHHYDMLMELLRREKEENIKPDPEIDLYLKAATTGEHKAEVVTNHILKILGLDICADTIVGNNMVRGISGGQKKRLTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTVHILGGTTIIALLQPAPETYELFDEIIILSDGQVVYNGPRDHVLEFFQSIGFKCPERKGVADFLQEVTSRKDQKQYWTHGDSTYRYISAAEIAEAFQSFHVGQAVRTELVVPFGKGKSHPAALRTSKYGVSMKELLQANIDREILLMKRNSFLYIFQAIRLTVVAINTMTVFMRTNMHRDSIENGRIYMGAQFYGMLMIMFNGLAEMGLAIAKLPVFFKQRDLFFYPAWTYSLPSWILKTPISFLNTIVWVFLTYYVIGFDPNIERQFLALFVMSEATSGLFRFIASLTRDPVVASTMGSSCILISMLSSGFILSREEIKKWWIWGYWISPLMYALNTLAVNEFLGNSWNKTISGFSKPLGRLVLESRGFFPEAKWYWIGVGALLGYVILLNVLYTICLIFLTCTVDVNNDEATSNHMIGNSSSGIKGMVLPFVPLSITFEDIKYSIDMPEALKTQATDSRLELLKDISDSFRPGVLTALMGVSGAGKTTLLDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTIYESLMFSAWLRLPTKIDSATRKMIIEEVMELVELYPLKDALVGLPGVSGLSIEQRKRLTIAVELVANPSIIFLDEPTSGLDARAAAVVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIRYFEAIEGVSKIKHGYNPSTWMLEVTSPMQEQKTGVNFTQVYKNSELYRRNKNLIKELSTPHESSSDLSFPTQYSQPFLTQCLACLWKQRLSYWRNPRYIAVKYFFTIIVALLFGTMFWGIGQKRNNKQALFSAMGSMYSTCLTMGVQNSASVQPIVSIERTVFYRERASHMYSPLPYALGQVAIELPYILVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLSYYTFYGMMSVGLTPSYNVASVVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWVCPVAWTLYGLVTSQFGDVTDTFDNGVRISDFVESYFGYHRDFLWVVAVMVVSFAVLFAFLFGLSIKIFNFQKR >OGLUM09G06600.1 pep chromosome:ALNU02000000:9:9977467:9977997:1 gene:OGLUM09G06600 transcript:OGLUM09G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGPDMPPDQGGGWNHCRDDGGGLIHHHENGGGRIRYCDDGGGRICHPDDGGDRIHRRDDGGGWIRRRDDVEAGSAAAMTGRRDPLAAVTTGEANREGGDGGGRVGADLTASVLGQPSLAVKEVDPAVAGTTTTATPVMTMTVTVMMTTTATATPTHSPLLHGDHGVDFGRWRPW >OGLUM09G06610.1 pep chromosome:ALNU02000000:9:9995134:10025737:-1 gene:OGLUM09G06610 transcript:OGLUM09G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSGTSRGSSSSSWAGRGEGGRRHLPVPYREGPLDYEPPVFCECKMKAARWISWSPSNPGRRYFTCYNARCGVCDFWQWNAVWSGREEINGLEAALRDSKNEVRAIPERGTNVNQVGVVVDADAANRIEEPANGIEKPGIGVDVANTKATNVDADVAGGKEHGTTIHDISQWQAMFWTDAFSGDPDRLNEEYEPIGVDEEHLYGVDDTVCFKEATIPYMIVTQMFPMSLIHFLCLNMMDHWMKIHSLSMCIIERTQILVKTSIFPMEKNLNLL >OGLUM09G06620.1 pep chromosome:ALNU02000000:9:10032975:10063609:-1 gene:OGLUM09G06620 transcript:OGLUM09G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEICSFSRSSSPAREDDEEDLRWAALEKLPTYDRARTALLAMPPDGELREVNVQRLATVERRALLQRVAGVADDHARFLAKFKERVDRVGIKLLTVEVRYENLNIEAESYGLTNALCITKKITHKIPILHNVSGIIKPHRMTLLLGPPGSGKTSLLLALAGTSTLKVSGTITYNGHSMEEFVPQRSAAYVSQHDVRMAELTVRETVNFAAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIRSELAIPFEKSKNHTAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLKLVAINAMTVFIRTNMYRDSIENGRSYMGALFYVMMMIVYSALAEMGPAIAKLPVLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYVIGFDPNVLRFFRQFLVLFVLCEVIYALFRFIVALSRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKSILGYKEPLGRLVLESSSFLPETKWYWISIGALLGYVLLFNVLYTICLTFLTHAKEIINDEANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDTPEAFKAKGMTEGRLELLKDISGSFRQGVLTALMGVSGAGKTTLLDVLAGRKTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSATRKMFVYEVMELVEILSLKDALVGLPGVSGLSSERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGRQSCELIKYFEAIQGVSKIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNSELYRRNKNLIKELSAPPEGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPYIVVRYLFTIVVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHLYSALSYALEQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMMAGLTPNNAMSAVVSTAFYNIWNLFSGFLIPRIRIPVWWRWYYWMCPVAWTLNRLLTSQFGDVNDKFNNGVSVSDFIESYFGYKQDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >OGLUM09G06620.2 pep chromosome:ALNU02000000:9:10032975:10063609:-1 gene:OGLUM09G06620 transcript:OGLUM09G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAGEICSFSRSSSPAREDDEEDLRWAALEKLPTYDRARTALLAMPPDGELREVNVQRLATVERRALLQRVAGVADDHARFLAKFKERVDRVGIKLLTVEVRYENLNIEAESLLKPAQIKKLLCKLIKLENFNMKFTGSGKTSLLLALAGTSTLKVSGTITYNGHSMEEFVPQRSAAYVSQHDVRMAELTVRETVNFAAKCQGVGHHYDLLMELLRREKEQNIKPDPEIDIYLKAATTGEQKAEVVTNHILKILGLDICADTIVGNNMLRGISGGQKKRLTTAEMIVTPGRALFMDEISTGLDSSTTFQIVNTIRQTIRILGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPRDHVLEFFKSVGFKCPERKCVADFLQEVTSRKDQKQYWIGSDDTYQYVPVTMIAEAFQSFHVGQAIRSELAIPFEKSKNHTAALATSKYGVSMKELLKANIYREILLMKRNSFLYIFKAIQLKLVAINAMTVFIRTNMYRDSIENGRSYMGALFYVMMMIVYSALAEMGPAIAKLPVLFKQRDLLYYPSWTYSLPSWIIKIPISFLNTTVWVFLTYYVIGFDPNVLRFFRQFLVLFVLCEVIYALFRFIVALSRHPVIASNMGPFCILIFMLSCGFILTRDDVKKWWIWLYWISPLMYALNALAVNEFLGQIWNKSILGYKEPLGRLVLESSSFLPETKWYWISIGALLGYVLLFNVLYTICLTFLTHAKEIINDEANSYHATRHSSAGNKGMVLPFVPLSITFEDIRYSVDTPEAFKAKGMTEGRLELLKDISGSFRQGVLTALMGVSGAGKTTLLDVLAGRKTSGYVQGSITISGYPKKQETFARISGYCEQNDIHSPNVTVYESLMFSAWLRLPVEIDSATRKMFVYEVMELVEILSLKDALVGLPGVSGLSSERRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIEIFESFDELFLMKQGGEEIYVGPIGRQSCELIKYFEAIQGVSKIKDGYNPSTWMLEVTSTTQEQRTCVDFSQIYKNSELYRRNKNLIKELSAPPEGSSDLSFPTQYSQLFLTQWLACLWKQHLSYWRNPPYIVVRYLFTIVVALLFGTMFWGIGKKRQNQQTLFSIMGAMYSACMAMGVQNSSSVQPAIFVERTVFYRERASHLYSALSYALEQVAIEFPYIFLQTIIYCVLVYAMVGYEWTCAKFLWYLFFMFFTLSYFTFYGMMMAGLTPNNAMSAVVSTAFYNIWNLFSGFLIPRIRIPVWWRWYYWMCPVAWTLNRLLTSQFGDVNDKFNNGVSVSDFIESYFGYKQDLLWVAAVAVVSFAILFAFLFGLSLRLFNFQKR >OGLUM09G06630.1 pep chromosome:ALNU02000000:9:10107413:10110161:1 gene:OGLUM09G06630 transcript:OGLUM09G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMEGNGGGRLVVTELSHIKELVRQLEGHLGGSGSPDLCKHLASQIFSVTERSIGMIRSGHFDGHRKRSAAAVAAGDLDSATPSPLSDVSDLPFKATKKRKTSTEKKRHQIRVSSTGGVENPPVDDGHSWRKYGQKEILGAKHPRGYYRCTHRNSQGCMATKQVQRTDEDATVFDVIYHGEHTCVHKAVAAGAGKPETDANAAAESRLHDLSSGLTVKIEGLTAPPQQQQGGGGWNAMPPFCLSSPVSGLAPPDQHNPFSAPSTPENRLAAAASSAASPATSDSMAAAPFHQAAAGGGDAAWRDAELQEVVSALVAATTTTATAQPAPAAAMVDADLSALDAFEFDPGFTIDITSFFA >OGLUM09G06640.1 pep chromosome:ALNU02000000:9:10110615:10113572:-1 gene:OGLUM09G06640 transcript:OGLUM09G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEDTLVKGRKYNHQTVEWSYTKKEVSTHNTRKDCWIIVKDKVYNVTSYVEEHPGGDEILNNAGGDSTEGFLGPQHGFRVFEIIEDFCIGKLKE >OGLUM09G06650.1 pep chromosome:ALNU02000000:9:10116257:10117303:-1 gene:OGLUM09G06650 transcript:OGLUM09G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRQLLSVLLISLLSTHPPTGVSSLSFSYDFSSQPHYNTKDLSLFYLKTTTSILDLHGRLHGDSTTTVWSKGIRSVGRVLHTQPVLLWDNATGAAASFTMTFCLRTQQQTGAGAGGAPPRMSVFLVPYYPSSNRNSRSVTTDGDDQIEEVEFETTLIARSSSMSHPSSSTSCRSSTPPWSLAGDTNHGSAGGGEGTVFVHIGYDHRTQVLTKFVRIGGAPCRSINSTVDLRRSLPSEVAVGFSSTTGHPIQLHNILLWSFNSTLETKTSSPLTQPEETLVHQAPVTSNERRSWVSWKQLLVRLDPWNRSVELGLGFQFFERSWVRLKLVLNSNFNISLEYGTGNEWD >OGLUM09G06660.1 pep chromosome:ALNU02000000:9:10125314:10128197:-1 gene:OGLUM09G06660 transcript:OGLUM09G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVMPPGAAPVPPETVSSEPIMSPGAAVPPEKVSSPRSQVSFVLVCPFMGFLLRRRLAWKRSNGISDGNCQVELDEIEFAKGVGPRRYNYRELAAATGNFAEEKKLGRGGFGHVYHGCLKIDDQERLVAIKKFSPDSSAQGRKESSLAWALHSATFSKSGSSASSTATSSQATSYSARPTTPSLGTSAWRARLSRTTKVVLGTAGYIDPELVNTRRPSTESDVYSFGIVLLEIVSGRRPVEEPDDSDELFVLSRWVWGLYSKNAVVEAVDERLGCSDDGDDRRAADGARARRGAVVRAP >OGLUM09G06670.1 pep chromosome:ALNU02000000:9:10130867:10143676:-1 gene:OGLUM09G06670 transcript:OGLUM09G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSHRLLFTQLHLCNSLLLIHVHHATPLNFRFDFSEPGSYCTPGSDIACAGDAYPYARTIELTKTDISDRNLRSIGGAWYARPVQLWNNTTGEVASFRTTFSFQIKPVNLDVSADGMAFFLGHYPSGIPHRSYGGNLGLFNGSSNNRNATGTARIVAVEFDTYMNKEWEKDGNHVGIDVNSIVSVAAISPDKNLTSGNTMTAEISYDSRYKIR >OGLUM09G06680.1 pep chromosome:ALNU02000000:9:10145331:10148127:-1 gene:OGLUM09G06680 transcript:OGLUM09G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDERMPGDVRRKACDVNADPGDAACDGELAAAWTRAGRGGASVGGDKIRVRLSAMNTRCGSDDNSVDGCDAPRRARGTWVVAWMETALSVELRAEREGSEGKSGVGGGIPSRGGDRAKDMEAREHDDPTADVEAADRRGCGQGERESPW >OGLUM09G06690.1 pep chromosome:ALNU02000000:9:10150685:10151000:-1 gene:OGLUM09G06690 transcript:OGLUM09G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVILESEMGAGDGRRAAMVEKAFPALVNCPTKSCRECLLPAGIKSPAVICRRYSKAFTADNIVSGSHLP >OGLUM09G06700.1 pep chromosome:ALNU02000000:9:10172293:10173204:1 gene:OGLUM09G06700 transcript:OGLUM09G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNNGEKPPHTAAAAAAAPANEAVPSSATTEQQEARPDDERAPKDRTGCECKPKVVMLPELLRAASHGDLERLRVLLGVLHDDESPAPTTTTTTTSQDDDAVVLEVYRSLPLLPPPSTTAGEGEGEGTLSLLEGTTFQGDSALHVVASSGDDGHFLDIAGLIYGKAKHLLEATNNNGDTPLHCAARARNVKMVTHLLELAGGDGAGDQRKKLILRKKNHQHETVLHEAVRLGNKDLIDKLMTEDPELARHPSSGATSPLYLAVILPNPQVAMQLHGYDKMLSYSGPDGQNVLHAAVLRQRGT >OGLUM09G06710.1 pep chromosome:ALNU02000000:9:10179271:10179689:-1 gene:OGLUM09G06710 transcript:OGLUM09G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLYRCLRASQTSRLRNPSSACDLAKPKRRRHSWLSMILHDDYGCKPSTMSWTRRKLREKWLSSMAGADTIVTGDGGGVEGMEAVEESSISGNDAVPLLARGGDTMRETVEAMLRRARRGRRGCP >OGLUM09G06720.1 pep chromosome:ALNU02000000:9:10179567:10179966:1 gene:OGLUM09G06720 transcript:OGLUM09G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHGQPRVPPPLGLRKVTCRRWIPEPARLRGPEAAVIPPSVGQGFGSGSCKFLRAYFADGAETEEAGGHVGMSNFMACAPPCSPPVVAAACSSRERRRPSATSPVHCHTCTSLATPAALGTCRRQAGS >OGLUM09G06730.1 pep chromosome:ALNU02000000:9:10181763:10189975:1 gene:OGLUM09G06730 transcript:OGLUM09G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNELPDELLESDISHSNXRAASTCRRWCRVVADAGFLRLYRSRNALTIGNYIATDTGIFANWSRPSPSCRVSSLAFVPAAAAVTSSKRFSLDFVPEPGNTSWVLADSHGGLVLLVPERYYWGNASSVSIAVCEPWTRRYRTVIPPLENKHVACLDAFLLGAGTGSEKKNNNNNHVAGVSNFTVLLILYTFGSGAKTACIFSTFTGADEELRLRLTRSMDLGDLIRPKGVPRRQRHFDADAMHFAGRAGGSLYWGTIYGVVFALDESTGELSPLTLPKCCAGEQPRFYYRQWNLRAVGDDAGGARLVRVVQHSDLEVLTPLHAGGGREWTVEKTLRLPELITRGLPGLEDYSRLVERLSGVKILEVMGRSVVLTPPEGSGMWPFSVDLETMELERVYDWGDELVQKWVFPVEPPWPPALPLHATTDVH >OGLUM09G06740.1 pep chromosome:ALNU02000000:9:10185697:10187516:-1 gene:OGLUM09G06740 transcript:OGLUM09G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVRPSVNQMETSDDAARQVITNTRNEGRMGPTGNTGLKCRKGRGLTINGTLAKLRARGVLLDIQFAAQFGKVCGRHASVFKSEVTVCVRQEVPLKVKKWKVIEKAFPGTMHASVFKSEVTVCMRQEVPLKVKKWKVIEKAFPGTMSSIWNLLKAKFPEISMADYQCVMTQVERQYNCPSHVAPEDWQWLIDNLWSDEQFQKWSKQNSINRSKQEMKSHVGTKSIVQIAHELRNPVTGEWPSAIDVWKATYLKNGTWSVPNGEEILNNLQTAAETNQERIAAAQIPMVEHFALVLGRKPNHSRGVGISAINEGAQERYRVHAQAEAAQQQANEAHQQAAALLEEVQKLTVENLQLKGELQSQREELNSQKRTVEEQSGHMECLLDQKFEERMKAMWARMGGTGGASSSSAPNN >OGLUM09G06750.1 pep chromosome:ALNU02000000:9:10198122:10201116:1 gene:OGLUM09G06750 transcript:OGLUM09G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHADATGMLLNWNKDLTGKGDSHGRTPLHFAVSIEPPTKIPYYHKILFSILRHIDIYTLCLDRFLYPRKTRGDSLTLTGMLMDADESSAYQPDDKGSFPIHVAAAEGNDGTINILLNKSPNCATLRNAQGRTFLHIAVENGRHTIIMFVRRRRRLAAKIMNLQDNDGNTALHLAIQDGDLHAVLCLLMNPVVKVDCLNKEGLTPLDISRKLIPEGLLHGSHQRIWIKRSLHLANAHHANPSLDHRQEKCICRTVREERDSKIVEKDDDEQEDSKTITESTQVMAVCSTLIATVAFAAAFTLPGGYRADDHTNGGTPTFVGSYGFDPFVLAITFAFVYSLLATFSLVYSGMTKVDYSIRLEHLNSANSLVWLSIRCLLAAFALGLYVVLAPVAHKTALLICLMCSVGLLHGHTSMKTQIRMAVLLQGRIGFKVWWILGRKILRNFLHSFWPFLIIFGWPAYLKWWHQQ >OGLUM09G06760.1 pep chromosome:ALNU02000000:9:10210553:10211704:1 gene:OGLUM09G06760 transcript:OGLUM09G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTTDQGVAVIDDSPLCKLLSSGDGRHVTFRVDYAAARRPAVAGRTLRTTCAIGDGGAYRCEASFRLLIGRRKLAAFAVVVSGPRYRDVHKVVVDLVLVDNARSVALQPPTRSMAIQAASGSNQGGCGLLVSKDYLEENCVQDGVLVAVCSVLFLPELPPCLWMDSLGHRLAAMSNKQDSTLTDVCFDVDGERFNAHRLVMAAQSEVFRSLLFGSDDAGNKTETAVVTIDGISAATFKHMLHYIYCNQLPPPATGDGDDDDGEADHVTRIAELQRLLVAADAYGVEALRQACEDTLCAGINMDTVASTLALTEKGSYPKLRASCLEFLSNTQIYSVATNDECYEVVQSYPDVLTEIRDRFKKPRLTPKSQSTDTKDQTNP >OGLUM09G06770.1 pep chromosome:ALNU02000000:9:10244921:10245485:-1 gene:OGLUM09G06770 transcript:OGLUM09G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSVVVLSSSMFMVAMKRSSAKARMTSVVASSLRVYMPTVVTKNGLTLECADGGHEETVHHVLALQSDRDIGGDAELVITRR >OGLUM09G06780.1 pep chromosome:ALNU02000000:9:10249270:10250451:1 gene:OGLUM09G06780 transcript:OGLUM09G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPCKLVSCGDSRHFMFRVDAAAIRRPVGRIVKETCEIGNGYTCEASLFVPSLLGERKVMVILAVTVNSDRAQSLARAHKVFVDMALLDDTKSTVLPPFARSVMSPSAAAGSSPKLPPPVAGSNLAASCKLVASRDHLLANCVKGGIMAALCWVVFVPSSPRSPGSLLAHRLATMSNGRDLTDVCFDVDGKRFHAHRLIMAAQSEVFRAELLGSMAESKMECITISDMSASTFKHMLHYIYCNDLPAGVKDADDQSSWIFELQHLLVAADRYGVDTLKDLCEDTLCVDINTNTVTSTLELAETRSYPKLRTSCLEFLSDAQNFAEVATTKEYYKLIQSYPSVLSEIRNRFKRPRPSLKRAPSTVTEDQNKRPRLSPKLTPSADTKEENNP >OGLUM09G06790.1 pep chromosome:ALNU02000000:9:10259100:10263946:1 gene:OGLUM09G06790 transcript:OGLUM09G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] MTSQLISSPRPNVKRRAEPACRLDRDRDGLRVRRVASPCVAQLPTSPPRPCLSSTSPPQPISSLSLLFFFSPNHPSAETGARSPPPPPIPEPYLSPPPPMALSRRLLPLLLRRGSTTSLSPARALSTVAVTADAPAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSLSGVGEDISMNHVIVLSRQSASIVHLFTCQVASSQAGN >OGLUM09G06790.2 pep chromosome:ALNU02000000:9:10259463:10263946:1 gene:OGLUM09G06790 transcript:OGLUM09G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitrogen fixation S (NIFS)-like 1 [Source:Projected from Arabidopsis thaliana (AT5G65720) TAIR;Acc:AT5G65720] MALSRRLLPLLLRRGSTTSLSPARALSTVAVTADAPAAAAAAAEEEAMTIKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEEARARVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVVTTQTEHKCVLDSCRYLQQEGFEVTYLPVRPDGLVDVAQLADAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNQMGIGLMSLSAHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAKEMDYDHRRASVLQQRLLDGIRGQVDDIVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVHQVKKLRDMSPLYEMAKAGIDLKSLSGVGEDISMNHVIVLSRQSASIVHLFTCQVASSQAGN >OGLUM09G06800.1 pep chromosome:ALNU02000000:9:10269006:10270379:1 gene:OGLUM09G06800 transcript:OGLUM09G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPKEAQSRAGAGVRMISSEELRAHASRDDLWISISGDVYDVTAWVPHHPGGDIPLLTLAGQDATDAFAAYHPPSARPLLGRFLVGRLEDYTVSPASADFRRLLAQLSSAGLFERVGPTPKVQVAGMLLLLCAALYCVLACASAWAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHAALDRLLQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSSKLFGLWSYFYQRTLVFDAASKFLISYQHWTFYPVMCFARINLLIQSAVFLLSSRKVPQRGLEIAGVAAFWVWYPMVVSCLPNWWERVAFVVASFVITGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDIQCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVSPFVRDLCKKHGLPYAAASFWQANVLTWKTLRAAALQARKATSGAAPKNLVWEAVNTHG >OGLUM09G06810.1 pep chromosome:ALNU02000000:9:10297973:10301278:1 gene:OGLUM09G06810 transcript:OGLUM09G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREREGWWLSRLPPLPSIATPDISSVIITGTRSITTTSISTATATAIATTTKFTTTISISISMVITAITTSTTTTNSDGATTTCASTATSTTQDQLLDEDDRHGSIPTLAVCVVAAALLWLWRQRKRRRKNSPPPANNDSDQYSSDGQRQHGTADLERAVTGGGPRRYQFHELAAATRDFAEEEKLGQGGFGNVYLGRLAVGTGGGEDHQEVAVKKFSMDSMSQGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILTWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQEDEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMYKSKASPPPRDVAVRVDYGGVSTGSTFSGSGVPTSASTTTTRSSGSFVG >OGLUM09G06810.2 pep chromosome:ALNU02000000:9:10298064:10301278:1 gene:OGLUM09G06810 transcript:OGLUM09G06810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSPAEYRSYRYVLDDNLSSPTPAPGPSQPPPSPPPPPPPSPPPPSSPPPYPSPSPWSSPPSPPAPPPQILTAPPLPAQALPLAQPKTNSSTKTIAMAVVVPTLAVCVVAAALLWLWRQRKRRRKNSPPPANNDSDQYSSDGQRQHGTADLERAVTGGGPRRYQFHELAAATRDFAEEEKLGQGGFGNVYLGRLAVGTGGGEDHQEVAVKKFSMDSMSQGRREFEAEVRIISQLRHRNLVQLHGWCDSRKGLLLVYELVAGGSLDKHIYNTDRILTWPERYKIIMGLGAALRYLHQEWEQCILHGDIKPSNIMVDSSYNTKLGDFGLARLVDHGKAWQATRSVLGTAGYIDPEFVNTRRPSTESDVYSFGVVLLEIVCAKPPVVLQEDEPSFVLLRWVWNLYSQNAILDAVDERLRVVGVVRDERQMERVLVVGLWCAHPDLSERPSIARAMNVLQSDDARLPDLSPQMYKSKASPPPRDVAVRVDYGGVSTGSTFSGSGVPTSASTTTTRSSGSFVG >OGLUM09G06820.1 pep chromosome:ALNU02000000:9:10301950:10309129:-1 gene:OGLUM09G06820 transcript:OGLUM09G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQETMSSSSSLAAWLLLVLLLPPLFAAVDDGMRSGGSGGKCTTSCGNVGFEYPFGVEDGCYRGGGFNLTCNHTYQPPRLFLGDGSVQVLDISVPHGWALINNTGMVFNSTETRVVLNRTWDQLVGGPYSLSGSNKIALVGCNARVDLRARVKVKHGGRGGDDDTGSNLISSCTAVCPLDLEDMTPVFAIGSGGSSAACSGVGCCQANINLDIPSSYTIQIHNLQELGGSISPTDLVFISKEEFSYTNDMAFGNNIPQALPALLDWYISSDPSECTYESAPDCLSANSFCHAYDLGYKCHCSDGYQGNPYIRGGCHDIDECKSPQDYSCYGNCNNTPGSHICDCPRGYEGNASTPNGCKDIDECGHRETYQCYGECINFPGGFDCLCYHGTDGDPRKEGGCLPVKHHLSARDLGLFIGLGVGSGTILLLIAVGAPFLSRKMKVRKLKKMRQTFFNQNHGLLLQRLISQNADISERMILTLPVLEKATNNFDRTREVGGGGHGIVYKGILNLEVVAIKKSRIIVEREINDFINEVAILSQINHRNVVKLIGCCLETEVPLLVYEFISNGSLDQHLHVDEPISLSWKDRMRIAVEVARALTYLHSAATVPVFHRDIKACNILLDNQLTAKTKVTVFSFGVLVIELLTRKRPTYRTDQGDSLVLHFASLLRQGQLVGILDPQVLTEGGGEVMEVALLAGMCTRMTGQDRPTMREVEMGLENLRVSKKLASHDTASSSLVSQMAEHRMVATGDMEESSIQYSMEE >OGLUM09G06830.1 pep chromosome:ALNU02000000:9:10326337:10331436:-1 gene:OGLUM09G06830 transcript:OGLUM09G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGGGFSDRGGGSCGGDTRRDGGGSVSCGGGGAALVGASVAVHREGFDVGGWPCGGDDAQLEFDQCCQNFIKSKTICQTYVKFINPRQNRASKTSKSLILWDGGSSKYMNRQLNCILLGKKLFN >OGLUM09G06840.1 pep chromosome:ALNU02000000:9:10354441:10357885:1 gene:OGLUM09G06840 transcript:OGLUM09G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIILKSPQAVASASQYEPAKDLIAAIVDAKPLFFPELKIQRLKDAIFAQNKLPVSTKTFASLIAAKYIPKLLHYLAVHFTAEQIMWPDKAIDTSSDSGLL >OGLUM09G06850.1 pep chromosome:ALNU02000000:9:10436499:10438172:-1 gene:OGLUM09G06850 transcript:OGLUM09G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDEADDDSLALEPEDQPVLLPSATLDVQTAVQIEDQLIILPSTHPGLAENQESATNFVQQIQAGQATVHIPSTHEDLSQPIGSEPVIDLNIQDYPAPEQYVYVLGVATKVYISKQLLLNEITSKLGLVIHSSEVKVEGTDQYRANLNMDLPRAGIRKKKNIAIEDINYNSLISTERKLHRTKQMLDMFAHSLELQRNEGHLLQQKYNMLVNQINKICAQSTSYLPIHVDGWDNQDGQTNDISATYIGRSPPLTSNEILAKKLIALTQYKRDRSSFLPT >OGLUM09G06870.1 pep chromosome:ALNU02000000:9:10489354:10489569:1 gene:OGLUM09G06870 transcript:OGLUM09G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06880.1 pep chromosome:ALNU02000000:9:10489672:10489887:1 gene:OGLUM09G06880 transcript:OGLUM09G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06890.1 pep chromosome:ALNU02000000:9:10489990:10490205:1 gene:OGLUM09G06890 transcript:OGLUM09G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06900.1 pep chromosome:ALNU02000000:9:10490308:10490523:1 gene:OGLUM09G06900 transcript:OGLUM09G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06910.1 pep chromosome:ALNU02000000:9:10490626:10490841:1 gene:OGLUM09G06910 transcript:OGLUM09G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06920.1 pep chromosome:ALNU02000000:9:10490944:10491159:1 gene:OGLUM09G06920 transcript:OGLUM09G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPLPPSGNGRDVSGSGTAWLRVRQQ >OGLUM09G06930.1 pep chromosome:ALNU02000000:9:10501120:10501425:1 gene:OGLUM09G06930 transcript:OGLUM09G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCRLEARQLHLYRRAATGVTSADPARHGCGCGNNERLQAGGAAAPPPLLIGSGRDVGGYGVARLRARRRRATTGGRRGGGASGCRSAPHARDSCVGLAR >OGLUM09G06940.1 pep chromosome:ALNU02000000:9:10510752:10513510:1 gene:OGLUM09G06940 transcript:OGLUM09G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAVAPSFLLARLVVAGTGGRWWCNDGGGLASGPPDLAASPVLQGAPSWLAGDGDGDGEASSGQCPSCPCIGRPVADTRWWARRGKVSRLLARMLAPAELRQVMHAERGCAANQVRPGVILVNGRSLSQGKLDLLLGCPVSPEHSCSETGQRSVRRLQNVTTGMRASGPQDLVALVSIGGWSPNQSVPQPAIILNPSFSCRRRRWRRQLRHFVEPEFLITEVMVSLLPFGRVSSIREPEFLSIEN >OGLUM09G06950.1 pep chromosome:ALNU02000000:9:10547970:10552953:-1 gene:OGLUM09G06950 transcript:OGLUM09G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSYCFCLLLLSTIVAVTVAIAAEELPPAPTTFKTLNGKLDLAPLNRNAPLVIAKGGFSGIFPDSSEYAFAFASSLHTSLWCDVQLTKDGVGICLRDLLMQNCTDITEIYPEGMKAYLINGAQKTGWLPVDYNMASLRNVTCIMVPKNYIWPVTSDNYIQLHIQIVQEAHNAGLEIYASDFSNDGIFPYNYSYDPLGEYLSFVSDGGFSVDGVLTDFPLTASEAIDCFSNLNTSRKTDHGNPLIISHNGGSGDYPGCTDLAYENAVRDGADVIDCSIQMTKDGIPICMSSIDLLATTDVQQSKFCSLLSVIPEIQSKKGIFTFNLTWDDINILRPKISSPLSDYVMLRNPRYTNHGKFLKLSEFLTYAKDKELSGIMITIENAAFMAASLGFDVVDLVTTALSHAGYNNPTTTNEVMIQSRHSAVLVKLKQQKTQYKLVYTLPLNIDDASDSSVAEINKFADAVIVDRKSVFVESSGFIVRKTNLVKELQSAGRLAVYAQVFRNEFVSSPWDFFSDETVEINNYVLLVHIDGIITDFPKTVRRYKMNSCTGLGDGKPRSMKAVEIGGLVQTLQDKVRRPARAPALVLKPSDVVEPPLPAAAAAAVPKTTGYSSPRSDCSSSCCCCNCN >OGLUM09G06960.1 pep chromosome:ALNU02000000:9:10568161:10574540:1 gene:OGLUM09G06960 transcript:OGLUM09G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPHHAEILALGRLGPVTRDGHARRLLCTAEIILDAVDARLRGDDDDEEHSELWQMERVLVMERVLVVGLWCAHPDRSERPSIAQAMHVLQSDDVTLLRAGAAAAVVQGRATARNHWTCVRVSIG >OGLUM09G06970.1 pep chromosome:ALNU02000000:9:10580215:10581642:-1 gene:OGLUM09G06970 transcript:OGLUM09G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTETRRGRGRRGGKLNKARRRKPVDSAMADGATTTTVDDVPDHLLQDILLRLASPTCLVRAAYTCKRWRRVVTAAGFLDALRSLHGATRHVAGHYHTVDDAYYKPAAAGGLPDGGRSFVFVPSDSLAATDGRRFSSLDFLPECESGYSWELADSRGGLLLLTKMKQRTGGGSPARRHCFTFPDLIVCEPLTRRHQGILCPPDLSGYLCLGVFLLDGGGGGGIGMSNFKVICALYDRYLLNYILPLGETLACTFTSGSGGGGWRLPHSTAAGGDVTLERVRLDATSFVGRANGRVYWEIEGDEDGDMLVLDETTAGFSLVTFPENVRESYDKRTFRIIAGDGDDDGIAATRVVRVINNDLKVFAQLDGDGDGEWVLEKRVWLPAAARGLPGYDEGYFQEQNGEAIVVAASAAYVLLTPPVEDTWLFSVELETMAVERWHERNRYAGVAYPCELPWPPALQATDTAPISGRRRC >OGLUM09G06980.1 pep chromosome:ALNU02000000:9:10596182:10597582:-1 gene:OGLUM09G06980 transcript:OGLUM09G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEKAYCCNTNNSSKAPARPTTKGSKASGNGRPPPTTLHDVPDKLLELILQHLDSSLSLVRAAATCQRWRRVITQQSFLLDYDIPPHQIVGHYHHRLHPPSFTTPKPRGCCSSVAFVPTSPEFLTTGRRCCRFSLDFLPGGGSRWEIVDSRGSLLLLAKKKKSNWMRRCFPDLVVCEPVTRRHKVIPRMEAMKYHHCVGVFLLDFDRNGNFSMSNFKVTCVVYQPYLGVSGDVGTITVCEYMEDMWDWTRHMKPDEDDDLPKLFEWYVVVPHLRTRPGIHLHGRDSLRFLGHAGGSIFWAIKEDEGSLLILDENGIDPHILRTPAGVRGSELRAIVDGNGDRHNVRVVVLEGESLTLRVATWLCDIDELVLEKSLHLVEATRRLQGYKEGCFCGGVDIVTVSTSCAVVTPVEEKTTWMVSIDLETMEVAECKYATVAYPCELPWPPTLSACTVNCRRFGYIWSLP >OGLUM09G06990.1 pep chromosome:ALNU02000000:9:10600227:10601567:-1 gene:OGLUM09G06990 transcript:OGLUM09G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRGRRADKANCCRAPRKAKVVPAAATSVDDVPDHLLEDILLRLGPSSACLVRAAYACKRWRRVVTAAGFLDAFRALHGAHHRVAGYYHTVDAYYAPALPGGESSIFVPSSSSSSLAGVDCRRWFSLDFLPASDGFSWELADSRGGLLLFSKKRRTRARSGYAAEGDFFTDLVVCEPLTRRYQGILCPADLRGYRCIGVFLLDGGDGGGGDISLSNFRVLCALYDLYWLNNRHIGVQSACVFSSGSHGGGWRLPKSAVADDIQLTARFNAMSFVGRAGGCFYWGIDDDDDEDGAMLVLDETTTEFSLVTFPDSIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFMQLAGSGGEWVLEKLVRLPEAARGLLGHEERYFEQNEAMIVAANAEYVLLTPSVEKTWLFSVELETMTVEHHHERNKYAGVAYPYELPLLRALHAGGR >OGLUM09G07010.1 pep chromosome:ALNU02000000:9:10642220:10648160:1 gene:OGLUM09G07010 transcript:OGLUM09G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNEKDCSNKTSGKAMVRRRSNSKSPAASPTRLGDLPDKLLEHILLRLASPVWLAHAAATCKRWRRIVTNNEFPFHHDCPLPDPVAGHYHSRRRPDGRRGRLTFVPSSSAAALGVNARRHFSLDFLPCGCSSWEPVDSSASLLLLLAATSSTRRRFFPDLVVCEPVTRRYKLIPRMEEVKYQRCLGVFLQGCYPGNVDKWGRAYTSMSSYRVTCVVYMEYNGVCDGTGTVRACVFDQNGSNRWKRRPARWYMAKPSWYMAKCGVRDASGAGFFFGPQSRPTKKPANLRAGHPAWTLQNCLAHPAQPVGRHGIRAQTGRRETQPQRRSRDGRRETAAARRRRSGRYSRLPRRPSIQIQQPTPSSLLIQRNSESTCDAVLPPPDLYTLIKVRFLGRAIGVTFWGIEGDNVLLILHEWSTEFEILCLPDCVRGVEVQAVVDGNGNNKGKLCAICLDQENILRVFTTWRDRYGSGEWGEWVLQQSLRLAAITTGLAGYKEGYFGSNTAKVVTVMVGSIVLTPAEETTWMFSVDLETLEVAKCKDVSMAVYPCQLPWLPTLRACPTHCERRGQGPCSHICICGNG >OGLUM09G07020.1 pep chromosome:ALNU02000000:9:10662113:10666775:1 gene:OGLUM09G07020 transcript:OGLUM09G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQQASSSSSSSAAAAAGEERACVILYNPVQSPSMPGLARLMVSRLIGLAKTEDDNSGCGSNLKELLRKENYLHETALQDAVRSGNKEIITEILEFDPELASSPMDGTGTSPMYIAVLLGRVDIAKLLHEMSKGNNPSYSGPEGQNALHAAALQGKDSKLAVAYLIKERPEIAGFRDSKGRTFLHVAVERKKSDIVAHASSIPSLAWILNLQDNDGSTAMHVAVQLAHIKSFCSLLRNTEVKLNIPNNKGQSPLDVSQSNIPRGTFYDSVIDFSIFFDSAFVSGVDFKLSMGHLLVICMSLKWL >OGLUM09G07030.1 pep chromosome:ALNU02000000:9:10674531:10676840:-1 gene:OGLUM09G07030 transcript:OGLUM09G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNTAPAAVPRKAKVVPAAATSVDDVPDHLLEDILLRLGPSSACLVRAAYACKRWRRVVTAAGFLDAFRALHGAHHHRVAGYYHTLTRRYQGILCPADFTGYRCIGVFLLGGGGGGDISLSNFRVICALYDLYWLNNRHIGVQLACVFSSGSHGGGWRLPKSAVADDIQLTARFNSISFVGRAGGCFYWGIDDDDDEDGAMLVLDETTTEFSLVTFPDSIRENYHMTTFRIIAGGDGAMRVLRVIGNDLKVFTQLAGDGEWVLEKLVRLPEATRGLPGHEERYFEQNEAMIVAANAAYVVLTPSVEKTWLFSGQHERNKYAGVAYPYELPLLRALHAGGR >OGLUM09G07040.1 pep chromosome:ALNU02000000:9:10685420:10687028:-1 gene:OGLUM09G07040 transcript:OGLUM09G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEKVMSTEDLLQAQIELYHHCLAFIKSMALRAATDLRIPDAIHCNGGAATLSDLAVHVELHPTKLFHLRRLMRRGVPAKSGPLKLKTGMAISRSSAMKQKRRPEGNGERRRSGCGIGTSVIRDDADAWTGGILNILILRALKIWGPCSVARPTRAPGTPLLMRVLTLSGIFTVVHDRDGEATYTLTRVSRLLLSDGVERTHGLSQMVCVFVNPVAVASQFSIHEWLTVEQAAAVSLFEVAHGCTRWEMIANDSKDGSMFNVGMVEDSSVAMDIILRKSSNVFRGINSLVDVGGGYGAVAAAVVRAFPDIKCTVLNLPHIVDKAPSNNNIQFVGGDLFEFILAADVVLLKFILHCWQHDDCVKIMRRCKEAISARDARGKVILIEVVVGIGSNETVPKEMQLLFDVFMMYTDGIKREEHEWKKIFLEAGFSDYKIIPVLGVRSIIEVYP >OGLUM09G07050.1 pep chromosome:ALNU02000000:9:10695164:10695748:1 gene:OGLUM09G07050 transcript:OGLUM09G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPVRPPRLEDAGLEDCALPPESITEAFSLAAMAVSSRLAHFSLSDDDDDDDEDLLLPPRGGGAGGCMEDSGPTCGDIPDALVGVGGDRGSGADEVVVVGGGAGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEKEEEKVEVVVAVEKAILVEDFA >OGLUM09G07060.1 pep chromosome:ALNU02000000:9:10698914:10700305:-1 gene:OGLUM09G07060 transcript:OGLUM09G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVPFFFMVECYLDSVALGIQMKIMPQNIHLGVQAFNIFNLPLTIPFLDGSKMYFHSNPEALPILAALQPKKGEE >OGLUM09G07070.1 pep chromosome:ALNU02000000:9:10706676:10712024:1 gene:OGLUM09G07070 transcript:OGLUM09G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKCHPSLNLAPSSSPPPFTRSSASLSHLSSAIVLAFHPVLRASHRLSYPGFFRGFSSSCARQDFPRNSLPFRERRAVRNWRGRGMGRRTWQWHAIAALGVACAAAAAVAADRGAFSVTSAAAGGAAAAAAAVVATPEEVGILRKVANFLWQTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDAVPR >OGLUM09G07070.2 pep chromosome:ALNU02000000:9:10706676:10712222:1 gene:OGLUM09G07070 transcript:OGLUM09G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKCHPSLNLAPSSSPPPFTRSSASLSHLSSAIVLAFHPVLRASHRLSYPGFFRGFSSSCARQDFPRNSLPFRERRAVRNWRGRGMGRRTWQWHAIAALGVACAAAAAVAADRGAFSVTSAAAGGAAAAAAAVVATPEEVGILRKVANFLWQTDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDA >OGLUM09G07070.3 pep chromosome:ALNU02000000:9:10707025:10712222:1 gene:OGLUM09G07070 transcript:OGLUM09G07070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRTWQWHAIAALGVACAAAAATDGNSYHHVWPEMELGWQIVLGSLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPVIDYDLALLIQPMLMLGISIGVIFNVLFPDWLVTVLLIILFLGTSTKAFLKGVETWKKETIIKREAAKRLEQTSEEPEYAPLPTGPGAVADAKRPSDEAASLMKNIYWKEFGLLAFVWMAFLVLQVTKNYTATCSSWYWILNLLQIPVSVGVTMYEALGLMSGKRVLSSKGNEQTTLKFHQLCIYCFFGITAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYALYFVIVAFIAAIIGQHVVRRLINWLGRASLIIFILAFMIFVSAISLGGVGISNMIHKINQHEYMGFENLCKYDA >OGLUM09G07080.1 pep chromosome:ALNU02000000:9:10710893:10713702:-1 gene:OGLUM09G07080 transcript:OGLUM09G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFENVTRLKISSMALIISSRSRSTSTSPTPLWLLSETVFLSHSVNRHTGQLPCPFTQGGVATTCSPARNVSKHMDLYACLLSCSIAFLNSASVSPGSSCLGLGCYPAMVERPRCPPSGWPVMVQAILMLRRLGAVLRPIAPVRPAAAHLAGDRSCRRLAGREWIFAVLNKNGDGVYLGWDAKFQEQWTHDKATSET >OGLUM09G07080.2 pep chromosome:ALNU02000000:9:10710893:10712776:-1 gene:OGLUM09G07080 transcript:OGLUM09G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAILMLRRLGAVLRPIAPVRPAAAHLAGDRSCRRLAGREWIFAVLNKNGDGVYLGWDAKFQEQWTHDKATSET >OGLUM09G07090.1 pep chromosome:ALNU02000000:9:10712485:10714563:1 gene:OGLUM09G07090 transcript:OGLUM09G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHGPSRGRAPWPLYHGRDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRRGRDHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFTLCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRVTFSNFMPYN >OGLUM09G07090.2 pep chromosome:ALNU02000000:9:10712485:10715560:1 gene:OGLUM09G07090 transcript:OGLUM09G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHGPSRGRAPWPLYHGRDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRRGRDHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFTLCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRTMFKVAPAAGDIVKTLSKVEDNGQDGRELCPNKRQNVSSQSNYKNTMVRSKENGALPD >OGLUM09G07090.3 pep chromosome:ALNU02000000:9:10712485:10714799:1 gene:OGLUM09G07090 transcript:OGLUM09G07090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRPDGSYGPEYGPQPPEHEYGLYHHGPSRGRAPWPLYHGRDYPWRSLEQRVRREPFVFSSRIRHINGGGNPRPRQEDPGLTDAEFKKAMEQLNKQAYRSLDPHKMVESNRRGRDHAKSARSKPAPNNTEEEKACTICLETFLAGEQVVATPCNHIFHQECITPWVKGHGNCPVCRFTLCERNTVSDNSQSGVGEVEVDLDLLEMMRAMEEIFSRVTFSNFMPYN >OGLUM09G07100.1 pep chromosome:ALNU02000000:9:10714296:10714779:-1 gene:OGLUM09G07100 transcript:OGLUM09G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLTKMREEFWDTAPHYGGRKEIWDALRVAAESEVSLAQAIVESAAIIVSNTDLTLCYDERGAKYELPKYVLSEPTNLIQDS >OGLUM09G07110.1 pep chromosome:ALNU02000000:9:10723841:10733192:1 gene:OGLUM09G07110 transcript:OGLUM09G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase [Source:Projected from Arabidopsis thaliana (AT1G07650) TAIR;Acc:AT1G07650] MPWRLVPCGREQLFLVVLGLLLLLGEVHHGSGARTASFPRLLPAEVRTLRRIAQKMGILRWNFSVDPCNSGGNGGFGGTVNCDCSFYNHTFCHVTNITLEGQNFTGELPPDFAEFPNLLQLDLSRSLLHGGVPDQWARMKLQGLSLMGNNLSGPFPIALTKITTLTNLSIEGNNFYGPIPSDIGHLMQMEKLRISGNNFSGRVPVFLGKLKKLGKLQIEGSLLEGPIPSEFSKLINLYDLRISDLRGRGSVFPDLRELVSMKTIILRNCSINGSIPSYIGNMDNLKHLDLSFNKLTGEIPASFANMGHVDHINMVESYSPEMSSLTNVESCLKRNFPCGSSNGKYRYSLNINCGDKEVTINGTKYEADVEPKGASLLYQSPGSNWAFSSTGNFMDNNINDDSYIATSASKLTVPNSELYAKARLSPLSLTYYGLCMHNGSYTVKLHFAEIVFTNDSTYCSLGKRRFNVFIQGRMVLEDFDIEQSAGGAAKAVIKTFMANVTNHTLEIHFYWAGRGTTGIPKRGYYGPLISAISVVPNFEVPLAVEPPQIGGSKKLSRISKAFLVAMPILAMCAALFVGIYWIKWRRKNSMHKDLRAFDLQTGSFTLRQIKVATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFGTVEQYRLSLDWPTRRKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLSAKISDFGLAKLNDDDHTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTSYRPKEDFVYLLDWACVLHERGNLLELVDPELGSDYSTEEALLMLNVALLCTNAAPTLRPKMTKVLSLLEGHTPLQPFLSDLSLAANSLSSSGQRRNFWQTLSDQSQSMTAAQASSSNTNESSSLDIDGSLRP >OGLUM09G07120.1 pep chromosome:ALNU02000000:9:10741557:10741907:1 gene:OGLUM09G07120 transcript:OGLUM09G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQEMIVEAGDSVTLRFPVTEIVGGDESKVNNAIEVAIDHGDVLTISLRDREQQKKQQPSLLDVRLLMTPGYDEKKVEWRSKKVDDKVWLEVTIKKKAPTEDGTRIVDISAIEEN >OGLUM09G07130.1 pep chromosome:ALNU02000000:9:10744584:10745983:1 gene:OGLUM09G07130 transcript:OGLUM09G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVTSFNLLMSQTLPPVLKAPFGAALRSAGKPAAALALQRKSPRLSVRANNSPKSPADTGHPFGIAPFALVHPKFPPTSGNRWRITEDDDYVKLWFHVGEIDREKLKVRIEHDTVLLVSYGGAGDETSTPANSLDVRLLLPNKPYDTAKVEAELTFGTLLVTVAKRKPPQGRDKVGIPITPAPSNEKTTTATGQTGSET >OGLUM09G07140.1 pep chromosome:ALNU02000000:9:10748092:10748346:1 gene:OGLUM09G07140 transcript:OGLUM09G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPATTGMRLAAAAIPFSTALDGGGAIPFSAALDSGGDSVLSGARRWRPCSAARSGIMPLLRSGSAEVEDDGAVVHKKTGGG >OGLUM09G07150.1 pep chromosome:ALNU02000000:9:10753265:10755740:-1 gene:OGLUM09G07150 transcript:OGLUM09G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MPIKTDEERVPNFGYAGFRLDAPDASVAWNLQRGRSGQNATATRCEDATPGGAAAANATNRGYKKAGKPRRGAPMALRRVLLFVKPFDVYPPRPLAAAASSPPPPPPPLRVSNPKVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRKRSNTGESSHLINCRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLVKQGQHILVVWYNEEGAVYFDGSHVMHSIQHGDTLEISSDAPILKVILPENLLKQGS >OGLUM09G07150.2 pep chromosome:ALNU02000000:9:10753265:10755371:-1 gene:OGLUM09G07150 transcript:OGLUM09G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(H) kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G78590) TAIR;Acc:AT1G78590] MALRRVLLFVKPFDVYPPRPLAAAASSPPPPPPPLRVSNPKVLNYLDDRCRVHKETINLCKSVLQRKSIDWISVQRNDMSNPIHDVDLVISVGGDGTLLRASHFLNSSIPVLGVNSDPTCPDEVDELTDEFDARRSTGHLCAATAANFEQILDATLDGSRQPSELSRISVKLNGLQLPTYALNDILVSHPCPASVSRFSFRKRSNTGESSHLINCRSSGLRVATPAGSTAAMLSAGGFVMPISSHELQYMIREPISPRDADKPLLHGLVKQGQHILVVWYNEEGAVYFDGSHVMHSIQHGDTLEISSDAPILKVILPENLLKQGS >OGLUM09G07160.1 pep chromosome:ALNU02000000:9:10764683:10765526:-1 gene:OGLUM09G07160 transcript:OGLUM09G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDKASSPALFLRAVAGPGPALLPPGEDEGATLMATQLPPARQRLPPHATIASPDTSASHTPTQPPAGSPPAEGRATPAAGHRLPLAAAASPDTTACRLSSGRGESAAGRRLPPPATPVKEEWIRRRGEERKRIRRDGEG >OGLUM09G07170.1 pep chromosome:ALNU02000000:9:10793417:10793713:1 gene:OGLUM09G07170 transcript:OGLUM09G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0B1R1] MGAVEGYRIAGGPLGEVVDPLYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OGLUM09G07180.1 pep chromosome:ALNU02000000:9:10794749:10794928:-1 gene:OGLUM09G07180 transcript:OGLUM09G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLPEWSNVESKPVDMGCDNGRDGAVVGQTWSEDDKATGDELRCGVDGGGRARGSRRR >OGLUM09G07190.1 pep chromosome:ALNU02000000:9:10802332:10807130:1 gene:OGLUM09G07190 transcript:OGLUM09G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMEVVGTEAAPAEVKVTDGEVNLFQENESKATAKEREEAVLFGSDNSTATANGAANGADLAPPKDAEEDWPEARKTHSFFFVKIRLLEDPKLKMKIDQAEKDFQKKIQARSQIFEAIKAKKNERFGIISELKPLAAENKQYNEAVSEKLKAIEPLRNRLGKFRDENNAIRAQGAGICSSIEELEKSIKRLNDRISHESIPLDEEKRLIKQIRELEKTRPKVISTSANRAQIQDTVVERDAIQDQVKIIGEGIDGVKKERQAVRSKIKVLEDELKAIDMEMGSLQEDLTAATARKDKAHESLVQLRHARDAYNASFHQNRQLLSKARDLASRSELAQVQELYKTQVDKFVAEWCNSKAFREDYEKRILSSLNSRQLSRDGRMRNPDEKPIFIETEAAAPPVEQEPIQSKMPAKQAKEAPAPQAEVSPKDESRVKATAKPSKAKSSLDADDDYEAESPKEKPKPKEVDVAKLKEIKRQEEMEKNRLALERKKKLAEKQAAKAAARAQKEAEKKLKREEMRARRRAGAADTEASTESDNRSDGAAEAQAEDDSAPASAPVMREQRESVRYSRSRNVVTKSKALLPKAILRRKKAQSYWSWAGPAAAVAAALVALLAVLGYYQYYLPASASN >OGLUM09G07200.1 pep chromosome:ALNU02000000:9:10808623:10823282:1 gene:OGLUM09G07200 transcript:OGLUM09G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0B1R4] MAAATMALSSPVMARAAPSTSSALFGEARITMRKTAAKPKPAASSGSPWYGADRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWLD >OGLUM09G07210.1 pep chromosome:ALNU02000000:9:10824087:10827020:-1 gene:OGLUM09G07210 transcript:OGLUM09G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMLCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRRRPMPEPDDDAPPRRRRPVCVITGATSGLGKAAAAALAREGYHVVLAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >OGLUM09G07210.2 pep chromosome:ALNU02000000:9:10824087:10827020:-1 gene:OGLUM09G07210 transcript:OGLUM09G07210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMLCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRRRPMPEPDDDAPPRRRRPVCVITGATSGLGKAAAAALAREGYHVVLAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDVKLTTHDFQNDSGKLHWSICPDQHSVTIAEEQLNTFSGVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >OGLUM09G07210.3 pep chromosome:ALNU02000000:9:10824085:10827020:-1 gene:OGLUM09G07210 transcript:OGLUM09G07210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMLCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRRRPMPEPDDDAPPRRRRPVCVITGATSGLGKAAAAALAREGYHVVLAGRSSQLLSETVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDVKLTTHDFQNDSGKLHWSICPDQHSVTIAEEQLNTFSGVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >OGLUM09G07210.4 pep chromosome:ALNU02000000:9:10824087:10827020:-1 gene:OGLUM09G07210 transcript:OGLUM09G07210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDREALRMLCSREFWRMAVLWTVSLLYSYILLFFLRRGAPVPRRRPMPEPDDDAPPRRRRPVCVITGATSGLGKAAAAALAREGYHVTVQQIRDQQPDAHLEAFQIDLSSYKSIKKFETSLNQWIKDSNMEHSIQLLVNNAGILAKSYRITEDGLDEMIQANYIGPFVLTNILLPLLKNSSTPSRVVNLTSFTHRCVSEINLSEKGLSGVRFGHWPARRSYLLASTYEYTKFCLLMFSYELHRQLHLSSGVSVMAADPGVVQTGIMRELPPCLSWLALSVLRLLNLLQQPDTGVDAVLDAALAPPDSSGKYFFGGKGRTITSSQLSYNVEVAKKLWAESLALFNELQNRVCELRTS >OGLUM09G07220.1 pep chromosome:ALNU02000000:9:10831479:10837072:-1 gene:OGLUM09G07220 transcript:OGLUM09G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLFRESRRDSSSSNGSSAAAAATASTGGLPSPFPDLGVPLSSADLREAAYEVLVASSRTTGGKPLTYIPQAAASAGGGGGPASPASASSLSSANASSSPSLQRSLTSAAASKMKKALGLRSSASSKGGSPGSGGGGKSVPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDIPDPQEYEAWQSRNLKLLEAGLLVHPLVPLNKSDVSAQRLRQIIRGAYDRPLETGKNSESMQVLRSAVMSLAGRSDDGTSDGCHWADGFPLNLHLYQMLVEACFDNDDGTVVDEIDEVMELLKKTWGILGINQMLHNLCFAWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYSKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEEIDVARSRIETYIRSSLRTAFAQRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCFGNELKQFIAGLTELTPDTVQVLKAADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERIDRLKGWVDRTLKQETWNPAANRENIAPSCVEMLRMVGETLDAFFQLPIPMHPVLLPDLMFGLDRSLQLFVSKAKSGCGTRNSFMPQLPPLTRCEVGSNILFKKKEKPQNPQYRGSQNGTTNGADPLALPQLCVRLNTLQFVRGELENLEKKIKTGLRNVESAQADVTDGLDIKFELCQTACQEGIQQLCETTAYKVTFYDLGHVLWDILYIGDIASSRIEILMRELDPILETISGMVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQIIEDDFKALKDLFLADGDGLPEELVDKASSQVKNVLPLLRTDSESLIDRFKRMMAESNRSGAKNRLPLPPTTGHWSPNEPNTVLRVLCYRYDETATKFLKKTYNLPKKI >OGLUM09G07230.1 pep chromosome:ALNU02000000:9:10846764:10851912:-1 gene:OGLUM09G07230 transcript:OGLUM09G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGPTNQSLPWPLSGGAHSTTSPTSLLIFFSFSFSFSFLLFAASWEEGVFPVLPAAETSPAARNGAAIERSLRAIRGEASPRPIHSPLSSAPLWWGSAWGGAASPGFFLGWGGERRTGRGISAIELGEISLRKEEGKIARMEKLVTHKKERVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >OGLUM09G07230.2 pep chromosome:ALNU02000000:9:10846766:10851719:-1 gene:OGLUM09G07230 transcript:OGLUM09G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSVRFAPSEIARMEKLVTHKKERVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEVSPDLPIDNIDKLPEIEDMQFEARSSKDFAWYDIATFLAYRKLSSGEFEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >OGLUM09G07230.3 pep chromosome:ALNU02000000:9:10846766:10851719:-1 gene:OGLUM09G07230 transcript:OGLUM09G07230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSVRFAPSEIARMEKLVTHKKERVLDEIFCRKLAEEFNCSPGRVGSKALQAVQVQEWFRQKFPASTVIPPCLPTGSEEKALASQASAPVSEEKPPSSEENALAVDTSISNDIGEEVRVRFQGFGAEEDEWINVRKAIRLQSIPLESSECKLIREGDLVLCFKESNDEALHFDAHVLEIQRKQHDIRGCRCVFLVEYDHDGTQERVNLRRLSRRPKHS >OGLUM09G07240.1 pep chromosome:ALNU02000000:9:10854411:10855457:1 gene:OGLUM09G07240 transcript:OGLUM09G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTITVEGRRRAEAQVGDVVAYGYRRTQRQRHGRQRRLVGVAARGGAGDGRWEAIWATSTCKYLLSLGHFRLLRWLGYSNISAACTLLELCGGGGAALFTLVELVRRHGRPTGRRRNKEKEEGEEKKRRNKTEGMENVTAMAQFQFCKISIAPNNITNSNGIFLNWQSCNGMDKIKRQSIIRH >OGLUM09G07250.1 pep chromosome:ALNU02000000:9:10869505:10872101:1 gene:OGLUM09G07250 transcript:OGLUM09G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQRWPAAAGRAGAQAPAPSSCSSSSSWREGDCCYDVCWCSSSTVHELRSIAERMVRDGYIEGLIRAFGGAATAGAAGRRGPPDELLLHNWFSQLDVEWVLLLHTCSEEEEDEHILCITQLELRAKKPTVAGVRRAIQFFLLRRDSKTAHADYVQQVVQFARFAEESILRMLAFVDAATLAVVEDDDDDHRVAEALPGMLQVYACISEASPTVLAMFKEASDLLASGSSRHGQEAQVFDGMDGIFLRKRKKLSDAIWNMMEKVRASFLQDGCWQVSREASGVHETTVLMMNYIALLWRNDDVLTFILQDHHFSVFVSHTQGFSSVVNLITDIISCLGHKLEEIASSLSNSILDPALRCIFLLNNWQLVLHRIESLDLPSWALIDRCRTRRYIDTYIDVSWSPLLCCIFIGNSSDTPRKKTYRPAFGFRRYLSLENFEIEFRKTYAKQKFFKVPDPKLRQRLRQAIIQKIIPHYSMYLEERAARGMHNRPPKITPEQLKELLEELFEG >OGLUM09G07260.1 pep chromosome:ALNU02000000:9:10878137:10880449:-1 gene:OGLUM09G07260 transcript:OGLUM09G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMKKAKLPYSCPVASCLLLVNLLLHSENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OGLUM09G07270.1 pep chromosome:ALNU02000000:9:10888618:10894012:1 gene:OGLUM09G07270 transcript:OGLUM09G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISECENIYDVTIEDPSDYLQYIRSSIDCLRLLTFHPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRVHQDI >OGLUM09G07270.2 pep chromosome:ALNU02000000:9:10888618:10893453:1 gene:OGLUM09G07270 transcript:OGLUM09G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISECENIYDVTIEDPSDYLQYIRSSIDCLRLLTFHPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSDI >OGLUM09G07270.3 pep chromosome:ALNU02000000:9:10888203:10893453:1 gene:OGLUM09G07270 transcript:OGLUM09G07270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNRVAGLNCFPYRYSNVVTDGNVIKHGASIDEDSAVEIVRVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLVVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISECENIYDVTIEDPSDYLQYIRSSIDCLRLLTFHPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSDI >OGLUM09G07270.4 pep chromosome:ALNU02000000:9:10888203:10894012:1 gene:OGLUM09G07270 transcript:OGLUM09G07270.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNGNRVAGLNCFPYRYSNVVTDGNVIKHGASIDEDSAVEIVRVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLVVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISECENIYDVTIEDPSDYLQYIRSSIDCLRLLTFHPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAETVRQKLKINKKQFLRVHQDI >OGLUM09G07280.1 pep chromosome:ALNU02000000:9:10891858:10894086:-1 gene:OGLUM09G07280 transcript:OGLUM09G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKLPLPTEKLAVDPGREGGEQGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKEVICGIGKFYEVGKYITTVLLTGFKIWNGLGLYVLVDSSISSTKCCVPFVQAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRNNKWWCLAIVSQNLQKELNRYIPTAAAFGGTGILLAVTILYQ >OGLUM09G07280.2 pep chromosome:ALNU02000000:9:10891858:10894086:-1 gene:OGLUM09G07280 transcript:OGLUM09G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKLPLPTEKLAVDPGREGGEQGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKEVICGIGKFYEVGKYITTAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRNNKWWCLAIVSQNLQKELNRYIPTAAAFGGTGILLAVTILYQ >OGLUM09G07280.3 pep chromosome:ALNU02000000:9:10891858:10894086:-1 gene:OGLUM09G07280 transcript:OGLUM09G07280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKLPLPTEKLAVDPGREGGEQGVAVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKEAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRNNKWWCLAIVSQNLQKELNRYIPTAAAFGGTGILLAVTILYQ >OGLUM09G07280.4 pep chromosome:ALNU02000000:9:10891858:10894086:-1 gene:OGLUM09G07280 transcript:OGLUM09G07280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVKLPLPTEKLAVDPGREGGEQELAKDELQQRGYCVLGGYMSPVNDAYKKEVICGIGKFYEVGKYITTAMQKGYQRTLTVLSRIRNALCKDGLADGGSLKVMLLCGSDLLESFSTPGVWIPDQDNIISVDEIVPNQISSSRVRNNKWWCLAIVSQNLQKELNRYIPTAAAFGGTGILLAVTILYQ >OGLUM09G07290.1 pep chromosome:ALNU02000000:9:10896662:10897171:-1 gene:OGLUM09G07290 transcript:OGLUM09G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYHGSYRVMAAQVLRNETRVNRVMPDPNQPVNKWKKWFGLVYFHGLNVLSPNREQTEA >OGLUM09G07300.1 pep chromosome:ALNU02000000:9:10916511:10926344:1 gene:OGLUM09G07300 transcript:OGLUM09G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLFAVLVLATTTLHGVVGQKAGFVSIDCGLEGTSGYTAEDTGIVYVSDGPYVDTGENHRLLPGEEGQRERRYLTVRSFPSGVRNCYSLPTVAGAKYLVRVVSYYGNYDGKDDSLSSSTSQFDLHLGATYWDTVSNSSYWFREAMFVAWASWVPLCLINTGRGTPFVSTVELRPLGSELYPALNAIESQSMRLVQRTNMGPSKSRILRYVCTLSISGYKLDRTWKNLSTASTIKDTSLDYAVPLPVMQTAAEAVSNETSLAITGEYKAPMDQLEVFLHFADFQNSQLRQFSISFNKKASVQMRPSYLATDTLHSTYKATGGVCTMTLTPTSESTLRPMLNAFEVYTVIPRDNPMTFPRDFDTIMAIKIEYGIKKNWMGDPCFPTEFAWNGVKCSNVSGNNTARIISFFDSDGDVCSKTINPSPSRNKSKRAAIAISIVVLVMAIAILILVYLVWRQRRKPNNDPTREPEHESASASINNHGDVLQKVESRQFTYKELEKLTNHFEQFIGQGGFGPVYYGCLEDGTEIAVKMRSDSSSHGLDEFFAEVLNSAESSKFDKGNNVVGEGLNWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKASNILLSQNLQAKIADFGLSKSYLSETQTHISVTPAGTAGYMDPEYFYTGRLTESSDVYSFGVVLLEIATGESPILPELGHIVHRVKNKIATGNISLVADTRLRGSYEVSSMWKVVDTALLCTTDIGTQRPAMAAVVALLKESLALEETRADSTFSGTTGTASGSTTSSMNLGPLVR >OGLUM09G07310.1 pep chromosome:ALNU02000000:9:10931963:10932716:1 gene:OGLUM09G07310 transcript:OGLUM09G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGQGGGGFTIQGRGDSVPVVSGGAGGGGEAGDGGLEQEAAAAMVAATPAISDAGDWPDDHHWKQGECGGGGGSAWGGLERRCAVQPAAAEQSGDAGRRRRWHPGVGLDGKREGKGIERRRKYGGGKLEVSRRHSFVVRPRASACGRVVRAGWHELGARQQEASQARLASGLLSLAK >OGLUM09G07320.1 pep chromosome:ALNU02000000:9:10934135:10934428:-1 gene:OGLUM09G07320 transcript:OGLUM09G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTILFFLIFLPLLLTSLILLDNQRGAQQRGRQQRAADTIRVLADEEVCGAGRGGTGGDAVVAIDLLRWGLRGDFSMVAGL >OGLUM09G07330.1 pep chromosome:ALNU02000000:9:10939394:10948701:1 gene:OGLUM09G07330 transcript:OGLUM09G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVSFVVFVLAAAHGAVGFLSIDCGLDGNYSSGYKDPDEGITYVPDGTYVDAGENHRVAADRESGRLRSDLTVRSFPSGVRNCYALPTVAGAKYLVRVITFYGNYDGKNSSSLQFDLYLGVNYWNTVSADGDEVYEAMFVAWASWAPVCLVNTGGGTPFVSSVNLRTLGSGVYHPVLAANQSMCLFDRRNMGSNVSILRYPDDPYDRYWWKMRSDPTWKNLSTASTIEQNDNFVVPLPVMQTAIEASNNGTIIKVTRKDKTAHKCMIFAYLADFQNSQLRQFNITLSDTKPLLYSPPYLSAGIVDISDWDMPNNGMYTITLEPTSASKLPPMLNAFEIYTLIPSDNPMTFPRDSWDGVKCSNRSDNTSRIISFFDSDRDTCNKSIPGINPSPPKSKVNRAAILAISVVVPVMAIVVLVLAYLIWRQKRKRDISADVPHSEPELEIAPASRKYHEDGLQRVENRRFTYKELDKITNKFSQCIGQGGFGLVYYGCLEDGTEVAVKMRSELSSHGLDEFLAEVQSLTKVHHRNLVSLIGYCWEKDHLALVYEYMSQGTLYDHLRGNNGARETLSWRTRVRVVVEAAQGLDYLHKGCSLPIIHRDVKTQNILLGQNLQAKIADFGLCKTYLSDTQTHISVAPAGSAGYMDPEYYHTGRLTESSDVYSFGVVLLEIVTGESPMLPGLGHIVQRVKKKIDAGNISLVADARLIGAYDISSMWKVVDIALLCTADIGAHRPTMAAVVVQLKESLALEEARADSGFKGSIGTLSDTTISTSTFGPSAR >OGLUM09G07340.1 pep chromosome:ALNU02000000:9:10951135:10953174:1 gene:OGLUM09G07340 transcript:OGLUM09G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARLRLRLLVVVVAALCAAVASAASNSSVVGYHGDPTFNVRNYGAKGNGQTDDSRVAEEQSIDQASSLVELSPSFLANCEVFVRDMQALMTAWKAACAATGAVTLVLPPGTYYIGPGTLKAATDLKRFGNDWVEFGWVNHLIVSGQNGAAFDGQGAASWPFNKCPIRKDCKVLPTSVLFVNNNNMVVQNVASVNSKFFHMALLQCSGAKISGVRISAPESSPNTDGIHIERSNGVSIADTTIATGDDCISIGQGNDNIDVARVHCGPGHGMSVGSLGRYVGEGDVTRIHVRDMTFHGTMNGVRIKTWENSPTKSNAAHMLFENLVMNDLGN >OGLUM09G07350.1 pep chromosome:ALNU02000000:9:10967158:10967472:-1 gene:OGLUM09G07350 transcript:OGLUM09G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPILGMMWVVVTAAPVSRLVELAMTSMSGRFVASATAMGDALLSELAKIDVVVWMECERMRVGMEQVQTRQCQVLVCVASIARRLWEKEVELDVACRC >OGLUM09G07360.1 pep chromosome:ALNU02000000:9:10968875:10969656:-1 gene:OGLUM09G07360 transcript:OGLUM09G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSFKSCGEGDTTGNWSVV >OGLUM09G07370.1 pep chromosome:ALNU02000000:9:10969812:10973777:1 gene:OGLUM09G07370 transcript:OGLUM09G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAVTVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >OGLUM09G07370.2 pep chromosome:ALNU02000000:9:10969700:10973777:1 gene:OGLUM09G07370 transcript:OGLUM09G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAVTVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >OGLUM09G07370.3 pep chromosome:ALNU02000000:9:10969812:10973777:1 gene:OGLUM09G07370 transcript:OGLUM09G07370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTDAGVEANECMKVFIARNPDEAGSANSTSLQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHAYADISFEETSDESQISSLFFRIAGLNCFPYRYSNVVTDGNVIKHVELQGAAAFLYCRLVPLILLLVEGSTPIDITEHGWEMLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAVTVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >OGLUM09G07370.4 pep chromosome:ALNU02000000:9:10969700:10973777:1 gene:OGLUM09G07370 transcript:OGLUM09G07370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAVTVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >OGLUM09G07370.5 pep chromosome:ALNU02000000:9:10970330:10973777:1 gene:OGLUM09G07370 transcript:OGLUM09G07370.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVKKSAQASSSSNFLVLGFAAVHHFYHYPESTRLRISQILVLPPYQGEGHGLRLLETINSISESENIYDVTIEDPSDYLQYIRSSIDCLRLLTFDPIKPALCSMVSSLKETNLSKRTSSLKMVPPSDLAVTVRQKLKINKKQFLRCWEILIYLNLDAEDRKTLDNFRACIYDCIKGEILGTSTGPNGKRLVQMPSNFDEETCFAVYWTQDGGDADDQTVEQQPEDLKTQEQQLNEVVDSQMEEIVEVAKNVTSRGKDKLSVSCSV >OGLUM09G07380.1 pep chromosome:ALNU02000000:9:10988052:11011827:-1 gene:OGLUM09G07380 transcript:OGLUM09G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTIMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICLLLVNLLLHSENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALRGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHAXRIFFYSLISFSQARLMAACKNPLFSQALKSCALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OGLUM09G07390.1 pep chromosome:ALNU02000000:9:11018210:11021170:-1 gene:OGLUM09G07390 transcript:OGLUM09G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGQNAWDDGVCLNEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >OGLUM09G07400.1 pep chromosome:ALNU02000000:9:11023097:11041214:1 gene:OGLUM09G07400 transcript:OGLUM09G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADLESKVERPYRTLRSFPSGDRNCYSLPTVAGAKYLIRMSFYYGNYDGKDSSSTLQFDLYIGVDRWTTVHGDSNGFHEALFVAWASWAPVCLVRTSPGATPFVSSVELRPLGSGLYPDLMANESMYMADRKNMGSNNSVIEYKDDLYDRYWWPMPSNPTWKNISTASPIDLASNYAVPSPVIQTAIEAVSTNTTLTLYTWKDQGSNGYEYKVYMHFADFQNSQLRQFNISFNTLKEDQYSPPYLAPFVVFNTRWYKSNDGEYNITLQATAASKLPPMINAIELYTRISHINPRTLPRDLDAIMAIKFEYGIKKNWMGDPCFPVELGWDGVRCSNASGNTTKIIALDLSNSNLHGPISNNFTLFTELEYFLDYNINPCNPPPPPTKKGNKAVIIAISVVVPVIAIGALVLVYLIWRWKTKSNVPSANPPREPELEIAPATRKYDGDALQKVENRRFTYKELEKLTNKFEKFIGQGGFGLVYYGRLEDGTEVAVKMRSESSSHGLDEFFAEVQSLTKVHHRNLVSLVGYCREKDNLALVYEYMARGSLYDHLRERAFELWLKPHKAKIADFGLCKTYLSDTQTHISVTPAGSAGYMDPEYYHTGRLMESSDVYSFGVVLLEIVTGESPILPGQGHIIQLVKKKIAAGNISLVADARLGGAYDVSSMWKVVDTALSCTADIGAERPTMATVVVQLKESLALEEARGDSGFRGSISTVSDTMYPNDPYDRYWWQMWHSDPTWKNISSLSTVKEDSNFAVPYPVMQTAIEAIDNSTILNITWVNKMLSVHNIKLLLHFADFQNSQLRQFNASLNNAQPYQYSPPYLTAEALFNSGWSMASDGEYTIRLEPTSVSKLPPMINALEIYSLISHNSPTTLQADFETIMGIKLEYGIKKNWMGDPCFPVKFAWEVSEEDIPRDQAEVENIPDRIKAHGDILHKVENRQFSFNELEKFTNRFERFIGQGGFGPVYFGRLEDNTEVAVKIRSESSSHGITEFFAEVQSLTKVHHRNLVSLVGYCCENDHLALVYEYMARGSLSDHLRDNNGVSETLNWRTRVQVVIEAAQGLDYLHKGCNLPIIHRDVKIADFGLSKTYLSETQTHISVTAAATTGYIDPEYYYTGRLTESSDVYSFGIVLLEIATGESPILPGQGHIVQRVKRKIDAGDIRLVADPRLKGAYEVSSMLKVVDTALLCTADVSAQRPAMADVVVQLKESLAMAEAHDNISLRGSIGTTSA >OGLUM09G07410.1 pep chromosome:ALNU02000000:9:11070463:11081869:1 gene:OGLUM09G07410 transcript:OGLUM09G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVLVATLVLNTALHAAAQPADFLSIDCGLEANYSGYKDANTGIAYVSDEPYVDSGENHRVAADQDSRWGDTNLRTLRSFPSGVRNCYTLPTRAGTRYLVRLSFVHGNYDGSAADSAGGVGGGGWSTLSFDLYLGVDRWATVDKDYAHEAVFVAWASWAPVCLINTGSGTPFVSVVELRPLDGALYPSVMANQSMARYVRCSIGDNNKFITRLGAGQAGEVRDHGERVERENREEWYPGDQYDRFWWQLGYSSPTWKNLSTVSAITQDSIYTVPLTIIQTAVEAVGNNTMLNITWQDQTPRGRGLKFFMYFADFQNSQLRQFNVSFNDVEPYQYSPPYLTTGVLYNSGWSIATDGNYNISLVPTAASKLPPMINALEIYTLISHDSPMTFPVDYSTEDPPRDRSDQLENSLEKSQNHGDVLQIVENRQFTYIELEKVTNKFENHIGQGGFGPVYYGCLEDNTEVAVKMRSELSSHGLDEFFAEVQNLTKVHHRNLVSLIGYCWERDHLALVYEYMAQGSICDRLRGNIFVENQHASHCSILTITFHIYEGLDYLHKGCSLPIIHRDVKTSNILLGKNLQAKIADFGLSKTYLSETQTHISVTPAGTTGYIDPEYYQTGRLTESSDVYSFGIVLLEIATGEPPIISGQGHIVQRVKNKIVAGDISLIADARLYGAYDVSSMWKVVDTALQCTVDVVAQRPTMATVVAQLKESLALEESREDSGFMGSTSTVSDNTFSTSRFGPSAR >OGLUM09G07420.1 pep chromosome:ALNU02000000:9:11082291:11102923:-1 gene:OGLUM09G07420 transcript:OGLUM09G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALVVVVLLVLESGVLAVDQPAGFLTIDCGLEADDSYPDDLTGLTYVPDGRYIDGGENHKVTTVYRNKWWGPDTRTLYTVRSFPSAEGQRNCYSLPTDVGSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVKHWDTVSIDTTDGNDGYNVHEAVFVAWASWAPVCLINIGQGTPFVSTVELRPLGILPYPAVMGNVSLSLYVRSNVGSSPDDDNLVRYPDDQYDRFWFTDAYTEADPLSTNISTQSTIQPSTEFAVPSPVLQKAVVSSGNSTKLVFFSDQLDALLHEHFVILHFADFQNNKSREFTVSIDNGVQSSPYSTPYLKGLSVTGGWSSNSEGKYNFTIAATATSALPPILNAFEVYGRIIHDNPTTFSQDFDAIMAIKYEYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISIDLSNSELNGSISNSFTLFTALKYLNLSCNQLNGTIPDSLLKNNGSIDFSYESDGNMCKTHATPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKFTENFKRLIGHGGFGHVYYGCLEDSTEVAVKMRSELSSHGLNEFLAEDHLALVYEYMSRGNLCDYLRGKTGMGEILNWKTRIRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMADVVVQLKESLDLVEVHGDRGDMENLASDTMSSMHYVTDRLTDCSDVDNFGVVLLEMVTGNISSVADEPLGGSYVVNSLWKVPDAVMILLLKGQRCPVVMQLKENLEQEEAHGDTSSMSMFAENSKLKLTSMTIFKLVSFAGQPNAEKVLVYKAAAHGRAEARAEEDEDVFDLETRTKYLIIPLSSFLGVDEMNMYARLLVLQCVEPS >OGLUM09G07420.2 pep chromosome:ALNU02000000:9:11082291:11095830:-1 gene:OGLUM09G07420 transcript:OGLUM09G07420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKYEYGIKKNWMGDPCFPPEYVWDGVKCSDAGDKIMRIISIDLSNSELNGSISNSFTLFTALKYLNLSCNQLNGTIPDSLLKNNGSIDFSYESDGNMCKTHATPSLSRNTLAVSVVAPVLVLAILVLAYLIWRAKRKLNTSSTDLAMVPELMGAPGHITNHWDHLQKPENRRFTYQELEKFTENFKRLIGHGGFGHVYYGCLEDSTEVAVKMRSELSSHGLNEFLAEDHLALVYEYMSRGNLCDYLRGKTGMGEILNWKTRIRVALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNFKAKIADFGLSKTYHSDSQTHISAAAAGSMGYIDPEYYTTGRLTESSDVYSFGVVLLEITTGEPPIIPENGHIVQRVKQKIVSGNISSVADAHLGGAYNVSSMWKVVNIAMMCTTDIATQRPKMADVVVQLKESLDLVEVHGDRGDMENLASDTMSSMHYVTDRLTDCSDVDNFGVVLLEMVTGNISSVADEPLGGSYVVNSLWKVPDAVMILLLKGQRCPVVMQLKENLEQEEAHGDTSSMSMFAENSKLKLTSMTIFKLVSFAGQPNAEKVLVYKAAAHGRAEARAEEDEDVFDLETRTKYLIIPLSSFLGVDEMNMYARLLVLQCVEPS >OGLUM09G07420.3 pep chromosome:ALNU02000000:9:11095916:11102923:-1 gene:OGLUM09G07420 transcript:OGLUM09G07420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALVVVVLLVLESGVLAVDQPAGFLTIDCGLEADDSYPDDLTGLTYVPDGRYIDGGENHKVTTVYRNKWWGPDTRTLYTVRSFPSAEGQRNCYSLPTDVGSKYLVRLEFLYGNYDGLDSSSLKFNLTLGVKHWDTVSIDTTDGNDGYNVHEAVFVAWASWAPVCLINIGQGTPFVSTVELRPLGILPYPAVMGNVSLSLYVRSNVGSSPDDDNLVRYPDDQYDRFWFTDAYTEADPLSTNISTQSTIQPSTEFAVPSPVLQKAVVSSGNSTKLVFFSDQLDALLHEHFVILHFADFQNNKSREFTVSIDNGVQSSPYSTPYLKGLSVTGGWSSNSEGKYNFTIAATATSALPPILNAFEVYGRIIHDNPTTFSQDCKLAVSIGL >OGLUM09G07430.1 pep chromosome:ALNU02000000:9:11144128:11147479:1 gene:OGLUM09G07430 transcript:OGLUM09G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVLAACAGSVIPKFSRRRRRGPIRLPLRLRRRRHQRMRREQKLLLQRMECGSYLLLR >OGLUM09G07440.1 pep chromosome:ALNU02000000:9:11150206:11160187:-1 gene:OGLUM09G07440 transcript:OGLUM09G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQCGFLSIDCGLDQDYNTDSLVGGITYVSDGAYVDAGESRRVTTVYKDEWKGPRYQTLYTLRSFPSSVTGERSCYSLPTNKGDKYNVRLEFLYGNYDGLDSAYLTFNLTLGVNHWDTVILDTTINYGYKAYAAVFVAWAMWVPVCLVNTGGGTPFVSTVELRPFRSLAYPTVNQSLSLYERRSMRSGPDVDIIRFPDDQYDRYWYAWELTGNDPYSNISTPSTIEPNTTFMVPLRVLKTAFVPDNKTREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEDLSIKLVATAASALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSSSVWDGVKCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNMSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNGAAILAVYVVAPVLVVAMLVVAYLIWRAKRKPHFSTDDSPTVPEQISPPGHWTNHWDHLQKPENRRFTYEELAKFTDSFKRLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTKVNHRNLVSLIGYCWEKDHLALVYEYMSSGNLSDYLRGKTSMGGTMNWATRVRVVLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDSQTHISATAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPPIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVMQLKESLELEEAHGDMGDMENVARDNMPSMSMFVSYS >OGLUM09G07440.2 pep chromosome:ALNU02000000:9:11150393:11160187:-1 gene:OGLUM09G07440 transcript:OGLUM09G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQCGFLSIDCGLDQDYNTDSLVGGITYVSDGAYVDAGESRRVTTVYKDEWKGPRYQTLYTLRSFPSSVTGERSCYSLPTNKGDKYNVRLEFLYGNYDGLDSAYLTFNLTLGVNHWDTVILDTTINYGYKAYAAVFVAWAMWVPVCLVNTGGGTPFVSTVELRPFRSLAYPTVNQSLSLYERRSMRSGPDVDIIRFPDDQYDRYWYAWELTGNDPYSNISTPSTIEPNTTFMVPLRVLKTAFVPVGNSNELVLRSKRTDRLPGDHLVILHFADFQDNKTREFTVSIDSGVQSGPISPPYLKGWSIINWSSDSEDLSIKLVATAASALPPILNAYEVYSRIIHEYPMTFSQDFDAIMAIKHEYGIRKNWMGDPCYPSSSVWDGVKCTNPGDDKTMRIISLDLSNSELQGPISYNFTLFSALKYLNMSCNQLTGTIPDYLRKSNGSIVFSYESDGDMCKKPITSSSSRNGAAILAVYVVAPVLVVAMLVVAYLIWRAKRKPHFSTDDSPTVPEQISPPGHWTNHWDHLQKPENRRFTYEELAKFTDSFKRLIGHGGFGNVYYGCLEDNTEVAVKMRSESSSHGLDEFLAEVQSLTKVNHRNLVSLIGYCWEKDHLALVYEYMSSGNLSDYLRGKTSMGGTMNWATRVRVVLEAAQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDSQTHISATAAGSMGYIDPEYYITGRLTESSDVYSFGVVLLEVTSGEPPIIPGNGHIVERVKQKMVTGNISSVADARLGGSYNVNSMWKVLDAAMMCTADIAAQRPMMSAVVMQLKESLELEEAHGDMGDMENVARDNMPSMSMFGPSAR >OGLUM09G07450.1 pep chromosome:ALNU02000000:9:11210005:11221779:-1 gene:OGLUM09G07450 transcript:OGLUM09G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGFLSIDCGLEGDKNGYTDNSNGIVYTPDGAPYVDTGVTNNVSAQYVNTWDRALNTLRSFPLTLFGERNCYALPTVPGAIYLVRMRFAYGNYDNMNSESVQFYLLLGVNKWDEVYIANKDKEYSSEAMFVAWASWASVCLVNSYRGTPFVNTVELRQLDSMLHFRKIMGNSSIYLYERRNMGPSSRDNPIIRYPNDTYDRFWYPWGSEDDPTYSNLSAPSTLIIPPSPSYAVPSLVLETAVVPADNNKSVLSIIQTNDKEIHEYLVLVHFADFQSTLRRRFQAYSNGDPIEGGPYVADYSGQTVGTIDWISAETSGKYNFTLAATDSSQLPPIVNAFEVYGRIPLDNPSTFPRDFDAIMTIKFEYGIKKNWTNDPCFPSNLVWNGVRCNTGSDNTTRIISLDLSNSNLHGSISNNFTLLTALEYLNLSGNQLSGTIPSSLCENNAGSFVFRFSYLFNVDIGDNFVHLDSTYGPEFLNAPGSTKNHWDHMQKTENRRFTYEELEKYTDNFERLIGHGGFGQVYYGCLEENIEVAVKMRSESSQHGLDEFLAEVQSLTKVHHRNLVSLVGYCWENDHLALVYEYMSGGNLCDHLRGKISVGKSLNWATRLRILLEAGQGLDYLHKGCNLPIIHGDVKTNNILLGQNLKAKIADFGLSKTYHSDTQTHISATAAGSMGYIDPEYYNTGRLMESSDVYSFGVVLLEVVTGEPPIIPGHGHIVQRVKQKIVTGNISSIADARLDAYNVSSMWKVVDIAMMCTADVAAQRPVMATVVAQLKEGLALEEAHEERGEAPKEETPAALGEEAAPTEADVAETKEAEPAAAKPAAKEVKEPEPEPTKEEVSEPAATEAETNEVEAATQVAEEVKEGSVRLTSRIDVQRRIGYGGSRTSEQRNIDDGEGSVNDEIRRSRVGLGAEPAVSMAPAPPPPQPSEN >OGLUM09G07460.1 pep chromosome:ALNU02000000:9:11246060:11251520:-1 gene:OGLUM09G07460 transcript:OGLUM09G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFIPPSVCALGFLSIDCGLEGDDSYPDDQTGITYVPDGPYVDSGENHRVTTVYRNYWGQDYRTLKTLRSFPSASGKRNCYSLPTDVGDKYLVRLEFLYGNYDSMDSSLLKFNLTLGVNHWNTVNLDTTDDQDGYNFYEAVFVAWASWAPVCLINIGQGIPFVSTVELRLLGTLPYPAIIGNQSLSLYVRRSIGSSADDDMRYPDDQYDRYWIMGETTGAADMSNISTPMIIPPSVPFAVPSPILQKAVVPADNSMKLVFHSDQLDAQLRDHLVILHFADFQNNKSREFTVSIDSGVQSGPFSPPYLKVLSISTDWSSDTEGKYNFTLTATSTSSLPPILNAYEVYGRIIHDNPMMFSQDFDAIMAIKYEYGIRKNWMGDPCFPPEFAWDGVECSSDGKTMRIISLDLSNSELQGLISNNFTLLTALKYLNLSCNQLNGAIPDSLRGKNGSMVLSYESGGDMCKKPVSPSSRNRAAALAVSVVVPMLAVAILGLAYLIWRAKRKHNNDPPTVLELTGAPGHKTNHWDRLQKPENRRFTFEELQKFTDNFKRLIGHGGFGHVYYGSLEDSTEVAVKMRSESSLHGLDEFLAEVQSLTTVHHRNLVSLFGYCWDDDHLALVYEYMSSGNLCDYLRGKTSMTETFNWATRVKIALEAAQGLDYLHKGCNLPIIHGDVKTNNILLGRNLKAKIADFGLSKTYHSDSQTHISASIAAGSMGYIDPEYESLYAQYYTTGRLTESSDVYSFGVVLLDLTTGESPIIPGNGHVVQRVKQKIVTGNISSIVDTRLGGSYNVSSMWKVLDAAMICTTDIGAERPTMATVVMQLKESLELEEAHGDRGDMENQARDNTYLMSTFGPSAR >OGLUM09G07470.1 pep chromosome:ALNU02000000:9:11271917:11276551:-1 gene:OGLUM09G07470 transcript:OGLUM09G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Bystin (InterPro:IPR007955); Has 475 Blast hits to 467 proteins in 210 species: Archae - 0; Bacteria - 9; Metazoa - 155; Fungi - 139; Plants - 55; Viruses - 0; Other Eukaryotes - 117 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G31660) TAIR;Acc:AT1G31660] MAGKKRKSASSDKQPKQQQRLPLGADADAVADAAKRRRSGASKKHQAEEEASIPSSLSAKILREALTQQQEESLADQRPAAAATATAAPSPSFSFPVPNKDGEEDEDDDDVDEFDGFDAQSEYDGGVPEIDEEDEKALAAFMSKDTSSKRSLGDIILEKIRQKDAEISTEGRTPVKLDSSIIKLYKGVGEFLSRYTSGKIPKGFKRIPSLECWPDVLQLTEPENWSPNAVYQATRLFSSNMNAKNAVRFYEAILLPRVRNDIRKNKRLHFALYQSLKKCLYKPAAFFKGILLPLCQERNCTLREAVIIGSIISKVSIPPLHASAALMKLAEMEYCGTTSYFIKLFLDKKYALPYRVVDAVFAHFMRFIDEERVMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRMSCNRGEKDTNMRNGQQFAVDLKIRSHSSLDLGGSELQNQALPDELVKQIGSCLTEFRNQLLVQV >OGLUM09G07480.1 pep chromosome:ALNU02000000:9:11283072:11284226:1 gene:OGLUM09G07480 transcript:OGLUM09G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDSGFFRFSAAAPVPVPAHQPRRALSDLQTILGLGAAPPQRLPQTSSGQHRVTPMAPPQQRRQVNGSTAVPMALMPVNQVRANGLVVNTFLMTTTRRQQGLLYPNAGVHPMMATIPVSPQTPTVQNTIPATVAPVADQRIINHGTVHFMGATPATWGLWDVVSPVAAHANGNPLACICCARVFALRLWEIPGLLSSLGFSYSEPIGPPPLRLPLPPARYASLTTAMCSSPHHFILTMLHMPRQAITDLIWSSQIGNMQIGVPSPAGGQHVAMALSSTSITGTTVLPTLSVMQMPTIHREQRILSPIMLSSSASLVDITSTTPSMLNMMPMQPIHREQCAPPPTTSSSSASSLHCEYVMPEHEDMVSLTLGRACTMDLDLCL >OGLUM09G07490.1 pep chromosome:ALNU02000000:9:11285909:11286894:1 gene:OGLUM09G07490 transcript:OGLUM09G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSTVNNHLPCAGHSTTYLPETSREDGDVATVARVVVLPVVSVRSSHPSSICQRENTLRRARRGTSRRSATRGGRRTAGRLRLRDRRHGNAVVNLISGNGGTTRWQTGLHTILLLARRTMLSTPSTSFHDLVPPSGRKSIEEEVPAVNAGARRHGAGVATGRRKGLSATR >OGLUM09G07500.1 pep chromosome:ALNU02000000:9:11290923:11291272:-1 gene:OGLUM09G07500 transcript:OGLUM09G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSFSLCLEASRRGCGNVTPADGEQQGEGTSQRRAASGRCGWVAPSAAGADGREKHVTEDIAVQETMVGNEHGDHSRCRRGWTSTAGRTEEGRRRVAEGARDGSRLVDSFP >OGLUM09G07510.1 pep chromosome:ALNU02000000:9:11293161:11302126:-1 gene:OGLUM09G07510 transcript:OGLUM09G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATTTATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTTTLTRYPADEHDRIWKGSGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNGTTLTVAWQDTTSSSEYMVFLHFADFQKSQPRQFNVTLNDIPIGSNGRSLMFSPPPLDSSSVYSSDGYRADDGEYNLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSYTSDGDICNNRTSSSRSTNRSTTILAISIVTPVLAVAILLAFFLWRAKGKHNVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGTEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHMRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGDGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >OGLUM09G07510.2 pep chromosome:ALNU02000000:9:11293161:11302126:-1 gene:OGLUM09G07510 transcript:OGLUM09G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATTTATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTTTLTRYPADEHDRIWKGSGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNGTTLTVAWQDTTSSSEYMVFLHFADFQKSQPRQFNVTLNDIPIGSNGRSLMFSPPPLDSSSVYSSDGYRADDGEYNLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSNSELMVLDNFSYTSDGDICNNRTSSSRSTNRSTTILAISIVTPVLAVAILLAFFLWRAKGKHNVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGTEVAVKMRSESSLHGLDEFLAEVQSLTKVHHRNLVSLVGYCWEEHYLALVYEYMPSGSLCDHMRGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGDGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >OGLUM09G07510.3 pep chromosome:ALNU02000000:9:11293161:11302126:-1 gene:OGLUM09G07510 transcript:OGLUM09G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMLLFAALMLASLSEAAADDGIGGQSGFVSIDCGREANYSDYKDPKTGIVYVSDEPYIDAGAGENHRISATTTATAADSYLLQTLRSFPSGPRNCYALPTVAGTKYLVRLGFLYGNYDGENSSSSSASSLRFDLHLGAQRWATVDDVVVQTGGISRMYEVVFMGWARWAPACLVNVGGGTPFVSSVELRPIDDELYPSVKTSESLSLFKRSDMGADTTTLTRYPADEHDRIWKGSGNPGSTDISTQEKIQSENSFEVPLPVLQTAITTPGGNGTTLTVAWQDTTSSSEYMVFLHFADFQKSQPRQFNVTLNDIPIGSNGRSLMFSPPPLDSSSVYSSDGYRADDGEYNLVLRRTAASALPPMLNAMEIYTVITHDSPRTFHKDFDAIMDIKYEYGIKKNWMGDPCFPSEFIWDGIKCSTAGDDNTSRIISLDLSQSNLQGVVSINFTFLTALNYLNLSGNQLNGPVPDSLCKNIAGLYIFSNSELMVLDNFSYTSDGDICNNRTSSSRSTNRSTTILAISIVTPVLAVAILLAFFLWRAKGKHNVSTFDPPRVPDPKKAPGSTTDHWSHLPINGSRQFTYEELKNFTLNFQRFIGQGGFGHVYYGCLEDGTEVAVKMRSESSLHGLDEFLAEVPNFSHRIIKDIIESKLRMTHVTGILSSHIHTEISMYCTSHYIGPELDKGASQKSSFFGWILLGRALFSTGKRDVGETLNWAKRVRIMLEAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKLADFGLSKMYISDSQTHISVTAAGTVGYIDPEYYQTGRLTESSDVYSFGVVLLEVVTGELPILAGDGHIVQRVERKVTSGSIGLVADARLNDSYDISSMWKVVDTAMLCTTDVAIQRPTMSTVVLQLKECLALEEAREDRNRAGPTNDAVDVVSTFGPSAR >OGLUM09G07520.1 pep chromosome:ALNU02000000:9:11310184:11313579:1 gene:OGLUM09G07520 transcript:OGLUM09G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSKVIINRDIITEAAAMAFADPNLEIPDRYDRSGEVPAGVVVGGGDDESYELPVVDMARLLDPEHWEAEVAWLGSACRSWGFFQLINHGVDEAVIQKMKDNTVHFFELPLEDKNAVAVCPDGGIEGFGHHFRTSADKLDWAENLIVETQPIERRKLEFWPSNPPTFRDSIDKYAMEMWNLATRLLGFMASNLGVEQETLLAAFRGKRQSMTLHHYPPCHHPEKVIGIAPHSDGFGLTLLLQVNDTPGLQISKDGRWHPVRPQTGAFVINVGEILEVLTNGHYKSVFHRVVVDTERGRDTIVVFQDACINGVVKPLLELGEALYHAIDRLEYSKGHATEIFSQGERFVDILKK >OGLUM09G07530.1 pep chromosome:ALNU02000000:9:11316029:11316292:-1 gene:OGLUM09G07530 transcript:OGLUM09G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSALEGEEDAAAAAAGGSAVFDEAAVVFAALRGAMVHVGGGRVAVAEAYRGHALRGKRRRAVSVPDLIWEIIRGPVVIEFGTSGR >OGLUM09G07540.1 pep chromosome:ALNU02000000:9:11334415:11336699:1 gene:OGLUM09G07540 transcript:OGLUM09G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGFKDGFDILGIGRNILARSSRTGLNSASHEKRSVTHRILNKISELNKVASLTTVHHRHLVFLVGYCTNKNHLALIYEYMPNGSLYDHIRGNKAVIQTFRWCDRVRITLEAAQGCVLPIIHRDLKSHNILLGHDMVAKISDFGLSKYCLSGRVTTSSDVFSFGVVLLEIVTGEPPVVPTTVHILQRVKEKVTTGNIEAIVDPRLDGDYDVSSIWKVVDIALLCTKEASDERPTMSMVVAQLKDALALEEARNVSICDISQKGANLGLSFNSMPSAR >OGLUM09G07550.1 pep chromosome:ALNU02000000:9:11338307:11338893:1 gene:OGLUM09G07550 transcript:OGLUM09G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIDAAYADATSSRRSTPMSPSRLGFCRCNVRACQSCGISFVSISQANCLAE >OGLUM09G07560.1 pep chromosome:ALNU02000000:9:11342422:11351621:-1 gene:OGLUM09G07560 transcript:OGLUM09G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAFFSVLVLATAVVPAVGQQGYLSIDCGLEANSSYQDDNRILYVPDGPYVDGGENHKVAAEYASSFQRPDQTLRSFPSGVRNCYTLPTAAGSKYLVRLVFVYGNYDGKNISSSSSAAAALRFDLYLGLSRWVTVQGGTGSGGEVHEAVFVAWASWAPVCLVNTGSGTPFVSSVELRPLVDSLYPAVMANQSLAMLRRRNMAANNFIRYPDDPYDRYWWPMNADPAWANLSTTSTIKTGSTFAVPSSVLQTAVTPSGNSTVLNVISWQDTTAKEYVVYLHFADFQSSKLREFDAYPDANQVVYNYTPHYLLSSSVYTPLFRAIAGEYNITLAATANSALPPMLNAFEIYFLITYDGTTTFSKDFDAIMAIKLEYGVKKNWMSDPCFPPEFAWDGIKCRNTSGNIMRIISLDLSNSNLFGVISNNFTLLTALEKLNLSGNQLNGPIPDSLCKNNAGQFVFRYVAKTNPELLVLYNYYSYGSDGNTCNKTIVPTYVPPQVPDIKTSPERKTNPFDPLQITESRQFTYEELKKFTNNFQQFIGRGGFGNVYYGCLENKTEVAVKMLSEFSENGLDQFLAEVQSLTKVHHKNLVSLVGYCWEKDHLALAYEYMARGNLCDHLRGKMGVGDTFNWVTRVRVVLDAAQGLEYLHKGCNLPIIHGDVKTNNVLLGENLKAKIADFGLSKTYISETQTHISTSNAAGTMGYIDPEYYHTGRLTESSDVYSFGVILLEVATGEPPILHGSGHIIQRVKQKVASGNISLVADARLKDSYDISSMWKVVDTAMLCISEVATQRPTMSTVVLQLKESLALEEARDGRDITTSSVSDAMDVLSKFGPSAR >OGLUM09G07570.1 pep chromosome:ALNU02000000:9:11380145:11381864:1 gene:OGLUM09G07570 transcript:OGLUM09G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVHAVRLPHRMLSWLRLAVAQPRLADARPCQAPSGGNTTVKPGKERNKNKNKEYEIKRGKSGEAVSARRREERFYMIDQDQSKNSFHISASNWELKVMKMKI >OGLUM09G07580.1 pep chromosome:ALNU02000000:9:11407209:11416196:1 gene:OGLUM09G07580 transcript:OGLUM09G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGLPSSSLFILVTALLPLLVHSQTASLDEGFISIDCGLSSGSSYLDEKTGLNYTSDDGYIYTGENHNISAKYNGQELFKTGLNLRSFPAGGRNCYTLSPATTGHKYLVRAMFMHGNYNGKGNDLVSSPLVFDVYMGLYFWDRISVNNSAKTYIAEVIVVAKANSISVCLIDIGDGTPIISSLEMRLMKSSLYPAAMANQSIALQERNSMGTNSLLRYPDDIYDRLWWPLKASSGLLNISTNRTIKNYPGNIFEVPARVLQTAVTSTNTSIPISFSWTAPTDWPATAAVPVYFYNTHFTDYQNQRVREFNTYTNGDLSTSDPSRPAYLISDYTYSSSQYNIDTGFYNVCIIATNTSELPPVLSAYETFYLVQHDGTMTSPEDVDAMMTIRTEYQVKKNWMGDPCLPENYRWTGLICQSDGVTSGVISLDLSHSDLQGAVSGKFSLLKSLQHLYDTTNGDPCNEKSPKKKKTVVLFVAIVVPILMVAVLVSTLLLYYFCRKQAQRPEVPDTASKEEYEDHIHISDGREFTYKELMEMTNNFSVCIGEGGFGPVFHGQLKEGTQVAVKMQSPTSTIGKGTTEFLAEVENLTTVHHRYLVFLVGYCSNKNHLALIYEYMPNGSLYDHIRGKNAIVQTLRWCDRARIALEAAQGLDYLHTGCVLPIVHSDLKSHNILLGHDMVAKISDFGLSKSYLNAAQSHISVTAAGTLGYIDPEYCLSGRLTISSDVFSFGVVLLEIVTGEPPIIPTTVHIVQRVKEKVAAGNIEAIVDPRFGGEYDTNSVWKVVDIALLCTKEASHERPTMSTVVAELKVALALEKARASGSISDLSQGGANFELSINSLLSAR >OGLUM09G07590.1 pep chromosome:ALNU02000000:9:11410331:11416203:-1 gene:OGLUM09G07590 transcript:OGLUM09G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTNARDRAIAGRSTIRTPEKRSSAKHVYNSSSCELEPLKGGKWEPILKKLEQGTWS >OGLUM09G07590.2 pep chromosome:ALNU02000000:9:11408714:11416203:-1 gene:OGLUM09G07590 transcript:OGLUM09G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASTNARDRAIAGRSTIRTPEKRSSAKHVYNSSSCELEPLKGGKWEPILKKLEQGTCFDLQRGNF >OGLUM09G07600.1 pep chromosome:ALNU02000000:9:11503352:11523428:-1 gene:OGLUM09G07600 transcript:OGLUM09G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGIFYVPDDPYVDAGENHEVAADLIKGGHIRRRPDLTVRSFPSGTRNCYAAHGRGEQVPGPGGGRLWQLRRQERLLRVTVRPAPGDELLGHRAAGRWEAGVRGVVRGVGELGAVCLVNTGQGTPFVSSVELRPLGSELYLPVMANQSIRLYRRHNLGPTTAHITRYPNDPFDRYWWNKESNPMWENITSTLINSIKQEPNFDVPVAILQNAVEVVPGNGTVLNIKWEDDDDTSSRQFAVILHFADFQNSQSSTSLLRPRRESVLPPMLNAYEIYTLIVHDTPTTFQQDDIKVEYGIKKKWMGDPCFPTQFKWDGVECRYTSDNIPRIISIDLANSNLHGLWVKW >OGLUM09G07600.2 pep chromosome:ALNU02000000:9:11503352:11512003:-1 gene:OGLUM09G07600 transcript:OGLUM09G07600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGKAAMRYPNDPFDRYWWNKESNPMWENITSTLINSIKQEPNFDVPVAILQNAVEVVPGNGTVLNIKWEDDDDTSSRQFAVILHFADFQNSQSSTSLLRPRRESVLPPMLNAYEIYTLIVHDTPTTFQQDDIKVEYGIKKKWMGDPCFPTQFKWDGVECRYTSDNIPRIISIDLANSNLHGLWVKW >OGLUM09G07600.3 pep chromosome:ALNU02000000:9:11512183:11523428:-1 gene:OGLUM09G07600 transcript:OGLUM09G07600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKETGIFYVPDDPYVDAGENHEVAADLIKGGHIRRRPDLTVRSFPSGTRNCYAAHGRGEQVPGPGGGRLWQLRRQERLLRVTVRPAPGDELLGHRAAGRWEAGVRGVVRGVGELGAVCLVNTGQGTPFVSSVELRPLGSELYLPVMANQSIRLYRRHNLGPTTAHITRIKETSSTPAHRSNMPCCPRACLTFTQRDEVELKLCIRRRLVELEFLIPKQLEFHRRARIWRRWSYGAPGGGRRGGPLSSCSPAVGAEGKAGDL >OGLUM09G07610.1 pep chromosome:ALNU02000000:9:11530982:11532797:-1 gene:OGLUM09G07610 transcript:OGLUM09G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGNLFDLLRDKTGVGESLNWAMRVRVLLDAAQGCNRPIIHRDVKTSNILLDQNLHAKIADFGLSKIYLSDTQSGLSTTVAGTMGYIDPDSLKYLASFGVVLLEVATGQGPILQGNGHIIQCVKEKVASGDISSIADERLNGGYNVSSMWKVVEIALLCMEPLPAQRPSMTTVVVQIKESLALEVAREDRGLQANPTGDAVATSSTFDPSAR >OGLUM09G07620.1 pep chromosome:ALNU02000000:9:11552319:11562824:-1 gene:OGLUM09G07620 transcript:OGLUM09G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFFAAFLLAAVPPAAGQRKGFLSIDCGLEADSGAYTDIDRGIFYVPDGPYVDAGENHEVAADLKEGHIRPDLTIRSFPSGMRNCYTLPTDAGSKYLVRVVAVYGNYDGKNNSVGLQFNLHIGTNYWDTVQPGRRPVYEALFVAWGSWAPVCLVNTGQGTPFASSVELRPLGSELYPAVMANQSIRLYTRHNLGSTTAHVTRYPNDPFDRYWWHQDTNNPMWENLTTTSINIKLESSFEVPAAILKDAVQVAGNGTILNIKWQDNTGRQFAVFLHFADFQDSQFNVTLAATAESVLPPMLNAYEIYTLIVHDTPTTFQQDVDAIWAIKVEYGIKKNWMGDPCFPSQFKWDGVECRNTTDNILRVISIDLSNSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDVCNKTNLPRSKKRAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >OGLUM09G07620.2 pep chromosome:ALNU02000000:9:11552319:11562824:-1 gene:OGLUM09G07620 transcript:OGLUM09G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLFFAAFLLAAVPPAAGQRKGFLSIDCGLEADSGAYTDIDRGIFYVPDGPYVDAGENHEVAADLKEGHIRPDLTIRSFPSGMRNCYTLPTDAGSKYLVRVVAVYGNYDGKNNSVGLQFNLHIGTNYWDTVQPGRRPVYEALFVAWGSWAPVCLVNTGQGTPFASSVELRPLGSELYPAVMANQSIRLYTRHNLGSTTAHVTRYPNDPFDRYWWHQDTNNPMWENLTTTSINIKLESSFEVPAAILKDAVQVAGNGTILNIKWQDNTGRQFAVFLHFADFQDSQVREFNVYFNSGPPNKYRPHYLAAGFVYTTRWYRAIDGEFNVTLAATAESVLPPMLNAYEIYTLIVHDTPTTFQQDVDAIWAIKVEYGIKKNWMGDPCFPSQFKWDGVECRNTTDNILRVISIDLSNSNLHGVISSNFTLLTALEYLNLSGNQLNGPIPDSLCKLNEGSLVFSYGSNGDVCNKTNLPRSKKRAATLAISIAAPVLVVVSLFITYLIWRAKGRSNSALVTLLVFRYTR >OGLUM09G07630.1 pep chromosome:ALNU02000000:9:11597474:11597821:1 gene:OGLUM09G07630 transcript:OGLUM09G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPSSARQILKVGWCPLPSACWAGLSCMWWPWCLKMELLVDGDAKALMGLVEDADGGHRVVAGCVGTAASVGLTALGDDLPTLGSCAPPGTPSLVPLPSRGGSHVIHGYSAEYP >OGLUM09G07640.1 pep chromosome:ALNU02000000:9:11599334:11600188:1 gene:OGLUM09G07640 transcript:OGLUM09G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVPFFAAFVLAVSPAVGQRPGFVSIDCGLEANYSGGYTDDGNYGIVYEPDGAYVDGGNNGRVAAEYESEHIRADLTLRSFPSGVRNCYTLPTVAGAKYLVRVVTVYGNYDGKNSWSTLQFDLHLGVNYWKTVFPDQTYVVHEALFVAWGNQAPVCLVNTGQGTPFVSRVELRPLVDTLYPDHVKANQSIAMYDRRIMGTTNAYVTAYVLS >OGLUM09G07650.1 pep chromosome:ALNU02000000:9:11600910:11606028:1 gene:OGLUM09G07650 transcript:OGLUM09G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVEYGVLNKNWMGDPCYPTQYAWEGVKCKNSSENIPRIISIDLSNSNLHGVISSNFTSLTALEYLYESNGDMCNKTTSLTRSKNRAAILAISVAAPMLVVIALFVGYLMWKAKRKPNTSAYNPPRVPEPMNAPVSEKYHWDHLEKNENRQFTYEELEKFTNNFQRLIGQGGFGCVYHGCLEDHTEVAVKIHFENSRHGFSEFLAEVQSLSKVHHKNLVSLVGYCSEKAHLALVYEYMSGGTLFDHLRDKTGVGESLNWASRVRILLEAAQGLDYLHTGCNKPIIHRDVKTSNILLGQNLQAKIADFGLSKVYVSDTQTHMSATAAGSMGYIDPEYYLTGRITESCDIYSFGVVLLEVITGERPIMQGHGHIIQRVKMKVVAGDISSIADARLRGDYDVNSIWKVMEIAMLCTEPVAAQRPTMASVVAELKDSLVPDPPPHHAVAMSPTFGPSAR >OGLUM09G07660.1 pep chromosome:ALNU02000000:9:11638180:11642210:1 gene:OGLUM09G07660 transcript:OGLUM09G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIDVLIRVDAIFKKYDKYDADKHRNGAAGGDPFSRLYAAVDANIDAAIERSERAATETNRAAAVALNADVRRTKARLTEEVAKLRKLAAKKVKGLSPEEAALRGDMVLALPDRIQSIPDGGGGGGNVRPGIKFDSSAENLDEAYFQTTEESEEFRREYEMRRTKQASLCLSMESNDEGLEFISEGLDTLKSLAEDMNEELNRQMPMMDEIDNKVDKSNADLRKTNVRLKETVNQFRSTRNFMIDLILICIILGIAAYLYDILNQ >OGLUM09G07670.1 pep chromosome:ALNU02000000:9:11640467:11643520:-1 gene:OGLUM09G07670 transcript:OGLUM09G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGGDANGGLAEATASRLRFEDPDEVMEENPAAAAAAAAAGAEGEEGGGEEVIGSDKTSADYYFDSYSHFGIHEEMLKDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGAVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLYYALSGHRCQVSRTQHYKMR >OGLUM09G07670.2 pep chromosome:ALNU02000000:9:11640467:11643520:-1 gene:OGLUM09G07670 transcript:OGLUM09G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRKGSGGDANGGLAEATASRLRFEDPDEVMEENPAAAAAAAAAGAEGEEGGGEEVIGSDKTSADYYFDSYSHFDVVRTKSYQNVITQNSFLFKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYSNVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADGGAVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMRCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLVAERNDYIHALVAYFNVSFTKCHKMMGFSTGPRSKATHWKQTVLYLEDVLTICEGETITGSMTVTPNKKNPRDIDIKLYYALSGHRCQVSRTQHYKMR >OGLUM09G07680.1 pep chromosome:ALNU02000000:9:11644619:11647792:1 gene:OGLUM09G07680 transcript:OGLUM09G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G14000) TAIR;Acc:AT4G14000] MEGKEAKDTVAAAASSSPLFSFSNSNASFAFGFGFGFGASSGPPPPPPPPAVEVLLSEESPVAAGELEPVVIDDSLSIYKGRASTSDVFGVKNSDLVPGKYEGGLKLWEGSLDLVKTLNSDIKEDRLLLEGKRVLELGCGHGLPGIYAILKGAGLVHFQDFNAEVLRCLTIPNVKANLLKESSQEKFTSGSVGFFAGDWSEIDSLLLRGDADLDKSTNGHENDTAYNGYDIILMAETVYAVSSLPNLYRLIKKCLRYPEGIVYMAGKKHYFGVGGGTRQFVRLVTEDGAMQSDLLVEVADGSSNVREVWKLSFK >OGLUM09G07690.1 pep chromosome:ALNU02000000:9:11670264:11675351:1 gene:OGLUM09G07690 transcript:OGLUM09G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKLSFQAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNASASPRSQHASQSTQATVPIPNTMSQQPSPNLFALGNTGLVQSKNSAVFSNALSSPVRRSLQPFHLEQGGDAGYFANGVNRDQNSTASNDSSMDMHSDSPAHDSY >OGLUM09G07700.1 pep chromosome:ALNU02000000:9:11678885:11683928:-1 gene:OGLUM09G07700 transcript:OGLUM09G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B1Y9] MATTTTMSSSMAASLVTSLRSLPAHALVPLVASALLFVAAVVLRRRRRPVYLLNYSCHLPDVDRKVNLEVCEYFGQRCRHYSDDTADFMRLIYRKSGLGQETYAPPFIFSGEFQKTQAFAVQEAEEGLFATVAHLLAKSDVRPRDVGFVVVACSMFSPAPSLASMIVRRFGMPPGTRTYSLAGMGCSAGTVGIDMAARALRVSRRGGYALVVVTENMSLNWYFGENKHMLVTNCIFRVGSAAALVTDVAARRGDAKYELVRTLRTHHGGDDAAYNAAVQMEDEEGNVGVALTKDLVRVAGAGLRQHIATLAPHVLPVSELLRYVWRVARAYVAGNPKAAAAIVPDFQRAFEHMCIHSGGKAVIDAVAKLMAFGPQVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALRDSAPDADNPWNACAHRYPAALPPPSTRRSSGGAPAMDSTHLKNDKLP >OGLUM09G07710.1 pep chromosome:ALNU02000000:9:11719008:11724272:1 gene:OGLUM09G07710 transcript:OGLUM09G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPFSPSQVTDYLDILSRIVVPRCMPFMFGTIPYNAGGVPLHIDSFVGLPRLYSDVLLNEPDIQCIEVQMLWRESASVINYELFKGYVQRGGETNEFVAGYDFLNTTGYDLNINVWYNSTYNDNTAYSFIAALRVPRLVNAVFFPFHNFVLSNFGVLQNHLTIQQFMLCFLQISNAYLKFIRGNGVDMLLEYVKEMPKVGTRFRLDLSSLLSVLFFTWIVELLFPVMLTYLVYEKEQKLKIMMKMHGLKDGPYWLISYAYFFALSVIYMTFFVIFGSLIGLNFFRLNDYSIQFAFFFIYINLQIALAFFVASFFSSVKTATVIGYIYVFGSGLLGAFLFRFFVEDRTFPNGWLLVMEIVPGFSLYRGLYELGQYAFSGSAMGASGMTWGNLRDPINGMCGIFIIMTVEWAFLLMLAFYLDQVSPVGGGVRKRPLFFFRCLQKKHTPSLQKPSFVQQGSKVIVDMEKPDVAQEVGYLLYFAIPDLMEYICSKFIHYTNSIQREVVEPLLVGRNANQAIICHNLKKLYPGRDGNPDKLAVRGLSLAVPKGQCFGMLGPNGAGKTSFISMMIGLLWEPLTGKEHLFFYGRLKNLKSAALVKAVEDALKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNPHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGAYIFTMTTSPDQEQEVEQLVHDLSPSANKIYHLSGTQKFELPKQEVKIAEVFRAVEDAKKRFTVHAWGLVDTTLEDVFIKVAKGAQASSDNS >OGLUM09G07720.1 pep chromosome:ALNU02000000:9:11725714:11727935:1 gene:OGLUM09G07720 transcript:OGLUM09G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAARAAEDGEEESHVVVANCGGGWRGHGEAARDGTGREEHTLEPVGARDGDDGRADKAELCGEIHKSTDRASRNPQGRTMCMKKSTNAWTAHEEVHEGARCT >OGLUM09G07730.1 pep chromosome:ALNU02000000:9:11729024:11733951:1 gene:OGLUM09G07730 transcript:OGLUM09G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPPELGQFDGWESSGEEERERWGWCRRSRSRSGSSSGSGRRRLPRKGSGGGGGGEDATVATGCCIRLWPMGSCPPPPRSKVDTSTSSASTHGAEKSTENGSRNHPVVSVVSGSTTTSNAESSSSASKAGEEIKVASQLRKFAFNDLKCATRNFRPESILGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWSIRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLNRDPKARPLMSQVVEVLKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRSHALKVQGSFARNGQQPMRSLSDGPRASPFRYSPKPNVK >OGLUM09G07740.1 pep chromosome:ALNU02000000:9:11734823:11739500:1 gene:OGLUM09G07740 transcript:OGLUM09G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPSPSTSPHRILRFLPRCAPRTHAPPPLALAPPAASIALQTLNLTSPPNAASPPSCVTPPLVALLAGFLCTASDLHRELPPPELRRAVYRDGFRRISIRSKAIPRRPYYRHSIHPGSDPPLSLPRKP >OGLUM09G07740.2 pep chromosome:ALNU02000000:9:11734823:11739500:1 gene:OGLUM09G07740 transcript:OGLUM09G07740.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPSPSTSPHRILRFLPRCAPRTHAPPPLALAPPAASIALQTLNLTSPPNAASPPSCVTPPLVALLAGFLCTASDLHRELPPPELRRAVYRDGFRRISIRSKAIPRRPYYRHSIHPGSDPPLSLPRKP >OGLUM09G07740.3 pep chromosome:ALNU02000000:9:11734823:11737629:1 gene:OGLUM09G07740 transcript:OGLUM09G07740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTANPRTAPSSSRSSRRLHRSPNPKPNFPAERRQSAVVRHSAARRPSRRFPLHRLRSPPGTPAAGTAARRL >OGLUM09G07740.4 pep chromosome:ALNU02000000:9:11735462:11739090:1 gene:OGLUM09G07740 transcript:OGLUM09G07740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLIPNGTRLWTTNRSAPCLDAAFVPLPSSMSGGVGVDAKVVSWYLSSTEAQPVLINNVTSATVFTIANLCSQLKLKNKIEEHLWSMASMDDVDFSPHQATSKESMNSEDDAKVQPSSRQTANKGNYYMGHANAIMMMFQFIRNGCWRVESSMEDYKCKKVEEGRGEKRRWEKDMILAGLLPSR >OGLUM09G07740.5 pep chromosome:ALNU02000000:9:11734823:11739090:1 gene:OGLUM09G07740 transcript:OGLUM09G07740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGVDAKVVSWYLSSTEAQPVLINNVTSATVFTIANLCSQLKLKNKIEEHLWSMASMDDVDFSPHQATSKESMNSEDDAKVQPSSRQTANKGNYYMGHANAIMMMFQFIRNGCWRVESSMEDYKCKKVEEGRGEKRRWEKDMILAGLLPSR >OGLUM09G07750.1 pep chromosome:ALNU02000000:9:11740880:11743916:1 gene:OGLUM09G07750 transcript:OGLUM09G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKPQSKSKPQPLSNHLLPVFASANLNSSSDSPLPRSKVRHGHQRKLFSEVLEPGCHFLPWCIGQQIAGYLSLRVKQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLNLDDAFEQKNDIAKAVEDELEKAMSAYGLRVAANEKAEAEKILQIKKAEGEAESKYLAGVGIARQRQAVVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSTSVFIPHGPGAVKDVAAQIRDGLLQANAERKD >OGLUM09G07760.1 pep chromosome:ALNU02000000:9:11744273:11745127:-1 gene:OGLUM09G07760 transcript:OGLUM09G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRKQQQEEEEMVAGGGEATALRAPADVIARVFSQLDCVDLLSCSLVCRQWYRDSAELREEWRKEYMEAWNQFGLYVKQQPQQPCPTCSSSIRTLRSLCS >OGLUM09G07770.1 pep chromosome:ALNU02000000:9:11754009:11766102:1 gene:OGLUM09G07770 transcript:OGLUM09G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPRTLSPSSPSPSLRSSGCRPLPSARLVGRWVQRPSCSLSMNGCGAAVAGAGAVAVRETRALPAAGAAGDAVGQLRAAVAALEADPPPASPSGIIRIEVPVRQRGDAVEWLHAQGDHLRARCFFSARAAAPLPEWPALAIGNGNGSGGGVGGGEQRQRPVSVAGVGSAVFFRGTKPFSLRDWRAIKRFLPRDCPLIRAYGAIRFDATSDYSVEWEEFGSFYFIVPQVEYNELEESSVLATTIAWDDSLSWTWQNAVKELQSTLQKVVLARLRAKMLISSAYSHLMLLHLLEIV >OGLUM09G07780.1 pep chromosome:ALNU02000000:9:11763544:11769122:-1 gene:OGLUM09G07780 transcript:OGLUM09G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPCLSNRRETKEHQVAVCRTDKHFGPQPVYSECQKDLIVLTAIQEMQYTFKHANSSTGSMQVSVIYLLHLASTTCRKYRCKLISK >OGLUM09G07790.1 pep chromosome:ALNU02000000:9:11769749:11771436:-1 gene:OGLUM09G07790 transcript:OGLUM09G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Mitochondrial distribution/morphology family 35/apoptosis (InterPro:IPR007918); Has 214 Blast hits to 214 proteins in 102 species: Archae - 0; Bacteria - 0; Metazoa - 110; Fungi - 69; Plants - 29; Viruses - 0; Other Eukar /.../- 6 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G33100) TAIR;Acc:AT4G33100] MVFGRSKSSSSTASPPPAAAASSAAAAACSELRAAYHECFNRWYAEKFAKGQWHKDDCVGEWHKYRACLEEHLEDKNLRQILLESETSAYYAQFDADSSSRKGGTSTK >OGLUM09G07800.1 pep chromosome:ALNU02000000:9:11793584:11811819:1 gene:OGLUM09G07800 transcript:OGLUM09G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLHVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRAAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >OGLUM09G07800.2 pep chromosome:ALNU02000000:9:11801201:11811819:1 gene:OGLUM09G07800 transcript:OGLUM09G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMIQELSHNSTVALKCPTAEAEAVMAAAAAEFRGQARLPRFAAPRRYELRLRPDLAACVFSGEASVAVDVSAPTRFLVLNAADLAVDRASIRFQGLAPAEVSVFEEDEILVLEFAGELPLGEGVLAMRFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPSELVALSNMPIVNEKIAGPIKTVEYEESPVMSTYLVAIVVGLFDYIEGVTSEGNKVRVYTQVGKSNQGKFALDVGVKSLNLYKEFFDTPYPLPKLDMVAIPDFTNGAMENYGLVTYREIYLLFDEQSSSASTKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSYLAVDSFFPEWNIWTQFLDSTTSALKLDSLAESHPIEVEIHHASEIDSIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKNLMTTWTKKQGYPVIGVKLKGHDVELEQDQFLLDGSSDSGMWIVPITLGCNSHDMQKRFLLKHKFSDIKGINSQYDDQDRQNSGNFWIKLNIDETGFYRVKYDDELTTALRNALQMKKLSLMDKIGIVEDAHALSIAGKQTLSSLLHLLYACRDEDDFSVLSHINSVTSSVAKISIDATPELAGEIKQLFIKLLLPTAEKLGWDPKNSESHLDAMLRPVLLVGLVQLGHDKTISEGVRRFQIFFDDRNTSLLPPDTRKAAYLSVMHNVSSTNRSGYDALLKIYRESTEVEERLNVLGILSSCQDKDIVLESLNFIFTDEVRNQDAYLVLRSVIIDARETAWSWLKENWDRITKTFAASAILSDYVKSIVTLFTSKEKEAEISQFFATRTKPGFKRALKQSLENVRISARWVDGIRGEAELAQTVHDLLIKL >OGLUM09G07800.3 pep chromosome:ALNU02000000:9:11793584:11801391:1 gene:OGLUM09G07800 transcript:OGLUM09G07800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPAPAGSADQFRGQARLPRFAAPRRYELRLRPDLDACVFTGDASVVVDVSAPTRFLVLNAADLAVDRASIRFQGLAPTEVSLFEDDEILVLEFDGELPLGEGVLAMDFNGTLNDQMRGFYRSKYEYKGETKNMAVTQFEAVDARRCFPCWDEPAFKAKFKLTLEVPSELVALSNMPVACETIAGPIKTIHYEESPLMSTYLVAIVVGLFDYVEGVTSEGNKVRVYTQVGKSSQGKFALDIGVKSLNFYKDYFDTPYPLPKLDMVAIPDFAAGAMENYGLVTYREVSLLFDEQSSSASFKQNVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLSVDSFFPQWNIWTQFLDSTTSALKLDSQAESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEVSGEPVKDLMTTWTKQQGYPVISVKLKGHDLELEQDQFLLNGTSGAGIWIVPITLGCCSHDKQKRLLLKHKHDNIKAIVSQCDSRQKGGNFWIKLNIDETGFYRVKYDDELTAALRNALQAKKLSLMDEIGIVDDAHALSIACKQTLSSLLHLLYAFRDEADYSVLSHINSVTSSVAKISIDATPDLAGDIKQLFIKLLLPPAKKLGWDPKDGESHLDAMLRPMLLVALVQLGHDKTINEGFRRFQIFFDDRNTSLLTPDTRKAAYLSVMHNVSSTNRSGYDALLKVYRKSAEGEEKLHVLGTLSSCQDKDIVLESLNLIFTDEVRNQDAYRVLGGVIIEARETAWSWLKFTSKEKEAEISQFFATRTKPGYERTLKQSLERVLINARWIEGIRGEAKLAQTVHELLHKP >OGLUM09G07810.1 pep chromosome:ALNU02000000:9:11814879:11820146:1 gene:OGLUM09G07810 transcript:OGLUM09G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEPPPPPAPAPAPAGLAAWLVARGVKRRAVSAKRSWPPLCGRFPAPPPPTAPVAGDDGSKRFEGVVANQGGAEGVAVSPDRSVPLSGGPTAASLLPPPPSIIAGDVGDNSVEGRGDVGDKGVHGVTEGNEEEQVASAAVSSAACNGALSHGPSQPEAERMEVDEGEGRETGEAQLPNDTDARLSDDQGMGEVLDVMPLAVAAPVSCGANVSNGSAENVRDVASLLMDREGGKGGCEFERKEVTSDRDGRETESRVGVGQLERTNDVHDGGRKKRWLMSVLNPPPKRRAISAIRKFPRDCGRAASTLAESGASMEELPLEATPISVATGGASMEDSLARTPISVQGASLVCGLDHSSEAIDGKTIEDDESSKVENRIQEFQVATNVALDDFEGAKNGSTHPNDSIAKPSPSHGFVERVNGKGSQQEKKLVARSAGDGKMVSKYEERLQKGTPETRMRDLVDVKAKKKILKSDKMNGALQNDARSSGDGKMKTKASSTQRGVVRSDMSLKQGDIARKVDATGKCKGGVNSLIKEATSGKHATTNGIEENDDRDLVGDRIIVQALMAPDKCPWTRRRKSIGGSSESRTPKLKKKFGRPRKELKDTTPRGEVSSEVASCKAIKHEAIEDKEDSYFEDEGNSKASYSDGEGNSKELVRGGKALVVCGGKKELCVTLPPSAPSGTDPRSKIRNLLIKFHAACRKLVQVEEQHKGNIGRIDIEAGKALKQNGFIKPGPIVGNVAGVEVGDEFNFRIELSFVGLHRPYQGGIDSTKVNGILVAISIVASGGYHDELSSSDELIYTGSGGKAIGNKAAGDQKLERGNLALKNSIETKTPVRVIHGFKGHSKGEASHSKSKQISTYIYDGLYMVVDYWKEGPEGSMVYKYKLQRIPGQPELALHIIKATRKSKVREGVCVPDISQGRERIPIPAINTIDDTQPTAFKYTTEVIYPHSYAKEPPKVCDCTNGCSDSNRCACAVKNGGEIPFNSNGAIVEAKPLVYECGPSCRCPPTCHNRVSQHGIKIPLEIFKTGNKGWGVRSLSSISSGSFVCEYAGEVLQENGDEHVETDEYLFDIGHHYHDEVWEDPKFEGILGLESSTSKTTEDTEGSKTTEDTEGSTIDASKCSNVGRFINHSCSPNLYAQNVLWDHDDMKKPHIMFFATENIPPLQELTYDYNYGKVEDKNGKEKVKPCFCGSPDCSRRLY >OGLUM09G07820.1 pep chromosome:ALNU02000000:9:11824444:11825027:1 gene:OGLUM09G07820 transcript:OGLUM09G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLRLFAGAAASAAKTKGEEMPQAFEYLASVHGGLMLVPSRSQQLQPPRSRNSPPWDQLGAKLDQIELIIVRHLAAY >OGLUM09G07830.1 pep chromosome:ALNU02000000:9:11825716:11830397:1 gene:OGLUM09G07830 transcript:OGLUM09G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29750) TAIR;Acc:AT4G29750] MALLFPPPPHALSPKPPFPSTLRSTRPLRLRRATAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYKVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLTETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >OGLUM09G07830.2 pep chromosome:ALNU02000000:9:11825716:11830397:1 gene:OGLUM09G07830 transcript:OGLUM09G07830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS1 / YhbY (CRM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G29750) TAIR;Acc:AT4G29750] MALLFPPPPHALSPKPPFPSTLRSTRPLRLRRATAAAAAGAGVSSSSPSTSSPEKAPALDVASGKRGGKKRRSLKPSFEKQAIRRWSARAPSQRASFPWQQQQQPGGGEGEAAGDQESGWSGSSTLQSIVDYFDFDYDSSDGDGDGDGDGVVVGGEAAEAQEDGPRPEPSFLLGSRPVSAPWMHGEEEPMTNQLVSDEEGLDGDGASEDEMGLVDGDGDEDEDLGSEEETLSESSDGEFSEDYAAPAANSSSMMDSVLDHVSSGGGFYRGTRRSSVNSIVNTMRNSMEESSRNAAIECPETEDFVQKLGPVLLPWEREGDVDRPRKRSNTELAERTIPEHELRRLRDVALRMKERMRVGPGGVTQLIVESIHQKWRVEEVVKLRFEGPPSLNMKRTHDILEERTGGIVIWRSGRSVVLYRGMNYNLRCVQSYTQTTEVNFDKRVSSNSVEPIHVEHKFQKSGADGLNRSAYIVNSSEKPTETFDIDSFLDQLGPRYKDWSGRGPIPVDADLLPGVVPGYKTPFRLLPYKVKSTLRNKEMTALRRLARQTAPHFALGRNREHQGLATAIVKLWEKSSIAKIAIKRGVPNTCNDRMAEEIRKLTGGVLLSRNKEYIVFYRGNDFITPKVRQVLVEKQEQAITWQDEEELARLKASASISVKPKVFKNPPVAGTLTETREAKSRWGDSINAELRKKEKNHMILTKHTSLLRNLKRKLILAKTKVIKAEKALAKVQEFLSPAELPTDLETVTDEERFLLRRIGLKMKAFLMLGRREVFDGTVQNMHLHWKHRELVKVLVKGKSFPQVKHIAISLEAESGGVLISVDKTTKGYAIILYRGKNYKTPQILKPRNLLSRRKALARSIELQRREGLNHHISNLRDKIWKLKSQLVRMQVAGEKPDAELLQTVEADLSKDDDKIEDEGEEAYLQTYISEDEEEPEDDQNEYL >OGLUM09G07840.1 pep chromosome:ALNU02000000:9:11831386:11838083:1 gene:OGLUM09G07840 transcript:OGLUM09G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAEPRRWAATYTKHVKQKRKAYQDGAIVLHRASGNLVLLDDAGGTVECRTLRAGEEVFPGASLAFQRHLVDVGEPEPHPGSGSSSAAASPASRGVHRGGASARARPSAVNSRPPRAFADPNTKGGGGGGGKDEAVGSSFQEWTALYTTQLTQKAKKFHDGVVRLAQVSSHAKQIILLDEEGGVLATRYLKSGESLETGKKCHFPNYLIEICEAKSVNKGCYFCIANTQVYKLIPRRNLWCKQDQGVGRIQVTKQDQVQRVNHSNLPVHRNFMCEMFVSTSTDLEDSKSSNTAGSSKPETSKIGVVDAGSSGSIMGSTDSGFKEWSALYTTQLTQKAKKYHDGVIKLVQVGSHAKQIVLLDEDGGVLGSRYLKSGESVESGMKYQLPNYLIEVCEIRKQKNDVESKHPSEVVLSQTGSANGHNTTDRTDGRNKSPKFVSPLKFNHFQKSRLQGSNGFNRPTVAKSIHTNMIDTLKFHDTQKAKPDFTVGYKTDLGKSTFSNLDDPHQFNDLQDGKSGSSTSFLRREAGRTTFGNTDDSLRTARDADHSKTASNISVINSSNRTIGVNMNSRMSHCATQLRASVLACLNLETLQPRNSICTTLQSELSGSAHPTYDHQTVMRPTTFDSLELDMVDTPTSDVSNAKEQSQGSTRNHQTESSKDSAPAMCTTSSDPPSGKGETADQVCILYNLSWKKTAVCEFKLSSDYRVVYEKCGSYPFLSAGDLTLTDDDCPSFDLGF >OGLUM09G07850.1 pep chromosome:ALNU02000000:9:11839509:11844032:1 gene:OGLUM09G07850 transcript:OGLUM09G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFTPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVVEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERAQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVPPGQERKDGTRKKGRKHNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >OGLUM09G07850.2 pep chromosome:ALNU02000000:9:11839562:11844032:1 gene:OGLUM09G07850 transcript:OGLUM09G07850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAETRAAWQRAANRCRVQEDAKRAPKLGCCPPSGQQHETNNGNQTNPQDCHIPNFMPLNWNAMNSNLPKDTQWWLQLQPNFGCQNVLASEDLNYMCGEVDVKKVESFTPVSKLEDINPKKTADPFEPPWIVSTAFMKQTYETGFEELKSLPAYSEMTLKCRGSATYLHEDKEHMDFKTFDPLYPKKPQTACYEMDAPWQENRKSRPWWQVVEADGLASVVAESEMHNVGKNELPRPTQRAHGSKLNNHENKDDYGPYTGKESPPVQYDTMLCSYSISSTNETNSSDGGGWQHQRNDARGGTQDSCSSDDRTPGSKPTYRSAAERAQLLDALRHSQTRAREAEMAAKKAYDEKDHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIAAMFPELPWIMLKEKVPPGQERKDGTRKKGRKHNKDSHLRKAVVFAVGVGIVGAGLLLGWTLGWLLPRL >OGLUM09G07860.1 pep chromosome:ALNU02000000:9:11844432:11847963:-1 gene:OGLUM09G07860 transcript:OGLUM09G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DWD (DDB1-binding WD40 protein) hypersensitive to ABA 1 [Source:Projected from Arabidopsis thaliana (AT2G19430) TAIR;Acc:AT2G19430] MAAAAAAAALAGMDARGWDEAAYRRGILRERDLSCRTLFRAVFFDHHDDDPDVLLAAASSDGSLASFSLSSCISSSSSHPTPQTHPDAAVSLVDPVCIVQAHSGPAYDVRFYPDSQQPLLFSGGDDGRLRGWRWHEMQSCLVPLSLQGDHLEPVLDLVNPQHEGPWGARSPIPENNAIAINKQEGSVYAAAGDACAYCWDVESGKCKMTFKGHTDYLHSIAVREANRQVVTGSEDGTARIWDCRSGKCTQVIRPVKNKTFEGSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNVDCHAPVQDLLFDRNQILAVGAEPLLSRFSINGTLLSQIKCAPHSAFSVSIHSSGMAAVAGYGGLVDVISQFGSHLCTFGCRSLDNCAVAAPTEMALDP >OGLUM09G07870.1 pep chromosome:ALNU02000000:9:11849327:11850807:-1 gene:OGLUM09G07870 transcript:OGLUM09G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDKVHDKETHGTSNDISHKTSVDKVKAPNLFERAKEEVEALVGAVHDKMEHNSSPHGNNADLHKDSKDESKVSMNKIETHKNETHGTSDDINENTPVERVKGPNVFERAKEEIEAIVEAFHPKKGSDK >OGLUM09G07880.1 pep chromosome:ALNU02000000:9:11852006:11854219:-1 gene:OGLUM09G07880 transcript:OGLUM09G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNLRVPSRPGLIFFRGPYTRSPGPGWGQFSARSTPNPRSPPVSIPLQPPPLSFPLSIPPSVAAACHLSFLASDSPIAGEGKVEGNSPDIKKQDKPVNRRKIAEFKSLGLEAVEKKDYLSAAGFYSEFRINLRMISL >OGLUM09G07890.1 pep chromosome:ALNU02000000:9:11852132:11867674:1 gene:OGLUM09G07890 transcript:OGLUM09G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G13800) TAIR;Acc:AT3G13800] MAGAGAGAGAIAALLRAAHVPPAPRARSIRGLAAARGLPPLVRATAAPIAPSSFCPYLPRLSSARSFSSSTSCSAGASLGGAVSTSPSEQEKQRQQSELIFLGTGTSEGIPRVSCLTNPSKTCTVCTKAAEPGNRNRRRNTSILLRHATPSGTSNILIDAGKLRTIDAVIITHSHADAIGGLQILLLKQATLLILIETTHHARFALELSKRNKNSFGTGLDCLRDWTNNVQPTIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEADTCDHRFVGQKYVNYKVIPLPVWHGQGYRSLGFRFGRVCYIRMLLDPIVLLQHTLDYHGPLRKLGKSNQRKHCLLNAQFTMRKGMMHLMDHEKVNNELAKLMETEGLDIQLSYDGLRVPVWL >OGLUM09G07900.1 pep chromosome:ALNU02000000:9:11858873:11863620:-1 gene:OGLUM09G07900 transcript:OGLUM09G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKRMLFIFQAMVCLCSFSLSQGNQQFSLRNLPTLQKASSFPAMRHETYDYIVVGGGTAGCPLAATLSLKYKVLLLERGGSPYGNRNVSYMENFHIGLSNMAPDSASQAFISTDGVINARARVLGGGTCINAGFYSRASSNFIQEVGWDEDLVNESFPWVEDKIVQWPKIAPWQAALRDGLLQAGVSPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVNRIVFNSQRGQLKPRATGVQFTDENGGLHQAFLNSNCDSEIIVSAGAIGSPQLLLLSGIGPKNDLRSHKIPVVLHNKYVGKGMADNPMNSIFIPTKSPPRQSLIETVGITEAGVFIEASSGFGQSPESIHCHHGIMSAEIGQLSTIPPKERSLEKAQKYANTKLNLPKEIFHGGFILEKIDGPLSTGHLALIDTDVKKNPAVTFNYFSHPQDLTRCVYGIKTIERILKTNRFSELSANTDGHSMERVLNMSVQANVNLIPKHTNDTESLEQFCRDTVITIWHYHGGCHVGKVVDQQHRVLGVSGVRVVDGSTFSRSPGTNPQATVMMMGRYFGVMILRGRLGRAAGV >OGLUM09G07910.1 pep chromosome:ALNU02000000:9:11871466:11877531:1 gene:OGLUM09G07910 transcript:OGLUM09G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G56900) TAIR;Acc:AT5G56900] MAAAAASPSPATTPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHADKIFHASYCRNGLEMGSSKQMLALAIPFIGFSHLSLGLSVVYLSGRKGLGGPGCYSQDDVDALRALAEEPGIISDPRGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >OGLUM09G07910.2 pep chromosome:ALNU02000000:9:11871466:11877531:1 gene:OGLUM09G07910 transcript:OGLUM09G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CwfJ-like family protein / zinc finger (CCCH-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G56900) TAIR;Acc:AT5G56900] MAAAAASPSPATTPRILLAGDANGRLHQLFKRVTSVNQSTGPFHALLCVGQFFSPDAGDGDGGGGGEVADYLEGRAAVPIPTYFTGDYGPAAPRLLAKAASSARGFSPGGIQICPNLFWLRGSARFTLHGLSVVYLSGRKGLGGPGCYSQDDVDALRALAEEPGIISDPRGYDPVVAELVAEIKPRYHIAGSKGVFYAREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSVDIHARPPNTTLSPYISPAKSVPVEETPKRPAEDADLQYWRYDVKKQRHGEAGGNRLCFKFTSSGSCPRGSKCNYRHDEEAREHYNRNVCFDFLNKGKCEKGPECRFAHSLSDEGAVRDTKPRSERRRVESSCWFCLSSPDVESHLVISIGEGYYCALAKGPLVPNHVLVIPVEHCSSTLKMPVEAEAELGRYKDALAKYFEKQGKIAIYFEWVSQQSRHANLQAVPVPLSKASSVKKIFHLAAQRLGFEFSVVNPDGDANRARELLRSECDSKSSLFYVELPEGSVLLHLVDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSKEEEIQMVDDFKQGFREFDPAE >OGLUM09G07920.1 pep chromosome:ALNU02000000:9:11878162:11878350:1 gene:OGLUM09G07920 transcript:OGLUM09G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDDTLAVDGGDRRLLRRRHCLGPRYRTLGSTLTSKEVFTWANSKNQRLLHVGDIDRTSK >OGLUM09G07930.1 pep chromosome:ALNU02000000:9:11880117:11881214:1 gene:OGLUM09G07930 transcript:OGLUM09G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSSTTNSSSSVSTADTSSSNPPPQHALHRQHIASSSASSGGGGAAAPLPPRAPPRVGVGGGGGGGGVGQSQACAACKYQRRKCNADCPLARYFPADEQRRFLNAHHLFGVSKIQKTLRDTPPELHADAMQALTFEANARASDPVGGAARVVVELCRQYEMLHAELAAVQHHLKLCRQQHAAAAAAAANDPLVANVNPLADPAAEMLFAGAVVPNQNDDAMVDAFYADQQTAGDGDQEQYLVKDEALAAQPPPQQPYEYLNYGTAGDEGSSHAWYTGNGGDADASPPMGLSDQLQQCQIGAAPPFDVKPELPATMEHGGSVFVEQPEQKILPAAGSSSSAAAHCQLELGCSSNAWKVGTHVIN >OGLUM09G07940.1 pep chromosome:ALNU02000000:9:11888068:11889222:-1 gene:OGLUM09G07940 transcript:OGLUM09G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRLLVILTWSLTRKTSWKLLHATSAKLQPGLHFTPTDASMENSPTSPKLPEPFLVFHIHVSAVETKTESFQVQIRPNPCAITILKTALYNAPIFLGWGCSDEEIGVFFSNSGHWLPTSIPRSPIVCRSTPSPFARCPQCRQAVPDALAIVQTATNLVWTLVSTLGQSQPIVPISSVYSAMSIRAVPTLHLGWCLWH >OGLUM09G07950.1 pep chromosome:ALNU02000000:9:11892796:11893599:1 gene:OGLUM09G07950 transcript:OGLUM09G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPWWWWWPLPAWLMPGSTAAWFVVLNVVVCAVAVLSSRARESLSPRRGGGGLARRASSALERVRSSFSIFSFPSASFYAFHPDAAEPRTPTPRKRSPVAAPPAASEPQTPTPPPRPSVAAPDTPPSQRPETEEEEEEDANYMSMDEAYALVMAARQRPPPTEEEVRRSEVDAKAEELVAEFQDDEQRRQRLDSIFNYTQMLKRPSPTEEGARRSEVDAEAEELVAELPDEQRRRRLDSIFNYTQMLKQRAAAGRRPQPAPAAAQL >OGLUM09G07960.1 pep chromosome:ALNU02000000:9:11894617:11897944:1 gene:OGLUM09G07960 transcript:OGLUM09G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10620) TAIR;Acc:AT4G10620] MLSRARRLHPTLQRILRPVPPPAHPPPPPSPPHRPVFSQTPKPFFPLLRRHLSTKPPPLQAPPEKSLAPAKVSSDPPAVSANGLCPGCGIAMQSSDPSLPGFFSLPSPKSPDYRARLAPVTADDTRISASLKSGHLREGEAAAAASSSSAAVGVGVEVEKEGKKENKVVVCARCHSLRHYGVVKRPEAEPLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHGSDWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHSRARAGAGGDLRLAGVHLVSAARGWGVRDLLDHVRQLAGSRGNVWAVGARNVGKSTLLNAIARCSGIEGGPTLTEAPVPGTTLDVIQVDGVLGSQAKLFDTPGLLHGHQLTSRLTREEQKLVRVSKEMRPRTYRLKPGQSVHIGGLVRLDIEELTVGSVYVTVWASPLVPLHMGKTENAAAMVKDHFGLQLQPPIGQQRVNELGKWVRKQFKVSGNSWDVNSKDIAIAGLGWFGIGLKGEAVLGLWTYDGVDVVSRNSLVHERATIFEEAGFTVSKIVSQADSMANRLKNPKKINKKKDNKANSSPSTDPESSNPVEAVDA >OGLUM09G07970.1 pep chromosome:ALNU02000000:9:11907263:11909173:1 gene:OGLUM09G07970 transcript:OGLUM09G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTMDYLSDLLGGGGSSSRRRYKKRKQFQTVELKVRMDCDGCELKVRNALSSMKGVQSVEINRKQYKVTVQGFVEPHKVVKRVQATGKKAEIWPYVPYTLVAHPYAAPAYDKRAPPGHVRRVDAVMPVASYGSAAAAAAPEERLTTMFSDENPNACSIM >OGLUM09G07980.1 pep chromosome:ALNU02000000:9:11917148:11921135:1 gene:OGLUM09G07980 transcript:OGLUM09G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKPPPILLLVVVLLRLLTTRVARRQPGELTTPPAANQVSSPLPFSPPPISSFRFASLPFPAAAAPLGPLRLRPLTNPRSPPLRRALHLVPPLWPPPDSAASVVVVFSSAAPPEPNPSSPPPYPRSPQQQLLLLLYQKSPLSAAPMPRLLPPLPRSPCDFAFRNGASVAEEAVFGWPSCGLLHQSLHPKDRQQSALLIAMDRQVRGDHEGINVVGFEVPTSPDSSYNNPVPGNEDEAREPPLVPPHLQHTLLSFPPSQDDSSSLPPPQNVVLNHLYIEKENSRSVVALGITHRFRAKFVTVVLYKPVQRR >OGLUM09G07990.1 pep chromosome:ALNU02000000:9:11921317:11924433:-1 gene:OGLUM09G07990 transcript:OGLUM09G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQFSATKRVAPEPSLNSTLQQVHAGFEIYQHSHGDKQRMQHKPLMLQGSISHMHHLVNIAEWWWVHDDAIVVRLLQEGKDAVYCAEDLLDELKYYELHYNLDRMQSQLPEYYEKIMKDIQEKIEEIDRLINQMGHLRLRDVRQLFTDELAFIPEVDPFDEKTIVGREEDLTELIRLLDLQASSSTSEQVTCLAAVPEINQVRPENVSVLPVVGSGGVGKTALVHHIFNEKRVKDHFDQLIWMHVSDGFDEKKLITRLLWSLTENEMRSDDLCCLQRFLSNGIIHHSKRFLLVLDDVQEDVCKEELNGWRSFLAPLKCARSGSMVLVTTRSLKVAEHLGTMKHFVLDGLTYESLWELFWMHAFGFHNSNCNQELEGIGRRIVARLNGSSLGAKILGRLLSLKLDAIYWKTILESELWDLPHREMISSNPALLLSYQYMPSHLRHCFSFCSLYPKGYSLEAEILVNCWVAVGLVAPYGDTLAADIGHLYFQQLVGRSFLQRVTSSKYVMHGLLYDMAQRISLNECFVIKGRDDFFRIPPKVRHVSILGHSGLSSSDLESLKAYKTLRSIVCISIDSNVITTSVLETWFDHLTNIRMLRFISCRLKELPGNVGNLILLRYLDISSCDFESLPDSFWRLYNLEILDAQNCRFHCVPKEIIKLMNLRKVGLKGDLMNQLGCVPGVGKLVFLQEIPYYAVDDTPGRGIHELKNLNNLRGALEISGLHNVTSKEQAAEANLDKKTHLNTLTLSWHDSIRPDKHNGEQEMEVLEGLRPNPSIKNLEIRFYMGSGFHPRWLLIDGQDFSSYPFDSNDFSILDFNDFSSYLFDFKTSSRLESLSISSCPNIANPFITETGSSSSSRGSSPVVFRSLTKLSITWCRKLMSLDNLLQPEHLPEIKVIRISNCEELASLPTNQLIKFTHLEDLEVSHCWSLSWEQGLTLPSSLKSLKLEACGELTDSVLRCGLRELPVLVSLELQFCSGVECIGGEIWSEMPSLQRLKIFCCQELSSIGGGESIARVESVDIRHCPKLRELEQPFQRG >OGLUM09G08000.1 pep chromosome:ALNU02000000:9:11925489:11941838:-1 gene:OGLUM09G08000 transcript:OGLUM09G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLSATSLVSNANEWFQFLGTAFPAASELLSRWRRRPERRRREDEEAERRQLQWKELDTKMKQLHCCMFDLPDLIHHAEWFSFVKGDREVANLLPELKARVHDAYDLLEEFNHHHQLLRLDPDAAAAGDDFLQSVVSGNTVREILVGFNYLRNTLGGIIDRRAHRSEPMQIGKLLRPAMSPFYDRSKVRSLENEVNEVLELLGVKICSARPHKRRIEEGETSSASKRNRRNSGTPSASTGASCRNQEITSDNVTVLAISGIGGVGKTTLARQVYNDERVKGYFDLRIWISVSDDFDVKRLTKELIEFALANWMQSDNLCNLQQSLTESIVKFRFLLVLDDVWDDVYANQDNRWQNFLEPLKSAQQGSAILLTTRSQRVADVVNENRHFRLEGLPPTIFDEFFEACAFGTDHCRVHPELNPIGKMIIPQLKRCPLAAETLGRFLKPMLDKEYWNQIAGKELWELKQEKYDILPVLRLSYLYLPSHLRNCFLFCSMYPKCHRFDKDILVSSWIAAGLVEFCKGGEIERDGYQYFEELLHRSLLQEDTRNPTDSMYVMHELIHDMAQLVSEHECFIVKGETDLSKIPQGVRHLSIIGSSALNEANLRTVCEYKGLRSIVCHGVESYTLTAAAKYWFEELTKIRMLGFLSCKLNSIPETIGNLKLLRYLNISECTFDELPPSFWHLRNLQIVDAQKCRVQHIPEDFHQLDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKKWKCDNCSKRYAVQSDWKAHAKNCGTREYRCDCGTLFSRRDSFITHRALCDLYDSTSTDNLELSLSTVGSHLTKGCRLGIWGQCISRLGIEKRSYAHGPPSILALECEIQRTGALNPFKSRLCL >OGLUM09G08010.1 pep chromosome:ALNU02000000:9:11951145:11953327:1 gene:OGLUM09G08010 transcript:OGLUM09G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAEHVGTLKHIFLKGLPEGTLWEFFRMLTFGPRNPKSNSVLEPIGRSIVARLNGSPLGAKLLGRLLRLKLEAGLWNSILKSDIWLSGDAPDSAMTWDTWFQPQSLHFPSVRLTYQYLPFHLKCCFSFCSMYPRGYEFDAETLVDSWVALGFVVPPGNMLAVDIGHVYFRQLVSRSFFERAPASSRSRYIISGLLYDLAQHITRNECFMIKGRGDLSKIPSKVRHVLILGNGDLSSSDIECLHIYKTLRSIVCIGVDCDIITSSVLGTWFDHLTSLRVLRFISCRLKELHGNVSKLILLRYLDISACDFHELPDSLWRLHNLEILDAQNCTLDVVPKDIVRLVNLQRLRLKDDLISQLGCVPEVGKLTLLQNMPYYAVDDKPGRGIQELKNMNHLHGGLDIDGLRNVTSREEAAGAELAKKIYLDTLVLKWHESIRPQKHNSTKEMEVLEALWPSSNIKHLEVKFYMGDGLSPMWLRHDELSSLPSLSINSCPDIATLFLIEPSETGSSRSSSVSFQSLTKLSITWCRSLTSLENFLQPECLPLIKVIQISNCEELASLPTNNLVHFVHLEDLEVCHCWNLNWEPGLALPPSLKSLKLEACGEFSDSTLSCLHNLTALTTLNLRFCPSIESISAQIWSGLWSIESLKIVCCQGLVTVGGSESIAGIKNVDIRHCSKLQDLEQPFRRGQADSQ >OGLUM09G08020.1 pep chromosome:ALNU02000000:9:11959994:11963231:1 gene:OGLUM09G08020 transcript:OGLUM09G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRRKRRTEGVVAPPPLGAERRLLSCLFLLGALFGFASAATRTRQDIRCQFISSGCVGNRMVAVVTSDGGETPIGTIFPAAVQGNTVDVAVSNDSLQVVTTASCNATHPERAPLMGFLYPLDFQAQRPKGDGATRFNLSVMSASRVHGATRTENSPQAAPPPPAAANSHHVAADLLYDHLQYSSNNGLEVAAATTTMIAVLIDLADKIKKKRSRRGKGSRPAAVAVAMALVMLLAVAALVNPTAAAPAAMTKQQLLLLLHRR >OGLUM09G08030.1 pep chromosome:ALNU02000000:9:12008118:12012796:-1 gene:OGLUM09G08030 transcript:OGLUM09G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRARVDMTRCSHRLLCSLFLSAALFGLASAATRRHDWDISYQFTSPDCVRKLAVTINGHTPGPTIRAVQGDTIVVNVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFAYTFIVDRPGTYMYHAHYGMQRSAGLNGMIVVEVAPGAAGDGEREPFRYDGEHIVLLNDWWHRSTYEQAAGLASVPMVWVGEPQSLLINGRGRFVNCSSSPATAASCNVSHPDCAPAVFAVVPGKTYRFRIASVTSLSALNFEIEGHEMTVVEADGHYVKPFVVKNLNIYSGETYSVLITADQDPNRNYWLASNVVSRKPATPTGTAVLAYYGGRRNSPRARPPTPPPAGPAWNDTAYRVRQSLATVAHPAHAVPPPPTSDRTILLLNTQNKIGGQIKWALNNVSFTLPHTPYLVAMKRGLLGAFDQRPPPETYAGAAAFDVYAVQGNPNATTSDAPYRLRFGSVVDVVLQNANMLAANSSETHPWHLHGHDFWVLGHGAGRFDPAVHPAAYNLRDPIMKNTVAVHPFGWTALRFRADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGELPPEIMGCGKTRGGH >OGLUM09G08040.1 pep chromosome:ALNU02000000:9:12031872:12033005:-1 gene:OGLUM09G08040 transcript:OGLUM09G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDQVLLFVCLRRVLLLYVAISAVAGEQNITEVVVGDEVVHAITKLQVGGGGVDSARNFTDVDGGDVCHREVHSITEEDGNVDDDEVQYAITNQGVGADGKLSSASQQVFYPARAEANTASAAAGKWRGHRMPTALQPELNSDDGHGQQFDRTDDQIQSSIADRLIATMIIVMLMVVMNGRASEINKKSRRATWFSPVAALTMLLLVVAASANSVTAAGPLAAAAAAGGGRNEAAVAAAASETMPLKAAAPGHSSCTTDPNTQQPVRCIPH >OGLUM09G08050.1 pep chromosome:ALNU02000000:9:12045646:12046956:-1 gene:OGLUM09G08050 transcript:OGLUM09G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSNPALISTLCCFTALLSLAFVCLLLPYVCPLDGDDDDAGLTSVWPVLVRTDAATLMHNDCRRVHPSTAGVVPTFVSAGSESQHHQLVTMIIIVLADLAADQRKKKKSGRMAPLLIVLLLAVAATTGCAAAEARGSRCTYDPNLPGRIRDCKPPTIKPHN >OGLUM09G08060.1 pep chromosome:ALNU02000000:9:12078579:12078740:1 gene:OGLUM09G08060 transcript:OGLUM09G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARVELDHRVDDNLEELKGCVDLGFEFSYDVIPELCGTAPVLELCYSMT >OGLUM09G08070.1 pep chromosome:ALNU02000000:9:12078776:12078982:1 gene:OGLUM09G08070 transcript:OGLUM09G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAAAAKQRLTPLARGSRTRWHRGRLGMREQEDSRPSLVESQRMTPAVRGCFIPNWMATGASAHSR >OGLUM09G08080.1 pep chromosome:ALNU02000000:9:12079839:12080525:-1 gene:OGLUM09G08080 transcript:OGLUM09G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVVLLDLWVSPFGQRCRIALAEKGVEYEYSEQSLADKSDLLLRSNPVHKKVPVLLHAGRPVCESLVILEYIDETWPPEPEKKKESPRLLPSDPYARARARFWADYVDKKLFDCQTRLWKLRAGDAAHEQAKRDMAEALGTLEAELGEGDYFGGEAFGYLDVVLVPFVAWFHAYERLAGFAVAEICPRLVAWGERCKARDSVAETLTDPEKVYEFALYLKAKFGAK >OGLUM09G08090.1 pep chromosome:ALNU02000000:9:12085351:12087098:1 gene:OGLUM09G08090 transcript:OGLUM09G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTQSSVANKRNTIGSRERTISAVQSPRADVDPTDRGIPIRRPRFPLPLLLSPPPPPRSSPPHTLAVAGRRLVLVVGGRLSAFWLLACVVVMMVGKRGRGGSKNNPMRRTTSMTEFSPPDVLAAVVEEEGEDLEAMMMVGQPGGGGGDGAQDWLASFGGEAGGGGGGGGAPGQGWLAAYRARAAPARAGLRRNSADYCAVETASFLRACGLCRRRLGPGRDTFMYKGEAAFCSLECRQQHMTQEEWQDKCGVTSMKKEAPAPANGRRRSSKTTTGGGTVAAA >OGLUM09G08100.1 pep chromosome:ALNU02000000:9:12091809:12155125:-1 gene:OGLUM09G08100 transcript:OGLUM09G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMTKPTTMAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGTNWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYLEADMSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCVQKNIGGLCNEAYVQKRMDRADEVDKSGQNLSATLHPSGRDDMSILSMQRLNDHLPNGPSSPVEMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADHRGYESVVHHLAGQYLNADRSGNIADARLKLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLTAWKILAIYQFDMAVYTKIFVKFPKRFWPEGAGREFFLYASTRRGYYGVWQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDSASQY >OGLUM09G08100.2 pep chromosome:ALNU02000000:9:12091809:12146328:-1 gene:OGLUM09G08100 transcript:OGLUM09G08100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYLEADMSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDANVLLVTVTDEESRRIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDRFFQGSFSNWPIGVSRYEHDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSAEILINCVQKNIGGLCNEAYVQKRMDRADEVDKSGQNLSATLHPSGRDDMSILSMQRLNDHLPNGPSSPVEMAVDYFTYDYEFAEPPRVTSLQNTVPLPTFTDFGDDTYFVADHRGYESVVHHLAGQYLNADRSGNIADARLKLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLTAWKILAIYQFDMAVYTKIFVKFPKRFWPEGAGREFFLYASTRRGYYGVWQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDSASQY >OGLUM09G08100.3 pep chromosome:ALNU02000000:9:12091809:12146328:-1 gene:OGLUM09G08100 transcript:OGLUM09G08100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPNGPSSPVDMVVDYFTYDYEFAEPPRVTSLRNTVPLPTFTDFGDDNYFVADQRGYEAVVYYLAGQYLEADMSGNIVDARLQLNKVVREISYSSTGVTVKTEDNSTYQADYVMVSASLGVLQSDLIQFKPQLPSWKILAIYQFDMAVYTKIFVKFPKKFWPEGEGREFFLYASTRRGYYGIWQEFEKQYPDANVLLVTVTDEERIEQQPDSQTKAEIMEVVRSMFPDEDVPDATDILVPRWWSDSASQY >OGLUM09G08100.4 pep chromosome:ALNU02000000:9:12146753:12155125:-1 gene:OGLUM09G08100 transcript:OGLUM09G08100.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEESMTKPTTMAIFLSIVLLSMAQLPSLVAGTGRPRVIIIGAGISGISAGKRLSEAGITDILILEATDHIGGRMHKQRFAGVNVEIGTNWVEGVNGEKMNPIWPIVNSTLKLRNFLSDFDSLAQNVYKDGGLCDAAYVQKRIDLADEADKSGENLSATLHPSGRDDMSILSMQRLNNHMTDMFYA >OGLUM09G08110.1 pep chromosome:ALNU02000000:9:12193525:12194292:1 gene:OGLUM09G08110 transcript:OGLUM09G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMARRGRSPRLYGCGGGVGRKMRTKRKELGCGGRVGRSLEATPSATEKAGLQKAASSGNTPQPQGSKITTTFYMRMQAPRSI >OGLUM09G08120.1 pep chromosome:ALNU02000000:9:12194608:12195171:1 gene:OGLUM09G08120 transcript:OGLUM09G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATAVEVRRAASGGGVNAAAFGRRMTRPAGGHCRVIRAAATSTVASGRGEDDYYKVLSLERPEEVGAEEIRRAYRRLALRYHPDACPPSRRAESTRLFLQLRRAYETLSDPALRVRYDAELMMMRVRRPASAARPAAEEDASSSSSSSSLARDVWEAQLRTLHARSDERRRHGAAGTTRRGRWFEV >OGLUM09G08130.1 pep chromosome:ALNU02000000:9:12198940:12201017:1 gene:OGLUM09G08130 transcript:OGLUM09G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRDFAKRYEAECRHLNQFFSGNVSPNNARPVLEIFTARSSQEMKQICRAYSSIYRQDLIQLLSQQKTTFARVACLRASEPCVRDADIARDALFGRRIDGDVLVEVVCTRPSGEVALIRQAYQARYSASLERDVSSRTSGSLNEVLLAFLGSSGYHGGRVDATMAMCDAKTLYEAVEISGRRVDQRSVLQLLRHRSGDQLRAVLASYRRLYGQELARALKRKEGDTGGGGGGRRGESSSFPGVLRAALRCAQLPERHFARAVRAALERGAGAAREALVRTVVTRAGVDVRRVNQAFAAKTGWTLESVVRNEFGGGGKSDDGLTGDLLVELLKLA >OGLUM09G08140.1 pep chromosome:ALNU02000000:9:12229342:12234472:1 gene:OGLUM09G08140 transcript:OGLUM09G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSVSKQDEDTALLICKDRLKHIEQAIDARYALSAAQLAYEQSLRGLGIALRQFVEAHKDDDDIERSPRSSCAIVSSSPPHRSDVNHMKSEASTSVTVTINTSQASSVQKEQSITAFLPPPLQLEFCSSWDFFDPTVVSENVASDASVNSQTFELRTLEDLSNPNEMGLASSIGNTSEIVQVQEVFGAPGWKQVHKNGNLPDLHHSNSNEIQMSGTHLPNDSSLEEELDQVQTQAIGGQNSNDVSDNIKSEANHINVNAPKNEDAKAIFITDSDSSKDFLSCVKDLERQFSRAAVSCHEVSRMLETKKIRLSISSQTKGSEKRVTKAITWNRSLSSRSSASKNPLTPAQMDDEFSEICSDFVEEFCMISGSHASSLDRLYAWEMKLYNELKGTESLKKIYDKKCVQLRHQFERDASARQVDKTRVIVKDLYSRLKVGTEVLYSISKIIEKLRDEELQPQLLELLKGLTRMWAMMHEIHRVQQTIVSSSDIVYVLRSPRGEPYKQPLVNLVNEMGFFYSSLTNWIAAYKRYVDGLHSWLQKCVLQPYDHTRGRRLTLSPRRHLAPPMFVLLDDWSSAIASLPGEETLGSIKNIMSDLKKMFKNHQAEGNKPETGSKLATLQAGLATMFDRLSKFSTAMSSLSESVKNSTEAAREAYAVGRSG >OGLUM09G08150.1 pep chromosome:ALNU02000000:9:12242651:12243528:1 gene:OGLUM09G08150 transcript:OGLUM09G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIDLSGEELMRALEPFIRDASGSPPVCSQFSPTSPFSFPHAFAYGGGLAQQPELSPAQMHYIQARLHLQRQAAQAGPLGPRAQPMKASSSSASAAGAAATPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHASVDAKLQTLCQNIAAAKNAKKSSVSDSAAATSSAPTSNCSSPSSDDASSCLESADSSPSLSPSSAATTAETPATVPEMQQLDFSEAPWDEAAAFALTKYPSYEIDWDSLLAAN >OGLUM09G08160.1 pep chromosome:ALNU02000000:9:12247345:12248228:1 gene:OGLUM09G08160 transcript:OGLUM09G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDSGTHCGATQRRIPPRGEVAAESSWERS >OGLUM09G08170.1 pep chromosome:ALNU02000000:9:12254144:12254752:1 gene:OGLUM09G08170 transcript:OGLUM09G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRGDAAGRLRRPVTMEAREARSTARPRQLGVAGECRRRVRQLEVAGEQQREEKTSGSPPPLRSLSGAEAEAMALLMLLSLETAAGGERQILLGERMAHAVGRARRAAPRTLEAAGYRDEFAAHPRRPRARLFLRRLRSNLLSFPMPSPAALPHWSRRDAPSAISPCTRGKKERREILAVL >OGLUM09G08180.1 pep chromosome:ALNU02000000:9:12271669:12274090:1 gene:OGLUM09G08180 transcript:OGLUM09G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B251] MAKASVVVPEQVGAAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >OGLUM09G08180.2 pep chromosome:ALNU02000000:9:12271669:12274090:1 gene:OGLUM09G08180 transcript:OGLUM09G08180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B251] MAKASVVVPEQVGAAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVNKYLYY >OGLUM09G08180.3 pep chromosome:ALNU02000000:9:12271669:12274127:1 gene:OGLUM09G08180 transcript:OGLUM09G08180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B251] MAKASVVVPEQVGAAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSASFSLQEPAEVMEFLLRLVEWNRLSRTRLRL >OGLUM09G08180.4 pep chromosome:ALNU02000000:9:12271669:12274127:1 gene:OGLUM09G08180 transcript:OGLUM09G08180.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B251] MAKASVVVPEQVGAAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKSWGALAETVRRVVREFPRLRLSQGRMVFEVRPTIKWDKGKALEFLLDSLGFADCSDVLPVYIGDDRTDEDAFKVNKYLYY >OGLUM09G08180.5 pep chromosome:ALNU02000000:9:12271669:12274127:1 gene:OGLUM09G08180 transcript:OGLUM09G08180.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B251] MAKASVVVPEQVGAAAAAAQVGCPCPGTTLFPYPPPRAGIAVRRKCLQAAQQLELGAGLRGGWVESMRASSPTHAKAAAALAAGVDEEHAAWMARHPSALGEFEKVVAASKGKQIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPASRHAAAKSPPHNKGVLFQPASEFLPMIEQVHDRLEQATRSIPGAKVENNKFCVSVHFRCVDEKVTDRSASSIG >OGLUM09G08190.1 pep chromosome:ALNU02000000:9:12283499:12286793:-1 gene:OGLUM09G08190 transcript:OGLUM09G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATREGGGGGGGRPPLRVGRTQEYRMGRETQLLAAEGSPPVSLFVLCGDRFEAARLFRSGGLSVRMARVEGHPVSMASCAVGDHHWMLSRDALVARLDARVFVFEMPGFFYAVVVPSDAGVGGGGAERKCATLAEIFSRFCSYHDLSTTQQGGGGRVCVRRRRRRRRRRRPRARARRRRASTHTHEVPPDRISALPDEVLRLVLLLLPAHEAVATCVLARRWLHHWKEAPGLSMDWWGYQELDRFISFVDRVFTLRSYNAPLNHCHFYMYFLRLVPGRERLFVRWIRVVQAVRGRKLPAPEKRPRDEASDAAGLRGGGIMGGGGGGGARRWCGGRPKKLGNTVGACGSS >OGLUM09G08200.1 pep chromosome:ALNU02000000:9:12299628:12300579:-1 gene:OGLUM09G08200 transcript:OGLUM09G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDCDAWCSASGGGGGGSVGSGSNEGSGAGRFCKLRWLYYGVVSGVPLAAIQKGVGVAIASSFVLLFCPVSCSTSYKCLGDGDWMVRRGDNYNKEQHWQDEGKLVGNASDGWGATLDLGPKCCCIVSELSIGIWWGKEQIKYGCNVDEEYRSLDSISRSTWCGSWYGRAR >OGLUM09G08210.1 pep chromosome:ALNU02000000:9:12302700:12303830:1 gene:OGLUM09G08210 transcript:OGLUM09G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKAAAGGGGGGGGKGVVATTTAPTDLLVCFPARQHLALMPKPICSPSRTTVDKAVAARRRRQQQQQLPAARSGGGGGGRGRGSTSSPLFRGSKAKQAAVEVDDEPQSPKVTCVGQIKVARPKKQRKVAGKPGNGGGGGGGGGGGRSWITVVEEIERLHEQRKKVSWLEAVGIRRDALPFLGGALRSLRLKVRCFGSLHGAVEPSTDDEDDDDDDDDGRGAEEHEAVSAGCGRSAASSVFSKWLMVLEGSEETPEQDSGDDEEEPEREDDDECSNAPPSAPPANALLLMRCRSAPAKGLARRRTEEPPPPAGEAVHDEGSAAAAAAGDDGAEEERDELVFMRTAPDFLKLSIDIAKETWIVGGVDPLARSRSWKR >OGLUM09G08220.1 pep chromosome:ALNU02000000:9:12309456:12313352:-1 gene:OGLUM09G08220 transcript:OGLUM09G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G39740) TAIR;Acc:AT4G39740] MLTPRVLKLSLLRRLGAASAARAAERPPPCRPRVFPARSNHSRGYSSEGGSKYNRPMRQFAEENEANPQPLIYYVVPSALLVFAGLVTFVHYNDEKRAVTQEAQQTSVPKRCTTNRPAIGGPFKLYDTENNEVTESKLRGNWTLMYFGYTSCPDIGPAEVQKMADVVKLLESKYGTKITPLFITIDPQRDSPAQLKAYLSEFDPRIIGLTGSINAVRQIAQEYRVFFKKVDDIGQDYLVESSHNMYLLDPCLETARCFGAEYEASDLAEAITLEIQKASKSSTN >OGLUM09G08230.1 pep chromosome:ALNU02000000:9:12314184:12316605:-1 gene:OGLUM09G08230 transcript:OGLUM09G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVPPSARLEESGRGFHLKISIGEGKATHPQALPLLAQRARLSPIIFLPSRVEVAYKSVATTNNTPSMHGHRAIASSPADHQARARHLAHSKSIHAVGLVREEDGSPLTRTRPPNVAILWHLDVAARGLSSRSKPVVDLQHSPHSSTVVVALGGLPESLLPQAVHPHCHRLTPKSLASNASLPVTGYHAEPQQSTVVASRAFDVHRPPFHSAGLPPPPRRSVSLLLPPPPARWRPGQIPPRVGGCCRVGAGFGGAVAGSTAALARVHRHCIGSTRGTLPRLASAKDGGGGGGFADGHFPSLRGHPAARANARDAAEKQAALAANEEEIRDHRRGDAAAASPAPSTVKEFRVYRWSPDAPSRRPHLQSYHVDLATCGPMVLDVLQKIKAEHDATLAFRRSCREGICGSCSMCIDGVNTVACLRPVDTDTSSATTVTPLPHMYVVRDLIVDLTSFYQQYKSVEPWLKRKTKTKTETTEHAQSPEERKRLDGLYECILCACCSAACPSYWWNAEAFLGPAALLHAYRWVSDSRDEYAAERVQALAEGWDKLYRCRMIKSCTATCPKSLDPAAAISAMKTLHQLGKP >OGLUM09G08240.1 pep chromosome:ALNU02000000:9:12318473:12318916:1 gene:OGLUM09G08240 transcript:OGLUM09G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGEVRGEARAREREKSQGGAEEEVDGLPVAGRRGLPASIPETQKRQAAAGTRDAEAEDEDEGSSRFCPPRGDDSPAHTRPTRAASQSGVARGGELEGDPAEGWPTSPTQCHRRPPPRPSETMTTTPSFRLASSPLGLLERER >OGLUM09G08250.1 pep chromosome:ALNU02000000:9:12326405:12331700:-1 gene:OGLUM09G08250 transcript:OGLUM09G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLGQAASVMQVTGVDAFGLVSMIVQAAHTARRNRDLCRQLAQHVQIVGGLLRKLQIPELRRQPETRRPLEQLDDALFRAYKLVRSCSQQQESRSQLYQMLKGADVACKLRAALEEIDRYIQLIPMITLVAAIAARREYLINSVLHNNKTFRPRLSPQGVTECTLSLKAVRPAVNGTVEESQAAGPRRFCYSELSRATRGFSNSEKLGADSNGSVYRGFLRDLLRDQGLHVAIRRVLNTSRYGMTSIGEVTAIHRLRHPKLVRLLGWCHEEKELLLAYEFMVNRSLHDHLHKVQNIALPWTIRYKIILDLGTALHHCHKGGEPQLVHGDINPRNVMLDSSFSVKLGDFCLTRLIEHCRSPSESRMCCGTNATEYADLHRLITGQVMPWSDVYSFGVVLLEVASGRRPFRPYGEESLVGWVWQMYRRNDLLDAADQRLGGDFDSREMERMLLVGLWCAHPDYGLRPSIGQAMSVLLTDEPLPEPLPPLMLPCDSLDNNRCIVSSTKTN >OGLUM09G08260.1 pep chromosome:ALNU02000000:9:12338799:12339023:-1 gene:OGLUM09G08260 transcript:OGLUM09G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWWYQSWQATDHNDGVESPKRKPSPVFRWTGSGYAFGRGNPLGGVVEVPSSLDEDLQVKPCPDFWTDNGGIF >OGLUM09G08270.1 pep chromosome:ALNU02000000:9:12365878:12366651:-1 gene:OGLUM09G08270 transcript:OGLUM09G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGEESLSSSMLSTMNPLLLSLASQGDCHGLNDYIRKMEATAAAAAFPNGNGSNNTMATQQAGSGAGAELGMDLEGVTHEGNTALHVVATCGDGPGYLSSAGAIYCSSQHLMLVQNNNGDTPLHCAVRAGHTQMVSRLINLVETDNSPSSASLEELLRKENCRKETAFHDAVRIGSEDIINKLFGYYSQLAGFLMDATGTSPLYLAVLLQRVDIAELLHRKTGGNLSYSGPNRQNALHAAVLQGQGTCATYFES >OGLUM09G08290.1 pep chromosome:ALNU02000000:9:12394988:12403063:1 gene:OGLUM09G08290 transcript:OGLUM09G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKDCSNKTSRGKAMVRRKSKSPAVSPTTLGDLPDKLLEHILVRVASPVWLARAAATTEFEVLRLPDSVRASELRAIIDGGNGDNDGKLRAVCLDEENVVRVFATLRGQNSNGEWVLQKSLRLAESTMGLAGYKAGYFRGPAAMVVAAASAGSVVLAPVEEMTWMFSVDLETMEIAECKEVSMAVYPCELPWRPTLRACVTRCERRGRGRCSHICICDDA >OGLUM09G08300.1 pep chromosome:ALNU02000000:9:12404384:12407817:1 gene:OGLUM09G08300 transcript:OGLUM09G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRLAHFSLSDDDDDDEDLLLPPCGGGAGGCVEDSGPTCGAIPDALVGVGGDRGSGADEVVVVGGGGGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEVVVGVEKAILVEDFA >OGLUM09G08300.2 pep chromosome:ALNU02000000:9:12404384:12407817:1 gene:OGLUM09G08300 transcript:OGLUM09G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRLAHFSLSDDDDDDEDLLLPPCGGGAGGCVEDSGPTCGAIPDALVGVGGDRGSGADEVVVVGGGGGEGGDEVVVGGRGDEEDRVVVVGEERGEKLGSDNGCVEGIREGIADSDRGEGNGEEGKEVVVGVEKAILVEDFA >OGLUM09G08300.3 pep chromosome:ALNU02000000:9:12405438:12407832:1 gene:OGLUM09G08300 transcript:OGLUM09G08300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYIPRCRSDAAKWSGVLPFSSDCSVRPSFQANNFLVITAYKPLIMGLIRYMLQVFRNFIPMSQQRRHLKLLVLDESWY >OGLUM09G08310.1 pep chromosome:ALNU02000000:9:12405504:12407074:-1 gene:OGLUM09G08310 transcript:OGLUM09G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLLAWNEGLTEQSDENGSTPLHFAASLLQRGIYNPLMLVLKADPVQLYKQDSEGLYPIHVAASSGANLTVKNFIRERPETAGLRDSKGRTFLHVAVDRGRWNVVVYACRTRSLARILNMQDNDGNTALHIAVKHENKAIICSLLMNRESKILAGYFYGWNPNKLILRALMFCNARGGCRRVDHLQEQYILHQKQIDEVRESDKMTNSTQTLGIASVLIVTVTFGVMFAIPGGYKADDHNNGGTPTLAGRYIFDAFIMANTTAFICSSLATINLMYSGMPMVSLPLRRRHFNISLFLAFSSVTSLGTAFALGMYLVLAPVARWTAIAIYTMMMIASLCLYTEPLNGVHVAKALYVRRGNRRFQQNMGTQDMDESSDAPDQRDRELTKTLLVSFF >OGLUM09G08310.2 pep chromosome:ALNU02000000:9:12405692:12407074:-1 gene:OGLUM09G08310 transcript:OGLUM09G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKLLAWNEGLTEQSDENGSTPLHFAASLLQRGIYNPLMLVLKADPVQLYKQDSEGLYPIHVAASSGANLTVKNFIRERPETAGLRDSKGRTFLHVAVDRGRWNVVVYACRTRSLARILNMQDNDGNTALHIAVKHENKAIICSLLMNRESKILAGYFYGWNPNKLILRALMFCNARGGCRRVDHLQEQYILHQKQIDEVRESDKMTNSTQTLGIASVLIVTVTFGVMFAIPGGYKADDHNNGGTPTLAGRYIFDAFIMANTTAFICSSLATINLMYSGMPMEGESGIAIDSTGSPYSNTAYILALCVNLWLGSDFNKIWAHKTWMSHQMHRTRETES >OGLUM09G08320.1 pep chromosome:ALNU02000000:9:12410355:12411674:1 gene:OGLUM09G08320 transcript:OGLUM09G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGGSLQSSSGGDDEFDSRGGGGGGGVDSSPLSALLRPSSSSGFSLHGGSMYGFQELGSVGTSLQHQQGVQLQPWSAAQFAAGAPSSSSPRVAADAGVAGAHHQQQQQQQGDPSSEGAGAGAAVAAAPARGSRKRTRASRRAPTTVLTTDTSNFRAMVQEFTGIPSPPFVAGVGAPAASLRTRFDHLFPSPASALRSAAAGDPASSLPPYLLRPFAQKLPTAASPFPPYTSSSSSSPLSTSTPSSSNLAAANANATTTSTAAATTATSVNPTAAAGAGDTFQLTPAALLRMQHDATSSSGSYLSFPSVLAAASQPMFGGFAQGGGGGGRLHDASPSPSFSEFLGGGISLTDGGGLMSSDTLHHHLPTRNDAHHHGGDELSGVVASGSCKLNYTSHAGAPSSSQAAAAADKPPDGSTAAARPARGEGLDPWICTSE >OGLUM09G08330.1 pep chromosome:ALNU02000000:9:12423755:12424930:-1 gene:OGLUM09G08330 transcript:OGLUM09G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGEEPRSSCMAANAPAVPMAARPMLATDRGERQDCTTMVGALASSGEASAAAKIEDTANPCMGSMDPLLLCLASRGDCCNINYFLNMESTPATGASHNVPESNYPSNDSNTTMATHQASVFQQMTQQSSGGAAEQQGVCHPAPSAESRLQIEISDRPIPPEILTLNPRLDLEGVTIEGATALHVVATCGDDSSYFRSARIIYDKAKHLLLAQNNNGDTPLHCAVRAGNPGMVCCLINLARKEDNSANSSRLMKELVRKENHCKETALHDAVRIGNDVIVKQLIKIDSELASFPLDGIGTSPLYLAIMLQRVNTAMLLFQNTEGKLSYSGPNGLKAWQTAVLQGKGTRGIYV >OGLUM09G08340.1 pep chromosome:ALNU02000000:9:12443932:12444495:1 gene:OGLUM09G08340 transcript:OGLUM09G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEGELLAGGEPVRALRLEDAGLEDCGLPQESIAEAFSLATMAVSSRLAHFSLFDDDDDEEDDHLFPPRGGGAGGCVEDSGPTCRVIPDALVGVGGDRRSGADEVVVVGGGGGEGGDEVVIGGRGDEEDRVVVVGEERGEKLGSDNGCIEGIREGIADSDHTEGNGEEGKEVVVGVEKAILVEDFT >OGLUM09G08350.1 pep chromosome:ALNU02000000:9:12445145:12446659:-1 gene:OGLUM09G08350 transcript:OGLUM09G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILLEWKDKGIEQQGDQNGGTPENEDRRTPEMFLDCPVERESYRNTLLACKKDLEETTDQYGSTPLHFAASLLSGRPLVQVLLHSNPIQLYQPNSEGWYPIHVAAYTGAEQTVCYFIRKHPEIACLRDSKGRSFLHIAVEWNRWNIVAYACRSPWLARILNMQDNDGNTAMHIAVQHGKKYIFCVLLRNRTVNINILNNKGQTPLDISQSNIPTGFSYLWNPEKMILLALTLCNASTGGQVGHFHDRYMPQQKQAGEEKESEKLTNTTQTLGIASVLVATVTFGVILAIPGGYKADDHFNGGTPTLAGRYTFDAFIMANTIAFICSVLSTINLMFSGMHMVGLRLRFGHFVICLFLAFSSVTSLGAAFALGMFLVLAPVARWTAIAICAMMMIASLCFYADLLNGASVARAQYVRRGNWNVDDILAMCDNLRLGSHFNEIWTQMSHQMHRTRVTES >OGLUM09G08360.1 pep chromosome:ALNU02000000:9:12450645:12460334:1 gene:OGLUM09G08360 transcript:OGLUM09G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAEAGRRTLALVNMAAIMERADEALLPAVYREVGAALHATPMGLGALTLCRSFVQAACYPLAAYAAVRYNRAHVVAAGAFLWAAATFLVAVSDTFAQVAVARGLNGVGLALVTPAIQSLVADCSDDTTRGTAFGWLQLTGNIGSVIGGLFSLMLASTTIMGVAGWRVAFHIVALISVIVGALVRLFAVDPHFCSNIQDDGGGDQLPPRKSPLEEMKDLVVEARAVVRIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNMTGLLTTSFALASSLGGLLGGKMGDRLAVRYPDSGRIVLSQISSASAIPLAALLLLALPDDSSSGFLHGFVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPIVGFLAEHAYGYNPVSYGAGSSGDRENAAALAKALYTAIAIPMLLCCFIYSLLYGTYPRDRERARMDTLIASELQQIELERCHRAGIGRRSKDGTVIDVEYGEEESGDVDDDDDEKALMRYHVEQSGSVGRKQITRPERERERERFLTGEDDGGEGMTAQQPEVDVERERRRTLVLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSAVQAACYPLATYAAARHNRAHVIAAGAFLWAAATFLVAVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDGGTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVFGIAGWRIAFHLVAIISVFVGILNWFFAVDPHFPAGNVGSCDRPVCKQSVWQVIEEMIKEAKFVVQIPTFQIFVAEGVSGSFPWSALSFASMWLELIGFSHKDTAFLMTTFWVASSFGGLLGGKMGDFLALQYPNSGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGIAYGIVLFIMGLFISWNGPATNLPICAEIVPEKSRTSIYALDMCFKSVLSSFAPPIVGILAQRVFGYRADDKGKIIPGIESVHVFDSANGELELTYDVKDLPDTEKDTAKLLANRES >OGLUM09G08370.1 pep chromosome:ALNU02000000:9:12493977:12495152:-1 gene:OGLUM09G08370 transcript:OGLUM09G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNGEEPRSSCMAANAPAVPMAARPMLATDRGERQDCTTMVGALASSGEASAAAKIEDTANPCMGSMDPLLLCLASRGDCCNINYFLNMESTPATGASHNVPESNYPSNDSNTTMATHQASVFQQMTQQSSGGAAEQQGVCHPAPSAESRLQIEISDRPIPPEILTLNPRLDLEGVTIEGATALHVVATCGDDSSYFRSARIIYDKAKHLLLAQNNNGDTPLHCAVRAGNPGMVCCLINLARKEDNSANSSRLMKELVRKENHCKETALHDAVRIGNDVIVKQLIKIDSELASFPLDGIGTSPLYLAIMLQRVNTAMLLFQNTEGKLSYSGPNGLKAWQTAVLQGKGTRGIYV >OGLUM09G08380.1 pep chromosome:ALNU02000000:9:12501650:12502276:1 gene:OGLUM09G08380 transcript:OGLUM09G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGELLAGGEPVQPPRLEDAGLEDCALPPESIVEAFSLAAMAVSSRLAHFSLSDDDDDDEDLLLPPRGGGAGGCVDDSGPTCGAIPDALVGVGGDRGSGADDDEVVVVGGGVGCCGDEEDRVVVVGEERDEKLCSHNGCIEGIREGIAGSDRAEGNGEEGKKVEEVGGCCGEDDLGGRFRVSLIGNSTTTSSRNDVSFFFPVIETH >OGLUM09G08390.1 pep chromosome:ALNU02000000:9:12504561:12508992:-1 gene:OGLUM09G08390 transcript:OGLUM09G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGSPVPVAAKLMVATDRDECQKLKDRIVMEDITTMVVATASSSEAFAAATALKNGEERRSSSIGAMDAKVLIATSPGDHELLKDTLNKGDAAAMLLVMASIKEDTGKYSKSSAMNPLLLSLASQGDCCGLNEYIRKMEQEATAATITFPNGNGSNNTVATQQAGSGAGAELGMDLEGVTPEGNTALHVLATCGDGLGFWRSAGVIYSRSQHLMLVQNNNGDTPLHCAVRAGHSEMVSYLIDLVETEDNSTNSARLEELLRKENCRKETAFHDAVRIGNKDIITNLFNYYSELPGFLMDATGTSPLYLAVLLQRAGIAKLLHQMTDGNLSYSGPNRQNALHAAVLQDQVMTKMLLEWNKGLAEQSDENGSTPLHFAASLLVRAGIKYNTVIPVLRANPVQLYKPDSEGLYPIHVAASSGANLTVRNFIRERLEIAGLRDSKGRTFLHVAVERGRWNVVSYACHNQSLARILSMQDNDGNTAMHIAVKHGNKAIFCSLLKNKENPDKLILWALKFCNARSGCRRVDHLQEQYILRKKQVDKVRESEKMTNSIQTLGIASVLIVTVTFGVIFAIPGGYIADEHDNSGTPTLAGSYMFDAFIMANTIAFICSSLATINLMYSGMPMPLNGFRVARALYVRKGNRNADYVLAMCDNLRSGSHLVDTWTQMTRKSHQMHRTRET >OGLUM09G08390.2 pep chromosome:ALNU02000000:9:12504561:12508992:-1 gene:OGLUM09G08390 transcript:OGLUM09G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNGSPVPVAAKLMVATDRDECQKLKDRIVMEDITTMVVATASSSEAFAAATALKNGEERRSSSIGAMDAKVLIATSPGDHELLKDTLNKGDAAAMLLVMASIKEDTGKYSKSSAMNPLLLSLASQGDCCGLNEYIRKMEQEATAATITFPNGNGSNNTVATQQAGSGAGAELGMDLEGVTPEGNTALHVLATCGDGLGFWRSAGVIYSRSQHLMLVQNNNGDTPLHCAVRAGHSEMVSYLIDLVETEDNSTNSARLEELLRKENCRKETAFHDAVRIGNKDIITNLFNYYSELPGFLMDATGTSPLYLAVLLQRAGIAKLLHQMTDGNLSYSGPNRQNALHAAVLQDQVMTKMLLEWNKGLAEQSDENGSTPLHFAASLLVRAGIKYNTVIPVLRANPVQLYKPDSEGLYPIHVAASSGANLTVRNFIRERLEIAGLRDSKGRTFLHVAVERGRWNVVSYACHNQSLARILSMQDNDGNTAMHIAVKHGNKAIFCSLLKNKENPDKLILWALKFCNARSGCRRVDHLQEQYILRKKQVDKVRESEKMTNSIQTLGIASVLIVTVTFGVIFAIPGGYIADEHDNSGTPTLAGSYMFDAFIMANTIAFICSSLATINLMYSGMPMVSLPLRRRHFSISLFLALSSVSSLGTAFALGMYLVLAPVTRNTAIAICAMIMIVFMCLYTEPLNGFRVARALYVRKGNRNADYVLAMCDNLRSGSHLVDTWTQMTRKSHQMHRTRET >OGLUM09G08400.1 pep chromosome:ALNU02000000:9:12509456:12516377:1 gene:OGLUM09G08400 transcript:OGLUM09G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNLSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKGLSETEKDTAKLLANRESGEEEAPAAGWMGLVPAAAAAATTWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGQRRRRRRSAWDEARELAGEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGAVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLVAANAAGGDTQATTELRHVELEEGSSGGGGGDTRRFELVGSGEEEEGGGERGDGDGDGDAGVYGSGEGEADADTVRLLASRE >OGLUM09G08400.2 pep chromosome:ALNU02000000:9:12509456:12516377:1 gene:OGLUM09G08400 transcript:OGLUM09G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIKEAKFVVQIPTFQIFVAQGVSGTFPWSALSFASMWLELIGFSHKETAFLMTIFWVASSFGGLLGGKMGDFLALHYPNAGRIVLSQISAGSAVPLAAVLLLGLPDDPSKGFAYGIVLFIMGVFISWNGPATNLSFESVLASFAPPIVGILAQRVYGYRPDNKGQSVQLDRENAASLAKALYTSIAIPFTICTSIYSFLYCSYPRDRERARMQSLIESELQQMEQEGSCLEEGDCRFQVVDSPHDDEIATIEVTNDVKGLSETEKDTAKLLANRESGEEEAPAAGWMGLVPAAAAAATTWEERRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLCRSAVQAACYPVAAYAASRHNRAHVVAAGAFLWAAATFLVAVSGTFLQVAISRGLNGIGLALVIPAVQSLVADSTDDGNRGAAFGWLQLTSSIGSIIGGFSALLLASTTVLGVEGWRVAFHLVAAISVAVGVLVWLFAVDPHFPAGAPGDGGQRRRRRRSAWDEARELAGEARAVCRIPTFQIFVAQGVSGSFPWSALSFLSMWLELVGFSHGETAVFTTVFAVATSLGGLLGGKMGDALARRYPDAGRIVLSQISAGSAVPLAAVLLLALPDDPSTGVAHALVLFVMGLIISWNAAATNNPIFAEIVPEKSRTSIYALDRSFESILASFAPPAVGYLSQHVYGFKPSGVGGGGAVERDRENAASLAKALYAAIAIPMTACSAIYSFLYCTYPRDRDRARAMQSLVAANAAGGDTQATTELRHVELEEGSSGGGGGDTRRFELVGSGEEEEGGGERGDGDGDGDAGVYGSGEGEADADTVRLLASRE >OGLUM09G08410.1 pep chromosome:ALNU02000000:9:12519989:12522240:-1 gene:OGLUM09G08410 transcript:OGLUM09G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSRQPLYLHFPLGCLLLLMHAPGATSLAFSFNFSSSGNLCGTAELRCERDASMSSDVLDLTKADNQGAVYNAGRASYSRPVPLWDNATGELASFSSNFTFQIRPINETVYRFCNITGDGMAFFLAHYPSTIPPRSFGQNLGLLNDSNHFGATGDDCIVAVEFDTYENVDWDPSTYHVGIDVSSIRSTAYTNVSENLASNQSIVTAEVSYNNLTGVLSAHVRINDDELYNVSAPVDMKVSLPEEVSVGFSAGTGRCLELNQVHSWSFSSTLKSKDPAMAPAPAPPTTFPSTRSTKKSKKVVIILLLSVLIPLMFLMACAAAVLHLWKRHKRVSARNDTMDSDSDAQLEREELERGVAAGGPRRYAYRELAAATSNFAEEEKLGRGGCGSVYRGDLALAAGGEGRPVAIKMFSMESSSAQGRKEFEAEVTVISRLKHRNLVKLIGWCDSRKGLMLVYDLVAEGSLDKHLYSRQRLLSWSERCHIILGLGSALRYLHGEWEQCVLHGDIKPSNIMLDASLSTKLGDFGLARLVDHGARWTTTHAVLGTAGYIDPEFVNTRRPSTESDVYSFGIVLLEIATGRPPVTDTPDGFFVLLKWVWNLYGQSSILDAVDPRLRGDDDNDDEHSELWQMERVLVVGLWCAHPDRSERPSIAQAMHVLQSDDVTQLPALPRQLYRTVPQLAITGRAYGSRSDEGSGGAVVQSSETTDGTALSFD >OGLUM09G08420.1 pep chromosome:ALNU02000000:9:12526996:12527595:1 gene:OGLUM09G08420 transcript:OGLUM09G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTSYNTKLGDFGLARLVDHGTGPCTTNLIQGTAGYIDPEFVNTRQRSTQSDIYSFGIVLLEIVSGRQPVVNHEGVPPFMLLKWVWGLYRRNMTLDAADGRLRGGDGLYERQMERALVVGLWCAHPDLGQRPSIAQAMHVLQSEDAKLPALSLEMYTAPPLNLGVGGNRGGDNSSNFSSGVPSSATTGTTRSTGSFVN >OGLUM09G08430.1 pep chromosome:ALNU02000000:9:12539506:12541101:1 gene:OGLUM09G08430 transcript:OGLUM09G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEQQQTKKRRRRPRRGGARAATLLLAYAALAMERADAALLPAVYREIGAALRASPSALGSIALSRSVVQAACYPLAAYLAARHDRLTVVALGAFLWAAATLLIAMAVTAALNGVGLALQIPAIYAFVADSVDGTSRGVAFGWLMVAGKVGTVGGTSLGLLMAPTSFLGIPGWRLAFLLLAAAGAVVGVSIRSFAAGNDAAAAAAASTTTTAKPVRQELQEFAREAKAVLRVPSFQVMVAQGLTGSFPWSALSFTAMWLELVGFSHGETAALMALFKVATSLGALLGGKMGDAMARRFKNSGRIVLAQVSSGSAVPLAAVLLLALPGNPPAAAKHGAALFALGLMASWNPSSTNGPILAEIVPPRSRTSVYALDRTCEAVLASFAPTVVGVLAERLYGYDLAGGAAVEAERRNAASLARALYTAIAVPMVLCCLIYSFLYCTYPRDREAAARAEAAVAARGDGGARPDGGEGSDTEDEGEDERKLLPQ >OGLUM09G08440.1 pep chromosome:ALNU02000000:9:12546493:12547746:1 gene:OGLUM09G08440 transcript:OGLUM09G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRGRRVAVVMMLALLVLCFHAAVCASASPAVVSRKANLSGRKGGLAAAATAERALKKRMVAAVAAAAKDQSGVLKHGKKLSSGDIVTAKTATTKTPVTANTKLLKEDKAAKLTRKSPEYMANTKTKKAFESNAVDVMKIEELGEKAQAAAATKTRKSAEEVAAALAEQDGAEDLISEFRELPARLQETLVPDLARLSSTSRAYLSAANAGIADGVRPLLGGRWAPVAATAASAAVLLLPLCLLAALVRRVGAYLPLLRRALLLAQAYLAIYFATLAVAAAATGLEPLRFFHAASPAAYAWTQAAQSLGYVAYLVLQMVDLVAAFSSSPGGGAGAGEDASLSSRALSLAQMMVGLAVGLHYYAAVFHRAAAGEAPRATWRVHAVYAACFVVVCACARAERRKKAYLAGAAEPWKKS >OGLUM09G08450.1 pep chromosome:ALNU02000000:9:12551345:12558039:1 gene:OGLUM09G08450 transcript:OGLUM09G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPRDRASRAGRKNRAVRAGPASASASAAAVAAAAAAPTASDPDPSGEDAAPWGRASADELEDRLLRRLEDAYAAALARLADLGYCEEAALHAVLRAGHCYGKLGDPVANIVANARVFLSDPDHAGGAGGFADLRRLEEYSLAGLVCLLQSSRPTLSRAEAMWCLLSCDLRLDQAISMGANLNEKPTPAIASAESDELPPPAAAAPGQRGYCHYHTTTASATPDTALFDPDNFMRLAMRQGPGSVSGVISCIKTTWSRSNGIASDAQTNQPVTMKLSTEEIIDSIVKELKLLDIDKKDAPDVKPDPKNEMVRDLIKQTREMEAQLKERKEWAQQKAIQAARKLGTDLTELRVLRMQHDENQRRKKDKQEMEDETMKRLTQLENELKKKSGQLDRSNATVQKLEMENAEIRAEMEAAKLSASESERQCQKLVKKEKKDSKRLEMWDRQKAKLQEDIAECKTKITQVDRELAEINKAIRNMEMKIREDTKAKEENLALAEQEHAKRESAKANAERRLEEIRQKTEVESRCFKDDIKRLEDELARLQKSMGVNHPTVPSTHPPGVADRNSTRAPKQPTNQRPSPASNKQSQAPTQKASRRRDCVICKREEACVILLQCAHHVLCVGCNKRHEEKGVARCPCCNAKVEERIRVFGASSN >OGLUM09G08460.1 pep chromosome:ALNU02000000:9:12558709:12561896:1 gene:OGLUM09G08460 transcript:OGLUM09G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPGKGKEVVVAEEEERKEEEEEEEEEATCDELEEQMEFLRRLDIGEEREQEAARWWRRREDAATTSGAAGWWRREDVATTSAAAANRRVVPAFGGVAMTPGRIWADGNAVAGPPAPTPPWTPRPRRHGGMLGDDRHARGGHVLLGSHELQVPAASASPSSSISRRAAAAANAGWRFAGADTPQLVVYLANNEQMVLHTLFHAPLNEAHLVAEVIVDHAADIMESIHGQRLLSCVLHSCCCELHEAIVAKITQHRDRSDGVVTMIRSCRSLKSCQLVRNAIVPWVGRRSKMQSLVTDSDKLRVIQACIQCFPADIAKVLVDAVVENCIEIACHLNGLLFLQNCLGHITLEEKYKIFTQVCINSVYLAKHRSGNYIVQDVLEFGHPFHLEIITSCFKTHYVDLARQKYSSRVVEKCLKVFGDLEQYSIVCELILDLDHFRDLVTDEVANYVISTALLACMVPVRDILANTIISLQDVNRHHPHCLKIFDILSRLGYMQ >OGLUM09G08470.1 pep chromosome:ALNU02000000:9:12564621:12567017:-1 gene:OGLUM09G08470 transcript:OGLUM09G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGDISLGGAGEEHEAVVRELACRHELTTLLRALRGQGQGQVEATTTFILGEPLLIAIRPVFLVNGDGGDAARALAWLDAKPARSVVYICFGSLTRFPHEQG >OGLUM09G08480.1 pep chromosome:ALNU02000000:9:12568074:12568367:-1 gene:OGLUM09G08480 transcript:OGLUM09G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFQRELPADGKKLCYICGDDDGSHEELRCPFNYMYYHMSDEDASAGTCEGSCSAGKHPMAESVVVYGSGRCREFLRCVVRVNNFPTKLRPWDPLL >OGLUM09G08490.1 pep chromosome:ALNU02000000:9:12574521:12581455:1 gene:OGLUM09G08490 transcript:OGLUM09G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CD2-binding protein-related [Source:Projected from Arabidopsis thaliana (AT5G09390) TAIR;Acc:AT5G09390] MEPPPRGTKRPLPADADADAAGGDDDDGALPGERKPRFPKGKKAKYRDPAAAAAAEGIDGLINPELAAERRARRRHRKDEDDQQGVASDVRGFEVRYEDSANLVDDGIRLEPFNLEQEREEGYFDENGNFVEYARGNDINDAWLDSVEVDTKYAEKVQKKREKEKEEEFQDLSSDDIGKIKRRIANILEPGETIIQALKRLKNTSSDKRGKMTEGTKRIFDELTEAAMKLMENGEYNVYSDDRETFEREAAGYERLARARLGLPEAEEDMFADSPKDKTTASLLDMEPGPSAAHTSTTTTTSKEDDSDFDMFGDDDDKTDVKRDSDANAVGSGSNPEQVSHDANETSGAEKGENGSVSSDYVYDPTSGYYYSSSTGYYYDSTSGCYCSASTGTWYSYDEQTGEYKEIQSEQASTVNETPGDGIKE >OGLUM09G08500.1 pep chromosome:ALNU02000000:9:12584390:12584662:1 gene:OGLUM09G08500 transcript:OGLUM09G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYEYEHWHHHHQSVGVDEEEAPPVHLLAVDAFLEEAVPADMVAAARREEEARLRRGGRPRSREDGMKEMLRLWAKSVARKAIASVVVN >OGLUM09G08520.1 pep chromosome:ALNU02000000:9:12595636:12596041:-1 gene:OGLUM09G08520 transcript:OGLUM09G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLLIWNNCLPLLLCPTHVWLFWRLTAPRLLTEGEIPLSIVGAALPVISEPDEVKDIFVCESGTARRPARVPVVSFNSRSPLPPASGRARLPHLGSGGSRPAGTPSSSSSIEVESDDISSADSPQTGQLN >OGLUM09G08530.1 pep chromosome:ALNU02000000:9:12598603:12601356:1 gene:OGLUM09G08530 transcript:OGLUM09G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAEHLLGLSSAPVDWEAESYPGYGDFAVLPFLVAFFPAVRFLLDRFVFELLARRLVLGKGYDKLAETDESRKKINKFKESAWKFVYFLSAELLSLSVTYNEPWFKNTRNFWVGPGEQIWPDQKTKLKLKAVYMFAAGFYTYSIFALLFWETRRSDFGVSMSHHLATVVLIVLSYIFRFARVGSVVLALHDASDIFLEIGKMSKYSSCEGLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHKFYGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVKQIQSRGRIGDDVRSDSEGEEDHED >OGLUM09G08540.1 pep chromosome:ALNU02000000:9:12601718:12609682:-1 gene:OGLUM09G08540 transcript:OGLUM09G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLLRCLQRGVYTNTNPPLLSASLLFPPPSETTKSNRRGGSGERRIRRRRPPIGARHHLPREVFGSRSPEEESWRGQCGFGYLLGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTAWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQRRKVIFIPLVGGPAEPNRGSPETRGRGRWSSPAASEGMGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >OGLUM09G08540.2 pep chromosome:ALNU02000000:9:12601718:12609682:-1 gene:OGLUM09G08540 transcript:OGLUM09G08540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLLRCLQRGVYTNTNPPLLSASLLFPPPSETTKSNRRGGSGERRIRRRRPPIGGQGGSTMGGKKAKTVERNDHRLLCSDVLTEVFHRLPARTLASCRLVCKSWMSELTDPHFVHEHLKRSQQKLLLFANDKANDRSLAMVLADDTGATYQLTRPMASRSLFVHNSCNGLLCLGDSTGAVQLLNPTTGESATLPMPMYTAGSSQFSSCNWHCLGFCPSTKEHKVVHFYLGAHFDSFNVCCEIFTIGDKSWRQIGSFHGAPTDRGVHVNGAVYYLTKFRYIASSRINCLNLESENFDVMMLPPRKSYGGHCSLAELEGKLCLLVVEGGHDNPPRTMDILMLDSGDKTAWTHRYHISLPWLMPSCYFTPKHTLFHEGKIWVQLLARNLYCYDPSSSSTELKMACPESEFPFSTHTFIESIVPLRKDYFIKQIQRRKVIFIPLVGGPAEPNRGSPETRGRGRWSSPAASEGMGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREHQASADAARGRSPSLASEDGTYAMKKVLIQSKEQLDLVKEEIRVSSLFNHPNLLPLLDHAVIAVKNQQGDWNHEAYLLFPVYIDGTLFDNAKVMQSRKEFYSTIDVLRIFQQLCEGLKHMHSFDPPYAHNDVKTGNVLITHRKGQAPLATLMDFGSARPARKEIRSRAEALRLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMYNVSPFEYALGESGGSLQLAIVNCTLKWPAGPSPPYPDALHQFITWMLQPQPAMRPHIDDIILHVEKLMEKYSS >OGLUM09G08550.1 pep chromosome:ALNU02000000:9:12614194:12615989:-1 gene:OGLUM09G08550 transcript:OGLUM09G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0041) [Source:Projected from Arabidopsis thaliana (AT5G20090) TAIR;Acc:AT5G20090] MSTAVKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMISGNMTAGLFMRFAWMVQPRNYLLLACHASNESVQLYQMSRWARAQGYLEKKEPEAQQ >OGLUM09G08560.1 pep chromosome:ALNU02000000:9:12622834:12627963:1 gene:OGLUM09G08560 transcript:OGLUM09G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTCSAAAAAASSLVKLLVLVAAVAATTSAGGGDEPTYETKSIDPSLAVMMLPAPVTAPESLAFDGRGDGPYTGGSDGRILRWRGGRLGWTEFAYNSRHKSVGVCSPEKKLVVPESVCGRPLGLQFHHASGDLYVADAYLGLLRAPARGGLAEVVATEAAGVPFNFLNGLDVDQRTGDVYFTDSSTTYRRSLHLLVVATGDETGRLLRYDARRRRVTVLHSGLPYPNGIVVSDDGTHVVVAHTGLCELRRYWLRGPRAGKSETFAEVPGYPDNVRRDGAGGYWVALSRGADSDDVVPTVAVRVAAAKNGGAAVVAEALAGFSFVTVSEVAERNGTLWIGSVDTPYAGAAVVGRR >OGLUM09G08570.1 pep chromosome:ALNU02000000:9:12630765:12632392:-1 gene:OGLUM09G08570 transcript:OGLUM09G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPATAARRDVISGQRRKARSRGALGVVAVGYSYAKEDQTSTFTCPAGTNYRVDFCPPTSGVMAGDDDGVRGHGLPRLSCRICASAAMVMVLLPPPATASRGGDRRELLNFRGFGVSLPITAGHGEDRY >OGLUM09G08580.1 pep chromosome:ALNU02000000:9:12633767:12635093:1 gene:OGLUM09G08580 transcript:OGLUM09G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMLPFEREPPAADGNKKLCYICGDDDGSHEELSCPFNYMYYHMSDEDASAGTCEGSCSAGKQPMAVVSGSGRRREFLQCVVRVNNFPTKLRPWDLSWLCKPFGPLRMYHLVMRNSKFSRGFGYAIFSSRQHAESAIEKLNGRIIHGRKLRVDWAYPCI >OGLUM09G08590.1 pep chromosome:ALNU02000000:9:12646149:12647253:-1 gene:OGLUM09G08590 transcript:OGLUM09G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIRCGHSKVKEGEKRNRRFSVLTRNLLHIAIYNINYIRDLFSEKYFNDKSVPGIGMKLKKLMPMDAE >OGLUM09G08600.1 pep chromosome:ALNU02000000:9:12655041:12658339:1 gene:OGLUM09G08600 transcript:OGLUM09G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPPIVMEAKKKCPSAAMWPCLSPRAAVLIALLLLPAAIAAAAAAAGGHGEEMKSIYAGPKVVPVRLGRPAFGPESLAFDHRGGGPYTGVSNGRVLRWRADRRRPGWTEFAHNYKHATVAECAARKKAAAAESVCGRPLGVQFDRRTGEMYIADAYLGLMRVGRRGGMAEVVAAEAGGVALNFVNGVDVDQATGDSVKLINPDYLLVVLSGDATGRLLRYEPRTGNVTVLESGLAFPNGVAVSADGTHLVVAETASCRLLRHWLRGSNAGATEVLADLPGYPDNVRPAAADGGRGASYWVALNRDKAWTVNGTTPASVAAVRVVVDDGGGKVDVALRGFGGATVSEVVERNGSLWFGSVDTPYVGLLKLTSL >OGLUM09G08610.1 pep chromosome:ALNU02000000:9:12659465:12663265:-1 gene:OGLUM09G08610 transcript:OGLUM09G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enolase 1 [Source:Projected from Arabidopsis thaliana (AT1G74030) TAIR;Acc:AT1G74030] MAHRLLLPTNPLLPPGTGTATPRRRPVAATVRAALATSAEKARAAAGAEVVRSIRARQIVDSRGNPTVEVDLVAGDGRLHRSAVPSGASTGIYEALELRDGDGAAYGGKGVLNAVRNINEVIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKEVPLYKHIQELAGTKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFSEALRMGSEVYHALKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYSGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLCDLYKEFVTDFPIVSIEDPFDQDDWSSWASLQSSVNIQIVGDDLLVTNPKRIAEAIGKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >OGLUM09G08620.1 pep chromosome:ALNU02000000:9:12664447:12668609:-1 gene:OGLUM09G08620 transcript:OGLUM09G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G52640) TAIR;Acc:AT1G52640] MRSAARPLAALRRAPPRPILLLLGRLFCASPQAGFGGGDPGPADADADADADAAGAGVDVDARLVGSLCRVLSDFRGPRHDLPAALRGFAPRLTPGDAAAVLRRCRHLPLPSLRFFLFAGELPGFSHHPDSLLVLANSLAGARLFPLLRSLLSDLPPSALSRGLFPLLFRAYSRARLPEDAIRAFSSMAGFGFPPTIADFHSLLFALSRNGLVEYGERFFRESSAQFDVSAKAYTILISGWAVVKKPENARSLFDEMVERGVELDVHVYNALIDALCRGGDITSAQEQLSNMQKSHGLVPNAATYGPFLHAACASKDVRAALRVLDRMHTHALTPNVFTYNAVIRLLCDLGEINEAYNILDEITTQGEKPDVWSYNTLLNAHCKLKEVNKALRLISRMDKELCPPDRHSYNMLLKMLIGVGRFDTAIEVWDGMEKRGFHPGAATYAVMIHGLASKKGRAEDACSYFVRMVDEGIPPYQATCEVLRDRLLMLGLRDQLGVLTDRMRRSTSYTIQEMSTIMCRNQYGLRALIAEPGRYDSICTKPPNPDVLCGYISLAIHSTFLRVKYLLSCNQLILKYGHKFHRCIHTFGLLYSVFFNCTALDEMGTKIDELEQSVNDLKAEMGTDVPTKKADEAKPADST >OGLUM09G08630.1 pep chromosome:ALNU02000000:9:12673993:12675333:1 gene:OGLUM09G08630 transcript:OGLUM09G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASTPRHHLPLPKRIFAYALYALLPLAALHYLLLSPPPPPLATTSTSTTPPVAAVAVARKAAPRCDYSEGEWVRSASAPRYNGTSCGATIKGGQNCMAHGRPDTGYLHWRWRPRGGGGCALPPFAPGEFLELVRGRHVAFVGDSLARNQCESLVCLLASGFPAELVRGGNGGDGGDGDEARKFRRWVFPSHNATVSVFWSPFLVNGTEKSSSSSAAAGAGGLDHNRLYLDQPDERWAGELDGIDVVVLSAGHWFLHPAMYYERGEVIGCHHCPEPNRTETGFFGVFRLAVKNALREVITRAARSPSQQQKLAVVTTFSPAHFEGEWDARDACARSEPYAPGEKKVGYMDREMWRSEAEEVAAAVADARVRAPGRVEVEALEVTAMAALRGDGHPGPYMNAFPFAGGERARVPNDCVHWCLPGPIDTWNEILLQLVKRWRDSSSK >OGLUM09G08640.1 pep chromosome:ALNU02000000:9:12676574:12680201:-1 gene:OGLUM09G08640 transcript:OGLUM09G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGTAPSAGGGAAVPTPSAAAAVSTTTTTPPGTPRATAASPQAGYYAVELYFDPALENQVLKAWNALARRQLSSRLIDAASRPHLPLLHLPAASLPDPLRLAPSLRALASRLDPLPLALSSLASPPSSLDAGVLFLAPTPSAALLGIHAQLCELLRKDAGVEVPDVFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVGPVVRELVSYPLGGSGGAGAD >OGLUM09G08650.1 pep chromosome:ALNU02000000:9:12681961:12682320:-1 gene:OGLUM09G08650 transcript:OGLUM09G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFAALVSGRRAASAAAAAAAEVEVEEERDEAYLRIRLEEIVIVKNDAHDDALSAAASASAARVANNGGGAVAASSMEKRCACGDAAIDAAPAPGWGSATAAAARGAWTTVTRIVGLD >OGLUM09G08660.1 pep chromosome:ALNU02000000:9:12684144:12686778:-1 gene:OGLUM09G08660 transcript:OGLUM09G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G24030) TAIR;Acc:AT1G24030] MFNNIVSSWNKRRRSKSLDQLNPWVYKPAELWHWQMKEQGTAAAALPPPPAKKRSSCSMVFTLKEMEEATNMFSERNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVRMDWPVRLRIALGAARGLAYLHSTTAVGVPVVHRDFKSSNILLTEHFEAKISDFGLAKLMPQDIDLYATTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGTPEQNLIVRMQQVSGDRKRLRKVVDRDMARSSYTPESVSMFAGLAARCVCFESAGRPSMADCVKELQFIMYANMKI >OGLUM09G08670.1 pep chromosome:ALNU02000000:9:12697410:12700750:1 gene:OGLUM09G08670 transcript:OGLUM09G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSTPPPPMYTDEFALEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSKLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >OGLUM09G08680.1 pep chromosome:ALNU02000000:9:12700826:12708911:-1 gene:OGLUM09G08680 transcript:OGLUM09G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPAERRLTVADLLRIRRPTTGAASLVSSSTSPSPSTSTAPPPHKKPRLPAAAPTPTQGTAPFAPIPHRVLLAGALSLPTSGSPVACRSHCLSLSDSPPPAASASASVCCYLLDFDPDAVGREIHVLAWNYLPSLHHGGAGVLEVVRWRLAEEGTPAPGSGFLKTIPLDCVDAEPDSGTHGHVFGVVRSVSVVFSVPRAGQKSNAGGGDNSVGFIAEMMCCACRRCRVLPPESDQDHKFELEKFVYFVDSASRWRPVLARMVGRPVSVSGLKKRLVSIDRKGSYTMLVSTRKTMLRWCPSYPAVLKLDGSPGDCGGVYTGVVTGIYMQRMLVELDETVWLLIDDQHLAPSHSLRVGAVISVKNGRAICLKLAWTRTLLLGTCIKTSITINSFSLVDSKSYIKAEDKGLLGKFVDSFELPARFWMLILIPCFKQKFTKLFSEKEILGSKNHDFFMKFSNHNCGSPRAELNLETFKLVIPFANFICKCESLWILTMLKIWNGTEEMDKNQGAHQYLCDGISYPGTAKKLISSSDLSSVLVGRIKRSSVSGTLQLVDATGCIDVVLPDLPPNVCMDSIYEINDYKVVLEGPMAYLDPYDVTDPLSCKAICEHLSFRKRLNHLKIYVIINWSELNRIGPSSIPLQINACAKMFHLLKLTHIFPANKTFQHQNLSGPSLYAEAVILPYDLKFTELDECSEHAESFRISCIPSLGNSKVYMAKPCNILCTLSFGTTNLCGSLVSIYPCGSVSTIVNDTVCGERDHTFRILLEFKDGRFKYQSLRIGGYYLLECSTESMNYSMKGCGCLQISKVSLGYQSRFWSLAITFNGNINIKQTIGDQSIGVSSVKMDEPFSRKAVNNEIKLVHTWNDLHQYCDFHLKFHCDEKMDEYNSFCDVFNELCSYSNEVLSISSFIKTRVPKMPSGSSNLQRDKLVQGDLISLQGKVENIHPYGCKKEKFMVGNEKSSICIHVTDDNHRVRLFGYLSKYGYPVGLGPGASATFHRVLLTHKHELFVTPLTYIEVSSISLADLNEECVVTPSISDCFKDGSLGRVSSCLLFLSHKHLAENRAIQFQCRVVTIHVLVLDGLQPSKSRCETINVKVRLAGFIVDDGSSLCCCWADDARAELLLGLQEVAVMNSSVTSRFSKDGVNIQQTVGSFLESLLKKHKRIIARNCGIPPDISCRDLELSSVLNKVLSCSEEKLLKSIILNACWKGTLNVIASALNANTLNGFNLELPNLHPVRNMPNYWVNQAFHIDPLEEARKMRVRCVVGGKMELETMLDRLLVAV >OGLUM09G08690.1 pep chromosome:ALNU02000000:9:12718636:12722067:1 gene:OGLUM09G08690 transcript:OGLUM09G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGEHGARGGGGGGDDARAPHRRRRPDERLLPGLPLRVPGAGHRHVRGDHAPPRRPPQPPRGGQGGQGVRHGDRGVGRRRRRRVAVRVGVGRLRLGHRVAGEALAGAADRRRPRRLLRRPRPVLPPRPARAGGEARRRRPLPRHRRLPRQRRRPLRWEEFCVTVVFFSSELRSPSYAV >OGLUM09G08700.1 pep chromosome:ALNU02000000:9:12725726:12726004:-1 gene:OGLUM09G08700 transcript:OGLUM09G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVTTTTRRRRRRRRSSSTMRRLRAAAVARRVRELRRLVPGGEAVPAGRLLLRAAGYVAELRARVELLRALAALLTASCAAADDDGGACT >OGLUM09G08710.1 pep chromosome:ALNU02000000:9:12739706:12742168:1 gene:OGLUM09G08710 transcript:OGLUM09G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVVVFAGRVLAIVALLLACCCMAAVAQGGEGARVRESLIGFLTELAGGDKERARGIGWDASVEPCDGNRTVWPGVGCNGAAAGDGRITAIVLERKGLDGTINAASLCAAAPALRVLSLEGNALRGDLPAAISGCARLTHIYVGDNRLSGSLPPSLAELTSLHVLNVSRNSFSGEIPAKLSKLGLVRFCGNDNRFNGAIPEFELSRFEHFSVANNNLTGPIPDDAGDFGRDSFSGNSDGLCGRPDFPPCPPPPSSGENDGKRRRRARTIVMCLGYVLLGAGVAAFVLYMMCSKRRRRPSGVGGKTAATTETSSSVTPGKSAYSLPMSEERMNATAAAAAAVARATPASLVVLQRSGTAASTVMTLNTAAAAAAEAARKLRFEDLLRSPAELLGRGRFGSAYKVVVPGGAALAVKRVKDAAGAEEEEEFRRRMERVGKARHPAVLPPLAFYCAMQEKLVVYEFLGHGSLAKLLHGSIESSQVALDWPARLHIASKVADGMAFMHGALRGGDGANANLSFSSSYEEDEAGGAIAHGNLKASNILFTATMEPCISEYGVTAPPPPSSAPAAALRADVRAYGVLLLELLTGKATAADGAELARWVTAVIREEWTAEVFDRAMLSSAGAGGDTVASEQRMVRLLQVAMRCIDDASSPSPPPTMREVAGMVNAIREEDDMSLSSEA >OGLUM09G08720.1 pep chromosome:ALNU02000000:9:12750437:12751579:1 gene:OGLUM09G08720 transcript:OGLUM09G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFLLGGREIVRTGDDGPLDDGPAVAPAAGSSAPATSAAAARVTPAVLFITVVLAVVLLASGLLHVLRRLFLKSHRANASAEAVERQLQQLFSLHEDGAGGAGPGLDQAAIDALPAFTYAELLAGAAAPKGGGGNGKRQFDCAVCLCEFDGGDRLRLLPLCGHAFHAACIDTWLRSSSTCPLCRAALSARALAALAAAAADTPATAQHRQPDVEDQKLDHHHPPPPSDEPATSFVLSVRLGRFKNTQRSDGDADASGGGSRCIDARRCYSMGSYQYVLADDNLLISVHWRPGDGISAATAAANVATARTGIKQGGGGGGGKKVFGRGDSFSMSKIWQWRSGDRRLPVLHSDASPPADDGLPWATAAAASTRTRQESDT >OGLUM09G08730.1 pep chromosome:ALNU02000000:9:12757197:12762417:-1 gene:OGLUM09G08730 transcript:OGLUM09G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSSIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >OGLUM09G08730.2 pep chromosome:ALNU02000000:9:12757197:12762576:-1 gene:OGLUM09G08730 transcript:OGLUM09G08730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKSYTNLLDMSGEDVFDFQQPFRSLPRFVTSPSITSNPDWDTSNADDSVGPASCCVRKIIVSNFLPLNCTKDEATGQWSFSMDDNQLLVQLKDGFPMESEVVYVGSLNAEVDPGEQDQLSQKLFREYKCIPTFLPADLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKIFGDKVMEAINSDDDCVWVHDYHLMLLPTFLRKKLHRIKIGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVSLKILAVGVHVGRLESVLRLPATISKVQEIEQRYKGKMVMLGVDDMDIFKGISLKLLGLEFLLERTPKLRGKVVLVQIINPARSTGKDVEEAINEAVSVAERINIKYGSAEYKPVILIDYPIPSYEKIAYYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEEIDKLRGVDKSSHHTSTLIVSEFVGCSPSLSGAFRVNPWSIEDVADALYKAMDLTQSERKLRHDKHYRYVKTHDVAYWARSFSQDLDRACKDHYSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKTNRRLIFMDYDGTLVPQSSVNKVPSAEVISILTSLCNDPKNCVFIVSGRDRTTLSEWFASCDKLGIAAEHGYFIRWNKEGEWETSSSAQDCEWKNIAEPIMEVYKETTDGSSIETKESGLVWHYQDADHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDTVIRTLINNENAPDFLMCIGNDRSDEDMFESINEAVSRSVFPTAPDVFACSVGQKASKAKYYVDGCSEVIRLLKGVTAITPRREVISQSQVTFRDILEVVS >OGLUM09G08740.1 pep chromosome:ALNU02000000:9:12775679:12779917:-1 gene:OGLUM09G08740 transcript:OGLUM09G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G60160) TAIR;Acc:AT1G60160] MDDDDGGIQEEPAPPPPPPPPPPLRRLLTATRSGGSRWVDGSEVGSSESAPWSLDGDRSLRLSVDSAASAGGASGGGGGGGPLSRASSGAFRRRFGKQPRRVDSLDVEAMSVRGAHGHSSKEISMLSTVAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERALSVKESLEKNPVFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGRVPGFGTDAVVIVSILFLILLFSVQRFGTGKVGFMFAPILALWFINLGTIGIYNLAKYDISVVRAFNPVYIYLFFQTNGIKAWSALGGCVLCITGAEAMFADLGHFSVKSIQVAFTAVVFPCLLIAYMGQAAYLMKYPFAVERIFYDSVPEILFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVATFRSTNDIANAYGIAEVGVMMVSTALVTLVMLLIWQTNLFLVMCFPVIFGSVEFVYLTAVLSKIQEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDFILDLGSTLGTVRVPGIGLVYNELVQGIPSIFGHLLVTLPAMHSTIVFVCIKYVPVPYVPFEERFLFRRIGQKDYHMFRCVARYGYKDVRKEEHGFFEQLLVETLEKFLRKESQEMALEASAMAVERDDVSVVSDIPSSPVEAGDLHVPLLSDQRLGDGTQTFITEGNTPVLPTSSISEEDPSLEYELESLREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >OGLUM09G08750.1 pep chromosome:ALNU02000000:9:12781012:12781329:1 gene:OGLUM09G08750 transcript:OGLUM09G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTPPSSWATDEVSASMYASFLIRYYVADITHMPFCLPAVPLEAGLSYLKPMHWIAIATFGSNDKPLDWMLRVESSSIAGVEDACVPPKDYLQSIDRPTPLH >OGLUM09G08760.1 pep chromosome:ALNU02000000:9:12781720:12782983:1 gene:OGLUM09G08760 transcript:OGLUM09G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGVRDGHHFLSTSSTRTPPSSWATDEVPASMYALFLILYYVANIAHMPFCLPVVPLEAGLSYLEPMHWIAIATFGSKDKPLDLKLRVESSSIDGVEDACVPPEDYLQSIDRPAPLH >OGLUM09G08770.1 pep chromosome:ALNU02000000:9:12784232:12784597:1 gene:OGLUM09G08770 transcript:OGLUM09G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFVAQARWPPLPIDELYKDYSSSWATDEVPVSMYASFLIRYSVANIAHMPFCLPAVPLEAGLSYLEPMHWIAIATFGSNDKPLDLKLQVESSSIAGVEDACVPPEDYLRSIDRPAPLH >OGLUM09G08780.1 pep chromosome:ALNU02000000:9:12791933:12792274:-1 gene:OGLUM09G08780 transcript:OGLUM09G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTTAADEEAVDGSEKAAAPAAQEDGEDVVDGSEKAAASAAPAAQQDDEEAVDGLEKAAVVQEESRVAACTRESSRPRVRIILSPVGRHGSSHNTQQYTNSQIYIWARCGN >OGLUM09G08790.1 pep chromosome:ALNU02000000:9:12794129:12794518:-1 gene:OGLUM09G08790 transcript:OGLUM09G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPRQRQGPHSEDGSRSSGRRIAESSEDGDGAEKGGELGGKAAVVAGGGKAVAAFADGFRGPTFSFPLRNLHELASINPKVSTAGTASSLRPPPLARLDKLWGKPDVFRCRRLLRPTITTAPLLGVCR >OGLUM09G08800.1 pep chromosome:ALNU02000000:9:12795195:12795480:-1 gene:OGLUM09G08800 transcript:OGLUM09G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELACAIGTIKVESVWHRVPTNMASSRIPPSPLVKRLEARIHEVCAAVAVAAPPFSPAHCLAVYSLPVGPAKNLG >OGLUM09G08810.1 pep chromosome:ALNU02000000:9:12795512:12796794:-1 gene:OGLUM09G08810 transcript:OGLUM09G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESEKAMGSPAALEPVKTPPPPATDGPSSDPWHTCRRRRAGPRGGQPDRPCGDDADEARHLFLADVVERLDAACAEELEHADPSEQRLGRLDSARGDINCE >OGLUM09G08820.1 pep chromosome:ALNU02000000:9:12812513:12815797:-1 gene:OGLUM09G08820 transcript:OGLUM09G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDQLLNIQSDVQKLWEEGKVFEAEPGSKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFAFHCTGMPIKASADKLSREAQQYGYPPVFPELDSSIEVADDSQPDQGASVAPDKFKSKKSKAASKVGLQKFQWEIMRGFGLSDEEIAKFRDPYHWLSYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPNLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFVLTSRAALNLAYQGLSKVPEKPTCLAELSGNDLIGLPLKSPLSFNDVIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVSKPALRQKFGVKDEWVLPFNIIPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKKQLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVKCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEIFSIRPEQMTDEVWDYVFCDGPAPSTDIPPALLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRTGPPSTYADHVFANEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRDLLWRFMEVQTRLITPICPHYAEHVWQKILRKEGFAIKAGWPVAGSPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGAAAPPPSEESKLTVGLIYVNEHYYGWKEQCLKVLQSKFDSQARSFAPDEEITEALKNCSIRQETNFKQVQKLCMPFIKSKKDEAKSVGPHALNLKLPFGEMNVLEENLELIKRQVGLDHVEVLSASDEATRAKAGAHVSMLDKTPPSPGEPVAIFISKQEFEAQH >OGLUM09G08830.1 pep chromosome:ALNU02000000:9:12834358:12835542:1 gene:OGLUM09G08830 transcript:OGLUM09G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPSKPKRRRLLSLPAVYPCEDIAPAPLLASLLSLAADVAGRRAGDVDAFPVLRRGVRKAVRLAGILLAFLEEVQDAAAAGKATAMPSSAVLGLTELHVAMQKLRFLLADCARRGARLWVLVNAGMVASELRLVLGSVAAAMDALPRSVAEASVEAGELARVVSEQAWRAAVRPDGADERAARSVRSILDQFKDGVAPDADDVRRVLRRVRVGSWSDCSEEIAFLESELCARLDAGDENSSDVLVMNSLMAFLVYCRVVLFDHIDASKSQAAAAAPARCPEWIRPDALQCPITLDLMTDPVTVSTGQTYDRASITRWMKAGCRTCPVTGERLSTADLVPNTVLRGIIERMLLINGVTLPEPSAAGGGGHRHGAVANTAVPFGPAAAXSS >OGLUM09G08840.1 pep chromosome:ALNU02000000:9:12843795:12848921:1 gene:OGLUM09G08840 transcript:OGLUM09G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGMSARWAPTPTRTACPQVDGFLAHPRPEARHDGCHVYGGDHVGPAVKAGSHAPFVISLRLIVSCRQEIWITDNAVASLLNLSKHPAGRTAIVEVGGVGLVVDVINVGAKAEAQHNAAAVLFYLSSNSPDSAEEIGRIPEAIPTLVQLIRDGAYRGRKNAMVSLYGLLQSAANHGRAIAAGAVSALAALLLSADRDDLAGDSVALLARIAEQPSGAAAVLSQPGLVARLAEALAASSASSSRSARDHSVSLLASLCRHGGAKVVAVLGRMPGLMASLYSLVADGGSPQTSKKARALLNEIHRHYEVAPPPPASSASSDAGGDRVVRVL >OGLUM09G08850.1 pep chromosome:ALNU02000000:9:12856758:12857138:1 gene:OGLUM09G08850 transcript:OGLUM09G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRREADEAALLLLSSSCCTPRLGSAGMDDAETTTRRGRKRTLDEVVDGDGGFGGGAVKPSSSRRGPAAASSSSSETETRALIQSCRLLHVRVRAMMAWGARRREKVQRECDNQLVADRAARGRQLR >OGLUM09G08860.1 pep chromosome:ALNU02000000:9:12858199:12858668:-1 gene:OGLUM09G08860 transcript:OGLUM09G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWICVDFARKKMREEGRSRKKDEMDGKHNDSDTVLIL >OGLUM09G08870.1 pep chromosome:ALNU02000000:9:12860192:12861637:-1 gene:OGLUM09G08870 transcript:OGLUM09G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2C7] MAAAASPCSRAGRHDAQLPHVAIFPFMARGHTVPLIHLAHLLRRRGLAAVTLFTTPANAPFVRRVLDDDAVAVVELPFPGHHLPGVPPGVECVEGLDGLSSSFPAFVEAASALRPRLEACLAAARPRVGLLVADALLYWAHDAAAGLGVPTVAFYAASMFAHVIRDVLLRDNPAAVLVAGGGAAATFAVPEFPNVRLTLADIPVPFNDPSAAGPLVEMDAKMANAIAGSRGLIVNTFDAMEAHYIEHWDRHHVGHRAWPVGPLCLARQPCHAAAVKPSWMRWLDEKAAAGRAVLYVALGTLNAVQEAQLRELAGGLEASGVDFLWAVRPIDADLGAGFEERVEGRGMVAREWVDQWRILRHGCVKGFLSHCGWNSAVESISAGVPLAAWPMGAEQPLNAIHAVDELRIGVRVPTGHGVVSSEEIARVARELMGMDMDGEGESGEAARNAAALAAKAREAVAEGGSSWKTLEEMLATVCQTK >OGLUM09G08880.1 pep chromosome:ALNU02000000:9:12889722:12892032:-1 gene:OGLUM09G08880 transcript:OGLUM09G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYSGRLVFSSAGAAPPCSAAGAGGGQMLLFGGHGGFVGGSPVMEEAELRRRRRKRPFLTTTHDELELQMEDLVDELYGVDEQGSSSAAARKRRLTAEQVRALERSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKTKQLELDFDRLRAAHDELLAGRAALAADNESLRSQVILLTEKLQANGKSPSPSPAPAEQTAVPAAPESAKSFQLEEGRRLYDAAGSTTTTTNGGGGGVAMPAARVAAARAASNDSPESYFAGARSPPSSSDDDCGGGSDDDYPSSSVLLPVDAVLAGDAFEHAVAATVAADEEAPLNSWEWFWN >OGLUM09G08890.1 pep chromosome:ALNU02000000:9:12922993:12925215:-1 gene:OGLUM09G08890 transcript:OGLUM09G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKRKLGRTLTRFLSKPPFKPKPTNPSPPPPPPPPGIQPPPPALPGMPHGRPPPPFPGGRDAFPQAASTVVPDPARFFAPALLSSPLPTNSFFQNFVLKNGDQPEYIHPYSVRSAAAALTLCYPARNHSPSFVIQTFVEDLTVSAASDAAAAAAGQRHRVAAFDDLSVTLDVSPSLRAFLVRGCPFVTVATADAAGPVDISVASVHAFIEVASCDDALTKWRLRMNSGQTFLLYASAPIRLAQSSVTQLSAPGFSGVIRVAYLPDPSMEAVLDQYSRCFPTAGEASLNRPFCVEYTWRKQGWGDLLMLAHPLHLRLLSEDCCVRVLDDFRYRSIDGDMVGVVGDSWVLRTDPVSPTWHSMRGISDDGVGEVAAALRKDVDALASSPITTTSSYFYGKAIARAARFAVIAEEVGCPDVIPAVQRFLKATVTPWLDGSFQGNGFLYEPKWGGLVTLQGSKDTGADFGFGIYNDHHYHLGYFLYAIAVLAKIDPSWGRKYMPQAYSMVADFMTLSRKHGASYTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSSALLGLSYGDTHLVSIGATLTALEMLAAQTWWHVREGDTIYEDDFTGNNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPVLPISEALFPDISFVKELVDWTTPALSREGVGEGWKGFVYALEGIYNKESALQKTRALTGHDDGNSLTNLLWWLHSRGTADDGVVGFSRCCWYRQYCH >OGLUM09G08900.1 pep chromosome:ALNU02000000:9:12937019:12941678:1 gene:OGLUM09G08900 transcript:OGLUM09G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-activating enzyme 17 [Source:Projected from Arabidopsis thaliana (AT5G23050) TAIR;Acc:AT5G23050] MGHAAAAAAAAHVPLGAITVDDLLAAGVAGGAAAELHEAVRRAVGARGGDGDAAAVWGELCRAALRPGVPFAVHRMLYYGCFAGFPSATPPAWTPDPEEAVLTNVGRVLEARGREFLGDKYKDPIASFTDFHKFSIENPEAYWKMVFEEMGITFSVEPSCILRENDAYPGGEWLPGAVLNAAANCLTAKPGRSSDDVAIVWRDEGKDSEPLNFVTLEELRKKVCLVANALDALNLAKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISMRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMTIVIPVRGSTPIKGLRADDLSWEDFLAKVNHAKADNYTAVEQPAYAFTNILFSSGTTGEPKAIPWTHLTPLKSAADGWCHMDIRRGDVVAWPTNLGWMMGPWLVYASLLNGASMALYNGSLNSSGFAKFVQDAKVTMLGLVPSIARSWKSTDCTAGFDWSTIRCFSSSGEASSVDDYLWLMGRVCYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDNNGNPLPQDSVGTGELALDPTFLGASTTLLNADHHEVYFSGMPEWNGKVLRRHGDEFERTPDGYYRAHGRADDTMNLGGIKVSSIEIERICNRVNDAILETAAIGVPPLGGGPEQLTIAVVFKDQSSQTEDLNQLKLAFNTALKKLNPLFKVSSVVVVPSLPRTASNKVMRRVLRKEFTQQPKHSKI >OGLUM09G08910.1 pep chromosome:ALNU02000000:9:12943734:12943994:1 gene:OGLUM09G08910 transcript:OGLUM09G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLAKLVGGIKARLRRRKMLTAAAAESSSSSSCYDKMEKTNSMKVEITSRRAQKLIAKNLAIVDAMVAGSNSNNSSKAKKRAFFP >OGLUM09G08920.1 pep chromosome:ALNU02000000:9:12945308:12948339:1 gene:OGLUM09G08920 transcript:OGLUM09G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAALFLVRPLPRPHYRCLHGLRGGVSLAPPRRRLVARGPRCSMSLSIGGGAGAGAGGDHGFSYEHIPVFPRYRIRDPYKLLGVDRDAAEEEIRSARNFLIQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALLGYFEVPQMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLMRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >OGLUM09G08930.1 pep chromosome:ALNU02000000:9:12948401:12949940:-1 gene:OGLUM09G08930 transcript:OGLUM09G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPAGGEPVEDRPRGGELDEPIADGEAVVEAELGRVSVHSRRGLAMVGDQGLGKGPEAKRRQWRSDELLAGTLLRAAVVGLEEDGEEVGGLEEDEGEAVVGEAVEEAAPGGGAGGGGFVGLPAPPATALPASRTAHRHPASPAAVPPASRAVVPLRLMNMLINPSRWIPRCVSIEFREAAAAAICRRRWRGGRLSLYQQAYRRED >OGLUM09G08940.1 pep chromosome:ALNU02000000:9:12952536:12953687:1 gene:OGLUM09G08940 transcript:OGLUM09G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASFLRMVGRRNIREVAGDDHRRVRAMMARFLRLDAVKNYVSAMDDEVRRHLRAEWSGRAAVAVMPSMKSLTFDVMCTVLFGLERRGDHAAVRRELSSEFQQLVRGIWAVPVNLPFTTFGKCLAASRRGRRAVARIVEERRRAMMPRGGGDLVTHMLAEGMDEEEIIDNVVFLMVAAHDTTAVLLTFLLRHLDGNRAAYERVAAEQEAIATQRRRRGGSGSGSALTWDDLAGMRYTWAAAMETLRMVPPTFANMRKAVADVEVGGYVIPKGWQVITAATMTHLDPAIFPDPGRFEPARFEAAEAKAKAAPPFSYVPFGGGARVCPGNEFARAETLVAMHYIVTGFRWRLAAGCDGGFSRHPLPCPNQGLLLDIEPKEYNEL >OGLUM09G08950.1 pep chromosome:ALNU02000000:9:12954255:12958260:1 gene:OGLUM09G08950 transcript:OGLUM09G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIRPSLMASFVGWRMKGDMADLILLALEMAPDRHLVASFIGWRKKGDIFMASLVVWRRKGEIFMA >OGLUM09G08960.1 pep chromosome:ALNU02000000:9:12961223:12964143:-1 gene:OGLUM09G08960 transcript:OGLUM09G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKVEVPSPCPASTPGLDVRCRICGTDARFSYSDWRDHNNWCEAAKAKAKAAEGKVKGKKKNKK >OGLUM09G08970.1 pep chromosome:ALNU02000000:9:12964791:12968109:-1 gene:OGLUM09G08970 transcript:OGLUM09G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSADVNRHLTLLTPATAPNRLHQPIGERSRRRNSLLHAAAAVSPFAFSSETAISNRPPPPINSRRDRPPLLTLSELEALPLSLLSSPADPNPCTTDFPSLRRTHFSRFPLLPHPIATTSFAAEEKLFYLPFRPTSPLSRPATDFPGCASLGNTLGRRHRSRSTIGAPAPLLSLSLLLHEKEKRRKMTGGRRRRKRKRKRKTDSRVYFILDGNLWYFKDRIIKLPTLDLFALEQGKKLNKN >OGLUM09G08970.2 pep chromosome:ALNU02000000:9:12964791:12968109:-1 gene:OGLUM09G08970 transcript:OGLUM09G08970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSSADVNRHLTLLTPATAPNRLHQPIGERSRRRNSLLHAAAAVSPFAFSSETAISNRPPPPINSRRDRPPLLTLSELEALPLSLLSSPADPNPCTTDFPSLRRTHFSRFPLLPHPIATTSFAAEEKLFYLPFRPTSPLSRPATDFPGCASLGNTLGRRHRSRSTIGAPAPLLSLSLLLHEKEKRRKMTGGRRRRKRKRKRKTDRKLNKN >OGLUM09G08980.1 pep chromosome:ALNU02000000:9:12970780:12985859:-1 gene:OGLUM09G08980 transcript:OGLUM09G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDAHPTMCRKRKICKIQVLDSMCMTFNRVDLANTLQGLQYHLNIIGRQQDLPSHKWGDLNVIKWPIIEQLKERIQEDSSSCGLFMLKIMENWTGESLSRSITQEDITLFRFKLASVSLGWKTNKVVMTIGEQSEDTKDSDDDVVILGSHQRKFGSTRDINETKEVNKKYSSLLSVVSKMSKQELISGLLHYIQQINCAEAMDSRPHFISLSLKQLQTILKKDEPLESDCFNMAICKFMYEKIQMIHKTKEAISNHCLDLQFWSATGFGKDPVHHDNVNLAKTVGSWSEIHYKLSQCKAILIPVRHARSFIVLVVDQESQTLYVLDPNPLMPEYKNNPNMRYTRKLITICDHFNKAMRKACPGSRWNEDINLWRQVFVNNPVYNRSLLGFLVHLFMCTWNNKEPHLPAINDGDKLRKLFLLNLLMYQQNECESNIPNGAQDFLKGSPRRKARARCRRCFPPGCGSDDLLSTGWVPLAAGPSERRNWGPDPSAWPPPVRDPDCIVAISAFSFYLLGSTFMMVFFPPVRRYTRKLLLAI >OGLUM09G08990.1 pep chromosome:ALNU02000000:9:13026957:13057953:1 gene:OGLUM09G08990 transcript:OGLUM09G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLVGEDGDGGDEDVRDDRWRQQWGSDLVEDSVGGGEDRWRRRRGTVGEDGGTAGRISWYT >OGLUM09G09000.1 pep chromosome:ALNU02000000:9:13058944:13059234:-1 gene:OGLUM09G09000 transcript:OGLUM09G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSAAGPAWRRTADIGRDRAFVLGGGGGNFGASCSASGCGVRANCLYWFNSFSPDDSNLHVLSVGDGGVETVAPPPFDQHASSGVHKPFWLVPTT >OGLUM09G09010.1 pep chromosome:ALNU02000000:9:13059238:13061952:-1 gene:OGLUM09G09010 transcript:OGLUM09G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSTAAAAAYPLLVQRYGVAGVTPPSSSSTATRVFSLPAMKLLDVNLPEEMRGSSGGGGNTFLETPQGLVLVLMTTSSPPASAFLLDPRDGSRVAELPPLGEGELPAHRRCVLSGRPPEPGCGVLVLDLESPALLFCRVGGERWSRHGYDIGCYDLPEEYCSVPKRKQLFDVAGVGGRFYFAENKGELGTLDFTGGGGGGEAVVGAIAIPVLDYFPAGQVMSSSLTFLVESRGDLFLVAIAFEGYNIGGPYDVHVFRMDFSAAAPGPAWRRATDIGDRAFLVGGDNFSGASCSASGCGVKANRIYWINGFSEDEKRNLYVFSVEDGSVETLDTFEPLPGAPRQTPFWLRSNADGQPGSPAMAYSLPDGKTHDDVSLPEMHSNTFLETPQGWVLVLSSSPTPKTTTTTFLLDPRDGRKVGLPPLDENELPTGRKCVLSDSAPDAGAGVVVLSLQGPAVWFCRVGGERWSTHTYDMGYFSLPDEYCAPKKRHLFDVAGGVGGRFYFCEDKGFSLGTLDFTGDGEPVLGAVAVPGGIDDMFPSPDSSGMRPRTSSSRTATWPPHQFSV >OGLUM09G09020.1 pep chromosome:ALNU02000000:9:13080878:13085675:1 gene:OGLUM09G09020 transcript:OGLUM09G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPPPKADELQPHPPKEQLASVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNDEKARVIQTLLFVAGINTLFQTFFGSRLPVVMGGSYTFVAPTISIILAGRYNNEADPREKFLRTMRGTQGALIIASTIQMILGFSGLWRNVVRLLSPLSAVPLISLVGFGLYELGFPGVAKCVEIGLPELILLVAFSQYLPQVLHFGKPIFGRFGVLFTVSIVWLYAYILTISGAYKNAPPKTQVHCRVDRSGLISGAPWIRVPYPFQWGAPTFDAGEAFAMMMTSFIALVETTGAFIAASRYASATMIPPSIISRGIGWQGISILIDSFFGTANGTSVSVENVGLLALTHVGSRRVVQISAGFMIFFAILGKFGALFASIPLPIFAGMYCIFFAYVGACGLSFLQFCNLNSFRTKFILGFAFFMGISVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYFLDNTIETHNNTVRKDRGYHWWDKFRSFKKDARSEEFYSLPFNLNKFFPAV >OGLUM09G09030.1 pep chromosome:ALNU02000000:9:13096027:13097213:-1 gene:OGLUM09G09030 transcript:OGLUM09G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLALALLASCGALLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNRSYPSAEEALQRFDVYRRNAEFIDAVNLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCSSCWAFVTAATIESLNMIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGRAYKWVVENGGLTTEADYPYTARRGPCNLAKSAHHAAKITGFGKVPPRNEAALQAAVARQPVAVAIEVGSGMQFYKGGVYTGPCGTRLAHAVTVVGYGTDAASGAKYWTIKNSWGQSWGERGYIRILRDVGGPGLCGVTLDIAYPTLTL >OGLUM09G09040.1 pep chromosome:ALNU02000000:9:13111411:13113568:1 gene:OGLUM09G09040 transcript:OGLUM09G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAAAVVIQHPGGKVERLYWPTTAADVMRANPGHYVALVILRISADKAASAAAAGDNKTNAGGATGGGGGGAKITRVKLLKPKDTLLLGQVYRLITSQEVTKALRARKNEKMRRCEAIRQQHEQLRRGDPLAGVAEEEEEESASDDQDGKRDRHRSSGAGAPPAAGGRGRHWRPSLQSISEAASQSGGGGGSSSISESAAR >OGLUM09G09060.1 pep chromosome:ALNU02000000:9:13122963:13140488:-1 gene:OGLUM09G09060 transcript:OGLUM09G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAVNNPKPFLVLLDGLGFCMDDQECGGPSGGVGKQEDGEMNGTENEVDDADDMVEQEESSGSAPSPLLLGTRPKRLRSKVWDDFTPIFVDGKVARAECMHCHRVFNSGTSNLLKHQAKCSPRAQKRPMQQELPVSLSVENRSPKELDAVEQDIPTDKNTKNLEVEQAETNKLVRTLAMYGDIPLRVSNHGEFSRFVTSLNPMVEIPPADNLYLYFTGLFEEEKAKLKKRLASLNSRVSLSVYVWHYDTLLPFLCLSVHYIDDQWQKDKKIIAFQAVDSSCHAKELSMVILTAIRDWGLFGKVFSIALDDAFIDDSVASDVKDILQKWNSLHADESLSGNQSLFVVRYATHLLDQIIQLFDKIWDVKKDLHREPVYYSDEESSYVREKMQRKFKEQWKFNCLHICMPMIMDPKYRLEIIKSRIMYNFNSDMEDYIEEVNDMLLRLFREYSGQTEDPNCTSSFITSGWNYLYKDDRLLDHYHYSEFPERKRPMTEFDQYLEDPCLSNDGTSVLKWWKEHSMIYPTIARIARDILAIPYRTYCKVATSTTRVAIAKSDGNHYVEERVCTQDWLRSGGLQDSTMRVLRFRNVEDNMLSSFGNYVQPNRSLTTQILVQRDAVRKEQRLNYSKSTQVQNWSSSASLLGRTKMVKWALGFQAWAPWKSGAQTKVGDRFSFLPRTRGEVARGIGAKGSFPREEKSSLPKRVAAAAEVAARNPALRPGIGMEQDCDDAANQVGAGEERILNVVLLKTCVKHNFIGMGGNVDNANDMAEQEESSGSAPSPLFLGTRPKRLRSKAWDDFTPIYIDGKVAKAECMHCHQVFVSNSTSGTSSLLKHQSKCNPHAQKRAMQQKLPFLPSSQKNLTALNSDPRQKKLLFLPISQKKCSDTADVMPHKKDPALPNSMNDTNRKSQEVDKSGSHEELATPEQKNLTLRHVPTNNNDQSHDEHPVPEQKNNPTGTNMKNPETDQNGSNGLIQTMAMCGYLPLMMRNDRFRKCLPCFDSMVNMPANINIYLDFIQLFDKEKAKLKERFAALSSRVCLSAHVWHYVQQLAFLCLSVHYIDEEWERQQKIIRFCHVGPSCDAGELSSVILGAIEKWGLRDKLLQMSKPISRNGIFTVQNRASRNRIHTAKQSLFVIRYGTHLLDQVIEVGLDELDKIMEKSVMCSKFMEGLTSSAVKYSNNNYAASGKDWTCARRICDTLEDFHRCIDIMPNFPCPVDLFDMVWKVKRDLQREVDNNRDDSFSTLVKKMQEKFKNCWKLCCLHFYLAMVVDPSHRLEHIKFRVRLHTDTDYIHYMHDIFLNLFDEYSGKVEDTNCTSETRTEVGVDGGDDRLKYYRQYENPIYERPMTELDQYLQEPRLSGGERDVLRWWKGHNLTYPTVARMARDILAIPCRTYFNIATRTAKFAIRESRRNFYIEELVCFQDWLTSDGSARQKSTSGFKYDKIRWTGYPMLAIVMVAVGLMFTASFCMDQDCDDVAKQVGTEEEKALNGMGDNVDNADNMDEQEESSGSAPSPLFLGTRPKRLRSKVWDDFKPIYIDGKVARAECMHCHQILISNSANGTSNLLKHQAKCSPHPQKRPMQQKLPSHRSRHQARRSSSPLPGVQPPAAARTDSSPPQHILNVFKRCRPPRQRRCPCPQTWRRWRLLVFLIEQQQLILERKGLDLDNTLYWFEIVKYRRRGADDMHARMNMEQRWYSEQQRWQETYLATAAPTGRRKPHDREFSDPCVHCSVADAVGDASVAIVWSLKKLPFLPISQKKCSGPADAMPQKKDPALPNSMNDTNWKSQEVGKSGCPEELATPEQKNLALPDVPTNNNRKDQPHDENLVPKQKCNPTVVNLKNPEVDQSGSNGLIQTMAMCGYLPMMMYNNRFRKCVPCLNSMLPMPSNDSMFGDFMQLFHNEKAKLKEKFATLSSRVCLSAHVWHYDPLLAFLCLSVHYIDNEWERQQKIIRFLQVDPSCNARELSDVILRAIEDWGLRDKVFSITLDDEFVDDSVASNVKDHLQKWNSHYSNQSLFVVRYGTYLLDQVVQVGLDELDKSMEKSMKCSKFSEGFTSSAVRKANHNYAESAKDWSSARPICDTLESFHQYMDTMHDFPRPRHLFDKVWAVKCDLQRKVDIYKDGAFSTVLKKMQQKFEKCWKLCCFHFYMAMAVDPQYRLEHINFHTDYIRYMHDIFLNLFDEYSGKVEDTNSTSETRNEVGVNRDDDRLKYYHQHQSEISICEQPMTELDQYLLEPRLSKGEPDVLRWWKGHNLTYPTVARMALVTILKSLFVFRIGSHLTDSNMKKCTNDDLFRQFWALCQESSAPPYLQRLNPWTATGAPGLAVHTGQIAEL >OGLUM09G09070.1 pep chromosome:ALNU02000000:9:13145314:13145793:-1 gene:OGLUM09G09070 transcript:OGLUM09G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGRMVRFLLLLLLLDRVMGGSRGEEEGSWGHGGAVKSWHDRGGIFGEGRQSSNFLEVTTVFVYIAVTLGEIVLPDVFFLIPCTLSYISNHLLIY >OGLUM09G09080.1 pep chromosome:ALNU02000000:9:13148896:13151638:-1 gene:OGLUM09G09080 transcript:OGLUM09G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLASKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >OGLUM09G09080.2 pep chromosome:ALNU02000000:9:13148898:13151638:-1 gene:OGLUM09G09080 transcript:OGLUM09G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDDASYLLCAEDAGAAVFDVAVDISTCTTEDDECCSVGGEELYSAASIAELIGGEAEYSPRSDYPDRLRSRSIDPAARAESVSWILKVQEYYGFLPLTAYLAVNYMDRFLSLRHLPEGQGWAMQLLAVACLSLASKMEETLVECSRYVFEPRTICRMEFLILTALNWRLRSVTPFTFIDFFACKVDPKGKHTRYLIARATEMVLATIHDIQFLDHCPSSMAAAAVLCATGETPSLAFVNPELAVNWCIGLAEEGISSCYQLMQQLVIGNVQRSAAAAAAAVNLFSDEGLSYDSSSPPPPKRRKRSPPGT >OGLUM09G09090.1 pep chromosome:ALNU02000000:9:13155669:13157454:-1 gene:OGLUM09G09090 transcript:OGLUM09G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLWAAAAAAATLNPPLLTLSASSSPSSSRLRRSVLGRLRSRAPRPADFVCRRAKNAAYDDYKFPDPIPEFAAQETSKFKEHMMWRLEQKKDDYFGEHVEEIVDVCTEARTFLEHDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >OGLUM09G09100.1 pep chromosome:ALNU02000000:9:13158298:13160629:-1 gene:OGLUM09G09100 transcript:OGLUM09G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPAFLSAVRSRLRSPQPQAPALPHLQPPRRGFHVELGAREKALLEEDTALKRFKSYKNSVKQVSKVGNILTGVVLFACAYEIVALANS >OGLUM09G09110.1 pep chromosome:ALNU02000000:9:13163370:13163990:-1 gene:OGLUM09G09110 transcript:OGLUM09G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLTTVGGRFYSVDHLQKQFLVVALEFSPVDGAAPQFTAVATNDTEHTPAGHSTTVFRAVESDGELFLVAMYYVKPRDRVASKILVLKLDLLKRAKVEVMSTLGERSFFLAASSKFGASVRAKQVGLKENCIYYLKPDDKGFYVYNRKYYTHDYDVRLLSDQLLAANCPGPHGEKLVCVERNDWQVRLGWMGVGRGSRTYSSAGL >OGLUM09G09120.1 pep chromosome:ALNU02000000:9:13185360:13185863:-1 gene:OGLUM09G09120 transcript:OGLUM09G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2F3] MNSIFSNLLLAVATLQLLTTSRRVQWRRRSSSRSTCTSTCTTWSPARAPRRRRSSKARAHGGGGVVREGGGHGRPADRGRAQGLYVFATLDADAPALLFSINNVVLAVGTPYGGSMVAVMGRDDFVRLPVVGGTGRFRMARGYALVRTASEHGKNAVLEIDICLTSF >OGLUM09G09130.1 pep chromosome:ALNU02000000:9:13188269:13193452:1 gene:OGLUM09G09130 transcript:OGLUM09G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRDDAGGGGFGDLFDSVRRSIAFRTSTAPETPGPLGGGGGIGVRISSCLRKSRGMGLLGLISKSPSPPRRLLPPAPEFSGGGGGGGGGRGGGGGEESPQIRWRKGELIGSGAFGQVYLGMNLDTGELLAVKQVLIGSNNATREKAQAHIRELEEEVKLLKNLSHPNIVKRYLGTVREEDTLNILLEFVPGGSIQSLLGKLGSFPEAVIRKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATITAAKTMKGTPHWMAPEVIVGSGHNFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGESENLQPLNCAAQQETYVNELPAHDLSSGLGLNHSVNWPTISSNRSSKIKPLWEGSCDEDDMCEFADKDDCPAVGSSYNPMSEAFDNWESKFDASSEQNSHQSMEFGGLAKHAESSMTENDFTFPCEGSCEDDDVLTESKIKAFLDEKALDLKKLQTPLYEEFYNTVNAGNSQVADHTSNGIFSNSPKLPPRGKSPTSKMRGGAAAASTCDNSNNTRPESCSNQLSEDTVQSSRILREIASPQLDELGNKIHSDVQDSPSVSFAERQRKWKEELVQELERERGK >OGLUM09G09140.1 pep chromosome:ALNU02000000:9:13198407:13200908:1 gene:OGLUM09G09140 transcript:OGLUM09G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPQDDTCAHKVRSDFMARYKWPDDQPDLKEKWIKTLEKCCEKLATQEIYQDKYRDGMQAAHGPNVIWARAPLDAQIMYECTGRKAHGKFAMADGAIDSSEVQLSTNAHPSHTYTYMMNGSASTPPPQINLAALFPSHSSPTTQQNATENSSRNVFNQIDGNNSGNCSQQDAGLSNNEHVLTNL >OGLUM09G09150.1 pep chromosome:ALNU02000000:9:13201487:13205887:1 gene:OGLUM09G09150 transcript:OGLUM09G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G59990) TAIR;Acc:AT1G59990] MALHHLRHAPLALRLARLPHLAPSPPPPPAARRRLLLLLAPSQHPAPPWRLLSRPRALATAAAEADDAGAGGNGDGDGFFSEESTSWESLGVSDRLASALHGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSISAEDGNSQDITSGSPNIALVLCPNVMLCEQVVRMANSLVDESGEPLKSAAAVCGPKGWPIVRPDILVATPAALLNYLFDYDPEKRRRERFLRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKLLSRMEDSGKEISLGDTNEYCEDSDSESAELSADDEENEDGLVQHRPVNVENAHIGAHKKDWRRVRKVYKRSKQYVFVAATLPQSGKKTAGGVLKRMFPNAVWVSGAYLHRHNPRLERRWIEVTADTQVSALLDAVKYGLKSEVHDTKLGPNRTMVFTNTVDAANSVSDILQRVGVPCILYHRYSSLEERAKNLQSFRENGGVLVCTDAAARGLDVPNVSHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVEKAFSRKRSFRNKLKKQALHKSTALLS >OGLUM09G09160.1 pep chromosome:ALNU02000000:9:13241745:13243114:-1 gene:OGLUM09G09160 transcript:OGLUM09G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRCVPFTRRGSLRLNTAEVGTANIRYYSPYYDKNFEVDDTLKVPGVKICCSTGRHLKMRADKSLVFDIDLVSGALVEVLPQKPFMLFNFVVSDRDERLFGIRAMFTIEIACAIRTNGDEWEDWNLAENSPDWSQLRASPGTNPVLHNSLLYLLAQDGRLAVYDLCRHHEGFKILDKPNSFGFKCEDSYLLESNQGELMVVAIERRGKKVHLVKLNEQSMEWEKVDSLHSQTVFTGSLTTMMKKTKFNRMQNMIFLPRFYQWPETVHVDLVARDGELAFVPKLPFCADTYLDTCGTNIWSYELAHGAATKEFWGTERADYIVY >OGLUM09G09170.1 pep chromosome:ALNU02000000:9:13253006:13253506:-1 gene:OGLUM09G09170 transcript:OGLUM09G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLRFLTSLIYSFGFNGEEIYLLESNQGELMVVLIERHGKMVHVVKLNEQRMEWEKVDNLHSQTVFTRSQTTMMKNTKFIWMENKVFFPRFYNWQTAYVDLVCDGELAFVPKSPSYLDMYLDTSATNIWSYRLGHGAIIEYWGTENADYSIWVDFADDWNLDCFI >OGLUM09G09180.1 pep chromosome:ALNU02000000:9:13258357:13279164:-1 gene:OGLUM09G09180 transcript:OGLUM09G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARGGGGGAEGGDGQMAVVQQSLAVVGRAGDRVFVWVSFQDESENMLAHSTETNKKGQEYSTLLDPKFAPVLLFVDYNLDDTHDEVNSEDEDYSIEDEDEELDHEDDNSFDEDISDNDKDDDKLGEAANDICKGDYNENNEDAGTEESDDTDDQEEDVTIFFYSIARKELLCKRGDIFNCHSYWRTPQGWLLMVHLESHETFLWSPFTDQRINLPLDEDSFLTNNEVVCLLSYKPTYPNCVVLVVNCRNTSFWYCYPQGHTWFKHEYQSSMITTHENRRNVILTMKDLTAVGGRFCTYLSKEKAIVTLEFLPNPTFTTTPVKDASDPIYWYTTFTNCLLESCGELFMLSFKHPVVCAQKVVQIEVHKLDLSRRIWVKVNTIGNKAFLVECIGFGSSLTAEDVGLKRNCIYFVRPNDKGLYVYDMERGTTVMHNPGADLPDNVTLQIVGKKMLSHSIDSCKEDQEHLALLDPKLAPVLLLVAYRIIDTDDKGNTEDEYCPIDDEEEELDHGDENSHDEDIVDNDKDDCDLGDEDDNHTCERDYDGSDDAGTEESDDSDDQEEDDTLFFYSIAKRELLSKRVDEFGIHLYWITAQGWLLMVHLESYEIFLWSPITNQKINLPFDEDNFLANNNVVKCFLSHKPSDPNCIVLVVNCRDTMFWYCHPKGDVWFKHEYQSSMISTGEDRENVIATVKHLTAVEGRFHAYLNKDKAILTLEFLPKPTFTTTPVKDAPDPKSGGELFTLSFKHPIECVDKVMQIEVHKLNLSERIWMKVSTIDNKAFLVDRTGFGASLNAEDVGLKRNGIYFVRPKDKGLYVYNMERGTTTIHNPGEDLPDNIALEIPYMNYLNLKKINILEAHGMEATKEDQDSTLLDPKFAPVLLFVDGNLHDIDDEDSTENDEDDSIDDEDNSEGEELDLGDENSNNDDDELGEANTYCERNDDGCHEDGGDDDNDLE >OGLUM09G09190.1 pep chromosome:ALNU02000000:9:13289671:13295894:-1 gene:OGLUM09G09190 transcript:OGLUM09G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGAGASVAARVCRRPSMDDPYPGLDDPSGARSTWDLAARRCQGLRLAPLPAVASAAPRRKSAPGDGIAVMSLTEKTRSKPSGLPARSTPLCRANRRREIQFQYLAIFGFDEMMFSLSSGYPCYQPWQEALCSKENLSTTLMVKPTLATKKV >OGLUM09G09190.2 pep chromosome:ALNU02000000:9:13291033:13295894:-1 gene:OGLUM09G09190 transcript:OGLUM09G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGAGASVAARVCRRPSMDDPYPGLDDPSGARSTWDLAARRCQGLRLAPLPAVASAAPRRKSAPGDGIAVMSLTEKTRSKPSGLPARSTPLCRANRRREIQFQYLAIFGFDEMMFSLSSGYPCYQPWQEALCSKENLSTTLMVKPTLATKVF >OGLUM09G09190.3 pep chromosome:ALNU02000000:9:13289914:13295894:-1 gene:OGLUM09G09190 transcript:OGLUM09G09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGAGASVAARVCRRPSMDDPYPGLDDPSGARSTWDLAARRCQGLRLAPLPAVASAAPRRKSAPGDGIAVMSLTEKTRSKPSGLPARSTPLCRANRRREIQFQYLAMYSYSTSRRIWVRRDDVLPFIWISMLSAMAGGVVLKFSTRKFINYTNGEADLSHEGPGPSNYVRS >OGLUM09G09190.4 pep chromosome:ALNU02000000:9:13289914:13295894:-1 gene:OGLUM09G09190 transcript:OGLUM09G09190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAAGAGASVAARVCRRPSMDDPYPGLDDPSGARSTWDLAARRCQGLRLAPLPAVASAAPRRKSAPGDGIAVMSLTEKTRSKPSGLPARSTPLCRANRRREIQFQYLAMYSYSTSRRIWVRRDDVLPFIWISMLSAMAGGVVLKFSTRKFINYTNGPGPSNYVRS >OGLUM09G09200.1 pep chromosome:ALNU02000000:9:13315837:13316964:-1 gene:OGLUM09G09200 transcript:OGLUM09G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIASTSRVRGWSSLPTDVLVRILGSLRWSSHPNAALVCRQWRSAVSLSSFYPAWITPLLLSTADVGTANIRYYSPYYDKNFEVHGPLKVPGAKICCSTGRHLSMCVDQSLVFDIDLVTGALVEVLPPKPHALFNFVVSDGDERLFGVEAILTIKVTSSIRNSSGEWEDWKLTEYHLDLPRIRVSPDTNPVHHNGLLYLLAQDGRLLVYDPCRHDNGFEILDKPDGFGFKCEDSYLLRSSQYELMVVLIERRGKAVHVLKLNEETMEWEKVESLHGQAVFTGSLTTIIKKPKFKWMENKVFLPRFYNWPETIHVDLVTREGEMAFVPKSSSYSNTLDASITNIWSYELGCGTPTMEYWGTERPDYSIWVDFAGN >OGLUM09G09210.1 pep chromosome:ALNU02000000:9:13319811:13320340:-1 gene:OGLUM09G09210 transcript:OGLUM09G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGTEAFPDLGAQCDREDCNQLDFLPFDCDGCGKTFCAEHRTYRDHGCARAADQGRTVVVCEACGDAIERRAGDGGGDDAAVLEAHARSAGQCGRDAQKKEGGGWKLPQSVRNMKIF >OGLUM09G09220.1 pep chromosome:ALNU02000000:9:13327788:13327994:1 gene:OGLUM09G09220 transcript:OGLUM09G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFGKYTQNNAITLACKQHPPHARREPCQLYTSEHKVHYTWELAGAAAAMATSPASTSDGSCRNPRT >OGLUM09G09230.1 pep chromosome:ALNU02000000:9:13328071:13329714:-1 gene:OGLUM09G09230 transcript:OGLUM09G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2G7] MGAGRPRRWKLPFHRSAPSSPSSPPDPEPHSPARSAVVVVAEEEAPPAEFVCSILGALMADPVILPSGQTYERACLQACAELAFLPPGMGSASDAVIPNTALKAAIGTWCARSGRVVPAPPSADAAREAVLRAMPADAAKSVRTRRAALASSSNSSYSSPASAASTSSYTSSSEIIPAEDEVGVKPVKEGTNKDAVREQVEMAVDPLEDVVVAKVMDAEEEEEVVLAVAGLREATRESAERRRALCTPRMLAALRRVLLIPRHASARVDATAALVNLTLEPANKVRIVRAGAVPPLVEVLRSSTSAPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLDLLTSPAHAAPARRDAGMALYHLSLAAVNQSKIARFPGAPKALLAVASSAAERMPIRRLALMVVCNVAACTEGRAALMDAGAVAAVTAILSHDTRSAELDEWCVAAMYAMSRGSLRFRGLARAAGADAALRRVAEECAPGIVRRDMARKTLRAMRNEADDAADLTGSSLECGDGDDCAGSIVSDGLMSFRRRQRELGSSSCGNTAEF >OGLUM09G09240.1 pep chromosome:ALNU02000000:9:13333998:13334908:-1 gene:OGLUM09G09240 transcript:OGLUM09G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCWVDQLGIWEIGSMHSCVLCVCSKNVGSWACIKMRPLFAIGSIEQKNMRGVLEYSTKEKENMWSQMGTFCW >OGLUM09G09250.1 pep chromosome:ALNU02000000:9:13338977:13342375:-1 gene:OGLUM09G09250 transcript:OGLUM09G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome family protein [Source:Projected from Arabidopsis thaliana (AT2G19560) TAIR;Acc:AT2G19560] MAAYLSMGEAHRRIADYLSRVADSVSSSDGAALASLLAVSSAQATTPLSDALSAFPDFPRLAADRYPHLSDLLPPLLRAIHSHSLRRFADAYSSFEKAANAFLQEFRNWETPWAMEAMHTVALEIRLLAEKADRELATSGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVHLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALVHCNPQYGSNLRIILKFLIPVKLSIGVLPRITLLERYNLLEYADVVTSLKRGDLRLLRQALERHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLEVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >OGLUM09G09260.1 pep chromosome:ALNU02000000:9:13345677:13349345:1 gene:OGLUM09G09260 transcript:OGLUM09G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKQGKKDVESYTIKGTTKVVRVGDCVLMRASDTEKAPYVGRVERLETDGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKLDHFLCADCVKENGTKRPSNSYPASSNSDSKVEPKKRKR >OGLUM09G09270.1 pep chromosome:ALNU02000000:9:13349733:13355797:-1 gene:OGLUM09G09270 transcript:OGLUM09G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGGAGAGAGAGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQAMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTARAGARDPSAVPSICRISTALHILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAISTAGDD >OGLUM09G09270.2 pep chromosome:ALNU02000000:9:13349733:13355797:-1 gene:OGLUM09G09270 transcript:OGLUM09G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUGMIN subunit 5 [Source:Projected from Arabidopsis thaliana (AT5G38880) UniProtKB/Swiss-Prot;Acc:Q9FMB4] MPASSVSGGSGPGGVSPEAIIEWLQDEMGYPSAPPAPDQLRKICRGNMLPVWSFLLRRVRSERTVSTARKNILVHGVAARRAREGGAGAGAGAGGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQAMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGAGGPADAVEDMQLQSEREELYSTVKSNRLSDDLVETSRERSIRKACETLAGDMIEMIRSSFPAFEGSGINSSSQLDAAKLGIDLDGEIPQDVKAVALDSLKNPSLLLQSIITYTSRMKILIHKETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKTGSELSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKAKSQKLLQRLHGTSDAAGSKKMPTGNTSQNMTNSRHLELDVWAKEREVAGLKASLNTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYNALLRANMEASTFWEQQPLSARGYASRTIIPACNAVVDMSTNSRDLIERELSAFGQSLDNSLCRLPATPQALLEALGSNGATGSEAVAAAEKHAALLTARAGARDPSAVPSICRISTALQYNSGKFTAVVIVVISPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKMVSERWLPELRNAVQEAQRCFEDCRRVRGLVDEWYEQPAATVVDWVTIDGQSVGAWINLVKQLHMEISRRTLAISTAGDD >OGLUM09G09280.1 pep chromosome:ALNU02000000:9:13360445:13363105:-1 gene:OGLUM09G09280 transcript:OGLUM09G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERDWSSLPSDMLALVLERLGWSSHPSFALTCRHCRSAVSPFYPAWITPLLLSSGAAVSRTNIRYYSPYYDRNFEVIMQKVSSSNDARICCSNGQRLTLFSPFSISQIDLLTSVAYESPCTPHYSYDFIVYDDGSRRVYCVNTTLALQLTRATQDGDGEWGPWDRTEFNVEAGPQLTLVAPIRSPVLHDGLLYLLGGDGKLAVYDPCNHTDNFRLLGKPDNFGIDHQEVDSHLFESDQGELMAVLVGYNGAPVHVAKLNEATMEWDKLETLEGRALFTGTYTTMMRKTRFKSMQNKVFLPMLYEWPETVHVDLIVRDVWLTCSHAGQDRTENNIFFTS >OGLUM09G09290.1 pep chromosome:ALNU02000000:9:13363634:13368759:1 gene:OGLUM09G09290 transcript:OGLUM09G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDNNECPLSLDPKLAPLLLFGGGDDDDDDATFMYSVRTRALLPRRSTDDDMDATMRAHRRWTTAQGWLLMAPRRGSSPSPCTTTFLWDPFTGRRIALPPDHNGTLLTHGCDRMCLLSRRRPTDPGCVVVVIDLDDTVLWYCRPGDLHWVEHHYLQPGKPHHEHRDCVGWAIGNLTAIDGKFYTEFNDHVAVLEFSPEPVFTVTAVDGDHGCPAGYTRRTGNLVESNEDLHHVFFSHPIGCSRIVARVNVYKLSVATQNQRSTWVKVDSLDGRVFFVGIDSLGVGASLDAKETVLKGNCIYYWGINGKVLNVYDMERGTTIGIKESEGPTSLDPKLAPVLWFHNNYYQYVEESDDEDGEVSGDDEEVSDEDEFSATSDEDESIEETDDDGISDDDDSNDDEEEESNEVNDTLLLYSISSQQLLANSGLDDLKDHFYWITPQGWLLMLHRDSHAIFLRNPFTSQRINLPFDQDRFLRKNCTRCLLSHKPTDSNCVVLCFGICRPGGMQWFKHEYQARRFFCHRGAVIKTMSLTTAVGGKFCTAFFSTVVTLDFSPNPTFDIVAVTPVQNSLYNFSEIYLLESRGELFCLYFYPPGACSNKTVEISVYKLDIPTTAWVKVGTLGDRAFIINTRKGYGASVNAKEACIQENCIYFSRHRDKGLYVYSMERGTTAAINPSTDLVDDVAAQILMPAS >OGLUM09G09300.1 pep chromosome:ALNU02000000:9:13375385:13394522:1 gene:OGLUM09G09300 transcript:OGLUM09G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHRGGGTHAAPLLLVVVLLLLAPSSRAGAVGGLGLGELMMKVPFSPGDVLPVLPRQVSWPVMNTLHSAVDLLPSFVAAVAPGAAPSDAAWSGACFARNEAALELTPGDRNGTDLGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELEYVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTGWGQHSNLAFLEKHMGASFEKRSQPWVTNIRKEDIHSGDFLALSKIRGRWGAFETLEKWVTGAFARHTAVCLKDEEGEVWVAESGFENEKGEEIIAIVPWDEWWAMALKDSSNPQIALLPLHPDVRARFNETAAWDYARSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMAVMSMWTRLQPLYAANMWNEALNKRLGTEGLDLHGIIVETERRGMSFDQLLTIPEQDEWVYSDGKSTTCVAFILAMYKEAGIFAPFAESIQVTEFTIRDAYMLKIFEDNQARLPSWCNTEADKLPFCQILGEYRMELPEYNTIEPYAKMNENCPSLPPTYKRPARC >OGLUM09G09310.1 pep chromosome:ALNU02000000:9:13395582:13399359:1 gene:OGLUM09G09310 transcript:OGLUM09G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAAALSDDDRLVVAHCAALSFPPASQPPPPPTTSSSSSGAGAGASFQVHHASHPYPCAAFAFPPSWSAAPGWAAAGRAAFGDAEVDPSLFPSLRSVGSGVPARANAAFLASFRALLDGSPLQSEEKKNDSLDMVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLETCTRRGSVNQAHPFCVTFGAPLVGDNTFNHAVRREGWSQCILNFVVPVDIIPRIPLTPLASATEGIQAVLDWLSPQTPNFSPSGMPLIISQFYENLLRSTLSIASYEACSFMGCTSSILGTLTSFIELSPYRPCGTYLFLTSSEQLAVLTNSDAVLQLLFYCLQLDPQQQLRDAAERSLSAHWQYEPIKQSMMQEIVCVDYLGVVSSTLPGRQMSSTIFGGLELSKEAMLSLSAAGQWEKQRETNQAKIDGASCTKIREALKSLNEYKRTCELHEVSYYDSFKLQREVHDFNANVSRLELAGLWDEIVEMLRRRELPDGFESRQDWVNLGTLYRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQEWHEQLQRISFGSSLESCFWAMAEELQAEIANGKTFEDVRDRVVKLESDAHGWSMSGSLGKDIFLSRSSFVIWWKTLPENHRSASCIAKLVPW >OGLUM09G09320.1 pep chromosome:ALNU02000000:9:13399636:13400787:-1 gene:OGLUM09G09320 transcript:OGLUM09G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERDWSSLPFNVLAAVLEQLRWSSHPSFALSCRHWRSAVPPFYPAWITPLLLSTARVGAANLRYYSPYYHYNFEVVASCDDGGVARDAKICCATGRHLALEKTRLALDVHLVTGAVRQAPHTAYADHFDFVIYDGGGARRMFGIDAVLPLAVGYTSQIGDGDEGEWEDWTLTEYNVNGPRLRPSPVTNPVFHRGSIYLLGEHGRLAVYDPCKHAEGFKILDKPMSFGFEQYHDSYLVESDQGELMAVLFGRRGTPVHVIMLNEKKMEWEKVESLRGRALFTGTLTSMVKKTKFKWMQNRVFLPMFYKWPETIHVNIVSRDGELAFVPKSSSSNTKYSTMGDHSNGTCCEKCADVWSYKLGQQEARENWGAERVYYGVWVDLH >OGLUM09G09330.1 pep chromosome:ALNU02000000:9:13409055:13415212:1 gene:OGLUM09G09330 transcript:OGLUM09G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGIKESEGPTSLDPKLAPVLWFHNNYDQCVEESDDEDGEASGDDEEMTRRRCGCRLAGRSSGRPRRRSWSHTRDGSLVAAGQLAQPRIRRATPSLPPRDDDGDEFDLRPPPRRVHELEEVPGEFRHLMAPSFLARRHANTTNSRSSGLPRKTAAIWVPTFWSPRFLTAIVFSLVDILVSSWKLAPPL >OGLUM09G09340.1 pep chromosome:ALNU02000000:9:13415231:13422546:1 gene:OGLUM09G09340 transcript:OGLUM09G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTRAWKVQAASDHKEKAGEKAAEDRFIGIKESEGPTSLDPKLAPVLWFHNNYDQCVEESDDEDGEASGDDEEVSDEDEFGETSDEDESIEETDDDGISDDDDSNDDEEEESNEVNDTLLLYSISSQQLLANSGLDDLKDHFYWITPQGWLLMLHRDSHEIFLRNPFTSQRINLPFDQDRFLRKNYIRCLLSHKPTDSNCVVLILSLHDTVIWYCRPGGMQWFKHEYQARRFFRHRGTVIENMSLITAVGGKFCTVFPSTVVTLDFSPNPIFDIVAVTSVQNSVYKFMEIYLLESRGELFCLYFYPPVSCPNKTVEISVYKLDIPTTAWVKVDTLGDRAFFIDTREDYGTSVNAKEACLQENCIYFSRHKDKGLYVYSMERGTTAAINPSTDLTTRAWKVQAANERKEKSGVKVAEEFRCACCLGFYKGSAKRRDEHTQRCLAKREAEVAADQRAAEAAKEAANEP >OGLUM09G09350.1 pep chromosome:ALNU02000000:9:13422575:13428908:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLGSEVMRRTLFWGEDLMSMEDVQCSKSESFFFTITAAEEAQSLSGWITINNSLLLYAGSSAIRLVDVRSSNHRGIPESLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGSSKSIESTEEIYCAQSVTRNSNCVKLLITIKNKLDGPRGVTC >OGLUM09G09350.2 pep chromosome:ALNU02000000:9:13422678:13428908:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLGSEVMRRTLFWGEDLMSMEDVQCSKSENRPIIVESLRGGRACLAGPRQSTSVPRRYGEDGIKALPQRIH >OGLUM09G09350.3 pep chromosome:ALNU02000000:9:13422575:13428492:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTLFWGEDLMSMEDVQCSKSESFFFTITAAEEAQSLSGWITINNSLLLYAGSSAIRLVDVRSSNHRGIPESLLGGRACLAGPRQSTSVPRRYGEDGIKALPQAAAAVIKGIHSRASNIADTGGSSKSIESTEEIYCAQSVTRNSNCVKLLITIKNKLDGPRGVTC >OGLUM09G09350.4 pep chromosome:ALNU02000000:9:13422678:13428908:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPYSPAPVPRILFGGGVGPPIREDLGSEVMRRTLFWGEDLMSMEDVQCSKSESFFFTITAAEEAQSLSGWITINNSLLLYAGSSAIRLVDRIH >OGLUM09G09350.5 pep chromosome:ALNU02000000:9:13422678:13428908:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIPWICRREVTWIGVVLSPCGSEVMRRTLFWGEDLMSMEDVQCSKSESFFFTITAAEEAQSLSGWITINNSLLLYAGSSAIRLVDRIH >OGLUM09G09350.6 pep chromosome:ALNU02000000:9:13422678:13428492:-1 gene:OGLUM09G09350 transcript:OGLUM09G09350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTLFWGEDLMSMEDVQCSKSESFFFTITAAEEAQSLSGWITINNSLLLYAGSSAIRLVDRIH >OGLUM09G09360.1 pep chromosome:ALNU02000000:9:13435016:13436748:1 gene:OGLUM09G09360 transcript:OGLUM09G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCTSLPNEDDHQFVLVRLKHVDIVAVIMFKSKAHGLVKNLKSFLMLAWVIHGQPPLFAQKIYIKPPFLPIAIVVLVDIGNAERENRVDPKHSMRVVAVDDEVEHVFMHVRNLTDDAGYKIGIEYRARHAEREVSPGGGAADPGATGDAAKSGAAAFVVPDLEALVVVGAVVAEVRGADAGGAEQERGDPRRIGIKENKQSILLDPKLAPMLWFHYNYYMPLEESDNEDNEESGDDDDVSDEDEFSETSDEDESTEETDDDGISEDNEIDVNGDDDDNTTDEGKEVNDTLLLYNISSKQLVAHDKLYDLKDHFYWITPQGWLLMLHRDSHEIFLWNPSTFQRISLPFDQDRFLRKNYTRCLLSHSPTDPNCIVLVLSLHNTIIWYCHIGGTQWSMHEYHARRFHRHRLTVIKSMSLFTAVGEKFYTTFGDRIVTL >OGLUM09G09370.1 pep chromosome:ALNU02000000:9:13435120:13436324:-1 gene:OGLUM09G09370 transcript:OGLUM09G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILERLRWSSHPSVALTCRHWRSAVPPFYPAWITPLLLSTARVGAANLRYYSPYYHKSFEVGDDEGGSPALGGVARGARIGCAAAGRHLALGMSGAVLDADLVTGVVRKVPHVHKDMFNFIIYSDDAHRMFGIDAVLPLSVAYVNQNNDGDWEDWTLTEFDPTRPRLRASPITNPVIHGGLIYIFWANKGGWPCMTHASMRKDLRFLTSP >OGLUM09G09380.1 pep chromosome:ALNU02000000:9:13443476:13449644:1 gene:OGLUM09G09380 transcript:OGLUM09G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVAAMGSAPLLCTTVDEEDFSPPSTSGRGRGGRKQGRGRGGNSCHQCKRVKPRPEEMVRCQLCGDKVFCAACIKNKYPEMQQAEVRDECPFCRNICNCTRCNPSDKSDGPRNPFVRRCNSSSSVKRRVKTAASGLRCRVDTAALQAKAIDKLEANSRINNESAMLDKADTLDVRTDEVDTETKSKYANYMLHYLAPHLTKLNKDQMSEIEKEAKIQRLELSQLSVEQAACRHDERVFCDHCKTSIFDLHRSCPGCSYELCIVCCKELREGKLMGSCKEELFSYPNRGPDYMHGGDGDSVPELINYKQGDLSSNQSKDIQWRVDSDKIYCPPTELGGCGNHILQLRRIFSKDWLSKLEVDAFQMRKQLEPSDIIGRDTCECSCSTDHASSRKAASREDSTDNYIYCPTLDNGKPEDLTHFQKHWVKGEPVIVQQVLKKMSCLSWEPPDMWSKVHGTGTSPEMKNVKAIDCLSCCEVEICTQDFFNGYYEGRMYQNLWPEMLKLKDWPTSNHFEELLPSHGVKYMNSLPFQPYTNLKSGLLNVSTLLPDDILKLDMGPKSYIAYGYAQELGRGDSVTKLHCDLSDAVNVLMHTAEVDPSKEQIDAIKSLKRRHTAQNEKECSGNADGNYTSPKICGDANELSCPINSETNKGGALWDIFRREDVPKLKLYLDKHSKEFRHIYCSAVQKVCNPVHDETFYLTEEHKRKLKEEHGIEPWTFVQKLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENVKECLSLTEDFRRLPKNHRAKEDKLEVSNTFPMRTHFEPFGTKYVSMCSPRLKLITPYIQKDEATLLIGLLTKNKWQTF >OGLUM09G09390.1 pep chromosome:ALNU02000000:9:13451073:13454042:-1 gene:OGLUM09G09390 transcript:OGLUM09G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSGIAAAARGELPLSPGELRALTSAPPREVVAAWRLPTAAATVVLKTVAPSSPSDDGAVEEKADAEGDVTASDTKCDASCVNVSVLNRHRNRHLFGFTISAASVYDIALKAD >OGLUM09G09400.1 pep chromosome:ALNU02000000:9:13462250:13467318:-1 gene:OGLUM09G09400 transcript:OGLUM09G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:malonyl-CoA decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT4G04320) TAIR;Acc:AT4G04320] MRQYLSAAAAGGEEEEEEHPEAGGGGGSASAMYRMERGLREALRPKYAGFLEAMNAQPGGLKLLAVIRADLLALLGEENLPALRALDGYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEVCDQLTCFDLAAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKDTAASIQEVLWDDPPTPESEARCALFYSISSTQIFATLSPIPGFMQWLLAKLASQIKLAEAESQDGSLLEGTSSTFRESILFPEEERMIHDAVEHAGGKSGIELLQDILKSSQWVKSDKLSSALKSPLMRLCARYLAREKKRGKALDAVANFHLQNGANNALLFWQMIERINWMADQSEKGIQQSGGIMVNYMYRLENIEEYALSYLGTGLAHTSSNLLQYIESRAAVIEVLGKNCLQEYFFRLAGCNSLALFYASRVWFVSLRRGGQKLYQKKCAERLVLLAFQALLYSLEHRVALVAFSQDDCYTTFDDNPLVDFFLVYHEPK >OGLUM09G09410.1 pep chromosome:ALNU02000000:9:13466674:13467496:1 gene:OGLUM09G09410 transcript:OGLUM09G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKATATDAQPSLQVNQIPNWMHSLKNQSRSESSVKPPERTLQGQNSKEAGSSQVIWWRVSAAGLSHVTSFSFSNARRSARMTARSLSPPGCAFMASRNPAYLGRSASRSPRSIRYIADAEPPPPPASGCSSSSSSPPAAAALRYCRMRSRTRARGTS >OGLUM09G09410.2 pep chromosome:ALNU02000000:9:13466674:13467496:1 gene:OGLUM09G09410 transcript:OGLUM09G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPKATATDAQPSLQVNQIPNWMHSLKNQSRSESSVKPPERTLQGQNSNARRSARMTARSLSPPGCAFMASRNPAYLGRSASRSPRSIRYIADAEPPPPPASGCSSSSSSPPAAAALRYCRMRSRTRARGTS >OGLUM09G09420.1 pep chromosome:ALNU02000000:9:13470615:13474605:1 gene:OGLUM09G09420 transcript:OGLUM09G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRREPWTVEKGVRRPPARQQRTRARMAASSDARRRTTSRSLVKPRTAIARAVGWNSLPGSAGHLANVHEGAGMFYQPKFKLSTFFVIWMSRPVVIEILGKVNLSGTGGNVSESSPGVFLQALLYSLEHRVALVDFSQDDCYTTLDDNPLADFFLVDHEPKSAAYPGYPRPSVQGSDGCPSRGPLAFV >OGLUM09G09420.2 pep chromosome:ALNU02000000:9:13470615:13474286:1 gene:OGLUM09G09420 transcript:OGLUM09G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRREPWTVEKGVRRPPARQQRTRARMAASSDARRRTTSRSLVKPRTAIARAVGWNSLPGSAGHLANVHEGAGMFYQPKFKLSTFFVIWMSRPVVIEILGKVNLSGTGGNVSESSPGVFLQALLYSLEHRVALVDFSQDDCYTTLDDNPLADFFLVDHEPKVRRHVSNRLSITILKFTPS >OGLUM09G09420.3 pep chromosome:ALNU02000000:9:13470615:13474286:1 gene:OGLUM09G09420 transcript:OGLUM09G09420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGELRREPWTVEKGVRRPPARQQRTRARMAASSDARRRTTSRSLVKPRTAIARAVGWNSLPGSAGHLANVHEGAGMFYQPKFKLSTFFVIWMALLYSLEHRVALVDFSQDDCYTTLDDNPLADFFLVDHEPKVRRHVSNRLSITILKFTPS >OGLUM09G09430.1 pep chromosome:ALNU02000000:9:13496215:13505212:-1 gene:OGLUM09G09430 transcript:OGLUM09G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2J7] MDGAAHKNNKEEDGRANKGGVQDTVGASLVLRERQKKKNTERERLRERIRRTAARACAAREAGRRPIDKRDAYGAATGRPAMSRGRARLQPPPPGTRTTTLAAVLVLFLLAVVTLPLRCDAASAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNTNGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPGGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >OGLUM09G09430.2 pep chromosome:ALNU02000000:9:13496215:13505212:-1 gene:OGLUM09G09430 transcript:OGLUM09G09430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2J7] MDGAAHKNNKEEDGRANKGGVQDTVGASLVLRERQKKKNTERERLRERIRRTAARACAAREAGRRPIDKRDAYGAATGRPAMSRGRARLQPPPPGTRTTTLAAVLVLFLLAVVTLPLRCDAASAGGEEEEEQQPLDYREALEKSLLYFEAQRSGRLPYSQRVTWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIDFAADIAAAGEWRHALEAIKWGTDYFVKAHTHPFVYWAERLTGGGHGGVQVGDGDTDHYCWQRPEDMTTSRQAYRVDRDNPGSDLAGETAAALAAASIVFRRSDPHYSHLLLHHAQQLFEFGDTYRGSYDSSIEEVRSYYASVSGYHDELLWAALWLHRATGKEEYLRYAVDNADSFGGVGWAITEFSWDVKYAGLQVLAAKLLLDGDPQAAAHRGVLEKYREKAEHYLCACLGRNTNGADNVDRSPGGMLYVRQWNNLQYASSAAFLLTAYSHYLSSSSASASAALRCPGGAAAAAEMVSLARSQADYILGRNPLRLSYMVGYGRRYPARVHHRGASIVSHKEDGRFIGCVQGFDDWFGRGRANPNVLAGAIVGGPSRRDEFRDDRANYMQTEACTYNTAPMVAVFARLHRLTTAITTAAAAEDPDGGSPDRRSVDRR >OGLUM09G09440.1 pep chromosome:ALNU02000000:9:13548779:13553393:1 gene:OGLUM09G09440 transcript:OGLUM09G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDNFGCKRTLQIDSIPLILGALLSAQADSLDEMLLGRFLVGIGIGINTVLVPLYVSEVAPTKYRGSLGTLCQIGTCLGIIAAFSLGIPSESDPHWWRTMLYAACVPGVLIVAGMQFAVESPRWLAKVGRIDDARNVVEHVWGPSEVEKSMEEIQSVVANDDSQASWSELLEEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELAKKLGVGAVYAGFGGVSLLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >OGLUM09G09450.1 pep chromosome:ALNU02000000:9:13556319:13558706:-1 gene:OGLUM09G09450 transcript:OGLUM09G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTPNSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >OGLUM09G09450.2 pep chromosome:ALNU02000000:9:13556319:13558706:-1 gene:OGLUM09G09450 transcript:OGLUM09G09450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSSDLDLEDWMDSTPNSYDIYVLGFQEIVPLNARNVLGPRNSCISTKWNSLIGEALNKRRRRGAVLHQEITNSSATERSAQEEHFRCIMNKQMVGIFMSVWVRSNLRPYIHHLNVSCVGSGIMGYLGNKGSVSIRFVLHETSFCFVCCHLASGGKQGDVLLRNFDAADILVRTRFPGGATQELPKKILDHDQVVLLGDLNYRISLEEAETRLLVEDKNWSILLENDQLLIEFSTGRHFDGWQEGLITFSPTYKYHPNSDQYYWCFDGALGKKKRAPAWCDRILWRGKGLKQIQYDTCNYRLSDHRPVRAVFHAECVIRGDADCACGCIALSSSSE >OGLUM09G09460.1 pep chromosome:ALNU02000000:9:13563290:13567389:-1 gene:OGLUM09G09460 transcript:OGLUM09G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPTASPAAAAGRAAIPSLRRWPAAAAGVRIVVAMAPVKARAPRRLRLCLAVPPPASEMAAAAAAEEEEEEEEGWSKAVELDAAARREMAIRRLQEEAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKVVAPIFSVLAPKYRVAALHRRGPPVSRDPEALKIKYADPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPGASQRLYQSSASAHKSIKLYDGYLHDLLFEPERDDIANDIINWLSSRLDVLQRW >OGLUM09G09460.2 pep chromosome:ALNU02000000:9:13564629:13567389:-1 gene:OGLUM09G09460 transcript:OGLUM09G09460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPTASPAAAAGRAAIPSLRRWPAAAAGVRIVVAMAPVKARAPRRLRLCLAVPPPASEMAAAAAAEEEEEEEEGWSKAVELDAAARREMAIRRLQEEAGTGSSRREFAVFETARGDALFTQSWTPVAAADRVKGIVVLLHGLNEHSGRYNHFAKLLNDHGLKVYAMDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDVVLEENYGLPCFLFGHSTGGAIVLKVHTGTTKAVRLDCLIAFVIGSTHN >OGLUM09G09470.1 pep chromosome:ALNU02000000:9:13575736:13580856:1 gene:OGLUM09G09470 transcript:OGLUM09G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2K3] MASLTLPPAPTNPRQDAIDLHKAFKGRTVVVGVLDCQMLKTWTGRAEVGNGAAFKGSSGGFGCDSTTVINILTHRDSMQRALIQQEYRTMYSEDLSRRISSELSGHHKACKILEEFFILLNNRSNYKANCSDILNLAVDSDSSQKAMLLWILDPAGRDATVLREALSGDTIDLRAATEIICSRTPSQLQIMKQTYHAKFGTYLEHDIGQRTSGDHQKLLLAYVGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHMASVASAYHHMYDRSLEKVVKSETSGNFELALLTILRCAENPAKYFAKVLRKSMKGMGTDDSTLIRVVVTRTEIDMQYIKAEYYKKYKKSLAEAIHSETSGNYRTFLLSLVGSH >OGLUM09G09480.1 pep chromosome:ALNU02000000:9:13581517:13583847:-1 gene:OGLUM09G09480 transcript:OGLUM09G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLQLRLNTKAQHRRGKTHCSNLSTKTGPHSHRAHKALAMHKSPDFRRTEPPSWTNTSPQQPKDSTARSGTDGHQKDRASRTGRRPGQLEEELRARDRGNQDLGVNHVSSEELPVGSVALAALTVLLVAAFVLVAAATSAAAVAAVVSLAALSALLAVAYVGALSVAVFVVAATTAATVVAITIATGWAALFWIIWFAARKCLDLATN >OGLUM09G09490.1 pep chromosome:ALNU02000000:9:13616678:13617562:1 gene:OGLUM09G09490 transcript:OGLUM09G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAPQKSQTYININPSSPPHLPNLSNASSLFGGLFPGIASLYQRLPSPAALPLPSLAALPLPGPALSPHHRIERRRWRIEEEAADRAARWRIDAAMGGTDAPSRFFSPTD >OGLUM09G09500.1 pep chromosome:ALNU02000000:9:13618960:13625199:1 gene:OGLUM09G09500 transcript:OGLUM09G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYSSYHHPSTWPSTSPSPLAAPPGAASSPLDPTAAFLSSPHHRMLSAASGRLNGMLSVSDTLRSYGVPGAAAPGVIGGAHHHHHHHHLHGGQPFVGALASRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPADGGSGDEEFAGGGQAASGGGDSMCLRGGGGGGAAAAAFAEHGQSASEGAASSVGGGGGGGDMDQSSAGNTSTTRWSNSSRDPWLSSNSCNMDAHRSVGLSSPIENLEPCRSSSSQVSNHELSSPSLEFTLGRPDWHGADHD >OGLUM09G09510.1 pep chromosome:ALNU02000000:9:13640870:13642224:-1 gene:OGLUM09G09510 transcript:OGLUM09G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2K7] MVVVVAMPPALSLLVLLVLALHGGAGDATPPPPLRLVRGARRVAFDEGYTRMFGDGNLAVLRDGRRVRLTLDESTGAGFASQDVFLHGFFSAAVKLPAYYSAGVVVAFYLSNGDTYEKTHDEVDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYDLPFDPTDELHHYSILWTRRRIIFYVDETPIREVVRTAAMGAAFPAKPMSVYATIWDGSAWATLGGRYRVNYRYAPFVAEFADLVLHGCAVDPLAVEHSASCGDEEEEAAEAVVSSAAMAAFRRGHMSYSYCHDRRRYPVALSECALTGGAASLGRLFGPDGMKRRRARRARDASS >OGLUM09G09520.1 pep chromosome:ALNU02000000:9:13655179:13657099:1 gene:OGLUM09G09520 transcript:OGLUM09G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFVEKKGLPKNLVLGSCTVLETAGQGALGTLYKVLESSIAERENGSSAQGQIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRAPIVPSDGGISRTRETTLPVNELTKSLRKTGYDVMPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLEALS >OGLUM09G09530.1 pep chromosome:ALNU02000000:9:13664708:13665473:-1 gene:OGLUM09G09530 transcript:OGLUM09G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLLSLPLLLLPLCPPPLLLLLCLLLLATSSPPPSTGSGRLEVRARWIQPSSGRGSPDPAASNLIAVDQRLQFHHVHIVIISDADTAAATEDEIQSMKTVIVVAPTANTMGTPGAWWNREALVLPPSPSCMATESNLLRSWLTGSTGMDYKCYNLYRHRF >OGLUM09G09540.1 pep chromosome:ALNU02000000:9:13675562:13677621:1 gene:OGLUM09G09540 transcript:OGLUM09G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEFVQDEEKQRLLLDEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANAPSALVLTAGLAEVAAGAISMGLGGYLAAKSDADHYNRELQREQEEIDTVPDTEAAEIADILSQYGLGPEEYGPVVNSLRSNPKAWLEFMMKFELGLEKPEPRRALMSAGTIALAYVVGGLVPLLPYMFVPTADRAMATSVVVTLAALLFFGYVKGRFTGNRPFISAFQTAVIGALASAAAFGMAKAVQSI >OGLUM09G09550.1 pep chromosome:ALNU02000000:9:13682603:13684261:1 gene:OGLUM09G09550 transcript:OGLUM09G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAGGALRRSIPRTQSGYNQAFPSPSSAAAPSLAAANPRRLSSSDCGGSSTDPNKKLNSRKLEKNKEVGTSSRDADISDEELRKRMSSTADKLSRYLHEQTHLIRDIEVQLQDSNRYDQVKYFLVLVPSFVCVGLILDKMHVFG >OGLUM09G09560.1 pep chromosome:ALNU02000000:9:13687882:13693564:1 gene:OGLUM09G09560 transcript:OGLUM09G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLRSVARELRRSIPPPRPRPAMSVMDRLAPRLLSTESSNHKMNPSSSSSPLLDNFFRSDRAKRMDTSKVQFSKDDLKEFDRYLDERTKRAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKFLLVKQWAVLISYSSVLGFALYNLHLFS >OGLUM09G09560.2 pep chromosome:ALNU02000000:9:13687882:13693564:1 gene:OGLUM09G09560 transcript:OGLUM09G09560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAGGALRRWIPRRLFPSTSYRVWFGRRQSGYEQAFAESPRRRHLGSDCGGSTDDNQKRYSMDELLKCKRQLEKNKEGAFRPADIPDHESKEDELYRKMRSTFDKLCHCLDEQEHILREIEDQVDNDEKCLIKSNNIWWRSLAWFVLVLFLIECICLVERLCYDF >OGLUM09G09560.3 pep chromosome:ALNU02000000:9:13687882:13693564:1 gene:OGLUM09G09560 transcript:OGLUM09G09560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAGGALRRWIPRRLFPSTSYRVWFGRRQSGYEQAFAESPRRRHLGSDCGGSTDDNQKRYSMDELLKCKRQLEKNKEGAFRPADIPDHESKEDELYRKMRSTFDKLCHCLDEQEHILREIEDQVDNDEKCLIKSNNIWWRSLAWFVLVLFLIECICLVERLCYDF >OGLUM09G09560.4 pep chromosome:ALNU02000000:9:13687882:13693564:1 gene:OGLUM09G09560 transcript:OGLUM09G09560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLRSAGGALRRWIPRRLFPSTSYRVWFGRRQSGYEQAFAESPRRRHLGSDCGGSTDDNQKRYSMDELLKCKRQLEKNKEGAFRPADIPDHESKEDELYRKMRSTFDKLCHCLDEQEHILREIEDQVDNDEKCVVQFIVLDTCFLFPFPNNASFCTYRFDQVKQYLVAIPSLVCIGLILDRMHMFG >OGLUM09G09560.5 pep chromosome:ALNU02000000:9:13691523:13693564:1 gene:OGLUM09G09560 transcript:OGLUM09G09560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLLRSVARELRRSIPPPRPRPAMSVMDRLAPRLLSTESSNHKMNPSSSSSPLLDNFFRSDRAKRMDTSKVQFSKDDLKEFDRYLDERTKRAERNLLLSLDKFCDACERRASLLRDIKAMLEARNKRSAQKFLLVKQWAVLISYSSVLGFALYNLHLFS >OGLUM09G09570.1 pep chromosome:ALNU02000000:9:13710723:13715418:1 gene:OGLUM09G09570 transcript:OGLUM09G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELAAGGGGGGGGEGPLPSLGRRRIPRVVTASGIVPDLDYSDDDAASAASSSDHSSAHSHAPRERAIIVANQLPIRASRRGGGGGGWEFSWDEDSLLLQLRDSLRAHADRADDMEFVYVGGLRDDVPAAEHDEVAHHLLEGFRCVPTFLPADLRSRFYHGFCKQQLWPLFHYMLPLSPELGGRFDRALWQAYVSVNKIFADKILEVISPDEDYVWVHDYHLMILPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPVREELLRSLLNADLIGFHTFDYARHFLSCCGRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLRSVLNLPETGVKVAELLKQFCDQNRLMLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGRGKDVKEVQDESYAMVRRINEAFGQPGYQPVVLIDRPLQFYERMAYYVVAECCLVTAVRDGMNLIPYEYVIARQGNEKLDGILGLGPSARKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDSALEMPEGEKVLRHEKHHKYVSTHDVGYWANSFLQDLERTCLDHSRRRCWGIGFGLRFRVVALDPNFKKLAVEHLVSAYRRTTTRIILLDYDGTLMPQTSFGKSPSSKTIDMLNSLSRDQNNMVFLVSTKKRSTLEEWFSSCDNLGLAAEHGYFLRLKRDAEWETCVPVTDRSWKQIAEPVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLANEPVTVKAGLNHVEVKPQGVSKGLVAKRLLSIIRENSLLPDFVLCIGDDRSDEDMFEVITTAAQDNCLSPDAEVFACTVGRKPSKAKYYLDDPADIVRLIQGLANVSDEMHSTMPTPVDAADTALR >OGLUM09G09580.1 pep chromosome:ALNU02000000:9:13716899:13719557:-1 gene:OGLUM09G09580 transcript:OGLUM09G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRHRRIQFQPVVSGRTGFLASHTLSPSLPSPPAAAAAAAAAAEKSGYRRCYARRLPPFFVLLGLPRPLVSKLCLGFAALLTALIVIMSLEEAPVLTIFSSTPEKLKVLSQGFLQQQEQEHLEDSTARQNENCNYAKGKWVADKKRPLYSGNECKQWLSKMWACRMMQRADFSYENFRWQPHGCQMPEFTGPNILKRLRHKTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAYWFPGTNSTILFYWSASLSELELLNTTDSVTSYALHLDRPVTFLKKYLHSFDVLVLNTGHHWNRGKFNGNHWELYADGKPVGKGRLADLNRAKNLTLYSIARWVDSELVRYPQVKAFLRTISPRHFVNGDWNTGGSCGNTVPLSNGSEVLQDHSSDLPVESAVNGTRVKILDITAVSQLRDEGHISNSTFKGRASTGINDCLHWCLPGIPDMWNELLFAQI >OGLUM09G09590.1 pep chromosome:ALNU02000000:9:13721912:13722723:1 gene:OGLUM09G09590 transcript:OGLUM09G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDVWMWSWWDDGLCWREAGMGLDVRDGDFFIVGRVFSLPSVSPFFGRTLFWSWGMLGGGRRLRLTVEVYGGWMAFRGWPRARLCRWLQSRCWRNPCRAFGRFDNDGVRGCCFPPWRRCHGAISYPHKSLGENLVPIFGRVAAASCVVSSLGASLRRSSNASMTADGFAFLGPLLSCGGRHALRLFLLMKSKLLADRGAATLGNDDMLQSLLRSSGAGRVKEVAPRWLG >OGLUM09G09600.1 pep chromosome:ALNU02000000:9:13723435:13726071:-1 gene:OGLUM09G09600 transcript:OGLUM09G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTRFTLAAGAGESLTTYTFGTHTAKHTFCRVCGITSFYTPRSNPDGVAVTAACVDPGTLAHVEYRHADGRNWEKWFSRSDISDFSKPKAPPPPPPPPNATRVGDLSFGV >OGLUM09G09610.1 pep chromosome:ALNU02000000:9:13726304:13733542:1 gene:OGLUM09G09610 transcript:OGLUM09G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MAIECLVLAARPPRLRGEDGGGEATEAWLRDSGVERRRERGEAAAAAAAAAWPSRAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYNAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAYYAGHVLGAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELRMQCCYPANNETVSIPTSQNLKINATEKFIASFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >OGLUM09G09610.2 pep chromosome:ALNU02000000:9:13726304:13733542:1 gene:OGLUM09G09610 transcript:OGLUM09G09610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 73 kDa subunit-II [Source:Projected from Arabidopsis thaliana (AT2G01730) TAIR;Acc:AT2G01730] MAIECLVLGAGQEVGKSCVVVTFGGGKRVMFDCGMHMGHRDSRRYPDFDRLLADGAADYNAAISCVVITHFHLDHIGALPYFTEVCGYHGPVYMTYPTKALAPLMLEDYRKVMVDHRGEEEQYSYEDILRCMRKVIPLDLKQTIQVDKDLSIRAAMIYAKVGDAAIVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTVRDSKHAREREFLKAVHKCVSGGGKVLIPAFALGRAQELCILLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKNSYTVHNPFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEVFKKWAPSEKNLVTLPGYCVAGTIGHKLMSGKPTRIDIDKDTHIDVRCQIHQLSFSPHTDSKGIMDLTEFLSPSHVILVHGEKPQMAFLKERIESELRMQCCYPANNETVSIPTSQNLKINATEKFIASFCMDETENDPQKQNLNFGGDMPQGCRTEGVAEGVLLMEKSKTPKILREDELLHSLGMETHFVHFEPLHPSSIEVKHTGESAVQQSSLEHLDCE >OGLUM09G09620.1 pep chromosome:ALNU02000000:9:13733589:13734690:-1 gene:OGLUM09G09620 transcript:OGLUM09G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLLRSARELRRRRSIFRPPRLAAPPVEPGSRLLTTDGAAKNTTPPSSSTPNATQFQLHRLEDALALRSEYAHCVETIKKLQVCRDEVIAYKRYLLKKKKESDLDYLLTLDQFSDTMEEWSSILRQTKEVLEAKNKESAEM >OGLUM09G09630.1 pep chromosome:ALNU02000000:9:13740668:13743500:-1 gene:OGLUM09G09630 transcript:OGLUM09G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPLLRSAGGVLRRSIHGAREQSSLFFFPTATDLAGRRQSVYKQAAFPSSSFARPSLAVADHPRRLFSSSGCSGRTEPAEKLLSMDEFLEHRRQFGKNEEEDTFRDAEISEHEKREEKLLRKRLSSTVKKLSRCLHEKKPLILEIGVQIENNNKYDQVKYFLVLIPSFVSIGLILDKLHVFG >OGLUM09G09640.1 pep chromosome:ALNU02000000:9:13744132:13747389:1 gene:OGLUM09G09640 transcript:OGLUM09G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETGCGGRRAGWRAPGRAGTVRRAASPRGRRVRRLHAPPLLLAVTSLHPPLLRLAVAPLRPSPLRSIPPTSCAAAPQQPRTPVPMCDSSSSSSSSSSLLLALAMGEGRRAHAILFPFPCSGHINPTLKLAELLHSRRVPVTFVNTEHNHERLRWRRGAAWAGRVPVRGGAGQHGEAVPVVAEELRAMLVEVARRVASDGSSGVLPVTCVVLSGLVSFALDVAEELGVPAFVLWGTSACGFACTLRLRQLRQRGYTPLIRSKVRFVRRAITPSHVYDRYRHGCVCCHTCLHTWRVLYCMRWTKSFAFASPAMADESYLTNSYLDTPIDWIAGVPTVRLGDVCSFVRTLDPTSFALRVDEDEANSCARAPGLILNTHRRRLRTFLGNVKIHQDGGQQ >OGLUM09G09650.1 pep chromosome:ALNU02000000:9:13752453:13755908:-1 gene:OGLUM09G09650 transcript:OGLUM09G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLELVLLSGDLSGAATRAVARCLRPMWGKPSASGFSTSAMLRSVGGVVIIDLAPASAAGSGKPPSTPAGVVHLELPPLATPPWPSRHSTAPSALLPMWFAPSLSIHEADAAICVMYPELPAEQLAMASAARFLQIHHDRDGLLQSCLSLTTARASATLMGSPRRAKDVSINFNLSKDDDKHSEDDQQPLCGEYLENEKCDIDYVLRNFRGMEKEVRSLQKEVETGKEEHKETKEELKEGMAETEEELKETKAELADTKRVAYMAILKDARRRAMEAERAKAKEAKEKEYAKNRIKLSWISASALKLAGEAILSIFFGGP >OGLUM09G09660.1 pep chromosome:ALNU02000000:9:13772398:13773515:1 gene:OGLUM09G09660 transcript:OGLUM09G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLTDYRRVKQLDFGSKFLIRHSVHTLQVSQIDLKDKAKHEESKFQPNEFVRSSNDRNLASSVKLKLNKLLQAITRAKSQLYIREQKMKRGGSSSSSATAVTMTMVVLLLVVAAASLRAADAAAAPRRLLGADGGGGGSPALVSESKASAGASTCTHDPNTPPSGTPCPPHN >OGLUM09G09670.1 pep chromosome:ALNU02000000:9:13775915:13776142:1 gene:OGLUM09G09670 transcript:OGLUM09G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGSSSATTAVMMMVVLLVAAASDAAAAPRRLLGADGGGGDQSESKVEHNKSGCTNNDNTPPSGVCPPNAPGP >OGLUM09G09680.1 pep chromosome:ALNU02000000:9:13780593:13784496:-1 gene:OGLUM09G09680 transcript:OGLUM09G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWTGDSLSKQFYQEDIDSYRRKLAAILYMSPSNKLRNNICSTSNGNGTDGGTRAADLNEDILNMSEIHGRPLTIASATDFGDAVQRWEPLTMPGVSGLQTQILLSLGDLSSQICETQKMLIRMAHDNTEFQENMNDRMTNVEHIQQLQLQQVTDITHGEQHFPRKRYIEVEYPSTIGKRVRGVNGRAVTYPYDNYEKNISTKIIKAFVKHFGQSRSIKRHKHMYHAYLETPSVVSMLIKYGYYDGFELGNTDENMYKSAGVSYVNNDMIFLPIRTSIDHWYVAVLDCTRKEVCVLDSMDRTEDDLKELKFLMKGIRKCVRLVLDDKIVENPQWDDYNVQAWKIRIRYNLPNKKDRTSSGLYSIKFMELWTGDSLSKQFYQEDIDSYRRKLAAILYMSPSNKLRNNICSTSNGNGTDGGTRAADLNEDILNMSEIHGD >OGLUM09G09690.1 pep chromosome:ALNU02000000:9:13789431:13789664:-1 gene:OGLUM09G09690 transcript:OGLUM09G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGKGAAALLVLLLVAASLNAGVAVAAARRLGEDGGGRRQQPPPLVSVSKASSGPSGCSNDPHISGRPCAPPKMP >OGLUM09G09700.1 pep chromosome:ALNU02000000:9:13802168:13802425:1 gene:OGLUM09G09700 transcript:OGLUM09G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAAAVMMVLVLLVTAAASLHAADAAAAVAPPRRLLAGADAGGAVESPPPPPPLVSASKTSSGASDCTHDPTKPSTGPCPPNAP >OGLUM09G09710.1 pep chromosome:ALNU02000000:9:13805216:13806666:1 gene:OGLUM09G09710 transcript:OGLUM09G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGGGNYNGGGAAGGRATATAALLVALLLVVAASQPHAGVAAARLLAPQPPALATSAAGSSKSCPSNCTNNPNNPSDRIEMFARSLASTPLVCNIAAGVPLPAGCNLRQLARIEALVLRRPRAPRLPRLFQALQQQRHGPVRPMGRLRGVLPARRRPHAAAPEAVAGEEAAPTKRRRLLADATTPTKRRRLW >OGLUM09G09720.1 pep chromosome:ALNU02000000:9:13813256:13813513:1 gene:OGLUM09G09720 transcript:OGLUM09G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSAVMRVIMAALAVATIILSVTLHEAAAARPVHGWNPQAEGAAAAATTRHGGFAAVQLAGSVPSCCTNGGGAGNCPPGVTCP >OGLUM09G09730.1 pep chromosome:ALNU02000000:9:13833408:13833774:1 gene:OGLUM09G09730 transcript:OGLUM09G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAVKIAAIFMVALTIGQLMAEASSSSSTSSSRGRRRRRRRELDEATLLADELATIVQGCRSICHRHPKSWRCRCCRERPGCHRVLDTCVCPAACFDRSLMASSSFRYDTDPLP >OGLUM09G09740.1 pep chromosome:ALNU02000000:9:13834943:13837442:1 gene:OGLUM09G09740 transcript:OGLUM09G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHFRTHTTSRLTFPSSSGGLAITRLPFSSTSSKLLLQQLSSTSPAAAAATAVTITTSSPARNLQRARASAAEQGMEEQGKAAVGWAARDDSGVLSPYSFSRRAQKDDDVTIKVLYCGICHTDLHIVKNDWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVASCRGCECCGNGYENYCAKMVTTCNGVDDDHDGGAATQGGFSDAIVVNEHYVLRVPAGLPLDSAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRQEAGGVQAVLHHRAVHRHAGAQQRRRAVRRQARRDAQHVVLPHDHDVGEAALGGGAAVVRAVRRDHHRRAVVLVPLAAAVAGAARVDEVAHAHRVAGLEPGHAGADAGDDADDLVAGDDGVHGVAPLVFDGVQVGVTDAAVEHLDRHVVFLLNPAPHITVAKFSDAHGRSKRRR >OGLUM09G09750.1 pep chromosome:ALNU02000000:9:13836270:13837657:-1 gene:OGLUM09G09750 transcript:OGLUM09G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDGTAALGWAARDASGHLSPFSFTRRVQEEDDVTIKVLYCGICHTDLHTIKNEWGNAMYPVVPGHEIVGVVAGVGAGVTRFKAGDTVGVGYFVDSCGACDSCGKGDENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVLRVPASLPPDGAAPLLCAGVTVYSPMVEYGLNAPXLLPLPRRRADDGDAHPERLGELDGDVAEPAEADDADVLPRRVQAVDHHRAVHRHAGAQQRRRAVQRQPRRHAEHVVLVDDDGVGEAALGGRAAVVVVVDAVAGGYHLGAVVLVPIPAALAAAARRDEVAHAHRVAGLELGHAGADAGDDADDLVAGDDGVHGVAPIILDDVQVSVADAAVEHLDGDVIVLLSPEIFFSQQKQIRLSTRQISRKSDSS >OGLUM09G09760.1 pep chromosome:ALNU02000000:9:13838828:13839911:1 gene:OGLUM09G09760 transcript:OGLUM09G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKPQHSTSKILSHIDLSRAQVRKAEAKLIPRSSSKCVSDSLGKESMVAKAEKERMRQGGRHTRESPSSSSLSCHRCAVELQAPVGRGSSGEEGELPRRSGKGSYTGNGRKRELPWARSGHPAAPPPLRPALSLLRSASSRLQSPLRPGIVAEKDASTFQIRPKPLAIHACTRFRARRYFDPPMSVVGRATKGDRGAGGGVAEGGGLWLVEKGKGRERGEMKSEEREWVGPTIK >OGLUM09G09770.1 pep chromosome:ALNU02000000:9:13840352:13841762:-1 gene:OGLUM09G09770 transcript:OGLUM09G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGKAAVGWAARDDSGVLSPYNFSRRAQKDDDVTIKVLYCGICHTDLHVVKNDWGNAMYPVVPGHEIVGVVTGAGAGVTKFKAGDTVGVGFFVGSCRTCDSCGKGYENYCPTMVITSNGKDYGGAATQGGFSDAIVVNEHYVLRVPAGLPLDGAAPLLCAGVTVYSPMVIHGLNAPGKHVGVVGLGGLGHVAVKFAKAFGMRVTVISTSPGKRREALEPRRGPDGGGGGHHGRDPQHGVGVAPGRAAVLADEADGADGRWSCRRTPSCRAMLDFAGELGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLGGSGDDKI >OGLUM09G09780.1 pep chromosome:ALNU02000000:9:13842756:13844229:-1 gene:OGLUM09G09780 transcript:OGLUM09G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGHGGGGGNNTMTTPASLGLPAAKWKDDTCTGNRMVAHWRRRMPRWCLAAGVGEDEVDLPACSTDLGEGDEATLHDAMALLGVDGFGRATMMPKQRRRPTCGVGASGDFSPFPPLTGGV >OGLUM09G09790.1 pep chromosome:ALNU02000000:9:13847925:13848104:-1 gene:OGLUM09G09790 transcript:OGLUM09G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAGEHGIAADVEVVAMGDVNAALGRLERNDVRYRFVIDVAGTLHADAAAAAAAAPS >OGLUM09G09800.1 pep chromosome:ALNU02000000:9:13852036:13852938:1 gene:OGLUM09G09800 transcript:OGLUM09G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGILNTVSAWHPIAPLFSLMKPMAQMVFVGGPTRPLELPAYAIVPGGKGITGNCVGGIRDCQAMLDFAGEHGITAEVEVIKMDYVNTAMERLEKNDVRYRFVIDVAGSSLAGSGDAKI >OGLUM09G09810.1 pep chromosome:ALNU02000000:9:13853315:13855016:1 gene:OGLUM09G09810 transcript:OGLUM09G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHDHVNLILSPAAAAARCRPPPPLASTSTSSTGSLSWCAIVSYRWWSWSSRGTLLLPCEVKREEGSVVGGKGY >OGLUM09G09820.1 pep chromosome:ALNU02000000:9:13856993:13858482:1 gene:OGLUM09G09820 transcript:OGLUM09G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNGTAALGWAARDTSGHLSPFSFTRRVQQEDDVTIKVLYCGICHTDLHIIKNEWGNAMYPVVPGHEIVGVVTGVGAGVTKFKAGDTVGVGYFVDSCRACDSCGKGYENYCPTMVITSNGTDYGGATTQGGFSDVMVVRQDYVVRVPAGLPPDGAAPLLCAGVTVYSPMVEYGLNGPGKHLGVVGLGGLGHLGVKFGKAFGMKVTVISSSPAKRGEALGRLGADAFLSSRDGEGMAAAAATMDGIIDTVSAGHPLVPLLSLLKPKGQMVVVVAMDAVNAALGRLERNDVRYRFVVDVAGTMHVAAAAAASS >OGLUM09G09830.1 pep chromosome:ALNU02000000:9:13863815:13865770:-1 gene:OGLUM09G09830 transcript:OGLUM09G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAAVGVVVALLWCAALIGGGAGAGQDLAADTAALLAFRDAVGPRLPWASSSSSPCGWRGVRCDAGGGRVVALQLPGAKLVGRVPTGTVGNLTALRTLSLRSNALSGGIPADIGNCGELRALYLQGNQLAGEVPEGFFSLLLLQRLDLSHNRITGSISPEFNKLRRLATLYLENNSLNGTLPVDLDLPKLQLFNVSNNDQLTGAVPASLDGKPASAFSGTGLCGGPLSPCTNTSPPSPSPSPSPPIPPPPAASQDSKSSKLSGGAIAGIAVGAAAALLVALAVIVLLCFKRGRRKEGRPADVDEDASPVSVTVARTDKVEVKRSRSRSRPSQQTTTASGAKKLVFVGGEPEVPYDLDTLLHASAEVLGKGWLGTTYRATLEGGAAVVAVKRLREAPIAEREFRDSVAELAALRHENLAPLRAYFYSRDEKLLVSDFVGAGALSSLLHGGGGAVRRARLGFTSRARIALAAARGVAFIHGAGSSSHGNIKSSNIVVNRTHDGAYVTDHGLAQLLGAAVPLKRVTGYRAPEVSDLRRASREADVYSFGVMLLEMLTGRPPANAVPGFDGVDLPQWVRAVVQEEWTAEVFDASIADEAHAEEEMMRLLKLAVECTEQRPERRPTMAEVAARIEHIVDTVIRNADVDDFDSVSQ >OGLUM09G09840.1 pep chromosome:ALNU02000000:9:13877536:13877987:-1 gene:OGLUM09G09840 transcript:OGLUM09G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPARRSGESDRDARSVVAGQRPPPAGRVSSSCSLRRSSLASSSSFLILITWLWISGSLSSIGADLEIAMELNLNCFCCCCSIVVMTQPQEKLDIYRERDEEEDQGGETGERWSGFACL >OGLUM09G09850.1 pep chromosome:ALNU02000000:9:13877707:13878003:1 gene:OGLUM09G09850 transcript:OGLUM09G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRSAPMEERDPLQGARRSAVGDYLEQQQQQDGVYLIHSQVMRIKKEEEEARELLLKLQLLETRPAGGGRCPATTLRASRSLSPLRRAGGAIPVGE >OGLUM09G09860.1 pep chromosome:ALNU02000000:9:13878227:13879058:-1 gene:OGLUM09G09860 transcript:OGLUM09G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIPKNRGWRIREGAHDRTTPVTAVLQLLPTPGGVRQVCTLTSTLSASSSSRSPPAGATSLSHELLKRGGPENDQIKNHRTKTSKDCFAKI >OGLUM09G09870.1 pep chromosome:ALNU02000000:9:13880098:13883171:1 gene:OGLUM09G09870 transcript:OGLUM09G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTINPRCDIDVEPLPRGDGEGEGGGGGQWVGGAQLLRRRLAPTPGEAPFLNATFNLEKNLEDLEMEEQMDFFHDESSIHAAGDDQPNVKRGVVMECVRTQELKECRPVSLIST >OGLUM09G09870.2 pep chromosome:ALNU02000000:9:13879981:13883171:1 gene:OGLUM09G09870 transcript:OGLUM09G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNTINPRCDIDVEPLPRGDGEGEGGGGGQWVGGAQLLRRRLAPTPDLEMEEQMDFFHDESSIHAAGDDQPNVKRGVVMECVRTQELKECRPVSLIST >OGLUM09G09890.1 pep chromosome:ALNU02000000:9:13921392:13924989:1 gene:OGLUM09G09890 transcript:OGLUM09G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRRREMARAGEPAAAHRHRAERAAAGEPATRTHRTERAGEPAAATTTTTTRRPPPTTTERKESLESLLDATDAARGGRRGGGGGGVKAAVASRQGLEFKNLSYSVVKKQKKEGVKVKKEVYLLNDISGEAPRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVTTSYMKQISSYVMQDDQLFPMLTVLETLTFAAEVRLPPSLSRAEKLKRVWELIDQLGLQTTAHTYIGDEGTRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSVVEKVKDIARGGSIGKVDLPRKPKHTANTPGWIWQAGAGCIEYLLDVIKEYDESTSGLEPLRDGTKPDGAAKTPVPRTPRTPHQKSVQFRQIQLKSNQFSLNSAAANGNTFSNFESSYNVDGGGDDDDEDFDNSLERKLQTPMHAGGPASGYQPRLASQFYKDFSVWVYHGVTGSTPHRRPTWTPARTPVSSFQRGRAVTMTPTPQNNPQRRPPPPPSPHVPVFKPEEPTYHEYELDLEPPLDAPEEDYNGGHRPKFANPWPREVAVLSWRTVLNVVRTPELFLSREVVLAAMAVILSTMFRRLGAGDVPTVNRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVVASLVVYLPFFAVQGLTFAVITKLMLRMESSLLHFWVILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTLIPVGWRWLHYASAIKYPFEALLVSEFKGGRCYAGDRADLSPGPLGGFKPSGLRRELNASDAACPVMGQDVLSTLDITIDSIWVDVAILLAWGVLYRLLFYVVLRFYSKNERK >OGLUM09G09900.1 pep chromosome:ALNU02000000:9:13923346:13929067:-1 gene:OGLUM09G09900 transcript:OGLUM09G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSTTSMGDGFAPLGPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQQRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKQSYVGPKTNIGFTGNLLMLLWVRYSEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQRGEHVLPHHRARRVAGVELPPEAAGLEPPERPRREVGAVAGVAPAPLELAHQQRLERVLDRGGVVQPPPPHRDERPLEEEAAGEEEERRRRDDDGVAGDVAGDERRDEHDVGVGGDEGGEEDDPEVKQAALHPEHQLGDHGEGEPLHGEEGEVDDEGGDDVGRRPVCVVRPLPDEDEPLLDEGRHGVVGGEEDEADGEDVEVEQPVHRRDVAGAEAAEHGGEDDRHRREHHLPGEEQLRRAHHVEHRAPRQHRHLPRPRVGELGTMAAVSSSGASSGGSRSSSYSWYVGSSGLNTGTCGDGGGGGRRCGLFCGVGVIVTARPRWKLDTGVLAGVHVGRRCGVLPNCDASLGWYPEAGPPACIGVCNFLSSELSKSSSSSSPPPSTLYDDSKLEKVLPLAAALLRENWLLLSWICLNCTDFWCGVLGVLGTGVFAAPSGFVPSLWRYSMLFSPSGTGLPNPARCVGSVLGLPR >OGLUM09G09900.2 pep chromosome:ALNU02000000:9:13923490:13929067:-1 gene:OGLUM09G09900 transcript:OGLUM09G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSTTSMGDGFAPLGPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQQRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVGADKNGLERKQSYVGPKTNIGFTGNLLMLLWVRYSEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQRGEHVLPHHRARRVAGVELPPEAAGLEPPERPRREVGAVAGVAPAPLELAHQQRLERVLDRGGVVQPPPPHRDERPLEEEAAGEEEERRRRDDDGVAGDVAGDERRDEHDVGVGGDEGGEEDDPEVKQAALHPEHQLGDHGEGEPLHGEEGEVDDEGGDDVGRRPVCVVRPLPDEDEPLLDEGRHGVVGGEEDEADGEDVEVEQPVHRRDVAGAEAAEHGGEDDRHRREHHLPGEEQLRRAHHVEHRAPRQHRHLPRPRVGELGTMAAVSSSGASSGGSRSSSYSWYVGSSGLNTGTCGDGGGGGRRCGLFCGVGVIVTARPRWKLDTGVLAGVHVGRRCGVLPNCDASLGWYPEAGPPACIGVCNFLSSELSKSSSSSSPPPSTLYDDSKLEKVLPLAAALLRENWLLLSWICLNCTDFWCGVLGVLGTGVFAAPSGFVPSLW >OGLUM09G09900.3 pep chromosome:ALNU02000000:9:13923490:13929067:-1 gene:OGLUM09G09900 transcript:OGLUM09G09900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWSTTSMGDGFAPLGPPDAATPASPRLRPSPRRPLIVALQLAGEGKAEQQRRQEDRSKAVDMATAGASSFEDEIMESDIELEGEAVEPDNDPPQKMGDPSVEVSDEKRKLDEAIEHLTEAIVLNPTSAIAYATRAVIFVKSKKPNAAIRDADAALKINPDSAKGYKSRGMAKAMLGKWEEAAQDLRMAAKLDYDEEIGAELKKVEPNVLKIEEHRKKYERLRKERDIKKAEMEKQRKHAEEVSAASAALKDGDVIAIHSSSELDTKLKAASSLSRLVVLYFTAAWCGPCRFIGPVCKSLAEKHRNVVFLKVDIDELNSVAYRWNVSSVPSFFFVRNGKEIDKVVEPQDNIEEEAIEDTPCKQDGNINPDAVNGDVQRGEHVLPHHRARRVAGVELPPEAAGLEPPERPRREVGAVAGVAPAPLELAHQQRLERVLDRGGVVQPPPPHRDERPLEEEAAGEEEERRRRDDDGVAGDVAGDERRDEHDVGVGGDEGGEEDDPEVKQAALHPEHQLGDHGEGEPLHGEEGEVDDEGGDDVGRRPVCVVRPLPDEDEPLLDEGRHGVVGGEEDEADGEDVEVEQPVHRRDVAGAEAAEHGGEDDRHRREHHLPGEEQLRRAHHVEHRAPRQHRHLPRPRVGELGTMAAVSSSGASSGGSRSSSYSWYVGSSGLNTGTCGDGGGGGRRCGLFCGVGVIVTARPRWKLDTGVLAGVHVGRRCGVLPNCDASLGWYPEAGPPACIGVCNFLSSELSKSSSSSSPPPSTLYDDSKLEKVLPLAAALLRENWLLLSWICLNCTDFWCGVLGVLGTGVFAAPSGFVPSLW >OGLUM09G09910.1 pep chromosome:ALNU02000000:9:13943557:13947400:-1 gene:OGLUM09G09910 transcript:OGLUM09G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFLGAIGKDEEQRRHAEERKESDYFGAGGGAAAAAMDWSFASRAALMSFRSSSSSAAAAREETRELALPHFSALDGAKMQQASHVLARQKSFGAESHGIPQYAAAAAVHGAHRGQPPHVLNGARVIPASSPFNPNNPMFRVQSSPNLPNAVGAGGGAFKQPPPFAMGNAVAGSTVGVYGTRDMPKAKAAQLTIFYAGSVNVFNNVSPEKAQELMFLASRGSLPSAPTTVARMPEAHVFAPAKVTVPEVSPTKPMMLQKPQLVSSPVPAISKPISVVSQATSLPRSASSSNVDSNVTKSSGPLVVPPTSLPPPAQPETLATTTAAAIMPRAVPQARKASLARFLEKRKERVTTVAPYPLAKSPLESSDTMGSANDNKSSCTDIALSSNRDESLSLGQPRTISFCEESPSTKLQI >OGLUM09G09920.1 pep chromosome:ALNU02000000:9:13961106:13961450:1 gene:OGLUM09G09920 transcript:OGLUM09G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGEVTAGGAPRAAANDAMVETRLGMETHMPPRFLHHDLQRRLPCDGALHTTADDAMVETRSRTETCIASSSPPPSQPTTPRRVLELAPYVLSSMSPSDARELPELKNKGRH >OGLUM09G09930.1 pep chromosome:ALNU02000000:9:13966029:13969474:-1 gene:OGLUM09G09930 transcript:OGLUM09G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWPRSTISAQFPPYQFVDLFLLPPPLETLSPFVCFASTSSSSSSAAADEVFPPPATMMKEKMKDLMRKVTSSSSSSSSSSNKGTAHVLGSGPVSSSRPSNPTPSRPAAPRREAAAAAAAASARPPSSGFAPYSPLISTSSRRTDPPAGAGAGEDDAVACPSCAEPFPSELAVSDHLDGCLAAAGGARPRAAAYLAGDPPASAVEVVKRLLGNLLSDPRNDKYRKVRLGNPRIKEALADREGGVDLLEAVGFRVADEGGELFALMDEVPGDARLGGIRQAVLLLERARPSTPPQTQADAKETCPNGVSEEQGIKKPVDRQIRVFFSVAASSVAENDLPDSFYSLSNEEIRNEAKMRRERLEQSRLLIPKSYKEKQALAARQKYKQALIRIQFPDGVILQGVFLPAEPISSLYEFVASSLKQPSLEFDLICPAGPRTRVIPPFPKPGEQARTLRDEDLVPSARLTFKPKETDSVVFTGLLDELLETSEPFTSASS >OGLUM09G09940.1 pep chromosome:ALNU02000000:9:13970754:13972069:1 gene:OGLUM09G09940 transcript:OGLUM09G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNTPPTNQAQVYRLKGHKSGETAMEWVITVITAIRAHQSASWPKERPGSGNQDQKSPAEPPPAPLILAFHVYVSDKVSMTVGGAYRALYILNWAYRYFTEQHYVHWISMAYLDCVILYLIYMHWEQSTTIYVCFFNNLDIVIRADVVVC >OGLUM09G09950.1 pep chromosome:ALNU02000000:9:13976160:13980450:1 gene:OGLUM09G09950 transcript:OGLUM09G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCLNQDDDDLLGLLGNQTPLRDCRGFFDIDDFTCKETLDLEESRESKRRRILEYPSESNQSEDGNREISSTLGTSEVSEISLLCTDEPQSFNWDSQNNSNNFGTTENDSVTESLVMQETRKLSTLKVSKGASLVKAKQNLTTTIAYPFTLIKPSWEEGDVITLKDINQRIRAPPKKAPETLGTSAFSGKPVVGKTRIRTDGGRGSITILRTKG >OGLUM09G09960.1 pep chromosome:ALNU02000000:9:13987156:13987869:-1 gene:OGLUM09G09960 transcript:OGLUM09G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEDASTMAAAEADPKPAATPSYPEVRTAFPSPLPSPSCEVKLTALRARAQMILAAIEALDDRNGSNKTAISQHIEGKYEGLLPPAHPSLLTAHLARMKQTGELAFSKNNYFRGDDPSLPPKRGRGRPPKPKDAAAAAPAPAPAAPAASSPRPRGRPPKPKDPLAEAVAKATSGMPRARGRPPKKAKVEQEDPIGAPAAASAPAAAAEAAPPVKRGRGRPPKVRPAAPVGEPAAA >OGLUM09G09970.1 pep chromosome:ALNU02000000:9:13995523:14002699:-1 gene:OGLUM09G09970 transcript:OGLUM09G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFAAMVGAVEKVRSIVASHAQQHKGGGGDGDGEARRRYQGEGAPRPRSPESRSAFEPPTPPRARAPDARSGTKGDAAPCSPPPCRDIRRADEEIDEPRVQFFAPRTYFSHDSSDSDSSVSVANSMYRSVTPSPSESPTVRQNDASDHGATTMSDSDDAREHVGASIASWCEEEHKSFRIVDFDDDIWYPPPPEDESDDVESKLCAFDDEDDEYGDSSNFFVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSESNFVKGIVCSKNVKHKRMVSEHQNATLLLLGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACQDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPEMQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGIVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNDLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGSPISLDDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLTISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHVEVYGFLSNTEKSIITMDESLKTGIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCRLCNISSIKKDGHASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDLGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSIFRLHQRESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVLTHLPTYIRSAAHMVSDGARLLLPHIGFEGGVVVAVYDDEPTSIVSYVMTSQEYIEHITHKMDTKSSFHHPVNCAVASNNQFEESFLPQEGHSEFKGTHFSFSFDDEAFSADNTKFSVTCYFARQFAALRKKCCPGDTDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEAQHTNPIFLGSKAKQRMERAVWNDTSFLASLDVMDYSLLVGVDERKNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNEAPTVISPVQYKKRFRKAMSRYFLAVPDDWSSS >OGLUM09G09970.2 pep chromosome:ALNU02000000:9:13995523:14002699:-1 gene:OGLUM09G09970 transcript:OGLUM09G09970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDFAAMVGAVEKVRSIVASHAQQHKGGGGDGDGEARRRYQGEGAPRPRSPESRSAFEPPTPPRARAPDARSGTKGDAAPCSPPPCRDIRRADEEIDEPRVQFFAPRTYFSHDSSDSDSSVSVANSMYRSVTPSPSESPTVRQNDASDHGATTMSDSDDAREHVGASIASWCEEEHKSFRIVDFDDDIWYPPPPEDESDDVESKLCAFDDEDDEYGDSSNFFVPNCFSADKFAGVDSSVNGSHIENVQNDLLRHFQALVAQLLTGEAISLARDKESKGWLEIVSSLAWQAANFVKPDTKKGGSMDPSDYVKIKCIASGKPSERFVLVCIVAYLFHASSHHHYKTLMDLIACSNFVKGIVCSKNVKHKRMVSEHQNATLLLLGGALEYQKVSNKLASIGTILEQEKEHLRMIVGKIESRRPNVLLVEKSVSSFAQELLAKDISLVLNVKRPLLDRISRCTGGQIASSIDNIASARLGHCDTFKVEKVLESSTEHSEKKSTKTLMFFEGCMKRLGCTVLLRGACQDELKRIKRVMQLAVFAAYHLSLETSFFADEGATLPRVPSTSSVGAPEMQTNREHLSSCYANQGSPVSLRTEEEKYAHNASISQIFNGISGPPTFLPLDGESQGIVSEHREVESPANHIKGDNSFHSHHPNALCNVHSVSLGNDLGSMGVTPYYDPCNSLQSPVGVSANILGEVHNLENCGSPISLDDFHVGGLNDQNKLSGGYFPGTDNHQSILVSFSSTCIPKSLACERSHLFRIKFYGSFDKPLGRYLREDLFDQAYCCPSCKEHSESHVRCYKHQHGSLTISVRRLVSQKLPGEHDGRIWMWHRCTRCKLEDGMPPASHRVIMSDAAWGLSFGKFLELSFSNHATANRIASCGHSLQRDCLRFYGYGNMVAVFQYSPMVTLSVNLPPSMLDFNCHSTQEWVKGEAVAVFGEMESLHVEVYGFLSNTEKSIITMDESLKTGIERQIIEMKDLLNMERNEYEVTLLLPVIRGSTHSMKSSIDILELNRLRRGLLLDAYIWDCRLCNISSIKKDGHASRIKGSEAELHQAITNHGEMHQEPSTCPQCSSGSLRKSLLSREGHSMDTEIILPEIDLPVGMVDSFVHDLGGLDLVFSKFDVSGIAQSLSKDSIKTEPVERLPSLASILSDKIDMAWSGSCELHNNLPESTTKGNENPSYSKAIPPVRIHSFDSIFRLHQRESTGLLPASLHLSSKSVDSFRDLTSLVKDPLTNMRRAFSHISPRERGNLNVLTHLPTYIRSAAHMVSDGARLLLPHIGFEGGVVVAVYDDEPTSIVSYVMTSQEYIEHITHKMDTKSSFHHPVNCAVASNNQFEESFLPQEGHSEFKGTHFSFSFDDEAFSADNTKFSVTCYFARQFAALRKKCCPGDTDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFIGFAPHYFRHLAESLTSRSPTCLAKIMGLYQVNIKGLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEAQHTNPIFLGSKAKQRMERAVWNDTSFLASLDVMDYSLLVGVDERKNELVIGIIDFLRQYTWDKQLETWVKASGILGGPKNEAPTVISPVQYKKRFRKAMSRYFLAVPDDWSSS >OGLUM09G09980.1 pep chromosome:ALNU02000000:9:14010191:14011466:1 gene:OGLUM09G09980 transcript:OGLUM09G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVIIPAPRCGRFRRGVSCKLQVQTCSFSGGWAGVRGEHRHISTFFLVSDYAVNNDIAVRYDILASMRTKCFGENYLVERGKIANCLEYYRNGYHCRVRFLLFAFLSRALASALLSCFPFTSSSNDKRLRPLANNLERRGLGGDDELLVAQQQQHKAVAALAAPNVVAAAKDHRWPLHQRACSLVVTVT >OGLUM09G09990.1 pep chromosome:ALNU02000000:9:14016925:14020962:-1 gene:OGLUM09G09990 transcript:OGLUM09G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVRLRMRLPKSEVARLMKDSKDAAEAAERIMQLCVARDQGAAGAVAAVTPASLAASGPVSAMSGRKTSAMKKEKRTRFVALPDEIIG >OGLUM09G10000.1 pep chromosome:ALNU02000000:9:14028314:14030217:-1 gene:OGLUM09G10000 transcript:OGLUM09G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTILRSAGGALRRSVLAGEQRLLTRRAAVVPALSAMEENPPSASCDDGSTHKAAATAPSSSSDPAAELGDMENNEFLVYSYVSKKLDNIENLLDKMEALRKESDEADASSLSLDHTRRLHVS >OGLUM09G10010.1 pep chromosome:ALNU02000000:9:14039973:14040730:-1 gene:OGLUM09G10010 transcript:OGLUM09G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALAGKRRVAKVMTVDGATFRYRAPATAGAALRGHPAGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQLPRLARAPRRTWSGALVHVGGAEKIMQLCVDRDHSSAPATPVLRRPPPLPLPLPAALVSSDKKKVADKKQWY >OGLUM09G10020.1 pep chromosome:ALNU02000000:9:14055892:14057177:-1 gene:OGLUM09G10020 transcript:OGLUM09G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVSLMVIAASSPLVALLLRAAWVTLSCYWLTPMRIRRAMAAQGVRGPPPRPLVGNLREVSALVARATADDMPSLSHDIVGRLMPHYVLWSGTYGKLFVYLYGSEPRLCLTDTALIKEFLSSKYAHATGKSWLQRQGTKHFIGGGLLMANGARWSHQRHVVAPAFMADKLKARDEGNK >OGLUM09G10030.1 pep chromosome:ALNU02000000:9:14072493:14074059:-1 gene:OGLUM09G10030 transcript:OGLUM09G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECTKQAIRELRDAAAGRRGEEVEIGAHMTRLTGDIISRTEFNTSYDTGKRIFLLLEHLQRLTSRSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLMESIRRSREIADEGRAAAATYGRGLLAMLLSEMEEKEKNGGGGGGEFSYDAQLVIDECKTFFFAGHETSALLLTWAIMLLATNPAWQEKARAEVAAVCGDHPPSADHLSKLTVLQMIIQETLRLYPPATLLPRMAFEDIQLGGLRLPRGLSVWIPVLAIHHDESIWGPDAHEFRPERFAPGARRPSAAGAARFLPFAAGPRNCVGQAYALVEAKVVLAMLLSAFRFAISDNYRHAPENVLTLRPKHGVPVHLRPLRP >OGLUM09G10040.1 pep chromosome:ALNU02000000:9:14078495:14079646:1 gene:OGLUM09G10040 transcript:OGLUM09G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSESCAVRPVVKRRLGRRRTATTSLCCRRRRASTATTTCSEAAAPHRSRRPLARVEPLGRTDNGVHVTGDVRRQLLEAEAVEKKKGGRGAAAVGIIITAAPLRRPPAFRTDSFRRASMLNMLGISAGVTIAAYGETRFDAFGVMLQHAAVAAEATRLVLIHILLTSKGMSLNPITSLSHRAVLPRVLDAAMVLRRAADAAASPLHSTGRSLARGCRSAPLGRSLRAHPPPRVVFIDLLRPVLEQAAAPPRPTANDVVAAAMSPVAGADALLPTRRPACAER >OGLUM09G10050.1 pep chromosome:ALNU02000000:9:14107389:14109174:1 gene:OGLUM09G10050 transcript:OGLUM09G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNECEVVLPQTVASGGASSSRAVGEAAQSKPKRRRRATSAGKGPSGDEPPETKGPNLTRYSAALAAQACRALSAVHHEKLEEIGLDAIACMSLQSLEHPDLIRWLMDRTDPDTMCISIDDDRKIQITPRTVRLVLGTLLGGNDIVIPSHKLIEVIKGQKDDPRAIRYFIMVLMSKLLVPTTDFYVPKGDVWVASDLDRVATFDRSKAVFRALSDSIRCWRQNPASSIASCVIFLVVLYLDNILPPRDIGLDLTFTPRIQMFTKDIMDKLVAADQEAGGDGTRPFGNLPLNSI >OGLUM09G10060.1 pep chromosome:ALNU02000000:9:14111605:14111889:-1 gene:OGLUM09G10060 transcript:OGLUM09G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYPLSPSMDDDGMIYAEDLGYMSTPCPSPPSDVDDLNPPEDPNNKIILHPAFIDDGGDLDIIQEDIYNFRYDQTPPRDAQSPATRFKRHKRN >OGLUM09G10070.1 pep chromosome:ALNU02000000:9:14120251:14120466:1 gene:OGLUM09G10070 transcript:OGLUM09G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRPSPEWWRGDPSVRGGVVMHPGRRGRLVASGCLPLEGARSEGRRRWSFFFGAREGGGGRLRRPWRASW >OGLUM09G10080.1 pep chromosome:ALNU02000000:9:14133518:14133805:1 gene:OGLUM09G10080 transcript:OGLUM09G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPPGVAGNLTTALVHLHEELQAQDPLGCDDTCQGCLVRGAQLCFGEYFLHPLGLAECFIEHIVVDRCFGNK >OGLUM09G10090.1 pep chromosome:ALNU02000000:9:14141560:14141921:1 gene:OGLUM09G10090 transcript:OGLUM09G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRMGMGRWVDDAAMDFWSMISPSQLTDGEVKRRVLAVAAVTPEGDDPPTAANGECEEEEGGGGGAPAGAAVGRGESARDGSGSKSAGEERESRVFSARSSREEEEADG >OGLUM09G10100.1 pep chromosome:ALNU02000000:9:14174967:14175284:-1 gene:OGLUM09G10100 transcript:OGLUM09G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTPSRLEGGVEDSGTRGRGRGGEVRALLLKPVAVAVVFDDGGRGSKGSRAERTRERRPAGEQQAIVVALIPRLSHRPRSLHEFLSQMRHTARTRRSTTHVRVR >OGLUM09G10110.1 pep chromosome:ALNU02000000:9:14190510:14190785:-1 gene:OGLUM09G10110 transcript:OGLUM09G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWPSSLNPDGDTRGDGMTQALVAAQPFARRIDGLVGRQAHAGAVRRGPVHEHARHGAWVREVAHARDWSRGGMTLGVRSVRPSRTSGVQ >OGLUM09G10120.1 pep chromosome:ALNU02000000:9:14191205:14191855:1 gene:OGLUM09G10120 transcript:OGLUM09G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAPPPQVSSAGVGGGVWRGGARTAVAAVGGSWEPLDEEDRASNTCKPRRPLAAPVSTAPRRAPPNSAVSPFRGAAAHRRAAHRVVAAPHHRRRRVSGDAPPIAPPRGKPSRLGPSRRHAPLLCSIVSER >OGLUM09G10130.1 pep chromosome:ALNU02000000:9:14208801:14209088:1 gene:OGLUM09G10130 transcript:OGLUM09G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLATCVLVLLLVLSCDAHTAAAPPGVAGNLTTALVHLHEELQAQDPLGCDDTCQGCLVRGAQLCFGEYFLHPLGLAECFIEHIVVDRCFGNK >OGLUM09G10140.1 pep chromosome:ALNU02000000:9:14212574:14216929:1 gene:OGLUM09G10140 transcript:OGLUM09G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAPVPEIKIGEKLTPPFPPLSPLRPRARRRRQQGLGMKISVKTLKGSTFQIEVDSAQKVADVKRIIETTQGQHIYPAEQQMLIHQGKVLKDDTTLDENKVLENSFLVIMLRQGKGSSSSAPATSKAPSNQAPPTQTVPAAPASQAPVAPATTVPVTVSAPTPTATASPAPAVAVSSEADNYGQATSNLVAGSNLEATIQSILEMGGGIWDRDMVLRALSAAFNNPERAVEYLYSGVPEQMDIPVPPPSIQPANPTQASQATQPAAPSILSSGPNASPLDLFPQALPNASTDAAGPGNLDALRNNAQFRTLLSLVQANPQILQPLLQELGKQNPQILQLIQENQAEFLHLINEPAEGDDEENLLDQFPEAMPQTIAVTPEENEAILRLEAMGFDRALVLDVFFACNKDEQLAANYLLDHMNEFDDEGPP >OGLUM09G10150.1 pep chromosome:ALNU02000000:9:14218164:14223207:-1 gene:OGLUM09G10150 transcript:OGLUM09G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVEDPDLEDPNPDVGELFSHYDGLYFRGALAGAGFSVQWSSPPSRMAGSFGSCTFGKPDNTITLSETVLKYRSSIDMKNALLHQMIHAILFVKHHRKDCRGHGPIFRAWMTAINTCSIDDHQRPPDGYNITTRHDFSPDKSTHSLSGFLWKCEYCGNTLVRATNIGAPSDACCIENVDNCSTCGNMLCHWHNHKMNCGGTYTKMGTSTSAEVQNNVQGTKRCPTDMKMAKSQRTIRKPESPDSDGLQEKATVMKQKAEGELLALVAGSNVKLTGSNSSKKGVKRHRPEDTQDTNAMLSTPLKNLKLGLDLVSSGKHRMSSIVGSNNTKSSRGSASRKQRKRHSPENVQKSSVLPALSQKKLKLKEDLVVSGKNEPLSLVNFSNGKSAGSNSSKKVSKQHELEGVQKSCVQPASPPRKPRQDLVASVKTEISCLASRSDAKVLRGSSSKCAGNQHEPADIQKSIGLPSASESKLKRQNEISSSTKAGMQDKPRGTQKTIDLPASPQTKLKQSVLQKQKRQCGTRKSANEQFAVISAWLNYYESEGSSGSTEPLVNKRTERRRIARNRITYTRSRKQNARGNASIKSQPSEDDSSQAKAAAPCLEIVVSTPSEQVVNQSPGCQSQSPAPYLAIVPFDAAHDMVPLQSADPPGLTDDPTITSGIIDISDDD >OGLUM09G10160.1 pep chromosome:ALNU02000000:9:14227840:14237060:1 gene:OGLUM09G10160 transcript:OGLUM09G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MUTS homolog 6 [Source:Projected from Arabidopsis thaliana (AT4G02070) TAIR;Acc:AT4G02070] MASSSSRRLSNGRSPLLRKQSQITAFFSSPTAKPSPSPLNPRATKPPLAVPSPPPPNPPSPPQEEETAVGRRLRVYWPLDDAWYEGRVEGYDVGSRRHRVRYDDGEEEVVDLASERYEWAAAADEEEVTPQPSRKLRRLRRMSDAATAKSPGAVDGGGGGDETADSAEEEDEDWKNDAAAENDSEEVELDDEEDDEEVVAVKTRKGKKNNPLSTSASTPKLASGLGLASISGSTLSKKRRKVDAGALDCAKKFSFEPANTTGKVELKVPISCSQREQPLENALTALTGEVAERFAQRQAEKFKFLGEGRKDAKGRRPGNPNYDPRTLSLPSQFLNSLTGGQMGKFYELFEMDAHVGAKELDLQYMKGDKPHCGFPEKNFELNLEKLAKKGYRVLVIEQTETPEQLDLRRKETGVKDKVVRREICAMVTKGTLTEGESLLANPDPSYLFSVAESYQCGSEKDQDGHTIGVCIVDVSTSKFIVGQFQDDAERHGLCSILSEIRPAEIIKPAKMLSPETEKALKSNTRDPLINNLLPSMEFWDAEKTIHEIKQYYCSLDTPGAGAQISSAYLPELLSELIEAGDKTYALSALGGSLFYLRQSLLDEKLLPCAEFERLTCSGLTNPIRKHMILDAAALENLEILENARNGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYECQAILQRQSAIATFKGSGHECAIQFHKDLSRLPDMERLLARLFSSCDKNGRSSKSVVLYEDASKRLLHQFTAALRGCQQMFQACSSISMLTSTDGSSLLNDLLSLGKGLPHVSSILDHFRDAFDWSEADRNGRIIPHEGCDPQYDAACIAIEEIESSLQKYLKEQRKLLSDSSVKYVDVGKDTYLLEVSENLRGSVPQNYELQSTKKGFYRYWTPEVKELISELSKAEAEKEAKLKCILQNLIQLFVEHHSKWRQLVSVVAELDVLISLAIASDFFEGPTCCPIIKESYGPDDTPTLHARNLGHPTLRSDSLGSGSFVPNDIKMGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGANVPAESFELSLVDRMFVRMGARDHIMAGQSTFLVELMETASVLSSATKNSLVALDELGRGTSTSDGQAIAASVLEYLVHRVQCLGLFSTHYHRLAAENKDSKVSLCHMACEISKGEGGLEEVTFLYKLTPGSCPKSYGVNVARLAGIPASVLQRANEKSSDFEASYGKRPGITKNKPSCAQEDKFAAIKDLFRVVKAMHHREDHASSLGMLHEVQKRAKVQVIGE >OGLUM09G10170.1 pep chromosome:ALNU02000000:9:14237482:14239516:-1 gene:OGLUM09G10170 transcript:OGLUM09G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38740) TAIR;Acc:AT2G38740] MAAATPNGIPASRPLASSVPIEAVLFDIDGTLCDSDPLHHVAFQEMLLEIGYNNGVPIDEEFFINNIAGRSDVEAAQNLFPDWPLEKGLKFLEDKEAKYRSLAKERLEPVKGLAKVVQWVKDHGYKRAAVTNAPRINSELMISLLGLTDFFQAVIVGGECEKPKPAPFPYLKALKELQVSADHTFIFEDSASGTRAGVAAGIPVVAVATRNPEKSLLDAGATLIIKDYEDPKLWSALEEIDREEAKLKKADA >OGLUM09G10180.1 pep chromosome:ALNU02000000:9:14240830:14242668:-1 gene:OGLUM09G10180 transcript:OGLUM09G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSTTGFPRRRSPPVDADLLPRLRLAAGQSSPWRVLLQSLALVVTSGLSASASHSQRGRALSSRLLNSLLPHAPRRLLPALLRLLPGDHLTLLLLVSSKHHSHSLPAASALHALAVSSGHLPSDLRIANSLLSLYLSLGSPASARRLLADIPRPDAVTWNTLLRACLRLGLLPAARRLFDEMPERDVVSYNSMVAGYVAEGDLAGARNLFDGMARRDVVTWNSMISGYARHGDMENARKMFDATPERDVVSWNSMLDGYAQAGDVEMARLVFDGMPKRSIVSWNVILALYAKLRDWRECLGLFDAMIAEGNTVPNEKTFVSVLTACANLGDLEKGRWVHDLVRERWDRLVPDVLLLTTLLTMYAKCGVMETAREIFNSMGEKSVPSWNSMIIGYGLHGQSEKALELFLEMERDGPRPNETTFICVLSSCAHGGLVLEGWWCFDRMVRFYSIEPKSEHFGCMMDLLGRAGLLEQSENLIENLQGKVSEALWGILMSASQTQNNIKLGEFVGKKLIEMRPTEVGPYILLSNIYAAEGRWDDVEKVRKVMEEKGVEKDAGLSLVGSREGGHFINESGASAPRNDVMLCMLGEMSVHMKQPSEGSNCRKRSPSAP >OGLUM09G10190.1 pep chromosome:ALNU02000000:9:14245201:14249273:-1 gene:OGLUM09G10190 transcript:OGLUM09G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDLSSPARKRGRDEEEEEEEVVDGEAAQKRARGEDPEGGALLGLANYEEDEEDEEAAAAAGRRRANGRHEEEEEKEDEEEDEVDNDVRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYYQGRGLKSHAYTHSLEAGHHVFINLQTEKAYCLPDGYEINDPSLEDIRHVLNPRFTTEQVCNIDRNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRITPLRNFFLIPENYRHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASDKRFQIGVQSDPVEFMSWLLNTMHSKLKSRKRNRSIIHDCFQGELEVVKEFHKKHIVEKKEDGDEQNGDAGSDIVTETSRVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVIRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKDSEKLRSKYDLIANVVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >OGLUM09G10200.1 pep chromosome:ALNU02000000:9:14254468:14266707:1 gene:OGLUM09G10200 transcript:OGLUM09G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G50590) TAIR;Acc:AT3G50590] MLQIVSSSKLVQPAGPLPPQHKSTDRRSPAPPDLTPERPDPPPPAMEWATLQHLDLRHAGGRRGASARPLQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIASIDLGARVVRMAYSPTASHIVIAILEDATIRSCDFATEQTLVLHSPEKKTDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPVVNLACHPRLPVLYVAYAEGLIRAYNIQTYVVHYTLQLAVDSTIKLVGAGAFGFHPTLEWIFIGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTISKDGALQVWKTRVIINPNRQPMETHFFEHAAIETMDITKILTLQGGEAVYPLPRIRNLAVHPKFNLAADMSGTEAAKNKAAYTREGRRQLFAVLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDIARKAFLHSHFMEGHAKSGPISRLPLITISDSGNLLRDVPVCQPFHLELNFFNQENRVVQYPVRAFYLDGFNLMAHNLSSGADNLYKKLYSTIPSNMECHPKNIAYSPKQHMFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDRTSLNLFNLKAVATKEALENNAAVLEENTFADNVTNPTERQGPMQFTFESEVDRIFSAPLGSLANNSKRSCCRNIDNPEALIFSSATAISMLGWDNKVRSILSTSFPRSVLLGALNDRLLLVNPTDINPRQKKGVEIRSCLIGLLEPLLIGFATMQQYFEQKLDLSEVLYQITSRFDSLRVTPRSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVISDHESMLDLFICHLNPSALRRLSQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNMKSIPQWELAGEVMPYMKTTDAGIPSVTADHIGVYLGVMKGRGTVVEVSEKSLVKAIAAASGDNARPASSESTQKNVANAGGDSVGDTLARQLGVQIASADEQAKAAEEFKKTLYGVVDGGSSDEDESTSKTKKIHIRIRDKPAASTVDVNKLKEATKQLGLGPPITRTRSLSGTPQELNQAPMQPPGLAPPAGPAIPNAAVDLFGTNALVEPQASSGATGPVIGGMGVTAGPIPEDFFQNTIPSQQLAARLPPPGIILSRIAQPAPGMSAVRPVHNQNMMANVGLPDGGVPPQAPMQQAQFPQQPGMPMDPISLPDGGVPPQSQPLPSQPQALPPQPHGFQPAIPAMSQPIDLSALEGPGQGKQAPRPPAPTAVRPGQVPRGAPAAECYKMGLAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGALSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNFAYAKQMLDLLYSKAPPSKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCAVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGPVPSPFG >OGLUM09G10210.1 pep chromosome:ALNU02000000:9:14294958:14301994:1 gene:OGLUM09G10210 transcript:OGLUM09G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTTVPMGWDNRRRKQASTMMNARGRGARNGNGPNRGRGGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRCATGVGNGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVHWLNMLISDVASDEVAICNNDGKDAKLANISTTKDSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANKMVQIRWFRTLNSAGIQLSPGVNDREILLSDNLQDIGVECIDGLASILNKEHFEKFQAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQDIFRTISGAPPVTVHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLARNAAPTESASGLINSALEKHLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRHGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDMLRIRLSGRTRIRPHNMTERENPSTISVGTVIDGWLYDGWWEGIVLKLVAMSTEFFPSSEFAGEKKMVLFRRDQLRHSLEWIDNEWKNFVHREDIARRIPSAEDLRIRVITAREVPTREEVMKQLEGLKTNKGGSNSAKQAAEKGSSSSATKKTTPDLIRHATNDVGSSNFKHVGVLASEEIRTDNKGSQVNLENVLKSDSLKWTERTAAAPHARRSAAGRRRDRGVLLLLLLGRHHHRVPTQEGRRWCGGFSCGGANDGGGDVRADMRREGRRGLRHMEGEGVPREPAVALTRHAEGAGARKDGAAVLAPALRERREGMAALASRRLGSGRWRYRWRVAADGLAQQWNSVAVTAEQQQRNPTVVAMAGGTPARVGSGSGSSGTWRLL >OGLUM09G10220.1 pep chromosome:ALNU02000000:9:14314804:14321170:1 gene:OGLUM09G10220 transcript:OGLUM09G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKPSVRANLNMDYSLSLEIHMHTQEKELKSPNSVSSMIDVTFDEVAICNNDGENAKLANISTTKDSSSSAAGNDSSDFKWLGPESHSKKREELQILLVKGIYIHVIRRRGDRIKVRYQHLQDPETPRANLEEWLLVTRTANPDPLCIRLSGRTRIRPHNMSERENPSTIGVGTVIDRWLYDGWWEGILLKLVAMSTEFFPLSEFAGEKKMVLFHRDQLRHSLEWINSKWKAFAHREDLRISHYCTRSSYKRRSNEAIGRPSNKQSFVVVVFAVAEEELLLLLLLLHGLQELESHLIDRKHGRVSVFGAFSPQDVAIKIRKRTNRRVEILEVREAAPPPPPPAGDEGGGGGHVA >OGLUM09G10230.1 pep chromosome:ALNU02000000:9:14328399:14330831:-1 gene:OGLUM09G10230 transcript:OGLUM09G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2T7] MASLASLSLPVSTASQGRARGAGPVTAAPRRRRVIVVRAKVREIFMPALSSTMTEGRIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFYDGIVAVVLVPAGESAPVGAPIALLAESEEEVAVAQARAQALPRAPGQEPPPPHVPKAAPPPPPPPPPHAPPGPPPTKGVATPHAKKLAKQHRVDISMVVGTGPHGRVTGADVEATAGIKPKPKGPKGAPPPPPPPPPSPHRHPAAHPPPPPHHPAPRPPAPMAAAPRQPAALPPVPGATVVPFTTMQAAVSRNMVESLSVPTFRVGYAVCTDKLDALCEKVKSKGVTKTLLLVKAAAMALTQHPVVNASCRDGKSFSYNSSINIAVAVAIEGGLLTPVLEDVDKLDVYLLAQKWRGLLKKARMKQLQPDEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGGSRPTLVANKDGFFSIKNEMLVNVTADHRIIYGADLAAFLQTFAKIIEDPESLTL >OGLUM09G10240.1 pep chromosome:ALNU02000000:9:14357235:14363701:1 gene:OGLUM09G10240 transcript:OGLUM09G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G70740) TAIR;Acc:AT1G70740] MKPRELLERIARPFSSSSSSRRGGGAERRRREEEEADLEAIAAREQRAFRYEALSAATRGFSERQKLGQGGFGPVYRGRLADGREVAVKRLGAGSRQGAREFRNEATLLSRVQHRNVVNLIGYCAHGPDDKLLVYEYVPNESLDKILFSSPPPPPRNSHSGSSSDGERRRQREELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSHVQTRVAGTNGYMAPEYLMHGALSAKADVFSFGVVVLEIVSGHKNSSFVPPPDSDADNLLDHAWRLYKKGRSIELLDPAVKSAAATEQVELLVRIGLLCVQADPRMRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRSYGTRGGGSGSHFSVGSTSGTSSPSTSTTASHATTSAASNAMTTTTSSTHTMRSQGLPLHREERGVELKKDDESG >OGLUM09G10250.1 pep chromosome:ALNU02000000:9:14367454:14367834:-1 gene:OGLUM09G10250 transcript:OGLUM09G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTSAAVKMAAAVLCLMVALTVAAGQLTTTAAAATSTRVDDHVVEDDDDATPTTLQQLETRLTDDQDLADLLDGGDGAATICPSNCQKCLVKCAGTCVADIVSPPTFVACFLKCAVVKLCFAKV >OGLUM09G10260.1 pep chromosome:ALNU02000000:9:14373342:14373704:-1 gene:OGLUM09G10260 transcript:OGLUM09G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVKMAVVCLLLLSAGHLMSSAAAARPDTVDDAAALLRLKDRIELQEEEALALAEELALLDDGAGDAVGAGCSCSTTKCKTCIATCGIKCFPKGIKGFPTCFFACVFTTSKCFAFGA >OGLUM09G10270.1 pep chromosome:ALNU02000000:9:14385968:14392851:-1 gene:OGLUM09G10270 transcript:OGLUM09G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPSSFKRRPLAAAPVVIPPRALLLTLACLFLLLALRGSPDPDHVVFPSTDLSRSAATTFPLLRQRSYLDGVTDAFNMTDEMLGAHSFLRQLMDQISLAKTFLVVAKEPNNLQFSAELSS >OGLUM09G10280.1 pep chromosome:ALNU02000000:9:14397764:14414695:1 gene:OGLUM09G10280 transcript:OGLUM09G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor 2 [Source:Projected from Arabidopsis thaliana (AT1G73960) TAIR;Acc:AT1G73960] MAKARKQKGEEQKPDGGGAGGGGGGATVLHQKLCLSIDMENRLIYGYTEIKVQAENDTFALHADNMTIRNILVDGQAAEFEYSPQWKNAGDQQSWSSVSCSKTAADAACSVYISSLNSEAAPNLIISSERSSKAITEPRYEENGENHEENGEKHEENGEKQNENGEKCEENGGKPAQISDDQAVNGCNGSADKKDKEEETEKDNEKEKEDKEEETEKDNEKEKEQLMGTDEKEKEKEDENEKEKLEEEEKKDKEEKLEEEEKENEEENGNEKDKENDNEIEKVKNTKLVHIDYILEKAETGLYFTGNILHSNNQIRRAHCWFPCIDSATQRCPFDLEFTVSTNLVAVSNGDLLYQVLSKEDPPRKTYVYKLSTPVSAQWISLVVGPFEVLPDRNDISVSHMCLSQSLSKLENTISFFHSVYSCYEDYLAASFPFGLYKQVFLPPEMIVSPTSLGASTCIFNSDILHDEKVIDQIIDTRIKVAYALARQWFGIYTSAEEATDEWLLDGLAGFLTEHFVKRYLGNNEARYRRFKDVAADLTIFTLLLKPVHANCIVCEFDVSGATALSSPSASSDLFGTQTIGSYGKIRSLKAVSVLQMLEKQMGPDSFRKILQMIVAPTRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESSGCPVMRLGISYSKRRNLVELAVSRGCTTKVDPGPDIRTNGDTREGDTGWPGMMSIRVHETDGVYDHPIVPMAGEALQVVEIQCHSKVAAKRFQKTKKGSKPDGSDENIDASNQDNRASMDAPLLWIRVDPEMEYLTEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKSPQLTFAVTNALNNFLNDTKAFWRVRVEAAYALAVTASEGTELTGLLHLVKFYKSRRFDADIGLPRPNDFHDIPEYFVLEAIPHAVALVRSADKSSPKEAIEFILQLLKYNDNNGNVYSDVYWLSAMVQAIGELEFGQQGVGLLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIAQRVSSSICLDRVCELIAPYRNMDKPWKVRMEAGRVLIDLEFHRKGLDAALLLFLKYANEERSLRVGLLCLLAGKKAYNNVYLRHNVFCILQIAAGRSPTLHGVPKVVSPPQVVLEISSDQHTKADSSVPQQSRPQEPSTSTPSVREVLPTSGPLKDADNISNCSERRNAIFIPTKDVDNISNCSERRNVVKIRVKRASSSSKADDADHRDHSHGRNENEAGPCSSMSVDAPMTEAPEPVNVSNHNIEEQNSCHDREQNSCHDRESRMSASIGNVKLMDKHEVSKELQCTADSRLDVLPKDHFSPVVNGQEVLDKPRSQLEVVSTSYDGNQAPDSMNGLETKEKKKKDKKDKKRHRDKKDDPEYLEKKRLKKEKKRMEKEKGKKQKEGEVVSSSEQKNTAKPSDSQGISSARPPAPMRTPEPKISNVGTPVDTTRTLTTTKIRIKVKPLQR >OGLUM09G10290.1 pep chromosome:ALNU02000000:9:14415073:14422496:1 gene:OGLUM09G10290 transcript:OGLUM09G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAGAPRGAAATTSGGGGGAPEAGAELGRSGAPVTWGRVWRAGQEAAAHALLLCFTALLALKLDGLFRGSWWVLFTPLWLFHAVIARCRFSLPAPSSPQSCQMASCHSIVATPLLVAFELLLCVYLEGHDEPFIDLKLVFLPLLALEIITLVDNFRMCGALMPGHGETMTDEAIWERLPIFLNLVTGLIYAVLLCMKLAVFCFPHYEFLRTNMFIEMSRHNQPKVGTSKLLLITHASEFHVEAFHNQGTPSNAKFFPLRAVFLPILLLQVTTVSFAIWIFFERLVTKLRAKKITDGYISFSSKIDELFMMMQHGSRLIAWWSIDEDSKEEQAHLCYANNSGAMGSNIPGNNIVGKQMVFVSEIEEKNGKSKV >OGLUM09G10300.1 pep chromosome:ALNU02000000:9:14433783:14435690:1 gene:OGLUM09G10300 transcript:OGLUM09G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQLDQQQHQYDHFFSGHGQFNSETLEAVLCRPPRGAAADPAVPAAAAAAVLTAARNGGGGHGRARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLAADTSSSSHRAAGDTRMSSAERGGDHHMVAVGAAGSGKGDADKARGPRGGRSAPMELGCELGRLVPAPVLGEYYYELAEMMSNNTGGEGDDDGDYDDDGDFLDVT >OGLUM09G10310.1 pep chromosome:ALNU02000000:9:14451117:14453556:-1 gene:OGLUM09G10310 transcript:OGLUM09G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEGGSHDAAAQLLPWFVGESAAAAVGGYGGCVDVVGQGGGGGVFGFGFEAAAAPVVTRQQRGGAAAAAEGSSRGGGGKPAVVSGLLGSLQAELGRVTAREIMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKASLLAEVIEHVKELKRQTTAIAAAAAAGDYHGNDEDDDDAVVGRRSAATQQLLPTEADELAVDAAVDAEGRLVVRASLCCEDRPDLIPDIARALAALRLRARRAEITTLGGRVRSVLLITADEQQQQHCDDVDDDEDGHRLLLRHGIDGAGAAADDDECAASHRRHECIATVQEALRGVMDRRAAASSGDTSSSGGAVVAGGGGGSIKRQRMNYGVHEQCSV >OGLUM09G10320.1 pep chromosome:ALNU02000000:9:14464235:14464768:1 gene:OGLUM09G10320 transcript:OGLUM09G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSALALVIRAATDLQLTHHHPSSSSAAAHAHPRRWGARRPATRRWRAWRLAARRWASERPAARRGVDSRAAGVASMGESAPPH >OGLUM09G10330.1 pep chromosome:ALNU02000000:9:14485383:14489860:-1 gene:OGLUM09G10330 transcript:OGLUM09G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPLGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAAPAAECSSSTSSSTPTLANLVLRAAASSRAPPDRLVALFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALACGLVLSSGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGRAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAKEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREATEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIERLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLGVSGKQSSAMNSAPPNRDDILCSYNLDALPHEILQWTGFKNEGLCCHNRLQFATTLLPPLRPMRCSSRG >OGLUM09G10330.2 pep chromosome:ALNU02000000:9:14487418:14489860:-1 gene:OGLUM09G10330 transcript:OGLUM09G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDGDGHGRRRPPPPPPLGHPPNLPPHVPYSRALQQRLYLLAQHRRRRIPPGDGDASAARRALDQLHAQVVLNGFPRKRFLLAKLLSLAAAAADLPRAESLFLAAPAAECSSSTSSSTPTLANLVLRAAASSRAPPDRLVALFSRLVSRHGFRPNAFSFSTLLAALADAGAAALPHGRALHARALACGLVLSSGHVLTSLLDLYAAAGQLGEARRVFDEMPGRTVAAWNCMLAAYVRCCEMDAALWFFNEMPGRDSVAWTTMIAGCVNAGRAAEAVELFWRMRKANAKVDAVTMVALLTACAEQGDLRLGRWVHAHVEQEGRQWRTVLLDNALINMYVKCGAVEDAHRLFLVMPRRSTVSWTTMISGLAIHGRAKEALDLFHRMQERPDGATLLAVLLACSNAGRVDDGRQYFQSMERFYGITPGIQHYGCMVDMLCHGKQLREATEFVETMHLQPNDAVLGALLSGCKREGNLELAAQVIERLIRLQPERAAGHLVLLANMYAGVGQWEQAGKVRERVAALNAGKPAGTSRVNPNQSSMLFLISLKKLVTCTDANRSGEMPCSMDIGSLWEAIISDEQRAS >OGLUM09G10340.1 pep chromosome:ALNU02000000:9:14485902:14487536:1 gene:OGLUM09G10340 transcript:OGLUM09G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLLPFQPLMVSFAKRASIEPELRMAHNLHKMSSLLGGALFIADDCFPETPYIHAAWHLAAAIGIGTCNKLLE >OGLUM09G10350.1 pep chromosome:ALNU02000000:9:14490378:14492554:-1 gene:OGLUM09G10350 transcript:OGLUM09G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G14030) TAIR;Acc:AT1G14030] MAAAIHHHHLLPPRLLSVHPQPPRLRLRRPLPRRAAASGAAAGTPWVAVALLLLREAARGAGSPWAPYLAILPRQTDSTIFWSEEELLEIQGTQLLSTTMGVKEYVQSEFESVEAEIISENRELFPGTVTFDDFLWAFGILRSRVFAELRGDKLALIPFADLVNHSDDITSKESSWEIKGKGLFGRDVVFSLRTPVNVKSGEQYDLDKSNAELALDYGFTESNSSRDAYTLTLEISESDPFYDDKLDIAELNGMGETAYFDIVLGESLPPQMLPYLRLLCLGGTDAFLLEALFRNAVWGHLELPVSQDNEEAICQVIRNACKSALGAYHTTIEEDEELLGSENLQPRLQIAVEVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDIGLVGDNGEIIFWES >OGLUM09G10360.1 pep chromosome:ALNU02000000:9:14493905:14495895:-1 gene:OGLUM09G10360 transcript:OGLUM09G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FKBP-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26550) TAIR;Acc:AT1G26550] MGKDSKPKDKGKGKQAAGSSGGDDAGGGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFSTPVGATSAPFKSTHGYHFILCEGRKN >OGLUM09G10370.1 pep chromosome:ALNU02000000:9:14500783:14501851:-1 gene:OGLUM09G10370 transcript:OGLUM09G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGDRAPSSSSTAMISRLLPPGFRFRPTDGELVAHYLARKAADAGFTSAAIRDADLYRAEPWDLLPPPRCDAAAEEMEEEEERCGYFFCTRSFRWPSGTRTNRATAAGYWKSTGKDKAVLHGGGGGGGRPVGVKKTLVFYRGRAPRGEKTSWVMHEYRLLHGGAAATASSSPTPTTVVARSEWVICRVFVRKTPDGNNDRGTTEHHLPSDDAHLRSSPAPANSVDGAGHASCSFFSGANESMAPSDHFNIGDDMILHGHDEEELLMMNCSSAFDLPELLDYESFSLDL >OGLUM09G10380.1 pep chromosome:ALNU02000000:9:14505776:14506438:1 gene:OGLUM09G10380 transcript:OGLUM09G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRCLAPRSTFGKSFPKSNPSLRFAARFDPHPNQRETWTSPVQEVAAAAGTSILITRTRGGGARRHRERELALHHHGLHRPGVAPLRPLPMVQSHKRPPFRKCKPCPGLAEGADRQCAAAVSCTAMINRVALRVGSLAMAVGSVCLGAGS >OGLUM09G10390.1 pep chromosome:ALNU02000000:9:14511063:14511554:-1 gene:OGLUM09G10390 transcript:OGLUM09G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAKEVVAGAGDMILLISSDGQRFEVAQAASMSRLVRNMVEDECTDNGVPLPNVPSAVLAKVLEYCSEHAAAATAAGEEVEELKSFDAAFIEVDNATLFDLILAANYLNVPCLLALACQRAADLIRGKTVEEIRAEFNIANDFTPEEEAEIRKENAWAFQD >OGLUM09G10400.1 pep chromosome:ALNU02000000:9:14514978:14517746:-1 gene:OGLUM09G10400 transcript:OGLUM09G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPSPSPPAARGPALAAAAAVVVLLPAIFPAIFTPLGRAFPSLFSEWNAPKPMHESLLNEALRRAISNEQKRELWSPLPYQGWKPCLKSSSVHGVPLEPAGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDTSSFEEIFDVDHFINTLKAEVSIVKVLPKEFSWSTREYYGTGIRATRIKTAPVHASASWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADIQHLRCKVNFQALVFLPHIISLGETLVKRLRSPVQGHSGKLIQEIGEDTNQAGKYAVLHLRFDKDMAAHSACDFGGGRAERLALAKYRQVIWQGRVLNSQLTDEELRNMGRCPLTPEEIGLLLAALGFDSRTRIYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELANIEGRASVLAALDYYISMQSDIFISASPGNMHNALMAHRTFENMKTIRPNMALLGRIFVNKSMEWLEFQEAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCQG >OGLUM09G10410.1 pep chromosome:ALNU02000000:9:14519845:14520586:1 gene:OGLUM09G10410 transcript:OGLUM09G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWHSAWPAPAANPSEASTLPSSPASMKPSPLRSKTRNAAAASPTRPMAMTLSEMVSTACAREKWNE >OGLUM09G10420.1 pep chromosome:ALNU02000000:9:14520088:14520627:-1 gene:OGLUM09G10420 transcript:OGLUM09G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAYSAPSTSSSTYSFHFSLAQAVLTISLNVIAIGLSALIKSSSSSSSTSSSSSSRRRAAAAPAVAPAAAAAAVDLDTVLGLMGGAGGAPSVGFEEAAALFEEEEATVGEAAAAFRVFDRNGDGFIDAGELGSVLASLGFAAGAGHAECQRMIDAYDADKDGRIDFREFLKFMETAAA >OGLUM09G10430.1 pep chromosome:ALNU02000000:9:14529951:14530312:-1 gene:OGLUM09G10430 transcript:OGLUM09G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRLLALLLLAALLLALSFSHGEAKDVQLVKPARRYGRWRSALQAGDGMVSTVADYSDPKPNTNPRGGVLPPTDPNSPPAH >OGLUM09G10440.1 pep chromosome:ALNU02000000:9:14531755:14532482:-1 gene:OGLUM09G10440 transcript:OGLUM09G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGPHISSPSLFLSFFFFLPWRCGREVLKTCGTTPLLRAVPVLLRAAAAGGLSRALRLRSCRYSCGECLFPEAQPFPHADFADEVAYLDGALPCELLLFRHSAVMPPSSSPGASHRWHVYLASSSSSSFVARRGSRWSRAVLPAPRQRPDQPRHRRRVDGASGIGGVVDDPRSLVCAYAFVPCGYSMNALDGHSYASYECVAAAALASIRRAIAAFR >OGLUM09G10450.1 pep chromosome:ALNU02000000:9:14534030:14534871:-1 gene:OGLUM09G10450 transcript:OGLUM09G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRRSWCRDGRSSELWRLEPLALARKAMWMRGDGLAALRRKLHRRADEITLSIQELPDGGGRFEELSSILQS >OGLUM09G10460.1 pep chromosome:ALNU02000000:9:14539540:14541919:1 gene:OGLUM09G10460 transcript:OGLUM09G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTMGKSPALPLYYLLITLLAFYFLIVPSNAIPLSRVQRLHLQESSEMPLVRGSTAKPKTEMERPVVALEEDAMINARMALETQDYAPSGPNNHHKPPGWS >OGLUM09G10470.1 pep chromosome:ALNU02000000:9:14544772:14546283:1 gene:OGLUM09G10470 transcript:OGLUM09G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36730) TAIR;Acc:AT2G36730] MAALHLHALIAGGGAATTVPHLRQIHGHLLTSGRFPSLGPVLLRRLISLPNPHLHLAHRLLLSLPSPSLDLFNLLLPPLASSPDPSTAAALFLRLRRGGLRPDAHTLPHVLKALARLAPGSLPVVGSVHSEAVKDGLASAVVYVPNALMAAYSACGQLERAVQVFDEMPRRTVVSWNTALTACAGNGRHELCVDLFAEMLEAGSVPDPTTFVVMLSAAAELGNLALGKWAHGQVVSRRLDMTLQLGTAAVNMYSKCGAVSYALRLFERMPARNVWTWTAMIVGFAQNGLAQEALELFDRMKLSKIIPNYVTFLGLLCACSHAGLVDEGRRFFHEMQHVYGIVPMMTHYSAMVDVLGRNGLLQEAYDFVVGMPVEADPVVWRTLLAACQLHSSKDCIEIIDKVQGKLLELEPRRSGNYVMVSNIYCEIGSWDEAAKARRVMREGGMKKVAGESCVEVGGRVHRFVFGDDSCPEFHGACRILHELNLNMRKCEPIDPILFTDDAD >OGLUM09G10480.1 pep chromosome:ALNU02000000:9:14549975:14563269:1 gene:OGLUM09G10480 transcript:OGLUM09G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDHLMVNVDDLMAPECFETTGAAKNSSGEAAAQAPTTVHTFLAVGESMAPEEEPLLQLVECRICQEEDSIKNLESPCACTGSLKYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPTRPHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHIMEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAMFSLFLLRAAGFLLPFYIMAWAVSILQRRRQRQEAAALAATEVAFILQSGQGTGVHFTIAPDSPTTPQHEPQP >OGLUM09G10490.1 pep chromosome:ALNU02000000:9:14556319:14559398:-1 gene:OGLUM09G10490 transcript:OGLUM09G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription regulators;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT3G47610) TAIR;Acc:AT3G47610] MRRMRFFALSSSARWLTEEAARVLRDKGGICKSEPHRFFSRVERALAAAAMAATASTSGEWLKGALQELRERMGGALELDADLISGLVSFCELAPPPDAADYLANIIGVEAAQDLIQEYLQRRGYIDPLKGNENLQSSNLQPYVKPSAEAGPTQTKKQTRTQKDAAASSSGQSSKNQPETTEPRVASKRGSKKKAVKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLMEGSTYAGLSDVGIPLSEAEAEAEAYAKRLVDYDRNSAARTKVYDDQSDYFEMEGNSWLSSKEKSDLKKQHGEAQEAAEKDKGKVVVTFDLVGRKVILNKDGATVLESEHWILGPPEEKDQIHRIQANPTIREQPVFIETGPVKPKTDRARQSKRLARNGLCLEVTGRLQHDDKDPQSFLGGKMKKGDHLAYSSFGQPREGDDFDCSQDFD >OGLUM09G10500.1 pep chromosome:ALNU02000000:9:14562108:14568714:-1 gene:OGLUM09G10500 transcript:OGLUM09G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74600) TAIR;Acc:AT1G74600] MPPRLLRSSTPLAAAHLRALSAFAPRAGEGAPHLFGGMRPRAPDLLARLPAELASFARARAAGRAAPSQFAYGNALAACARAPAPALAEQVYCAALKDGLSGNAYVCTGMVDLLAKSGRLRDALRVFADGDPSSAVCWNAAVSGAVRNGEGGLAVEMFRDMVWGSCEPNSFTYSGALSACAAGEELSVGRAVHGLVLRRDPEYDVFVGTSLVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQDDEPVSAMLLLREMVRNGVAINKYTATSILLACAQMSMVREASQIHGMVLKTEMYLDCVVKEALISTYSNFGFIELSEKVFEEAGTVSNRSIWSAFISGVSNHSLLRSVQLLRRMFHQGLRPNDKCYASVFSSVNSIEFGGQLHSSAIKEGFIHGILVGSALSTMYSRCDNVQDSYKVFEEMQERDGVSWTAMVAGFATHGHSVEAFLTFRNMILDGFKPDHVSLTAILSACNRPECLLKGKEVHGHTLRVYGETTFINDCFISMYSKCQGVQTARRIFDATPCKDQVMWSSMISGYATNGCGEEAISLFQLMVAASIRIDSYICSSILSLCADIARPFYCKPLHGYAIKAGILSDQSVSSSLVKVYSTSGNMDDSRKVFDEISVPDLVAWTTIIDGYAQHGSSQNALAMFDLMVQLGVRPDTVVLVSVLSACSRNGLVEQGFNYFNSMRTAYGVEPELQHYCCMVDLLGRSGRLAEAKYFVDSMPMKPDLMVWSTLLAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKEPGWRVMLRSSDVAPDICCRVSDEQRQIEQH >OGLUM09G10500.2 pep chromosome:ALNU02000000:9:14563103:14568714:-1 gene:OGLUM09G10500 transcript:OGLUM09G10500.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) repeat-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74600) TAIR;Acc:AT1G74600] MPPRLLRSSTPLAAAHLRALSAFAPRAGEGAPHLFGGMRPRAPDLLARLPAELASFARARAAGRAAPSQFAYGNALAACARAPAPALAEQVYCAALKDGLSGNAYVCTGMVDLLAKSGRLRDALRVFADGDPSSAVCWNAAVSGAVRNGEGGLAVEMFRDMVWGSCEPNSFTYSGALSACAAGEELSVGRAVHGLVLRRDPEYDVFVGTSLVNMYAKCGDMGAAMREFWRMPVRNVVSWTTAIAGFVQDDEPVSAMLLLREMVRNGVAINKYTATSILLACAQMSMVREASQIHGMVLKTEMYLDCVVKEALISTYSNFGFIELSEKVFEEAGTVSNRSIWSAFISGVSNHSLLRSVQLLRRMFHQGLRPNDKCYASVFSSVNSIEFGGQLHSSAIKEGFIHGILVGSALSTMYSRCDNVQDSYKVFEEMQERDGVSWTAMVAGFATHGHSVEAFLTFRNMILDGFKPDHVSLTAILSACNRPECLLKGKEVHGHTLRVYGETTFINDCFISMYSKCQGVQTARRIFDATPCKDQVMWSSMISGYATNGCGEEAISLFQLMVAASIRIDSYICSSILSLCADIARPFYCKPLHGYAIKAGILSDQSVSSSLVKVYSTSGNMDDSRKVFDEISVPDLVAWTTIIDGYAQHGSSQNALAMFDLMVQLGVRPDTVVLVSVLSACSRNGLVEQGFNYFNSMRTAYGVEPELQHYCCMVDLLGRSGRLAEAKYFVDSMPMKPDLMVWSTLLAACRVHDDTVLGRFVENKIREGNYDSGSFATLSNILANSGDWEEVARIRKTMKGVNKEPGWRVMLRSSDVAPDICCRN >OGLUM09G10510.1 pep chromosome:ALNU02000000:9:14564722:14565597:1 gene:OGLUM09G10510 transcript:OGLUM09G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPEEVSCLYKGRAAKGFKTDWMMHEFRLPSLTEPSLSKIPIDKKPAKDIWAICKIFKKPNSMAQRALSHPWGPQSTATTNSQFASESSSCSEEVAIPITQLNSQQCLQGRQQKPNNRQDGSSSKVINFKCSPSLTHQSDKDNHNCPVTLPFKTQTLQHMSGATSLLLSITPGIINSIYEASPNIRFGQTEPCNGYEVDWVIGTNGGIENSDEDPYTRTGTEYSTGSECGIRQKIKFPFDLLGDPSDN >OGLUM09G10520.1 pep chromosome:ALNU02000000:9:14569965:14571573:-1 gene:OGLUM09G10520 transcript:OGLUM09G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQTRVVKTPGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKSASK >OGLUM09G10530.1 pep chromosome:ALNU02000000:9:14573521:14577544:-1 gene:OGLUM09G10530 transcript:OGLUM09G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGEGGGTPAAAAAVSNGFVHAVVRWCFSPLFFWLFTVALVAAIHLASTYISPSRDEEDKEKKARRGGDFAGAGEEREEEEVGRNDDKILEMMRSFSFMHASEEDFMEGMATYDHVVARMAPEPLPLTPPAAPPPSTFSFRFQHQLPEILRETAVVSGEIPVQVLEEHEPEKKPAIAMESKQERERDAEEREVVMEEEEEEEEQSREVVEAAAAAAPMIVSTTHNYRFLTERDFRGFVKEPEAITVRVQESFVSSPELEAVAVAAAAQPEERRVVDGAPRRGFLTTNDFRPANEPDSARQSVASQLGRKPAASSPSAASRGSAAAAVSGRTSFASEFSGFGGDSDSESTASDGYSVKDLVVDSDSDWFLSEKDFPAAAAAAAAAGHDAGSLRGYYKAKVLKALEALDASASNLERSFQDSGTTVSPGSVVGQASPDIIGAADDPAKYPEDMWSRSPSPDVEYNEDEHKGNEATNMAEEVSFDMSDDERPPASGGKKTAAMAAAASSSSPVHDAESEDENSLDHSEKETITIHDHSYESVSDVKRRSPEAISDAELDDASSRHAAVLDAKVRSPDVHSSEPIFDNYEEHEVSNDQSQAAVSDEKRSTPHLEREFAGTDDRSHELISDVWKDIVGANDQSLASAHDEERSPDQSVAIISDDHKAIVSATNDSSNGEVSDHKSTPETAEQEFSGNDDPYELVADARIISERAEEDEEDDIPNERPGNATRHVTFSVAEKGKVLDVVEEDQEDKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFFKSYRERMRKFDILCYQKMYAIDFLQFRGPQQSANSLKSLSPTVTSILSHNFRSSRRKSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQAHDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEECMRVFWEFIRSDRVETTSVLKGLSSTHVELQDPLDHDLMMHIHSTLQKKEKRLKDLLRTGNCIVKKFKKPKEDTLNQSLFFSQVDMRLVARVLRMPRITSEQLQWCKAKLDKIALVDRRIHREASFLLFPC >OGLUM09G10540.1 pep chromosome:ALNU02000000:9:14590543:14596305:1 gene:OGLUM09G10540 transcript:OGLUM09G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74640) TAIR;Acc:AT1G74640] MDATKRRQRTLESRVHGSTRRSVPLHSNSTVAPTKPPTPSSPTMRPTAAAATAAFASPVVAVPSRAAPLAARRRLRRARRFAVRSVASPPTVPKPAAPPSKTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPNIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSVTTTTAGSNSTTSYTHTVLELVVTEAAASIPVWVPHFQAWQTNHNSIYTESAENALSPAATT >OGLUM09G10540.2 pep chromosome:ALNU02000000:9:14590543:14596307:1 gene:OGLUM09G10540 transcript:OGLUM09G10540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G74640) TAIR;Acc:AT1G74640] MDATKRRQRTLESRVHGSTRRSVPLHSNSTVAPTKPPTPSSPTMRPTAAAATAAFASPVVAVPSRAAPLAARRRLRRARRFAVRSVASPPTVPKPAAPPSKTGKWQWTFEDKPINIYYEEHEHETAENVKNILMIPTISDVSTVEEWRVVAKDIVARKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLINSPNSPVANTDGEVVVVGGGHAATIAVRAAGKGLIRPSGIAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPNIVESRYELTKRKGARFVPAAFLTGLLDPVQTREEFLQLFAKLDGDVPVLVASTVNAPKRSKAEMEALRGAKGVTKFVEVPGALLPQEEFPSPVAEELYSFLKESFSSGR >OGLUM09G10550.1 pep chromosome:ALNU02000000:9:14611119:14611850:-1 gene:OGLUM09G10550 transcript:OGLUM09G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPGKNESLNVVVETCVHGEYRASTCSLPPLDFALASEGIGGRKLLHRDQLDLVDKRRNVTKELVRGGRNTYEKCRLPLYLQNFLDGEIECDPRLHPSSGYVLKLVASP >OGLUM09G10570.1 pep chromosome:ALNU02000000:9:14659782:14660123:1 gene:OGLUM09G10570 transcript:OGLUM09G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLVVLRRREPAVRFSCCGVRYGECRRNHAASTGGHAVDGCREFIAAEDGGGGNSTGAVGVAAAALKCAACGCHRSFHRRVQVYEVAWDDDCASGDTSSSSPSSSSSSSSE >OGLUM09G10580.1 pep chromosome:ALNU02000000:9:14678857:14679402:-1 gene:OGLUM09G10580 transcript:OGLUM09G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLGSSGQAQRLPPQVMSPAAAAAPPPGGGGGGGMPRKRFRTKFTAEQKQRMQELSERLGWRLQKRDEAIVDEWCRDIGVGKGVFKVWMHNNKHNYLGGHSARRSASSSSAAAAAAPPFNPPTNHTSPPPPPPPPPPHATDFNINGTATAATAAAAATVAATVAAGNHQENGASSPQSA >OGLUM09G10590.1 pep chromosome:ALNU02000000:9:14697173:14698835:1 gene:OGLUM09G10590 transcript:OGLUM09G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAST1 protein homolog 4 [Source:Projected from Arabidopsis thaliana (AT5G15230) TAIR;Acc:AT5G15230] MALAGRLLVLFAIALLAISIAEHKALAKGSTSEHDDNVYQVSKGGQGSLKSYQCSPQCAYRCSQTQYKKPCLFFCNKCCNACLCVPSGLYGNKGECPCYNNWKTKRGGPKCP >OGLUM09G10600.1 pep chromosome:ALNU02000000:9:14702571:14706325:1 gene:OGLUM09G10600 transcript:OGLUM09G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKRADGFLRRFRRRRLLAFLRREHLYATLDALGGETRLFLNARTLQTMLADCRWEEARRYVGRFLPRRQIGVEARAVLRLIAYLSAVDDVAQGRRLGSEFAGDHLEREFEADATSSNTMVCADFVRKISRKRSSFPGRWDSVEWQFLRIQAALIVKELVINTPEFNHLLQLPRYPVNLECMMPVWFGCRRKHQRKIIDRMPASLLAHCFLPKESYGILLYQKNSYGSAPVVPCIGRNCGLLSANTVTEHLSQEDCHSESVASTAHSPTTRELCPDVVGAPSGAMEHMLKKVNALQKISNDMIKLLTNACTYGPDHKAFARKMLEQEAVLHEMRIDCWNAMIKGGTSTY >OGLUM09G10600.2 pep chromosome:ALNU02000000:9:14702571:14706325:1 gene:OGLUM09G10600 transcript:OGLUM09G10600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGKRADGFLRRFRRRRLLAFLRREHLYATLDALGGETRLFLNARTLQTMLADCRWEEARRYVGRFLPRRQIGVEARAVLRLIAYLSAVDDVAQGRRLGSEFAGDHLEREFEADATSSNTMVCADFVRKISRKRSSFPGRWDSVEWQFLRIQAALIVKELVINTPEFNHLLQLPRYPVNLECMMPVWFGCRRKHQRKIIDRMPASLLAHCFLPKERCPSPKKQSSAPVVPCIGRNCGLLSANTVTEHLSQEDCHSESVASTAHSPTTRELCPDVVGAPSGAMEHMLKKVNALQKISNDMIKLLTNACTYGPDHKAFARKMLEQEAVLHEMRIDCWNAMIKGGTSTY >OGLUM09G10610.1 pep chromosome:ALNU02000000:9:14708404:14708994:-1 gene:OGLUM09G10610 transcript:OGLUM09G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHFVYSHHVKHCSGVTQDLLNRLKNVDGKPIPDFAQLVGKFEMTASSMSSKHSSATEKLKRKKTDMVASRSGSKRSRGKRSEEKSEFCDDFVLEKANLLHGFCVDVANLMKTYIPVDAHVCYQKKILDIELVASSLESNINKKKLKDACGSCTEDLFGDDFEDVMLKKLSELYELCIDLCKYSKTCEGGGFGSF >OGLUM09G10620.1 pep chromosome:ALNU02000000:9:14711968:14713046:-1 gene:OGLUM09G10620 transcript:OGLUM09G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVGAEDAAADASTGGGSRRGSVPGGSAAAASRAAPPASPTSAAAAAAAATTLCASPAAAPPTGPASSPAASPASQASAAAAASLVVVEPPASPTSAAATTLGASPAPALPTSPETSIPAPPPPPSLVKVLDMDRAKSLIKEKDWDAVEGYIWSILCDNQEHCVDDFARLHPALYLMFREEKLFQLLAENKIDEAHTFYQDSIVSLEDRDGIFSPFDLSVRIKKLDPSNSS >OGLUM09G10630.1 pep chromosome:ALNU02000000:9:14729758:14730000:1 gene:OGLUM09G10630 transcript:OGLUM09G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWGQGNDDAESPGDDGAEDDAEARVDDGAEEARGDDDAESAGGDNGASSLPPSAASSAQPPSSAADAPLPPTPSTAH >OGLUM09G10640.1 pep chromosome:ALNU02000000:9:14732455:14737075:1 gene:OGLUM09G10640 transcript:OGLUM09G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKASSADNRTRSTVSLCIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHLAKQARPRDLVSPAKKFKPCPDRYTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQFEGNVFRFPGGGTQFPQGADKYIDQLASVVPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPFPSRAFDMAHCSRCLIPWGANGGIYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNKIEEIADLLCWEKVKEIGEMAIWRKRLNTESCPSRQDESSVQMCDSTNADDVWYKKMKPCVTPIPDVNDPSEVAGGAIKPFPSRLNAVPPRIANGLIPGVSSQAYQKDNKMWKKHVKAYSSVNKYLLTGRYRNIMDMSAGFGGFAAAIESPKSWVMNVVPTISKMSTLGAIYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCNMEDILLEMDRVLRPEGAVIMRDDVDILTKVNRLALGMKWNTRLVDHEDGPMVREKVLYAVKQYWVGGNQTAAAAA >OGLUM09G10650.1 pep chromosome:ALNU02000000:9:14736338:14743487:-1 gene:OGLUM09G10650 transcript:OGLUM09G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2Y3] MEKKKQNYLGQFANEFCLDPTQLEKRPILSFSPAPHVTDKPTPPGWWTHSRHLDSRLGPTSTSSAFLCFSAALVRHLVNEAKRRRDLRLSLPKARSPAAIRRRVSPAQAPGTGSIYGVRISGSTYDLFWDGHCFKSKHTLFYIPATLVAFNQVPVSIWFGFHSLEWKKEKSYDLDFSDPSWKEKYQEDWNRRFSLPHITDIYDLKPRLTTFSLKKNRTDGGSLSADKWNGYVNKDDRALLKVIKYASPTSAGAECVDPDCRPRKEIYYEPAEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGSGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM09G10650.2 pep chromosome:ALNU02000000:9:14736338:14743487:-1 gene:OGLUM09G10650 transcript:OGLUM09G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2Y3] MEKKKQNYLGQFANEFCLDPTQLEKRPILSFSPAPHVTDKPTPPGWWTHSRHLDSRLGPTSTSSAFLCFSAALVRHLVNEAKRRRDLRLSLPKARSPAAIRRRVSPAQAPVGPHMTFSGMDIALKASTHSSTSQQHWLHSTRYRCQYGLGSTHLNGRKRSPMVLSVRAVSGKSDLDFSDPSWKEKYQEDWNRRFSLPHITDIYDLKPRLTTFSLKKNRTDGGSLSADKWNGYVNKDDRALLKVIKYASPTSAGAECVDPDCSWVEHWIHRAGPRKEIYYEPAEVKAAIVTCGGLCPGLNDVIRQIVFTLEIYGVKNIVGIQFGYRGFFEKGLKEMPLSRKVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMQASLSSGQIDVCLIPEVSFTLDGEHGVMRHLEHLLEKKGFCVVCVAEGSGQDLLQKSNATDASGNVILSDFGVHMQQKIKSHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGICNTHYAFLPITEVITKPKRVNPNSRMWHRCLTSTGQPDFH >OGLUM09G10660.1 pep chromosome:ALNU02000000:9:14752453:14758329:1 gene:OGLUM09G10660 transcript:OGLUM09G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLEHIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >OGLUM09G10660.2 pep chromosome:ALNU02000000:9:14752439:14758329:1 gene:OGLUM09G10660 transcript:OGLUM09G10660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MAGGGVAALGVKKERAAEYKGRMTLAVGMACLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLEHIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >OGLUM09G10660.3 pep chromosome:ALNU02000000:9:14751916:14758329:1 gene:OGLUM09G10660 transcript:OGLUM09G10660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter protein 7 [Source:Projected from Arabidopsis thaliana (AT4G02050) TAIR;Acc:AT4G02050] MDPFLKKFFPVVFRKKNDDGQNNYCKYDNQGLSAFTSSLYLAGLVSSLAASPVTRNYGRRASIVCGGLSFLAGATLNAAAVNLVMLILGRILLGVGIGFGNQAVPLYLSEMAPAHLRGALNMMFQLATTLGIFTANMINYGTQHIRPWGWRLSLGLAAAPALLMTVGGLLLPETPNSLIERGRVEEGRRVLEHIRGTADVDAEFTDMAEASELANSIEHPFRNILEPRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGSASLYSSVLTGAVLFSSTIISISTFGTDKELTRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLFFTFVIAQAFLSLLCALKFGIFLFFAGWITVMTVFVHVFLPETKGVPIEEMVLLWRKHWFWKKVMPDLPLEDGDSHHK >OGLUM09G10670.1 pep chromosome:ALNU02000000:9:14760476:14761258:-1 gene:OGLUM09G10670 transcript:OGLUM09G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLTLRPTDCLRGGGGGVQCAAAAAAATDHPTRASKLARPPRRGGRANGRQPRGRGSSSHSHRAAAPRPSQSQSQMRAMEKVVILKRGDRFAPEIGAAVAVEAPAADQCCAAAAAAEEHAEPVTAAGQRVAPAKKAEPVAEADRYIAPAEMAPVQCVTPTKMDQPAVAAAAEQCISPANSAEPAVAAEQCIALAAAKIPRPVAVAVAAAGQRRAPATKPKTSRVLYGGPSFVIPPDPSELPIPVLLLESRGRRSAACA >OGLUM09G10680.1 pep chromosome:ALNU02000000:9:14761422:14766863:1 gene:OGLUM09G10680 transcript:OGLUM09G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITAHKLRPITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEDELELEEEECLNSSCFCKGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >OGLUM09G10680.2 pep chromosome:ALNU02000000:9:14761422:14766863:1 gene:OGLUM09G10680 transcript:OGLUM09G10680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARPITAHKLRPITRSTLSRCPDPGPALAARLPPPPIRRVAHAAAAPASRRRRRASPGRRALLPPPDEGFLVMATPVNQDSITPVNTWEEDELELEEEEGLLCKRKRAKFSDSTVRQQQGIEAAAMDMVMEEAAALSLGVSASKEPVHVATGRVEQSNCGAKHANGTTDNEPARLRLHKICSATHWKEPSYDFEEQGPSHLKLFTCKVTIHVDTFTTTIVECISEPKRSKKAAQEHAAQGALWYLKIFGHAN >OGLUM09G10690.1 pep chromosome:ALNU02000000:9:14783195:14784529:1 gene:OGLUM09G10690 transcript:OGLUM09G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGGIPACFRGAPGGGAGGGGGGGVGVAGQSSGGVGTSLATSVYETRLGVAALSWSRAALGLSLRVVLRVAGGAGAGAWAAASSSAASDYGCYDEGAECYGGEEEEEEEATVAVRVRPWLLWRRRGSKRFRVRDRRVDLAWDLTRARFACPGSPEPSSGYFVAVVVDGEMALVAGDMTEEAYRKTKAQRGPGPDAVLISRREHVSMRDAGHGRGHKTFVNVRGKEREISVDLVSRGHGKDRDKDKDKERDKADVGMSVTVDGERVLHIRRLRWKFRGTEKVDLGGGDGVQVSWDLHHWLFPNRDTAPADASAVTPPPQPAHAVFIFRFELADIAGDDRDSAEVKDEHLLENAGSGGGGGGAWAGYLGRWGRGDWSESSSNGENRRKRGQARRLAKASSSSSASVASSSASWASGSTVMDWASPEEAELQRGHGFSLLVYAWKC >OGLUM09G10700.1 pep chromosome:ALNU02000000:9:14787884:14792030:-1 gene:OGLUM09G10700 transcript:OGLUM09G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B2Z1] MEASSWDALRKQARRLEAQLDDQMIAYRKLVSMKSDGSENDIESDIERSLKQLQQVNSQMQTWVSSGGSEVLSHTLTRHMEILQDLTQEFYRLRSSLRVKQQHASLLDLRDFDRAKFDVESGDSADQALLREQAAISRSSGQMDNVISHAQATLGTLMSQRSTFGGITTKISNVSSRLPTINHILASIRRKKSMDTIILSLVASVCAFLILVYWLSK >OGLUM09G10710.1 pep chromosome:ALNU02000000:9:14795190:14798950:1 gene:OGLUM09G10710 transcript:OGLUM09G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAEKPDGVEIREVWEDNLEAEFAVIREIVDDFPYVAMDTEFPGVVCRPLGTFKSNADFNYATLKANVDMLKLIQLGLTFSNEHGGLPSLGPEGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFTRHSADGADARRFAELLMSSGVVMNSEVRWVTFHSGYDFGYLLKLLTGTYLPDTITGFFDLIRIYFPVVYDIKHLMRFCNSLHGGLNKLAELLDVERVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGSESLLCLDHPNSLRFAGMRGSLTSCRKLDLIRWSGSGAQSIEMMVFT >OGLUM09G10720.1 pep chromosome:ALNU02000000:9:14803567:14806649:1 gene:OGLUM09G10720 transcript:OGLUM09G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADVGGGFRLWPIFSAAALRRKLLEVLTCGGGAGGGSCRSKNGYRSPQPRPRPRSDRLAELLRAEPSECGDEADDADAAVKKVEALEKLKVVVGALQACDGDNAGVGGGGDMCRVEAATVVRRKAKDDAGAREMLAMLGAIPPLVAMLDESDGGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGDLTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAPTTEQARHDALRALLNLSIAPANAPHLLSAGLAPSLVAAVGDAPAAADRALAALCNLVAACPEGRRAVSRAPDAVPAFVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSPSPPPPPPRAYPSEAHHRKQNTAEGVMGRRLWKKLLLGASVAIACIGDRESFWAPVEAVMRRDGPNLSYVVAVDREGLEPEINVVPFP >OGLUM09G10720.2 pep chromosome:ALNU02000000:9:14805936:14806649:1 gene:OGLUM09G10720 transcript:OGLUM09G10720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAINLAFVALWQSKRKRASPNAEGVMGRRLWKKLLLGASVAIACIGDRESFWAPVEAVMRRDGPNLSYVVAVDREGLEPEINVVPFP >OGLUM09G10720.3 pep chromosome:ALNU02000000:9:14803567:14807021:1 gene:OGLUM09G10720 transcript:OGLUM09G10720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVARCAHADVGGGFRLWPIFSAAALRRKLLEVLTCGGGAGGGSCRSKNGYRSPQPRPRPRSDRLAELLRAEPSECGDEADDADAAVKKVEALEKLKVVVGALQACDGDNAGVGGGGDMCRVEAATVVRRKAKDDAGAREMLAMLGAIPPLVAMLDESDGGGGGEEMVAAALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGASGDLTEALVANFLCLSALDANKPIIGASGAAPFLVRAFEAAPTTEQARHDALRALLNLSIAPANAPHLLSAGLAPSLVAAVGDAPAAADRALAALCNLVAACPEGRRAVSRAPDAVPAFVDVLNWSDEPGCQEKAAYILMVLAHRSYADRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADAAGIVATMSAPQERGGGGGARQEEADEAGMSNEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPPSSENLKALTASSTSKSLPF >OGLUM09G10730.1 pep chromosome:ALNU02000000:9:14811967:14815016:1 gene:OGLUM09G10730 transcript:OGLUM09G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPEIYPSALGLFAGRRWETHGGREEMEVARWWRSRRIYDGDDLGTAKGEGRATRANELGKRPTGSGCGMMAAMTELCASVEDDVSEYTTNTNVFLPPPSLCDDELSHNLLHFLPFSSLPPPSLCEDELRHNLLPFYHRWPSPPSPPSANALKDGGRATSAPTGGGRGLMEPKAARWGGVNDGALRKERERDAGKWREARERQRRSAKKTDRANSSPSSPSPSSLSRLHCHNDVHVEGQKDFVDNLLDTAVKCMRTASLIYTLSTGEEPEDERPILDMAQFRQEMEVLDDGTAMPMDSAPNSSLDVDKILKVLDVNCLTEDGVPL >OGLUM09G10740.1 pep chromosome:ALNU02000000:9:14823389:14823958:1 gene:OGLUM09G10740 transcript:OGLUM09G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGSLTDPAIVRFLLAPGTWDLWKGRRGWGMPSVLGIMAVSPPAKGGGIDWSGGVEGGSKVDDKNNESERKEDEKTDPGKKKLIWVVLIK >OGLUM09G10750.1 pep chromosome:ALNU02000000:9:14825916:14829186:-1 gene:OGLUM09G10750 transcript:OGLUM09G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTTREMQAMAAAAAAGQISLDDLRNGGGVAANAGGGGGVHDDFLDQMLSSLPPSAWPDLAAGKAAEDDAEGMHHHHHQQQQQFGGPYDESAMLASRLRQHQISGGGGGGGGGAAAVKQMVLQQLADLRQGHHMMLQGLGGRSPAGGGGGGSDGGLLLPLTLGSGGSGGDVQALLKAAAANSAGGGDAGGVYGGGFAGSLHQQQQHFQPHPQTAPTIPTQSFGGGGGGGGGGTASGGGAAQPQAGAAGGGAPAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVLSMSRLGGAAAVAPLVADMSSEGRGGGAANGGAPAAAAAAAGSDSLTVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPISLASAISSATMSVLTAQSAMANGGGGAADGEGSQLKDAASVSKP >OGLUM09G10760.1 pep chromosome:ALNU02000000:9:14837423:14841619:1 gene:OGLUM09G10760 transcript:OGLUM09G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPPLRHLLSLRRRPLAPRFLLLPRRFSASASASVLQAATPPPSSSSAARLAAAVHGSAASGDFAHAIRLTKHLVRASSSPSHRPGAAGAAAAAALASTSASPAPALGVLVIALSQMALPDEALSVFGRLRELPALPACNAILDGLVKAHMLARVWELFDEMLGRGMVPSVVTYNTLINACRHQGDVAKAWEVWDQMVARRIDPNVVTYTTMICALCEEDCIGDAEGLFLDMKEAGMRPNLYTYNALMSSHFKRDNIKHALVFYYDLLKCGLVPNDVIFTTLIDGLCQANRITEAKNIFLDMPRYEVAPTVPVYNSLIHGAFRSGYAQEALAFFQEIIRKGLRPDEFTCSIVVRGLCDGGQMQVATRFLEVMQQSGIALNAAAYNVLIDEYCKSGNLDEALVTCTRMSEVGVEPNVVTYSSLIDGHSKNGEMEIAMAIYTEMVAKGVEPNVVTYTALIHGHAKNGDMDAAFWLQKEMEEKGIYSNAITVSVLVDGLCRENRVQDAVRFIMEYSGQKKSEKNPSIANSVTYMTLIYGLYIDGQYNEACHFFSCMRDSGMVPDRFTYTLVIRGLCMLGYVLNAMMLYADMVKLDMRCVRSWEMGGVAERERLVGQTVDCPSC >OGLUM09G10770.1 pep chromosome:ALNU02000000:9:14842462:14843805:-1 gene:OGLUM09G10770 transcript:OGLUM09G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDSQLARAPFSPTDPCKLKTTRSRSRRLYVAPPIPHEPFKCLYLALLACFCFDYSSSRAQQQRDASCSWSSSSSMDAAWRGGVGCSPVCLDLCVGLSPVREPSAARHELLDRPAGCRGGGDSKPMTNDEAKILEAKVTQMSEENRRLTEVIARLYGGQIARLGLDGSASPPRPVSPLSGKKRSRESMETANSCDANSNRHQGGDADHAESFAADDGTCRRIKVSRVCRRIDPSDTSLVVKDGYQWRKYGQKVTRDNPSPRAYFRCTFAPSCPVKKKVQRSAEDSSLLVATYEGEHNHPHPSPRAGELPAAAGGAGGSLPCSISINSSGPTITLDLTKNGGAVQVVEAAHPPPPPDLKEVCREVASPEFRTALVEQMASALTSDPKFTGALAAAILQKLPEF >OGLUM09G10780.1 pep chromosome:ALNU02000000:9:14859977:14861690:-1 gene:OGLUM09G10780 transcript:OGLUM09G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSDGSSSPTDDSAAAGLLPLFSRSPAEDLEEKLRRAMEENARLTRALDAILAGHHAHQRALLAPSLSPPPPSATARAPSVSTSCAAREDAAPAVAAAAASTACPSRQQPPTAEPRPKVRTVRVRADAADATDANSMAETVKDGYQWRKYGQKVTRDNPYPRAYFRCAFAPSCPVKKKVQRCAEDRSMLVATYEGEHNHALSTKTTEFVASGCTTSQHAGGSSSSPLPCSISINSSGRTITLDLTNQAGSGSIASCGVEAAAVSGEIVTVLSPELRRHLVEEVVQVLKNDPEFVEAVTNAVAARVVDQIPHIPVHL >OGLUM09G10790.1 pep chromosome:ALNU02000000:9:14870523:14871015:1 gene:OGLUM09G10790 transcript:OGLUM09G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEDRAAGADAVAERGGEREASRVRDEQGEAKEREVARPRDAVHNPFRCRMELGRRLPKTMMPPPPSFLDFDWDEAAKDEGVGSGGGDPAGGRPLHPLVEEAATQRVGSDGSKSVQGIKEREEEKVWAPWMASGLWLAKFVSKGASLAN >OGLUM09G10800.1 pep chromosome:ALNU02000000:9:14875894:14877264:-1 gene:OGLUM09G10800 transcript:OGLUM09G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B303] MARRAREEEADQVERKLVLGRYELGRLLGQGTFAKVYYGRDLRSGESVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRARVFVVMEYARGGELFAKVARGRLTEEHARRYFQQLVAAVGFCHGRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRQDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHENYAKMYQKIFKAEYQVPPWVSGDARRLIARLLVVDPAKRISIPEIMRTPWFKKGFVPPVPTSPVSPKKWEEDDVLLDGGDSGAMSPRTCNAFQLISSMSSGFDLSGMFESEQKAATVFTSRAPAATVIQKLEAVGRSLGYSATRGKGWKLRLEATADGANGRLAVTVEALEVAADVAVVEFAHDAGDELEFNKFCAVDVRPGLADIVWAWQGDGPAAPDVAAATVECSPA >OGLUM09G10810.1 pep chromosome:ALNU02000000:9:14891104:14891769:1 gene:OGLUM09G10810 transcript:OGLUM09G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHPAAAWSSAADGRRHEWPDRRRRRWSAGRLERLPSSSSSGRRGSRGGTAGGEAVRALLHLHPRASPSSSGAAVVPRHPTAVLSGGGGRRGGGVVEQRPELRGTGRRSRSPRTAVHHNHPLHPCSGHLPLLSPLPVPSGGARHGPHPLLSPADDGGDAAELARSTLGRRDDDDGSGPSFLPPFSPASSGGGLAGDDRRPLARGHALSASPAMLSSPRRC >OGLUM09G10820.1 pep chromosome:ALNU02000000:9:14892579:14893744:1 gene:OGLUM09G10820 transcript:OGLUM09G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGVLEGRYEMGRVLGHGNFGRVHAARDVRTGRAVAMKVVSKDKVERAGMAEQIKREIAVMKMVSHPSVVELHEVMATRTKVYLALELVRGGELFDRIARHGRVGEGVARRYFRQLVSAVDFCHGRGVYHRDLKPENLLLDEAGNLKVADFGLSALACHARPDGLLHTACGTPAYVAPEVLAGNGYDGAKADLWSCGVILYVLLAGALPFQDDNLVCMYRKMRRGDFCCPPWVTTDARKLIKTLLDPNPDTRVTVAGLLETPWFRKTAPVPRPIIADPAPAPVDPRGNAGDDKDEPPEDGGDAMEYRPFFSEELRPALKDIVWSPAAT >OGLUM09G10830.1 pep chromosome:ALNU02000000:9:14914930:14917600:-1 gene:OGLUM09G10830 transcript:OGLUM09G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITPLLSQSLPYPSPDRTRALAATGALRVPAPTLPTAPPKPPAPAVGDGGADEVAGTSPGYAPASPGTELFCKIVLVLLFLLQILPHGIIVKVKIN >OGLUM09G10840.1 pep chromosome:ALNU02000000:9:14943934:14946780:-1 gene:OGLUM09G10840 transcript:OGLUM09G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVADDAAAAAAAAQQQEELPPGHGQTVCVTGAAGYIASWLVKLLLERGYTVKGTALDGADERLVLCKADLLDYDSIRAALDGCHGVFHTASPVTDDPEQMVEPAVRGTEYVIKAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLEFCKKTKNWYCYGKAVAEQEACKAAEERGVDLVVVNPVLVVGPLLQPTVNASAVHILKYLDGSAKKYANAVQAYVDVRDVADAHVRVFEAPEASGRYLCAERVLHREDVVHILGKLFPEYPVPTRCSDEVNPRKQPYKMSNKKLQDLGLHFIPVNDSLYETVKSLQEKGHLPVLSKEIPEELNGVPA >OGLUM09G10850.1 pep chromosome:ALNU02000000:9:14961945:14964482:1 gene:OGLUM09G10850 transcript:OGLUM09G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPMSRPATADGSARGGGLALAIADELPQEAVAKPALMSQVMAGNSSMVAKMDEVSRVADDLMFAEDLQLEEVIRFSAHSAGPNCAVCGQATPSVDASWKPDNCDHVMCITCFGQLASDSHADELPKCPLASCQSSPDIISVSNEETGGGKGKELATYVVLEEHGECSRGAAATASSSASSEFYCTICMETVDAIERFAIPGCTHAFCASCVRQYIAAKVEENVLSIGCPDPGCKDSGGGALHPEACRDVIPPQLFQRWGDALCDSALSSLKFYCPFSDCSALLVDDPGNGEEAITDAECPHCSRMFCAQCKVPWHGGATCAEFQKLGKDERGRDDLLLRKVAKDSKWQRCPKCKMYVERIEGCVFIICRCGHCFCYLCASPMSRDNHHCKKCKRTW >OGLUM09G10850.2 pep chromosome:ALNU02000000:9:14964242:14966877:1 gene:OGLUM09G10850 transcript:OGLUM09G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTGMENKRVDVDAMNIDDALAEELQLQEAILFSAFQEMIIQDTDDDDSIGNLILIGQDQGQESKKPFSVADHGESSSPSPLTMTTTTGGGGAGEFYCSICMETVPGALKFSVSPCLHAFCVCCIGQYVAAKIGENTADVRCPDPGCGGGVEPESCRGVVPSEVLDRWGLLLCEAAIVARRLHCPFRDCSEPLLADADGEGGGVAEAECPSCHRLFCARCMVPWHDGVGCEEFQELGEDERGREDVMVRRLAGRERWQRCPQCRMYVEKSEGCMFMKCRCGYCFCYACASPMSKELHYCKRCKR >OGLUM09G10860.1 pep chromosome:ALNU02000000:9:14980607:14982349:1 gene:OGLUM09G10860 transcript:OGLUM09G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAADAAAAAGGADVIYISSDDEDEEIRILSADPYSPEEIQIQEVILLSLDYSRAAAADADTAQSFASSSRPSAAASTFGEPSSLPDRKGKSKLLSEDGPSESTTTRRRRKRGFTCIICMDKVQASEEFLVSVCSHAFCKSCIGGYVAAKVSDNVAAIGCPDPGCEEGSVEIGQCRDIVPPELFGRWSVSLWESSMGETTKCYCPFKDCSAMLINDNGDGGDAEEIAETECPHCHRMFCASCRVPWHDGIDCKEFRKLGNDEKGKEDLMLKKLAGKKKWQRCPQCRMYVEKSAGCTFMRCRCGFFFCYNCAAPMTKLVHYCKKCNR >OGLUM09G10870.1 pep chromosome:ALNU02000000:9:14984599:14987740:1 gene:OGLUM09G10870 transcript:OGLUM09G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAAGGAHLIYVSSDDEEDETRVLLAESYSAEEIQIQQAILLSLDPSSDADAAHSSASSSRPSGAASTSDEPSSLPDRKGKRKLSSEEDGPIESTRKKRRKRGRFKCSVCMEKVQVSEQFTVSFCAHAFCNSCIGRYVAAKISENVAVIGCLDPGCEEGFVEMDTCRDIIPPELFDRWSVSLCELALGEKKYYCPFKDCSALLINDNDGAEKKIRETECPHCHRMFCARCRVPWHDGIKCKEFRKLGDDEKGEEDLMFKKLAGKKKWQRCPNYKMQFSEWKIPESPNFGRVRQCKVVMV >OGLUM09G10880.1 pep chromosome:ALNU02000000:9:14988296:14990829:1 gene:OGLUM09G10880 transcript:OGLUM09G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAALKMAALCVLAMIAHASSTAKQDAAAGALLRELVRNVVAEELGLSGGGAGGRGNVGDACPAACQKCLIPCAIKCVLKPTPVACYADCISKDACFNAGWGEG >OGLUM09G10890.1 pep chromosome:ALNU02000000:9:14993587:14996897:-1 gene:OGLUM09G10890 transcript:OGLUM09G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLSGALAEMTWPAILSKRETFKEVFMDFDPLLVAKLSERKILGPCSPARSLLSEHRLRIIIENAQEVLKVIEEFGSFDNYCWGFLNSKPMVGRFRHPREVPMKTPKADAMSQDLLRRGFLGVGPTVIYAFMQAVGMANDHLVTCYRFGECCSCSSSTEAPAAAAMDGADNHSKSMVKDQEVNMICGLVECVSLEPSRARATTVLSIS >OGLUM09G10900.1 pep chromosome:ALNU02000000:9:15006301:15008732:1 gene:OGLUM09G10900 transcript:OGLUM09G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTASGATEASDSTEKTEQAPPADTKPKKKICCACPDTKKLRDECIVQHGEDACGKWIEAHRQCLRAEGFNV >OGLUM09G10910.1 pep chromosome:ALNU02000000:9:15009535:15009861:-1 gene:OGLUM09G10910 transcript:OGLUM09G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVASSRVAAGAGAARRSSPSPGPRPAAGSSVVRDKDATTMMRRRLYQQQHPPSSSGAREPGVPSMLLRRAAGGGGGPRSLNVSCASEASNDSFCSRASTGRIGRR >OGLUM09G10920.1 pep chromosome:ALNU02000000:9:15015694:15022321:-1 gene:OGLUM09G10920 transcript:OGLUM09G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFQRTGSDRGFPRSDIDIPAVLAQRRKLAELRNDHKDITNKIEKNLEVLHSTKLSRNEASIPASSGTPASLHSGLSQNDPMEEDAVTRLPFAIIDELTDGSPAAVDGLQLWDEIVKFGNVEAGDRLQERLVSEALSNEDCQVSLVIIRQGSSMNLTVTPRKWHGRGLLGQPLQHREQSD >OGLUM09G10940.1 pep chromosome:ALNU02000000:9:15025154:15030168:-1 gene:OGLUM09G10940 transcript:OGLUM09G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDAFQSDGADVRYVISDLATDVIVHVSEVKFYLHKFPLLSKSSKLQRLVIKATEEGTDEVHIDGFPGGVTAFEICAKFCYGMVVTLSPHNVVAARCAAEYLEMTEDVDKGNLIFKIDVFINSSILRSWKDSIIVLQSTKALLPWSEELKVIGRCIDAIASKTSVDPANVTWSYSHSRKGMSCTEIVESTGRTSIAPKDWWVEDLCELDVDLYKRVMVAVKSKGRMSPELIGEALKAYAVRWLPDSYDALVAEDYMRRNQCLVETIIWLLPSDKTSGCSCRFLLKLLKVAILVGAGQHVKEELMRRISFQLHKASVKDLLLPAASPSDGAHDVKLVHNLVQRFVARTAMSHNGGFVEKSDDKMIELNFEQESTLALGELVDGYLSEVASDPDLSLSTFVELATAVPEAARPVHDSLYSAVDAYLKEHPNISKADKKKICGLIDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGSSNALALTDGGGHTCAKPIMKDQSDICERRIPRHPNSLNKQATSLSAREVEHRKSEHRGGGRNSFKDQLGGFLLQSRSRRIFDKIWSSKGQGENGKGSETSGSSQSPPLSAKPADVKPSPLPPLRNRRYSVS >OGLUM09G10950.1 pep chromosome:ALNU02000000:9:15049638:15053363:-1 gene:OGLUM09G10950 transcript:OGLUM09G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGGSGGSGGGGARDMDALQGVRSIVLKPSESLDEGRFTRIAGADFNDAGLGLSGMLASLATTGFQASNLGDAVDLVNQMLEWRLSHEKPREDCDEAELDPTYRESVKCKIFLGFTSNLVSSGIRDVVRFLVQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGTLLRSKGLNRIGNLLVPNDNYCKFEYWIMPIFYQMLQEQSREICVFLSEATLPTTFVLLSLLFMKRNQFYLPAECLDTIEDIRLMNGEAIHATPRKTGIIVLGGGLPKHHICKANMFRNGADFAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKWRLTLYNNCKL >OGLUM09G10960.1 pep chromosome:ALNU02000000:9:15053770:15067127:-1 gene:OGLUM09G10960 transcript:OGLUM09G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10180) TAIR;Acc:AT3G10180] MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGELCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHCTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDIAQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLQKRDSFTVDKRHEQNVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNENNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKYCRETFEESERLLKKERNIDTGVNENELHQQLLSITEERDKLLSEIKYMNSVINESELIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQCKLLVPLAVSI >OGLUM09G10960.2 pep chromosome:ALNU02000000:9:15053770:15067127:-1 gene:OGLUM09G10960 transcript:OGLUM09G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G10180) TAIR;Acc:AT3G10180] MERIHVAVRARPLTAEDAGSSPWRVSGNAIALSTQPSIRFEFDRIFGEECRTADVYGARTKHIVDSAVRGFNGTVFAYGQTNSGKTYTMRGSGNEPGIIPLAVHDLFRTIEEHLDREFLLRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIYVAGLREEIVTCPEQVLEFMSFGESHRHIGETNMNVYSSRSHTIFRMVIESREKVDESEAGELCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIEGQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQRKEIEELRAKLRSELEKERISLELEEEKKAKEQRDKRLIEQAKKIENLSSLVLNSERDDRTTVSSKVLESVQEDPPSSTVRHGRNMEMPLHFEELIQESCESSIKHCTDAYSSGSLSCEDDSLPDSHALLHVTSRRKPNTMKKSDQEQLMGLASERIIPQELNDWKYTTQSQENIKACVNGLSARESEAILVIKQLEDQIKLLELEKSSFQNNLDDVLELATQQKASFHEKYEELQQNALVAQEQAKIANEKLSKQEAAYEFLTGIFVETESIAVQMDQSTRSVDNALSFIEELFQNLFMMAKNFTEAKQFVCGDIAQFSSVIRDYENISNCLREKLSKLEMEKKILDEQSLDQKDELQRLKSSLESCEKAMEDCNIQNELEKDSILSELLTLQKEVVYLSSSSLMKEKESIRKELDRTKTKLKETENKLKNSIQEKIKLESEKAEAQREIKKLQSQRTLLERDLQKRDSFTVDKRHEQNVKSKELAGIYDQAVQIQEDYGKLEMHAFDMEAEIASLQEALVTTIAEKEEALSRVELLTSAVEDLESRLNSAESETSSLLEETAVLTRKLDASESISKKLEASISSLSREKEDMGIELTDVLLEMESERSTWTAKEKAYLEAKQKLNICNENNCKLSEDLIKVRQELACCREQYSILEAKMIFSKNDTNEEKYCRETFEESERLLKKERNIDTGVNENLIQAKATIDELSSRISIVEAKMKNDASAYNKENTKLRMQIRWMQPELDAHRGRLKEAINEMKLMDTKYLEASTKLKKDLSFYCREVLRLKEQLKESQCKLLVPLAVSI >OGLUM09G10970.1 pep chromosome:ALNU02000000:9:15068421:15071888:-1 gene:OGLUM09G10970 transcript:OGLUM09G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipase D alpha 4 [Source:Projected from Arabidopsis thaliana (AT1G55180) TAIR;Acc:AT1G55180] MGSRGAAADHLHGVLELTVYEADDLHNAIHGRIIKAAESLKESLGVHRLAHRIYVDVDVGAARVARTREVEFHPTNPVWNQSFRLHCAYPAAPVAFTVKSQHLVGAGVLGAARVPAARVATDEPVEGWLDLRGGEHGHATHTPKLRVRLRFLGVESDPWWDAGVRLPGFAGVTPAFFPERSGCRVTLYQNSHLSGGFDPGVRLAGGGAYRPARLWEDMYVAIRDARRFVYVAGWSVNAEITLVRDASRMVPGAEGVTLGELLRRKADEGVAVLVMPWQDKTSVSFLGNGGLMRTHDEETRRFFEGTNVRCFLCPRNADASLTMVQSIEVAAEFTHHQKTVTLDAAAASPGDADGSRRHIVSFIGGIDLCDGRYDDENHTLFRDLDTTYRHDFMQNNFKHAGLRRGGPREPWHDVHCRLEGRAAWDVLANFEQRWRKQAPLEMAGCLLDLSQAELPDPGSFGDDEPWNVQVFRSIDDASVVGFPAQPVAAAAMGLTNGKDVTIDRSIQAGYVEAIRRARRFIYVENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAKIRRGERFAAYVVTPMWPEGEPAGDSVQAILRWNRLTVEMMYGIVTKAIDDAGLRGQAHPCDYLNFFCLGNREAPRPGEYSPPETPDVDTDYWRAQVNRRFPIYVHAKLMIALFNLCHGSSHLCRRLTTVFFSAVDDEYVMVGSANLNERSLAGNRDSEIAQGSYQPAHLNGGPSGRARGLVHAFRMSLWHEHLMGHAGGGGGVFLEPESAECVRAVRRAAEATWDAYTRDTMEDLPGHLLPFPITVSEFGEVADLTADGCFPDTTAPVKGRRSLKLPAILTT >OGLUM09G10980.1 pep chromosome:ALNU02000000:9:15075576:15076145:1 gene:OGLUM09G10980 transcript:OGLUM09G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELGESLCKLRKLRLDNTTSPFSRFEKEVAAALNFIYRTQKELAACTDDLYLTMDGSVTSHQLLGNFAAD >OGLUM09G10990.1 pep chromosome:ALNU02000000:9:15077745:15081108:1 gene:OGLUM09G10990 transcript:OGLUM09G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGDGEVRLVRRKGKKRLAPPPPPPPPPAAERGERDRLDELRRDYRDVLKDNEMKRRKLESINKRKLVLLSEVKFLQKKLYSFKKNDSQQVRLKKKAPRVPSHVGINDASAFYGASTEVPSTSKRTDLDLNQDSAMNDELSDFPGHHNHLELKKAEQAGVDEDIMTADVNLSACRDTGNSPASDDKRSVSWQDLVALKV >OGLUM09G11000.1 pep chromosome:ALNU02000000:9:15078519:15082343:-1 gene:OGLUM09G11000 transcript:OGLUM09G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADGHMNNNNGFVQAVAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKTAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPVAGQRAHAARWSCSVCQVHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKEEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATLKCTSQRMLADHLSGRKHIKHYAINPSFALTSAMQSTSGLLQSSGAEY >OGLUM09G11000.2 pep chromosome:ALNU02000000:9:15080098:15082343:-1 gene:OGLUM09G11000 transcript:OGLUM09G11000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPTAGGSRFADGHMNNNNGFVQAVAGDPLAVVRDALLSQLQHDRLRQEIIVAELAKIERAMALRDASPSPSPTPRHAAGKTAASQTWSCAVCEVQTSSERNLRDHYGGQKHQSKVAGLELKAKTATVKTTAKPSPVAGQRAHAARWSCSVCQVHCNGEWHFDTHLKGKRHQANTQALLEQSNKNSGNSESHDGTKAQPSNVSHHAEKKKRKEEEEEATWICRACQAVCTCESDLQNHLRGRRHQLKVQALPEAAKQEKNNPPKLAKNPNKQPSEWVCSLCQAKCNSESQLEHHRRSTRHQQKVESLGWNAKESDLGTLQGMSSDGSSSKSVKISATMDKQKATYFCEVCSLKCTSQRMLADHLSGRKHIKQLELQLFS >OGLUM09G11010.1 pep chromosome:ALNU02000000:9:15085151:15086932:-1 gene:OGLUM09G11010 transcript:OGLUM09G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADAPSHGDSLVVVRDALLSQLQQDRLRKDIIVAELAKIERAMALRDVSQSPTPRHAAAAAAGKTITTVATPAKKPSPSEKSEPAVQKSMPPSAWSCAVCQVRTTSERNLRDHCGGQKHQSKVAALEKTTKAMARTTAKPSPGAAARWGCSICNISCNGEWDFDTHLKGKKHQANTQALLEQSKKSSVNPESQGTKAAAATLICRVCQAKFTCQSDLQSHLKVMKHQLNLRAPSSDGSSFTSATSESLSLELYSCKVCSVKCTGERMLAYHLTGKKHLKQENLQLSCEICKLQCNSEKVLSDHRYGKKHQAKLEKVLQAKLNATE >OGLUM09G11020.1 pep chromosome:ALNU02000000:9:15089692:15092345:-1 gene:OGLUM09G11020 transcript:OGLUM09G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPRHGQSSHPADADDDDRRRLRFPDSTPPSGREASPAPAQGDAVTAALGRRREELLWELHKTKIHREMLLCQLGDLLPAREYAWRSTPWEEQANANAHAHGALLAPRSGDEEITPWWRWSPSAVTPPVYPHVERSPSPPIARGWPADDDERQEHGELSGSPAMAPPVRHAPHVEQSTPMPIKSPAAEAVCMPSGSPAMAPPVQPAPHVEQSTPLPAKEPAAVAKVEADAIVQTAANADADQALLGKGATPGGQGCIGQKGEEGDFAINGHGRQLLGEMNVSKSTEQPKPTESISGGHTDELVQKRYQDNKPADQEIATLDKQKRVGSNDELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQPAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKIMASHLAGKRHRERHNSIFM >OGLUM09G11020.2 pep chromosome:ALNU02000000:9:15092391:15095162:-1 gene:OGLUM09G11020 transcript:OGLUM09G11020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRFALFLSFPFPFSPDRAPGHSQFDAALGFAPPPARAAHAPAAGVLPSSRAASPGAGMLRRPSPRRPPPRHPTPPQAARRPTAVSLSLARWPSPRRPPDAAPRRAEATSARRCLKTPLLDAARRCSDAFATAPAGLKEWATRQIGVMIL >OGLUM09G11020.3 pep chromosome:ALNU02000000:9:15089692:15095131:-1 gene:OGLUM09G11020 transcript:OGLUM09G11020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRFALFLSFPFPFSPDRAPGHSQFDAALGFAPPPARAAHAPAAGVLPSSRAASPGAGMLRRPSPRRPPPRHPTPPQAARRPTAVSLSLARWPSPRRPPDAAPRRAEATSARRCLKTPLLDAARRCSDAFATAPAGLKGREASPAPAQGDAVTAALGRRREELLWELHKTKIHREMLLCQLGDLLPAREYAWRSTPWEEQANANAHAHGALLAPRSGDEEITPWWRWSPSAVTPPVYPHVERSPSPPIARGWPADDDERQEHGELSGSPAMAPPVRHAPHVEQSTPMPIKSPAAEAVCMPSGSPAMAPPVQPAPHVEQSTPLPAKEPAAVAKVEADAIVQTAANADADQALLGKGATPGGQGCIGQKGEEGDFAINGHGRQLLGEMNVSKSTEQPKPTESISGGHTDELVQKRYQDNKPADQEIATLDKQKRVGSNDELTPERRSSGVKRQLASGTSLAKKPRSQGSSITCSLCKVTMTSPRALVEHRASLLHRSNLAPLRSGNKATTEAAQPAEKKTEKPEASEWNSSAHHHQNRMYYCDICEVRCSSEKIMASHLAGKRHRERHNSIFM >OGLUM09G11030.1 pep chromosome:ALNU02000000:9:15097090:15101029:-1 gene:OGLUM09G11030 transcript:OGLUM09G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEVVETTLVAPSEATPQHALWLSNLDLAVPKTHTPLVYYYPAPSPPPADAGAEAEAEGFFAPERLREALARALVPFYPLAGRLAAGPGGRLEIDCNGEGALFVVARADFTGDEMFTDFEPSPEARRLLVPFAASGEPPCVLAMVQVTFLKCGGVAVGTGMHHVTMDGAGAFQFIRTWTGLSRGLDAAAASPSPPSHNRTLLRARSPPHVPFEHPVYSPSYLNGLPRPFVTRVYSVPPKLLADIKAACAPGVSTYGAVTAHLWRAMCVARGLPHDAESRLRVPANIRQRVRPPLPSPYFGNAIVRDLVTVPVRDILSQPLGFVAERIKHAVARVDDAFVRSVIDFLELESEKGNQAARGQFMPETDLWVVSWLGMPIYDADFGWGRPAFVAPAQMFGSGTAYVTQAPDKDDGSGGGVSVLFALEPEYIQCFEKAFYGTE >OGLUM09G11040.1 pep chromosome:ALNU02000000:9:15114553:15115046:-1 gene:OGLUM09G11040 transcript:OGLUM09G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCHTEQDGRRWGGGHRGKEVRWPTGDAVVETREKGRAAAGRGGVGERARWRRGGGGCDAGGEAGADPEVEAEGYGVGERGGRWTPHWTSSSPSAPDLEGLAAKAGCLRPSPTGVPAILRDLDISGSAPLPPRVGHHRLHGD >OGLUM09G11050.1 pep chromosome:ALNU02000000:9:15124655:15129946:1 gene:OGLUM09G11050 transcript:OGLUM09G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase family protein [Source:Projected from Arabidopsis thaliana (AT5G17420) TAIR;Acc:AT5G17420] MEASAGLVAGSHNRNELVLIRGHEEPKPLRALSGQVCEICGDEVGRTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVPGDEDEEDIDDLEHEFNIDDEKQKQLQQDQDGMQNSHITEAMLHGKMSYGRGPDDGDGNSTPLPPIITGARSVPVSGEFPISNSHGHGEFSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGIVAGGAPDPDDYDADVPLNDEARQPLSRKVSIASSKVNPYRMVIILRLVVLGFFLRYRILHPVPDAIPLWLTSIICEIWFAVSWILDQFPKWYPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFESLSETAEFARKWVPFCKKFSIEPRAPEFYFSQKVDYLKDKVHPNFVQERRAMKREYEEFKVRINALVAKAQKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHGKDGLPEAVAADGGMDSDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPPISTFASLFFIALFISIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLILNIIGVVAGVSDAINNGSEAWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFTIKARGPDVRQCGINC >OGLUM09G11060.1 pep chromosome:ALNU02000000:9:15126174:15132944:-1 gene:OGLUM09G11060 transcript:OGLUM09G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDIMQGLGSAVRCMLPKVEDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQYFYNDRAMFFPIVFFGIWKENTQMKWKGKIDAALPDVRAPGLDGEGINPDPEQGKDGSEQHGPDDNNGGRPVLPPHEPLEEGVEVDDDPEGEEELPEERAPRLGAVVDGVGDAGDDADDVEDEQRGGRDEEGGPLEGVELGELVVLVSGGLGRDGEVGIDPGQHLEQALHHREQPQLMTWMASLRSAAGLDDGGTPPSSISVDVTNAADCPNRFSKFICDMSISLSLELVILPGSDTG >OGLUM09G11060.2 pep chromosome:ALNU02000000:9:15130481:15134597:-1 gene:OGLUM09G11060 transcript:OGLUM09G11060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKTGILNETLRPPLVPSEKHNASPVNRGRDVASRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEPKRAQSADRRRPSTPSSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVPSGSLDQTKGQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKSPSRTRPSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAESIIYNVLRNTSNLRDVVNMRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDIMQGLGSAVRCMLPKVEDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQVQESSLRTHLTQLRPGIPHMI >OGLUM09G11060.3 pep chromosome:ALNU02000000:9:15130481:15134597:-1 gene:OGLUM09G11060 transcript:OGLUM09G11060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKSDVKKTGILNETLRPPLVPSEKHNASPVNRGRDVASRYKNGLSAHSAATTARRCTSPSPGRTSANECTPEPKRAQSADRRRPSTPSSRVSTPSTPASRSVTPVRNTVTEGHKSSRRITSTRNTDGLWPAMRNLSSSFQSESVVTPGNKKDKVVPSGSLDQTKGQASVIAERKRSPLRRKNIGEQCENAQPSEDQPRRVIEQHRWPAMQSGRVASNILSRSIDMSDKAGRSVPSTNISRGVSPRKTLASEGTGKGFNKSLDEVARRLAIHAGGRDDKVDSRCHAYSQSTERCKSVSRPSRAVTLPVPVLHRSSSPSKASSVTSSISRSFQSPSRTRPSTPSRSQSAGSIQSGVASPIISYMVDAKKGKKNSSQIENIHQLRLSYNRYLQWIFVNAYAEDTMSFQKVTAESIIYNVLRNTSNLRDVVNMRRIMVQCIQQELKLHGILKEQIDYLEQWPALEKENSISLFRATEALKASTLRLPVTSGAKADVVALKNAVSSAVDIMQGLGSAVRCMLPKVEDRTYLVSELSVIARQEKAMLDECRELLAMAAKLQVQESSLRTHLTQLRPGIPHMI >OGLUM09G11070.1 pep chromosome:ALNU02000000:9:15139184:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLALIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHADKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVTQGERYMHTPKTCINNKDGVQHLSANFIANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASARTPATKQSSQSSPDIGMNSPRIAQMREPNQHAQAEERQYSMIRIIDSLNASANCSVLMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSVYFLKNWEGAGKEEDYENCARQAFTFARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKRHHFVFLDSIYDENNKYHKKIQGLLIPGFIAMWEELSDVEKNFSKFDIQYPPITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFLEHNSQEEAKMLARSFNPTKHGKYARQQEAAENAGTVEREEKQKKERKEEERKGVVEREEKANKNQKMGGRDNFQGNILAQVFGTTTKV >OGLUM09G11070.2 pep chromosome:ALNU02000000:9:15139184:15150992:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEHSRMQVTQGERYMHTPKTCINNKDGVQHLSANFIANMGNRRLEGQHCDENEYQDDFAEFLYEEEPSDNIDMVEQRAQPQINNEQSSPQTPLHINIASARTPATKQSSQSSPDIGMNSPRIAQMREPNQHAQAEERQYSMIRIIDSLNASANCSVLMDNVKVTWSSLSKSLSPRGVVDTYVLNAYAKKIANDQNNKENEYRNFYFFHRTSVYFLKNWEGAGKEEDYENCARQAFTFARNKKPLHYYDLLIFPCLYDNHWFVFTVDIKRHHFVFLDSIYDENNKYHKKIQGLLIPGFIAMWEELSDVEKNFSKFDIQYPPITRQNNGHDCGIYAMKCMEWWNPRMHLKDMIRPEYIPNMRKQIANDLLFLEHNSQEEAKMLARSFNPTKHGKYARQQEAAENAGTVEREEKQKKERKEEERKGVVEREEKANKNQKMGGRDNFQGNILAQVFGTTTKV >OGLUM09G11070.3 pep chromosome:ALNU02000000:9:15151778:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAIKAMEKLSYDAICSGARCIEQQGNNSNMSCNDALLQYHSKSFNPWLIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHADKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVNYSNSSEEHKS >OGLUM09G11070.4 pep chromosome:ALNU02000000:9:15151778:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAIKAMEKLSYDAICSGARCIEQQGNNSNMSCNDALLQYHSKSFNPWLIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHADKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVNYSNSSEEHKS >OGLUM09G11070.5 pep chromosome:ALNU02000000:9:15151778:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLAIKAMEKLSYDAICSGARCIEQQGNNSNMSCNDALLQYHSKSFNPWLIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVNYSNSSEEHKS >OGLUM09G11070.6 pep chromosome:ALNU02000000:9:15151778:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLALIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTENVTCSQLQTTPPSKPTEQENSKSNSNKDNACKETQHADKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVNYSNSSEEHKS >OGLUM09G11070.7 pep chromosome:ALNU02000000:9:15151778:15158843:-1 gene:OGLUM09G11070 transcript:OGLUM09G11070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MHCSMASDRTSSDDECSSNSKSDNKVADSDYANSISEEEETSDSDKYITKKDLVSTLKDLRTKLEYDQDKSGSAESPKPRSRLNITYFSNLIEGLSNEQRSIIENSAFGSLLNFQRCAIPLSFVKWIASHTDVSCSDIVVNGRSIPINPNTTNFILGIPNGGLEIKNDNDAGKHFFHQHFGSTKPLISFFGTKLLSDKGVNKLSEDDVLRCFMVVALSTILCPNSDTHPSPKYLEPLIDIKSSSKWNWSKFVYEWLMTYIAKFQKESKSKEQTSKTLGGCGHLLALIDDISTICKWFTREHSDTTYGKNAQLAAKVLDCVYMSKASLFTQKDTTTTREEKSGEEKSKGGSKQGGSNIAHNGNAPPLEQNHPNNTDVCSQLPKTPQTKSTAEHKTKSNINNTSTSKGAPPTDKKASSKDFVCSQQSNNSVASRTRAKRLHSASPLSVVSISPLKKNSSDQKIRKAVRKQPLKATEPISTQPDREESNKDGLFVEPICTIPAKKEEVQPTKNLESNSTEFVIDIEGPYDAEDTIGHTTDKTKFILVNYSNSSEEHKS >OGLUM09G11080.1 pep chromosome:ALNU02000000:9:15159906:15166568:1 gene:OGLUM09G11080 transcript:OGLUM09G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MALGERARARAPPMVVRRTAVLLLLLHLVLVIAAAAAAVDGRRPAEAIVGGWRRRRRLLMQEKATLLALKRGFTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPPALAQLPHLRYLNLSDNHISGAVPSFLSNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLKGLILGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDSFAVLNLYSNSLTGRLPRWLANCTFLYLLDVENNSLADDLPTAIISGLRNLRYLHLSNNVHFASGDGNTNLGPFFAAVSNCTSILEIEAGALGIGGRLPSLLGSLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGPVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSDNSLTGEIPQSLTKCTTLTYLNLSYNDLAGVVPTAGVFANFTSTSYLGNPRLCGAVLGRRCGRRHRWYQSRKFLVVMCICAAALAFMLTILCAVSIRKIRERLAAVREEFRRGRRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPIDDMFDAGLSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAAVRPTMMDAADDLDRLKRYIGGETTATFASSLGFSSSTFEDLDD >OGLUM09G11080.2 pep chromosome:ALNU02000000:9:15159906:15166568:1 gene:OGLUM09G11080 transcript:OGLUM09G11080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich receptor-like protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G24130) TAIR;Acc:AT2G24130] MALGERARARAPPMVVRRTAVLLLLLHLVLVIAAAAAAVDGRRPAEAIVGGWRRRRRLLMQEKATLLALKRGFTLLSPKLLADWNDSNTDVCGFTGVACDRRRQHVVGLQLSNMSINGSIPPALAQLPHLRYLNLSDNHISGAVPSFLSNLTQLRKLDISKNQLSGAIPPSFGNLTNLEILDMSINVLTGRIPEELSNIGKLKGLILGQNNLVGSIPASFTQLKNLFYLSLEKNSLSGSIPATIFTNCTQMGVFDLGDNNITGEIPGDASDSLSDSFAVLNLYSNSLTGRLPRWLANCTFLYLLDVENNSLADDLPTAIISGLRNLRYLHLSNNVHFASGDGNTNLGPFFAAVSNCTSILEIEAGALGIGGRLPSLLGSLLPPNMSHLNLELNAIEGPIPADIGDVINITLMNLSSNLLNGTIPTSICWLPNLQQLDLSRNSLTGPVPACISNATSLGELDLSSNALSGSIPSSIGSLKLSYLSLHRNQLSGEIPASLGQHLGIVRLDLSSNRLTGEIPDAVAGIVQMSLNLSRNLLGGRLPRGLSRLQMAEVIDLSWNNLTGAIFPELGACAELQVLDLSHNSLTGVLPSSLDGLESIERLDVSDNSLTGEIPQSLTKCTTLTYLNLSYNDLAGVVPTAGVFANFTSTSYLGNPRLCGAVLGRRCGRRHRWYQSRKFLVVMCICAAALAFMLTILCAVSIRKIRERLAAVREEFRRGRRRGGGGSSPVMKYKFPRITYRELVEATEEFSPDRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAGELSLVQRVNICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLINDDMTALVSDFGISRLVMSVGGVANAADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRKKPIDDMFDAGLSLHKWVKNHYHGRADAVVDPALARMVRDQTPEVRRMSDVAIGELLELGILCTQESAAVRPTMMDAADDLDRLKRYIGGETTATFASSLGFSSSTFEDLDD >OGLUM09G11090.1 pep chromosome:ALNU02000000:9:15171474:15174362:1 gene:OGLUM09G11090 transcript:OGLUM09G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G30825) TAIR;Acc:AT4G30825] MAALRICTPGGGAPEARRGSLAAAGSAVQHGPDLIGFSSWVLPISAGYAVDRRHAAAGGVAACHGLSCADSGRRKNHPRASLVNGVVSSLEDSSGGEPALCVSDSPEDASSSGKVLSDLRRDMVDGISGIPRISAGKKKGMKFRRRGQGGNRLTRRSAPRRASGKSGQDQRILLSEDDIAAILSSVTHESSIEECNSVLIRLEKHSDKTALGFFEWMKANGKLKGNAEAYHLALQAIAWKEDWEAAGQLLHEMVADSGCALDAQAFNGLIYVCAKRRLVDWGTKWFHMMLERDVQPNVSTVGMLMGLYQRIGNLPEAEFTFAKMRKCGIKCVNAYSAMVTLYTRLGHFAKSEEVITLMNYDEVVPNMENWLVRLNAYCQQGKMEEAKLVLKSLVDEGIALNVVAYNTVITGYGKVSDMQKAMEVFDRLKSAGLAPDETTYRSMIEGFGRADKYKQAILYYRKLRNSGFKPNASNFYTMINLLARHDDSEGATEILEDMRAAGCQCSSIVTVLVRAYGSVGRMHKVLQILKACFYKKILFDATSCSILVTGFVQNSLVEEAMCVLREKKWKDSDFEDNLYHILICSCKEAGCCDDAVRIYNQMPKSATHPNLRIYCSMIDVFSIMERFTDAEALYLELKASSCVLDMIAYSVIVRMYTKAGRPEDACLVLEDMEKQKEIVPDKYLFLDMLRTYQKCGLLEKLSDTYYWILKSQVELDEAMYNCIINCCGRAIPVDELSRIFDEMIQQGHLANTVTLNVLLDIYGKAGLFNKAEKVFLMARKQGMADIISYNTIIAAHAKNGDFRSMIYFVQRMQEAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMERAGCEFDHYTYNIMINIYGRKGWIEGVANVLAELKSRGGEPDLYSYNTLIKAYGIAGMPEDAVKLMQEMRIKGIAADRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVAATRT >OGLUM09G11100.1 pep chromosome:ALNU02000000:9:15175374:15181307:1 gene:OGLUM09G11100 transcript:OGLUM09G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10820) TAIR;Acc:AT5G10820] MPEEQQEAEEGIAGGGGGWASTALQPVRWLRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQLLSAVFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAAVLRALRVTRTDFARLWLAVLVRNLLRLSTLAAISLVPTADQTDVLLPRDLLAVAGDGSPPAAGDGDDEERLQLAKFADHVDDDDDDDDD >OGLUM09G11100.2 pep chromosome:ALNU02000000:9:15175374:15181410:1 gene:OGLUM09G11100 transcript:OGLUM09G11100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G10820) TAIR;Acc:AT5G10820] MPEEQQEAEEGIAGGGGGWASTALQPVRWLRMLCRELGATFVAGVVLVYGLSQGFAGSFFRVASDYYWKDVQRVQPATVQLLSAVFFIPWVLKPLWGIMTDVFPVRGYRRRPYFLFAGVLGTASAAIVTMVNGLPMTSAILSFVGISTAVAIADVTIDACIAKNGIDKPSLVPDMQSLCAFSSSLGALIGYATSGMFVHHLGAQGALGVMALPPATLVFLGFFIYELKMYQHNVKEKVLNKVHMAVKGMAQTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTSKEPPNPGFSQEFVGMVHAIGAVASMVGVLVYHKYLKDYPFRSILFYAQLLYGVSGLLDLTFVLRWNLLLGVPDAAFVTLEECCARVVGRVRLMPMMVLSTKLCPPGAEGTFFALLMCIDSAGMLAAKAGGAAVLRALRVTRTDFARLWLAVLVRNLLRLSTLAAISLVPTADQTDVLLPRDLLAVAGDGSPPAAGDGDDEERLQLAKFADHVDDDDDDDDD >OGLUM09G11110.1 pep chromosome:ALNU02000000:9:15187159:15190733:1 gene:OGLUM09G11110 transcript:OGLUM09G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGACALLLLLLVLGAGGGGGVHCLEVTRSRRALQRRHHLRSRAESGATILELRHHGGGSGKSGGRSREEELGGLFSSDAARVSSLQRRAGGGSWAEDEAAAAAATGRVPVTSGARLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCASCHDQQGPLFDPASSPSYAVLPCNSSSCDALQVATGSAAGACGGGEQPSCSYTLSYRDGSYSQGVLAHDKLSLAGEVIDGFVFGCGTSNQGPFGGTSGLMGLGRSQLSLISQTMDQFGGVFSYCLPLKESESSGSLVLGDDTSVYRNSTPIVYTTMVSDPVQGPFYFVNLTGITIGGQEVESSAGKVIVDSGTIITSLVPSVYNAVKAEFLSQFTEYPQAPGFSILDTCFNLTGFREVQIPSLKFVFEGNVEVEVDSSGVLYFVSSDSSQVCLALASLKSEYETSIIGNYQQKNLRVIFDTLGSQIGFAQETCDYI >OGLUM09G11120.1 pep chromosome:ALNU02000000:9:15191409:15198046:-1 gene:OGLUM09G11120 transcript:OGLUM09G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:monogalactosyl diacylglycerol synthase 1 [Source:Projected from Arabidopsis thaliana (AT4G31780) TAIR;Acc:AT4G31780] MPAPTASSLAAAADPALPAAFLSLPSPLLPASPPLPAAPAPSSNAFCVPRGPARAVAVSVSVSAYGAGSTAAASRLHRMWAEFSRFVRLHGNQIAPLGFASLGLGVGGGGGGSGEGAGGGGGGGGGEVDGLVEEEGVARAEAPKKVLILMSDTGGGHRASAEAIKAAFIQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVVHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVVTDLSTCHPTWFHKLVTRCYCPSAEVSKRALKAGLQPSQIKVYGLPDELRRELGMDEYLPAVLLMGGGEGMGPIEATARALGDALYDEVLGEPTGQILVICGRNKKLTSRLQSINWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVVDNGCGKFSKSPEQIAKIVADWFGPRSDELKMMSQNALKLARPDAVFKIVHDLHELAHGKRAYDGPHHFLRTESAVAKI >OGLUM09G11130.1 pep chromosome:ALNU02000000:9:15200224:15211516:1 gene:OGLUM09G11130 transcript:OGLUM09G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAAQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >OGLUM09G11130.2 pep chromosome:ALNU02000000:9:15200224:15211516:1 gene:OGLUM09G11130 transcript:OGLUM09G11130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPDWPCFWQQVPLSRYLRDAGGGGNGVRHGGHRVSGPERRHQLRPQPVHQVAPARRRRRGRRPEPSPHAGCSVGAGSPGDRPRRHGVVVPARRPWSRGGGGSADPGKTFARPHADDVGAQPAAAVAQVQGDDRADVGGGDYHHHQQHDHLLLVPVAAAGDQGRRRLAAVQLPGGHPDLLRLRCRGRRRRRGIRRRGRPVLRRPRRVRVAGVPLRAGLVTAAPPLPQPSSVSLCLASSPTATAQYSYRPLIKFFNWVDFVHRRMTKKREGTDEQTNGRQARMQDTLIAQASAYRVNDSIIVTS >OGLUM09G11130.3 pep chromosome:ALNU02000000:9:15203401:15211516:1 gene:OGLUM09G11130 transcript:OGLUM09G11130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKNSTTTNTSSSGVAAAAAAAVKPKRTRKSVPRESPSQRSSVYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQGAYDDEEAAARAYDLAALKYWGPDTILNFPLSAYEGELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGAGAAQNPHPMLGALSAQDLPAIDLDAMASSFQHDGHGAAAAAAQLIPARHSLGHTPTTSALSLLLQSPKFKEMIERTSAAETTTTTSSTTTSSSSPSPPQATKDDGASPQCSFPEDIQTYFGCAAEDGAAGAGYADVDGLFFGDLAAYASPAFHFELDL >OGLUM09G11140.1 pep chromosome:ALNU02000000:9:15266049:15268859:1 gene:OGLUM09G11140 transcript:OGLUM09G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIVAAAEGGVRRRRRYALLLAARDSDYVRKVYGGYLEVFVRAFGDDGDVGDGGGEEWDMFRAVDGELPGADEVDGYDGFVISGSPHDAYADDLWILRLCLLVRDLVAMRKRLLGICFGHQVICRALGGRVGKARGGWDIGIREVAMAESLPPYRFLDDALQGITAAAAPYAKITEVHQDEVWELPAGAEVLASSSKTGVEMFCAGDHVLGIQGHPEYTADILLNLVDRLSSAGSITMAVAEGVRRQLEDTGPDREFWIKLCKSFLKTEEE >OGLUM09G11150.1 pep chromosome:ALNU02000000:9:15270447:15271619:-1 gene:OGLUM09G11150 transcript:OGLUM09G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:A0A0E0B354] MSLADSWGSAPASPIGFEGYEKRLEITLSDAPVFVDPCGRGLRALSREQIDSFLDLAKCTIVSHLSNKHFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGMFIFPGAQPSPHRSFSEEVSVLNSFFGGLKSGGNAYVIGDAFKPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAEVFFKDSTDGSCSSAKEMTMLSGISEIIPEMEICDFEFDPCGYSMNGIYGPAVSTIHVTPEEGFSYASYEAMNFNPSSLVYDDLIKKVLACFCPSDFSVAVTIFGGHGFAKSWAKGAEVDSYMCDDLVEQELPGGGVLMYQSFTAVTPGAVSPRSTLDGWNSDGAEMVAKSKEMSVCWEGEKAAKKKDADA >OGLUM09G11160.1 pep chromosome:ALNU02000000:9:15271790:15273672:-1 gene:OGLUM09G11160 transcript:OGLUM09G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >OGLUM09G11160.2 pep chromosome:ALNU02000000:9:15271792:15273052:-1 gene:OGLUM09G11160 transcript:OGLUM09G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPGNIKEHFVDVLMESKGGKKKSSSSSSMYEAPLGYKIEDVRPAGGIKKFQSAAYSNCARKPS >OGLUM09G11170.1 pep chromosome:ALNU02000000:9:15279445:15280108:-1 gene:OGLUM09G11170 transcript:OGLUM09G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVISNVSAPASGANFLAIVASGSTWLIDMVKELTKISTRTIVSKSCGIIAVPSSNNVMPSFELQSGLMFKSPTTARSISVEVGRSVTRHLVGAPHRAPTCLGRHVDKGSV >OGLUM09G11180.1 pep chromosome:ALNU02000000:9:15284995:15290859:1 gene:OGLUM09G11180 transcript:OGLUM09G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase [Source:Projected from Arabidopsis thaliana (AT2G23890) TAIR;Acc:AT2G23890] MAAARLRLLSAGLLSPAVSRARHSSSLQGLRALSTLSTTLGSGAGEDEIERIRREFEDAKRNYLSIPVAIKDMAKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLKYEYDHGFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRHKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLLADIVQHFVDARLEFDASYVYEDVNQSIQHVHRSGLIHRKILSEPQKYLIKNSQVFRFLKMLREKGKNLFLLTNSPFYFVDGGMSYLLEDEHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPNEVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPAKAGWRTAAVIRELEDEIEIQNGDSYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCRSAMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSGS >OGLUM09G11190.1 pep chromosome:ALNU02000000:9:15292912:15299836:-1 gene:OGLUM09G11190 transcript:OGLUM09G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) TAIR;Acc:AT5G49150] MGSPRSSLPPLWLLLLCVVAAARRASMARAQQPMPPSFAFSWLDYRSSFVAGDTAVIKITPLDLPPGDEARRSLSFTATVNGRRGNSTYIADVAAHHAGEPAAWNITFVPLRAGDFVVLVGEERFGVAESTLEFAVAAAGVHPSASLASWTYSGACVAGSKASVSVALRDAFGNGVARGADMPGGNGNLKVSVSRSNGAIVEFKDFRYNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPVDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKAVDPGEFVLTIFDPKLNQKISNMDYVYNVFVGYCDGSNSFANGSGLAHSVAGSVSHFMVYLQDHYSYPSPIESAWLKVQILSKNGASVNSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRPNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLTSADSTTPMNATSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCPFEVHVVQDEDFSTVQNDIISVRENESVSFDVLSNDYIAGGQAVPLHGSVLQYNQKKFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDVLSISTGDRYGKDLIFNGTVEAINGALQFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGGKKSIEGYQIFDKQRDPFESSIVEPDLQSFPGNKSHLQLVLSLEVHEGALMVTLTAGIVATAEVKIEGNNCWQPLQTSSANRIVLRVAGIRFRGSVSDCNNAMQRLFYQGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTRKLKKTTSHQNTSTSSSDDAGYLSAPATVLSSGGNRSSLRQRSPRSRRQELELQPLTMSRNNGDQDDQLAEHKDK >OGLUM09G11190.2 pep chromosome:ALNU02000000:9:15292912:15299836:-1 gene:OGLUM09G11190 transcript:OGLUM09G11190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamete expressed 2 [Source:Projected from Arabidopsis thaliana (AT5G49150) TAIR;Acc:AT5G49150] MGSPRSSLPPLWLLLLCVVAAARRASMARAQQPMPPSFAFSWLDYRSSFVAGDTAVIKITPLDLPPGDEARRSLSFTATVNGRRGNSTYIADVAAHHAGEPAAWNITFVPLRAGDFVVLVGEERFGVAESTLEFAVAAAGVHPSASLASWTYSGACVAGSKASVSVALRDAFGNGVARGADMPGGNGNLKVSVSRSNGAIVEFKDFRYNGWAEDGRISLEFVPVVAGAFLVRVQSDDNTLRGSPLLLTVNPGPVDIAKSTCSWKYGTNVLQIFSKLEIFIHQKDYFGNAVPDIHPFDARIVKRATNLSVPVADLLIEVVDDGTRLLSFKAVDPGEFVLTIFDPKLNQKISNMDYVYNVFVGYCDGSNSFANGSGLAHSVAGSVSHFMVYLQDHYSYPSPIESAWLKVQILSKNGASVNSTISPGELNEETFVGGHFSTFVGGHFSGGPTGHQEKIIAGNMRPNSFNVSYTPKFAGEYEIWVQCGNIVINSGNPYKMTVSTGVVSTDLSTVVTFVRKVKTSVHNEVVVQLVDPFMNPMIHLASKLRIQLTSADSTTPMNATSFTAGEFVDNKDGSYTTYYVAKNTGLYRICIQFEDAQLKPCPFEVHVVQDEDFSTVQNDIISVRENESVSFDVLSNDYIAGGQAVPLHGSVLQYNQKKFRYTPFEGFFGNDTFWYIIFDKHDNIAYGTVFISVLCRPPQFISLPQQLHATEDTIAPQFGGFPGIKIAYSDAAENISVMLQAQSGNVSLAPMPMKFHQTSYDVLSISTGDRYGKDLIFNGTVEAINGALQFVKYIGNEDFYGNDIIKIYAMSRSGREDAQVPIFVEPINDPPVILAPESIFLGGKKSIEGYQIFDKQRDPFESSIVEPDLQSFPGNKSHLQLVLSLEVHEGALMVTLTAGIVATAEVKIEGNNCWQPLQTSSANRIVLRVAGIRFRGSVSDCNNAMQRLFYQGRSNETTLVIIVNDLGYFGCYPDCSMKSGTPLSTIKTIRLLIRKSVKSRDLLLRTALTIELSLGCVLLYYILKCICALKGKGKNHNKKTRKLKKTTSPRSRRQELELQPLTMSRNNGDQDDQLAEHKDK >OGLUM09G11200.1 pep chromosome:ALNU02000000:9:15300598:15304602:-1 gene:OGLUM09G11200 transcript:OGLUM09G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPVTEIRGQREHLAVPQLRPCATMALPADGVVLMEPDGLAVVALLDEVHVAVLLRVRALTSLQQAQYKYQRQANEANG >OGLUM09G11200.2 pep chromosome:ALNU02000000:9:15301544:15304602:-1 gene:OGLUM09G11200 transcript:OGLUM09G11200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPVTEIRGQREHLAVPQLRPCATMALPADGVVLMEPDGLAVVALLDEVHVAVLLRVRALTRLYAILVDL >OGLUM09G11210.1 pep chromosome:ALNU02000000:9:15301468:15302007:1 gene:OGLUM09G11210 transcript:OGLUM09G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAREWYKSRNNDKPFTLEYMWKDLKDQPKWRRVLEQSSKNKRNKIFESGAYTSSSNQDTEEESVSKEKRPKGQKAAKQRQKGKCEPSPLGDKPSQNMILFHEAVTTRAATILRSAEATLVSAEAKKEKARAKKDKAKAKKYKTYLKLMEKDTSNYSEEKLKRHEDVLDQLARELAEE >OGLUM09G11220.1 pep chromosome:ALNU02000000:9:15305092:15305583:-1 gene:OGLUM09G11220 transcript:OGLUM09G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVLVRDLVIIKVKEQVKVKVKVKVKVMALAMEQAQGQGMARDMVKEMALAQDMVRGMAKATMKEMALAQDIVRGMVKAMVKGMPLAQDMATGMVRATVMVKDLVMVKAMAQDMAKDQGQAMAKDMVKVQAMDMEMVQAQAMVRAMAMALVLAMGNKTINC >OGLUM09G11230.1 pep chromosome:ALNU02000000:9:15306726:15308735:1 gene:OGLUM09G11230 transcript:OGLUM09G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGIGVLDSTLEAALDPTLDPALEPANDPALEHELEPVEDDVLELAEDPALEPLPDLDPEPEVEAELEAELELELLVPPIPTPAPSPIPRSPELDPKPEAETDPEADPKPGLDPEPDTDVEPDLELEPEPPPPRPMPIPTPSPRPKPPDPEPKPDPEPDPELEPEPDPEPEPEPPTPKPIPTPIPSPPPRLIPRSFLAKRLSPTGASPTGASDAATATKTTKAMDFVQTIVLYYLQHATATILRASNGDEMMQVFDRPHGNLYIDRDQCKIELAMWLVKVSQSSVVELCLRVCS >OGLUM09G11240.1 pep chromosome:ALNU02000000:9:15310676:15311203:1 gene:OGLUM09G11240 transcript:OGLUM09G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGKGGAVAALVVVALLCVMSGGCVESRRVARMGLDIGLGSGQGIGLGIGLGLGVGASTGGVSASGSGPGSGSVAGAGSTSGSRSGSVSIGGASSSAGSSAGSYARSGGSGAGSSAGSRAGSGGGQGYGYGGGSGSGSGSGYGEGGGYGRGSGNGSGLGFGEGYGYGSGSGGNP >OGLUM09G11250.1 pep chromosome:ALNU02000000:9:15315021:15315488:1 gene:OGLUM09G11250 transcript:OGLUM09G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKACNLAAALLLLLMVAFMCMSSGGLVEGRPVARREDLSIGLGGGGGGGGGGVGIGVGVGVGVGLGPGGVSVSGSGSGSGSAAGVGSASGSRSGSVSVGGASSSAGSSAGSSAGSGGSRTGSSAGSSAGSSGGSGLGIGFGQGYGSGSSGNP >OGLUM09G11260.1 pep chromosome:ALNU02000000:9:15318050:15329150:1 gene:OGLUM09G11260 transcript:OGLUM09G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGCCKPPTSCNFAYIGGTNWTTTPASTTTPFSDPDCKTWSNDGTQLCYNCQSCKAGTVATLKRDWKRVAVVCIVFLVFIVIVYSLGCCAFRNNRRDNRGAYRGAAWKGGYA >OGLUM09G11270.1 pep chromosome:ALNU02000000:9:15330860:15332842:-1 gene:OGLUM09G11270 transcript:OGLUM09G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B369] MAGGGGGWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRRHMAVGAPAFALLFAASLASATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATADDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLEIWKLASIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGAIAIIIGLYVVLWGKADDAKSEGLTILSNDSKRITEPECTGVKVECGTNLSVPLLSESLTRLNEQMDNLANCSNDA >OGLUM09G11270.2 pep chromosome:ALNU02000000:9:15331005:15332842:-1 gene:OGLUM09G11270 transcript:OGLUM09G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B369] MAGGGGGWWVERYGPCVGMVMVQWFYAAVDMALKAAYGMGMRPIVFVAYRQGIAAATLLLASLAARGWDLRRHMAVGAPAFALLFAASLASATGQYFYFLGLQLASPSMARATTNLAPGITFAIAAVIGLEKVDLRSSRSLAKIAGTVVCLAGAMAMAFFKGPKLLGAVLVAATADDDWVKGGIYLIGNAFCVSIWYILQVPVCRSYLDPLSLATWMCFLATLQCAVMAFFLESNYLEIWKLASIWELPCILYGGVFASGANFFLQSWCISVKGPLYSAIFTPLSAVITTILSTIFLHEELHIGSILGAIAIIIGLYVVLWGKADDAKSEGLTILSNDSKRITEPECTGVKVECGTNLSVPLLSGNANANT >OGLUM09G11280.1 pep chromosome:ALNU02000000:9:15333696:15356526:-1 gene:OGLUM09G11280 transcript:OGLUM09G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAAAAREWTPCAAMVAAQCIYAAMTLWAKAMFGRGVSPVIFVVYRQAIGTLVLVPITLLANSEGDEEPWDDRLVLGVYDGFARGDGKPEPDLPRAASGLVIHGDGHDQFDTRDNFLDGSVSRERVNVRERGTMAKISGTIVCVGGAMAMAFFKGPKLLNYTLGDLNMLLHSPAISKWVLGALCLVVSSSCWSLWLILQVPICKFYVDPLSLSAWTCFFSTLQCAALAVFLVPDANAWKIHSLFELSSYAFAGVFGSGVCFYLQSWCISVRGPLYSAILMGAAAVITGLYVVLWGKADDMKRRSEPATAAAKPCSDSCRDVERTAAEEPLLADAVSSDQL >OGLUM09G11290.1 pep chromosome:ALNU02000000:9:15343912:15345056:1 gene:OGLUM09G11290 transcript:OGLUM09G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLSNSLLGILNAVTFLLSVPVLGGGIWLATRADGTECERYFSAPVIAFGVFLLLVSLAGLVGACCRVNCLLWFYLVAMFVLIVVLFCFTVFAFVVTNKGAGEAVSGRGYKEYRLGDYSNWLQKRMENSKNWNRIRSCLQDSKVCKKLQDKNWDQTQFFKADLSPLELIGRHS >OGLUM09G11300.1 pep chromosome:ALNU02000000:9:15358343:15359852:-1 gene:OGLUM09G11300 transcript:OGLUM09G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B373] MAMAFFKGPKLLNYTLGDLNMASSKWVLGALCLVDSSSCWSLWLISQVPMCKSYADPLSLSAWTCFFSALQSAALAVFLAPDLDAWKIHSLFELSGYFFAGAFGSGVNFYLQSWCTSVRGPLYPAMFTPVCTVLTTAVAAAVHQEALHIGSLLGAAAVIAGLYVVLWGKADDMKQPATGTTKPCSSDSRRDDVATEPLLGDASSRAFDPAAER >OGLUM09G11310.1 pep chromosome:ALNU02000000:9:15364931:15368720:-1 gene:OGLUM09G11310 transcript:OGLUM09G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B375] MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHSSASSKWVMGALFLICSSCCWSLWLILQVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLLELGCCLFAGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAMRSESQLDVGEGITEPLLEAGNTAEK >OGLUM09G11310.2 pep chromosome:ALNU02000000:9:15364931:15368720:-1 gene:OGLUM09G11310 transcript:OGLUM09G11310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B375] MGAGWEEYKPCAAMVAAQCIYAALALWAKAVFTGGMSTMVFVVYRQAIATVFLVPIAIIANRRKKKETRLGMTGFSLIFVASLFGATVNQYVYYQGLHLGSSSMATAMSNLIPAITFVMAASVGLEKVDLRRVRSLAKIFGTTVCVGGAMAMAFFKGPRLLNSSSLIVDLNFLLHSSASSKWVMGALFLICSSCCWSLWLILQVPSSIASLNHMQPCHQLPNLVPICKSYMDPLTLSAWMCFLSTLQSAVLVSFLVPDINAWKIHSLLELGCCLFAVSYKLARSPLLQSWCISVRGPLYSAMFNPLCTVIATVVAAAFLHEELHIGSLFGATAIVAGLYIVLWGKAADGGGKSGGSVPEHSHDVEKAAMRSESQLDVGEGITEPLLEAGNTAEK >OGLUM09G11320.1 pep chromosome:ALNU02000000:9:15405173:15405643:1 gene:OGLUM09G11320 transcript:OGLUM09G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIKDSCPRVAPRAGATRVATATTAALPPRLLPFFASPLPEQAAGNCVAARTAAAGPPFFPRGRGLEPTTPPGSGVPTASTELGEGLAPSGGGNGESGSVDGEAEMTGTTGGGGVGKGDDSDAASPDLASPGQIRPPSSESGLPGAGGQLSSWLR >OGLUM09G11330.1 pep chromosome:ALNU02000000:9:15410518:15415574:1 gene:OGLUM09G11330 transcript:OGLUM09G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAFLSSWPWDNLGAYKYVLYAPLVGKAVAGRAWERASPDHWLLLLLVLFGVRALTYQLWSSFSNMLFATRRRRIVRDGVDFGQIDREWDWDNFLILQVHMAAAAFYAFPSLRHLPLWDARGLAVAALLHVAATEPLFYVAHRAFHRGHLFSCYHSQHHSAKVPQPFTAGFATPLEQLVLGALMAVPLAAACAVGHGSVALAFAYVLGFDNLRAMGHCNVEVFPGGLFQALPVLKYLIYTPTYHTIHHTKEDANFCLFMPLFDLIGGTLDAQSWEMQKKTSAGVDEVPEFVFLAHVVDVMQSLHVPFVLRTFASTPFSVQPFLLPMWPFAFLVMLMMWAWSKTFVISCYRLRGRLHQMWAVPRYGFHYFLPFAKDGINNQIELAILRADKMGAKVVSLAALNKNEALNGGGTLFVNKHPGLRVRVVHGNTLTAAVILNEIPQGTTEVFMTGATSKLGRAIALYLCRKKVRVMMMTLSTERFQKIQREAAPEHQQYLVQVTKYRSAQHCKTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGACEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPV >OGLUM09G11340.1 pep chromosome:ALNU02000000:9:15418016:15418459:-1 gene:OGLUM09G11340 transcript:OGLUM09G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLSIGNVTKIQKLERERWARGGAATALPSGDQAPGGGGIRRTTACLARGAATALARRSWNRLGCRSVDLG >OGLUM09G11350.1 pep chromosome:ALNU02000000:9:15419259:15424801:1 gene:OGLUM09G11350 transcript:OGLUM09G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAPGWAPPPRRFPAAAALPSVSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVDRAAFTREYGT >OGLUM09G11350.2 pep chromosome:ALNU02000000:9:15419259:15424956:1 gene:OGLUM09G11350 transcript:OGLUM09G11350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAPAPGWAPPPRRFPAAAALPSVSMITFWIFCIAFYAWSYQLQKGNEGETWIGSRDKTCESFKRCWRRTWACTEAQPAETGDRRKCLHISSSTKRSWITGKMHIHVDRAAFT >OGLUM09G11360.1 pep chromosome:ALNU02000000:9:15422777:15423010:-1 gene:OGLUM09G11360 transcript:OGLUM09G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSMFTVGKNKGVASSVHRKPLQHMVQRRLRELKEIVPDAHEDNVDVLLRKTAEYICILELKVAVLRKLAAIYGA >OGLUM09G11370.1 pep chromosome:ALNU02000000:9:15437807:15440996:1 gene:OGLUM09G11370 transcript:OGLUM09G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHRHLTPSPSHEDHEAPNPSLTPPPTQLAALASDEPPPPPPPPEQSPRRIVVAHRLPLNATPDPGSPFGFAFSLSADAHALQLSHGLGLAHVVFVGTLPAEAARTLRRSDELDRYLLGCFSCLPVFLPPRAHDEFYAGFCKHYLWPRLHYLLPHAPAANGDLHFDAGLYRSYASANRSFAARVVEVLSPDDGDLVFVHDYHLWLLPSFLRRGCPRCRVGFFLHSPFPSAEVFRSIPVREDLLRALLNADLVGFHTYDYARHFLSACSRLLGLAYTSRHGRVGINYHGRTVLIKILSVGVDMGLLRTAMASPEAAAKFREITEVEYKGRVLMVGVDDVDIFKGVRLKLLAMESLLETYPAMRGRVVLVQIHNPARCGGRDVERVRGETAKIQARINARFGGPGYLPVVVVDRAVPMAEKVAYYAAAECCVVSAVRDGLNRIPYFYTVCREEGPVDAKGAAGGQPRHSAIVLSEFVGCSPSLSGAIRVNPWNIEAMAEAMHGALTMNVAEKQARHVKHYTYLKLHDVIVWARSFAADLQLACKDRSTMRTIGMGIGPSYRVVAVDAAFKKLPPELVNLSYRAAAAAAAGGGGGGRLILLDYDGTLEPTGAFDNAPSDAVIVILDELCSDPNNVVFIVSGRSKDDLERWLAPCANLGIAAEHGYFIRWSRDAPWETMASKQLAAAMEWKAAAKNVMRHYAEATDGSYIEAKETGMVWRYEDADPRLAPLQAKELLDHLATVLASEPVAVRSGYKIVEVIPQGVSKGVAAECIVSAMAARRGGAPGFVLCVGDDRSDEDMFGALASLCGGGKNGGASSSTTTTTALLAAAQVFACTVGNKPSMASYYLNDKEEVVDMLHGLAFSSPSSRLRAAAAPRRPADFDIKSLLRCE >OGLUM09G11380.1 pep chromosome:ALNU02000000:9:15454636:15462371:1 gene:OGLUM09G11380 transcript:OGLUM09G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALGAMASLAPKLGELLMQEYVVQKGLKPDIESLSTELVMMNAALIDVSRVPPDQLSEVEKLWARHVRELSYDTEDAVDDFILRVAGYDSPAADDANVFKKIIRKATAAMKKVKNSHQISEKVKDIKKLSNHLAELRAKYTVRGVGANLAASTGIDPRVLNLYKNESDLVGIEEARNRVIRMLLKGTKDHAHESDQSLKIVSIVGIGGLGKTTLAKTVHDMLKKKFFDCSAFNSVGRTPNLAMTFEKMLVELDQKYKEADMARWDVERFCNELHKFLQHKRYFIVVDDIWDKGSWEAIKYALKDNNCGSRIIMTTRNFEVVTKAEEVYRLKPLSYGKSKELFYKRIHNQEGESLDDLSGEVSIKIIDKCEGVPLAIIAIASLLVDKPCEDWSKVYDSIGFGNGDNTMKILSYSYYDLPSYLEPCLLHLSIFPEDNILDTNSVIWMWMGEGFVHLEEGEGSLFEVGERYYKELVNRSMIQPTENQLYPFTQWFRIHDIVLDLIRKFLKDENFFTILGSSEQHASSNSLRREKKTGMPRADKVRRLAVLNHDVQQISKDTMNMPEVLRSFNIVSSTIEIMAPLHSFRACRVLYIQESNVPPISLMHLGRFVASQVLRDN >OGLUM09G11390.1 pep chromosome:ALNU02000000:9:15468791:15469048:1 gene:OGLUM09G11390 transcript:OGLUM09G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKRDAAWMRWRGGGARCGRGEERCGEERCAGGAGEAEMRERRGGERCGEGRGATRDALGAGAAARCGRERRGAMRRKGSMRI >OGLUM09G11400.1 pep chromosome:ALNU02000000:9:15470531:15475309:-1 gene:OGLUM09G11400 transcript:OGLUM09G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGMGVGVAYLWGKGRGGRKGTPVVVTMEMSPPAAGRLASFAAAAARRFRRSPADAADELGRGRGRLMYGFIRGFLALSLLALAVELAAYWNGWRLRRPELHVPEAVEIEGWAHSAYISWMSFRADYIRRPIEFLSKACIVLFVIQSMDRLVLCLGCFWIKLRKIKPRIEGDPFREGSGYQHPMVLVQIPMCNEKEVYEQSISAACQLDWPREKFLIQVLDDSSDESIQLLIKAEVSKWSHQGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMHLFRLCLPDILTAKISSWKKANLILLFFLLRKLILPFYSFTLFCVILPLTMFVPEAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWIVTKKSGRSSESDLSTAAERDTKDLTLPRLQKQISESELIDLKMQKERQEKAPLGAKKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGEFVHLIPTTFHGDLTSKRVNTTLPVSNVSTKDQHNASTIIVITQVPFHVYSLYEI >OGLUM09G11410.1 pep chromosome:ALNU02000000:9:15484483:15485817:1 gene:OGLUM09G11410 transcript:OGLUM09G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPSHRLAALLLLLVVVSPCHAAAAAAAAGGGPRATRPKAVAMPVGRDGATRQYVATFQQRTPRVAVKAVVDLSGGATLWVDCDAAAGYASSSYAGVPCGSKPCRLVESPSCSYIASCLGSPPSPACLNRTCTGHAENTVTSSVGRGNVVTDVLSLPTTFPSAPVRQGPLATAPAFLFTCGPTSLTQGLAAGATGMASLSRARLALPAQLAGTFRFSRKFALCLPSVDAGVVVFGDARYVFDGMDHSNSLLYTPLITRTTDRSSEYFISLKRVVVDDRAVPLNATLLDVGTKMSTVSPYTVLETSIHEAVTRAFAASMATAGIPRVPAVAPFELCYDGSKVESSAITGEPAVPVVFELYVQSEARSKVAPWMVSGANLMARADGGALCLAVVDGGAAPETPVVIGGHMMEEILLVFDLEKSRLGFSPNLGAFGLSCSKFRLG >OGLUM09G11420.1 pep chromosome:ALNU02000000:9:15488036:15495274:1 gene:OGLUM09G11420 transcript:OGLUM09G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVSKCKAAVGRRRSRGWSGFSGNRGHRFPPSSAAARAVLIEREFRPLRLGMGKILGTSSQLAYHKCAICNVLMAPFLMQFIDKEKACYFCHIFGTISCKHENRVQMCPFLTNDSFEISFVIGIMLLVNSDDVMIIR >OGLUM09G11420.2 pep chromosome:ALNU02000000:9:15488036:15488588:1 gene:OGLUM09G11420 transcript:OGLUM09G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVSKCKAAVGRRRSRGWSGFSGNRGHRFPPSSAAARAVLIEREFRPLRLGMGKILGTSSQLVAPDSNYVST >OGLUM09G11420.3 pep chromosome:ALNU02000000:9:15488036:15489378:1 gene:OGLUM09G11420 transcript:OGLUM09G11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPTGGTAANRRRSLAVIVSKCKAAVGRRRSRGWSGFSGNRGHRFPPSSAAARAIESEEVETTPVRMKRPLKPAKVRLPELVIVPTFRHLF >OGLUM09G11430.1 pep chromosome:ALNU02000000:9:15489007:15490933:-1 gene:OGLUM09G11430 transcript:OGLUM09G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERLLSRIVLIIWLFFLLVLTSGYTASLTSMLTVRQLQPTVNNVDELLKNGEYVGYQRGSYVKGLLEELGFDKSKIKQYDSTDDSREALSRGSRDGGISALVDEIPYIKLFLAKHCEGYTMVGPIYKTAGFGYAFQKESPLQGDISKAILNITGGDTINQIEKKWIGDQNKCRNVGTITSSGSLTFAGFKGLFILTGVVSTSSLSIALIIYFYKNKQVESGSGDAQQNFPQDIKGDTIEEQKQQEETRAKLIHDMNPQKSMVMRCGSIDIHRGDRPRGPKVVPISSSARF >OGLUM09G11440.1 pep chromosome:ALNU02000000:9:15490949:15496308:-1 gene:OGLUM09G11440 transcript:OGLUM09G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAPQAILFLLLTTVHLGIAQNTNINGENEVHVGVILDLGSLVGKIAKTSILLAMEDFYAMHPNYTTKVVMHIKDSVGSSVQAATAALDLLANYNVKAIIGPQKSSEAFFMSEIANMGKVPVISFTATSPSLTFDNIPYFVRATIDDSLQVNSIASLIKYYKWREVVPIYIDTDYGRNIIPDLLDALEGNDARIPYRSIIPQSATSEQIIKELYKLMTMQTRVFVVHLTSSMASVLFTKAKEVGMMTRGYAWIITFGVASLIDSLNPSVLEAMNGALGVEVYVPKSTELDNFTVRWTTRFRMDNPNDPLLKLSIFGLWGYDTMWAVAQAAEKVKSTKENSEDGHEFLNAILQYKFRGLSGYFDLSSRQLQPPRFQIINVVGKGWREIGFWTAQDGFSQKFTKQKSNKTYLNIEPDLNPVIWPGESTDIPRGWEIPTSGNKLQVGVCTSSGYPEYINADKDPTITGTTKASGLAVEVFEEAVKRLPYALPYEYVFYNTTGSISSSYDDFVYQVYLKKYDIAIGDITIRYKRSSYVDFSLPYTESGVAMIVPVRESINMTTWIFLKPLTPGMWFGSIILFIYTGVVVWLLEFLGNSKTALSQIPTQMHISSTFCTSDIAELLV >OGLUM09G11450.1 pep chromosome:ALNU02000000:9:15502044:15505453:1 gene:OGLUM09G11450 transcript:OGLUM09G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQAAVAATLAAVAAAAAVLSTRVPAEALEGLADYSHCWILYVFHLNTDLDKMWNDPARSKLKAKVRVPRLKGGKMGVLATRSPHRPNPIGLSVAKHTNLSSIFCFNASQVEAVDGHSILLSGVDLVDGTPVLDIKPYLPYSDSVKGAAIPNWLEVDGALAVESIHFSEHFISALSDCWMHVQKQSLYASADEFQDLVKEVLSWDIRSLSQRIRPHEVTIKDATDNGRSKIDNGCNNDEDRQSVDSSTSVVYHLHLEGIDVSYRIDQDSNIVVENAALLFSAVNQHRWRFGLIQLRIVLYVLGPSKTVRVQPNTGWSTCSNPIVDLFGPSDEDSTA >OGLUM09G11460.1 pep chromosome:ALNU02000000:9:15506649:15512421:-1 gene:OGLUM09G11460 transcript:OGLUM09G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRSLDLLENHNVQIIVPVISFTATNPSLYSASLPYFIRATLNDSAQVQSIACLIKAYGWRRVVPIYEDTDYGRGIIPYLIDALKEIDTHVPYRSVIPLSATSEEISQELYKLMTMQTRVFIMHMSSTLAASIFSKAKEVGMMSKGFVWIMTNGITNIIDSMNTSVVEAMNGALGIQFYVNKSELDRFTIGWNRRFQIDNPNEPPLKLSIFGLWGYDTIWAVAEAVEKVGVKNRTLFKKPSVATNSTSLEIMETSVFGPELLKVILKNKFRGKSGYFHLSDRQLQVSTFRIINVFGKGWNNIGFWNEESGISQQLNLGKSKTKYASSVSGLNLVTWPGNSTETPKGWEIPGSGKKLQVGVHKSAYKEYMTNERDPITGAIKASGFSIDIFEEAVKRLPYALPYEYVAFDTSRDTSSGTYDDFVREVSLKKYDVAIGDITIRYSRMAYVDFTVPYTKSGVAMIVPAKGSANKTWIFLQPLSRDLWLATILMFVYTGSIVWLLELLGNKKDVREPIPRKIGIMIFFSLFGDSYTANLATMLTVEQLKPTINSTDELRKSGKIKAYDTPDDFYSALSKGSKNGGIAAFVHEVPYIKLFLAKHCKEYTMVGPFYKTAGLGYSKQANKKNFLTQAFPKGSPLLGDMSKAILNITEGDTIMQIEKKWIGYQNDCKSADSAVSYVSDPEKLSIDNFKGLFILNGIASTSSLIIAVIIYLYEKNKSMIKMQPDQNGDGLEEINKPQEQSEGSTTEENNQSEPGTGQSGQQQEETERNEIGNRNIQTRSVKRSGSIFLWRERNTKVAPISSSSCS >OGLUM09G11470.1 pep chromosome:ALNU02000000:9:15515699:15522048:1 gene:OGLUM09G11470 transcript:OGLUM09G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLITFSASAVGRSLGKYTCRNLPLPTKCWFAPLCPPVIPGPGSHQHGIPLLYLWWYPDQLHRNSQLVTRRGWNEVLSPLQQLL >OGLUM09G11470.2 pep chromosome:ALNU02000000:9:15515283:15522014:1 gene:OGLUM09G11470 transcript:OGLUM09G11470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDADQISPPRPLLHAVASPYPASSSNNLHLKLAAGRGRRWQKKRPATGRTGPNSIASSAGPAHGHGAPQRGTPVPWGGP >OGLUM09G11480.1 pep chromosome:ALNU02000000:9:15517138:15542374:-1 gene:OGLUM09G11480 transcript:OGLUM09G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAALDLLENHNVQIIIGPQTSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQDIDARVPYRSVIPLSVTSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVNNSELDNFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSIQKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKSGYFDLSNRQLQVSTFRIINVFGKGWKDIGFWNEGNGISRQLNLGKSTTKYASSVSGLNLVTWPGNSTETPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSCDLWVATISMIELNVVKLTGWKGKMNYMPVGVRLETSFANQLKESYTANLATMLTVQQLKPTINSIDELRKSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAVGTVSDPDKLNVDSFKGLFILTGVASTSSLLIAVMIYYYEKKKSMTSMQPDQNGEGLEENHKPQEVNEGDREEENNQPGASTGQTGQQQQQTGAREMSNINLQTSSVRRNSSIFIWHERNLGARVAPISSSTGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSINVPYFLRGTLSDVAQVNTLAALVKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGASMPYRSAISESANTDQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKGTKNTTCLGTLRISAIGPKLLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSVPDLNPVVWPGEVHTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRNKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYRCQQDGEISIEQSPASEVQTSPIQTTAAAVMEKAPHSILFLLLVVNFCAADQDTTRGRAEEFHVGVILDLGSLVGKVARTSISLAVEDFYMVHRNYSTRLVLHFRDSMASDVRAASAAVDLLEYYKVQAIIGPQKSSEAVFVSNLGNETQVPIVSFTATNPSLTSNSMPYFVRATSNDSVQVNSIASLIKAYGWREVVLVYEDTDYGRGILPYLIDALQEIDARVPYRSVIPFPATSENIQEELYKLMTMQTRVFVVHMSSTTTSHLFTKAKEVGMMNKGFVWIITNGVANIIDSLNPPVIEAMNGVIGVRFHAPKTKNLDRFSIRWNRMYQRDNPDESPFDKLSVVGLWGYDTIWALAQAAEKVGISTAKKRKPIPSKNSTCLESMVISTNGPDLLRTIVQNKFRGLSGDFDLTDRQLQVSMFQIINVVGRGWREIGFWTAKSGLSQQLNQTGLQITGTASKLNLNPVIWPGESTEIPRGWEFPTNGKKLRVGLHTSGYPEFMKTIKDPVTNATRASGLSIDIFEEVVKRLPFALTYDYLAFDTADTASTWSYNDFVYQVYLRNYDIAVGDITVRYNRTSYVDFTMPYTESGVAMIVPVKENKNNDMWIFLKPLSSGMWCGSTTFFIYTGFVVWLLERLNGNGHLHEDKLERFLSRLVLLVWMFVLLVLTSSYTASFASMLTVQQLSPAVNDVHELQKQGEYVGFHRGSYIEGLLEDIGFDRSKIRPLDTPDDFHSALSNGSKDGGVAALVLEVPYIKLFLAKYCQGYTMVGPIYKTAGFAFALPKRSPLLTDISRAILNITEGDAIIQIEKKWIGQNSCQNDDKVDGSGSITLGSFGGLFLLTGVVTTCSLIIALLTNWHNTNQKSGTEGDDQNQHRHGEKRENGHAQGDQKNEDNRDYNDTENQTKLSVPQSLNTNDDEMRDDRPKNSNLTF >OGLUM09G11480.2 pep chromosome:ALNU02000000:9:15517138:15542374:-1 gene:OGLUM09G11480 transcript:OGLUM09G11480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTQNANKTGVVDGFPVGVILDLQTMVGKIARTSILMALDDFYAAHTNYSTKIVLHIRDSGSNNVQAASAALDLLENHNVQIIIGPQTSSQASFVSDLGNRSQVPVISFTATSPSLYSASLPYFVRATLNDSAQVQSIACLIKTYGWREVVPIYEDTDYGRGIIPYLVDALQDIDARVPYRSVIPLSVTSEEISQELYKLMTMQTRVFIVHMSSTLAASLFTKAKEVGMMSKGFVWIMTDGITNIVDSMSTSVVEAMNGALGIQFYVNNSELDNFTIGWNRRFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVENVGVNNRTSIQKPSVARNSTSLENMETSVYGPELLKVILRNKFRGKSGYFDLSNRQLQVSTFRIINVFGKGWKDIGFWNEGNGISRQLNLGKSTTKYASSVSGLNLVTWPGNSTETPKGWEIPASGKKLQVGVHKSAYKEYMTNQRDPITGATKASGFSIDIFEEAVKRLPFALPYEYVAFDTSRDTSTGSYDDFVHQVYLKKYDVAIGDITIRHSRMAYVDFTVPYTESGVAMIVPSKGTVDKTWIFLQPLSCDLWVATISMIELNVVKLTGWKGKMNYMPVGVRLETSFANQLKESYTANLATMLTVQQLKPTINSIDELRKSGENIGYHDGSFVKNLLEDLNFNTSKIKAYDTPDDFYNALSKGSNNGGIAAFVHEVPYIKLFLAKHCKEYTMAFPKGSPLLGDISKAILSITEGDIIMQLENKWIGYQNDCKSVDSAVGTVSDPDKLNVDSFKGLFILTGVASTSSLLIAVMIYYYEKKKSMTSMQPDQNGEGLEENHKPQEVNEGDREEENNQPGASTGQTGQQQQQTGAREMSNINLQTSSVRRNSSIFIWHERNLGARVAPISSSTGRAAIFFLFLSLTVAQNITGSGEDTLNVGVILHLKSLVGKMARTSILMAVEDFYKAHRNFKTKLVLHIRDSNGDDIQAASEAIDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSINVPYFLRGTLSDVAQVNTLAALVKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGASMPYRSAISESANTDQIERELYKLMTMQTRVYVVHMSTNIGSILFKKAKDLGMMSEDYAWILTDGISNIANSLSPSILEEMSGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSIFGLWGYDTIWALAQAAEKVRMADAIFQKQKGTKNTTCLGTLRISAIGPKLLDSILHSKFRGLSGEFDLRNRQLEFSTFQIINVVGSQLKEIGFWTAKHGIFRQLNKNKSKTTNMNSVPDLNPVVWPGEVHTVPKGWQIPTNGKKLRIGVRTNAYPEFMKVESNPVTNEITASGYAIDVFEEVLKRLPYAIPYEYVSFDNGQGINSGSYNDFVYQVYLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRNKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRSNNAELTGSFLRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYPNGSYVADLLRGLGFDRTKLRAYNDLDGFADALAKGSQNGGISAVIDEVPYIKIFLAKHCKGYTMIGPIYKSEGFGFAFPKRSPLVYDFSRAILSITEGDSIINIEKKWIGDQHACQNDGTIISSSSLNFNSFSGLFLVTGVASTSALLIALVMFLYKNKHRIRNSIRRDQTQKGYEAERINEQNQEMTIHSNQVHNLQLTVPDDSDEYRCQQDGEISIEQSPASEVQTSPIQTTAAAVMEKAPHSILFLLLVVNFCAADQDTTRGRAEEFHVGVILDLGSLVGKVARTSISLAVEDFYMVHRNYSTRLVLHFRDSMASDVRAASAEDKLERFLSRLVLLVWMFVLLVLTSSYTASFASMLTVQQLSPAVNDVHELQKQGEYVGFHRGSYIEGLLEDIGFDRSKIRPLDTPDDFHSALSNGSKDGGVAALVLEVPYIKLFLAKYCQGYTMVGPIYKTAGFAFALPKRSPLLTDISRAILNITEGDAIIQIEKKWIGQNSCQNDDKVDGSGSITLGSFGGLFLLTGVVTTCSLIIALLTNWHNTNQKSGTEGDDQNQHRHGEKRENGHAQGDQKNEDNRDYNDTENQTKLSVPQSLNTNDDEMRDDRPKNSNLTF >OGLUM09G11490.1 pep chromosome:ALNU02000000:9:15532557:15533359:1 gene:OGLUM09G11490 transcript:OGLUM09G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSTMTATPGRLPRPQGSSSAVPRPRGLPGVSVLLRPDKAMDAGEDMPPVAEEPTLCRSDKMAQLGSVSDAHLMVQLRGDLSPALRSFPWRTDFDLCP >OGLUM09G11500.1 pep chromosome:ALNU02000000:9:15550108:15556260:1 gene:OGLUM09G11500 transcript:OGLUM09G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPPAVRRSAAPGDESDADGGRRRRRRGREGFERSAAENMADTGISPHPFRRKHSPDLAAPRPLSSPSLCRPHLRLVSPPDANGTAEKNRYATGQSVPDAAALRRWRRGCRLLANGSGREAAMRFAVQVD >OGLUM09G11510.1 pep chromosome:ALNU02000000:9:15551111:15556797:-1 gene:OGLUM09G11510 transcript:OGLUM09G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3A0] MGRGVGRAAFLFLFLSLTVAQNITNNGAGTLDVGVILHLKSLVGKIARTSVLMAVEDFYSVHRNFKTKLVLHIRDSNGDDVQAASEAIDLLENYNVRAIIGPQKSSEATFVSDLGNKSQVPVISFTATNPALSSINVPYFLRGTLSDVAQVNTIAALIKAYDWREVVPIYEDTDYGRGIIPYLADALQEFGAFMPYRSAISESATTDQLERELYKLMTMQTRVYVVHMSLNIASILFAKAKDLGMMSEDYAWILTDGISNIVNSLNTSILEKMNGAIGVRFYVPASKELDDFTTRWNKRFKEDNPNDPPSQLSTFGLWGYDTIWALAQAAEKVRMADAIFRKQKDGKNSTSFGTLGISTIGPELLDSILHSKFRGLSGEFDLGNRQLEFSTFQIINVVGGRSKEIGFWTTKHGIFRQINENISKTTNVNSMPGLNRVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPEFMKVERNTATNEITASGYAIDVFEEVLKRLPYAIPYEYVAFDDGQGVNSGSYNDFVYQVHLGVYDAAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTGLWFGSIAFFIYTAVVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDIHELLKSGEYVGYRNGSYLSDLLEGLGFDRTKMRAYENPDEFADALAKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFPPIVSNIHYWIMLYAAFPKRSPLVYDFSRAILNITEGDSIIHIEKKWIEDQHACQNDGTMIGSSSLNFNSFSGLFLVTGVASTSALLIALMMTLYKNKHRIRDSIRRGQTQKEYERETINEQNQERTIDSNQVQNLQLTVPDDSNEYTCQQEGEISIEISPASGIQTSQDIASHRTSRNG >OGLUM09G11520.1 pep chromosome:ALNU02000000:9:15560825:15566166:-1 gene:OGLUM09G11520 transcript:OGLUM09G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3A1] MERAPERAAIFFLLLSLTVAQNTTESGTGTLNVGVILHLKSLVGKMAHTSIMMAVEDFYAVHRSFKTKLVLHIRDSNGDDIQAASEAIDLLENYNMKAIVGPQKSSEATFVSDLGNKSQVPVISFTATNPTLSSIDVPYFLRGTLSDVAQVNTIAALIKAYGWREVVPIYEDTDYGRGIIPYLADALQEFGAYMPYRSAISKSANTDQVEQELYKLMTMQTRIYVVHMSLNIASILFTKAKELGMMSKGYAWILTDGISNIVNSLSPSILEEINGAIGVRFYLPASKELHDFTARWNKRFKQDYPNDPPSQLSIFGLWGYDTTWALAQAAEKVNMADAIFQKQKDTKNTTSLGTLGISTIGPKLLDSILHSKFSGLSGEFDLRNRQREFSTFQIINVVGSRSKEIGFWTAKQGIFRQLNENKTTNINSVPDLDPVMWPGEVYTVPKGWQIPTNGKKLRVGVRTSGYPELMKVERNPVTNEVTASGYAIDVFEEVLRRLPYAIPYEYVAFDNGQGVNSGSYNDFVYQVHLGVYDTAIGDITIRYNRTSYVDFTLPYTESGVAMIVPVKDDRDKNTWVFLKPLTTDLWFGSIAFFIYTAIVIWLLERRINNAELTGSFFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSMLTVQQLQPTVTDVHELLKNGEYVGYHNGSYVGDLLKGLGFDRTKIRAYDNSDDFADALTKGSQNGGIAAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPLVYDFSREILSILEGDSIIHIEKKWIGDQHACQNDGTVIGSSSLNFNSFSGLFLVTGVASTSALLIALLMFLYKNKHRIRNSISRDQTRSRYGPEHINEQNEERVIDSSQVQNLQLTVPDDSEYTCQQEEEISIELSPASGFQPSPDFSSQEHRAVASSTIVANSSSQVPRI >OGLUM09G11530.1 pep chromosome:ALNU02000000:9:15560896:15565285:1 gene:OGLUM09G11530 transcript:OGLUM09G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLDLVGTLKLGSVLWIFLPPVGINISRAIKRAEVEATPVTRKSPEKLLKFRLLEPMTISHCDKAYKLRILGGHQQDMV >OGLUM09G11540.1 pep chromosome:ALNU02000000:9:15571623:15572101:-1 gene:OGLUM09G11540 transcript:OGLUM09G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIDDLDQK >OGLUM09G11550.1 pep chromosome:ALNU02000000:9:15574247:15575165:1 gene:OGLUM09G11550 transcript:OGLUM09G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNREEAEINLELTLRFTSSASPPDQPAILRFFLCMYCDRTFCSSQQALGGHQNAHKFERSLAKRRRGRRSPPRCARSRGRWRATPRPMRLLGQRQG >OGLUM09G11560.1 pep chromosome:ALNU02000000:9:15586010:15586315:1 gene:OGLUM09G11560 transcript:OGLUM09G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTELVRRHEPNRKPHRAYDSLGVHMKIKAHNPFTANRQAQVATHMALQHRRIDPNSVIACSVAATRMRRDCRSKFHAAVTGEVTIQLATLSGSGDGPRL >OGLUM09G11570.1 pep chromosome:ALNU02000000:9:15591914:15592372:1 gene:OGLUM09G11570 transcript:OGLUM09G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNNREEEGEMNLELTLCYTPPPSPEPPLVGFFLCMYCDRKFDSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPAADGAGAAGYSSPAAAQKAVSVEAQQHRAAPKVREEAHQGASAPELSGIARGNSSPEYGVECPHGLDLSLRL >OGLUM09G11580.1 pep chromosome:ALNU02000000:9:15612568:15630668:1 gene:OGLUM09G11580 transcript:OGLUM09G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHTPNPLFLLLFLGCLLFAAAQQQPLTVTVGLIIDGGSPVGKIANTTIPMALDDFYAAFPRSPARVRLLHRDSRGDVVAAASAALELMEGRGVRAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSPGGGRFFARAALSDAAQAGAIAALARRFGWRRVVPVYQDDDYGAAFVPFLVDALTAEGSEVPYRCALPAGADADAVAAAMYRMESLQTRAFVLHARPDLAGRVLAAAEAAGMMGEGFAWVITDGLTGLLGSINAPQGVIGLAPYVPTTPRLRDVRRRWVRRFMAEHPAADAEHAEMGSYAVWAYDAAWAVASAAEHLTAGDLSPPQGGLVGGKGGPTDFAGLGKSRSGKKFLEAITSTTFDGLGGRFQLVDGELAVHAFRVLNIMDRGKERSIGFWTKDGGLTRHLGVGGGGGGELAPVIWPGESTVVPRGWVVPTSARWLRVAVPGSVNPGYRAIVHLDVDAATNRTTAGGFVVEVFEAAVRLLPYALPVEYTFDAAVADMTITAARSSYVDFTLPFMASGIAMVAPLRDVGRGGERTWVFLKPLRYDLWLASAAFLLLTGFAVWFVEHRGNAEFRGPPWHQLGTLLYFGFSTLVFAHREDLRSNLARLAAVVWFFVVLILQSSYTASLTSMLTVPRLEPSIAGYAALWRGAERVGIMNNSFMRGAMTRSGFPPARLVPYGAVQSFHEALLNGTIGAVVDETPYLRIFLKSYCDRFAMAGGGGGGQPNKTGGFGFAFPKGSPYVADLSRAILALTESEEMNLIERKWFGESNGCAAAQAAGGPFTSDSLSFGSFWGLFLITGATSLLCCAVHLATFVASNRGAIRDIVATSTHPFRRLAELYDGRDLSAHTFKAKDGGASASPPVVHDAAGSPISLHMGAWSPQPSSTMAGGEIELAAGAGGEANEEEATTTTAARDPDGAGENGRSLSMEAGARLAFVMPLVVVLLLMIFSLGVRGVDVVVDGGGGGAAAARRRRVEVGVILDRRTWLGNISWACMELAVEDFYADEERASYTTALRLHLRDTRLDAVDAASAGVDLLKNVHVQAIVGPQTSAQAKFLAELGEKSSVPVVSFSANSPCRTASQTPYFIRTAWNDSSQAEAIASLVQRFNWRDVIPVIEDDDSNTRFIPDLVDALRNAEIRVTHRCKIHPSAGADDIKKVVLSLKEKWTSVFVVRMSYQLALSFFKHAKDEGMMGQGFVWIAAYGLTDIFDVVGSPAFDVMQGVIGMKPYVNDTKQLQNFRQRWRKMYKSENPGTTLSEPTISILYAYDTVWALALAAEKAGYVNSDFLLSEKNNGSTDFDRINTSNAAKKLQSTLLNIDFQGMSGKFQFQDMHLLSMTYEIINIVGEEQRVVGFWTPEFNISRGLNTKADVNEIIWPGGETTVPRGWLFPMNKILKIGVPAKPGFSGFIKKEKDNFTGLCIEVFEEVLNGLPYKIPHDYVEFGNGKGESNGTYDELIYKVYQKDFDAAVGDITILASRSLYVDFTLPYTESGVRMLVPVQDRRQKTAWTFLQPLTADLWLGTAAFFVLTGFVVWFIEHRTNEDFRGPPVNQIGSVFYFAFSTLVFAHRQKIVNNLSRVLLVIWLFVVLILQQSYTASLSSILTVEQLQPTVTNLDEVIRKGANVGYLNDSFMPELLKRLKIDESKLIALDSPDEYNEALSTGRVAVVVDEIPYLKVFLSKYCHNYTMVGPTYKFDGFGFAFPLGSPLTAEISRGILNFTSSNRMAQLERELYNNRTCPDKDDSQTSSSLTLRSFLGLFIITGASSLLALFLHVVITLYNHRHDLSSASSSQSSWCGWFAILLKIFHEGDRPNAPQLDEPAVSNANTTADTPWSTPDHHIIENVDSGSDVESVREEDREDFVQGPDPPSFAYMHSERGQ >OGLUM09G11590.1 pep chromosome:ALNU02000000:9:15630881:15632222:-1 gene:OGLUM09G11590 transcript:OGLUM09G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKATVKKGPWAPEEDAALRAYVDAHGTGGNWIALPHKIGTCRARRRRLEMTVLMSIWLIAHRPELVVVVYAGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYIAIGSRWATIAAQLPGRTDNDIKNYWNSKLKRRLLGGGRRPRGAPPRLVLSGPGPAVTAATSRNAMAASAIERMQLSVRLRRLEAAAPPPPQPFTFYGSNNLAAPPWQPPISPAASGSSEMPRRLHHHHPSGAAATSSYSGLISSWSSSRSHIIHDAWLDASSTPPLSTTSMGDAATTTTTTAGGESSSSTPTVSTATTPFIGGSIDMEDEIDMLLQQIRCFDENGDDGDDDNDQRLIVGDEAAAGAENYLRALIDEAAENGGDVGVGSWSSCSTPGVDSVFHEYAQLDYGQYN >OGLUM09G11600.1 pep chromosome:ALNU02000000:9:15640882:15644071:-1 gene:OGLUM09G11600 transcript:OGLUM09G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor II 15 [Source:Projected from Arabidopsis thaliana (AT4G31720) TAIR;Acc:AT4G31720] MMGSNSAGGGGGGAMIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >OGLUM09G11600.2 pep chromosome:ALNU02000000:9:15640882:15643900:-1 gene:OGLUM09G11600 transcript:OGLUM09G11600.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TBP-associated factor II 15 [Source:Projected from Arabidopsis thaliana (AT4G31720) TAIR;Acc:AT4G31720] MGSNSAGGGGGGAMVPGGMGGGGPMGAAAAGGGGGGDGRHDDEAVLTEFLSSLMDYTPTIPDELVEHYLGRSGFHCPDLRLTRLVAVATQKFISDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALQEHGVNLKHPEYFADSPSAGMAPAAREE >OGLUM09G11610.1 pep chromosome:ALNU02000000:9:15663629:15671938:1 gene:OGLUM09G11610 transcript:OGLUM09G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRANALVARFRQVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDMAIRFLEDMKEEAKRDNNPELLPDAVTYTTLLKGLGNSQDLYSVLKIVVEMKSAPISIDRTAYTAMVDALLACGSINGALCIFGEIIKQAGNNKDLRPKPHLYLSIMRAFATIGDLDMVKRLNKRMWPDSVGSISRSAKEEADELLMEAALNNNQIVLNDPVEKYMIPFQETQPLHADLILEEVVMRFFKDPVVPIVDDWGSCVGIVHRQDCTKIDAPLLSMSRGPPLCVPTSTSVEHVIDLLLREKSEMVVVVKRGNMYEGSYASSSRPLGVFSLAILWKFTADATDIDGMDAAHQLQQDVEASNCG >OGLUM09G11620.1 pep chromosome:ALNU02000000:9:15681114:15681521:-1 gene:OGLUM09G11620 transcript:OGLUM09G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNRGEEEDVKLELTLCYTSASSPEPIGFFLCMYCDRKFYSSQALGGHQNAHKYERSLAKRRREIAAALRAHGAPPPPPAPGGAGAAAAQKAVGVEAQQQHQHAPVVGGFARGGGKSSPPAAEYGDGLDLSLRL >OGLUM09G11630.1 pep chromosome:ALNU02000000:9:15700107:15700496:-1 gene:OGLUM09G11630 transcript:OGLUM09G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYNGGGGGGEEGDEQLDLNLSLQPSQANEPPGYFTCTYCDKKFYSSQALGGHQNAHKFERSVAKRTRELAAARRQQAADEEARRGAGTTTTREPTGNASSSYQRASPPAEARRRDLLTDDIDLSLKL >OGLUM09G11640.1 pep chromosome:ALNU02000000:9:15722246:15722760:1 gene:OGLUM09G11640 transcript:OGLUM09G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGMRAKRTANEHGATAHGSREVRLPAGPASDAREGEVPRDHGVSARAWLCGGAGPGAGRGLGGAAGVVVIPPSCGHRIQFKLYVLDDEVHLGNKASR >OGLUM09G11650.1 pep chromosome:ALNU02000000:9:15728405:15729976:-1 gene:OGLUM09G11650 transcript:OGLUM09G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYWTSLASLMGAVAFLQGVVHAVFPAELRAAVARLLGRATRAFSPYCYFDVTETEGMGTNEIYDAVQLYLSSSAAPAAGARLTLSRPHNASSFTFGLAASDRVLDAFRGAAVTWEHVVAPRQAQGFSWRPLPEEKRRFTLRIRRGDRGVLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPERKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANHLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRATAAAAAQPPKPRASIDGGAIDQDAAAAPAGAAARSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIFMSYCTFPALKILLRNYLDDDSSASSSSAAAAATMAGLETWIDAAEITPADVSEVLIKNRRNGREQAMEQLLEVLKARAEKRPPSAAAGNATGGGDNEEEEEEEEKRALESPKEGGGEDGQDEETEAKKQPSE >OGLUM09G11660.1 pep chromosome:ALNU02000000:9:15736276:15736494:1 gene:OGLUM09G11660 transcript:OGLUM09G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGDRPWAVHEGGGLLELLEASGERRRWRRGCGREAAAAGGQREGGDGQVEEERRKKEERRAERMEDMGRE >OGLUM09G11670.1 pep chromosome:ALNU02000000:9:15746305:15747819:1 gene:OGLUM09G11670 transcript:OGLUM09G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFTEHFVVTLMACVLFPWDEFRSTEYTPAVSAALAVVFVVITVGIATIKLMKGQIPMPKLFPDVHDWSSTWRLPTAAPVLDHSLIRPIVRASLLLGLVVYTTTSFFGFLLFGEATLDDMLVFPIVFRALRFNMDGLLFPSARPFSCDNRRFGAITAELLTVIFLAANFVPNIWDAFQFTGNSCTGDDVDCGGGGDSCLTAVILVAAAVSPMRPRSSSASSTPVAGKKEKTATRWCSQSTAPRCEKAAAGHGMPPPAPPARHHQRPTLSGPSLATRPP >OGLUM09G11680.1 pep chromosome:ALNU02000000:9:15749638:15750648:-1 gene:OGLUM09G11680 transcript:OGLUM09G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3B8] MKTASSSSSSSHGFPATASLCTPYLLLVPLGLLAVVLVVPSLGSSHVRSDGLGVLCRAGPSAADGYLVTPGGGDAASAAAAAAAETKAVVRPELRLLVGVLTTPKRYERRNIVRLAYALQPAVPSGVAQVDVRFVFCRVADPVDAQLVALEAARHGDILVLNCTENMNDGKTHEYLSSVPRMFASSPYDYVMKTDDDTYLRVAALVDELRHKPRDDVYLGYGFAVGDDPMQFMHGMGYVVSWDVATWVSTNEDILRYNDTHGPEDLLVGKWLNIGRRGKNRYSLRPRMYDLNWDMDNFRPDTVLVHMLKDNRRWAAAFRYFNVTAGLQPSNLYHFP >OGLUM09G11690.1 pep chromosome:ALNU02000000:9:15754387:15755328:-1 gene:OGLUM09G11690 transcript:OGLUM09G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3B9] MAMKAPASSNSYLLLAPLALLLLAAVVFLLPSLNGARVGSDGGLGVLCARRSAGAEDYTVAAPAAPKEEEKPELSLLVGVLTMPKRYERRDIVRLAYALQPAAARARVDVRFVFCRVADPVDAQLVALEAARHGDVVVLGGCEENMNHGKTHAYLSSVPRLFASSPYDYVMKTDDDTYLRVAALADELRGKPRDDVYLGYGYAMGGQPMPFMHGMGYVVSWDVATWVSTAEEILARNDTEGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLSWDMDNFRPDTVAVHMLKDNRRWAAAFSYFNVTAGINLHHLSP >OGLUM09G11700.1 pep chromosome:ALNU02000000:9:15755409:15768228:-1 gene:OGLUM09G11700 transcript:OGLUM09G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQRLPLPPVPAAALLLLPVALLAALLLVVYPNEFALQASLAGAAACGDHQGGGGGGGVQAAPEFRLLIGVLTLPARYERRHLLRMVYALQQPAVASRARVDVRFVFCRVGSPEDRVLVSLEAMAYGDVVELDCPENMDNGKTHAYFSSVPRLFGGGEAAYDFVMKADDDTFFRLPELAESLSRAPRRDLYYGCMVPCDYVRGSNEYMSGMGYLLSWDLVEWIVAAAAEIEGRTGGPEDRTLYSWLRRGGRGRNRVDVKPAMYNFPGRHPCSHEFIPDTIAVHQLKDNRRWARTLQYFNFTAALKPFYPATSSRFPATSFCSLCLRLLLPLGLVAMALSSLVVLSVSGCLSAPRSRPVVDNTNNDGGLGAETTAAREPEFRLLVGVLTTPSRYERRGILRLAYALQPAPAAQVDVRFVLCDVTDAADAVLVAAEAARHGDILVLDGCSTENMNDGKTHAYLSSVPRLFAPCPYDYVMKADDDTYLRVAALADELRGKPREDVYLGRGYAVGDDPMPFMHGMGYVVSWDVARWVSANEDIVRRSGTRGHEDRLVGRWLNAGGRGRNRYNLKPRMYDINWDMDEFRPNTIAVHRLKNNRRWAAVFRHFNVTLD >OGLUM09G11710.1 pep chromosome:ALNU02000000:9:15769234:15769545:1 gene:OGLUM09G11710 transcript:OGLUM09G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45930) TAIR;Acc:AT3G45930] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM09G11720.1 pep chromosome:ALNU02000000:9:15769801:15770850:-1 gene:OGLUM09G11720 transcript:OGLUM09G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGVINSPGVTAPSTHKSNRTTPRHHVRYQHGCGGSRGGPGSELAAASEEDDGYSTSTTDDDDDDNVEPHGPASSGLRWVPYAAAVSAVRALLGASHHDLRLRAHQLSRSLSAVFFAGAGLGPEGAVLVCADVPPLGPALRDAQRAMVRVAAEEADHAACDCYYDAVRDVMRLLVGDAGLFRSAFSSHWVFFSNVEFQSRFRGYNPAPAVAAASALRWVPHAAAVSAIRALLGASHEDLRLRVHGLSRSLSGAFFAVGAAAAPFASGARFPEGKLFVCADLPPLGPALVAAQRAMMQVAVKDASHGPCDWYFDTVGELMRLLVGDTEVGPAVFDRASFESAFALEWEN >OGLUM09G11730.1 pep chromosome:ALNU02000000:9:15775159:15777075:-1 gene:OGLUM09G11730 transcript:OGLUM09G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C3] MSSAFGDFGPLTERRRAEKARQQRRRIMIALGTVSIIIILIVMGAAAITYSGKKSEEDEGGSKGSSKGKSKGGGGGDDEDGGGGGGKADLRAVSKSIKMMCAQTDFADSCATSIGKAANASVSSPKDIIRTAVDVIGGAVDQAFDRADLIMSNDPRVKAAVADCKELFDDAKDDLNCTLKGIDGKDGLKQGFQLRVWLSAVIANMETCIDGFPDGEFRDKVKESFNNGREFTSNALALIEKASSFLSALKGSQRRLLAGEEDNGGGAADPHLALAEDGIPEWVPDGDRRVLKGGGFKNNLTPNVIVAKDGSGKFKTINEALAAMPKTYSGRYVIYVKEGVYAEYVTITKKMASVTMYGDGSRKSIVTGSKNFADGLTTFKTATFAAQGDGFMAIGMGFQNTAGAAKHQAVALLVQSDKSVFLNCWMDGFQDTLYAHSKAQFYRNCVITGTIDFVFGDAAAVFQNCVLTLRRPMDNQQNIATAQGRADGREATGFVLQKCEFNAEPALTDAKLPPIRNYLGRPWREFSRTVIMESDIPAIIDKAGYMPWNGEFALKTLYYAEYANKGPGADTAGRVAWPGYKKVISKADATKFTVDNFLHAKPWIDPTGTPVKYDFFT >OGLUM09G11740.1 pep chromosome:ALNU02000000:9:15780043:15781947:1 gene:OGLUM09G11740 transcript:OGLUM09G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRQRSIFHLGEEGGGAADAEHLGADHGDGHGDHGIISIHQQRLRVVGLQIVLAQTRHHHRQQHGHVVLKQMQMVSPPPAARHRRRRRPCGDFLSACSLCRRELGPDKDVYMYRGDQGFCSEECRWQQIMTDEARERDAMAKKERLGLHHRTPRPPPAAIRGGSPRRLLAVA >OGLUM09G11750.1 pep chromosome:ALNU02000000:9:15783370:15789270:1 gene:OGLUM09G11750 transcript:OGLUM09G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNKAPSITAETINQKVRIFTYEPCGEIVRHARRLEKEIYENPGSVPFQEIIYCNLGNPQALGQRPINFFREGVRGLREAVADGIAARDGFPSKPDNIFLTDGASSAINMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPYNLTEDSGWGLEIFEVKRCLEEARASGLTIRAMVVINPGNPTGQVLSITNQEEIVEFCRKEGLVILADEVYQENVYTENKRFNSFKKVARSLGYDHHDLSIVSFHSVSMGYYGECGRRGGYMEICGFGDDVIDEMYKLASLTICPNIAGQILISLVMDPPKLGDEAFEIFMVEKEETYSSLLKRAKALQKAFSGLEGVSCNKFEGAMYLFPRLRLPQAAIKAAQLEGVSPDVFYAHRLLGATGIAVVPGSGFHPVSGTSHIRCTILPGEETITAMVPRLQAFHEAFMDEFRG >OGLUM09G11760.1 pep chromosome:ALNU02000000:9:15789938:15795292:-1 gene:OGLUM09G11760 transcript:OGLUM09G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSFSRQNCVLLAVLCGKHAEKRAQARSGPEAKRLRPSYPFPELSSSGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGQQLEDEKEIGSLVWGDNDVSDPQAFSCLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVVQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINITPPENEMVEEEGSSDVFPAIKIYDDDINMKFLLCGVPSTPDPCLLGSLEDGLNALLNIEIRGCKLQNRISASPPPLHAASLPRGMVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKDEIIEKSQLVRALPNNDDKLSSSVPFTSMSTACGASTFEVWMTLPKWAAQVLKHLAPDISYRSLVALGIGCINGTPVASFDRRDADRLLFFCTNQHKDLAIENGPYFHLPRWSASLTKERVKVGLESKPNLLGANGIPEDKKRLIEGPSSSSKAKLKPATMRPIPHSRKQQMHPFMGFLEATVHETSQVKPNLPAAPPVKHNSVPAAPATHRKSTSGPSHAQSIIQLNPLPLKKHGCDRLPIQMCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPEAVLNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPQKVANGFANTVSVSRNV >OGLUM09G11770.1 pep chromosome:ALNU02000000:9:15797458:15800500:-1 gene:OGLUM09G11770 transcript:OGLUM09G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G20910) TAIR;Acc:AT5G20910] MSAPAAVEQRLQALRQKLGRKQHFEEAVADLAAAVRDHHAAASPALRDLMYSTVCRVATVLQTRYTTPGFWHAGLNLFLGTEKLVTNPSEKEQLKTFILRAREHLDEKENEESMPNNRETDTRFLFEGHLTVGPEPPPPAWLVAQNLARELSILAEPSGDQGANNNGESRAEEMAPAAAIMNFLNTMTVDGDLEAALEESLQNVMANPKVPPASKEVVANLPVVTVTEEIIARLGKETQCAVCRESLLVDDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREREEEEDRKGAANAVRGGEFMYV >OGLUM09G11780.1 pep chromosome:ALNU02000000:9:15812408:15813265:1 gene:OGLUM09G11780 transcript:OGLUM09G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTKLVQHDGPTARSAKRKRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEPAVAQKLSLKQNAAKQEKLAPPLKTCGDDAFFQLNSSDNDLFAMLAKVPAKPAEPVDLMPPVKPLASTETFEMNMLSDTSSNSFGSSDFGWEDDTLTPDYTSVFVPNAAMPAYGEPAYLTGGAPKRMRNNYGIAVPQGNGMPNLAQNMPTFDPEMKYLPLPYVESSSDESMDNLLQNDATQDGASNEGIWSLDELLMAAGAY >OGLUM09G11790.1 pep chromosome:ALNU02000000:9:15820782:15821670:1 gene:OGLUM09G11790 transcript:OGLUM09G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISGFIPPSAAAAAAAAAAAAAAKKQQGRRVTADVLWPGMLRKGKAAAAVEEDFEADFREFERGMSDDEAEGGGGEEEEEEEDDVVVVVPPPPAARFVVRAAAKAAPPTAEL >OGLUM09G11800.1 pep chromosome:ALNU02000000:9:15847548:15848564:-1 gene:OGLUM09G11800 transcript:OGLUM09G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSPTSLPNWNRMRISVNTITQNRAKSLRRLLASLRNTYYVGDEVVPISFNMDSRVDAATLNAVNSSDAEPQQDLSIVMNLLYIKARALIQHRWKMESILDHMLREGRDRMLREAGVVIQQQQAEEKNSSGGTAMAPPPPCPGSSVTCYVCFEEVSPDADQLQHGQPRRCGNAERRQLLRLAARRQDAAAEDHSGRADPRAEDGRPAAVFAPLAGQRLFPSPRALAGRRGRMLGGERGGVGRSLSRRPLVRG >OGLUM09G11810.1 pep chromosome:ALNU02000000:9:15850157:15850531:-1 gene:OGLUM09G11810 transcript:OGLUM09G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAALEPNQEFLEACMPSASSIGQGGVPPRAAARSATTTSGGSTARDLRRAEAAVLLYDGRRVSDGCAHVRELCIACVVVSHVASEAPRRGGGGEVPVRCAALSRTSTATATPSCTPEDCKN >OGLUM09G11820.1 pep chromosome:ALNU02000000:9:15857219:15857959:-1 gene:OGLUM09G11820 transcript:OGLUM09G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAASASASASAAQELDREQYLQELIRGSMLDPPSSSSSRAGRVRPLTDDEIGRFYCEVCMEWKLVFDRFRVSDGCPHAFCVACVVGHIEARVAAGSVPVPCLLAGGGGCSGGGVMHPERCKKLLDIDVFDRWCVALCERAVGPARARCPYRDCGEMAALEGEAAAAALPLRAAASKASCPTCSRAFCLQCEEPWDDRHGGGGGGDGGARCALTQLAKGREWRRCPSCRAMIDKIDGCKRMTCR >OGLUM09G11830.1 pep chromosome:ALNU02000000:9:15861372:15866966:-1 gene:OGLUM09G11830 transcript:OGLUM09G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKPHPAATGGGASSSHHAAADVAVDEAFARDLYVSQLMELGDDDWSSFAPPMDRVGSTSSLAAGAAGSRAQPIVIDDDDDAPAAAAAARTVQLYVPPPLRSGGRRTVATRQQPAPARSATAFLPRPPGGAATADIGTSSTATLPQGLPAAMAPSTELSLRPGGLIAGGAAAPRHAGRRPAARMAARAAPGTGHARGAGNGRWARAENLMAALQANPRPNVAQFGRIWTRIAAAADTPAAVTATTGEGSHAAPAVSAGKCGQHSTPAISAGKGGAAGGMEEEEEVHRNVANENDSVSSKRQALLADRDTPAVFAGMEDGHDNDWYDSVIRDAVIAELQEDPELHGPLPVQYLTKSPVVAQPPPRATAAAIAGEEEEEEGEFSMPNFYKKWGLRPSDLDPDEAGPSTRRPRVLPLADGDLPTFECGICFDTLPMLDLFRGLPCDHKYCLECMTTYIDGKVREGAVPVACPDPECADGGDGGAGVLHPEGCKKAIDFAAFTDWGLRLAEGAVPHDRRAYCPNHRCGILLEASGEAEPAMAACPACQHLLCAMCGGEWSTADDADHRDCSKGPEAAMVKKLADERRWKACPKCRMLVERTAGCRVMSCRCRMVFCYLCGLQIGAVLEGKEKCQCLDNLGVVLIKALCRFSKRRTASYPPIKAIQIKGVVVSHLRSSQRHRRHPVADYQARERGELPPTPLHKVQHEGVATATAPTHPRTSTQAVSPLPSVAIAMPCEHSSTTRWSRRSSLEATGSSITSARSTARTVFNTTGHSCRRFNRVAAITVTIMARSILQIYHLVLATSGSSWEKRPRTTTILVTARFPTDGSDGGEEVGGRKVEWHRGMGHCPSHSSVIE >OGLUM09G11840.1 pep chromosome:ALNU02000000:9:15872254:15873415:1 gene:OGLUM09G11840 transcript:OGLUM09G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSSGRRGRQSCEESCNNGAHWPPPQSARCLCLYLALSVVFFALVAAVLLVVFVARLKKPTFLLQSVQMDRSFSLIQSSLSSSAAANGTGGGGANANGTACSVATLVFAAQNANGIGIRYGAAALGVAYANESVGAVGVPEFYQPPRSANVTVPVHAVFSQPDVIRLVVGELSAQRKYLEIRIAGSIDARTHIMNFALPKIQFSIDCRIGTNYTDIVHREGIESVITRKALLVSELPHVSQKCSIKIDLRSRGKRTSLDELGC >OGLUM09G11850.1 pep chromosome:ALNU02000000:9:15879775:15885532:1 gene:OGLUM09G11850 transcript:OGLUM09G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNKSSPARNESGGDGDGDIAVDLFPFLRVYKDGRIKKFVRHATVPASPVERSPSGVVTKDVVAVDDETGVSVRLFLPVDAAAAAVAAGRRLPLVVYVHGGAFCSGSASAPPFHRYAESLAARAAAVVVSVDYRLAPEHPMPAGYDDAWAALRWAASSRQSDPWVSNYADTACVFLAGESAGANIVHNVALRAAAAAAGGEDDDDGGGGIDIEGIILLQPCFWGTERLPCERPAAWRRAAPPMFLPERLDALWPFATAGAAGNGDPRIDPPAEAVASLPCRRALVSVATEDVLRGRGRRYAAALMRGGAWGGEATLVESGGEDHCFHLSPRPNPNAAALMDHVAEFIAKGNTSTSSPMAKRRCRRRRCTLHGAGAEKTTSMHALRGQTAPKVQHAGSGIMANKADNHRRARASGSMRTSKSSPAHGNIAVDLRPFLVEFNDGRRWVLVRHETVAASSDENARSASGVATKDVVIDDETGVSVRVFLPVDAAAAGRRLPLVVYVHGGAFCTGSASARMFHDYAESLSARAAAVVVSVDYRLAPAHPVPAAYDDAWAALRWAASRRRRRLSDDAWVGDYADRSCVFLAGESVGANIVHNVALRAGAAIRNTGEMFDDDIDIEGMILLQPYFWGTERLPCETPGAASWRTRDPPPMLLPERIDALWPYVTAGAAANNGDPRIDPPAEAIASLPCRRALVSVATEDVLRGRGRRYAAALRGGAWGGEATLVESRGVDHCFHLLPEFGSHAETGALMDRVAMFIAKGKTPPPISMLMEEERVTKKTRSSAVVPACWRVPRGPRCTAQTVVGLRRAGFGVGNMIRLPSKAQKCHRVPAAALRRSVFQSYYL >OGLUM09G11860.1 pep chromosome:ALNU02000000:9:15890250:15892969:1 gene:OGLUM09G11860 transcript:OGLUM09G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHVPPFPIALTRPRPLRRLIPGDPPLPPQNPRLGYGVPHEPRCTAQTAVGVRRGMGNMIRLPRKAQKYHQVLAAALRRSAIEGQNVLFYELILGKSEDILRRDEEMLFKVSILRPSNGVTFGAIVNADVVDMSRKNRWPVPKAEWLYPCTDDRCDEIAVPDPIPPLMSLN >OGLUM09G11870.1 pep chromosome:ALNU02000000:9:15894719:15899729:-1 gene:OGLUM09G11870 transcript:OGLUM09G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNEISGSNELCAQDPEVMTFYNLRGSDKTTILRKKELQRLRKERIENKCFRKKEKIRKAKEIVDNALKIREELHPKYLRAEPWLKEQERQRLERNKRFTTEIINQPPDYRGEWDPYVSDDEFGTSFELKRTGWEVEKFALDLARSTVGLESFTGENHLFSCSGTIIEFLNGICSVVTSASLIRCMDKDEQADELKINVWLPSGEKREGFISNVDLYYNICLVTVHCTSNLPKKSFNDDTGFFDLYGNHSKDVVALGRSCEPWSLKVASGKLIPRRHRFDCEELLVSSCKITKIGVGGPLMDFNGNIVGMNFYDKKETPFLPSFIVLKCLQHFKEFGKVVRPLHGLRVGNLHKESLASLEKICHEFPKVCGVIVEKVEPSAEHSEIKVGDIITHLDGIAFSNAAEFGGILLDRCVTQMLEKQNLSEDCNQMISLKFSVKTRGGISEATTRTINTDKFTPSGLNRWPLPRPIIVRQYARGVLFSEDCYS >OGLUM09G11880.1 pep chromosome:ALNU02000000:9:15900275:15912502:1 gene:OGLUM09G11880 transcript:OGLUM09G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G03140) TAIR;Acc:AT2G03140] MNSDDPKFPIQHLVPPTDAATRPFPVALTTPRPLRRLIPRDPTPTLPPQNPRSSPCPRGPTTPHLHPAPYTLLSPSHPRAPRNPSPGGGPHPPSDRAPPGAPTFARELFDGKASLPPTPPHVDVSLSPFFPIRLSASLHHRFPRRRRRRRLRLRLRLMLPRPACAPPPRLLPAAAAATFPLILRRRQRRRHHRHRPRPCPTLRASLSDLLASIPSSLALVGPAAAAAAAAVASSFSSSSSYVRNGLPPPSSSSPPEPDSGYAAACGDAAGDWILFTSPTPFNRCVLLRCPSVSFEDGGVLLDGVNERLLTEERHYVNLSRGSIPAARGGDGGAGDIFYQRVCIPAEDGGVIALDWPDNLDLGKEHGLDSTVFIVPGTPEGSMERGIKVFVLDALKNGYFPIVMNPRGCGGSPLTTPRLFTAADSDDISTAIRFINNKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCVDNPFDLQEATRSFPHHIALDRKLTTGLVDILRANKELFQGKDKDFNVQKALSSDCLRDFDGAISMVSHGFSTVDDFYAESSTRLSISHVKIPVLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCVHSTVFTFERYAVLWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQANDRKAPNSNNFRQQSQFILYNSMPHGINGLLLDSAKQHSVSNKKENGQIKDNGDMDRARKDVHEEESEETPEDDEKGHALQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTTSVTEILQSKRGNFSLDALKRLGWTNGRPNTKTAVQEKIKDSDHESGLKDAKMHDQNRSASAIGDVDQKDGNLTSNDNSSGEGIESSQGKPSQTSGPVGAVTEMGTEQIQPNRSEKTTPGINESSEDHQHKTDQGTETAPKQVSDDLSPSEKKNSDDQSPGEKKVSDDQSTANLNGAPRERVQSADATAESPQVHVVEKDGDAVRASEDKATHNVTDQSMQVSKTEEPKPPPVNVTQALDALTGFDDSTQMAVNSVFGVIENMIDQFEKQHESENGDKSDGSTDEASVNKTESQVTGDMNNESSGKSINPSSYQPENSISGKGHSIMSEDRMIGETNSNLSIISSAKEKIGNYERNIIENYVDADVAKQGSGLPDYLLDIAVNSYLKAQYAMYLHEFLSTQLQLKPPDSNSATDLFLDPHEGKWKIADQMDSEHDYNSKSDKDGNYTKNIAISGSSRDQFRTENVIDTPYLVLSHYPVSRDKKSNELKQTVATKLPDIALRETLTSFIRDELENALKIEVGRKVGITNTEQLERNLAHDVERLAAQVSRAVVLDCELYSAACVERNPTTVKFGTTHGENVIEAVSNAIQQSHDLRNILPVGVVVGVILASLRNYFHVDISKHDKHTKTIVNSEVLSEDPDFKNSYLKKEESTDDASSKTEETTNNASLQKEEKANDSSKNAENADNPIEKTVAPKGQEIRRSEGQGMMVGAVTAALGASAFVAHHQQKKVEKHDNMDSTRPDETAQEKSQNNLVTSLAEKAMSVASPVVPTKGDGEVDQERLVAILAELGQKGGALRFVGKIALLWGGIRGAMSLTDRLISFLRISERPLFQRIMGFSFMVFVLWSPVVIPLLPTLVQSWTISSSTGIVGYACIVGLYVSIMILVILWGKRIRGYENPVEQYGMNLASVSRVQEFFQGLAGGITVVGLVHSVSILLGFAALRAGSYSLVTRPLDLLKSSSNVLLLALRGFVTATSIAVVEEVVFRSWLPEEVAVDLGYYSAILISGVAFSLIHRSLPSVPGFLLLSLVLFGLKQRTQGKLAAPIGLRSGIMTASYLIQTSGIIQSKPGTPFWMISTYHLHPFDGVIGLSVCALLAILFFPQEPVQKDSFVS >OGLUM09G11890.1 pep chromosome:ALNU02000000:9:15912820:15919726:1 gene:OGLUM09G11890 transcript:OGLUM09G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MAATAAAHLLSTPPSASPVHPPPHAGLCTRRNKRIATLSCGASLGPDGSLAGLAAAAAAAPRVEPRGRPYLREHSCLIFPPSPRGRRPLAVVKFLGGAFIGAVPEVTYSHFLELLAQEGFLVVSVPYNVTFDHEAAAREVYERFHGCYGALLSSGLPAAGLSAMDIAELPLYSVGHSNGALLQLLVGSYFSEKIPKANTIVSFNNRPASEAVPYFEQIGPLFSQVMPMMEASPVYSAARNASGDAWKALFDLAGGLIQVYDQEAMLSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYNVKFSIDAIDDTEIVEDVLKPRVESIGGQIKKVILSGTHLTPCIQVGSEYTPADALAQGLKSLALNETRVLSRTIADCHIVHSLKSYICLQRIAVAIGKETLESKIY >OGLUM09G11890.2 pep chromosome:ALNU02000000:9:15917200:15917586:1 gene:OGLUM09G11890 transcript:OGLUM09G11890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MHAHPQAVPKISDQDWGAWARVFPLGLLSQHLLYNAFNPWSKETYPVSATRVEPSSSYSRLDLEQIDFAHRRL >OGLUM09G11890.3 pep chromosome:ALNU02000000:9:15917200:15917917:1 gene:OGLUM09G11890 transcript:OGLUM09G11890.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1350) [Source:Projected from Arabidopsis thaliana (AT3G43540) TAIR;Acc:AT3G43540] MHAHPQAVPKISDQDWGAWARVFPLGLLSQHLLYNAFNPWSKETYPVSADQASKNRSSSGFAKIGSSQIRYPGNKSRCKSIALAFACHDLKPYCCEIDMNES >OGLUM09G11900.1 pep chromosome:ALNU02000000:9:15963161:15963661:1 gene:OGLUM09G11900 transcript:OGLUM09G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGLSKLKCMIKRWHSSSRISRTPSGCSASAGSTSARSSHGGGRVGGEEWGRSVVASGGGGGGGGGGGRGGSVSFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPMFQNLVDRSGGGGVGGGGGGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >OGLUM09G11910.1 pep chromosome:ALNU02000000:9:15966628:15967080:1 gene:OGLUM09G11910 transcript:OGLUM09G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRPKFTQIELCSGLVLLPPGYTPSRVARGGGKKKRGTAAGAGTSHPRSTPAPMRAESVSSSVYPAVNAVDMVADGAQGGLGFPEVPPCFEKVKAEPAPATSAPVASPSTPAAKKVWISVEYHFNFGIDLSCGMILLSFDLLQWMALLI >OGLUM09G11920.1 pep chromosome:ALNU02000000:9:15994335:15994898:-1 gene:OGLUM09G11920 transcript:OGLUM09G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDGGGVKVAAAATTATGMKQITRLRELLQKWQAMALGANKGDVATAGDEEDEEVVEVAPAAAAAAIPPFVMRRLQRTVTVDSDDESCQSPEPPADVPRGYCPVYVGPEQRRFVIPTRYLGHPVFRLLLEKAEEEFGFCHQGALAIPCETEAFKYILQCVERHDNGLAAGDVDGVVDVNDRAPPRD >OGLUM09G11930.1 pep chromosome:ALNU02000000:9:16003569:16006637:1 gene:OGLUM09G11930 transcript:OGLUM09G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSTMAASPSPISAAAAAADAPAVTRSITILRPPALSVTSPRGSESGPSTPSSPASVPDSPFGSAPTPKGSEGWKKLRRGAARMADGVDAGAGGQPRSPTVYDWVVISSLDR >OGLUM09G11930.2 pep chromosome:ALNU02000000:9:16003569:16004541:1 gene:OGLUM09G11930 transcript:OGLUM09G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSTMAASPSPISAAAAAADAPAVTRSITILRPPALSVTSPRGSESGPSTPSSPASVPDSPFGSAPTPKGSEGWKKLRRGAARMADGVDAGAGGQPRSPTVYDW >OGLUM09G11940.1 pep chromosome:ALNU02000000:9:16011418:16016470:1 gene:OGLUM09G11940 transcript:OGLUM09G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARVSRLGLHALRRATAPGQNSLASRRHEAEAVTSHVNHLGKTFFCSNTNATSSDRSSESEAKISVTFVDKDGEEKLVKVPIGMSMLEAAHENDIELEGACEGSLACSTCHVIVTDVDYYNKLEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGMRLALPSATRNFAVDGYVAKSH >OGLUM09G11950.1 pep chromosome:ALNU02000000:9:16026935:16037264:1 gene:OGLUM09G11950 transcript:OGLUM09G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARERRRIQARLNRSSTGAKRALKGLRFISRTTGTVQAAELWRRVEDRFNALARDGLLSRDDFGECIGMVDSKEFAVGIFDALARRRRQNLERITREELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDSYMNYSRPLSTASGAQWSQNLGGAAVAAGAAAATGGGAHAAVAARGGQQQQQQQEEGRRGGWGVRKAAARVRVAAEENWRRAWVVALWFAAMASLFVWKFVQYRRTPAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSSWARFFVPFDDNITFHKMIATAIVVGITLHAGNHLACDFPRLIASGPEEYRLVADAFGPEKPTYVGLLSGVEGITGVAMVVLMTVSFTLATHPFRKGEKGGSGGGAAATVLPTVARLPSPFNRLAGFNAFWYSHHLLGIVYALLIAHGYFLFLVRRWYLKTFFYETFLFVGWEEDHLAFKRNGRFMTWMYISVPLMLYVGERMLRALRSNAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRIFVENYFSPHLNRRASFSELGAAEPRSLPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLAEELMDLAMETSRSEDSANSFSVSTASSNKKRAYRTSRAHFYWVTREPLSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLSHEMSHRTGTRFHFHKEYF >OGLUM09G11960.1 pep chromosome:ALNU02000000:9:16041187:16041879:-1 gene:OGLUM09G11960 transcript:OGLUM09G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLDMVPADAIALRLYSLPAAAAAVGSLWAWLVAALAAAVGLWRIRAAAGVRSALVDDDDHKQRKAKQPRGALRPAGVGEARPARAEEAEAEATTPTSPSEPSTPSKVRFTAYYGGEGDGADEGVVDSVRRCVDNDGDGEGETPTAPVRRTASGRRRWSTTTTTTAPFMATPWEEREMAVRRRGDLGWYRHLDMAALDGSVVRLWDGEVTAASPGRRGRRALSELHLSL >OGLUM09G11970.1 pep chromosome:ALNU02000000:9:16057084:16067847:1 gene:OGLUM09G11970 transcript:OGLUM09G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASGAGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >OGLUM09G11970.2 pep chromosome:ALNU02000000:9:16057084:16061405:1 gene:OGLUM09G11970 transcript:OGLUM09G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASGASVDIALPLPEMTPRIMRKRLKGIAGVRCLSSIWFLFLTRGMFARLCRGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >OGLUM09G11970.3 pep chromosome:ALNU02000000:9:16057084:16061405:1 gene:OGLUM09G11970 transcript:OGLUM09G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASGASVDIALPLPEMTPRIMRKRLKGIAGVRCLSSIWFLFLTRGMFARLCRGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >OGLUM09G11970.4 pep chromosome:ALNU02000000:9:16057084:16061404:1 gene:OGLUM09G11970 transcript:OGLUM09G11970.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G26830) TAIR;Acc:AT2G26830] MGSEGRSWSGAAAVGGGVEGEAAIAAVVAPAPGDVPASGAGICKELVRGWSSLDSSRFSIETVSGGITNMLLKVSAEDGKGNKSSVTVRLYGPNTDLVIDRKRELQAIPHLSAAGFGAQLLGTFENGMVQSFIYARTLTPSDMKEPRIAAEIAKEIRRFHQVDIPGSKEPQLWDDIFKFMKKASILEFEDKEKQKRYETISFRKIQDEVKELKDLSDLLHAPLYFIDFEYGSYSYRGYDIANHFNEYAGYDCDYSLYPDKNSQYHFFRNYLQPDRPSEVQLQDLDALYVETNTYRLASHIYWALWALIQAKVSPIDFDYLGYFFLRYDEYKKQRESCLSLAESSLSALKNG >OGLUM09G11980.1 pep chromosome:ALNU02000000:9:16061820:16062985:-1 gene:OGLUM09G11980 transcript:OGLUM09G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGHAALWVKLYELELQLRLMRAARGEEGAAAAADDDDEVGDVASRAGAEGACRGRQYDAYMRRRDARRHTTGGVAAAASERQTTRPRGGARAAGGGGGGEEVAAGGVYSDHPEEGELGRGAAQGEDGEHRRGRGEAGAPEAEQPRGGAVRLRRLRHAAAVPPARQRHGRRHDDDVDDAAAACAADAEGARRPTDQRRGHGQPEATSSSARPARAGGGASPPPLPVRVGASTPPPPPPPHGGAGLPEVGGGAAIAAAATGEEAVGQPGDDDDAADAAGDPLLRRRRLAQGFRQGDQEAAQLREEEQQQERRRPAAFPGASGRRKARDGHGMARRRGGASGDSLAQLAPVLMAPWLRLAWRWFAMTQPAGISG >OGLUM09G11990.1 pep chromosome:ALNU02000000:9:16068737:16072574:-1 gene:OGLUM09G11990 transcript:OGLUM09G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MASVQLSAGPRVSVSPAAALVAMPSVAAAASRGRRGYRGLVVRAATVVSPKYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTQATKEKPSIGTVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >OGLUM09G11990.2 pep chromosome:ALNU02000000:9:16068737:16072574:-1 gene:OGLUM09G11990 transcript:OGLUM09G11990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:20 kDa chaperonin, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G20720) UniProtKB/Swiss-Prot;Acc:O65282] MASVQLSAGPRVSVSPAAALVAMPSVAAAASRGRRGYRGLVVRAATVVSPKVRHSCAPLLFGRPVTSMYTSIKPLGDRVLVKIKTSDDKTVGGILLPTSVQSKPQGGQVVAVGEGRSMGSDSIEISVPVGAQVVYSKYAGTELEFDGSDHLILKEDDIIGILDTDDVKDLKPLNDRVLIKVAEAEEKTAGGLLLTQATKEKPSIGTVTAVGPGPLVEDGSRKPLSITPGNTVMYSKYAGSEFKGEDGEYIVLRVSDVMAVLS >OGLUM09G12000.1 pep chromosome:ALNU02000000:9:16074177:16079563:-1 gene:OGLUM09G12000 transcript:OGLUM09G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPANPKLAAKPSPSPPTAAAAASRPKATAKPPLGAGYRDNDDDDDDFQSPPRASSRAARALKPSGNGAASRRPSKRLKPSSSCCSGKENRPAAAGSGRAPAGRAASKGAGVGETLGVVSRVSSGVPGGDKARGGGICGLLRCGSDDFSSVSNGKKGLDRYWRRDGGLHSRPNPMDSTVSMPDATCDLENGGSQVAQMLSSNDRISVQLEGNAKVELGKSESDPTTMRKERNGSGACESDHPARLIEPRLLTLVTNCDFGGADSMDSKELGSAIHPSVSKDRNVENESGGASVCTFALHNRNCHSSCVESELEMLNAKYDLGPRDCKESQEGPGLCSLISEERTVAAEGDATFTFEERGNTSSGLEACKGSHCLDPVEPKLMDSCATHALEGDGCDDFEIGTQLNELINLCMEDYTEGPLSNKVACLEGNGMDCGSFNSSCEVQCPLCGSNISDLSEELRLVHTNSCLDGDKPAKEPNSDNQNEPCGESNVEKRRVMEWLRNLGLSKYEEIFIKEEVDWETLQWLTEEDLLGMGITSLGPRKKIAHALCELRKKNDDANDLAADMLNLENTKKAKIPMNGNKLITEYFRCPSSDQRQKKACKVNTPSNLNSQKNSNAKATGGRRTVKGKVKDTPIWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTKSFCHGKIYCSSVTANLVHYKIGIPWDRLHVLPLNEKITIAGVNLTCFDANHCPGAVIILFEPSNGKAVLHTGDFRFSSEMANNRVLQSSPIHTLILDTTYCNPQYDFPTQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLYMEVARLLQKKIYVGAAKLQILKHLGLPQEIMHWFTANEAESHIHVVPMWTLASFKRMKYLSTQYADRFDLIVAFCPTGWSFGKGKKRTPGRKWQQGAIIRYEVPYSEHSSFTELREFVRFISPEHIIPSVNNDGPDSANAMLAQLLND >OGLUM09G12010.1 pep chromosome:ALNU02000000:9:16088869:16093409:1 gene:OGLUM09G12010 transcript:OGLUM09G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRAATGLPFSPRPACCRPPSSPGSGRGFAFPPRFAPGVFLFFPLDSAGGGGVARRRAYPRIEATARHGARKENPKVRNRRLQKKFNGTATKPRLSVFCSNRQLYAMLVDDHNKKILFYGSTLQKAICGDPPCGAVEAAGRIGEELIRACKELDITEISSYDRNGFARGEKMMAFEVPDLVELECIDWARKEINIKYEIRDNRKGYKAGALKKGMEHIYTQQCDFVAIFDADFQPESDFLLKIIPFLVHSPKIGLVQTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSMHSFFGFNGKIQYQNYLRELIMPGPNITDLPTGTAGVWRVSATINEAGGWKDHTTVEDMDLAVKSELPSTFKAYRHRQHRWTCGTANVFRKMQRKLPKTRQAFFNMTEWNMLQDCHAISFFTRLYVIQGVSVWKKLHLLYSFFFVRRVVAPILTFLFYRVVIPLSVMVPEVSIPVWGMVCIPTAITIMNAIRNPGSLHLMPFWILFENVMAMHRMRAALTGLLETMNVNQWVVTEKVGDHVKDKLEVPLLEPLKPTDCVERIYIPELVVAFYLLVCASYDLVLGTKHYYLYIYPGILTIWSF >OGLUM09G12020.1 pep chromosome:ALNU02000000:9:16118111:16119391:-1 gene:OGLUM09G12020 transcript:OGLUM09G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRATATATAAGKDRSSFAVTCSLLSQFLKEKKGGGGGLQGLGLGLRPAPAAPPAVGAGGAFRPPPTTMNLLSVLDAPAVEVEPNTAETAADELPLIKAPADQQSDESASEAAGEKAQQLTIFYGGKVVVFENFPSTKVKDLLQIVSTGDGVDKNTGTAATQSLPRPAHNSLPDLPIARRNSLHRFLEKRKGRMNANAPYQANCTAAPSKQANGDKSWLGFGQEMTIKQEI >OGLUM09G12030.1 pep chromosome:ALNU02000000:9:16126704:16131238:1 gene:OGLUM09G12030 transcript:OGLUM09G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGVAVASSPRAAASSPRAAASSASVASSPRAGGVGGRHHHRRWGGAAAISPSYRAVLLALWLVGFALVFLWQSTSVGRARLYTRPPLLPKRAPSAQGMGQWVAAPPVYDLREFGGVGDGRTLNTEAFVAAVASIAERGGGRLVVPAGRWLTAPFNLTSRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHRGPRYGSLIHGQDLKDVTITGGQNGTINGQGQSWWSKFRKKVLNHTRGPLVQLMRSSNITISNITLRDSPFWTLHIYDCKDVTISDTTILAPIVGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSTNIIIHNVTIRSMVSAGVSIGSEMSGGVSNVLVENVHIWDSRRGVRIKTAPGRGAYVSNITYRNITLEHIRVGIVIKTDYNEHPDEGFDPKAVPIIENISYSSIHGHGVRVPVRIQGSAEIPVKNVTFHDMSVGLVDRKNHVFQCSFVQGQVIGYVFPVPCKNLDLYNERRELVKQSALQNISDIDYSF >OGLUM09G12040.1 pep chromosome:ALNU02000000:9:16131871:16133496:1 gene:OGLUM09G12040 transcript:OGLUM09G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I light harvesting complex gene 6 [Source:Projected from Arabidopsis thaliana (AT1G19150) TAIR;Acc:AT1G19150] MALPSGSFAACSIQPRVRAALRAPTLPSQNAAVARMAGHRAGATKGGVSAVCEPLGPDRPLWFPGSSPPPWLDGSLPGDFGFDPLGLGSDPELLRWFAQAELMHSRWAMLAVAGILVPEVLEKWGFMEDYSWIDAGARDYFADPWTLFVSQMALMGWAEGRRWADYLNPGCVAVEPRLPNRRNPVPDVGYPGGLWFDWGNWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGEGPIDNLLHHLADPGHCNVFSVRRTLLSQPNANNTSYGALVKNANNL >OGLUM09G12050.1 pep chromosome:ALNU02000000:9:16138887:16140815:1 gene:OGLUM09G12050 transcript:OGLUM09G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSTAAAAELEAAERVVMRWDSTASASYGGGGGGGDEQMLFDGGGDRVEAERFLRAVDDLRRLAPPSPATVGSPRRTSSASGGGGAASNAVQVAMARLEDEFRHVLSSRALDLEIEALADLTSLSMCSDRTNSADVAEEAAAAAAADEDDSVSSSVGRRSSYRSLRSIREIDLLPADAISDLHAIASRMAAAGYGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWEVLEAKIRRWIRAARAAVRGVFASERRLCFLIFHDLPLSSSTITTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDAIADLLPDVSDIFAASKAGESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIVSRPSACSRIAPEGNENAPSFPDLDLADPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFVMNNVHYIAHKIKDSPELRGLIGDEYLKQLTGKFRLAATRYQRTAWLKILNCLRDEGLHVSGGFSSGVSKSALRERFKSFNAAFEEAHRVQSAWYVPDTQLREELRISIAEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLETSVTDFFEGCPPSLHNRRRSHG >OGLUM09G12060.1 pep chromosome:ALNU02000000:9:16145377:16148990:-1 gene:OGLUM09G12060 transcript:OGLUM09G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAAAARLHHFLSLCVAVAGLLLMLLGGGEASVHEYRGLGFLNKGNAFILHAGSEGLYAPSSPANATTAEDDEDAAAAAVADAFIRFDKITFRRPEDAAKETGSAMVQILVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPQLLFASFDGSDTIATLPSRIIPITKTGMYNMYFIHCDPSLAGLEIEGQTVWKNPTGYLPGRMAPLKNFFGIMSFAFVVLGIYWFYQYMKFWREVLPLQNCITLVITLGMLEMSLWYFEYAEFNETGVRPKGITFWAVTFGTVKRTVARVIILIVSMGYGIVRPSLGGLTSKVVMLGGTFFLATEILELVENLGAVNDLSGKARLFLVYPVAILDAAFVVWIFISLAKTLDKLQARRSMAKLDIYRKFTIALAVTVLVSIGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVISYLWAPSQNSMRFTYDASENFDREDSLSLIRPGPIASKNGWSLSSSPDTKATKNVTVTSFDGDDEENKRE >OGLUM09G12070.1 pep chromosome:ALNU02000000:9:16152090:16154701:-1 gene:OGLUM09G12070 transcript:OGLUM09G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVANKISGKQEANRFPANSSAPYRANVSDCRKDEFSDWPQSLLAIGTFGNKQIEEVAQVENSSDNVQSVQDTVKFTEEEVDKIRKEFETLLAIKDQAEAQRSHDDDQVGLQKRADGEDNEKHIRQLINKRIIVSKSKNSLGKKGNTLKPRSVASLLKLFMCKGGFTSVVPEPRNTFPQSRMEKLLKAILQKKIHPQNSSTLVAKRHLDWKPDETEINECLEDALRDLDDDGAKWVKTDSECSKGPGKLGVYGVTT >OGLUM09G12080.1 pep chromosome:ALNU02000000:9:16161980:16169776:-1 gene:OGLUM09G12080 transcript:OGLUM09G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFARKEHQFLAELGLAPRNPGSFACGAWGGSGPVVTSTNPTNNQARLPPHDPLGSRRSIWFGPPWHFWGVIAEVVEASAREYEEGMRACYDAAKTWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVGMLAALPCGNCVVWKGAPTTPLITIAMTKIVASVLERNNLPGSIFTAFCGGADIGQAISLDTRIPLVSFTGSTKVGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENEGVHVFHNRKLFQGGA >OGLUM09G12090.1 pep chromosome:ALNU02000000:9:16172175:16172593:1 gene:OGLUM09G12090 transcript:OGLUM09G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRERRPGVGVTSTGIAGESLAEPFGWLTAATPFGVVPLPGGVVLAYPSPFLTILRVKTLLRLPNERWRRSTSRPPWGHRFGETYSYKDVVDGLCICFESFQP >OGLUM09G12100.1 pep chromosome:ALNU02000000:9:16175520:16176481:1 gene:OGLUM09G12100 transcript:OGLUM09G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCLNTGCGGPAARLFPKAAAGWVVSEFGRHGSKRKEAPSPGKDQSAGGGDDEDDGKGGGGRGGGRMLAQPIRAARTPPARYEAQFPYAARYTPNQYGTATFLPEERVGDCAVQMNRPIHLVRCRLNKGEVADYCVYVLPFAPSLVRGRWVPLVGEMARTGPLCLLLENPRPRLPLAGAPPTIRRRRLEFRRCFNCYRPPDHRRCLTWYQVCEAEFPKKECEVNQFAEESSDWEEIIASIAVQFWFVCWSVAFSVSDSVNCQDHMPQMSGAVDNLQNRSPLFRKA >OGLUM09G12110.1 pep chromosome:ALNU02000000:9:16181314:16187779:1 gene:OGLUM09G12110 transcript:OGLUM09G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSGVEVVVSRGCSRLVLPGMQPSSASAASSSSFSRGGHGGGGDRRPLPDGPFAGLVICVTGLSKGRHMLFLSAQHSFAGRKFEHAVKHGAKNGLFVVTLGWFVDCVRRSMRLDESLYSIKNIGENGMPLGEFNRLVGAPVSGNSCLPPMMFQEKTFSDTTEKHRLQTSRKEHDHDEFLFTNDSIYIDPGISGEMRKKVSDAATREGAKLLDHWFIGCHATYVVCEDASVKRYVGHSDNIVTPLWILKTAKEKGLQRLVHLSSDLARQVATILENAQTFQENRKIGDVPSVNSNSSGVPSTQGEIDEIHQERQKFVEVAKKNVRDRRARRMQSCEVPIHPITPVKLMESICWTVSEPTTSACIYTESSWSDDAFEQQSTTFFDANGDGKDDQSSDSFTRPLRESEKSEVIFKNHFLTVLFPIDRFGELGPSSRTFFSNGGFTRIQVLDHIYNFYQENMSSDEINVALQTDSRHADRLRSLYASTESAERGFVTFKRIDFLGSRRSFEGLKRLGRENNSNVYELVIRA >OGLUM09G12120.1 pep chromosome:ALNU02000000:9:16205654:16207170:1 gene:OGLUM09G12120 transcript:OGLUM09G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRAARRADVDAFLASLGIDPGELPGLELPATIDVMRERVEFLHSLKRVEFLHSLGLSARRDEGGDIYPRRPHAAPAPASHDSSPAAPAPASAPLGLVEAEADVAAGAHRPFSA >OGLUM09G12130.1 pep chromosome:ALNU02000000:9:16210400:16210849:1 gene:OGLUM09G12130 transcript:OGLUM09G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKDVTILFDGWRTATWTGYLLSLVALLLASAFYQYLEAFRIRVKLLAGAKPASIPPPASSDAARAPLLLPSSAAGRWPARLATAGLFGVNSGLGYLLMLAVMSFNGGVFVAVVVGLAAGYLAFRSSDGEDLVVVDNPCACA >OGLUM09G12140.1 pep chromosome:ALNU02000000:9:16237931:16241204:1 gene:OGLUM09G12140 transcript:OGLUM09G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPFLLALAVLVVVSSCVRLVSGAGDGAATYIVYLNPALKPAPYATHLHWHHAHLASLSVDPSRHLLYSYTSAAPSAFAARLLPSHVAALRGHPAVASVHEDVILPLHTTRSPLFLHLPPYDAPDADGASTDVIIGVLDTGVWPESPSFGDVGMGPVPSRWRGSCETNATDFPPSMCNRKLIGARAFFRGYGAGGGGNGSHVSLEFSSPRDHDGHGTHTASTAAGAVVADAGLLGYAEGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGAFPLSRDPIAVGALAATRRGIVVACSAGNSGPSPSSLVNTAPWVITVGAGTLDRNFPAYAELGNGETHAGMSLYSGDGLGDEKLPVVYNKGIRSGSNASKLCMEGTLDAAAVKGKVVLCDRGGNSRVEKGLVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDADAEVGLTFAGTALDVRPAPVVAAFSSRGPNRQVAQLLKPDVIGPGVNILAGWTGSVGPTGLTVDERRSPFNILSGTSMSCPHISGLAAFVKAAHPDWSPSAIKSALMTTAYTVDNTGSPIVDAASNTTATPWSIGAGHVDPVKALSPGLVYDTSVDDYVAFLCSVGTSPPQVQAITAAPNVTCQRKLSSPGDLNYPSFSVVFGRRSSSSRSTTVKYRRELTNVGDGRSVYTARVTGPSDIAVAVKPARLAFKKAGDKLRYTVTFKSTTPGGPTDAAFGWLTWSNGEHDVRSPISYTWGM >OGLUM09G12150.1 pep chromosome:ALNU02000000:9:16241596:16241971:1 gene:OGLUM09G12150 transcript:OGLUM09G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVSLEEEHYGAGEVAGFKGGDSEVAVKVSNDQECMLLYLHEGIHTIKLELGWEDVVNDEDGEAGGVDSDNIGGGDVGVQTVTMLVAVMSARSSCGGCW >OGLUM09G12160.1 pep chromosome:ALNU02000000:9:16242756:16244429:-1 gene:OGLUM09G12160 transcript:OGLUM09G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELPSWASVLVIVLAAVVFLLATILRHGRRAYRLPPGPNPWPIIGNLNLIGALPHRSIHELSKRYGPLMQLRFGSFPVVVGSSAEMARFFLKSHDIVFTDRPRTAAGKHTTYNYTDILWSPYGAYWRLARKMCVTELFSARRLESFEHIRGEEVRALLRDLHGAAAPVLLRDYLTWGSSSAGNGGGGEGTSPAATPEEFKMMMDELFLLSGVLNIGDFIPWLDWLDLQGYIRRMKRVGKKLDRFMEHVLDEHDKVRRQQGDRFAARDLVDVLLQLADDPNLEVQLRRDNVKALTQDLIAGGTDTSAITVEWAISELLRKPEILAKATEELDRVVGRGRLVTETDMPSLPYVEAIVKETMRVHPVAPLLAPHVAREDASVGGYDIPAGTRVLVNVWTIARDPALWDSPEEFMPERFIGSKIDVKGQDFQLLPFGSGRRMCPGHSLGLKVIQLSLASLLHGFEWRLPDGVSAGELSMEEVFGLSTPRKVPLEVVFKPKLPAHLYTGP >OGLUM09G12170.1 pep chromosome:ALNU02000000:9:16250838:16251176:1 gene:OGLUM09G12170 transcript:OGLUM09G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMLPPWASFVGVVLATVLFLKAVLGRSRRVYNLPPGPKPWPVIGNLNLVGTLPHRSIHNLSKKYGPLMYLRFGSFPVVVGSSVEMAKFFLKTHDVVFTDRPKTAAGKHTX >OGLUM09G12180.1 pep chromosome:ALNU02000000:9:16267704:16268021:-1 gene:OGLUM09G12180 transcript:OGLUM09G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPWPSLLVIVLAAVVFFLATILRHGRRAYRLPPGPKPWPIIGNLNLIGALPHRSIHELSKRYGPLIQLRFGSCREEERRRGRRTTPERVGLRPSMADGKRAA >OGLUM09G12190.1 pep chromosome:ALNU02000000:9:16271272:16277176:1 gene:OGLUM09G12190 transcript:OGLUM09G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERFIGNKIDVKGQDFELLPFGSGRRMCPGYSLGLKVIQLSLANLLHGFAWRLPDGMTREQLSMEEIFGLSTPRKTLILRHNRRVNNLPPGPKPWPIIGNLNLMGSLPHRSIHSLSKKYGPLMHLRFGSFPVVVGSSVEMAKFFLKTHDVVFADRPKTAAGKHTTYNYSDMTWSPYGAYWRQARKVCLAELFSAKRIESYEHIRREEVRALLRDLHAASGRVVALKDYLSAASLNLEREVVHEGEVVTTPERFRWMIDELFLLNGVLDIGDSIPWLGWLDLQGYIRRMKKLSKMFDQFLEYVLDEHENRMCREGESFVAKDMVDVLLNVASDPSLEVKFSRDSVKAFTQDLIAGGTESSSATVDWAIAELLRKPEVFAKATEELDRVVDRGRWVTEKDIPSLPTSTPS >OGLUM09G12200.1 pep chromosome:ALNU02000000:9:16279401:16299804:-1 gene:OGLUM09G12200 transcript:OGLUM09G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALCASFVAILLTTMLFLKAISTRCRRRKYNLPPGPKPWPIIGNLNLVGALPHRSIHELSRRYGPLVYLRFGSFPVVVGSSVEMARFFLKTRDAAFIDRPRTAAGKHTAYNYRDITWSPCDAYWRQARRVVLTELFSARRIESYEHIRREEVHALLRDLHYASSSGGRRAIVIKDYLSTASLNMITRMVMGKRYVQGEVVHEEPGSARTTLAQFKELLEELFFLNGVFNVGDQIPWLEWLDLQGYVKRMKKVSKALDQLLEHVVDEHSERRQREGNGFVAGDMVDVLLRLADDSSLEVKLSRDSIKAFTQDLIAGGTESSSETIEWAISELLRKPEMFAKATEELDRIVGHRRWVNEKDILDLPYIEAIVKETMRLHPIGPLLAPRLSREDTFVGGYDIPTGTRVFVNVWAIARDPTLWDASEEFMPERFLGKKIDVKGQDFELLPFGSGRRMCPGYNLGLKVIQLSIANLLHGFTWRLPKDMVKEDLSMEEIFGLSMPRKFPLEVAVEPKLSSHLYKGD >OGLUM09G12210.1 pep chromosome:ALNU02000000:9:16285424:16287145:1 gene:OGLUM09G12210 transcript:OGLUM09G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFLKTHDVVFTDRPKTAAGKHTTYNYSDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRGEEVRALLRDLHGAAGGVVVLKDYLSTVSLNVITRMVLGKKYLDKDAGGSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWLDLQGYIKRMKKLGKMFDRFLEHVVDEHNERRRREGESFVAKDMVDVLLQFADNPNLEVKLKREGVKAFTQDLIAGGTESSAVTVEWALSELLKKPEVFAKATEELDRVVGRGRWVTEKDVPSLTYVDAIVKETMRLHPVAPMLVPRLSREDTSVDGYDIPAGTRVLVSVWTIGRDPK >OGLUM09G12220.1 pep chromosome:ALNU02000000:9:16302959:16307557:1 gene:OGLUM09G12220 transcript:OGLUM09G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESVVMEAPRPKSPPRYPDLCGRRRMQLEVQILSREITFLKDELHFLEGAQPVSRSGCIKEINEFVEREGGTDLAVFFGGSDQNCVSAFHVFAAVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAARQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >OGLUM09G12220.2 pep chromosome:ALNU02000000:9:16304007:16307557:1 gene:OGLUM09G12220 transcript:OGLUM09G12220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRALHDGWCRVGVMGCHHGLRLLMLLDFVFNEGGKNVLFLTLCCAFKEQRFQKLFRYQRTSLMSFTSLKELSPFLVLDALKKREGGTDLAVFFGGSDQNCVSAFHVFAAVASAHPSAKDQGASIVLAAHAATSHAVSQTAVRAALGHAVVQTAAHAVNLTAVAARPLLAANRTARAPVQAAAHAAIHRAANRAAPASTSFHASNPCTAASRSLHASSPSATALAPIAALAPFQAVAARQAVDATAVAVQAADATVVAVQAAVATAVAFQAAVATAAARALAPNANPIVARALPIAVAASQAATAAAASSAAAARTASPARALVAPAASTSSNAPALAAARACASAPARRSASAASRHAASGSLRAASASRLAARGSLPAARDTAAASRNRRALNVPVGVSGLARIVQRVVDAHGVVTHAVSVLLGL >OGLUM09G12230.1 pep chromosome:ALNU02000000:9:16309658:16310815:-1 gene:OGLUM09G12230 transcript:OGLUM09G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGDASDQRWPESQGNEEKSQVPRSLDPNNTEDEHQEESNSEDEEEEQEEFLYDIDDDHYVPETYGFMGCKHSDGSIYRPDSHPFHRHYRLDDTRETRLRARRLTSPTDSCRPCWNACEVHVGCRMMQIFSVKIAALSAAAAADDNGGAPVQIYGFMAARDLYEPLRNYVFNRSRDDPFVLPGRYSGPDSLIKMSGPKRGISLQNPALIEYDLKIKKGEEEKDDLQLIDGVTSFSDLTPFHGVYSRRIHGIHGAVDISLALIRDGKEGTIQVRILRLINGGIHLSLSCLVHQIPEEIKLFDGIVAKASYLGDFVVAAPLRTVLILDFKITPVGAAVAVSKNDDSKHIRRFCNFKVTAHLGTIERIHHDFATIDTVLQWSNLME >OGLUM09G12240.1 pep chromosome:ALNU02000000:9:16313363:16315914:-1 gene:OGLUM09G12240 transcript:OGLUM09G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQSWRSFMCCGGGAAVHDDDDSAPRRRRIARRGDSPRSSSRMSFTSLSSSGTLSPEDLSLTLSGSNLYAFTYAELRAATSSFSRANYLGCGGFGPVYKGAVDDGLRPGLAAQDVAVKYLDLDCGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSGESLEKHLFKTVNGSLPWMTRMKIALGAAKGLAFLHDADPPVIYRDFKASNILLDLDYNTKLSDFGLAKDGPQGDATHVTTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLELLSGRKSVDRSRRPREQSLVDWARPYLRWADKLYKVMDPALECQYSCQGAEVAALVAYKCLSENPKSRPTMREVVKALEPVLGMDDFFPVGPFVFTVIVEDEKVVNMKVDMKVEVEEKKNTHQNHQDRHRQKYPDSAIHAGIVLHDRDGVIGGGYTGALRRHRRTASYNKERGA >OGLUM09G12250.1 pep chromosome:ALNU02000000:9:16329243:16334056:1 gene:OGLUM09G12250 transcript:OGLUM09G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRIILLLLAAAAVAATSAVAAASSGFDDSNPIRSVTDHAASALESTVIAALGRTRDALRFARFAVRHGKRYGDAAEVQRRFRIFSESLELVRSTNRRGLPYRLGINRFADMSWEEFQASRLGAAQNCSATLAGNHRMRDAAALPETLLQKDWREDGIVSPVKDQGHCGSCWTFSTTGSLEAAYTQATGKPVSLSEQQLVDCATAYNNFGCSGGLPSQAFEYIKYNGGLDTEEAYPYTGVNGICHYKPKNVGVKVLDSVNITLGAEDELKNAVGLVRPVSVAFQVINGFRMYKSGVYTSDHCGTSPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGIATCASYPIVA >OGLUM09G12260.1 pep chromosome:ALNU02000000:9:16334414:16338370:1 gene:OGLUM09G12260 transcript:OGLUM09G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFSMDGADDPMAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQQSRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMTRIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHAIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRQCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >OGLUM09G12260.2 pep chromosome:ALNU02000000:9:16334631:16338370:1 gene:OGLUM09G12260 transcript:OGLUM09G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHAGTIILLLILACLWLCPGRSSGFSWNIFSSSSSSSPPTAGESRAAPMLELDGAVADFSMDGADDPMAVKLLENARSKLAGPSNCWQEAYRRLFASCGDIMADKEMQSRLAWHLSSCFQEDSGRPPFPRCGEVSDMVHCRKRLGVSEDQVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIQQSRKVQETISSIEMQADHLAEASKNVGDQIDDVLAHSKAIFEQSKEIADSQAKLREGQTEMRETIDAGMTRIQESYESLGNGMDKLKEEAVDIQREIKTVGDSMSTKMQDLQSTANDIGSVAGKSLENQMQLLDGQSKAMDGLNNLYSFQAQALEESRETVQKLAQFGQRQQEELLSRQEEIRQAHEHLIHNSHSILEAQEEFRAKQANIFAALDKLYILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGHLYFGLCITLLLEIGLIKLGADDIDKQFWVISKVFLVRSVFLALATVQMLHAIFTFRDYELLNHHLLQTLVEKVRALEETAAAGEKMLPYGGGGAESERSLMDYSWVFDELADEVDSNADPSYALPGDEQRQVAVVAPRRRQCASPEEVVGENSITTSAGRRYNLRPRSSYRQT >OGLUM09G12270.1 pep chromosome:ALNU02000000:9:16345240:16348997:1 gene:OGLUM09G12270 transcript:OGLUM09G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPAISLYTSPPPGAVYSSEFDPSSRGSSPPCSTAPPSTSHRPPAAAGGLSCLFSSPAAAASPPRAPPHDELGALWQDRSDEPAFAGGGGGYSSSPLKWRDLHHHHHHSPVSVFQGPSSSPAASRSPPASWLAGRDRDRERLFAGFVRNALGSCVDYAPALSPRSEVGGGELAFELDENLAEASPACEPCARELLAGAQARHRIFHEELVVKAFFEAEKAHRGQTRASGDPYLQHCVETAVLLANIGANSTVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRIVEADRLHTMLLAMADARAVLIKLADRVHNMKTLEALPLGKQQRFAKETMEIFVPLANRLGIASWKDQLENLCFKHLNPEEHKDLSSKLTKSFDEVLITSAVDKLDRGLRDAGLSYHNLSGRHKSLYSIHNKMLKKNLTMDEIHDIHGLRLVFEEEEDCYRALDVVHELWPQVPGRFKDYISRPKLNGYRSLHTVVMSENVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERPASLGNSDAIRPPCPFPMHSEDCPYSYTRQCDHDGPIFVILLEHDKMSVQEFQANSTVMNLMDRVGTNTPRWSPYRIPMKEDLRPKVNHEPISDLNRKLSMGDVVELTPALPHESLPNYREEIQRMYDRGGFALATRGGSSRR >OGLUM09G12280.1 pep chromosome:ALNU02000000:9:16352148:16357479:-1 gene:OGLUM09G12280 transcript:OGLUM09G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSVLEDDEISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGQYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM09G12280.2 pep chromosome:ALNU02000000:9:16352148:16357479:-1 gene:OGLUM09G12280 transcript:OGLUM09G12280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRSVPGMKVEASPAAAAAATVDSPTSISECKNGDVLDTTEAIKQEEDHLDVLIEEKVDGFVDASSSLNVEPAANNSDLSPLTVPVKEEGQLLEPVKEEKADDFVDAVPSLPIDLEAKNGDASLITDAMKEEEEKLHEARVKAEEEEVARKREEAARLAFDPNARFNKLDELLSQTQLYSEFLLEKMETIADVEGVQTHAEEEPVEEKKNGRGRKRKATSAPKYNDKKAKKAVAVMLTRSHEDCSPEDCTLTEEERWEKEQARLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGLDGPYLIIAPLSTLSNWVNEISRFVPSMTGLIYHGDKAARAEIRRKFMPKTTGPDFPLIVTSYEMAMSDAKHLAHYKWKYVIVDEGHRLKNSKCLLLRELKRLPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSAKGGEEEQEDSEEKRKVDVVSKLHAILRPFLLRRMKEDVEHMLPRKKEIIIYANMTDHQKQIQNHLVEQTFDQYLHEKSEIVLRKPGIKAKLNNLLIQLRKNCNHPDLLESAYDSSGQYPPVEKLLEQCGKFQLLNRLLSLLLARKHKVLIFSQWTKVLDIIEYYLETKGLQVCRIDGSVKLEERRRQIAEFNDLNSSMNIFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKKAFGKLRLEHVVIGKGQFEQDRAKPNALDEAELLALLRDEQGDEDRMIQTDISDEDLLKVMDRSDLTGPPANADAAPLVPLKGPGWEVVVPTKSGGGMLTSLTS >OGLUM09G12290.1 pep chromosome:ALNU02000000:9:16361368:16379647:1 gene:OGLUM09G12290 transcript:OGLUM09G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MASPAPPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKEFIFSPAPGKMGYAAAVERFLKLVAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALFPLAESSSSASEASAGGGALHYHHHQGQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFTSDGGYRFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSAKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEILPPAYKHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRQVGFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDDSQSMPSEKAGTSEQLQSVYGK >OGLUM09G12290.2 pep chromosome:ALNU02000000:9:16361368:16379647:1 gene:OGLUM09G12290 transcript:OGLUM09G12290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MASPAPPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKEYSQLTMGYAAAVERFLKLVAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSFKRGEIELQIRSPRGDGVGSPLAESSSSASEASAGGGALHYHHHQGQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFTSDGGYRFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSAKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEILPPAYKHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRQVGFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDDSQSMPSEKAGTSEQLQSVYGK >OGLUM09G12290.3 pep chromosome:ALNU02000000:9:16369136:16379647:1 gene:OGLUM09G12290 transcript:OGLUM09G12290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MASPAPPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKEFIFSPAPGKMGYAAAVERFLKLVAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKPVHGGAAAGAGGSGGGGGGDHLHQHHHPRLHSPRISGGGSMTRRANSFKRGEIELQIRSPRGDGVGSPLAESSSSASEASAGGGALHYHHHQGQQQQLRFRLFKRPGSGAGEVVLGLGIRERRRIGNLLFLAFCGVCLLLGVAKIWAGGWFALPGDDKDADLQDLSISFTSDGGYRFDSHFGHVGGKESDRMLMTVGSEDSAPDVWSQPSSAKFRQCIISNSHKKEDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSFWADDSEFKDLFNWRHFIESLKEDIDIVEILPPAYKHIEPLAKAPISWSKVNYYRDEILPLLKKHKVIYFTHTDSRLANNGLPSYIQKLRCRVNYRSLKYSQTIEDLGATLVSRMHQDGSPYLALHLRQVGFEKDMLAFTGCSHSLTSEEEEELRKMRYEVSHWKEKEINGTERRSMGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMQALMDDFPNIYSHSTLATKEELEPFRNHQNMLAGLDYIVALQSDVFLYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLIDEYDEGRMSWDDFSSEVKRIHRDGERIGAPYLREPGEFPKLEESFFANPLPGCICEKLNDDSQSMPSEKAGTSEQLQSVYGK >OGLUM09G12290.4 pep chromosome:ALNU02000000:9:16361368:16372739:1 gene:OGLUM09G12290 transcript:OGLUM09G12290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MASPAPPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKDLLERLKRYGAAGVLSYGLLNTVYYVTTFLLVWFIFSPAPGKMGYAAAVERFLKLVAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKAFATIVGFCFALAALLFFGLTILWA >OGLUM09G12290.5 pep chromosome:ALNU02000000:9:16361368:16372739:1 gene:OGLUM09G12290 transcript:OGLUM09G12290.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G35570) TAIR;Acc:AT5G35570] MASPAPPPTARLRPSQAASSASSSSFPTSICGLGSLGDASRVSSVSFRRRPPASPLVRCSQDPGKIEVFNTEGTEQSQGGSTGSINHGKYSTRSFSSKEFIFSPAPGKMGYAAAVERFLKLVAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVRFNFKSEGKMR >OGLUM09G12300.1 pep chromosome:ALNU02000000:9:16380298:16383758:-1 gene:OGLUM09G12300 transcript:OGLUM09G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPPPPHRHKKCRTAEAAVPGGEEEEEAKDALISLPPDVLDGVLTRLGLRDAVRTSALSRAWRRRRARRWPPSTASSSAACPGRVRSFSAYVDKLTARRAHDWILVLARRGVESLDLASPIHNHLAVHSSVFSCDRLAYLNLFACDIPPLPPGFAGFPNLRSLTLDHVWLRAGGEYQLEEIIENSPLLEMLVLSGIFIDGDDIINWVIRAPNLQHLTICSPNDYGWNLLDLPRLRSAVIDLWDYLGGRDFAEFLGKLLHVRKLHLFVSYQPSNGAKILETLPCTFDSLKSLKLYMEFCELPPILTIFCLLRNAPNLEKLKIMITDNEQKVEANGVFQNAEWTGGMCANLQIVQITRISWLPNEMSFIELILSKASLLRTISVTHGDKCLMSNEDALSELLKYKRASPQAQILFKGKADGY >OGLUM09G12310.1 pep chromosome:ALNU02000000:9:16384661:16387110:1 gene:OGLUM09G12310 transcript:OGLUM09G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRGIPELPWELQDAILARLPLRDAARSSVLSSSWGRSWRHLGELDFVSSPPPAAVSLPVAAATAVACDKAAIDAILLHQHPGPVQRVRLRVTDELLPGVPAWMASLSEKGIQSLDLTVRAMYRPPPHPMHRSIFACRALRRLSLGRFALPAAPEHFAGFPALATLSVTGTAFRNARDLEALVAMSPRLEELRMCCIAVDVDCREHGGDGRRKVRMVSSSLRFLRIDGMGNVEFVGARLPRVSQADFAQASYPSAPNLLSAMATSLETLDYYYYALPLSPTKLLKGLPSSYKNLKRLKVHLDFNHAQPILSTLSFLRTAPNLTQLVIQDFTDDSYAQSPYPLAAELYGNLCPSLLFLQMSYVTSQNNEMDFIRLILSKARMLQDPR >OGLUM09G12320.1 pep chromosome:ALNU02000000:9:16392865:16400529:-1 gene:OGLUM09G12320 transcript:OGLUM09G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVPLGNVTQDSKQFAASSVGQLARIEGLVTLSCLLVVILVFSNSRRRHQSNGFLGFLVWAAFMFNYPVISYTIGLMQSSSVRNELFVVWACFLLLLLGSADAMTAFSFNDTQQHARSMMNQALHIIYLLFLILYYKAQLRMNLKVPLFILWSLSVARLVLRINAYRTTSRDNGLIRENQIVFEYMKHKLLDGSIVGSRGAARRRDLCLSFALFRLLRLRFGADHVGDLNFHSNNDLSRTLVVDRLLSDDRDLDRAFRVVEAELGFLFDFFYARYPSLKDNLVFDLILYLLTMVTSLFTLFSSVLLHYRPSTTAKVNIIIHSFNLDLFVTRLVVALYIFLESYQLLSLVLSDWHKVKLMCQYVLKVSWHRARVDTPLKVLCHFNVSRYWKNAINQYSLLDNSGYLYRVKLLLSTLTLQLLDPWIMASSIVLPPQVKQAVLCALKDALKPTNGKITDGRRWLHQNGILDRDLDYDLFSHKTYAPYILVWHIATSICCYGESKFDMAQADAELRYHYEVATVLSGYCSYLVAFAPDLIPDGTYTSQLLSCRVLKDAHAYLAECRTTSDKYDKLMKLGRDGWKEQEAGCPLLYEGAVLALNLVDRKKDAEERWKVLAHFWANLLLYIAPSDRASAHASKLATGGELLTIVWALLNHAGVVNKLQENNGCQPLDTLPRAPRTPIFHRQGTIVDSNEDDGDGDYPMYEIMQEDYSV >OGLUM09G12330.1 pep chromosome:ALNU02000000:9:16407141:16410187:-1 gene:OGLUM09G12330 transcript:OGLUM09G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVKWLMHWHPNPGATLNSQILAEACACAESLGGSKDGRWKTSIIFYRAMARDGASAAAAGGGGPPQQPPDVPRELLGVALHERPGLYFSILRAHRLVLQADSAFPQVMEKLQSYKARVTLNFEGFQYQLGDFCLRIGKCVPNNSETLRGIMMEVEYYPLSSIEKSRAVMEDFFDIWQETVAKKSLPGHFIHVESNFSEYGLSDHYSFQHTAVQYATCLQQLMAAVRPQ >OGLUM09G12340.1 pep chromosome:ALNU02000000:9:16412279:16413740:-1 gene:OGLUM09G12340 transcript:OGLUM09G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVAKLKEEVEKQRDLKETYMARLESTQAYLRFCLEVAQVHGFLHLVSSSNGGDDEPHRDAGDQEPATAAADDDDDDEDAAEAPPCDPYFAATRDLAVQHGWSVAPDEIELHEMIGRGSTADVYRATWRGLDVAVKWMRAEFFAAADQRSRGEAFFAQELDALSRQRHPHVLRLMAACLRPPASCFLVTELLTGATLAQWLHGGDGGGRSRERRRQPSSPPLVDRVSRALEIALAMRYLHEQTPAVVHRDLKPSNVLLDGDSRVRVADFGHARFLPDGTAALTGETGTYVYMAPEIIRCEPYTEKCDVYSFGIILNELVTGEHPYIDTGYGPSKIALEVADGKLRPKLAKRDVNSIVLNDIICGMWDAEPSKRPSFATITSALREIKQQLM >OGLUM09G12350.1 pep chromosome:ALNU02000000:9:16423341:16424360:1 gene:OGLUM09G12350 transcript:OGLUM09G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRQCHGKNAPPTLAPPRRARGGAGGSFSASLLDAIYRSLDEGGGGDGAGAVVDDARRSEAEEMKAAAAAAVPPQFWWAKSKQAAGAAGRSRRESVARPRHSGYASSTASSSDASSSSYSSFTCSSASTTDTESTTHRRRHSQPPPQQPEDVDAAAAAAAAAPPNSKPKKKKKKKSRPCFPGARLRPRGTVPPPPPSSSGPSPATFACVVKALFSSSRLPRKPKAPTAVPLPPASPPVPQPPCMSAAATTTSNTKASERRSVRFCPGAETSVVRRRVEELVRSLADVEEDEDGSDASSDLFELESLRGADGDELPVYGTTSLATNRAIILRREQLASS >OGLUM09G12360.1 pep chromosome:ALNU02000000:9:16433429:16437864:1 gene:OGLUM09G12360 transcript:OGLUM09G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSSSSNVPSGGAAGGGAGSGKLPMVPVGSIECLRKRCRQLRLLIHVNDHRKAVVVLHAGEDGKPDHVLVQNVSPEGEASVQSTYRIDVSGETPESQAEMLNDWYTSFRMDTTGVLYDSDQNVIYGVPRGHPGGDVPRSLAILPPAPKKNQHGKAPATESNSSLVEEPLLLVQTDQPAAIGKRKKFTFPDQRKRVKTMTKKDLESYFHITQKSAAHIGLSIGTTALKNLCRANDLPRWPYRQIASLDNKFNNNLKKQITGWNLGKAVQGVTKAFKLRKEKEEFYQKIMSSMPEQLQGIDEIVNSLPEADDDIDIEDDEDNDDVIEDNDDDNSDEN >OGLUM09G12370.1 pep chromosome:ALNU02000000:9:16438879:16439786:1 gene:OGLUM09G12370 transcript:OGLUM09G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVGSIECLRKRCRQLLVLIHVNDHRKTVVVLHAGEDGKLDHILVQNNSPDAEASVQSTYRINVSGKTPELQAGMLNDWYTSFRMDTTGLRPEWYQLIDQYLFLHKIIWVFSFILSMVINVYLSN >OGLUM09G12380.1 pep chromosome:ALNU02000000:9:16444934:16451249:-1 gene:OGLUM09G12380 transcript:OGLUM09G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid:diacylglycerol acyltransferase [Source:Projected from Arabidopsis thaliana (AT5G13640) TAIR;Acc:AT5G13640] MSLLRRRKQPQPPPEQPNEDSSNGSDLDEKGKKKPGSSSSSAAPPPEAAAAAAKEATKRTRARWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCAKHIKSVMNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPEVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGDLDWSSEDGFECKAKNQKINDSEVSKDANGKNEVHPEPVKYGRIVSFGKDVAEAPSSEIEQIEFRDAVKGNNIAHSNTSCRDIWTEYHELGWGGIKAVADYKVYTAGSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPEMEIFSMYGVGIPTERAYVYKLAPQAECYIPFQIDASAEGGDENSCLKGGVYLSNGDETVPVLSAGYMCAKGWRGKTRFNPSGSKTYVREYSHSPPSNLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEELGGDQVYSDIFKWSDKIKLKL >OGLUM09G12390.1 pep chromosome:ALNU02000000:9:16455150:16460651:1 gene:OGLUM09G12390 transcript:OGLUM09G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQIKDMALKASGAYRHCKPCAGSSSAAGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGGGGGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGGGRGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >OGLUM09G12390.2 pep chromosome:ALNU02000000:9:16455508:16460651:1 gene:OGLUM09G12390 transcript:OGLUM09G12390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQPGGGGGEPLHEPPEDEDAVDGGGGGGGATPSTRLAIKALTAQVGFSIKDMALKASGAYRHCKPCAGSSSAAGASRRHHPYHHRGGGGGFGDPDAASGSDRFHYAYRRATSSAASTPRFRGGGGGGGALSSGDATPSMSARSDFPIGDEEDEEEDDDDEMVSTGGGRGGKEEDAKEWVAQVEPGVLITFVSLPQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNHQAVPLPATPKSEDESSKEDSPVTPPLGKERLPRSFHRPLSGGGAVGSSSSDSLEHHSNHYCNGGHHHHGHQCYDSVGLVSTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHEQYL >OGLUM09G12400.1 pep chromosome:ALNU02000000:9:16462943:16464463:-1 gene:OGLUM09G12400 transcript:OGLUM09G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHAHAESRAVRPSTNLEGGEAIDRREAPPPPKEAAAEKVDGSPQPQPQAELRLRLPAEIDWDRLDKWRFFVLGAGLFSAVSTALYPAVVLKTRLQVAPPPAHAAASSLPPSAAAAATAILRSEGPLAFYRGFATSLAGTVPARALYMGALEATRLAVDLITLALGAPEPVASAAAGAAAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGLDAFRKIVAADGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKTIWSGIGCYLCEYGVGVQEIDAGEGDSSLQPGYKTVMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGGEPITVGRTVRRLIKEGGWGACYRGLGPRWASMSLSATTMITTYEFLKRLSAKGHESSLP >OGLUM09G12410.1 pep chromosome:ALNU02000000:9:16467383:16468330:-1 gene:OGLUM09G12410 transcript:OGLUM09G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGTPRSSIGHILPGAGFVAVGVWHLFNHVKLFSQRPDTYVAPAWFPVPGARYLELVLIIAGSGVEFAMEMFVGHSTLLPFAADGSIPSDRLHNHEHAIICLSLAVYAAAALHLDRARAPARGTLGLLLVAAVFAQELLVFHFHSTDHAGVEGQFHWLLQVVVAACLATSLLGVGYPRSFAVGLARSACVAFHGLWLAVIGAMVWVPSLVPRGCALVREDGRDTVRCRSKESLHRAKALANLQFGWYLSFMTVFVVALYLYVSNRYPAAEAAAYAPLRKAGDHDDDDGMDDDAHKGGGGGVHGFAALEIEV >OGLUM09G12420.1 pep chromosome:ALNU02000000:9:16485454:16486410:-1 gene:OGLUM09G12420 transcript:OGLUM09G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMVGHVAPGAGFILIGMWQLFNHIRLFALRPSSYAAPVWFPVRGVRHLELILVIVGAAISILMELVIGPARHQPFDDDGTIPSNHLHNFEHASISLALLVYAAVTIHMDRARAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTVIAVTLATTVLGIPCPRSFAVSLVRSASLVFQGVWFVVMGVMLWTPALIPKGCFLNLEEGHDVVRCRTDEALHRAKSLVNLQFSWYLTATVVFVVVFYLHLTKLYPEEPRYLPLVKGGGGGGDGDSDGGRFSIGDDEDDLEAAKGGFGHVAGGGNAVEIER >OGLUM09G12430.1 pep chromosome:ALNU02000000:9:16491022:16492872:-1 gene:OGLUM09G12430 transcript:OGLUM09G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIWASGYQNRAAFKLLQLDAWFRFLPTARAVLDLCAAPGGWVQVAVNHVPVGAFVVGVDLVPIRGAHSLTEDITTTKCHAAVRRLMDSNGVAAFDVVLHDGSPNVGGAWVQEATVQLSLIHNSLPPLYT >OGLUM09G12440.1 pep chromosome:ALNU02000000:9:16503118:16504074:1 gene:OGLUM09G12440 transcript:OGLUM09G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHDAKHVGDVPAAAGIESFSQLPFVRPRPAAMAGSSPASSIRLFGFELPPDGVVSAASSDVVTAASTTAAAAAPGQVTASGLGGGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQFQSAMAMHAHYPAYPAYASYYGSHRFGPLPPHMAPPPPPYPSWSNHHHLPPGGPAPMVAARYYGPAPPGSVSHPINGSPVVPAAAALWRVPAAAIAVAAAAAPLARQERQPPLSLAGGREEEDAMVEVRRGNGVGGAAAAVVQLQPGSRLSRSSSSSSSASSSSQHHHERRLLGDLAEINRENVSLDLTL >OGLUM09G12450.1 pep chromosome:ALNU02000000:9:16511704:16512123:1 gene:OGLUM09G12450 transcript:OGLUM09G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSYSNGGSPAAAAGYVQAPELPLHLCFFLVVLLVFLGFSWYTSYGSAAERFADQARLLLMASPLALLLAVRLLSGGGDGERRGVDQLRQLSLPMPERDSIHRAGGSPWGVGVLLALLVVMVSYQSNFRDRWFPLVSR >OGLUM09G12460.1 pep chromosome:ALNU02000000:9:16515613:16518811:1 gene:OGLUM09G12460 transcript:OGLUM09G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSVAVSGGGGGHDAGAAAAAATPSVSLSPNHSVADSFDGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERSSRARRLHTNAASPRGAAAWSSVPFCHPSTFDTLALDPELKARLLADLTAFADGSEFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRKSRRNKRRRLLHATAASDDDSSDSDSDGGDNHRSKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLGACGAHAMRELVGRYVGVEDHEMLDAAECCVRGSAEMTPAEVGEVLLRSRDDPDAAVTELAVELKARQSAAADELQWEDSAAELSDESPRKKGLGWEGKLQATSLFCMATMGGGDVCTMADERDDGTGTAASMAATRLAELCAMIDDHAAAGTMSEKRVATICAMIEECNDDVEEASRRRSSRRRSGRWRRRVGGTSSTRCYRQIGRISSGGFGVVVKAEHRDTGQTVAMKTLFRRRRSADDDAADLLREASFMAACRGNPYLVGLHGVARNPRTKQYSLVMEYVGPSLSAALAEHVERHGGEGYAEATVRRIMRQLLTGAAAMHERRIIHRDIKANNILVGGDGDVVKICDFGLAMSTAEAAAPYRRVGTDGYMAPEVLLGMPDYDGRVDTWSLGCVMAKLLSGEAPFRGEGTSDQLYQIFDMLGVPGNKTREAFKSKSELLAYEVRRWRALRRPQPEQEAHGWLPELFPEKLLSRDGFDVLRGLLTFDPGERLTAAAALRHRWFAGADADESGVAALLRNTASIVAGAVISAGAFVGTWMIPWCDCRTAGTEA >OGLUM09G12470.1 pep chromosome:ALNU02000000:9:16520114:16521825:-1 gene:OGLUM09G12470 transcript:OGLUM09G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGSERAHAAFASPSPVSGAFFSASGSILSSSSAAVTTTTSAGRTPSSSSGAPTKKKKKPSFRPVADDTKPVLRDPISRSDPVETEQAMLLPGFKNQVST >OGLUM09G12480.1 pep chromosome:ALNU02000000:9:16521458:16521958:1 gene:OGLUM09G12480 transcript:OGLUM09G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARPARSPPGPTEISAEITTPNRLMGRNQITPWVLRWGGERTGSRRTGLVSSATGRNDGFFFFFVGAPEEEDGVLPALVVVVTAAEEEERIEPEAEKKAPDTGEGLAKAAWALSLPADMAATGQRGFTPVWKVSVFFPFLQSLLLPLLHLNSQFEDINMKVF >OGLUM09G12490.1 pep chromosome:ALNU02000000:9:16523391:16527269:1 gene:OGLUM09G12490 transcript:OGLUM09G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:spindle pole body component 98 [Source:Projected from Arabidopsis thaliana (AT5G06680) TAIR;Acc:AT5G06680] MDDHQTQDLVKELVHRLISAESGGGGGGGGRDAGGALRFAHRLLSSRLAPAVLPDEHALAESVKRRLAASGRPDDALAFADLHAKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRAAGAGAAAAAAAGGKPASRAPGAPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRFDKGSDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSLNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPTFQDFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWQEGYLLQSDMLPAFISPVLAQRILRTGKSINFLKVCCDDNGWADAATEAAVCVGTTTSRGGLGYGQIDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFHLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKRYLKIFNFLWKLKRVDHSLTGIWKTMKPNCIVSSPFYKEGTNIRSQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSEEMDSAKDLDDLLLAHDKYLTSIVEKSLLGERSHGILRNLFALFDIILQFRSHADRWFERIYELQLRGKGKPKSKAKAKSKEVDSWVDGGRKAMIQLAGELFRKMGEDLDSIAKDYTSSLDAFIAQLPMQQHVDLKFLLFRLDFTEYYSRVSSNK >OGLUM09G12500.1 pep chromosome:ALNU02000000:9:16530453:16531210:1 gene:OGLUM09G12500 transcript:OGLUM09G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSSELPPPPPTNAATSSSAMAVPYFCRKHVALATSRSSTVWTVAKQTGLRSVTVGSDTAVACAVCTDDLPPAATACRLPCGHLYHADCFVQWLSRRNSCPVCRRRVPLFPDHGAAYTDEDEEEIAPSPPPPHGPETTATDDHRRRSLPGASWIGRICRRLLGYTETSHPRQLNRCSGNTTQQW >OGLUM09G12510.1 pep chromosome:ALNU02000000:9:16538733:16543120:1 gene:OGLUM09G12510 transcript:OGLUM09G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSPPPYPPPPPPPPFPDLETWDYFFGQYDHPSSPSSSATAMPHLPLSRVSSSSSFVSTELELPPPPPPPPPTLPAQPLLRRRVASPSSSSFSTELLPPPPPLLRREPSSSSSSSSSSFSTELLLLPPPPPPPPFLSSDYFISSDPDTPPHAGGPPTSSLHDSSFVSGPSSSRPALATVITVTVSDDAAAAAACAVCTDALQLASPASRLPCGHLYHAHCIAQWLSLRNTCPVCRRSVPMRTEETAPPWSPPTETDQEAVPSPPPTTTTATDHRRRSLPGERRIRRICRRLVIPQPPYPSAGQWHPVLMLSAGRTIDPRNNHHRLT >OGLUM09G12520.1 pep chromosome:ALNU02000000:9:16539155:16540746:-1 gene:OGLUM09G12520 transcript:OGLUM09G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVQQQQQQQHYATFNNNQINRLVVVGGGDGTASWSVSVGGDHGGAVSSVRIGTLRRQTGQVFRSDSHCAMQWAWYRWPHGSLDAGDASWRASVQTAQAAAAAASSETVTVITVASAGRDDDGPDTKEESWREDVGGPPACGGVSGSEEMK >OGLUM09G12530.1 pep chromosome:ALNU02000000:9:16543492:16543752:1 gene:OGLUM09G12530 transcript:OGLUM09G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASSHEALVKMWVFVLVQALVYLILAQSSGVFSRAKSLQGEGAPRRPARSVSAVRRMLAALSDMPAGGEPSPVVAVVDGRKKD >OGLUM09G12540.1 pep chromosome:ALNU02000000:9:16544564:16549013:1 gene:OGLUM09G12540 transcript:OGLUM09G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSVPPTNYPKSLSSIIKCHRRRAAINNCHPVVSHPPLSHSNHHHRSLRVRSSAAVAPPLSPPLVVPMTTPKPSPTIRRLDVASPVPADIDIANAVEPLPIADIAAELGLRPEHFDLYGKYKAKVLLSVLDELKGQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHEASQSDKALFNRLCPPNKEGKRRFADVMLRRLIKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDIAVASEIMAVLALTTSLADMRERLGRMVIGNSKAGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGYVVTEAGFGSDIGTEKFMDIKCRYSGLMPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHIANTKSYGVNVVVAINKFASDTEAEMDVVRNASLAAGAFDAVVCTHHAHGGKGAVDLGLAVQRACESQADPLKFLYPLESGIKEKIESIAKFYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPSGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDVDTATGKVMGLS >OGLUM09G12550.1 pep chromosome:ALNU02000000:9:16549957:16550688:1 gene:OGLUM09G12550 transcript:OGLUM09G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSEQSVHAAAHDIALIERHQPWEMLDGMALSIIDDAYAAALGIVGRGEEGYHGGVVEISAADPGSPVLTVHASRAHCLVALNDSPRGGGDSLVCAYRRYTSPKQKWRPRHRLGRASVSVSPGTLYLSRDAAAAGGGVLAILDMIVARLGAAIGLEEALLAMARTSSYEGPKVDEILRVRNALDEIRSEMDLPALMRRLLHKRRGVTEITTCRPAAAAGAAATEQDQADETERLMKKMRLKC >OGLUM09G12560.1 pep chromosome:ALNU02000000:9:16551448:16551750:-1 gene:OGLUM09G12560 transcript:OGLUM09G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEFFPTQWKPRLSSIIISDVRSCFDLYISSYASGLAEEFELFHGDIGEPCGLRRFVVAVTLDRLLHLRFSLLKRGSERSEEVACSLTAQKYGCKTNEI >OGLUM09G12570.1 pep chromosome:ALNU02000000:9:16564430:16565803:-1 gene:OGLUM09G12570 transcript:OGLUM09G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGEAMADAGRELVLGLGMGRREEAAEAGRRDHEVRRELEFGSMSSRCGGSSPEPTVRLTLLPMVPGLGLPWPPPPPPSSESSECGDGHLEASTRGFDVNRPPSSGGGGGGGAEEEQDDAAGAALSSSPNNSAGSFPMDDFSGHGLGGNDAAPGGGGGDRSCSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSAPATASSAATSSTAAPPAAPSSGGIAATSSSSAAAAAAPDHRPSSFAALFSSPRGFPLSVAPQAQPPTSS >OGLUM09G12580.1 pep chromosome:ALNU02000000:9:16616979:16623429:1 gene:OGLUM09G12580 transcript:OGLUM09G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNQGKRKVRDFDLNKEPSPDPVPVNAGKEAEGKNVIKQADNALVEKSNVHFVDTETYVQYIVKLDNKFDSNLMKKILSVIEVFELCKKKEQTYQKITTNMLEQMQGREKCCMTLGSWEEYNVDLDMETDDEEDGV >OGLUM09G12590.1 pep chromosome:ALNU02000000:9:16623605:16626107:-1 gene:OGLUM09G12590 transcript:OGLUM09G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTILWLLYVSLASCLLYKVFVSTKNGHPKIAARRPPGPTPVLLLGNVFDLRGELHLALARLAEEHGPVMSLKLGTATAVVASSAAAARDALQRYDHVLAARAVCDAARALGTHERSIVWLPGSSALWKRLRAVCTNHLFSARGLDATRAVREAKVRELVEHLRGHAAGAGEEEAAAVDVGRVVFSAVINLVSNVLFSEDVADLSSDRAQELEMLVRDTVEEATKPNLSDLFPVLAALDLQGRRRRTAVHIRKFHDFFDEIISRRQNAGGEGERKEDFLDVLLQLHSADQLSLDTIKTFLGDLFTAGTDTNSITVEWAMAELLRHPAAMSRARAELRDSLGAKPHPDESDIGRLPYLSAVVMETMRLHPPSPLLMPHEAVADGAAVGGYAVPRGTKVIVNVWSIMRDPASWPRPEEFEPERFVAAGGSFRSGEMLEFMPFGAGRRACPGTPMATRVVTLVLASLLHAFEWRLPGGMRPCDVDVRGRFGTSLNMVTPLKAVPVPVPAHP >OGLUM09G12600.1 pep chromosome:ALNU02000000:9:16631483:16633433:-1 gene:OGLUM09G12600 transcript:OGLUM09G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTISPVFLISLLGVPLLYLLWSKASKSPSGAPAAPPPPPGPTPFPVIGNIPDLLRGGELHRALTGLAASYGPVMSLRLGMASTVVLSSPDVAHEALHKKDGAISSRWVPDNANVLGHQDVSMAWLPSSSPLWKHMRTLASTLLFTSRRLGASRGIRERKARELVDYLGARSGRPVRVGLAVFGSVLNFMSNVFFSEDVVELGSETGQEFQQLIADSVAETAKPNISDFFPFLSALDLSRRRRAAAKNLKKFYDFFDDVIDRRLSSGEKPGDLLDSLLELHANHTTTTTVEWAMAELLRNPSKMAKARAELGEAFGRGAVEEGELARLPYLNAVIKETLRLHPPAPLLLPHRVSSDSEPAGGVTLGGYSVPSGARVLINAWAIGRDPAAWSPEPDAFSPERFLGREADYWGRTLEFIPFGSGRRACPGIPLAVAVVPMVVAAMVHSLEWRLPEGMAPGDVDVGDRFGAVLELATPLWAVPVKV >OGLUM09G12610.1 pep chromosome:ALNU02000000:9:16646008:16647768:-1 gene:OGLUM09G12610 transcript:OGLUM09G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFVCEPYSGGPKRKGKKKSQSRLLFEQRNHARDPPPSLSQATLAGGIHFHRRRSTAVSGILVMEMMKRCSDIQVSSNRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGIRKWIRSVHCDGLLLISTRKHKMMICNPSTREIVSPPEGSHSLCGGMGLGFGFDPHSNKYKVARAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTRSCERSHLLEVGHWNWRFGPVVLGKTLNGLYAVLRKLLLASNKVYRYDIQTCKLEKIASTFEDFTC >OGLUM09G12610.2 pep chromosome:ALNU02000000:9:16646008:16647768:-1 gene:OGLUM09G12610 transcript:OGLUM09G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRFVCEPYSGGPKRKGKKKSQSRLLFEQRNHARDPPPSLSQATLAGGIHFHRRRSTAVSGILVMEMMKRCSDIQVSSNRPRKAMRIAINSWSTILLRYIPEDVLFKILSWLPSKSLIRFRSVCKAWHATISSSRFVNAHLECSKQRPSLLVIPGSFEMKKNGENIAFLMSLYKYQDPNIMHLQDFPRGIRKWIRSVHCDGLLLISTRKHKMMICNPSTREIVSPPEGSHSLCGGMGLGFGFDPHSNKYKVARAFYQRDYPTTRQVCKFEVLTLGTDAWRQTEDPPYPIDRLTRSCERSHLLEVGHWNWRFGPVVLGKTLNGLYAVLRKLLLASNKVYRYDIQTCKLEKIASTFEDFTC >OGLUM09G12620.1 pep chromosome:ALNU02000000:9:16650980:16651353:-1 gene:OGLUM09G12620 transcript:OGLUM09G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAAGVVLLVCGASLCCSALAAAKKLLSGRVSFEELCDLFDGEWVWEACPFLKVGFCCSKNGRPDDSIALAPLPLRSPQVGCFLFFDQFLLLPLIYYHACINSIVGLGWLSLEV >OGLUM09G12630.1 pep chromosome:ALNU02000000:9:16651725:16655694:-1 gene:OGLUM09G12630 transcript:OGLUM09G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPAEGKPAWTDERSAAGRRQAARDKGKEEPVTLPPLPPAAVLGSSPAARTRLRPRRRPSSAPHRRLRPPPRPPSALGRILRTRNSPMPAFMGCESVEIEVGFSTAPAENYGGGGGGLQASVEQATSEAWNLRASVIEAHDLRVPAPSPGLPFDVRVKIKIGFQSARTQRSVASTSSGSAFAWEWEEDLMFVVSEPLDESLIVLVKDRSMIKEPARRGARPTSALLPAKEAAHVCSEYRPTAKQQWKPPVGVLELGIIGACGLLSTKTKGGAKYSTDAYCVAKYGKKWVRKRTVTDSPTASTRGGTSSARGRCTTRARCSRWRVFADDRDERQDYRIRKVRSYETALTGVQIHGVMHYLRPIGVAQQETLRAATVRLVAARMERSETPLGREVVRHMLDVDAHTWSVRRAKANWFRILGVLTWAVGLARWRSSSTTVLVHVLYQSTSWSCPRRRCTPVRLPHRHMVVQVPVA >OGLUM09G12640.1 pep chromosome:ALNU02000000:9:16655749:16656372:-1 gene:OGLUM09G12640 transcript:OGLUM09G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAASARRRRRRRRRRRRGGREASGGGGGSECAEEAAEEARGRRGERWGRRPRARGGGSGAAAARRGGGGGGEAAERRQGERRGEASGGGGGGEAAAARQGGAGAGASGRWSLSLPGCRPATASATVVRGSA >OGLUM09G12650.1 pep chromosome:ALNU02000000:9:16657919:16659934:-1 gene:OGLUM09G12650 transcript:OGLUM09G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPSPPPPSPPPAPAPPSSRWDTPVPQWVILWRVPRVYPADVLSKGSEFSLALAAPPRISSLSLAAPPHLSSAYLTAAPPQISAHGRFLSGDLGPFAPFVLAADASGVILVHAYSARVLFDHSGSGSNSGSGSGGPFVAGDKGGSRIGPCLYVIDTFSAAADFLPDRNTNPGLTNFALVVHNHEGVVARSYSVMELVLEADSNKAQLWEFSSASGVCAEGEEELSMTLLPDGLHAEEVETLSSVCVSEGKITYVAVSGHPGGLPSEGNVLVWILVDPKHSQWKLRTVTPMSVIWDTICHALGLQRGAPPVISVLDRQDASVLYFFMQQHLVGFHLTRRLCTSVILCNCNCNRTREEQEDKEQHIVYAGITTAAEAALELFEARMDSIQDGPPAHVVAPPVHVVVCQVKNADSGSGPPSNTED >OGLUM09G12660.1 pep chromosome:ALNU02000000:9:16660695:16661411:1 gene:OGLUM09G12660 transcript:OGLUM09G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGQCKNQIGAKLLRLGNRHVAAARHDNLSSPDAGHEGTRRSRRRTAKSPPDVVEPGSETRQEFQELIANSVAETGVSDFFWFVSALDLSSRRCAATRNLSRFYDFSTVSLIGGWAAYSQIFRLPRFNPDNFVFHQSVAGGINWAV >OGLUM09G12670.1 pep chromosome:ALNU02000000:9:16672696:16674566:-1 gene:OGLUM09G12670 transcript:OGLUM09G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWITLTYGAYVGPMLTQPPRMTKPASKPPEAAIPFPGSQARNPLAVRLRRSYERALPAVANHHRRRGRRRRGPRPRRRPRNNLVTTRPRKVMRIVINSWSRFMPPYIPDDVMFNILSWLPSKSLIRFKSVCKAWHAMISSPCFTDAHLECSKRNPSILMVPGAYEKQEDGENIAFMMVLYKYHGGKTMELVHMQNFPLGIGVWTRPVHCNGLLLIPTMNLEMMICNPSTRQIVFLPKVSGNICTGTRAGFGFDPHSNKYKVARSFYQRDSETQELVCKFEVLTLGTNAWRQTEDPPYPIDALTPVHVKGAIYWIVCSSLCPDPPNAFLRFCLTDEKFSLFPCPPSNVKSVRFTEVEGELCCACFFSETLALEIWNCSGGQNLEWTRRYVIQIPPDVVMKYPVERPPLIVFREKMLLLAFKKVYRYDIETCTIVELASKVSDFTCYEPYLEKEARDLHLFNYAESLVPIREF >OGLUM09G12680.1 pep chromosome:ALNU02000000:9:16677641:16682998:1 gene:OGLUM09G12680 transcript:OGLUM09G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3N4] MDLEAGSIRPRSDGEGGGPAAGRETDDSNVWKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLSSPTEADYLGIYSIMFWTLTLIGVVKYVCIALNADDHGEGGTFAMYSLLCRHADIGILPSKRVYAEEDPLLHSQSAIARRPSRLGKFFEQSITARRVLLFVAVLGMCMLIGDGILTPAISVLSAIDGIRGPFPTVSKPVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAAWTFTTPIIGLYSIVHYYPGIFKAISPYYIVHFFLRNKRQGWQLLGGTVLCITGAEAMFADLGHFSKKAIQIAFLSSIYPSLVLTYAGQTAYLINNVNDFGDGFYKFVPRPVYWPMFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPEINYILMVLCVGVILGFGGGKAIGNAFGVVVIMVMLITTVLLTLVMIIIWRTPLVLAGLYFVPFFIMEGAYVSAVFTKIPEGGWLPFAVSITLAMIMFGWYYGRQRKFEYEMTNKVSLEHLGELLARPEVQRVPGLCFFYSNIQDGLTPILSHYIKNMSSLHTVTIFVTLRSLLVAKVDQSERILINRLGPNGVYGCTVQYGYADNLSLEGGDDLAAQVTSCLQWHIQMDTDGRRSPEEEMAQLEAARLAGVVHVRGKMRFYVGEDAGWFDKIMLGFYEFLHGICRSALPVLGMPLQQRVEIGMLYKV >OGLUM09G12690.1 pep chromosome:ALNU02000000:9:16689180:16690948:1 gene:OGLUM09G12690 transcript:OGLUM09G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAQERELQLQGVSWPFHAMEAARSSSWDATTSSGSSSGASGGGGGDCFLLGWEPPFAAGCLGVLAADVHGLFPLCTCPARFLYLCSSLRIGELIRAPAWSADMESPPAPPQQDAVALPEELDDLLLNFWDASSDQQQQQQQVAFNSSCILQEKTSSTTATATTTNSNSNFFYDDDDLLGSIFSTGPTLPEKGVAEPLLSSSSSNCQADPQVSEVSGAQPQATPAAPGVARAPPRCSSSSSLKRAAPAEDAAAEAEYCSQSSSKRRREAETPTPEKSAAAAAPACRVLCPFAVLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSADKPGLSGKAVAGFTRLHTPGRGTITIIRTRG >OGLUM09G12700.1 pep chromosome:ALNU02000000:9:16716916:16720767:1 gene:OGLUM09G12700 transcript:OGLUM09G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAKPFPFLAAAASRSPLPLSPAARPAPASSRFGDRRAPAVVAATARRRGAHGVRALRPLLLPRASASPSAKTAAGGMSDPELRMVLELATDEELMEFEEILYGTSYEPQMAKPKPANLSKIKSYFSPLLKSIAKRPNSDYVDALDDIEERDIFISKLESRFLYLAADARSIIRGSRPSYRNVLLGVRRELGVRCSSKLCTADLEAEIFLHLLDEYSSRQKGPDLFPWNKQKSPKDNSSLGVNKWMVLTDSAWKIGAKGLESAFLKGGSALTLKMIYESLAKRLSGKLLMEAGKYEIKKELLKQGGRLAAVNLESRAGLLAARQGLARAASRYVGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYTFAQNIFG >OGLUM09G12710.1 pep chromosome:ALNU02000000:9:16722253:16724671:1 gene:OGLUM09G12710 transcript:OGLUM09G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G22260) TAIR;Acc:AT5G22260] MAPKMVISLGSSRRRKRGEMLFRFEAFCQPGYPANFAGAGGFRDNVRTLLGFAHLEAGVHGETKCWSFQLELHRHPPTVVRLFVVEEEVAASPHRQCHLCRHIGWGRHLICSKRYHFLLPRRESAAEADGLCFAINHGGGGGAEKASSKGTTTTTTATASSRGHLLHGVVHLNGYGHLVALHGLEGGSDFVSGHQIMDLWDRICSALHVRTVSLVDTARKGHMELRLLHGVAYGETWFGRWGYRYGRPSYGVALPSYRQSLHALGSMPLCVLVPHLSCFSQELPMVVTKYQAISGHKLLSLGDLLRFMLELRARLPATSVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRAEPAARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRTMNPVTKVLEYCLEDVSSVLPAVAAGGGVPAQGKMRVRFQLTRAQLMRDLVHLYRHVLKEPSQALTGGAFGAIPVAVRMVLDIKHFVKDYHEGQAAASSNGGGGFGHPHINLCCTLLVSNGSPELAPPYETVTLPAHATVGELKWEAQRVFSEMYLGLRSFAADSVVGVGADQEGLPVLGLVDVGSAVVVQGSVSEQINGEDHERKEEAAAAAVCEGSGGGERVVDCACGAVDDDGERMACCDICEAWQHTRCAGIADTEDAPHVFLCSRCDNDVVSFPSFNC >OGLUM09G12720.1 pep chromosome:ALNU02000000:9:16748471:16752454:1 gene:OGLUM09G12720 transcript:OGLUM09G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSCAPTTAGPPPDEATTPEPFRSLQIATASAGSAKKKRRPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPTCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNASRGQAAAVAEGGNVSTAACGGVAVLEQEKQLDLQAPAAASLSRTASSTSPSSDVVASPVAWPGAGAPAMPSPKAVAFRGRFDMAPSPPPPSYDHYRGGAGAHNLELQLMPPFNAGGAAAAPGGMGACFYAAAHHPTGVSQGNDASTQLQLSIGRGEVMGAAGTSDEASAAATAKEQAREQLRQAMAEKEAAGEARAQARRQVELAEQELATARRMRHQAQVELNRAHALRDHAVRQVNATLLQITCFSCRHKFRAAAAGAPLPAAMSSDVACSYVSSVVTEGGDADEPLDVVDATRRRLQHANSMGIM >OGLUM09G12730.1 pep chromosome:ALNU02000000:9:16755597:16763509:1 gene:OGLUM09G12730 transcript:OGLUM09G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADARAARVGRVPFELADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWRSTMTRAAARRRGAVDRYLAIRVRDRITIRSKAQLKRCDEDKNFLKVAYHKLETTPCHAQGRTSLVGRAAAGEDLAGQSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEIKSDWHACLDSNFKCCSCSNMQLHKHIKSQRYNWCWPSRKESLRIGP >OGLUM09G12730.2 pep chromosome:ALNU02000000:9:16755597:16763509:1 gene:OGLUM09G12730 transcript:OGLUM09G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADARAARVGRVPFELADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWRSTMTRAAARRRGAVDRYLAIRVRDRITIRSKAQLKRCDEDKNFLKVAYHKLETTPCHAQGRTSLVGRAAAGEDLAGQSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEIKSDWHACLDSNFKQYAVTQTHQIPAL >OGLUM09G12730.3 pep chromosome:ALNU02000000:9:16755597:16764750:1 gene:OGLUM09G12730 transcript:OGLUM09G12730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADARAARVGRVPFELADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWRSTMTRAAARRRGAVDRYLAIRVRDRITIRSKAQLKRCDEDKNFLKVAYHKLETTPCHAQGRTSLVGRAAAGEDLAGQSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAAVKRCAEVPISARPGKMMRIAIKSWSRFLPPYIPDDVIFDILLRLPSKSLIRFKSVCKAWHAIISNPCFISAHLECSKQKPSIFMVPGVYEKQNNGENTSFLMGLYQYQGGNIMEQIHVQDFPQGIGTWSRPIHCNGMLLISTMNHEMIVCNPSTREIVSLPKGSYNLHAGPRAGFGFDPHSNKYKVARFFYQRDDDTSELVCKFEVLTLGTNLWRQTEDPPYPISGLTPVHVKGAIYWMVNTPLCPDPPNAFLRFCLTNEKFSLLQYPPCNLKPTRFIEVEGELCCACFCSQVSALKIWTCNYAQNPEWTQRCTVQIPPDIVVNNPVARPPIVFLHGKKLLLTWNQVYQYDIQTCRMEKIASGVEDFTCYDPRNNKYWAYLEKEVTDMHLFNYAENVEPCISGPKSPHDRVPLKEIKSDWHACLDSNFKVTKDNLCIIKFKM >OGLUM09G12730.4 pep chromosome:ALNU02000000:9:16755597:16763509:1 gene:OGLUM09G12730 transcript:OGLUM09G12730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRQWWRRVAAAVKDRRSVLLARGDPRDEPRRAVGGLPERRAGVPVGADLAVAGAAHRVGARAARTADARAARVGRVPFELADFRDRSAPRGKSPAFSAFVRAYFRFLNYRSLLAAEEDIAGDGDDHCVARLERITKLQFLLELLLQIRPYCDGMEVPLVLEAMDCALIEILQVYGEICTGVARPRQTKSTAAAGIKVLRKAAEKSAQLSSYFELCRSLGVVNVRELEVRRQRRRRRSGWRSTMTRAAARRRGAVDRYLAIRVRDRITIRSKAQLKRCDEDKNFLKVAYHKLETTPCHAQGRTSLVGRAAAGEDLAGQSGNARGRTSSIRRQWPWIMRSWVALESSMLNVEASDMGAPVEGSSSTLLELGIPEELGIGGHRLLNQKASGCTAWRDTRGRSAVGDPQSPELKVEAANTAVRAADVEPCISGPKSPHDRVPLKEIKSDWHACLDSNFKQYAVTQTHQIPAL >OGLUM09G12740.1 pep chromosome:ALNU02000000:9:16767362:16773310:-1 gene:OGLUM09G12740 transcript:OGLUM09G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIGPNSPFSSSISLGPSRPNHPTEPSPSSPVGAQGAHVAAAAVRGFAAAAATLSQSAADVNRHLTLLTPATAPNRLHQPIGERSRRRNSLLHAAAAVSPLAFSSETAISNRHPPPINSRRDRPPLLTLSELEALPLSLSCQVRPTPPSSSTRTLPPHPDSRRQRYGATRARIGRQHEGNWQGRAAEGDNSNLLALYYF >OGLUM09G12740.2 pep chromosome:ALNU02000000:9:16771927:16773310:-1 gene:OGLUM09G12740 transcript:OGLUM09G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVVGASLFPRPPQRAAQLALGLCVILAALPPLTVIGVILPPH >OGLUM09G12740.3 pep chromosome:ALNU02000000:9:16766561:16769662:-1 gene:OGLUM09G12740 transcript:OGLUM09G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MERQERGSADNMRAIGKVELPRGLQPQGCRDGVLRAERMRLGSTVKARGYAVVLLEKAEATGRWASAVVEATSGHGVNDGVFYHVRWI >OGLUM09G12740.4 pep chromosome:ALNU02000000:9:16766561:16768479:-1 gene:OGLUM09G12740 transcript:OGLUM09G12740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSTKTHPIKVFHIRKFDFENTMQTLQPQGCRDGVLRAERMRLGSTVKARGYAVVLLEKAEATGRWASAVVEATSGHGVNDGVFYHVRWI >OGLUM09G12750.1 pep chromosome:ALNU02000000:9:16776043:16778904:1 gene:OGLUM09G12750 transcript:OGLUM09G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGEKERSAEPSMEVRNESDKVRWGEPPKEGRGEKERSAEGIPAATSPRLSWMAMPAIARVVDDNSPLLMANSDVSVQFAEPPRASILNVARRIHPDGFHPSRPYLPFILNIQSDHLLLYTTNGGYAGGGIYLCDAYTGVAIRLPPSPERPINPRRCVGLIEDPRHRGHFLIAQLHPTSTTQHSTFVSYSTGTSTWEIKRLSSSPHHQGCNGGVLAHNGRLWWADPPYGLLTLDPFAAGEFHLRHVALPEGHGARMDNSDDKHRCVKVSEGKLRYVEIDGFPDTPAVTMTTLIDLDGAVWNMDYRVGLDEIWADDGYKQAGLTPGKVPAIALVDPNNHGILHFLLDGMIFAVNMCASATKSRVLHFEKFLVGAGVDSLEKYQNSRFVFAWKLLQPLPLRLRSEMDEHQSGNFKIQDIKNCTAVTSAEAARQFFTTQLEDIRMKKGTRKEKGTRQKNDAAAAKEERAIEVGASSSVEAVAAQVQAAEETKAIPQGLLAHDAKE >OGLUM09G12760.1 pep chromosome:ALNU02000000:9:16781582:16789073:1 gene:OGLUM09G12760 transcript:OGLUM09G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGLLTKALVEVIEGSTPLPRRNPAAVRGLMRPPLLMVVVVVVSTAHRGTLMRFSLCRWLMLELLQVAKAQPCVLGRFTPASRRKCVAARGHGRQELRAGQYQLDDDEPLWLAVVRDITWGLRSFLAFLAEQPRQLKHLEWPGFRNTLRTATLTLILVAVFIVALSSVDAALCYILS >OGLUM09G12770.1 pep chromosome:ALNU02000000:9:16785805:16790664:-1 gene:OGLUM09G12770 transcript:OGLUM09G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKENSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLDGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGQFIGLIAK >OGLUM09G12770.2 pep chromosome:ALNU02000000:9:16786001:16790664:-1 gene:OGLUM09G12770 transcript:OGLUM09G12770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGGLGMEATNCGALLRELQQIWAEVGESEGEKNKVLSEIERECLEVYRRKVDDANRTRVQLHQSVATKEAEVASLVATLGEHKLYLKKDKSVVPLKEQLAAVVPVLENLKGKKEERLKQFSDIQSQIEKIRSELSEYSDGDDKENSLIVDENDLSTRKLNNYQAQLHALQKEKSDRLHKVLEYVNEVHCLCGVLGIDFGKTVNGIHPSLHQNGLEQSTNISNSTLEGLANTISNLKAEQRSRIDKMRETMESLCKLWKLMDSPQEERRQFNRVLSVLISSEEEILSPGVLSQETIEKMGAEVERLTKLKARRLKEIFMKKRSELEEICRSAHIEPDASTAPEQTNEMIDSGMIDPSELLAKLESQILKAKEESLSRKDIMDRINKWISACDEEAWLEEYNQDSKRYSAGRGAHINLRRAEKARILVTKIPAMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLNREQKEEEKRRYRDQKKLESILLKEKEAIFGSKGSPKRAVSLNRRTNGYRSSGTTSGLMTPNPRRSSLDGATPELLTPRSCSGHYNRYFSDSRRLSATQLNFGDDSLSTFTSISGSEPESPSLG >OGLUM09G12780.1 pep chromosome:ALNU02000000:9:16791036:16798070:1 gene:OGLUM09G12780 transcript:OGLUM09G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDERAWEFRSFLLPIGWLERVAPYTPLRHIRKLAGINNDQITNHAARQNPPTGSVTSAVRVTTHASLASSSLHSTPLLQASSRPRRCIRGEPKPRATQPDPARESPRLAVLQPIADSPIGRHGSRKPASAGAARARAAGPSRNSCFPPRPSRSAIVVPAVLVQVECEAPIDKRLTEASQAINKALEALMDKRLTDASQANNKALDAVVVAAPPAKKSEIERAM >OGLUM09G12790.1 pep chromosome:ALNU02000000:9:16798080:16800582:1 gene:OGLUM09G12790 transcript:OGLUM09G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAFAALGMAEGDEKKLATASLAYKNIANAVLTATPAEKFKVMEESFKVAARQATAKSFEFFLNITMAKVVALLLAAIAISAVLVQVECDAPVEKSFNKALLAPVDKRLDEATQAINEAADSVVAAAPPAKKAEIEDATWKHRMYSLRFRL >OGLUM09G12800.1 pep chromosome:ALNU02000000:9:16803116:16804574:1 gene:OGLUM09G12800 transcript:OGLUM09G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3Q3] MGKTWALISHLHAFAGPTLTLIYPLYASICAMESTSKVDDEQWLAYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVRG >OGLUM09G12800.2 pep chromosome:ALNU02000000:9:16803443:16804574:1 gene:OGLUM09G12800 transcript:OGLUM09G12800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3Q3] MESTSKVDDEQWLAYWILYSLITLMEMALHKVLYWIPLWYEAKVLFVAWLVLPQFRGASFIYDKFVREQLKKNRVKLHEHHGHGHGHADEHQSHVVRG >OGLUM09G12810.1 pep chromosome:ALNU02000000:9:16810370:16811656:-1 gene:OGLUM09G12810 transcript:OGLUM09G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSFPIIDMSLLDGAERPAAMGLLRDACESWGFFEILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDNVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGETYPKFVFEDYMKLYVRHKFEAKEPRFEAFKAMETETPNRIAIA >OGLUM09G12820.1 pep chromosome:ALNU02000000:9:16857746:16859437:1 gene:OGLUM09G12820 transcript:OGLUM09G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSTFPVINMELLAGEERPAAMEQLDDACENWGFFEVILNHGISTELMDEVEKMTKDHYKRVREQRFLEFASKTLKEGCDDVNKAEKLDWESTFFVRHLPESNIADIPDLDDDYRRLMKRFAAELETLAERLLDLLCENLGLEKGYLTKAFRGPAGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPMRHSIVVNLGDQLEVITNGRYKSVIHRVVAQTDGNRMSIASFYNPGSDAVISPAPALVKEEEAGVAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >OGLUM09G12830.1 pep chromosome:ALNU02000000:9:16863289:16868351:1 gene:OGLUM09G12830 transcript:OGLUM09G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAVAAALLLVAAAVAASPVSALYSAGSPVLQFNPNNFKSKVLNSNGVVLVEFFAPWCGHCQQLTPIWEKAAGVLKGVATVAALDADAHKELAQEYGIRGFPTIKVFVPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKTSAGSGGKKSGGSSEKTEPSASIELNSQNFDKLVTKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEANAAPPEVSELTGPDAMEEKCASAAICFVSFLPDILDSKAEGRNNFVWTAAGKQADLEKQVGVGGYGYPAMVALNVKKGAYAPLRSAFQLDEITEFVKEAGRGGKGNLPLDGTPTIVQSEPWDGKDGEVIEEDEFSLEELMADNSPVNDEL >OGLUM09G12840.1 pep chromosome:ALNU02000000:9:16886109:16886546:1 gene:OGLUM09G12840 transcript:OGLUM09G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLQPRRRGRLGAKGWHGASERPRRQRGEEQRIRRRHHRIRRPASTTRRVAAGSGVSTAGSEPWWCGSTADAATPMARRPWLAGNGEAATAEIVPASWRLVAGLSWAAVVLVVVVTASWWFKAAGMTSAEGAKAAVLVPARR >OGLUM09G12850.1 pep chromosome:ALNU02000000:9:16891283:16892131:1 gene:OGLUM09G12850 transcript:OGLUM09G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPRRSAGGSEPRAKRPRSAAVASSAEHSKRPSRVWSQADELIILRGLITYRTKRGVLPGSTQDIGKLHSYIRGQLSAKVSTTQLSDKVRRLKQKYQMLATRAKTGKEVFPTPHDHNIYQLAKKVWGTMSTAGEGGGSGYDNADAGESEEEQYGRESDDDMESGRDNRHRKNQRSVPVTMANGNGTGIGAVNAIVRGRSEFEKGKDVYPYLWETVEELSSQHPTGAVFKKAFELLEGSKAQVMEEKLRKFRLTEMRQQLRRMDLMKDTLSMVLDALEMAD >OGLUM09G12860.1 pep chromosome:ALNU02000000:9:16917717:16921785:1 gene:OGLUM09G12860 transcript:OGLUM09G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSVDLIKIRLDQNTNGTKQNSNEPTPASRRSGSSAFAVSQILASTLVKTSPSRTHTSCDPRSAVLHSRTQDPKPPARFPKQSTLSTSPHSRSTVSTLTGSESHTLPRLRAPDHRRHHHATTLAPPPPPRRRRRRRRARAVQVDAGVLLLFHRLPGAALLHALRRPQARRAGRALLRRPARHPRRQLHRLRRPGPRRPHPPRGAPAPRARPLRLLRRHPQGHHRALRCAPGRHARLRRLLRLRRPHHPGLDQRLQRHPRRQARRRRRRRDDSVRAAALRLLRRRRQRPPRGVPHVRRREGGHRRRSRAEVPDHGHRPHERQRHGHPRARRR >OGLUM09G12870.1 pep chromosome:ALNU02000000:9:16922714:16925860:1 gene:OGLUM09G12870 transcript:OGLUM09G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAARCGAPTRARRSRPRELRRQRLDPPPPNSGAATACGSAIPDLGSGRRWRWELAATVVLGSGGATLGRAGSTAVGNGYGCGDGDIGDCGLLADPAASPPSLLRLLRPQRPKVTVALIGGSLFFLQQFAGINGVLYFSSLTFHDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKLGVGAVYAGFGGVSFLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >OGLUM09G12870.2 pep chromosome:ALNU02000000:9:16922714:16925556:1 gene:OGLUM09G12870 transcript:OGLUM09G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAARCGAPTRARRSRPRELRRQRLDPPPPNSGAATACGSAIPDLGSGRRWRWELAATVVLGSGGATLGRAGSTAVGNGYGCGDGDIGDCGLLADPAASPPSLLRLLRPQRPKVTVALIGGSLFFLQQFAGINGVLYFSSLTFHDVGITSGILASLYVGITNFAGAIVASILMDKQGRKKLLTGSYLGMALAMFLIVYAISFPLDEGVSHGLSITGTLLYIFTFAIGAGPVTGIIIPELSGARTRSKVMGFSFTVHWICNFLVGLYFLELVKKLGVGAVYAGFGGVSFLSALFAYNFIVETKGRSLEEIEMSLSPAAPGKRE >OGLUM09G12880.1 pep chromosome:ALNU02000000:9:16929605:16930921:1 gene:OGLUM09G12880 transcript:OGLUM09G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLVLLAALVSVDVAAAHSGGGFGFKATLTHVDANAGYTKAQLLSRAVARSRARVAALQSLATAADAITAARILLRFSEGEYLMDVGIGSPPRYFSAMIDTGSDLIWTQCAPCLLCVEQPTPYFEPAKSTSYASLPCSSAMCNALYSPLCFQNACVYQAFYGDSASSAGVLANETFTFGTNSTRVAVPRVSFGCGNMNAGTLFNGSGMVGFGRGALSLVSQLGSPRFSYCLTSFMSPATSRLYFGAYATLNSTNTSSSGPVQSTPFIVNPALPTMYFLNMTGISVAGDLLPIDPSVFAINETDGTGGVIIDSGTTVTFLAQPAYAMVQGAFVAWVGLPRANATPSDTFDTCFKWPPPPRRMVTLPEMVLHFDGADMELPLENYMVMDGGTGNLCLAMLPSDDGSIIGSFQHQNFHMLYDLENSLLSFVPAPCNLS >OGLUM09G12890.1 pep chromosome:ALNU02000000:9:16932086:16938290:1 gene:OGLUM09G12890 transcript:OGLUM09G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPKGIEPNLRELAQKYNENKLVCRRCYARLPLRSTNCRKKKCGHSNEGKEEVYVQAFEVNHWKAPSWINMRGVSVVLVLIACWLCGCPVAGEAAFAGDIRVDLTHVDAGKELPKRELIRRAMQRSKARAAALSVVRNGGGFYGSIAQAREREREPGMAVRASGDLEYVLDLAVGTPPQPITALLDTGSDLIWTQCDTCTACLRQPDPLFSPRMSSSYEPMRCAGQLCGDILHHSCVRPDTCTYRYSYGDGTTTLGYYATERFTFASSSGETQSVPLGFGCGTMNVGSLNNASGIVGFGRDPLSLVSQLSIRRFSYCLTPYASSRKSTLQFGSLADVGLYDDATGPVQTTPILQSAQNPTFYYVAFTGVTVGARRLRIPASAFALRPDGSGGVIIDSGTALTLFPAAVLAEVVRAFRSQLRLPFANGSSPDDGVCFAAPAVAAGGGRMARQVAVPRMVFHFQGADLDLPRENYVLEDHRRGHLCVLLGDSGDDGATIGNFVQQDMRVVYDLERETLSFGPVEC >OGLUM09G12890.2 pep chromosome:ALNU02000000:9:16930976:16932700:1 gene:OGLUM09G12890 transcript:OGLUM09G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYTVPRPTSTSRCSPPPRRRSTATSTTSKTIRPAVARPASRTTPSSSGGGGDTSAICGRAGDGEVVLVRRPLVFPSGFGSCGPADADARDLIARLFAKDPAACLGSPGGGGVGGRAWEAVRRCRRLVAHRPSLAAGLPHSPTGRLPCVGRGRRERKREEEERGREGRGIRG >OGLUM09G12900.1 pep chromosome:ALNU02000000:9:16932323:16932703:-1 gene:OGLUM09G12900 transcript:OGLUM09G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPYSPPLSSSLLFFSLPLSPSPPHAGQPAGGGVREPGGQRRAMGDEAAAAPHGLPRPPSHAAAAGGAEAGGGVLGEEASDEVARVGVGGAARAEAGGEDERAADEHNLAVTGSATNCRGVAAAA >OGLUM09G12910.1 pep chromosome:ALNU02000000:9:16939882:16943208:-1 gene:OGLUM09G12910 transcript:OGLUM09G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3R7] MKPKNGGAAADRRLPPRWILLCAFSFGLGMLFSDQFGSVPEWQKPLMAQRRVQDRKLQILDEDFVAKPKPTDDRDVMSEVTKTHEAIQYLDKSIATLQMELAGKRSTLELLGNGNGISQQRKKAFVVIGINTAFSSRKRRDSVRQTWMPQGEELKKLEEEKGIIIRFMIGHSATSNNVLDKEIDAEDAAHHDFLRLDHVEGYHELSAKTKIFFSTAVALWDADFYVKVDDDVHVNLGMLITTLGRHKLKPRVYIGCMKSGPVLADKNVKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINRPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGNICVASFDWKCSGVCNPVERLKYVHSRCSEGDDAIWSASF >OGLUM09G12920.1 pep chromosome:ALNU02000000:9:16947370:16949312:-1 gene:OGLUM09G12920 transcript:OGLUM09G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLALLWGIIGPGVAGAVFGAGWWFWVDAVVCSSVQVSFLHYLPGIFASLAALMFNAVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGMLVQDALTDKGPSVWTGVAGVLQCVLVLIRYTVVNL >OGLUM09G12930.1 pep chromosome:ALNU02000000:9:16949984:16954859:1 gene:OGLUM09G12930 transcript:OGLUM09G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGAWAWAATAAALLWWMAAGAGAVWLEIPPSATKCVSEEIQSNVVVIGDYSVLYEHHLNPTVTVKVTSPFGDIVHHKQKVSTGQFSFTTAEAGNYLACFSADGRNKRLVVKLNLDWRVGIATKDWDSVAKKEKIEGVELELVKLETSVQAIHENLLLLRSKEANMRDTSEKTNARATWLSIISLIVCIIVSVLQLWHLQQYFRKKKLI >OGLUM09G12940.1 pep chromosome:ALNU02000000:9:16953095:16954477:-1 gene:OGLUM09G12940 transcript:OGLUM09G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCLVTTGFEDECREIHDACNQPRRLSVLLAHRSPSERQKIKATYRAVFGEDLAGEVQKILMVNQEDELCKLLYLWVLDPSERDAIMARDAVENGGATDYRVLVEIFTRRKQNQLFFTNQAYLARFKKNLEQDMVTEPSHPYQRQLLVALATSHKSHHDELSRHIAKCDARRLYDAKNSGMGSVDEAVILETFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGFGEFEQSLRVVVKCIYNPSMYFSKLLHRSLQCSATNKRLVTRAILGSDDVDMDKIKSVFKSSYGKDLEDFILESLPENDYRDFLLGAAKGSRAS >OGLUM09G12950.1 pep chromosome:ALNU02000000:9:16957070:16959427:-1 gene:OGLUM09G12950 transcript:OGLUM09G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRITVSYSGYVAQSLAASLGLRCSSASTAAGCRFFQDGGWRPFCMLTSSSRGHAEHHRNGGGGGEHRREAGEGDRPKALPLSAAAGGHSLFLSPAYASSRAQPPSLAVGLLSVLAQGATGSKGGIYGAASLSGSSSSISLGFNPASFLPFLQTSKWLPCSDLATSSSAPPSSPSPSPPPPAPAPSIRPKKALVSSASSSPAIARSSGGGGAAMSRSNWLSRWMSSCSDDTKTAFAAVTVPLLYSSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPALQDWGYSSGDVFIKRVVAKAGDYVEVRDGKLIVNGVVQDEEFVLEPHNYEMEPMLVPEGYVFVLGDNRNNSFDSHNWGPLPVRNIIGRSVFRYWPPSRITDTIYEPRAEYSVAGLS >OGLUM09G12960.1 pep chromosome:ALNU02000000:9:16961490:16968006:-1 gene:OGLUM09G12960 transcript:OGLUM09G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFASPAPQNPFQTPAQAPSLSPSPFQFNLQQPQQQPPPQQQAAPAAQPQQQQQQQQLMLYTTDGKPAGYNTKWEELHAESQKALLQIEDKIREYRDESERLDQCSRLHDSSISTVNFENDASEIAQELGGTTTMMEREKASVQELMTVVNEMMRNTEFAIRSYMMLRPRFIRPGAGANGGGSNPSCPAGAQSNQPVALAPTIDFYSGIPKRPSHFMQQTINRFEKYLGECCKWIAELEQLVQMENNKRQSASLESLPKVMSNVHDYFIYVASKVENLHQYVESLKTEYLHEQRRLGNANDPFLEANRREAAKQEAAARRVHPTLHLPAPVQPTTQIAGTVTTIIDSFWSDFFKCFSIIQHSSFRSIFFKSLFNSNNSNSIQQPFWYIWISPAVHTIWDSLYSDAWINAGSIWIWEYNSIFCFNARFRWNLTVFYAVRRKCGQNLGADASYHLTNARCAWAQSQIVEQLWVGPLITLHVLFAGSCVKGLEVKR >OGLUM09G12970.1 pep chromosome:ALNU02000000:9:16966332:16966910:1 gene:OGLUM09G12970 transcript:OGLUM09G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANGCGFFGSEATKNLCSKCYRDQLKEAAASAAVAATAPDVANKEEEASTAAAAADEQLALCASGCGFFSSKETKNMCSKCYRDHLKATSPATATASTPDIIVPMTPAATAPTSSLKGKEEATAAASSSTAPAKPNR >OGLUM09G12980.1 pep chromosome:ALNU02000000:9:16971605:16977417:-1 gene:OGLUM09G12980 transcript:OGLUM09G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT5G66960) TAIR;Acc:AT5G66960] MRHQVGLLSRLLLRRHVHRRSKPATAPPPPPPPTPPRPPQKPEPVSIHGETWHDSYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAAAGADRLARKLQLEMASRLASDACTPPVRWGPWLYYRRADEGKQYPVLCRRSAALHSEFISYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYLINASDPLSRMRLVWEGESQVHCIVEHHHGRLYLFTDASREGTPVDSHYLMLSDVESPGPKSWKDVFLEEPGVILEDVDFCDTHMVLVLRQGRKLKLCSVKLPFPEHIRVPARLSDFHPFDLSLPNHMPDAVVDYNLPNGKWRIVQQQNMLHERTKALYGNAFAASMVKPSSKGGDLSSEDFGDCDWNELSEYYACEYYDVPSKDGVLVPLTLVYSQKHKQEGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAFADVRGGGGYGKKWHQDGARTKKMNSIYDFISCGEFLLEKGIIKENKLAGWGYSAGGLLVASAINTRPDLFRAVVLKVPFLDVSNTLLHPILPLTAIDYEEFGFPVDHEEFLSIRKYSPYDNIQKDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREVTRYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMIHDT >OGLUM09G12990.1 pep chromosome:ALNU02000000:9:16977324:16981981:1 gene:OGLUM09G12990 transcript:OGLUM09G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (PLP)-dependent transferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G77670) TAIR;Acc:AT1G77670] MARDNTHADATHTLCRAISPHFQKTGHIRTHTFHAKPHPLTHRHAGSMISMAHSSLTLVLVPTPRVRSRVSRQVRDASSGAHPWRRDSTRCCCCSAPLMNLAGFLATPATATATRHEMPLNPSSSASFLLSSLRRSLVASLRKASPAAAAALSPMASASTVAAENGAAKAAAEKQQQQPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGYGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKAITLRPPDFSVPLEELKAAVSKNTRAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFADEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELRRDYGAKKALLVNGLKDAGFIVYPSSGTYFVMVDHTPFGFDNDIEFCEYLIREVGVVAIPPSVFYLNPEDGKNLVRFTFCKDDETLRAAVERMKTKLRKK >OGLUM09G13000.1 pep chromosome:ALNU02000000:9:16986953:16988496:-1 gene:OGLUM09G13000 transcript:OGLUM09G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGGGGGGGGGGGGAGGVGKLTRTPSSLLRSPTVRNCASFQAVVVEDPEPDDKKEQAQAKPPPHLHPHLLRAAAAAAVPAHPLLLLALPLAVLLLLLLLRDDHHHLLLLATAAAAALAAAAGAARLLRGRLRLRRSSGSGSVQWFIGDEDDKPQKRDKGKGGAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYVGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLSPTDPSVQRAVQAAQLAAENAFHLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >OGLUM09G13010.1 pep chromosome:ALNU02000000:9:17000037:17002728:1 gene:OGLUM09G13010 transcript:OGLUM09G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFSTHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLR >OGLUM09G13010.2 pep chromosome:ALNU02000000:9:17000037:17002720:1 gene:OGLUM09G13010 transcript:OGLUM09G13010.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFSTHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLRCHKT >OGLUM09G13010.3 pep chromosome:ALNU02000000:9:17000037:17002728:1 gene:OGLUM09G13010 transcript:OGLUM09G13010.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHOMBOID-like protein 10 [Source:Projected from Arabidopsis thaliana (AT1G25290) TAIR;Acc:AT1G25290] MASSSPPWLLLPSFPWPPPPPPGSSSGRGGGGGGGGDPGDWKPNVVAAFAGAQLGRALRRRLAGLLRSPEARHLGALPRIGDIWFEGSDSFSTHSILGVLENALSAPYACSSVLFNGNGSDRRYIGRGKLPSRRPSGINSKKRLWTNILLAVNILMIDRGELWRLATSSLLHANLAHLAFNCFSLNSIGPMVEMLTGPRRYLAVYFTSALAGSLMSYRYCASPAVGASGAIFGLVGAYAVYTWRHRRFLGHGKESLEHIGRVVILNMGMGLLTRGIDNWGHLGGLLGGMAMAWFLGPAWQYQYVSKDGRAVFKDNAPILQLSNGKWLR >OGLUM09G13020.1 pep chromosome:ALNU02000000:9:17002498:17007971:-1 gene:OGLUM09G13020 transcript:OGLUM09G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAAGLEIAGGGGGGGGGVWAVAGAIGRAASFRCVFVLALSVGVLVSALLLLVPTRGHGFLSDDPDVLGAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMRSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLVPPSFSPSGSIHYPAPPTYMNPSLPPQASPPLPDTNPCFPCFNCDRFSSAGSPTGKPPCFRRDPKLPPFIHSPQPSVAPSPYLSPAFPPIPGHVDPPNHLPGAVPGPTYQMMSIPSPSLPVFRPSTAPWKKRRKTKSPPSIAPSPYFSCIKYGFMYTVPVGLQKYGFMYTVPVGLQ >OGLUM09G13020.2 pep chromosome:ALNU02000000:9:17002498:17007971:-1 gene:OGLUM09G13020 transcript:OGLUM09G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVDVELGGAAGLEIAGGGGGGGGGVWAVAGAIGRAASFRCVFVLALSVGVLVSALLLLVPTRGHGFLSDDPDVLGAEIQVGFTLEKPVSFLAAHMDKLGGDIFEEIGIPNSKVSIVSMRSLTSKYSTNVVFSVLPSPKEASISLPALSVLRSSLIAMMLNQVNLSLTEPLFGHPSSVELLRFPGGITVIPEQSGSVWADPLFNFVLNNSIYQILGNITELKDQLKLGLNLRSYEKVYLQFRNEIGSSVDAPATIEASVLDGSSILLPYRLKQLAQLIKEPNARNLGLNHSVFGKVKGVQLVPPSFSPSGSIHYPAPPTYMNPSLPPQASPPLPDTNPCFPCFNCDRFSSAGSPTGKPPCFRRDPKLPPFIHSPQPSVAPSPYLSPAFPPIPGHVDPPNHLPGAVPGPTYQMMSIPSPSLPVFRPSTAPWKKRRKTKSPPSIAPSPYFSCIKYGFMYTVPVGLQKYGFMYTVPVGLQ >OGLUM09G13030.1 pep chromosome:ALNU02000000:9:17012140:17014433:1 gene:OGLUM09G13030 transcript:OGLUM09G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEHYNTNQRKNPWERHCQEGHEALTIGPSPRPISPRQPPAAAAAANPKRARAARRFVEHMEGGGGGGTDMNAVPDGVVQHVLSMLSNVRDVAACACVCRRWRECVPYLPALFFPRNAFDTAAAAGGAADDAIGRMVRSVERLRELVIYCPFSMARLPEWLALRSTSLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >OGLUM09G13030.2 pep chromosome:ALNU02000000:9:17012554:17014433:1 gene:OGLUM09G13030 transcript:OGLUM09G13030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGGGGTDMNAVPDGVVQHVLSMLSNVRDVAACACVCRRWRECVPYLPALFFPRNAFDTAAAAGGAADDAIGRMVRSVERLRELVIYCPFSMARLPEWLALRSTSLRVLELRMDAAAADKAEDGGYLDCIGLARGLEELRLWGVSLTAAPAWGRLDKLRVLEIVGAPLEDSAVKDAISACPNLTDLSLLGCDCSGAVSIELALLERCRLDFLGAGNCSLSLAAPRVESLEVQGFTWITLRGGHSLRRLSIAKSTGRVYKVDTGKLPDLEHLSLRGVQWSWAAVSSVLQCARDVKHLVMKIEFSGDFDALQPFPEVDLVDFFNSHPNLRKFDIHGAMFAALCQKNSLKNLDARFCIPCLEEVLITVRSPLNAEQKLNTLESLVKYSVRLRSMVIRISQMKNCHDAADDFFEEICKFKYMNNKKVRVE >OGLUM09G13040.1 pep chromosome:ALNU02000000:9:17015484:17018642:-1 gene:OGLUM09G13040 transcript:OGLUM09G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPKSLSLHDTASSPRNPRTMARARRGRQNAAASPPSLALQLQVASLVIVFVFAFSSAITPTRADHVEGHMSHEMFGYENDATGPAKWGSLHKEWAVCGDGKKQSPIDITTVEPQKLKWKDGNSKLTVQGKEYTLKQVHWHEPSEHTINGTRFDAEMHMVHEDPSMARAVVSVLLSTKAGQPNAVLTEMAPHFKNLAGKEKAEEEVKEPVDPSTWVDKTSGYYRYDGSLTTPPCTEGVIWTIMSKIGDASKEQIDLLKTVATTVEPNARPAQKLNDRIVRYFEV >OGLUM09G13050.1 pep chromosome:ALNU02000000:9:17019826:17022541:1 gene:OGLUM09G13050 transcript:OGLUM09G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;2 [Source:Projected from Arabidopsis thaliana (AT3G48850) TAIR;Acc:AT3G48850] MAPRNSLLPSFLYDGVGGGGGGAPAVVAGAPSEPPFGMIELFSPAYYSACAFGGAAACGLTHAAVTPLDVIKCNIQIDPTKYKSTTSAFGVVMREQGARGFYRGWAPTFLGYSAQGAFKYGLYEVFKKEYADMAGPEYAARYKTLIYLAGSATAEVAADVALCPMEAVKVRVQTQPGYARGLSDGFPKIVRNEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMAYKHLIPTPKEQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSKGATVGDAVKHLGLWGLFTRGLPLRILMIGTLTGTQWVIYDSFKVMVGLPTTGGAPAPAAIPIGELAELKASA >OGLUM09G13060.1 pep chromosome:ALNU02000000:9:17026582:17027067:-1 gene:OGLUM09G13060 transcript:OGLUM09G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMGGGATAPMPVPSTHYPVRDRELLAGSTGAGVGEEEPSADATVDVEVEREGAALAASLAWSMTSTYLASLSRRRRKRPPATSSDVAWTVEDVTISDELRRGTWMTKDTPVDDELRRGMWMTKDVATGDELRGVDGGGCGRRRRAPAWGVDDEGRGRRR >OGLUM09G13070.1 pep chromosome:ALNU02000000:9:17028319:17030997:-1 gene:OGLUM09G13070 transcript:OGLUM09G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3T7] MPPSRSLACLLLPPLLLLLESVRDADAGPLAVEIVRPSFTATSYDYVDTGGAFLVSRNGSFRAAVFNPGKQQASFYLAVLHAPSGTPVWSANRDAPTSSTGKVQLSVGGITVSDANGTVLWSTPPLRSPVAALRLQDTGDLQLLDAGNATLWRSFDNATDTLLPGQQLLAGAYLSSAKGATDFSQGDYRFGVITADVLLTWQGSTYWRLSNDARGFKDTNAAVASMSVNASGLFAVAADGAMVFRVGLAPAEFRMLKLGSDGRLRIISYALVNSSAPVGGDFIAPAGDCDLPLQCPSLGYCSPAGNGSTCTCPPLFAASVTVAGSCTPGDGSTLASPAACQNNDSSSGGASVSYIALKPLTSYFATKFDAPTNTGVNKTACRALCTASCACLGFFHDSVSLSCRLLGGKQLGSLYKGASDTNLGYIKTFNSATKAGSNQIGSSSANHTVPIVLPSVAAFLLLAVLGWYIWWRNKMSKNGKKKKGKSSTMKVYLGRQKSPSRDTGYNADADDDGGGDDDDIVIPGMPARFSYQEITTMTSNFATKVGSGGFGTVYKGELPGGEGLIAVKKLEAAGVQAKREFCTEITIIGNIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVAIGAARGLAYLHTGCEQKIVHCDVKPENILLSNGGQVKISDFGLAKLMSREQSALFTTMRGTRGYLAPEWISNAAISDRADVYSFGMVLLELIHGRKNRGEQEAGAVAAGSGEHSDLPSGWSSAMTSTASGTSGGGDEYFPMVALELHEQRRYLDLVDARLEGRVDEAEAACTVRVALCCLHEDPALRPSMATVVRILEGSVPPPEPRVEALGFLRLYGRSYPLPVPGSLTAMAGGGSHLDESLKDTSAPR >OGLUM09G13080.1 pep chromosome:ALNU02000000:9:17042029:17051838:1 gene:OGLUM09G13080 transcript:OGLUM09G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGAAKTEGRLQVVVVVGQLRHRWGLIRPPLGRIWGPSRWIQAEETGSARGGGRRCDGNADGATGRPAAPGEVVAGVVVGDMQGGGRPRPGRRSTTTGRPAARGEAVAVGAASLGAMKLGNNNTLQFLRSVGVSCVQEVVLWRLGLMFKVDNRCLARFCDVFGNDDLIAVELELLCR >OGLUM09G13090.1 pep chromosome:ALNU02000000:9:17053385:17054660:-1 gene:OGLUM09G13090 transcript:OGLUM09G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCGSWSDCEAAAAAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLTEIHRRKTSSASTASPSPPPFFAPPHFPLFHHPGVAAAQHHHAFVGDDGVVAAHGIGMPFPQPHWREPNLPVATRLLALGGPAPSPSSVEAGGAGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGMQARKKPAAANVLNNSGGSTTSSSSLTIAEEPSPPPQQQHLAGEKSGGEAGSSSAARSSAPTKLFGVHLSAAPCGAGSKRASSPEEHPPTSPATKPRLVLECDDLSLTVAPSSSSQQQLSAASSPTSTS >OGLUM09G13100.1 pep chromosome:ALNU02000000:9:17072665:17073375:1 gene:OGLUM09G13100 transcript:OGLUM09G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDLFNSYPESQLDLMSTMLQLEQLTALSDQSLFMAAPTSPPVSPMGTPSPQFSPPPQMSVTTTTAGGGYQDQYNSMPATYGAGAGVHQLDFAMSSPDSDSGAPQGSSSSSSSEAMREMIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATGAAAHRAAAFGAAYPAALPMQHHAPW >OGLUM09G13110.1 pep chromosome:ALNU02000000:9:17081717:17083258:1 gene:OGLUM09G13110 transcript:OGLUM09G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTEGRLASVVHLPGRSRVSASPSPRRRRSPSRSPSPRRNRRRDRSPSPYRSRRDRSPSPYRDRRRQWSPYHRDRGRDVEREWARDRDHPAPRRGGGGGAGGGAWSASDDDDDEQLKGLTYFEYRRVKREKLRKSMKRCIWNITPSPPRREGEDEDYGYSDEEEEEEKKESPKKVASSDKSEEEDSKGSSESDSGESDSLSDSSKSDDTRRKKKGRKGSHCSSKRSRHRRRRRHHSSDTEGDDNSKAEEDSEGSYDSEDSMDRRKKKRSWRHKKSKRRGRSSRRKKRKSNDTASEGSSEEEAVAAASGSSPSPLWDSKKKSRSSRRKRSKQSDSEDQAPSDADLGVKEIDETNEPEIDPEAIKFKEMLEAQKKAALENEMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATADG >OGLUM09G13120.1 pep chromosome:ALNU02000000:9:17095721:17101442:1 gene:OGLUM09G13120 transcript:OGLUM09G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMRCVGGGDTPLGFRGVVEEELEEEVAAVSASGRPMQRQRRRRRRWGEEADDGCSASSTGGGGSSGCGSFGCDSPLAGFVRADGDPDTDLETDGVATPSSNGEHNAVHSSQFVFLPVFLLGWSATLQPLKGVLFSLKPLQHSPSHTKRRRGTRCCVGWWKGTGHSCKNQPRVRQTVPPEMVPGCSFCMLVWCSTECLYQRRRSEAVLLQGRKGLKQRPASLDFGSGSPGFNGAPLSPGFVVGGVGLMNKGLVSSSFIRSDVFPSPRTPNYRRHRSSVFGYQKGWSSERVPLASKGNRRYPGSSMAFPFSNGRTLPSKWEDAERWIFSPNSSDVLEKTSFAPARRPKSKSGPLGPPGKFGGQYSSVSLLDNWRVGHLTANSPFLAGVLIPEHYCGEKDNIGRYMSRTAGEEASIGIGGKSCLANGGSHATQYNRVRRRLDTAIESSPSLPSTQASVQDEQVGITEDSASIITPIILRKDAATQTSPNLSRSSSPSVSTPFIHLLTTHQVREKENCFSDVIRDVHMDDRVTLTRWSKKHVTRASSKNSTNVIDVKKKTVESKSSSWELTEAKSISKVEREQEKITAWEHLQKAKAEAAIQKLVMKIEKKRSSSLDKIWNTLRSAQRRAQGTGLKVILRVINTLVLT >OGLUM09G13130.1 pep chromosome:ALNU02000000:9:17102890:17107283:1 gene:OGLUM09G13130 transcript:OGLUM09G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTDRWGGRREAMEFGNGGSSSSERRAAAEGATLARQGSVYSLTFDEFQSALAGGGGGGGGGSGFGKDFGSMNMDELLRSIWTAEESQAMASASGSAAAVGVAVGAPPTSLQRQGSLTLPRTLSAKTVDEVWRNLVRDEPPPVGAADGGDMPPQRQSTLGEMTLEEFLVRAGVVRENPPAAPPPVPPPMPPRPVPVVPKTTAFLGNFPGANDAGAAALGFAPLGMGDPTLGNGLMPRAVPVGLPGAAVAMQTAVNQFDSGDKGNSDLSSPTEPMPYSFEGLVRGRRNGGGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKEMNKELERKQADIMEMQKNEVEEMIKDPFGRRKRLCLRRTLTGPW >OGLUM09G13140.1 pep chromosome:ALNU02000000:9:17111541:17111750:-1 gene:OGLUM09G13140 transcript:OGLUM09G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRMFHREAKEPTDGEVPLEGSKELSAEARDYEEVSPGCSRRWKEARNHALPRRILSRPELAPNGTSP >OGLUM09G13150.1 pep chromosome:ALNU02000000:9:17111786:17112617:-1 gene:OGLUM09G13150 transcript:OGLUM09G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVDSGGCGHRRRAVAWCGTWMVEDAVTGNELRRGVGVWTAEDAAAGDKLRHGAWAVEDVATGNELWRSVRRGKRRALQVGDKVPAWRGPSMAKDVATSDELRRGVSSMKPAGIMIVAMILSVLMVLLLFTDFSCLSPRSLDFAFSACCPPIGIKFIDASFSTKS >OGLUM09G13160.1 pep chromosome:ALNU02000000:9:17116668:17117627:-1 gene:OGLUM09G13160 transcript:OGLUM09G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSEILVDAGSFRLYKDGHADRTGDMETVPAGFDADTGVTSKDVVIDAVTGVFVRLYLPPIQAATDDDGKTKLPILVFFHGGYFVVGSASCPKRHRNINDIVVRARLIAVSVDYRLAPEHLLPAAYDDSWAALNWALSGADPWLSEHGDTGRVFLAGVSAGGNIAHNMTIAVGVRGLDAAVPARIEGTILLHPSFCGETRMEGEPEEFWESVKKRWSIIFPDAKGGLDDPRMNPMAAGAPSLTKLACERMLVCAASEDPIRPRERAYYDAVKRSGWGGEVDWFESEGEGHAFFVRKYGSSEAVKLMDRVIAFLAGH >OGLUM09G13170.1 pep chromosome:ALNU02000000:9:17118833:17119795:-1 gene:OGLUM09G13170 transcript:OGLUM09G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSAEILIDLGGFRLYKDGHADRAGGMESVPAGYDDETGVTSKDVVIDAVTGVSARLYLPPCIQPATDDDGKKLPILLFFHAGYFVVGSASWPPVHRYTNSVVASARVVAVSVNYRLAPEHLLPAAYDDSWAALSWAVAGGNIAHNMTIAVGMRGLDAVVPAPRIEGTILLHPSFCGETRMEVEPEEFWGGVKKRWAVIFPGANGGLDDPRMNPMAAGAPSLTKLACERMLVCAAALDPRRTRDRAYYNAVKASGWGREVDWFESEGEGHHFFVDKPGSHEAIKLMERVAAFIAGH >OGLUM09G13180.1 pep chromosome:ALNU02000000:9:17121375:17122463:1 gene:OGLUM09G13180 transcript:OGLUM09G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWAAVTSDSVEKGVDVGGGGGMGIGQWLWWPNQLVRGARRHVVTSAFPLSSPTIATTSRRVMTPELILPRDLHFPLTHHHRGTSYSGRHGDVTRCNYVRALHPTHPSSHRIGPGQPAQGSTDVLAGSASLLLSSAICCDSLPRQSMHCHPDTDRASLRPFAPATPFWHTNPELCVA >OGLUM09G13190.1 pep chromosome:ALNU02000000:9:17127112:17128302:-1 gene:OGLUM09G13190 transcript:OGLUM09G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDSRMAGLAHPAAHAAGLRRLSTRAAAGPPSASASPRHGLHSFDGVAAAVLSHLRKTGVVVLPGLSDAEFARVEAEMGFTFPPDLRAVLAMGLPSGAGFPDWSGRAGLRAAFDLPIAAASLQIAKGALWPRCWGRRPSDPDRARRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFITDDRVLCCGLDILHFFTRESSFQPLDVSSPSATPSSGIYTPHTRRSLDAVCGGQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSGCPSPPPRSTPLWVDNYLDKLGSVLKKGGWRDREVDEMVEVTASGLFDGEEAPPADADAVLDALFLKTDRCSDSLRRAGWTSEDVSDAFGLDLRRRKEQPRPAVQIPPEIAAKVQRLAQAVARP >OGLUM09G13200.1 pep chromosome:ALNU02000000:9:17140036:17147763:1 gene:OGLUM09G13200 transcript:OGLUM09G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor 4 [Source:Projected from Arabidopsis thaliana (AT4G36990) TAIR;Acc:AT4G36990] MAAAEAAAAVGKQQQKGGGGRGGGGGGPAPFLTKTHQMVEESATDEVISWGKEGRSFVVWKPVEFARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANGNFRRGEQGLLSGIRRRKATTPQSSKSCGSGVNVAFPPPLPPLPPAPSATTSSGYDRSSSSASSPPRADITSENEQLRKDNQTLTTELARARRHCEELLGFLSRFLDVRQLDLRLLMQEDMRAAAGGVGGEQREQEHAREEKCVKLFGVLLDDTHGAATRKRARCEEAAASERPIKMIRIGEPWVSVPSSGPARCGGDN >OGLUM09G13210.1 pep chromosome:ALNU02000000:9:17160414:17163468:-1 gene:OGLUM09G13210 transcript:OGLUM09G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFVIVIVISFFISLAFMCYVHYTSRQRRKLHGYGHEKAVRLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGPLGPDALRALVPDVEAAVRSTFASWDGNVSSTFHAMKRLSFDVGIVTIFGGRLDERRKAELRQNYAIVEKGYNSFPNSFPGTLYYKAIQARRRLHGVLSDIMRERRARGEPGSDLLGCLMQSRAGDDGALLTDEQVADNIIGVLFAAQDTTASLLTWIVKYLHDHPKLLEAVRAEQAAIRAANDGGRLPLTWAQTRSMALTHKVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHNPDYFQDPQKFDPSRFKVSPRPNTFMPFGNGVHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEVEYSPFPVPKHGLLAKLWRDDSVSVETDGCQNGDNDDTGVAMV >OGLUM09G13220.1 pep chromosome:ALNU02000000:9:17192814:17207361:1 gene:OGLUM09G13220 transcript:OGLUM09G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVVNHRCADDKDGRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVARTYVQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNDYCVWEKNGLRVPEPEANAPRTIGNADGLDCLLRVPTRTWTTLTWIAKEKEEMMTAHVGPTLSQLPRRTKPESKPPRDVVCIGFWLTFTEQKPGRIWRWMRCSLKPPVTYGASSRPHRIAHPHRLLVLIVNCGNLIQVIARRGPSSTSSNKPASFRRWRRAEPRWPWLCAADAAPQAALNHASPPMELVDRLQALGRVLLGRRQTRHLVEVLESAKTRVPVLTAAPRRSGPARDELRRPKSRPETIPREALVVEFGGAFNGEVERRHVVRKLTGEGWNMRRAMAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDSSKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLKVLAAEGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCIWEKSGLRGFNWESWKRQGGWYNFLHSHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTGAELRSLIAAFHSKSIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQRELSDWLNWLKSDVGFDGWRLDFAKGYSAAVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLRVPAGRRH >OGLUM09G13220.2 pep chromosome:ALNU02000000:9:17192814:17207361:1 gene:OGLUM09G13220 transcript:OGLUM09G13220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQMAALCGFLLVALLWLTPDVAHAQTQILFQGFNWDSWKKQGGYMPGRLYDLNASKYGTKAELKSLIAAFHAKGIKCVADIVVNHRCADDKDGRGVYCIFKGGGPRGCLDWGPSMICCDDTQYSDGTGHRDTGADFAAAPDIDHLNPLVQRELSDWLRWLRRDVGFDGWRLDFAKGYSAAVARTYVQNARPSFVVAEIWNSLSYDGDGKPAANQDGQRQELVNWVKQVGGPATAFDFTTKGILQSAVQGELWRMRDKDGKAPGMIGWYPEKAVTFVDNHDTGSTQRMWPFPSDKVILGYAYILTHPGVPCIFYDHVFDWNLKQEINALAATRKRNGINAGSKLRVLAAESDMYVAMVDERVITKIGPRIDVGNIIPSDFHIVAHGNDYCVWEKNGLRVPEPEANAPRTIGNADGLDCLLRVPTRTWTTLTWIAKEKEEMMTAHVGPTLSQLPRRTKPESKPPRDVVCIGFWLTFTEQKPGRIWRWMRCSLKPPVTYGASSRPHRIAHPHRLLVLIVNCGNLIQVIARRGPSSTSSNKPASFRRWRRAEPRWPWLCAADAAPQAALNHASPPMELVDRLQALGRVLLGRRQTRHLVEVLESAKTRVPVLTAAPRRSGPARDELRRPKSRPETIPREALVVEFGGAFNGEVERRHVVRKLTGEGWNMRRAMAKRIASMSSLLLIALLCLSSHLAQAQVLFQGFNWESWKKQGGWYNFLHGHVDDIAATGVTHVWLPPPSHSVAPQGYMPGRLYDLDSSKYGTGAELRSLIAAFHSKGIKCVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNTRVQTELSDWLNWLKSDVGFDGWRLDFAKGYSATVAKTYVDNTDPSFVVAEIWSNMRYDGNGEPSWNQDGDRQELVNWAQAVGGPASAFDFTTKGELQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFIDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGVPCIFYDHVFDWNLKQEISTLAAVRSRNEIHPGSKLNILAADGDVYVAMIDDKVITKIGTRYDVGNLIPSDFHVVAHGNNYCVWEKSGLRVPAGRRH >OGLUM09G13230.1 pep chromosome:ALNU02000000:9:17208476:17209300:1 gene:OGLUM09G13230 transcript:OGLUM09G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVIPAMAAARQDSCKTKLDERGGSHQAPSSARWISSEQEHSIIVAALRYVVSGCTTPPPEIVTVACGEACALCGIDGCLGCDFFGAEAAGNEEAVMATDYAAAAAAAAVAGGSGGKRVRRRRKKNVYRGVRHRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAARAYDRAALEFRGARAKLNFPCSEPLPMPSQRNGNGGEAVTAATTTAEQMTPTLSPCSADAEETTTPVDWQMGADEAGSNQLWDGLQDLMKLDEADTWFPPFSGAASSF >OGLUM09G13240.1 pep chromosome:ALNU02000000:9:17212592:17214930:1 gene:OGLUM09G13240 transcript:OGLUM09G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDAPEYKRRVEVTEVEKSKVLEELYCTRRTIERLKIDLDKSHTEAIQAQQDLELAEIRFEEIQQGIARKERSITKAKIEVANERRATALEDLQSVKMELDQLQKEYTSLISQRDNTETKAREAIVASQEIEKAVQDLTIKVITMKDLITTSQANHVIAEGKKINAALAYQQDMVNWQNELKQIDDEVQKLNDDLSLNKDLESKLQTASMWLMNLRDEFKAHVDGTLPKVPSEAREEERPMIIVCAKLARTRKELENMRIDIDKAKDEVKSLWNAAATLRANVEMEKTNIASLRQKENLAFESALALQEELSKIAFELSMVEERTKAAKMPLELQQATKKLEHAKLNAVFARNEMEKAREEANQAQTEVNVVQLRIEATLREILAVNASREIAAASANALQDYKKEIELEPLANRKNNNVTLSLEEYNVLCKKVQDAEDSAKKQVIRAIEKIKEAKDAEVRSLDRLDQLIKQIDDRRVALREAHEKANVAYDGKLAMENELRKRRAHHEKQRNTGEVSLPIAQISNVKNTSTSFDAAGSSSSNPYKYRMLLPRADTIATTIAESRPRKSFFPRSLVAMFMFRRKTHLK >OGLUM09G13250.1 pep chromosome:ALNU02000000:9:17217955:17219690:-1 gene:OGLUM09G13250 transcript:OGLUM09G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPTRPHRRPPPLPSKTSGVWPVALLVVLCFAALPLFLALSRARPTLSDVSQMGVTVTVRDEDPAGTPPESSPANRDRLLGGLLSPDIGESACLSRYKSSLHRKPSPHSPSPYLVSRLRKYEALHRKCGPGTLFYKKSLMQLTSAYSMGLVECTYLVWTPCGGSHLGDRMLSMASAFLYALLTHRVFVVHVTDDMAGLFCEPFPAASWELPAGFLVHNLTQLGRGSEHSYANLLGAKKIKTDDPAGVRSESLPSYAYVHLEHDYQQPDQLFFCDDDQTVLAKVNWLILRSNLYFTPGLFLVPQFEDELRWMFPARDTVFHHIGRYLFHPSNKVWELITRYHTSYMAKFEENIGIQITTFAGSKVSSEEYFKQIVACTSQEKILPEIDPNATSSANEAALATTASKAVLVSSAQPSEYAEKLKAMYYEHATVTGEPVSVLQPAGAGKQAPNQKALVEMFLQSYCDVSVVSGRSTVGYVGHGLAGVKPWLLLTPTNRTASANPPCIQTTSMEPCFHAPPSYDCRAKKDGDLGAVLRHVRHCEDVGDGLKLYD >OGLUM09G13260.1 pep chromosome:ALNU02000000:9:17222333:17232110:1 gene:OGLUM09G13260 transcript:OGLUM09G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGRGSERLRRRRGPRCATAAARRPRCASICDGSGMAASMRNGGGSGGLSFPPFCSAACLFLLSAVNFVVGFAESNPRCVTAAWRPRCATAAAVRRPRCTTAATTSMRDGGAMASMGDSGRWKRGDLIFVSPIISLLNQRSLNSQAGNEPTTISLRLHLPPPPMIPLSLLAVVLVFGIAGAADGLSGYQISCGATSEKVVGDVTWVPDGRFVSVGNVSDVRSPGVLPVLSSLRYFPDTSARKYCYVVPAERKRKYLVRTTYFYGGFDGGSAPPVFDQIIEGTRWSEVDTAGDYARGLATYYEAVVLATEKEVSVCLARNAATKSSPFISALEVSPLEDSVYNSTDFESYALSTIARHSFGHDGSAAVSYPGDRFNRFWEAQSDGMPVVESQASVSQAAFWNKPPEDVFRRGVTTAGGRGESLELQWPPAPLPAASYYLALYFQDNRAPGPLSWRVFDVAVNGETFFAGLNVSTAGSMLYGDKWPLSGRTKITLTPAPGSPVGPVINAAELMMVVPLGGRTHPRDVIGMQALARGFDNPPADWAGDPCLPQGNSWTGVTCTQEPLARVVSLNLTNFKVGGSISDGIANLTAISSIWLVGNNLTGPIPDMSLLHHLASGEQPTDRADSSISREHAKASFVQNNALQGAIPISFKNKTGFMFQMILIPAHPSSRSSPHRLLPRHSHYFPLVAGGERASDDLRVPDANPAPISMTPANPTPLLSSPRPNPSPPLPRRARRPHPPPAANTTGAASTPDWFRPRAPSDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >OGLUM09G13260.2 pep chromosome:ALNU02000000:9:17226322:17232110:1 gene:OGLUM09G13260 transcript:OGLUM09G13260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANPTPLLSSPRPNPSPPLPRRARRPHPPPAANTTGAASTPDWFRPRAPSDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >OGLUM09G13260.3 pep chromosome:ALNU02000000:9:17226322:17231582:1 gene:OGLUM09G13260 transcript:OGLUM09G13260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPANPTPLLSSPRPNPSPPLPRRARRPHPPPAANTTGAASTPDWFRPRAPSDADPSTSGGRVAARDPGVRVRAREGAEEEKKGRGRGRRRWWDWWSGDRESYLVDDVEPLPLPLTVPDTEPMSREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGRETICKCVPCAGIGYVRKITFRQDIENMDELDNGKPP >OGLUM09G13270.1 pep chromosome:ALNU02000000:9:17230949:17231565:-1 gene:OGLUM09G13270 transcript:OGLUM09G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASTVGVQVATGSRQRWRRRSGRGRKERRASVAVASIAPHTFARTTAPPPQFCHLLDPPTGGARAVGARPFQVDGEHRPCILLLYWVRWFVGSAQTNVSRRAADFFAILRAHDL >OGLUM09G13280.1 pep chromosome:ALNU02000000:9:17235258:17235575:1 gene:OGLUM09G13280 transcript:OGLUM09G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFGFDLSARARGIGDVYHPFNETAVREKFKEWIRQFDTDGDGRISRDELRRAMRAVGVRFTGIKCRRGMSHADADGDGYIDDSEIDGLIEYWGRRLGLGVAAY >OGLUM09G13290.1 pep chromosome:ALNU02000000:9:17239507:17240994:1 gene:OGLUM09G13290 transcript:OGLUM09G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPFPLNGRAEPPRSHRRKLRNRDGPAAQKRGTVYGGQRDRTAPIQSRGSKWKHRRGPTVPLRETDSVNSNIASLYKSIGLNTVLHLHIQISGENISRVG >OGLUM09G13300.1 pep chromosome:ALNU02000000:9:17241034:17241330:1 gene:OGLUM09G13300 transcript:OGLUM09G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRSMTVATTTRSLDGDMTVDEFKEWLRRFDVDRDGRISRDELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDGEVDGLIEYAQKSLGLRIVAY >OGLUM09G13310.1 pep chromosome:ALNU02000000:9:17253358:17255432:1 gene:OGLUM09G13310 transcript:OGLUM09G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVNMYNPEHHHHQSSSFMAPRISFSSDFALEPPPPAQQQPAARAPGDADFEFSVGSHPMMAADQLISKGRLLPLREAPHGHGGADAGGRPLTLRDELRTDSRHGRVPRAPNIRWKEFLGLKKAPKKAPTADAAAGATSSSADTQMDLGGQGSTRD >OGLUM09G13320.1 pep chromosome:ALNU02000000:9:17259723:17260175:1 gene:OGLUM09G13320 transcript:OGLUM09G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHALDLSIQYSTAEEFQTQMFDICFLVMVLVRSNALKPLNVMMENTYTLIVNSEAEGSRSILVAKSTTVCRAMKIEKFASNGRRPRPKFLHTFSYSYGSMASSMRGLRRASMAGTRRAGAGGPHFPAAAEGAARRSMAEEREAPAPVSG >OGLUM09G13330.1 pep chromosome:ALNU02000000:9:17262487:17263290:-1 gene:OGLUM09G13330 transcript:OGLUM09G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNTPRPRSQPPPPHPPLFKPTTPPPPPLLSTSTSTSPPHDFSFAHYLSFPPPSVQRRGRADMSRTPPLGRVGSDLSHNNYSSKANQHRQTGSSSSSSSSKEKDREYKAKSKASSPFFSGLGGSWRSGLSRDEEVKRKAKAKTRGLDVGQWVKKYMASMVEHLLASFSRHGGGEREKREQQRRRPHSFSAHGPSALREQRERWRRRRGQLSSAPASLRASPANSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSITVAK >OGLUM09G13340.1 pep chromosome:ALNU02000000:9:17269878:17274372:-1 gene:OGLUM09G13340 transcript:OGLUM09G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTAAAGAAVTVPLGVLLRREVTSERMERPDVLCGEAARSRKGEDFTLLLAEAGERVAGDPSTSFSVFALFDGHNGSGAAMYAKKNLLNNLLRAIPSGLSRDEWLAVLPRALVAAFVKTDKDFQAVAETSGTTVTFVVIDEWVVTVASVGDSRCILESADGSLYHLSADHRFDSNQDEVQRVTACGSKVGKLNLVGGPEVGPLRCWPGGLCLSRSIGDMDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLTFEMALECSRGFPSDIAANRIVNEAIQPRGLRDDTTCIVVDILPPEKLAPSPPTKRQGKIVFNNMFRRKHTDVSFILDREYAEPDEVEEIFDDGSAMLSKRLAAGYALQSMFEPFSCAVCQVQLKAGQGISVHSNPLQHEKLQGWQGPFLCQSCNEKKDAIEGKRPPRDS >OGLUM09G13350.1 pep chromosome:ALNU02000000:9:17279620:17292105:1 gene:OGLUM09G13350 transcript:OGLUM09G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEVYEKIVVHTLTHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEVMDVLAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKSKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKLCMTRCIQQVSLDPKKFVGVASAPDAVEYLHSGKSVGKVVVCIDPSYSQTLAKL >OGLUM09G13350.2 pep chromosome:ALNU02000000:9:17279620:17292608:1 gene:OGLUM09G13350 transcript:OGLUM09G13350.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEVYEKIVVHTLTHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKSKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKLCMTRCIQQVSLDPKKFVGVASAPDAVEYLHSGKSVGKIWYDPILLRASNGVN >OGLUM09G13350.3 pep chromosome:ALNU02000000:9:17279620:17292608:1 gene:OGLUM09G13350 transcript:OGLUM09G13350.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP protein (REF) [Source:Projected from Arabidopsis thaliana (AT1G49670) TAIR;Acc:AT1G49670] MELKPGMSALVTGGGSGIGKALCIALAQKGLFVTVVDFSEENGREAASLVQNENKKFHGDLKVPSAMFIKCDVTNAGDLSAAFGKHVDTYGGLDICINCAGIAIKTLVYDDRSDGTSTWRHAVNVNLVAVIDGTRIASQIMRSGKKPGVIINIGSAAGLYPMNFDPIYSGTKAGVVMFTRSLAPLKRHGIRVNVLCPEFVQTNMAEQLSRRIIDVTGGYIKMEDIVNGTFELIKDESKAGACLWITKRRGMEYWPTPEEQRKYMLNLPKSKRMLTQNTFSTVQTPEVYEKIVVHTLTHNFRDATRLERVRLRLPVEPHNALVKIIYAGVNASDVNFSAGRYFSGGAKETAARLPLDAGFEAVGIVASVGDSVNHIKVGSPVALMTFGAYSEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIALEKAGQMASGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKSKAALLSSLGVDRVINYQNEKIKDVLKKEFPRGADIIYESVGGEMFDLCLNALAVHGRLIVIGMISQYQGEHGWKPRNYTGLCDKILAKSQTVAGFFLIQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFVGVASAPDAVEYLHSGKSVGKIWYDPILLRASNGVN >OGLUM09G13360.1 pep chromosome:ALNU02000000:9:17306920:17314410:1 gene:OGLUM09G13360 transcript:OGLUM09G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTRENALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIEELQKDPWPVPADQRASRCTGAALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLLEPIRSHKDLDKDSAPLYDKAVKFYDQIAKQLVHQGHVLDVFACAVDQVGVAEMKVAIEKTGGIVVLAESFGHSVFKDSLLRIFQSSDNDLGLSFNGILEINCSKDVKIQGIIGPCASLEKKSPLSSDTVIGQGNTSAWKMCGLDKKTSLCLVYDIAKKDGSNTIGQAASGFDQEAAAAVMAHLVSFKMETEADFDPIRWLDRALIRLCSKFGDYQKETPSSFSLSPRISIFPQFVFNLRRSQFVQVFNNSPDETAYFRMVLDREDVTNAVVMIQPSLISYSFQSGPEPVLLDVTAIAADKILLLDSYFTAVIFHGITISQWRNAGYQDQQDHEVFAQLLKSPHEEADTIIRERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNSSHGGDVIFTDDVSFQVFMDHLMRLAVQ >OGLUM09G13360.2 pep chromosome:ALNU02000000:9:17306810:17314410:1 gene:OGLUM09G13360 transcript:OGLUM09G13360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFLDLEAQDGIRMPWNVIPGTRENALSCVVPISAIYTPLKQVPDIPVLPYSPLRCRMCRSILNPFSIVDYVAKIWVCPFCFQRNHFPQHYSSISESNLPAELFPQYTTVEYISTAETGPVVPPVFMFVVDTCMIEEEIGYLKSALAQVVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKDEMLDQMCFFAGKRKPTTGVIAGTRDGLSSESIARFLLPASECNRRIAKGPLACSS >OGLUM09G13370.1 pep chromosome:ALNU02000000:9:17315172:17316098:1 gene:OGLUM09G13370 transcript:OGLUM09G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPNPHLSMSINGGATVSAGWAATQPRRRRTVDHSSRSCLLQPSYGSNRGFGTRRIAVRSASQKNSSPPLMTTEQEAEDEVVLESPAHFRIYKSGKIDRLNRPPVLPAGLDEATGVTSKDVVLDADTGVSVRLFLPKLQEPSKKLPVVVFFHGGAFFIESAGSETYHNYVNSLAAAAGVLVVSVDYRLAPEHPLPAGYDDSWAALQWAASAQDGWIAEHGDTARLFVAGDSAGANIAHEMLVRXRRRRLRRQARLPFLPALHASLRLPPSCARRRRRRVPPLQLACRRERDMESHVDRVRDGMVS >OGLUM09G13380.1 pep chromosome:ALNU02000000:9:17316949:17318228:-1 gene:OGLUM09G13380 transcript:OGLUM09G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATVTAVQPAASSCGKRDGDNACVVDMPRKAKKGRSPPEEEVEAFLAAAESSVARRFAAKYNYDIVKDAPMDGRYEWVRVRP >OGLUM09G13390.1 pep chromosome:ALNU02000000:9:17319039:17321750:1 gene:OGLUM09G13390 transcript:OGLUM09G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWNCHCFVRLGRFPNPHQLRRGAAPPLLLSARRLRRRTGRRLDQRLTAAQVRKPFTYPASAAPPLAASRVATSPRKQSTLQSTRLHRPSKPSPLFCLSSRDSYSDMLEGGNFSNQETLYEVLSVRKDATYDEIRAAYKSAVLNTHPDKAQMALNPLVSSSERNEFLSVQKAWEILRYPKSRAEYDKQLQSSRQNLEIVATEIEIDDMIVESTADSVELLYPCRCGDYFSITSRELGQIGISVREDGEMELHTSDSVPASVVLGCGSCSLKARLVTNKT >OGLUM09G13400.1 pep chromosome:ALNU02000000:9:17325028:17326804:1 gene:OGLUM09G13400 transcript:OGLUM09G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLAQEYHPKLPATNHYCKSLSSLIRETYAHCHVPCARIPAAGWSSGDDSDDDDSLLDEVLDTKQVIMNEMRNRQMKKRARCSLDSPMSSPFVWSFTPLDPRSVLEKFPSPKESVTEEEEKTTGKEEEVGGDADDESEAFFSVKSFFSRSTSRGATVASSAFAAVDPPPPLLSPAKWEGLRDCEGWPFGLCRLPGPAVLPLPPLPSTPADSWQWRKRSSNLAGSPAPAYSYKTTPSRSSS >OGLUM09G13410.1 pep chromosome:ALNU02000000:9:17332111:17333058:1 gene:OGLUM09G13410 transcript:OGLUM09G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETEPDAVVFEAPAHFRIYKSGKMDRLHRPPCLPAGVDEATGVASKDVVIDAGTGLSVRLYLPKIQEPSKKLPVLVFFHGGGFLIESADSSTYHNYVNPLAAAAGVVVVSVDYRLAPEHPLPAAYDDSWAGLLWAASAQDGWLAEHGDVSRLFIAGDSAGGNIVHDMLLRAASNGGPRIEGALLLHPWFGGSTVLEGEPPAAAALTGMIWCYACPGASGGADDPRMNPLAPGAPVLEKLACERMLVAAGQTDGLAARDRAYYDAVAASPWRGTATWVESEGEGHVFFLEKPECDKAKQLMDRVVEFISAGSPA >OGLUM09G13420.1 pep chromosome:ALNU02000000:9:17333318:17340469:1 gene:OGLUM09G13420 transcript:OGLUM09G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRISIQSLIGSADATPSLVAMEPHADEVVFDGPYFRIYKNGKVDRLHRPLLVAAGVDDATGVVSKDVVLDAGTGLFVRVFLPKVQDQETGKKLPVLVYFHGGGFIIESADSATYHNYLNSVAAAAGVLVVSVNYRLAPENPLPAGYDDSWAALQWAVSAQDDWIAEHGDTARVFVAGDSAGGNIVHEMLLRASSNKGPRIEGAIVLHPFFGGSTAIDGESDDAVPKGSKLWAVACPGAANGVDDPRMNPTAPAGAPALEKLGCERLLVCTAQEDWLVARGRAYYGAVAASAWRGSAAWHETEGEGHTEGEGHVFFLRDPGCDKAKQLMDRVVAFISGA >OGLUM09G13430.1 pep chromosome:ALNU02000000:9:17342892:17346446:1 gene:OGLUM09G13430 transcript:OGLUM09G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDADELVFDSSYLRIYKNGKVDRLHRPPLLAAGVDDATGVVSKDVVLDAGTGLFVRVFLPKVQDQELGKKLPVLVYFHGGGFIIESADSATYHNYLNSVAAAAGVLVVSVDYRLAPENPLPAGYDDSWAALQWAVSAHADDWITEHGDTARVFVAGDSAGGNIVHDVLLRASSNKGPRIEGAIMLHPFFGGSTAIDGESDEAVYIASKVWPFACPGAVNGVDDPRMNPTAPGAPALEKLGCERLLVCTAQEDWLVARDRALTAIHLATAMKSNAADELLLELDSLRIYRSGKMDRLHHPVFAPAGVDVATGVTSKDVVVDADTGLSVRVFLPARPDLSKKLPVLVFFHGGAFVIESAFSTTYHGYAASLAAAAGVVAVSVEYRLAPEHPVPAAYDDAWAALQWAASGKDEWLAEHADNGRLFLAGDSAGGNMVHNVMIRAASSHPAPRIEGAILLHPWFGGNAVIEGESEATARDMAKIWEFACPGAVGGADDPRMNPTAGGAAGLENLRCERVLVCTGEKDWAGARGRAYHAAVAASAWRGSAACLESEGEGHVFFLEKPECAKAKELMDRVVAFISAS >OGLUM09G13440.1 pep chromosome:ALNU02000000:9:17355372:17356418:-1 gene:OGLUM09G13440 transcript:OGLUM09G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILLPILLFLLCSRGGSGAPAARRGMRVPPLGGDPVKFDFSPFLIEYKSGRVKRLMGTDVVAASADVLTGVSSRDVAIDPANDVRARLYLPSFRATAKVPVLLYFHGGAFVVESAFTPIYHAYLNTLAAKAGVLAVSVNYRLAPEHPLPAAYDDSWAALKWVLANAAPGTDQWVSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPMGADAMDPAYLQSAARTWSFICAGKYPIDHPYANPLALPASSWQRLGCSRVLVTVSEQDRLSPWQRAYYATLRSSGWPGQAEFYETPGEGHVYFLTKLSTPQAQAEMATLVAFINRN >OGLUM09G13450.1 pep chromosome:ALNU02000000:9:17357799:17358763:-1 gene:OGLUM09G13450 transcript:OGLUM09G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHVSVMDRKTVSAFQAKKSREVSFVVIEPVQGRSETSVPPNLEVQQDTIVRLMHIQIHYKTAPFTGWFQFGIIGFGGATAQHRWHRRRYLRFINRSCRQYNPMTKEAPNRQMIILGTESLSSTEIFAIGNGDYCINRRSKRGESWSSSEQFVSSETDRTHNY >OGLUM09G13460.1 pep chromosome:ALNU02000000:9:17360765:17362421:-1 gene:OGLUM09G13460 transcript:OGLUM09G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYGTVMLSAALGPSPLTVPPWYGLFLLLPWKPDAINQFVMTIHGIHRGAHDVQGTSSGPTPFCVPCPPGRERGPKEERHPHHRARRKERKRTGPAPAMAMQPPRRKTGPAPTMTTQPLRIRIGRGEEEGRGRGELEVDPRRRLGHTAATVVGGGGEGAGATPLDSRRSEEEERSGGRRGACPRVGQEGRPSKEEIRGVDRSWKKREAGEEGAAARWGERPPDLAAPPPLRPAPSPPRHRSARCRRRSSCHPALYGWKRKRGEREKWKSGIGGTHYKLFPYVLGYKMFWL >OGLUM09G13470.1 pep chromosome:ALNU02000000:9:17369510:17376643:1 gene:OGLUM09G13470 transcript:OGLUM09G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWPGMAEWLESKGEEHVFFLNKPDCEESVALMDRVVAFLAAAIVGVVLLALRPGPKR >OGLUM09G13470.2 pep chromosome:ALNU02000000:9:17368114:17371797:1 gene:OGLUM09G13470 transcript:OGLUM09G13470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIGLVELHLAVPPALLDPLRVRARPLHEPAVLRRSDQDAAGPELPPLLRRRQRVDARVVEAELAGADELPRAVERAAVAGVGGLAAHGVLPPEVGVEEHHAADLVAVALDPFLPQPDRRVVRHVGAGAVAREHDAGRVAVRGEPGLRAVGAARGDDRPEGGERVVVGGRDGVLRGEAVVRRDDDGGDRRGEGGGVPVVERGRGGVDQERAAVEEEHDGELLPRLHTRREVETSGDAGGRVEDDVLGDDAVGGVAGWGRRDGLRAVEALDAAVLVHAQDAGHIELDLGSGGVHGGGGGGVRAAGLEADWDRELQEQSSKRSGEQSHTQQPTRQFWSGCCHLDGEQTARPTRDPLT >OGLUM09G13480.1 pep chromosome:ALNU02000000:9:17368689:17369647:1 gene:OGLUM09G13480 transcript:OGLUM09G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTELRFDTPLLRIYNDGRVERLFGTETTPAGFDGATGVTSKDVVIDDATGVSARLYIPDLPASGPGHHRKKLPIVVYFHGGGMVLDSAASPTYHRYLNSLVSKAGALAVSVNYRLAPEHPLPAAYDDAWAALSWTASAADPWLSEHGDVGRVFLAGDSGGANVVHNVAIMAGAGQSSLPPGATVEEGAPSLQKLGCRKLLVCSAESDIVLARAAAYYQAVMASGWPGMAEWLESKGEEHVFFLNKPDCEESVALMDRVVAFLAGN >OGLUM09G13490.1 pep chromosome:ALNU02000000:9:17370568:17371674:-1 gene:OGLUM09G13490 transcript:OGLUM09G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPELSRWLLRVALLAAPLAALLLQLPIPISLKPRSTDTAAAAAMDAAASEIEFDMPGVLRMYKDGRVERFDGTQTVPPSPSGDPANGVVSKDVVLDPAAGISARLYLPPGVEPGKKLPVVLFFHGGAFLVHTAASPLYHRYAASLAAAVPAVVVSADYRLAPEHPVPAAYDDAFAALRAVVAACRPDGAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEGIEGYGDKVSGVVLLHPYFWGKDPVGGESTDAGYRGSFHGTWEFVSAGKLGLDHPCVNPLASPEEWRQLGAGRVLVTTAEHCWFVERARAYAEGIKKCGWDGEVELHETDGEGHVFFLPKPDCDNAVKELAVVTDFVRRC >OGLUM09G13500.1 pep chromosome:ALNU02000000:9:17376974:17378116:1 gene:OGLUM09G13500 transcript:OGLUM09G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKIKRQIGGLSLLAKLGLLLLALLLLLAAILLLVFLLPRHHRRPLPPGSPPVNASDPDNIVAFDFSPFLILYKSGRVHRMDGTDRVPAGVDEATGVTSKDVVIDRSTGVGARMYLPPAKGAGKKDLAGALPVLVFFHGGAFVIESAFTAKYHDYLNKVTAKARVVAVSVDYRLAPEHPVPTAYDDSWQALNWVAKNGRSGPEPWLRDRGNMSRLFLAGDSAGANIAHNMAMRAGKDGGQLEGGVAITGILLLDPYFWGKNPVGAETTDPARRRQYEATWSFICDGKYGIDDPLVDPLSMPAPEWRKLACSRVAVTVSDLDDFKERGKAYAAALRDSGWGGEVEEYETAGEVHVYFLDKPSSPKSAKELTFVAGYLSHE >OGLUM09G13510.1 pep chromosome:ALNU02000000:9:17377741:17378258:-1 gene:OGLUM09G13510 transcript:OGLUM09G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSIDAQDKKTFCDQSDTHAVVLLLLLVAQVAGDEGQLLGGLGARGLVEETCTSPAVSYSSTSPPHPLSRSAAAYAFPRSLKSSRSDTVTATREHASFRHSGAGMDSGSTSGSSMPYLPSQMNDHVASYWRRLAGSVVSAPTGFLPQK >OGLUM09G13520.1 pep chromosome:ALNU02000000:9:17380619:17388814:1 gene:OGLUM09G13520 transcript:OGLUM09G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3Y9] MQASHPSCSQITTLRNTIVSCVTYPQEIRNMESEKHIICRHPPTYGNLITVLSIDGGGIRGIIPAVVLTFLESELQKLDGEEARIADYFDVIAGTSTGGLVTAMLAAPNKKRRPLFAAKDIKAFYMNHAPKIFPQLRGPFGRMMRIFRSMSGPSYDGKHLHEVVREKLGSTRLHQTLTNVVIPTFDIKRLQPTIFSSYEVKKKKNNNTMDALLSDICISTSAAPTYLPAHFFRTEDCHGNIKEFNLIDGGVAANNPALVAIGEVSKQIFKKNPDFFPIKPMDYGRFLVISLGTGSPKIEGKYNAQKAKSWGVLDWLLVGGSTPLVDIFTQASADMVDIHIAAVFKVLHSEQNYLRIQDDTLEGTLASVDVATRDNLEKLANVGEILLNKPVSWANLETGQMVPACDDSEITNGEALKR >OGLUM09G13530.1 pep chromosome:ALNU02000000:9:17380877:17381851:-1 gene:OGLUM09G13530 transcript:OGLUM09G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDIDGEVVFEVEHCIRIFKGGRVERYFGSDSVPASTDAATGVASKDRAISPDVSVRLYLPPVAGVSGEGEGKKLPLLIYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYEDSWQTVLWAASHAPGAGEETWLTDHADLSRVYLAGESAGANIAHNMAMRAGAEGLPHGGRVNGVVLVHPYFLGRGKVPSEDWDPAMAENVVKMWSVVCPATTGVDDPWINPLADGAPGLEGLACGRVLVCLAEKDVIRDRGRAYCEGLKASGWAGEVEVVEVAGHGHCFHLMDFNGDEAVRQDDAIAEFVNR >OGLUM09G13540.1 pep chromosome:ALNU02000000:9:17382436:17383169:1 gene:OGLUM09G13540 transcript:OGLUM09G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASASARRSTPRRTYRLAPERPVPALYDDAWAALQWVASHAAGEGQEPWLTAHADFGRVHVGGESAGANIAHHAAMRAGAEELGHGVKVNSLVLIHPYFLGGDGDGYSESDEMGMALLRELIRLWPVVCPGTSGCDDPWINPMADGAPSLAVLGCRRALICIGGKDAMRGRGRLYCEKLRECGWRGEVEIWEADGQGHGFHLLWPTCTQAEAQLRVIAEFLSHG >OGLUM09G13550.1 pep chromosome:ALNU02000000:9:17408418:17412733:1 gene:OGLUM09G13550 transcript:OGLUM09G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGGGGDVVQKPQQVVAAAPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >OGLUM09G13550.2 pep chromosome:ALNU02000000:9:17408404:17412733:1 gene:OGLUM09G13550 transcript:OGLUM09G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVVANGGGGDVVQKPQQVVAAAPPPQAALPPPPHWVAMPFAPPGAAAMVMQHQMAPAPPQFAPHFVPFHAVGPPPPPQPRAAPPPVAVAMGSPAPHAQGGGGGQEENKTIWVGDLHYWMDENYLHSCFGYTGEVVAIKVIRNKQTGQSEGYGFVEFYSHAAAEKVLEGFAGHIMPNTDQPFRINWASFSMGDRRSDIASDHSIFVGDLASDVNDTTLLETFSKRYSSVKGAKVVIDANTGRSKGYGFVRFGDDNEKTHAMTEMNGVYCSTRPMRIGPATPRKTSGTSGPTGSAARSDGDLTNTTVFVGGLDPNVSEDDLRQTFSQYGEISSVKIPVGKQCGFVQFVQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQLRSDNGSQWNNGMYYAASPFYSGYGYPAPFPADPGMYAAAYGAYPFYGNQQQVS >OGLUM09G13560.1 pep chromosome:ALNU02000000:9:17415990:17426578:-1 gene:OGLUM09G13560 transcript:OGLUM09G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQITLSVLIIILLALGASVTDASSHSQEDQLIKFMESRALKRLRNRPNKNGPGEDDQWADPGRFSHLATRSSTKEDDRIAALPGQPRGVNFAQFAGYVTVDRKNGRELFYYFVESPYDASTKPLILWLNGGPGCSSLGFGAMKELGPFRVNPDGKTLSRNKHAWNNLANVIFLESPVDVGFSYSMNSSDYSDVGNQITAEDTYVFLLNWFNRFPEYKGCDFYIAGESYGRSPPLSRLSTISLTAIPPSTSQASKGAKQGGEGRLVVVHDGNNNRRGWLGQVGNPLLDEYKNGEGNLEFLWSHGVISDEVWGKILANCTFTSSDDWPCFVAAHSFQRGNIDRYNIYAPVCLHEQDGTFRSSGYLPGYDPCIDYYIPRYLNNPDVQKALHARADTNWSGCNLDLAWNDSPDSMVRTIKRLVENGLSVWIYSGDMDSICSLTATRYSVKDLNLTITHKWRPWYTPDNEVGGYVQQYEGGFTLASVRGAGHLVPSFQPKRSLVLLYSFLKGMLPPADIPNFVLIICVAALHANGSPEEARFREFVRSRRSSTVTVSGSNGYSAHEPGARVSSRLQEEYSVSDQSNLKAADKITALPGQPKGVGFNQYGGYVTVDEMNGRALFYYFVEATTDAAAKPLLLWLNGGPGCSSVGYGAMIELGPFRINSDNKTLSRNEYAWNNVANVLFLESPAGVGFSYSNTSSDYDKSGDQRTANDSYIFLVGNPCLDEFKNLKGQIDYLWSHGVISDEVLANITKNCRFSPSDGKACSDAMDAFDSGNTDPYDIYGPVCINAPDGKFFPSRIVPGYDPCSNYYIHAYLNNPVVQKALHARVTTWLGCKNLHWKDAPVSMVPTLKWLMEHGLPVWLYSGDLDSVCPLTATRYSVGDLGLAVTEPWRPWTANREVGGYVQQYTGGLVFISVRGAGHQVPYFQPEKALIVVSSFLRGALPPYVKQQ >OGLUM09G13570.1 pep chromosome:ALNU02000000:9:17430386:17430937:-1 gene:OGLUM09G13570 transcript:OGLUM09G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRHGATTMAAFQICAQLATSLLADGLAVASQALLASVFAKKDHYKVAVTTARVLQLAVVLGVGLTAFLAAGMWFGGGVFTSDAAVISTIHKGVPFVAGTQMINTLAFVFDGE >OGLUM09G13580.1 pep chromosome:ALNU02000000:9:17437749:17442430:1 gene:OGLUM09G13580 transcript:OGLUM09G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAPEMNGRARRPAAAAHGAKAASTKSDRREKISPLTRGRQLAFESYSDRMMEKDQRKGAMPVKKGSSANAVTKGITNRIQARRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIIFSSAKNTSLPGGEGCVPAQLMPSSPVPNSEVSPANCHSPPTRPSMNGVAGAKQTPRKPSPSAAVAQDDRSGAGKENQSCSNTPARNCRHSPLLQKASKSRLPTAAAPEKRRATAQTISTVPDRKRLADTVSNNSEKASQDDSSVPNRLSEELLRCLLAIFSQMGGSSASGQDEEQAALSPSVSGSCESSEDAYPQDPYGILEFGTRDVGSYKRFHVIDATSFDQTAMENDTMLTRKLKWPPDIISIADRGGSCLPGKICRALIRRLSSVDLTGLSHQQKLAFWINIYNSCMMNAFLEQGIPTTPHMLVAMMPKATINVGGRTHSAMSIEHFILRLPYSVKHVNPGGVTKGAADDMTMRGVFGLEWPEPLVTFALSCGSWSSPAVRVYTARGVEEELEAAKRDYLQAAVVVSAPAKVAIPKLLHWYLLDFAKDVDSLMDWVCLQLPSELRQKAMRIVEDGRRGVAAESRRVQVLPYEFRFRYLLAS >OGLUM09G13590.1 pep chromosome:ALNU02000000:9:17455726:17472192:1 gene:OGLUM09G13590 transcript:OGLUM09G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFVDGPTLRSLLRPSTNGRRTKASDGGGGGGGGGIFKMFKLMPMLTSGCKMVALLGRHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPVFLIELPMLTSALHKEISSGVVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYSIRRKEASDDERHVLRLLRGLALESDTRSARRRLVEEYVWAVYCNGRKAGYSIRRKEASDDERHVLRLLRGVSMGAGVLPAAPEKEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPNEGGVGGDSAGDGSAPELSIFLVRMK >OGLUM09G13600.1 pep chromosome:ALNU02000000:9:17477201:17477815:1 gene:OGLUM09G13600 transcript:OGLUM09G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAARGQAEQWMRVAEKLLVARDLEGCKEFVSQALGTDPHVPGANDLLAAADVLLAAQRRRIPNGHPDPYAVLGLDPSTPASRRPDAIHAQYRRLSFLLNRSHPDRPFSLSFAEAARLVADSWAFLSDPILKSALDAELDAAAAAAAAAAASATANSTEGGSPANSTKGASPINSTESSAPVNSTAAGGSTATRRCSGAAD >OGLUM09G13610.1 pep chromosome:ALNU02000000:9:17477856:17493904:1 gene:OGLUM09G13610 transcript:OGLUM09G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCHIHQYDRLYEARKVLCPSCRQPFVAEEMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFEKLISSQQHGTDQPNTPWLGTTGGAEADGVAGAENGAPVSAAVEVQSAPKPAKPVRVKQYGLTPNSGEAKAAPPVDTMTIVVSLGVERGLGSNVSRQEAAYQDSLQDD >OGLUM09G13620.1 pep chromosome:ALNU02000000:9:17491565:17494644:-1 gene:OGLUM09G13620 transcript:OGLUM09G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B400] MAPSLLRPASPCLNLAPPTADGPGRSRSAVTIGGSRPLSVSLRVGGSSRRDFPCTTMASRDHSGLTRQLLDFQHGTVDEIDGEHDPFMELKARFMDFKHRNCVDNISNYQNLAQQQTPKFMVVACADSRVCPSSVLGFQPGEAFTVRNIANLVPPYQVENVLVVGHSRCGGIQALMSMKSKQDDSQSRSFIRDWVSIAKSARLSTEAAAGNLNFELQCKHCEKESINSSLLNLLTYPWIEKRVNEGTLSLHGGYYNFIDCTFEKWKLVYRQGLEGGSKYAIKNRTTWS >OGLUM09G13630.1 pep chromosome:ALNU02000000:9:17495153:17496179:-1 gene:OGLUM09G13630 transcript:OGLUM09G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKLDPEAGGTIKPALNYPGTARLRSVAPNQRVPLSHSHPTSEMPSGWESTGEGAPFLAGACCVLWSPGPCDQQLGAWLLPPPPHAQLQLRSLTRHHLRRCLLSHVHLKTQRSSTCGAPPDTTTASLPPASSRRLVVQSIGEL >OGLUM09G13640.1 pep chromosome:ALNU02000000:9:17516067:17516793:1 gene:OGLUM09G13640 transcript:OGLUM09G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARSGDDAELGLDVECLAAAPRWTRARRSHSEAERKRRERINAHLDTLRGLVPSAPRVRMDKAALLGEVMRYVRKLRSEAAGSAAVVPGEGDEVVVEEEEVEVEGCSCDAGERQAARRVKASVCCADRPGIMSELGDAERSVSARAVRAEIATVGGRTRSVLELDVARTAAAGGGSNGASQLPALQAALRAVIMSQEELLAVEGYKQRRFSADFA >OGLUM09G13650.1 pep chromosome:ALNU02000000:9:17529081:17530085:-1 gene:OGLUM09G13650 transcript:OGLUM09G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCERDMNKESMYQERDDMAGIRFATPPPPQQQQQQQLVECFSDEVDSRGSGGEMKDAVGSGSGQLVVVGGGDGASIEVAKKRRGRPPGSKNKPKPPVVITREAEPAAAMRPHVIEIPGGRDVAEALARFSSRRNLGICVLAGTGAVANVSLRHPSPGVPGSAPAAIVFHGRYEILSLSATFLPPAMSSVAPQAAVAAAGLSISLAGPHGQIVGGAVAGPLYAATTVVVVAAAFTNPTFHRLPADDDASVSVSVSLSGSGDADEHRGHQHKPEPQEPRQLRRPPPHLSAAAAVSAAQPVEPCGAPMYACHPQPQEVMWPPPARTPHPPPPPPY >OGLUM09G13660.1 pep chromosome:ALNU02000000:9:17541312:17548145:-1 gene:OGLUM09G13660 transcript:OGLUM09G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILLFDSDTFKCQISHWRQGHKDECHPPRVDARPDNITVVSSVKKGVGMYNSFEQSVKSSVEPAVEVNKSVAAVPELSEANLVSDGVDNERKKMRDQKASITAKVSEDVLDNNRIRSVDSSRLPTSGKACNIQDATVNENFSKTSAGSSSSRVERSTTSEPELNHSNKQASGTDNLKSSRGLPSLSTVGTISSIHGSEKEAAMPNNRSLGKNIPRQQAAAKVVRHYPSEMTLFPYEYFVKLYNFDKVELRPFGLVNLGNSCYANAVLQCLAFTRPLTAYLTEGLHSRNCNLILSQNCVTYHGSKKEWCFMCEFEKLILEGRRGKSHLSPTGILSHLRDIGSSFGPGREEDAHEFLRYAIDTMQSASMKEAKKNGVYGLPEETTLVQLIFGGYLRSKIKCTMCQGSSEQCERILDLTVEIDGDINTLEEALHRFTSTEILDGDNRYNCSRCKSYERAKKKLTISEAPNILTIALKRYQSGNFGKINKAVRFTEYLNLSNYMSTADDISPVYQLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWHKMDDSQVKPVSLEKVLSKCAYMLFYARCSPRAPNSVRKMILAQDSSRTKKAKQMVDPGPPSLEGGSYLSRHQGGQSCRDHIVYDLTYTFGVSSYTVVESPSPSDSSSLFSNSDAGSTSTFSSDSTDSTRNSTSMEEYDYIFGSSDQMYPVSTVVIPKEHELSYSRQRSSLNPSTSSQYVDQAAEVEMLHQHQHQAGRGGWDEGDVMPFFYSNQGKHHDSSRSSNISSSNRKLTEQRRTIGEVDHGPGEGHGSVLLRRAARERIAQAIY >OGLUM09G13670.1 pep chromosome:ALNU02000000:9:17560312:17560616:1 gene:OGLUM09G13670 transcript:OGLUM09G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIPVPTPPDTGRDVGALYPSHPLVDGHSPVRATDARLIAGRRTTSHAALNAARGRAPISAHSTATWQPSEGLGVAQPLEPEGCNGTPRGP >OGLUM09G13680.1 pep chromosome:ALNU02000000:9:17561251:17561459:-1 gene:OGLUM09G13680 transcript:OGLUM09G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSRKREREIVEQYVATAAFATLLMVGGVMGDALVSEMCLHRAKIDAVVRMECERMRDGLE >OGLUM09G13690.1 pep chromosome:ALNU02000000:9:17576477:17580805:1 gene:OGLUM09G13690 transcript:OGLUM09G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNAATSQQPAECEKRGAISLPNSGRRLRLQRLKQRRGAASPDLVLDRILQPKIPTGNRNRSAAPTLQVGQIQQAKKWRIQHGVYGVSSPTTGVIY >OGLUM09G13700.1 pep chromosome:ALNU02000000:9:17581742:17582710:-1 gene:OGLUM09G13700 transcript:OGLUM09G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGFVEGGAACVVAGSCTHPLDLIKVRMQLHGEAPPAPALAFPGGGAHHHHHHHLLQQQQPPRRPGPIAVCAQILRAEGPTGLLSGVSATMLRQTLYSTTCMGLYDTLKRRWERDDGGGGGPLPLHRKVAAGLFSGGVGAAVGNPADVAMVRMQADGRLPAAQRRNYRSVADAIVRMARDEGVCSLWRGSPLTVKRAMIVAASQLATYDQAKEAILARRGQGADGLATHVAAGLAAGLVAASASTPVDVVKTRVMNMKVVAGAPPPYSGALDCLIKTVRSEGAMALYKGFVPTVTRQGPFTIVLFVTLEQVRKLLKGVDF >OGLUM09G13710.1 pep chromosome:ALNU02000000:9:17584604:17588318:1 gene:OGLUM09G13710 transcript:OGLUM09G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFIATYISSLKTANECSPDQIRKALKKTFSSRKRKGKLRKAWDGTKVIYNVDSWSATAIGIYHNQAILKVATTAFRTSCSRQRLGRILAAERQQQVISRNSGTT >OGLUM09G13710.2 pep chromosome:ALNU02000000:9:17584580:17588316:1 gene:OGLUM09G13710 transcript:OGLUM09G13710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECRELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKALKKTFSSRKRKGKLRKAWDGTKVIYNVDSWSATAIGIYHNQAILKVATTAFRTSCSRQRLGRILAAERQQQVISRNSGTT >OGLUM09G13710.3 pep chromosome:ALNU02000000:9:17584580:17588432:1 gene:OGLUM09G13710 transcript:OGLUM09G13710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGPPSASSSPAAAPRRSAPTMRLRREALESVLEELRRALDELRECRELGVPLPDPEGAVNDGGGGEEPPDNEEEGGGGGGNDDDSAASLAGGSDGETDKLCDLLKSTFESPNFFQKVDEIQKSLYQNDAVEQDPSWDIVKAVDLWEDDDLGDGYVLVKNDDATEGMAFFIATYISSLKTANECSPDQIRKALKKTFSSRKRKGKLRKAWDGTKVIYNVDSWSATAIGIYHNQAILKVATTAFRTSCSVISKFL >OGLUM09G13720.1 pep chromosome:ALNU02000000:9:17587951:17592642:-1 gene:OGLUM09G13720 transcript:OGLUM09G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B412] MASISGAAAPPSSSAACRLRLRRQLLLRPSHLRLRAPHSIADLSRSSSSSSEQQPSSTPAAPLASRPGQNGSTRGAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLRRMEPRMQRAFAAMRELEKGAIANPDEGRMVGHYWLRDPGLAPNSFLRTKIETTLDRILAFSQDVVSGKIKPPSSPAGLFTQILSIGIGGSALGPQFVSEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFRDAGLDFSKQGVAVTQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQVKENPAALLALCWYWASEGIGNKVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQVDIQLLRYRTMTFFSMNAMTEERNILQGTRSALYSNDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLTVLNEARHIEMIYKIIQHMAANDRALIAEGSCGSPRSIKVYLGECNVDEDMLAA >OGLUM09G13730.1 pep chromosome:ALNU02000000:9:17599224:17601337:-1 gene:OGLUM09G13730 transcript:OGLUM09G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAAAAAALVRLPLRPARATLPNQGTHARTHARRDTVHSPRIFRCMGDGRAKTLVLFTPQGFGRRRAGASSLAVRAKDSDDLRVLISEKPAEPAPAKREGWEGFGREVGDGDGEVQMQGESTSWNVLNQIGVELDSENSYTALVYGTSALVTIWISSIVVSALDSVPLVPQVMEVVGLGFTVWFTSRYLIFKENRDELITRIGSIKKQILGSRGD >OGLUM09G13740.1 pep chromosome:ALNU02000000:9:17615918:17618772:1 gene:OGLUM09G13740 transcript:OGLUM09G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSYEMAASILLCAEDSSSVLGFGGEEEEEEEDVVAGKRARCAGPPPPPCVDVAGVDFAVPSEECVARLVETEADHMPREDYAERLRAGSGDGDLDLRVRMDAIDWIWKDGKDWMTQLLAVACLSLAAKMEETDVPQSLDLQVGEERYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYVDYFLRELNGGDPPSGRSALLSSELILCIARGTECLGFRPSEIAAAVAAAVVGEEHAAFSHVNKERVSHCQEVIQAMELIHPKPASPSRVFVSSSIPRSPTGVLDAAGCLSYRSDDSAVASHYAASSWGYEHDSSPVSSKRRKISR >OGLUM09G13750.1 pep chromosome:ALNU02000000:9:17619689:17620444:-1 gene:OGLUM09G13750 transcript:OGLUM09G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWLAKTLPPLAKFGQSKSLANGRPHVLLPPAASLSASSLSYPSSPFVSARRPGVTAGTADPTGRRLPCQPSSPLPAAIAFLLRRRPSARLDNEDDGAARRLSNNAGAELVMADAAVPLAAADFEQVDQFACGGFSLTVAMNHLLAGGESVCAIDSEEGRARRSGWRAWRRGRPARIRSRRRLMCLSSSSSGAGHRRVKRRHRRRFPIHAPPRLKPPPPHPPVGKRATGAGWVEVGKGKGVTVEEERGRR >OGLUM09G13760.1 pep chromosome:ALNU02000000:9:17629290:17632372:1 gene:OGLUM09G13760 transcript:OGLUM09G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSIGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQAFTR >OGLUM09G13760.2 pep chromosome:ALNU02000000:9:17629290:17630792:1 gene:OGLUM09G13760 transcript:OGLUM09G13760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATEANPYAMPSSSRTETVKNALSRWARRVGETTRKAEDLSRNTWQHLRTAPSIGEAAVGRIAQGTKVLAEGGHDRIFRQAFSAPPDEQLRKSYACYLSTSAGPVMGILYLSTARVAFCSDSPLSYEAGGGSKEWSYYKVAIPLHRLRSASPSASKQRPAEKFIQLVSVDRHEFWLMGFVNYDSAVKHLQEALSGFHHLQA >OGLUM09G13770.1 pep chromosome:ALNU02000000:9:17639435:17640274:-1 gene:OGLUM09G13770 transcript:OGLUM09G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDHDDGDEEMPPMPVSSSYETPPQHGLAGGGMAPKPPGEIGSRVKGPSCGGGRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGTIDALRCAACNCHRNFHRKESESLAGEGSPFSPAAVVPYGATPHHQFSPYYRTPAGYLHHHQHHMAAAAAAAAAAAGGHPQRPLALPSTSHSGRDDGDDLSGMVGPMSAVGPLSGMSLGAGPSGSGSGKKRFRTKFTQEQKDKMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLGKKLP >OGLUM09G13780.1 pep chromosome:ALNU02000000:9:17647292:17648723:-1 gene:OGLUM09G13780 transcript:OGLUM09G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSREGNLKHVKGSLCLVPPLLLAVFFYLQFQTLGLFSPIFRCGGQSAGGGGGDDYVDRLRASATFLPLKDTREWAETWFISTLDDTSEPEGEAKNIVFPSAASAGRLLCMSAPSRRDGTRNAYALAWRDALPGGAELRPGLAYVSETAYDHSNLWHGISALIPFASWHARSGCRARPARWALFHHGEVRLGMSPWLTSLAEATTGVGMVVETFNASDVPVCFEEAVVFRRNMAGMTRERLLAAFDFMRCKARAQCGVVADVSDPDSAAVRVTILFRTGARAFKDEAAVTRVFKSECARVAGCALTTARSDNLTFCDQVKLMSGTDVLISSHGAQMTNLVFMDRNSSIMEFYPKGWRERAGGGQFVYRWGADRSGMRHEGSWWDPHGEPCPGSPDILSCYKNRQIGHDEAYFAQWAARVFAAAKERKAGGSPASTRRREAPTCQCS >OGLUM09G13790.1 pep chromosome:ALNU02000000:9:17650569:17653649:-1 gene:OGLUM09G13790 transcript:OGLUM09G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoenolpyruvate carboxylase-related kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G12680) TAIR;Acc:AT1G12680] MESSLPRKRKGARSACSLVGSSHEPTTVARKRACREPKPRPEKKKKDPSSDDASGRGGGGGVVQTAPPASGRAAPESPSRGLKRKLGCIESATRMGRKKRLESEYELGAEIGQGKFGSVRICRAKVGGEEFACKALPKNGEETVHREVEIMQHLSGHPGIVTLKAVFEDADKFYLVMELCGGGRLLDEMAREGKFSEQRAAIVIKDLMSVVKYCHEMGVVHRDIKPENILLTKAGKIKLADFGLAARVADGQKLSGIAGSPAYVAPEVLSGCYSEKVDVWGAGVLLHVLLHGSLPFQGGSLDAVFEAIKTVELDFHSGPWESISSLARDLISRMLNRDVPSRITADEVLSHPWVVFYTECPLKAVTANLSITNNIVAPRITWDRIRLHCESISSDSSSQRSADQDECGIVDALTAAITNVRISEPKRSRLCNPAIPIQQECSSNLKSNLCTAF >OGLUM09G13800.1 pep chromosome:ALNU02000000:9:17678053:17685752:1 gene:OGLUM09G13800 transcript:OGLUM09G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MSPSAPPSALRLRGLPKVSLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >OGLUM09G13800.2 pep chromosome:ALNU02000000:9:17678053:17683847:1 gene:OGLUM09G13800 transcript:OGLUM09G13800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MSPSAPPSALRLRGLPKVSLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >OGLUM09G13800.3 pep chromosome:ALNU02000000:9:17678053:17685752:1 gene:OGLUM09G13800 transcript:OGLUM09G13800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MSPSAPPSALRLRGLPKVSLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >OGLUM09G13800.4 pep chromosome:ALNU02000000:9:17678053:17685752:1 gene:OGLUM09G13800 transcript:OGLUM09G13800.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MSPSAPPSALRLRGLPKVSLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQCH >OGLUM09G13800.5 pep chromosome:ALNU02000000:9:17678053:17683847:1 gene:OGLUM09G13800 transcript:OGLUM09G13800.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:purin 7 [Source:Projected from Arabidopsis thaliana (AT3G21110) TAIR;Acc:AT3G21110] MSPSAPPSALRLRGLPKVSLPASSSSPPSARFPHHLSMSASSSRRPPPLAATAAAAGTGSGASPSLLAADPGHRDAVLLAARGAMANCLGETSLHLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFDRTRHITPNAVVSSPDKNVTIAKRCSVFPVEFVVRGYVTGSTDTSLWTVYNKGARNYCGNVLRDGMVKNQKLSANILTPTTKAADHDVPVTPEEIINSGLMSKEDFDEARSKALSLFAYGQEVALENGLILVDTKYEFGKTADGTIMLIDEVHTPDSSRYWIADSYEERFSSGLEPENVDKEFLRLWFKNNCNPYEDAVLPEAPEELVCELAWRYIFLFETITNTKFEIPKTQEPIHERISRNVAQALQNL >OGLUM09G13810.1 pep chromosome:ALNU02000000:9:17684093:17686805:-1 gene:OGLUM09G13810 transcript:OGLUM09G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAATTQRQPCKNAITTKQQQQQQPSTGRLFRSPLLVVNFVLMVVGSACGPLLLRAYFLRGGNRKWLSSLLQTAGWPLLLAPLCFSYSSRRRQREVEDDGGGAGAAATPLFLMTPRLLVASAVVGLMTGVDDLLYAYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTAFSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYCAGFAMTLAAAALYGLVLPVMELSQAHHAAARGAVTYTLVMEMQLVIGFVATAFSAVGMLVNNDFHAIPGEAHEFGLGQAGYYLLLAGSAAMYQCFFLGTIGAIFYGSALLAGVIMTVLIPVTEVLAVMFFHEPFNGTKGVALALSLWGFVSYFYGEVRAAKAAHRRRHSDETPKPDHLDP >OGLUM09G13820.1 pep chromosome:ALNU02000000:9:17698378:17707020:-1 gene:OGLUM09G13820 transcript:OGLUM09G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFVYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQLKEAEEMEVLERMAKELQSQVAAEAPDESKEEKRERVHHELQKLWVGFPVVFVVASWATCKDVVHEQAAPGRGRAARWLLVALNCGMLMVGTTGGPLISRLYFSKGGHRQWLSAWLETAGWPLLLVPVSASYLGRRARDRGAPLFLTPRRVLLACVGLGVLTGADDFIYAYGLDYLPLVMGFFATAFCTVGMVVNKDFQLCYPPKLES >OGLUM09G13820.2 pep chromosome:ALNU02000000:9:17692173:17707020:-1 gene:OGLUM09G13820 transcript:OGLUM09G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKATKDVGHEQAAVPGRGRAARWLLVALNCGMLVVGTTGGPLISRLYFSKGGHRQWLSAWLQTAGWPLLLVPVAASYLSRRARDRRAPLFLTPTRVLLAGVGLGFLNGADDFVYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTSGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMVVNKDFQLKEAEEMEVLERMAKELQSQVAAEAPDESKEEKRERVHHELQKLWVGFPVVFVVASWATCKDVVHEQAAPGRGRAARWLLVALNCGMLMVGTTGGPLISRLYFSKGGHRQWLSAWLETAGWPLLLVPVSASYLGRRARDRGAPLFLTPRRVLLACVGLGVLTGADDFIYAYGLDYLPLVMGFFATAFCTVGMVVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >OGLUM09G13820.3 pep chromosome:ALNU02000000:9:17692173:17698271:-1 gene:OGLUM09G13820 transcript:OGLUM09G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEATKDVVHEQAAAPGRGRAARWLLVALNCGMLVVGTTGGPILSRLYFSKGGHRKWLSAWLETAGWPLLLVPVAASYHSRRARDRGAPLFLTPRRVLLAGAVLGVLTGADDFVYAYGLAYLPVSTSAILISTQLAFTVFFACLIVRQRLTAATLNAVALLTIGAVVLGLHASKDRPAGVTTGKYWMGFFLTLGAAALYGLILPLVELAYKHAAGGGRAVTYALVMEMQLVMGFFATAFCTVGMIVNKDFQAIPREAKQYELGEARYYVVLVFNAVLWEFFFVGAVGVIFCVHTLLAGIIIAVFIPITEVLGVIFLHEKFSSEKGVALVLSLWGLASYSYGEYADAKAKKKAALEAEEAS >OGLUM09G13830.1 pep chromosome:ALNU02000000:9:17709280:17709705:-1 gene:OGLUM09G13830 transcript:OGLUM09G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRLPPPPLASLPRVDPPGGGRRRMRPPRLPLSPLASLLRVDPPGGGGGGSHGQIRRRRHLLRVDPATAASSATSLLPPARWSRMDPTVVASTEGGSGNGGFLRRLSSPSGAMVVPDGGSGGRGVNFYDVCCSCCCSWM >OGLUM09G13840.1 pep chromosome:ALNU02000000:9:17712785:17720462:1 gene:OGLUM09G13840 transcript:OGLUM09G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B431] MASVPLLAEWPAGKEKEEGRVRRRLPALAREAWEESKKLWEIVGPAVFLRLVLYSFNIISQAFAGHIGDLELAAFSIANNVITGLNFGFLLGMASALETLCGQAYGAKQYSMLGIYLQRSWIILFVFAVLLVPTYVFTAPLLEALGQPSALAREAGMVSVYMLPSHFQYAVLLPLNKFLQSQRKNWVTVVTAAAAFPVHIAVSWLLVSRLRFGVLGAAMSLGVSGWLVTLLQLAYVVGGGCPATWSGFSPLAFVDLWGFVKLSVSSGVMVCLETWYYKILILLTGHLKNSELAVNALSICMSFQSWEMMIPVGFLAGTGVRVANELGAGNGKGAKFATIVSTTTSFLISLFFSALALAFHDKIALVFSSSNAVIDAVDNISFLLAVTILLNGVQPVLSGVAIGSGWQAAVAYVNIGCYYFIGVPIGVLLGWSFNLGVLGIWAGMIAGTAIQTIILAHMTIQCDWNKEVLQASERVQRWGNPK >OGLUM09G13850.1 pep chromosome:ALNU02000000:9:17721518:17722519:-1 gene:OGLUM09G13850 transcript:OGLUM09G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLRRLAATLLSSPGANSSPASAADAHQAVARATAHHPPAAPPAAHHLDALLAFGRGSRLSASALATALTDRLRAAASGNGDAAVALKCLVLLRILLARGAFILRDQLVAALVRHPASGRNPLALAAFPLGRSFAAATWVRFSARLLELLLLLPDSSHDAADADYLIALPNPHVIAELSAYASVADAVRQAPPPSSAPQHNGLIWELIRLAEEDRVAAERNIAARVHEMGERLATLTLADAVELVCVLRQVEESTSSPADWKWAGLDEAVVGEARRLRERAEEVVLRRTEQERRLVRRGTAGSMSVRVLTGGGGCGEAVRFGSTRWSSTRR >OGLUM09G13860.1 pep chromosome:ALNU02000000:9:17724052:17724411:-1 gene:OGLUM09G13860 transcript:OGLUM09G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLGEEGLMQEFASGVRLLMDPAWTTPWRRPGGEAGHAVDAAAEEEEDAGHRTAAGSGGDEVDDDADEEERIPSGRAGTGHADADDHREKGENRGNKERRLTWITLTCRAHGNSAAT >OGLUM09G13870.1 pep chromosome:ALNU02000000:9:17726559:17727776:-1 gene:OGLUM09G13870 transcript:OGLUM09G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPARVHSNGRLLMLLLLLAVAGFAAAQPSQDNPPAGYYATNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYGGRGDYSTTPLPRSGAARSRRQRGLDQSVLATFPTMAYADVKAHKSVKGALECAVCISEFDDDETLRLLPKCSHVFHQDCIDTWLASHATCPVCRANLVDGASEPASDVAAELPTAPAPRPEGATPSEAAAPGGEAPAAAAAAVVIDVEETEEERIIREEAAELTRIGSLKRALRSKSGRAPAARFPRSHSTGHSLSSSAAASAGAERFTLRLPEHVLREVIAAGQLQRTTSLVAFRAGHQGSTRRGLRSGGGGGGEGSSRAGRSVRLGQSGRWPSFLARTFSARLPAWGSRSTRRGDGDGSSKGGRTAGSGAGAGGKSVVCDDQACVVGQRV >OGLUM09G13880.1 pep chromosome:ALNU02000000:9:17753956:17754411:-1 gene:OGLUM09G13880 transcript:OGLUM09G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTDVVLSCVKAAAARRPDLRVFVCLDHYSTLCKGVKCDFLLQLLHARSMAGPDATLLADLDARAAWRLDQASLGAVMIPAFRYSGDPPDARLDVPFMLRLVRGFLREGGKASVGGTTATCRVARLVDAYPPSSFATARPELENGMELGN >OGLUM09G13890.1 pep chromosome:ALNU02000000:9:17757359:17759691:1 gene:OGLUM09G13890 transcript:OGLUM09G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVLLSRGDLFGRRRCAMEGGGGGGGGGGWSSPFSGFEGVMDLDGSNWDAAACSSMLLHGFQELEIPAAAAAAAAMAPPPPPVEPANCAENAGGVGGHQEDQAAVAAAATAVQAGRRKRRRARAAKNKEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYAQRYCLCAQGDQASIVGGAINFVKELEQLLQSLEARKSSRQCAAHDAAAAAAPFASFFTFPQYSMSAAAAAAAPVAPVVNEVHGRDDGGAGTAEAEASGSKPSAVADVEVTMVESHANLRVLSRRRPRQLLRLVVALQGHRLTVLHLNMTSAGHMVLYSFSLKVEDDCQLTSVDEIATAAHQIIEKIQEEQGCSLD >OGLUM09G13900.1 pep chromosome:ALNU02000000:9:17760570:17766060:-1 gene:OGLUM09G13900 transcript:OGLUM09G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSQVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLAVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHLWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDASSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNSQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSADIHELKSNAVASPADLGRIHQIKSCTSFPSNLTFWVNGWWLHFFILPDDASMEQNI >OGLUM09G13900.2 pep chromosome:ALNU02000000:9:17759448:17766060:-1 gene:OGLUM09G13900 transcript:OGLUM09G13900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPERPSRVNGTATVDCSAYPLDCHPVCPGGSCYEIAEPPPPSQVVPRVDVAVDDHHLPVRLLLTVSLLSAFLFISLAVSTILLYRRRVVLRRRRRAATAPLPGDDGFGDGDEEAGGGGGDVHHLWYIRTVGLDEATITSIATAEYRAGVGWGGDCAVCLGEFRDGELVRLLPRCSHPFHAPCIDTWLRAHVNCPLCRSPVVVPSDLPATATEGEAEGGGQAEEHQVFDEISLSESRADVSEDSDASSDTQSEDTAASPEDGGRVMPKPIRRSASMDSPLFLVVVPEAQDDAMRGDRKFPNSQEMKLFSVKEKDATGTSSSSCQAGRFGIGRSMSSSGQGFFFSRNGRSSSADIHELKSNAVASPADLGRIHQIKT >OGLUM09G13910.1 pep chromosome:ALNU02000000:9:17767114:17769308:1 gene:OGLUM09G13910 transcript:OGLUM09G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTLPATGAAAQPGQEDPSGIKNPGDGAGDSSGIDSGWVVLGKSDIVPADLAAAAADAGHRQLGFSPLPMLPIWVQMVLGGIVYTAVPFYNRARKAEDEVTKNVETALEVVEHAAEVTEKLAANVANALPENGTLHKLTEEVEYIAEIVDKDAQKVEIIIKKIEDVSNRIDAAVEPVIEELEKEFKP >OGLUM09G13920.1 pep chromosome:ALNU02000000:9:17773741:17775216:1 gene:OGLUM09G13920 transcript:OGLUM09G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSNSIAEIGDILISLSLQVPNPTLPEPFSDHWTNGRLFSRSRWATRRELRRGGRSHEVHRRRRRGLDDRRQLQQLGQRQVFQTRRRTRVQLPGEGAHCDGGEPDQLRQLQRQQPAEQRQRGIDHHQALLPGHALLHLHHPRPLQQRHEARRERQR >OGLUM09G13930.1 pep chromosome:ALNU02000000:9:17781315:17781635:-1 gene:OGLUM09G13930 transcript:OGLUM09G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRDEARPARHVPVVVPDPRGSDSPRTRRRNASGGGRDARVGFPASKGGQRHGRLRSARQAAAQQMQRGARQLFR >OGLUM09G13940.1 pep chromosome:ALNU02000000:9:17782380:17782805:-1 gene:OGLUM09G13940 transcript:OGLUM09G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFQIVALAMAMLFAAASAQAPAATPTPAPKASPPPATPPPTPAPVSAPPAQAPATPPPAPAPAPKASAPAPAPKASAPAPVPAAAAPTPEISSPPAPSPAGLAPSPTAEVTPPPSAAAGVSPAAAWVAAAAVAAAAAFY >OGLUM09G13950.1 pep chromosome:ALNU02000000:9:17787560:17796833:-1 gene:OGLUM09G13950 transcript:OGLUM09G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] MDSIGINRAPLGSSSSAAAVTARRGIALRPARRSVASTNRVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVTVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTTDESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWVEEYHIDGFRFDLASVLCRGPDGCPLDAPPLIKEIAKDAVLSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >OGLUM09G13950.2 pep chromosome:ALNU02000000:9:17787560:17796833:-1 gene:OGLUM09G13950 transcript:OGLUM09G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:isoamylase 3 [Source:Projected from Arabidopsis thaliana (AT4G09020) TAIR;Acc:AT4G09020] MDSIGINRAPLGSSSSAAAVTARRGIALRPARRSVASTNRVGVATIGFGDASGLRARFDSVRSTTARAQSGNAGRSMTEERGCTMSDTEMPFKYSSGKAFPLGVSQVEGGLNFALFSQHASSVILCLKLPGRGTEDEKGADVVEFVLDQQKNKTGDIWHVTVEGLPASGVLYGYRVGGPQGWDQGHRFDSSTVLLDPYAKLVSGRKYFGVAEEKSSQHFGTYDFDSSPFDWGDDYRLPNLPEADLVIYEMNVRAFTTDESSGLDSTSRGSYLGLIDKIPHLLELGVNAVELLPVFEYDELEFKRYPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAASKELKQMVKELHKAGIEVILDVVYNHTNEADDAHPYMTSFRGIDNKVYYMLDLNKNAELLNFSGCGNTLNCNHPVVKELILDSLRHWWAEWNGKYRDDLRRFIKGDPGMKGVFATRVSGSADLYQVNERKPYHGVNFVIAHDGFTLCDLVSYNLKHNDANGEGGCDGCNDNFSWNCGVEGETNDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTCINNFQWEQLEQRRDGHFRFFSEMIKFRHSNPILRRDRFLNKNDVTWHEDCWENQESKFLAFTVHDHNSGGDIYLAFNAHDYFVDAVIPPPPHHKCWNRVVDTNLESPNDIVPEGVPFTGPKYRIAPYSSILLKAKP >OGLUM09G13960.1 pep chromosome:ALNU02000000:9:17801591:17802265:1 gene:OGLUM09G13960 transcript:OGLUM09G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLNEGSNSNVFKKGVVVGSIGKPSKAFTSESHPNIASASEATKCHLHTGTTKLQSSSAPPDHRRHIGPPVIATAIEVRSSPNPSLDTTATSQPLTLGHAGNCGARPRVALTVVARPLKVRITPESRQIWTLGGQIWPSPSPPAQEMLVVAGVRRGGGGTKPGADASGKPQT >OGLUM09G13970.1 pep chromosome:ALNU02000000:9:17802305:17808800:1 gene:OGLUM09G13970 transcript:OGLUM09G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B447] MEKEGRGRRAALPSPATTADGGVVGGDEADRAWWGWSWASAFRLPGRQRGDTGVPARWLEFNFYLFSMALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >OGLUM09G13970.2 pep chromosome:ALNU02000000:9:17802305:17808800:1 gene:OGLUM09G13970 transcript:OGLUM09G13970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B447] MEKEGRGRRAALPSPATTADGGVVGGDEADRAWWGWSWASAFRLPGRQRGDTGVPARWLEFNFYLFSMALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEYVIIQLNFVSFVSFLTVLVNKKVSMPYCRFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >OGLUM09G13970.3 pep chromosome:ALNU02000000:9:17802305:17808800:1 gene:OGLUM09G13970 transcript:OGLUM09G13970.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B447] MEKEGRGRRAALPSPATTADGGVVGGDEADRAWWGWSWASAFRLPGRQRGDTGVPARWLEFNFYLFSMALRFEVLGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEEIGCQIILGNTYHLELRPGSQLIDDLGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRDICVKGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAGLPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKQNAMATDERPIDPTCPCMVCKNYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHMSILEGRFPEFVRGFLRMQFPKGDVPKWVRNAMVVAGIDISECCTPAKYPRDAMDAPGTDIPELLPPTKCS >OGLUM09G13980.1 pep chromosome:ALNU02000000:9:17810177:17814192:1 gene:OGLUM09G13980 transcript:OGLUM09G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRIAASHRPPLLLPSPHQLRRRHIAAVPLSLPHTSLSLSSHHHHHHRLAPTPLRRRIPPLLASQTPNPEADSPAPAGAKLAPLLVSLAVGLAVRFLAPRPPEVSPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATHTLPFAAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGGSTLGLSYGLTISEAFISPAMPSTTARAGGVFLPIIKSLSLSAGSKPNHPSSRKLGSYLVMSQFQAAGNSSALFLTAAAQNLLCLKLAEELGIIVANPWVAWFKAASLPAIASLLATPYLLYKIFPPETKDTPDAPALAAEKLERMGSVTKNEWVMIGTMLLAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSSCVAKLLESFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHVAAGVPRVLSALALAFNTNLFGALTHYSSGQAAVYFGAGYLELPDVFRMGFVTALINILIWGVVGTFWWKLLGLY >OGLUM09G13990.1 pep chromosome:ALNU02000000:9:17821713:17821916:-1 gene:OGLUM09G13990 transcript:OGLUM09G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSTTSSSVGRGWRRTRPLATSSDVAWTVEDVTVVDELRRGVWMTEDSAAAAELRCGVDGGGCGHR >OGLUM09G14000.1 pep chromosome:ALNU02000000:9:17821971:17823472:-1 gene:OGLUM09G14000 transcript:OGLUM09G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGAYRRAGGCRRRTPARSVRARCWSTRELGDVRRDRARKGKEVAWDITEEAETKDSGVGGVVGEADPVVGRRGRVPSDRPLVPVPMRAPHDKLWRPYSKLGKIERKKKIRAKFSFYEQRELGVGADGHGLSRRSGMVLSSEWIDA >OGLUM09G14010.1 pep chromosome:ALNU02000000:9:17830485:17835059:1 gene:OGLUM09G14010 transcript:OGLUM09G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPGGAGGGGGSPSLVTMANSSDDGYGGVGMEAEGDVEEEMMACGGGGEKKRRLSVEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDSLRLDHDALRRDKDALLAEIKELKAKLGDEEAAASFTSVKEEPAASDGPPAAGFGSSDSDSSAVLNDVDAAGAAPAATDALAPEACTFLGAPPAAGAGAAAAASHEEVFFHGNFLKVEEDETGFLDDDEPCGGFFADDQPPPLSSWWAEPTEHWN >OGLUM09G14020.1 pep chromosome:ALNU02000000:9:17847225:17852403:1 gene:OGLUM09G14020 transcript:OGLUM09G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MADGEASSGAYREFKALTEAADRKFARARDVPLYGGGVDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRRYFAAAAQVVVGGGDRHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLASRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGNLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSALEQYEGILCTSVGLNNVWGQILPDPFLRRLIVRFIFCRAVIFYFHPEENGEHIPICLPSLPESVAPNAEAIMAPILEFAENLVVSDRFHFRQSVRNNKK >OGLUM09G14020.2 pep chromosome:ALNU02000000:9:17847225:17852491:1 gene:OGLUM09G14020 transcript:OGLUM09G14020.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT4G40050) TAIR;Acc:AT4G40050] MADGEASSGAYREFKALTEAADRKFARARDVPLYGGGVDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRRYFAAAAQVVVGGGDRHQGLLIRYKELRFIARFLVVAMLMRRAEAVDHLASRLRSLVEETKSAYPKTNFKEWKQVLQELGRFLKADGAYKGSRSLRYDNLFDSFPSNLAPIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTISDQSGPSGLIDIHLSSEISDGNLPANPQKAIIYHPTVSHLLAVLATVCEELSQDSILLVYLSASGFSEQNITSQKYASSSSYARATSVYPIDKPNSNGNSDNHLWLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAEKGEPAALLLSPRIASAMPGVESTSNGSQFTYFLTAPMQAFCQLAGITSDIDSDTYANAEIILFSALEQYEGILCTSVGLNNVWGQILPDPFLRRLIVRFIFCRAVIFYFHPEENGEHIPICLPSLPESVAPNAEAIMAPILEFAENLVVSDRFHFRQSVRNNKK >OGLUM09G14030.1 pep chromosome:ALNU02000000:9:17858126:17861022:1 gene:OGLUM09G14030 transcript:OGLUM09G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDHQSKVQRLYNACDAVFSSGSKAGLPTLKQIRWLQDLLDGMEAADVGIEGGGSGGERSSSSEDDDERSPPGRRFLSARAFTRITYVHIHECDDFSIGVFCFPAGATLPLHDHPQMVVLSKLLYGSMRVKSYDWANAPPCSGPRKSGLARVVAVDEMREAPCKASVLFPRSGGNIHSLTAVTPCALLDVLAPPYAEDLGRPSTYFSDIPIPSLPGFAVLEEADLPDGFRVAGAPYVGPELTIDMDSMYN >OGLUM09G14050.1 pep chromosome:ALNU02000000:9:17876873:17881022:-1 gene:OGLUM09G14050 transcript:OGLUM09G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPPLLLQYSSLLLLCLAVSAPPAAAGNVPAPVAAVSKPGCPTKCGAVDIPFPFGIGEHCGLEAPYTNYPFKFDCKPVDGTSKPFFRGMEVTKISMEDGKAWMKMNISRSCYNQSTGTREDNTNTTSVSFSRSPFWISDRDNKIIVIGCETFSYMQINNVSKTTLTCELEVLTGCVPSCGNDPKNGICSGEAGCCKLDFPNGTWYYSTYFSKRNNNSSPCSFITVMETTTFNFNKNYFNSTAFYDTYKGLANVSLDWIITMDSCDRVQRNTTSYACISGKSSCVDDPKGGYRCKCSKGYEGNPYVKDGCKDINECLDNATYPCPGICKNTLGNFTCSCYPGNYMMNRICIPNQKSGFPKNPVIGASVGAVLLVITATYACFIREKRKLQYVKRQYFRQHGGMLLFEEIKSQQGISFKIFSEEELQQATNKFDKQQVLGQGGNATVYKGLLKGNMEIAVKRCITIDMKQKKEFGKEMLILSQINHRNVVKLLGCCLEVEVPMLVYEFIPNGTLFSLIHGNHNQHISLDTRLRIAHESAEALAYLHSWASPPILHGDVKSSNILLDKDYVAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVILELLTRKKAFNLESPEDERSLAMRFLSAMKEKRLSDILDDQIMTGDNLEFLEEIAELAKQCLEMSGENRPLMKEVADKLDRLRKVMQHPWAQQNPEEMESLLGDSSYEINSTVENTGNFSINSELQCLESGR >OGLUM09G14060.1 pep chromosome:ALNU02000000:9:17887273:17890886:1 gene:OGLUM09G14060 transcript:OGLUM09G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDGRTGGRKGGAATTASSRRGRTPRSAAFLPSSGNLLPPPPDAASRGTHEFPAQT >OGLUM09G14060.2 pep chromosome:ALNU02000000:9:17887273:17890886:1 gene:OGLUM09G14060 transcript:OGLUM09G14060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDGRTGGRKGGAATTASSRRGRTPRSAAFLPSSGNLLPPPPDAASRGADNDSQGRYPSSPQIAVDLHAVERVEESKWPERDLRIHP >OGLUM09G14060.3 pep chromosome:ALNU02000000:9:17887273:17890886:1 gene:OGLUM09G14060 transcript:OGLUM09G14060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPRDGRTGGRKGGAATTASSRRGRTPRSAAFLPSSGNLLPPPPDAASRGVEESKWPERDLRIHP >OGLUM09G14070.1 pep chromosome:ALNU02000000:9:17895639:17927101:-1 gene:OGLUM09G14070 transcript:OGLUM09G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQLLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRTRCGDVDIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFHNIEVTNISVPNGKAWMKTNISSQSSPCNYMVVMETSEFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEDIDECQDAHPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWIIPVIGLWVALGVGSGMGLLSLVFGAIFIKQKLQVLRAKKLRAQYFEQNRGLLLQQLVDKDIAERMIFSLEEIEKATDKFNEVRKLGGGGHGTVYKGILSSLQVVAVKKSKTIVQREIDDFINEINHRNVVRLFGCCLETEVPLLVYEFIPNGTLSDHLHVEGIQSLSWKNRLRIALETASGLSYLHYSASTAIIHRDVKSDNILLNDLLTAKVSDFGASRGIPIDQAGVTTAVQGTFGYLDPEYYQTSRLTEESGVYGFGVILVELLTRKRPSSFMSSEHVSLIAHFNLLMCEDKLSKILDPQVILEGAEDIDVVAALAVECLRLNGQARPTMKQVEMRLQRLCGDVDIPFPFGIGDDCAIHHGFNIICKPVNGTKRPFKGPFEVTKISVRDAKAWMKMRISWQCYDSASSKMKEWVDFQNFTYTPFRFSYEDNKIFIIGCNTMAYMRGVSYVIGCLSTCSDQPKNGSCSGAGCCSVDVPPDLGYLEAYFNKDYNTSEISYSSCGYVVVMEKAVFSYSTTYIPSTNFWDDYNGTVPAVMDWIITWETCEEAKTNMSSYACVSNNSECLNSTNGRGYRCKCSKGFDGNPYVKDGLLGCRDINECLDNTTYPCAGICENTIGSYKCSCPQGQNELARGVCVPDQKIQKSQAWVMPVVGASVGFVILVIMATCSYLIRERRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLLKDNTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLSNLIHGNHGQHISLVTRLRIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNFMAKVSDFGASILAPLDKSQLVTLVQGTWGYLDPEYMQTCELTDKSDVYSFGVVLLELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNEENMEFLEEVADLAKQCLAMCGEDRPSMKKVAEKLDRLVKVMQHPWTQQNPEELESLLGESSYIISSGASSTGNFSIEKKVVKDLASGR >OGLUM09G14070.2 pep chromosome:ALNU02000000:9:17888478:17895448:-1 gene:OGLUM09G14070 transcript:OGLUM09G14070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSKSYEVKEREREREAVECGGAAAAWRRTGRHTCVSQWCRRRRGVVVVDSSGFFLSLLPPFSHARAHTSSGNQRAVARRRPGTAAPAAWVKVREGDAGPTAVVALRQNGGGDGRGAPSTERQAGGGLAPDGEAAGGVAASARWTAAPVEEKAVVQGDVAVEVELAAESAWPCAAAALTRADLAAGAVEDRRGTGDRAGGGDVRARRGVGAGGSGGGQAWRGRDPARIAQLIGPGVEPLELALKLQLSSSSAASSPWVAPTSPSILRSTASSSIRKARTRDASTSATRASYPAIAAWSIGCATRQEAAAEIPKHTNQNAQQTGHPRTSEWTVEHMERHQLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRTRCGDVDIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFYNIEVTNISVPNGKAWMKTNISSQCFDPETNRTLYDDIWNSFRYSPYWLSNEDNKLIVVGCNSLAYMRSISFITMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKGIRYYQGYFNANYNTTAIWRSSPCNYMVVMETSAFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEDIDECQDAHPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWIIPVIGGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHSRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >OGLUM09G14070.3 pep chromosome:ALNU02000000:9:17888478:17895448:-1 gene:OGLUM09G14070 transcript:OGLUM09G14070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSKSYEVKEREREREAVECGGAAAAWRRTGRHTCVSQWCRRRRGVVVVDSSGFFLSLLPPFSHARAHTSSGNQRAVARRRPGTAAPAAWVKVREGDAGPTAVVALRQNGGGDGRGAPSTERQAGGGLAPDGEAAGGVAASARWTAAPVEEKAVVQGDVAVEVELAAESAWPCAAAALTRADLAAGAVEDRRGTGDRAGGGDVRARRGVGAGGSGGGQAWRGRDPARIAQLIGPGVEPLELALKLQLSSSSAASSPWVAPTSPSILRSTASSSIRKARTRDASTSATRASYPAIAAWSIGCATRQEAAAEIPKHTNQNAQQTGHPRTSEWTVEHMERHQLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRTRCGDVDIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFYNIEVTNISVPNGKAWMKTNISSQCFDPETNRTLYDDIWNSFRYSPYWLSNEDNKLIVVGCNSLAYMRSISFITMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKGIRYYQGYFNANYNTTAIWRSSPCNYMVVMETSAFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEGPFPAILIPLPLQIKRCRKNIDECQDAHPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWIIPVIGGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHSRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >OGLUM09G14070.4 pep chromosome:ALNU02000000:9:17888478:17895448:-1 gene:OGLUM09G14070 transcript:OGLUM09G14070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRSKSYEVKEREREREAVECGGAAAAWRRTGRHTCVSQWCRRRRGVVVVDSSGFFLSLLPPFSHARAHTSSGNQRAVARRRPGTAAPAAWVKVREGDAGPTAVVALRQNGGGDGRGAPSTERQAGGGLAPDGEAAGGVAASARWTAAPVEEKAVVQGDVAVEVELAAESAWPCAAAALTRADLAAGAVEDRRGTGDRAGGGDVRARRGVGAGGSGGGQAWRGRDPARIAQLIGPGVEPLELALKLQLSSSSAASSPWVAPTSPSILRSTASSSIRKARTRDASTSATRASYPAIAAWSIGCATRQFITMQYVIGCSSTCDNVDLKNGSCSGAGCCQADIPKGIRYYQGYFNANYNTTAIWRSSPCNYMVVMETSAFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEDIDECQDAHPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWIIPVIGGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHSRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >OGLUM09G14070.5 pep chromosome:ALNU02000000:9:17888478:17927101:-1 gene:OGLUM09G14070 transcript:OGLUM09G14070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERHQLLLLLPGCLLLYLGAIATLAAADVAIPAGGQPPGCRTRCGDVDIPYPFGIIDPDRPDCAYSRGFQLNCTSVNGAARPMFHNIEVTNISVPNGKAWMKTNISSQSSPCNYMVVMETSEFNFSTTYVNSTVFSDTYKGMVPTVLDWTVEWKKCEEAKENRTSYACVSSNSYCVDATNGRGYRCKCSDGYKGNPYITDGCEDIDECQDAHPCTGICINTQGSYTCTCQRGKHLIDGVCKQSSSSWIIPVIGGSIGVVTLVTIVTCAYLIQERNKLHSIKQKYFRQHGGRLLFEEMKGTAFKIFTEEELQKATNNFDEKKILGHGGHGTVYKGFLNGNTEVAIKRCKTIDEQQKKEFGKEMVILSQVNHKNIVKLLGCCLEVEVPILVYEFIANGTLFHLIHDGHSRHISISTRLQIAHQSAEALAYLHSWASPPILHGDVKSSNILLDGDFTAKVSDFGASILAPTDDAQFVTFVQGTRGYLDPEYMQTWKLTDKSDVYSFGVVVLELLTRKKPLNFDGLEDEKSLSVRFLSAVKENKLEEILDDQIKSEENMEILEEIAELARRCLEMCGENRPSMKEVAEKLDSLRKVLHHPWALHNLEEAESLLGESSIVSSEVVSTGNFSIEKKSLIGLESGR >OGLUM09G14080.1 pep chromosome:ALNU02000000:9:17893389:17893583:1 gene:OGLUM09G14080 transcript:OGLUM09G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYDALVADVEASLVRAFRMLLEAVDRKIDGEVGATHGELAALLEESWSLRASSSGSTPGPMS >OGLUM09G14090.1 pep chromosome:ALNU02000000:9:17939841:17942352:-1 gene:OGLUM09G14090 transcript:OGLUM09G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLVSALLICISAIWVVAAADVPAGRRGCQTRCGNLDIPFPFGIGDQCAIHGGFGLDCKNDSGTYRTFIGPFEVTKISVPDAKAWMKMGISWQCYDPVTKQMNDSMVGQNFTNTPYRFSYEDNKIFVIGCNTMAYMRGVSYVIGCLSTCSDEPTNGSCSGAGCCSVDVPPDLGYVEAYFNKDYNTSRIWSYSRCGYLVVIEKAAFRYSTTYIPSINFWNDYNGTVPAVMDWVIRRETCEEAKRDMSSYACVSDNSDCHNSTNGHGYLCKCSKGFDGNPYIKDGCKDINECLDNTTYPCAGRCQNTMGGYDCSCHQGQHKVEDVCVSDQNNQKSSWEMPVVGASVGFVILVIIATCSYLIHERRKLQHIKQKYFKLHGGLLLFQEMNSNERKSFTIFSEAELQHATNKFDKNQILGHGGHGTVYKGLLKDNTEIAVKKCMTMDEQHKKEFGKEMLILSQINHINIVKLLGCCLEVQVPMLVYEFIPNGTLCNLIHGNHGQNISLVTRLRIAHESAEALAYLHSYASPPIIHGDVKSSNILLDVNFMAKVSDFGASILAPIDKSQLVTLVQGTWGYLDPEYMQTCELTDKSDVYSFGVVLIELLTRKNVFNLDAPENEKSLSMRFLSAMKENKLENILDDQISNNENMEFLEDVADLAKQCLAMCGEDRCSARSVVALAGK >OGLUM09G14100.1 pep chromosome:ALNU02000000:9:17950097:17950409:-1 gene:OGLUM09G14100 transcript:OGLUM09G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGSLDDDAVVACVVKAAAASGAAARRQAASGRLDFRLVIFLVTWQDCHTTPIFPVRRRVPRLAARHGQHRKRE >OGLUM09G14110.1 pep chromosome:ALNU02000000:9:17952549:17953577:-1 gene:OGLUM09G14110 transcript:OGLUM09G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSGKRSRKGKRGNGKNRRNMMRGRGSALESKLNIDFLPPCPPTLQTSSGSAASNENVSPAIRPLHEHSVDQVGVAVGTAIGRGI >OGLUM09G14120.1 pep chromosome:ALNU02000000:9:17953591:17956312:-1 gene:OGLUM09G14120 transcript:OGLUM09G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNGRPLTVRGLPFQGPVGRWGRKKFKKKGKYPSYKIPNREDPPPGHPPDRSRPGSCRSTYSSPPRHLSLPPAESPSSALAPWPDRLVEAVSGTIQPPKPHPNSPLFVSSTPACVHCPLEQKSNGRTMRCLRSGSRGTTNSGRQSGTDIVAISGGAASRPSKSGSGNGANNTAISAVAASRPSNSGSGSGANNTEKKYNRPVCPMEAYVQANEQVGGADFCDETYGEAFVELYGTERNWRIEPIDARAVHKAGGGKKHGRFFGSDDHAWPRSRRRPSREADGRIEELHQQLQQEREERQQEQE >OGLUM09G14130.1 pep chromosome:ALNU02000000:9:17959618:17960306:1 gene:OGLUM09G14130 transcript:OGLUM09G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGRPNVEEPMLEEVQPWEAAVDELRLYMVDLQRKVEEDHKLVQEQLQNQAVQAQVHKDEVMQNLLSIKEMLGETNSCLGQEVKGPPQTPVYTTILLPSLPSLHYTLEPSTLPTPTTTPHTT >OGLUM09G14140.1 pep chromosome:ALNU02000000:9:17960693:17965115:1 gene:OGLUM09G14140 transcript:OGLUM09G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISDTFRNLKQYSSVDAYIDKVEEVMALDHIKRPLKSLHIHSLVDAYEHARNYDVPPRISATIPIYVPKDTSKQYVKQPGREERPTTASLPAAAPKSFGKCFRCGDPWVPGHGKICKASKQIYLVTLEDDTEETPGYDIIFGCDWIYLHSPLSLNLKTRELTIFKDGTTAMTLPDITIPPSTFLVNAHQVTKLLHQDVVGDVLYCVPLDCVPTTTSVTPQLVPDREEGTMRGGGKARAHAALPLQEGA >OGLUM09G14150.1 pep chromosome:ALNU02000000:9:17968282:17979626:-1 gene:OGLUM09G14150 transcript:OGLUM09G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKQYSEYTRAVLASLFCVCLSAVWVAAATADIPAGQRPGCPERCGDVEIPFPFGIGKHCAMQTKYPFDLDCLDVNGTKKPFYNNNEVTKISVQEGKAWMKLGISSQCYDHVTGHMLYDSNATADFDGSPFWLSTENKIIVIGCQTMAYMESDACSQLLGLIIHMQIPQYVIGCFSSCNDSKPVNGSCSGDGCCQMDVPGRIYRYEGYFDEDYNDSKIWRSSPCSYMAVMEDKAFQFSTTYLNSTVFNDTYKEGVPVVLDWVITLDTCEKAKSNTTSYACVSTNSICNDDPSGGYRCNCSHGYEGNPYIKDGCEDINECLDNVTYPCPGICNNTMGSFTCSCHQGNYMANGTCIPNRKSGFLALPIHIKNHYFRRHGGLLLYEEMKSKQGLAFKIFSEEELQHATNKFDEHQVLGQGGNGIVYKGHLKDNLEVAVKRCMTIDEQKKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPILVYEFIPNDTLYHLIHGNYNSWHVPLVTRLRIAHESAEALAYLHSCASPPILHGDVKSSNILLDSNLSAKVSDFGASILAPTDETQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKPFNLDALEHEKSLRHDGTGATDDQIKNNENMGFLEEIA >OGLUM09G14160.1 pep chromosome:ALNU02000000:9:17979883:17988076:-1 gene:OGLUM09G14160 transcript:OGLUM09G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSTCLLICISGVIGLAAAAAGHPGCKTRCGDVDIPFLFGIGDDCAIHKGFRLECNATKGTSNPKPFLGNFEVTKISMEDGKVWVKAYMSTQCYDQSTGGMSYNDASANLIGSSFWLSYTDNKVTVIGCQTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETASFNFSTSYLTSSVFYDTYKGVVPVVYDWAIITSKTCEEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVGASIVSVVLVITIMCVYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFGKEMLILSQINHKNIVKLLGCCLEVEYKEFIPNGTLFDLIHGNHGQQISLATRLQIAYESAEALTYLHSCASPPILHGDIKSSNILLDRNLIAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQLCQLTDKSDVYSFGVVLVELLTCQKAFNLNAPEHEKSLSMRFLNAMKNNKLADILDDQIKNSENMPFLEEIAELAAQCLEMSGVNRPPMKHIADNLDRLRKVMQHPWAEQNSEELESLLGESSMVSSRYTSTEIFSIERKGVMELDSGSIQ >OGLUM09G14160.2 pep chromosome:ALNU02000000:9:17979879:17988076:-1 gene:OGLUM09G14160 transcript:OGLUM09G14160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSTCLLICISGVIGLAAAAAGHPGCKTRCGDVDIPFLFGIGDDCAIHKGFRLECNATKGTSNPKPFLGNFEVTKISMEDGKVWVKAYMSTQCYDQSTGGMSYNDASANLIGSSFWLSYTDNKVTVIGCQTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETASFNFSTSYLTSSVFYDTYKGVVPVVYDWAIITSKTCEEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVGASIVSVVLVITIMCVYLIKERRKLQLIKQHYFRQHGGLLLFEEMKSQQGVAFKIFSHEELQEATNRFNEQQILGQGGHGTVYKGLLKGNMEVAVKRCMTINEHQKKEFVSSN >OGLUM09G14160.3 pep chromosome:ALNU02000000:9:17979879:17988076:-1 gene:OGLUM09G14160 transcript:OGLUM09G14160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLLHSTCLLICISGVIGLAAAAAGHPGCKTRCGDVDIPFLFGIGDDCAIHKGFRLECNATKGTSNPKPFLGNFEVTKISMEDGKVWVKAYMSTQCYDQSTGGMSYNDASANLIGSSFWLSYTDNKVTVIGCQTLAYMTTDSYVIGCSSACDNKVNKLTPKNGSCSGAGCCQANVPKSIQYYQGYFNEGYNTTKIWMSSPCSYMAVMETASFNFSTSYLTSSVFYDTYKGVVPVVYDWAIITSKTCEEARRNKTSYACISNNSQCIDNLTNAQGYRCKCSNGYEGNPYIKDGCKDIDECLNNATYPCKGICTNTLGNFTCSCSPGSYMMNGDCMPKKKLRFDSVPVVVVSSN >OGLUM09G14170.1 pep chromosome:ALNU02000000:9:17982658:17985190:1 gene:OGLUM09G14170 transcript:OGLUM09G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSRPAARIAFRSPSLRKPMNLSLPPFAPPPPLSAPSPGVRKATAAAGADCAREREGGRCIEVERFLACEKLYENNSEAIYVTLIC >OGLUM09G14180.1 pep chromosome:ALNU02000000:9:17992094:18005226:-1 gene:OGLUM09G14180 transcript:OGLUM09G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMPGIVILSRQCLRKGLPISRFGDEDFASWPHDKHGTYTVRSAYNLARERVNNIVLPEMAEALAIRRAQQKRVSSMCW >OGLUM09G14190.1 pep chromosome:ALNU02000000:9:18005778:18013163:1 gene:OGLUM09G14190 transcript:OGLUM09G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAGGEGAEGGAAEGREGNPSWQPFATENPAFEDYYKAQQIIPEGEWDDFMNMLRKPLPATFRINASCQFYQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALEGFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGMLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFSSETCTNESKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGIGLLKVGGRIVYSTCSMNPVENEAVVAEILRRCGGSVELLDVSNELPELVRRPGLSTWKVRDRGSWFGTHEDVPRYRKNVILPSMFPSGKGTMDSHVAIGSVEVNTDVIDADMKDSTNMVEGEQQTKTASDDVNNGGDPNTEETSKLESNEVPNDSDKKSNSTSIRTEHSNFPLHRCMRIVPHDQNSGAFFIAVLQKLSPINENQEAELIKGKHSISKDRAEKLEKGLGSDKVPHKENTVQQQGVDDGNVMDEQQNGDVDNETSNGKSSEEAKVIVNEAENDQAGPRDRSRKPQNQGRWRGVDPVIFFKDEATIRSIVSFYGIKDTFPLEGHLVTRNPDAGHVKRIYYVSKSVQEVLELNVKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQNIDSIAMDPSAIAIVCWKGKTNLCVMVSPLDGKELLERICLRYGLKIPKADDVKPSMKIDGSDEQPDLSTEAVDPEAAPESKASDMEIADAKEVE >OGLUM09G14200.1 pep chromosome:ALNU02000000:9:18014371:18014610:1 gene:OGLUM09G14200 transcript:OGLUM09G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTGEARLIQTKAMLTGSCHHDHNSVIAVTIFTTLVITACILVKAAFLSPDPRHSRSQQQQQRNLTIKENLYPDSD >OGLUM09G14210.1 pep chromosome:ALNU02000000:9:18016099:18020092:1 gene:OGLUM09G14210 transcript:OGLUM09G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEKEWPHQRVWTGMWIGWPLEASTLRADLPFLWLLETHKCYNNGITSYVSKEKPVEQLQISLADGRKSEINRTVTNLTGVVFHNPLQDLACADLFAIGCGEETGMAVTHTQSMDWPDLAEVIIITSSIAIDYTMEDRMVVAMEKAFLSPDLAP >OGLUM09G14220.1 pep chromosome:ALNU02000000:9:18025819:18030341:1 gene:OGLUM09G14220 transcript:OGLUM09G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGMDGKKMTTAQAIDTLVNSYKSSVHLDKVTHHIQDIRATGGAVVKKEERPSFLTQTWVLTKRSFVNMYRDLGYYWLRFAIYIALCLCVGTIYYNIGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGSFVIANTLSATPYLALISVAPGAIGYYLTGLQSSIDHFAYFAVVLFTTMMVVEGLMMIVASAVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPNNQAGGAATITGHEILRDYWQVQLGYSKWVDLAILGGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDAPSVHIAENGSAGSL >OGLUM09G14230.1 pep chromosome:ALNU02000000:9:18049461:18062016:1 gene:OGLUM09G14230 transcript:OGLUM09G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSSHSASFTSTPPTSSLASQLARSLPVKLELGVLAFMASGQHQPTVPRWKPSPPRPQGREADDVDQPPPFDAVSDMSASVRSTDGFPFGSGRSSFAPPPFLPARQPSLEISVAENGGVVVAREPSLRRPDQGVVLAWEDLWVSAAGGKSGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAIXGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEVGLDGKTMTTTQAIDTLVNSYKSSVHLAKVMHQIEEIRANNEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVARAVPDFLMGIITGAGVQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAGTVITGEEILTNYWQVQLGYSKWADLAILIGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDMPSVQVTEQGFGSS >OGLUM09G14230.2 pep chromosome:ALNU02000000:9:18049461:18062016:1 gene:OGLUM09G14230 transcript:OGLUM09G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARHSSSHSASFTSTPPTSSLASQLARSLPVKLELGVLAFMASGQHQPTVPRWKPSPPRPQGREADDVDQPPPFDAVSDMSASVRSTDGFPFGSGRSSFAPPPFLPARQPSLEISVAENGGVVVAREPSLRRPDQGVVLAWEDLWVSAAGGKSGRVPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSSVSQKGDILINGRRQALAFGTSAYVTQDDVLMNTLTVREAIXGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVSRIARMARREGMTVVAAVHQPSTEVFGLFHGLCLLAYGKTVFFGPAADTAQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEVGLDGKTMTTTQAIDTLVNSYKSSVHLAKEGQLVKKERQPTFLTQSWVLTKRSFVNMYRDLGYYWLRFAIYVALCLCVGTIYYDVGHSYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFVIANTLSSTPYLALISVVPGAIAYYLTGLQSSGEHFGYFAAVLFTTMMVVEGLMMIVARAVPDFLMGIITGAGVQGVMMLNGGFFRLPNDLPKPVWKYPMYYIAFHKYANQGFYKNEFLGLTFPKYNDQAGAGTVITGEEILTNYWQVQLGYSKWADLAILIGMVVLYRVLFFVIVKLIEKMKPMVQRLRFRSDMPSVQVTEQGFGSS >OGLUM09G14230.3 pep chromosome:ALNU02000000:9:18039167:18049649:1 gene:OGLUM09G14230 transcript:OGLUM09G14230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQQPAAVPRWTPSPRRRQDDDEADRKGAAGMVSDLGASMRGTEGFPFGSGRSFQPPPFLPAAEAEQPSPEISVERNDENDRVAFVVARETSSLRRPEQGAVLAWEDLWVSTAGGSRRRVPILCGLNGYARPGEKGDILINGRRQKLAFGTSAYVTQDDVLMNTLTVREAVRYSAQLQLPSGMSAAAKRERAEETVREMGLEGAADTRIGGWAHXGGTRGGIHPELITMTTTMPKVTSVVMAAVVGLAMVSLVAGISGTATFYTPPYTPSACFGFQEQGTMIAAASDVFWNGGAACGKWYVVTCTGATNQGVPRPCTGRSVTVKIVDHCPSGCQGTIDLSQEAFAIIANPDAGKIKIDYRHKSQLYEAIHLI >OGLUM09G14240.1 pep chromosome:ALNU02000000:9:18040986:18041303:-1 gene:OGLUM09G14240 transcript:OGLUM09G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDFCDRSRTDAWDFPHSSAPTPPTSTTGSSTGCMPSTGAAHQGRPLREQLYASPGNRFNYDDFIMRDDEATNAEADKAMALVARETTTSEMTLEQLLAKAQLLV >OGLUM09G14250.1 pep chromosome:ALNU02000000:9:18064876:18065914:1 gene:OGLUM09G14250 transcript:OGLUM09G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMAKVITSVVIAAVVALAMVSLVAADSGTATFYTPPYTPSACYGFEDQGTMIAAASDVFWNGGAACGQQYVVTCTGPTNQGVPQPCTGQSVTVKIVDHCPSGCAGTIDLSQEAFAIIANPDAGKVFIDYQQV >OGLUM09G14260.1 pep chromosome:ALNU02000000:9:18068141:18068521:1 gene:OGLUM09G14260 transcript:OGLUM09G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAASDVFWNGGAACGKRLAVTCTSATNQGVPQPCTGRSVTVKIVDYCPAGCRGTIDLSQEAFAAIANPDAGKILVEYHEFIHDKLCSFAGSKIKIRMA >OGLUM09G14270.1 pep chromosome:ALNU02000000:9:18069474:18074454:-1 gene:OGLUM09G14270 transcript:OGLUM09G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVAPMVDMRALSQSDLVALAAGSPYSADPRRGRDADVLPPPKIDRAVFNESAGSRKQTFSRHRVATNLSHSLTPATASAAAAPAPAPADEDSENRLIAFHLQRLFAGEDPSFASPPQIAPQPQPQTLITPAIAAAVTPAPSLPTPPPSNADMEVMNPNGVAVDLARLAELVDPYEEEMRRRTAGLGAESELLGFMNGLEGQWGSRRRRRKFVDASMFGDHLPRGWKLLLGLKRKERVAWINCRRYVSPSGHQFATCKEVSTYLMSLLGYVEAKPTAIQSSNAEVLELNAVNSVGHCQPNSTEEKQSAPPVTSVPFSSHHGDPQRQLDKNETQVEANGKECQKCNLTFQDQSAYVQHQLSFHQRKAKRRKVNKSGEVGANKNVTIVTQECHITSEDKLGNIDHSLATTKSQGQTPEKMPDETISGELGGRPSMAPEPVGFQETNGLTEQGKESSAGELLSGHCDPLHNMAGVPEKEKGSAGEPVTGNHEDPIDNFSDHKIHDGACHNAEEPHAVEAASEFNIGNSANLQQTDSTKDLVLSNADCTQNDNITKDLVPNPTIPQGESKCIDDPMECTDMKPSKKVSEPCDLLDDKFSSFPEGANFNGQEENSPLSAALNEPDVNSIDMEVDNDNVECKYGNAGDSTSPENGKHIEDQIIDCRMTALKDHEINTDVRIRDVNLNSCLDAMSPPVSGANYETSNAIDDNNRSSIIAQCFGANSADDNACKEENFVNNQSSVSKAESFNQNNDMMYQPNLTMDPISPAQINVDCFTSCSMTSEIKNNSNRREDNAKEQLVNPRNITSNDAGFDVEAYSNIFNGAITESSLAQLNNAINMKADYSSCYSLSDLNTLTGGPATDEIDIHSMRNSFVNSSTSRNEPNEHCTLDFDIKGSMLEALEKSDSDLENQYNGSTRPCGSLPTAGTSGSIDDFMSLQTNFGSLTSLVRSVEDGPMSRIIQDQCDLQLGFGVQKPQMYPTFEEQLRMASAGAPQFGTMNRHNHVPVPEPTLMLGYAPHIGSCPPVQLGWDMSMSKMVGGCVLQSSMCVWCNTQFQHFGTVADQQADSLGFICPACKEKISGHLSMLNNSSSQL >OGLUM09G14280.1 pep chromosome:ALNU02000000:9:18074730:18075929:1 gene:OGLUM09G14280 transcript:OGLUM09G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT4G08940) TAIR;Acc:AT4G08940] MARRLFSATRALLRAVPSPSPSSSAAAAAAAASLLPLLPCKRRRKLRKKLSSPRVAPIEPEAARRVPELDAVLDREAAFRFLARARSFLASLPPPHRIPLAEAGKLYRELGFPRGRSVSRAAARHPLLFHLPRVDSVPHLALTPLMCSLLEEERRLHDQLLPTRVRAVRKLLMLADHRRIPLAKLHHCRAVLGLPDDFRDRVREFPGDFRVAVDPDGRDVLELGRWDPALAVSALERDFVVDERRVRRTFRFAVPHSRSMPLDAEDADRLDAATTFPLVSPYTSGALLRPWTPEAEKYRVGVVHEFLSLTLEKRALIHHIFEFKEELGLTRHMHASLRKQSRAFYLAGTEMNWAVFLRDAYGGDGDLREKDPLVLFNEKLRRYACMTKMDSSESIATAY >OGLUM09G14290.1 pep chromosome:ALNU02000000:9:18078102:18078588:-1 gene:OGLUM09G14290 transcript:OGLUM09G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYCCGLSRAAGCSGGRRHISIYFCGGGGPRPAGEVVSSRLQRIVVALVQAQDDGEAQAQSAVEANDEAHAHYNL >OGLUM09G14300.1 pep chromosome:ALNU02000000:9:18081159:18081620:1 gene:OGLUM09G14300 transcript:OGLUM09G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRLIEDDGEECNSNESGWTMYLASPTHSDDVIAIVSEGSNVEDGSGFSNEKRRGKENKGHANDDGDYDSLASDASTGPAEVKMQEGKEEKDHQMNGGNRHQHAKDEQDEIPTKLSTSYSKKVGKIKKGDEKTSRRGQNKRRSSSRTSFFW >OGLUM09G14310.1 pep chromosome:ALNU02000000:9:18083473:18083628:-1 gene:OGLUM09G14310 transcript:OGLUM09G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAVFHGAQLMLDLAVAGLSLVVALGLFAVVTAVLCSAAFLHHSKPVAS >OGLUM09G14320.1 pep chromosome:ALNU02000000:9:18084623:18093270:-1 gene:OGLUM09G14320 transcript:OGLUM09G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGQNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIVAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTVWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >OGLUM09G14320.2 pep chromosome:ALNU02000000:9:18084623:18093270:-1 gene:OGLUM09G14320 transcript:OGLUM09G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MRKPMWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKRKFVRALTTLGDLRSAYRIMQHMVVLAGQNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIVAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTVWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >OGLUM09G14320.3 pep chromosome:ALNU02000000:9:18084623:18093270:-1 gene:OGLUM09G14320 transcript:OGLUM09G14320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MRKPMWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGQNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIVAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTVWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >OGLUM09G14320.4 pep chromosome:ALNU02000000:9:18084623:18093270:-1 gene:OGLUM09G14320 transcript:OGLUM09G14320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKRNLSAVHDIWKDCTRNYNPSIILQRKFVRALTTLGDLRSAYRIMQHMVVLAGQNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIVAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMNEPERAVRVLAEMRHLNLKPNLRTYELLFSLFGNVNVPYEEGNVLSHADVSKRISIIETDMLNNEIQHSFVCMKNLIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTVWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >OGLUM09G14320.5 pep chromosome:ALNU02000000:9:18084623:18093270:-1 gene:OGLUM09G14320 transcript:OGLUM09G14320.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G76280) TAIR;Acc:AT1G76280] MRKPMWLVEFTPERPQLVQTVLGFTPFRCTVMFSLWKAITVVSNLVTQFYACKLYMNEYDEFKWMTSLATRSIQADIVGALRRGDRQQASLLLSNLQQTNRALTSEDFSHILEYCATAPDPLFVTEALELMEENAVHMSQRVYRSVTRALSKGGYSKEAIHWLTLLVEKESSHNYLPIFNIFLSGCGSTTKQSDIEGCLEKMETYFLGKSEITYCELLKRKFVRALTTLGDLRSAYRIMQHMVVLAGQNTDHLRGKGRYQRSRLDIPVPALTELEDLKILLGCDLPSSFQGKVEESEKCSTDTQPELSQEENISFENLQLKGYAEFISTGDNLSDKSVLDNGRMARPLRLVPATVKKFLLWSFNDIVHACVQLNNCQIAEQLLLEMQRIGLQPSKFTYDGFIKAVMVGKGVAYAIKVIVAMERRGIEPYNDTLAALSVGSSRSLQLNLAEDFLARISKPQPKYIHAFNALLAGCDMMIRAFGAEGMTEEMLKYLNVAENVLWNMDPYQKSDLYCIALHALVKAKDTHKAIKTFMTIRSYGLPANVAIYNIMIECCKLLPCVKSASAVLSLMLRDGFYPTILTFTSLVKVVLAREDFEGALDLLDACIIEGIQPDIEIFNTVLLEAFEKGQIHVVEYIVECIHRAKIRPDQSTVWYTFCAYVDQELYNTAIEALQVLSVRMISEEADVLKEKGVIVEDLILSEEPDAELKIMKTFEATEHLATALLNLRWCATMGSTISWSPEDSLWARRLASSYDGNRRPHIFTSIVPKQFVV >OGLUM09G14330.1 pep chromosome:ALNU02000000:9:18095124:18099508:1 gene:OGLUM09G14330 transcript:OGLUM09G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPWPPTTGAASAAAAAASPAPPEGAAGGAATPAAAPATTSEQRPEGGDAAAAGAAAAVQQQEEEEVEAKPQLLREDDSETEIQEHEQKINKYQAILAARLKAKYFSNKDFDGGNVFEEITVEGETIQSSRWPCTRSFADPVNFFRDKNSHERSDSPSLTADSAAKNNSPRTDSSPKNSASALATENNLTPGKRQPSKKI >OGLUM09G14340.1 pep chromosome:ALNU02000000:9:18100908:18101429:-1 gene:OGLUM09G14340 transcript:OGLUM09G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHHYHGEVASLHCLSPPSLPFSSHYHSNMITMAPSPFHFPAATCEPIQELLPVVAGNRPAGSGSTDDAYQMAAEEERRRRRMISNRESARRSRMRKQRQLSELRGQVVHLRDANRRLLDELNQAMRGCSDVHCENARLRKERAELQTKLEHLMQAQKNNTSPSSSQPCENI >OGLUM09G14350.1 pep chromosome:ALNU02000000:9:18115174:18125157:1 gene:OGLUM09G14350 transcript:OGLUM09G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMEKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFWNPLLLLAPDGPLPTGAGRSTGKCLVSRPASAAVHNTGKRRACPVFSKGATNEKKRRAGRDRRQALLKERKLGIRSLEPRLGDSMDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCFSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >OGLUM09G14350.2 pep chromosome:ALNU02000000:9:18114767:18125157:1 gene:OGLUM09G14350 transcript:OGLUM09G14350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFWNPLLLLAPDGPLPTGAGRSTGKCLVSRPASAAVHNTGKRRACPVFSKGATNEKKRRAGRDRRQALLKERKLGIRSLEPRLGDSMDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCFSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >OGLUM09G14350.3 pep chromosome:ALNU02000000:9:18115174:18125157:1 gene:OGLUM09G14350 transcript:OGLUM09G14350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGMGCFEIMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFWNPLLLLAPDGPLPTGAGRSTGKCLVSRPASAAVHNTGKRRACPVFSKGATNEKKRRAGRDRRQALLKERKLGIRSLEPRLGDSMDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCFSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >OGLUM09G14350.4 pep chromosome:ALNU02000000:9:18115174:18125157:1 gene:OGLUM09G14350 transcript:OGLUM09G14350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTVPFFLTSTTLAAAAAKPQRPGPPSPPAQQQQPREARDGSRDACASYTARMRLNPQLALRLFDHLLRSGADPDHVAYALALGRCARGRDRRAAAQLHAHAAKRGAASHRRVCNGLIHAYAVCGSLLDARKVFDRGHEGDAVAWNSLLRGYAAAGDVNALREFFVGMQARDTVSWNTIIAWCVENGEYEEAIAVFREMLASMECLPDRVTLVSVISAITYLGALAQGLWAHAYVCRKGIEVEERLSSALINMYSKCGCIEGAVHVFENLGAQMNVDTWNAMLAGFTANGCSEKALELFARMEITGLVPNKITFNTVLNACSHGGFVEEGMGCFEIMTKVYGIEPDIAHYGCMVDLFCRAGLFDKAEKMIQMMPMKPDAAVWKALVGACKTHRNFELGRKAGHMLIEAAPNDHAGYVLLSNIYALDGNWTGVHKVRKLMLDRGVQKVPGSSSIEIDGVIHEFISGDKSHSSKEDIYEMLSEMCQQLKVAGYVPDTSHVLLDIDDEDVKESSLALHSEKLAIAFGLISTAPGTPIRIAKNLRVCGDCHNAVKLLSKIYGRCIIVRDANRFHHFREGSCSCGDFWNPLLLLAPDGPLPTGAGRSTGKCLVSRPASAAVHNTGKRRACPVFSKGATNEKKRRAGRDRRQALLKERKLGIRSLEPRLGDSMDMSESSEKGMESNASSGPGNGIPVEWQSQFSSAFACQPSVAAQHQQHAMMDSFAAASAGLWASSDVVSAMSSAAPPRGAGFLAPVPGFLQQGLGHFPVDSGFIERAARSTCFGGGMMAGGPYGAADQAMGDAFGGTAEGLMDHHRNVGNDKAEEFAGNGHDEVPSSEVAGGDCFSKGSDSKKRRRPNEVMGTDQVHSSNLPSDSANESVHSKDKGEESSPATTNGGKSKGKGAKETSESQKEEYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVPSSSIGFSPEMMHPQLQLSQPGLIHGGTAGMANPDVFRRIIQAQLGAKDGSQMPHSLNGSFSDVSQMAYPSLGSQDLSIRPSQDGFQM >OGLUM09G14360.1 pep chromosome:ALNU02000000:9:18131505:18135863:-1 gene:OGLUM09G14360 transcript:OGLUM09G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIAKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >OGLUM09G14360.2 pep chromosome:ALNU02000000:9:18131505:18135863:-1 gene:OGLUM09G14360 transcript:OGLUM09G14360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEKKKKKNRKKGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEFWLRGTLFLFQVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIAKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >OGLUM09G14360.3 pep chromosome:ALNU02000000:9:18131505:18135863:-1 gene:OGLUM09G14360 transcript:OGLUM09G14360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALSRSLGASSVAALRPTPSRGRGPTLRSAVAVQGRGAAAVAARGVRWEAGRRKGKGRMVGVRCEAAVTEKPAGEEEAAGEQFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDSSVLSDGGELEIRIKPDPEAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAMADSSSYVIKEETDPEKMLTRGTQITLFLRDDDKYEFADPGRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEEEEPKEGEEATEGEKKKKKKTITEKYWDWELANETKPIWMRNPKEVEKTEYNEFYKKAFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIAEKEDKEDYKKFWESFGKFVKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPENQKAIYYIATDSLQSAKTAPFLEKLVQKDIEFWLRGTLFLFQVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEDKENESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIAKDLSAACKNEPESTEAKRAVELLYETALISSGYTPDSPAELGGKIYEMMTIALGGRWGRPEESEAATSESNVEVESSEGSATEVVEPSEVRPESDPWKD >OGLUM09G14370.1 pep chromosome:ALNU02000000:9:18137460:18139474:1 gene:OGLUM09G14370 transcript:OGLUM09G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPDEQTFLSMLKSERRSVGKQVHAHVEVSGLHSSVYLRNSLIKMYLDAGDVEAVEAMFRCTPTADTVSCNIMLSGYVKGGCGGKALRFFRGMASRGIGYTAVSLLACCGRLKKAVLGRSVHGVIVRRIGIVDRGLILSNDLLDMYAKCGEMNMAMRVFDEAGEKDGISPGKRKTNSGWQEENKLSLSSRRSIAKKKRASSSREDPHRRRFCLRRRRGSRARPHVVSKAKVPVRRCGWTSGAQVPKACVPDVAGGGVGLHCGTGDAGALHGIAPVCASVAPAAAAAAAAS >OGLUM09G14380.1 pep chromosome:ALNU02000000:9:18140795:18141088:-1 gene:OGLUM09G14380 transcript:OGLUM09G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNMLRPAASGLCDEGDGEDEGSKGGEHLGLRDEEGGNEVGVEEPGGDVGDEQDVEGEEAKLGVEEVKLGECVDNDGEGGEGEADDKFGDEGGAT >OGLUM09G14390.1 pep chromosome:ALNU02000000:9:18143930:18145013:1 gene:OGLUM09G14390 transcript:OGLUM09G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCAGVLLLLLLSLSALSASASEANEERLLRENAMPITGRKWLRGRKAMAAAGRLGHGGVVVVEGKGGGEEKNKKNTGANTAHVHGNGSERAVDVAVVGKSGGKHGIKSPLNEGLKCVISVVPGAANQEADASAKAVNHEEKQSKGRASAAATTHVMFQEPSKHDDTAAAVSRMMSMDYKTQDARHHRPINNDAPLDHELVEKP >OGLUM09G14400.1 pep chromosome:ALNU02000000:9:18150658:18151824:1 gene:OGLUM09G14400 transcript:OGLUM09G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFGTVGASQEETVNHILIERVFAHKRRPFVGPTCPASRVVALAPFGGSTGQGEWDGLVPGEEYSSQIRDQSIRQNLADELVPPGTGARREARRFTPFTRSHCPLSLASSHSARAPPPTVSDDDGGLERQQAARATTTNGGSATTDSVMGRTAAAKPEAGRAAAVDPEEGRAAAVDPEARRATATTGEGECFDGGGGRRLGNGGFGGAATTTTTSECECSGCGSVDDDGR >OGLUM09G14410.1 pep chromosome:ALNU02000000:9:18154312:18158275:-1 gene:OGLUM09G14410 transcript:OGLUM09G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLDCPVQTQMAVAVLDRSFSSEYPASSRTEGRSCSWKRVFVQTENGYVLGIELERGENAHTVKKKLQVALKVPTEESSLTFGDLVLNNDLSSIRNDSPLLLRKNQMHRSSSTPCLSPTAHDVQEQDHSEPIEILGCLSPSSRMKQLAKDVVEAIRNGVDPVPVNSGMGGAYYFKNIYGERVAIVKPTDEEPFAPNNPKGFVGKTLGLPGLKRSVPVGETGLREVAAYLLDHDNFANVPPTMLVKITHSVFNVNDTVGCKSKVFHNKLQAVSKLASLQQFIAHDYDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGPDNFGVQTELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTEEELEYIANLDPVKDAEMLRLELPFIRGACLRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELELLCMEARKWVKKRELFLPEAGVEDDNDGFTQFSIDSEDGSDASELPSFSKFGLMNASHRNPLSKLDECDEEDGEEEEDDDGDEEDDDENMFKDDAGNLKNPFSKHIPSVSKLSASFKGLGFIGKARAYHKGVPKNKVTAKTNYSGKGSEHQSGSRSANELLPPSASFVKLSDMGSDEWSAFLDKFQELLPSAFRARKHAAADGPRPLQRLGTSCQF >OGLUM09G14420.1 pep chromosome:ALNU02000000:9:18159707:18161450:1 gene:OGLUM09G14420 transcript:OGLUM09G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPETTVPPESTHMGSPPPSHSPSPPPPPLQGDHSLPTDAPPEPSPQPYHATPISPPSPGQATKERPMVEEPQPSIDGTLGAAGPPAQPSFFPSLELGTSAAPPAPAATGQPGSPSPHPPAEPSVEFYPGLAASSPSSSSYETAQDDWPAPPPRAHSPTTGLMRLAGFILHRVFPCARSLRRGGGLARRTRAAAADTIGDKAEGCPDLL >OGLUM09G14430.1 pep chromosome:ALNU02000000:9:18180088:18187937:1 gene:OGLUM09G14430 transcript:OGLUM09G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSRQYGAATRAAGNHHPRHRRRRSQAKPSEAKQREAKGRKACLVERRGLSSSRVGILALSPRHDWSGERSRRVGSGGGREAVGLISELQLWASKATTREHDFLSLYTTAAKDPSLQLHDAKPPHPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKERRREGRGEEGRRRSRELMEMEMEMYSRCARPSHIHQLHARLVVSGRLRCTSPSLALALLRAACRVRASPCLRPLAHHLLDHIPRPHPHLLHAAARLAYRLRLPSLALRHYVALRTHHPVFLPPAAAIADMLKSVRGRAAHAHALRVTAHAGDTRFLDNTLIAMYFACGDVQRARLVFEGMCDRDVISWTSLISGLVQNGSPLQGIQQFATMMHCDVRPDFVVLVTIAKAFMELDNLPGAESAHSLVVKGGFHDEQDVMITLTAMYASFGCLVAARALFDMVPPQQLGSTELAAWMEDCVCRSEYREDVLVNTALIDMYAKSGSISHARAVFERMHVDDRDVVVWSALITGYGVQGLVNEACTLFQDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWSQVAGMRVTMRERGVTKATGFSFIEVDGEMHSFHAWDHSHPRATEIFAMLCLLSPTPTGGEMRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLMMLGGGTEELPHPTKRHKSGNS >OGLUM09G14430.2 pep chromosome:ALNU02000000:9:18180088:18187937:1 gene:OGLUM09G14430 transcript:OGLUM09G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSRQYGAATRAAGNHHPRHRRRRSQAKPSEAKQREAKGRKACLVERRGLSSSRVGILALSPRHDWSGERSRRVGSGGGREAVGLISELQLWASKATTREHDFLSLYTTAAKDPSLQLHDAKPPHPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKERRREGRGEEGRRRSRELMEMEMEMYSRCARPSHIHQLHARLVVSGRLRCTSPSLALALLRAACRVRASPCLRPLAHHLLDHIPRPHPHLLHAAARLAYRLRLPSLALRHYVALRTHHPVFLPPAAAIADMLKSVRGRAAHAHALRVTAHAGDTRFLDNTLIAMYFACGDVQRARLVFEGMCDRDVISWTSLISGLVQNGSPLQGIQQFATMMHCDVRPDFVVLVTIAKAFMELDNLPGAESAHSLVVKGGFHDEQDVMITLTAMYASFGCLVAARALFDMVPPQQVNVILWNAMISGYSKNGFASEAVHLYKHMQLVARNLAPDSITLRSVIFACAQLGSTELAAWMEDCVCRSEYREDVLVNTALIDMYAKSGSISHARAVFERMHVDDRDVVVWSALITGYGVQGLVNEACTLFQDMKLAGVRPNDVTFLGLLSACNHAGAVEKGWSYFHSMKPDYGIEPQHQHYACVVDLLSRAGQLDRAYQFILNMPIKPEMTVWGALLHGCKMHGHSNMAMAECAAQHIFELEQSNAGHYVQLANMYASAGMWSQVAGMRVTMRERGVTKATGFSFIEVDGEMHSFHAWDHSHPRATEIFAMLCLLSPTPTGVGG >OGLUM09G14430.3 pep chromosome:ALNU02000000:9:18180088:18187937:1 gene:OGLUM09G14430 transcript:OGLUM09G14430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSRQYGAATRAAGNHHPRHRRRRSQAKPSEAKQREAKGRKACLVERRGLSSSRVGILALSPRHDWSGERSRRVGSGGGREAVGLISELQLWASKATTREHDFLSLYTTAAKDPSLQLHDAKPPHPSQGFFLRTHDFLQPLEKPTPAPAPPPTSQLQQQQQQAFPGGIGTFSISHVAGARPVAAAVVKAEPTPFVLWGQPAAAAAAHPVAALGHHHHHHHQWTLPFAGVGQVAATAARQQQERKGRVGGGGFMDSGSRSSGGAGFDDDDGVAARREVSSSLKELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLMMLGGGTEELPHPTKRHKSGNS >OGLUM09G14430.4 pep chromosome:ALNU02000000:9:18184388:18187937:1 gene:OGLUM09G14430 transcript:OGLUM09G14430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHSELTVRVDGKGGSCSGSGTDQRPSSPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVQKFEASVPEWNQENAKILPWSKGQNPGDDLPDPSQFIRNGSSSGYNFTGKPDDNHNMVTSAAASGAQELVETDHAASVSYRSAETPTNITNNVTSQAQAQWASPAGVDDCAMNSEMLNNQQLAIDEGTISLSSQYSQQLLGTLTHALESSGVDLSQASISVQINLGKRAVKRPGADGSSSSKELPSTSANNENMGHQLMMLGGGTEELPHPTKRHKSGNS >OGLUM09G14440.1 pep chromosome:ALNU02000000:9:18190503:18193475:-1 gene:OGLUM09G14440 transcript:OGLUM09G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGVKAAAAAEKAREAARAKVWAARASTTVVLWLCCALLLATSRELGRWSGCLTQPLIVVERRFEAVAAAGSERAAASASAAAAARGEKAESSASEAAVAALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFKDIFDVDYFISSLRDEVRILKELPPRLKRRVELGYVRSMPPVSWSDISYYQNQILPLIRKYKIVHLNKTDARLANNGLPMEIQKLRCRVNFAALIFTPEIEELGRRVVQILRRNGPFLVLHLRYEMDMLAFSGCTHGCSNEEAEELTRMRYAYPWWKEKVIDSNAKRNDGLCPLTPEETAMVLKALDIDSSYQIYIAAGEIYGGQRRMAALTSAYPNVVRKETLLPSDLRFFQNHSSQMAALDYIVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKLIVELVDQYKNGTMSWNHFSSAVKASHSSRMGAPSRRQMIPDKPKEEDYFYANPHECLHQPEELSVL >OGLUM09G14450.1 pep chromosome:ALNU02000000:9:18196934:18203591:-1 gene:OGLUM09G14450 transcript:OGLUM09G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prolyl oligopeptidase family protein [Source:Projected from Arabidopsis thaliana (AT1G69020) TAIR;Acc:AT1G69020] MLRRRHHLPAVLRLFSTSCRRGHPTQPPQHPSPAPLPLPPPVAKKVPFTASAHGRPWSDPYHWMRDTSDPDLAALLEAENAYADAFVDSAGEGGGGLRARLAAEMRARLPPSAASPPQPWGPWLYYQYVPEGKEYPVLSRRLRSSGGLARAALDFISGSKKEQVLLDWNEIAEKFGYVHIGSCRISPDHRFLAYTLDISGDELFSLEVKDIQSTNTIFSSPHKGIVSLAWSRNSDNLFYTVCDETLRPNQVLCKDLQSDQAGFLVFMEKDINCCVDITSTKDFKYVYVMESGHVRGGLWPVQKRSDKVQYFLEHHNGFFYILTNAPLEGTETANGGYYLARCRAEKSEMDKWQVVALPGSYYTFQDMDIFHEQLVLFIRKSGLPLICSINLPIDVDFQEQKELDDLDPWFFPVPSDLCSIVPGSNNDFMSSTYRLVLSSPVLPDLTVDYNMRMRTFAILHQEEVTGLSSNLCTVGLQSNITGIQQNLQLIEDSQSWSDLSKLFSCERVQVISHDGVSVPLVILYSREAHRRGESPGVLYGYGAYGEDLDKSWCSDRLSLLARGWVVAFADVRGGGDSSWHLAGTKANKINSIKDFAACGTHLIKEGFVHKNRLCAIGCSAGGLLVGAVINMLPDLFSAAVLKVPFLDICNTMMDSTLPLTILDYEEFGDPNISTEFDTIRSYSPYDNLSPDICYPPVLVTASFNDTRVGVWEAAKWVSKVRDITCQSCSWSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDNDSCKIVL >OGLUM09G14460.1 pep chromosome:ALNU02000000:9:18207696:18208391:1 gene:OGLUM09G14460 transcript:OGLUM09G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEAGRRPAPQFAGVDLRRPKGYPAAAQLTPAAEEAAAGVGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGSRKSSTSSSSSAAAAAASSSSSPSSPAKSPKRSKNSKRRRVSPPPPQPAPAPPPPTTADAADVAAPTAPEATTKKAPEDLTAAAATQPAVALGLGVADGGGGGKEHLDTSPFEWPSGCDLGPYWPTGVFADTDPSLFLNLP >OGLUM09G14470.1 pep chromosome:ALNU02000000:9:18208749:18209092:1 gene:OGLUM09G14470 transcript:OGLUM09G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMGDLFVRRRRRGEREREGFKLRGGVAPRPTALDSDGDARAXAGAGRASAGGNYGRGLRWQRRWLAVQRQRLLQLWRQRLVGDSRWLDDGSSGYYGDRGYGERRHGGDRG >OGLUM09G14480.1 pep chromosome:ALNU02000000:9:18209135:18209738:1 gene:OGLUM09G14480 transcript:OGLUM09G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGCIWPARQRLEEGSETGLAQRGAANGGRPDWHERRVRRPAWRKRRASDRGGDPGVRRSCRWMCAVFGAQRLAGEGRRCSGPTCRQMLSGGGASVRWLLGESPVLAPLSPDGRRRRFSVASLLEDVVLASSSRSLSNDWCKHALGVGFVLVVRAFL >OGLUM09G14490.1 pep chromosome:ALNU02000000:9:18212214:18215151:1 gene:OGLUM09G14490 transcript:OGLUM09G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAVGHGPRTRIRGGGLAAAPTAPSAAARRLSTVSYTAAPNLTKKVPDPKVVKPARRTTPVKKRPQVDQAQKQREELAALQEQLSGLQKKLLEKDEALRSAEHLISRISAANAAVDELRGQLTEKESQIESTGSELHGAKIQLAEKQAALEKLEWEAKVSSTKVEELQVDVASMDVEISALMKLFRKITENDRAPYSRERADDSSLECEPVQLDDMVGDIDMEKMEQEMSAYATALAAAKDNPTDEFLKAVTEARLRLQAFVL >OGLUM09G14500.1 pep chromosome:ALNU02000000:9:18218023:18219837:-1 gene:OGLUM09G14500 transcript:OGLUM09G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDGDGSFHRNEAISAVQDVDQYYGDDDDYDDLYNDVNVGDGFLQSSHPPPQPPPPPQQQQQPPPISQQPPPLQAPPPPPQQQHQQQQLQAPPSLPPPPPQRQPEKVHIPGVAAVPPAPVPDRPNPVHLPPQPQPPVAAAPPPPPHNQIQPGGGDGFHRQGGGNYGGGPIVVGNGGGGDGPGGTTLFVGELHWWTTDADLEAELSKYGQVKEVRFFDEKASGKSKGYCQVDFYDPGAAASCKEGMNGHLFNGRPCVVAFASPHTVRRMGEAQVKNQQSMAQQNSGVQKGGRGGGAAGGPGGAQVGGNYGGGRGGGGGGPGGGGGGGGGGNWGRGGGGMGGRGQAGNMRNRMGPVGGRGLMGNGGMVAPPPPMLHPGGMLGQGFDPTGYGAAMGRMGGGFGGFPGGPGAAPFPGLMQPFPPVVAPHVNPAFFGRGGGMGAGGVGMWPDPSMGGWGGEEQSSYGDDAASDQQYGEGGNHGKERPPEREWSGASDRRREREKDLPPPPDWPERRHRDERDAGRERERERDRDRERERDRDRERERERDRDRERERYRDDRDRHGDYHRHGKRESDRNEDWDRGRSSGRRSRSREVDHSKRRRMSPE >OGLUM09G14510.1 pep chromosome:ALNU02000000:9:18223288:18224067:1 gene:OGLUM09G14510 transcript:OGLUM09G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAPALAGVVLLLAVLLAMACAVHAASSQPPSPAASPESSEAESPESAESPESEESEWAAEGPGMLSEASEELGLGAGPLKTMGMDMDMLDDDDDGAAPSKSPAATAPAGAAAAPAEEGDEEEDASTASPASAPGASEEAEGEEEAPAGAPDAEAEEAASGPSEASSEEPSAAAASAPEESGGGEEAEPASGEAPTAEAATAADVSPAAVTVSEGPAEGPGPSAADEEEEESGASATTQRGSLAAAAVLLVGAAVFAL >OGLUM09G14520.1 pep chromosome:ALNU02000000:9:18232099:18232920:1 gene:OGLUM09G14520 transcript:OGLUM09G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRLAAAAAAPHGVLLLLLILLPLVAAAGPAAAKAPAAPPAPPNVTTAMAKGGCKAFADLIAASPDASSTYQSAAGGGITVFCPTDDAVRAFLPRYKNLTADGKAELLLFHAVPVYYSRGSLKSNNGVMNTLATDGAAKNYNFTVQNEGDAVTIKTAASGDAARVKSTVVDADPVAIFTVDAVIEPVELFKPAPSPTPAPSPAPAADAPKASKPAHHPAPVVADAPGPAATDSPPADQKKEAKKSAAAGAPPCVRWFAAALAAVAMASTLA >OGLUM09G14530.1 pep chromosome:ALNU02000000:9:18243870:18244115:1 gene:OGLUM09G14530 transcript:OGLUM09G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRAALFLAALLFVAMVVVPVARAAEESSAESPSSESSSESSSSSSADAPAEGPDGPAAAPGPGEGIDGLSDDNDDDSSN >OGLUM09G14540.1 pep chromosome:ALNU02000000:9:18247403:18247627:1 gene:OGLUM09G14540 transcript:OGLUM09G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALIALASAVLLLVAAVAPPLAAADDGSAGVPGEGKLESAGSAIKSAAANAFGVGSDIGGVPVNPSPGGANA >OGLUM09G14550.1 pep chromosome:ALNU02000000:9:18249834:18250064:1 gene:OGLUM09G14550 transcript:OGLUM09G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQQAAPPLALASALLLLLAAAAAVAPLGAAADGGLVQGGGEVARSAANTLAVGADPDPASADGIPADRAPDAHG >OGLUM09G14560.1 pep chromosome:ALNU02000000:9:18256160:18262451:1 gene:OGLUM09G14560 transcript:OGLUM09G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELFMQVFERRDWVAAQMRQQVESYDQSLACALLAAGRPPPSWLLPSRPAAPQGLNGKPAPSEFVFTGSHITTPAINRTVYKPSAVPSTSLRNVGLPSGYSHLWTACNSLDTDQHQEVQQEQTEVNEEFVNTRAEANMFSRIQRSRSRQRNIEDRLRERDEAANGGSSDGLQDRMERSKIAGVRLNRTTTSSSSEPCGGDANNSGATHPFRGQENDIYTNKRNSAEFLKCSKEGGLGSEGVHLDCSPSLVLENKIVSSDSLFKVPNDCSARDSSRTQVADSVCHPLPETHLFVEPKILQFEGVESVCMNFSSEKMGQPLESAHLDLAEAHPLNEDPSSTGCYHVPRSVGSSLVDGVELGLLSTDSATLKQHLQCGSPDLSPTHSRNKDPCPTISSEVPNYTSEPLVEQDTYCNPEINSLEGPCSKVSQLLEKEETKACPDANPLLKTDALHTIGSTERIRNLASRNSTPLEQRSSDPHVLPCQRSRSVQPADSSSRPPLSTGILPDSLLEAVGLDHLPHSNDTNSQCSPSRSAASPDLLPLRLVNSGDVYQPSFSCCKSQNNKDSNGCAVEGTTVSIEKPPSQEQYLLDRPPMELNGFADEDTPLGHTLGTHNEMLKGKKADDLVNFHSGKLNSSQKKPKGLTEASGFSSGKNESARQKVESNISTGVMHTTERSRGFCAMNCTEDLQQDGTEQETSPFDNAVQINANRCTADNNKQIKYLRPSVRYSLRSLMSHEKINLLQSEGRSAACGQKRSDADGVQVNGGPSSKRRRIKRQSNAALSSSPNTNSLSVVHQVDIDNHVLPLGNFSGKSQPSGRYFLRDLGSSGSMSLKSEERNAVSHGKISVSSIHNKTSSSPERYNKASLDNENGNSPGQLQNTLDVVKTTAALPSCYGTLIDNEKSCAEEENPCLEGKHANDTCSSVVHQQMTLQIDNIASQSVILNSENYSRENSITISASYVSDQNGDQAHAPSALVRENLSYGSSVELDRRCKSNGSKGSLLSGAAITTQDGDESVDCDDTMPEFERFDVPIQFDSPCAETKTSEALCESRKLVTLSSKFSNYDTNTASGVSHLLSAMSGKPINFPDDLQQYRANNDRSITDIFGACGLGLDDSFSIYDVTASCSSNGSSAKENNGNPLTPSVEKYGLGKLSARSGSSSEHMGSIPELECFRIDEHSSIAEENEYQGMLHGSAGLSYSHQLPSGRKALQDITGLCQNTVNSASLSSIFLDTGNELNHQTDLINGHANDKPKNSLAASTKRERKMSDSLHPRLRRTELHNRNGRHQSEANIDKQSKPSNIVANVTSFIPLVKPKLQPTTACVKKDVRVKALEAAEAAKRLQEKKQNEREMRKAAAKLERERLKQEKELKQKQEEQKKKRDADVAAKKRQRGEEERKEKQRKRKCTEEARKQQKQPTEKSLAVNDEKDVCRKTSDNIELTKPDGRTTEPAMTNIPNSLEESYQMSPYKDSDEEDDDDFEHEQESRRRRKFIPSWARLYLRKSCVFDVMH >OGLUM09G14570.1 pep chromosome:ALNU02000000:9:18265384:18266724:-1 gene:OGLUM09G14570 transcript:OGLUM09G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSSSQQLAGDGELILAACHGRPVTAYDALSGHVVADFPAPVNTSRHGLAVVAAPGAAAPFVAASHVCPVTGAGSVLLLHWWSRAPARSLPVPEPVAPLVAAPRGGSHSHLLAGGLSGRVHAIALPSGDVARSFRAHGGSAPVSCLELSDDGSLLVSGGYDGEVAVFVLLSVLDVDADADDASVSADLSLYRVPAHAAPVTCVACGRGGCDAVVATASMDGTCKVWTLKDGSHLRTLTLPCTAFSLTLDHLAARLFAGGSDGRVHVASLSPAAITSSSWHASGNTNAALVGVGMANGSKNLVTCTEDGEVSVWDIPSGLLLAASFRISGAVTDVMVIKKSAAAAAAAAAAAGDMVRPRDGGVGFTGVRDGEAWRRAGEVARMEQTLRESEVEKARSVELIEMAVGGYRRCLRLMLREVTATVAGGGHRPNDVSSSDGHVSD >OGLUM09G14580.1 pep chromosome:ALNU02000000:9:18267694:18290192:1 gene:OGLUM09G14580 transcript:OGLUM09G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCNEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETIATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >OGLUM09G14580.2 pep chromosome:ALNU02000000:9:18267694:18279429:1 gene:OGLUM09G14580 transcript:OGLUM09G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCNEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETIATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >OGLUM09G14580.3 pep chromosome:ALNU02000000:9:18267694:18279429:1 gene:OGLUM09G14580 transcript:OGLUM09G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCNEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETIATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >OGLUM09G14580.4 pep chromosome:ALNU02000000:9:18267694:18276882:1 gene:OGLUM09G14580 transcript:OGLUM09G14580.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCNEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETIATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >OGLUM09G14580.5 pep chromosome:ALNU02000000:9:18267694:18275650:1 gene:OGLUM09G14580 transcript:OGLUM09G14580.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOL1/NOP2/sun family protein / antitermination NusB domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G13180) TAIR;Acc:AT3G13180] MEGGALALPHFRSRVGVGSPILLSADPGAARRSSGASGRSRVSRVNPGAYPRSAGRGRTWKRDSPEAPPRRSGITRVPNRSPEMPTERAGRTKVSNVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNEKGNSSGENEMSYVERTLGFSIRCLDNRDIRLVTVIVAGTVRWKRYLDYLIMSLCNEEKVFREMEPLLLQILRIGFFEILKLNVPAYAAVDENVRLAKVALRPGAGNLVNAILRKLLLLKEANSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFLGKEEALKLMKWNNSDPHFSIRVNTANGYTRADLIDRLESLQVHYEKSTMDEFVRIQEGLVVSVVDPQPGETIIDCCAAPGGKTLFMAARLSGQGKIWALDINKGRLRILMEAAKLHNLDAMISDIHADLRLYAKETIATFDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASMLVKPGGILVYSTCSIDPEENEHRITAFVQRHPDFVLQSVHGYVPAEFVTDEGFYSSSPTKHSIDGAFAARLVRSVL >OGLUM09G14590.1 pep chromosome:ALNU02000000:9:18275776:18295352:-1 gene:OGLUM09G14590 transcript:OGLUM09G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEKVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSPAAYFSESEGHHNPCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMSHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREILCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPEIMSPWATPFIYVFCVKTLYSLYEALLSGDTLKGWWNGQRMWMVKRITSYLYGFIDTIRKLLGLSKMSFEITAKAILCGMIVIINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVLCPVRRRTFKDRLAERLPTFKQLFTTISLNTFNMSFGACMKKKLDIFVCTADPHSEPPSLVISTVVSVMAYNYPSKKLRVYLSDDGGSILTFYALWEASVYNIEPRPPAAYFVESEGHHNLCTPKEWSFIKILIDGKSQNVVDDDGNVLPSLVYIAREKRPQYHHNFKSWAMNALICNNPIILNVDCDMYSNNSDSIRGTLCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLLVLNEADIYEIEEKAKSLATCTCEHRTQWGNEIEIKYGCTIIFTELAINCRGWESVYINPQRAALLGVGPATLAQTILQRKRWGHPSIPRDYESMGYTLRICIILYEALLSGDTLKGCWNGQRMWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNGDEAKRYKQEIIELGSSYPEYVIIVIVALLNLVCLVEVILCGMIVITSIPIYEAMFLRKDKGRIPSSVTLASLGFVMLAFLIKY >OGLUM09G14590.2 pep chromosome:ALNU02000000:9:18275776:18295352:-1 gene:OGLUM09G14590 transcript:OGLUM09G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEKVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSPAAYFSESEGHHNPCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVIINMPIYEAMFLRKDNGRIPTAVTLASIGFTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVLCPVRRRTFKDRLAERLPTFKQLFTTISLNTFNMSFGACMKKKLDIFVCTADPHSEPPSLVISTVVSVMAYNYPSKKLRVYLSDDGGSILTFYALWEASVYNIEPRPPAAYFVESEGHHNLCTPKEWSFIKILIDGKSQNVVDDDGNVLPSLVYIAREKRPQYHHNFKSWAMNALICNNPIILNVDCDMYSNNSDSIRGTLCFFLDEEMGHKIGFVQYPQNYNNMTKNNIYGNSLLVLNEADIYEIEEKAKSLATCTCEHRTQWGNEIEIKYGCTIIFTELAINCRGWESVYINPQRAALLGVGPATLAQTILQRKRWGHPSIPRDYESMGYTLRICIILYEALLSGDTLKGCWNGQRMWMVRRITSYLYDLIDTIRKLLGLSKMTFAVTAKVSNGDEAKRYKQEIIELGSSYPEYVIIVIVALLNLVCLVEVILCGMIVITSIPIYEAMFLRKDKGRIPSSVTLASLGFVMLAFLIKY >OGLUM09G14590.3 pep chromosome:ALNU02000000:9:18281219:18287901:-1 gene:OGLUM09G14590 transcript:OGLUM09G14590.3 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAATAAERRRPLFTTEELGGRAVYRVQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVIAQSVRWRPFRRRTFRDRLAERYEQNLPGVDIFVCTADPQSEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASIFAKKWLPFCKRYNIEPRSPAAYFSESKVHHNLCIPKEWALIKNLYEEMRERIDTATMSGKIPEEMKLKHKGFDEWNSDFTLKNHQPIVQILIDGKNRNAIDDDRNVLPTLVYVAREKRPQYHHNFKAGALNALIRVSSVISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMGQKIGFVQYPQIFNNMTQNDIYGNSFNVSYHVEMCGLDSVGGCLYIGTGCFHRREILCGRIFSKDYKENWNRGIKERGKENINEIEEKATSLVTCTYEHRTQWGNDIGVKYGFPAEDIITGLAIHCRGWESAFINPKRAAFLGLAPSTLAQNILQHKRWSEGNLTIFLSKYCSFLFGHGKIKLQLQMGYCICGLWAANSLPTLYYVTLYGLYEALLSGDTLKGWWNGQRMWMVKSITSYLYGFIDTIRKCVGMSKMSFEVTAKVSGHDEAKRYEQEILEFGSSSPEYVIIATVALLNFVCLVGGLSQIMAGVWNMPWNVFLPQAILCGMIVIINMPIYEAMFLRKDNGRIPTAVTLASIGFVMLAFLTTTAAVGEGEYGRQGGVQIPFQAATVAAGKLLVLYYRATHMPAGGEGRAAWVGMEAAEVMYTVGRPVLCPVRRRTFKDRLAESQT >OGLUM09G14590.4 pep chromosome:ALNU02000000:9:18288063:18295352:-1 gene:OGLUM09G14590 transcript:OGLUM09G14590.4 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTERRRLFATEKVGGRAVYRLQAATVAAGILLVLYYRATRVPAAGEGRAAWLGMAAAELWFAVYWVITQSVRWCPVRRRTFKNRLAERYKENLPGVDVFVCTADPHAEPPSLVISTILSVMAYNYPSEKISVYLSDDGGSILTFYALWEASMFAKKWLPFCRRYNIEPRSPAAYFSESEGHHNPCSPKEWSFIKNLYEEMRERIDSAVMSGKIPEEIKLKHKGFDEWNSEMTSKNHQPIVQVLIDGKSQNAVDDDGNVLPTLVYMAREKSPQYHHNFKAGALNALIRVSALISDSPVILNVDCDMYSNNSDSIRDALCFFLDEEMSHKIGFVQYPQNYNNMTKNNIYGNSLNVINHVEMRGLDSAGGCLYIGTGCFHRREILCGKKFSKDYKEDWGRGIKERGHENIDEIEEKAKSLATCTYELRTQWGNEIGVKYGCPVEDVITGLAIHCRGWESVYMEPQRAAFVGVAPATLAQTILQHKRWSEGNFTIFLSKHNTFLFGHGKISLQLQMGYCIYGLWAANSLPTIYYVMIPALGLVKGTPLFPEIMSPWATPFIYVFCVKTLYSLYEALLSGDTLKGWWNGQRMWMVKRITSYLYGFIDTIRKLLGLSKMSFEITAKVSDGDEAKRYEQEILEFGSSSPEYVIIATVELLNFVCLVAGLSKIMAGVWNVFLPQVILCGLIVITNIPIYEAMFVRKDKGRIPLPVTLASIGFVMLAFLLPIPPLSSTKCL >OGLUM09G14600.1 pep chromosome:ALNU02000000:9:18305134:18306678:-1 gene:OGLUM09G14600 transcript:OGLUM09G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSALRRGGAAVRHAASSSSAAAAAADGSPGALLRRKVAERERARRRPRDPSRDEFFVATPESLAWLDSASLPMVLTAAAIALFTKLLMMEHDATDQERGERKIKNSHPDQGKVRMLTREEWDEIQEVRPRTPFESKLARPHARIRTGEPVRLEDVKDWATDMIMDAFTRAEESAKKK >OGLUM09G14610.1 pep chromosome:ALNU02000000:9:18313001:18317417:1 gene:OGLUM09G14610 transcript:OGLUM09G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLEKELSGLSSESGILDDSLVAEEEDSGELKDIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPIELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >OGLUM09G14610.2 pep chromosome:ALNU02000000:9:18313537:18317417:1 gene:OGLUM09G14610 transcript:OGLUM09G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLEKELSGLSSESGILDDSLVAEEEDSGELKDIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPIELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >OGLUM09G14610.3 pep chromosome:ALNU02000000:9:18313993:18317417:1 gene:OGLUM09G14610 transcript:OGLUM09G14610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSPLQSMPGQAAKPKGTGEQGGDAEVVSEERTPSDQQQGSPASTLEKELSGLSSESGILDDSLVAEEEDSGELKDIQNLDCNGNQEKKTSQKSSTSDSFASAKVSDGTNSLRKTSGSAKTSDRANFTESGKSSMCRASTSSDISDESSRSSMSSATTKPHKGNDSRWEAIQTVKTKDGILGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKASLASRKKLLRSQTELEILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSPTVIKSANPGLDALQRNNAAYCVQPACIEPSCIQPSCVAPTTCFGPRFFKSKSKSKSKKEKSKPEAANQASLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPIELDCRPKQVPSTNGKVAPASNQKGSDNYLEFEFF >OGLUM09G14620.1 pep chromosome:ALNU02000000:9:18325062:18325559:-1 gene:OGLUM09G14620 transcript:OGLUM09G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04240) TAIR;Acc:AT2G04240] MGISSMPAPKDSLVLYVLYNAVVSVAALAGVVRAALVFLGLPAPPSLLLLLGGEEGGEDAAMAVSVSAAAAAVGPSLADTFRARFRPARFGRRRCGGGATADCRVCLVRFEAEAVVNRLPCGHIFHRACLETWLDYDHATCPLCRSRLLADSSSPPAAAAALART >OGLUM09G14630.1 pep chromosome:ALNU02000000:9:18334988:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKAPIDLMIVPNTCTFTPFHRVCGFPHLVLLLSGFDLQHFCSVVAMRDDAARGADAEAAAGFVDAFVRRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTDAAHNKESHVADQGTSSSAVGISGS >OGLUM09G14630.2 pep chromosome:ALNU02000000:9:18334988:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKAPIDLMIVPNTCTFTPFHRVCGFPHLVLLLSGFDLQHFCSVVAMRDDAARGADAEAAAGFVDAFVRRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTDAAHNKESHVADQVAFFIKI >OGLUM09G14630.3 pep chromosome:ALNU02000000:9:18334988:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKAPIDLMIVPNTCTFTPFHRVCGFPHLVLLLSGFDLQHFCSVVAMRDDGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTDAAHNKESHVADQGTSSSAVGISGS >OGLUM09G14630.4 pep chromosome:ALNU02000000:9:18334988:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKAPIDLMIVPNTCTFTPFHRVCGFPHLVLLLSGFDLQHFCSVVAMRDDGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTDAAHNKESHVADQVAFFIKI >OGLUM09G14630.5 pep chromosome:ALNU02000000:9:18334988:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKAPIDLMIVPNTCTFTPFHRVCGFPHLVLLLSGFDLQHFCSVVAMRDDGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTGTSSSAVGISGS >OGLUM09G14630.6 pep chromosome:ALNU02000000:9:18338348:18342102:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLELRGRSGRSQEQTMASKKALAMQPSKKICMTLLPQDIVELILLRLPVSTLLRCRGVCKQWDGIIRDPQFAMVHIQRAPRRPLFFFQRENLVHLLYPSEAILFDEAWSPSKWVVPVIEPDDFLCASCNGLICLYSDKSTIKIANLATGECMHLVKPVRNSKTDHFSYYSFGFHPVTKQYKVMHFLRDEHLHVGTSFSIIQVYTLGDEKWRDVRTPQALSLRCVERSGVVNVDGAMYWLTEDEESVWKHAVVTFDLSEELFQWLQLPAVDPANYVLGDPDQWLITEIDSNVSVSYYETGKLHIWTIDSKIEQSWSQKYNICLSMLEVPGPHWICGDKIILHDFNKNLYFYELMGKNSEIESSKLVKQLRFSPRNNMQCFMFVKSLVRLDAFRKAGVVRRPKRREGWKLKKWEVWMDRLHRLENHCRSIHDMKHKIYENADKMGMEINLDLQQTPDLDSSLRLINWLEYRRVLEILCVNLDNMHEVLTVMNNTTDAAHNKESHVADQGTSSSAVGISGS >OGLUM09G14630.7 pep chromosome:ALNU02000000:9:18334988:18337247:1 gene:OGLUM09G14630 transcript:OGLUM09G14630.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLATCCALLFFSRESVSSEHPSDSVLIDEAWSQSTLAVPVIGPDDFLCGSSNIGLVCLYTQTTTIKIINLATGGCLHLEKPAKNLAGDHSSLYSFGFHPVTKKYKVTHFLGDSRKAHPRAKDSFYAIQVHTLGDEKWKDVGSPEALSLNCVKNSGVVNVDGIMYWLTEDQGASWQHAVISFDLSRESFGRIQLPTVVLEDFAFYGPHQYWIKEIDGKVCIATSQTTQNQPRELIGEIQIWTLHIHLEQRWIQKYMIQSSPQCILGPNIFHGDKILSQQYGSILYSCELLGKNLEVKMSNADRLLDFTPRKPGNMQSYTFVKSLVRLDAYKKASIVRRPKRQEGWELKKWEAWESNRCVLEDTWKDVTRKNFHTVTNWLLLRQPDDEALKCISMRIDQMLRCLSDCPNQHPRPLRRLNWLEQKQDLDDLKAYANRLRDTVKWLRPSTLLLGGGHAR >OGLUM09G14640.1 pep chromosome:ALNU02000000:9:18349380:18353191:1 gene:OGLUM09G14640 transcript:OGLUM09G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPPVAAAAAAARHALLLASVAVAVALLLVSPCHGVSEQGQALLRWKASLRPSGGALDSWRASDATPCRWLGVSCDARTGDVVGVTVTSVDLQGPLPAASLLPLARSLRTLVLSGTNLTGEIPPELGEYGELATLDVSKNQLTGAIPPELCRLSKLESLSLNSNSLRGAIPDDIGNLTALAYLTLYDNELSGAIPASIGNLKRLQVLRAGGNQGLKGPLPPEIGGCANLTMLGLAETGMSGSLPDTIGQLSRIQTIAIYTTLLSGRIPASIGNCTELTSLYLYQNSLSGPIPPQLGRLAKLHTLLLWQNQLVGAIPPELGRCRQLTLIDLSLNSLTGSIPATLGDLPNLQQLQLSTNQLTGAIPPELSNCTSLTDVEVDNNQLTGAIAVDFPRLRNLTLFYAWRNRLTGGVPASLAECPSLQAVDLSYNNLTGVIPKQLFALQNLTKLLLISNELSGPIPPEIGGCGNLYRLRLSGNRLSGTIPAEIGGLKSLNFLDISDNHLVGAVPSAISGCSSLEFLDLHSNALSGSLPETLPRSLQLIDVSDNQLAGALSSSIGLMPELTKLYLGKNRLAGGIPPEIGSCQKLQLLDLGDNAFSGGIPPEIGTLPSLEISLNLSCNRLSGEIPSQFAGLDKLGSLDLSHNELSGGLDSLAALQNLVTLNISYNAFSGELPDTPFFQRLPLSDLAGNRHLIVGDGSDESSRRGAISSLKVAMSVLAAVSAALLVAATYLLARMRRGGGAGGGGRVVHGEGAWEVTLYQKLDISMDDVLRGLTSANVIGTGSSGVVYKVDTPNGYTFAVKKMWSTDETTTAAFRSEIAALGSIRHRNIVRLLGWAANGGARLLFYGYLPNGNLSGLLHGGGAAAGKGGAPASDSEWGARYDVALGVAHAVAYLHHDCVPAILHGDIKAMNVLLGAAYEPYLADFGLARVLSKLDSAMPAPPRIAGSYGYMAPEYASMQRITEKSDVYSFGVVMLEMLTGRHPLDPTLPGGAHLVQWVRDHLQAKRDAAELLDARLRGAAGAGAGADADVHEMRQAMSVAALCVARRADDRPAMKDVVALLKEIRRPAPSAAGDDAKPPPPPQPTPPPSLPTTVTATPASPVSSCSFAAVTDYSV >OGLUM09G14650.1 pep chromosome:ALNU02000000:9:18354688:18367637:1 gene:OGLUM09G14650 transcript:OGLUM09G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPPEVGHAAAAAVAGGKKEVRALDGASAISEEEEVEVEVEVEVEVEEEEEAEEEREDEEEGEEDGGDEEEEEEEGVKWLKHYSSMQSILVVGDGDFSFSRALAVAFCSGESLVSTSLDSYEALRGKYANAESNIMVLKLMGATTLHGVDAKTMKHHTDLKMRRFDRIVFNLPHAGFKAKEGDMRMINLHKDLVRGFFRNARCLLRPSGEIHVSHKRGKVYENWEIEKLASESSLIMVEKVDFHIEDYPGYNHKRGDGPRCDEPFPLGPCCTFKFSIRNHKKQKKCHSKKIGSIPSLGGSHVHPEILASDWSPSQPFRPVNAVNMPVTFDPYSLRIAQSHQPGFPVNFVGLWTAAACSLQHCNIHPMLNIVRPSLHLLPIASIIAPQMGRITSTSLFAPQEQPKPVLRPLQSVSSYDLAREHQMNLRREFEMRGQTMPAGTSLDYFEFLEYLFRDPAEKEKWLQTMITLHATRCCKPNLRAAHSNSTPLRRSCHTHARMAAVALLSPAALAAVVTEGEEKPPLEEVEVEVEVEVVVRAIVADGDGREANAAAPGANGCGEEEVPAHAAEGGTVAAMVDENAAAPAAEGDTVAAAKGEAPAAEGDMVVAAKGAAHAAAEGDTVAAAAVAGENEAAHAPAAGGNTVAAAADVKGEALQAIPVADEAAAVAEGVNAIAAAEREEDDEGVKWLKHYSSLQSILTVGDGDFSFSLALATAFGSGDNLVATSLDTIEDLRGKYSKAESNIMELKRMGATVLHGIDAKRMKDHTSLKLRRFDRIIFNFPHAGFKGKEDDLHMINLHRELVWGFFQNARHLLRPYGEIHVSHKIGLPYDRWCIEHLAYESSLTMIAKVDFRKEDYPGYNQKRGDSAKCDQPFELGACCTFMFMRDLTRLKRARRNRIDASSLGIQAQHDMPFHPRPLVPAYPQPHFPSQVNAAHRQVPPEHYPLGIAHGQEPGFLDNFGGIERYPYQRGAIGTVIGMPGTPSPMRGITRSSFPAPQEQPWRQERYIMDPEVRDDHYHFAREYPRNLQEEYEMERQVMPGGTRLRYVDFLENRYEESVRRQEHLRRLIAEYGGYD >OGLUM09G14660.1 pep chromosome:ALNU02000000:9:18367123:18370761:-1 gene:OGLUM09G14660 transcript:OGLUM09G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMESFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWSNSETSFCDHALFPPLDGSIKNSR >OGLUM09G14660.2 pep chromosome:ALNU02000000:9:18367517:18370761:-1 gene:OGLUM09G14660 transcript:OGLUM09G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIIVLFVIKKIGIAVAGETLKLAKPLLANKTELKKAELVTALPVNMKLIKDELEVINAFLKELGMNGCKGEVVETWVRQVRRLAHDMEDVVDEFMYVIGKNKERESRAYVKKIIKKPKPLFSLDEIATKADRINRQLMELSKRLGRWTQPILSGGSIPATKYDTEQQQLYLPGHDYSITDAELVGIDKNRQTLIESLCLEDCSLRIIAVWGMGGLGKSTLVNNVYKNEATVSNFNYRAWLSISQSCRVLDIWRNMLKELCGKESREFDAENMSSTELKVELTKILDQKRYLIILDDVWLATDFLKIREVLVDNGLGSRVIITTRIEEVASIAENGCKISLEPLDNHDAWLLFCRKAFPKIEDHICPPELEQCGMDIIDKCDGLPLALVAIGSLLSFKSKNNKDWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNHLKYCFLYCAMFPEDYLIHRKRLIRLWISEGFIEQKGACSLEDVAEGYLAELVQRSMLQVVACNSFDRVQCLRMHDIVRELAIFQSKKESFCTIYDDTHGVAQVGLDSRRVSVLRCNNDIRSSIDPSRLHTFIAFDTTMALSSWSSFIFSESKYLNVLDLSGLPIETIPYSVGELFNLRFLCLNDTNVKEFPKSVTKLSNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESMESFEGLWDLKELQYLNEVRATKAFVSNLGNLSQLRSLCITYVRSSHCVQLCNSLSKMQHLTRLNIRARNEDELLLLDDFTLSNPLEKLELVGQLSEGTLESPFFSIHGYKLLQIELSWCKLTVNPVARLAEFSDLTELRLTRVYTGPWLYFPANWFPKLKKAVLWDLQQVKQIFIQEGALANLHYLHIDSLMELRDIPVGIEFLSSVKEAYFTRMHSDFVRNLRTGKISHIPKVHWSTQGVSTDLTGLANLPGASNMTNTNPEWRILGGSGWVFI >OGLUM09G14670.1 pep chromosome:ALNU02000000:9:18377648:18380786:-1 gene:OGLUM09G14670 transcript:OGLUM09G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAVLFVIKKIGIAVAGDTLKLAIPLFAKKTELKKVELVTALPVNMRQIKKELEIINAFLKELGMNGYKGEVVETWIRQVRRLAHDMEDVVDEFMYVVGKNKHKKSWACVKKITKKPKPLFSLDEIATKADMINTELVELSKRLDRWTRPLSSGIYVPPTNYNSEQQLYLPGYDYSINDNELVGIDKNRQTLIESLRLEDCSLRIIAVWGMGGLGKSTLVNDIYKNEAIVSNFNCHAWLCISQSSKMHDIWQNMLKELCGEDNRGVDAENMNNRELRLELAKILRQKRYLIILDDVWLAADLLKIREVLVDNGLGSRVIITTRIEEVASIAEDGCKIRLEPLNNHDAWLLFCRKAFPKTENHMCPPELHQCGMDIVNKCGGLPLALVTIGSLLSLKPRNKKEWRLFYNQLISEVHNNENLNRVEKILNLSYKHLPNYLKNCFLYCAMFPEDYIIQRKRLIRLWIAEGFIEQKGTCSLEDVAEGYLTELVRRSMIQVVARNSFNRIQCLRMHDILRELAIFQSKKESFSTVYDDTHGVVQVGSDSRRVSVLQCNSEIRSTVDPSRLRTFLAFDTSMALSSASYFIFSESKYLAVLELSGLPIETIPYSVGELFNLRYLCLNDTNVKEFPKSITKLLNLQTLSLERTQLLNFPRGFSNLKKLRHLLVWKLVDATYKSLNNWESLEPFEGLWNLKELQSLCEVRATRDFVSKLGNLSQLRSLCITYVRSSHCAQLCNSLSKMQHLTRLHIRAMNEDEVLLLDDLMLPNPLEKLDLLGQLSKGTLESPFFTTHGNELLQLELSRCQLTVNLVAWLSKLSNLTELRLTRVYTGQQLSFHANCFPNLKKALLWDLQQVNQIYIQEGALSSLQYLHIDSLMELRDVPTGIEFLRSVKEAYFTMMHSDFVRNLRTGKGCLRNLLTCLENPAPTHNGAC >OGLUM09G14680.1 pep chromosome:ALNU02000000:9:18387436:18388167:-1 gene:OGLUM09G14680 transcript:OGLUM09G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLLASGGGGGGEGERRLSLPRESGGKRSRRRRGSEDPRWRPGAPAAGVRDERAAAVAASEEEASFPSSGEGLTTRLLRGARAGGGSGGCCSDVGVGVGLQLRRAPVGRVVHLLLLTVSVPVAFLWARMEPLLLLCGQDAAIAAAAQRYILFRLPDLLFLSLLYPLRIYLRVQLINLPLTACAALVVAVHLPINHLLVSVLGLGIEGVALASAWANLNLVPFLPRLRLRLLHGRRRRRRRRS >OGLUM09G14690.1 pep chromosome:ALNU02000000:9:18388846:18390219:1 gene:OGLUM09G14690 transcript:OGLUM09G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDGETPMPSLELHKLPTLAGAAIPNPIARHPLYHPSPSFFISPADVVLRDILFDASPASAAGERRRRHVAAYHRAGPRREVAFDPATVRAAIVTCGGLCPGTNTVVRELVVGLSELYGVRGGVFGVRNGYRGFYSDEVVPLDPAAVEHWHKAGGTALGTSRGGFDLARIVDAIERHGFNQVYAVGGDGTMRGAARIHGEVRRRGRLAVAVAGIPKTVDNDVGVIDRSFGFHTAVEAAQQAIAAGHVEDESAAKGVGLVKLMGRSAGHIALHATLSSRDVDCCLIPEEDFYLRGAGGLFDFLYRRIKDNGHAVVVVAEGAGQRLVPRTAAAGDESGNEAFLDVGAWLKAELRAWWEEEHAGEVLTVKYIDPTYMIRAVPANAGDNLYCTLLAHAAIHGAMAGYTGFVSGTINGNYAYIPMDEVAEAKNPVDTKDHKWAWVRSITNQPDFIRAGPTS >OGLUM09G14700.1 pep chromosome:ALNU02000000:9:18391961:18401034:1 gene:OGLUM09G14700 transcript:OGLUM09G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSLKNHPPAPLLALALLIGSLSFVVYLGGHSHGRGGAALASNQERAMNSHHEFLGSFLGSKEKARDAIFYSYTKYINGFAATLEEEEAMEISKHPSVISVFPNRGHRLHTTRSWEFLGMEKDGRIRANSIWAKARFGEGVIIGNLDTGVWPEAGSFSDDGMGPAPARKLIGARYFNKGYLSTVGQAANPASTRDTDGHGTHTLSTAAGRFVPGANLFGYGNGTAKGGAPGAHVAAYKVCWRPVNGSECFDADIIAAFDAAIHDGVDVLSVSLGGAPAGYLRDGVAVGSFHAVRRGVTVVCSAGNSGPGAGTVSNTAPWLVTVGASTMDREFPAYLVLGNNKKIKGQSLSPVRLAGGKNYPLISSEQARAANATASQARLCMEGSLERGKVEGRIVVCMRGKNARVEKGEAVRRAGGAGLVLANDEATGNEMIADAHVLPATHVTYSDGVALLAYLNSTRSPSGFITVPDTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGQAGPTGLAFDSRRVLFNAESGTSMSCPHVAGVAGLLKALHPDWSPAAIKSAIMTTARVKDNMRRPMSNSSFLRATPFSYGAGHVQPGRAADPGLVYDMNDTDYLGFLCALGYNSSVIATFMASGSGAQPPYACPPARRPEDLNYPSFALPHLSPSGAARTVTRRVRNVGDAPAAYVASVAEPRGVSVAVRPSRLEFTAAGEELEFAVTFRAKKGSFLAGEYVFGRLVWSDAAAGGRHRVRSPLVVRVVDKKGKNGLPIS >OGLUM09G14710.1 pep chromosome:ALNU02000000:9:18402427:18402627:-1 gene:OGLUM09G14710 transcript:OGLUM09G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCPSKACCICTLIVLVLVAVGIVFGFGVYTRGFHKLTSSIHDASSTAAGSLRAHQFFAPPPPPY >OGLUM09G14720.1 pep chromosome:ALNU02000000:9:18402557:18427296:1 gene:OGLUM09G14720 transcript:OGLUM09G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATSTSTMSVQMQHALLGQQHISPAAEHEKGDALLPFAVWACVPGDAPHRAAIKGGGWVGGSPSRVRTPTCIEMLWGC >OGLUM09G14730.1 pep chromosome:ALNU02000000:9:18429698:18444410:1 gene:OGLUM09G14730 transcript:OGLUM09G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRRGQPERKAAAATTKKRGRDEEVAAAAPPPPCGAVDFPFEEGDEEAAAADVVGDQAPGVFRFPWQSCRGGLGKEGFRRMTVVVEDR >OGLUM09G14740.1 pep chromosome:ALNU02000000:9:18445192:18449556:1 gene:OGLUM09G14740 transcript:OGLUM09G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVARGRRCRGVVLLLLLASVLAPLVLYGGSPVSVSTLPDSTVASGVLDRDGEYDLVVAASDVSLTKDLTIERLGEHKNRVLSATEDWQVVEAASKNPAFEKPDASVSRKEPGSGDANVVITEGNGAAQSGRDGVIWEVVSRDRGADGFTQPWEINGGEERDGERVDRVKLGVSVEEQNDGTGETGVNNIAGTHTSGNLNSSLEKERSTGRLSEQVTKAIPKESYTPTTNSNSALPTSVSAGHSTTSPDATIRTIKDQLTRATTYLSLVASRGNHGFARELRARMRDIQRVLGDATSGGQLPQNVLSKIRAMEQTLGKGKRILDSCSGALNRLRATLHSTEERLQSHKKETNYLAQVAAKSLPKGLHCLPLRLTNEYYYTNSNNKKFPHIEKLEDPKLYHYALFSDNVLAAAVVVNSTIIHAKKPADHVFHIVTDRLNYAAMKMWFLANPLGEAAIQVQNIEEFTWLNSTYSPVMKQLESQSMIDYYFKSGQARRDENPKFRNPKYLSMLNHLRFYLPEIFPKLSKVLFLDDDTVVQQDLSAIWSIDLKGKVNGAVETCGETFHRFDKYLNFSNPLIASNFDPRACGWAYGMNVFDLSEWRRQKITDVYHNWQRLNENRILWKLGTLPAGLVTFWNRTFPLHHFWHQLGLGYNPNINEKDIRRASVIHYNGNLKPWLEIGLSRYRKYWSKYVDFDQVFLRDCNINP >OGLUM09G14750.1 pep chromosome:ALNU02000000:9:18450188:18450556:1 gene:OGLUM09G14750 transcript:OGLUM09G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLGRPWFMALCMVVVCSLTVSFAVFLCGHSSGVHDGDLLRKKKPMKPPPPSSKKKKVSTSMSGTVVDTTGMYMAAYGVAVVGGHGGHGGGGCGGGGGGGGGCCGGGGCGGGGGGGGC >OGLUM09G14760.1 pep chromosome:ALNU02000000:9:18452818:18453105:1 gene:OGLUM09G14760 transcript:OGLUM09G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAAEMGAPWPVEFMGAAEGGFGGEAVYCAVILWLSVVAWIIFTSVGDGDEGGGRGSRRRRRRSSPVFVGAAGICDGTGPGCSGGFGPCGTCVD >OGLUM09G14770.1 pep chromosome:ALNU02000000:9:18455907:18459638:1 gene:OGLUM09G14770 transcript:OGLUM09G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTKSSTPPPVMGAPVGFPPGAYPPPPPAGAAAAAYAQQLYAPPAAAAAAAGIPRPAAGVPATDPLAYYYGIKKEKVHRWSLNLSSSYKIIPQPQNQISGVSQHPKPFTLGSSVVLTLVLSDVAAESAWAPPIRMPRATLSLLPLLSLPLSLARFSPLPGQPAGRKVAGARRERRRPDGWRQATRRRRRRGSRPVGEEAGDRGRRPCGPPPGTSPRPPSSGRSRTSSSTATPSCSSPSCCCRTGHNSGKKFWEFPLFAGGCASGHRSVLIQNSDVAYLCNQMMLKLRDFYDPSKVQKGALLCFFSSIFQHKHKHNKSTMAVVGDGGAVAVIERRRLGLFWTGEGRHG >OGLUM09G14780.1 pep chromosome:ALNU02000000:9:18458594:18459040:-1 gene:OGLUM09G14780 transcript:OGLUM09G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSTGARWVGGRPMLGWRRPAMADAGEGETTAPPTADARREREGGQPAAAADAGVAMAADAGVAAAPAPISSAPSSAASSRPPRPPGRLPPSLRPPPHLPPSDRLPVPALPRPKQAQAPPLDDGHRPAVSHHCHRAFVVLVLVLEY >OGLUM09G14790.1 pep chromosome:ALNU02000000:9:18460686:18462517:1 gene:OGLUM09G14790 transcript:OGLUM09G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLAIAIAMALMVVQPGRQMTAFAARTSPAAAAEAFWRAAMPGAPMPDAIVELLHHEHGVASAGGKANGGGDGPPPPMNFNYDDYRALPRSDAPSPDALNRVAAVQNADENGVSSPPPPPPTVFFLEDAVRVGESLPLPRPAADATAAGAAAATALPPLRLYTVRSVRAIEGSSFVVCRGETTAGAGVYGCRDAATGPARAYAVDAAGGGGGDAVIAAVVCHADTSRWDPDHAAFRLLGGRPGGAAVCRAVADAHILPTNKD >OGLUM09G14800.1 pep chromosome:ALNU02000000:9:18468660:18473510:1 gene:OGLUM09G14800 transcript:OGLUM09G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSHGEELEISPCDPNSEDDRRRRGMGSSLRRKAIRALRKRGGRRRRRRVDFRYPAAMSIEDVRDAEEEFAVAAFRDRLAAHALLPDKHDDYHMMLRFLKARKFDSEKAMQMWAEMLRWRKEFGADTILEEFEFDELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVHGVGLKNFSKTARELVHRMQKIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGTNYQSRLLEVIDKSELPEFLGGSCTCSEGGCLGSNKGPWNDHVILKGMMSDISNAESESDVDEFSLSAVLRSTDYSFLTPVSEEVKGSDSSTFCSCESCDRKGLPDVTPESSQSVQQSSEMVPNQLVSHEHSSTTRWMNNLGNMAISFHGTLTGRTLSNFVRVVGTLMIKILAVFSLFVSRRGNMLENVHPSNVEDEPQPRSATEDNMSACLQRLEKLESLCNHLMSKPPDMPKEKECLLLQSFDRIKTIESDLERTKRVLHMTLVKQMEMMETLEAMQHHHQSSSVRRRLCCS >OGLUM09G14810.1 pep chromosome:ALNU02000000:9:18474190:18474615:1 gene:OGLUM09G14810 transcript:OGLUM09G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit G [Source:Projected from Arabidopsis thaliana (AT1G55670) TAIR;Acc:AT1G55670] MAATSLSPPTVAGLRLAPSPRVRSPSVAFRAAPARRRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGKTHFDAGDERAKEFAGLLRSNDPVGFNLVDVLAWGSLGHIVAYYILATCSNGYNPNFF >OGLUM09G14820.1 pep chromosome:ALNU02000000:9:18475228:18478086:-1 gene:OGLUM09G14820 transcript:OGLUM09G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGNSVNSAVESVAESPAPASPASNPTAPAAVTKGRGLRRWRRIPREHHEEGSPGSGGGGGSVAAAAADEDLAQLHKRRHPLGADAPKGKEEAAAAAAAVEEVGSESPVASVESSFAPQEAPPSPPVQTKLDPDLGFLIASAGFSVGAGGADSDNSDDRASKSSTNAAAPRHDFSFGGGFGRERDRPRSRAPGAAAHAKGIRTARTRGAHGARAATPTPSIVEPENSRSSVESNLRSSAAAHARQSSAGISSNGVHKVLYDDDDDDDDDAEQSDGEPPSEEAARSGAGGFYRENGSVVGRLVKGSSDSDADDHGHDERSIGNGENGEIHSGLDPYVQSIAMLRSAEEAIENEIQKFIEMRNETWENSANNHSETEWSSSCHFDESTEELSEKLKLLESRLNEASTLINDKDSEILELDVLNHKQPKQHVLCNTELLSLQSDMDQLFLEKMEAETQCFILTRASQAWNPLTEDQAAIFDIQKSLPEDHKQLEAKLRHTENRALMLEEMVEKLEAQCKDLARTSEILKLQARASRASLFCSVQFVLLFIAVGTFLVRLWPSSSEFVPT >OGLUM09G14830.1 pep chromosome:ALNU02000000:9:18479544:18480708:-1 gene:OGLUM09G14830 transcript:OGLUM09G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGAGEGKETAAVAGGGGGGSLHSKTLLKSEPLYQYVLESTVFPREPDCLRELRLATANHPMAVMAASPDQVQLFGLLIELIGAKNAIEVGVFTGYSLLATALALPDDGKIVAIDVSRESYDEVGAPVIDKAGVAHKVDFRVGLAMPVLDELVAEEGNKGRFDFAFVDADKVNFLGYHERLLQLVRVGGLIAYDNTLWAGSVAAPPAAADEAVPSGRDRSLAALAREFNAAIAADRRVKPCQLAIADGVMLCRRVA >OGLUM09G14840.1 pep chromosome:ALNU02000000:9:18488849:18491836:1 gene:OGLUM09G14840 transcript:OGLUM09G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEKVSPFLDVPKDIPIATKSLTIKTTNTNGGRGGGDWSNPISPAISFSPYLNSPSPPSSAFVSALQSPYVSPRLADPPPPQQPQPQTPRQRQHRETKASDVAAAAASTPTSCTDVSHSEDTDAPSASRGGGGGAPPRGSFTFPVPRVSFTRGVVASPMSTTKLRSCDVYIGFHGGAGAGAGAALTRFCKWLKSELELQGIASFMADRARYSDAQSHEVADRIICSVTFGVVVVTMASFLNPFSLEEIRFFAQKRNLVPILFDTEVLDIAGLFDDDKFEGNKEGVEAFEGLMRCHEFKLETDESNWRGCVSRTAAVLQSKLGRRCIGEKESHGVECLPFPRNKHFVGREKELSEIEGMFFGRADDAGEDFGCPRGAMTTDESSVGASDGFADEDSDTVRTSNGRFISLDLRKCKQPMLEAFVDPVIGKFSGKGRSILRQRSKNKKSRFRCSSKSHGNAGVICINGVSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMYLGLDISAEAEKERGRIRSFEEQEHDAFQRVKRELFRDVPYLLIIDNIDNERDWWEGKDLHDFILRNTGASHVIVTTRLPVVMNIEPMQLLQLSFPEAVILMKRKMKEDYPSEEIEVLRKFDERLGGLSFGLWIVSSLLSELMIAPSTLFEAVDQISLSDTMLALGANDESLWQNNLFLIKALVFCFALMDRVKGGSLALRMITAGSWLAPAPMSSTLLAAMASKLPTKANSIQLWGESLKTALLCGTHCFLAPQAKKAEVESSLLLVKLGLARRTTHHPGFWIQFHPIMQLFGKIRGGLAPATAAVSGVIRSRNICVYSDHMWASAFLVFGFKSEPPVVQLKPGDMVLFIKKMALPLAIQAFMTFSRCGSALELLKVCTNILEDAEKSLASRIQDLKQGPLCWKKKLQTNSHADEFIWQEVTLLKATLLETRAKLLMRGGLFDSGEELCRTCISIRTVMLGHDHSQTLAAQETLAKLVRYRSKI >OGLUM09G14850.1 pep chromosome:ALNU02000000:9:18492951:18493529:1 gene:OGLUM09G14850 transcript:OGLUM09G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFVCAGEESFKMEVGFFDTVHDIKQKLQSRRGWPAAAVSLFHNGDALADAGGGEAAGGGAERYGIVEGSVIHVELGVGVAGRQQQLQQNEHKGRSKRRDDGGGAAAVRVNVVSRCGRGRAEVAVGARRAVAALRRELEEQAFPLPRDGAYFFIHRQSVMDESRSFEWHGVAAGDEVVVFEGSVTRPPTY >OGLUM09G14860.1 pep chromosome:ALNU02000000:9:18494349:18497909:-1 gene:OGLUM09G14860 transcript:OGLUM09G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICERKQSFGGDGPAHLSSDHGSLMEDWMPPPTPSPRTLMSSFLNEDFVSGSFSNIFSDHESNKPQDQFERSRELVDLSKEVPSQSARPAFQRDASLDHSLVSPTQRSNSHGGLAERRAARAGFSVPKIDTSRGGSSTVIRSPVAIPPGLSPTTLLESPVFLYNAMAQPSPTTGTLPFLMASNAKSTIPSATKMDEDCTFGNDTFSFQPHVGSRRPNFSAAEKGPNACHQNQSLSNIHQRESSLQSSFTAVKDITDEKNIKTKTSDSMFGDNHSSDEQDDETNQNGENSMPPPNHRSGVPLSHTNDPEVNVLENRGSQTCHNSASLWDNAKNDCLQDVQSEVIETRTAACLPVSTNCDTSIMESQDAVDVSSTLSNEEDDRATHGTASIECNGDGDETDSKRRKLDALTAATAAITTTSNIDMGAAASRGVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHQGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHGSSGSGNAPSAPQSNGSQRRQEQGQASFSQFGGAAPFSSFVLPPRNQFGPSASNFPFGMVPPGMAIPMPSLGSLAPAKMAGHPSTMQGYQGLMIPEGEMKTEPMSQLGFPAVNPSSSSFQQMMNRPPSFGPQM >OGLUM09G14870.1 pep chromosome:ALNU02000000:9:18506922:18507845:-1 gene:OGLUM09G14870 transcript:OGLUM09G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVHARLAAAASSASPLLRSAASCTRLRALSTVTGTRLSIRHQQRRRWRRPRRAAAIAASLDLNEDNVRLAIDEAKAEARLSPPLLFFFPRLVPNWNLERHWQVDLAELDGPFVKLRLKGKFWHTRATVLARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >OGLUM09G14880.1 pep chromosome:ALNU02000000:9:18508931:18511374:-1 gene:OGLUM09G14880 transcript:OGLUM09G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPLLPEELFFAEFERRYGGRHPFFYGCRLAEALGIARREGRLVFVYLHDAGGGGNPYADQFCTGTLCSDVVVEFLDANFVSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSDESIVVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQEKERSRKSVQEGSAKPKASNGLRPRYPGQSAREPNKAAQARAPAQNQNGTVASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >OGLUM09G14880.2 pep chromosome:ALNU02000000:9:18508931:18511374:-1 gene:OGLUM09G14880 transcript:OGLUM09G14880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPTSSASAAARGSAGAGDTLRNSCHDFARSLARLPASIMDGLSRSMARRSRRPRDTQPHPPLPPPLLPEELFFAEFERRYGGRHPFFYGCRLAEALGIARREGRLVFVYLHDAGGGGNPYADQFCTGTLCSDVVVEFLDANFVSWGAVAGRGEGAAMVAALRPGSFPFCAVVSPVSDESIVVEGPVSPSELVDILQRTIDEQRASSRQSWPDEQLAAAVRASRADEEERMRSVALRLRQEQDAAYLESLRKDQVDTCMFIMLRWCSSSSVHALLIRVSSLTPLMQEKERSRKSVQEGSAKPKASNGLRPRYPGQSAREPNKAAQARAPAQNQNGTVASHRAEANTKIMIRFPNGERRQQAFHHTDTIREIYRYVDSLGIPGIGNYQLVRSYPRKTYGRQQLEMSLQDAGFYPSVTLYIEQLQ >OGLUM09G14890.1 pep chromosome:ALNU02000000:9:18518734:18522909:1 gene:OGLUM09G14890 transcript:OGLUM09G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLLVRGRAFALVVAVALVAAPRRINGGAAGPAARTNDPNWHVFSVSSLLPSSACTASKAASNSSALGVVHRHGPCSPVQARRRGGGGGAVTHAEILERDQARVDSIHRKVAGAGGAPSVVDPARASEQGVSLPAQRGISLGTGNYVVSVGLGTPAKQYAVIFDTGSDLSWVQCKPCADCYEQQDPLFDPSLSSTYAAVACGAPECQELDASGCSSDSRCRYEVQYGDQSQTDGNLVRDTLTLSASDTLPGFVFGCGDQNAGLFGQVDGLFGLGREKVSLPSQGAPSYGPGFTYCLPSSSSGRGYLSLGGAPPANAQFTALADGATPSFYYIDLVGIKVGGRAIRIPATAFAAAGGTVIDSGTVITRLPPRAYAPLRAAFARSMAQYKKAPALSILDTCYDFTGHRTAQIPTVELAFAGGATVSLDFTGVLYVSKVSQACLAFAPNADDSSIAILGNTQQKTFAVAYDVANQRIGFGAKGCS >OGLUM09G14900.1 pep chromosome:ALNU02000000:9:18521462:18521803:-1 gene:OGLUM09G14900 transcript:OGLUM09G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATKETQQQQQQQRKPDAGDTVQLPMDTSPYVQYKKDDGLEDYKLRAYGARGHLPVSDVPHGGCGTDAPTIPGTAIPVATQRRHQQGDTAAAAAGTGRVDTAADAINRHGVP >OGLUM09G14910.1 pep chromosome:ALNU02000000:9:18526989:18527400:1 gene:OGLUM09G14910 transcript:OGLUM09G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTSRAVMGGLHRHGALTKHVMPAQDGKIDTVATLNDLSLLDMQTGSSAAKPLSADKLRGKRGERKRDGEEREEVRSQLTSKATSAKTDIYIILELDLNGFAKIKSEEYSIAI >OGLUM09G14920.1 pep chromosome:ALNU02000000:9:18527534:18533857:-1 gene:OGLUM09G14920 transcript:OGLUM09G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDAVLGYMLAEGFGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAGYPGQRYGEETSTSSNFTMKLKELQRRNL >OGLUM09G14920.2 pep chromosome:ALNU02000000:9:18527534:18533857:-1 gene:OGLUM09G14920 transcript:OGLUM09G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAAPAPPHDAVAAVAVGMVGAAGTVDEQKAAGVGILLQISMLVVSFVLGHVLRRRKVYYIPEASASLLIGMIVGGLAKISNTQRSISLAPKPFFSNFGAIITFAILGTFIASIVTGLLVYVGGLIYIVYKLPLVECMMFGALVSATDPVTVLSIFQELGTDVNLYALVFGESVLNDASLILSNLFKYAALGVEKYMLAEGFGLSGIVSILFTGIVMKRYTFYNLSEDSQRFTARFFHLLSSLAEAFVAANVFSCAYILNLARPVHCQIPRQYQLALWYSGLRGAMAFALALQSIHDLPEGHGETIFTATTSIVVLTVLLIGGSTGTMLESLQHNFDGNNAGYPGQRYGEETSTSSNFTMKLKELQRRNL >OGLUM09G14930.1 pep chromosome:ALNU02000000:9:18536861:18540395:1 gene:OGLUM09G14930 transcript:OGLUM09G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMVALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRDADADADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPPTSSASSSNQSDSALVFVADNEWFGGNASKLGSAAATMRPGGGGMPVAPAVLDWAIGKSGCPPHGPDDTACSSSNSYCRNSTSTSLGGYSCQMVQNFSKFSLSDIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGLGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLSSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTSLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVVSEGMENVKEVTALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQPSNTDTTCKLYSMERDFLLSSSFPR >OGLUM09G14930.2 pep chromosome:ALNU02000000:9:18536861:18540395:1 gene:OGLUM09G14930 transcript:OGLUM09G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPVILVMVALFLQAATMAATTALTVSLPGCPSSCGSMVIPYPFGVGAGCHLAGFAVTCNRSYHPPKLFLRDADADADAAEVLEISLLNSTVIVSSAVRYDAAKGEGAWGRGLAGAFRLRERRNRLVVVGCNLQAVLLDGDDIAAACTTICGGAGATWPAAADGELADYSCAGVGCCQASIYLGLTSYGVRLSPFGTSTGPPPPPPTSSASSSNQSDSALVFVADNEWFGGNASKLGSAAATMRPGGGGMPVAPAVLDWAIGKSGCPPHGPDDTAYIDECALPEEYPCYGECTNKPGSFSCMCPGGTHGDAMNEGGCEPTTLLVAIGGTIGLGIPSVFVIGMAMTNMIKARRAKKLRAVFFKQNRGLLLQQLVDKVIAERMVFTLEELEKATNRFDEMRKLSSGGHGTVYKGTLPDRRVVAIKKSNITVRKEIDDFINEVVILSQINHRNVVRLFGCCLETQVPLLVYEFISNGTLSDHLHVEGPTSLSWKNRLRIALEAASALAYLHSSASVSIIHRDVKSANILLDGRLTAKVSDFGASRGIPVDQGGVTTVIQGTFGYLDPEYYQTSRLTDKSDVYSFGVILVEMLTRKKPTVFESSDNVSLIALFNLLMVQDNIYEILDPQVVSEGMENVKEVTALASACLRLKGEERPTMRQVEIRLERLLGGDILQGLSAELHCLPPQPSNTDTTCKLYSMERDFLLSSSFPR >OGLUM09G14940.1 pep chromosome:ALNU02000000:9:18546269:18551497:-1 gene:OGLUM09G14940 transcript:OGLUM09G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLPLICFVLALLLAAGASGGGGAAAGGGNAGGGERRGVYVVYLGAVPPRTSPNILQQTHLRLIGAVLKRGQPVESVVVQQYKYAFSGFAARLSAAEAAALRRKPGVVSVFADPVYHLHTTRSWDFLQQQTTAAVDVKTGGSARRRRRSPRARAAAASASTSSSPTADTIIGLLDSGVWPESPSFDDAGFGPVPARWKGVCMAGDDFNSSSCNRKLIGARYYDVGGEAKRQSARSSGSSPRDEAGHGTHTSSTAAGNAVTGASYYGLAAGTAKGGSASSRVAMYRVCSGEGCAGSAILAGFDDAVADGVDVISVSLGASPYFRPDFSDDPIAIGSFHAVAKGIMVVCSAGNAGPDAATVVNAAPWILTVAASTIDRYFQSDVVLGGNNTAVKGGAINFSNLNKSPKYPLITGESAKSSSVSDTESASHCEPGTLDASKIKGKIVLCHHSRNSDTPKTEKVGELKSAGAVGAVLVDDLEKAVATAYIDFPVTEITSAAAADIHKYISSTSEPVATITPTITVTEYKPAPVVAYFSSRGPSPQTPNILKPDVAAPGVNILASWIPTSTLPAGEEKPSQFNLVSGTSMACPHVAGAAAAVRAWNPAWSPAAIRSAIMTTAAQLNNDGAAVTTDSGSPATPYDHGAGQVNPAAALDAGLVYELGEEDYLQFLCDYGYDASQIKLVAASLPGGFSCGAGGNASDSKDLISGLNYPSIAVTGLGKAGGTRTVSRVVTNVGAQQEATYTVAVAAPAGLDVKVVPGKLEFTKSVKKLGFQVSFSGKNAAAAAKGDLFGSITWSDGKHTVRSPFVVTI >OGLUM09G14950.1 pep chromosome:ALNU02000000:9:18552771:18553235:1 gene:OGLUM09G14950 transcript:OGLUM09G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCCHAKALFNRMKPRCLQEEQQHNKTRTVKAEIRQNTLQDILYSPQSFQGEAIGAPSPRRHSPKVCPINPDCSYENNSPNIRDSFSIDRISIRSQNSMRRVSFRLPDESDIFIIPAREDPESCSTDDESVEHVSEEDIDARKIRYAKTRY >OGLUM09G14960.1 pep chromosome:ALNU02000000:9:18554069:18556880:-1 gene:OGLUM09G14960 transcript:OGLUM09G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G04530) TAIR;Acc:AT2G04530] MAATSLLSLPSLRLTHRLLVPASSSAPASRSQFQTLAAKKAAVATGTGEGGGGGRGAGGGLLSVLDRAMADEEEYRRARAQVQRKGVEVEGYAIEGVSVGGHETCVTVPSLNVAFDIGRGPLFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPVVFVPPCIKDDVEDLLQIHRRMSQVDLKVELVALDLGETFEIRNGLVARPFETHHAIPSQGYVIYSVRRKLKKQYAHLKGNQIMKMKQSGAEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDQIDVDHAREHGHMHLSEIMEHSQWFRNKAIVLTHFSNRYSLEDIRQAVSKLQSKLSSKVVALTEGFKSDYR >OGLUM09G14970.1 pep chromosome:ALNU02000000:9:18557076:18561743:-1 gene:OGLUM09G14970 transcript:OGLUM09G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPLPTLPLLLLLLTFLSAASASPHISAVISQSGLDFVKDLLVSRAAEAIVPLEVPDIERSVSIPVIGTVDMVASGIVLHGVAVDDSTVAVGDDGIVVAASLSSVNLTMGWSYSYSAWVVTISDSGNASIQVDGMDVGISMGMKNQNGSLKLFVTECGCNMKSLDISLNGGASWFYQGFVDGFSNHIRSSVENAITKKIMEGASKLDSFLGSLPKKIDVDSIAAMNVTFVSDPLFKSSSVEFDIDGLFIPSDKTAVSRHMHFRGVKYVPPLGSSSKMLWISLDEDVFNSVSALYFKKYPNDDMLLNISATSPPSVRINVGRIDAAVDLDVTVNVLDFDEIVPVACISVSVTVSGAAVVSGNNLAGRVELDYFSFTLKWSKVGKLHTFIVQSVMQILLKKLFVPYVNSYLKRGFPLPIIKGFSISDAYILTSQSRIIVSSDVAFIGGSSLRP >OGLUM09G14980.1 pep chromosome:ALNU02000000:9:18568499:18573188:1 gene:OGLUM09G14980 transcript:OGLUM09G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1664) [Source:Projected from Arabidopsis thaliana (AT1G04960) TAIR;Acc:AT1G04960] MAMQTGFATSKVLILVGAGLTSSIVLRNGRLSDVLAELQELMKGVNQGEGSSAYDIALLQSQIRNLAQEVRDLTISRPITILSGNSDSGGSLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMTKAVESMSKQLDQVSSALTATKRHLTQRLENLDGKMDEQVEVSKIIRNEVNDVKDDLSQIGFDIAAIQQMVAGLDATNAGVWYLCQIAGGLKDGINAKFFQEANEKLKLTELAQSERKAVKGLESVLESRKEQKAIDSKQNTTAIIDAEKPVKTVDGPVKSGAVHRCSRISFRKEGLAL >OGLUM09G14990.1 pep chromosome:ALNU02000000:9:18594863:18595666:-1 gene:OGLUM09G14990 transcript:OGLUM09G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKAAMFAAAVVAVLLSSPSPALAQKKSPPAAPSPVSLPPSLAPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQSQANKTKEGVTIFVPKDSAFAAIKQSTFSNLTGDQLKTLLLYHAFPKFYSLAEFKNLSELNPVNTFAGAPYTLNLTDDMGTISVQSMWSRPKISSSVYATRPVAVYALNKVLLPMQIFSKDPPLAPAPAPAPVSGASDLAPGPASGKTGAGGGKADSTSAACGVGAGVVNGLVMALAGSLMLLW >OGLUM09G15000.1 pep chromosome:ALNU02000000:9:18601481:18601894:1 gene:OGLUM09G15000 transcript:OGLUM09G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGRTVESFVEDEGAFNSTVDDRFAALDGDRDGRLSYADMAGELMSLRVLETHFGVDGAAATDAELVDLYRGLFARFDRDGDGAVDREEFRAEMKEVMLAVASGLGFLPVQMVVEEGSFLKRAVERELAKAA >OGLUM09G15010.1 pep chromosome:ALNU02000000:9:18602188:18602430:-1 gene:OGLUM09G15010 transcript:OGLUM09G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVEMEGWLEGRVTAGEVEAKVRLVMESEQGRKLRDRVEAHREATAMAWKDGGSSRAAFAQLLSDIDDARGKQSSVSV >OGLUM09G15020.1 pep chromosome:ALNU02000000:9:18628708:18629121:1 gene:OGLUM09G15020 transcript:OGLUM09G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVLSFVEDEGAFNSSVDGRFAALDTNRDGLLSYAEMANELMSLRVLDKHFGVDEAAMGADELVELYHGLFLRFDRDGSGAVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >OGLUM09G15030.1 pep chromosome:ALNU02000000:9:18637322:18637738:1 gene:OGLUM09G15030 transcript:OGLUM09G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDANRDGLLSYTEMAGELMSLRVLEKHFGVDDEAAMGADELVELYRGLFARFDRDGNGAVDLEEFRAEMKEMLLAVANGLGFLPVQMVVEEGSFLKVAVDRELAKAA >OGLUM09G15040.1 pep chromosome:ALNU02000000:9:18638499:18639440:1 gene:OGLUM09G15040 transcript:OGLUM09G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKSITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIXLGLRDTRWSQGRN >OGLUM09G15050.1 pep chromosome:ALNU02000000:9:18640111:18654644:1 gene:OGLUM09G15050 transcript:OGLUM09G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLCRHALGKENIYYNVHKESTLHLVLRLRGGSRGGYTIQEPSLLLLALKYNEKKMVCRKCYARLPARSTNCRKKKCGHTNQIPTVTDS >OGLUM09G15060.1 pep chromosome:ALNU02000000:9:18641248:18642681:-1 gene:OGLUM09G15060 transcript:OGLUM09G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4J8] MKKTVVLYPGLAVGHFNPMMVLADVFLDHGYAVAVALINPSVKDDDAAFTAAVARAVSSKSSATVTFHMLPRIPDPPSLAFDDDKFFTNYFDLVRRYDEHLHDFLCSVQGLHAVVVDASCGFAIQAVRKLGVPAYELYPCDAGALAVNIQIPSLLAGSKKLGGGEEGSAPLELLGVPPMSASHVTDLFGRSLSELISKDPEATTVAAGARVMAEFDGILINTFVSLEERALRALADPRCCPDGVVLPPVYAVGPLVDKAAAGAGDETSRRHESLVWLDGQPDRSIVFLCFGSIGGNHAEQQLREIAAGLDKSGHRFLWVVRRASSTEHLDALLPEGFLARTSGRGLVVNTWVPQPSVLRHRATAAFVTHCGWNSVLEGITAGVPMLCWPMYAEQRINKVLMVDDMGVGVEMEGWLEGWVTAEEVEAKVRLVVESEHGRKLRERVEAHRDGAAMAWKDGGSSRVAFARLMTELDNAQR >OGLUM09G15070.1 pep chromosome:ALNU02000000:9:18655014:18662723:1 gene:OGLUM09G15070 transcript:OGLUM09G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDDNRDGVLSYAEMAGELMSLRVLEKHFGADDDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKLCSVSAWAIYTDQPNSQKPVALHGPVLVPRVNPVVRFRSGCVSYFPFLFLFIFMGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVCRKCYARLPIRSHHCRKKKCGHSKELRLKKKFINSLSIAAGNMSVEILDGKTIRSFVEDEGAFNSSVDGRFAALDTNRDGLLSYSEMAKELMSLRVLEKHFGVDEAAMSSDELVEMYRGLYARFDHDGNGTVDLEEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKVQHGLRRLRHGGAARVVDVGGREHDGRRARLAPVPAHVAAERLPHGELDPAHAAHSWMRALSLSAAAAAGSLSSFACSRVWLVRWPSPWSDGNDLLHVLHSNTPSAASALLPAGVDDDVGAIDVATRVTTVRAARWRAPGDEQPRRGDAERLRVMSSLVAWELAAEDEDDADAARESEVAARLARLLRRSPRRPTPWRPPARGRFAAGCDTAP >OGLUM09G15070.2 pep chromosome:ALNU02000000:9:18655014:18658115:1 gene:OGLUM09G15070 transcript:OGLUM09G15070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEILDGKTVRSFVEDEGAFNSSVDGRFAALDDNRDGVLSYAEMAGELMSLRVLEKHFGADDDEAAMGADELAALYRGLFARFDRDGSGGVDLEEFRAEMKEVLLAVANGLGFLPVQMVVEEGSFLKLCSVSAWAIYTDQPNSQKPVALHGPVLVPRVNPVVRFRSGCVSYFPFLFLFIFMGYFAFVAAAFPRPYIRAGDHDCGAAHHSTTTTTTPPIGESAGRSFDGGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGSRGGYTIQEPTLRALALKYREKKKVCRKCYARLPIRSHHCRKKKCGHSKELRLKKKFINSLSIA >OGLUM09G15070.3 pep chromosome:ALNU02000000:9:18661183:18662723:1 gene:OGLUM09G15070 transcript:OGLUM09G15070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWFCESRRRRRRRHAVQHGLRRLRHGGAARVVDVGGREHDGRRARLAPVPAHVAAERLPHGELDPAHAAHSWMRALSLSAAAAAGSLSSFACSRVWLVRWPSPWSDGNDLLHVLHSNTPSAASALLPAGVDDDVGAIDVATRVTTVRAARWRAPGDEQPRRGDAERLRVMSSLVAWELAAEDEDDADAARESEVAARLARLLRRSPRRPTPWRPPARGRFAAGCDTAP >OGLUM09G15080.1 pep chromosome:ALNU02000000:9:18660064:18661144:-1 gene:OGLUM09G15080 transcript:OGLUM09G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G45880) TAIR;Acc:AT3G45880] MERAVRELWAESRDLLGLHSPDDAAAADAAMPRAEMPPTPLAFLRDHVSQGRPLLVSSAATSHWPAASLWPTDSYLTDALRSTAVSLHLTPDGRADALAPHPRPSHPGAKCFASAHVRQVDFPTAVRLIRSSDPASGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASDALGCLPEAVNLWIGSACSQTSFHKDHYDNIYVVVSGEKHFLLLPPTEHHRLYVRDYPAAHYAAEDEAELRLKLELEEPERIVPWSSVDPYPPSPEEAAAQASSFPLYFEGPRPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWYDMQFDIKYAYFNFLRSLEIDGSSSKKTDALEDDLEETND >OGLUM09G15090.1 pep chromosome:ALNU02000000:9:18664713:18664919:-1 gene:OGLUM09G15090 transcript:OGLUM09G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCRHRLVEDEVELKLCIRRRLVELEFHRRARFMEKMESWSSWRRQERWTFELVLVGGRRGREGWGCA >OGLUM09G15100.1 pep chromosome:ALNU02000000:9:18672160:18673690:1 gene:OGLUM09G15100 transcript:OGLUM09G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVETPTTVLTEEAPVEATPAAAAAEVEAPKEETPAAPAEAVAEEAAPAEAEVAETKEAEPAAAEPAAEEVKEAEPEPAEPAKEEVAPEPAAAAEAEAKEAEPAAPVAEEVKEEEAAPAPAAEEVKVEEAAPVAEPEAEKASE >OGLUM09G15110.1 pep chromosome:ALNU02000000:9:18674820:18680755:-1 gene:OGLUM09G15110 transcript:OGLUM09G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin protein ligase 5 [Source:Projected from Arabidopsis thaliana (AT4G12570) TAIR;Acc:AT4G12570] MSDADGFGCEHRRPSKRRRDGPDHALLPVSREVLMGHPDDPAAAAAAAVGAESSSASSYLGVVAAAASASASAVGGFSCGAHFFVRATDSRTVSMHAAWGDTVGAVLAHLADRGYGRDLRLVYAGRQLAPETALADLRLPPDSTLHLLSRLRSTPYPDAWQLASYIASTAAAAKSDPAHTSSAANINELVKEFILCAHRANMRQRHDRDSPLFDAQPTGDHAAQYLEIFRQAGAPFALVRLYAANPSSASHHHAENAIKCFLTMDPSALPPDVLPVMAPVLLEFCGLLSFSVGKRDELYISSRSMLATVLSLPSGLPPCIKSPSKLIEQVLPFAEEIVGVVMDELASLDMTVSSKNLEDLSNFFKVLRQQALRWVPNGGPLPKNLYNSERGHNDTWVWKLHEMSMNLLNRVDECLKRLEMDLSLSSENRGVNISQSRWVARSHMLVMLTQLDFISMIYEDLAHNLRLVLLAHRDPLNALVRCSKRNEHLHWLVKHKDLLCFESRRNLVLMMLPEGKDEYGELHEMLIDRQHLLDESFEYIIQARPSELRSGLFMEFKNEEATGPGVLREWFCMVCQALFSPQQVLFSPCPSDRQRFFLNGTSAVDPLHLKYFIFSGRIIGLALMHRVQVGITLDRTLFLHLAGRSIKLEDISAADPVMYASCKRILEMDAAVVDGLELTFSRDVHELGSRRTIELCSGGKDLHVNIRNRELYIDLLIKSTFVDSISVQLTHFVRGFSDILVDPELQKVFFEFLDLEDFDGMLGGSNKTINLEDWKLHTQYNGYKEKDRQIIWFWKAVESLSIEQQRQLLFFWTSVKYLPSDGFGGLASKLYIYKVSESADRLPSSHTCFYRLCLPAYPSLKVTRNQLQKITQEHASIFHILSGEVWWEHLHRAMLTSSGSQLRLKPCHKVPAGSRNFLGISQKPVSQQLTSDMDHTLPEHMDSNDVFGI >OGLUM09G15120.1 pep chromosome:ALNU02000000:9:18685877:18686382:-1 gene:OGLUM09G15120 transcript:OGLUM09G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRATSGGSFWRSANKGSSSSPSPPPSAWEESIGTCDSGNGLCVNRDTAMTTMTAAADDDDSRGGWWPRHWWRARGRRALQFAPGRCAQVAALLVGGRQREEGLDYEWWWDPPLASGGSWLHLVSRRSNLASESGWRVCWSLFFLYID >OGLUM09G15130.1 pep chromosome:ALNU02000000:9:18705882:18708658:1 gene:OGLUM09G15130 transcript:OGLUM09G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G50590) TAIR;Acc:AT1G50590] MTTSMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSRGLQLWVNLSSHNKMIEPGYQEIQSKDIASTTSDGVTVRVIAGQSMGARSPVRTRTPTMYLDFTVRPHAAARQPVCATWNAFAYVLEGEGVFGGGGGDKAGAHHLLLLGQGDGVEVWNRSDKPLRFLLIAGEPIGEPVAQLGPFVMNTEEEIDMTINDFEFSINGFEKAKHWKSQALVALGLE >OGLUM09G15140.1 pep chromosome:ALNU02000000:9:18713996:18717253:1 gene:OGLUM09G15140 transcript:OGLUM09G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRRSHWESSSEDVTRPLLPLHDDDGAAGRRSCAALRSLLANKYLAVASGPVACALICGLVDLGGHRAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPVFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYNIHRRLALNITSLFCGDPVKPPLLLLGICGTTMFISMWIHNTPCTVMMMPVATGILQRFPRVDGASSSASSAADAREVQRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPEQPPITFSSWMSFGLPLALVLFVALWATLCVLYCSKNTGRELSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWGSLFHGEVGDGTVTIMMATLLFIIPSGKNDGEKLMDWGKCRRLQWNIILLLGAGFAIADGFRASGLTDILSEGLGFLRGAPALAIAPVACVFSGAITEFTSDDATATLVLPLLAELGKSIGVHPLLLMVPGAVGAQLSYLLPTGSPGNVVGFSTGYISIKDMVIAGTPLKIVGVAALTILLPTLGSVVFGMDQKL >OGLUM09G15150.1 pep chromosome:ALNU02000000:9:18723639:18724028:1 gene:OGLUM09G15150 transcript:OGLUM09G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPCYCCAAGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGALGGAAALDDDDDGAVSDLDHDSSSTAPAARSL >OGLUM09G15160.1 pep chromosome:ALNU02000000:9:18772377:18777176:1 gene:OGLUM09G15160 transcript:OGLUM09G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPYYASFLKNHHRRYCFSTPPSPSPSPAGAYSSSSFPFFPTAGVTSTTAATPPTTTAPPSPPLREALPLLSLTPASRGGTTAAQERARQRGEEDCTDDDDGAEEEGADEEDVPGSTPGGGGGGGGDRHHQLRRRRAGRLFADLNTKAAAGDPMDVEGSGSGCCAAEAAAAGDDDADVTVALHIGLPSPTAAADLISGLSSAAGRRSSTARRDEEEDEAEEAGGASRDDGDGGDAADAAAPLGFASTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAA >OGLUM09G15170.1 pep chromosome:ALNU02000000:9:18777279:18780511:-1 gene:OGLUM09G15170 transcript:OGLUM09G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEQLGRGTKITLYLKDDQLEYLEERRLKDLVKKHSEFISYPISLWTEKTTEKEISDDEDEEEKKDAEEGKVEDVDEEKEEKEKKKKKIKEVSHEWNVMNKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSTNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSEIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVMLLFETALLTSGFSLEDPNTFGTRIHRMLKLGLSIDEDESAEADADMPPLEDDAGESKMEEVD >OGLUM09G15180.1 pep chromosome:ALNU02000000:9:18787199:18789954:1 gene:OGLUM09G15180 transcript:OGLUM09G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPALAPTRTVPVLPPRGPSRHRNTAAPPCRPATARIGASPGDGAAAAAAAAVEQGSRSEEKDRKRCLRCGSLYLDEDNSPTDCAFHGHGIDGEWSDKSGVIVYRWNDRGSRPNTGRDNWKGRWSCCQERDEGAPPCRRGRHVSYDDGFTLY >OGLUM09G15190.1 pep chromosome:ALNU02000000:9:18790542:18791971:-1 gene:OGLUM09G15190 transcript:OGLUM09G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILASETMEIPEGVTVQVAAKVVTVEGPRGKLTRNFKHLNLDFQLLEGGRKLQVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGTITEDA >OGLUM09G15200.1 pep chromosome:ALNU02000000:9:18792487:18793044:1 gene:OGLUM09G15200 transcript:OGLUM09G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSYTQEHVYRHPWHRVTAAAWRKFTDADARAAPLSHILEVHTLSRRVDAGSGRIDAVRAIAGRAPPLPLLLRGLVAAADVVLCVEHTTVDGPARAMRVVSRNANLRALVHVEETCSYRPHPERPDEWTLFRQETSIRCAPLAAVAAWAAEMVERRCAERFRQNASQGREVVETICERLALADH >OGLUM09G15210.1 pep chromosome:ALNU02000000:9:18798613:18799172:1 gene:OGLUM09G15210 transcript:OGLUM09G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRDKKDQEPTELRAPEITLCANSCGFPGNPATQNLCQNCFLAATASTSSPSSLSSPVLDKQPPRPAAPLVEPQAPLPPPVEEMASALATAPAPVAKTSAVNRCSRCRKRVGLTGFRCRCGHLFCGEHRYSDRHGCSYDYKSAARDAIARDNPVVRAAKIVRF >OGLUM09G15220.1 pep chromosome:ALNU02000000:9:18808716:18810083:1 gene:OGLUM09G15220 transcript:OGLUM09G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPRMADIDLDAVRAVRVLGRGAMGTVFLVAAAADDAAGGGACYYALKVFDKRSVVASAARQGDAARRARWEVSVLSGLAHPHLPSLLGRAETGDLVAWAVPYCHGGDLNELRHAQPDRVFSPAAIRFYVAELVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRLLPPVSPSASTSTSSSSCSATSSPPPQLQGHGRSQLRRIFARSESSVAATTSTSSPGQYTHNLAWFLKRSDGGGGAADHLKKAKSARVSPVSRGKKQASFCSAASASGGAAAACERSFSFVGTEEYVAPEVVRGEGHEFAVDWWALGVLVYEMAYGRTPFRGRSRKETFRNVLLREPEFSADSRRRWPELTDLIARLLDKEPTKRLGFAGGADEVRAHPFFAGVAWDLLGELSRPPYIPPPADDIAACEGFSVVEYFNKLHEPSPEPEEEELTEFLPEF >OGLUM09G15230.1 pep chromosome:ALNU02000000:9:18824612:18827660:-1 gene:OGLUM09G15230 transcript:OGLUM09G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVENMEGREERSTDVERDGKQGKEVESDYEPARDSVSSQGEANSNEDTRAKRVSRVPKKLVKKDSKENSPRSGRINSNRQVQTKLQYISSNNLQSKSPKPNKTSDGAKTIEITKPETVTVPSCPSSEVSEEMDDKPIENIVMDDKSIEDVADDKATEGTASYDKATEGKAADDTTVEDNTTDERSIESGTDDRTIAGIAADVKSSEEAKEIDILDEAPNCDQSTGTDEEIADTEESIAYDGKSAAYEKSEELESKCERLEQELREVAALEISLYSVVPEHGCSSHKLHTPARRLSRLYVHASKFWSSDKKASVTKNFVSGLVLVAKSCGNDVSRLTFWLSNTVVLREIIAQTFGISRQPSLTMKAFSTNVNAKMLGKNSSPTRRKNNYSGKHARPAIWPLPDDWRETGTLVAALEKIESWIFSRIVESVWWQALTPHMQTLVKDISSPKAGSLLGPALGDQQQGNFSIHLWKTAFQDAFSRICPLRAGGHECGCLPVLAKLVMEHCVARLDVAMFNAVLRESANEVPSDPISDPIVDSRVLPIPAGDFSFGSGAQLKNSIGNWSRWLQDKFGMVAAGPEKHGQAGDESDDRSGAADFYSFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGIPLVTRILCNFTPDEFCPEPVPGMVLEELNAESLLERFTEKDVITTFPCVAAPVVYCPPSPEDVTEKVADTGGNAEPDLRASMVQRRGYTSDDDLDDLGNPLASLYDRSSPPSPCNGASRSTTRQGGSMSNARYELLREVYGRRGLV >OGLUM09G15240.1 pep chromosome:ALNU02000000:9:18827681:18829473:-1 gene:OGLUM09G15240 transcript:OGLUM09G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWKAAGRLTACGEPTRVERGCLEMHVDIYSPQRNHPKKPKTHHPHAVPLPPPLAAVVAAASAADDRRRRRRRRTDITHTPAGGGRRRVADPTRARSSSIHPPARIWSRQPLGLASRQLKRRNCNRHRQVSSF >OGLUM09G15250.1 pep chromosome:ALNU02000000:9:18843867:18844809:1 gene:OGLUM09G15250 transcript:OGLUM09G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPASCCLCSWRSTHLLVGVVAPEQREQQTTPPLNPPLCPHRQQQQQKEKRNLDTQGTRYQSIPKYQGLIPRYQGLIPSISSIPRVTGISRYQGTRYQVPADTQGTRYQDGEEVEVPEQVVAGVAAAEAPGPPASSASTVAAEDPSALTGKEAAGAAGKDSGTSGSREAGDGDLGEEHEGGGERPRRRQRRGACARRRRCSGRRTASRRRGEITPDAPLTSPTTPQK >OGLUM09G15260.1 pep chromosome:ALNU02000000:9:18849707:18850060:-1 gene:OGLUM09G15260 transcript:OGLUM09G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISSAAGGMLRARLRGGARVRGGGGHGEGGGRWTTPGHEEQPKGYLFNRPPPPAGESRKWEDWELPCYVTSFLTVVILGVGLNAKPDLTLETWAHQKALERLQQQELASGEAQAE >OGLUM09G15270.1 pep chromosome:ALNU02000000:9:18851585:18853546:-1 gene:OGLUM09G15270 transcript:OGLUM09G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G57790) TAIR;Acc:AT3G57790] MASPLLLLLLLLPLAISSLLLPFAAAARVFSVADYGAAGDGARYDTGAIQAAVDACAAAGGGRVLLPAPGDYLTATVHLRSRVVLDVAPGARLLGGTRQADYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVIPNPQKNIMVSWNATGDCEGDECRPRLVGFIDSKDVTIHDITLNQPAYWWCDNTMIHNVSIYGDFDTPNNDGIDIEDSNNTAITHCHIDTGDDAICPKSTTGPVYNLTATNCWIRTKSCAIKFGSASFFDFKKLVFDNITIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIQFINISSVSENGVFLAGSKHGLLRNLKFKNVYLTYKRWTNYSGGLYDYRPGCQKMVKHRTGGMMLEHISGLEIDNVRMRWSRGSLKGWDVDPLLFQPSTVDKLSFHDWQSLAVSR >OGLUM09G15280.1 pep chromosome:ALNU02000000:9:18855185:18858859:1 gene:OGLUM09G15280 transcript:OGLUM09G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALGGQQAVAGEAKTARVAELGESYIDSDFPRCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKTRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMILNVALEATQPFLVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >OGLUM09G15280.2 pep chromosome:ALNU02000000:9:18855185:18858859:1 gene:OGLUM09G15280 transcript:OGLUM09G15280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALGGQQAVAGEAKTARVAELGESYIDSDFPRCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKTRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >OGLUM09G15280.3 pep chromosome:ALNU02000000:9:18855185:18858859:1 gene:OGLUM09G15280 transcript:OGLUM09G15280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGALGGQQAVAGEAKTARVAELGESYIDSDFPRCRPATADRYHIRRVHLNRPIYHARWISIRRRLIVFLLACGGGGGGAPVAVTDSAPAAAAACTGESPRGNGGLKPLPPPLSLPLRLTLPSPITSAFVSSILAGVSPRLRSLRTVRRLSLYLVARCCLKQMAIYTPTLQGGLTQGMALWKYSRSQAVGYHVKTRLVGLPPKMNIKSLRTCFASSGKQLCGRRPARDNILKLKLDEPSRQKLYSILWDSRSIGHKVGATGTGLFLSFAVPAKANAEGPVDNNTDSPQTTESSTSYAHGKKVCTDYSVTGIPGDGRCLFRSVAHGACIRSGKRPDDDLQRKMADDLRAMVADEFIKRRAETEWFVEGDFDAYVSRIRKPHVWGGEPELLMASHVLRMPITVYMHDKEAGGLIAIAEYGQEYGKEDPIQVLFHGFGHYDALQIPGKGGPRSRL >OGLUM09G15290.1 pep chromosome:ALNU02000000:9:18861803:18862947:-1 gene:OGLUM09G15290 transcript:OGLUM09G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAILNYTTDDAVETISPSQAVSWGYFCLDIRSIFGCQMRGRKKVSKEKRKAGSVAPSAFVGEPTRDKNMATLWRVWEGGLSRHKVLKKEGIGGDTVGFDTGWAAKKQPLVLPDLARRVFIKRLTLPLG >OGLUM09G15300.1 pep chromosome:ALNU02000000:9:18863744:18867172:1 gene:OGLUM09G15300 transcript:OGLUM09G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAPVSKDLSLQPAGVRTPPQMSSPGLLRYRSAPSTLLGEVCGDFVLPGGGGGGQLQLQQQRPGSPDHAADTVLARFLAGHGGHDNKPPRPAAHFAPPEDSMASHQQQLMYQSHQQQQQMASAMEGLYRTVSSGGTESTAAAAGNSLLRQSSSPAGFLNHLIMDNGYGNMLRAGMGGGGGGGDPRLKGQLSFSSRQGSVMSQISEMGSEDEELAGGGGSPEAGSNGGGAARGGYGGGYAMGSSAWEEPSPPATSLLPDSSLPSKRPRDDLPRQLSLPAASKNSSKPPSSASAAASPEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTRISERIRKLQELVPNMEKQTNTADMLDLAVDYIKELQKQVKVLNDSRSSCTCSASKQKHFAG >OGLUM09G15310.1 pep chromosome:ALNU02000000:9:18875834:18876337:-1 gene:OGLUM09G15310 transcript:OGLUM09G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLSTAAAEAAAAGGDGDGEAYRIRPLELADISRGFLGLLNQLSPSPPLTEEAFRARLEELAALGADHLVLVAEDAATDRLAAAGAVLVERKFIRRCGRVGHVEDVVVDAAARGRGLGERVVRRLVEHARGRGCYKVILNCTPELTGFYAKCGFVEKNVQMGLYF >OGLUM09G15320.1 pep chromosome:ALNU02000000:9:18888377:18891506:1 gene:OGLUM09G15320 transcript:OGLUM09G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAVGEATPPPGGPPSRVSVSSSSSTPRRRCAALTSRFREPASPRRHAWVSLQGRLVGAEEATSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHEARRIEQLQRSIHIRDEVLQSMQQKLDDLFDEMNSLQQQYVKCNTFISSEQGKSELVGSKKVGDYDGARCCVCAKPEIAATPHKTKDICGMDDAKSDVVDRSSVSHMDHEERRMSDLSDFCWSVVSSVDNHVNGDNQLSSLAAEQELYNLQKECEEKDAIIKELAATAHTSSTADAKRIAELQEILKRKNMVISKLKKDMSALKQMVVELTRAKRTSSVNLSTSCSELPVMSSNVLYDMSSTSPSSSDSESPVAPREYLDDHLKVDDGIAGDSEYKGSSRFSMESACFPTKNSSAGKLRSTSPLKENRIDPNVETSLVGRQKQRISTNGDFKRTRRQSQQDSRNRATKRWM >OGLUM09G15330.1 pep chromosome:ALNU02000000:9:18892874:18899432:1 gene:OGLUM09G15330 transcript:OGLUM09G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPPLPDMWATQQRIERPSRAREVRNETKRDAARLVVVVNHLDGDLPPSPLLFFNPSPLSPRAPPRPRGAQSLRGARGRAEYSRESRRPTWPTRSLQRLITRGRGRSMMEQEDARRKMAAAAGERGQVVVLECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGGGPGPGAAVLEAPFKGGRAALHKAMHAAFKRGDTSVEVRVRGGSELQACILPHSGGGGGGGGGGGGGGGGGGGGGKKQYVLRSLHDPNYVLGFVDRLESECLLLQGTRNTRVASALSRAQLQDGYVAYPWEKKMRDSLRMPNSSSYLSMLVLPKALDLNSCRYESFEDTLARANAWIYSSQVSGIPIEFMNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIAEEMPLEIPLEEGDTRLGFAISRTEEGFIFISSVVDNDKDNEAPSTRSGLRDLFNQAREASKLLVISRVSNEKVLPWMISSSGAIRCFDTISLSQKLSLHRLAVRPIQLHLLMWEKPSGPVERIIRSPKLSPQSELPQVPWNLIESIEPRVDVDEDYVGDLSFRIDDLSVGTSWV >OGLUM09G15340.1 pep chromosome:ALNU02000000:9:18896527:18898931:-1 gene:OGLUM09G15340 transcript:OGLUM09G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAAAAEAFGTAAATSTPPVQATRQDVQAAIAKAVELRALHAALLQRGGGGASASRSPAIIRLPPAASPALSRAGAAAAAVATVDEDYPVFTPAYDEEQMAGLSHICQDNRSRSENWSGIALGGGGSGDDDDDAAFSDYDNLNAFSSSNSELRFPSSTDHHRRHKVHPAFLHSAPSADRFLASAGRATMAGTAELLKAPATCGSAFRPATIDRDHGIDVGALKFLASSGAPLSAAAAAAQPRPAKHRGAQILSWLFPRAKKKAKPMSPSAIERENMSQLLKEWGLLSLDSLRRELADANAHRDAALQEAAEMRSSLGELTTKLAGLEAYCSELKKALRLATSSTSNAQPSSSSMSRRSTRSIGASRELPGPVSHEAMVEGFLQIASEARLSVKQFCKALIQQVEEPDNGLIEKLNILLQPYQLMITDNKHCSKLVLYHLEALMNQAMYQDFENCTFQKNGSPRCLDPKQGSQESFASFVALRNLSWNEVLRKGTKYYSEDFSRFCDQKMSCIVSTLKNWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFTPALTIMRVEESRVFDQMYMENILPDKQQLHNPCQVKIMVMPGFYVQYRVLKCRVLTT >OGLUM09G15350.1 pep chromosome:ALNU02000000:9:18906778:18910784:-1 gene:OGLUM09G15350 transcript:OGLUM09G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEPPAPATEAEEGRRAALLREITEEGGFAFVASAEKAACGDLRAAEAAREMAWEQLHSGPWSEVGAAWRDAYALACLHVARLRRLGAAAADRRAALRALDMGLIMGGNLLRADLEAAIARIVADPGGGGDAEAVDEETRRWREGLERNRDVADALNILPAKSLSCKKVERRSCISLEEFICDYFLRESPVIISGSINHWPARTKWKDIQYLKKIAGDRTVPVEVGKNYVCSEWKQELITFSQFLERMWSAGCPSNLTYLAQHPLFEQIKELHEDIMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNVDLKEFPQVENLDFLDCILEEGDLLYIPPKWWHYVRSLSISFSVSFWWRSTVVPSKGS >OGLUM09G15360.1 pep chromosome:ALNU02000000:9:18912094:18920363:-1 gene:OGLUM09G15360 transcript:OGLUM09G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >OGLUM09G15360.2 pep chromosome:ALNU02000000:9:18912094:18917681:-1 gene:OGLUM09G15360 transcript:OGLUM09G15360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAETLNIFPSQPMHVEPSPKGEISLVLSPAAVGTKMARSPDHHHHHQQQAAAAMEELATGSRRQDHHHLQHQPFAAEPAGINRDVKPVTAKKDHRRGVSTGERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPGSGGLIGEGGGGKGVLLGGIDGVGGGGGGGLSSEAAMFDVEYGRWQEEHHRLMYELRAALQQQLPEGELQVYVESCLAHHDEVAAIKDGAIRGDVFHLISGVWMSPAERCFLWLGGFRPSEVIKMLVAHVEPLTEQQIVGVYGLQQSALETEEALTQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAVAINKLSTLEGFVRQQVLTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRHPPHDHQPPPHSAAPHT >OGLUM09G15360.3 pep chromosome:ALNU02000000:9:18918731:18920363:-1 gene:OGLUM09G15360 transcript:OGLUM09G15360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSESQQEREHRQQQEMNISFGMMMNHGHHHYGQHQQQHHHHPPPSSSSSSSMHAAAASFMSSKEASAGAYDHLGELDQALFMYLDHGSHAASHQEQRLIIA >OGLUM09G15370.1 pep chromosome:ALNU02000000:9:18915762:18919534:1 gene:OGLUM09G15370 transcript:OGLUM09G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGRKPPSQRKQRSAGLIQTPEMRWKTSPLMAPSLMAATSSWCARQLSTYTCSSPSGSCCCSAARSSYISRWCSSCHLPYSTSNIAASELSPPPPPPPTPSIPPSRTPFPPPPSPISPPLPGKNTPCTMYRSVHIFIHNT >OGLUM09G15370.2 pep chromosome:ALNU02000000:9:18915762:18919534:1 gene:OGLUM09G15370 transcript:OGLUM09G15370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEGRKPPSQRKQRSAGLIQTPEMRWKTSPLMAPSLMAATSSWCARQLSTYTCSSPSGSCCCSAARSSYISRWCSSCHLPYSTSNIAASELSPPPPPPPTPSIPPSRTPFPPPPSPISPPLPGKNTPCTMYRSVHIFIHNT >OGLUM09G15380.1 pep chromosome:ALNU02000000:9:18927310:18929313:-1 gene:OGLUM09G15380 transcript:OGLUM09G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEAEDLARRMWKDRIKLRRIKERQDRLALALQQAELDKSKGKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYESENLASADAPSSGIKSQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPSGNEDWWIALGLPRGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLVLSIDNGMSEVTHHGALEYRNGDTHSSSNEYDVDGFEEAPLSTSSRDDEQDLSPAAQLSEEHAPTRRERAKVKRPNQVVPKKAGTKEPPRRKRPRHSVTVIEQEVQRADDASEHSRNMIPDMNRLDQVEIQGMANQIISFNEEANTSEALQHRGNTQELAHLPADFNHYGNAQATIATPVSICMRGQAVPYESSDNSRPKTGNIFPQDSDSGFNNMPSSYQTIPPKQPLPLSIMEHHVVPLGIRTPADNSPYSDQIIGSGNSTSVPGDMQLIDYPFYGEQDKFAGSSFAGLPLDYISISSPIPDIDDLLLHDDDLMEYLGT >OGLUM09G15390.1 pep chromosome:ALNU02000000:9:18933221:18937027:-1 gene:OGLUM09G15390 transcript:OGLUM09G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLGIGMGRQIVPVLVFVAVLCSGVDASFNRYSFPKDFIFGTGSAAYQYEGAAKEGGRGPSVWDTFSHIPGKILNGDTGDVADDFYHRYKEDVNLLKDMNMDAFRFSISWSRILPNGTLSGGVNKEGVAFYNNLINEIIAKGMKPFVTIFHWDTPQALESKYGGFLSENIIKDYVDFAEVCFREFGDRIKFWATFNEPWTYCSQGYGTGIHAPGRCSPYVSTSCASGDSSREPYLAAHHVILAHATAVHLYRTKYQPTQHGQIGITAVSHWFVPYNDTAADRRAVQRSLDFMYGWFLDPIVHGDYPGTMRGWLGARLPAFTAEQAAAVRGSYDFIGVNYYTTYYAKSVPLPSSNRLSYDTDIRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPIIYVTENGIAEGNNKSLPITEALKDGHRIEFHSKHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYIDRLNNLKRYRKQSSYWIANFLKRKKY >OGLUM09G15400.1 pep chromosome:ALNU02000000:9:18955690:18956415:-1 gene:OGLUM09G15400 transcript:OGLUM09G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQRVAASAAYLLAVLVLSLVVSDLSSAGVAPTPPEVLSSPAAAGEAEALLAVKAAPHDTANVLADWNAGFGVGDGGPCNWSMVACSKAGHMDGSTGMPRWASARFLGYDIDLSHAGSDDLRALRRGAGDGVHDERRQGGRGRSCNAAGSARPDLVGIT >OGLUM09G15410.1 pep chromosome:ALNU02000000:9:18958464:18961225:-1 gene:OGLUM09G15410 transcript:OGLUM09G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLFTLFLGALFCNGVYAKFTRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSVWDNFTHIPGKILNNDNGDVANDFYHRYKEDVSLLKDMNMDAFRFSIAWTRILPNGSLSGGINKEGVAFYNSLIDDVIAKGMIPFVTIFHWDTPLALESKYGGFLSEDIVKDYVDFAEVCFREFGDRVKYWTTFNEPFTYSAYGYGKGVFAPGRCSSYVSKSCGAGDSSREPYLVAHHIHLSHAVAVQLYRTKYQPTQKGQIGMVVVTHWFVPYDNTDADRGAVQRSLDFIYGWFMDPIVHGDYPGTMRGWLGNRLPEFTPEQSAMVKGSYDFIGVNYYTTYYAKSIPPPNSNELSYDLDNRANTTGFRNGKPIGPQEFTPIFFNYPPGLRELLLYTKRRYNNPTIYVTENGIDEGNNSTLPEALKDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIYVDRKTLKRYRKESSYWIEDFLKRH >OGLUM09G15420.1 pep chromosome:ALNU02000000:9:18968252:18968872:-1 gene:OGLUM09G15420 transcript:OGLUM09G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGGGGNGDTGGEGSTWTPWSGVDAAGEGSTWTPLSGVDATPPRRGGNEDAGGEGSSWAPWTGVDATPLRHGIDATVDEIREALGLAPVSAAMARRRRAAEHLRRAAEYVELRARGGCHHDDARCRRVQSALAAQVRGACARCGSNFCVVPVVESTNVSGEQCVRCGCCGERVDLAAAAAPVMAPPAATRRQQGWQPWHQGYNM >OGLUM09G15430.1 pep chromosome:ALNU02000000:9:18969365:18972489:-1 gene:OGLUM09G15430 transcript:OGLUM09G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSGGGGGGGGGGDDVHGLKFGKKIYFEQDAAASASAAAVESSSTSSGGGGKKGKGVAAAAAAPPPPPPPRCQVEGCGVDLSGVKPYYCRHKVCYMHAKEPIVVVAGLEQRFCQQCSRCSVHMVRFHQLPEFDQEKKSCRRRLAGHNERRRKPTPGPLSSRYGRLAASFHEEPGRSRSFVVDFSYPRVPSSVRDAWPAIQPGDRMSGSIQWQGGHELHPHRSAVAGYGDHHAFSSHGGSAAGAPMLHHPAFELTSGGCLAGVATDSSCALSLLSTQPWDITQSTSSHNRSPPMSSTASAFGGGNNPVSPSVMASNYMAASPGWNSSSRGHDGARNVHLPPPHGVALNEVPTGSVHHGHFSGELELALQGGAPSNRPEAEHGSGSGAFSHSTNAINWSL >OGLUM09G15440.1 pep chromosome:ALNU02000000:9:18988308:18991153:-1 gene:OGLUM09G15440 transcript:OGLUM09G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGKGRKSTEAAKADDGSSSGGGGEEVIPAYKRRGRPQQQKHHHLKDDHAAGDDEDEDDDSVAKTEEEEEEEEEEHDDIAKIDDDAAKTTAAAAAAPQASKAGSSKAAAQNPGRKRRRQLKRGSDNSNSNSASAIERRRRKDGGEPSSSRQQNGFRQHGSRRKNSTPRRAAEAGVECNSLTVGMAREISLAPTAAAAPARIRRRRQLHLFSVATDAHEVSAGERREHGGGSSTSSVSPPMHTRFHDTEKDRETSQVSHDSTNVSSMKPAGTMIAVKILTVLMVLLSFTDFYCLSPRFLNRAFSACCPPTGVKFIDASFLCQTLYYFDCKIISLQNTILRMFHQEAEEPADDEGAAGKKQGMARDSDEVSPGCRGADGRCSRRWKEVRNRALSRRIRHDGEKPVDGARAGGRKRVIARGGERFRGGFAEMRGSRRLASAGRHSHFAAMHAGGG >OGLUM09G15450.1 pep chromosome:ALNU02000000:9:18995890:18996918:-1 gene:OGLUM09G15450 transcript:OGLUM09G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATVVASSWIPLLCLVVVVLSACTAVSSAVECNGDDRAALLRVKAQLGDPVRLSSWRPSTNCCAWEPAVFCSGEPGRVTGLALFSLAGVAAPVPPALGELTGLAVLQIASVRGMSGPIPPSFANLSLLEDLDITGTSISGPVPASYLAGATNLRTLVIADSRLAGPIPPSLAGDHPNLRYLDLSGNFLTGAIPPGLVHGSFRFLILSHNQLTGEIPRCYGDVDTVDLSHNRLTGDPSPHLFGIAAPAAKIDLSWNELAFDMTGVRFPHHLRYLDLSHNRITGKVAKSLMDVRLEHLNVSDNELCGEIPAGRFMAAHGADCYARNRCLCGAPLPPCCDGGL >OGLUM09G15460.1 pep chromosome:ALNU02000000:9:19001016:19003695:-1 gene:OGLUM09G15460 transcript:OGLUM09G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSDGPDVSPAAAAAGGGGGGEIWGTLEELLLACAVSRHGTGSWDSVAMEVQTRSPLAARPGLTPTSCRLRFRHLHRRFSVGGAAEEEDDDEEAEEGGPDASAADGWMDELRRLRVAELRREVERCDLSIGTLQTKVKRLREEREQSIHGGGGGEGKPETANGDERLSSEEPGRSCRESNSTDLKPAARAGDHSVKAEEEDEDAAAAKQQASGESVAASKESSDLRSSASLRRRRRHKPGADEDADGEEASAPRPPSQSPSSSSSSQPLAALLDTFAARFGPLLERLHESQESDAYRGAIRRHVDIEMVRRRLDASPAGGGGGGAAAAEFYRDLLLLCANALVFFPRAGPERGAAAEARALVSASLRLREPKQEPGTAAAAAVAAAAGSPPAEDTRRAEGVVSVGGGGGGAGIVGSLIEKGGKPLIVCRKRSSIAKAAAAAKKEESAEKGEAAEEGEGSDDGEKKVSVSASASKDKAWGLRTKKGRGPGKNSASVGGRKMAKLSEATEAATDGSKKPDKKIAADAATPAKKRNAVDFLKRLNQGSSPSKKKKKGSPMGTRKRAAAATSPEQPQKTRKGPGRKDAGRGGSKKGGKSATPKRSVGRPPSKRGAAAATTPPPSKRAKVNRSEKTAATATAAKRGGRR >OGLUM09G15470.1 pep chromosome:ALNU02000000:9:19005205:19006308:-1 gene:OGLUM09G15470 transcript:OGLUM09G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDDDRPLVRAKVPVGVLGLPFLTGVSAGGGGDSRDLRFDLSTAFASGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFAISAEFNLLSSSPPAFSLLFKPRIGDFSLANSVISPPASASPAPPLPPPPPSHKLTDLANGGDDHRAFSFSGNGFAANVAAAGKSGGGVGALLSGMRLTTRSVLPLWSKASLRFQWGLRVPPELKAALADDGYGRKAGNLAINKLPLLVMNKITIEHTPRNPPHSDADKGKKKDAPEFQTEGFSLVKRQLEVLNAESIMLRRTVEDLRAEIGGNRAASMPGKGDARRMPSSLAPPPPQPFLAKPDRHGNGKEMVDSGPKPVSNEASEELKKALEARRK >OGLUM09G15480.1 pep chromosome:ALNU02000000:9:19009395:19011133:-1 gene:OGLUM09G15480 transcript:OGLUM09G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIKAVVDRFVKELQEALDADIQDRVMKEREMQSYIQEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >OGLUM09G15490.1 pep chromosome:ALNU02000000:9:19012107:19014742:-1 gene:OGLUM09G15490 transcript:OGLUM09G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTQVRASSEMAGGREPFVLPKSPPAAAPAPPPPSSGGMQSVRMAYTADGTPIFAPVSSAPAPAPAATYPPAGGNGAAALDAGEPVVKKKRGRPRKYGPDGSMSLALVPVSTAAVAASGPFSPAAAAKSPDAVSSAPPPGAKKRGRPKGSTNKKHVPSFGIGDIGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRGVCVLSANGAISNVTLRQAATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGGVAGLLTAASPVQIVVGSFNTEGKKGPKLHAPSDPMSAPLKMVPMSGTGPSSPPSRGTLSESSGGPGSPLNQGITASNHGQPGLPSLSWK >OGLUM09G15500.1 pep chromosome:ALNU02000000:9:19025813:19029117:-1 gene:OGLUM09G15500 transcript:OGLUM09G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDVCHTYGLAYSSLSMALGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >OGLUM09G15500.2 pep chromosome:ALNU02000000:9:19025813:19029117:-1 gene:OGLUM09G15500 transcript:OGLUM09G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLELFITACVPVLNMLLVTGVGSFLATDFVGILNKDARKYLNNIVFYVFNPSLVATYLAQTITLESLAKLWFMPVNILLACTFGLILGWIVVHVTRAPARLRGLILGCCSAGNWGNIFLIIIPALCKEKGSPFGAPDLGAVFLWTVAYNIMRATSKVADEGNARTNDTKVSNSGSSTGTASEENLSIPNDNNQCTLPLISNSSVPSSKTKVTLSERAKRFVSSMFGAIDFKKIFAPSTIAVIIGFIIGGTPLIRNAIIGENAPLRVIHESADLIGGGAIPSVTLIMGGNLLNGLRGEASVQPSVIASVIVVRYILLPSLGTLLVKSAVHLGLIHPDPLYQFILLLQYAVPPAMNIGTITQLFGVGESECSVIFVWVYALASVAVTVWSAFFMWTLS >OGLUM09G15510.1 pep chromosome:ALNU02000000:9:19029130:19030791:-1 gene:OGLUM09G15510 transcript:OGLUM09G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARLTGNSDCLLN >OGLUM09G15510.2 pep chromosome:ALNU02000000:9:19029130:19030791:-1 gene:OGLUM09G15510 transcript:OGLUM09G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding NHTLESPSWRRREEKAATESSAAATSAAGAELRRYRPGGSSPLSESKASARFNFFISLHLLHWRRRRRFCGGACRPARTKLRTGNSDCLLN >OGLUM09G15520.1 pep chromosome:ALNU02000000:9:19032542:19034884:1 gene:OGLUM09G15520 transcript:OGLUM09G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKTSTPNLSEPAQPTSLTQKAHNSRNLLVFSRPAHLDRPYDNRRLDFPGRPLYALPDATRSRKPTTEFVRTPPPASPPATAAQISGWKLGESMAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDRDDYYRGGSHDYYRGGSRRSSERHRSSYYSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQM >OGLUM09G15520.2 pep chromosome:ALNU02000000:9:19033512:19034884:1 gene:OGLUM09G15520 transcript:OGLUM09G15520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDRDDYYRGGSHDYYRGGSRRSSERHRSSYYSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQM >OGLUM09G15520.3 pep chromosome:ALNU02000000:9:19032542:19034590:1 gene:OGLUM09G15520 transcript:OGLUM09G15520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSVSPTLLLSNMYLRPDMITPGIDAQGNPIDPEKIQADFEDFYEDIFEELSKYGEIESLHVCDNFADHMIGNVYVQFREEDQAARALQALTGRYYSGRPIIVEFSPVSDFREATCRQYEENSCNRGGYCNFMHVKEIGRDLRKRLFGHLHRSRRSHSHGRSRSPSPYHYRRDYDRRSSSRSRDRDDYYRGGSHDYYRGGSRRSSERHRSSYYSDGSRRRHRSRTRSPVRDGSEERRAQIEQWNREREAAQV >OGLUM09G15530.1 pep chromosome:ALNU02000000:9:19035578:19038411:-1 gene:OGLUM09G15530 transcript:OGLUM09G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSLIASRLARSTGHALASAASQVPPLSALLSFLGEVAPMARHAAASPLLSRLGSVARAFSSKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLVGITASRQAVTNAQNTVRGSKRLIGRTFDDPQTQKEMKMVPYKIVRGPNGDAWVEMGGQQYSPSQIGAFVLTKMKETAEAFLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDGALLDYLVSEFKKSDNIDLSKDKLALQRLREAAEKAKVELSSTMQTEINLPFITADATGAKHFNITLTRSKFESLVQSLIERTRIPCVNCLKDAGVSAKDIDEVLLVGGMTRVPKVQDIVSQIFNKTPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSESDIEKMVREAELHSQKDQERKSLIDLKNSADTTIYSIEKSVSEYKDKVPAEVTNEIQSAVSDLRAAMAEDDLEKIKQKLEAANKAVSKIGEHMQQGGGGGSGSGGNSSSGGDQTPEAEYQDAAKEAKM >OGLUM09G15540.1 pep chromosome:ALNU02000000:9:19039777:19043599:-1 gene:OGLUM09G15540 transcript:OGLUM09G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPPPPRRPVCVTGAGGFTGSWLVKLLLSRGYAVHATLRDPVSSCAASSCMWVSLSFSWFLKQMENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEHKTVDPEKEMLAPAVKGTRNVLEACSAASVQKLVVVSSICAVCFNPSLPRDRLIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITCIMYDKSGPCERLVDVGNKVELTSEKPKKLGWKPRKLEETLADSVESYKKAGLVDDEPCRLPHVYRMPDTQE >OGLUM09G15550.1 pep chromosome:ALNU02000000:9:19053147:19083771:1 gene:OGLUM09G15550 transcript:OGLUM09G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVPTGYGMTTGEETPFKTQSHEPTNPPAPVTHTTRRRGGDVDVVISGGRLMYRSDGPALSYTRSKASATSRTSTMGKNNQSFSHITDIYYVQQHQIVPLGTHLPFVLAWVGSEESGAGHALKQSSGGERRIPACVEAGRGGGIELVLSSGSGVEASGGGSELVVPSGGSVDVVLPGGSGVDAVLPRGGSGGDVEAVDGSSELVVPGGGGXXXXXFPAAAASMSSFPAAAASMSSFPVAVAAATLKQSTAAANSSFPAAVAASMSSFLEAAASMSSFPAAAVATAEFTSKSRRRRSRRRRRRRSIENPSASRMMKRFSVFASNKMNTHRLPDFTGAKGYDAGVQTLPLTAIGLKDSRKPATWAINGTPRERRSSTSHEPTNPPAPVTHTTRRRGGGGAISGCSCCPAMSKSRSLRTQARTEFGCNMSIATRIPGSQFRNGLPCRARGKGQLVREKMHDKRKEAQETLSRKVTESISVNGIGHITDIDYVPQFVVHRIRASWNPINRDKS >OGLUM09G15560.1 pep chromosome:ALNU02000000:9:19053704:19084921:-1 gene:OGLUM09G15560 transcript:OGLUM09G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLERLQDASQAAPANLRLFTADVLDLDTLTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEPTVNISSKFLIYVMKGGPDAMNNKLWHIVDVRDVADALLLLYEKPESSGRYVCSSDHICTRDLVNLLKKMYPNIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADNIEFFEKAGLLDGRPCRLPYFAGLRFQSDQSGKVQPEMPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWRNMAAMASPPPTTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKDFRLKHFPCLCSNCDKFHFRRSKQKLKSLGWKPRKLEETLTDSIEYYEKTGILQDAGGRPCPTLHTEVQWWQPEMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPLLQTVLLSTSSKVLLYVMKGGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRVPDAQE >OGLUM09G15560.2 pep chromosome:ALNU02000000:9:19053704:19084921:-1 gene:OGLUM09G15560 transcript:OGLUM09G15560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLERLQDASQAAPANLRLFTADVLDLDTLTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEPTVNISSKFLIYVMKGGPDAMNNKLWHIVDVRDVADALLLLYEKPESSGRYVCSSDHICTRDLVNLLKKMYPNIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADNIEFFEKAGLLDGRPCRLPYFAGLRFQSDQSGKVQPEMPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWRNMAAMASPPPTTRVCVTGAGGFIGSWLVKLLLSRGYAVHATLRDPCDPKNAHLKQLDGASEMLSLFKADVLDAGELSAAIAGCEGVFHVASPVPGDKIVDPELEVMAPAVKGTLNVLEVCSSSKKVQKVVVVSSTAAVHYNPNWPPGKPKDESCWSDRKICMEKKEWYSASKVIAEKMALEYAEKKGLNVVTVCPCLVFGPQLQPTVNTSNELLIYITKGGPNVMRNMLLHIVDVRDVAEALILSLGWKPRKLEETLTDSIEYYEKTGILQDAGGRPCPTLHTEVQWWQPEMTPPPPPPRRLVCVTGAGGFIGSWLVKLLLSRGYAVHATVRDPHDPKNAFLKQLENAPENLRLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEKTKEMLDPAVKGTRNVLEACSAAGVQKLVVVSSIGAVCFNPSLPRDRIIDETCWSDKKSCKENENWYCLAKTEAEEMALEYSEKNGLHVITVCPALVLGPLLQTVLLSTSSKVLLYVMKGGPDAIGNTFFPIVDVRDVADALLLVYDKAGPSERYICSQEQMDTKDFLDLMKSMYPNYSYTFKVVDVDTRVGLTSEKLKKLGWKPRKLEETLVDSVESHEKAGLVDDEPCRLPYLYRVPDAQE >OGLUM09G15560.3 pep chromosome:ALNU02000000:9:19065012:19084921:-1 gene:OGLUM09G15560 transcript:OGLUM09G15560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLERLQDASQAAPANLRLFTADVLDLDTLTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEPTVNISSKFLIYVMKGGPDAMNNKLWHIVDVRDVADALLLLYEKPESSGRYVCSSDHICTRDLVNLLKKMYPNIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADNIEFFEKAGLLDGRPCRLPYFAGLRFQSDQSGKVQPEMPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWSDKKLCMETENWYSLAKTEGEEMALEYGNKNGLHVVTTVQLNTTTKALLYIIQGGHGPDTMNNKFLSMVDVRDVADALLLAYEEAGPSERYICALEQMDLKDLLSLMKTMYPNYNYVDKMVDLDYKAEVTSEKLKNLGWKPRKREETFADSIEFFEKAGLLDGQPFQLPYLYRETASLVWEGTGERQSSNAAKKLAASAKHECYKT >OGLUM09G15560.4 pep chromosome:ALNU02000000:9:19065012:19084921:-1 gene:OGLUM09G15560 transcript:OGLUM09G15560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAPAPAPPRVCVTGGGGFIASWLVKLLLSRGYAVHATLRDPCDPKNAHLERLQDASQAAPANLRLFTADVLDLDTLTHAVQGCDGVFHLATPVPEDKIVDPEAKVIDPAVKGTLNVLKACSVAKVQKVVVMSSNAAVDVNPDWPPNRLKYESCWSDLALCEKNEPTVNISSKFLIYVMKGGPDAMNNKLWHIVDVRDVADALLLLYEKPESSGRYVCSSDHICTRDLVNLLKKMYPNIPDVEHKASLTSQKLMSLGWAPRRLEETLSDSVDCYENAGILKILDGHPCRLPHLFSDLDFDMAGQQEQPEMAPPPPRRRVVCVTGAGGFVGSWLVELLLSRGYAVHATVRDPDDPKNAFLKQLENAPENLQLFEADVLDCGSLTAAFAGCEGVFHLATPVPEEKIKEMMAPTVEGTRNVLEACSAASVQKLVVASSIATVCLNPSWPQDMPKDETSWSDKKLCIENEDWYSVAKIEAEEMALEYGKKNGLHTVEINTSSKVLLYMIKGGDGPHVMNNKFWPMVDVRDVADALLLAYHKAGPSERYLCTLEQMDLKHLLDLMKNMYPNYNYADKMVDVDYKVEVTSEKLKNLGWNPRKREETLADNIEFFEKAGLLDGRPCRLPYFAGLRFQSDQSGKVQPEMPPRRVCVTGAGGFIGSWLVKLLLSRGYFVHGTVRNPDDPKNAFLKQLENATENLQLFKADVLDGGSLTAAFAGCEGVFHPATPVPEEQMKEMMAPAVKGTRNVLEACSAAGVQKLVVVSSIAAVFFNPSWPHDRPKDETSWSDKKLCMETENWYSLAKTEGEEMALEYGNKNGGHGPDTMNNKFLSMVDVRDVADALLLAYEEAGPSERYICALEQMDLKDLLSLMKTMYPNYNYVDKMVDLDYKAEVTSEKLKNLGWKPRKREETFADSIEFFEKAGLLDGQPFQLPYLYRETASLVWEGTGERQSSNAAKKLAASAKHECYKT >OGLUM09G15570.1 pep chromosome:ALNU02000000:9:19104024:19118411:1 gene:OGLUM09G15570 transcript:OGLUM09G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4R3] MGWPAVTRPATRLGDCRRAAGIRREALRRTTGREIRGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLVLDGERFYVPMATTEGCLVASTNRGCKAIAESGGATSVVLQDGMTRAPVARFPSARRAAELKGFLENPANFDTLAMVFNRSSRFARLQRVKCAVAGRNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCITMLEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLAAVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSKDMSKVAS >OGLUM09G15580.1 pep chromosome:ALNU02000000:9:19122433:19124704:-1 gene:OGLUM09G15580 transcript:OGLUM09G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT1G20990) TAIR;Acc:AT1G20990] MSLKSREFSGRLPPPPSSSSDQQEQYYCSGQHQQRGEDGGGGGGGDGEMVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQLCGFQLHEFCALAPPSLHDHPFHPKHQHLLFFCDICGKSVKGFSFRCASCNFAMHPCCAAMSRRMDLPVAHEHPLMLAPSPPTPPMATPTSDVVGVGDGGVGTSFVCQMCRRCRRPAGQYVYQCMPCGYYLHARCAKDVVNGLYVHGVAPPEKGSALAAVARVTINALFSVIGGLIEGIGEGIGEAFVDNIGRSRGRSSFR >OGLUM09G15590.1 pep chromosome:ALNU02000000:9:19125712:19126157:1 gene:OGLUM09G15590 transcript:OGLUM09G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDMIHGNGKATIADSVNFLVSYEDTLLSLRQQPDDLKGKGPILLREREFYKESVVGTANTNEMRLSRSGACYRLRIKRCPNVALPSSPARSSGVLKGPKSRSAIGAHVNTTRDWQC >OGLUM09G15600.1 pep chromosome:ALNU02000000:9:19128730:19133860:1 gene:OGLUM09G15600 transcript:OGLUM09G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSRSTAAAAVERRQVVAVDATAAMVMDLDGTMARLEAPVAARVALGGDAYSCFVCGADELDYGAPARAMGDDEALQPGQLYFVLPVSALRRPLSGHDMAALAVKASAALSSIGVPTSSATRRKDDRDGAAASGKRRRTSRVAPLAVVSGIDAHATPLMAKTRKCGRRRACVRRLSITSLLQRITTPMGSCVSRSTAAAASAITTTTAAKVVFRDGSMAQFAAPGSTVRDALGGERASSSASTCFVCCSDELRFDAPPRAMAAHDALRPGQLYFVLPVSALRRPLSGQDMAALAVKAIAALGASATAAGSSSGVSSRGKNARPAGKQRPQATARVAPLVAAGADHVYGGYDSQKTVRGDRTARINGGGSIARQRTGLQRLSAISEGDE >OGLUM09G15610.1 pep chromosome:ALNU02000000:9:19142866:19148168:1 gene:OGLUM09G15610 transcript:OGLUM09G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASRSGVLSETASFADTPRSIYSSCNLQHLQSQSIRKIHEGALDISPRFSYCKPNASQDKILHRRFSLNLPEHMPGHYSRTVTERNQKAASKSITDLVVEIAALEQEVVRKELHLLSLYRKAFDQYLSESGSVTSEEVSRSPSRHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLGSVPLQDSEYITSPSPSVSSSSTFSPRNRNDSWSPRYNFDITPSPRQYGYEKENNEQKNIGMIIVPRIRIDADKFDYASKMLETIRSLIQRLEKIDPTKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQIIQNSILGCQSHRPSLWVRALFTPTKRSMAGTARHPYALQHPEPVAHFALSTGAFSDPPVRLYSAKKIHQQLEVARTEFIQANVVARRQALMLPKVLHYYAKDAALELRHVVELVCESISEAQQREIQLCLRRRIDKCVEWLPYKSSFRYVVHRDLAE >OGLUM09G15620.1 pep chromosome:ALNU02000000:9:19154262:19157293:-1 gene:OGLUM09G15620 transcript:OGLUM09G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGRGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNREFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNREKVSHLWRLPSAKERLQLVRADLMEEGSFDDAVMACEGVFHTASPEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFSSYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >OGLUM09G15620.2 pep chromosome:ALNU02000000:9:19154262:19157293:-1 gene:OGLUM09G15620 transcript:OGLUM09G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRILHGYGGRGGRGFEQTYRCYSAAAFNKPQLEGGDKVIMPASALHRLASLHIDYPMLFELSHHGDAAAHRVTHCGVLEFVADEGTVIMPRWMMRGMRLDDGGLVVVRSASLPKGSYAKLQPHTGDFLDTANPKAVLEKTLRSFTCLTTGDTIMVAYNNREFLIDIVETKPASAVCIIETDCEVDFAPPLDYKEPEKVQQKPSVPSSKAASEDQDQIKDEPEFRAFTGSGNRLDGKASKPLAAGISSNPAAASSAISDSNKKVNQETAASGVSNSTRQKKGKLVFGSNKSSSSSKEPEKAPPVKVDELAKKEEPKFQAFSGTSYSLKRNREKVSHLWRLPSAKERLQLEEMLVPAINGTLNVLKSCKKNPFLKRVVLTSSSSTVRIRDESKHPEISLDETIWSSVALCEKLQLWYALAKISAEKAAWEFAKENNIDLVTVLPSFVIGPSLSHELSVTASDILGLLQGDTDRFSSYGRMGYVHIDDVASCHILVYEAPQATGRYLCNSVVLDNNELVALLAKQFPIFPIPRSLRNPYEKQSYELNTSKIQQLGFKFKGVQEMFGDCVESLKDQGHLLECPL >OGLUM09G15630.1 pep chromosome:ALNU02000000:9:19157517:19160391:-1 gene:OGLUM09G15630 transcript:OGLUM09G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAIQTHALVCAPPGAAAAALAPWPRRAAGGGGGGPLLHHHRLRSDLPHTRSLPCRARSPSSSSSSNVNSGRGDDADNLLEDLLSKHGEVVYNAGGAPGIDADDDAECLSFAVSLAKVASEVKAADIRVLFVKPLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSIVASGDTKPNSWTLLDFDSTATGGMAQPETLSLAGRRVAFTTPQTDAGGGGYGGRLHAILRQRGARPVPVPTIAIRAHDPDILRPFVAPGGLDAFAALAFTSRSGISAFSRALLPSSSSSPARRPRHPVSDAATALPFTVAALGSDADLLDAAFLSRLCGDAGGRVSVLVPDVPTPAGLVEALGSGSGRRVLCPVPDVVGLREPPVVPGFLAGLEAAGWVAVRAPAYVTCWAGPRCAEALVDAAAPDAVVFTSTAEVEGLLKGLDAAGWSWPRLRARWPRMVVAAHGPVTADGVRRLGIEVDVVGARFSSFHGVLDALAAKLESD >OGLUM09G15640.1 pep chromosome:ALNU02000000:9:19162070:19164727:-1 gene:OGLUM09G15640 transcript:OGLUM09G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMENPPLRWPPGFRFSPTDEELVLYFLKRRIATGRPTPYIADVDVYKSHPSHLPERSALRTGDKQWFFFSRMDRKYPNGTRASRTTGEGYWKATGKDRSICNGGGGGAASGRAVGSKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAARDREAYALYKLFHKSGAGPKNGEQYGAPFREEDWLDDDDHHHDQLPAEAALPAPATTSGRAATTEEHADFELPGGDLDVLLAQIENDQDIIEAQLDFSTHVTSQVQIQHRVHQGWLSDDGGKSDVADATTSGSALLMAENTCAELPIDGLEQLLMQISDDQQTVEMLSGFSASVPQSQLQHDDHQGCLGVHREEVGVADSTTVSSAVVTEECTVRELQDIEGLLMQIENDQENAESLPDFSTPVHLHDCHQAAFGDFQGSQRATFNIANLSTMVQESPNFDLQTGPSNQITESILTTEPMNGETNAVEETSPLRSMSVLGSYDRQDGDDEFLEINDFFDPEDLEQILGSTTSQNLIPADDGVFDSLQYSDAPMFLPGSFDTTGVVAENHYVEFGASGIQNQGFQHTTELWAHNQVALNVRNHMKDNHVVFSHSSDATIIHTVNEQPPNRSSNASQSWFNGALSALLDSVPSSPAMAAENIGLNRTLQRISSFRSQQPAREEVSSTLINTRRRGGGLIFISLMVLLVAIMWTFSNGSAVKLSKGLWKFPST >OGLUM09G15650.1 pep chromosome:ALNU02000000:9:19165550:19169428:-1 gene:OGLUM09G15650 transcript:OGLUM09G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKNWERHTKYSVTPHNVKRMTHMGNLVFQPIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVFSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >OGLUM09G15650.2 pep chromosome:ALNU02000000:9:19165550:19169428:-1 gene:OGLUM09G15650 transcript:OGLUM09G15650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRETGYYDVLGVSPTATEVEIKKAYYMKARKVHPDKNPNDPLAAAKFQASIGCIILMNWERHTKYSVTPHNVKRMTHMGNLVFQPIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDIFGDEEEIDARMLQEKMRVVQKEREEKLAETLKNKLHLYVQGNKEEFVQFAEAEVSRLSNAAYGVDMLSTIGYVFSRQAAKELGKKAIYLGVPFIAEWFRNKGHYIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMETHKSVMVDSLWKLNVADIEGTLSHVLQDSTIRREELRARAKGLKTLGKIFQRVKLGSSEGEVTTINNTINNSDDNDGSSPDSSPMSPREHPYDPNPPYYQSPYVEAPQFVGGYPSLNFPMPTAPPGAQRDPIP >OGLUM09G15660.1 pep chromosome:ALNU02000000:9:19179479:19180220:1 gene:OGLUM09G15660 transcript:OGLUM09G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQRTTLQPVRQLMAVSNNRSNTSALLPAPSVAATHNDSNNIVTKVMSSLLWFQLGACTAGRVHIKDPSSEKCLIRLEPGHHLRSTLETKKDSPPLFPTVGRPIISQKVVQRQSVSLIKSATWLERLCLLKLHQFLYEVVISSPMTLEREVLPQPLQGYRISIRRAINVRESRDSSILQHRLQSMSQALDFNVVINISHASHATPTQMSGK >OGLUM09G15670.1 pep chromosome:ALNU02000000:9:19185577:19188440:1 gene:OGLUM09G15670 transcript:OGLUM09G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGTTSTSWASAASTPATTATAPSRPPSTPPTRSPTISSNKARTDHPQFPPIPRWLISMRSSFASLTLLMYRWCCPGYGAH >OGLUM09G15670.2 pep chromosome:ALNU02000000:9:19185577:19187499:1 gene:OGLUM09G15670 transcript:OGLUM09G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTRNKIILTTLLVSAAAILIGGTVALILTAGTWKVKMKESREKICDKGWECSGSKYCCNDTITDFFKVYQFENLFSKRNSPVAHAVGFWDYQSFITAAALFEPLGFCTTGGKQMQMMELCAFLGHVGSKTSCGFGVATGGPTAWGLCYNHEMSPKEDYCDKTNLQYPCVEGAEYYGRGAIPVFWNYNYGAAGDGIHEDLLHHPEYLEQNATMAFMAAMWRWMTPMKKKQPSAHDVFVGNWKPTKNDTLAKRLPGFGATMNVLYGDQICGKGYIDDMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAAFNPSYKKPDDQQQQS >OGLUM09G15680.1 pep chromosome:ALNU02000000:9:19188219:19193259:-1 gene:OGLUM09G15680 transcript:OGLUM09G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERSRPYGPTAKDRIDETTPVCATHLSRLGPVNYIFARSRRELRPPFAPLLYRCCQAHHRKSRPPCSFSSSSSWCDPAPSDLRRAGVAGGGGPRLAARTPPHPPPQTSSAPAEPLPLFVRRRCLEDSLGVDSSRGEGAAAVVVVCPAASAASPPMGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANYPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIQDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGERASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >OGLUM09G15680.2 pep chromosome:ALNU02000000:9:19188219:19193259:-1 gene:OGLUM09G15680 transcript:OGLUM09G15680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAERSRPYGPTAKDRIDETTPVCATHLSRLGPVNYIFARSRRELRPPFAPLLYRCCQAHHRKSRPPCSFSSSSSWCDPAPSDLRRAGVAGGGGPRLAARTPPHPPPQTSSAPAEPLPLFVRRRCLEDSLGVDSSRGEGAAAVVVVCPAASAASPPMGNSKTPQPSKKSRIMLSDTDGHQLDNDEFSSESASNQMVLFNPETVAKGQDELGENHSPSLQKSANYPNRGMPSIGAFTVQCAKCFKWRLIPTKEKYEEIRECIIQEPFECERAREWRPDVTCNDPEDISQDGSRLWAIDKPNIALPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRYLLENPDYVAQGVTLTQFSFQIPRPLRQDYVKKRPKIVNPNDEASVVTTKSVKPEEVSPIAWAAPSVHQEGEAGERASHADEPPEAEELELTRKRKAESPLFEEAHSNHVSDEPKTKLEDTQNGGPSA >OGLUM09G15690.1 pep chromosome:ALNU02000000:9:19195575:19196819:-1 gene:OGLUM09G15690 transcript:OGLUM09G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDAAPALKRKDADPPELWMGGGAAAAASGFPVSSRAMKIRRLDAEVPPVVPGVCVPPAPPTQQQPVAGLGAGDVRVFGDQVPVGMAPAAAAAAAKRKGEDAPELWLDDGGAASGFPVSSRATKIRRLDAEVPPPVVPELCAPPPPPQPVAEVQMRGEEVPVIAVPAPNEERAIVLYKPDDAARNLLLGPLRPEFPLRVSPDWIHGLKSTALREASEHRALFEELAMDETSNLAMVPWVPVPSNSQEASTSAAATATTTTEMMDAEDTSMEVEQDGGSGGSHLAAAGEAPYYQWPQHCMAPPPQPPLPAASYQPSPVTWSW >OGLUM09G15700.1 pep chromosome:ALNU02000000:9:19202090:19204580:1 gene:OGLUM09G15700 transcript:OGLUM09G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRDSFLDLILIPLSLLVPMAYHVWLWRAVRLTPLRTAAGINSATRRLWAISMTKDNEKKAVLVVQSLRNVIMGSTLVATTAILFCTGIAAVLSSTYTIKKPLSDAVFGAHGEYMMALKYVALLLLFLVAFLSHSLAICFLNEASFLINTSPTLLAGGDGAGDDGGRRLLGLPSTRDYMEEALEKGFTLNFVGNRIFFAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVNVKSHRGGDCGCGCGNGKSSVDKNGAAAAMDCTLV >OGLUM09G15710.1 pep chromosome:ALNU02000000:9:19207469:19208709:1 gene:OGLUM09G15710 transcript:OGLUM09G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTWEARHGALVPPSSAAEKPHYGAAFVFAPNADCVPLPDVPGYLLSSSSVLHALRVGEVPCGVSAPGHGAHLPSFCVRQVLHLLRPRRRPLRLPRAFRSPFWVRVVHSFPRILAGCRLHISFGGEVLEVRADGSSIGAWMPSNKR >OGLUM09G15720.1 pep chromosome:ALNU02000000:9:19218099:19218396:-1 gene:OGLUM09G15720 transcript:OGLUM09G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLFVDAVLGYAFYKLSVLSSQVRKQGFPNEYITRIKTIIALIFLAKDFHKNFVPLDYIK >OGLUM09G15730.1 pep chromosome:ALNU02000000:9:19219127:19221145:1 gene:OGLUM09G15730 transcript:OGLUM09G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGVRVSPERSNLCDGGCGALRQAATAAAAEAAGDPDDELWPWDEFPEDAVFVKDDFATVQAKFSRESGEAAAALKDAAADVFRPLLDNFNHLRSLNTVFDTEDYHVGMPFVVMVVMAINDINNCIYWLDAIRAPVYFRYGLTFAFELAGIKKCVKYLLASATTHTSERID >OGLUM09G15740.1 pep chromosome:ALNU02000000:9:19224759:19226045:1 gene:OGLUM09G15740 transcript:OGLUM09G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSARRAGAGGAAGKTSPARPCNPGYPRRSSVPMTGGDATRPAVARALRLPGCGGDAGFQRREPHRWLRPCSSSRSGDGAAAVLASRSGSSDMGLGVSSFSPCSLPHRVDAPRRRPVWCSPAAPPPPPRVEVQSVRQRRMGEEWEALKAAIADMFRPLLRNLADICSLRSAYDFEDYQIGMLFGAFLGYVGCYQLWKAAPSVFVDAALAFVFYKLSVVSSELHRQRKTNSLITRLKFGIHFLRI >OGLUM09G15750.1 pep chromosome:ALNU02000000:9:19229745:19247432:-1 gene:OGLUM09G15750 transcript:OGLUM09G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAVRRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESLRPRVQLKRADIWLGFKSLHLKHCKTVIMKSKGGTYCIFFFINNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELREKISDLKLALSKEASSKSERRVVAGVSLFTEPIAGIPSPATQTAQERNTGRDNVETMKSSQSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVEHSEPRMPTLDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPSASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASVEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETHEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIIGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANEHLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKELIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESIQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKWQQIIYLLMDPCGFFDMLTSSSNEFCGCCNDNQCQQYLRQGTALSTVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQTCSQHMTQVHYPISGRKPVV >OGLUM09G15750.2 pep chromosome:ALNU02000000:9:19229745:19247432:-1 gene:OGLUM09G15750 transcript:OGLUM09G15750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAVRRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESLRPRVQLKRADIWLGFKSLLGFLDAPAFEDGILERYPIFLNISLEALQDGDHEKQRRNILYFLLHQVTRSSNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELREKISDLKLALSKEASSKSERRVVAGVSLFTEPIAGIPSPATQTAQERNTGRDNVETMKSSQSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVEHSEPRMPTLDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPSASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASVEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETHEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLAICLNAYNELYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIIGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANEHLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKELIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESIQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKWQQIIYLLMDPCGFFDMLTSSSNEFCGCCNDNQCQQYLRQGTALSTVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQTCSQHMTQVHYPISGRKPVV >OGLUM09G15750.3 pep chromosome:ALNU02000000:9:19229745:19247432:-1 gene:OGLUM09G15750 transcript:OGLUM09G15750.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAVRRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESLRPRVQLKRADIWLGFKSLHLKHCKTVIMKSKGGTYCIFFFINNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDESAASWARCLGACVTRTGFDIWASISVLECIFPDCHIFGSKICSSIVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELREKISDLKLALSKEASSKSERRVVAGVSLFTEPIAGIPSPATQTAQERNTGRDNVETMKSSQSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVEHSEPRMPTLDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPSASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASVEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETHEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLAICLNAYNELYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIIGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANEHLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKELIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESIQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKWQQIIYLLMDPCGFFDMLTSSSNEFCGCCNDNQCQQYLRQGTALSTVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQTCSQHMTQVHYPISGRKPVV >OGLUM09G15750.4 pep chromosome:ALNU02000000:9:19229745:19247432:-1 gene:OGLUM09G15750 transcript:OGLUM09G15750.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAVRRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESLRPRVQLKRADIWLGFKSLHLKHCKTVIMKSKGGTYCIFFFINNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELREKISDLKLALSKEASSKSERRVVAGVSLFTEPIAGIPSPATQTAQERNTGRDNVETMKSSQSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVEHSEPRMPTLDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPSASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASVEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETHEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNSRHHTGEARSIGFVADVRRMNVALTRARFSLWIIGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANEHLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKELIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESIQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKWQQIIYLLMDPCGFFDMLTSSSNEFCGCCNDNQCQQYLRQGTALSTVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQTCSQHMTQVHYPISGRKPVV >OGLUM09G15750.5 pep chromosome:ALNU02000000:9:19229745:19247432:-1 gene:OGLUM09G15750 transcript:OGLUM09G15750.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16800) TAIR;Acc:AT1G16800] MAMAVRRVQLADRWRGIQEAEEADDDGEPSAARQRRLNQAKEEWFSHCFNFLGSLPKEEHIWCGYADIMGPFLETFLGYFDDQEENSPPRTIWKRISEELNVCAQCVCEHHQAQKDFDSEYRSGVDALLKVLRLLDEERVTEHLRQMNAKAQLKEYKPSCHDAEVSIMFEVLMYPILLDDLSLANQFQTFIERIDEIFEVSLSTNQQYPGVYALLFFKSCKARAIGLRLARSMGKLRKAVDLDPLQPLLQKYINFLEAEVLPSTSESLRPRVQLKRADIWLGFKSLHLKHCKTVIMKSKGGTYCIFFFINNFSALMRKTATKIALLIVQRGYTMNPPCPASECAHMGPSLIGSLKDISLHNSLRQPALDLINILIISDASALISFKMKYESFTKGDVSNSVIFVDDDDELPVFCDAEEMDYGCWNDFNVLYKLTCRECKDWRCVPLLWYLIMVQLEPSELPMAFSKAVFWALSHISVLEPGVSTESSVPVNDWLSSHAGEVLPTFSWQVPNGADDGGVGKECINTLKNLRQVGRAVLELASQGRGLTSGLQFLCSSASSLTATFLGLRYAVQSVETKSVLADFPSLHHLFFVICKLLKDVVVQQPSVALQAKPFEGGFLRQSFSNVSVNLPQHSVDIISWEKFSTLLSGALWPFIFTCLRKGDDLINTKQCQISCVRLLELVPLVYERVSSYSSAKSCGVPTMVLDPTDITWLFHLINWGKSSLLVIIRHWKQCMLSLIKILKGSLGGTVQHYIEDIGSIISHDAVNIDELREKISDLKLALSKEASSKSERRVVAGVSLFTEPIAGIPSPATQTAQERNTGRDNVETMKSSQSTCTEHIILLSDSEENSLTADVSGEEVLSSVKDSDGSGASDMQKEVEHSEPRMPTLDRHVSLKQQICSPASDIVASSKPVSKDRSIIAAKEGLGRAKIPTVPVNTNDTSLLPKKIKPSASTISQPSRSNLSSGAEKFKSIFRDLSDDEDDPLEHALDSCRKPQIRLTKSCLLVPKRQVVQLPLSAEKRHTSGRPDASSRRLKPPKLDSWFKNILEMDYFAVVGLPSSEIIKKLALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNAYVETPAEDMNCGSISILSVERVDEFLVVRGRPDKNDCLKSKNCMENDLILLSKDPLNSSGQQVHVLGKVDRRESDKSKALILVIKFFLSNENARLNKVKRLLVERSKWFLNRIMSMTPQVREFSALSSLNDIPVLPVILNPVSCKSIHHGSGKVHLDKLSHPMRKVLKSSYNDSQLEAVSIAIRSTSLKAKFDLSLIQGPPGTGKTRTIVAIVSALLSLHAANSSQRNESFASVEFNKPRPRLSQSVAVTRAWQDAALAKQLINDSQREVPTDRLSKGRVLVCAQSNAAVDELVSRLSEGLYDTDGKLYKPYIVRVGNAKTVHSNSVPFFIDTLVEQRLADELKKNNDSKSLSDTESSSSLRANLEKIVDRIRYYELRRKLSEVDKTENDSLVPSEYETHEVSDDAIGAKLNFLYAQKRKVSAELATAHAREKKIADENRFLKHKVRKSILGEAEIVVTTLSGCGGDIYSVCSETASANKFANFSEHALFDVVVIDEAAQALEPATLIPLQLLKSKGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAQAADKSAPFHGHDCLGPYMFFDVADGREQCGKNAATQSLCNQFEAEAALEILGFLKNRYPSEFSCMKIGIITPYRSQLSLLRSRFNSFFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDSRHHTGEARSIGFVADVRRMNVALTRARFSLWIIGNAKTLQTNSHWASLLQNAKERNLFISVNRPYRSLFEKVRSHSEDIHGSRHTYYTSHCKNKESGKNSMTNSQKIDARPHKEHARHTVRTVETANEHLPNDQAKRASRWDRKSPKAHEPFHRKSSKEKELIQDTDQDNVVRKEKEGEGLTIHNDNSLELANVIRQRELNKPVKPNIHTDAGKTLCNQDSLQNSEVRKDANKKYDNGNVKGSQNHDTKATVMKIDASPPAQDMQKLIQKAKGARKFSEKPRFGNSSQVDSSVKHEATLESANKNGGACTPTNSEMKKMAGKAKKARRFSEHPRSGNSNKVDHSLPSFDEESIQMPELKENNLTATRQNHLTASRKRQREDIESLLSSALISSKKPSSKWQQIIYLLMDPCGFFDMLTSSSNEFCGCCNDNQCQQYLRQGTALSTVPKRPMGHQTVSSVPKRPMGHQVNCVGHDQTCSQHMTQVHYPISGRKPVV >OGLUM09G15760.1 pep chromosome:ALNU02000000:9:19250114:19263808:1 gene:OGLUM09G15760 transcript:OGLUM09G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDAPSRGGAGGGKLSLLASVGLAGARGGGSGSVGGGGGGGGGGGGGYKELLVMALPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGIQGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >OGLUM09G15760.2 pep chromosome:ALNU02000000:9:19250114:19263808:1 gene:OGLUM09G15760 transcript:OGLUM09G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDAPSRGGAGGGKLSLLASVGLAGARGGGSGSVGGGGGGGGGGGGGYKELLVMALPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGIQGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >OGLUM09G15760.3 pep chromosome:ALNU02000000:9:19250114:19263808:1 gene:OGLUM09G15760 transcript:OGLUM09G15760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHDAPSRGGAGGGKLSLLASVGLAGARGGGSGSVGGGGGGGGGGGGGYKELLVMALPKDDDLDAGKVADVLGFRLPDVEGAVRAFFRSREVREFASGALAGAMSKAVLAPLETIRTRMVVGVGSRHIGGSFVEIIEQNGWQGLWAGNTINMIRIIPTQAIELGTFECVKRRMAEAQEKWKEDGCPKIQIAKLKIEFPLQFLSPVAVAGAAAGIAGTLVCHPLEVIKDRLTINREVYPSISVAFSKIYRTDGIRGLYAGLCPTLIGMLPYSTCYYFMYDTIKTSYCRLHKKTSLTRPELLVIGALSGLTASTISFPLEVARKRLMVGALQGKCPPHMIAALAEVIQEEGIQGLYRGWGASCLKVMPNSGITWMFYEACKDILLADKDKRKA >OGLUM09G15770.1 pep chromosome:ALNU02000000:9:19265160:19270657:-1 gene:OGLUM09G15770 transcript:OGLUM09G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESARPLSGGTGAGKWPPSKDTGSYDSIPASLSEDELAELAFMPNSGGIFGKWRGSVLERSGSAPPTMEGSLVALGHLTGQPSGNLGAILPNLGTEANNSESKENIYYDSACVKYYMSKVNLNPRFPPPLVSRNQFGKSEERKPFSLDDSSSRSLLLGHPTLPTHKEEPEDEKSPGLDSSSADDAQCDSAQSTSNLGGHSPNLVDSIKENFHRSNGLYDNSSDLLNANSGDGGSIYSDISSSKNSSLYVVQSSDLNGFPPDAHQRSPRPIRTPVSTKLTSDSLPASSPPTSSCSDYSTITEACQQRNPSMAVKPGEPVGTMLDSSDFSLKNLNISPDILSSSYVMQQWQKNAPVWNGLSNVVHGDHVPMIPPGINLPQVPFVDNSGFGHMKFPGDVQLMSQIGMATPFCTPNSFGIPCYPNLQSPSVWVPPFGIGGYGLPGPFVPPVITNFTPQLPGFPSAVNLAAATDLFHPYKMYEHLGVPMPSPVPDQSLTHYFQQPPIHPYGVGNPYDTMVSSNNFVGNPAGVFGSPIIDPSEQKFQIPVTTVAANASTPIKGGKPIGNYETASPYFGVPMPYPAGPTLHGQPASGTSPRDKRNDVKGFQPPRKNMPVSSGIQGQKGREKFDDPKAHFSVEELISSRTHRVELSDIKGQIVKYSSDQNGSRFIQQKLENCTIEEKDLLFAEVLPHALELMTDVFGNYVIQKALEVIDLEQKIVLVGELDGHVLRCVHDQNGNHVIQKCIECIPLEHIGFLVSSFQCQVAKLSMHTYGCRVIQRILERCSNNSECLCIIDEILQSACILAQDQYGNYVVQHVLEKGNEHERGQIITKLAGQVVPMSQNKFASNVIERCFEHGGSAERELLVKEILKQTEGNNYLLVIMKDQYANYVVQKMLTTCNEQHKEILLSRVKIHLPLLKKYTYAKHIVSLVERLCGDGAVQSESKKTVTKGC >OGLUM09G15780.1 pep chromosome:ALNU02000000:9:19279554:19283208:1 gene:OGLUM09G15780 transcript:OGLUM09G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function DUF106, transmembrane [Source:Projected from Arabidopsis thaliana (AT4G12590) TAIR;Acc:AT4G12590] MAEELVLDTAIRDWVLVPLSVVMVLIGVLRYFVAKLMRSPSASPSPDPKLVKEGQVVIRARNLRINSQYIPAKAFKSRKVYYTNEENGLLHVPKEEAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTPRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENANDDAQKMMQMGGGFGGNPTMGLAAEKDNLDIIQHDWALPKMEHHAEEVLRKLLKK >OGLUM09G15790.1 pep chromosome:ALNU02000000:9:19283887:19285107:-1 gene:OGLUM09G15790 transcript:OGLUM09G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSLLLLLLPLLIFSIGAALARADLMLDRFEQWMIRHGRAYTDAGEKQRRFEVYRRNVELVETFNSMSNGYKLADNKFADLTNEEFRAKMLGFRPHVAIPQISNTCSADIAMPGESSDDILPKSVDWRKKGAVVEVKNQGDCGSCWAFSAVAAIEGINQIKNGELVSLSEQELVDCDDEAVGCGGGYMSWAFEFVVGNHGLTTEASYPYHAANGACQAAKLNQSAVAIAGYRNVTPSSEPDLERAAAAQPVSVAVDGGSFMFQLYGSGVYTGPCTADVNHGVTVVGYGESEPKTDGGGAAKGGEKYWIVKNSWGAEWGDAGYILMQRDVAGLASGLCGIALLPSYPVM >OGLUM09G15800.1 pep chromosome:ALNU02000000:9:19285744:19287689:-1 gene:OGLUM09G15800 transcript:OGLUM09G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRNGPFGRLPEHLLVEIFIRLPTCEWVQISCVSKHWASIFQGECMWQTAIARNWPSAGLRKRWPGPIPRGSARRRFQALYVSQNLVSSGGDIDELVGHTYLYLKEQLERPVVAPSSILHGTIIDQFIACGRTGEKAHELASKIWLAVIDNLEENQQTFLLLKHLSQEGEFFLPFPYSRSYKVLWRVFDKLFTDFRDCFSRVDYHDALAGAKSRFQPVPSAWLGH >OGLUM09G15810.1 pep chromosome:ALNU02000000:9:19289139:19294764:1 gene:OGLUM09G15810 transcript:OGLUM09G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGLSQRRCRSPLAGLGGAHRAVTPCLTPATATCRAALCQCQCQPCPRAPLSPRELHIKRVVGLATREREREEATASEARRRRALPSPLHFSAPRAPRRGGRQGRADLAMRIARLLLLLALVAGAAVAQDAGLGDVAAEETTAARAKEEAALAAELGQLRAKISALESSITKQTQELKSKDDGIQKLEKLIEEKSKKIATLQSEITSLETKRSLAAEEQAGKANARAIELEKQIEKLKKDIEAQNSKKSTVEARAGDADKKVQELNAKLEKLQKTSDEQKRRIQKTEHALKVAEEELMRVQLETTTQLNQLKEVHGAWLPPWLVTHTARAKEMMLSHWNEHGKPAVNSLLQKASEKSVQAKKWAVPHVEAAKKKWIPVIKEKWVTMKTNAEPYVQKVSAKSLELYQASKDVVLPHVVKAHKIADPYFQEAKKVSKPYIDQVAKATKPHVEKIRIYLKPYTKRAVHIYGNFLEKATAYHQQAQATVLDYLHQHELTKEFATEELACSKKKKKATRNNSASRL >OGLUM09G15820.1 pep chromosome:ALNU02000000:9:19297549:19300003:-1 gene:OGLUM09G15820 transcript:OGLUM09G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain containing protein 38 [Source:Projected from Arabidopsis thaliana (AT2G24430) TAIR;Acc:AT2G24430] MAMGMEGSGGGGSAKKKEESLPPGFRFHPTDEELITYYLRQKIADGGFTARAIAEVDLNKCEPWDLPEKAKMGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIFTGQPPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSIPKSNKDEWVVCRIFAKTAGVKKYPSNNAHSRSHHPYTLDMVPPLLPALLQQDPFGRGHHPYMNPVDMAELSRFARGTPGLHPHIQPHPGYINPATPFTLSGLNLNLGSSPAMPPPPPPPPQSILQAMSMPMNQPSTTNQVMVTEQMIPGLANGVIPQGTDGGFTTDVVVGSTGIRYQNLDVEQLVERSWIVLRCEIVRGIGT >OGLUM09G15830.1 pep chromosome:ALNU02000000:9:19304481:19313798:1 gene:OGLUM09G15830 transcript:OGLUM09G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMARKGGPRDRRPIHPMTGRPLDLEGVTVVDDSNVPEGAMGEPRACKLWLLVVAMAASWSCSSMAMTFTIANYCSHPIWPGTLAGAGTPQLSTTGFRLDPGQTAQLAAPAGWSGRIWARTGCVFDADGAGVCQTGDCGGRVECRGAGAAPPATLFEVTLGRGGGEDFYDVSLVDGYNLPVVAIPRAAAACNATGCMADLNRCKCTHERAPRRRHLAIAEADDDDVECGGGGAIACRSACEAFGQDRYCCAGEYGTPAACRPTAYSAIFKTACPRAYSYAYDDSTSTFTCKAAYDYTIAFCLPTSGYACSY >OGLUM09G15840.1 pep chromosome:ALNU02000000:9:19316775:19319899:-1 gene:OGLUM09G15840 transcript:OGLUM09G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEANNGGEGGDGFDVIVVGAGIMGSCAAYAASTRGGARVLLLERFDLLHHRGSSHGESRTIRATYPQAHYPPMVRLAARLWDDAQRDAGYRVLTPTPHLDMGPRADPALRASIVNGAATEVASDAASDAAAPWPWSGVFRLPEGWTAATSEIGGVMKATKAVAMFQSLAAKNGAVVRDRTEVVGIAKQGDGSIVVKTSSGEEFHGAKCIITVGAWASKLVRSVAGVDLPVQPLHTLICYWRARPGREHELTPESGFPTFASYGDPYIYSTPSMDRRGHAGPRRHRRRAGHPAAVHDFIIDFVGGELGKDVVVGAGFSGHGFKMGPAVGRILAEMALDGEARTAAEAGVELRHFRIGRFEDNPEGNLAENKVKN >OGLUM09G15850.1 pep chromosome:ALNU02000000:9:19330579:19337576:1 gene:OGLUM09G15850 transcript:OGLUM09G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G51580) TAIR;Acc:AT1G51580] MEISPNSAAAGGAGGAATAAAPTSSSTPSPSAPKRLTTTLRILCPSSRASALRGASRDLHVDQPPVGDEAVLSISGPDAPAVAVRAWERVVGHRVGGDEAAGEEEREVPGVVGCRMLAASGQVGCVLGKGGKTVERMRQESGAQIRVFRNRDQLPPWAAPVDELIHISGNFSAVRKALLLVTTCLQDNPRPDASNFPTGRSFGPPGPVGIDPHSQRGYLPPSMPDYHARNYSSNMAAPGPRFFVEQEIVFRMICLNEMVGSIIGKGGSTIRALQSETGASIKIIEPNSDSEERVIVISAHENSEMMHSPAQDAVLRVHSRISESSMDKSSAVTARLLVPSQHIGCLLGKGGSIIAEMRKITGAGIRIFGHEQIPRCAQRNDELVQVTGSFQSIQDALLHITGRIRDVIIPMKPHPGGGMPPYPPGGNAPPHHPRQEPAPPHPTGGMPPYPMPSFRADRPMGPFDMVDHRPPPPHSMEHMGADRMPYSYGCEQGGGPRPFLDQPSPRPWAPEAPNSEAPRNMPETVPPADFRKGTVAGTNQAATPSNATEVIIPRKYIGFICGANGSDLAEIKKMSGATITVHHPKPGDANALVIICGDPDQTKKAQSLLHAFIFCAGFSVWSYKGFFPGNSPVVTGHRCNCSFVLSEIQRQ >OGLUM09G15860.1 pep chromosome:ALNU02000000:9:19337670:19341449:1 gene:OGLUM09G15860 transcript:OGLUM09G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRTIEEECETVGDDRISALPDDILQQILERLDLPMAIRTSTLSRRWLQLPRLLSHLIIDITHFMPAPPRHTMSFNVDQIMAAYTAAVNNLLLSSPSSSNSNNSNTRIIKRMQLSFFLSEDPSCLCSIGDAVGAIVDAGKIALLKFSLWSDVGKLTLEHCQLLRQRFMSFSQSCPVAFRWLTNLALRNLAFQESDVSHILNTCHNLKFLALCSCVSDFVVLKIDAPHSKLLTLEIVTCEFDRVDLIHLPNLRRVVYWDWCLPNPPIRFGNVPCLHNMSLSCSATNDQTPFRLTELISSATNLTILYRDFQDQMIWIELQGPKLLYPVFSNVRDVYLCNIFYECDLNWTVFVLEAAPRLSNFYLKVPRYQPGTKSQPFIQLDRTVEIIRYLQLCQHPCERNRCEDSAEKVNLLWDQMSSDFKHPHLNLLEITGFAMDDKMINYTRLIMERAVNLKRIRLLDQVPCDKCNVMNGMGSTSSNKWRFPVDQGEKSLIKQKLIDGFSSSAEITIG >OGLUM09G15870.1 pep chromosome:ALNU02000000:9:19343119:19346322:-1 gene:OGLUM09G15870 transcript:OGLUM09G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFTPVGAGQEELGLEATGIESNEIKIADTTEMTKVNKAGVDLPKETAEEIRPCWSKPRSNVQESKGFVTFSLTMGPEYHISQITDAVVIARYLGATLVLPEIRGNELGKRRKFEDMYDVDKFMTSLDGVVKVVHSLPNAVSSKKPAVVRVPNRVTEEFITGTIEPIFQRNNYLRLATIFSSVSLKQKESGNKDLDSTACLAMFSGLQLKPEFSAVAKHMLDKLKEISEKSDGMVIAIDLQTELLEKKICKTNGGARRRGCYYPQEVVHFLKKDDIMPAANKGEFLKSGDSYLARALDLKICSESDVFVPAIPGLFYGHVAGKRIAAGLTNIIVPAPVSSSSALASEFVSTYVSKKSHLAYSCYC >OGLUM09G15880.1 pep chromosome:ALNU02000000:9:19353665:19355198:-1 gene:OGLUM09G15880 transcript:OGLUM09G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVADVPPAAAYGFPGSAKRGKPEEVVVLMGKRRNEGFFIEEEEEEEEEVLTESSSIGAPSPASSSIGENSGEEEGGDDEEEVESKLKAEDEQVGLGCLDALEESLPIKRGLSNFYAGKSKSFTSLAEATASPAAAANELAKPENPFNKRRRILATWSRRASCSSLATATYLPPLLAPDHAVAEGDEGEEEDDDSDDDERQHRGKNGGRRESAAPPLPLPPPRLTLHTQMGGMVRRNGTFRSPRSLSLSDLQNSGGSC >OGLUM09G15890.1 pep chromosome:ALNU02000000:9:19370152:19370529:-1 gene:OGLUM09G15890 transcript:OGLUM09G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCMAAREEDREVELKREMMQYNKAICCKVEAWKVEESIMMDGWMQMQLIMQKKEQQSLFFLLLLQQQAADGVEEGKRRKLENKKETNNNREGRGLFTAILVLQFFFFSSGLHTDEAVNSCSED >OGLUM09G15900.1 pep chromosome:ALNU02000000:9:19371480:19371848:1 gene:OGLUM09G15900 transcript:OGLUM09G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPADDALPALPPIRTALSTPSPPPTTVEVEVSASPSPPKEEVVAEADAEEEEPSTPTSEESRLRPPVVCPPAPRKPLPPRRLAAAAAGKRKSSPVVFVDVPRDLAAVFRSLPPKKRIRAW >OGLUM09G15910.1 pep chromosome:ALNU02000000:9:19372227:19373329:1 gene:OGLUM09G15910 transcript:OGLUM09G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYDLVDFYVFKNDQGNLGRILVLIVLNNFCKKIVLNGLLFSGFSSANMALSLKEQKEKGLDQDRDR >OGLUM09G15920.1 pep chromosome:ALNU02000000:9:19380233:19382017:-1 gene:OGLUM09G15920 transcript:OGLUM09G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANPILLLGPPIAVPDGGGAAAAVQVTWLSGRPLTSGDPCLDFFFQIVPGDTEVARVGDLLDAAWARDPLTALKLVCHLRAVRGLGKADREGFYAAALWMHDHHPRTLAANLAAFAEFGCFKDLLEIVYRVLHGPRDEHKEEEEEGDDQTESEDGRRRRPRLRFKRRCIDHAEAAKARLQKEAQLAQAVLSRYGSDVSFRFLYDGVADTFAELLKSDVEHMRAGENAKIGLAAKWCPSLRSSYDRATLLCEAIARRMFPRESSQEYLFLSDEHYAYRVRNRLRREVLVPLRKVLELPEVYMTAGKWEQMPYARVPSVAMRQYKGAFEKHDKSGVAGFLDEVRTGHARLHVGAAMPHELVAAALKGEHDEAAELQWRRMVSALAAGGRLSNCIAVCGLSSGGDVAKPPGAAAVALGLLISELSQDPWKGRVITFDATQQLHKVCGATLVEKLRSLAAPVRAAPKGSSLNLQGVFDRILTVATYGGLAKDMMVRRVFVLSDMELNASAWRVQDELKTIRSKFTAEGFTAPEVVFWNVGAPASAPVVATEANAAVVSGYSKNLVRLFLEWDGQLTPAAVMADAISGPEYDSLEVVD >OGLUM09G15930.1 pep chromosome:ALNU02000000:9:19383128:19385257:1 gene:OGLUM09G15930 transcript:OGLUM09G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAATVAAARLLGPPVIRAARPPHDAADAASHPFLQLLDANFNPPPPGPPAAFGSKTKATPRKARTENDSATYANSGNPCLDLFFQVVPDTPADRVRGLVAAAWAHDPLTALKLVCNLRGVRGTGKSDKEGFYAAALWMHEHHPRTLACNVAALAEFGYLKDFPELLFRLIHGNDVRKLGKAKAAAHKMRKAREKKAATLAGRKRSRGYGGGSILDAMTPSKPLLSDFVSAELSKSKTKSKSKVKPETSSSNPGAAMEIEKPQEAAQPVAMEVDGKPEKEKGVGGKPEKKEVSKKARKAGKFAVQSLERYYGDRAYRFLFDCVAEFFAELLASDLEQLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYAQLSDEHYAYSVLRRLRREALVPLRDVLQLPEVYMSARRWSELPYTRVASVAMRRYKALFKKHDEDRFAQYLAAVEEGKAKIAAGALLPHEIASAAMRGEEDDVSELQWRRMVDDLRAKGSLRNSISVCDVSGSMSGTPMDVCVALGVLTSELSEEPWAGRVITFSARPQLHMIKGKTLAEKLRFVQRMDWCMNTNFQAVFDQILRTAVDGRLPPEKMIRTVFVFSDMEFDEASTNHWETDYEAICRKFGSAGYGDAVPQIVFWNLRDSTSTPVTSTQPGVAMVSGFSKNLLKIFLQNDGVVNPEAVMAAAIAGEEYQKLVVFD >OGLUM09G15950.1 pep chromosome:ALNU02000000:9:19394922:19397785:-1 gene:OGLUM09G15950 transcript:OGLUM09G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLALRRLMATQPHRRRRRRSDAQAQARNGVITSMAKRKGTLLQGGDSSSQGGKRLRYSGPDLPEDIWRHIHFLVPLRDAARAACISQAFLRSWRHHPNLILTKKTMGLEHKAYRRVGMARDFTSTVHSILKNHSGIGVKRLKLDIIYDHRNLNICYLNNWLQIAITPGIEEITLLLPSKYTFPCSLLSGGNGRSLQYLKLVSCAFRPTASLGFLSSLTKLHLCEVRIKDDELTCLISNSLALKQLELLNCRQIICLKIPCLLEQLSCLNVSLCENLQMIESKAPNLSTFSYISNLVVELSLKQSSQVKTLDIDCYDESNFLCHVITKFPNIVPNLETLTLHSIDERINTPMVASKFLHLKRLEIYFESLDPDKAFPLEYDYLSLVSILDASPVLDTFILCIQQGEMKHDSVFGDASNLRTMPGHKHESLKDVEIIGFCSATSMVELTCHLLENATSLEYITLDTICDVDDLEDIGRCCATNLRKTGECFPLRREMILEAHRGVMAIERYIRGKVPSKVELTVLELCTWCHDLERLDALDKGKLITFPTS >OGLUM09G15960.1 pep chromosome:ALNU02000000:9:19402170:19405151:-1 gene:OGLUM09G15960 transcript:OGLUM09G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4W2] MDPNLERLHVGPDGQLRWPAAAAAALLLEFDPVHLIRRPPRDNPPSLSRAMSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVQPVKMVGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >OGLUM09G15960.2 pep chromosome:ALNU02000000:9:19402172:19405151:-1 gene:OGLUM09G15960 transcript:OGLUM09G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4W2] MDPNLERLHVGPDGQLRWPAAAAAALLLEFDPVHLIRRPPRDNPPSLSRAMSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVQPVKMVGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >OGLUM09G15960.3 pep chromosome:ALNU02000000:9:19402172:19404817:-1 gene:OGLUM09G15960 transcript:OGLUM09G15960.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4W2] MSKQLVSRLLGMFRSRTQVGADKFGNRYFTRVEEVDGVMKEKRWVEFKGSDQDPTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEEKKTGVQPVKMVGHDEVSRSKDGTDTEDATIDTDRSSEPTGTGATFKPGTWQPPT >OGLUM09G15970.1 pep chromosome:ALNU02000000:9:19407179:19421413:1 gene:OGLUM09G15970 transcript:OGLUM09G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDDEALREVAAAVARAQPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHILPASHSLNYVHHDPSAGVPNPGGGGYHPPLPPHGHQPQHHHHPSASYSTGSRRSVGGASDGSMTLERAMSEYGGGHGTLPEYVGAGGGKGIFRVPLRAAMHPARPPPLEVRPHPLRETQAGSFLRTLAAEPERRQLWAGAESGIRVWALDEVFAGWGAGARRGDEESAPFREGMPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPPPGGAGDGGGSVGGSNHGGPSNAPVFKEALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADYSRAKVWTVTSMTFAIWDARTRELLKVFGMDGQVESARLETPVMPEQPIEEEVKVKPSKKDKSQGSLNFFQKSRNALIGAADAVRRVATKGTFVEDNRRTGAVAQAMDGTIWSGCTNGSIILWDGNGNRVQEFQHHTSSVQCIKALGERVWAGYASGIVQVMDVEGNLLAGWTGHSCPVIRMAIGGSYIYTLAHHGGIRGWPLTSPGPLDDILRTELTNKELSYTRMEKINIMVGSWNVAQGKASAESLKSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSANGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVEAAAVPCGFGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVNGNQVDEVRPDLAEADMIVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGLIKFPPTYKFQKHAPGLGGYDSGEKKRIPAWYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIETNEKVRSMLEESSFVPDTTVSTSEIILENQENIVFRITNKCETSKAAFEITCEGQSSKKEDATKSEILPRASFGFPLWLEVQPAVGLIKPGETAEITIHHEDFYTQEEFVDGIPQNWWCEDTRDKECVLTVNIRGSTSTETKSHAISIRHRCPATSAPPPIISNPLSSSAAPPINALASEGPPSKRSSKKRESNHHKREQREQQQQDYAQFGSSEVAEKPSIALSIASALSGGRTVGIIATVGVASGTGKIQGYYRADRIGWTDMMSTRKGSTDVHEFDGMFQGSHAFFKVTSVIGHVLSVDFPPAYQNWEGTDPMDLFVAPVLRSECNPKAHIRRHLAQEARGCTYLVLWLDCDREGENICYEVIDCTGIPKSEVGRRIFRAKFSSVTEKDIMDAMNNLVLPSKDEALAVDARQEIDLKNFVLQFFPLFYIRYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYVIKDGNEIQLEWDRKKLFDFDVTVMFQKMVASDGILKVTDISVKEECKARPPGLNTVNLLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPSSFDFRSALAALAHNPLWSNDVRTLLDTGFVKPKQGHDAGDHPPITPMRLATEEALGTDAWRLYQYICQHFIGTVSPDCRYTRTSIEFTSGGETFHCVGNRVTSKGFTSIMPWLAVSENNIPAYKKGDAVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHVNNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRRFIEQQITLIAKGEADHLQVVQHVLQQFMKKYSYFVKKIENMDALFEAQFSPLADSGRLLSKCGKCARYMKYISTQPMRLYCVTCEEVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYNCPPFEGIDKLFGALKLDDTGKVGKGAGMPCFLCLHPTCKQSMITQGVCACPECTGTLILDPVSAPKWRLYCNRCNCIVLLPHAAHKISTTDKKCPTCESTIIEVDFNKKTTPLKDGATLHEGCILCDELLHSLIEMKHGKSFFMRRGPTVARVGEILTVENFWVVMRLPRGKLLPNKCLISFQC >OGLUM09G15980.1 pep chromosome:ALNU02000000:9:19423398:19425374:-1 gene:OGLUM09G15980 transcript:OGLUM09G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVVLALLLLLAAMAAASVAAAGGCAGEAFSANRAYAACSDLPRLGASLHWTYDRGAGGELSVAFVAAPAAPGGWVAWGLNPAGDGMAGAQALVAVPSSSGAWEVRTYNISGYALGEPGPIAFPASDLAAELGADGRVRVFGTLSLAAYGGAGVLNQVWQVGPAVTGGVPSPHAMGGANLAAKAKLDLLTQTTTAASSSSSAIAKKRNIHGLLNAVSWGILLPMGAILARYLKTFRSADPAWFYLHVSCQLIGYGVGVAGWATGINLGNMSNGITYTLHRNIGIIVFALGTLQIFALFLRPKKENKYRVYWNMYHHSVGYTVIILGITNIFKGMTILGVEQRWKTAYVAVLCLLGVAAIILEVVTWGMVVKRRNAESKTFNSASNGHLPRHV >OGLUM09G15990.1 pep chromosome:ALNU02000000:9:19428496:19429127:-1 gene:OGLUM09G15990 transcript:OGLUM09G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGLVRLVARAVLLTIVAGALAGYGGGRQWRALPARSWAPFRERHHVVVFRAGKLREVADESVDVILFDGDAVQVGVINRIHKFGGVAACFATSKSTLQLPDIAFDVEKTDASMSAAVMTVSVGPHRKLLALLGSKKDALGSGWSRGRAPRAAQKQHRRLRRAEAAPARAFGSKQIRKTEENICKSPKLVEMAVQQYDLV >OGLUM09G16000.1 pep chromosome:ALNU02000000:9:19434449:19439531:1 gene:OGLUM09G16000 transcript:OGLUM09G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATHLRAVLLAVALLVASPAAAAASVCEGEKFPAGRSYATCADLPALGATLHWTYDGKASTLSLAFVAKPPASGGGGWVSWAINPTGDGMKGAQALVAFKGGAGAAAYVVNTYNVTGYKPFPAASTPIAFNATDLAADESAATGKLRLYGKLQLPRGMETVNHIWQVGSTVTGGVPMKHAFAQENLDAKGRLSLAGHGAAVAQEPAPAPAAGGPSSAEAENAVTAASPSPSGKNAAANTHAPAPAALAAALALAGFLAFVSCDFYHVLRDTREVSCDTCKVSDDFYHVSRDTREISCQVSDDFYYVSRDTYEVSDDTYEMAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARLRSQEDE >OGLUM09G16010.1 pep chromosome:ALNU02000000:9:19445021:19446743:-1 gene:OGLUM09G16010 transcript:OGLUM09G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDYVAGLLMSAAAAGLDLGVLDGGGGAFLETLCGGPGFAERAARLCGGGAGLFGLPAVGNAERGGCSREGSSVSDPAWAHATGGGGDNARKRKAPASAAAGKDKDAVVGGGSSPCEVGEAKAPDSKKCKAEVNPKVEEAASDGSVGDRVQKQGKGKNSSKPAAEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKVLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFGNLSTLLQKDMFQSCGPSVNSVFPLESAGTAFPFCDQADFFQSFGLGAMENQCSLDLANTALPHTGSTQYAFQKQQRDLWEDNAFQYNDEQSQEDAVSAPNFDGQLQAADHTEIEF >OGLUM09G16020.1 pep chromosome:ALNU02000000:9:19451558:19452745:-1 gene:OGLUM09G16020 transcript:OGLUM09G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRMYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >OGLUM09G16030.1 pep chromosome:ALNU02000000:9:19453826:19459100:-1 gene:OGLUM09G16030 transcript:OGLUM09G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4X0] MAVPLLTKKIVKKRVKQFKRPHSDRYIGLKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDERNITLLREKEIALMAKPQLLLVVAAAALLLVVAASAKKSGDVTELQIGVKHKPESCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGTGQVIKGWDQGILGMCVGEKRKLKIPSKLGYGAQGSPPTIPGGATLIFDTELVAVNGEPASKSDEDDDDSEL >OGLUM09G16040.1 pep chromosome:ALNU02000000:9:19463384:19468939:-1 gene:OGLUM09G16040 transcript:OGLUM09G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGRKGKEALSGGHLCHVCGYQYPNANPSAKLRRSHRKNCGKAPAADEREEGEEADAAMERNAGEGLLPVRAGGGESEGNAGSPSLGSARGDADLVEVKEIAERLKKAKSMAAFCSSVPPLERDRKLTWHSEMVLFWGTGVKSFVSFHAFIGNTFNEHASPNVTGVQVITGYCSEAGVINCASHSDEITKEVGRPAEREDSLDEYQDASPFLHQPDSEVGAAVAHKSDFSIEEIKNLDSVSPAASVAANEISVEMDGVSKDQLSGQPNMTDLSGESIVGKEVEPTVMLESSDEFSVNVHSDNTYIVDSKPDKTSEFIGDVNGSTSFISDLTSQSTSPIMVESLMEDSMDALHIISEVSPSLEEKAGSANAESVTENSRIDFVQTEDQLMLTNAVNTLTDCSSQYKCVKDTLDAQLPVENPFLGNSVCSLDGHQSDHVVTNMDSMWGSDDEDICSEGIKAKGSELGFSCEENPQHVELVDKADENSSVEKPNGLSEEVVCSKEIGPEVPIIGQVSASQHVALLMDQVSTKNPFILDDTRSDDLFELPTENYHSEAQNVAESKLQVDFTPLPLDQLIIADQTSIAEGQQFVISGDRVPAISSTCGNEPAVGTEDVSVSSTSDPAKNISLHDASVNNSTQEDGEPTSGINFVPSEVFLPAEFSTMPTSQDINALKNDGNEKTPLEDISTKDMTASLSEDNVEEKKETEGTSVKEMNSILKADNVEEEKLTDDTSAERNAMQHIDDAEKKQAADTVSRETSALQNIEERENVEDTGAKGVPAVGSLENADAENQTEDTSAKTECKSDNADNKKQSYDTSTEEMNAKNQAQDTSSKEMNTIQNTSNAEEKKQTEDPAVQEGNKQKEGISPTVAKQSSERVHVPLKVLLAEASVETKEKKTTAKERVLSFRRRVSKDDSSSAKSGSPKPGANDNKFWSSPARLPENNAEKKSKARKQPWMPFICCHSVH >OGLUM09G16050.1 pep chromosome:ALNU02000000:9:19472290:19475780:-1 gene:OGLUM09G16050 transcript:OGLUM09G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMAALLVVVFLAGCHVVAQGRSTAAAHGIGVNYGRVADDIPPPARSVELLRAVGAGSVKIYDANSSVLRALAGTRMRVSIMVPNEIIPGLAASAAAADRWVAENLVPYYPETRVKYLLVGNELLSDYSIANSTWPRIVPAMENLHVSLRRRRISSVKISTTLAMDALTSGSFPRPPSAAAFRPDIAGDVVRPLLRFLNGTNSYYFVDAYPYFVWAGNNDTVPLEYALFQGGGGGGRYVDPGTGLTYTNMLDEMLDAVVHAMAKLGYGGVKLGIAETGWPNGGDYEQIGCNAHNAAIYNRNLAARMARSPGTPARPGAKMPVFVFSLYNEDLKPGPGTERHWGLYYANGTAVYPVDLAGARPLRSYPLLPPPENDAPYKGPVWCVLAGRRGEKLNETAVGDALAYACGQGNGTCDAIQPGGECFRPNTTAAHASYAFNSYWQQLRKTGATCYFNNLAEETTKDPSHGSCKFHSSLD >OGLUM09G16060.1 pep chromosome:ALNU02000000:9:19477242:19483990:-1 gene:OGLUM09G16060 transcript:OGLUM09G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRIGSSLCLTGGLAPRRGEAAADVAALRTARRYTAVVHSECSDHEVSVETTKSQKHHLKKRARLEMRLRRPVIHKDSAILVLNKPTKVPMKGNLPVHNSMDVLAAAALFIGSGRPSSSLLLSGVATGAGVVAGDLALRVTPIPAHKMAPLQGLCGDPKIQ >OGLUM09G16070.1 pep chromosome:ALNU02000000:9:19483988:19485548:1 gene:OGLUM09G16070 transcript:OGLUM09G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSRRMRAVQYDKYGGGAQALKHVDVPIPTPKKGEVLIKMEAGSINQVDWKFQKGVARPFMPNKFPFIPVYDLAGEVVELGRGVSSFKVGDKVIAINFPGGGGLAEYAVAQASRTAPRPPEVLDYATPEGAALRSPSGRRYDAVVHCAAVAGLPWSAFAPVLADAGVVVDLTPGAAAFATALRQRVTFSRKRLVPLFVSPTKEDMELVAGMVAEGKLRAVIESRHPLSRAEEGWARSMAGHATGKIIVEMGDEQ >OGLUM09G16070.2 pep chromosome:ALNU02000000:9:19484295:19485548:1 gene:OGLUM09G16070 transcript:OGLUM09G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGSINQVDWKFQKGVARPFMPNKFPFIPVYDLAGEVVELGRGVSSFKVGDKVIAINFPGGGGLAEYAVAQASRTAPRPPEVLDYATPEGAALRSPSGRRYDAVVHCAAVAGLPWSAFAPVLADAGVVVDLTPGAAAFATALRQRVTFSRKRLVPLFVSPTKEDMELVAGMVAEGKLRAVIESRHPLSRAEEGWARSMAGHATGKIIVEMGDEQ >OGLUM09G16080.1 pep chromosome:ALNU02000000:9:19486376:19495971:-1 gene:OGLUM09G16080 transcript:OGLUM09G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTVQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVRITEDELGCLLLNSLALEGMELRHCNEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISQQQAEQESIFGSSSLMRQIPEHRYEYLKSVTINGFCSTKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGILKEVPKALSAIQKYIAGKVPSTVRLSVLDASGLIAKSAKRKRSHCQQDDDDSQGGKRMRNSVPTLPEDIWCHIHSLMPMRDAARVACVSRAFLSSWRCHPNLTFNERTLGLDEYVFETDFISKVDHILEKHSGIGVKTFNLQVPYELDVCDHVDHWLQFAITPRIEELNLMLYGTVQEYNFPCSLLSDGIANSIRFPDLGHCAFRPTVELGSWRSLKRLCLSFVHITGDELGCVNSFALEWLELIYCDKIASLKIPCTLQRLSYLKVSECSRMRVIESKAPKVSNFYFTGYKVVNTPMLTTKFLYLKYLSISLSGLTVSPSYDYFSLVSFLDASPFLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTNTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >OGLUM09G16080.2 pep chromosome:ALNU02000000:9:19486376:19495971:-1 gene:OGLUM09G16080 transcript:OGLUM09G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTVQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVRITEDELGCLLLNSLALEGMELRHCNEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISQQQAEQESIFGSSSLMRQIPEHRYEYLKSVTINGFCSTKSMVELTCHILENAASLEHLTLNTNLGLANRSEQSPGILKEVPKALSAIQKYIAGKVPSTVRLSVLDASGLIAKSAKRKRSHCQQDDDDSQGGKRMRNSVPTLPEISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTNTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >OGLUM09G16080.3 pep chromosome:ALNU02000000:9:19486376:19495971:-1 gene:OGLUM09G16080 transcript:OGLUM09G16080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGITLEMNRVMPTRRRRQRRRRRRNRHRYRSIAKLGKRKFSPSQQDDDSQGAKRMKNSGMLLSKDIWRRIHCLMPMRDAARVACVSRAFLNSWLCYPNLTFNKDTLGLDEHVCETDFISKVDHILKRHSGTCVKTFKLEVPYELDVCDHVDRWLQFAITPAIEELTLTLYGTVQKYNFPCSLLSDGMADSIRILDLGHCAFCPTIEPGSWRSLKRLCLSFVRITEDELGCLLLNSLALEGMELRHCNEIVSLKIPCTLQQLSYITVSECSRVRVIENKAPNVSSFYFTGNKVKLSLGEWLQVKKLNMRSSRIVRYARATLPSMMPNVETLSIGSLREVFNTPMLPTKFPYLKYLSISLIGLTNSPAYDYLSLVSFLAASPLLETFFLAISKEQMKRESKFRDSSHMRQIQEHRHEHLKSVTIIGFCSAKSLVELTCHILENAVSLECLTLNTTLGFASRSEHSPGTCFPMGKAVLMGVPKALSAIQTYIVGKVPSTNTTSLERLELDTTHGNARCSEDSSDECFPVSQGVLTESPRAVLAIRGYIEGKIPTNVKLNVLEPCSRCHAGGG >OGLUM09G16090.1 pep chromosome:ALNU02000000:9:19498024:19505307:1 gene:OGLUM09G16090 transcript:OGLUM09G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPSCVHIQTQTPTLAHQTHTPRASAAMATTPSPPPSATTMRAVQYDGYGGGAEGLKHVEVPIPSPKKGEMEAASINPIDWKIQKGMLRLFLPKKFPFIPVGDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLAEYAVAPASLTVARPPEVSAADGASLPAAAGSALQQLKAAGVRFDADADAAAAAAGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLAFVRDGLGADEALDYRTPDGAALRSPSGRRYDAVAHCAPPAPWPVFRDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >OGLUM09G16090.2 pep chromosome:ALNU02000000:9:19499999:19505307:1 gene:OGLUM09G16090 transcript:OGLUM09G16090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMAAAADAAPKTMRAVQYDKYGGGPEGLKHVEVPIPAPKEGELLIKMEAASINPIDWKIQKGMLRLFLPKKFPFIPVGDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLAEYAVAPASLTVARPPEVSAADGASLPAAAGSALQQLKAAGVRFDADADAAAAAAGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLAFVRDGLGADEALDYRTPDGAALRSPSGRRYDAVAHCAPPAPWPVFRDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >OGLUM09G16090.3 pep chromosome:ALNU02000000:9:19498024:19500073:1 gene:OGLUM09G16090 transcript:OGLUM09G16090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPSCVHIQTQTPTLAHQTHTPRASAAMATTPSPPPSATTMRAVQYDGYGGGAEGLKHVEVPIPSPKKGEVLIKMEAASINPIDWKIQKGMLRPFLPWKFPSIPACDLAGEVAAVGGGVRGFELGDKVIAINFPSGGGFAEYAVAQASLTVARPPEVSAAEGACLPLAAVTALQALRAAGAGLDDAPPPKNVLVTAASGGVGHFAVQLARLGGHRVTATCGARNLALVAGELGADEALDYATPDGAALRSPSGRRYDAVVHCAPHLPWQVFDRVLAEGDTGGVVVDITPSPAALATALLHRVTFSKKRLTPFMFSPSKADMELLVAMARQGKLKPAVDSCHPLSDAPAAWARSMGGHATGKVVVKIGEEE >OGLUM09G16090.4 pep chromosome:ALNU02000000:9:19498024:19505307:1 gene:OGLUM09G16090 transcript:OGLUM09G16090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPSCVHIQTQTPTLAHQTHTPRASAAMATTPSPPPSATTMRAVQYDGYGGGAEGLKHVEVPIPAPKEGELLIKMEAASINPIDWKIQKGMLRLFLPKKFPFIPVGDLSGEVVELGGGVSGFKPGDKVVSMSFPNCGGLAEYAVAPASLTVARPPEVSAADGASLPAAAGSALQQLKAAGVRFDADADAAAAAAGPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLAFVRDGLGADEALDYRTPDGAALRSPSGRRYDAVAHCAPPAPWPVFRDALADAGGVVVDLTPGVAATVRSFLHRVTFSKKRLVPLILMPKKEEMEWLVDMAKQGKLKTTIDSKYPLSRAQEAWAKSMEGHATGKIVVEMGGTE >OGLUM09G16100.1 pep chromosome:ALNU02000000:9:19505882:19511427:1 gene:OGLUM09G16100 transcript:OGLUM09G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNPDGGRSFARRDLLLKIQSDAQKWWEESKVFEAEPGNKPPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYQRLRGSNVLLPFAFHCTGMPIKASADKLSREVQQYGYPPVFPEVEDDSSAEVADGNQADNAASVVPDKFKSKKSKAASKVGMQKFQWEIMRGFGLPDEEITKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVVPPFPPKLKTMEGRKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFIVTSRAALNLAYQNLSRVPEKPTCLMELSGGDLIGLPLKSPLAFNDIIYALPMLTILTDKGTGIVTSVPSDSPDDFMALQDLVAKPALRQKYGVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFNGRKVQEAKPLIKNKLLEEGTAVLYSEPEKKVMSRSGDECVVALTDQWYITYGETEWKQKAVQCLEKMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTIAHLLQNGNMYGKEISSIRPEQMIDEVWDYVFCDGPAPNSDIPPTLLRKMKLEFEYWYPFDIRVSGKDLIQNHLTFSIYNHTALLPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLRQAIEEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVIAAESSLRAGPPSTYADHVFSNEINIAVIETEKSYNAFMFRDALKLGFYDLQLARDEYRLSCGAAGMNRELLWRFMEVQTRLITPICPHYAEHVWRNIMRKEGFAIKAGWPIAGTPDPTLRIANKYLQDSIVKFRKLLQKQESGSKKPKKGTAPPPSEQNKLTVGLVYVNEHYYGWKEQCLRVLQSKFDSQARSFAPDEEINEALKNCSIGQETNFKQVQKLCMPFIKSKKDEARSVGPHALNLQLPFGEMSVLEENLELIKRQVGLEHAEVLSASNEAARAKAGMHASMLDKTPPSPGEPVAIFMSKLDFEARQ >OGLUM09G16110.1 pep chromosome:ALNU02000000:9:19512773:19521713:-1 gene:OGLUM09G16110 transcript:OGLUM09G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLPEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDFSYIDSWLHKAVTRGIEELTLVLPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLTTLHLYSVRITGFELEYLLSNSPALEWLIMADCKEIVQLKIPSLLKRLHTLFVSRCQMLKVVESYAPNIATFHFWGHVHAVRMLGLLQVKDLEMSCSDQSSILCYALTNLLSNAPNVQKLGISSQTQIVSTQTVPGKYLRLKHLRISLNRSPNFDYLSLVSFLDASPSLETFILRIRDIYIPVGHMGHPWTLGDSEQLRQMPEHRHDNLKKFEVVGSCYTKSLVELTCHILETTSSLDRVKLDTYGYAMLCASGSGRCYPHYTEQIMEACNAALAIRTYIMGKVPPTVKFELVEPCSRCRTPIRDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILETTSSLDRIKLDTCDYVGLCASGSGRCYPHYTEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >OGLUM09G16110.2 pep chromosome:ALNU02000000:9:19512771:19521713:-1 gene:OGLUM09G16110 transcript:OGLUM09G16110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLPEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDFSYIDSWLHKAVTRGIEELTLVLPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLTTLHLYSVRITGFELEYLLSNSPALEWLIMADCKEIVQLKIPSLLKRLHTLFVSRCQMLKVVESYAPNIATFHFWGHVHAVRMLGLLQVKDLEMSCSDQSSILCYALTNLLSNAPNVQKLGISSQTQIVSTQTVPGKYLRLKHLRISLNRSPNFDYLSLVSFLDASPSLETFILRIRDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILETTSSLDRIKLDTCDYVGLCASGSGRCYPHYTEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >OGLUM09G16110.3 pep chromosome:ALNU02000000:9:19512773:19521713:-1 gene:OGLUM09G16110 transcript:OGLUM09G16110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTTDGLTESVAKRKGSPCQQDDDCQDDKRIRSGADLPEDIFWYIHSLMPLRDAARAACVSHSFLRSWRCYPYLMFSEELLRLQESAFSDDERTRNLISKVNHILQNHSGIGVKKLELVFLDSTDVDFSYIDSWLHKAVTRGIEELTLVLPINSNAEYSFPCSLLSDGNGNSIQYLHLSRCAIRPTADLGCLRTLTTLHLYSVRITGFELEYLLSNSPALEWLIMADCKEIVQLKIPSLLKRLHTLFVSRCQMLKVVESYAPNIATFHFWGHVHAVRMLGLLQVKDLEMSCSDQSSILCYALTNLLSNAPNVQKLGISSQTQIRDIYIPLGHMGHPWTLGDSEQLRQMPGHRHDSLKKFEVVGSCYAKSLVELTCHILETTSSLDRIKLDTCDYVGLCASGSGRCYPHYTEQIMEACNSVLAIRTYIMGKVPPTVKFELVEPCSRCPVQ >OGLUM09G16120.1 pep chromosome:ALNU02000000:9:19526131:19527627:-1 gene:OGLUM09G16120 transcript:OGLUM09G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADAAAKGMKLERYASGAGAMLLLRRAASGKVVSASSHLLFRATVLATMALVFLFTFHYPSLLSRSFTLSSGAGAGEGGAAAHASHRSLLMSSSSASASAASVYGGAAWEKEVRRSAKPRKDGGIAVLVTGAAGFVGTHCSLALRARGDGVLGLDNFNAYYDPELKRARQRLLAGRGVLVLDADINDALLLEKLFDLVPFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVTVLEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFAKSIVSGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTSGKSTGSSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVAMPSNGDVPFTHANVTHAAHDFGYRPTTSLDAGLRHFVDWFADYYKLKLDVPKIAAKVAGAGKPSSSSASKKKKKTAAMSASS >OGLUM09G16130.1 pep chromosome:ALNU02000000:9:19538653:19552329:1 gene:OGLUM09G16130 transcript:OGLUM09G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWTSSHCKQLLDQEDVDKVPQADSDRGITPEEFRLVKIHMSFHIWRLAQQVKVRQRVIATAVTYFRRVYTRKSMTEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCASDEKYRFEIKDILEMEMKLLEALDYYLVVYHPYHPLLQGIVNDTYKMDLILIHPPYMIALACIYIASVLKDKDITPWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKIAPVMNKLPSKA >OGLUM09G16130.2 pep chromosome:ALNU02000000:9:19546708:19552329:1 gene:OGLUM09G16130 transcript:OGLUM09G16130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRAVDHRRRRSGRAPAIAAVAVAAEDDGEEHHINPFLEAAPSSSSSRVQFRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYRKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFLLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >OGLUM09G16130.3 pep chromosome:ALNU02000000:9:19546895:19552329:1 gene:OGLUM09G16130 transcript:OGLUM09G16130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTRAVDHRRRRSGRAPAIAAVAVAAEDDGEEHHINPFLEAAPSSSSSRRGSRKVASRAVWVEEAGAAEVVDSKGKLWLTTGVNRDGKLYYNVEEIGFLAERGALVLLDYEGETIGMEEIYRKIAGGKYGCSWDAFQAYKHLKLLGYIIGRYGVPWTVKRSHTYSVTDASTSVVETDQIQSLNRVGGASNDITKLLKEMCIDDMHPSFEVYLPNSKFKKTSPGDPSFLLCLLSNKPPSREELETVENKFEGIPLKFCHVDNGRVSFLSFNKAALPSLP >OGLUM09G16140.1 pep chromosome:ALNU02000000:9:19539740:19546675:-1 gene:OGLUM09G16140 transcript:OGLUM09G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDLSSSSLLTRVEIEINRVSMSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPIFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRIYLPNSDSSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKD >OGLUM09G16140.2 pep chromosome:ALNU02000000:9:19539740:19545781:-1 gene:OGLUM09G16140 transcript:OGLUM09G16140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSGTRRAPRRQSQDGPGDKVVVNLDAISSPVVGSRRAVPTSTGARASPIDVEALDDEVQTLSASQVPPPRRNRRTRRQPVAVVDLEVDASREGNKRQRVAPVIHCLSPERGEGSSLKTSNEPPKAKEPIFNCPVCWNKLEEPSTTICGHIFCTTCIKQAIQIQKKCPTCRKSLRANNFHRIYLPNSDSSLDVPKELLAAAAASSNLIYSEEQEFSHNMKWPVMNELGITGGLKDAIMQFSKEGDTYFLLYEHKD >OGLUM09G16150.1 pep chromosome:ALNU02000000:9:19549043:19552308:-1 gene:OGLUM09G16150 transcript:OGLUM09G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILTVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDV >OGLUM09G16150.2 pep chromosome:ALNU02000000:9:19549278:19552308:-1 gene:OGLUM09G16150 transcript:OGLUM09G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKEGEGKVVVVEEEEEERRLRGALRSLQQEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDVRLLLAAGLGDVLTAVFPVLASRKPANTILTVNRVRRSLVQTIVTMRGFWVSHACYQSDSCFASKSEGSGSTVYNKASDLIDRKQSVKISIGGAQAFREYYPSSNDARTFLECVWVKDKFVLHENTKGNCEKTQAEDQKSCASESAVLYETLGQVSEDMENAEGLNSPMKLPDATLANQPEKTHCHRDEDSQSRRQLVNDNNSNSLSDAVATHVHSTPCPDVKPETKKRVAFIAVGNPKATASSIGTTLTKKQRLDVIPRATAEPEDLYVKPETKKRVAFIAVGNPKATASSSGTTLTKKQRLEVIPRATAEPEDLYGKFSEDTDKSIF >OGLUM09G16160.1 pep chromosome:ALNU02000000:9:19560503:19561111:-1 gene:OGLUM09G16160 transcript:OGLUM09G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAMPPPTRTRRGVTLAEQMAASSNLRDLLKLRDNDDDDDDGGGQGGRRQPRPLPDAVVVAAGRRRTLLDVIRGVDDDDGHDHPPTGVGFAGAAAGVGGRCCVCMARGKAAAFIPCGHTFCRACARELRAGRGRCPLCNAAIHDVLNLF >OGLUM09G16170.1 pep chromosome:ALNU02000000:9:19563725:19568362:-1 gene:OGLUM09G16170 transcript:OGLUM09G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B4Z6] MGKRVKAKAKNPRKAQQQQEPTAAAPSDAGSGDAAAAAAQDSGNSTEEAAAAAAAAASASGREQCGHYGGDSARLDKVLLEIMTSKHFASCEHCRDDAPRKKGGGKEKGGKQQQKKKGGGTKGSAAKAKVEKSDMWVCLDCGRHFCGGEVDVTKPYGHARRHAKQDRHWWAARFDDPTVAFCLSCEKEVSIEMPRIETVAAVPTEVAGAADRDLGLVNSHGSVIRGLPNLGNTCFFNAVMQSLLALDRLRSKMLGPDVPTGALLMSLKKLFMETSASNDVGGALSPKNLFSNICSKYPQFRGFQMQDSHELLRCFLDGLHTEENEARKLADEASSATIPTIVDSIFGGQLSSTVSSTECTHSSVKHDQFLDLSLPVPSRRPPAKSVSSPPAKRNKQSLRDRNKNRRYGKISTRVTPTIEVSNKEKIQTVAEGNNSLIPGSESGQVVSEKEPEPSECSESCASVPNLEQTGTSNVEDGTCWLDYIDDADEAKSEILDSADSIEAGQIWEDKGVIYGPFLPQDDALSKEQVLGSEHSGENPIDDATSSQPVILLPYKEFGSTADEMDGTTENSQKPEDAVAPPAVSPLPEDNAQPASVGDGDQDDYVGLGDMFNEPEVTSEVKKETGTVEDIDVMAWSSNSAEDEVDDSNAPVSVEGCLALFTEPELLSEPWHCELCSDSIACPNTNDGKDDEMATSVNERKDGEEMMAGGDETQDGDKLIANCTEKEGIDQIMATDGCSDNLNSDMNSKEGGCANSSLVGADNSVDANFPENGKVALLKTGSSLVDTTEQADSKAYRREIRDLNNSAVEYTSSSKQPHDSAQHKDEHNVDVASEETTAPECSCDNESASCSTTNKNEAECGVGAEEIVTSSLPSETQRILPGEKDNENVVTRNHGRRKRMKMVGKAHQGQDNQNEQKENGKKVFRSAMRRILISKAPPVLTINLNRFSQDSHGRFKKLKGHVRFKETLDVRPFMDPRSKENDNTTYRLVGVVEHLGTMAAGHYVAYVRTGKIGGRQQRSTGSKSWFYASDAQVREASLEEVLNCEAYILFYERVGD >OGLUM09G16180.1 pep chromosome:ALNU02000000:9:19572060:19579937:1 gene:OGLUM09G16180 transcript:OGLUM09G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRASSPQMGARSRSGSARAARARPGARLKVGDGRAGGAGRRSGGGGGVPSPPLRACDWMKRGGESSSSLGFRARFGEASHILRRRRRRRPTTGDLVLFGGITRAWLTSRSGKEFILRVLSQIWGGLHILLSHSAADSSRAATGDLCTLRRNRESLAKRN >OGLUM09G16180.2 pep chromosome:ALNU02000000:9:19572152:19579937:1 gene:OGLUM09G16180 transcript:OGLUM09G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLRRASSPQMGARSRSGSARAARARPGARLKVGDGRAGGAGRRSGGGGGVPSPPLRACDWMKVAAIRGVRGGESSSSLGFRARFGEASHILRRRRRRRPTTGDLVLFGGITRAWLTSRSGKEFILRVLSQIWGGLHILLSHSAADSSRAATGDLCTLRRNRESLAKRN >OGLUM09G16180.3 pep chromosome:ALNU02000000:9:19572152:19577339:1 gene:OGLUM09G16180 transcript:OGLUM09G16180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQSYSIILGRDSKREKVDLDISGGDLTISRHHAHVFYDFECKRFSLQVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNHNKMPFGELDTCSSHHITIGPTLATTSKLEIILLRKTVQMNRKANNQACMRICLAYFLFLM >OGLUM09G16180.4 pep chromosome:ALNU02000000:9:19576522:19579937:1 gene:OGLUM09G16180 transcript:OGLUM09G16180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQSYSIILGRDSKREKVDLDISGGDLTISRHHAHVFYDFECKRFSLQVLGKCGCTVEGVLHLPGGSPIKLDSQDLLQIGHKKFYFLLPTRSIFGTSSNQHGPSASAAFQPANNGTAADEHNLTASAAAQPAHIGTAAPPPHIGTAQPGQIGIVTLPPAHIQNNAENENIAGIETQEEFMNHNKMPFVNNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >OGLUM09G16180.5 pep chromosome:ALNU02000000:9:19576522:19579937:1 gene:OGLUM09G16180 transcript:OGLUM09G16180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISVMASAGRNRGVGFAKLQGEDFEYFMQINNLAIRPADNNKDQQEVLLKEEEYVLASIGIVISDLCGLKKMIPIEKLHSELVACYSATWPQRQVQMHLAPEAGSSAAGTECKPWLKLMYLLRKYPERFTVMNSSCDEEGRQHRCMLR >OGLUM09G16190.1 pep chromosome:ALNU02000000:9:19581121:19584394:-1 gene:OGLUM09G16190 transcript:OGLUM09G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B503] MLRCHTPPQCRLGAGGAGAGVLLRQRSEVAVRCRAQQVSGVEAAAGTPAARAAVEGGERTSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >OGLUM09G16190.2 pep chromosome:ALNU02000000:9:19581119:19584394:-1 gene:OGLUM09G16190 transcript:OGLUM09G16190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B503] MLRCHTPPQCRLGAGGAGAGVLLRQRSEVAVRCRAQQVSGVEAAAGTPAARAAVEGGERTSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQVRPPPPMPGFLPVSQSYSSLVRFVLSVARFVVESSRIAKCAAATEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGKIGTRRDWILKDLANGEVIGRATSKWVMMNQNTRRLQRVSDDVRDEVFVHCPKTPRLAFPEENNGSLKKIPVLTDPAQHSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSSNGSAFAAPHPEEQRQFLHCLRFAGNGNEINRGRTVWRKLAR >OGLUM09G16200.1 pep chromosome:ALNU02000000:9:19589484:19591284:-1 gene:OGLUM09G16200 transcript:OGLUM09G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWRLFTADQCDAVNRLVACFAVPFFAFDFAARIDPFALSYRVLAADALSKLAVALALAACAAAASTRCCGSGGGKRGGGGFSWCITGFSLATLNNTLVVGVPLLDAMYGKWARDLIVQISVVQTIVYFPLLLLAFEVRRATTAAAPPPPPTGTDDDDVEDGAAAAATAAAARRSLWPLVRAVWLKVARNPNVYAGVLGVAWACVTNRWHVETPSIIEGSVLIMSKTGDKIIVCGAGLTVLGMALRFVAGPAATAVGAFALGLRGDLLRLAIIQAYIHTFTQTSFAKFFFSSFT >OGLUM09G16210.1 pep chromosome:ALNU02000000:9:19594511:19598260:1 gene:OGLUM09G16210 transcript:OGLUM09G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta type [Source:UniProtKB/TrEMBL;Acc:A0A0E0B505] MASWESDQGWRKAQRSEACPFQYSLSSSSSTPATPPPHPEQASRRRRRPSSPIAAMSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYNILTRDHSKICELADKCALASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSALIMPVLDNQLKSPSPLLLPARDAVTPLSETEAVDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIDLRKD >OGLUM09G16220.1 pep chromosome:ALNU02000000:9:19598407:19601319:1 gene:OGLUM09G16220 transcript:OGLUM09G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B506] MAAAAAAKIAPSMLSSDFANLAAEADRMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLAKAGASGFTFHIEVSRDNWQELIESIKAKGMRPGVSLRPGTPVEEVFPLVEAENPVELVLVMTVEPGFGGQKFMPEMMEKVCALRKKYPSLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAAEPGEVISALRKSVEGSQNKS >OGLUM09G16230.1 pep chromosome:ALNU02000000:9:19602005:19607586:1 gene:OGLUM09G16230 transcript:OGLUM09G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B507] MPEKAVDDVMDSAVGAHFSGLRLEALRLSSPSAPSSPSSAKAAAAAAHSNGAVYANGAASADAAELVSPSALRQPFVIGRVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKNHRRSSESFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDVSSVLEQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPHVYVVQTTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQIITPTGSIYMGVEFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPMDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGIQCVCKRFPRLKIVTSEIDTGLSEEYRVIPGLGEYGDRYFGTDN >OGLUM09G16240.1 pep chromosome:ALNU02000000:9:19609324:19612973:1 gene:OGLUM09G16240 transcript:OGLUM09G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B508] MGAARGVLQAALLLAAAAAAFLLVSPAAAAAVNSTSATLDNIQPLSTLNMAAARVAMDAGSAIRASPELLGTNVSITQPRAMQAYEISESHLFFFSPAAGRARIRPGSPSTSRRRRPPTATGSRSSPLPISSKLYKFANISPSFMSSGSGDTSFLLINQRYDYAFGLFSGGKDNPKLVAVSNKISFANPKAPVFPRLSQGKGWNEMAVTWTSGYNVDEAYPFVEWRMNGKENARARRSPADTLTFTRNHLCGKPANAEGYRDPGFIHTAFLKNLWPNREYSYQIGHELLDGTIVWGKSSTFRASPSPGQASLQRIVIFGDMGLGQSDGSNELAGFQPGAQVTTERLIKDLPNYDAVFHIGDLSYANGFLAQWDQFTAQISPVASRVPYMVASGNHERTSRDTGGFYSGGDDSHGECGVPAETYFRAPAAANRGKPWYAADHGMFRFCVGDTEHDWRPGTAQHAFLDGCFAAADRKHQPWLVFAAHRPLGYSSNEYYAREGSFSEPMGRTLQPLWQKHRVDLAVYGHVHNYERTCPVYENTCTAAPAAAGGGGNGSSPAAAYTGALGGTIHVVAGTGGARLRGYAGGEWPQWSAARSESYGYVKLTARDHSRLELEFIRSDDGEVLDAFSITRGYKDVLACAVDACDPHTLAN >OGLUM09G16250.1 pep chromosome:ALNU02000000:9:19613587:19617896:-1 gene:OGLUM09G16250 transcript:OGLUM09G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B509] MAMPLGGILLLFLVLLAAAAAGGGGGVWAFSSSSSSSSYSRIGEQPLSLIGIHRATVGIDAAASVQASPRLLGVKGEDTAWVTVDFAAPHASDGDWIGVFSPSNFNASTCPGPSGSDSGPVICSAPIKYQLANYSSDYGKTGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNKIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAYPFVEWGMKWSPPTRTAAGTVTFDRESLCGEPARTVGWRDPGFIHTAFLTDLWPNKEYYYKIGHMLPDGKIVWGKFYSFKAPPFPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIVFHIGDITYANGYISQWDQFTQQVEPITARVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYKTDYGMFRFCVADSEHDWREGTEQYAFIESCLATVDRKKQPWLVFIAHRVLGYSSGFFYGAGGAFAEPTARQSLQRLWQRHRVDLAFYGHVHNYERTCPVYDGRCASPERSRYSGAVGGTIHAVVGGGGSHLSNFTAEAPPWSVYREMDYGFVKLTAFNYTSLLYEYRRSSDGEVHDSFTVHREYRDVLACVADSCPPTIPPAT >OGLUM09G16260.1 pep chromosome:ALNU02000000:9:19618573:19619826:-1 gene:OGLUM09G16260 transcript:OGLUM09G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGGGAAWLAWLLVVVAAIAAVGHGGGVQPLSRVAIHRARVALDASAAVRASPSLLGAQF >OGLUM09G16270.1 pep chromosome:ALNU02000000:9:19621122:19623125:1 gene:OGLUM09G16270 transcript:OGLUM09G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMLDPKRLMSARQERHRRRRRRQLRPRNSEGAKRTRYSVPSLPEEIWCHIHSLMSFKDAARAACVSCAFRHSWRCHPNLLSSIWFYPSPY >OGLUM09G16280.1 pep chromosome:ALNU02000000:9:19648662:19650588:1 gene:OGLUM09G16280 transcript:OGLUM09G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIWSHIHSLMPLRDAARAACVSRAFRSFWRYHPNLIFRIETPDLNFIKKVDCILKNHSGIGIKSLRFESGIFYNASTSYYLDSWLQIAVTPSIEELTLGILSYNTNYFDSKYDDEYNFPCSLLSDGRGSSMRHLYLSRCSFHPTINLELRNLTRLHLAFVHITGNELGCVLSNSCALERLELNYCYGIICVKIPCLLQRLSHLEVFECRMLQVIENSAPNLGSFHFGINHVQLLLGESLQMKSLSMCYPGAVYYACAELPSNVPNLETLTIGSPHEMVDTPMLPSKFLHLKCLTISLVGMVSQERMGHVSIFGDTLQLRQMPEHHRHGNLQSVKITGFCSAKSLIELTCYILDNTTSLKCLTLDTTRGVSSCSTGEHKKCFPIGKMLTEANRAVLAIETFIERKVPSTVKLAVTKPCSRCHVKS >OGLUM09G16290.1 pep chromosome:ALNU02000000:9:19651210:19651869:-1 gene:OGLUM09G16290 transcript:OGLUM09G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTATVASLPELRALVREVVGECRVQVRSVAALRDAPEMYLALSALSVDYQWQVEDGDLERLADVAELARVLDLMLKVHIPQLKLQLVDVDLRRGLLNDLVGFRVRGSLAIHALTQDMADDVLAASPMPTAGSGALLLQTHSRLTELLLDRLLLHSMQARALALRIGHPISTSVQALDELLHNDIANGVRADRLPEATPGQLDRALHRITNMLGSPV >OGLUM09G16300.1 pep chromosome:ALNU02000000:9:19652198:19660474:1 gene:OGLUM09G16300 transcript:OGLUM09G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQKTKEVEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKILLFCICEEEGPMIEEYAFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDTEVRPSEAERYIVAPNDDTQDPAHEEELTAQVREWICSRDTESLEVSDVLVNFPDISMIADPTTPHIKKEVIMQNVSPTEGTKNSNGDLMYMKALYHALPMDYVSVGKLHGKLDGEASQNMVRKLIEKMVQDGYVKNSANRRLGKAVIHSEVTNRKLLEIKKILEVDIAEQMAIDTNAEPGEPERKDHLSGHEMRDGSTMGCLKSVGSDLTRTRELPEPQQNVSMQSGQEASTVDKDPSRTPTSVREQASVCSLESGVLGQKVRKSLAGAGGTQCSQDKRFRKASTVKEPILQYVKRQKSQVQVQVQ >OGLUM09G16300.2 pep chromosome:ALNU02000000:9:19652198:19660474:1 gene:OGLUM09G16300 transcript:OGLUM09G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAQKTKEVEITEQDSLLLTRNLLRIAIYNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKILLFCICEEEGPMIEEYAFSFSYPNTSGDEVAMNLSRTGSKKNSATFKSNAAEVTPDQMRSSACKMIRTLVSLMRTLDQMPEERTILMKLLYYDDVTPEDYEPPFFKCCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNVNSEDDNMSLDNESDQDNDFSDTEVRPSEAERYIVAPNDDTQDPAHEEELTAQVREWICSRDTESLEVSDVLVNFPDISMIADPTTPHIKKEVIMQNVSPTEGTKNSNGDLMYMKALYHALPMDYVSVGKLHGKLDGEASQNMVRKAVIHSEVTNRKLLEIKKILEVDIAEQMAIDTNAEPGEPERKDHLSGHEMRDGSTMGCLKSVGSDLTRTRELPEPQQNVSMQSGQEASTVDKDPSRTPTSVREQASVCSLESGVLGQKVRKSLAGAGGTQCSQDKRFRKASTVKEPILQYVKRQKSQVQVQVQ >OGLUM09G16310.1 pep chromosome:ALNU02000000:9:19661996:19665658:1 gene:OGLUM09G16310 transcript:OGLUM09G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKRGAAPAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLLDLRRALRHPSSAARSRLWCPFCSADLVDLDSRFACSNAIYHLASQDHLNGMKAFLQKHGGGMDQVDSFRISEDELAKWEKCCESSSTEQETSTEGTACQGSSSFGSIPYSAPFETFGVPIIPCGSVALHEQQVMLGTDLFQSAGTKMKGGQLTILGNGPNSSVSCSVHVQQRNSGGNSSQGLKANVHTGAPPPWLEASERDQENESLSGYARPSSRKGKSGKLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGGVWQSGTRKESRKEFEKNHKPHEEKSNKLSLEMKPYISKRMFC >OGLUM09G16310.2 pep chromosome:ALNU02000000:9:19661996:19665658:1 gene:OGLUM09G16310 transcript:OGLUM09G16310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TITAN-like protein [Source:Projected from Arabidopsis thaliana (AT4G24900) UniProtKB/Swiss-Prot;Acc:F4JRR5] MPPKRGAAPAAAFEYCELCRRNHDQGRRHRYFPAHRAALAAALSRFRSKLLDLRRALRHPSSAARSRLWCPFCSADLVDLDSRFACSNAIYHLASQDHLNGMKAFLQKHGGGMDQVDSFRISEDELAKWEKCCESSSTEQETSTEGLIGPSLGPLKDIQNKSTSKHLDNFAETYIPSSSNTASNVVMPLQSPTNGAYYPNSTACQGSSSFGSIPYSAPFETFGVPIIPCGSVALHEQQVMLGTDLFQSAGTKMKGGQLTILGNGPNSSVSCSVHVQQRNSGGNSSQGLKANVHTGAPPPWLEASERDQENESLSGYARPSSRKGKSGKLNPKRVGAAWAERRRAEMEMEKRGELVPETSDSSWLPNFGGVWQSGTRKESRKEFEKNHKPHEEKSNKLSLEMKPYISKRMFC >OGLUM09G16320.1 pep chromosome:ALNU02000000:9:19676817:19681610:1 gene:OGLUM09G16320 transcript:OGLUM09G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDLKMPVSWDLAELEHNAVPNMAAAASAAEPGIAAVAASRGAPGRPECSVDLKLGGLGEFGAADALKEPAAAAKAPVSSAAAAASVAKVPPSTSTLKRPRGGGGGGGGQCPSCAVDGCKADLSKHRDYHRRHKVCEPHSKTPVVVVSGREMRFCQQCSRFHLLGEFDEAKRSCRKRLDGHNRRRRKPQADSMSSGSFMTSQQGTRIASFTPPRPEPSWPGIIKSEETPYYSHHHHPHPVMTSRQPHFVGSPSSPTTAAFSPKEGRRFPFLHEGDQISFGGGGGAAAAATLEISVCQPLLKTTVVAPPPPESSSSNKMFSSDGLTTATTTTTTAHHHHHHHQVLDSDCALSLLSSPANSSSVDVSRMVQPSPAAAAGAEHHHHHHHHQIPMAQPLVPNLQQQFGGSSPWFASSAAAAAVAGGGFACPSMDSEQQQQQQLNAVLVPGSNENEMNYHGMFHVGGEGSSDGTSPSLPFSWQ >OGLUM09G16330.1 pep chromosome:ALNU02000000:9:19686593:19693840:1 gene:OGLUM09G16330 transcript:OGLUM09G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSGQSMTRTLERYQKCSYGGPDTAIQNKENELVQSSRNEYLKLKARTQHMLDQLTDLQRREQMLCEANKCLRRKEKLEESNQLHGQVWEHGATLLGYERQSPHAVQQVPPHGGNGFFHSLEAAAEPTLQIGLTD >OGLUM09G16340.1 pep chromosome:ALNU02000000:9:19696581:19698846:1 gene:OGLUM09G16340 transcript:OGLUM09G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTWRLAVLACLCAAAAAAPAEAKTHHHTWNITYQYKSPDCFRKLAVTINGESPGPTIRAAQGDTLVVTVHNMLDTENTAIHWHGIRQIGSPWADGTAGPGTYMYHAHYGMQRVAGLDGMLVVSVPDGVAEPFAYDGEHTVLLMDWWHQSVYEQAVGLASVPMVFVGEPQSLLINGRGVFNCSPAAASNGGGAACNAFGGECGWPTLFTASPGKTYRLRIGSLTSLASLSFEIEGHTMTVVEADGYYVTPVVVKNLFIYSGETYSVLVTADQDPRDPTKTAPGRAVVRYASAAVDHPRTPPPTGPRWNDTASRVAQSRSFAALPGHVEPPPARPDRVLLLLNTQSKIDNHTKWAINGVSLSFPATPYLVAMKHGLRGEFDQRPPPDSYDHGSLNLSSPPASLAVRHAAYRLALGSVVDVVLQNTAIPPPNGRSETHPWHLHGHDFWVLGYGEGKFVPEVDGPGLNAASARGGAVMKNTVALHPMGWTAVRFRASNPGVWLFHCHLEAHVYMGMGVVFEEGVDVLPRLPASIMGCGRTKGHHY >OGLUM09G16350.1 pep chromosome:ALNU02000000:9:19704245:19706915:1 gene:OGLUM09G16350 transcript:OGLUM09G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSATAAAEAAEADQSRAMYELCALLLTVLRAWPEEGGGRGAAAWPRQVTAAGVASMLLGASVALMLCGSVTFMLGFFLMPWVIGLACVFLLVGFVTNLSVIWRAILWPASCSSSPKVASTCILDMT >OGLUM09G16370.1 pep chromosome:ALNU02000000:9:19712289:19714894:-1 gene:OGLUM09G16370 transcript:OGLUM09G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLRLGFLLVLCILAFLRPAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKAETPWHYTEDGVDLAVRDPNGNQIHDSRDKISDKFEFIVHRRGVHRFCFTNKSPYHETVDFDVLVGHFSYYDQHAKDEHFSALFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKAFFESAALIAASVVQVYLLRRLFERKLGTSRV >OGLUM09G16380.1 pep chromosome:ALNU02000000:9:19717495:19719403:-1 gene:OGLUM09G16380 transcript:OGLUM09G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRGGRAPVPAKKKTEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >OGLUM09G16390.1 pep chromosome:ALNU02000000:9:19724824:19744527:1 gene:OGLUM09G16390 transcript:OGLUM09G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAISGVASASQFLPKMKTISYLNKTKLNYTRVRSQDLQITFACHLSDQSLVCCTAARAGGHYYYVLRAIPMSSSVCKGIQKVEQITQESNKEQLSMQKNAKQMNRMCINRCPFQDGRTTEDGRKKALCIYPKNSESIEVAGCSPPPPPPAAPPPPPRDGGTYILPTCPTTTTSPPPLLLPLRLLQAPPHLANHSNLLLLLNCHHLKQQAANPTEISPPKHLLQHRQRSRDHEQEAAAAAGDAEAGWRSKRGEEEEMNWRGEEKRECTLSGDANGSGSGSGGSPGGWWWARGRSFFAAVCGGGARVAVSVGVEAGWRVESPPAQR >OGLUM09G16400.1 pep chromosome:ALNU02000000:9:19725149:19725565:-1 gene:OGLUM09G16400 transcript:OGLUM09G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKKLAMAERGRRGLIMKTLDRCRPPAWRRPAEGCLSVYVGAARQRFVVRTASVNHPLFRPLLEEAEEAFGYAAAGPLQLPCDAAVFARVLEQIEEEEEETAAAGDVAARRCGLAARGHSAYRLLVPGGRPALAGRS >OGLUM09G16410.1 pep chromosome:ALNU02000000:9:19727326:19729228:-1 gene:OGLUM09G16410 transcript:OGLUM09G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAAAAAMAVFLAMALVLSGTEARFLSNNITVVGSVYCDACSNNTFSKHSFFLKGARVLIQCSFKVNSTMAEELSLEAERTTDQNGVYKLDVPAAGGFDCREGHDLRSACRATLVRSSSAACNVPGLRGSTQHIALRSRATNACFLNLNALNFRPAKRDAALCHGGDGAGAGGGAAFGSSLFFWPFLPLFWPPYRLPGGGGGTVSFPWPFPVPDWLVPFLRPPFLPFTLYQPAPAGSAPPPFYRFPPSQEASPSQP >OGLUM09G16420.1 pep chromosome:ALNU02000000:9:19730144:19732281:-1 gene:OGLUM09G16420 transcript:OGLUM09G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0B528] MDHLWASVFGILGNIVSFLVFLAPMPTFLRVYRKKSTEGFSSVPYVVALFSCTLWILYAMVKTNSSPLLTINAFGCVVEAAYIAVYLIYAPRPARLRALASFLLLNVAAFSLVVVVTVAAVAQPHRVRVLGSICLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMALYFKYRKPNTAAGGVMILPTTAAAAAVDGAVAEPAAAAQQLAEELEMELAAAGAHAVAVLPASALPVLAELHKMEQEIGTPRKGATKTV >OGLUM09G16420.2 pep chromosome:ALNU02000000:9:19730144:19732281:-1 gene:OGLUM09G16420 transcript:OGLUM09G16420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0B528] MDHLWASVFGILGNIVSFLVFLAPILCACRWGPKFQTNGWCRPTFLRVYRKKSTEGFSSVPYVVALFSCTLWILYAMVKTNSSPLLTINAFGCVVEAAYIAVYLIYAPRPARLRALASFLLLNVAAFSLVVVVTVAAVAQPHRVRVLGSICLAFSMAVFVAPMSVIMVVIKTKSAEFMPFSLSFFLTLSAVAWFFYGLFTNDLYVTLPNVGGFFFGCVQMALYFKYRKPNTAAGGVMILPTTAAAAAVDGAVAEPAAAAQQLAEELEMELAAAGAHAVAVLPASALPVLAELHKMEQEIGTPRKGATKTV >OGLUM09G16430.1 pep chromosome:ALNU02000000:9:19736518:19740912:-1 gene:OGLUM09G16430 transcript:OGLUM09G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B529] MAYSTTGIILPRFYFIFKNRAGLPGGDSTRQPASTPTETATRAPPPHTAAKKLLPRAHHHPPGLPPLPLPLPLLRVPRQRALPLLLSSPIHLLLLTTLASPSRLRITRRRRRLLLVVAAALPVLQEVGRMYVPPSRGGGGGAAGGGGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVQVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >OGLUM09G16430.2 pep chromosome:ALNU02000000:9:19736518:19740912:-1 gene:OGLUM09G16430 transcript:OGLUM09G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B529] MAYSTTGIILPRFYFIFKNRAGLPGGDSTRQPASTPTETATRAPPPHTAAKKLLPRAHHHPPGLPPLPLPLPLLRVPRQRALPLLLSSPIHLLLLTTLASPSRLRITRRRRRLLLVVAAALPVLQEVLRGGDLGGVCCLLLEVVAVEEEEEVAVVGEEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVQVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >OGLUM09G16430.3 pep chromosome:ALNU02000000:9:19736518:19740362:-1 gene:OGLUM09G16430 transcript:OGLUM09G16430.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B529] MYVPPSRGGGGGAAGGGGGGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVLVFCIFVARHLINDFPDHWGVSVMVVVVVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPESIDGGSDMGNGQTPQQLRLPRTKDVFVNGVIVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGRRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAENSTIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGMVNNFLEIFCTAIPPSKNNFRARVQVDQGLQQTRTPARGFMSPNMGKPVGDLELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSLPGELVEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTEANWGSISSGHGTTSSTH >OGLUM09G16440.1 pep chromosome:ALNU02000000:9:19745078:19747223:1 gene:OGLUM09G16440 transcript:OGLUM09G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLRGRGWGGERDEGGGSMYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARKEPGGGGGEEQEHVVVARVLAALKPRDDCGGGGGEGKAAAASLPKKHLAGASCSSAMSLYRHQWGRPSPPPAAAGPKILPPLHLLQQQQAAASGSRAAAAELEQERRKAAELVHMLHAVMLRDRAADAMPPPTPCYYAPYYHHGGGVAPTRPFAGAAGFHAPPAVSVRSVIPVCAAPPSPRPPARKEDDPATSSKRA >OGLUM09G16440.2 pep chromosome:ALNU02000000:9:19744857:19747223:1 gene:OGLUM09G16440 transcript:OGLUM09G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFSLPSYVCTREGPDHAPRFKATVTFNGETFDGPSNCTTLRQAEHAAAEVALARLSLRGPSSSLTARVLDETGVYKNLLQETAHRAGLKLPVYTTVRSGPGHSPVFSSTVELAGMSFAGDPAKTKKHAEKNAAMAAWSSLKQMPEARKEPGGGGGEEQEHVVVARVLAALKPRDDCGGGGGEGKAAAASLPKKHLAGASCSSAMSLYRHQWGRPSPPPAAAGPKILPPLHLLQQQQAAASGSRAAAAELEQERRKAAELVHMLHAVMLRDRAADAMPPPTPCYYAPYYHHGGGVAPTRPFAGAAGFHAPPAVSVRSVIPVCAAPPSPRPPARKEDDPATSSKRA >OGLUM09G16450.1 pep chromosome:ALNU02000000:9:19760241:19771923:-1 gene:OGLUM09G16450 transcript:OGLUM09G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLLSRLPAAAAAASSTSRLLRPLAAAGSLLPAALAPSAPRAAAAAARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVVEPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHHAQGNVLQTTSPMHQIRKAIQTISRAVRLATKVDPLAIKVATRATKVHPHPHHLLTKATTLDTREEGQVTRAATRLLTKVATLAMHQATMAKEATLATSKAAITTMPVRRLMRGTDKGGITSWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFME >OGLUM09G16450.2 pep chromosome:ALNU02000000:9:19760110:19771923:-1 gene:OGLUM09G16450 transcript:OGLUM09G16450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASRFLLLSRLPAAAAAASSTSRLLRPLAAAGSLLPAALAPSAPRAAAAAARCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVVEPPPGDPSNPEPTRDEIIDGYIKTLAQVVGSEEEARHKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRNFERRRENMQNFQNRDVPPGQGFNSPPPPGQGPVPPRDAPPMHHAQGNVLQTTSPMHQIRKAIQTISRAVRLATKVDPLAIKVATRATKVHPHPHHLLTKATTLDTREEGQVTRAATRLLTKVATLAMHQATMAKEATLATSKAAITTMPVRRLMRGTDKGGITSWRMSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFMETQNVSKY >OGLUM09G16450.3 pep chromosome:ALNU02000000:9:19760239:19783581:-1 gene:OGLUM09G16450 transcript:OGLUM09G16450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKKKANGAAIANTSTLFQMLQLFQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDLAVARGKKGLGDGTVGMFSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAEKKIMESKKELLLKDVARANSIEVSILKDRLYKLDSEKPRPYGTIQAVREVYRESGIRGFWKGLIPTLIMVCNPSIQFMIYETLAKRLRSKRSGKELPKKNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMIRYEGLHGFYKGMGTKIVQSVFAASVLFME >OGLUM09G16460.1 pep chromosome:ALNU02000000:9:19797081:19800545:1 gene:OGLUM09G16460 transcript:OGLUM09G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPGQQLPPGFRFHPTDEELVVQYLRRRALSRPLPAAVIPDVHDAAVLDPWDLPGAGDGEAYFFSFRQLAAASGGGGWRRRRAGSGYWKATGAEKPVFLRGFGCGGGGGGQHLVGVKTTLLFLRAKPPSRTHWVMHEYRLAAAGAVAVAAAGQTKRGNHSCMAQPGEWVVCRIFLKNNRSSRRRAGDADGETPVTGVHGHRRRQPSPSPSSSSCVTAEVSDGEGEEEVSSGSINGAPSASQREA >OGLUM09G16470.1 pep chromosome:ALNU02000000:9:19801070:19807487:1 gene:OGLUM09G16470 transcript:OGLUM09G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAARRQLGSGPMLGQVLRRLRPATAAAADAARAYSAAAKEMTVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIAVGAAYQGLRPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEVLDSSFALPIGKAKIEREGKDVTITAYSKMVGYALQAADILSKEGISAEVINLRSIRPLDRATINASVRKTNRLVTIEESFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >OGLUM09G16480.1 pep chromosome:ALNU02000000:9:19808065:19815042:1 gene:OGLUM09G16480 transcript:OGLUM09G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRGGHSPAAAAAANELLAASQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQTVTANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDHRPLSGVTESVSKT >OGLUM09G16480.2 pep chromosome:ALNU02000000:9:19808065:19815042:1 gene:OGLUM09G16480 transcript:OGLUM09G16480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLRKLRGFALQRHEQRVDRRGGHSPAAAAAANELLAASQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKAQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKHQCDEKRELFEFLLNAQKEKGRSKNAKSDIGASEQLKQAQDDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALDEEDYSVDEENEDDYNDSHEDLSFDYGENKEGTEAGHASRSPTEELLDRSKAEYSSFPGERQRSGSQSAPLFPEKKLEAAERIKELRRSATRKLNTYVLPTPNDVRDTSQTVTANPTSGSPLGNKGAFYSSPLQPSTNVGDLRDNKLPSPTRLSNAHSVLKESNTNTTDTRTMLVLPLGDLSLPGYHDSKASDNKKVKRGSFSGPIVPRSRSTENIDVVSVPPRHSSSHQPSIHVRVSPNTSPPLLSSPKIKELHELPRPPANASKHTTFPSLVAHSAPLVPNSAPLAPRGQDHFRPRQTPPSAPQTASPLPTPPGPISRSFSIPSRGMRTSGISDGKETEDLQDKGPARMSLSSLPSAQTSLEDHRPLSGVTESVSKT >OGLUM09G16490.1 pep chromosome:ALNU02000000:9:19817882:19825554:1 gene:OGLUM09G16490 transcript:OGLUM09G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPAIKEEVLPTMSASLIVRPHFAGNSTCHLGKLPAESSGNRASPCSSISDFKRNDVLDSLNGFDGNFRASHAAYGPAGFQGLKPDTGDVGSRSGPKLGSNVQMPAMRIVGFESGFANSTGGPDTMVADNTDSPLVIDNCHSLIEQHGPHARKRVLSPLNNALPGHFRGDALNIGSGDAKIQHSDCARRLYTSGFQDRKKANTAILDSFEAPTWPASRYSNWSTEQGVDKFSGSTFTDGPLLESRESFPCSDHLEALESVAVPLAKLAHPPLLNLSPLGPTWMHGTNTVGSHGESLRETEGSTCEGYSEGHGRSRIRDAFEKTNILHDDFDMRIPKKSSDRKSQNWGPESASVSPRIGCIRSIGLLPVRRSLIGSFEESLLSGRYSCGKDNQNIDGFLAVLNVTGGNFSPPTQKLPFSATSIDENSSLLYYSSIDLVGRLPMSSSKSPKLKRSLSNHDSRSAKSRLRIPVKGRVQLVVSNPEKTPLHTFFCNYDLSDMPAGTKTYTLETFMRQKVTLFPVSPSNQKKEGSKANETKVESVQFGSELRECGTLFSECCRPGQNCNLNDDSEKGGRKNMTCCSMECDIRESNDSSSLETSENGSSTNVCCCQSDTFPLGEKKYCCRSSKINDPAGGALRYALHLRFLSPFAKKPSRSMQRSKSDVSSEPYNHSSGPEEHRRFYLYNDVRVVFPQRHSDADEGELRVEHDFPADPKYFDISN >OGLUM09G16500.1 pep chromosome:ALNU02000000:9:19823898:19829058:-1 gene:OGLUM09G16500 transcript:OGLUM09G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPATVAAAPRPLLPGLPRRGTTAPPRGRWSASAAAASRGVAAEAARRGVREYVEAAREMVRRPDGGPARWFSPLECGGGGGRRPGAPTMLYLPGIDGVGLGLIRHHERLAKMFDMWCLHIPVEDRMSFEGLVEYVESAVKSEGQRARDRPVYLVGESVGACIALAVAARNPDIDLVLILVNPGTSFHKSQLQSLSVFLDLVPEPFHLTTPQLLNFLTGNFMKMPSTIVGRGFSFQEAGQALSEIATSLLPSLMSLLDVLPKESIVWKLKMLRTASSFVNSRLHAVKAQTLVLASWNDELLPSREEAERLRDALEKCRIRNFKDNGHKILLEAEFDLATAIKGAGYYRRSLQTDFVSDYLPLTPDEFQKATDHIRMLQYIANPVMLSTLPDGRIVRGLSGLPKQGPAVIVGYHMLLGFELGPLVTGVLRSSGIHIRGLAHPFMFDKKNEKIMPDPSYYDMHRIMGAVPVTAGNFYKLLAEKHFVLLYPGGAREALHRKGEEYKLFWPEQSEFVRMASRFGATIIPFGVVGEDDICDMLLDYDDLMKIPFYDILDRMLNEDGVKLRTDSTGELKYQRIHPVVAAPKIPGRFYFIFGKPIETRGREKELRDKENAQHLYLNVKSEVESCMKYLKEKREKDPYRNILARLLYQMVHGLDAEVPTFEP >OGLUM09G16510.1 pep chromosome:ALNU02000000:9:19831691:19834410:-1 gene:OGLUM09G16510 transcript:OGLUM09G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRCCDYCGEAAAALHCRADAARLCVACDRHVHGANALSRRHVRAPLCARCEARPAAARVAAVAGAGGCGGGGEARFLCAGCTDDDGAEAARVPVVGFSGCPGAAELAASWGLDLGGGGGRDEFEEDPFFPEAGYPMLAADRVLRDMYVPCDPPPEVAAGGRGRRLKGDSLCHQLAELARREMESAPAQANSGSISPSARRGSAAAIRHEAAAAAAAQRATLPYKSTPVTEAAGCGDVGNGEQFTDDNELVWQRTAPSDPPCQIWDFNLGKSRDHDEHSALELHFGPKDGGFMIKSYNDMIEEVSSSSRKDLQYIYDSTYSFATEDIVSANIYQLTPKQLSTATSGNRRHKNEQHGLTNDGPSSSRIVDVDRTLNSSPEEVAAVLAGENCITDQTVTGADQRNSLKIDSKTIAMNRDNAMQRYDKHIRYESRKMRADTRTRVKGRFVKATDIFNVGGGDGG >OGLUM09G16520.1 pep chromosome:ALNU02000000:9:19836460:19837512:1 gene:OGLUM09G16520 transcript:OGLUM09G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSASDKPREINQQMQARSRLQRCVLPELPVDEDEQTKLVIDRCSDDSHSCRLQLMHWCIC >OGLUM09G16530.1 pep chromosome:ALNU02000000:9:19857569:19861170:1 gene:OGLUM09G16530 transcript:OGLUM09G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPAATLGIKLPFLGLIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLEDGWNNIQLNLSDLTKRAYGTNYVETLRVQVHANCRLRRIYFADRLYSEEELPPEFKLYLPIQKA >OGLUM09G16540.1 pep chromosome:ALNU02000000:9:19896088:19897623:-1 gene:OGLUM09G16540 transcript:OGLUM09G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSQLPQQMEHGLITNNGFLFCHGSHGGAATTTAPAIPEDASMETSSVVLDTSPQDKKRKPREEDTASLNSAHSKEAKENGRKRGGKKHSRDQMEEEAPQGFIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASLSPVLYGFGIDSDAFSDHSQKMEGMFHEAVAIPASVLNRGSSPAQSHAIMDTSNTSPTPYTLQVQGGSNNNSLSQDNGSYIMQTVGEPRQELFNQVVLNNYMCSFQ >OGLUM09G16550.1 pep chromosome:ALNU02000000:9:19906635:19913498:-1 gene:OGLUM09G16550 transcript:OGLUM09G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEDMLPSSSSVDHGDNSRADGLENTSHNLIFSKPKHSDHIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNLALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCIEGLSLLHLACRVADVGMVELLLQYGANVNSADSRGRTPLHHSILKGRHMFAKLLLSRGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >OGLUM09G16550.2 pep chromosome:ALNU02000000:9:19906635:19913498:-1 gene:OGLUM09G16550 transcript:OGLUM09G16550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTRLDDSPMFRKQIQSLEEGSELLRERCLRFHKGCRKYTEGLGEAYDGDIAFASSLEAFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVENMLNDKLLQFVDIDLHDVKDARKRFDKASLLYDQARERYLSLKKGTRTDVATAVEDVCSFYHLSSYLYLESRITASLLIYMSHNQELHSARSSFEQARFNLVTALSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGINDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYTNQRSSAPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLSSPKGSGHNRTASESSSFSSSTELDHSISEDCMLERNSGSGYFDHSGRAIQHHRTSMKPDKPIDLLRKVVGNNICADCGAAEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNTFANTIWEDMLPSSSSVDHGDNSRADGLENTSHNLIFSKPKHSDHIAVKEKFIHAKYAERDYVRKLNMDDSLVAQQMWENVSSNNKKGVYSLIVGSNADVNLTYGQTSFNLALTLGKALLLQEQPTSPSDGSSRCFDRSSLERISPRDSLSLASTSARIDELDDCIEGLSLLHLACRVADVGMVELLLQYGANVNSADSRGRTPLHHSILKGRHMFAKLLLSRGADSQATDRDGRTALQYAIDSGTIDDEEILVLLEDPSR >OGLUM09G16560.1 pep chromosome:ALNU02000000:9:19925820:19927088:-1 gene:OGLUM09G16560 transcript:OGLUM09G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRLPPSHRQASERDTAEEREGETAVRGRRTWRRGDAGAGGVGAVQRRRVSGSLAGAEVAVEEELSLVLAAVPADARRLADTPCIKRGLESPSAWKSPWFVDMQFQGSYFVSPADRTYNALGFVKQINVQTAAALAEAREVLVSGGQSENINSGKENLESPNAKKEPGATTKLQAKIKRRS >OGLUM09G16570.1 pep chromosome:ALNU02000000:9:19930173:19933646:-1 gene:OGLUM09G16570 transcript:OGLUM09G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYVLLKRLQRERRHRRRSRHALVPDESIASPEKRKGSCYQQDDSPRSGKKMRYSGNVAAYTFPLMPMKDAARAACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMEKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFRPTAEIGCFQSLTRLHLEYRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLLELTYHILENTSVECLTLDTSFESFRCSPGKPGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >OGLUM09G16570.2 pep chromosome:ALNU02000000:9:19930173:19933646:-1 gene:OGLUM09G16570 transcript:OGLUM09G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGYVLLKRLQRERRHRRRSRHALVPDESIASPEKRKGSCYQQDDSPRSGKKMRYSGNVAAYTFPLMPMKDAARAACLSSAFLYSWRNRPKLSFSTETMGIVEGTTDFIRKIDRVMEKHSGIGVKALTIEFNGLFSTKARSYLERWLQIAVTPRIEELSLSMSKGKAYYDFPCSLLSDGSGSSIRLLDLYCCTFRPTAEIGCFQSLTRLHLEYVRITGDELGCVFSTSFALEWLKLRLCRHIKYMKLPCVLQRLTYVEVRGCSRLRVIENKAPNLHSLHIFYQAYHPIQLSFGESSLVKNLSIGYSSVLNHACAELPYIFPNLETLTIRSLGEMVSTPMVPNTFLHLKYLCITLSAVTLSPSYDYLSLVSFLDACPSLDTFIVDVSAKHPENDSIFENPSHLRQLPEQRHDNLRNVKITGFRSAKSLLELTYHILENTSVECLTLDTSFESFRCSPGKPGRCSRMPKDDLMEASKALFAIRTYIEGKVPSTVRLNVVEPCSRCHVMEPFTVETRK >OGLUM09G16580.1 pep chromosome:ALNU02000000:9:19945660:19950863:1 gene:OGLUM09G16580 transcript:OGLUM09G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRINVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEVGSTFFSSWTTYQSAFTHKSIRRTQHFDKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKVADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >OGLUM09G16580.2 pep chromosome:ALNU02000000:9:19945660:19950863:1 gene:OGLUM09G16580 transcript:OGLUM09G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLPALLIGACAFAAAAVAASGDGCRAGCSLAIAAYYFSEGSNLTFIATIFAIGGGGYQALLPYNPAITNPDYVVTGDRVLVPFPCSCLGLPAAPASTFLAGAIPYPLPLPRGGGDTYDAVAANYADLTTAAWLEATNAYPPGRIPGGDGRINVTINCSCGDERVSPRYGLFLTYPLWDGETLESVAAQYGFSSPAEMELIRRYNPGMGGVSGKGIVFIPVKDPNGSYHPLKSGWQKFRKATSRPSPEETSHLDDASQAEGIKVERSIEFSYEEIFNATQGFSMEHKIGQGGFGSVYYAELRGEKTAIKKMGMQATQEFLAELKVLTHVHHLNLVRLIGYCVENCLFLVYEFIDNGNLSQHLQRTGYAPLSWATRVQIALDSARGLEYLHEHVVPVYVHRDIKSANILLDKDFRAKVADFGLAKLTEVGSMSQSLSTRVAGTFGYMPPEARYGEVSPKVDVYAFGVVLYELLSAKQAIVRSSESVSESKGLVFLFEEALSAPNPTEALDELIDPSLQGDYPVDSALKIASLAKSCTHEEPGMRPTMRSVVVALMALTANTDLRDMDYHPF >OGLUM09G16590.1 pep chromosome:ALNU02000000:9:19952647:19953234:1 gene:OGLUM09G16590 transcript:OGLUM09G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKASTVRARLLVVASLRRRMAMIGAMSHRIHALMVEKEKARVDYYIKNKNKNHHALRKVVVHDEMVIADHDRHLSALAMFDQEDHHGYSTDHWTHSLFNDDDACYSDDQDDCSDDDDYVHGVLFGASDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRNRMNRSI >OGLUM09G16600.1 pep chromosome:ALNU02000000:9:19959895:19960461:1 gene:OGLUM09G16600 transcript:OGLUM09G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGKAPELLKKAATIVRSKVSTVRARLLIVASLRRRMAMIGAISHRIHALMVEKEKARVDYYIKNKMNHHGGNKALVMRKVVVHDEMVIADDHDRHLSELAMFDQEDHHGYSTDHWTHSLFNDDDTCYSDDQDDCGDDDGDDDEPSVIDIIRSNREDEGLEFNIDDEIDQAADMFIRRIRSRMSRSV >OGLUM09G16610.1 pep chromosome:ALNU02000000:9:19962593:19962868:1 gene:OGLUM09G16610 transcript:OGLUM09G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCGPCKSPAIRLLLDLSLLHEKNYTVQRKHSQHTHTHPYEHSHANSTPMSIFEDCAGKSWKN >OGLUM09G16620.1 pep chromosome:ALNU02000000:9:19963293:19967254:1 gene:OGLUM09G16620 transcript:OGLUM09G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAEPMDLEVSPDSSPAAAAAAVCSICLDAVACGDGVAARSTARLQCGHEFHLDCIGSAFNAKGVMQCPNCRNIEKGHWLYGNESQPCSHSDTGDWLNGETFDYPFSFEFGWCPFNTPLTSVFGESESEPNPCFPVYEKWTVLEYIGSLHGFHHPMYAPSSSTASTESIPFHQRPTGTEGHATTDLRNTQVFNESEPRNHEREQQYLGSVQMPGTLNHSTAPFGIGMPRYDGGNQQRLRPHMHDNSLFHRPTARRASNLAHLRSLTAASETRGHGHGMTSHAVQQTIPSSMASNPQPPATRRVRPRALSITSFIAASSSAEIRAPHDFPLTETASTTNGNIRNGVGAPRHANQSYSWSSETFWPQTGEPHWWSPMAPVHNRSYDNFSGRSATELLSIYGAQNGLPTPRFL >OGLUM09G16630.1 pep chromosome:ALNU02000000:9:19968104:19972992:-1 gene:OGLUM09G16630 transcript:OGLUM09G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCAVLLAAAAAAASSSTAAGITRADFPPEFIFGAGSSAYQVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDEDVKLLQEMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIVMIHENGAAGQPDPSGGNTYDDDFRSQYLQDYIEATLQSIRNGSNVQGYFVWSFLDVFEYLFGYRLRFGLYGVDFASPERTRYQRHSARWYAGFLRGGELRPAAAALAGGGAYSQ >OGLUM09G16630.2 pep chromosome:ALNU02000000:9:19968104:19972992:-1 gene:OGLUM09G16630 transcript:OGLUM09G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTPARVVFICCAVLLAAAAAAASSSTAAGITRADFPPEFIFGAGSSAYQVEGAFAEDGRKPSIWDTFSHSGYSVDGATGDEDVKLLQEMGVDAYRMSISWSRLIPDGRGAVNPKGLEYYNNLIDELLSHGIQPHVTIYHFDFPQALQDEYNGILSPRFVEDFTAYADVCFKNFGDRVKHWSTVNEPNIEPIGGYDQGILPPRRCSFPFGVLSCDNGNSTTEPYIVAHHLLLAHSSAVSLYREKYQATQGGQIGLTLLGWWYEPGTQDPEDVAAAARMNDFHIGWYMHPLVYGDYPPVMRKNVGSRLPSFTAEESKRVLGSYDFVGFNHYVAIFVRADLSKLDQSLRDYMGDAAVKYDLPFLKSNNEFPLGLRSDFMTSTPWALKKMLNHLQEKYKNPIYLFGYRLRFGLYGVDFASPERTRYQRHSARWYAGFLRGGELRPAAAALAGGGAYSQ >OGLUM09G16640.1 pep chromosome:ALNU02000000:9:19981364:19981804:-1 gene:OGLUM09G16640 transcript:OGLUM09G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDLDGRPLAHSLPSSVVDNAALRAFLTSCSRPLPPALLLPPLPLPPLAAKAVEVVPKRSERIAAKMALEALEGPIHAVSRAQRNLMRKLGLVPERGPVTAEAVAAYNALFSKPLSQEHIIALSSLFSSSLPPAKAAEALVVCG >OGLUM09G16650.1 pep chromosome:ALNU02000000:9:19983887:19987239:-1 gene:OGLUM09G16650 transcript:OGLUM09G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGVGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >OGLUM09G16650.2 pep chromosome:ALNU02000000:9:19983887:19987239:-1 gene:OGLUM09G16650 transcript:OGLUM09G16650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPASIGLDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGVGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >OGLUM09G16650.3 pep chromosome:ALNU02000000:9:19983885:19987239:-1 gene:OGLUM09G16650 transcript:OGLUM09G16650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGARALVPSPFIVVVFLLLAAAARDASALTRHDFPEGFVFGAGTSAFQVEGAAAEDGRKPSIWDTFTHQGYSPGGAIADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPASIGLDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDEYGGILSPRFIEDYTAYAEVCFKNFGDRVKHWVTVNEPNIEPIGGYDAGVQPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLLGWWYEPYTDAVADAAAAIRMNEFHIGWFMNPLVHGDYPPVMRSRVGARLPSITASDSEKIRGSFDFIGINHYFVIFVQSSDANHDQKLRDYYVDAGVQENGGVGFDKEHYQLHPWALGKMLHHLKLKYGNPPVMIHENGDADSPETPGKIDYDDFRSDFLQSYLEVLHLSIRNGSNTRGYFVWSLLDGFEFLSGYGNRFGLCGVDFTAPARTRYVRSSARWYSDFLNGGELRPVKPFVAL >OGLUM09G16660.1 pep chromosome:ALNU02000000:9:19994456:19994698:-1 gene:OGLUM09G16660 transcript:OGLUM09G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRTFSFSPGDVATAPPWPRDELRRACGAAFVWRARPRALEIPAGEDEQTDVGERVEHGEAATGVRCGGGGPPSATGAE >OGLUM09G16670.1 pep chromosome:ALNU02000000:9:19995775:20001100:-1 gene:OGLUM09G16670 transcript:OGLUM09G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGELALVSSLFIVVVFLLLGAVAREASALTRHDFPEGFVFGAGSSAFQVEGAAAEDGRKPSIWDTFIHQGYMPDGSNADVSADQYHHYKEDVKLMYDMGLDAYRFSIAWPRLIPDGRGEINPKGLEYYNNLIDELIMHGIQPHVTIYHFDLPQALQDDYGGILSPRFIEDYAAYAEVCFKNFGDRVKHWTTFNQPNIEPIGGFDAGDRPPRRCSYPFGTNCTGGDSSTEPYIVAHHLLLAHASAVSIYRQKYQAIQGGQIGITLMVRWHEPYTDKTADAAAAIRMNEFHIGWFLHPLVHGDYPPVMRSRVGVRLQSITASDSEKIRGSFDFIGINHYYVIFVQSIDANEQKLRDYYIDAGVQDSPDIFGKINYNDDFRSENGSNTRGYFVWSMFDMFEFLYGYRLRFGLCGVDFTAAARTRYLKNSARWYSGFLRGGELRPEKSYATL >OGLUM09G16680.1 pep chromosome:ALNU02000000:9:20002615:20005536:1 gene:OGLUM09G16680 transcript:OGLUM09G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKFWRLAALVPEVQCPDQPISPRQKFKYTAIVLFIFVTASQVLLYGIQHQPRTIEPDPLHWLHLILASSRSTLLSHGIVAILVPEVLVKIWVYLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNFYVRSQHFTVNTVLIMLQILCSDIIVIYLDDVLRKGYGFVNILWKAFSPMSVMYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFFQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGLNHFGQSIPVGGIVYYLTTPPILADLHRDPFHAFIYVSFVLILCVFISMGLMVCASSKGVFNGFVVLNMQEERRLRLAQPDSIHANEIRRHVMRAACVGGFCAGVLIIFADLIGVFCSGTGIMLAVTASYPYVDGRASEVGSFGF >OGLUM09G16680.2 pep chromosome:ALNU02000000:9:20002615:20005536:1 gene:OGLUM09G16680 transcript:OGLUM09G16680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSKFWRLAALVPEVQCPDQPISPRQKFKYTAIVLFIFVTASQVLLYGIQHQPRTIEPDPLHWLHLILASSRSTLLSHGIVAILVPEVLVKIWVYLKIITLDTSAPETGVLMNRAQRLLGILVAILGAVNFYVRSQHFTVNTVLIMLQILCSDIIVIYLDDVLRKGYGFVNILWKAFSPMSVMYPEQSPEFEGAVIAWVHLLMTRTDKLSAMSKAFYRQNLPNIINFLATCLFVPLAIFFQGFYIVLPVRTRRNFQAYCHIKLSHFLYGPVVLHRLLLPLPYVASKVLYKKYSGNTLVNLLGKWDGLNHFGQSIPVGGIMQEERRLRLAQPDSIHANEIRRHVMRAACVGGFCAGVLIIFADLIGVFCSGTGIMLAVTASYPYVDGRASEVGSFGF >OGLUM09G16690.1 pep chromosome:ALNU02000000:9:20006299:20006851:-1 gene:OGLUM09G16690 transcript:OGLUM09G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDIAARDAAGFVEVDHGAGAGSGILRWAVGSVFAVFWVALIIGLVGGEKDPVPAVRAVTRSPHDIYPSGPISGGAPRLHRTGVCLLESSAPSVGILTALDDFSGLITVLVCWTSMVYQ >OGLUM09G16700.1 pep chromosome:ALNU02000000:9:20007432:20014825:1 gene:OGLUM09G16700 transcript:OGLUM09G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDTAGWLAEEDNAIEPSLSGGGGGGGRVQGTRIALGQAASGAVCLGAGKDRVPEAYVVVVHGRRRGRRLRVRAMLKLNNGRTAVSPLRGSGAVGAEAYLEGIDSSRWAAAVAAAAAAAASTGTVSRLSLKHLLRCFDFYSIDRKFCNGTNLNTLYQYHWSMRLSTGSWHSWYPVKHMEIKVVSIENSEVLADGSKGVVKVRGPQVMKGYYKDHFFQFTDVKMMGCSFQISPILIVDESFTVDNGLLTSTLKIRRDKFRSANETVDHLFVSCPLARFACNVIASAFGLEKINSIQHLLEAWLNEFDKKKKQLVAVGIAATLGRWKAKEVYNVGSTLRLAKAGCKRDYIIPSIAGTLIEDGWRCETNQQRKETGNRLEELKQRKRQINEGPHVIDK >OGLUM09G16700.2 pep chromosome:ALNU02000000:9:20007432:20014825:1 gene:OGLUM09G16700 transcript:OGLUM09G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDTAGWLAEEDNAIEPSLSGGGGGGGRVQGTRIALGQAASGAVCLGAGKDRVPEAYVVVVHGRRRGRRLRVRAMLKLNNGRTAVSPLRGSGAVGAEAYLEGIDSSRWAAAVAAAAAAAASTGTVSRLSLKHLLRCFDFYSIDRKFCNGTNLNTLYQYHWSMRLSTGSWHSWYPVKHMEIKVVSIENSEVLADGSKGVVKVRGPQVMKGYYKDHFFQFTDVKMMGCSFQISPILIVDESFTVDNGLLTSTLKIRRDKAKEVYNVGSTLRLAKAGCKRDYIIPSIAGTLIEDGWRCETNQQRKETGNRLEELKQRKRQINEGPHVIDK >OGLUM09G16700.3 pep chromosome:ALNU02000000:9:20007432:20014825:1 gene:OGLUM09G16700 transcript:OGLUM09G16700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGDTAGWLAEEDNAIEPSLSGGGGGGGRVQGTRIALGQAASGAVCLGAGKDRVPEAYVVVVHGRRRGRRLRVRAMLKLNNGRTAVSPLRGSGAVGAEAYLEGIDSSRWAAAVAAAAAAAASTGTVSRLSLKHLLRCFDFYSIDRKFCNGTNLNTLYQYHWSMRLSTGSWHSWYPVKHMEIKVVSIENSEVLADGSKGVVKVRGPQVMKGYYKDHFFQFTDVKMMGCSFQISPILIVDESFTVDNGLLTSTLKIRRDKVTAKYHREIEALGRWKAKEVYNVGSTLRLAKAGCKRDYIIPSIAGTLIEDGWRCETNQQRKETGNRLEELKQRKRQINEGPHVIDK >OGLUM09G16700.4 pep chromosome:ALNU02000000:9:20014772:20017594:1 gene:OGLUM09G16700 transcript:OGLUM09G16700.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYLQSCGHGYHVFCAAAACGHIFCRLCLAHYMSSSLVEGTDCPVCKTRMDHLPRTCNLIGRVISILHPGKGNADEVDGIRSEDVACLICQELLFDPSVLNCGHVYCMPCLTSVGGEELECQFCGAPHPAEPTVCSNLKNFLKHRFEELYNSRQEKSSGVPSRKEGTRKGKPSEILHTHVGVGCDGCGVFPIQGRRYSCKECEAPGLDLCEKCFMTGSTAEGRFDQKHTADHDMELDDSFLFPNLVDYMDDLYIDMT >OGLUM09G16700.5 pep chromosome:ALNU02000000:9:20014772:20017594:1 gene:OGLUM09G16700 transcript:OGLUM09G16700.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRYLQSCACGHIFCRLCLAHYMSSSLVEGTDCPVCKTRMDHLPRTCNLIGRVISILHPGKGNADEVDGIRSEDVACLICQELLFDPSVLNCGHVYCMPCLTSVGGEELECQFCGAPHPAEPTVCSNLKNFLKHRFEELYNSRQEKSSGVPSRKEGTRKGKPSEILHTHVGVGCDGCGVFPIQGRRYSCKECEAPGLDLCEKCFMTGSTAEGRFDQKHTADHDMELDDSFLFPNLVDYMDDLYIDMT >OGLUM09G16710.1 pep chromosome:ALNU02000000:9:20019508:20020007:1 gene:OGLUM09G16710 transcript:OGLUM09G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTIYSNISPQSKREQRGHLDWRRNRQECSIRMIALCAVVDVAKVKGAREPWSMKPCVDRAAGCAWGAVEWSWTHRRASWTNGARGRAGDEDGDTIVTVVEEDDAEELIRTVKDETSHRVCQTRDNSGRTRAPVLPIPRGRDRRPP >OGLUM09G16720.1 pep chromosome:ALNU02000000:9:20020019:20020372:1 gene:OGLUM09G16720 transcript:OGLUM09G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEETSREGLRDGRGCRCVNGEEDDESGEKSTGDEATLRRAPLSVPTTSGDVPQAATLQPERATQRQHKA >OGLUM09G16730.1 pep chromosome:ALNU02000000:9:20021813:20023744:1 gene:OGLUM09G16730 transcript:OGLUM09G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTIYSNILDDLLDKGYGLHGASAISLLAATNTCEKVVWQAFSPLTVDTGRAGPGVRGDRPRRHPPRRPPTGHVRRHLPNVMGLLATCVVLLAAVFLEGFRVMLPLRSRDARGRQLALPIKLLYTSTMPVVLHSAAVSSLYTVSQLLHYSRFAGSLLGTWKKTGYAAVPVPVGGVAYYVTPPAGLSHAAAYAASLLASCALFSGAWVEVSGSSARDVARQLSAQRLALHGASERDAALRSHLSRYISTAAALSGLCVGALTILADMTGAIGSGTGILLAATVVYNLRKQ >OGLUM09G16740.1 pep chromosome:ALNU02000000:9:20025065:20030386:1 gene:OGLUM09G16740 transcript:OGLUM09G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51980) TAIR;Acc:AT3G51980] MAMAARARCSRQPNSHRLLAVVVLAAILLLPVASAAAAVVAAVAEGDGVENRSAARQWATGKDEGELVSGEESRGGGSVVEDEFAGGFGSLDSMLQWAIGNSDPDKLKEQAEGVQKLSADELLKRRMEIKELMEKLKMPSDADLMKIAIADLNNSSISLEDRQRALQELLILVEPIDNANDLDKLGGLVAVIQDLNNANEEIRTTSAWVLGIASQNNALVQNQILGYGALARLVKMGYATSAEEATKALYAISALVRDNVNGQEAFHSENGSAMLQHILASNSVDVRLQKKAVFLVTDLADFQLNSGNSGLPFLSDRIFLKSLVDMLSRFDLDLQEKVLLAIKSLLKLSSTEATDFESCDLSSVLYRLGVQLEELPSEEQKEYAGEVDDLRREVQILFQDKLKEGTKTAL >OGLUM09G16750.1 pep chromosome:ALNU02000000:9:20031805:20035559:1 gene:OGLUM09G16750 transcript:OGLUM09G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLSGPAAPPFVPAARVAAAWGARRRGASRSSSSVVAKMEGGGGGKGVPTTNYVVPLDKATGMTRPLVEILRDLNKRVPDKIIDPDTNTVPWYHANRMLSFYAPGWCGEVRNVIYSDNGTVTVVYRVILRGTDGEAYREATGTAPVHEGRNDDAVAAAEEAAFCKACARFGFGLYLYHQDEIP >OGLUM09G16760.1 pep chromosome:ALNU02000000:9:20035866:20039718:1 gene:OGLUM09G16760 transcript:OGLUM09G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cytosol, plasma membrane; EXPRESSED IN: 26 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: WW-domain-binding protein (In /.../:IPR018826); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G11680) TAIR;Acc:AT5G11680] MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGHAKTKGTIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLVASVRRYNQFEAQSAASMAPRVDPLQAVQTPVDDMMRHAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNAY >OGLUM09G16770.1 pep chromosome:ALNU02000000:9:20042580:20046022:1 gene:OGLUM09G16770 transcript:OGLUM09G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKNAKPEGSSGSQRGAPPAPDAGLPNPFDFSQFSNLLNDPSIKEMAEQIASDPVFTQMAEQLQKSAHVTGEQGGPALDPQQYMETMTQVMQNPQFMSMAERLGNTLMQDPGMSSMLESLTSPSHKELLEERMSRIKEDPSLKGILDEIESGGPSAMVKYWNDPEVLQKIGQAMSINFPGDAATSTTLSGPEETEEDGGDDDESIVHHTASVGDAEGLKKALEDGADMDEEDAEGRRALHFACGYGELKCAEILLEAGAAVNALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTPQNLDGKTPIEVAKLNNQDEVLKVLEMDAFL >OGLUM09G16780.1 pep chromosome:ALNU02000000:9:20046654:20051702:1 gene:OGLUM09G16780 transcript:OGLUM09G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lecithin:cholesterol acyltransferase 3 [Source:Projected from Arabidopsis thaliana (AT3G03310) TAIR;Acc:AT3G03310] MAVLGVGAAVRLRLRVLGRHLRLRGRRRTRRRRGGGGVEDEEEGGREAVVLVSGMGGSVLHARRRSNPRFDLRVWVRILRANADFRKYLWSLYNPDTGYVEPLDDDVEIVVPEDDHGLFAIDILDPSWFVEILHLSMVYHFHDMIDMLVDCGYKKGTTLFGYGYDFRQSNRIDKVMVGLRAKLETAYKASGGKKVNIISHSMGGLLVSCFMSMNRDIFAKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWVMHQLLVECPSIYEMLPNPHFKWKKAPVVQVWRKNPEKDGIAELVLYEATDCLSLFQEALRNNELKYNGKTIALPFNMSVFKWATETRRILEDAELPDTVSFYNIYGTSYDTPYDVCYGSESSPIGDLSEVCHTMPVYTYVDGDGTVPIESTMADGFAAKERVGIEADHRGLLCDENVFELLKKWLGVKEESTRRRRLSKSKVTDFAPS >OGLUM09G16790.1 pep chromosome:ALNU02000000:9:20052858:20056679:-1 gene:OGLUM09G16790 transcript:OGLUM09G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAARWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYVLLALVYGGILIYRRQHLTIKWYYFLILGIVDVEANYIVVKAYQYTSLTSVMLIDCWAIPCVILLTWVFLKTKYGLRKFIGVVICVAGIILVVFSDVHASDRAKGPNPLKGDLFVIAGAMLYAVSNVTEEYFVKKSSRIEVMAMLGVFGAVISARKYASISHFLNTATEAYLNDKNFGQPNGMLILPFIGFAVAMFLFYSTVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTATGLVIYSYKGSKKVAEETAQVAGATDEEAATRVAGAGDDEPASTNKEVSSLAATTSSVIAGSLVHMGKVWCEL >OGLUM09G16800.1 pep chromosome:ALNU02000000:9:20060560:20069277:1 gene:OGLUM09G16800 transcript:OGLUM09G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGLVARAARSPPQGLSPPRGRDLTPPPPAAAASSSMSSPWRPAEPYLRRRGSRVQKRVCLSDILGMSQMRGSGVLHVCTTEGMQLGIQVRTTGSSFCTFYFCIGFRISTPYCAIPFFGTLFFWSTCNGLFGINESTEKFTENNIKLRYSYPFTSLHPNGP >OGLUM09G16810.1 pep chromosome:ALNU02000000:9:20061860:20067722:-1 gene:OGLUM09G16810 transcript:OGLUM09G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWIGMQPSRKGGGCFLSNHQQLKNSCSICYWYLRRHLTNFSWRRLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >OGLUM09G16810.2 pep chromosome:ALNU02000000:9:20061860:20067722:-1 gene:OGLUM09G16810 transcript:OGLUM09G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDARNEIVPYEAPRPPAGIHRLVFVLFKQEARQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >OGLUM09G16810.3 pep chromosome:ALNU02000000:9:20061860:20067722:-1 gene:OGLUM09G16810 transcript:OGLUM09G16810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGRDPLALSQVIGDVLDPFIKSAAMRINYGEKEITNGTGVRSSAVFTAPHVEIEGRDQTKLYTLVMVDPDAPSPSKPEYREYLHWLVTDIPEAIDAPRQTVYAPGWRQNFNVRDFSAFYNLGPPVAALYFNCQKESGTKLKAGCNIIQDYKI >OGLUM09G16820.1 pep chromosome:ALNU02000000:9:20072934:20077516:1 gene:OGLUM09G16820 transcript:OGLUM09G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >OGLUM09G16820.2 pep chromosome:ALNU02000000:9:20073120:20077516:1 gene:OGLUM09G16820 transcript:OGLUM09G16820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >OGLUM09G16820.3 pep chromosome:ALNU02000000:9:20073126:20077516:1 gene:OGLUM09G16820 transcript:OGLUM09G16820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWFCCTQFHAPYREHENEFPDIPEEKEGNGFAPKSDDPTKAPPPIEVPELSFDELKEKTDNFGSKALVGEGSYGRVYYATLDNGKQVAVKKLDASTEPEVDNDFLTQVSIVSRLRHENFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVRIAIDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNPSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPPPPPAVAPET >OGLUM09G16830.1 pep chromosome:ALNU02000000:9:20077915:20081997:1 gene:OGLUM09G16830 transcript:OGLUM09G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G43370) TAIR;Acc:AT2G43370] MSGGGGGAASAVFYAEKYHPIQAGSIDGTDVAPHDNAVLRALLCSTAGLYYPFGDPKATGDPYCTVFVGRLSRYTDDETLRKEMSRYGRVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYEDAHHSIIDGSEVLVDYYRQQLMPGWIPRRSGGGLGGKKESGQLRFGGRERPFRAPLFPHHQDEKAVISTGKSHLPREDPKTGLIAVTTEDIVAQPKTTTARSAPIGGKVAMTGEKKPRGGQEHISAERPAATVSGAQLKTMVIVVRGEEAESLENYLHTRRMMITAKEEEVQWSQASALISLITTGITGSVMTAAAILATVNVGIKTTVETTPGTGDQRAETTATRGVESMVGS >OGLUM09G16840.1 pep chromosome:ALNU02000000:9:20082363:20088229:1 gene:OGLUM09G16840 transcript:OGLUM09G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEDELLDDEEYYYYCSDGECNGGGSGSDEDEEFGGSDEGCEADEVVSTREQRYVVLTEDDIRERQEEMISRVSAIFSVPRESACVLLRHYKWSISKLSDDWFADEENVRRSVGLPSNVVHVPDCPELTCGICFEGCAANAMSCAGCSHFYCHECWEGYISAAVNDGPGCLVLQCPEPSCDAIVIEDMINNLTKDEDKVKYARFVLWSYIGVNNKCAEEAHRPVSCDTVSKWILKNSAESENMNWLCLGAWSDHGDGTGGFYACNRYQSAKMGGMYDEAEARRERAKNSLERYMHYYERWASNQTSRQKAQADLQKVENEDLTKLSDVVGIPETQLKFIPEAWSQIIECRRVLKWTYAYGYYLHNKAKSDFFVYLQGEAESGLERLHKCAEKDMCEFLPTADSTQPSLSLQDFGEFRVKLSGLTSVTRNYFENLVQALEAGLQDVRATDQSASVSTSSSKKPPTNTKGKSGRSKVARTSQERSGDRWPCDRCTFINPSSTNSCNMCGRNKPRRR >OGLUM09G16850.1 pep chromosome:ALNU02000000:9:20088445:20089115:1 gene:OGLUM09G16850 transcript:OGLUM09G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGGSRPETVRLHRGLSRRRWLPAEAAAVAARLHHGSRWRPRLPAEAAAVTTSTSRCAPPRFEAVAAGRAPSVLLPSPTPPKTVPSFGGGWRHRRTAATCLVANRRGAPEDTPPAATARVSEDTRPHRHLHPAGFLSLVSTDDGRSWSRAHRTLDL >OGLUM09G16860.1 pep chromosome:ALNU02000000:9:20090684:20092496:-1 gene:OGLUM09G16860 transcript:OGLUM09G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSRRFQNLIVDSSYAGAQWLRSIDLTRHLFGNTAAAAPAADSYNPKNKRGLRIQMERIRFPRPMLRLKCTYMPYHQRNIDCFPLADRKVVMVDHTGITRLCDVDARSVMAMPNIHKPKSDPISLFVPSSSGGGSLYVMERYPDPEDGTQLRYSNQFEAFVWGKSSCHCHLLPPPPFVHFNSIFTLVVGARDPAAPMLDPPTGTTGRPKITSYACVVGSDIYISTRGNGSYCLDTEMNTWLQLAKEMPLPFFSGKLEYVPELKLWFGLSAEPSRRLLAAADLISSDSQPQLIGDWNEFAPPEGWLEYQEPQLVNLGSARFCISRFFHIRSMDNDNEVIDSVVVFTGVEVMPVGHNGDGNGKVKLRMEKHKSRCCVSGSTMICSIF >OGLUM09G16870.1 pep chromosome:ALNU02000000:9:20092865:20109365:1 gene:OGLUM09G16870 transcript:OGLUM09G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLSNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSG >OGLUM09G16870.2 pep chromosome:ALNU02000000:9:20092865:20105213:1 gene:OGLUM09G16870 transcript:OGLUM09G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLSNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSG >OGLUM09G16870.3 pep chromosome:ALNU02000000:9:20092865:20105213:1 gene:OGLUM09G16870 transcript:OGLUM09G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLSNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSEQAEKAWMVRFTSPERRNSAEQDVEDDAGAPDICFWAIASVENLWCHVVCAPNHLGESFSCSQEPVSSFLPGLKNTERPKSMALRGCKNQWQSIRCNGNGGWGAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKDSSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSGVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFK >OGLUM09G16870.4 pep chromosome:ALNU02000000:9:20096768:20105213:1 gene:OGLUM09G16870 transcript:OGLUM09G16870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFTSPERRNSAEQDVEDDAGAPDICFWAIASVENLWCHVVCAPNHLGESFSCSQEPVSSFLPGLKNTERPKSMALRGCKNQWQSIRCNGNGGWGAMRSPSILSQCLAGFLSHEKAAAHCVNVVPERESHPPSPAVEIVPSKNVHPYKYAGENIEMHGMNIFKGKVSVVDIVGLSGSEVITPKGEGPLKCCESSIDLVNVLKNEIRDGLLTFRSKQLGCGYGLPGIFACLKDSSAEIIRCKTIPNVLANLEHAQDKHGQQQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSVIQEDEVDTSSGVALEFCEDDFLDGCSSQDASNICHETSSRRSRKLSGSRAWERGNETTTGDGGYDILLVNEIPYSASSLQNLYLLVKKCLRPPYGVMYLAARKNYIGSSSAVRQLRSLVDEEGAFGAHLVSEPPEREIWKFFFK >OGLUM09G16870.5 pep chromosome:ALNU02000000:9:20092865:20098631:1 gene:OGLUM09G16870 transcript:OGLUM09G16870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAFASAPNPSHAPSSSSSSLSASYSRVLRFSVRDPWRRRRGQRLPLHALRSQRPEPAPASASHHDVVVVGAGIIGLSIARHLLLHTPLSVAVADAAVPCTGATGAGQGYLWMSHRTPGSDTWELAVRSKQLWEELAAEVDGLGGGGARERLGWMRTGSLLVGRTSEEMATLEERTKALSQAGIRAECLSAASLHALEPELYVGHDGGAMFLPEDCQIDAFQAVSLIEKTNGSYSSEGRYMEIYNDPAMSLVRSETTGTVQGVQTSKHILYGRKAIVIASGAWTRTLLRSFLEPNPTLDIPVMPRKGHLLVLDKFDKLKLNHGLMELGYVGHQVAKSSGTPLSSESSEDEHGALSISMTATMNTKGNLILGSSREFKGFSREVDMSILKCIWDRAAEFFPTLKNVHLDIDENTEIRIGHRPFMPDGKPVIGSVPDLSNVLIATGHEGSGLALALGTAEMVTDMILGNPGKVDFSPFSIKDRFSAYD >OGLUM09G16880.1 pep chromosome:ALNU02000000:9:20097611:20101220:-1 gene:OGLUM09G16880 transcript:OGLUM09G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPGPSMGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRAPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCGGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGVIVRSRLMQFSAMNKFKKKALGVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >OGLUM09G16880.2 pep chromosome:ALNU02000000:9:20097611:20101220:-1 gene:OGLUM09G16880 transcript:OGLUM09G16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAPGPSMGPPRPIRPTRRSAETNGQPRSLLDPCGFPFALRARREEAGEGEATRGKRGEEAGGSKASICGVGVGVGDAMGGCSSAFAVSTRMIRFSRGRAPAAILPVTSNDEPCCSCSPENNNKNNDGGGGGCDGGEHQKGKSWRRWQYRRCGGGGGGGGGGGGRKNAILGDAADVKTAAGFAERYRLGAELGRGEFGVTRRCSDAATGEALACKTIRRKRLRRCGGDAEDVRREVEILRRISALGAGADSVVRLRDACEDSDGVHLVMELCEGGELFDRIFARGHYTERAAAKLARTIVGVLCHENGVMHRDLKPENFLFANKSEDSPLKAIDFGLSVFFKPGERFTQVVGSTYYMAPEVLNRSYGPEADVWSAGVILYILLCGVPPFWGDNDEKTVTAILQGGINFQREPWPKVSPHAKDLVSKMLDPDPSTRLTAKEVLEHPWLKNADRAPNVSLGVIVRSRLMQFSAMNKFKKKALGHLPMKVVAKNLPVEEMDKYTQMFHKMDKDNSGNLTLEDLKLGLQINGHPVPETEIEMLLEAGDIDGNGTLDCEEFVTVLLHIKKMSNEEYLPKAFKFFDKDGNGFIEMEELMDALGDELGPTEQVVKDIIRDIDTDKDGRISYQEFESMMISGSDWRNASRRYSKANFSSLSRKLCKGNS >OGLUM09G16890.1 pep chromosome:ALNU02000000:9:20113655:20122258:1 gene:OGLUM09G16890 transcript:OGLUM09G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRGGGEVNGVVEMEDAVGILVDYLVRPALRKGSRMTPENQADVARQVHMAVILYNYYHRKQFPQLAFADAMRFFKCASLTLGDSLLAYSNMVHQHEKSSGSPGEGVNLSVTDKAVVDACGIAEALDANQDSPDMAMWPISKVAVLLLDSTRKRCLLESGSVGKNVRSLLEKEIDTSSTSEHGSNKPEGQDLENEETGPYVLQKLAFSEVERRTEIKRSSLRLLDEHLAYSLTKKGTTTKLFILQYEQTAKGNFAEMPIEELIKRMIGPVVEKHPYPTTTVVAESYHILPYKDILFDCLHRKWHFDSSLSMPKEETRRNGKSSSHSEIDENSKEQEYNIKGSTQKKIKRDIKVLGSSDKNYSSTSRNKRSSNVNSKRKSEVFRATAADGAEGLDSEIPRVNNALPPVVDVSTMKFVSCSVNAKETAAANAGFVDMEADFHQGGGAKDNKDLKFASFKSYLKKRDDLHRKQRMIEDETVQFDMDIQSVFAGGEWTPEAMSLLEKYGILVDSLDMVEVNGSSYSGDGYETLTIERKKLTVERLLRNKCQLMQELDEVCRENNWILPRYKVMPSLTDGMYVANVDIACLEFSQMTFGDPKTNPRDARESAAANLLAELLKKADANREHT >OGLUM09G16900.1 pep chromosome:ALNU02000000:9:20121671:20122159:-1 gene:OGLUM09G16900 transcript:OGLUM09G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2Fe-2S ferredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07480) TAIR;Acc:AT3G07480] MAIAARALRRIPLHLAPSLSRACCALSPAAPAAAPAPAAASAKVADRIVRLLAIDPDGARREVVGLSGQTVLRALANAGLIEPESHRLEEIDACSAECEVHIAQEWLDKLPPPSYEERYVLTRASRNRELNKHARLGCQVVLTPELQGMVVAVPEPKPWDIP >OGLUM09G16910.1 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGKINPSPEKKTAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16910.2 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGKINPSPEKKTAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16910.3 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGKKEGKLGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16910.4 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEKGKINPSPEKKTAASLVALPSLLSNAKEVSTRCQGSHKRGPQSERDDGDRPLLHVKHHKGPQTPTDETLKKNDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASIKLLTFNFLVQGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16910.5 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16910.6 pep chromosome:ALNU02000000:9:20125247:20132845:1 gene:OGLUM09G16910 transcript:OGLUM09G16910.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEESQKHLLGLNYHELQSLCKQYNLPANKSHSQLASSLALFLEVAKVAIKEVRKVKEMMDSGTRVSSTPVSINNGKVDCFSHSPPGQVIASNVHSQSADGIGKNLGTQEHPIHLDSTAKVDDEISPETSYQAPNVVETVTDIGSGSSHKISENAKSSFEFFVMSDEGLDLVVDLNSTPSMLLDSLKKEVFIPSSTCRSEPGNFSHFISSLTTKDDSNNSISSSGNITVDIQNKGDDSIAPCTNSSLGSTGGDNSHSEPYLPDATAVNSMSFASTLPGTSLEISGSQEGVPVVSSSCLTSMTANALNNEVLPQESVVLSKCPERNHAPLAYDSTHPTGNKDTVNPVEIGCTQNVVADTDRAGAFSSGGVVRSASNENFCPTSEEKHETLNVPGAQLTPNGNTHEVILENEPVEAVPVDEDRGCHDRLSMSCQLARQTVTKLPVTDAQSEASSADHCIAGSFKPTSPTPSPAASIKLLTFNFLVQGNAFSSKHDAESAQSSDELEELESKTPSSFEPPRNILLSLRSASAKQTKPTLPRRSARLVPKRVLCFSMASEDIVMRAAGQLMPSS >OGLUM09G16920.1 pep chromosome:ALNU02000000:9:20134287:20138383:1 gene:OGLUM09G16920 transcript:OGLUM09G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Basic helix-loop-helix, Nulp1-type (InterPro:IPR006994); Has 2929 Blast hits to 2464 proteins in 333 species: Archae - 2; Bacteria - 151; Metazoa - 913; Fungi - 372; Plants - 141; Viruses - 47; Other Eukaryotes - 1303 (so /.../NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G46900) TAIR;Acc:AT2G46900] MSARLLRRVLQERETAPQDPAAAAAAEVEREEEEEASPPRVAARNPFDLLDEEKEEEEEKEDEVYSEQPVSYNEQKHSVNKKPGNAVPETNKKSKKKKKKSKADKQVSTKSRDEKSLDSILEDLSIEKKPMQQRVNQNERASGKEIEIDETTPGTSSILSIDPKHLKAENEMRRIFGSKVVDSLENQRNVPSSSTSRLRGVRRVAHNPRKTLLVTPSSYWPPWDKSMSMDIVETKSGFNYFRYIYDPSVSHVQDLFEVAKSANDLNAIAAILAKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLHSNCQLKYSHDTNKPFFTALFSHMKILDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYVERDATNGEASDHSDKSTSVDLMKQALMLHPLVLHKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMISIYVERHYIMWRFPELQNLLKDAALLVIESLKQDNREAQDWACVRKEAFSSDKNEYSHLLVSDFSDTTPSLPPEELRPFMVAPGMAHEMPPAEQELGIEVRPRAPREVAGRNPALVFLESLLPWVDYGDNHHDENDQNNDD >OGLUM09G16930.1 pep chromosome:ALNU02000000:9:20140181:20147237:1 gene:OGLUM09G16930 transcript:OGLUM09G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell division cycle protein 48-related / CDC48-related [Source:Projected from Arabidopsis thaliana (AT1G05910) TAIR;Acc:AT1G05910] MVGMEGKGDASVTPVRTSDRLRKRPKYFGRNYMYYNPAIRKKMKSKKRAAASQIAKKLLRKSAARAPPADLMEVVVVLSFIALKSALEIIERVDRVILDLLGIIVSVWSNLRRSTRKRRMSVNLEDYDTDSSSMEDDDLMRPRYRSSKNKVDDEVSARPKRKKLSNSSSIPRREGLRPRRSIRGQRLHPYQESEDDQESSEEQPAQDRRDNGNDIEEDGNEEEEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRTQSPRRVLVHGIGPKNSKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRGGRGGMPWFLGGLDMHSPGAWGLNVGASGWGHQGDNTVSTSSLMPGIQTAGPSSKGGADIQPLQVDGSVSFNDIGGLSDYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFFFPLPGYEARAEILDIHTRKWKDPPPKELKTELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVRVEKYHFLEAMSTITPAAHRGSIVHSRPLSPVIAPCLKRHLEKIMERIADIFPFLSSVDVSKFSALSYGSSIPLVYRPRLLMCGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFGDLEEECASIFSSRNVYEVDQPSDDDRMRYLHALFESLLSFQMEESRSKSKDQKSSVDLPKAPKEVDGPKLSELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVVHNPMDMATVLQQVDSGQYLTRASFMKDIDLIVSNAKTYNGSDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIAEQGGPLQVTDDGDSSILQAAPVAQLVSGTRMSARLRNVQPEVNLSRSYEALKRQKKSTETEQGMVKESTTRDDKSLGDVDLSKPISPEEAPKEPDSNGVLKETDNPPTELPELPELNPEPMVTDNGENAAMPASDDIPEQLEVVKRRFMELTTGYGVPQLERLCTRVMKGIIELSGKESNEDHRRLVVRYLLTFVENSDNF >OGLUM09G16940.1 pep chromosome:ALNU02000000:9:20147763:20150183:-1 gene:OGLUM09G16940 transcript:OGLUM09G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:20S proteasome beta subunit G1 [Source:Projected from Arabidopsis thaliana (AT1G56450) TAIR;Acc:AT1G56450] MRFGSDSDQDPVLVSVSTTRPRLSLLFDSLRKKEKGNQNKSRRDEADRSIAPEPNLNPSERERERERRKKSNLFEEEIMAWQQPMDGSHASGSKAAAAVADGPGTQRTQYPYVTGSSIIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEVHSYLTRVMYNRRNKFDPLWNSLVIGGVKKGPKGEEKYLGMVNMIGTHFEENHIATGFGNHMAIPILRTEWREDMTFEEAVKLVEKCLLVLLYRDRSSINKFQIAKITTEGATIYPPYSLKTYWGFAAFENPAQGAVGSW >OGLUM09G16950.1 pep chromosome:ALNU02000000:9:20151531:20154196:-1 gene:OGLUM09G16950 transcript:OGLUM09G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKLLSETEENGALHEFVSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIANGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNGSAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >OGLUM09G16950.2 pep chromosome:ALNU02000000:9:20151531:20154196:-1 gene:OGLUM09G16950 transcript:OGLUM09G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAATAEEYEEMLRVVEAIATRIRWRLRPHSKRRLLNDILFLCSGLRPVVLMDYGGTMPELQDNLCSLLHHARQESSMLSPLRLMVIKDMLYLIHAKGLAEHASPSARSQHKLAFVDLEKSCSKVFAFSDPAFLFSLSYLLSETEENGALHEFVSIQDLFSANFPVDADVDLPIIQPEAKGQMSEIPEGTTMDNFGFRIANGTSLVIDLSTFLESAQIALPSLNGWLLGYPVTYLFCNGSAETATQNLSKHSLHIYRIYAVRCRQSAAKQSEQELMSFSVPCDMSTKRDEEPWAKSFLAHMNEKIKQCNHVWASVRMEIEVFHSQSGLIVL >OGLUM09G16960.1 pep chromosome:ALNU02000000:9:20154356:20157411:1 gene:OGLUM09G16960 transcript:OGLUM09G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMAMGLLAKNPKMINHRYASEMQLQHRLSPACSVMFNKQCPYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTVTEYIFEKVFSKNVAAAQPLPGFRRMKGGLLLYLGKPYFVSISNWTNMRLVMFLCFALASSIPGISSCMNQPMSSLFQNLTIISIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELETAFEPGKEFCFDATFHLQ >OGLUM09G16960.2 pep chromosome:ALNU02000000:9:20154356:20157408:1 gene:OGLUM09G16960 transcript:OGLUM09G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSIAPAAMAMAMGLLAKNPKMINHRYASEMQLQHRLSPACSVMFNKQCPYRITRKACSVLGAVSPIQCTETSTESLVSFKDFLVSVQTEEDGLIKLRVTVADTVTEYIFEKVFSKNVAAAQPLPGFRRMKGGKTRDIPKEIALHLIGPSKVKKETIKNIISLTIAEYVQKEDLDASKNLKVLQTYEELETAFEPGKEFCFDATFHLQ >OGLUM09G16960.3 pep chromosome:ALNU02000000:9:20154120:20154370:1 gene:OGLUM09G16960 transcript:OGLUM09G16960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAIASTTRSISSYSSAVAAAAAAISTSAAASNSSTFTSELHRGVRSEINGPDGKRRVRNLPILTVRSHSKMDRPIWKEY >OGLUM09G16970.1 pep chromosome:ALNU02000000:9:20158724:20165684:1 gene:OGLUM09G16970 transcript:OGLUM09G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MAWRMLRRKDFHTGLVNLASRVDHGGAKNFSSGTFGKLAGFVLSDTHTPIVKGAANCTAYKHCTIRNFHAGVYMLAWSRKREEVAGLKAPKKEKRVKRETRNQPPVEAPYVAPKQKIAIKSSPDKTVDIFDGMTLLDLSKRTGASIGALQDILTDLGEKVESEFDAISIDLAELVAMELGVNIRRMHTGEGTLEPRPAVVTVMGHVDHGKTSLLDSLRQTSVAAKEAGGITQHIGAFVVEMLSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAANVPIVVAVNKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVTKLGLDKLEEALLLQAEIMDLKARIDGPAQAFVVEARVDRGRGPLATAIVKAGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEDMTEEAEIGEETPERVEMPIIVKADVQGSVQAVTDALRSLNSPQVFVNIVHVGVGPISQHDIDLAQACRAYIVGFNIRTPPSAITLAATQANIKILLHKVIYHLLEEMGREIVEKAPGTPETQVSGEAEVLNIFELKGRSKSKGPDIKIAGCRITDGHLSKTGTMRLLRSGDVVFEGPCASLKREKQDAETVDKGNDCGLVIQDCNDFQVGDIVQCLEQVIRKPKFISTQSGAICDSFLLIDLVLIVKRPGSKN >OGLUM09G16970.2 pep chromosome:ALNU02000000:9:20163403:20165787:1 gene:OGLUM09G16970 transcript:OGLUM09G16970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 2, small GTP-binding protein [Source:Projected from Arabidopsis thaliana (AT4G11160) TAIR;Acc:AT4G11160] MSISTVSLAFSTTAAAGFTATYAAAAASPPLLSAAGAGLAAAAPARRTANTARTTARRITRTDLEPAIAGSPSAS >OGLUM09G16980.1 pep chromosome:ALNU02000000:9:20163592:20163840:-1 gene:OGLUM09G16980 transcript:OGLUM09G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKSVRVILLAVVLAVFAVLLAGAAAARPAPAAERSGGEAAAAAYVAVNPAAAVVEKARETVEMLMARLPAGPSPKGPGH >OGLUM09G16990.1 pep chromosome:ALNU02000000:9:20167355:20170679:-1 gene:OGLUM09G16990 transcript:OGLUM09G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G30710) TAIR;Acc:AT2G30710] MSGGGGGGGGGGGGSPNNTEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPEYSPRYENDRDEYEQNEGSQEGKGQASGNTADSMSAKKSNPPSTSSTNSLPDAQGLVSGARATDSARIAKFTNELSRPAVILDKLRELSWSGVPPYMRPNIWRLLLGYAPPNADRREGVLTRKRLEYVECVSQYYDIPDTERSDEEINMLRQIAVDCPRTVPDVTFFQHPQIQKSLERILAIRHPASGYVQGINDLLTPFLVVFLSEHLEGNMDTWSMEKLSPQDVSNIEADCYWCLSKFLDGMHDHYTFAQPGIQRLVFRLKELVHRIDEPVSKHMEEQGLDFLQFAFRWFNCLMIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLKKLDFQEMVMFLQHLPTRNWAHHELEMVLSRAYMWHTMFKSSPSHLAS >OGLUM09G17000.1 pep chromosome:ALNU02000000:9:20172271:20173683:1 gene:OGLUM09G17000 transcript:OGLUM09G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRTPSACRCVGVGGAGLAGMSSLVLVPVDIFPGHHIIVRQHTVTVPVGVSAADPKANRRAINFTRHIRLSHLFFFHERAERFHHAPRSNRLGLAGRASRRAWTENAEECGGGVSFGAAGEDGHGGDGDGDGVNAYLRFPGPTLTGSGDGGVVGGEVDGDATLLLMAGLI >OGLUM09G17010.1 pep chromosome:ALNU02000000:9:20174420:20174887:-1 gene:OGLUM09G17010 transcript:OGLUM09G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPASSRYVPLAAAPEQRHDGEAEEECCYAATTTRYVPLRRRRGEQDQAEYAARRALFLQSYRFTTAAVSAGERDDGSGGLRGRVARRVREAVARAVSRARGAARWWVGGGVGVARAWPRVGWWWRPPSPRARLGCFGGGGHGRSKLHYLHHFA >OGLUM09G17020.1 pep chromosome:ALNU02000000:9:20176166:20179732:-1 gene:OGLUM09G17020 transcript:OGLUM09G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREKSPIPGDGGDGLPPQATRRAGPPAAAEYDISRMPDFPTRNPGHRRAHSEILSLPEDLDLCAAGGGDGPSLSDENDEELFSMFLDVEKLNSSCGASSEAEVESSSAGAAAAAAAAAAAAAHGAKPKHQHSLSMDESLSIKAEELVGASPGTEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTSGLTTENSELKLRLQTMEQQVHLQDALNDTLKSEVQRLKVATGQMANGGGMMMNFGGMPHQFGGNQQMFQNNQAMQSMLAAHQLQQLQLHPQAQQQQVLHPQHQQQQPLHPLQAQQLQQAARDLKMKSPMGGQSQWGDGKSGSSGN >OGLUM09G17030.1 pep chromosome:ALNU02000000:9:20192174:20200935:-1 gene:OGLUM09G17030 transcript:OGLUM09G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMAAFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSCDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYGGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPVQRPQLTAPESQPYYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSADRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQLAVLIFRRTINKLLRLLLHKLLLLRNSLLLCRLLLPHKLLLLHKLLLTRQNGTGSIRRLFSWLSACWVSYNRSLEINLKAILEINLQAKLLIQI >OGLUM09G17030.2 pep chromosome:ALNU02000000:9:20196885:20200935:-1 gene:OGLUM09G17030 transcript:OGLUM09G17030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPPPPQPQPQEAAGREASSSLSPAKESAAGGGGGGGSGAPETNTLWVGNLPAQAAEDDVMAAFSPHGALDCVMARAGPRSYAFVLFRSVPEARAALDALQGSKVKGSVVRLEFARPARAVKNLWVGGISSSISKEELEEEFKKFGKVDGIAFSCDQTSAYIDFDKLEDAISAHRALNGRVLGGQELCVDFQRSRGRAEWLETGSFNGRTGPAKGYGVRNRESNPTNVLWVGFPNTAKINEEALRQAMAVHGAVTNTKVFPTRQYAFVEFATVGEASNAKKNLDGRLFNDQRIQILFSNSELAPNKLDNPTAVSGFPKSEMYYDDGQYGASDYFDPRRGRSRYFEYGGVPVSGGILPSPESGNPLLTGRSAQSTFDPREAKRLRLDAAADPYDTRAGSEGLYSAGYSQRESARSERSSSPAIRIHGTVHRTSYLEHFWRGSIAKGGSPVCRARCLPIRKGVEIPLPDVVNCSARTGLDMLAKHYRDASGFDIVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDGGTTLFLVPPSDFLRNVLQVDGPERLYGVVLHIPQMSAAAPASAPTPVQRPQLTAPESQPYYDEREIPLQRRYSMITPSNNHHRDADHRGSLREDSLHQLGQILARPDEGQVVQPNLAGIPTNAGLQVQPSLQPDMIATLAKLLPSGQSSALVTGQLPLSSADRPALTQMNDASTLAKVWRPENQAMASTSSLEQIGNFQHSGQQFSKQAGAVHLPNYGNLAGAQEHPTQHSAYNPEMTLNLPPPPPPPTLPPSSAILSSQLAVLIFRRTINKLLRLLLHKLLLLRNSLLLCRLLLPHKLLLLHKLLLTRQNGTGSIRRLFSWLSACWVSYNRSLEINLKAILEINLQAKLLIQVCFMCCSAIVLSVPFTTSLL >OGLUM09G17040.1 pep chromosome:ALNU02000000:9:20202447:20203042:-1 gene:OGLUM09G17040 transcript:OGLUM09G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTRLPWRSSTPTSHRRDAVVAAVSLPSCPASSPCTWAPSACTHLSTTSMEAHRGEIARWLEVLTAKGASLWLDLRLPAALFGCSSLTRLHVGVWRLPDTRDILRGAAFPHLHEMVLSCIVMEYRDLAFLLDKSNALEALAIITCQTNMVELVCVCLASCILRIFQVCLTIVNYIDVVDAPRLERLMLWMTSKH >OGLUM09G17050.1 pep chromosome:ALNU02000000:9:20204159:20208124:-1 gene:OGLUM09G17050 transcript:OGLUM09G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43420) TAIR;Acc:AT2G43420] MATAEPGPGPGPRKPACCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTTTTTTSPPPGSPLAHHHLAVDLCDPASLARALAGVEAVFHVDPTGDGSSFLQLHRLAVEGTRRLLAACCRSGVRTVVYTGSADVVVAGARDVVDADEDALPYPDKFGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSSLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFITNDEPIETWEFMTCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSSPLLHPDMVYFLSCTRTLSISRARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNTLVWMASLWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVAFASVKVKSLVGKQVLNWEALPGADRLRD >OGLUM09G17050.2 pep chromosome:ALNU02000000:9:20204348:20208124:-1 gene:OGLUM09G17050 transcript:OGLUM09G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase family protein [Source:Projected from Arabidopsis thaliana (AT2G43420) TAIR;Acc:AT2G43420] MATAEPGPGPGPRKPACCAVTFGRSTLLGRHLAAALAASGRWSTVAVLDPSPPTTTTTTSPPPGSPLAHHHLAVDLCDPASLARALAGVEAVFHVDPTGDGSSFLQLHRLAVEGTRRLLAACCRSGVRTVVYTGSADVVVAGARDVVDADEDALPYPDKFGNAAIELRAQVEMMVLSADGKNGMRTCVLRPSNMFGPGDSSLVRFVAGYARSSLGKFVVGSGANMCDFTYVENVAHANICAEQALCSNASSVAGKPFFITNDEPIETWEFMTCLMEAMGCQRPKFNLPAKILSSAALFSNMMYHKLGLQILSSPLLHPDMVYFLSCTRTLSISRARKLLGYHPIVSLEDGIMRTVGSLSELPDKLDLSRKRGSFGSSKAEKLLGSGITADILLWRDEKKTFSYVTVLFLLFYWFLLSDRTFVSSAAKILLVISLALFIHGVLPPQVFGFTVEKVTSDYFEVSQETLKNTLVWMASLWNGGIYKLRVLAEGDDWTTFLKAFAFLYCVKVMLNLQFRMLMGLVLAFMFVVFIVYEQCEEEIDSLVAFASVKVKSLVGKVIGNLPDALKAYIS >OGLUM09G17060.1 pep chromosome:ALNU02000000:9:20209281:20219268:-1 gene:OGLUM09G17060 transcript:OGLUM09G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEDQHDLILSDKIQLGQFIHVDRLEATTPVPILRGVRPVPGRHACVGNPEDLVVTSSSNFLGNKKAQPTNGLKTTNGLKTTNGLKDTSSLSLEKEKGKLGKINASHKTIGAENKKPMLTKSNSSLSKQALNGLGDKKEAIKSKAKPAGTRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSAGPKALRRSWEGKVDTKGKTNSDSKMTKLEKKPENRGTMATIPRRKPPVDEKVQHKDDSKLQNPAKKTTANAPSDDADKAMKKHPPTVKRTSGISNPNVTNLVKVPPNSKKLTDASNSWTTLPPSLAKLGKELLKYRESAQMAAVEAIQEASAAESLLRCLRLTNMLNTTGHGDSAPGQVASVCDSDLINVVTFLLACVGRSSSYAEVSSTAEEQNPQPAVERFLALHTALSRAAVITDTLARSSAASVSSPDRSAASDAGTVVSVTDEETAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATISSPLAVLVVDESAKPAASAAANAVKSSPAKSRMSPAKGKGRTGPAATAAATAAPPPLPEWEKGVGAEERVELARRLGDESRGWFLGFVERFLDADVAAAAAPWDRERAARMLPQLKRVNDWLGEIGKRGEATPPPPPEADGEAAAATIAAASANGGSAVPEETIERLRKKIYEYLLTNVDSAAAVLGGGASAPAPAAPANAKKGRGKRKIRGQPSLLPSVRKEWLGKPLLYGIGTLLVMPLRTLHGVGRMFGAGRFLCNMTSVSSSLQIELVPCLQDNYAYILHDVDTGTVGVVDPSEATPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSAKDRDRIPGIDITLSEGDTWMFAGHQVLVMETPGHTSGHVCYHFPGSGAIFTGDTLFSLSCGKLFEGTPQQMYSSLQKIIALPDETRVYCGHEYTLVNIQRSFSIPQSNSKFALSIEPGNKDLQEYAANAADLRKRNTPTVPTTIGREKQCNPFLRTSSPEIKNTLSIPDHFDDARVLEVVRRAKDNF >OGLUM09G17070.1 pep chromosome:ALNU02000000:9:20231086:20232870:1 gene:OGLUM09G17070 transcript:OGLUM09G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTNQVGKLPVVTGGYISAQPNLKAQQGLLARRHSVHLISPSSPPSLPRPPRRTLAADSPTVAAAGDLAASTIRPRNPRGGGKIMDPKKENPGGGGGGGPAPPRPDCVKCFDALWFCYSPYYQLQNYYRHGEFDNCFGKWGDLVDCLWLKTRRAAEAEEILAAREKARPHIWTYRTVDEASDNWLRMYGHLVGLGGEDGGGGLVRVITPPSAAAVPRPATFPGLAAAATAAVPRPPPFTGAGAAPSPRKSGGS >OGLUM09G17080.1 pep chromosome:ALNU02000000:9:20232118:20232297:-1 gene:OGLUM09G17080 transcript:OGLUM09G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLASRGEEGTRTGFRGRMVEAARSPAAATVGESAARVRRGGRGRDGGEEGEMRWTE >OGLUM09G17090.1 pep chromosome:ALNU02000000:9:20233955:20240665:-1 gene:OGLUM09G17090 transcript:OGLUM09G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVQAGAAPASPSAAAALGFLLPTCWEIEVTCAAAMILVALYAAYELLAPRPASAGGGSSAAGDDLLLVRDLDGADKYKGGSSGPSAYVVKLELLAAKNLIAANLNGTSDPYALITCGEEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTVLGSVIVPVESEGQSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNSSAEAGARRRISLDKQGPTVVHQKPSHLQTIFGLPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVMLPLRDIDEIRRSQHAVINPAITIFLRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHTFRALQRAVKNFHTMIEAEKQERAQSALRAHSSSRKSSMKEINVPEDCADLTGQLQPFVKEEVLVTVFNGSFPCTAEEFFNTLLSDDSSYITEFRTARKDKDINLGQWHHADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKTDLVFETVQQVHDVPFGSFFEVHCRWSVKTTSSDSCSLNISAGAHFKKWCIMQSKIKSGAVDEYKKEVQEMLEFAESYMRKVRTSNQDNGTPTEQDNGAVTEQENVAPDNTPNDE >OGLUM09G17100.1 pep chromosome:ALNU02000000:9:20241111:20243104:-1 gene:OGLUM09G17100 transcript:OGLUM09G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAGAGGFIAIMAAAVAVLCDVRGAMRCFVLVLSLRMVQPLARTLQCKGSTIAHENVTH >OGLUM09G17110.1 pep chromosome:ALNU02000000:9:20242700:20243994:1 gene:OGLUM09G17110 transcript:OGLUM09G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTSQSTATAAAMIAMKPPAPAKNIATLLPPGAKCRRAFLRGVIAAGAGGSLLVAGDGGGIASAASKRRAPPPPAAPEERKDPSVSGVQAKVLASKKRKEAMKEFVAKMREKGKPVSQ >OGLUM09G17120.1 pep chromosome:ALNU02000000:9:20244446:20254246:-1 gene:OGLUM09G17120 transcript:OGLUM09G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDGVLNRAGSAVADEAALLLGVRREVEFIRDELDMMRSFLKVATANPDADDTVRTWVKQVRDLAYDVEDSLLDFALFADTLSSSSSSSWWLPWRIAERHRVAARIRELKASVEELNQRFLRYRIVVEHPRASRGGGASDDQQQLHDHDGQYYSAELAFQESDIIGRAREKAEVTALVLSGCGGGDVVGGGALGVVSVWGMGGMGKSSLVRMVYNDPELLDAFDCGALVTVPHPLDSADEFVRRLRRHLAVGKDQDVHAYLREKRYVIIVDDLHSREEWEHIWPVLHVDGGKGSRVVVTTRREDVARHCAGLVREGHGHVYELRPLGREESKDLFCQKVYKSTEYILEKEMEDLAGPILKRCRGLPLAISTIGGLLANRPKTGIEWIKLDEHLGAELESSDLRNITKVIVSSYDGLPYYLKSIFLYLSIFPENHEIRCTRLLRRWMAEGYIAKNRDMPVEEVGQRFYNELINRSMIQPSKKRISPSVSVDRCRVHSMVLQIILSKSIEENQLFIIKKHCNEVPQSKIRHLVVSRWKRRDERLENINFSYVRSLTVFGDCPASLISPKMRLLRVLDLEDSLNLKNEDLRHVGELHHLRYLCLRGTEISKLPSLQNLRYLETLDIQDTKVTQLPDGISKLEKLRYLLAGVNFSKELLHKVEQPETDNRKANQLGNMLSCLYCNSSDYCGISSLDRVSVRAPEGVEKLRDLHMLGVINVGHGNGVVGKIKKLTNLRRLGVSGVLKEEGQDLCKSIEKLSRLQRLELRSDSLKFLAESEFAAPKHLLSLRLYGNLVRLPKWIGSLNDLAKLKLLGTQLKQGEIMHLGKLRNLAFLGLWDNSYVGYSLHFGPGTFPKLKFLDIDGLKNIETVAIENGAMPELEQLWVNDCKELLDSKDGLSGVPHLTNLNELLVKKCGEKENLMEILQTQVSEHSKRPKFLIEYFVWLVTEESKYPTTFANALIDRAEQSKARREMEATAVSLARTVLDGVLGGAGSAVADEAALLLGVPREVDFIRSELEMMQSFLRATSGCAGDTARTWVKQVRDLAYDVEDCLLDFALHAHAHASSSSCAPPLWLRPWRLAERHRVAARIRELKASVEELNQRNHRYHVVPVLAAGDQQQQQHEPPAAPPPARGEQHHLRFRDWQVIGRGEEESELAKLISSGGDDDAETRRRVVSVWGMGGMGKSSVARSVYNDPAIIDGFDCRAWVTVPHPLDSAGEFKRRLVAQLETEVDGGDGGDDVSAWLRQKRYLIVVDDVRSLEEWEHIEPCLVESDAGGGRVIVTTRQVDVAQRCVRGMEHAYELKTLAAPHDMRLLCQKVYKDPEYTLQLHMLEEANKILGRCRGLPLAIATIGGLLANRPKTSAEWKNLRIHLGSELEFDQDINSINRVITSSYDGLPYHLKSCFLYLSIFPENHEIRCTRLVRRWIAEGYIAKRRDMTVEEVGQKHYNDLMNRSMIRPMKKKIGASMAVERCQVHGMVLQIILSKSIEENQLFIIDKHCNEVPQSKIRHLVVTRWKRSEEKMATNINLSLVRSLTVFGECPASLISPKLRLLRVLDLENAVDLENDDLKHIGDLHHLRYLGLRGTNISRLPSSLQNLKCLETLDVQDTKVTHLPDGTAKLEKLRYLLAGVNFAEDLAEKMQTNAKNKANKCNGNLLETLADVVCRCRRGFSECCESSSSCFAGHFSVRAPEGIEKLRNLHMLGVVRIERDSGVAQKLGKLISLRRLGVDLDATGEEGKALCNSIQKLARLERLEVRSKSLLFLNDLNGLAPKHLLSLRLYGHLEKLPDWVSSLNDLAKVKLLETQLEQKDINLLGNLSNLTSLGLWGKSFAGVSLHFSRDMFKNLKSLHIQGLENLETLNFEKSAADRLEKLLVKKCFALSDNERGISDILFLKNIVEITLISKGDKPHLQKGLQRQVSEFELVNQRRPKLQIVNSMSGRSPRANTIVG >OGLUM09G17130.1 pep chromosome:ALNU02000000:9:20280240:20286858:1 gene:OGLUM09G17130 transcript:OGLUM09G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5D6] MLARWLLVLLLLLPVSWCHQDRHGRRHYPRRWRSSCSRRELHEPLFPLENAPALPPPPPPPPAPFFPFLPDSAPPQLPPPVTTPAPAGGAGDGGTDAGAAATGDASSSSSSPASPHPTAPANISYMAMPIYHSAPLRSFLSSHRLLTVLLPVAAVLAAVLAAALVYLLTRRRRCSKGEPHAAHTKAVLLSPGNSTALYDGDHDQHGRGSTATAASSASSPELRPMPPLPRQFQQTRTSMPSTSQTIHEAGAEDKRAPPPQSVRPPPPPPPPPPPPPMPPRTDNASTQAAPAPPPPLPRAGNGSGWLPRRYTERAAPTVIRASAGAVHPEESPARASPEEKAADAAARPKLKPLHWDKVRPASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRASKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDRCKRFRASISEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSVKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSRRLENAPVLARFNAVQPSSSEEESSSS >OGLUM09G17130.2 pep chromosome:ALNU02000000:9:20280096:20286858:1 gene:OGLUM09G17130 transcript:OGLUM09G17130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5D6] MLARWLLVLLLLLPVSWCHQDRHGRRHYPRRWRSSCSRRELHEPLFPLENAPALPPPPPPPPAPFFPFLPDSAPPQLPPPVTTPAPAGGAGDGGTDAGAAATGDASSSSSSPASPHPTAPANISYMAMPIYHSAPLRSFLSSHRLLTVLLPVAAVLAAVLAAALVYLLTRRRRCSKGEPHAAHTKAVLLSPGNSTALYDGDHDQHGRGSTATAASSASSPELRPMPPLPRQFQQTRTSMPSTSQTIHEAGAEDKRAPPPQSVRPPPPPPPPPPPPPMPPRTDNASTQAAPAPPPPLPRAGNGSGWLPRRYTERAAPTVIRASAGAVHPEESPARASPEEKAADAAARPKLKPLHWDKVRPASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRASKNGVKEANAACCNQENKVLDPKKSQNIAIMLRALDATKEEVCKALLDGQAESLGTELLETLLKMAPSREEEIKLKEFREDAVSKLGPAESFLKAVLAIPFAFKRVEAMLYIANFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNASAFKLDALLKLVDVKGADGKTTLLHFVIEEIVKSEGASILATGQTSNQGSAIADDFQCKKVGLRIVASLGGELGNVKKAAGMDSDTLASCVAKLSAGVSKISEALQLNQQLGSDDRCKRFRASISEFLQKAEAEITAVQAQESLALSLVRETTEFFHGDSVKEEGHPLRIFMVVRDFLTVLDHVCKDVGRMNERTAIGSSRRLENAPVLARFNAVQPSSSEEESSSS >OGLUM09G17140.1 pep chromosome:ALNU02000000:9:20284092:20286812:-1 gene:OGLUM09G17140 transcript:OGLUM09G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRSTATAALALSRTLARRPAASSSSRRISLELSAPRGTNPFQSAAFSSTTTGDPPPPTMDSPIKVVSHIGGSGGDGGGGGGAIDAGRSARKPLSLWPGMYHSPVTNALWEARSSIFERMIDAGAAGKQQQQPPQTELLTKTPAGSRTSIVYKFATDNILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLLVTASVDKMELKKPICVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDSDMQSDPIALTANFTFVARDSMTGKSAPVNRLSPETEKEKQLFAEREARDKLRKRKREEQKGVFENGINKLHVEAERLNSLLAEGRVFSDLPALADRDSILLKDTRLENSLICQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNAEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSDALKNGLKIRHVVPSTEEEARRILERMDAEGLFD >OGLUM09G17150.1 pep chromosome:ALNU02000000:9:20287253:20290678:1 gene:OGLUM09G17150 transcript:OGLUM09G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAKSNKRQKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQWRSIIRDPKFVTSHLQLAPHCALLFFPRELVSSCGLYPSEAILIDEAWSQSTWDVPMIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSRETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGASWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIQIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELHGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASIVRRPKRKEGWELKKWEAWESQRRKIEDIWKKVLQSEQYSIVTTKNLCTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGGAR >OGLUM09G17150.2 pep chromosome:ALNU02000000:9:20287021:20290678:1 gene:OGLUM09G17150 transcript:OGLUM09G17150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKAKSNKRQKLECIISRLPRDLIEQVFLSLPVKTLLNCIGVCKQWRSIIRDPKFVTSHLQLAPHCALLFFPRELVSSCGLYPSEAILIDEAWSQSTWDVPMIGPDDFLCGSSNGLVCLYTHTTTIKIANLATGECLHLAKPAKNLTDDHFSFYSFGFHPLTKEYKVTHFLASSRETRIRAKVDSFDGVQVYTLGDEKWKYIGAPEALSLNCVKNSGVVNVDGTMYWLTEDQGASWHHAVMSFDLNKESFGRIQLPTAALEDSAFYGPRRYWIKEIDGKVCIATCQTSDNQPILLRGEIQIWALDINLEQKWIQKYIIQPSAQHIPGPNIVHRDKIVLQHDARNLCSYELHGKNVEVKLSNMEKLLDFSPRKPGSMQVYTFVKSLVRLDSYKKASIVRRPKRKEGWELKKWEAWESQRRKIEDIWKKVLQSEQYSIVTTKNLCTTINRLMQRLPDDEALKCIGMKIDQMLHYLPEDCPNQACDDVFRTARSWLSDQGTSISTADASFWFDLQHFLLGGGGAR >OGLUM09G17160.1 pep chromosome:ALNU02000000:9:20292132:20297046:1 gene:OGLUM09G17160 transcript:OGLUM09G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVATRAGKGGGGRTGGSDGGGGGGGNWHMRQKSAVTTTLRIFSCCSRHRDVAATVTVDPQLHPCPRHCSEADEEVEPETQIDR >OGLUM09G17170.1 pep chromosome:ALNU02000000:9:20327826:20349495:1 gene:OGLUM09G17170 transcript:OGLUM09G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCPRCTRHLFVQRSRHDLYIKHRTVVLLSTISSKSQPRKSSTRESKLEAMVVKSGEGSVHVVLVPYPSQGHINPVLQFGKRLAGHGGVRCTVAVTRFVVGSTTKPCSLGSSPVRVAVFSDGCDEGGPAELGGHCGPYFQRLEEAGSVSLDELLRGEAERGTPATVVVYDTFMPWVPRLARRHGAACAAFLTQTCAVDVVYTHARSGRLPVPVGEADGPLRLPGLPVELDAGDVPTFLAAHDTHHPSMRALLMNQFVGLDNVDHVFVNSFYELEPQEAEYMAATWGARTIGPTVPSAYLDNRLPDDASYGFHLHTPMAAACREWLDARPAGSVVYASFGSIAAPGPETMAEVAEGLYSSGSPFLWVVRATETGKLPAGFAARAKNTGLIVPWCPQLEVLAHAAVGCFVTHCGWNSTVEALSAGVPMVAVPQWSDQTTNARYIEDVWRVGVRVRGGGGGDGGAVVRREEVERKVREVMEGERSKEFMRNAASWSSKARVAMGEGGSSDRNIAEFLSKYRIPLKLLYDNCHPLAFLLNYCNKEAQMSLVTKIASPMTSLAPYLALNWSRKLIDTRNKNMEIRQCYCYPICDSSRFSNGNKSMIQLYRVHVLLLSYPAQGHVNPLLQFGKRLTAHRRVRCTLAVTRSLLNSCCRAPPSPGGGGGVHVATYSDGCDARGYDELGDEGAYLSRLESAGSATLDELLRGESGEGRPVRAVVYDAFLPWAAPVARRHGASCAAFFTQACTVNVAYAHAWTGRVELPLPTSAPAPALPGVPPELEPADFPTFLTAPAAGRSAYLDLLLRQCQGLEVADHVLTVGPTVPSAYLDGRLPGDASYGFDLHTPMAAESKAWLDERAASSVVYVSFGSLATPSAAQMAELAHGLRDSGRSFLWVVRSSETRKLPDGFTGETAAKKNTGLIVPWCPQLEVLAHGAVGCFVTHCGWNSTVEAVSAGVPMVAVAQWSDQPTNARYVEEVWRVGVRARADGEGLVRKEEVARCVAAVMDGERGREFRTNAARWSAMARAAMSQGEKDPKQCLGIGSAQKNIDLPVAVRKNSAGKKDDLAVKAITKSSAETKNQVEFSIGSGLGHNYKSVRKISLGCFFLMVAVGTGTARYQNPGRWIWPDPTAGRVWYQAVEGQKRNFACNNRIGRDGRAARTLEVLGFSIDRRRRLLEVNSAVAAAASGKDDIDAATVAGNDEFAAAVACFNHRASLVAAMASSERGGGGRGGIHVVLLPYPSQGHINPILQFGKRLAGHGGVRCTLAVTRFILRQGEPPSTGAVHVAAYSDGYDAGGFHEAGSAGEYLSRLESRGSDTMDALLRAEAEQGRPVDAVVYDSFLSWAPRVAARHGAATASFFTQACAVNAAYESVFTGRVELPLAADGEEPLRLPGISVGLTLDDVPTFMANTEDSPAYLDLLVNQFKGLDMADHVLVNSFYELQPQEAEHMASAWRAKTVGLTVPSAYLDNRLPDDTSYGFHLFSPTTETKAWLEARPPRTVAYVSFGSVATPSPAQMAEVAEGLYNTGKPFLWVVRASETSKIPEGFAAKAAKQGRGLIVTWCPQLEVLAHPAVGCFVTHCGWNSTTEGLSAGVPMVAVPQWSDQTMNAKYIEDVWRVGVRVRPDGEGVVRKEELERCVREVMEGERSKEFMENANGWKEKARNAMCEGGSSDKNIVEFIAKIGVDRKFK >OGLUM09G17170.2 pep chromosome:ALNU02000000:9:20313630:20328427:1 gene:OGLUM09G17170 transcript:OGLUM09G17170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAWRAKTIGPTVPAAYLGDGRMPGDTKYGFHLFELTTAPCVAWLGAHPPRSVVFASFGSLSDLDPSDMREVALALLDAGAPFLWVVRSSESHKLPAGYAAAAAAANGMVVSWCPQLEVLAHPAVGCFLTHCGWNSTAEALVAGVPMVALPQWTDQPMNAEYVEAVWGAGVRVRPAAAGGLAARAEVARGIEEVMRGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVANTKPTDAHVSQVYPPPVRPKIAPRPLYKAQNCRPSLYHK >OGLUM09G17180.1 pep chromosome:ALNU02000000:9:20349516:20350870:-1 gene:OGLUM09G17180 transcript:OGLUM09G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNRIGTHRRGRNRRWSSAAGVGEDDADLQDRCAIGWVLLPVPPPMAMAAAAAGVREAVIADQGEMGCFNINVRNARMTYILKRRE >OGLUM09G17190.1 pep chromosome:ALNU02000000:9:20362831:20369034:1 gene:OGLUM09G17190 transcript:OGLUM09G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLEELLEAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPNMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >OGLUM09G17190.2 pep chromosome:ALNU02000000:9:20362831:20369034:1 gene:OGLUM09G17190 transcript:OGLUM09G17190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERQSSSRLGALEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGSVPHVQKIFAASDPELVGELAARQNQDGETALYVSAEKGHTEVVSEILKFCDLQSAGLKATNSFDAFHIAAKQGHLDVLEELLEAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDLSVIHVEDNKGNRALHVATRKGNTVIVQTLISVKEIVINAVNRAGETAFAIAEKLGNEELSNILREVGGETAKEQVNPPNSAKQLKKTVSDIRHDVQSGIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFTIPGNFLEDMKDPHDPNMTLGQAFVASNPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVMNKLMWMACLCISAAFIALTYVVVGRDDRWLAWCTMAIGTAIMLATLGSMCYCIIAHRMEEKNMKKIRRSSTSQSWSISVDSDTELLNNEYKKIYAL >OGLUM09G17200.1 pep chromosome:ALNU02000000:9:20367944:20369557:-1 gene:OGLUM09G17200 transcript:OGLUM09G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLKRITGMAKGLSAVPARKNEDESLVLFGELYRHEKEKDVNLLEPMYSVEFEAIQGTSRMFKLPSGKKDHLLPDGGKHDYDWLKTPPATPLFPSLEMEANSSQMVFQRELPILQPVKTSRFSIKPEPTSTSTRTESPTSSSSKSATPTAAPSSSSSKKNFTKGVPVLSEVTTAYKMDKRSSYTPLKNIQQLAAPTTKSTAASKAAKKTSASKKPEFPGSTNAVNKMAKPGIPDKPLKKTTATAPKARSKDPAIGMKDLKMDAGTARRMPCPPAATVGSNNELNKVAGKGGRRTGGEPAPGNGSRATEATTNGRRRAVAEKEHGQRLGSLAKK >OGLUM09G17210.1 pep chromosome:ALNU02000000:9:20370447:20374876:-1 gene:OGLUM09G17210 transcript:OGLUM09G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:inositol 1,3,4-trisphosphate 5/6-kinase 4 [Source:Projected from Arabidopsis thaliana (AT2G43980) TAIR;Acc:AT2G43980] MKSASLQALNPNRAMAAMGRSVRVVLDSSILLDPSAARLGGGGVTAEVALRPGAEALLRRLRFSNLRVAICHPEGLPTNESGFLERTAKLYSFGYMPLTSPSGSNLLNELMLEWSGTNSCFYVTSGVHEGLLSELQNHNWAVIAIGNEDVIKNSGVIHISMLQELLITLATSIKKRGAFPIYPSKNDLIFVPLSFELPLASQLQEVDLVLHKITDEIIKIDPNSSISFPKRISFSPGMSEIIRFVEEHCDFCVIDPFKNIYPLLDRIQIQEILVRLEGLSAEGRPRLRAPCSLKVENFCGSELQKQLAEAKLSFPLIVKPQVACGVADAHNMALIFKIKEFSNLSVPLPAILQEYIDHGSKIFKFYAIGDKVFHAIKNSMPNASHLKSSSGGKPLTFNSLKTLPVATKEQLLQNEVQDSKLLDINLVEEAAKLLKELLGLTIFGFDVVVQESSGDHVIVDLNYLPSFKEVPDNVVMPAFWDAIKQSYESRKQMMQT >OGLUM09G17220.1 pep chromosome:ALNU02000000:9:20375471:20375761:-1 gene:OGLUM09G17220 transcript:OGLUM09G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVFGVIKKRRRRRPGRCYERLHSAGGGGVYRSQSCRFPVRAPADDEEELEFLYYDDGGRRRASPAGDISGKLSRSLRFSSMRVLACVSGA >OGLUM09G17230.1 pep chromosome:ALNU02000000:9:20377968:20378303:1 gene:OGLUM09G17230 transcript:OGLUM09G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: cellular_component unknown; CONTAINS InterPro DOMAIN/s: Cytochrome c oxidase assembly protein PET191, N-terminal (InterPro:IPR018793); Has 241 Blast hits /.../ proteins in 124 species: Archae - 0; Bacteria - 0; Metazoa - 100; Fungi - 94; Plants - 38; Viruses - 0; Other Eukaryotes - 9 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G10865) TAIR;Acc:AT1G10865] MAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQACLPPPALNHCRNYQLC >OGLUM09G17240.1 pep chromosome:ALNU02000000:9:20383876:20384916:-1 gene:OGLUM09G17240 transcript:OGLUM09G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01180) TAIR;Acc:AT1G01180] MKPRPSPAAASSGGVPARLRPHLTRLTVFLIVFSAGYSVGIMSSSIRPPASKPSQTVIRPRAAHLTGTASSTDVMASNGSAAAAAAANYPRSPPHDLFRFREECGEAIPSDAVVRTLLDKLFDGESPYESFPPPHTAALLHPAATRPRGWGSTGAVFAELIEEVRPDVIVELGAFLGASALHMAAVSKNLSLSPAILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMSNVAAAGADATARVLPLPFSTASALAALCHWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTAADDRGVRRAVTLFAMVKGLTVRPHGQHWVLSPKPPLRRDGR >OGLUM09G17250.1 pep chromosome:ALNU02000000:9:20393775:20394605:-1 gene:OGLUM09G17250 transcript:OGLUM09G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELLSPCSSFSPLSPSSMFSTGAAAAAAHAVLEFTSCEVPDEWLMGDVVVAKNEEGVGGGELWPVFAGGSLSPDSELSELPRSFEAAAAQRPAKRRGRKPGPRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLESDARQAAAARFEPSSCGGGGGGGGAAPGLDEAVEVRKMGRDAAAVRVTTTGARHAPARLMGALRSLELPVQHACVMRVHGATTVQEVLVDVPAALQDGDALRAALLQRLQDS >OGLUM09G17260.1 pep chromosome:ALNU02000000:9:20439957:20440436:-1 gene:OGLUM09G17260 transcript:OGLUM09G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGMKDFYRQKKKGGPTKASSSSKKKTQHYTGGASVGASDTAQTSALISHGNLDLKDDFSEQEEQLRLFDMDMKFGPCIGVTRLQRWERASAMGLHPPPHLRDLLLNNTHAGNHNNNGPSLECLWEGKV >OGLUM09G17270.1 pep chromosome:ALNU02000000:9:20442674:20447307:1 gene:OGLUM09G17270 transcript:OGLUM09G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G24160) TAIR;Acc:AT4G24160] MRRAAAAAVTVTTTTRMAAEGMSTAAAAAEATATAAPAAGSRWGRAWPSALRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSSPPGSKVRWFRSSSDEPRFINTVTFDSEENAPTLVMVHGYGASQGFFFRNFDALASRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALQHPEHVQHLILVGPAGFSSETEHSSEWLTKFRATWKGMLVNHLWESNFTPQRIVRGLGPWGPGLVQRYTSARFGSHSTGELLTEQESTLLTDYIYHTLAAKASGELCLKHIFSFGAFARKPLLQSASDWKVPTTFIYGQQDWMNYQGAQQARKEMKVPCEIIRGGHFVFIDNPSGFHSAVFHACRKFLSGDGEEGLSLPEGLTSA >OGLUM09G17280.1 pep chromosome:ALNU02000000:9:20448209:20449882:1 gene:OGLUM09G17280 transcript:OGLUM09G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat-containing protein At2g36980, mitochondrial [Source:Projected from Arabidopsis thaliana (AT2G36980) UniProtKB/Swiss-Prot;Acc:Q9SJK9] MGAPPSPPTRQPPAALRSPAAGAQLHGRLLRLGLRAPLPVGNALVSMYAKCARAADAARAFREMPERNALSWCSLLHAFVVSSHMELAHELFDEMPSKRNVAWNTLLMGHSRSGNAKQCLALFNQMWMSGLTCDDATLCILVDACAELPDPSTGFAIHKVVVQSGWNGIPEVNNSLISFYTKFSLLDCAVQIFESMKTRTTASWNSLIDAHARFGYIEQAALLFESAPETNIISWTAMIGGFARNGLTSEALAHFVKMLTQEYIQPDDFTFGAVLHACASAPCLASGRMVHSCAFQGGFASYLYVANNLVDMYAKCGDVEGANNVFDAIHQKDLVSWNTMLFGFAINGLPKEALEVYEIMTYHNVSPDEVTFTGLLTACSHSGLLEQGRAFFESMMSVHGVQPKPEHLSCVLDMYARSGNIAKAIEMMEQYPEIVKSPGSGLSEALLSFCSSENLDFWVGRKVGDDVVARAPARDTGYVMLSNLLCASGRWDEAERVRRAMAEQGIKKSPGCSWIEVKGKVKVFVSSEQAIDLTDTVYGLIYLLDYEMRNSMLLCDV >OGLUM09G17290.1 pep chromosome:ALNU02000000:9:20452520:20456476:1 gene:OGLUM09G17290 transcript:OGLUM09G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDPTRRLSLLGGSSGEHQPPMVLPCISALVDLASRRRSLPSSVLLPPFHPMPVCFCSSRGPGFFHYHMPPTLTPTVGGVGDGSHHIYPFSDFPFFSMDSIALPTNAHLDGSTVIPLTSSLIGFNEGLPGQPPLWEGYRRLQSDLNVGFPQPNLQMLPLAPVKLEPMTEEHQSRGKSIIIADNLVDSNMGSNMLLGSSSNANQDQIHQLGSQDRILQEHRRIETTENEANDSMCGSSSMPHRRRNSSVGSSRMTRNLDPGAGSSSSHGGNGPVVGDTAAAANLGDGEFSEADKKTIMASEYLSQLVLSDPKKVKRVLCNRRSAARSKERRLNYKLELESKVLVLKIEIEKLSEKLATAQRTFNELLAQNNELKIKIQETGRERQMKEAIFKSIGYESLQVVVDGEFVMPNGTHEETVARLIELLEPETQAGPSQIQGYQP >OGLUM09G17300.1 pep chromosome:ALNU02000000:9:20457105:20462304:-1 gene:OGLUM09G17300 transcript:OGLUM09G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRLIAAVACATAAAAAPTSSRGLPASARRAGRRPAGERALAAHLGRPRLQRRRVGDGHDVGLELRGEDGAQGLKRSLLGNAYFMIVDYSDLHFFSLYNRFHFLVERCALARGISSIIRSLLSCISHLQSIPGKLKKFIEEEGSSIGMKPPLCLDMDRMSGLRERDVSRIGTLLDGIGRCSSLAPRFSPFHDGFCDGDEWILGVPALSWKNGFQRMASLMESWPLKLAETAAGQSTAAAEKAAGSCPHGSQEEECFLFISLLKPYRKKFGLRLRRRRETMRRSDGMEMEMVNLKLYLENRCILEENERLREKASALHRENLALRADLRNTSSPATTAAAASSC >OGLUM09G17310.1 pep chromosome:ALNU02000000:9:20463540:20466371:-1 gene:OGLUM09G17310 transcript:OGLUM09G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVLVRPHIAGVHHLPTGRRLPRLAPPQGVSPPLRFSRQKGSVVAASGRVWASASGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKE >OGLUM09G17310.2 pep chromosome:ALNU02000000:9:20463542:20466371:-1 gene:OGLUM09G17310 transcript:OGLUM09G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNAAVLVRPHIAGVHHLPTGRRLPRLAPPQGVSPPLRFSRQKGSVVAASGRVWASASGSFEKDRIGDDDVLASPQIVEESKVDLLKILKSANTIIPHVVLGSTILALVYPPSFTWFTTRYYAPALGFLMFAVGVNSSVKDFIEAIQRPDAIAAGYVGQFIIKPFLGFLFGTLAVTIFNLPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTSLSTATAVFVTPTLSYFLIGKKLPVDVKGMMSSIVQIVVAPIAAGLLLNRYLPRLCSAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLATVLLLFAFHTSSFIAGYHLAGTWFRESADVKALQRTVSFETGMQSSLLALALANRFFPDPLVGVPPAISVVLMSLMGFALVMVWSKRTKE >OGLUM09G17320.1 pep chromosome:ALNU02000000:9:20468447:20472919:1 gene:OGLUM09G17320 transcript:OGLUM09G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (PRH75) [Source:Projected from Arabidopsis thaliana (AT5G62190) TAIR;Acc:AT5G62190] MPSLPVAAAEPMAVDESASKKSKRKLKAAEVEVEASSRKKEKKRKAKEPSPSSSSSSEEEERSSTSSDEPAPAAKKAKKEKTKEKVVVEEEEDDDDEGELTASGDEDPADPNALANFRISEPLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPTVLVLLPTRELAKQVHTDFAFYGATFGLSACCVYGGSDYRSQEMAIRKGVDIVVGTPGRVKDFVEKGTLNFRSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATIPEWVKKLSLRFLKSGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASDLSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGSRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKRY >OGLUM09G17320.2 pep chromosome:ALNU02000000:9:20468447:20472923:1 gene:OGLUM09G17320 transcript:OGLUM09G17320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD box RNA helicase (PRH75) [Source:Projected from Arabidopsis thaliana (AT5G62190) TAIR;Acc:AT5G62190] MPSLPVAAAEPMAVDESASKKSKRKLKAAEVEVEASSRKKEKKRKAKEPSPSSSSSSEEEERSSTSSDEPAPAAKKAKKEKTKEKVVVEEEEDDDDEGELTASGDEDPADPNALANFRISEPLREKLKSKGIKALFPIQATTFDLVLDGHDLVGRARTGQGKTLAFVLPILESLVNGTHKASRRTDYGRPPTVLVLLPTRELAKQVHTDFAFYGATFGLSACCVYGGSDYRSQEMAIRKGVDIVVGTPGRVKDFVEKGTLNFRSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTKVQTLLFSATIPEWVKKLSLRFLKSGKKTVDLVGDEKLKASASVRHLALPCNRAARAQVIPDIIRCYSRGGRTIIFTETKESASDLSGLIAGSRALHGDVAQAQREVILAGFRSGKFLVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLFEPRYKFNVNRIERESGVKFEHISAPQPTDVAQSAGTEAAEAISSVSDSVIPVFREQAEQLLNSSGMSAVDLLAKALAKAVGYTDIKKRSLLSSMDNHTTLLLQTGRSVYAAGFVLSTLKRFMPEERLADVKGITITADGTGAVFDVPSAEVEDYIQGAQNAAMVTVEEVKQLPPLQEREQSGGSRGGGRFGNRRFSGGGGGRGGGGRGFGGGRGRGGGGGNRFNKRY >OGLUM09G17330.1 pep chromosome:ALNU02000000:9:20474891:20476543:-1 gene:OGLUM09G17330 transcript:OGLUM09G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRCASPRCALAAALIAAVVAATSSSLAEATATPPLPVLPVPTAAQLRWQRREVIMFFHFGMNTFTDSEWGTGREPPAAFRPAALDASQWMDAAAAAGASLVVLVAKHHDGFCLWPSAHTAHSVRASPWRGGRGDVVREFADAARARGLDIGIYLSPWDRHDKRYGREVAYNEYYLAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVRQLQSSINIFSDDGPDLRWVGDENGSAGSTCWSTINRSKITIGEAGIEKYLNTGDPRGKDWVPPECDVSIRPGWFWHKNETAKPLPELLEVYYNSVGRNCVLLLNAPPNTTGLVDAADIARLREFRAAVTAIFGTDLAAGSAARASGERGGRFAAANVLDGRDDTYWAPAAAEAEDGGGYWIELRRPASAAARKFNVVRIQEHVAMGQRVERHEVYVDGGGAAVASGTTVGHKRLHRLGAPVAGRTVRVWLASRRGPPLLSAVGLHLDPFAAGGGTM >OGLUM09G17340.1 pep chromosome:ALNU02000000:9:20479356:20479951:1 gene:OGLUM09G17340 transcript:OGLUM09G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDGIPSPNGILLLHRCDSHPCDAAEGINARPSPAAAIPVPLPPPPSPPRPPPPLSSPSLAAAATPIHRRRRHRRRLQGTTAGGRPRVRMLLSPTGCPVISSRNHLIPGRYHPIPSKYHKSSIPDMIPREYHAIHGRNRLVHYRYHRIPHEYHTIRGRNHLIPDRYDMIPREYHAIRGRNRMILYKYHLKRV >OGLUM09G17350.1 pep chromosome:ALNU02000000:9:20482859:20484310:1 gene:OGLUM09G17350 transcript:OGLUM09G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5G4] MAHRDHLLAAAHGALAAATLVACVLAEAAVLALRRGDGAAGMLCYYLVPVSAMLLLYRSRRRAAAARVGLVDFACLRPPPRLRIPVAGLLEHFKLIGCFDDGSVEFMTKVIEASGMGNETYFPPSLHHIPPAATHGEAIREAHMLFFPALDDLFAKTGVPPSSVGAVVVNCSGFCAAPSLSAIIANRYGMPSDVRTCNLSGMGCAAGAIGVDVAAGLLRAHAAMSYAVVVSAEIVTVGWYSGKDQSKLLLNCYFRTGCSAALVTTKRGGGGVKYRLVSVTRTNQTANDRSYRSGYRDEDDEGITGFTLGHGVGRMVSELLRAHLLTLSLSILPWREKLRYVAALLRHRRHDKKAGSGGGIPMPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEGEMEAALMAFHRFGNQSAASLWYQLAYMEAKGRVRRGDTVWQLAVGSGLKANSLVWERVADDDHFATERHGRTTLGPWADCIHKYPVTEG >OGLUM09G17360.1 pep chromosome:ALNU02000000:9:20489299:20490438:-1 gene:OGLUM09G17360 transcript:OGLUM09G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQGKGAETAAAGERVAPGTNAAAFAGLGYPPIQSPVALQEEEGSRDAAFAGYAPIRSPVVSRLQEKGEGEGEEEEVDKREEAGMAADGSAFAAGMALVPKPEPVAVEFLRGLAVAKPPPRNRDRHVKVEGRGRRIRMPVNCAARIAQLTRELGHKSDGETIRWLMQQSEPAIVAATGTGTVPAIATTVDGVLRIPTESPSAAARGDEPAPKRRRKLQPTRAAAGGPVEALAAAPPPAVYYPIVADPLLQANGGGSISISSGLAPASSATPPTATGGGAIPFIAMPATSDGGKQAMSPATVWMVPPGGAGAVNQPIQYWAFQPNPDHANFAGASSYNVGQNPGVHEASAADHAASTGGGGEDDEYEGMTDSSSDEE >OGLUM09G17370.1 pep chromosome:ALNU02000000:9:20492685:20499510:1 gene:OGLUM09G17370 transcript:OGLUM09G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRKDVGILAMDIYFPPTCVLQESLEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSMTVVTSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEECGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPIAFESKYKASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCKKYEKLAGKQFSISDADYFVFHSPYNKLVQKSFARLYYNDFTRDCSSVDNDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKPLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHASLDGQRIVMFSYGSGLTSTMFSLKLNNGQDPFSLSNIASVLNATEKLESRHMTLPEKFVETLKLMEHRYGAKDFETSKDTSLLPPGTFYLTRVDSMYRRFYERKADEEIAAAKAKYSNGHATNGYANGH >OGLUM09G17380.1 pep chromosome:ALNU02000000:9:20497550:20500676:-1 gene:OGLUM09G17380 transcript:OGLUM09G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G01910) TAIR;Acc:AT1G01910] MADGGGDGDGAMPDPTVRNLLEQESLKWVFVGGKGGVGKTTCSSILSILLASARQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFNNLYAMEIDPKVENDDFANEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMALKNKFGGLLNQATRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDAHNIIINQVLFDEEAVESKLLKARIKMQQKYIDQFHMLYDDFNITKLPLLPEEVCGVQALQNFSRHFLAPYKAALKRGTVEEVEQRVSLLKSALQEAESELDRLRKGKQVA >OGLUM09G17390.1 pep chromosome:ALNU02000000:9:20502454:20513436:-1 gene:OGLUM09G17390 transcript:OGLUM09G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGRVNEYTEQTKEGTQYRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKRRARLQDIPLLQEITELREDYRSVGLDLVTLLKFVELNANAVRKILKKFDERLGYKFTDYYVRSRSNHPYSQLQQLLTTIIDLVISELLKKDPIIDLITATADKLTNSTNFLRFLGQHALIAQADSTAGTEDEQHVGEDKYHLMSLVLNFANTFLYMVNTYIVVPTADGYATSLGAAATACGAVIGSMAVAQVFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYAMAFDLGSLTILLLGRVLCGMGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPALAGLLQTNFSLYGLTINQITLPGWIMAFGWLVYLIWLWISFQEPDLGPDAKDFYEGSSSSTSTRYMEQEKMEQGFTEHLLPSEQDEEDDNGDEEHNETLSSWTTTLRPASSVASAYTLLTPSVKVQLLIYFMLKYAMEILLAESSVVTGYYFGWDIGTVSVFLAVLGLSVLPVNAIVGTYISNMFEDRQILVASEMALLTGVMLSFKLTAEYTAAQYVCSAVLTFVSAEVVEGVNLSLLSRVMSARLSRGTYNGGLLSTEAGTVARVVADGTITAAGLLAGEGRLLNATLLPALLVCVASIAATLSTYNSLFY >OGLUM09G17400.1 pep chromosome:ALNU02000000:9:20515133:20519799:1 gene:OGLUM09G17400 transcript:OGLUM09G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G01880) TAIR;Acc:AT1G01880] MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSAAIRARSPHARLPHLRTLFFRTLSLFSKMGAFPVFVVDGQPSPLKSQVRAARFFRGSGMDLAALPSTEAEASADAPVQPRNAKFTRYVEDCVELLEYLGMPVLRAKGEGEALCAQLNNQGHVDACITSDSDAFLFGAKTVIKVLRSNCKEPFECYNMADIESGLGLKRKQMVAMALLVGSDHDLHGVPGFGPETALRFVQLFDEDNVLAKLYEIGKGVYPFIEGVSAPNIDDLPSPSTKSLPRARSPHCSHCGHPGNKKNHIKDGCNFCLVDSLENCVEKPAGFICECPSCDKARDLKVQRRNENWQIKVCKRIAAETNFPNEDIINLYLSDDNLDNENGVPLLTWNKPDMEILVDFLSFKQNWEPAYIRQRMLPMLSTIYLREMASSQSKSFLLYDQYKFHSIQRIKIRYGHPYYLVKWKRVTRSMISNDSPSKQTELEGKNDKVEVLDGDDEVVDEEEEEPTMISETTELLDEPDVPQVLDDDKDCFLLTDEDIELVNAAFPDEAQRFQEEQRLKEAKSRARKSKLNVAGFETPKGPRPSGVQLSIKEFYRSKKGLSGDSGKDGSRKSSDVDLSKNLPKSVRRRLLFD >OGLUM09G17410.1 pep chromosome:ALNU02000000:9:20521144:20521769:-1 gene:OGLUM09G17410 transcript:OGLUM09G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEEAAYRTVWSEPPKRPAGRTKFRETRHPVYRGVRRRGGRPGAAGRAACLNFADSAWRMPPVPASAALAGARGVRDAVAVAVEAFQRQSAAPSSPAETFADDGNEEEDNKDVLPVAAAEVFDAGAFELDDGFRFGGMDAGSYYASLAQGLLVEPPAAGAWWEDGELAGSDMPLWSY >OGLUM09G17420.1 pep chromosome:ALNU02000000:9:20525066:20525806:-1 gene:OGLUM09G17420 transcript:OGLUM09G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAGHEVNSSSSSSGAESSSSSSGRQQYKKRPAGRTKFRETRHPVYRGVRRRGGAGRWVCEVRVPGKRGARLWLGTYVTAEAAARAHDAAMIALRGGAGGGGAACLNFQDSAWLLAVPPAAPSDLAGVRRAATEAVAGFLQRNKTTNGASVAEAIDEATSGVSKPSPLANNAGSSETPGPSSIDGTADTAAGAALDMFELDFFGEMDYDTYYASLAEGLLMEPPLAATALWDNGDEGADIALWSY >OGLUM09G17430.1 pep chromosome:ALNU02000000:9:20529148:20529864:-1 gene:OGLUM09G17430 transcript:OGLUM09G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIKQEMSGESSGSPCSSASAERQHQTVWTAPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAINAGGGGGGGACCLNFADSAWLLAVPRSYRTLADVRHAVAEAVEDFFRRRLADDALSATSSSSTTPSTPRTDDDEESAATDGDESSSPASDLAFELDVLSDMGWDLYYASLAQGMLMEPPSAALGDDGDAILADVPLWSY >OGLUM09G17440.1 pep chromosome:ALNU02000000:9:20534095:20534724:-1 gene:OGLUM09G17440 transcript:OGLUM09G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRASNLTGVEIYSLVEMKWKERSGTAKTATMAKRCGGWGNDATRMVAGEQERQASQVLGEGARRHPGLDPDLRLRGGEDVVQEDCRRRRRHRRDAGSSGLPRLTATAKPTSSPPSRASAGCACGSSSAAAVARCSSSSASTESRPPPPPCSPCCRRRLPSHPSAGAAVLPVALERRREREKRKGEKRGKKDEADLDRLTLGPTWVPR >OGLUM09G17450.1 pep chromosome:ALNU02000000:9:20535289:20545560:1 gene:OGLUM09G17450 transcript:OGLUM09G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSGMWGLRGFHADSAATSDKTRTDGQTLGTEIRHLPHTWQRDVERQMATNTIRSELMAAQESGRMATATGGVVAAKARRGRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARFALAAVFRRCMQPAAATRQQCGAADTTVHVKNAVQPSFVVPRRTEAPPPPTTPMLLAEDVLVDFDDDGLGSAGVETAFMVSSSLIVPSSFGIDDDF >OGLUM09G17460.1 pep chromosome:ALNU02000000:9:20551786:20553643:1 gene:OGLUM09G17460 transcript:OGLUM09G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVYHPQLESLAVPQQPESCPMAAAVTQPESPAMAGVDRLRLDGAMPPSYPSSWSVLPREVVVVDEIDDGEELRRWNILRCDRRAGYGVCEAVVEGVKLGARVVSDRGFSALRIIVSKAARAGTRGQVCAAVLAINNHVIVLSVAFPRGDLFHFLKCACSSFYLVYDASDASITMIPDLQFSFHHHKVSSAVTKEPLPIACGVAHYLVLLGRAMTIKGMDQHIEDPVIFGSSIAIVQHQLQSVVTRGFSANKMFSFDGLAMWVDLHQGILFCQHYDLFSNSNDSGSVPFYFVDLPPGCCNDGITTRPLSDSYPPEMYRSIVCVGDSIKFVTIEGYLRDSTAPIEDRMVTMWSLRPQESWSWRKDRDLSIGGICVQLYKKIPICATMLEPMPNMAPQSPILSTEDGSLHLLIVNDNSNEMLENQNIMVTVDMSKGYVISACLLPTDFGDQLPGLYGVMLPRILGSNFFRF >OGLUM09G17470.1 pep chromosome:ALNU02000000:9:20569634:20570218:-1 gene:OGLUM09G17470 transcript:OGLUM09G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTLRLRPTAGARGHQHPPLSRRREGRDGGPTGTPAAHHSLRSPPPDGHEGALVSNQAYSPDVVRQPAAGRQDAAAAAVVFEASSVPSPREGADTTAAPVSIRGPSPSTALVSHEVGEQEAFLEVEPASCSSTLPTPFTTFRTIEVDPQMELKDTALPSPSSNINTVTSNTMNSTIQCEVNLSTLLPPPKSQ >OGLUM09G17480.1 pep chromosome:ALNU02000000:9:20573995:20576212:-1 gene:OGLUM09G17480 transcript:OGLUM09G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTATERAALIQSLRDYERGLESFAWFALRTALPNEKEEWFKQMKIGYSTYQVLYYSRINQSGQRVLLKQYLPLCPLSHLDFSRCFIVHAIKSGEDSMEQAENYLAIVDPLFLPYLLERITNMYNQTQLAADALDIDNILGWRRKFDHKDADA >OGLUM09G17490.1 pep chromosome:ALNU02000000:9:20610416:20612047:-1 gene:OGLUM09G17490 transcript:OGLUM09G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5H8] MASRHSDEATQCHQQLLVMPAATASYPKLHDRPRLAGAAAGVLGEVASILCLAGPMVGAGILLYLRSLMSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLRAALRRTVVLLLAASVPISALWVAMHRVLVATGQDPDIAATAYAYILCSLPDLAVQCFLHPIRIYLRAQSVTLPLTYAAAAALLLHVPINVVLVDRLGLGIRGVALGAVCTNLNCLLFLAAYVCLSGMYGGRAKACASASAPAAGEEDDDGGVKEWWSLVRLSVHSCMSVCLEWWWYEIMVLLCGVLADPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARLVARVGVGLGAALGIVAFGFAVSVRAAWARMFTAEDAILRLVAAALPLLGAAELGNCPQTAGCGVLRGSARPERAARINVSAFYGVGMPVALALAFWPAGLDFRGMWGGMLAAQLVCAWLMLRAVLGTDWAEQAERARELTGGGGGDGYAAVAAVIVDDDKAKQHAEMDKPQQVDNTLLMAIDCV >OGLUM09G17500.1 pep chromosome:ALNU02000000:9:20630968:20632321:1 gene:OGLUM09G17500 transcript:OGLUM09G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDQTTTKSRILVVGGTGYIGRHVVAASARLGHPTTALVRDLAPSDPAKAQLLHTFRDAGVTLLHGDLHDHASLLRAVRDADVVISAVRATQVPDQTRLIDAIKEAGGGRVRRFIPSEFGMDPGRGASAAVEPVRSMYGSKVGIRRAVEAAGIPHTYVACNYFAGFALPSIGQFMPKAAPVDSVVILGEGHTKVVFVEEGDIGTYTVLAAVDPRAENKTLHIRPPANTMSHDELVSMWEKKTGKKLERVYVPEDAVLTKIKELEYPKNVLVSIAHAAYCRGEMSSPLDDPQDVEATQLYPEIQYTTVDEYLNTLL >OGLUM09G17510.1 pep chromosome:ALNU02000000:9:20632914:20635039:-1 gene:OGLUM09G17510 transcript:OGLUM09G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAADSGTAGAAAAAAKWRTDASRAFQYYLDRSTPHATGRWIGTLAVAAIYALRVYLVQGFYIVTYGLGIYLLNLLIGFLSPMVDPEAHAAASSDGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFLIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSVGKQKYGGKKSAGSSSSKD >OGLUM09G17520.1 pep chromosome:ALNU02000000:9:20635899:20636994:-1 gene:OGLUM09G17520 transcript:OGLUM09G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRPRGEGELLLHGGGAAVALCRDRAPLLADAIRHRYALADAHRAYAASLRDAAAALHDFLRGVQRGKQLITQERTPHWKLSLLLGLAFVSCSVAAAVSGGTVVIGLAADSGCGKTTFVLRLTSVLGARTAATAAAPPWGGNPDSTLVADVEREMVGVEAGEAGHAVGDVGGDDAAAE >OGLUM09G17530.1 pep chromosome:ALNU02000000:9:20646303:20647596:-1 gene:OGLUM09G17530 transcript:OGLUM09G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAKAKGTFPPAAAAMKESSAPPPPAAAAAAAREDEWEVRPGGMLVQKRSPDGDAPAAPVPTIRVKVKFNGVYHEIYINSQASFGELKKQLSAPTGLHPEDQKIVYKDKERDSKAFLDMAGVKDRSKMVLLEDPTAQAKRLLEQRRTDKAERAAKSISRISLDVDKLATKVTALEAIVGKGGRVVDADVVTLTEALMNELVKLDAIAAEGEVKVQRRMQEKRVQKYVESLDAIRAKNAASHNKASGNGNAKPRASHLPPRPPPVSQRRQFQAPPPAAPTTTKTAAAPAPPPTASWESFDLLSSMPSTSSSTVTTTMAAATTTTTTSPIPRFDWELF >OGLUM09G17540.1 pep chromosome:ALNU02000000:9:20647406:20647882:1 gene:OGLUM09G17540 transcript:OGLUM09G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTGAAGASPSGLLFCTSIPPGRTSHSSSLAAAAAAAGGGGAELSFIAAAAGGNVPFALARIIFSWHAHAHATNLPNQNQSQPLPQLKLASYHCLALQGKNHQLARASNTQLQVTANWGREWRIWDENRGAAASVCVCVQVLDAGLNWGNQDQAAER >OGLUM09G17550.1 pep chromosome:ALNU02000000:9:20686685:20693657:1 gene:OGLUM09G17550 transcript:OGLUM09G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSSRGHAAASTSSRREAEDEDPATASDESGDDEEVSSSSGSESESDGDAERERERELERALADVPFGELQRARADGSLGGRGFSAAAAAAAAQKKARRASKKRPMEISTKVRPPRFREIIQVPKKGAATSRVQFNRLLLVFGSGKLEFRKRYNFLFDDELPAEKEKLQKSIKKSKDPNAIEEMKSRITWIDKQLRSHPKKNVESEILREHIKKERKAAKTGKRPYYLKKSEIRERKLMNKYNELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDGA >OGLUM09G17560.1 pep chromosome:ALNU02000000:9:20688594:20692410:-1 gene:OGLUM09G17560 transcript:OGLUM09G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSSAGGGGGGETALGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWEAKLPRNYARLLAAAADGEAAALEAAEAIPKKEVYARLCRRNRLDGGTKEFWLDKGGGGVCMTISSRALSITGIDDRRYWNFIPNDESRFHAVAYLSQIWWFEVRGEVEFCFPEGRPLKRLGRRVYSSEHIHGWDIKPVRFQLSTSDGQQAQSKCYLTDPGVWINHHVGDFVVKSSNEPVKIQFAMVQIDCTHTKGGLCVDSVAVKPQYLAKKKASRIYFLVRSVKTVQESHSVLSIEVSTNK >OGLUM09G17570.1 pep chromosome:ALNU02000000:9:20695925:20700375:-1 gene:OGLUM09G17570 transcript:OGLUM09G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAEQQLRAGKDVNLGDTDSNRGTTTAAKSQRDGSSMATPNTYSVHISSETHRIEAWLASDEALARQLQEEENAHDAIATREFAGNASLEPSSPAVEYIPPNNAAQVATEDNVDPDNMSYEQLQALGEAVGNQSRGLSDDLICYLVPFKNKCSFFSRKKNDEECVICKSTYKSRQKLIRLPCSHCYHADCITRWLKINKACPVCNEEVDEADIS >OGLUM09G17580.1 pep chromosome:ALNU02000000:9:20700092:20701453:1 gene:OGLUM09G17580 transcript:OGLUM09G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMRTALLVVLVAGAMTMTMRGAEAQQPSCAAQLTQLAPCARVGVAPAPGQPLPAPPAECCSALGAVSHDCACGTLDIINSLPAKCGLPRVTCH >OGLUM09G17590.1 pep chromosome:ALNU02000000:9:20702489:20706988:-1 gene:OGLUM09G17590 transcript:OGLUM09G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNTAINAHFNEGDSTVNRASQNNIPESHDDMMDLDGPLDNAFRRSLFPETLRDPFALMDTNFQQNYFDRVGSTDTFGHGPQVSHPREVREIPIEVKDSNLQTGPSGQAPIIEDVTGHESSYGPEVRGAIVIDDDDDEQPSAPSLHANIDSSLQPNPSIPTAPPLVHVTDYDNDIEEEMIRAAIEASKRDAEAMTITAEQGITQPPEGVNITEHSFDEEDKGTASGTAGRQGLATEKVGSSRQPIDEDTLQEETEDVEEQPLVRRRSRRIPSGNTESAQPVYTVDSPPSSSQPQGNLNDRQNNGDEFPSEWGGISSEEHDEAVMLEAAMFGGIPEGPTYTFSMPSHRSPSLYPRVEHAPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEEEERRKKQLEEEELESSLASKQASLPSEPAADEEGAVTLVVRMPDGSRQGRRFLKSHKLQFLFDFLDIGRTYKPGTYRLVRSYPRRAFTTGEGDMSFSDLGLTSKQEALFLEKITE >OGLUM09G17600.1 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17600.2 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17600.3 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIVWDKRASQPLCYYGYFIRFHVFDIGRRSLWFSIRVQVKKGSSESEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQVEKYSCLIIADLEFIVLLLLLKCNEIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCRSFSCGSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17600.4 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPEFIPFLWESQKSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17600.5 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17600.6 pep chromosome:ALNU02000000:9:20708584:20715013:-1 gene:OGLUM09G17600 transcript:OGLUM09G17600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRRRAARSSRPLLLLLALLAAAVNNFAPAGGVEVLAKSRLESCARGGSDDGRDRLTCDSKIVVDLAVPSGSSGGEASLVARVAEVEENGTEAGEMPIRDPLIITINKSEVYALYDLTYLRDVAYKPEEKYVKTRKCEPEAGANVVKSCESLFAALVGLTAVSLHLVETFLIKWRKEKLTQLTVYVFQMIGFMFLILGEDPFGSEVIVGPENRTVVSEDSSLRVNLVGDFAGYTSLPSLENFYLVTPRKGVGGGQLQVLGDDFSRWMLLERVLFTLDGLECNKIGVGYEAFRSQPNFCSSPLDSCLGDQLSKFWEIDKNRVNNSQPPQYVVLGKFERINQYPNAGVHTFSVGIPEVLNTNLMIELSADDIEYVYQRSSGKIISINISSFEALSQVGSARVKTKNIGRLEASYSLTFDCLSGINPVEEQYFIMKPDEKLIRTFDLRSSTDQASNYTCQAILKASDFSELDRKESRFSTTATVLNNGTQVEKYSCLIIADLEFIVLLLLLKCNEIGSSENHTKGGIWGFFEAIKAWCAKMWHMLINFFTGTTCRSFSCGSTRCWSFLKFVIHGLLLVAVLWLLHRKGLFDPLYYWWDGVVGSEAQERARRRHKRAHSHRHSHHHDAHKRHKTELAGHRRHHVLHIHDDDDPVAAAAAAEHVILRRHGRHEAALGVQHRDGLKLNKHRLHGGKAVALLPPGEIIVRDGGGCGGVEHGDRRHHAWH >OGLUM09G17610.1 pep chromosome:ALNU02000000:9:20716776:20719659:-1 gene:OGLUM09G17610 transcript:OGLUM09G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5J5] MASNGGEEKSRVAAGYGGGGYGYGGYEGRDDRKWWPWLVPTVIVACIAVFIVEMYVNNCPKHGSALGGCVAGFLRRFSFQPLRENPLLGPSSATLQKMGALDWNKVVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIITLLFIIAINLAIGILPHADNFAHIGGFVTGFLLGFVLLARPQFGWMERHELPQTNQPPKYKAYQYVLWVVAFVLLLVGFVVSLVMLFKGKNGNDGCHWCHYLNCVPTSKWKCNT >OGLUM09G17620.1 pep chromosome:ALNU02000000:9:20726988:20728157:1 gene:OGLUM09G17620 transcript:OGLUM09G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCSWDGVPGLRIAATDPVFCDYGICSSEYHLKPLNFNLHDIVNPLIEPREVIYGLYIALIILEISSLSFMLPILAGFFWPTGMLTSHKHLIPN >OGLUM09G17630.1 pep chromosome:ALNU02000000:9:20734944:20740664:-1 gene:OGLUM09G17630 transcript:OGLUM09G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGMFDGAGSGVFSYDAGGGGGGGVHNSRLLPTPPVPKPGGGFAAPGLSLGLQTMDGSQLGDVNRSLAMMGNGGSGSGGDGDSLGRGREDENDSRSGSDNLDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSRRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPMCASCGGAAVLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSISSPGPPSLQACSGLELGVGSNGGFGLGALGASAAMQSIPDLMGGSSGLTGGPVGSAAMRLPAGIGGLDGAMHAAAADGGAIDRAVLLELALAAMDELVKVAQMDEPLWLPSLDGGFETLNYDEYHRAFARVVGQCPAGYVSEATRESGIAIISSVDLVDSLMDAPRWSEMFPCVVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDQNGGGGSSSSSYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDETAAHQLYRPLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGEGGGGGGGGGGDGEDKVRMMARHSVGAPGEPPGVVLSATTSVRLPGTLPQRVFDYLRDEQRRGDWDILANGEAMQEMDHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHNNGASPSLAEVGGGASPNSAAGGGGGSNNTGSLVTVAFQILVNNLPTAKLTVESVDTVSNLLSCTIQKIKSALQASIISP >OGLUM09G17640.1 pep chromosome:ALNU02000000:9:20740920:20741189:1 gene:OGLUM09G17640 transcript:OGLUM09G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNKPDTPEARAKKQQLIRRRRQLYTHTPQARSPPASVLADPCLNQESYYYYHFRRTVRQEKEKLVVVASMREEAKRGEELRKRKDGR >OGLUM09G17650.1 pep chromosome:ALNU02000000:9:20750278:20750868:-1 gene:OGLUM09G17650 transcript:OGLUM09G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTMTLEVTVVSAEEVVLPPTRRPLGRGAYAVVRTAASASSPAAAVCTRVDEESGGDCNGYPYWKETLRVALPEGARWLDVEICRRRPNGQVEAVAAASVPVGDFTVGPPGHLHCLSYRLFDASGCRTRRNGIVNITVRRTDVKYTAPPPPPPPVKAPAYAGASGSGGSCYGVPPAGAAMGFPVGFTANGKACA >OGLUM09G17660.1 pep chromosome:ALNU02000000:9:20753976:20757657:-1 gene:OGLUM09G17660 transcript:OGLUM09G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAGEADASGGEPPPAAVMTAAAEALAGQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFKKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVAAAAAAPPPPSPGMATAAAAVASGAVTVAAAPIPMALPVTRAGSPAHSSEEQVLSSNSGSGEEHRQASGSGSAPGGGGGGSASGGDMGEENERLRRENARLTRELGHMKKLCNNILLLMSKYAATQHVEGSAGISSIANCSGESSEAVPPPPPLPPAILDLMPSCPALATAAAAAGLAIDGEPDPSARLFGVSIGLKRTRDDAAAAADEDGGGEDQAEHGGADVKPEAADPHPAGGGGGSSTEASPESHPWPIYRPTPMYHAVRPTCNGPDRAGSDQDGSSSSQTMGPGEFDDLQKMMVVQQSNFVMHWGRSECGSGVRGFGW >OGLUM09G17660.2 pep chromosome:ALNU02000000:9:20756111:20757657:-1 gene:OGLUM09G17660 transcript:OGLUM09G17660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQGAGEADASGGEPPPAAVMTAAAEALAGQRSLPTPFLTKTYQLVEDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFKKIVPDRWEFANDCFRRGEKRLLCDIHRRKVVAAAAAAPPPPSPGMATAAAAVASGAVTVAAAPIPMALPVTRAGSPAHSSEEQVLSSNSGSGEEHRQASGSGSAPGGGGGGSASGGDMGEENERLRRENARLTRELGHMKKLCNNILLLMSKYAATQHVEGSAGISSIANCSGESSEAVPPPPPLPPAILDLMPSCPALATAAAAAGLAIDGEPDPSARLFGVSIGLKRTRDDAAAAADEDGGGEDQAEHGGADVKPEAADPHPAGGGGGSSTEASPESHPWPIYRPTPMYHAVRPTCNGPDRAGSDQDGSSSR >OGLUM09G17670.1 pep chromosome:ALNU02000000:9:20763297:20765369:-1 gene:OGLUM09G17670 transcript:OGLUM09G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGGGVAAENGEMVGNGEGRKGAGASVLVTGGAGYIGTHTVLRLLEKGFAVTVVDNFHNSVPEALDRVRLIAGAALSARLDFIAVRDSPKQHACSSSCTVELNRAGEVEIEIHVCVRFQGDLKSKDDMEKVFAAKRYDAVIHFAGLKAVGESVAHPQMYYENNVAGTMNLYSAMTKYGCKKIVFSSSATVYGQPEKTPCVEDSKLSALNPYGTTKLVLENYFRQVQAADPEMRVILLRYFNPIGAHRSGDIGEDPRGIPNNLLPYIQQVAVGRRPELNVYGVDYPTRDGTAIRDYIHVMDLADGHIAALEKLFATPDIGCVAYNLGTGCGTTVLEVVKAFEEASGKKIPIKICPRRPGDCTEVYASTDKAKKELGWSARFGIEDMCRDQWNWAKKNPYGYSANA >OGLUM09G17680.1 pep chromosome:ALNU02000000:9:20768746:20771458:-1 gene:OGLUM09G17680 transcript:OGLUM09G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEAEGSDSSNSTSSLLLEPSSECPTFPDCGFQLPDSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAQSDPSEVVVHRAMYLLQNGFGNYDIFENNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPLKLFAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKIEVENLSSHLGRRLIEDEGSVNARSEKPKTLLPMKRKRER >OGLUM09G17690.1 pep chromosome:ALNU02000000:9:20775856:20784422:1 gene:OGLUM09G17690 transcript:OGLUM09G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLTKVRFHLVGWPDIQWAWTVETMALQELNQELSMWKDYLRTAQRERWKVYNLLCFVDFATPSEARAALETLQDHCCMSTTIKGYACYSEQLSSKLFYLKPHANRLIYFHDFL >OGLUM09G17690.2 pep chromosome:ALNU02000000:9:20775856:20784422:1 gene:OGLUM09G17690 transcript:OGLUM09G17690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQLVDRCGGGAPASRCCEPVIACDGGVRGGAGAMGEVTHEMGCGRRRDCRCDGALGYAWGDDGGTDAPASGFDAHDWWFIRDGGLVTLPPPPPQDEFVMMMQPMIV >OGLUM09G17690.3 pep chromosome:ALNU02000000:9:20776259:20776845:1 gene:OGLUM09G17690 transcript:OGLUM09G17690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQLVDRCGGGAPASRCCEPVIACDGGVRGGAGAMGEVTHEMGCGRRRDCRCDGALGYAWGDDGGTDAPASGFDAHDWWFIRDGGLVTLPPPPPQDEFVMMMQPMIAFHLIDMVLQPQQAGPMMPLLAQPPLAMALLYSSFNIWTTLVRSNTPPPLYFTLAT >OGLUM09G17700.1 pep chromosome:ALNU02000000:9:20792322:20796844:1 gene:OGLUM09G17700 transcript:OGLUM09G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESYWQYQYAAVDPRQQAPAPVPVPTPALMWQQQQQQAGYQPAVAPVAPPMAAPPLPAGPPPSFKRQRPEYFDMPSGQGNSLFHEAMSPHYVHRLNNQMSYAAGANQSAIPLGGMATYSAGMDSGNHGATITESRTLYVEGLPSNCTKREIFFCPFSGFREVRLVNKEIRHAGSCNLLCFVDFSSPPEARAALETLQGYKFDEHDHESSNLRIQFSLTPRRRSIGGPRMAMLAEKCCVVPGRCYVTESPATTTGHTYRLVALLETTICMCFLEMDKLLYVWEFQHVLSSCSILVSGPQFFFSVGTD >OGLUM09G17710.1 pep chromosome:ALNU02000000:9:20800358:20802555:1 gene:OGLUM09G17710 transcript:OGLUM09G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEEEVVVGWEGEKEGEIDYVFKVVVVGDSAVGKTQLLGRFTKDEFFLDSKSTIGVEFQTRTLSLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDITRRRTFENVARWVEELRAHADGSTVVALIGNKADMPAGRREVAADEAARFAEEQGLFFSEASALSGDNVDRAFLTLLEEIFAVVSRRALELDEARRMRDGGAAGGEVLSLKGTTLDVGSIMETSAMKKSSQCSCS >OGLUM09G17720.1 pep chromosome:ALNU02000000:9:20804734:20806738:-1 gene:OGLUM09G17720 transcript:OGLUM09G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5K9] MASYGDDGVELTELTLGPPGASARRARRGRKNGHPPPSSSMIQAAYFVKVSMDGTPYLRKVDVAAYGDYLELVEALNDMFYCSTIGLMDGYGEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRACEARGLSSNALTSAL >OGLUM09G17730.1 pep chromosome:ALNU02000000:9:20810185:20813132:-1 gene:OGLUM09G17730 transcript:OGLUM09G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCVADEAALCRSCDEKVPPLSNPAFVLCSTTRTRRSPLAESNGSAEEHDVHMCNKLARRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRTDQKKAPHSVTKEQMANHHNVSDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDVSVNNHDSPGVVPTCNFEREANK >OGLUM09G17730.2 pep chromosome:ALNU02000000:9:20810185:20813132:-1 gene:OGLUM09G17730 transcript:OGLUM09G17730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTICDVCESAPAVLFCVADEAALCRSCDEKVHMCNKLARRHVRVGLADPNKVQRCDICENAPAFFYCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPGHMDDVAMQQKDPENRTDQKKAPHSVTKEQMANHHNVSDDPASDGNCDDQGNIDSKMIDLNMRPVRTHGQGSNSQTQGVDVSVNNHDSPGVVPTCNFEREANK >OGLUM09G17740.1 pep chromosome:ALNU02000000:9:20818626:20819695:-1 gene:OGLUM09G17740 transcript:OGLUM09G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTGIATPATGATRDEARKMKLPRPPPCRDGSAYALQLPQSFLDVQQNAQVFYEMPMRNVCPNYVYIGS >OGLUM09G17750.1 pep chromosome:ALNU02000000:9:20823188:20827840:1 gene:OGLUM09G17750 transcript:OGLUM09G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEERPERIVVSVRLRPVNAREAERGDGSDWECAGPTTLTFRGAVPERAMFPASYSYASIFAYGQTSSGKTYTMVGITEYSMSDIYDYIEKHPEREFILKFSAMEIYNEAVRDLLSSDATPLRLLDDPEVTFFLDMKGTVVEKLTEETLRDKGHLLELLAVCEAQRQIGETAMNEASSRSHQILRLTVESSAKQFLGKGNSSTLIACVVLMKYLHLNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVIRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHCHIEQSRNTLLFANCAKDVVTNAQVNVVMSDKALVKHLQREIARLENELKFPASASCTSHAEILREKDELIKNLEEQLKELMEQKDTVQSQLDNFRKVASDGDINNHLARRWSRSSDSIPRIVSEGAFSSSDTQDIDYQDQTMDELSVPHSFPPSSQISDITEEHEAQRVAHRAESEPPEEHCKEVQCIETNKIRSRRSQEFFQTPEKKTHTDDQKHSESMSNSAENAIKLYACDFEPSFDLEKPETEESLALKRCVVSSRDSALTRSRSCRASFMVIPNSWFDDSASTTPSCETFRYSTRRPEKVRKSLSPDEIADKSTGNAEEDKSTCNAEEETAVNDIGCVTEVKQKTEMNHAPQSSEQHQPKIAKEVATVSLSKWHIDFERKQQEIIELWHDCNVSIVHRTYFFLLFKGDQTDSIYMEVEHRRLSFIKNSLIADGELHATTASSLRNLRHERDMLYRQMVRKLHLAEKERLYGKWGIDMSTKQRRLQLSRRIWTQTGMDHVRESAALVAKLVEHLEKGQAIREMFGLSFSFKPRRSFSWVGVYSRD >OGLUM09G17760.1 pep chromosome:ALNU02000000:9:20826178:20826619:-1 gene:OGLUM09G17760 transcript:OGLUM09G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTAVSSSALQVLLSSSALPVLLSAISSGLRLFLTFSGLLVEYLKVSHDGVVLAESSNQLFGMTMKLALQLLLLVFGRILGFSCS >OGLUM09G17770.1 pep chromosome:ALNU02000000:9:20828276:20828614:1 gene:OGLUM09G17770 transcript:OGLUM09G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRLAAAAAFVRRLVPARTPVISAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRVEVPRSTPWPLPFKLI >OGLUM09G17780.1 pep chromosome:ALNU02000000:9:20845731:20846574:1 gene:OGLUM09G17780 transcript:OGLUM09G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEEDSEWMMMDVGGKGGKGGGGGGAADRKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQLEKLAEMLQEPRGKYGDNAGDDARSGGVAGMKKEEFVGAGGAATLYSSAEGGGTTTTTTAKLMPHFGSDDVDAGLFLRPSSQHHPPPPHAGAGFTSSEPAADHQSFNFHSSWPSSTEQTCSSTPWWEFESE >OGLUM09G17790.1 pep chromosome:ALNU02000000:9:20847003:20852255:-1 gene:OGLUM09G17790 transcript:OGLUM09G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGAATNASGVAAAAAAAGNGVQAGGGGERAEDASKQNLALMMASIQRTLGLLHQLNLNVSSFSSASQLPLLQRLNSLVAELDTMQKHAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAFKSLRKHLLEELEQAFPEDVEAYREIRATAAAESKQLAQSQSALPNGDVKHSSIHHWKKA >OGLUM09G17800.1 pep chromosome:ALNU02000000:9:20866955:20869757:1 gene:OGLUM09G17800 transcript:OGLUM09G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRWRRPASPASWVRRGLRRRWSGYMSAALVLPRRAGVGEVVVDAAAASGVAVRCGGCSWAEGAAGDRQHVAHDRAGAPEARRGGGGGGGGAADGAVARGDAGGRGGAPGRGEGDPARRGVRRPPREGVRVRAAVPPRHRVRAPRRVLARAAAGGVHAPLLPVAGRGVRAPARGHRAPDGPRHQAAAAEPERRFRRRRRRRGPPRPAPRVAPQRDVVGVRPAVRAAAGPRQGERRGPGAEGPRRRRLRPARPAQLVRPPPMARPLRPAEHPRPLLPPRPPRQPLRHPHHRRAPLICSRRSRHRLHRRLALPAGQRQARRLRHGRRSLGDGVSRDGHGGRADRVGLSPARAAPGRAGSGARRAGPGGWAGPGRDRVRHGLTRLPPRRHQGDAEAAPTGPTPLMGPPGHVGRTRGRVPDPRWHHRDGEHVGHSTRPRRVGRADGVSARAVHREGGGVQCNGFGSQARAVRIGSAELPREEPRHGHGGILACHAVARVRPPPLAGPGTRRRLVGGAKAVVRDGHPAGGDSVASACGVMTCPSYVIRDALYKDYLMVPCTPSVLKKNST >OGLUM09G17810.1 pep chromosome:ALNU02000000:9:20882146:20886461:-1 gene:OGLUM09G17810 transcript:OGLUM09G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALRRSSTSSSPSSSSSSPTAASSPPSSSWIHLRSLLVAAASSSSSASSAAAAGSSVAMVSASAPAASSSPSPSPAPAPAPSSPHSDRGGIKSPWSRRKRKRVLSRQQWEGLFSANGKLRDRGKKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSTEDERNTIKIKKRKEYEKLRRQCQQILNCYKGNGLKVINENNEECSGMEFSAEGSESPCFEDVNIARASVSHEELKPESEPEQPDNSMCAVTECMEEDTGELICLDPCIAESESSDSESSDEDDPGRISMSGEENCDPDPKFTRTTSFKADFFKSSKTSEDFATWQRIIRLDAIRANTEWILFSRNQAEISRERALQCAESVGLRDYDHLDPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDDEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSQIIKRKDSHLYKHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWAKIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWRLLDDAHDLVVNFHDKI >OGLUM09G17820.1 pep chromosome:ALNU02000000:9:20890676:20893427:-1 gene:OGLUM09G17820 transcript:OGLUM09G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSAAVAAASTSRTLVLARRRSPPASRVAATSRGRPLSSGPHPLAVSPATRAPAMATDGAAAAAAAGSKKKKEVLIFDAEEDLAVSLAKYTAELSAKLAAERGAFTVVLSGGSLIKNIRKLAEPPYLDSVDWSKWHVFWVDERVVPKDHEDSNYKLALDGFLSKVPIPTGQVYAINDALSAEGAADDYETCLKQLIKNGVIAMSQSTGFPRFDVMLLGMGPDGHIASLFPGHPLVNENKKWVTYIKDSPKPPPERITFTFPVINSSAYVAMVVTGAGKAGAVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >OGLUM09G17830.1 pep chromosome:ALNU02000000:9:20896677:20897552:1 gene:OGLUM09G17830 transcript:OGLUM09G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFTAGCVSSRHAGLLHHRTRLSCSPASRRRPRLSLLTSLHCHRLHPFAPSRGAAAANPAMDHGRDRD >OGLUM09G17840.1 pep chromosome:ALNU02000000:9:20899458:20900738:-1 gene:OGLUM09G17840 transcript:OGLUM09G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVFNWLNRKKHSNVEYCTINENKVAMEEKEDSLRASVTEQDTEALLLRDVLINGILAIGTLGHDVNSLCPESCIEQDEPIIMCDEKVEEEKCEEEKAEAKQDTPVTAPSEPASALEPAKMHSSSMKEDNFMCFVKEEILMNGMEVEDVPNIQERPLLMLEKVEKVRTTLADLFAAEAFSSSDAEDKCYPKIVIVAGASTSKPTSCMEKMHHKKPTKPTSKPLKATRKLSRVWFSSSLLYLLSLVTLYFTSLEFYNTLFHGQCATSYTYVLPYSYNWSKHYASSQSLVVMRKMLGKKIHPEQLNGRSNAEGPVTA >OGLUM09G17850.1 pep chromosome:ALNU02000000:9:20903253:20913368:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKILDFGDVNGNRVGLDLGIMVSAAVADLDGARVELTSGRMVNAWIEYSPTLSSPSKPSPQTKGKKSGGKFIFGRGNGHRRGEAPAPPLTAGLHRRRRRFLHPYLGGARRLVVPVAGSHPRHSPPPDVPGGFLLXXXXXXXXXFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSQSSLPRRTHTMQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRALVEGVEAAERRVERARAALADIERQEAAARLASEEVRRLERRRDEIAESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQ >OGLUM09G17850.2 pep chromosome:ALNU02000000:9:20910549:20914492:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADANG >OGLUM09G17850.3 pep chromosome:ALNU02000000:9:20911098:20914492:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFARKHKNDIRKNPLFRQQFHEMCAKVGVDPLASNKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAQAEGFVTVEQVERKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCVTLSSDSTGADAKS >OGLUM09G17850.4 pep chromosome:ALNU02000000:9:20905971:20909675:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAVADLDGARVELTSGRMVNAWIEYSPTLSSPSKPSPQTKGKKSGGKFIFGRGNGHRRGEAPAPPLTAGLHRRRRRFLHPYLGGARRLVVPVAGSHPRHSPPPDVPGGFLLXXXXXXXXXFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSVWIFPSFSFVDV >OGLUM09G17850.5 pep chromosome:ALNU02000000:9:20903253:20905695:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLALLRPLIHPSPLPAASPLAARCRGGRGRGRGRGVRWRCAGGGEVEEGEGEGKREAAAWLSSAVGEKVDELLLREENRALVEGVEAAERRVERARAALADIERQEAAARLASEEVRRLERRRDEIAESQRELLQAREMIDEAQRSLSSSLEDQSFGDVPSGDIDEDSERLESVKAAAVSSVVGVLASLPISFYEAHDFPQLFVQLSVIFISCALFGVTFRYAIRRDLDNVQLKTGAAAAFAFVRGLAMVESGRPFELSTDALISLALDGAVSVVENILTFLPAAIALDYCFKMRFLSPFPTRKQ >OGLUM09G17850.6 pep chromosome:ALNU02000000:9:20907702:20909675:-1 gene:OGLUM09G17850 transcript:OGLUM09G17850.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAVADLDGARVELTSGRMVNAWIEYSPTLSSPSKPSPQTKGKKSGGKFIFGRGNGHRRGEAPAPPLTAGLHRRRRRFLHPYLGGARRLVVPVAGSHPRHSPPPDVPGGFLLXXXXXXXXXFSLRASCRAYRALLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATTAANNYPPRLLLLRLFTGEQLRLPRVFAPFSRVILTADLLVVIFLPGRATVQHCRPGDALWRVASAPAPHVFDDLISVNGTLYALVGLRLATLQLSESSLELSFLGGEHDDANRPEGDRFMLGECGGEVLLISVEHEERVVYRVFRWASEKRKWEMITNLGGRSLFLGLDGFAACVDQDHPGVRGDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGAPPLNNSSLIRPSVWIFPSLC >OGLUM09G17860.1 pep chromosome:ALNU02000000:9:20909829:20914407:1 gene:OGLUM09G17860 transcript:OGLUM09G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSACSSSTSASKREDVAELTGMKEMDSPGPTGRFPTSGVGHELDTDAKQNRESRRGREAVLTGVQGGWGLGEGRMPGSERATALHGATTRQRRREGRRQRLHGGAQQRRESGKAEGGQAQAGARGGAPLRSRPSGDGAAAVGLRVRAAWEVRAGMLPGFATASAPARSGADRIRIGRLRAQSERRSRVNPPSLHLHQAARSTATDGMRTGRPRLVERRNAVEAEQRWRR >OGLUM09G17870.1 pep chromosome:ALNU02000000:9:20915913:20920700:1 gene:OGLUM09G17870 transcript:OGLUM09G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASATAFLSHLLLARKPDPAPLPSRRAPALLPLPRRRGQRPISAAAAASDLLSAAPSLKSRLAAGETLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGVPEALACLRALDAARTPAVIRLPEAGPIWAKKALDLGPAGLMVPAVESPAAAAAAVSHCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDETLIICQVETAAGIAEVDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRARLREAEKKVLDARKKNVTASDGNVAYLGGFAMPNDPAEQLKLRGYHMVSGAVDIGMFRKAALEDVKRFKEAVMEIGEEEGEEDDEKKDKEDDGYWSE >OGLUM09G17880.1 pep chromosome:ALNU02000000:9:20922950:20923390:1 gene:OGLUM09G17880 transcript:OGLUM09G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNIFEDDEIEAAFAAGAMPPEWRRRLVASGQLDERGVDKIAADIAAAGTTSRPSSGFAWSKGAMAFAAFDVVVGALLLCLGVAGILSAGEHHHGDGKNAVVGGLLVLAMTAVVAMVCEYERRRGKMRRLQARIVLERSLLPPV >OGLUM09G17890.1 pep chromosome:ALNU02000000:9:20930298:20930825:1 gene:OGLUM09G17890 transcript:OGLUM09G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSAPVRRRRSRVRVLLVCCCLLLALAAPSAAAAAAGHDYGDALAKSILFFEGQRSGRLPAAGQRAAWRGDSAVSDGGAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWGVVEFGDAMPPAERAHAADAVRWATDYLLKTISHPGVIFIQASTHTNTLHCAPSSSSSTATIM >OGLUM09G17900.1 pep chromosome:ALNU02000000:9:20930837:20943971:1 gene:OGLUM09G17900 transcript:OGLUM09G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5N3] MAMQVGDPTKDHGCWERPEDMDTARTVYNISAARPGSDVAGETAAALAAASMVFRDDDPAYAARLLAGARSAFEFADEHKGAYSDDPELRAGGCPFYCDFDGYQVRDELLWGAAWLRRASKEGTYLDYIQNNGKTLGAEDSTNEFGWDNKHAGINVLVSKEFIDGEVLSLQSYKEFADGFICTLIPESSSPHITYTPGGMIYKPGGSNMQHADYILGDNPMKMSYMVGYGDRYPQRIHHRGSSLPSIKSHPQRIACNDGTPYYNSSSPNPNPVKIQTFVAKSTKMSSYVVVAAALLVFVVVVDAAIKNLGKGKLPPSPPSLPFVGHLHLVGELPHRSLDALHRRYGSDGGLMFLRLGRAGALVVSTAAAAADLYRGHDLAFASRPPSHSAERLFYGGRNMSFAPLGDAWRRTKKLAVAHLLSPRRAAALAAPARAAEAAALVARARRAAEAARAVQLRELLYAYTNGVITRVAAGGSGATAERFRKMMADTSELLAGFQWVDRLPEAAGWAARKLTGLNKKLDDMADESDRFLGEILAAHDDEKAEGEEEDFVDVLLRLRRQGAAAAGGLELAEDNVKAIIKDIMGAATDTSFVTLEWIMTELIRNTQVMSKLQNEIIQVTGSKPTVTEEDLTKLDYLKAVIKEVLRLHPPAPLLIPHHSTVTTTIQGYHIPAKTIAFINVWAIGRDPVAWDTPDEFRPERFMGSAVDFRGNDYKFIPFGAGRRLCPGIILALPGLEMAIASLLYHFDWELPDGMDVQDLDMAEAPGLTTPPMNPPDSVAILHRLVLVLGLAQAGNQTGFCMASYEPDLMIRMYHYVFLAAVALLAVVGYGVKNRRRRSAKLPPSPPSVPFLGHLHLLGPLLHRSLHELHLRYGTDGGLLLLQLGRRRTLVVSTAAAAADLYRNHDLAFASRPLVAAAHKLSYGSKNITFAPFGEQWRRAKKTAVVHALSPRRVEAFAPVRAAEAAALVAATRRAADAAADGGAVELRDLLYSYTNAVVTRAATGAAGTTAEKLKQLLGNATSLVAGVQADDLLPGIAAKAVRWATGLEKQYDASMEEWDKFLSPIMAEHAEKKKKKREDIGAGEEDFIDVLLRLKEEDTELTDTHVKSRVVDLIAAATETTSVTLEWTMAELAANPRVMAKLQDEIARAAGGKPAITEAEVGGMEYMKAVVKEVLRLHPPAPILVPHESTAAAAVQGYEIPARTSLFVNAWAIGRDPAAWGSPEEFRPERFLAGGPAVDFRGNDYQLVPFGAGRRICPGISFAVPVLEMALVALLHHFDWELPAGMRAAELDMSEAPGLTTPLRVPLRLPTTAKSATAARKTYDDME >OGLUM09G17910.1 pep chromosome:ALNU02000000:9:20944090:20953030:1 gene:OGLUM09G17910 transcript:OGLUM09G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGGGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACDEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGRASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGRRKEYPSSLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >OGLUM09G17910.2 pep chromosome:ALNU02000000:9:20944090:20953030:1 gene:OGLUM09G17910 transcript:OGLUM09G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGGGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACDEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGRASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGQKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >OGLUM09G17910.3 pep chromosome:ALNU02000000:9:20944090:20953030:1 gene:OGLUM09G17910 transcript:OGLUM09G17910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIAEEETVGVAEDPGLADVGKADETKEEGGGAGVHLDETRVAVDDPTEVRASQIDDGTAMVSVLGGDGDSFVEQDGQDTSGGGACDEGNEPEEDARVQVRLDDTSAAVDEAGASLIDDVMNTLPVGGVASFDDGPQTDKNAQDEGALIDVVSSTVLNDASIDLVKASDSVSEEGTGMDILAQPGEDIKEMVTIACDAATDDEGRKVDAVSSSSDRNEEVVGAAGLDETDEDMRLGTVGLSGDDNVANEAAAAAAGGADDEDLKMDGVITAGDKDVENGKADNADGVPEVNVVAISRDNSAENEAAAAGDDGADEEGIQMNVLNTTRGEGEEDDEAAQNVVEYAVDGTEELETIGLTGDDKAEKEAAPAGNNSADEEGMQMDAVTTTGAEDEDGKADENVVEDDDGVSEEAVADTVGEDIPEEDAVQIDEDEDDDDVPPPLTRKGGGRRKRGRASSKAQVVVKPPVRKKDDEEVCFICFDGGDLVVCDRRGCPKAYHPSCVNRDDEFFKSKGRWNCGWHICSNCQKPAFHMCYTCTYSLCKKCIKETKFVSVRGNKGFCETCMNTVMLIENKEEATEQMDVDFDDKTSWWYLFKDYWLNLKTKLPLTFEEISAAKSQKNGSSLVIRDNDLSEPHDTNDEEEGNSDSSSVRHLEGNSKRKGRKRSKQAANDDSSVVKDSTRKSTKRGLTGGRDTKSSTGRKVRKLSKRALSSDHRPRESESVGTSTSSAEETSWASKELLDFVANMKNGDKSVLSQFEVQSLLLDYIKRENLRDPRRKSQIICDSMLKSLFGKARVGHFEMLKLLESHFLMSEVSPVEIDDNHGGVVDPDPSLDADGNSEASMVMSSEKRKKSRKYDQKALQTNLDDYAAIDNHNISLMYLRRNLLEELISEVDTFDEKVLGSFVRIRISGTGQRQDIYRLVQIVGTGIAPEQYKCGKKSTDITLEILNLDKREVITIDITSNQEFTEEECKRLRQSIKCGFIPRLTVGEVYEKAKVLQSLKVNDWIESEKMRLGHLRDRASDMGLFTLRECVEKLKLLSTPEERVRRLNEEPEVHADHTMDPDYESPEEQEQDTGRSSFNKSRGSFSKKDNNPVSPGKGEGRSPAQRDLKTNWESNRNTWGESSTHIESPLGRRPAFSSHGESAGYTSKSDSPNIGTHAVKVGATAGNAPHGLSGVSSETLGANIGSGGTHASQSVINESEKIWQYMDPTGKIQGPFSIVQLRKWNGSGYFPPNLKIWKSTEKQDDSILLTDALLGRFEKDLPPWEPPVGSSSDVDGRPRNDSLLEEGTRAGEQPSKSAVLSSTQSFSGRAGQGNDAANLGPATIQSSTQGYYGMQNSQAAYAVQQSLSGSWNASSQFGTAINPVTLSQPAMGSFVVGQNAALGSAGQLTPVPGPATVSAEVVNSQLQSQNQIASFLSQSDGRLADGNDSKLGEDASRERMRSSGEDLGLAGAQPGGVQSNTQQLEDARNQLQTDASNSVKPSQLISTPSAEAVQPSSTAMAGGDNQNTAWAQLASTSGQSQPQAAGNMTWGATLQGNANMGWGMVGQNNMNMSWGGTAQSATGYNMGLAMQAQPNAVPNMGWVTPNPGNTNMNMMWATQGQGTPNAAAMVGTQMQGVAMAPWGAIAQGNTNSYPGWGGQVGNMNQNVGWGAPMQVNPGPSTGNGTGQDNNNMNWNSPSGNPNWNNQQRDNGGRHSGHGGDFNGGDSGGRSWRSQSGGDGGSWRPKRGVCYSILDKGYCKNGEHCNFSHSIPNDGYPSRNSRHFDRQNSGNERRYDRQNERTDRQFDRQSSGNERRDDRHNGRDSDRHDDRLTDTRSQSRERQ >OGLUM09G17920.1 pep chromosome:ALNU02000000:9:20953421:20956402:1 gene:OGLUM09G17920 transcript:OGLUM09G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAAAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLERPPALGNKDKDPGNLQDRISMRGKLAFRFPLPVPFLFRKSAGLVASGVAKNLNKTAIYIKENVADILYPDRRPPK >OGLUM09G17920.2 pep chromosome:ALNU02000000:9:20953421:20955905:1 gene:OGLUM09G17920 transcript:OGLUM09G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESPAAAAAGKPSGTALPRRGKSCKGCLYYSSMLRSRGFNPVCVGIPRSIPQVPSYVVDEPREEAAAQGHDLRQFKYACAGYSMFVVDNKDGRSGEKEGKTLLPYCQGLELLVDSRLVEKKSPNNEPATASYRKEGVAKNLNKTAIYIKENVADILYPDRRPPK >OGLUM09G17930.1 pep chromosome:ALNU02000000:9:20960361:20964533:1 gene:OGLUM09G17930 transcript:OGLUM09G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPLLFIVFLLMLLEPCSSSRSNVYIVYMGERHHGLRPELVQEAHHGMLAAVLGSEQAAMDAILYSYRHGFSGFAAVLTGGQAARLSDWPGVVRVVRNRVLDQHTTRSWDFMGVNPSPSGGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCVAGEKFNASNCNRKIIGAKWYVKGYEAEYGKMNTSDIYEFMSARDAVGHGTHTASTAAGALVANASFRGLAKGVARGGAQRARLAVYKVCWATGDCTAADILAAFDDAIHDGVDVISVSLGQAPPLPAYVDDVLSIGSFHAVAKGVVVVCSAGNSGPYSETVINSAPWIVTVAAGTIDRIFLAKIILGNNSTYVGQTLYSGKHPSKSVRIVYAEDISSDNADDTDARSCTAGSLNATLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSLDIPCVQVDYQVGTAILAYTTSMRNPVAQFSFPKTIVGELVAPEVAYFSSRGPSSLSPSILKPDIAAPGVNILAAWSPAAAISSAIGSVNFKIDSGTSMSCPHISGVVALLKSMHPNWSPAAVKSALVTTANVHDAYGFEMVSEAAPYNDANPFDYGGGHVNPNRAAHPGLVYDMGVSDYMRFLCSMGYNTSAISSMTQQQTTCQHTPKSQLNLNVPSITIPELRGKLTVSRTVTNVGPALSKYRARVEAPPGVDVTVSPSLLTFNSTVRKLPFKVTFQAKLKVQGRYTFGSLTWEDGTHTVRIPLVTSQTQRTQPLPQQSPGSGFSGGSGAGAGAGLFVVAPPASAIDAAGGGGGGRRWLLRRRRRGRAGEGSDEGIGAGTRRAATSMRKRREEKSRFSHLVFWKAESNCHKVKPTN >OGLUM09G17940.1 pep chromosome:ALNU02000000:9:20961147:20961591:-1 gene:OGLUM09G17940 transcript:OGLUM09G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGVSCRHELIDITVIKFHKQNSNVKLKAQEQANLLQLEALNFSPATHRPFQRRGTSPMPSSLKLADSGQIPANQERLNPHIVMSASIANWQLPVLHMMKNR >OGLUM09G17950.1 pep chromosome:ALNU02000000:9:20965554:20968737:-1 gene:OGLUM09G17950 transcript:OGLUM09G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAAAAASTSLRPASQSALRLAGSPRRWCWGAPALSPARRAFHADTRRRKTLLYATDKGPEESLKKTIEVDRLIEMLRDANPREKDYEELAENVMNIVDRLVHKTDEKIEQSTDVLKAIISPVMHEGENATWPPRDPEALKLMEKEISNREKEGQLDEGFLSEVNAQLRQAKQDGDKPGLQAMLQKVLQLYASNFLQKRSYAYKGGEVIVPESFLESVIKAPENEWNKLLLDGLTVGKGNVSPEEFYAVIKKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >OGLUM09G17960.1 pep chromosome:ALNU02000000:9:20977487:20978797:-1 gene:OGLUM09G17960 transcript:OGLUM09G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLSRLNASAAPWEPPVARAMAVEQYCPPPQSLLPPPPLPPVCRVPPVAVPTTCGCAACLQGCFVPVGVQAAFPHAAAGWSPAPPPPPVMPVMIVYRVVQPPPPAAHATRCQITEIEDGGGVETAKAVDGDEQQPFIRTVRSTRRRKAAAIRLPKAFRAALLPPPPPPCALGFTATTTSLMIRNIPNKFLKARLMAILDQHCADENGKCHRRGGGGGRSVVKSEYDFFYVPIDFKTGFNKGYAFVNMTTATAARRLRAFLQDHRWDAAMSGKVCDVVPAAIQGLDAFVAHFSASCFPCRTKEFLPVWFEPPRDGEQQTKAHVVGRLVVRPR >OGLUM09G17970.1 pep chromosome:ALNU02000000:9:21007913:21008942:-1 gene:OGLUM09G17970 transcript:OGLUM09G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFPLGGGSHSRDNPAPPVPPVHPADAASFLYATRGGSFQLWQQQEQQPFYASNIIRFADDAPPAPSLAGASSSSSSRGMRSSGGGGGGGGGGISCQDCGNQAKKDCTHMRCRTCCKSRGFACATHVKSTWVPAAKRRERQQQLAALAASAAATAGGAGPSRDPTKRPRARPSATTPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTAVSIGGHVFKGILHDVGPEALAVAGGGGAGASEYHFRLTGDGSSPSTAAAGEAGSGGGGNIIVSSAVVMDPYPTPGPYGAFPAGTPFFHGHPRP >OGLUM09G17980.1 pep chromosome:ALNU02000000:9:21013092:21013754:-1 gene:OGLUM09G17980 transcript:OGLUM09G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGTWGARERRAHRQEETGGTWHSGGGKGSGSTRLARYGCKSPEQSGGSACTAPAFAYSGL >OGLUM09G17990.1 pep chromosome:ALNU02000000:9:21021284:21022895:-1 gene:OGLUM09G17990 transcript:OGLUM09G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRCFCCVTGGDSDPEPAATSSRRRTNPARASKNRTSVDYPWETYTLKELLQATGNFSESNKLGEGGFGTVYWGRTSKGVEVGWIIAADAGRSMAATVRSFIDRAGKTAEIAVKRLKAMTAKAEMEFAVEVEILGRVRHRNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGTPPSHHHVPLEWPRRVAIAVGAAEGLSYLHHEASPHIIHRDIKASNVLLDAEFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRRWERLADPRLAGRFDAAQLRAVVETAMLCTQSSAESRPAMAEVVDMLRFSGGERRTKEIVPVAATVAGSSDEITTTTDLDDVIAGSSEPLDRRNWKLTRLR >OGLUM09G18000.1 pep chromosome:ALNU02000000:9:21027705:21036697:-1 gene:OGLUM09G18000 transcript:OGLUM09G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTASGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGILSVDFFRKRASPSWKTDDLVNNLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVASEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVKSANSSTDKTLKDDTGTQENKLLVCADEHQVDTAAKNVRRHLEKGDKNENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLR >OGLUM09G18000.2 pep chromosome:ALNU02000000:9:21027705:21036697:-1 gene:OGLUM09G18000 transcript:OGLUM09G18000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKRLPYSTASGGGGGGGGGGRRGGASGSGVVAPLVVLVFLFVLAPSIFFVARNGGHVHVASDPKDREGNQETDWQKQLATNNLKSILSKEMIDALASSQQEAGILSVDFFRKRASPSWKTDDLVNNLSNASLDVDDKVKSENSSAEHELSLTDKTPKDDTAEHQVDAAAKNARRKLREKRREKRAMDLVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQSMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDNAAVVHYNGNMKPWLELAMTKYRPYWTRYIKYDHPYIRGCNLAERRRGKRAARDVRALSGEVDPRGSYPSLEMANSKRLPYSTAGGGGGGGRRGVVAPLVVVVFLFVLAPSIFFVACNGGHVASEWQKQLPTNNLKSILSKEMFDALASSQQEAGALSVDFFIKRASPSWKTDDLVNDLSNASLDIDDKVKSANSSTDKTLKDDTDEHQVDTAAKNVRRHLEKGDKNENSDLTVRLMRDQIIMARVYSVLAKSKNKNDLYQELQTRIKESQRAVGEATADSDLHHSAPEKVRVMGQLLSKAREDVYDCKAVTQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEKRKFPRSENLENPELYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLR >OGLUM09G18010.1 pep chromosome:ALNU02000000:9:21039294:21040961:-1 gene:OGLUM09G18010 transcript:OGLUM09G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTMSLLPPITQQQRWHAADSLVVLASRRHDSRRRRRCRYVVPRARLFGPAIFEASKLKVLFLGVDEEKHQHPGKLPRTYTLTHSDVTARLTLAVSHTINRAQLQGWYNKLQRDEVVAEWKKVQGHMSLHVHCHISGGHVLLDLIAGLRYYIFRKELPVVLKAFVHGDGNLFSRHPELEEATVWVYFHSNLPRFNRVECWGPLRDAGAPPEEDDAVAAAAAEEAAAEQMPAAGEWPRRCPGQCDCCFPPYSLIPWPHQHDVAAADGQPQQ >OGLUM09G18020.1 pep chromosome:ALNU02000000:9:21051079:21051732:-1 gene:OGLUM09G18020 transcript:OGLUM09G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKARFCEAHHRARRLRHLATAALAVAALAAAAAALVLYLVYRPVMPQASVPRAAVYRLALANASSSAHALAASVQFTLVLHNPSDRASLLYDGLVAYASYRGEPVMPPAPLPPVAQDRGADVAMSPLLGGAAVPVSPDAARALAADCAARRVQLRLVVMGRVKYRSGPFRSGWRDLYVRCNVVVGLSTEAAVAGGGGGGDVPLLEYPRCAVDA >OGLUM09G18030.1 pep chromosome:ALNU02000000:9:21055858:21059927:-1 gene:OGLUM09G18030 transcript:OGLUM09G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVEERKVVDLEDGDGEEGEDAAAVAAGSSRETRMLPRMPVRVLLAEGDDSTRHIICALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDACKNIPVIMMSSNDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLSSGVLDVQHTQQEDNLTERHEQKTGVTKAEHMTENVVHKNMECSEQESDAQSSCTRSELEADSRHTNNLLEYKQPMGRHFSKPDHKNTEKNGGTKIHASNDGNLIPRGEEDASPRRMTCSNDINCEKASRDMELVHIIDNQQKNNTHMEMDVARANSRGNDDKCFSIPAHQLELSLRRSDYSRLESQEKNERRTLNHSTSSPFSLYNCRTASSTINAGDAQACSTSATHIDLENKNGDSKTPSQDKRETNQPPIRVVPFPVPVGGLTFDGQPFWNGAPVASLFYPQSAPPIWNSKTSTWQDATTQAISLQQNGPKDTDTKQVENVEEQTARSHLSANRKHLRIEIPTDEPRHVSPTTGESGSSTVLDSARKTLSGSVCDSSSNHMIAPTESSNVVPENPDGLRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHGVQGS >OGLUM09G18040.1 pep chromosome:ALNU02000000:9:21065177:21066984:1 gene:OGLUM09G18040 transcript:OGLUM09G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSPPAPPPISPARLHKLVTSQTDPLLALELVTVTAPTTAPHPSTLHALALRLSRRREHLPHALALLRRLPSPPSPRILLPLLLSALRLRRQPHLFLSTFNSLFVSGPSPLPLHSELLLRLLSVLSSTASYFPCALHLLRDVSTRLPLPEPLVLASHNLLIEAAARSGHLAVSISLFHRLRSLHVSPNAETYRILTQSLCRRGQVRTAANLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGREVSPDIVHYNTVIVGMCREGRPLDACKVFRDMRESGCAPNAVAYTAVVNRLCVSGLYDKAEAYLDDMLGKGLLPHFSVFHSVIKGCCAVGKVNEAAGMMTRMLDLGMVPHAETWSSVIRSVCSDEDNVEVRRYCLTSTKQELTQLSEGSAIQHGDHLTANMGSSLDVG >OGLUM09G18050.1 pep chromosome:ALNU02000000:9:21067835:21074054:1 gene:OGLUM09G18050 transcript:OGLUM09G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MRFLLRLCFVAGEPHRPTARDHRRHRNLHRDLRAAVLRHNFRHGGALAPRFLSRPTYGCCCCCRCRRHTPPMALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGYPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAALSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFASESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYWLLALKSQVCIEGNYPVRIWRWKGHLIQYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEEGRTSWLSSLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRAVSSYHKYSLQFFFLDRQIQSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQVMLHMMKFLMK >OGLUM09G18050.2 pep chromosome:ALNU02000000:9:21067835:21074054:1 gene:OGLUM09G18050 transcript:OGLUM09G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MRFLLRLCFVAGEPHRPTARDHRRHRNLHRDLRAAVLRHNFRHGGALAPRFLSRPTYGCCCCCRCRRHTPPMALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGYPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAALSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFASESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYWLLALKSQVCIEGNYPVRIWRWKGHLIQYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEEGRTSWLSSLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRAVSSYHKYSLQFFFLDRQIQSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQVMLHMMKFLMK >OGLUM09G18050.3 pep chromosome:ALNU02000000:9:21067835:21074054:1 gene:OGLUM09G18050 transcript:OGLUM09G18050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA photolyases;DNA photolyases [Source:Projected from Arabidopsis thaliana (AT4G25290) TAIR;Acc:AT4G25290] MRFLLRLCFVAGEPHRPTARDHRRHRNLHRDLRAAVLRHNFRHGGALAPRFLSRPTYGCCCCCRCRRHTPPMALLALRLGHHHHHLGLSAPRDPRRLLRRRLVVASSGAVKAPAAAAVVWFKHDLRVDDHPGLAAAVAAEPRRPVLPLYVFDRRILAGYSDTMLELLLFALEDLKMVLKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRNVLASVESSLSNASFLSGYPPEIVVWSASLYDYKNPRELSTSHNQFLKEKLPMNTPLAALSLPALNIEIETGSLPTLEELKGFLKESRTSENNWVPLKGTSARSILKKTLSQINVKTGVASSGSDGGEDTTAYYAMSGRKIQNSMFASESSTEVRGGTEITLDALAAYLKYLEGTGKASWQELHDKVRLTETRDGASFCTLFGPALQLGVISKRRVYHETIQYERDRNAGFISPFGYSTPTVTAAVDAICSMEWYWLLALKSQVCIEGNYPVRIWRWKGHLIQYTSVGHEGPSVLLVHGFGASLQHFRDNIGAIADQGCQVWAITLLGFGKSEKPNINYSELLWSELLRDFIVDVVKEPVHLVGNSIGGYICSITASLWPSLARSLILLNTAGSVVPSYSFIPLSEEGRTSWLSSLQARLLLLFLRSRAGGILKEYYPTRTERVDKPLVDEIIRAVSSYHKYSLQFFFLDRQIQSYDPGAATVIESIFSFNLSIPLNFLFDSFGGKILIVQVMLHMMKFLMK >OGLUM09G18070.1 pep chromosome:ALNU02000000:9:21086924:21091177:-1 gene:OGLUM09G18070 transcript:OGLUM09G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSAAGQFCSSPRPEEGDTLTDRIGMADTRPCLAAAVVRRVFFPGPEVAVVQSMYPFRPSGHTAERGCLLLATVYDVDDDATCSEEVGWAAC >OGLUM09G18080.1 pep chromosome:ALNU02000000:9:21095807:21106072:1 gene:OGLUM09G18080 transcript:OGLUM09G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/Swiss-Prot;Acc:Q8L5Y9] MAANNNSDPILDEGGGGGVKHEAAGEAGEGKGGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWCSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKASTSTLDHGNLNGFISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >OGLUM09G18080.2 pep chromosome:ALNU02000000:9:21095807:21106072:1 gene:OGLUM09G18080 transcript:OGLUM09G18080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:Projected from Arabidopsis thaliana (AT4G32180) UniProtKB/Swiss-Prot;Acc:Q8L5Y9] MAANNNSDPILDEGGGGGVKHEAAGEAGEGKGGGGGAAATQAPAAMLPRSGSRPQLDLSGAAIHGNLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAEHSSEDKRKLSTKRRLGMLNGGRRSYPVLGGRLHFVKFETGKLNECLDFISSKQLHRGGVDSPSWCSGAQPDNIVIKATGGGAFKYADLFKERLGVSLEKEDEMDCLVAGANFLLKSIRHEAFTHMDGQKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISDDKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAKAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYISWMEKFVQKGTQITAPVPVGFPVTTGMGGFERPTAKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKMYEPNTIDLDLNEYKYWFKILSDHLPDLVDKAVASEGGTDDAKRRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYVSIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACIDDFDMFKKRMLADKKGQPYKRALLFVDNSGADVVLGMIPLARELLRNGTEVVLVANSLPALNDVTANELPGIVAEAAKHCGILRKAAEAGGLIFDAMAGIQDDLKDEPVSVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRSLHTNLNARFKCDTLKLAMVKNQRLAEKLFNGNIYDCICKFEPVHLT >OGLUM09G18090.1 pep chromosome:ALNU02000000:9:21106048:21107100:-1 gene:OGLUM09G18090 transcript:OGLUM09G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAALCWRHVAVFAAASIAAAAVVVAGDPGKIGICHGRVGSNLPPPAAAAALLRQNGITKARLFLPDPAVLPAFAAAGIDLMVGVPNENLTFLSAAGPDGALRWLQSAVLVHAPADRVRYLAVGNEVLYNNQFYAPHLVPAMHNLHAALVSLGLGDKVKVSSAHASSVLASSYPPSAGAFDAASLDVLRPMLRFLADTGAPFMVNTYPFISYVNDPVNVQLGYALFGAGAPAVSDGALVYTNMFDATVDALAAALDREGFGAVPIAVTETGWPTAGHPAATPQNAAAYNAKIVERVARGAGTPRRPGVPVEVFLFDLYDEDGKPGAEFERHFGIFRADGSKAYNINFA >OGLUM09G18100.1 pep chromosome:ALNU02000000:9:21108278:21109251:1 gene:OGLUM09G18100 transcript:OGLUM09G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCPTRPSIDQSTLPQPVGGEIDAPDVAKLLPMLILHRSTGYCLFSSPTFGLPTIFACLRLWGCLLLVAVQRPSGRAAAFRWISSMPTITPVQHCVPLSSPSSAVSSSGRGGKRQGAPPPASFGDAAKRAQSHGGHPANDSIRASGGLSSPISSATHGRCLFFCSSLPCYAPAKAQVDPISICIWSLLCLPIKSSDRSTIIFVEIISFSSFKAPFFSCFAVARLLFPISMLPRLLNVVLFEKLFI >OGLUM09G18110.1 pep chromosome:ALNU02000000:9:21110923:21118301:1 gene:OGLUM09G18110 transcript:OGLUM09G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHRRLLLLLLHLLLLVAAAAEAAAAGAGRKEKGIGGGGGLRFRGGSGTFKVVQVADMHYADGRRTGCLDVLPSEAAGCSDINTTAFLYRLFRDEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEVVTILRCLPSVDMTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGRRKKNPDGKMR >OGLUM09G18110.2 pep chromosome:ALNU02000000:9:21110923:21118301:1 gene:OGLUM09G18110 transcript:OGLUM09G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAHRRLLLLLLHLLLLVAAAAEAAAAGAGRKEKGIGGGGGLRFRGGSGTFKVVQVADMHYADGRRTGCLDVLPSEAAGCSDINTTAFLYRLFRDEDPDLVVFTGDNIYGFDATDAAKSMDAAIAPAINMNLPWAAVIGNHDQEGTLSREGVMRHLVGMKNTLSRFNPEGIEIDGYGNYNLEVGGVEGTLLANKSVLNLYFLDSGDYSTVPSIGGYGWIKASQQFWFQQTSSNLQTKYMKEEPKQKAAAPGLVYFHIPLPEFSSFTSSNFTGVKQEGISSPSINSGFFASMVEAGDVKAAFIGHDHVNDFCGKLNGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDGGEWRGVKSIKTWKRLDDPHLTTIDSEVLWNRGSNGRRKKNPDGKMR >OGLUM09G18120.1 pep chromosome:ALNU02000000:9:21114822:21121178:-1 gene:OGLUM09G18120 transcript:OGLUM09G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lon protease 2 [Source:Projected from Arabidopsis thaliana (AT5G47040) TAIR;Acc:AT5G47040] MADAAVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQREEKGLIGVLPVHDSEAAGSLLSPGVGSDSGEGGSKAPGGSAGESTKQDTKNGKETIHWHSRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEHAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLETVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQNAGMPANIWKHAQRELRRLRKMQPQQPGYSSSRTYLELLAELPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVRLIIERYTREAGVRNLERNLAALARAAAVKVAEQDSALRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGQDISNTYENPSPMIVDEAMLEKVLGPPRFDDSEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSHRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNMKDLAEVPAPILSGLEILLVKRIEEVLDHAFEGGCPLRPHSKL >OGLUM09G18130.1 pep chromosome:ALNU02000000:9:21131060:21136033:-1 gene:OGLUM09G18130 transcript:OGLUM09G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLGWFKKRRSSKSKESSGRRGSTTTTVSAVSTSRSDDSGAVRPASKSTGSTSSHRSISSLYEERGHGQLRDFDYDELQAATNGFSRAQKLGEGGFGSVYKGFVRSSPADGKAADRLAVAVKCLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDGERGPQRLLVYEYMPNKSLEDHLFVRAYPPLSWNRRLQIILGAAEGLAYLHEGQVQVIYRDFKASNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPDYIETGHLTVKSDVWSFGVVLYEILTGRRTLDRHRPQGEQKLLEWVAQFAPDSRNFRMIMDPRLRGEYSVKAARDIAKLAESCLLKNAKERPTMSEVVDVLRRAVQSQPDPPPPPAAAAAASGKGKRVDVAPQPARRR >OGLUM09G18140.1 pep chromosome:ALNU02000000:9:21154264:21155688:-1 gene:OGLUM09G18140 transcript:OGLUM09G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5R4] MAVLLITRLLTLVLGYAYPAYDCYKTLELSKPQIDQLRFWILLAFLTTLETITDFTVSWLPMYGEAKLALVLYLWYPKTRGAKHVYESYLQPVLARHEADIDRGLLQLRASAKDATASHLQAAVSLGRACFAEVAGRVSSQLQAARSGGGGRAGQVQ >OGLUM09G18150.1 pep chromosome:ALNU02000000:9:21157310:21160967:-1 gene:OGLUM09G18150 transcript:OGLUM09G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKTKGCCGWLIVALVASLVATAAVVAIMKKKAGGGSGRKLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKAGKLENNQIPWRGDSALDDGKSAGLDLSKGMYDAGDHIKFSFPMAFTATVLSWSILEYGDQMSAAKQLDPALDALRWITDFLVNAHPSDNVFYIQVGDPDLDHNCWERPETMSEKRPLTQINTKSPGSDVAAEAAAAMASASIVFKSRDTTYSDSLLQHAQKLFTFADTYRGLASDTYPKLQNYYNSTGYQDELLWAASWLYHATGDQTYLSYVTVRNGKAFADWGRPTWFSWDDKLAGTQVLLSRLNFFGSKQTSNAENMGLKMYRDTAEAVICGLLPDSPSATASRTGGGLVWISGWNSLQHATNAAFLAVVYSDYMLTSQTAAVQCSGKYYSPTDIRNFAISQANYILGDNPMKLSYLVGYGSSYPQQVHHRGASIPADAKTGCKGFQYLHSTSPNPNVAMGALVGGPFQNDTFVDSRDNAVQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >OGLUM09G18160.1 pep chromosome:ALNU02000000:9:21162461:21163615:-1 gene:OGLUM09G18160 transcript:OGLUM09G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVPRFVTKANGPWTNHGLQSSCMNAKW >OGLUM09G18170.1 pep chromosome:ALNU02000000:9:21164311:21166741:-1 gene:OGLUM09G18170 transcript:OGLUM09G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADEPAAAAASTSLDSDDSFFDALDSLPSPPSPPHTPSSSTLRRRRPRRGWSLKQHEDDTAASPTFSDSSTITVVDEAVKPDSEETSSHRPPPPPEEEDEEDAAEAAVEGEVDGEVEARDAKLNPAPAPAPTPTPPPPGILESLAMLVIKAVVFQVSALISCLTFPIRLLQWWFLLVTDPLGLVRRARGWALEVAGHATGAAAARLGGGEGVGRMVARLAWGSLWAVYVCVVLCSILVMAFLGGGLLVGKVVEEPIQVTETLNFDYTKPSPVAFVPVQRLVPPNQRMQLEVFLTLPESDYNRRLGVFQVRAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEASEPVMGIRIILEQRAEFSPGAGIPEIYAASLKLEAELPLLKRILWNWRWTLFVWSSMGFFVFELLLALICCRPCIFPRSGHNAATP >OGLUM09G18180.1 pep chromosome:ALNU02000000:9:21168615:21173190:1 gene:OGLUM09G18180 transcript:OGLUM09G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAKRPLGAVMAWVRRQPPKVKAFLAVVTGMAALVFIRFIVHDHDNLFVAAEAAHALGIAVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLAATLFVIYMIRFKLRPTYMVDKDNFALYYVVVPCAVLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >OGLUM09G18190.1 pep chromosome:ALNU02000000:9:21174007:21174930:1 gene:OGLUM09G18190 transcript:OGLUM09G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPAGIRWRVPQIEGAAEGWCMPPDQLMVMSESPSTSDSDDVDVLDLQDVLQRFGFHTICMVAFGHDLPCLADGGVMEDARSDFMHSFGEAQNLVVGRFFDLIEVSWKIKKWLNVGTTRRLRKAIADVHTFAMDIVRARRQSASVQDRDDVLSRFVASDEHSDEVLRDIVLSFLIVGRDTTASGLSWFFCLLSSRPDVVARIADEVRAVRKPTNTRLGEPFRFDALWEMHHLHAALTESMRLYPPASVVRGGRHTPRRHARPRRLVRDVQRIRHRARTAWSTGRSGGSARTACSSRRAREREIDK >OGLUM09G18200.1 pep chromosome:ALNU02000000:9:21175697:21176128:-1 gene:OGLUM09G18200 transcript:OGLUM09G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSSGTSNIKDEIDAAFAAGAMPPEWRPRLLASQRLGEGDVDRIAAAIAEVHATYQYVGSTKGNIGYVAFLFVLGVLFLCVAGLFFRENNYLNGALAVLVAVAFIVIIPMIILLYEFHRWRANMLMAQTRTVLERFLLPPV >OGLUM09G18210.1 pep chromosome:ALNU02000000:9:21185682:21191775:1 gene:OGLUM09G18210 transcript:OGLUM09G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGVAFYYTGVLYDIRFLVPLDRFIAYSSSGVSSDFRRHAEDWAITRRPGSTFAVTFVAKDTGKPSAAYHYEQVAAAEASGAAVVRIERRSRSRSRGGADRAAAVAGGEAQLVAEGSMALDGGGAADGSAASSRATSPPVILGLLLFLATATFIQQYGSIFGGTISIFQAAIPTIYGALKGRYLLTLELWNASITIINLVGNTTWMIYSAVNKGVELSMLMTNSVACGLNIYHLLSIYRHNKERKKWYSTLSLEIHVFILFYVPNILFAASELVLIVYKQYSMKIYVQLSYILNTIFYVIELPVMIRAMITNNPDSDNEAIDIENQAIVKIDGQKKHHNERQEDRAEKKTTFTEVYLLPAPPGLFGQGKRKHSDNDKEIHFSKRMRSHIYSRSIKRGSPPTRTLQLQMDSLSEDSYEPEYVEEHRLKDLVKNSEFISYPISLNEKKIEKEICDDEEVDAEEGKVEDVDEEKEKKGEKIKEVSHEWHSSNKQKPLSDSKEITSENEEAKEGKVEDVDEEKEEKKKQGKKIKEVCHECNLINKQEAIWMRKSEVITKEAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKGAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVEGIVDYEDLPLNISFELLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYKAFSKNPKLGIHQDSTNRTKIAELLRYHSTKSGDELTSLKDYVARMKEGQCDIYYITGESKKAVENSPFLEKLKDYEVLYMVDAIDEYAVGQFMEFEGKKLISATKGLKLDEKFDNLSIVMKEVLVDTVERDVFSDRVVDSPCCPVTGEYSWVANMERIMKENAIMDELPNKSQVNKCAPKQKVDILPVKENAIRLQSSEEREVNTKFSVTGICGDGRCLFRSIVHGAYIKLMMIPPDDNLEKDMADDLRKKVCDALEKECADKPWMPITVFVVEKTGGLRVFTKYGKEYGRNAIQVLFDGCMKAASGCLPCKTSLPRESASAWWTQVDTVSGQGCGESSPLCRPPAYPPEPPVVLSLVGGQLVLRLPSGVV >OGLUM09G18220.1 pep chromosome:ALNU02000000:9:21193815:21194434:-1 gene:OGLUM09G18220 transcript:OGLUM09G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSSSAASITMSSSSSSIKDEIDAAFAAGAMPPEWRPRLLVSPRLGERDVDRIAASIAEIHWNLQLDGSTQLSVACVAFWFVVGVLVLCVAGLFFLENDYMSGAFAVVGAVPCVVITPIVTVAYERRRRRAKMLMVRTRTVLEHFLLPPI >OGLUM09G18230.1 pep chromosome:ALNU02000000:9:21196442:21199734:1 gene:OGLUM09G18230 transcript:OGLUM09G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSFCPDCKKHTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESSDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >OGLUM09G18240.1 pep chromosome:ALNU02000000:9:21201068:21203976:-1 gene:OGLUM09G18240 transcript:OGLUM09G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:triosephosphate isomerase [Source:Projected from Arabidopsis thaliana (AT2G21170) TAIR;Acc:AT2G21170] MAAPSSLASSHLSRLADLRRAGVAAAAAAAPAHPQQLRLGCSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSVSKLVTELNAATLEPDVDVVVAPPFIYIDQVKNSLTDRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHVIGEDDQFIGKKAAYALSQNVKVIACIGELLEEREAGKTFDVCFKQMKAFADSITNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPEVASGIRIIYGGSVNAANCAELAKKEDIDGFLVGGASLKGPDFATIINSVTSKKVAA >OGLUM09G18250.1 pep chromosome:ALNU02000000:9:21205447:21209290:1 gene:OGLUM09G18250 transcript:OGLUM09G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKEKNTHTAITILQPNTPPAPPSVLINASGFKLELFFCSLILAPSLSLISAKAMADSKGSGGAAGDKPGADASPATNPAPPAAAVAVAAAAADAGGDDDVAAAAEARRPFTALSQVDADLALARVLQEQERAYMMLRMGGGVGEGSDYGSSDAGSYEYDDEAEEDYEEELEHHLRVHHHEHAVGEGRGEGERDGEGAEGSEFEEEGFNEEYDEEEVEPELDPAEYEDDEAYARALQDAEEREVAARLMALAGISDWRPVEPVEEHANDPQELVALGEAVGTGHRGLSAATLASLPSVTYKAEGVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSDSNQA >OGLUM09G18260.1 pep chromosome:ALNU02000000:9:21213763:21217229:1 gene:OGLUM09G18260 transcript:OGLUM09G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANENPAQQGDANESPARQGLPPRHLIIPYAIAATMANRPIRLASQARLLGGGGGAAAQQPPTQHAIPAQRRLPSRNLWSRIVPIASALTATAAPRLHRAPPRGAVGDAHCHHIRVACAPRGWTVSLATGHYRFGYGYGGASSSSTASAAPTTTRGPTPLLLLVVPPVPAPLVPAPPAPTPHLVVPRGGWTVSPTTGNDRFGDGGASSSTAAPLTPIAHSAPPETTPYVPALPVPTPSTVALVGLTLRWSELVVCYAACAHCFSCTARATSSSCAVRACSPVPTPPALATHVPMPLAPAPPVPTPPAPCPPADVPPRFTVSPITIRHNFGYDGASSSSTMPRVRSTSLALRAPAPHLHAPSCTLAPPAPMPPTPTFHIPTPPTPATPAPPADVPLGFTVSPTTTCHGFGYDGASSSFATPRMRTISLALCAPAPHLRAPRTLAPPAPTPPAPATPVPMPPTPTPLVPTPPAPAPPTDVPPGFTVSLTTTRPSFGYDGASSLSATPRMHTTSLALRALAPHLRVSRASAPTVPTPLVPTPPVPTPHAPAPPADVPPEFIVSPTTARYIRHVCPLLLLHCAHQHLIFVCCACLLLLFYAALACPFSSYAARDCSSCYCAAHDCSSCCCAARQRKLAIYCAAHAQCSSCTAALAPHLRALRVSVPHPRASSAPAPPVAAPRGLTVSPTTARYSFGDSGASSSSAVPRASTAPLALHAPAPHLRAPPVASPPPAAPRGWTMPPTTGRYSFSYGGVSLSYAMPCAPTAPLSLRSPAPHLRARRAPTSPPAAATPRAPTPPAAAAAAPAAPPALPSGLPSWPVLVRPPTGPSRARLVPAAPAEAFEEYLVQRRAIEATVDDTPWEMIGSSRRTGGPMFAVAGGGRDRAELEARERRKDRMDKSKAAAASRAQQPPPPADAPGSSGGGSKKRGGGMKKKQA >OGLUM09G18260.2 pep chromosome:ALNU02000000:9:21213763:21217229:1 gene:OGLUM09G18260 transcript:OGLUM09G18260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANENPAQQGDANESPARQGLPPRHLIIPYAIAATMANRPIRLASQARLLGGGGGAAAQQPPTQHAIPAQRRLPSRNLWSRIVPIASALTATAAPRLHRAPPRGAVGDAHCHHIRVACAPRGWTVSLATGHYRFGYGYGGASSSSTASAAPTTTRGPTPLLLLVVPPVPAPLVPAPPAPTPHLVVPRGGWTVSPTTGNDRFGDGGASSSTAAPLTPIAHSAPPETTPYVPALPVPTPSTVALVGLTLRWSELVVCYAACAHCFSCTARATSSSCAVRACSPVPTPPALATHVPMPLAPAPPVPTPPAPCPPADVPPRFTVSPITIRHNFGYDGASSSSTMPRVRSTSLALRAPAPHLHAPSCTLAPPAPMPPTPTFHIPTPPTPATPAPPADVPLGFTVSPTTTCHGFGYDGASSSFATPRMRTISLALCAPAPHLRAPRTLAPPAPTPPAPATPVPMPPTPTPLVPTPPAPAPPTDVPPGFTVSLTTTRPSFGYDGASSLSATPRMHTTSLALRALAPHLRVSRASAPTVPTPLVPTPPVPTPHAPAPPADVPPEFIVSPTTARYIRHVCPLLLLHCAHQHLIFVCCACLLLLFLHRPHLPSSSFYAALACPFSSYAARDCSSCYCAAHDCSSCCCAARQRKLAIYCAAHAQCSSCTAALAPHLRALRVSVPHPRASSAPAPPVAAPRGLTVSPTTARYSFGDSGASSSSAVPRASTAPLALHAPAPHLRAPPVASPPPAAPRGWTMPPTTGRYSFSYGGVSLSYAMPCAPTAPLSLRSPAPHLRARRAPTSPPAAATPRAPTPPAAAAAAPAAPPALPSGLPSWPVLVRPPTGPSRARLVPAAPAEAFEEYLVQRRAIEATVDDTPWEMIGSSRRTGGPMFAVAGGGRDRAELEARERRKDRMDKSKAAAASRAQQPPPPADAPGSSGGGSKKRGGGMKKKQA >OGLUM09G18270.1 pep chromosome:ALNU02000000:9:21217827:21221348:1 gene:OGLUM09G18270 transcript:OGLUM09G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 family protein [Source:Projected from Arabidopsis thaliana (AT2G21150) TAIR;Acc:AT2G21150] MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSSDGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVRGDPRLSFCDEIENGSDEDEFENQEPQKKHGPVKLGKDPTVETSFLPDREREAEEQAERERLKKQWSREQELIKNEPLTITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDEIYDPTKKWERYTIHGD >OGLUM09G18280.1 pep chromosome:ALNU02000000:9:21221783:21223084:1 gene:OGLUM09G18280 transcript:OGLUM09G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLAVLLLVMRLAAAMAASPTSYISRTTEQQVIATVAPAVDVGQSAQPFLTSPSGSYAAYLRRAVDSSAGGPGADACYVQIQQAGGGAGGGGSVWESECTLVGGADACDLAFSPVGLELFAGGHSLWDTGIDAEPGTLSLDDGGDMRIVSKDGVSVWQASGEPWTGQQCGAAAPVSPSPTMDVLPPPSTTTTAKLLTPPASTLAGAGSSDLSFGDQLAPPVDTSLPASPDQPPVDTMPDQPLLPPPPPPPPADATPATPDLPLPPPPPADTYPVSPDQPLYSSPPPAPTAFVPHTPLPPVDVPALSPPLPHGKTPSGAPGGIALPPAEPAGGGMPQQHGSPHHLPLGASPPPAAAAVPDALAPSAGHGAAAGGLPIGGQGQQQGAFGQHQVLNGAGQPLEDSSGERPRGAHAAVVVTSGLVSLLIALGFGF >OGLUM09G18290.1 pep chromosome:ALNU02000000:9:21223938:21224480:-1 gene:OGLUM09G18290 transcript:OGLUM09G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALGVTTTVLFVASVSYIALTALYACFCDGGGRRRREDGGSSSVRPEPSEETKRALDGIPVHVVQMPPRDGGGGGADEEGGSGDCAVCLAEYAAGDEVRVLPACGHGFHRECVDRWLLTRAPTCPVCRAPVVARVEGPDDDAKEDYCGDGESVERHGGGGGDIGFLSVAGESRVLPAI >OGLUM09G18300.1 pep chromosome:ALNU02000000:9:21226278:21228028:1 gene:OGLUM09G18300 transcript:OGLUM09G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGRGGSGAAAEARLRRLWAEEEGAAELLFSLSVSPPRHRSLPLPLTRSHGGGRPQSRRRRRGEPACVGRGERLRRRSRGTSRQGRGGAAPVPQHLAAVAAHAGEEQTLI >OGLUM09G18310.1 pep chromosome:ALNU02000000:9:21228709:21229722:-1 gene:OGLUM09G18310 transcript:OGLUM09G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNSDSGKKGGNPLETMGAFFSSQVNRRKLVTSEKQALATRLSAGGEAFPGSEHRPADRKTWMAELGPERLRVHQVVWPGTHDSATNKIGIPFVTRPFAQCQSLSVYEQLAAGARVIDVRVQEERRVCHGLLATYSVDVVLDDVRRFLGETASEVVILEVRTEFGHDDPPEFGRYLVEQLGEHLIPQDEAVFHKTIAELLPRRLICVWKPRKSPAPKPGEPLWSAGYLRDNWIDTDLPETKFESNVKFLGEQPPVADRRFFYRVENTVTPQADNPVLCVRPVTRRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVDGAA >OGLUM09G18320.1 pep chromosome:ALNU02000000:9:21229729:21235465:-1 gene:OGLUM09G18320 transcript:OGLUM09G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWQHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLESKAIYKEEPPTDIAKFSKESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRA >OGLUM09G18320.2 pep chromosome:ALNU02000000:9:21230730:21235465:-1 gene:OGLUM09G18320 transcript:OGLUM09G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWQHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLESKAIYKEEPPTDIAKFSKGNDLHSVIKRKNRDQLLNEGSSGNSHNSTAALLATQSRKASFSFSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVFNFRINCSIHIRGTIIKGPASNQEANCGHFQWATVKSKEKRRTC >OGLUM09G18320.3 pep chromosome:ALNU02000000:9:21230730:21235465:-1 gene:OGLUM09G18320 transcript:OGLUM09G18320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWQHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLESKAIYKEEPPTDIAKFSKGNDLHSVIKRKNRDQLLNEGSSGNSHNSTAALLATQSRKASFSFSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRTC >OGLUM09G18320.4 pep chromosome:ALNU02000000:9:21229729:21235465:-1 gene:OGLUM09G18320 transcript:OGLUM09G18320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:endonuclease/exonuclease/phosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G36050) TAIR;Acc:AT4G36050] MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSGDVIMAEGYEAFISCNRSSKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLQETAKNSETIGDFVLVTPVEEEGLGEVTKEELLKVDNEGRCVITDHGHFVLFNIYGPAVEEDDIERVRFKLLFYKILQRRWQHLLALGKRVFVVGDLNIAPSSIDRCDAQPGFEKQTFRKWLRSMLREHGGPFFDAFRSKHPERVGAYTCFNQKVGAEVYNYGSRIDHILISGACFHHCGSVDDHSIFPCHVEECEIMDHFRRGNSENMSMWKGGRSSKLEGSDHIPVYIVLNEIPELPVHNTPSSAARYLPEIRGRQQSIVSFLRKGMIYEHKDAMSMDRADESCCGGGLESKAIYKEEPPTDIAKFSKGNDLHSVIKRKNRDQLLNEGSSGNSHNSTAALLATQSRKASFSFSKAVSNKKNKHNLSSQPTIKSFFQQPKSKPGDSSTNSIVTPPDTLHGMDELHDPKNDCLPESIQCTTPATEDQGNSDVPCSLSTDKCNEATLEWQRIQQRMKMTLPLCKGHHEPCIPRSVKKGSNIGRLFYVCARAQGPASNQEANCGHFQWATVKSKEKRRA >OGLUM09G18330.1 pep chromosome:ALNU02000000:9:21243227:21246800:1 gene:OGLUM09G18330 transcript:OGLUM09G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLKQILARPIQLAEQVIKWSDEAYTFRQECMELKAKVERLAGQLRQAARADLYERPARRIFDDTEKALDKAMALVDKCRAHGVVRRVFTIIPAGSFKKMANQLDNSIGDLSWLLRVSSSASDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAASLVSLARDNDRYSKLIIEEDGVPPLLRLVKEGKLEGQENAALAIGLLGRDPECVEQMVHAGACTAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFASHNVIRLLVGHLAFETVQEHSKYAVTSSKMSIHSVVMDKKNSTRGALIPDLMDAGEHGGTRHPGGHVSQSKNEMYSLVHSTMAAKPNGSSGKVSNGGVVASKQHNVSLSGATTRGREFEDPETKASMKANAAKALWHLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSAMALMEICSVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVDKAEYDDLLIPCIISLGCLSRTFRATETRIIGPLVKLLDEREADVSREAALSLTKFACTENYLRVDHSKAIISAGGAKHLVQLVYFSEQVVQLAALALVCYIAHNVPDSEELAQAEILTVLEWASKQSFMMQDPLIESLLPEAKIRLELYQSRVYLSSGDGGMAGSSNFSLILCAQPLPKPASVKARRINIGGAGRQKDGRACN >OGLUM09G18340.1 pep chromosome:ALNU02000000:9:21249604:21251340:1 gene:OGLUM09G18340 transcript:OGLUM09G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARRLLPVTLLLISLSRALCTTFTLTNSCAYTVWPGLLSSAGSPPLATTGFALAPGESLAVDAPAAWSGRVWGRTLCGADPGGSGRFACATGDCGSGAVECGGGGAAPPATLAEFTLDGAGGNDFYDVSLVDGSNLPMVVVPQGGGAACGATGCLVDLNGPCPADLKVAGADGAGIACRSACEAFGTPEYCCNGAFGTPATCRPSAYSQFFKNACPRAYSYAYDDATSTFTCASGTASYLVVFCPIISSLKSSVGGGATNPSASGTGLPLINDTVSFLNRGGGGNGGYYDASSSASLTAPSPLPVAGQAAAAVLAWLCTARGRHWLPW >OGLUM09G18350.1 pep chromosome:ALNU02000000:9:21258618:21262159:1 gene:OGLUM09G18350 transcript:OGLUM09G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWIITRSTWIMSVFFVILSFFQGLVMVGGVTFTFTNRCGGTVWPGVLSNSGSSALGTTGFALAAGETRSLAAPAGWSGRFWARTGCTFGDGGDDGKGSCATGDCGSGEVECRGAGAAPPATLVEFTLGSGGGGGKDYYDVSLVDGYNLPMVVEAAAAGCPATGCVVDLNQRCPAELKAGHGQACRSACEAFGTPEYCCSGDHGNPDTCHPSVYSQMFKSACPRSYSYAYDDATSTFTCTGTDYSITFCPRPGNPNSQKSSNDPSPRPKDPQLEDDSWLASLATGEVDGAAPASTSLLLQATLAVAVMALLVLH >OGLUM09G18360.1 pep chromosome:ALNU02000000:9:21271989:21274702:-1 gene:OGLUM09G18360 transcript:OGLUM09G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHSIKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAVGSLEGLLGYGAQWLVVSRAVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCAVAMVFLREGEVGGGGADGREEEEDGWCFAAINTLAVAIALYLLAADLTGVGGGGGVVSAVFVAVLLVLLASPAAVPAHVAWKSWMKTRKLANADVEEAEESASAPLLVAKATAAAAAEARGPGEKLVLGEEHTIAQALMSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYSDVSLFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQILMAVGYVVMAVGMPGSLFVGSVVVGICYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGLLAGLLYDAQATKVPGGGNTCVGAHCYRLVFVVMAIACVVGFGLDVLLCFRTKRVYAKIHESKRQSRSAVVQRVS >OGLUM09G18370.1 pep chromosome:ALNU02000000:9:21285677:21287941:1 gene:OGLUM09G18370 transcript:OGLUM09G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADNDKWALEKARALQDVEEEFNQQIARILSCYQLPEHIRLDLHEQHRNDYKVPDDLRLKFVNAVFEGKSGMLDQDEELRVQARKESEKFWVEAAGAAKKAQALQDMEERNRKFMEDARDQVEDRFGIRNHETRLRIRAWEESQQFLVKTMADERAAKKVQALQDEEKRYVQGVKKTFDSENISEYFQQAFLQKGLLDNIRLLFIDDIEEKFNMPDDEEEPKGYISEDYNRLKAQALQDLEYKFNQQTARILKCYDLPEHIRLDLKEQHYNNYKVPDNLRIKFINAVFNGNPRILDHKRELKVQARKEAEKFWIEAAATAKKAQALQDLEERYKQQFIKPSYAREDISEHMQEYFLRERKITDKAYLEYKNNVEDKFAIRNHEMQLKFLAWEKTQQFRIKMMADERAAKKVKALQDMEERYVQDYMNKVERLDVPDYIKQGIIQEYKVPDDTRLRYINYIEEKFRMLDDQEERKVHIWENFKKLKIPLTIKSPITVAIMFSIGIMILLSGFLVPKMPKSLKIMCWATSIVICFAAVLSYDNESSKRSLLTTAPTEMHDLENPPSIY >OGLUM09G18380.1 pep chromosome:ALNU02000000:9:21298421:21299188:1 gene:OGLUM09G18380 transcript:OGLUM09G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIQDLLKQEEQLKQSLQELFEAHATVKARIDKVHTQVEKDEEELEKLRLVERQAMSRADKIRRDAEIAKANANEFEKKADQLQIIADIEKGREQSARKKEQAAHDRLRDASTARIVAIDHTECINGRSKDIEDWTEAIEERQKRLEEEKNRCKRLISIFWALGIVHFCLFWVKFGLKKHEKELGSSVGWIEGFCYVLVLSLFVFCKSFIDTRLKFKPDRRAEWASVTLHALSRFIFEGILNTAIGTDVPLHWW >OGLUM09G18390.1 pep chromosome:ALNU02000000:9:21299929:21302544:-1 gene:OGLUM09G18390 transcript:OGLUM09G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSILPFFHLPLSPFSSPSFVHRERRRAGERALKLRWAIGRWPAGRGARLHCKKGIAGS >OGLUM09G18400.1 pep chromosome:ALNU02000000:9:21302929:21305582:1 gene:OGLUM09G18400 transcript:OGLUM09G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G34960) TAIR;Acc:AT4G34960] MLRKVSVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGPKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >OGLUM09G18400.2 pep chromosome:ALNU02000000:9:21302998:21305582:1 gene:OGLUM09G18400 transcript:OGLUM09G18400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT4G34960) TAIR;Acc:AT4G34960] MLRKVSVAFLACAALYLAFAAYSRRESLGEVRLPAVTNRVYLDVEIDGQHIGRIVIGLYGDVVPKTVANFRALCTGEEGIGPKGKSLHYKGSRFHRIIPGFMIQGGDIVRGDGKGSVIAMANSGPDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDYVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWAEEV >OGLUM09G18410.1 pep chromosome:ALNU02000000:9:21307207:21309253:-1 gene:OGLUM09G18410 transcript:OGLUM09G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRKFLLCLILALLAASGPAKTVNADSPFDFYYLILMWPGAYCTDSEYGCCVPKYGYPSEDFFVKSFMTFDSSENTAVVRCNSDNPFDINKLDSIENNLNHYWSNIKCPRTDGVNSWKSEWNSYGVCSGLKELDYFKAGLQLRKSADILSALAEQGVQCRDGPFGKKQLHEIYLCVDKDAKSFIDCPVLPNLSCPAEVLFHPFHTWMLNTTSAANIVMPTETVLA >OGLUM09G18420.1 pep chromosome:ALNU02000000:9:21312008:21320357:1 gene:OGLUM09G18420 transcript:OGLUM09G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSIIRRLVDRVRVAYVAQRPRECTVADEARKGVDLVAEGEGGVECPHLSSSSFQWQPVRPLPASQQGRAELSCRKPYPPSYMCGETQLELACDSDEKSPLRRGMEGELGGINRPAYATFSVHHALHLLLSPGPSGAQAWDLGAAEHGQHGRSAGAAGASGGRPGKRAGAAFGGGEEAGATGGRRWPAREERG >OGLUM09G18430.1 pep chromosome:ALNU02000000:9:21313779:21315975:-1 gene:OGLUM09G18430 transcript:OGLUM09G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRIALLCLVGLLVAASPAAIAAKDDKIFYQITFMWPGAYCAQTKAGCCMPKTDVAPASDFYVAGFTVYNATTNSSLSSCSNTPFDMNQIGDATRLMQYWNNIRCPSKNGQKGWKNAWETSGVCSDLTESAYFDTALALRDKINPLSRLVSNGIKPDFGLYSVKKIKEVIEEGIGAPALIQCSKGPFDKFQLYQIYVCVAEDAKTFVECPSPRKPYTCGDDILFHPFKKWMLKTNSTKSYAAASAIDQLLEAVMEI >OGLUM09G18440.1 pep chromosome:ALNU02000000:9:21321201:21323984:1 gene:OGLUM09G18440 transcript:OGLUM09G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5U9] MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGSDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDAVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEIAVMTQKDGLRQLEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >OGLUM09G18450.1 pep chromosome:ALNU02000000:9:21324419:21324849:1 gene:OGLUM09G18450 transcript:OGLUM09G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKVQLFLCLLLLLFMPIFFTPSCGNKEVCEEWTSDMYRMLFLCRSTRCNQYCVSEGATRGDAAFSSSDPSATALKNATECLNLVQACNRN >OGLUM09G18470.1 pep chromosome:ALNU02000000:9:21350735:21352922:1 gene:OGLUM09G18470 transcript:OGLUM09G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51280) TAIR;Acc:AT3G51280] MMQQQQQQETWLAAAAAVGLRPTKSAPCSPIKPAASSASAAAAAAMLRTNSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAGVDGLRGADSHLKAYERAQEMLRDLEAKLVGRRLPRAGDQLVDKSWLFDALLLGSSSSIWQPQPCIDHMLPPPPPPPRDQFADENAAAAAANKKAAAAALQPNILRVDAQPFYSLRMPPLATKPQNIQQKPPTPQPQVHDPMGNLKRTRSGNAMDKAAAAAAGPVEKEPINDENSGRRKSLSAEERWPELPDHSAFDEALVAAVLAPVLDDSAAAAAERNGNCCKPAPPASCDTSPAMKEKIGKRLRIFQDITQTLNTF >OGLUM09G18480.1 pep chromosome:ALNU02000000:9:21353107:21357751:-1 gene:OGLUM09G18480 transcript:OGLUM09G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVVDAEYLRQVEGARRDLRALIASKGCAPIMLRLAWHDAGTYDAKTKTGGANGSIRHEEEYTHGSNAGLKIAIDLLEPIKRKHPNITYADLYQLAGVVAVEVTGGPTVDFVPGRRFAREKDVFLMRRKGKAHPERSGFDGAWTKEPLKFDNSYFLELLREESEGLLKLPTDRALLEDPEFRRFVDHYAKDEDAFFKDYAESHKKLSELGFAPRSSAKSDGSTAAATLAQSAFGVAVAAAVVIAGYLYESSKKTK >OGLUM09G18490.1 pep chromosome:ALNU02000000:9:21381014:21384865:1 gene:OGLUM09G18490 transcript:OGLUM09G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSWDFWDPFAPSSSRSATEDAEWDDAATTIVDAPNAPPVVTVAAAAAPPPSVVTATTTTSTASELTVVAVPRGGGGAGKKDLAEIATELDEYFLKAADAGARVLNYGKNLRPMGWSWGGSGYSKGSNGFSRFGRGDEGMGNGGSSGILSHSSTVEKLYAWEKKLFLEVKSYEGLKQEHDKKIGLLRKQEVKGVDYLKMEKNKMEIESLDSKMLVATQSIETTTSEIMRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHMVQQLEYLNNSLSTNPTSNVHRQAALQLEIEVDRWYSAFCSLVKSQRDYVYSLTGWLRLSLFQSYHDPHNKAHQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVVQQAEEQKQKKRSESAFKELEKKAEELRSLESKYGPYSGAEGYGDMSRKSPVSDKRAKVEALRCRADEEKSKYEKSIGVTRAMTLNNLQTGFPNVFQAMTGFASVCMEAFESVYNFKSSDRILDSKRLLT >OGLUM09G18500.1 pep chromosome:ALNU02000000:9:21385313:21389033:-1 gene:OGLUM09G18500 transcript:OGLUM09G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVISTVLGFSGFGFGFSAGIVIGYYFFIYFQPTDVKDVKVRPLVEYDSISLDGILPEIPLWVKNPDYDRIDWLNRFLEMMWPYLNKAICRTALDIAKPIIEENRKTYKLESIEFESLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLFGADLMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVLRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEINVFDWEQVGKHEKMGMNNILLKELPADETKVMTVNLLKTMDPNDVQNEKSRGQLTLEVTYKPFKEEDMEKEGIDNADVVEKAPDGTPAGGGLLYVVVHEAQDLEGKHHTNPYAKIIFKGEEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHIEVLSKASKKGLIHGKETLGYIDISLGDVISNKRINEKYHLIDSKNGQIQIEMQWRTS >OGLUM09G18510.1 pep chromosome:ALNU02000000:9:21391688:21398021:1 gene:OGLUM09G18510 transcript:OGLUM09G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5V5] MIDGKRDLFFSGAIHYPRSPPEMWDKLVKTAKMGGLNTIETYVFWNGHEPEPGKYYFEGRFDLIRFLNVIKDNDMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEPFKREMEKFVRFIVQKLKDAEMFAPQGGPIILSQIENEYGNIKKDRKVEGDKYLEWAAEMAISTGIGVPWVMCKQSIAPGEVIPTCNGRHCGDTWTLLDKNKPRLWTENWTAQFRTFGDQLAQRSAEDIAYAVLRFFAKGGTLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIKSYHKAFLWGKQSFEILGHGYEAHNYELPEDKLCLSFLSNNNTGEDGTVVFRGEKFYVPSRSVSILADCKTVVYNTKRVFVQHSERSFHTTDETSKNNVWEMYSEAIPKFRKTKVRTKQPLEQYNQTKDTSDYLWYTTSFRLESDDLPFRRDIRPVIQIKSTAHAMIGFANDAFVGTGRGSKREKSFVFEKPMDLRVGINHIAMLSSSMGMKDSGGELVEVKGGIQDCVVQGLNTGTLDLQGNGWGHKARLEGEDKEIYTEKGMAQFQWKPAENDLPITWYKRYFDEPDGDDPIVVDMSSMSKGMIYVNGEGIGRYWTSFITLAGHPSQSVYHIPRAFLKPKGNLLIIFEEELGKPGGILIQTVRRDDICVFISEHNPAQIKTWESDGGQIKLIAEDTSTRGTLNCPPQRTIQEVVFASFGNPEGACGNFTAGTCHTPDAKAVVEKECLGKESCVLPVVNTVYGADINCPATTATLAVQFARNGIVTSVLAHILGHPEWDCKSLRVKMAGRQNPCPDFTPTKSYGYLFSKPLVALTVSLDSNLSP >OGLUM09G18520.1 pep chromosome:ALNU02000000:9:21401207:21402388:1 gene:OGLUM09G18520 transcript:OGLUM09G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGRGGTRAGSPAGSRPRGGSEGRSCRRAAGKGWRAAGREAACGRPGRSGAVGDSHSQIPLALSLSHQGPGALSAARWRHSPSSKQIGESRNGCTLGSEQKEGFGRVDAGEPTRGES >OGLUM09G18530.1 pep chromosome:ALNU02000000:9:21404295:21404828:1 gene:OGLUM09G18530 transcript:OGLUM09G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAFKSLSSSAKSPSFMASNKHYHGVVNHQPPFPQPPATPPQPPLLPLPARPHAPPPQQHAAWPAPQRSKKPSHATATAAAALGPKKTAPVPIPVQAAPSKKRAAAASQQEAAEWTTTTDSLYSVSPPPSCVPMPTSLLVGAAAGRKAATACAVEVAGGGGVDVGATDELRRLLRL >OGLUM09G18540.1 pep chromosome:ALNU02000000:9:21405631:21408684:-1 gene:OGLUM09G18540 transcript:OGLUM09G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, chloroplastic [Source:Projected from Arabidopsis thaliana (AT5G66120) UniProtKB/Swiss-Prot;Acc:Q8VYV7] MAAAASSSLLAAASSSSRAAAVSARRAPSASPAAAASLPSPSRASCAPPLRASAARTLRSRVVASAAPAMQPPPASRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVTWALTHNNPNVSVESVILPDGEKYKDMGTLMKVFDKAVESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTETLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEKNMPALLAREPSALAYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRAIDILEKAKLPITPPEAMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGDYDRNALDETLRAFCDS >OGLUM09G18550.1 pep chromosome:ALNU02000000:9:21418767:21421645:1 gene:OGLUM09G18550 transcript:OGLUM09G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance 10 [Source:Projected from Arabidopsis thaliana (AT2G20980) TAIR;Acc:AT2G20980] MATAAAADDLDLLLSLDADGGEAVLETPPSSPRRDAATAAAFTPPRAVRPGGTDMSVFRDAVKDYLDATPAAVATSLPKGKRPPKSTETIVDAHSGLRIRSLTASPLEITNRFADIRFVRISAIRNLAGGDSFSGCWATAGVVLDKGAPRVSAQGKEYSIWKMGALDDADVSVFLFGDAHAHHSGAAVGAVFALFNGNVRMDNGGRGFSVSVASVGQMMKMGVSADFGICKGKRKDGMGCTMAINKRKGSYCKFHSSKSSQKYSTGRVELKGGNFKFASKLRSEGIYMVNPPERSNSRNPLQPVKVMSIDGLKRALSNADRVTTKSQSQGIRFLSHVTGNIESNLSSNGSTNPQTSRFSSNKRSTSCSTKSMPKPGLRKQEQDNKKMKMTCPPKKTIELDDVSSDDEISIVLRH >OGLUM09G18560.1 pep chromosome:ALNU02000000:9:21422471:21424763:-1 gene:OGLUM09G18560 transcript:OGLUM09G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAETKAMITLRSCEGQVFEVAEAVAMESQTIRHMIEDKCADTGIPLPNVSAKILSKVIEYCSKHVEARGGAAAAADGDAPAPAAAEANKAVEDELKTFDAEFVKVDQSTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >OGLUM09G18570.1 pep chromosome:ALNU02000000:9:21430227:21430865:-1 gene:OGLUM09G18570 transcript:OGLUM09G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGKKARREEELVEAALAAAAAALFVSGVKKLVPAVLVARWWPAAMLATAPSPVLFLLLNVIIASIVVVSVQPRRAAAASATAAAAAAEHDAAKRCGEGAKKVKRRRSKRREESAEGSTLTTLAAVAPVAAADSCCMALAVVDDGADQTLPPATSTAPETQQEEEADGNAAAEEVNKRAEEFISAFRRHLRVDSFSSGSRRAGGARIEACF >OGLUM09G18580.1 pep chromosome:ALNU02000000:9:21432971:21435373:-1 gene:OGLUM09G18580 transcript:OGLUM09G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B5W2] MPPLLRCPHPSYLRKPRHPPPPFHLLSTRAAAPAPLHARSSAADAMAAFTTTAAGSAVAFARPAKAINVSSVSFAGLRKNNVAFTLQPVTQRFAVLRAAKKETVEKVCDIVKKQLVLPEGTDVTGASKFTDLGADSLDTVEIVMGLEEAFKISVDESSAQSIATVEDAAELIDKIVSNAK >OGLUM09G18590.1 pep chromosome:ALNU02000000:9:21438120:21439833:-1 gene:OGLUM09G18590 transcript:OGLUM09G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLPSFLLPLSLSLISPSFSLLSFTGWHSREDRRRQGGRRRRRGRRAAPLPPAGARRCLASSPADWCAPLSPLPVAGARCCLALSPTGRRAGIPASRHDPAAGIQSRRLPDLEKSKAGGSGTFSSPSCLALASHRCLPRRWFHGSDGSVGGRCDVNAVNIATSSGRKALYPTSAV >OGLUM09G18600.1 pep chromosome:ALNU02000000:9:21441556:21442882:-1 gene:OGLUM09G18600 transcript:OGLUM09G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRPAPSHRAPEPPTHAPLPSCPVPRAGVGEPRRPAAAGRTSRRRRACAALRLAGRRGAPAPPSASAATRLARARREGGVRTRRLLRFLRLLVPLGRRGHARVVARAGKRVGPISGADDAYARWDAVVGCWALAEKYRSWSPNSAALQHVRGWLVGWLLLGLFVPCGCE >OGLUM09G18610.1 pep chromosome:ALNU02000000:9:21444158:21445579:-1 gene:OGLUM09G18610 transcript:OGLUM09G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDGQLLGRMEQGISDLWASPAARGDGGGDDEAFTIVRLPSHVHAQNKSLYEPRVVSVGPYHLGSGSTRAMQGHKWRFLRDFLLRNAGDGDGDGGSGGGHLDACLREARAVEARARRCYGEPLEMGSDEFVQMLVLDGCFVLEFLLKWSESESGAAELDAYMRWIWIYVYYDLLLVENQIPFFVVAKLFNLAGGGGGAAAMGDDDDDAVDQRLLDLIYKFFSLHEPLCQVPAPSQLTVHHLLHLQYQRMVMPPERRSTTSRLSSRQSASPCNKYSIAGGATAATPLAIPCVTELQEFGVAFREKASPASQFDVTFRGGTMEIPRLALSSGARILLANLLALEQTTGDWEGEGIVTSYLVLMNALVNTGADVAVLQRRGVLDNMLSNEEAAAAFFNRLGGCALFDPRGHHYARLFADANEYRNHRWNRYIAVLKRDHLRTPCSIIPLLAAATLLCISVMSAGFIICHYRHACS >OGLUM09G18620.1 pep chromosome:ALNU02000000:9:21448943:21450642:-1 gene:OGLUM09G18620 transcript:OGLUM09G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVKLLLLVVFCVSPWQVAATTTANGTGGGGRPRVPAVLVFGDSIVDTGNNNAVLTLTRSNFRPYGKDLNGGEPTGRFSNGRIPPDFLASRLGLKDLVPAYLGTDLTDGDLLTGVSFASAGSGYDPLTSTLVAVLPMQEELNMFAEYKEKLAGIAGEAAAARIVSESLFLVCAGSDDIANNYYLAPVRPLQFDISSYVDFLANLASDFIKQLHRQGARRIAVLGMPPIGCVPSQRRSVAVDAAGGGRECDAAQNRAARLFNAKLEQEIGCLRETLPLQSIGYVDIYGVLDDMIADPGKYGFDVSTRGCCGTGEFEVTLLCNQLTATTCADDRKFVFWDSFHPTERAYSIMVDYLYQKYVDKLL >OGLUM09G18630.1 pep chromosome:ALNU02000000:9:21453123:21454367:-1 gene:OGLUM09G18630 transcript:OGLUM09G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein [Source:Projected from Arabidopsis thaliana (AT5G02850) TAIR;Acc:AT5G02850] MMQSHHPSPARLGLTASSPSLPPNPAAVNPTSSPPQGNPSAAAAVGAAAAAAPTLTTSPSLLPLLPPLPRAQALLQLISALASNLFELSPSRAAWISAYRGSLPTFLPSPSSAPPPPLPAPISSTKEALSLLNTLQTQLFEAVAELQETLDLQDARARLAREARAKDASILAFAKKLREAHHVLDRLVDDYADYRRDPKRPRGAAAADDPEPVSDGDFGASLHSKLNLDDVLTYAHRISYTTFAPPEHGAGLPLRGALPPAPQENEMRMSQLYQYADLDVGVPKSQEAKERTAAEGDATPLFQPSPTQEAAVLPITVPHPHGWRNGALPLEIPLPPPGWKPGDPITLPPDGILAGVKGEEPRASVPQMPVVVPAMVPKAQEPIQVRHVDLDINNSSSSDEYSSDVGSSEEDDED >OGLUM09G18640.1 pep chromosome:ALNU02000000:9:21454618:21458804:1 gene:OGLUM09G18640 transcript:OGLUM09G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSTPASPPPAARSSAAEMEEHQNWKKNAPVLYDLVISQPLEWPSLTVQWLPSHSRSPGSARSHRLVLGTHTSDETPNHLLLADAALPLPPRLAAAAAAAGGAVPAPSVSISRSVPHKGEVNRARCMPQRPYTVATKTCVDEVHVYHLGDGGEKGGADVVLRGHEAEGYGLAWSPMKEGLLLSGSYDKKICLWDLAAGSGASSLDAHHVFEAHDDVVEDVAWHLKDENLFGSAGDDCKLMMWDLRTNKPGQSIVAHQKEVNSLSFNPFNEWILASASGDATIKLFDLRKLSRSLHVFDSHEGEVFQVEWNPILETVLASSAADKRVMIWDVSRIGDEQAEEDANDGPPELLFVHGGHTAKISELSWNPTQKWVMASVAEDNILQIWEMAESIYCDDNYLHDNDDDSCPAT >OGLUM09G18650.1 pep chromosome:ALNU02000000:9:21470202:21471631:1 gene:OGLUM09G18650 transcript:OGLUM09G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEDDEDMWANTSSPSASPPRPRGFISTALSLNSTHLQGLLPSSFVDAAASPCHASGNSNGGGDGRNAAPMSSIFSASASYHQQQHHLPAPAPLDGAILPARRFGLDMCAAAAAPAGVPAAGDRRKRRMIKNRESAARSRARKQARVNNLETEVEQLKQENKMLRVKYEQLRKTVEGPVPVRRTLQRVLSAPF >OGLUM09G18660.1 pep chromosome:ALNU02000000:9:21478440:21482116:-1 gene:OGLUM09G18660 transcript:OGLUM09G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPGSWPALAESAAARGSWAKSASSDSLKSLSDGSAPSASEDLIVPSVQPHPVANPISGGSNPTSSSPPPNATVVVTSEQNGNTDQSNPVRHSSGGHGAGSNSSRDGNTSDGCDGSWNDGGLGSGSGSNSSYGHGNSTNGAGGANMNNIIHSSGTSSSANDSSRRISGNNNWNNNGRSAGSNHNAAGSGDGSNRNLWNNNGRNGGGSSNGFVGRGGHRNRRDHERGGSFSPRNYPRHTPMPPQQQQPGIYQPGPFPRPPPPPPPGHFMVPQPFVPYVPHFAYPADVQGYPFYLPPMEQFQNMHLVRPQMQPLWVPQDQQNLQEDIRTQIEFYFSTNNLCHDTFLRRQMDDQGWVHIDVITKFNRMRRFTNLVDTNYILDAVRGSELVEVQIEMVIYGWLLSWIADSRFERVAHLITDLGFVMNHGCTVGHF >OGLUM09G18670.1 pep chromosome:ALNU02000000:9:21488328:21490695:1 gene:OGLUM09G18670 transcript:OGLUM09G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKEEVAVETVEGGAAAAKAPYWDPPPALLLDTSELGKWSLYRALIAEFMATLIFLYVSIATVIGYKNQRATVDACTGVGYLGVAWSFGATIFVLVYCTGGVSGGHINPAVTLGLFFGRKLSLVRTVLYVVAQCLGAIAGAGIVKGIMKRPYDALGGGANTVSDGYSAAGALGAEIVGTFILVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVLYNQHAAWKDHWIFWVGPVIGAFLAAVYHKLVLRGEAAKALSSFRSTSVTA >OGLUM09G18680.1 pep chromosome:ALNU02000000:9:21491430:21492102:-1 gene:OGLUM09G18680 transcript:OGLUM09G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKAACLPSAAAVALLLLAAAAAAGFAGATEYTVGDSEGWTIGPSYLAWSTKYNFTAGDTLVFSYVQRQHDVLRVSQDAFRTCDPANQTVQRWASGRDVVELAAPGSYYFICNVSGHCLGGMKFSVAVGEPLPPPSPPPPPPRAPFLAPPPPPPVGSGAAAASSTWRRRRVALMVQVSCLALIIIGMWN >OGLUM09G18690.1 pep chromosome:ALNU02000000:9:21494062:21494466:1 gene:OGLUM09G18690 transcript:OGLUM09G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAPQQLACGLFGIGGVFTAAELAVADQLVQLSCSSGGDEAAASSSSSSSSTTSSPRSVNTCAATTAAGEEIEEFTGMAAEMELDRRARKRYRLLSELYAATAPKRAAAASSSSSSRKRKRDDESPEIAVSY >OGLUM09G18700.1 pep chromosome:ALNU02000000:9:21498971:21499375:1 gene:OGLUM09G18700 transcript:OGLUM09G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLRREPRPPAPEEKEKAPAAWPAGWSFTPRELDAAEQLVLLSGSSTSTTGTTPSAAASGSSSTASSSRSVNAPPPPPTPTAAPPPLPRPAAAESTVVVREERREHPEEDWEQRPGRRYRLIAEIYAVTEEIE >OGLUM09G18710.1 pep chromosome:ALNU02000000:9:21506077:21506532:1 gene:OGLUM09G18710 transcript:OGLUM09G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRKMKAMVKRKMKAMGKKKSKVPMKKTKAQRKKQPKASTKKLETPAPAPAPVVVGAFTARELSAAKRLVLLSGSNKSSSGGSRSAIFASSGSSVNAPPVIAQVMPRPAEDYLSDEELEDDSQEVPGIPRRTRLYRYIFEIYQVTQPMKK >OGLUM09G18720.1 pep chromosome:ALNU02000000:9:21509113:21510981:-1 gene:OGLUM09G18720 transcript:OGLUM09G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20930) TAIR;Acc:AT2G20930] MIVCVAVVGHQNNPLYLQSFTEADDALKLHHVVHCSLDVIDERVNNPKRNAPALNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTA >OGLUM09G18730.1 pep chromosome:ALNU02000000:9:21512085:21513839:-1 gene:OGLUM09G18730 transcript:OGLUM09G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1279) [Source:Projected from Arabidopsis thaliana (AT2G20940) TAIR;Acc:AT2G20940] MAFAARMKELMRKYGKVAIGVHLSVSCASITGLYVAIDNNVDVDAIFRRIGISPSGGVAGDEAAETPTPSAAVPEEAPPRNRTRELVASSGGALALALMCNKALLPVRVPVTLALTPPVARCSLFWEIDAYSPSRTACSECNAVNYSMLQFGAV >OGLUM09G18740.1 pep chromosome:ALNU02000000:9:21516090:21518083:1 gene:OGLUM09G18740 transcript:OGLUM09G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASSLLPAPPASVAPELASFLPPQAKRGAVSLAATRRRGARIGVRAEVNESGSALAADAFAQVKHVLLPVTDRNPYLSEGTRQAAATSASLAKKYGANITVVVIDDKPKEEFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGKKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >OGLUM09G18750.1 pep chromosome:ALNU02000000:9:21519382:21522332:-1 gene:OGLUM09G18750 transcript:OGLUM09G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEDVEMNDSQPQPAAAPPPAASTLQHLKEIASVIEAGSLTKEVRRISRAVRLTVALRCRLAARDVAAFLAFALPHSSEAFARLSSLLPKEDGSEMDVDSAAPAAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKACAFASITRLKNLNRRTVDVLASRVYTYYSYVHELTSSLAEIRGTLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTARGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMKAALAPYFELTNAVRVGDLELFRAVAEKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >OGLUM09G18760.1 pep chromosome:ALNU02000000:9:21523710:21531031:-1 gene:OGLUM09G18760 transcript:OGLUM09G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLAAVAAAEALSLDVHHRYSAAVRRWAAAAAPPHGTAEYYAALAGHDGLRRRSLGVGGGGGGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFAAIVLLFLIVLLKFWSNCSPNTHTSRPITHSAQATQAHRPTNCVPYALPRPILAVHPDTASGDPAVERATSSSSGGSFVTPHAKTLDARDRVPRAEPRRRPYKALLLSSSPAGFPPPPPPPPPQHRRRASPPPLERKLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKMLPHLLAEGEAVVVEVVEGEEAEAHVEMVTWTMLMVDGRMTMLLLHMRATGTPVEEGVVLGAVAGEVAAMERNLNISKMEDTMMRHQFTRRPEAVVVVEAVGEARSEVEDAVATSMALCMLLQLAPKLAAAIPKLFRDSGANAKT >OGLUM09G18760.2 pep chromosome:ALNU02000000:9:21523710:21531031:-1 gene:OGLUM09G18760 transcript:OGLUM09G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLAAVAAAEALSLDVHHRYSAAVRRLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFAAIVLLFLIVLLKFWSNCSPNTHTSRPITHSAQATQAHRPTNCVPYALPRPILAVHPDTASGDPAVERATSSSSGGSFVTPHAKTLDARDRVPRAEPRRRPYKALLLSSSPAGFPPPPPPPPPQHRRRASPPPLERKVTAPPLTVSPPPHAPLFASSPDLSWVGRHCGVQLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKMLPHLLAEGEAVVVEVVEGEEAEAHVEMVTWTMLMVDGRMTMLLLHMRATGTPVEEGVVLGAVAGEVAAMERNLNISKMEDTMMRHQFTRRPEAVVVVEAVGEARSEVEDAVATSMALCMLLQLAPKLAAAIPKLFRDSGANAKT >OGLUM09G18760.3 pep chromosome:ALNU02000000:9:21523710:21531031:-1 gene:OGLUM09G18760 transcript:OGLUM09G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGVVVVRAVLLLAAVAAAEALSLDVHHRYSAAVRRWAAAAAPPHGTAEYYAALAGHDGLRRRSLGVGGGGGGAEFAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCLKCAPLQSPNYGSLKFDVYSPAQSTTSRKVPCSSNLCDLQNACRSKSNSCPYSIQYLSDNTSSSGVLVEDVLYLTSDSAQSKIVTAPIMFGCGQVQTGSFLGSAAPNGLLGLGMDSKSVPSLLASKGLAANSFSMCFGDDGHGRINFGDTGSSDQKETPLNVYKQNPYYNITITGITVGSKSISTEFSAIVDSGTSFTALSDPMYTQITSSFDAQIRSSRNMLDSSMPFEFCYSVSANGIVHPNVSLTAKGGSIFPVNDPIITITDNAFNPVGYCLAIMKSEGVNLIGENFMSGLKVVFDRERMVLGWKNFNCYNFDESSRLPVNPSPSAVPPKPGLGPSSYTPEAAKGALPNGTQVNVMPSASSPLQPQSVFAAIVLLFLIVLLKFWSNCSPNTHTSRPITHSAQATQAHRPTNCVPYALPRPILAVHPDTASGDPAVERATSSSSGGSFVTPHAKTLDARDRVPRAEPRRRPYKALLLSSSPAGFPPPPPPPPPQHRRRASPPPLERKVTAPPLTVSPPPHAPLFASSPDLSWVGRHCGVQLRRGGMDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATTLLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSIGYQSPLPADKMLPHLLAEGEAVVVEVVEGEEAEAHVEMVTWTMLMVDGRMTMLLLHMRATGTPVEEGVVLGAVAGEVAAMERNLNISKMEDTMMRHQFTRRPEAVVVVEAVGEARSEVEDAVATSMALCMLLQLAPKLAAAIPKLFRDSGANAKT >OGLUM09G18770.1 pep chromosome:ALNU02000000:9:21535493:21537921:-1 gene:OGLUM09G18770 transcript:OGLUM09G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPRAPLLRRMLLLCAVAASCSYYLLVLHAQASVPPRYDGFAYGDAATAAWKDTILVEAFLDPLCPDSRDSWAPLRLAVDRYAPRVSLIVHPFPLPYHTNSFLACRALYIANKLNSSSTYPLLELFFKSQGKFYNAATSSLSSTVISGEMSKLAARVVGNSVSEFQSGFSDIRTDLAARVSFKYGCTRGVAGAPFFFVNGFLQPGGGSPIDYSTWVSILDPLAGQHGDRLEMFTSM >OGLUM09G18780.1 pep chromosome:ALNU02000000:9:21546550:21550795:1 gene:OGLUM09G18780 transcript:OGLUM09G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCAASRLAGGGGGGGCGDPVAVCRDRKRLIKAAADRRFALAGAHAAYAAALRSVADAVDVFVARHTAPAPILITLPTPTGSPPSSPAPAPAPAALASVAQGEEEEEGKAEVDDGGGARTPDLGCPYYYAPPETATATPPPPPPAASAVGGWDFFNPFYGTEEVAAAAISDEEMRAVREREGIPELEEAEEEDDEGAKSAAAANAKTPKAAETSLGVAKQEEAKDVCEVASNNGGRGGGLEVAVSQPGRELLAALKEIEELFARAAEAGKEVTAMLEAASRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTDKSETKSDIFDDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRCAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGLTRTWKIMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEAELRNWRSCFMIYVSAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRSSAPPLVVICHDWYTTLSKFQNKRVAFTMRNFIRSVRVLWLKQGEEQQQKRKVDSLAKEMDKKISAYKRAENKVIETKLLEHRPEQDAKQRMEHLSEKKEMLNVLRKRVEAEKAKHHACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLTHAEPKGSEDATEKRPCVEGPYSQISVDAS >OGLUM09G18790.1 pep chromosome:ALNU02000000:9:21551298:21552561:-1 gene:OGLUM09G18790 transcript:OGLUM09G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGAIAGVIAGFVSEDGLLQGTLIGAISGAFIAMEVVDSLAKIWCYEEYSIATRARLMLNAVPSRHRRAEVSGDLTGRSYPVVMGMRLAAVDQLPVIKLTAAQTDATGACPICLHDFKAGEIARRLPACCHIFHLGCIDNWLLWHALCPMCRRPVN >OGLUM09G18800.1 pep chromosome:ALNU02000000:9:21556058:21558663:-1 gene:OGLUM09G18800 transcript:OGLUM09G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAAEEAVVVVRGAPPPPSSGKRRSTTLLHLFQLEKPDVVVGAMLLPPPSPEPEEDRLITKIESCSRVAERALYVWNNERFVAMACAAGPAAMEERILPAFVASMEANLERHWSRCVQQVTASVRALLDRVAPGAYARCAAGLAARLAEAEADAAARRARWRRLELAADADADAK >OGLUM09G18810.1 pep chromosome:ALNU02000000:9:21561920:21563551:-1 gene:OGLUM09G18810 transcript:OGLUM09G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G20300) TAIR;Acc:AT1G20300] MALLLKPKRHLFPSSARHLRRLCDAAPTLAPPPEPEPEPELEPEPALAPSLTTAETKLLDALHAALVDHRRAHPAAPVPATAPSEPPLPELSSALSGLLASPPSPQLPLGLLRRLLALRRGVPLPEAVAFFHHVLPSLPPDSLPALYAAMIDLLAKHHHFPLARHLLDEMRERSVPISAQLILALIRRYVRAEMPSEASDLFRRMEEYGAGAPDPATLASLLGALSKKRLASEAQAMFDSYKSVFTPDVVLYTTLVHAWCRSRRLDEAERVFTEMQQAGVTPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHVKAGRSEQVLQVHNQMRQLGCEPDIITYNFLMETHCGKGQSNLDAAMKMLTRMIAKGCIPDCHTFNPMLKLVLVLGNVNAARKLYERMQELQCKPNVVTYNLLMRLFNLEKSMDMVLRIKKDMDAQGVEPNVNTYAALIEAFCGRGNWKRAHMTLREMVEEKALKPTKPVYDMVLALLRKAGQLRRHEELVEMMVDRGFISRPANDALWRAISA >OGLUM09G18820.1 pep chromosome:ALNU02000000:9:21566248:21567006:1 gene:OGLUM09G18820 transcript:OGLUM09G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHRHARSRSFSGGAIVSFLKSTAASFTATTTAASAPPPPHGRSSFNHRNAFSGPIVSIVPPEARGGGGGSRREHRSGYRTPEPSSPKVSCIGQIKKANAKKVKASCKNGACPLPPRPPADAAAARRQKSSLVRRMLFRRSRSRKASSSSSRDGGFFKGRTAGRAGAAVAAAPAPAGLGQMKRFTSGRAAFEDFDWREAERMASDDDDDVLVAHSAPLVLGGGLVASEPRKEVNLWSRRPMAPPTPLRLP >OGLUM09G18830.1 pep chromosome:ALNU02000000:9:21571799:21578489:1 gene:OGLUM09G18830 transcript:OGLUM09G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D delta [Source:Projected from Arabidopsis thaliana (AT4G35790) UniProtKB/Swiss-Prot;Acc:Q9C5Y0] MESSAGGGESPAKPVLLHGDLDLWVVEARLLPNMDMFSEHVRRCFAACKPPTSCATARQPRHARGHHRRKIITSDPYVTLSVAGAVVARTRVIPNDQDPVWDERFAVPLAHYAAALEFHVKDNDTFGAQLIGTVTIPADRVASCQEVEDWFPIIGNNGRPYKPDTALRLRLRFNPAADNPLYRRGIPGDPDHQGIKDSYFPLRHGGRVTLYQDAHYRERDLPKIELGEGGKVFDHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVREPSPSRPLPEGGDLNLGELLKFKSQEGVRVCLLVWDDKTSHDKLFIKTGGVMATHDEETRKFFKHSSVVGTLFTHHQKCVLVDTQAWGNKRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFDNDYHNPTFPSGAKGGPRQPWHDLHCRIDGPAAYDVLKNFEQRWRKATKWRERFRKVSHWKDDALIKLERISWILSPSPTIPNDHISLRVSKEEDPENWHVQVFRSIDSGSLKGFPSDCKEASKQNLICRKDLIIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLVPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYRIIAQELKAMNIENAHPQDYLNFYCLGNREESSSSNGSPESNDKSAAALARKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKGGHPRGQVYGYRTSLWAEHLGMVDDLFNDPSSLECVNYVNEIAEENWRRFTAEQLITLQGHLLKYPVKVEADGKVGPLPEHECFPDVGGKILGAPTSLPDTLTM >OGLUM09G18850.1 pep chromosome:ALNU02000000:9:21589663:21590907:-1 gene:OGLUM09G18850 transcript:OGLUM09G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGSPMQAVLMAPGVKDKKVLAFKRGKGKDADAGVTALIRDIVAGGARSAFHVFDLAKVVDLHRGWRRALPDVRPCYAVKCNPDGAMLAALAALGAGFDCASRAEIEAVLALGVRPATIVYANPCKPEAHLEYAAEVGVNLTTYDSEEEVAKVRRCHPHCELLLRIKAPDSGDAKVDLGLKYGANPDEVLPLLRAAQREGVAVAGVSFHVGSGASRADVYRGAIEAARAAFDAAAALGMPPMRVLDIGGGFMAGRTFDEAAAVINRALERHFGDLPCVEVIGEPGRYFAETAFTLAARVIGKRTRGELREYWIDDGLYGSLNCILMDHYVPRPRPLAAAAAGEDTTAATTHASTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTAAGSNFNGFATSAIKIHLAYSS >OGLUM09G18860.1 pep chromosome:ALNU02000000:9:21598698:21599060:-1 gene:OGLUM09G18860 transcript:OGLUM09G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSIGVSSPIGSTGASSPIGSTSFDDDGGADDDGTTSNRGARDGGAGAGGGGGARPDGRGGAGDGCWGGAGDGCRVGDDGRRCGTAAGGDAARRRVEMRRGGGVAALALALERARRAD >OGLUM09G18870.1 pep chromosome:ALNU02000000:9:21607687:21608994:1 gene:OGLUM09G18870 transcript:OGLUM09G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSKLVKPAYNGGVAAAPDVEYIPLSIFDKVTYKMQMAIIYAFPPPAPSTAAIEKGLAAVLAQYRAFAGQLGESPDGEAAVVLNDRGARLVEAAVDADLVDMAPAKPTPELLRLHPDLEGELQEVVLLQLTRFRCGSLAVGFTSNHVVADGHATSNFLVAWGRATRGLPMGAPPVHHHAALFKPRPSPRVEHDHRNREYYLPAAGDSHGHGDGGADNIVIHKAHFTKDFIAGLRAAASEGRGRPFSRFETILAHLWRTMTRARGLSPDEASTIRLSVDGRHRLGAPAEYFGNLVLWAFPRATVGDLLTRPLKHAAQVIHDEVASVDGAYFRSFLDFALSGAGGDKEGLAPSAVLKDVLCPNAEVDSWLTFPFYELDFGTGSPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFDHNLEAFKECCYSME >OGLUM09G18880.1 pep chromosome:ALNU02000000:9:21624439:21625800:1 gene:OGLUM09G18880 transcript:OGLUM09G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVLSSRLVRPSYPASAAAPEEEFVPSSMFDKVTYDMQMAIIYAFRPPGPSVADIEKGLAAVLGVYRLFAGQVVRGGGGELRGVVLNDHGARLVEACVDGSLADIAPAKPSPVVLRLHPSLEGEIEEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLAVAATAAAPPHHHPGMFRPRDPPLVEFEHRGVEYYRPPPPPAAGVDGDVGGDHKQQHGHGGEEASHGIVIHKAHFTKDFIARLRAAASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQSSTIRISVDGRQRLSAPAGYFGNLVLWAFPRATVGDLLGRPLKHAAQVIHDAVARADAAYFRSFVDFASSGAVEGEGLAPTAVLKDVLCPDLEVDSWLTFPFYELDFGGGCPTYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFNHNLEAFKESCYSIE >OGLUM09G18890.1 pep chromosome:ALNU02000000:9:21637781:21645408:1 gene:OGLUM09G18890 transcript:OGLUM09G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEAAESCGSHAAASAAGRGGGGGGGGGGATSSSSSASAGAVAAAARKQQQQQRHKLEVYTEVLRRLHDSGVPEARREGFDDELWNHFNRLPARYAMDVNVERAEDVLTHKRLLEQAKDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEASKSQGQDHDSTSDNVNYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLIESVRKEIGKIDETQGWSTTHSWSSPVENMQIGENSAADHVEIPRDGASEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALLGVVMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILMWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNAHAKLSELLQKCWQQEPAERPDFSEILETLQRIAEEVGDEHDGKHKEKILGGLFSALRGRGH >OGLUM09G18900.1 pep chromosome:ALNU02000000:9:21646603:21668814:1 gene:OGLUM09G18900 transcript:OGLUM09G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLYNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGVYSEEKESMSYTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTDSIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQYARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHLQNQEEIEDPRGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGREHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFRELKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATQPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFEETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKALDSAIFRGRAQPQDTRRSAITPPKLEEAKDALGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALDVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETMGQDVQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAATDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQTPTRMDQDAIPSAQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGRDVEPHEGPLPDTYGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQERVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLMDVYDSISTQYIQDGDLDKDQDSANFAQKYSSSEPKEESTVAAPDQTMEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKTSADQEVMSPKVVPATSLDPQRVTVPDDDQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDQAKASETIVDREGQEHGSFSEGSTTDSRDAITDESATTSTSGREKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARFLHDEGAQSPELTHPQQPLESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLFPHALQDKGSPRSIQLPPPIESSEKESQIAEDGQTVLLQSSVVQESTPYLAGPRESSSSDSPYPSAENQVSTPKTPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNKEADGSANDTKPGDTVNCFSKYTLLCLCPKDLIIQLIIQPLLTAQA >OGLUM09G18900.2 pep chromosome:ALNU02000000:9:21646603:21668814:1 gene:OGLUM09G18900 transcript:OGLUM09G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLYNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGVYSEEKESMSYTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTDSIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQYARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHLQNQEEIEDPRGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGREHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFRELKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATQPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFEETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKALDSAIFRGRAQPQDTRRSAITPPKLEEAKDALGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALDVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETMGQDVQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAATDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQTPTRMDQDAIPSAQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGRDVEPHEGPLPDTYGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQERVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTDQDSANFAQKYSSSEPKEESTVAAPDQTMEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKTSADQEVMSPKVVPATSLDPQRVTVPDDDQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDQAKASETIVDREGQEHGSFSEGSTTDSRDAITDESATTSTSGREKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARFLHDEGAQSPELTHPQQPLESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLFPHALQDKGSPRSIQLPPPIESSEKESQIAEDGQTVLLQSSVVQESTPYLAGPRESSSSDSPYPSAENQVSTPKTPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNKEADGSANDTKPGDTVNCFSKYTLLCLCPKDLIIQLIIQPLLTAQA >OGLUM09G18900.3 pep chromosome:ALNU02000000:9:21646603:21668814:1 gene:OGLUM09G18900 transcript:OGLUM09G18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLYNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGVYSEEKESMSYTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTDSIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQYARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHLQNQEEIEDPRGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGREHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFRELKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATQPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFEETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKALDSAIFRGRAQPQDTRRSAITPPKLEEAKDALGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALDVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETMGQDVQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAATDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQTPTRMDQDAIPSAQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGRDVEPHEGPLPDTYGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQERVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTETPGPAPLMDVYDSISTQYIQDGDLDKDQDSANFAQKYSSSEPKEESTVAAPDQTMEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKTSADQEVMSPKVVPATSLDPQRVTVPDDDQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDQAKASETIVDREGMMTAPYREKSLCPDTQHALRNVLEMSPSDNLTDNPFAGQEHGSFSEGSTTDSRDAITDESATTSTSGREKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARFLHDEGAQSPELTHPQQPLESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLFPHALQDKGSPRSIQLPPPIESSEKESQIAEDGQTVLLQSSVVQESTPYLAGPRESSSSDSPYPSAENQVSTPKTPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNKEADGSANDTKPGDTVNCFSKYTLLCLCPKDLIIQLIIQPLLTAQA >OGLUM09G18900.4 pep chromosome:ALNU02000000:9:21646603:21668814:1 gene:OGLUM09G18900 transcript:OGLUM09G18900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLGTGAPIVKVYHEKSMILPDVSRVLTCLYEKDVKFEPCTTSYKSLLRLQASTHAPVPFYDGPTFLEESREICRYIAETYEHHGYPFLLGKDALERASIEQWLHHEEHAFNPPSRALFCHLAFPLDQEDDLEMQKAKLDEVLEVYEQRLSDSEFLAGNKFTLADLVHLPNSHYIKASGKFAYLYDSRKNVQRWWNAISTRHSWDQVLSYMTKVEQDNKLEELEKQKQQEWQREYHTATGRRTRLYSRKHTRTKSQTILVPPPDTVSASPMAPQGEQPPPADSLSEKASVFSSQSTTHKSIASPSKKTTSSTPSLGNFPSTADKPPRIHADMSSIRDVSVPPDTTETEHPTRSMLSSSKEVGTYIEPTPQKPPASLYNFSESDGPISGASHSQISPRTAKEDSDRLHASDLYRSDRKSTGVYSEEKESMSYTAHSYEATYGKSPTRGATDSSPNKLHSTEAHQMLQAEKWHAVQAGLRNLQGDIDNSVPSRQVKPSKEVQQYPSQDSEQVSIRPVAQEPLSMDGQLVQGPEGTAQTPHTDQTMGVSSPHWQHAADARRISEDEASSDRRIKGAHWSKHAADEDAQAIPFQTAHDDSQDTTQRARETDSVTRSTRDQDAHDSRQGIMTYDSAPSRLQPMDASHDAPLPSKKSTSQTPYTAAHIPTGYQGAQEFIQQGRERPKPRSVVPYDAQGSTEEEKMAESPPSKAQILGSRGLDVSPPKEVLNEDGYGATGPLQTRYGDDQDDWIQARDSTTPRHMNQDAIEETEMADSEPSKARTLDSQQAFPQKQPPAEDLRFAASTSNRRYFDDQDSTKKSKHTDSIPRRMEAQGSLEETKAGESGLPREQPFLQAQAKDARSLTPPSQEGYAGPQAVSKQRGDPGSISRRIRAQYARVTFVESKADDSTFTREQPSDAWQATGPLPEHKVYDAQSSTSPFQDATMKSEDTTPQQRRGQGAKETKLSDSASLQVQPSDYQRSDSHLQNQEEIEDPRGAISPHKRSYTDVEDMTKHPGDKILGEDAQDVSEETKALDSTIVRGREHPQDTHQAAIPPRRQAATKDALGVTPHFPTRYPTAEDTSRQLRRTASTPTEKAVQDGRDAFRELKSVDSTSSREQPSDVQRAEASFPKQEEADSHSTTVPFQRRYPDIEDTTKEPIDKPKEMVGEDAQAGFEETKALDAATQPRDTPRAAITPSKQVIAKDALSVTPLPPTRYPTAEDTSRQPKRTGSTPTEKAVQDGRDAFRESKSVDSTSSTQQPSGYRRAAASLPKQEAADSRSTPLPFQRRYPDVEHTTKEPRDKPKESVGEDAQDGFEETKALDSAIIRGRAQPQETPRAAITPSKQEAAKDALSGTPLSPTRYQTAEETVGEDSQDGFEETKALDSAIFRGRAQPQDTRRSAITPPKLEEAKDALGATPHPPTRYPTAEDTSRQPTRTASTPIEKAVPDGRDAFRELKIVDSTSSREQPSDVRRAAASLRKQEAADSHSTTVPFQRRYPDIEDTTKEPRDKPKEKQEAADSRSTPLPFQRRYPDTRDTTKESRDKLKEAVGEDGQDGFEETKALDSAIIGGRAQPQETPRAAVTPSKLEGAKDALDVTPIAPTRYPTAEDTSKQSTRTASTPSEKAVPYGRDAFIESKSVDSTSSREQPSDVRRAAASLPKQEAADSRSTTMPFQRRYRDIEDTTKEPRDKPKETMGQDVQDTYEERVTTDSVLFTKQPSDMPRAAITPSKKAATDDAPSVSPPLPTRYPSAEDTSKQSIRPQDSRDVFREQKAVDSTSSPGPSDSLRASASLPNQEVDGTRRTTVPFQKREPELQNSTKPVNDSISTSWEMTAQDTQDTFEETKVPDSAAFSMPEVDSQRTDAEAQAEAQDTRDGGSRSRWWHASKTLPDGTPISGDDVTGLSPGDQTPTRMDQDAIPSAQIANGITERSIKQTAEPPAPVAPQTIFHQQARPSAPITKEVQASDNQGAISKIQQVSPDNHPTDYSAVPRVAAQEQVSHAPQTSPGREGITPAQREMDSPISDALPASAKVQEPAPDKSTMPFVSSVKQGSHVGRDVEPHEGPLPDTYGAVVDKETTKSLSWQDRSLEASPDSTPTHGYVHPTSRDEPAILPGQGAPQEPTHPDALDSASTRDVTADSLVGPKKFKQRSTDQEDISFASNQTSGMGAQPYSSLDKVARAEQKSDLSDQDPSHSAQETVLAAAERTKALPKPIDQQETPDSRKPPTPDTQYASQIIPSQEKVAPDVPSQERVSQAELSLKPHEGSTPHMHGSIVDEKKTRPLASPTKSSNDVLDLTPAGADVHPTSSTEPPRSALPVQAQTPSATQTPPPFASHKSVRTEDIRADANGKVKSVKPSASPDAPHATAPGEVALSEQKLASAGRDSSRAAQLPSSDEPRNEQIKDSDHTAQPFSSAAPDQAKDLQRTFGQPDISRAPGTSESPYSDVYDGARKVDPDYQLIDKTIPSQEQVSHPDLASKTNEGPTDQDSANFAQKYSSSEPKEESTVAAPDQTMEIQTTVGQQDILPAVSKEKDPSSGVQYDSMEVQEVAPKDQHIDGSIPSHEQAPNVEEPMTHITGPTSALDTQHDQDSYQYPELPSSAKSRKETDVAEADKTKAKQMTFGQPVPVPSDATRSVTDQGAQQPQRPAKIESPPFLETIKNYEYTQNVSDETLDKNKSSGKTSADQEVMSPKVVPATSLDPQRVTVPDDDQKIAKPDLTRSAQPPFSAEPTKRKTVVGASDQAKASETIVDREGMMTAPYREKSLCPDTQHALRNVLEMSPSDNLTDNPFAGQEHGSFSEGSTTDSRDAITDESATTSTSGREKVLDASILTATHDDAHPPTVRNLPEHETQAPASTQSASVEASDKAKSTDQEDMKPMASQASILDTQRGTNGDKPIARFLHDEGAQSPELTHPQQPLESPPHSASQHDAPTDVLDKTKMTKLTSTNQEGMAPTAGSRSTLESQPDGTFAAEVVHDEQKSTLSDQESARATQPLSSVERSKEDTNVSAADQPEVPQTIFHQKARSSVPVTREVQFPDSLGAISKIQEVSPDNQSTDYSAVQPVPTKEQVLHAPQTSPDQEGITPAQGEKDSPIPDAQLFPHALQDKGSPRSIQLPPPIESSEKESQIAEDGQTVLLQSSVVQESTPYLAGPRESSSSDSPYPSAENQVSTPKTPSLGPSLEVSSDEKTTVPLDGLANNLSNVSPSVTASQVLGRSENGSGTGALSGETVPSNSQENSEGTPSEEISKQQPQTNMSSTKLSKDDNKEADGSANDTKPGDTVNCFSKYTLLCLCPKDLIIQLIIQPLLTAQA >OGLUM09G18910.1 pep chromosome:ALNU02000000:9:21668511:21670596:-1 gene:OGLUM09G18910 transcript:OGLUM09G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HMG (high mobility group) box protein with ARID/BRIGHT DNA-binding domain [Source:Projected from Arabidopsis thaliana (AT1G76110) TAIR;Acc:AT1G76110] MAEKLLYPPPLLSHEEVANDRAAFMDTLRRFHSLMGTKFMIPVIGGKEMDLHALYVEVTSRGGLAKVMEERKWREVMARFSFPATTTSSSYVLRRYYLSLLHHYEQVYFFRAHGALLPPAASALTKTPRRKMRGTSDQSPPAAEAGKRMALPERLGGEPCSFSVTGSIDGKFEHGYLVTVKIAAETLRGVLYRVAPPPPAAPPPPPPPPPARGRRRRGRRQRDPAQPRPNRSAYNFFFKEKHPELKATHPHREREYSRMIGDAWNRLAADDKMMYYYFPVGKLILELKLLVQFCVSLAALCLCSFQ >OGLUM09G18920.1 pep chromosome:ALNU02000000:9:21674314:21675514:-1 gene:OGLUM09G18920 transcript:OGLUM09G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAANDTTPAKAAGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARVLASHGVAAPGSLATLYAAARALDDAFFLASPPSPGPDRRDAVLAPAVLPSAALPLLGERPPPPPPPPPPKRYYRCNAYAMPCRSNPLNVTDTAGLACPGCRQPMTVEMKWAAGGGSKPAEEEEAAAGGEGGYVKEVVTYLVMDDLSIEPMSTISAVMLLKKFDVKDCSALDEMTVDLGPKECVKLLKASLESTTALTDVFSGGVSIDRLE >OGLUM09G18930.1 pep chromosome:ALNU02000000:9:21676430:21679636:-1 gene:OGLUM09G18930 transcript:OGLUM09G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rho guanyl-nucleotide exchange factor 1 [Source:Projected from Arabidopsis thaliana (AT4G38430) TAIR;Acc:AT4G38430] MASASEDDAGSERCCGSYSPSADVSESETSSDCSAPTTTTTTRRFASSSSRGVASSSSSSLLPTPPPSSAAAFFLSAKPAADLSEVDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPMASARKAMWTREMDWLLSVADSIVELTPSIQELPDGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVDDSGGPFSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQDRDCANQILKAAMAINSDVLAEMEIPEVYLESLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKSTPQAKSKKSWGGKVKGLVGDTEKSHVLSQRADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAADSVSIFNRGIGVPVQKRISPSPFSIQHTPYASPFATPTFCSSTPVTGSPGRVQPPLNKNNLPTKQEVKVEKLFSGDIEKVWTYAGNLSARKDAGDAPERD >OGLUM09G18940.1 pep chromosome:ALNU02000000:9:21688219:21693131:-1 gene:OGLUM09G18940 transcript:OGLUM09G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPELSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSLFDRKRWGRM >OGLUM09G18940.2 pep chromosome:ALNU02000000:9:21688517:21693131:-1 gene:OGLUM09G18940 transcript:OGLUM09G18940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPELSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >OGLUM09G18940.3 pep chromosome:ALNU02000000:9:21688517:21693131:-1 gene:OGLUM09G18940 transcript:OGLUM09G18940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:enoyl-CoA hydratase 2 [Source:Projected from Arabidopsis thaliana (AT1G76150) TAIR;Acc:AT1G76150] MAARSGPPAAAVDPEAVLSHSFPELSFAYDERDVALYALGVGACGADAVDEKELHLVYHRDGQPHIKALPTFASLFPFKNSNGLGIVDVPGLNFDASLLLHGQHYIEIYKPIPSRANVVNKTKIAGLHDKGKATILEIETTTHVKDSGEVLCMNRSTIYLRGAGGFSDPSRPYSYASYPTNQVSRISIPNSAPSAVYEDQTQQSQACCSFGMLFYALLYRLSGDYNPLHSDPMVAQVAGFTRPILHGLSSLGFAIRAVIKSFCNGDPTAVKSIFGRFLLHVYPGETLVTEMWLQGQRVLYQTKVKERNRAVLSGYVLLKHIPSSL >OGLUM09G18950.1 pep chromosome:ALNU02000000:9:21694187:21694491:-1 gene:OGLUM09G18950 transcript:OGLUM09G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPANALVAATVAASAINPRRGVLAKLPRGRVLLRRQVCFAAAQAPTTCSTERPFRVARSSCSESDQ >OGLUM09G18960.1 pep chromosome:ALNU02000000:9:21694814:21697366:-1 gene:OGLUM09G18960 transcript:OGLUM09G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAVNCAVQNVSLDTLFLIVIQGAAVIVLGKFIHLSLRRHNQPSAISQIVAGVAVGSLGLHDMVVHVEVQNVEDTYGWYVSEARIFYMFYVGLDADLAALWNDAHRCTVVTYASVATCLLLAAFVSGGIYGSMMHTPVRSPELLSAVLMLTLANTASVDVSRMAAELDLTATGGGRLAVSTAIATNIICIVGEGVFSCMKLASSRTPGYSASERLGMGVLALLKVGVTMALLRPVAAYMNRRNAGRHRIGNWELVLLLVAVSFVGNFPEHAGFDGVPASLLLGLAFPREGPVARSVMDAIAYPLHALALPFYFGAMGMRINFSAMSGAIVVPAVLLTLLGLFGKCAGTMAAARYLKMPLADAIRLGVLLNIKGHVNMIDMSFASSEGVTCLHPTSSLATTMAPLIWPRVQIWAEQALMAMVVGSIISTVVAGPVFAVLFRKEKEAYACSDQALEHMAPDKELRMLACVHGARGAPAMLSLLELLATTPRAQPTIHVLHLFDASRKHVGPKRYHQRVQDSDKHIDRRIDDATQVNWAVDVFTSVTGLAIRQFDVGDRGAAMKNAKNIHRRLEEVRAGLLLLPYHKEQRYDGKMVCRRDDRCELNRKVLELAPCTVGVFADRPFWRGGASFRLPTKISTSEETTAARNQGDQKAGTQIAAVFLGGPDDREAVAFACRLAKNDGAIRLTVIRLVLGVATNDDHRIPTTSAANHIGIYDDDDEDGGEEEVLSVVVQDDDPDERCVSELRREYVAKERAEYVERAVSGAVDVAAALRATAGAFALVVVGRGGRQPPELVVGLEGWVQMIECPEVGPVGEMLASEESLEMGSVLVVQQRTAPPPPFHLNIPPAI >OGLUM09G18970.1 pep chromosome:ALNU02000000:9:21698138:21698317:1 gene:OGLUM09G18970 transcript:OGLUM09G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATADPRAKPPAATSTHHLEPWGQPSLPPPTPFDGGIEELWVKLMGHLRDAADRLRVP >OGLUM09G18980.1 pep chromosome:ALNU02000000:9:21717552:21717989:-1 gene:OGLUM09G18980 transcript:OGLUM09G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINPKRLVHLAKKWQHMAALGRRRLTITGATKEGNLRCSSAIADKGHCIIYTADGERFGVPLTYLSTTVFGELLRLSEDEFGFTGEEKITLPCEAAVMEYVMCLLRRKPSEEVEQAVVSSVVMPCNYKSSTSMVSVNLSQSLAIF >OGLUM09G18990.1 pep chromosome:ALNU02000000:9:21717865:21719967:1 gene:OGLUM09G18990 transcript:OGLUM09G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEQRRLPSLVAPVIVSLRLPRAAICCHFFARITAQRSELELQTENCWCKATGGTPQLESWQDITTELRNALSTSSDAFLLSKHMTYSITAASQGSVILPSPANPNSSCDILRSSPKTVVPRYGNGTLNRLPSAVYMVQRPLSATGEVVQQASSSLAAVVLTLCSLLAS >OGLUM09G19000.1 pep chromosome:ALNU02000000:9:21719574:21719990:-1 gene:OGLUM09G19000 transcript:OGLUM09G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKRLAQLARRLQRVKTTAAREDDACCTTSPVADKGRCTMYTADGRRFKVPLPYLGTTVFGELLRMSQEEFGFAGDGRITLPCDAAVMEYVMCLLRRNASEDVERAFLSSVVMSCQDSSCGVPPVALHQQFSVCSS >OGLUM09G19010.1 pep chromosome:ALNU02000000:9:21731198:21752297:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSARGGRHTSSATDDCCSTSSLAGKGHCTVYTADGARFEVPLPYLGTMVFGELLMMSQEEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHNVRVFSHQLAVYSEMALTLTCWTPQLTCGPHEAMHLGHQNRQERRRATMISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQSTSRGRVEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCNASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEAPLPYLGTAVFGDLLTMSREEFGFAGDDGRITLMCDASVMEYVMCLISRDSSEEVERAFLSSMARPCRNVGVISHRFAVCT >OGLUM09G19010.2 pep chromosome:ALNU02000000:9:21734759:21753005:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQSTSRGRVEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCNASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEAPLPYLGTAVFGDLLTMSREEFGFAGDDGRITLMCDASVMEYVMCLISRDSSEEVERAFLSSMARPCRNVGISHSNFPELRFPPRRVFALLAPPLGLRSPPCGHRRGGDLTAAMGAVSASAGSVRSESHRVGSRAPLHVLDQMVVYDK >OGLUM09G19010.3 pep chromosome:ALNU02000000:9:21734759:21752297:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQSTSRGRVEVPLPYLGTPLFGELLAMSQEEFGFAGDDGRITLTCNASVMEYVMCLISRDASEEVERAFLSSMSSSCHNVGFRQKLSRSSMIRAKKLAQLAKKLQLRMTSAGGSGQKAVAADDCCSTASSSLAGKGHCAVYTADGARFEAPLPYLGTAVFGDLLTMSREEFGFAGDDGRITLMCDASVMEYVMCLISRDSSEEVERAFLSSMARPCRNVGVISHRFAVCT >OGLUM09G19010.4 pep chromosome:ALNU02000000:9:21732952:21735461:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLVLPTTLAAHALSSLPSPINLLPSQHNHFICKQHSNLSVSTSFLQSKSSFSQEHRRTMISSRKLAQLGKKWQRMVASSGRQTASIDGCCSTATAYVADKGHCVLYTTDGARFEVPLMYLNTAIFCELLRVSQEEFGFASNNKITLPCDASVMEYVMCLIRRDASEEIEKALLSSITQKWH >OGLUM09G19010.5 pep chromosome:ALNU02000000:9:21731198:21732335:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAKKLAQLARKLQQKMVSARGGRHTSSATDDCCSTSSLAGKGHCTVYTADGARFEVPLPYLGTMVFGELLMMSQEEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHNVRVFSHQLAVSL >OGLUM09G19010.6 pep chromosome:ALNU02000000:9:21731198:21735322:1 gene:OGLUM09G19010 transcript:OGLUM09G19010.6 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKRLAQMAKKWQRIAALGRKRITWTTPKATDECCSSVAVKGHCIMYTADGRRFEVPLAFLATTIFAELLRMSQEEFGFTTDGGITLPCDAEVMEYVLCLLRRNASEEVVRAFLSTIVKSCHYGNGFAQSLGSVQQVAASSF >OGLUM09G19020.1 pep chromosome:ALNU02000000:9:21750965:21751303:-1 gene:OGLUM09G19020 transcript:OGLUM09G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B617] MPSSQQTAQATTPPSPIPDSPPFSRVVFMECGMSDVIDPKGWLPWEGRTYVSNVYYGEYENTGDGADVSGRVKWTSFHVIQDASEAAKYTVENFIQGDKWIPGTGVYFEPSH >OGLUM09G19030.1 pep chromosome:ALNU02000000:9:21766931:21767356:1 gene:OGLUM09G19030 transcript:OGLUM09G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTVDGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHYTGSMVPTVGVNQQISCL >OGLUM09G19040.1 pep chromosome:ALNU02000000:9:21768334:21768768:-1 gene:OGLUM09G19040 transcript:OGLUM09G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRIKTAASTREDDDAGCTSTSPSPVADKGHCAVYTSDGARFEVPLPYLGTTMFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGCTMPPVALHHQFAVCS >OGLUM09G19050.1 pep chromosome:ALNU02000000:9:21770583:21776594:1 gene:OGLUM09G19050 transcript:OGLUM09G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNAFSTSTEAFLLRAHRRPSCRGTAVAPQTACHLRCIRCSSPCLPPLLSILQLLVVPSLAEAGNLYLSCSALFVDEVMHMAYSISAASQGSMILPSLMKPNSSWDILRSSMKTLMPRYTNGTSNRLPSAVYMTQWPLTATEVEHSSNRVQLQEKTYGSSSGSYKQEICWLTPPVGTIRLVQWQDIGIELSNAFTTSTEGFLLSKHMAYSISAASKGNTILPSLVKPNSSWDILRSSLKTAVPRYVNGTSNRLPSAVYMTQWPLTATEVEHSLVSWAFAMILFLPRAAILATSLPIEPISWR >OGLUM09G19050.2 pep chromosome:ALNU02000000:9:21768767:21770734:1 gene:OGLUM09G19050 transcript:OGLUM09G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACYVLGFSVEGLKETRRRAKVQECPFLGRFRPFADGARFEVPLLYLGTAVFGELLTMSREEFGFAGDDGRITLPCDASVMEYVLCLLRRDASEEVERAFLSSMARPCHYAGSQQSVLYPPAAAEVAAASAGESRAAAWALARQARRWSLL >OGLUM09G19050.3 pep chromosome:ALNU02000000:9:21771970:21776594:1 gene:OGLUM09G19050 transcript:OGLUM09G19050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNAFSTSTEAFLLSKHITYSITMASHGNVIFPSLVKPNSSCDILRSSPKTIVPRYRSGTSNRMPSAVAEAGNLYLSCSALFVDEVMHMAYSISAASQGSMILPSLMKPNSSWDILRSSMKTLMPRYTNGTSNRLPSAVYMTQWPLTATEVEHSSNRVQLQEKTYGSSSGSYKQEICWLTPPVGTIRLVQWQDIGIELSNAFTTSTEGFLLSKHMAYSISAASKGNTILPSLVKPNSSWDILRSSLKTAVPRYVNGTSNRLPSAVYMTQWPLTATEVEHSLVSWAFAMILFLPRAAILATSLPIEPISWR >OGLUM09G19050.4 pep chromosome:ALNU02000000:9:21775844:21778116:1 gene:OGLUM09G19050 transcript:OGLUM09G19050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSISAASKGNTILPSLVKPNSSWDILRSSLKTAVPRYVNGTSNRLPSAVYMTQWPLTATEVEHSLVSWAFAMILFLPRAAILATSLPIEPISWR >OGLUM09G19060.1 pep chromosome:ALNU02000000:9:21797873:21805665:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSPKSGVPRYGNGTSNRAPSAVYTTQWPLPARDDDAVLQKSPVVPAQAAKMIHSKKLAQLARKLQRIKTAAAREDDDAGCSTSTSPSPVADKGHCAVYTADGARFEVPLPYLGTTVFVELMRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVATMPCQNSGCTMPPVALHRQFALSNAFSTSTEAFLLSKHMAYSITAASQGSMILPSIEKPNSSCDIRRSSLKTAVPRYANGTSNRLPSAVYMAQVVTKKKEKIYHHPHIDSTNTQAQEKASTGPAAAKHPASPHAGEPAVAASTPLPTSPPTPSPPLHPLPPRRLLHPAATSSTPPPSSPRQPPGQAGAAVFVPQAASVPPPPTGGRDKAPPPPSLWLRGRSGGGEAAEVGWGADDGGGGVRLPCRPDQDIIGSVSASLTNSQARHFS >OGLUM09G19060.2 pep chromosome:ALNU02000000:9:21780196:21797869:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNAFSTSAEAFLLSKHMAYSITTASQGNMILPSLVKPNSSCDILRSSLKTAVPRQCDPSIACEAELLLRDPEELCEDRRGEERQRDLEPPPIGGVHDAMALHCHRTAAFIGCFGRRPSKYGNGTSNRAPSAVYTAQWPLPARDRDAVLQQSSAATTAFCRLPPTYSITDASHGNVILPSSSPKTIVPRYGSGTSNRAPSAVYTVQWPLPAREDVLQQSSVALDHMTYSITDASHVNVILPSSPAKPNSS >OGLUM09G19060.3 pep chromosome:ALNU02000000:9:21780196:21797869:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNAFSTSAEAFLLSKHMAYSITTASQGNMILPSLVKPNSSCDILRSSLKTAVPRYGNGTSNRAPSAVYTAQWPLPARDRDAVLQQSSAATTAFCRLPPTYSITDASHGNVILPSSSPKTIVPRYGSGTSNRAPSAVYTVQWPLPAREDVLQQSSVALDHMTYSITDASHVNVILPSSPAKPNSS >OGLUM09G19060.4 pep chromosome:ALNU02000000:9:21805708:21807608:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRVKTATAREDDDAGCTSTTSPVADKGHCAVYTSDGARFEVPLPYLGTTVFVELLRMSQEEFGFAGGDGRITLPCDAAAMEYVMCLLRRNASEEVERAFLSSVVTMPCQNSGRTMPPVALHPKKRREMAMISAKRIAQLAKKWRRMAALGRKRLTMSSTAMATEEAQGCSTAVAGKGHCAIYTADGARFEVPLAYLGTAVLGELLTMSREEYGFSGDGKITLPCDAMVMEYVLCLLGRNASAEVEKAFLSSMVMPCHYASCVTPSLGACQQVAVCSN >OGLUM09G19060.5 pep chromosome:ALNU02000000:9:21778221:21780300:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSISAASQGNTILPSLVKPNSSWDILRSSLKTTVPRYANGTSNRLPSAVYMMQWPLTATEVEHSSV >OGLUM09G19060.6 pep chromosome:ALNU02000000:9:21805589:21807784:1 gene:OGLUM09G19060 transcript:OGLUM09G19060.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSKKLAQLARKLQRVKTATAREDDDAGCTSTTSPVADKGHCAVYTSDGARFEVPLAYLGTAVLGELLTMSREEYGFSGDGKITLPCDAMVMEYVLCLLGRNASAEVEKAFLSSMVMPCHYASCVTPSLGACQQVAVCSN >OGLUM09G19070.1 pep chromosome:ALNU02000000:9:21802065:21802490:-1 gene:OGLUM09G19070 transcript:OGLUM09G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISARRVAQLAKKWQRMAALGRKRLTVRAKQDQECCSSMAGKGHCAMYTADGSRFEVPLAYLGTAVFSELLRMSQEEFGFSIDGRIMLPCDAAVMEYAMCLLRRNASVEVEKALLSSMVASCHHTGSMVPTVGVNQQISCL >OGLUM09G19080.1 pep chromosome:ALNU02000000:9:21808150:21810730:-1 gene:OGLUM09G19080 transcript:OGLUM09G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MATAGSKSPGRALRRIAGAAVAAVLLRGSFSASKCVVVSKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTMSAAHAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSSRSSRKEDIVAFDESNPQGRRTSRTGSSIESNHVEDKEDTEQVELSARRMRKRNIRSTRKVHSEIKFDDSEGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >OGLUM09G19080.2 pep chromosome:ALNU02000000:9:21808150:21810730:-1 gene:OGLUM09G19080 transcript:OGLUM09G19080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of Vps4 activity in the MVB pathway protein [Source:Projected from Arabidopsis thaliana (AT4G35730) TAIR;Acc:AT4G35730] MATAGSKSPGRALRRIAGAAVAAVLLRGSFSASKCKTEARMAAARMKLLRNRREAQVRQMRRDIAALLRDRQEDTARIRVEHVIREQNIMAANEIIDLFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDLFEKKYGKDFVSAAVDLRPDACVNNLLIEKLSVKKPSGQTKLKILKEIAKEHQIDWDMTETEQELLKPSEELIQGPNTFVEATNFPVKTTMSAAHAVQINPSNYSSGYADEYDDERTMQFKDAASAARAAAESANRAASAAKAAADLVNKKTHSSDEVEDRRTSFHESSHSSKRQSMSNSSRSSRKEDIVAFDESNPQGRRTSRTGSSIESNHVEDKEDTEQVELSARRMRKRNIRSTRKVHSEIKFDDSEGLNSETEDESDTEIQSIERPAPRSEPYPGSRHSEDEEKENHELPDLPKANLSSRVHPNMPLDYETLTARFEALKSGKLP >OGLUM09G19090.1 pep chromosome:ALNU02000000:9:21828624:21835340:1 gene:OGLUM09G19090 transcript:OGLUM09G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGQSKMEEEYAVRHCRERSELLALAIRHRYALADTHRAYAESLAAVGAVLHDFLRGVQSLPPPPLEPTLRLPAHRKGDNLPTASPVPANPAIASSSAAQPLPPVAKQVRIAAAPDDGGGGHIHFSDDDSDSEGGGHIKFHSDDEGDAPAHRRPEIVRSAAPPVAPPPQMGPPPPYGSGYAPPPPYGSGYGYGYGPAPDYGGGMAVANGGYDPGYGGMGGVSGGGGGGYAPGYGGMGVGDGGSGGGYEPAYGGMGSYGQSFFNISYARSQPPPPSVSYEHRLQATDARVHYYAGEGNPQAPPRGYGGGYGYPPQGSSSYNQYAYGGYYGGASPPPPADIPSTSRGEVTPPAPPSPPRVSTWDFLNPFETYESYYEQPTAAQASYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHAANGYSGKGKMAKEEGGRSSTGDELPHESKLSEASSSGSNQEHDVHVVEKSVVGEQVQRSEPRQHVAGLPPIGSEKTYFDDAEVVLEIRTQFERASKSAIEVSKMLEVGKMPYYPKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKAEEKMRALYDRQREELKILDEKGAEADKLEATERSIRKLSTKISIAIQVVNTISDKISKLRDEELWPQTCELIQGLMRMWSTMLECHQIQLHAISQAKNIDSMIDGAKFGEAHMDLIKRLELQHLDWIASFASWVNAQKSYVGTLNDWLRKGVTYEPEVTDDGVPPFSPGRLGAPPIFVIYNNWAVGVGRISEKEVVEAMQAFASNVLGLWERHRSEQRQGLMANKGMDKDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQAQALQDGGSHGDTGSLQLSLKNIFEAMENFTANSANTYKDLHLRAEEEKARVAQESCRVS >OGLUM09G19100.1 pep chromosome:ALNU02000000:9:21843208:21845517:1 gene:OGLUM09G19100 transcript:OGLUM09G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lysine decarboxylase family protein [Source:Projected from Arabidopsis thaliana (AT4G35190) TAIR;Acc:AT4G35190] MYISSPHTSHFTSIDRSPAVVSESDRSMEEAAAAADMNGGVHQSRFRRVCVFCGSSSGKRRSYRDAAVELGKELVARKVDLVYGGGSLGLMGEVAEAVHNGGGHVIGVIPTTLMGKEVTGETVGEVREVGSMHERKAEMARRSDAFVALPGGYGTLEEVVEVIAWAQLGIHAKPVGLLNVDGYYDFLLAFVDKAVADGFIPPSHRHLFVSAPDAPSLVHKLEEYVPVQQEGDPETPKLRWEIEQQAAVQVVGYSSSLHAQLAIAD >OGLUM09G19110.1 pep chromosome:ALNU02000000:9:21851992:21854349:1 gene:OGLUM09G19110 transcript:OGLUM09G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWAGAEIPPRVRSISITGAAPCRRRRHPPRTTRLPDADRAHSSLLYLGAGNVLDGMLTTSDMASAAGGASGKDWFDCLPDDLVHHVLSFLPALDAVRTSVLSRRWRDFWVSMPRLNVDVGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSDLWVNHAVKRKVAVLEYSGRAELCSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLELLDVWTFYSVTISSSSLKHLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDSLVLENLSSLMTASVSVYHCFYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCILRQSPMLEELCVELGEEECENCKNRKPAFSYGEISPFWCDRLKTVKIKCTEHDERFVALLQLFCKILVCIEGVDIDRQWVSAQPPDSSEL >OGLUM09G19110.2 pep chromosome:ALNU02000000:9:21852065:21854349:1 gene:OGLUM09G19110 transcript:OGLUM09G19110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTSDMASAAGGASGKDWFDCLPDDLVHHVLSFLPALDAVRTSVLSRRWRDFWVSMPRLNVDVGDFRDDGQFENFTVHALPLLDSSVPLRSLRLRSSLHYLSDLWVNHAVKRKVAVLEYSGRAELCSSVDASLSLASSYLTKVVLKHFDFDYGQFWPLIDACPALENLELLDVWTFYSVTISSSSLKHLRIVSCLFYNGFRINAPNLLTMCLDDVNVNGPLGHDSLVLENLSSLMTASVSVYHCFYPKHYVKTELHFFHGLSHARNLKLIAPLYEALFEEGLPTCPVFNNLKCLVLGDWCMAFDLYPLRCILRQSPMLEELCVELGEEECENCKNRKPAFSYGEISPFWCDRLKTVKIKCTEHDERFVALLQLFCKILVCIEGVDIDRQWVSAQPPDSSEL >OGLUM09G19120.1 pep chromosome:ALNU02000000:9:21855246:21863710:1 gene:OGLUM09G19120 transcript:OGLUM09G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGASQAQQRKDAEAAEQLDRLMREASSPRKEAREALLLDRSRRHDEAIARVDELAAKHPESAAVAHLAGLLHYHATSRAMAAKDRQGVEAHCNTARDFYIKAKRLAPNCVEIAVRLALARLRCFNDGEAEPEIERALAIPFPTDPAENNVAYDNALGTTSSRDRVEKARRVALARRPEILSYVRNRSIPGDVRAVLDYADSDGVAKAVKPAKEVALRYPYSARAHLIYAYIRLKFAQGMAPGIDNRTFLSRIFADLDKVASQFKTSLVLAMFRAKLSFLLGMYIPMTVECIRASTMEWPADPWDDDVPVKSVLGEKPEDRVASIRKEFGRLQKKLDVVAIDHMQSLTIEERDSVLSVGLNSMLQHYTNEKIDEATKIVSEALSFVQKSGSWRYWICPYCVGKKIPNTDALLQHMRNKHPEGSVWPKLLSVLDPNLISDTSRGDHFSDDMTVYKDSEEQYVFHFKRILPPAVTDQRPFSEIRENKCTEGIKILEKIKLKLKNAPADILSTEFNEACAEIRDLWHDFLEISVLDFRVVILPHVMVFIWERFLQRMSEKAASKSVNAADIGVVFPYVDTPDIDEILPNVDDALDNSSADNDAICPNVPDASDSDAANIDEILPNVDDALDNNSADNDAICPNVPDAPDSDAANIDEILPNVDDALDNNSADNDAICPNVPDASDSNAANIDAIHPNVVDASSSNASNTDAVCHGIDDAQGRDAAVCPNVDDAPENNADDMDAVIPDTADAPENNADDIDAVIPNTADAPENNTDDMDAVIPNIADAPENNADDMDAVIPNTADAPDRNSDIKDGSNLSHANKVQEDEANQKPENTTLSCSDGTSTDVIDKQSDAHVKDEDYGATVNENESNSPTEMVEYGNELDATPGKFDHSTEEIASISCYQKSIDDLKKNNADEDLYFLNVIIQLLWNLRHFRNEFLRGRPTFDIVHEDLCIAEKLYRIFSAWEKNEHSKTVLLLTDVKTTLCGIVNDSNMFQTAGRNFASEIMAIILRSLDKFENSVCIGSMRIVLDAPCRHCVWYTLGLFGTRLKQLMSCRCGEWFGEEYILLFHKLDASSPHSTMINCFDELPMLMDYQSDWERRCNNCSGSVRQIGCFLSKGPHFFTIVLKDWLGSDGSQAILSEALFGIGSPLDITLLYKGVTLPHIGGHSATKYRLASVICYVEHGYVCFGRDQDDKWLKYDTTTVKTVDTWGELLELYREINIQPEVLVYEVVK >OGLUM09G19130.1 pep chromosome:ALNU02000000:9:21865768:21871606:1 gene:OGLUM09G19130 transcript:OGLUM09G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTSESAITSAGSASASGFDCLPDDLVHHVLSFLPAPDAARTSLLSRRWRNLWVSMPCLDIDSRGSTMLSNARSLFLNTLSVRDYFIHGCHDLILASPYLTKVVLEHVVLHDCHFGTLNSGCPALENLELLEVNIQFTEISSTSLKHLRIVNCMMDCKFWIRTPNLLTMCLDGVECKSSPILEYLPYLTTASVSVYGFPLEDSEDEDLSEEKDEDLSDEHHIEYNILGVLSHARSLNLIAPLREALLEGCLLTCPVFNNLKCLVLGDWCMAFDLYPLRCVLKQSPILEELCVELREKECEYCKENAPPFSYSYGEILPFKCHRLKTVKIKCGERDERFIALVKLFFKISVCIEKFDLDRWFIAFVTVSSSSSEERNERVAPIDLWGSKLTSNFFGCSNSSGKFLDSSVTTQPDRYLIIVTSGGLNQQRTGIVDAVVAARILNATLVVPELDQRSFWKDSSNFSEIFDINWFISFLAKDVNIIKEPPEKGGKAVKPYKMRVPRKCTPKCYLNRVLPALLKKHLFLWLKFRKILSHIAHTTFSLVIQVIRLTKYDYRLSNKLDKDLQKLRCRVNYHALRFTDPIQELGEKLIKRMREKSRHFIALHLRFEPDMLAFSGCYYGGGEKEKRELGSIRKRWKTLHIGDPEKGRRQGRCPLTPEEVGLMLRALGYKSDVHIYVASGEIYGGEDTLAPLKLLFPNYHTKETLSTEEELTPFLAHSSRMAAIDFIVCDGSDAFVTNNNGNMAKILVGRRRYFGHKRTIRPSAKQLYPLFMNRSNISWDAFSSQVQTIQKGFIGEPMEITPGRGEFHANPAACICEKTGIKSVVGSDSRSNRETVNSTEISNKPIGGPTYPIYTDEEGDRPDTEDDPSGIGEMIDMEAEDDSLASRVDSVLEEILSD >OGLUM09G19140.1 pep chromosome:ALNU02000000:9:21875107:21877975:1 gene:OGLUM09G19140 transcript:OGLUM09G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAMAPPQLVSVLVALLCVAAASPAGVGAARFVCNATAPRASTCQALVAYAPPNATTLAAVRALFQLRSHRALLASNGLPLSTPPSAPAPSPLRVRLPCLCSGGAGATFQRPTYRIRAGDTLDAIARGVFAGLVTYQDIAAANNVSDPNKIAVGQELWIPVPCSCDPVAGQPVVHYTYVVPPGASVAAIAQDFATTEATVLALNRMPDAKSLLAGQVLDVPLRACSSAISSTAIDRNLLVPNGSYILTANNCIMCGCSSYTWHCCDVSNGAAGSLIGVQAGINVVEMD >OGLUM09G19150.1 pep chromosome:ALNU02000000:9:21879043:21886301:1 gene:OGLUM09G19150 transcript:OGLUM09G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGIGFESRSLVVFAPERTNIQGINRKIENGSDQRVVVSKDSHNQQAHMAGTIQPDDSIIKEAEPLGFLENNAAFINLIIKPLW >OGLUM09G19150.2 pep chromosome:ALNU02000000:9:21879043:21884965:1 gene:OGLUM09G19150 transcript:OGLUM09G19150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKVLSSIVGYYTLSMYRSNLQLNSIFQTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMGLRMTAGFLRQIGFESRSLVVFAPERTNIQVNYTQVHVDVGLQGINRKIENGSDQSIAIKQDDMAKEKL >OGLUM09G19150.3 pep chromosome:ALNU02000000:9:21879043:21884965:1 gene:OGLUM09G19150 transcript:OGLUM09G19150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKVLSSIVGYYTLSMYRSNLQLNSIFQTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMDWVREQVLGGFCTRKNQHTNYTQVHVDVGLQGINRKIENGSDQSIAIKQDDMAKEKL >OGLUM09G19150.4 pep chromosome:ALNU02000000:9:21879043:21884965:1 gene:OGLUM09G19150 transcript:OGLUM09G19150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGVWAGLALFMDWVREQVLGGFCTRKNQHTNYTQVHVDVGLQGINRKIENGSDQSIAIKQDDMAKEKL >OGLUM09G19150.5 pep chromosome:ALNU02000000:9:21879043:21886301:1 gene:OGLUM09G19150 transcript:OGLUM09G19150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESGGRKRLPSISSAILLAAAIGVIEASALILGSEILLSIMGVSHASTMHSPAKLFLSLRALGAPAVVVSLAIQGIFRGLKDTKTPLLYSGLGNISAVLLLPFLVYSLNLGLNGAALATIASQYLGMFLLLWSLSKRAVLLPPKIEDLDFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGTIAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKEVTYYVLKTGLLVGAALALLLFASFGRIAELFSKDPMVLQIVGSGVLFVSASQPINALAFIFDGLHFGVSDFSYSASSMITVGAISSLFLLYAPKVFGLPGIGFESRSLVVFAPERTNIQDDSIIKEAEPLGFLENNAAFINLIIKPLW >OGLUM09G19160.1 pep chromosome:ALNU02000000:9:21883994:21886328:-1 gene:OGLUM09G19160 transcript:OGLUM09G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B646] MDRKRVGIIGAGVSGLAACKHSLDKGFNPIVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHRKVMEYLRSYASQFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTANWCKEKVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLAGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNIWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >OGLUM09G19160.2 pep chromosome:ALNU02000000:9:21883994:21886328:-1 gene:OGLUM09G19160 transcript:OGLUM09G19160.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B646] MDRKRVGIIGAGVSGLAACKHSLDKGFNPIVFEADDTIGGVWAHTLESTRLQAPTTAFRFSDLAWPATVTEKYPSHRKVMEYLRSYASQFDLLKCIRFNSQVLGVEYLGATEGEIMQWEHWSGNGEAFGAQKDGVWRLTVKDLKIGNIEVFLVDFLIVCIGRHSGSPNIPEFPANSGLELFKGKILHSIDYSYMDNAAEFVKGKKVTIIGSGKSAFDIAAEVAKVNGASNRINSSLTGNNQSKEHMANTSVQNVGETQPCTMIYRTRHWLVHKSSICGVDLSYFYLNRISQLLVHKPGEGFLYYVLATALSPLRWAISKVIETYFKRSIPLQKHGMVPDYSFSFAMSSCLIAMLPEGFYDKVDEGSIILKKSKRFSFFNDGIILEDGNEHIKSDIVILATGFRGDQKLRDIFTANWCKEKVAGSSATAVPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVTHFLAGRFKLPSIRCMEESVAEWAKYKDLYNGKYFRRSCISTVNIWFNDILCQDIGCNPKRKKGVLAEWFQPYGPADYASLY >OGLUM09G19170.1 pep chromosome:ALNU02000000:9:21889084:21889461:1 gene:OGLUM09G19170 transcript:OGLUM09G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFKGGRAAYAATVVGVAVGWQAAALGAVRLIARVSSLFANVTGTLALPMVPVLAVALFGDKMTGTKVVAMLMAVWGFLSYVYQHYLDGRRAAAAAAREGRVHAAAGCGICTDRMTYTYFGPES >OGLUM09G19180.1 pep chromosome:ALNU02000000:9:21889856:21892724:-1 gene:OGLUM09G19180 transcript:OGLUM09G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIKKRVAIVGAGVSGLAACKHALERGFRPVVFEADAAGAVGGLWARTIASTRLQTPRPYFEYSDFPWPPGVTDLYPDHDQVTAYLRSYAEHFGVLECVRFGCRVAGMEYAAAGGEEELHVADFVVLCIGRFSGFPNMPRFPPGKGPEAFAGTAIHSMDYSNMGAAKAAQLVKGKRVAVVGYQKSAVDIAAECADANGASHPCTIVLRTKRWIVPDLYAWGVPVPVFYINRLSQILLHKPGDGLILSFLAILLSPLRWLFAKFVESYYKWALPMEKHGMVPDEDFMEAMCSCSVMKLPDKFYDKVEEGSIVLKKSKRFSFCKEGLVVEGDSSSETVKSDVVIFATGFNGDQKIREMFKSPLFREIVAGSPSSIVPHFRQCIHPRIPQLAIIGYAESWSNLCVSELLSKWLAHFLHGSFRLPSIKEMEEDIGEWDKYMKRYSPARFRRSCIGPVSVLCSDRLCQDMGVQRRRKKWLLADWLVPYGPADYADINLNS >OGLUM09G19190.1 pep chromosome:ALNU02000000:9:21898514:21898918:-1 gene:OGLUM09G19190 transcript:OGLUM09G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHADPKLDTWTSPPSRACGVGRKVGRCVVEVVASSLCGFAYSPPVLSSCANNSRNGDGRENERSSGKKAVRRGRRGAYRRPRSTTVRIHRVAAKATPPRGPHQWRLLPIRADHSSMATSPPDPRSPELFGHAG >OGLUM09G19200.1 pep chromosome:ALNU02000000:9:21900825:21903999:-1 gene:OGLUM09G19200 transcript:OGLUM09G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVDGALPPPGEGALVVDAARGPRRHQRSATHHACRLPPPPGTPFFVLGDGGSFLPAPALPTSMESTFPSPRPPSTPSSSTPLSSPVPPPSARSPTVPAPADADDDDQGGGSGQEAGGGNGEDEAGGGDDSNGHGDEQDGDYSSDVQRRDVPRHESADGSEIHDEHHVDITGEEPPVSPVPREPPVAPVRNRRPISLLCAVPMFFLYGAYHFTIGGSAFGIDKHVTHDRLMAGGILAGIWLFLLPFLILGHVYFSHRIRVRVLRNNAPSAGEAQVPATEVQVQDEGYFPRKVKASVSLMVLSGLGKIAYLTLGTVWPWAWLSHLIGFIIEIIMLIVKWYMQDVVDFSLLLPEVAEPGKWQLIY >OGLUM09G19210.1 pep chromosome:ALNU02000000:9:21911219:21913065:-1 gene:OGLUM09G19210 transcript:OGLUM09G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRTKRVAIVGAGTSGVAACKHLLARGFRPVVFDAGASVGGQWTRTLASTRLQSPHVAYRFSDFPWPDSVDWYPRHDQVVDYLAAYARRFAVEERVRFRSTVLAAEFVGDDAAAGWERWNGNGEAFGDGSGAWRLTVRHDDTDTTQVYEFDFLVLCIGRFSGVPNIPAFPPGGGPDVFRGRVIHSMEFSDMDDADAAALVKGKRVVVVGSGKSAFDIAAECAEANGVEQPCTMICRSPRWLLHDTNVWGKVDIGYIYFTRFAELMVRKPGAGVASNLLATFLSPLGWLISKLTEAYYKKEIPMEEHGMSPEYGLSKSLSSCLIGMLPERFYDKVKEGSVVIKRSAKSFTFRDDGLVLDDDGGGGGGGERVVQADLVILATGFRGDEKLRRMFASRRVRDIVAGSPETAAPLYRECVHPRVPQMAVIGYSESATNIHTCEMLAKWVARLLDGAFRLPPVRRMEASVAEWGRYMRRSAGEEHFRRSCLGGVGIWYSDELCRDMGCDPRRKKGLLAEWFQPYGAVDYADIQ >OGLUM09G19220.1 pep chromosome:ALNU02000000:9:21914833:21918048:1 gene:OGLUM09G19220 transcript:OGLUM09G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGDNPHSISEKKAALRESPKEPKNVGNQQPRTSPFPKDKAAGTVGIKRPQPNGPLNPANPGTNGHLVYVRRRLETDHSKVSSYASSDSISSLSSKKTVVDRPQEQGLKHQNSSLQTPLAPAAAAATSPASPSGGSPPQNSLRKQSLGKVVVQPSIIVTASPPPRNVVSTTSLPQNSIAAKLACSSVAAASPPPRNVVSTTSVPRNSIAANLACSSVAAASPPPRNLVSTTPVPRNPIAANVASSSVAAASPPRNLASTTKVPQNSIAANLASSSVSATSTASRGAAPACYPVDPQRSSNQDWKERFIRLQAFLRNNEQSGQEEYIHMLRSLSSVGRSKLAIELENRAVKLLIEEGKELQKMKVLNVLNKLSPTDALPLPTQPASVRHLAFPPR >OGLUM09G19230.1 pep chromosome:ALNU02000000:9:21918480:21926162:-1 gene:OGLUM09G19230 transcript:OGLUM09G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASWLGFANSLMADGVVVATEASVKQLEGVAKKLGEREVFAATSSQALSAERSCSRRSKSTAAAAPSDGVRAKEMLGWGSPSSMQHRGGVHCLD >OGLUM09G19230.2 pep chromosome:ALNU02000000:9:21918480:21923966:-1 gene:OGLUM09G19230 transcript:OGLUM09G19230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVVATEASVKQLEGVAKKLGEREVFAATSSQALSAERSCSRRSKSTAAAAPSDGVRAKEMLGWGSPSSMQHRGGVHCLD >OGLUM09G19240.1 pep chromosome:ALNU02000000:9:21922362:21927436:1 gene:OGLUM09G19240 transcript:OGLUM09G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCSSLLPTPCNPNAGKSSTKFIPGLPVSCTPLAQDVQRNSEGNLTCMEEGDPQPSISLARTPSEGAAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDANVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNSSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLQGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPQGKNKVEELSFGDNTREDREETSWTTLVGTSQKGSDLAELHTHGMLSHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRLGCLPQHSPVQTLHTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTRITIGHTGFS >OGLUM09G19240.2 pep chromosome:ALNU02000000:9:21922231:21927436:1 gene:OGLUM09G19240 transcript:OGLUM09G19240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGAAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDANVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNSSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLQGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPQGKNKVEELSFGDNTREDREETSWTTLVGTSQKGSDLAELHTHGMLSHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRLGCLPQHSPVQTLHTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTRITIGHTGFS >OGLUM09G19240.3 pep chromosome:ALNU02000000:9:21922366:21927436:1 gene:OGLUM09G19240 transcript:OGLUM09G19240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDPQPSISLARTPSEGAAAAVDLDLLEQLLSADNAWLEVAANTSRSPNFFATPSNCLTDASVATTTPANSWWIQPSGASTSVRERFDQALAYIRETQSDANVLVQLWVPVKGNDGQLVLTTSGQPFTLDQRSNSLIQFREVSTKYQFSADVASGSSPGLPGRVFIGRLPEWSPDVRYFTSYEYPRISHAQYLDVHGTMGLPVFERGNSSCLGVIELIMTKQKLNFTSELNTICSALQAVNLTSTEVSSIPRTKLNSASYKDALPEILEVLRAACITHKLPLAQTWVTCAQQGKRGSRHSDENYKYCISTIDAACYVNEPQMQSFHEACSEHHLLQGQGVAGKAFTTNQPCFLPDIGSSTKLEYPLSHHAKIFNLKGAVAIRLRCTRTGIADFVLEFFLPTDCEVLEEQKAVLDSLSGTMRSVCQTLRVVTDKEMEDEAMREMNELNSFSPQGKNKVEELSFGDNTREDREETSWTTLVGTSQKGSDLAELHTHGMLSHGGQGSSQAGDQTSKEGSKVKRRTKTEKTVSLQVLRQYFAGSLKDAAKSLGVCPTTLKRICRQHGINRWPSRKIKKVDHSLRKLQQIIDSVHGAETAFQLNTLYKDLTNTSVSSDNNLSGSVTVPLANQNNLDFEKHQHHRLSSNIPSTSLSHSSCSQSSDSSPSCSGGATKHSPQVGADQVRLGCLPQHSPVQTLHTEAASINEHFSGQEAPIDLLQDVAEKANGEQHMSQSPSSPKQTANVGMRVKVTFGSEKVRFRLKPECDFQELKQEISKRLSIVDMNSLIVKYLDDDSEWVLMTCDADLHECFHVYKLADIQTIKISVHLAASPTRITIGHTGFS >OGLUM09G19250.1 pep chromosome:ALNU02000000:9:21929244:21930026:-1 gene:OGLUM09G19250 transcript:OGLUM09G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif and CCHC-type zinc finger domains containing protein [Source:Projected from Arabidopsis thaliana (AT3G10400) TAIR;Acc:AT3G10400] MSRRRQQPGSDSDGEDDSFLYRYPLPSAAAPGASGPSSHGGKPGGGGSGGLAPSKSTVYVSNLDFALTNSDLHTLFSRFGRVARVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIAEDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPPPSKKSRRGGGSGGGGGGGGGGRGASWQSDDEDSAEAFEDDRWASVVDTRGEEEKAAGKGEGKAMKKEKRKGYFSDESDEDED >OGLUM09G19260.1 pep chromosome:ALNU02000000:9:21930780:21931877:1 gene:OGLUM09G19260 transcript:OGLUM09G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGVKQFRLQIDMQCRCMGCIRKIEKAMGCIGSLFRSSWLETFRLIFCCPEGVETSVADVDTGIVAVAGKVNPTMLCHWLKRRIRKDVKIVYPDQQVQNSKQKLIMVLGSSSNAKGAHNTPSALPIQDHMSWDSVPPIVQSNHQSLPLIEQKIRELEKVRDMLKIQNLETELGAVRCELKQSREAINGSKKAVMDSALNQLEAYHKLEALSHSPYESCYPSQ >OGLUM09G19270.1 pep chromosome:ALNU02000000:9:21932166:21932534:-1 gene:OGLUM09G19270 transcript:OGLUM09G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G45020) TAIR;Acc:AT3G45020] MSIAKRYVLRLFISLKYVTANVVDRQSGRVVVTASSVEKPLRDGLECGRTCNAKAAAAVGEVLAMRLKVDGLAREPIHADATKEVEKKGFKNRTKVWAILNALREHGVNLRLDDDGDHRPHV >OGLUM09G19280.1 pep chromosome:ALNU02000000:9:21933760:21937765:1 gene:OGLUM09G19280 transcript:OGLUM09G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRNNNIDAEIDAAFAAGKMPLEWLPRLQAAGMNDSDVSVTAGAISETHRVAGDTWWSNENVPFELFGLFGTLFMFALAIVYRGTKGLRNSLIQLLG >OGLUM09G19290.1 pep chromosome:ALNU02000000:9:21939158:21944343:-1 gene:OGLUM09G19290 transcript:OGLUM09G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPQPPPAAMSAPPRKRKKKGRPSLLDLQKRTLRLEKLQEPPPPPPPQPRRSTRRNPAGLDSGDEGTAPGGRREKKLRLVMGLPDGSAKGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLSKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPPQPSSSIYELPVSSSYNETRKLLVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPSATQPPVLTTSSTALIHPSSTETSSEQPTHNGPPSASHSAGPQPFSAPYASSTVTTHRVNGQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNVFPSAAQMVANRMQTHTAD >OGLUM09G19290.2 pep chromosome:ALNU02000000:9:21939158:21944343:-1 gene:OGLUM09G19290 transcript:OGLUM09G19290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQPQPPPAAMSAPPRKRKKKGRPSLLDLQKRTLRLEKLQEPPPPPPPQPRRSTRRNPAGLDSGDEGTAPGGRREKKLRLVMGLPDGSAKGEKTRKATDGSEEPSDSGPTTPLPDKKLLVFVLDRLQKKDTYGVFSDPVDPEELPDYHDIIKHPMDFSTIRKKLSKGAYGNLEQFEDDVFLLTSNAMCYNSPDTIYYRQARAIQELAKKDFENLRQDSDASEPEPEPEIKPDPEPKPQPRRGRPPNKNTIKQKVGKPPVERATADFSGATLASVGNSGHCTQPPFDLQRQVMNGSFIADVLRASFASRNNGYNWSNERKLERIEDYSGSMGKWSAKSGRKPILTEESSRSTYCQPQPSSSIYELPVSSSYNETRKLLVGVQLQQSYPRSLARFAAQLGPVAWEIASKRIERALPPGTKFGRGWVGDGEAPSATQPPVLTTSSTALIHPSSTETSSEQPTHNGPPSASHSAGPQPFSAPYASSTVTTHRVNGQSLPSQQHGSVPQVSAERGEHGAEVKGNHNNLHERPAIQHTVNGFSAVSGSNVFPSAAQMVANRMQTHTAD >OGLUM09G19300.1 pep chromosome:ALNU02000000:9:21946027:21957404:-1 gene:OGLUM09G19300 transcript:OGLUM09G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEYAMGGIFSMKSDVYSFGVLLLEIVSGSRISSTDFIEDFPNLSIYAWNLWNEGKAKNMIDPSIVASCLLDEVMLCIHVGLLCVQENLNDRPLMSYVMLILENGSNSLPAPNRPAYFAQRDIEMEQPRDDTQNSNNTVTLTAQLQSASQVSSSSMDWPASASTCIAFVFLISWPSLCASDDRLAIGKTLSPGATLVSDGGAFAMGFFSPSSNSTNATSSGLYLGIWYNNIPKLTVVWVADQAAPIADHPSSPASTLAVASDGNLVLSDGATGRVLWKTNVTAGVNSSASSGGGVGAVAVLANSGNLVLRLPDGTALWETFEHPGNAFLPGMKIGVTYRTRGGVRLVSWKGATDLSPGNFSFGGDPDRPLQVVIWKGSRVYWRSNPWNGYMVDSNYQKGGRSAIYTAVVSTDEEIYAAFTLSDGAPPMQYTLGYAGDLRLQSWSNETSSWATLAKYPTRACSAFGSCGPFGYCGDVTATASTCYCLPGFEPASAAGWSRGDFALGCRRREAVRCGDGFVAVANLKLPDWYLHVGNRSYDECAAECRRNCSCVAYAYANLTGSSTRDATRCLVWGGDLVDMEKVVGTWGDFGETLYLRLAGAGRKPRTSALRFALPIVLAKIIKKKYRENNKRRALRVLSISDELGQEIPAKDLEFPFVEYDKILVATDNFSEASLIGKGGFGKVYKGVLDGREVAVKRLSSWSEQGIVEFRNEVVLIAKLQHRNLVRLVGCSIEGDEKLLIYEYMPNKSLDASLFKGKIKSVLDWSTRFKIVKGVARGLLYLHQDSRLTIIHRDLKASNILLDAEMNPKISDFGMARIFGNNQQKEVTKRVVGTYGYMAPEYAMGGIFSMKSDVYSFGILLLEIVSGSKISSIDLIEDSPNLPVYENLNDRPLMSDVVLILEKGSESLPAPNRPAYFAQRNNNEVEQGRNGSQGAQNSNNTVTLTDLEGR >OGLUM09G19310.1 pep chromosome:ALNU02000000:9:21956291:21959716:1 gene:OGLUM09G19310 transcript:OGLUM09G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADVAGGEEAKPRDIIRTNEQLLISFDAATDKSNKIHLPAPAIRRYSVSPKSPQLSFTFSMSSSSPPHIKHLVGSLLAYATQEQLRRHAAAHSPAFMFPTSAYPSGSFVSGNSANPSPQRAASFRRHPSEKSPRLQSAPLAGSNPSRQWHVETPPPVEVAGVGHEVVHRRRSGGEGEGGVDLVVGVDDGGVDRRRAALLCTRDPFQIFACSGLSGSTPNEKLPGDGSPAPFQETIRMPECDR >OGLUM09G19310.2 pep chromosome:ALNU02000000:9:21959720:21964575:1 gene:OGLUM09G19310 transcript:OGLUM09G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFMPAMNTSLGCSNVCHRAVSSGNRSTRLPEFTSTATTPDEFTPPAVTLVLQRTRPAASDKTRLLLSSAMASLEEEGWSVMGASWSATHTTVSLGTLLYHMPRYSPDELDGEKKPMAKAPPSETRTRSDVLVMAREGNEELELPLTMIGVARLATQTTVRRGMLLYHMPRCSFAGVELDGAKKPKAKSPPSEMTAAPGESGLPETIRSSAAHNAGDKRRKAAMQVKAHDDQSICQSVA >OGLUM09G19320.1 pep chromosome:ALNU02000000:9:21957468:21964554:-1 gene:OGLUM09G19320 transcript:OGLUM09G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSCAFTCIAAFLLLSPALCAADDRIVSGKPLSPGAAVISDGGDFALGFFAPSNSTPAKLHLGIWYNNIPRRTVVWVANRATPIIVNGSSNSSLPSLAMTNTSDLVLSDASGQIVWTTNLTAVESSSSLSPSPSTAVLMNTGNLVVRSQNGTVLWQSFSQPTDTLLPGMKVRLSYRTLAGDRLVSWKSPEDPSPGSFSYGGDSDTFFQFFIWNGSRPAWRAGVWTGYMVTSSQFQANARTAVYLALVDTDNDLSIVFTVADGAPPTRFLLSDSGKLQLLGWNKEASEWMMLATWPAMDCFTYEHCGLGGSCDATAAVPTCKCLDGFEPVSAEWNSGLFSRGCRRKEALRCGGDGHFVALPGMKVPDRFVHVGNRSLDECAAECGGDCNCMAYAYATLNSSAKSRGDVTRCLVWAGDGELVDTGRLGPEQVWGTVGAGGDSRETLYLRVAGMPNSGKRKQGNAVKIAVPVLVIVTCISLSWFCIFRGKKRSVKEHKKSQVQGVLTATALELEEASTTHDHEFPFVKFDDIVAATNNFSKSFMVGQGGFGKVYKGMLQGCQEVAVKRLSRDSDQGIVEFRNEVTLIAKLQHRNLVRLLGCCVEGHEKLLIYEYLPNKSLDVAIFKSERSVTLDWPARFRIIKGVARGLVYLHHDSRLTIIHRDLKTSNVLLDSEMRPKIADFGMARIFGDNQQNANTRRVVGTYGYMAPEYAMEGMFSVKTDVYSFGVLLLEVISGVKISNIDRIMDFPNLIVYAWSLWMEGRAKELVDLNITESCTLDEALLCIHVGLLCVQENPDDRPLMSSVVSILENGSTTLPTPNHPAYFAPRKNGADQRRDNVFNSGNEMTLTNCCCTCTQAVTAIFLFLLSLPLSASDDRLAVGKTLSPGATLVSDGGAFAMGFFSPSNSSGLYLGIWYNNVPKLTVVWVADQLAPITDHPSSSKLAMADDSSNLVLSDAAGRVLWRTNVTAGGVNSSGVVAVLVNSGNLVLRLPDDTALWQTFEHPSDVFMAGMKLGIDYRSHSGMRIVSWKGAGDPSPGSFSFGVDPERPLQAKIWNGSRVHWRSSMWTGYMVDSNYQKGGSSAIYTAVVYTDDEIYASFTLSAGAPPMHYLMSYSGDLHLQSWSNVSSAWVTNARFPRRDCSLFGYCGAFGYCGNSTGGGVSTCHCLEGFEPASGADWSRGDFSLGCRRKEAARCGDGFAEFPDTKLPDGYALVGNMNAGECAAACRRNCSCVAYAYADLSSSTRRDPTRCLMWGGELLDMEKVNESWGDLGETLYLRMAGAEMIVKYDGKNNKKRALRVLSVSDEFGKEIPAQDLDFPFVEYNEIAAATDNFSEASMIGKGSFGKVYKGVIGGRKVAIKRLSRCSEQGVVKFRNEVLLIAKLQHRNLVRLVGCSIEGDEKLLIYEFMTNKSLDASLFNSERKSSLNWSTRFKIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDTEMNPKISDFGMARIFEDNQQNRITRRVVGTVSNARTILN >OGLUM09G19320.2 pep chromosome:ALNU02000000:9:21964558:21966704:-1 gene:OGLUM09G19320 transcript:OGLUM09G19320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNWIAFNYLTTAILLLLPACVADDQLVPGKPLSIGSTVISNGGAFALGFFSPTNSTSSNLYLGIWYNDISPLTVVWVANRETPAKDGGHGSSSSAPSLTLSNSSGLVLADGDGRFLWTTDITIIEASSPAVAVLMNTGNLVVRSPNGATLWQSFDHPTDTYLPGMKIGINYRTRAGERLLSWNDGPGDPSPGSFSFGGDPDTFLQLFIWNQSRPYWRSPVWTGNPIPSQLMVNGTTVIYLSVVDADDEIYLSFGISDRAPRTRYVLTNSGKLQVLSWDGGDGASEWSKLGELPKYECEHYGYCGPYGYCYYSEVAPTCECLDGFEPRSKEEWSNGRFSRGCRRTEELPCGGDGGDAVFLEMQGMQLPDKFVRVRNKTFHECAAECAGDCSCTAYAYANLGGSGSARKDATRCLVWLGELIDTQKVGPDWVPWGIATD >OGLUM09G19330.1 pep chromosome:ALNU02000000:9:21970906:21971256:-1 gene:OGLUM09G19330 transcript:OGLUM09G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSNELKRLAAARARVEKASPSGVLESKKLPAEKATSQMVDPSKEPKKLVIEICIMLSFGVMFMLLSFLIPGISKPQQILLWQVAILSFLVGAGFIGLYLKFFYWSSERKIKKA >OGLUM09G19340.1 pep chromosome:ALNU02000000:9:21975269:21978345:1 gene:OGLUM09G19340 transcript:OGLUM09G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B670] MSCISVATIFLLLPALCACDDRLVPGKSLSPAGATLVSDGGAFAMGFFSPSNSTTDKLYLGIWYNDIPVRTVVWVANRAAPATHSSSPSLAVANDSNLILSHADGRVVWTTGFVSTAAGSSSNSTAVLTNTGNLIVRSPNGTMLWQSFDNPTDTYLPGMKLRSNYKTNSGQSLVSWSSPEDPSPGSFYTAIDRDNFLQYFIWNGSRPEWRSTVWTGYSISSQYFHGEGNTSATVYVAYLDTDDEISIVFTVADGVGAGPTRKVLSHSGRLELLTWNTGSSEWVLLGASPACECSRYGYCGPSGYCDYTEDSPACKCLDGFEPASAEEWSGGRRREAPRCGADGFVALPDMQAPDKFVRARNKSLEQCAAACRGDCSCSAYAYATLNSSMSTGDTTRCLLWFGDQLIDARKIGPSLDTAGASSRETLYLRTSGPSSGQRAKANPVKTVVPIVASSMILACILLVWVCKFKGKNRDEKRQRKRAFDGLNTINGLGENTTHDLVFPFVKFEDIVAATNNFAKTSMIGQGGFGKVYKAVLQVQGSHEVAIKRLSSDSQQGIDQFRNEVVLIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDVVMYKSARNATLHWPERFNIIKGVARGLRYLHHDSRLTIIHRDLKASNVLLDAEMRPKISDFGMARIFDDKQKNANTRRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEVVSGEKMRSVDRIVDCPNLIVYAWNLWKEGKAEDLVDSSIVESCLLDEALLCIQMWLLCVQENPDDRPSMSSVVFNLENGCTALPTPNHPAYFAEKGDELMNSTNTMTLTIIEGR >OGLUM09G19350.1 pep chromosome:ALNU02000000:9:21980179:21994949:-1 gene:OGLUM09G19350 transcript:OGLUM09G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSAALACITSVLILLAPPCASDDRLVPGKPLSTGATVVSDGGAFVLGFFSPSNSTPEKMYLGIWYNDIPRRTVVWVADRETPVTNSSSSAPTLSLTNSSNLVLSDADGGFRWTTNITDDAAGAGSTAVLLNTGNFVVRSPNGTTLWQSFEHPTDSFLPGMKMRAMYRTRAGERLVSWKGPDDPSPGSFSFGGDPGTFLQVFIWNGTRPVSRDGPWTGDMVSSQFQANTSDIIYFAIVDNDDEIYMTFTVSDGSPHTRYVLTYAGKYQLQSWDNSSSAWAVLGEWPTWDCNRYGYCGPFGYCDNTARAPAVPTCKCLAGFEPASAEEWSSGRFSRGCRRTEAVQCGDRFLAVPGMKSPDKFVLVPNRTLDACAAECSSNCSCVAYAYANLSSSGSKGDMTRCLVWSGELVDTEKEGEGLSSDTIYLRLAGLDLDVAGGRKKSNAIKIVLPVLGSILIVLCIFFAWLKIKGKKTNQEKHIKLIFDGEGSTVQDFELPFVRFEDIALATNNFSEINKIGQGGFGKVYMAMLGGQEVAIKRLSKDSRQGTKEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKGLDATLFDGSRKMKLDWTTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEIVTGIRRSSTSNIMNFPNLIVYSWNMWKEGKSKDLVDSSIMDSCLLHEVLLCIHVALLCVQESPDDRPLMSSIVFTLENGSSVALLPAPSCPGHFAQRSSEIEQMKDNTQNSMNTFTLTNIEGRILLKKVLMDRSAAALACITSVLLLLLPLPCASDDRLVTGKPLSPGATVVSDGGAFALGFFSPSNSTPEKMYLGIWYNDIPGRTVVWVADRGTPVTNSSSSLPTLSLTNSSNLVLSDADGRVRWTSNITDDAAGSGSTAVLKNDGNLVIRSPNGTTLWQSFEHPTDSFLPGMKLGMTFKTRVSDRLVSWRGPDDPLQGSFSVAGDPDTFLQVFVRNGTRLISRDGPWTGYMRDIFYFSVVNNDEKRYITFSVSEGSPYTRYVITYAGKYQFQRWNISSSAWAVAEWPRWDCNYYNYCGPNGYCDNTARAPAVPTCKCLAGFEPASAEEWNSGRFSRGCRRTEAVQCSDRFLAVPGMKSPDKFVHVPNRTLDACAAECSNNCSCVAYAYANLSSSISEGDVTRCLVWSGELIDTEKIGEWPESDTIHLRLASLDAGRRMKINAVLKVVLPMLSSIIIVLCMSFEWLKIKGKKRNREKHRKLIFDGANTSEEIGQGNPVQDLELPFAMLGGQEVAVKRLSKDSRQGTEEFRNEVILIAKLQHRNLVRLLSCCVERDEKLLIYECFKEIETRLENTFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNVLLDAEIKPKIADFGMARIFGDNQKNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYVNFREGIEIRDFNLVLILALNGIYVHKRGEIVTGIRRSSTSNIMDFPNLIVYEGKMKDLADSSIMDSCLLHEVLLCIHVALLCVQENQDDRPLMSSVVSTLENGSSTALPTPNCPAYFAQRSSEIEQLRNNIQNSMNTFTLTDIEGRREYKSWLMDSTASTTIVVFLLLLPRLCSSAGDKIELGEQLLLGQTRASDGGAFVLGFFSPSNSTPERQYIGIWYNITDRTVVWVANREAPAIAAGRSIAPRLALTNDSNLILSDADGRVLWSTNVTAGVADAAGRSTSPPVAELLNNGNLVIRSNGAILWQSFDHPTDTVIPEMKVQLDKRTRRGARLVSWKDAAGDPSPGSFSYGMDPETSLQLVMWNGSRPYWRTTVWTGYLTSGQYLAATGTTIYLDVVDNDDEIYVKLRVSDGASPTRYVMTSSGEFQLLGWDKSSSEWITFSSFPTHHCSTYGYCGPNGYCDITTGAAAACKCLDGFEPASGGEWSAGRFSGGCRRKEAPPCGGGDGFLALPRMKVPDKFSTLVGNMTFDECAARCATNCSCEAYAHADLSSSSARGDIGRCLVWAGELIDMVMIGQTTWGRAGETLYLRVPAGSTSSRGRGNVVKIAVPILASALVLTCIFLVYFCKSRENRRKRESQKTLVPGSRNTSSELLEENPTQDLEFPSIRFSDIVAATDNFSKSCLIGRGGFGKVYKVTLENGQEVAIKRLSKDSDQGIEEFKNEAILIAKLQHRNLVRLLGCCTEGSEKLLIYEYLANKGLDAILFDGARKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNILLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTYGYIAPEYSTEGSFSVKSDVYSFGVLLLEIVSGIRISSTDIMEFPSLIVYAWSLWKEGKAKNLIDSSIAESSSLDEVQLCIHVGLLCVEDNPNSRPLMSSVVSILENGSTTFLAMPNQPAYFAQTTSEMDKMTDGSSRNTMTMTVLQGRLAFDCGN >OGLUM09G19360.1 pep chromosome:ALNU02000000:9:22002602:22007764:1 gene:OGLUM09G19360 transcript:OGLUM09G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLESSSDDSELEQQQERLQELEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEEDDDDEEEEDDDDPLADDFLAGSSDDESADGDDSGVDSDDSDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELLELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFSEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRSVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESPKVPEEAIEKTDPSTDDLQEQPVQSKKHKDVKMNEETTILDGVTEDKQQTHDRTEKTLKNHKKGEKKRNGPDSGKTKGDEKETHNEQEEPTSEKKQPVSAKIKKTVPKRTSATKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >OGLUM09G19360.2 pep chromosome:ALNU02000000:9:22002602:22007756:1 gene:OGLUM09G19360 transcript:OGLUM09G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLESSSDDSELEQQQERLQELEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEEDDDDEEEEDDDDPLADDFLAGSSDDESADGDDSGVDSDDSDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELLELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFSEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRSVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESPKVPEEAIEKTDPSTDDLQEQPVQSKKHKDVKMNEETTILDGVTEDKQQTHDRTEKTLKNHKKGEKKRNGPDSGKTKGDEKETHNEQEEPTSEKKQPVSAKIKKTVPKRTSATKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKK >OGLUM09G19360.3 pep chromosome:ALNU02000000:9:22002602:22009016:1 gene:OGLUM09G19360 transcript:OGLUM09G19360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKGAPRRQQPAPAARQLAVAKKGKAPPKAAKRAPKKKQELLESSSDDSELEQQQERLQELEEDESGSDLDAPSDSGAEELSDSDDASLEGGDSGDEEEDDDDEEEEDDDDPLADDFLAGSSDDESADGDDSGVDSDDSDDLEAKSRAIDEAKGKAEEEAEEELKLNIRSESDEFRLPTKEELEEEALRPPNLPHLKRRISEIVRVLSNFSKLRQKDVPRKDYVDQLKTDVMSYYGYNDFLVEAFIEMFPAVELLELLESFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATVEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFSEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKGIEDIRDCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSLMIPENEAVIDYALKKRSVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESPKVPEEAIEKTDPSTDDLQEQPVQSKKHKDVKMNEETTILDGVTEDKQQTHDRTEKTLKNHKKGEKKRNGPDSGKTKGDEKETHNEQEEPTSEKKQPVSAKIKKTVPKRTSATKEKKPDTDQGGKRKRNWMVRREWEAYKKSRSKKV >OGLUM09G19360.4 pep chromosome:ALNU02000000:9:22008677:22009964:1 gene:OGLUM09G19360 transcript:OGLUM09G19360.4 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKWAAAKAQALQDLETRFIQQTATILSCYDDLLPEHIRLDLQEQHCNDHKVPDDLWLKFINAAFDGNPETLDREGGGATQDKFWIEAAGAAKKAQALKEMEERFRQEFIKPGLDKILLELVESLPEDIREDFFRVRYEIVDEVQEILNERVEQNFGVGDHEKRLKIRAWEESQRFRMDAAADKRAAKKLQALQDMKKGFILDRLDRFLRGSPKYVKQHLIREHTEYSVPANMQLRFIDGIERKFRKLDYQEVIKARIWEGYERSKMPLIKSTLFHVTMDGMPKSLKMVCWVTSVVIFFAAMLSCKVQPWKLGEIASGYLPDRNA >OGLUM09G19370.1 pep chromosome:ALNU02000000:9:22008782:22009286:-1 gene:OGLUM09G19370 transcript:OGLUM09G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQSLQLLGSTLIGCSIHPEPLRFLPSPDLEPLLVIAYPKILFHTFVKNLLDFVNDLVSNSKEVLPDVLRACAFFAAPAASIQNLSASSRAWTLSCSPPFAIQRLGIPIESGVDELEPEIVGNLVVVAVLLLEIQPDVLG >OGLUM09G19380.1 pep chromosome:ALNU02000000:9:22014016:22018550:1 gene:OGLUM09G19380 transcript:OGLUM09G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B677] MKFGYSAQVEQGHSIPAPDTRRSTIDNIQAYAQQQLRDYELKHWLTKRKKGLVSMDRSDAFIYVIIMSVVVLLIPPPCSANDRLVPGKPLTSDGTVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSNLVVSDADGRVRWATNVTGGAAGNGNTTAVLMNTGNLVVRSPNGTIFWQSFEHPTDSFLPGMKLGMMYETRAADRLVSWRGPGDPSPGSFSYGGDTDTFLQVILWNGTRPVMRDGPWTGYMVDSQYQTNTSAIVYLAIIDTDEEIYITFSVADDAPHTRYVLTYAGKYQLQRWSSGSSAWVVLQEWPAGCDPYDFCGPNGYCDSTAAEAPLPTCRCLDGFEPASAAEWSSGRFSRGCRRKEAVRCGDGFLAVQGVQCPDKFVHVPNRTLEACAAECSGNCSCVAYAYANLSNSRSKADSTRCLVWSGELIDMAKVGAQGLGSDTLYLRLAGLQLHAGGRTKSKAVKIVLPVLASSILVILCISFAWLKMKACKKRNREKHRKQILFGMSAAEEVGEGNPVQDLEFPFVRFEDIALATNNFSEAHKIGQGGFGKVYKGMLGGQEVAIKRLGRNSQQGTEEFRNEVILIAKLQHRNLVRILGFCVEGDEKLLIYEYLPNKSLDATLFNGSRKLLLDWTARFNIIKGVARGLLYLHQDSRLTIIHRDLKAGNILLDAGMKPKIADFGMARIFGDNQQDANTQRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGMRRNSVSNIMGFPNLIVYAWNIWKEGKTENLADSSIMDSCLQDEVSLCIHLALLCVQENPDDRPLMPFVVFILENGSSTALPTPSRPAYFAQRSDKMEMDQLRHNIENSMYALTLTDVEGR >OGLUM09G19390.1 pep chromosome:ALNU02000000:9:22019805:22023469:-1 gene:OGLUM09G19390 transcript:OGLUM09G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B678] MDRSDAFTYIIVSVVVLLLLPPPCSSDDRLVPGKPLTSDATVVSDGGAFAMGFFSPSNSTPDKLYLGIWYNDIPVRTVVWVANQETPVTNGTTLSLTESSDLVVSDADGRVRWTANVTGGAAGAGNGNTTAVLMNTGNLVVRSPNGTALWQSFEHPTDSFLPGMKLRMTYSTRASDRLVSWRGPADPSPGSFSYGGDTDTLLQVFMWNGTRPVMRDGPWTGDVVDGQYQTNSTAINYLAILSRDDEVSIEFAVPASAPHTRYALTYAGEYQLQRWSAASSAWSVLQEWPTGCGRYGHCGANGYCDNTAAPVPTCRCLAGFEPAASAGCRRTVAVRCGDGFLAVEGMKPPDKFVRVANVATLEACAAECSGNCSCVAYAYANLSSSRSRGDTTRCLVWSGDLIDTAKVGLGSGHSDTLYLRIAGLDTGGTAKSDAVKIVLPVLACILTVLCISFAWLKIKGKRRNRQKHRELILDVTSTSDDVGKRNLVQDFEFLSVKFEDIALATHNFSEAYKIGEGGFGKVYKAMIGGQEVAVKRLSKDSQQGTEEFRNEVILIAKLQHRNLVRLLGCCVERDEKLLIYEYLPNKGLDATLFDGSRKPKLDWTMRFNIIKGVARGLLYLHQDSRLTIIHRDLKASNVLLDAEMRPKIADFGMARIFCDNQQNANTRRVVGTYGYMAPEYAMEGIFSTKSDVYSFGVLLLEVITGIRRSSTSNIMDFPNLIIYAWNMWKEGKTKDLADSLIIDSCLLDEVLLCIHVALLCVQENPNDRPLMSSTVFILENGSSTALPAPSRPAYFAYRSDESEQSRENIQNSMNTFTLTNIEGR >OGLUM09G19400.1 pep chromosome:ALNU02000000:9:22026099:22027515:1 gene:OGLUM09G19400 transcript:OGLUM09G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPATAEAMVFPIRRRRSRGLPHPALLLQSTAAPNEAVFVPSRHRRTPPMPQAAPLRTSGIVIVSSPTCTPASPAIPELPRTFDTDGLNLLLFGIQSFKIVHLVR >OGLUM09G19410.1 pep chromosome:ALNU02000000:9:22032700:22034923:1 gene:OGLUM09G19410 transcript:OGLUM09G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDAIGGYVVVAVPCKDKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >OGLUM09G19410.2 pep chromosome:ALNU02000000:9:22032700:22035076:1 gene:OGLUM09G19410 transcript:OGLUM09G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKARSRSSNGDSRLSVRKTKAEKDPNKPKRPPSAFFVFMEQFRKDYKEKHPNVKQVSVIGKAGGDKWKSMTDAIGGYVVVAVPCKDKAPFVTKAEKLKAEYTKKIDAYNNKQAGGPATSGDSDKSKSEVNDEDEGSGDE >OGLUM09G19420.1 pep chromosome:ALNU02000000:9:22036075:22043902:-1 gene:OGLUM09G19420 transcript:OGLUM09G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAAADEDFATPPPPARAPGGRVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGRSHALLESPTGTGKSLSLLCSALAWQRHYPLRAPPAAAAAAAPDPFLHGGGFVPDDTQKQATPGVPEKATRKKNVPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKNVCMSGNIDELCKSLLDDSAQGCPEYKNAQKLSRHPSLQIGGCYEVHDIEDLIRVGRKVKGCPYFAAQTMAEAAQLVFCPYNYLISPIVRRAMDIDIRGSIIVLDEAHNIEDIARDAGSFDVDEESLLFLRQELEGLVTDEAVAKIYEPLHEVIQGLNGWIDEQGNNLQKNEFEHPASFWTGEEAMKELKYAGITPVNFPVLQECATKAIKAASDAESEGVHLSGGSAMTLESLFSSLSYFFAENGRHSNDYQLALQRFAKCEGANMMSYDLIICNSVTSFIGWKCVMSLWCLNPAVVFRDIADLTLSVILTSGTLSPMGSFASELGVQFEACMEAPHVINADSQVFATVLSTGPTGRRLNASYRTANEYSFQDELGATLEEICRVVPGGALVFFPSYNLLEKLQRRWYQTEPRGSTEELEPVLKGYYNAILGKAPPKKGRGGAKQIVKNRVTKDSSQESAKGGAAFLAVCRGKVSEGIDFSDDKARVVVSFVFSSNDVQVKLKKRYNDSYKSSKDLLSGNEWYCHQAFRALNQAAGRCIRHKFDYGGIILIDERYQEERNIVYISKWLRNSIRNCGTFQETMDGLTRFFQNAEEQIKIKAQGVSPKDRLDACVLPSQGDKRKLPWPEPNFSNQTVLQNNKDVKSESHIDGAGVDRMKLSDTSSESWHISSKHSGLVKKQISPEPENVPMACQLPPSYKVQYNLEGEADNGTNYEVNINFIDLEECDTKPRYAKLTIFNPSEHITQQSTVMEETYAEVPIASPSNRNGENASTVMNEGDPVLDLPISLSAANRNISCVSTSAATPERSGSISHLEHESWTNRSVNSHCQKRRKLSSPMSCCTYTERSCSPSKPYLHGGCDVSMLPGDLRTDGVCCKSMKMSRCENVKVERNSKLAEFPSRESTQEKLFICCARCKTALGLQEDGFLVSCSSSLSSKFYLTYLWRHGPSADILPGKDFLASPPLKIKVMMCNVSSVNKMMLGNLSNEGSAHNSSFWSEKDGCVYKPVTCQTCSCKNACVTTLGAQVVATDSSNQQFCDKVLLFDDLLHVKHGPSKDQAASAPIGTVKPISPPPVIDLESFAYKPLKKDPVPVNTRRSKPNPGMVHNMKDSSAICSERTGKSSTLHKRMECQLLWVLFVLFSPFCKEIWACLFWVNLFKDEISMFLVLLLKG >OGLUM09G19430.1 pep chromosome:ALNU02000000:9:22044104:22055527:-1 gene:OGLUM09G19430 transcript:OGLUM09G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPGRKAGLPFPEKKKKSRGKFLLGDDEAAGGHSPASFARLTCTLSISLLRRRRGAAAFSPRLASSSSRARPRRARLGGGGVDGTGSSPLLPDHTLPSPPRCLLARTAGRQARGDAAGLAPLGSISVPVELETIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDFQYFVGLTRLDAGAAVRGPWVLRDAAAQAVYVTRGSGRVQVAGAGGASTLLDAEAAAGSLLVVPRYAVALVGVDAGGMELVSLIKSPRPAMEQFTGKGSVIGGLTAEIVQAALNVSPELVEQLRMTKIGKLSCISLNELSQTIGRSMHLNCQKLLAMIPVCLWKEMELLRRHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNRMTCDFVQKQTNIEAHISGRDGFYVDCDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRARIDLELHGRSNESINSWNCENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDWIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDITPVQEYTSHMNATKPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVEHFVPRVSELQHGPFEHDDDVLSISYGPLHLSCSVLVPECIDKNCFEEARVLLQLDKKFIPVISGEHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRDDDKSKSSISSWALLMWASL >OGLUM09G19430.2 pep chromosome:ALNU02000000:9:22044104:22055527:-1 gene:OGLUM09G19430 transcript:OGLUM09G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPGRKAGLPFPEKKKKSRGKFLLGDDEAAGGHSPASFARLTCTLSISLLRRRRGAAAFSPRLASSSSRARPRRARLGGGGVDGTGSSPLLPDHTLPSPPRCLLARTAGRQARGDAAGLAPLGSISVPVELETIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFFERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRRHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNRMTCDFVQKQTNIEAHISGRDGFYVDCDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRARIDLELHGRSNESINSWNCENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDWIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDITPVQEYTSHMNATKPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVSELQHGPFEHDDDVLSISYGPLHLSCSVLVPECIDKNCFEEARVLLQLDKKFIPVISGEHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRDDDKSKSSISSWALLMWASL >OGLUM09G19430.3 pep chromosome:ALNU02000000:9:22044104:22055527:-1 gene:OGLUM09G19430 transcript:OGLUM09G19430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSPGRKAGLPFPEKKKKSRGKFLLGDDEAAGGHSPASFARLTCTLSISLLRRRRGAAAFSPRLASSSSRARPRRARLGGGGVDGTGSSPLLPDHTLPSPPRCLLARTAGRQARGDAAGLAPLGSISVPVELETIKRLPKSVHSSLRSSIVLFDLSRVVEELVYNSIDANASKIDISVNARACYVKVEDDGCGITRDELVLVGEKYATSKFHNVMVDGEPSSRSFGLNGEALASLSDISVVEVRTKARGRPNSYCKIIKGSKCSHLGIDEQREVVGTTVIVRELFYNQPVRRKQMQSSYKRELHLVKKSVLRVALIHPQVSLRLFDIESEDELLYTIPSSSPLPLVSNILGKNVSSCLHEIATSDKHFALSGHISRPTDVFCNKDWQTVMYFFERTITDYWKKHAPQLPEVKAIGNDTCVPLERDVKSSQELLRRHGVQKKEDVAELYQTALQKNTVRDMNFDTAAPAEPKDNYLSLDMEPSTWRACYDQISDASHTDDVARNGRKFGHKQICSLQSYSYQWLEDGSSLLEDSDLSSANPTICKMQKTEDIFHGHAYSGKFGLLQDAEIEIGPEIKLQEYCFESPNKPNRMTCDFVQKQTNIEAHISGRDGFYVDCDKLNEDCLLNEISKTITDVSCPQMPHFNDGLCPEDVGSSKSSCSVRKKSSKRQNSANAIAQMKFHDMQAVCESDYMDRSFIKDTCGLHFFHPFSLADTPRSHSRARIDLELHGRSNESINSWNCENIGTDFGFTSDRFNIDSSMIFEGSKHLNNFGNGTQSPSYFNHEYCSVGQFASKQDWIPLKSKHDARMSYDISPEKSSTGCHLNVSFSQVAKSSKLTEDQYGCSQRPRLSRGRYRSRSAPPFYRGKRKFPRLNEPLTKLTTEGGKYTTVNDSGDITPVQEYTSHMNATKPIPETFSNDFSDLNFSLKGNVKMCEEKCSDELEDSTASDEITKWRDDSDHHAVEHFVPRVSELQHGPFEHDDDVLSISYGPLHLSCSVLVPECIDKNCFEEARVLLQLDKKFIPVISGEHAADERIRLEELRRKVLSDDGRGITYLDSEEDLVLPETGFQLFQKYMQQIQSWGWIINSTNSCESFKKNMNVLRRQSRRLTLAAVPCILGVTLTGKDLMDFIQQLDDTDGSSAIPPAVIRILNFKACRGAIMFGDPLLPSECSLIIEELKATSLCFQCAHGRPTTVPIVNVASLRGELARLGAVNGRQEETWHGLSHHGPSLERARTRLRELRKLRDDDKSKSSISSWALLMWASL >OGLUM09G19440.1 pep chromosome:ALNU02000000:9:22056768:22059135:1 gene:OGLUM09G19440 transcript:OGLUM09G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKLFITGLSTVITCSSAVVFKGAAMAVVLLLMLVQLPGYLSCLLLCAIRAAVERAVAATFAAAGDAVSAAADAAMGWRDAASSNSTAAVAFVQAAMGRPKALLAEMLAIFGLVASLSCSPATRRRRTSLLARITDKNCSSKQTATEHAGSEPMMRVSTVCSMKTELHQKNQALQASRKLAEGKEPRP >OGLUM09G19450.1 pep chromosome:ALNU02000000:9:22059231:22061969:-1 gene:OGLUM09G19450 transcript:OGLUM09G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLGNGYCSSGSEGEDEDEGMEGYRKGGYHAARPGDHFAGGRFVAQRKLGWGNFSTVWLAYDTLLNRFVALKIQKSARDYAQAALHEIELLSAIAKGDPTNSKNVVQLLDHFKHAGPNGHHVCLVTEFLGDSLLRLIRYNRNKGIGLSRVKEICRSVLVGLDYLHRELGIIHTDLKPENVLLVSTINPSKDPVRSGFTPILERTVSNQYSGSVISFSEKMLKMRARRAVAKISLRRESLGGVAAEMEKERSLDGISLKCKIVDFGNACWGSQQLAGEIQTRQYRAPEVIIGAGYSYSADMWSFACMAFELATGEVLFAPKTCQGCSEDEDHLALMMETLGKMPKKIASSGTRSKDYFDRHGDLKRIRRLKFWPLERLLVQRYNFTEPDAQGLADFLRPILDFTPENRPTAAACLKNPWLN >OGLUM09G19460.1 pep chromosome:ALNU02000000:9:22064944:22072783:-1 gene:OGLUM09G19460 transcript:OGLUM09G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESSGASELHAPSRNYHHKDKRNKLQQAKAGASPLQNMAAPDMSPKAGKPLVQNDAGSYLAWSGKNQPALAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPVGLDARERVVRLEAGDVIAMRAGEVTWWYNDADGGGGEDVTILFVGDTAGAVSPGDFSYFILAGPMGVLGGLDAGLLATASGLTSPEQAATAFRSQPAALLTRLSRKLHGVRPREHDRHGIVVNAARVPPDSTGGKTVTAAHLPALAQLGLSVGLALLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVASAGGASTLLDAEVAAGSLLVVPRYAVALVAADDAGGMELVSLIKSSRPAMEHFTGKGSVIGGLTPEIVQAALNVSPELVEQLRTK >OGLUM09G19460.2 pep chromosome:ALNU02000000:9:22073283:22074431:-1 gene:OGLUM09G19460 transcript:OGLUM09G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMSPKAGKPLVENDAGSYLAWSGKDQPAVAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPAGVDARERVVRLEAGDVIAMRAGEVTWWYNDTDGEDVTIVFMGDTAGAVSPGDISYFVLAGPMGVLGGLDAGLLAKASGLTSPEQAATAFRSQPAALLTRLNGKLHGVRPREHDRHGLVVNAARVPADSNTGGAAAGTKTVTAAHLPVLAQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEVAAGSLLVVPRYGVSLAAADDAGGMELVSLIKSPRPATEHFTGKGSVIGGLTAEIVQAALNVSPEFVEQLRTKY >OGLUM09G19460.3 pep chromosome:ALNU02000000:9:22064942:22074431:-1 gene:OGLUM09G19460 transcript:OGLUM09G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDMSPKAGKPLVENDAGSYLAWSGKDQPAVAGEKLGCGLLVLKPLGFALPHYADSGKFGYVLGGSAVVGVLPAGVDARERVVRLEAGDVIAMRAGEVTWWYNDTDGEDVTIVFMGDTAGAVSPGDISYFVLAGPMGVLGGLDAGLLAKASGLTSPEQAATAFRSQPAALLTRLNGKLHGVRPREHDRHGLVVNAARVPADSNTGGAAAGTKTVTAAHLPVLAQLGFSVGLTRLDAGAAVRGPWVLRDAAAQAVYVARGSGRVQVAGAGGASTLLDAEVAAGSLLVVPRYGVSLAAADDAGGMELVSLIKSPRPAMEHFTGKGSVIGGLTPEIVQAALNVSPELVEQLRTK >OGLUM09G19470.1 pep chromosome:ALNU02000000:9:22080119:22083354:1 gene:OGLUM09G19470 transcript:OGLUM09G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQASCEGDQGICKRGAKGGHPIDQNLVGGMTMSTGVPTTVPPTPALAALKNLLIRVVAAAAGKGLSSSAEEARCLWAKLPAPEEEEEEAGQQPEIRPPSSYRRPPTPTLMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVVHQHGGRAIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDCEDTMPIQDTYALCRVFKKNAICTEVELQGQCSMALLEGACQQLLASGGGGSQEQYETPSPPDVPVGSTSGGADADAEAEDDPDKDDSWMQFISDDAWCSSTADGGAEESTSCVALAG >OGLUM09G19480.1 pep chromosome:ALNU02000000:9:22084848:22087621:1 gene:OGLUM09G19480 transcript:OGLUM09G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVGLPPGFRFHPTDEELVNYYLKRKVHGLSIDLDIIPEVDLYKCEPWELEEKSFLPSKDSEWYFFGPRDRKYPNGCRTNRATRAGYWKSTGKDRRINYQNRSIGMKKTLVYYKGRAPQGIRTSWVMHEYRIEESECENAMGIQLQDSYALCRIFKKNVVLGEFDKKGECSSSQAKGNEEQVTDFGDAGQSSGANENDKDNSWMQFIAEDLWCTNKLK >OGLUM09G19490.1 pep chromosome:ALNU02000000:9:22089714:22090027:-1 gene:OGLUM09G19490 transcript:OGLUM09G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM09G19500.1 pep chromosome:ALNU02000000:9:22111563:22117500:1 gene:OGLUM09G19500 transcript:OGLUM09G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKFESLTITEILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >OGLUM09G19500.2 pep chromosome:ALNU02000000:9:22111563:22117500:1 gene:OGLUM09G19500 transcript:OGLUM09G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >OGLUM09G19500.3 pep chromosome:ALNU02000000:9:22111563:22117500:1 gene:OGLUM09G19500 transcript:OGLUM09G19500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAADVKLEGLRAATDKLDQISENEKSGFISLVSRYLSGEAEQIEWSKIQTPTDEVVVPYDTLSAAPEDLNETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKSQYPRIVTEDFLPLPSKGKTGKDGWYPPGHGDVFPSLNNSGKLDTLLAQGKEYVFVANSDNLGAIVDIKILNHLIHNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEAEALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFEKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPSNPSIELGPEFKKVANFLARFKSIPSIVELDTLKVSGDVWFGSGVTLKGKVTITAKSGKLEIPDGAVLENKDINGPEDL >OGLUM09G19510.1 pep chromosome:ALNU02000000:9:22117592:22120318:-1 gene:OGLUM09G19510 transcript:OGLUM09G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nudix hydrolase homolog 23 [Source:Projected from Arabidopsis thaliana (AT2G42070) TAIR;Acc:AT2G42070] MLLVRSHHLLLHRHHHAARLSPRLHRLLLRHPPPLPRAASAASRLRPPRMSSASSSNASSPAPSPPPPVVPKSKIRFCPSCGSPTKLAIPDGDEKMRAVCSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAAEGASRETLEEACADVEILSPFAQLDIPLIGQSYIIFRARLKTPNFSPGPESLECALFALDDIPFDSLAFSSIIVTLRMYLEDVKSGNIKFHYCTINKRIGTGASDLRSFDIDNHLAV >OGLUM09G19520.1 pep chromosome:ALNU02000000:9:22123001:22123573:1 gene:OGLUM09G19520 transcript:OGLUM09G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAAERRYKEEHRKLMAYGMADGWARDLMDKATEARWRVDLGSSVWVILLCVAACLALGVGFPLVDFLVLPSSENAGRIILLVWELIGALIMAYYAWSHYRKRAAAQDVLAKAQDVFNQAGVSWPLPVYFFKKPSTDLYPDNTSPITIRLTVPVPNTDNTSTITSSVDTDDTSTVTSSMNVHPHTLPL >OGLUM09G19530.1 pep chromosome:ALNU02000000:9:22135742:22139130:1 gene:OGLUM09G19530 transcript:OGLUM09G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSSVTPDTKRFTNLYRAWRRSNHEEDPTNISGCSDELKLYYHSLLPPDDEYAEVKRLLTKVAVVRTLPGGTGSAGIDAHLPFVIKQIKALNEYYDCNIPICLVIPWNKSSYARALVESNAPLRAYLDQAKLPVISQSTNLPFNSEYYIGQPWFYSLGNSSLLLSPEGRNHVSDLAKKEKDFLVEVYEGTDYEKSDVLVARNGRLNLIDRESEVLFQGCQYNLTSTKNMWVKIRRLDKQLKQGNIDLKLIPKLKVLGGARTPHLFPGMVVLDYEDIGCAIKVFKKSAAVVVTQSRKIRVSAETFDWHLSMLAKMTSPSKGICLSTLKGLLIFFSLYHLVLGVSDTLIAWKIEDPVRKEWAKLGCWYGALLGYLGILFLRRWHEKRGDASKRKRLRPQNPEHRMNSDGIHLAVAGVVRFLCSGLVNMCEEYHLFVMYIAAVHAVAFLLETVGCLFIEVYVGKGFREPVESF >OGLUM09G19530.2 pep chromosome:ALNU02000000:9:22135742:22139130:1 gene:OGLUM09G19530 transcript:OGLUM09G19530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSSVTPDTKRFTNLYRAWRRSNHEEDPTNISGCSDELKLYYHSLLPPDDEYAEVKRLLTKVAVVRTLPGGTGSAGIDAHLPFVIKQIKALNEYYDCNIPICLVIPWNKSSYARALVESNAPLRAYLDQAKLPVISQSTNLPFNSEYYIGQPWFYSLGNSSLLLSPEGRNHVSDLAKEGRNYLFVAESRWVKIRRLDKQLKQGNIDLKLIPKLKVLGGARTPHLFPGMVVLDYEDIGCAIKVFKKSAAVVVTQSRKIRVSAETFDWHLSMLAKMTSPSKGICLSTLKGLLIFFSLYHLVLGVSDTLIAWKIEDPVRKEWAKLGCWYGALLGYLGILFLRRWHEKRGDASKRKRLRPQNPEHRMNSDGIHLAVAGVVRFLCSGLVNMCEEYHLFVMYIAAVHAVAFLLETVGCLFIEVYVGKGFREPVESF >OGLUM09G19530.3 pep chromosome:ALNU02000000:9:22135742:22139130:1 gene:OGLUM09G19530 transcript:OGLUM09G19530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLSSVTPDTKRFTNLYRAWRRSNHEEDPTNISGCSDELKLYYHSLLPPDDEYAEVKRLLTKVAVVRTLPGGTGSAGIDAHLPFVIKQIKALNEYYDCNIPICLVIPWNKSSYARALVESNAPLRAYLDQKEKDFLVEVYEGTDYEKSDVLVARNGRLNLIDRESEVLFQGCQYNLTSTKNMWVKIRRLDKQLKQGNIDLKLIPKLKVLGGARTPHLFPGMVVLDYEDIGCAIKVFKKSAAVVVTQSRKIRVSAETFDWHLSMLAKMTSPSKGICLSTLKGLLIFFSLYHLVLGVSDTLIAWKIEDPVRKEWAKLGCWYGALLGYLGILFLRRWHEKRGDASKRKRLRPQNPEHRMNSDGIHLAVAGVVRFLCSGLVNMCEEYHLFVMYIAAVHAVAFLLETVGCLFIEVYVGKGFREPVESF >OGLUM09G19540.1 pep chromosome:ALNU02000000:9:22166976:22170654:1 gene:OGLUM09G19540 transcript:OGLUM09G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MPIPTAPAAAPNPNVVVQLFPRRQGLLLPFPSYSSLPSSNRLRFRLHSLPGAASMSAEARVPVAPPAHPTYDLKAVIDLALSEDAGDRVLIFQYESDTLDIILTPSGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKSVDQFLVKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEVWQASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >OGLUM09G19540.2 pep chromosome:ALNU02000000:9:22166976:22170856:1 gene:OGLUM09G19540 transcript:OGLUM09G19540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinolinate phoshoribosyltransferase [Source:Projected from Arabidopsis thaliana (AT2G01350) TAIR;Acc:AT2G01350] MPIPTAPAAAPNPNVVVQLFPRRQGLLLPFPSYSSLPSSNRLRFRLHSLPGAASMSAEARVPVAPPAHPTYDLKAVIDLALSEDAGDRVLIFQYESDTLDIILTPSGDVSCLATIPSDVKAEATFIAKEDGVVAGISLADMIFKQVDPSLKVEWFESDGNYVHKGLQFGRVYGCARNIIVAERVVLNFMQRMSGIATMTKAMADAAHPACILETRKTAPGLRLVDKWAVLIGGGKNHRIGLFDMVMIKDNHISVAGGITNAMKSVDQFLVKEKLALPVEVETRTLQEVKDLLEYAAENNTSLTRIMLDNMVVPLGNGDIDVSMLKDAVELINGRFETEVWQASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >OGLUM09G19550.1 pep chromosome:ALNU02000000:9:22173203:22174018:-1 gene:OGLUM09G19550 transcript:OGLUM09G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPMMRPPAQQPPLQYQMWPPPPPPVMELPVVFVGVKPVRPAWKRVARQPGWKQRKAAASAAAVGARWGGAAAPRNTTSYLIRAKRAGGVASLVSPCPVTPAVLPTPQLSPAREVVVEMAKEKWGVDGYGSMKGLIRLRSQAADAGGLPGEDSGSGESDVEEHVEVERRLDHDLSRFEMVQLPVAAGDCEDDEDDDDGDEARTARLEEENLTLRERLFLMERVMDDLRRRLLAVETLCRDRHRDGCVVDAAGVVAEETVLSESVAGADLA >OGLUM09G19560.1 pep chromosome:ALNU02000000:9:22176357:22183243:1 gene:OGLUM09G19560 transcript:OGLUM09G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKSSNQILQELDALSHTLYQAHTNRRTASLALPRSASEVNGGGADVVRAESRPRSRRLSLSPFRSRPKQDKNAIVDDDDDDDGDDDGDKGARRAPSKSQSFAAVTTPGGEAAAVAGEKKGIWGWKPIRALSHIGMNRLGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKETRDGAMQTMPSRVQQGAADFEETLFVRCHLYCSGGAGTGKPLRFEPRPFLLSAVAVEAPELDFGRSAVDLSLLVKESTDKSQQGERVRQWDMALPLAGKAKGGELVVKLSFQIMDDGGVGLFNQTGAATKINSSSSSSSLFARKQSKLSFSITSPKVSRSKPKLTPTKGSPSPDLRGIDDFKLDEPSLPSLAEAKQEQKEPEPPEPEEKVDDSEFPEFDVVDKGVEGQEENVVEAKGAAEEEAKEEKAAAEEAPTSAAGDEVVKEVVHDSAHAWRINELEAITNQIKALESMMLGDAPAAGKTEDTRDGDAAALDTDEEEVTREFLQLLEQGDGKATLAKSVSSLKSGAKRDTGGAADASAACYISDLGKGLGPIVQTRDGGYLAATNPFDIPVERKELPKLAMQLSKPVILRDQRLPGGGAELFQQLCAGGCEALFEKLAALVGTDEVVGKTAEQIAFEGMATAIISARSAALGASSSAAQTVSLLRTMSSAMSDGRQERIDTGIWNAHETPVTVDEILAFSLQKIEAMAIKALKVQADMADEQSPFDVSPASEKRGGGHLLDAAVPPEDWALACVGADTVTMLLVAQLRDPLRRYEAVGAPSIVIIQAVRIAGNDDDDEPKFKVANMHVGGLRLKSADRRNVWDGEKQRLTAMHWLVAYGLGKAGRKGRTAAAAGKSGHDVLWSMSSRVMADMWLKPLRNPDVKIPLK >OGLUM09G19570.1 pep chromosome:ALNU02000000:9:22182500:22185230:-1 gene:OGLUM09G19570 transcript:OGLUM09G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;3 [Source:Projected from Arabidopsis thaliana (AT2G17270) TAIR;Acc:AT2G17270] MPEMGARGGEAGAARVAKGGGGGGTGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYKGLLPLWGRNLPFSMLMFSTFEHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >OGLUM09G19570.2 pep chromosome:ALNU02000000:9:22182500:22185230:-1 gene:OGLUM09G19570 transcript:OGLUM09G19570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 3;3 [Source:Projected from Arabidopsis thaliana (AT2G17270) TAIR;Acc:AT2G17270] MPEMGARGGEAGAARVAKGGGGGGTGGMRLFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFSGLNILVKEEGASSLWRGWAGKFFGYGFQGGCKFGLYEYFKKKYSDVLVDRNKSTIYFLSSASAQIIADVALCPFESVKVRVQTQPMFAKGLIDGFPRVYATEGLSGFYANHTVDILYRNVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAKNIIDAVKSIGFRGLFTRSLPVRITLVGPVITMQWFFYDTIKILTGLPTSGGLPRELEEV >OGLUM09G19580.1 pep chromosome:ALNU02000000:9:22186739:22187286:-1 gene:OGLUM09G19580 transcript:OGLUM09G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLCVCSCRRPLRLDGLAVSTLDAGGVLNGGRGADFFNGRSDISRCRFRLGDKLLLGGAELVVLDAVIRGRQLHASLLHAGGRRGEKFTGAEMEMEREAASDETIEVDKTESAIRKYRNTLPPPHPNTVPPQERVGTSRYPAMTAVRFYPLNGDDLVPLWHAVR >OGLUM09G19590.1 pep chromosome:ALNU02000000:9:22189622:22190227:-1 gene:OGLUM09G19590 transcript:OGLUM09G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLQSSEHLTAATATAAASGGRGVHTDTFLILAAVLCFLLCVVGLALVARCSRLCNPSSFAVEAEEAMPPAPCKGLKRKALLSLPTVSFAEAAAAEEEEERPECAICLAEFARGDEVRVLPPCGHGFHAACVDVWLVSTSTCPSCRRAIVVLAAPSPAVTAAATDPPPPCCAADAAQASSQPPQPTGASDRGGCRTSVP >OGLUM09G19600.1 pep chromosome:ALNU02000000:9:22193694:22194026:1 gene:OGLUM09G19600 transcript:OGLUM09G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMLVKRERTGTCDEEEESVLGMGEREGGVGVWQRRKRDTNGSGKDNEGKGGQHVRREGGTVWR >OGLUM09G19610.1 pep chromosome:ALNU02000000:9:22196204:22204319:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQFKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFILPLQRAHAFVGGRPRAGLVGKREERRRRGQAPTRVAPWYSLRRLRR >OGLUM09G19610.2 pep chromosome:ALNU02000000:9:22196204:22202279:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQFKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQLFDVGQEECSVIFLWTYLIAAIALTTWSTIFMSILS >OGLUM09G19610.3 pep chromosome:ALNU02000000:9:22196204:22208002:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLAELAGSPGVDAVVFTVFTPSLMFASLAKTVTLSDVISWWFMPVNIGITFIVGGTLGWIAFCDEDGNPFGKDRSLCRSRGLSYSSLSMALGGLFIWTHTYSLMQKAGKMYHKMQFKSIQCPADSDEEHHPAQGHDQVKLDGETAYADEEAALLVSAKLAPEHNEENQMEAPLLTCEREIANKGGFWTNLKETVHQVVEELMAPPTVSAILGFVVGLVPWLKSLVIGNGAPLRVIQESLQLMGNGTIPCITLILGGNLTQGLRKSVLKRTVIITIVCIRYVIQPLIGMAVVHAAYGVGFLPHDPLYRYVLMMQFALPPAMNIGTMAQFVDLPVCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDC >OGLUM09G19610.4 pep chromosome:ALNU02000000:9:22205429:22208002:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEGLQLLETVPNVNLISLFVTKHPEFLLDIIYVTQSDVVRPAGSTQGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLVVIAVLLLETQPDVLRWFLFIIRHPPEFNLHMVYVTQPEIIRYLGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPEVLSAHLSATISTARNSIAQDFSKIAVGSCANVKTDQLNQGGTVESASEAKRRRKPTNLMILARSSPLARAVAPRIRINDGIGPKPPPPGEWLKRTVFITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPVCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDC >OGLUM09G19610.5 pep chromosome:ALNU02000000:9:22205429:22208002:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEGLQLLETVPNVNLISLFVTKHPEFLLDIIYVTQSDVVRPAGSTQGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLVVIAVLLLETQPDVLRWFLFIIRHPPEFNLHMGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPEVLSAHLSATISTARNSIAQDFSKIAVGSCANVKTDQLNQGGTVESASEAKRRRKPTNLMILARSSPLARAVAPRIRINDGIGPKPPPPGEWLKRTVFITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPVCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDC >OGLUM09G19610.6 pep chromosome:ALNU02000000:9:22205429:22208002:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEGLQLLETVPNVNLISLFVTKHPEFLLDIIYVTQSDVVRPAGSTQGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLVVIAVLLLETQPDVLRWFLFIIRHPPEFNLHMGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPECLSLLQRPLVGHHLHRPQLDRSRFFQDCGGKLCQCEDRPAKSGGNRGERFRSETETETNQPDDPRSLLPSCARGLKRTVFITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPVCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDC >OGLUM09G19610.7 pep chromosome:ALNU02000000:9:22205429:22208002:1 gene:OGLUM09G19610 transcript:OGLUM09G19610.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDEGLQLLETVPNVNLISLFVTKHPEFLLDIIYVTQSDVVRYLKFLEVGLLEGLCLLLRCRLLDPKLLCFFPSLDLKLPLVIKYPGISLENGSYELDPEIVRHLVVIAVLLLETQPDVLRWFLFIIRHPPEFNLHMVYVTQPEIIRYLGLRLVRRPRRLRPKLVCFFASLGLELLFVIKHPGIALENGIHELEPEIVRHHVVIAVLLMEIQPEVLSAHLSATISTARNSIAQDFSKIAVGSCANVKTDQLNQGGTVESASEAKRRRKPTNLMILARSSPLARAVAPRIRINDGIGPKPPPPGEWLKRTVFITIVCIRYVIQPLIGMAVVHAAYGVGLLPHDPLYRYVLMMLFALPPAMNIGTMAQFVDLPVCCHCAYDVVDDIHVHPVLRLRVTIKKNRICGNIQLTDC >OGLUM09G19620.1 pep chromosome:ALNU02000000:9:22205360:22206991:-1 gene:OGLUM09G19620 transcript:OGLUM09G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADKWALEKAQALQDLEEEFNQQTAKILTCYQLPKHLRLDLHEQHRNDYMVPDDLRLKFVNAVFEGNPRMLDHEEKLKAQARKEADKFWTEAAGAANKAQALQDMKEWYMQLLVNHAFDIEGIPERIKEAYIREIKLDDEELMFKNHVEKKFGICNHETRLRVRAWEESQQFRIKTMADYWAAKKLHALQDLEKAHIQRFMNILDKIDIPDYVQQAYFQKYKVPDDLRLRYINHVEIKFRRMPDDEEEPPKGYISEDYNKLKAQALQDLEYKFNQQTARILKCYDLPEHIRLGLQEQHCNDYKVPDNLRVKFITAVFKGNSRILDHKGELKVQARKEAEKFWIEEAATEKKTQALQDMEERFKQQFIKLGYARKGIPEHIQEYYLTDCKLHEDTLLKFRNDVEEKFGMRNHEMQLKIRAWEKTQQFRIEMMADKRAAKKTKALQDMEERYIQDFMNIVDKLDVPEYFQQAYFQKFKVPDDIRLRYINDIEEEFRMLGDKEGYKVHIWDSFKKLKSLITCHLLCGRAQLKTRILGKNLISNKK >OGLUM09G19630.1 pep chromosome:ALNU02000000:9:22230044:22232636:1 gene:OGLUM09G19630 transcript:OGLUM09G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLALLLVASMPVVQVLLIGVVGAFLASGYSNILTSSALSDMNKVVFTVFTPSLMFASLARTVTFSDVISWWFMPINIGITFMAGGTLGWIACRILKPPQHFRGMIIAFCSAGNLGNLLLIVVPAVCDEDGNPFGKDSSRCRSLGLSYSSLSMALGGLYIWTHTYSLMKKKRGQMYHQPNSTQCLDDSDEEHHAKKFKANGEAAYADEEATLPVSAKLAQHNEENQMEAPLLSCESKVAKKCSWTTTNLKDTIHHVVEELMAPPTLSAILGFVFGLVPWLKSLVIGDGAPLRVIQDSIQLMGNGTIPCVTLILGGNLIKGLRKSELKRTVIIAIVCIRYVILPLVGIAVVHGAYWVGFLPHDPLYRYVLMMQFALPPAMTIGTMAQLFDVAQEECSVIFLWTYLVASISLTTWSTIFMSILS >OGLUM09G19640.1 pep chromosome:ALNU02000000:9:22236276:22237448:1 gene:OGLUM09G19640 transcript:OGLUM09G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C0] MVAAAAAAEAKAAIGVATPTPTAAPCGSTTTPRDADVDDKMTIVGHPAASLPLETRWPPFPLRRLGGFWMPESLLPAVAALHTSFAPAPDGVLLASFPKSGTSWLKALAFAAANRAAHPPSDADHPLRRRNPHDCVEFFEMRPDEHTGATSDGIAVDAASPPPPPRVLATHLPYSLLPKRITAGDGCRIIYICRDPKDTLVSFWHFSKKMAATMAVDAGAFTFDEAFELFCDGNCTGGPQWRHVLEYWEASRRCPGKVLFLRYEDMLRRPASGLRKMAEFMGCPFAAAEEAAGVADAIVELCSLDELRSLEVNRNGTDVLGLKNESYFRKGVAGDWRNHMTPAMAARLDKIVDDATRGSGLSLANATPSPPMHENEIKGNLTIYHSQNDI >OGLUM09G19650.1 pep chromosome:ALNU02000000:9:22240379:22255305:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQVTPRRELLFLSCLPVTPNCHRVSEMQYGHSDGPRLAFDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19650.2 pep chromosome:ALNU02000000:9:22240379:22255305:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQVTPRRELLFLSCLPVTPNCHRVSEMQYGHSDGPRLAFDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19650.3 pep chromosome:ALNU02000000:9:22240379:22255305:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19650.4 pep chromosome:ALNU02000000:9:22240379:22255165:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19650.5 pep chromosome:ALNU02000000:9:22240379:22255418:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTVMILYGSSDMQRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19650.6 pep chromosome:ALNU02000000:9:22240379:22255418:1 gene:OGLUM09G19650 transcript:OGLUM09G19650.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6C1] MEEPSLEVKMPEADLKAVKFSLMTSSDMEKLSSASIIEMCDVTNAKLGLPNGAPQCATCGSQSVRDCDGHFGVIKLAATVHNPCFIEEVVQLLNQICPGCLTLKQNGDTKKTDGTTIQTTCKYCSKDGAKLYPSVIFKMLTSPRVTLSRSKLHRNTSVMDKISIIAEVAGGVTHNSKNKAPHETLPQDFWDFVPDDNQPPQSNVAKKILSPYQVFHMLKNLDPELINQDDRTKAYKRMVDVSKRIDDCRQHPQFSVFASSVVTSRLYSRKSDGEDPASPDTYGTKWLKDIILSKRSDNAFRSIMVGDPKINLNEIGIPTDLALNLVVSEQVSFYNFETINLKCNLHLLTKEVLLVRRNGKLIFVRKANKLEIGDIAYRLLQDGDLVLVNRPPSVHQHSLIALSAKLLPIQSAVAINPLCCDPFKGDFDGDCLHGYVPQTLQSRVELDGLVSLSGQMLNAQDGRSLVSLTHDSLAAAHQLTSADVFLQKAEFQQLQLLCSSISPTPEPSVVKFANFQGSLWTGKQLFGMLLPSGMNISFDQKLHIKDSEVLTCSSGSFWLQNNTSSVFSVMFKEYGSKALEFLSSTQDVLCEFLTMKGLSVSLSDLYLFSDHYSRKKLSEEVHLALDEAEEAFQIKQILLNTVSIPNLKHYDGPDNLSNSHGQSDFTQVSLPIIKSSITGFKSVFNDLLKMVLQHVSKDNSMMAMINSGSKGSVLKFVQQTACVGLQLPASTFPFRIPSELSCVSWNRQKSLNCEITNNTSECMAGQNMYAVIRNSFLDGLNPLECLLHAISGRANFFSENADVPGTLTRKLMYHLRDTYVAYDGTVRSSYGRQIVQFSYDTADGMNNDHDLEGEPGAPVGSWAACSISEAAYGALDHPVNALEDSPLMNLQEVLKCHKGTKSAVHTGLLFLSKYLKKYRYGFEYASLEVKDHLERVDFSDLVDTRTQGNPWITHFHLSEETMKIKRLRLGFIVRELIDQYNALRKKLNNTIPSVCISYSKCSVGNECVKNRSCCVTMVAQVESNSTSQLDIIKERVIPSILATLLKGFLEFENVKVECQQDSELVVKVGMSEHCKTGKFWATLQNACIPIMELIDWEQSRPERVYDIFCSYGIDSAWKYFVERPAYSFINAAKRDSVDNLSGALDAIAWGKEPCAGTSGPFKVLYSGKSQKTKQNKNIYDFLHNPEVQALEKNFMDTYKQRTEKPSKQRSAFSSKGNATINGGTISVNQKFLDSKVGIWENIIDMRTCLQNMLREYTLNEVVTEQDKSCLIEALKFHPRGYDKIGVGIREIKIGVNPGHPNSRCFIVQRSDDTSADFSYNKCVLGAANSISPELGSYIEKILSNRAIRPHQL >OGLUM09G19660.1 pep chromosome:ALNU02000000:9:22257198:22258952:1 gene:OGLUM09G19660 transcript:OGLUM09G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRALQRSGSNSLAALLRADPPPNAAIADQDDAKRPGRRRRRRRRSCLRLPLGVGAGGCRVCACDEMDPATAAPRRRAPEEKDDDDEEEEAVPPAALQCFSWKKGAAAARTSGVGDGDRVMVEEVEAAAASLSVLPDDLMEMVLGRLPLASLLAARCACRRWRDLTVAPQFMRMRRVEARPHRTPWLFLFGVEGDGWGAAAAATAVHALDVDAQRWRRVEADGLRGRFLFSVAGVGDELYVVGGRSGDAGSVKTKTHKGVLVYSPLAGAWRKAASMRSARSRSVLGVFEMGTISRSILLARADKHVHRHANTGGGKFRLGGTSAVYEDPHRLSLRRLRLRDVLNDDADSSEFAATDAKVAGQEEERRAQQRLALIAVGGRGRWDEPLVSGEIYDPVTDKWFEIAGFPADVGLACSGAVCGQMFYVYCESDTLVAYHLDKGFWSVIQTSRPPPRLRDYAPTLLCCSSRLLMLCVSWCDRAGNGAASRRERVVRKLFELDLGSRRWGEASSHPDAPMDLNAAFAAGADTVYAVEMFRVFGKVLDFVTACRVSDTDDHRWRRLARNNAAADADAMSSKLKSMAVLHL >OGLUM09G19670.1 pep chromosome:ALNU02000000:9:22261343:22263277:-1 gene:OGLUM09G19670 transcript:OGLUM09G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSAGGASSARHVRELLRRCGSVHRLNQLHAHLVVHGVDDVTSQILASYCALPAGGGVWYARQLFDRIPDPDRFVYNSLIRAYCNSHCPQEALPLLRGMIRRGILPNEFTLPFLLKACARVQAWEHVMVTHGVVVKLGFVGQVFVGNALLHSYASAGSLGDSRRFFDEMVDRNVVSWNSMINGYAQAGNTREACSLFEGMRRQGLLADEFTLVSLLFACSAEGNLEFGKLVHSHLLVRGCRIDLILANALVDMYGKCGDLLMAHTCFDMMPFKNAVSWTSMLCALAKRASIDAARDWFEQIPEKSIISWNAMISCYVQGGRFHEALDLYNRMKLLGLAPDEFTLAAVLSACGQLGDLASGKMIHDCIRDNFHNPGVALFNSLLDMYARCGQVDTAISLFSEMPSKNVISWNAIIGALAMHGRAQDALMFFRSMVSDAFPPDEITFVALLSACNHGGLLEAGQYYFQAMRHVYNVKPGVEHYACMVDLLGRGGQLAKAVDLIKDMPMRPDVVVWGALLGACRIHGHVQIGKQVIKQLLELEGMSGGLFVLISNMLYETHQWEDMKRLRKLMREWGMKKNMGVSSIETNSNIHESGAEGIGHESSDDMYVGDDRLPHHLVFPNALAVPPDQLNVEERKSILKTS >OGLUM09G19680.1 pep chromosome:ALNU02000000:9:22261889:22266585:1 gene:OGLUM09G19680 transcript:OGLUM09G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAGRWREHRRGDSKRNLPTGRAKLEERPMGFHLLAHMRDRERGKREEKTRPRRRRQEDEGVGQARLPEAGGAARRRGRVPRGDDVRRAPVDQEQRAAADQGADGSPPGLHQLQEAKLVARNNHPWFPIIRSMRISLCVFVCNLNRIGDETKGGWVEEVGRARHVFGEIPLRTPLRVQDFAELMLMILHKKIALLLSGVISCVAAADTQFIK >OGLUM09G19680.2 pep chromosome:ALNU02000000:9:22261889:22268130:1 gene:OGLUM09G19680 transcript:OGLUM09G19680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGAAGRWREHRRGDSKRNLPTGRAKLEERPMGFHLLAHMRDRERGKREEKTRPRRRRQEDEGVGQARLPEAGGAARRRGRVPRGDDVRRAPVDQEQRAAADQGADGSPPGLHQLQEAKLVARNNHPWFPIIRSMRISLCVFVCNLNRIGDETKGGWVEEVGRARHVFGEIPLRTPLRSAEQQMCAVFCRLLAVSQKIPLCTVLLQQQGNQQ >OGLUM09G19680.3 pep chromosome:ALNU02000000:9:22263732:22263925:1 gene:OGLUM09G19680 transcript:OGLUM09G19680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRISLCVFVCNLNRIGDETKGGWVEEVGRARHVFGEIPLRTPLRVSVSVNGLLGTVTNV >OGLUM09G19690.1 pep chromosome:ALNU02000000:9:22265018:22267694:-1 gene:OGLUM09G19690 transcript:OGLUM09G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPPPPPCSVRAAGSNPIGCLEVAEPWSGAAPPALPPLPGHLHVAAPAAEDDDDALAAAAAAVPSEQRVHDVVLKQAALAAAAPEMRRPAQLAERERVAGGLNAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHMSALALDRWESRLDDIFAGRPYDMLDAALSHTVATFPVDIQASTTNTMKSQEYSQYGYISFIIMSFDELYLYCYYVAGTVGLMTVPVMGISPDSRANTETVYKGALALGLANQLTNILRDVGEDARRGRIYLPMDELEMAGLSEDDIFDGRVTDRWRCFMRDQITRARAFFRQAEEGASELNQESRWPVWASLLLYRQILDEIEANDYNNFTKRAYVPKAKKIVALPKAYYRSLMLPSSVRHCSSLTSS >OGLUM09G19700.1 pep chromosome:ALNU02000000:9:22270617:22279673:-1 gene:OGLUM09G19700 transcript:OGLUM09G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 [Source:Projected from Arabidopsis thaliana (AT1G75010) UniProtKB/Swiss-Prot;Acc:Q6F6B5] MAASLRGLALSPPPLVAPPTCAPSRRLAPSPRSRSGYGVRAAAAADGAPRPSVPVEVVGVGSRKDAVIDFCLGSRTLSSTPIRFWTMHVVDNCTVQLIQKSHGEDVVVRDLETPLSLQPCPPAVASAGQDADHITAMELLSEVKSAGKLAASIFLKPFCFEGQRRQLEATDLIDKLQMCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGLNQTFRSSINAQIMEVHPDELGQLLRSYGEARIGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSITSSRIFSESDMVSTLHIFHRVTGFTEDIIFSRNCEPDLEPKLIVVSLLTVRNSTDENVASVKEGFLSGLALHFPFISSLMGGDIPEQKQATLKHSYSKLPSSGLSLAEQEFSRLSSAFTNVVVNNLFPEETDIMKSERESKEKTQTQSQEAKIEPDGEISKDREREHLDSEQEHKFWSNSPGIGIAQLWAKVRMASDGGTQNNDINIITLPVGVKSPEVQCGADTRPEAHSGSSSTSAASGHAAFGVSFSDIGLEKVTEMYNSAMTFLKGGMDRSRKRGSVANRAALMLDAERELEKTWSPIVEIQFGGGIYRGRCQEGVPEGKGRITFSDGSFYDGLWRYGKRSGLGTLYYSNGDVFHGTWRDDLFHGKGWYYFHSGDRWFANFWKGRANGEGRFYAKDGSVFFGNFQNGWRHGEALLIDANGSSKCHDHNTFRNSGQKSDINELMCDCLTHHVAVYRACDSRVNK >OGLUM09G19710.1 pep chromosome:ALNU02000000:9:22292914:22297960:-1 gene:OGLUM09G19710 transcript:OGLUM09G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAPFFGLGDTQMPPPQNPTNPALHHHPNPSPAPVAAAAPAPKKKRNQPGNPSKYPDAEVIALSPRTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIGAGVYGGAGNMTLGLTGMAAPQLPAGFPDQAGQPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSGNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIVTSSGLAGNHGGGGGGFPSLYNSSEPAGTLPQMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGISAGEGPANERSSYQNLIMGSMASGGGGAGFAGSFSGASGFGGAVDDGKLSTRDFLGVGVVQGISGSAAMGPPRHGAAGLHVGSLDPANMN >OGLUM09G19710.2 pep chromosome:ALNU02000000:9:22292914:22297656:-1 gene:OGLUM09G19710 transcript:OGLUM09G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPSCVHHDPSRALGDLTGIKKHYCRKHGEKKWRCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARMPPIGAGVYGGAGNMTLGLTGMAAPQLPAGFPDQAGQPSASAGDVLRLGGGSNGASQFDHLMASSSGSSMFRSQGSSSSSFYLANGAAHHAPAQDFGPEDGQSQAGQGSLLHGKPAAFHDLMQLPVQHQQSGNGNLLNLGFFSGSNGGVDQFNGGAGNGGQGSIVTSSGLAGNHGGGGGGFPSLYNSSEPAGTLPQMSATALLQKAAQMGATTSSYNAGGAGGASSLLRGASSHGISAGEGPANERSSYQNLIMGSMASGGGGAGFAGSFSGASGFGGAVDDGKLSTRDFLGVGVVQGISGSAAMGPPRHGAAGLHVGSLDPANMN >OGLUM09G19720.1 pep chromosome:ALNU02000000:9:22298071:22298990:-1 gene:OGLUM09G19720 transcript:OGLUM09G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATCGPNPVVPTVLRGHCDPASTPRFAVSLSLKRPEERQIDKQCQPCRLLCDLNWTELIRWLSLT >OGLUM09G19730.1 pep chromosome:ALNU02000000:9:22309767:22310163:1 gene:OGLUM09G19730 transcript:OGLUM09G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMADLLHNQLAPPAISMRGLFRAGSRGRMEEPILCSRARDDTPLVFLVLAAAVFHESSSYKFQRAAHRVQAKASISNSAFLQYYVEPVRRMAWEG >OGLUM09G19740.1 pep chromosome:ALNU02000000:9:22312946:22314932:-1 gene:OGLUM09G19740 transcript:OGLUM09G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMLQWNDGLSGEADASGSTALHFAASAEGPEIELEKSSLLRRLALRWPCSRNGRRRRRTSTQVLLKADPSLACRPDSNGEYPIHVAASMGNLKLVALLLHRCPECAGLRDARGRTFLHVAVERGREEIVGFATDDRRRRDGSQLATPILNAQDDDGNTALHLAVASGVLNVFCYLLRNRRVCLDLANNDGLTPADLSRCTIPAGLNYKTSLVVAKALSGNIRRDHFQQQYVPKLDEIAESKKMTESTQILGVGSILVATVAFAAAFSPPGGYAAGDGNNNNGRGNVVVVAGSPALSGRYAFDAFMYAVTVAFTCSMLATFSLIYAGTAAVDWKIRHRYFKHSLSWMRKSTRSLLVAFALGVYLVLAPVSRATAVGVCVFTAGTLLFRNREMVRMLICAYVLQRRMGITVLAKIGVPIAVDLVKSNLVYLVIFGGPLCTPLCVLFFVWRLAPVIMRYVHRKLI >OGLUM09G19750.1 pep chromosome:ALNU02000000:9:22336555:22342088:-1 gene:OGLUM09G19750 transcript:OGLUM09G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRLLRAARRGDFAGLEALLLGAAAAAAPNQVAIDVVVVHHHGAPAAPGQQAPEAAPVPHLLDAAATTPQGDSALHVVAASGDGEGFLRCARAIYRHAARLLDRPSASGGGGDTPLHRAARAGNAAMVGCLLDMARQEEEELAGGTGGSRVAEVLEKRNARQETALHDAVRLGDEQLVRHLMSVHPRLARVPAPGGGMSPLYLAVSLRHDRIAEALHQQGGDEVSYSGPAGQTALHAAVLRSAEKILEWNKGLAGEADASSSTALHFAAASPENNPETDSSSLLRRCLRSPSSHGRRTPTQLLLEADPSLPFRPDGDGEYPIHVAAAAGNLRLVALLLDEHCCPECAGLRDARGRTFLHVAADRGRQEVVGFAADDKRAVAASILNAQDDDGNTALHLAVVAGDLGSFWCLLRNREVRLDLANNDGLTPVDLSRSTVPAGLYYKTSARTWILWSLVESNALGSNFRRNDHFEEEYVPKKDESAESKKMTESTQMLGVGAVLVAAVTFAVAFSPPGGYGGNGGGAPALAGQYPFDAFMYAVAIAFAYSMLATFSLMYSSTAAVDWKLRRAYFERSLAWMRQSTRSLLVAFALGVYLVLAPVSRTTAIGIMVSASGTLFLRNREVLRMLMCAYVLHKRMGIMVLARIGVPMAVVQLQSSLIFVVIFGAPLCPPLCLLVFVGKLVHEDVSFLRYFYDILDALLNPTLPTPQGV >OGLUM09G19760.1 pep chromosome:ALNU02000000:9:22348816:22349922:1 gene:OGLUM09G19760 transcript:OGLUM09G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLRPGGARRRCQLLDGDTAAFCASLVDGLAQLESTLLREEDDGDGGGGGGGAVSMRWCADAMRLVKRMQRELLVMFKKADVPVGSAVSYGGGGGGGDGGGCWFEHYMQETAALLDFCNAFKSAVSRLHRYCMVVDFAAQVGCAGAGAAAENGGVPGGWWLEEEPGGDDAGAIRHRLSDVRAAVSEAERLGRKIMSSSSGGGGAGDDDAGGMVVVMLVAKITMAVVSMFVLQALTSPIVPLAADVDDGHCTLGRAAAVPFPELQPWRESLSVITDRFPRRPGVAEHERVAMVVKSMMINTKMEGEEETKNGKQEQEDDHVELLRTRSGELREGVEMFDCVLDEVFDEVIKGRNEMLGIFRDKALTLG >OGLUM09G19770.1 pep chromosome:ALNU02000000:9:22350316:22355100:-1 gene:OGLUM09G19770 transcript:OGLUM09G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDYRGGHHHALPEYHRPLPHASKPSRIRRPGKPARRRSPAAAAAVASALLLAGVFLLSRRLSRQPAEISQDLGGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGASGNNAGVSDKVVTSDPAVEEKGLTMDTGGAADKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGNSSHESTESKENIAHDSTGNKESIALERRTETGAGISDGVDVIDAANVNQKKVSATGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLRTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKLAAIEHSFNNEEVTI >OGLUM09G19770.2 pep chromosome:ALNU02000000:9:22350314:22355100:-1 gene:OGLUM09G19770 transcript:OGLUM09G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGGFFDYRGGHHHALPEYHRPLPHASKPSRIRRPGKPARRRSPAAAAAVASALLLAGVFLLSRRLSRQPAEISQDLGGGGEGLPEWNRSKELKFGHGGGGRSAQDSRYWDRDDRRRDEDYSEDEKEKISGASGNNAGVSDKVVTSDPAVEEKGLTMDTGGAADKEAAEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGIREVDPDDEYDDGIDAQDDLDDAQSHSSDGGRKLGNSSHESTESKENIAHDSTGNKESIALERRTETGAGISDGVDVIDAANVNQKKVSATGDKKHASKKKSKRKKTGSTCEMRFLNSTAQLVEPAKNEKFASFNLEYVEVEDKPLGSEYWEPRFAGHQSLQEREESYLAHDQQLNCAFVKGPNGTSTGFDISEENRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEITLRTLESEGQKMDSSGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQNDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTKFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYLKLRRMNPEKPFRLNMFKLAAIEHSFNNEEVTI >OGLUM09G19780.1 pep chromosome:ALNU02000000:9:22374056:22379487:1 gene:OGLUM09G19780 transcript:OGLUM09G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKQGGRMGKGKGKGKEKEGDINCFGRSFFRVLLTLQSLERMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKWSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPKLSSKYTGLRSENQMV >OGLUM09G19780.2 pep chromosome:ALNU02000000:9:22372656:22379711:1 gene:OGLUM09G19780 transcript:OGLUM09G19780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIGCSEWKEEERKKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKWSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >OGLUM09G19780.3 pep chromosome:ALNU02000000:9:22374056:22379711:1 gene:OGLUM09G19780 transcript:OGLUM09G19780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVREKQGGRMGKGKGKGKEKEGDINCFGRSFFRVLLTLQSLERMKIPSSFNQCLQNQPTGMVSLVDRSGNKWSAELTSDSEGFFFVHGWKEFVRDNSIQCGQFLVFTYDKWSQFSVTVFEPSGIDKISTFSAHPSKNVIIKTESDEGGMVTAAITTEKMAPALKENNGITGKRTRDVDYLMEDRVVVFKKSSEANVCESSRRKRAGASAGKSKVTSTSHNSTRGSSCSSDEDNSSSKSPNPPFLMRFLSGEVSRRGVSKGQRQLTVISQRRPVTEAEKDHALQRAREFKSKNPFAVQIMMESYVYVGFFMNIPCEFVRECLPRTNKRITLWDPQGKAWEVNYVYYSDRSVGSFSGGWGKFAVGNNLEKFDVCVFELVQKDNIKVHIYRVVPEITPHKLRSDPK >OGLUM09G19790.1 pep chromosome:ALNU02000000:9:22381270:22381677:-1 gene:OGLUM09G19790 transcript:OGLUM09G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFFPAAMSPRRDHRLGLVGSPPPLLFAAVDDGDDGEEEEKMDLLWEDFNEELARAPPVCPLSPLNIKGGGLTATTAMAKDDGGGGEKQARRMYSGSVVRRRRRWSLLLMLRLLKNLFLAKNTRNNPRTAPI >OGLUM09G19800.1 pep chromosome:ALNU02000000:9:22400126:22405791:1 gene:OGLUM09G19800 transcript:OGLUM09G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6E7] MAAPASAAAAAGLAGMSTDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAVIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCVLCVVGSITIVLHAPQERNIDSVREVWDLATEPGFLCYAAIVVAAALVLIYFVVPQHGQTNIMVYIGVCSLLGSLTVMSVKALGIALKLTFSGVNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMTDSTGPSLPTSRSKSASQNRFSIEVVPLKYRDSVDEETLPLSLPKADNRYLMEDFPVRYKDLNIA >OGLUM09G19810.1 pep chromosome:ALNU02000000:9:22406357:22412010:1 gene:OGLUM09G19810 transcript:OGLUM09G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTWAAVPLWAQLVWAPRGPLPRSGLTSFAFPASAQAAHISFLPRSEIHRRRSKLRRRLHHSHRRVPAASSVSGVNPPPRTPPRLPPPKIQRKPKLQFVIR >OGLUM09G19820.1 pep chromosome:ALNU02000000:9:22407530:22409473:-1 gene:OGLUM09G19820 transcript:OGLUM09G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVISRHRAPASSSSLCLLFLTFLLSVSMAAATEKSPMQLNKAQENIMRDILGLVSSAMDSSLTKSWNTSSNLCEWSGVHCTSAASSSFVTRLSLPGYGLSNATILASICLLDTLHSLNLSRNSFTDLPSQFSPCPMKAELQVLDLSYNRLSSHLGNFSGFHELEVLDLSFNSLNDNISTQLNYLPKLRSLNLSSNGFEGPILTSMVTSLEELVISGNNFSGRIPMGLFRYGNITLLDLSQNNLVGDVPDGFLSFPKLRILLLSENNLTGKIPQSLLNVTTLFQFASNENKLSGSIPQGITKNIRMLDLSYNMLNGEMPSDLLSPDSLETIDLTANRLEGLIPGNFSRSLYHLRLGCNMLSGSIPESIGNAIRLAYLELDDNQLSGPIPSQLGKCNNMVLMDLSTNKLQGVVPDELRNLQQLEVIKLQTNNFSGYIPKIFSGMTNMEVLNLSANSFSGEIPSTLVLLSKLCYLDLHGNSFSGVIPPSISSLQFLSTLDLGNNQLTGTIPTMPTKIGALILSHNHLQGSIPSIIGALSNLLLLDLSDNHLSGQVPSSFANLKGLIYLSLCYNQLSGPMPELPRGVKVDVSGNPGLTICTEDSDSQYNMASTEDDFRSTTWVATVSFIVGFIISFYWAGIRKYCYWCFL >OGLUM09G19830.1 pep chromosome:ALNU02000000:9:22413386:22415269:-1 gene:OGLUM09G19830 transcript:OGLUM09G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSRHHAPDSSLCLLLLLLFLLLGVPMATSTEQSPARLNKAHEDIMRDILSSVGSTKNWNTSSNPCQWSGVHCSSVASSAFVTRLSLPGCGLSNATILASICNLHTLRSLNLSRNSFTDLPSQLSPCPMKAELQVLDLSSNMLSGQLGDFSGFHKLEVLDLSSNSLNGNISTQLSDLPKLRSLNLSSNGFEGPVPTSIATSLEDLVLSGNNFSDHIPMGLFRYGNLTLLDLCRNNLRGDVPDGFLSFPKLRILVLSENSLTGKIPRSLLNVTTLFRFGGNQNNFVGSIPQGITRNIRMLDLSYNMLNGDIPSDLLSPDTLETIDLTANRLEGFIPGNVSRSLHSIRLGRNLLGGSIPESIRNAIDLVNLLLDGNKLVGYIPWQLSRCKKLALIDLSSNQVQGNIPIGLGNLEQLVVLKLQKNNLSGDIPSSFSDMSALEILDLSHNSLTGELPFTNSTQSLKLCYLGLHGNKLNGVIPSSISLLQSLITIDLGNNELTGIIPTNIGTFLKLERLDLSKNYLSGQVPSSVANLERLMCLFLSDNNLSGPLPELPKWVMVNVTGNPGIILDTEENRTSGSMKGSQDDFRSAIWVAAASFVLGFSLSFYWAGPGEKLMPRLEILHCDD >OGLUM09G19840.1 pep chromosome:ALNU02000000:9:22418617:22426326:1 gene:OGLUM09G19840 transcript:OGLUM09G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGADGSEAVLREKRAMLEVIINYNFTVQVYIRMAVTVLAYLTFTWSTAVLLGGYVGSLQKNDFQCLTVITVIEATIRGQQSGGRGRESMGWFDRREDALTEVGGGFWALERVGEGAIHTHTGLFEPFNPRVPYTSTEKRAAFLAVASVVVVPVYAMINILTLFHAFGPFICFGLSSWRLRFRDYGASSGGASLANLTPALNFFYILALCQGVPYYFLMILVESENDFINSFYKLFKLLGERVSKLSEGRISKLSEERDRRSIEKYLQDTRKKCAREPALAERRSLLSFAVELLDSESQEDYLSGARMLETLINDGEDARTLILRSRTKVQRLLDTLGQRSGDDDDVEIRGLVARIVADLADGIRLAHFPGAIWSVSSLLETTGHHALWNNINHQHELSRAESQRIQHIMDGLRIRRAKFMSLFPECLKPIQRMLEWREALIQRMLERQKAGDGGGCNQLTVQGLRILEKLARDPQNRREIYAAPGLLAKITAPLYSFTLIQDIGSNEPWANIAGGSLRAVCRLIHVVPPGRAGRRLRREISTNKHAMINLESILNLESKQLLQMAAIEILTELAVDRSINISSETRENLVRKQLQIFLAEVTVPATSAIKEDKKNAIKTTAGEMLLSILSKSEVISSFIAREHNHIVDRLTRILDGEDNIRYRALSAEILENLCILCKDIVNETLLQKVIEILSKPKREASEITTSAPGDNEGNRGNSSHGDDVEKQCTKQTGQGQKDEQANEDKADMKVKELQQALLSLTLVIHEALVPVVQESAARDAFMDKLKAIVDDNCEQMTPVSLRIVKLCCQIAISRNRYATGEQEEEFLESVSKASKAMGNLESCMIFAGTDSGMEKIARPLLSDLEEKLSELVA >OGLUM09G19850.1 pep chromosome:ALNU02000000:9:22439759:22440292:1 gene:OGLUM09G19850 transcript:OGLUM09G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLNPMDTDGSGSIDFHEFLGLIACAKLKDKY >OGLUM09G19860.1 pep chromosome:ALNU02000000:9:22443357:22443617:1 gene:OGLUM09G19860 transcript:OGLUM09G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGVADHRRIRTGGVSRRREKRAKLEASIKANLTVQVYVRMAGAVMAYLAFTWSSIVLLGGYVSSLQRKDFQCLTVITVIEATM >OGLUM09G19870.1 pep chromosome:ALNU02000000:9:22446259:22446687:1 gene:OGLUM09G19870 transcript:OGLUM09G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDRRGIGCNGGKIVMEQRMGREIAVESAAAVAADGEDLGSVVGWRRPRLRFSAWRRQRGAWRRKKTMTSRVSVDCRGGGRWGLHRLLLRPVAADGGRPQHRRWLGKTSPWFSARWRRWSAPRRRQNGTTMRGGASRVLRG >OGLUM09G19880.1 pep chromosome:ALNU02000000:9:22493232:22500019:1 gene:OGLUM09G19880 transcript:OGLUM09G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRGSGVVAATEEEGNGGGKAEVEQRWWKSIFDELESNGSLKLDVWNIIRERLSTGAGVFQPLAFRVSNNRFDKKAVIDVPTDIILGALVYAIINILTMFCFLGPFVSIGLSAWRLRKRDYGTSNGEASLANLTPALDFFYTLVICQGVLYYFLTSLVSLEDAVVRLFYKLCKFPEEWGRRSVANYLQDTREKCTRDPVLAEGRSLLSYAIGLLDSESQEEYLSGARMLDILINDGEDASTNILRSRPKIQRLLDKVGWRRSCSNTESESESGDKDAEIRTLSARIVADVAGGIQLAQLPGAIWSISSLLETTGQPLWYNINQHELSPAETSTQERDRMRADDMVKLFPEKFKLVVECVLRKVEEVSRMPRIMLYSLQERMLLVHILPTRKKAETGRSQGAGDDVKQNEVVNSRQEIGGCNELILQGFRILERLASDPHNCRDICDTPGLLVKITAPLYSATLIQDIANNVSWAGVVNESLRALQRLIHVGPGTSLRHDILSDKQAMSNLESILHLRCEAAEGVCQELQMQAIEILTQLVLHSSSANIISETRIMSLVKKQLEIFLPHGGEVTADNKSKSEAADNKRTLKATAGETLVSILSKCGTISMFIIKEHNDVIDRLTGMFGAKCNIRYRILSANILENLCTRCNEHVNETLLQKVLNYILKPPTTEASESTTSAPGGNVEIPKNNSQGNDVENQMQSSKENAQKTHAKASQGKKGDQKANVSSKNKDQQANVSSKKQDQQTNDSSKEEDQHANEDGKADMKELLEAQLSLTLVLREQLFRAESSTPVIQENDPDDEFVKKLRTILLILGLVRINTEDFRFPKSGVYTNINSILSHGCIRQSTDFPIWITSYVILIN >OGLUM09G19900.1 pep chromosome:ALNU02000000:9:22507296:22509309:-1 gene:OGLUM09G19900 transcript:OGLUM09G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLPKRDANYVPLSPITFLHRAASVYADRTSVVYGATSFTWRQTHHRCLRLAAALQSLAVSKNDVVSVIAPNTPALYEMHFGVPMAGAVLNAINTRLDAANVAAIVRHAEPKVLFVDYQFIRVATDALKAVMGDGDGDGGEVRAPLPLLVVIDDVDKPTGARVGELEYEQLVARGDPARYPPRPVEDEWDAVALNYTSGTTSAPKGVVYSHRGAYLNTMGLLLQWGVGHEPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRAPTADAMYAAFAAHGVTHMCAAPVLFNILLDACREPLRRPVEVLTGGAPPPAALLERVERLGFHVTHAYGMTEATGVVMVCEWREQWDALPPSERARLKARQGVSALTLADADVKDLKTMESVPRDGASMGEVVLRGSNVMKGYFKNPRATADAFRDGWFLTGDVGVVHPDGYVEIKDRSKDVIISGGENISSVEVEAALYQHPAVWEAAVVAMPHPHWGETPCAFVALKREFAGAGEVSEQEVVSFCRNRMAHYMVPRKVVFVDELPKNSTGKVQKLALRDMARRLRLRAPDKARPVAAPARHGPLTQTARL >OGLUM09G19910.1 pep chromosome:ALNU02000000:9:22519486:22519906:-1 gene:OGLUM09G19910 transcript:OGLUM09G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPELDVTIGLGRGPGLYGGVELCLCARNVEARPPVIRRSWNKTFLRELVDVHSSDNQAGNVVYLGNGRFCICWVTGVEHDRPETYGMAVRRFAGGELQLTKRGKLRYHLMSPHGRCFCFVQPQIP >OGLUM09G19920.1 pep chromosome:ALNU02000000:9:22519938:22520144:-1 gene:OGLUM09G19920 transcript:OGLUM09G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLPGGGGSWRVILVLEPPLGGSGGDYCRKPATLVTAYVTIGRHAWVSIAGEGTFSGCRGHSVVAER >OGLUM09G19930.1 pep chromosome:ALNU02000000:9:22524726:22527495:-1 gene:OGLUM09G19930 transcript:OGLUM09G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFGHRANAVATFAVTILAAMCFAASFSDHFNSPSPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETPQNALNQVSLWDGIIPSKEHAKFLIHTTNKYRFIDQGSNLKGKDFNLTMHWHIMPKTGKMFADKIVMSGYRLPEQYR >OGLUM09G19940.1 pep chromosome:ALNU02000000:9:22528342:22529655:-1 gene:OGLUM09G19940 transcript:OGLUM09G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKLPLIFALLSSATLISVAPPVRAARLPSPVRVRGGRDFWDEIRRGLDRLGGRRDYPNEGQSNITRRGLSSSSTSGDGALYVSLGIGTPAMNVTLVFDTTSDLLWTQCQPCLSCVAQAGDMYAPNKSQTFANLTGRSYNYTYSKHDFTSGYLATETFALGNNVTVANITFGCGTRNQGYYDNVAGVFGVGRVGGGGGGGGVSLLNQLGIDRFSYCFSSSGAPGSSAVFLGGSPELATNTTTTPAASTPMVADPVLKSGYFVKLVGVTVGATRVDVAGASAEGGGRALVIDSTSLVTVLDEATYGPVRRALVAQLAPLKEANANASAGVGLDLCFELPAGGATATTVPNVTMTLHFDGGGSGGAADLVVPQANYLVFVKDSASGLLCLTMMPSSSNGVPVLGSWALLDTLVLYDLAKNVVSFQPLNCAAFLAATG >OGLUM09G19950.1 pep chromosome:ALNU02000000:9:22530612:22535093:1 gene:OGLUM09G19950 transcript:OGLUM09G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPSLKKKSGGGKSGEHGGGGKPALDRSGSKVLDGDDTIFTDMAQELKEEGNKLFQRREHERALLNYEKAIKLLPRGHPDVAYLHSNLAACYMQMSPPDHYRAINECNLALDASPRYSKALLKRARCFEALGRLDLAYRDVAKVLAVEPNNLTAIDVGDRVKKAMDEKGIVMDDKEAMPSPEEVVAAAPKQKPRKKKGRKAAAKAAAAAVEEEEEAKVVEPVKEVEEPPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAEDLAEPGSSLRLYVTEANPEHEPYLDDTNSGPLERNVNSDNGSTRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAESNFQEMAALAFFHWGNVHMSRARKRLLLPGDSPQESVLEQVKEAYEWAKEEYNKAGRRYEEAVKAKPNFFEGFLALAHQHFEQAKLSWYYAIGSSVDLDTWPSSEVLELFNKAEDNMERGTEMWEEMEEQRLKNRSKPSQENVVLEKMGLDEYIKDVSTDDAAEQASNMRSQINILWGMLLYERSVVEFKLGLPMWEDCLMAAIEKFKLGGASATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPQLHTALEHI >OGLUM09G19960.1 pep chromosome:ALNU02000000:9:22537001:22540386:1 gene:OGLUM09G19960 transcript:OGLUM09G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAVCGTERVAEVSASASAVAFVAFAAAAAERFLAVLALAMEAVNGGADIFNEDQHAIYKLLGRIKSESTPENKDGSDDDDDDDEDDDEDDEGGDDDDAEEDFSGDEGGEDEDDDDDDPEANGDGGSDDEDDDDDDGGDEDGEDDDDEDDDDEEDDDDEDQPPSKKKK >OGLUM09G19970.1 pep chromosome:ALNU02000000:9:22538987:22546234:-1 gene:OGLUM09G19970 transcript:OGLUM09G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVKEGEGDVAAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDIISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESSRLVLVVIIFLIIIILIIIILTIFVTTIVVIIIFIIAASVTICLRVIIIIIFILTPFIAREVLLGIIIITTFIILVVIFIIVVVII >OGLUM09G19970.2 pep chromosome:ALNU02000000:9:22540256:22546234:-1 gene:OGLUM09G19970 transcript:OGLUM09G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphate transporter 4;5 [Source:Projected from Arabidopsis thaliana (AT5G20380) TAIR;Acc:AT5G20380] MAASASASALQAERCLLVGVGAGPRRHRLPLRMPPPLHAPPALLLLPHRRRRRWPPAVRASPGEGGGGGGGGGGGGGLAGALEKRPVMGVVAEEEDGEERGAKVVKEGEGDVAAAAAALEMRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRRVLEIGVVAWSLATAIIPAVAGSIPVQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCLGFQSLKEQQLRGNEDIQVIQDLGQSPSGSSDIISSSVSPKSSESSLGELMNSLKDVPWREFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSEELDLNLTEAAWVSVLPPLGSMIITSIAAPFADNLISNGVDTTKVRKICQTIAFLSPATFMMLSSVDLGVPPWEIVAFLTSGLALSSFALSGLYCTHQDISREYASILLGITNTVGAVPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQEFSKSEPESS >OGLUM09G19980.1 pep chromosome:ALNU02000000:9:22546534:22552399:-1 gene:OGLUM09G19980 transcript:OGLUM09G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT2G31170) TAIR;Acc:AT2G31170] MAAARRAAGLLPLLLSSPSRARLPHRQALALTPPLLRPHRLYSHSPKPSSSAAFSASASASNGAPAGRARELHLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLHCLPPSVEPRVSDHIDQIINMIKQIIDNDCAYAIGGDVYFSVENFPEYGDLSGRKLDDNRAGERVTVDERKKNPADFALWKAAKDGEPSWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSKAGDPLPVNTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGPIATEVG >OGLUM09G19980.2 pep chromosome:ALNU02000000:9:22547113:22552399:-1 gene:OGLUM09G19980 transcript:OGLUM09G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteinyl-tRNA synthetase, class Ia family protein [Source:Projected from Arabidopsis thaliana (AT2G31170) TAIR;Acc:AT2G31170] MAAARRAAGLLPLLLSSPSRARLPHRQALALTPPLLRPHRLYSHSPKPSSSAAFSASASASNGAPAGRARELHLYNTKSRRKELFQPRVPGGEVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDHKVRYVRNFTDIDDKIIARANQLGEDPFSLSKRYSDDFLSDMANLHCLPPSVEPRVSDHIDQIINMIKQIIDNDCAYAIGGDVYFSVENFPEYGDLSGRKLDDNRAGERVTVDERKKNPADFALWKAAKDGEPSWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSINYWIHNGFVNVNSQKMSKSLGNFVTIRKVTELYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLQDCEESCQQHQSKAGDPLPVNTTNCIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLSAMEEKIRMVLSVLGLLPSSYYEALQQLREKALRRASMTEEQVLQKIEERTSARKAKQYEKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEQGVVAST >OGLUM09G19990.1 pep chromosome:ALNU02000000:9:22556444:22567550:1 gene:OGLUM09G19990 transcript:OGLUM09G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6G7] MDDAWPARWPPPPPPPAPAPATATATATPLPSQIDSASLRHILRPFAAQGAAAPEQLAASHPSSQYGQPARAAPSTSLLAQVAGNHPHATHVSDRKALFGMLNAGNAANVIDLTRASPLRGAEPLPKHPRHGLEASSSVEQPSCLGALFQNTSANVQGSFPGECSVNNGISQGAIQFQDSSACAVQKLPSQSTPRHHPALLGDQIRVSCLNVGGEFFVGEAGIFGVRCFCHRLRMSVAKFCEHSGGPAEKAGEIVIMDNGMTIVQWLKYCMGVGASISDTKWDWPEWAYMRYSSEEYWTKSLLTTNNNMEKTGLFSGHGKSTGHINNPVYSSDIHNEVGRFTSVEKLVNKPDETFYRKSVGLHEAFSKNPAIQQSSKINLANHMIHDMNMNSISRPSERTYSTANMGITYSRNHLAHDYANFLEKNLNNLSRSPVPSSTRVLSNDSRACMPDVPHKIIQDGSGRASNTELKLGQSSYHQSMATLFPSVQSTIIEFQKPQHHLQFTTSNAYPKQTTKANKTIENIEPSFGNRKRSLDVSNGTSHSELNEITDDAAKNSFISLFLSHLERNSTSESIDDVLNSNEHYLLKAPDVAYSSDRLKTASPQVETRANDNQLKLAPAIIHTKRISDSRSLPVPVASKGYVHQDVLHANSQEPSINGDCLPHLLPSQPNAGISKICAEVSSPVNCRCCNHVDDKSHLAHSETGAPCFYDRTARRYISFECADDLCTHKSLRATNYQCGRAFCSTANEFLPSFGQNDQSPIGKSMHRCCCKAQEDSSKLGFRAGNFCRSHFCNDGTPVPAHRSIVEGLDEVRTRSTFIPRSSLCSRELMLQSCCHACPIDGYYRSSMGHTANSLTKNTLLDAPNNTECSPYRDGKCCCSLAPKCFAGYGFTKHCVARIDQTDHTVQKSKDDGMQAAARCCTLGESEKLICQCSSEIIARKSDSKASFRNEVSTEVLNRPCVPTLQQLKNVTEASAVGGHWPYETVKEKASACRDSGIFKELKSGFSSGFSSDVVTKFSASPELNKYGLEHKNLVFDEGSRIEKCSSSSYLPISTGCEEAQNSFSRFHLEPSLVKHKNNQISEGSTRKEHENEGQCSEMSKKTRTLRCCANKSESDDCTRKIDLSSREGDSQPQHKAGPFSRRVSKTKRKHPPTHLNKHVKRLHSNCKVLNVDNERSDDEGIYVGESNSSDRKKQEDNMTTLDRTKCQQQGSRLLVRKLPKYVSLNCIVNETNSEDACSGSASIDSSLIATGITNDNRKSPKIVPLNLILKKAKRCHAIKPLSKTENIHFSEEKSSDGSADKSSSGDRSFSPQDELWSPKKNRYSSNVSRPHVKTDCQSPCCVLEEDEPLSLADMGTSQLSASRSRGWRGVKNLQMNQQAVLAAINTLRFKPVYIQRPSLDASCCVCGISNLEPSNQLIECSKCFIKVHQACYGVLKVPRGQWFCKPCKINTQDTVCVLCGYGGGAMTRALKAQNILKSLLRGIATAKRSDKYVYSSGNVNSECTSKLHGEYVRHDSFNGHRSRSFNAISSFGIKEASIGSARGDIISKSWTSNRNSSLLGPRTRQWVHVVCGLWTPGTKCPNTITMSAFDISGASLAKRNTECSMCNRTGGSFMGCRDVNCSVLFHPWCAHQRGLLQSEPEGEHNENVGFYGRCLDHAMLDPNHVNPKKECLRSNDWTCARTEGFRGRKGDSFGANRSRKPEEKFGECSVSQEQINAWIRINGSKSCMRGQEYVHYKQLKGWKHLVVYKSSIHGLGLYTSEFIPRGSMVVQYVGEIVGQCVADKREIEYQSGKRQQYKSACYFFKIGKEHIIDATRKGGIARFINHSCQPNCVAKIISVRNEKKVVFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRGCRRYLN >OGLUM09G20000.1 pep chromosome:ALNU02000000:9:22567667:22569589:-1 gene:OGLUM09G20000 transcript:OGLUM09G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYTYTPTYYSGLHDTIASLCKSILPFGGFRSGRRLTADQAAARRHADALKWQQESFHRILHLSALHREGIVPPSDVDAFRADMLATLAAAPPPPAHPDQPAILRDKLLFLQELLYAKCISAAEYNSTKSPLVQRLAAFGVVVDCPDADVGDGGAASAAAPASSSSMEEWSEIDLRDPPPAAAASDKPKHKAFVPPWKSRGKKELDASRPPLSPVDQNNSKNAPSVLMAESSPSEAMPTAKTDKGKRRHLTAMFHNGGNGSENKEPPAASMEGTDQEKDASKSKKKSSWGFDGLKKWKKASNDEATAGGERPEHAAPRSSYSECRLEASPAVAKDAKRAKKKLNTATGDDDSASDLANDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTRLPVDKSDLKTFFPKAWCDEHGDNVINVAKKEFKEHVEEMEKQRDITGGGDGWVAFGDSHDENFNPRAFSQHQAAVKGNVHDSLSSSQHFTNPFYDEKNPFLTPSYD >OGLUM09G20010.1 pep chromosome:ALNU02000000:9:22571178:22585805:1 gene:OGLUM09G20010 transcript:OGLUM09G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVFPYRWTKTKHLTERPPTHGHPPDAHPWIILDVRAYIADRQNATTATTSLTSGRKLQITICSAPPPLVSYVCAWSPTADPALVFAKEPVVACVNADLVFLRVHSDQVYHLVYHAGGGGDSRRRRPSLTLVRHPDRPFHRCHYLSELGSIAILSHRRAGAGDDHDDDSTSFYVCSLDQELWYGLPGQRGHFKLCLYDSIDGEWSQETLRLDQLRNPQDKDTVFHYTEKVITLHDEQVVAFVDLWRGMVICNVNDGTKHEGSSYVPLPQDIINLDMINNGLIYRDIAVVNGRLTVVRLRSWLDSGCLSWDLTTWSKKAVTACLDEEWREDFVVDSDDILVDEATCNNVELLPKLNGLPAMDKLRIARPMLSLMDSHVVYIMGKVNLSGEKAVVLTVDMANWRLQGVSLRDAERIVYDDNGCSYKQSTISQYFTTSAAMATAFPYSFTRTKHLTLCPPSSHGGDAADHGPLPWILLDVRAYIADRRNSTTATIVLSNGRKIQITFCIAPPPLVSYICAWSPATDPAVFFAKEPAVGFVNDNLVFLRVHSDQIYDLIYHASSRPSLKLIHNPYSPYNPYDYLRRNDNVVILPDRRHAAGADDDDNNSGHFYVSSLDRDRRFDIGHFKLCLYDEDDSMDCKWSNNILLLDQLRNAPDKDIVLHLTEKVLILDDEQPLVAFVDPWRGMVICNVLDNSSTPGGSSYMPMPSEIFNIHNTYTSSISRDIAIINGRLTVVRLCLYLDSDDDSDDDDDDDCCAWDLTTWSKPVTCLEDEWREDLKIKSSDVSIDDSTRNACLLPKLDDGCPTTETLQLAHPTLSLMDAHIVYIMGKVDVSDEKALVLTVDMANKRLQEVSMYDAERIVNDFDYAYTHSTISQYFTTAAAAMATDFPYSFTRTKHLTLSPPSSHGGDAADHRPLPWILLDVRAYIADCRNSTTATIVLSNGRKIQITFCIAPPPLVSYICAWSPTTDPAVFFAKEPAVGFVDADVVFLRVHSDQIYDLVYHASSHPSLKLIHNPYSPYNPYHYLRRIDNVAILPDRRRHAAGGADDDDDDNRDDSMDRKWSNTILLLDQLRNAPDKDTVLHLTEKVFILDDEQPLVAFVDLWRGIVICNVLDNSTPVGGSYMPLPPELIDVRRT >OGLUM09G20020.1 pep chromosome:ALNU02000000:9:22576150:22576347:-1 gene:OGLUM09G20020 transcript:OGLUM09G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGAGAVSGGLLTMGSAEEIEAVKQHPSSGDGVAGGSEVWTSASAVVETGPLRRNSGGGGPWPK >OGLUM09G20030.1 pep chromosome:ALNU02000000:9:22585998:22588958:1 gene:OGLUM09G20030 transcript:OGLUM09G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESSDVSIDNSTRNACLLPKLDDGCPTTDTLQLAHPTLSLMDAHIVYIMGKVDVSDEKALVHTVDMANKRLQEVSVYDAERIVNDFDYAYTHSTISQYFTTAAAGLKRNLKRPLKFQMQYPHKRQGGPVYRSYGSTQQKRESRQNVQGLQKSNFVRTEFTNRMNQSAKSIDLNSTMTLRWMRGPCHARVTRRGGRSWGSSVAGNPFLSKSCFVLRFQLACDC >OGLUM09G20040.1 pep chromosome:ALNU02000000:9:22589065:22591404:1 gene:OGLUM09G20040 transcript:OGLUM09G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDRYMWNKTEHLTLRPPCHGGDPLDAHQPLPWILLDVRAYIADRRNATTAAADLGNGHRIEITIFTAPPPQVSYICAWCPTGDPARIFANEPLVGCVNADLVFLRLYSEVVYDLVYRAGGRPSLTLIRDAVDVDAASLQRDYLRSLHNIALLRRAGAGGGFYACSLDLDIHRVTPSTAAYKLCLYESTIHGDGKWSLESLLLPQLRQMICLRQMNRVITLDDDGQGVVAFIDLKRGIHICNVLAHGCPGSYLPLPPELTSSRMSFFASSLCRDIAIVNGLLTIVGLRTFFDSDTDCWSWDLSTWSKPVAHLDNSKDWQKGFMVDSSDITVDATCCNVELLPKLEGRPAMAKLQVALPTLSLTDANVVYVMGNVDASDEKAVVLMVDMANKRLQMVSVYDAERIINDFDYSYAQSTISQYFTTAAGVKGNLKRPLKFHMQYPHKRLGETISRSDNPIDLHEPLQLDTGSGMGTKDETEDSVIPMDLE >OGLUM09G20050.1 pep chromosome:ALNU02000000:9:22594097:22594951:-1 gene:OGLUM09G20050 transcript:OGLUM09G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVRRALSLAAAASSPAKPSFSTAVAPLRSPMDDRLLRLLRSEINYISERRPPYPPPKAFKSFGVEDRPGEQWVRLRATRGAQDEEVKVDATMFDGTAAPPPDAPLFRRVESLERGPRLHLSLIVEVSRADRVLGFICSAWHDELVVRHVLTLRDADGSSTSSGGRDFVYGSFCDFALWFMCKLEARERESVRKFLQEREVDDELAEFLHDYMANKEKMELLRWLKTVESFVKK >OGLUM09G20060.1 pep chromosome:ALNU02000000:9:22596386:22598717:-1 gene:OGLUM09G20060 transcript:OGLUM09G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:purine permease 5 [Source:Projected from Arabidopsis thaliana (AT2G24220) TAIR;Acc:AT2G24220] MERDQCRDGDGEGRHHHVDQVPASAAEVAAMEETSSEPADRSLRQKPAAMVASSMETYRSKPLSFWLLLVLSAGAMLTAFPASSLLSRLYYNNGGQSKWILSWSAVAGWPLPALLLLPCYLAGKAAPTPLSPNLCAWYALLGLLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGCAIAKNRLRLSSLNAVVVITAGVVIIALDSGSDRYPGITGRQYALGLVWDVLGSALHGLIFALSELVFVRVLGRRSFHVVLEQQAMVSLCAFAFTTAGLAVSGGGFPAMRREAAAFRHGEASYAMVMVWSAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPVTSIAAVIWFHDPMSGFKILSLVITVWGFGSYMVGHSSTKKASTN >OGLUM09G20070.1 pep chromosome:ALNU02000000:9:22600082:22602757:-1 gene:OGLUM09G20070 transcript:OGLUM09G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALRLAVIAVAVGALVSSSSSAAVCPHANHTAPFAADFTMLQHQLRGTVRLADDGSCALRLTRFDLLAASPSARFWAARGDSLADLAAGRAFSPHPLNSTFRNATLLLPFSAPLPPLLALFDPDTSSDLGHVFLPVSASASNATDDSGVASPSPSPEPVPTMFDNCLPLAENKYRLRWTLNASAGTVEIGLEAAVGSEYYMAFGWADPKANSPAMIHSDVVVAGFTEEGMPFAEDYYITDYSECTLGTDESPVSGVCPDKVYDEGKNDSMLVYGHRRDGVSFVRYQRKLDTGDTKYDVLVSATEEMAVVWAIGKLRPPDTLRPHYLPQNHGGPRDTTYGFARVNLSETVDSCNGPLDADNKEDQERIIADAKTPLVVTSAPAVRYPNPPNPDKVIYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGSRDAHGVPATPTELLWLPDRNTPDLVYYQSLYEPKMGWKVQVVDGGLSDMYNSSVLLDDQQVTLFWTLSHDSISIAARGEKKSGYLAVGFGSGMLNSYAYVGWVGNDGVGRVKSYWIDGKSAAGIHPTSENLTYVRCRSENGIITFEFTRPLKPSCSGRVECKNIIDPTTPLKVVWAMGASWSGNSLTDSNMHSITSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGILAARYLKNLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFYVSSVHVKFGVTALLLAGLQPLNAYFRPKRPANGEASSWNRVLWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSAIVITLYLEYKEVKRRSGDTSSRGHWVLGNTEEDDSVDLLHPDSTDRNSESSPSGVMEVQLEPLAR >OGLUM09G20080.1 pep chromosome:ALNU02000000:9:22607449:22612048:1 gene:OGLUM09G20080 transcript:OGLUM09G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6H6] MVSRRAMRAPEMLRWLAAAAALVALLAAAPAAGFYLPGVAPTDFGKGDSLPVKVNKLTSVKTQLPYAYYSLPFCKPETIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKLTVGEKEAKELKEKIEDEYRVNMILDNLPLVVSVLRQDKNIAYQGGYHVGVKGQYTGSKEEKYFIHNHLSFVVKYHKDDDSELSRIVGFEVKPYSIKHQLDDKWDGVNTRLSTCDPHANKLVTSSDSPQEVEAGKEIIFTYDVRFEESDIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTVLSNLGVGGVLWTAATSWGQGGDKLVLAAGVEGGGA >OGLUM09G20080.2 pep chromosome:ALNU02000000:9:22607449:22613707:1 gene:OGLUM09G20080 transcript:OGLUM09G20080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6H6] MTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYASSRLYKMFKGSEWKRITMRTAFLFPGIAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPALEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILIITCAEITVVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFFTKLQITKLVSGILYFGYMLLASLAFFVLTGTIGFCACFWFTRLIYSSVKID >OGLUM09G20080.3 pep chromosome:ALNU02000000:9:22604032:22607802:1 gene:OGLUM09G20080 transcript:OGLUM09G20080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6H6] MPPPAGPPDIGCLAGSNDAARMCRATWSNDEADTVNIITRNLKVQNAKKINFYPIKTPGKIHPTPQAFFLLRARVIAVAVAVAAAAAASPPPRSDPDP >OGLUM09G20090.1 pep chromosome:ALNU02000000:9:22613247:22617112:-1 gene:OGLUM09G20090 transcript:OGLUM09G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPNELDDGPSDPNK >OGLUM09G20090.2 pep chromosome:ALNU02000000:9:22613390:22617112:-1 gene:OGLUM09G20090 transcript:OGLUM09G20090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYLSTPKTEKYSGEGGNDRLRYGLASMQGWRTTMEDAHTALPRLDECTSFFGVYDGHGGKAVSKFCAKHLHLQVLKNEAYSSGDLATSVLKSFFRMDEMMKGQRGWRELAELGDKGQKFTGMLEGIIWSPKPGESDKPEDTWTEEGPHSHFPGPTSGSTACVAIIRNDELIVANAGDSRCVLSRKGQAYDLSKDHKPDLDAEKERILNAGGFIVAGRVNGSLNLARAIGDMELKQNEFLPAERQIVTAEPELNTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKEMNTEDSLSAVCEKLLDHCLAPVSGGDGCDNMTVIIVKFKKPSKSAATSSTNQSVSSEEMRPK >OGLUM09G20100.1 pep chromosome:ALNU02000000:9:22620798:22622548:1 gene:OGLUM09G20100 transcript:OGLUM09G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRCCTFLEILLAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGIIYAVYVLVALDSDQYQREYHTLA >OGLUM09G20110.1 pep chromosome:ALNU02000000:9:22627106:22631120:1 gene:OGLUM09G20110 transcript:OGLUM09G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sequence-specific DNA binding transcription factors [Source:Projected from Arabidopsis thaliana (AT3G54390) TAIR;Acc:AT3G54390] MDALPDAADAAPLAAAAAPPQKRDEWSESGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAHEVSAHCAMENAAAAAATGKPGSSTAKTPNQCKNKIESMKKRYRAESAAAARAGPAAAGAGPSWRFFARMDGLLKGPAGSGQPQAELSNSIDLRAPPPAKVEVDVDADFVSQLADAGPGALSELVSAYANGSIQEKLDKVENSGQVEGRAAESDVNVSSPRIKEANEDAEEVDKVWDMSKKRKNTEFDIAKSIELLASSFLKIERARMDLYRETERMRVEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKECSSKTGGSSSVTAEVDNHAKKGENGSG >OGLUM09G20120.1 pep chromosome:ALNU02000000:9:22631876:22636532:1 gene:OGLUM09G20120 transcript:OGLUM09G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSRVQDEVEMQRRTTNRIFPDERQDQFKLPFQAARADRFGVNRIDAKTTEKIKVISEGNIPWHRRILDPGSSMVLMWNRVFLGSCLFALFIDPFFYYLPLVHVLDESTNRSCIAKDRRLSITITVLRTFADLFYMLNIMVKFHTAYVDPKSRVLGKGELVLDLKKIQRRYLRTDFFIDLLATIPLPQVTVWIIMPSIKNSDYNIRNTTFALVIMIQYIFRMYLIVPLSNQIIKAAGVVAKSAWLGAAYNLLYYMLASHITGAIYYLLSIERQITCWNQQCLNESCSFNFISCDNTGSSSYLTWGKNTSIFDNCDPNRNSSANPPPFNYGMFSTALSKGAVSAPFLEKYFFCLWWGLLQLSSSGNPLQTSAYIAENTFAIAIGALSLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMEEWMRHHQLPDELQDRVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDYQLLDAICERLVSFLCPERTYISREGDPVNEMLFVIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVQSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWPEEHPLADKPKQEGTSSSTKTIAESAIAQMHKFASASRRFRADDTAIRRLQKPDEPDFSADHFD >OGLUM09G20130.1 pep chromosome:ALNU02000000:9:22637105:22641266:1 gene:OGLUM09G20130 transcript:OGLUM09G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRRLWKTKHLTLRPPCHGGGDGDGDGGHPDWILLDVQAYIADRRNATTATAMLSNGGHQIQVTICVAPPPLVSYICAWSPTTHPAELFDTEPTVEAVDADLLLLRIHVSLNHVHDLVYQASMSPSLTLMPSQDPYLHEPNCIALIPRSSHGFYISTLDMDLRSGIGRYNLCLFDSTNSKWSHESLSLDQLRNPPDKNEVLHITEKVITLKHPHLVAFVDLWRGIIICDILDSKIAASYVPIPKEIINLNRTRGSLITRDIAVVKDRLTMVRMGIFFDPEINGWHWELSTWSRPVGSCLDDEEDEDWREDFMVESCDISVDDNTCKNVELLPKTQDDRPAIAKLHVANPTLSLTDPQVVYLVGNVDITDEKAVLLTLDMANKRLQRVSVYDAERFVNGVDVGFTQSTISRYFAPASDLAEIDLFLVLSRFQVKLLIDRSRGSHPSMSKNYPLTRTIHLTLRPPCHGGDPWDADHPPEWVLLDVRAYVADRRNATTATARLGNGHAIQVTICAAPPPLVSYICAWSPTSDPAELFEMEPTVEAVNADLVLLRIHVLPYEFEDLVYRAKGWRTPLPSLTPIPKQDPYLQERYNIAILPRSHGFYISTLDCYFPDPERSLGRYNLCIFDSLDCKWSNVPLSLDQLRNPPDKNKVFHLTEKEDWREDSLVEASDILIDHNICNVELLPKIQGQPTMAKLHVALPTLSLTDAQVVYVMGKVNESDKKAVLLSIDMANRRLDAVSVYDAARILHYFDVCYTQSTIFRYSAPSSGLNGNLKRPGKFPMPYPRKQQAVNEPFLPDAGRGLETEDRDTMDWE >OGLUM09G20140.1 pep chromosome:ALNU02000000:9:22644845:22645342:-1 gene:OGLUM09G20140 transcript:OGLUM09G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPAQVHGGVNLNLRVLETSPRRRSANGGGGGAAPAAAAAVDPREAFSCNYCHRKFFSSQALGGHQNAHKLERTLAKRSRDIVMTAAVSPSPSSSSSSRAVHGFDGVAGGFFWTAPPYGHAAAAEAAEEDHDVAPPAPPPADGGCGGGRYGRNGEEIDLSLKL >OGLUM09G20150.1 pep chromosome:ALNU02000000:9:22650809:22656141:-1 gene:OGLUM09G20150 transcript:OGLUM09G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6I7] MDSGGGGGGGALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVW >OGLUM09G20150.2 pep chromosome:ALNU02000000:9:22650809:22656141:-1 gene:OGLUM09G20150 transcript:OGLUM09G20150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6I7] MDSGGGGGGGALRPSALDLVAALLTGRGRPEEEGWPPSLAENRHLLVLLTTSLAVLVGCGVALLVRRSSISAPAARAQEPQPRAPAPAKRKQEAEPDPDDGRQRVAVFFGTQTGTAEGFAKALAEEAKSRYDKAVFKVLDLDEYAADDEEYEQKLKKEIIALFFVATYGDGEPTDNAARFYKWFGEGNERGEWLSNLRFGVFGLGNRQYEHFNKVGKVVDQLLAEQGGKRIVPLGLGDDDQCIEDDFNAWKELLWPELDKLLRVEDDKSAAPTPYTAAIPEYRVVLVKPEEAMHINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDISGTGLTYETGDHVGVYAENCTETVEEVENLLGYSPDTLFSIHADQEDGTPLFGGSLPPPFPSPCTVRTALARYADLLSFPKKSALIALASHASDPKDAERLRHLASPAGKKEYSQWIVSSQRSLLEVMTEFPSAKPPLGVFFAAIAPRLQPRYYSISSSPRMTPTRIHVTCALVYGQTPTGRIHKGVCSTWMKNSIPLEESQECSWAPIFVRQSNFKLPTDPTVPIIMIGPGTGLAPFRGFLQERLALKETGVELGHAVLFFGCRNRKMDFIYEDELNNFVETGALSELIVAFSREGPSKEYVQHKMAEKAPEIWSIISQGGYIYVCGDAKGMARDVHRTLHTIVQEQGSLDNSNTESYVKSLQMEGRYLRDVW >OGLUM09G20160.1 pep chromosome:ALNU02000000:9:22662976:22667774:-1 gene:OGLUM09G20160 transcript:OGLUM09G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGEDDAQSYESYYTDDGDDYTDEVDSNVDDDGESWDLEEGEVDDDDDDEAKKKKAAAAVDLTKIDRRYRNLSEEQVRARQDADTANVGELFAIPPGFAAVLLRHYKWSLVELQDRLFSDGDRAGAATGVALGGAPVSRNGHPLVCAICFDEHLAGEMRSAGCSHFYCVGCWRGYVHAAVGDGARCLSFRCPDPACSAAVVRELVDEVAGDAVKARYATFLLRSYVEEGTRIKWCPGPGCTLAIEFVGGGGGEEKQDDVECRYGHGFCFRCGEEAHRPVSCDTVRAWTEKNAMDDHRSSEYYNCNVYDAAKANGEASDDKRRREQGMASLDRYMHFYERWAAHGKARQSAVDDMAGLDACAEKLSAAVAMPVTELCFLAEAYQQIAECRRLLRWTYAYGYYHLGTGLDGDEERRTMVECAQGEAERQLEKLHDCAEHEREELLAEVERTIKLNAILKDNDGEESKKKMEEKAGEMVDMVVAYRQKLAGLTGVCKIFFRNLVKTFQDGLSEVGPAVAAAAAAAVATAPAESSDDAEEDIAKVCEVLSLSPGAAAVLLRLYRWRAVLLQEEWFLDERRIRDAAGLLPADGGGGAVPARVSRRRLTCAICFDVFAAGGMRSAGCSHYYCVACWRGYVRAAVGDGARCLSLRCPDPSCPAAVVRELVDAVADGEDRERYGWFALRSYVEESAGMRWCPGPGCSRAVEFVGGGGDGEESSEVFCSCGHGLCWRCGEEAHRPVSCKTVAKWVEKNSSESETATWLLAHTKHCPKCRLPIEKNLGCMHMTCRPPCLHEFCWICLKPWRGHAACSRYQPNGTVVALAGANADDERRRQAKASLDRYLYHYERWDANLKSLRVALRDMESLERSELEAMASAAGVPATEMGFVTEAYEQVGEGRRVLGWAHAYGYYLDPDRDFTKRQLFEYLQEDANASLERLHGCAERERRELFATGADDKAAVDFDKYRAYREKLAGLTRVTRQYFGNLVKAFETDLAEVSSSS >OGLUM09G20170.1 pep chromosome:ALNU02000000:9:22668343:22669790:-1 gene:OGLUM09G20170 transcript:OGLUM09G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAKTNTFGVWGSNSNSKIAPPMIRSGLPTHRSQLTSLASRGAAPIQDKQTRQIARRRRASGSETAPPPHRSIGKIGMPGGHNKQPAPPVATTGCSALASCLSFHRRAPRPPPARANVVDGATAATTRASAEQYRRRVQFLEEEVRRLGSRLAEHGRSANGGAMATRDRVSSACSGIGATAANKRVTVGGHGGVREMVRLEGGGYLHEIKRVVGMPWERLALQVSQPVVAENAATASEVLDKMTETSAENLCKLLSKMMPIKDIAGRKNPGKVIRRSARLSSGDDFLEALLFMEMDKMEGLVQQGLKIRMASTADSASSTAAGDDDGDRRHQATKDSMVSVVLIQVRDPEQGYAAIGDPMIGVMEASLEKKDGRVKLEMQGMHVAGILFGASSKGRSNGRAMMWSACLGQCKGSHNGRRGGGGGAGAGDVCRCGFVRNTNRVFRR >OGLUM09G20180.1 pep chromosome:ALNU02000000:9:22673061:22674670:1 gene:OGLUM09G20180 transcript:OGLUM09G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSAHPTRVPRGPCNAELWPITAKTHAPGSGGGGEDCPPRPSSSSLHLSLGPSQWRLLGAECSEQAQQLLCVGDHRGAAQGRLPHPSRAPTPSMIARTTNPNSGDRPPGHQVRLNHGGNAAGVVGELGRCNGGMVG >OGLUM09G20190.1 pep chromosome:ALNU02000000:9:22675099:22677375:-1 gene:OGLUM09G20190 transcript:OGLUM09G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSAAVQWWDEWQLRILVLCSLGIQWFLLLAAPMRKYTIPHWFRTFIWLAYISSDALAIYALATLFNRHANTTTAAKRHCVNGSALEVLWAPVLLIHLGGQQEMSAYTIEDNELWRRHTVTLVSQVTVAIYAFYKSWPANGGDRKLLVSAVLLFVIGVFSFTEKPWALRRASINRLAAVSSMVQGRKEVSKWRYCFTELEKDKRDILDRCCQLKKEKETPPPGVGTDVVKRSQQHILTDRDKVLLILSDMSLLAADSDLKQEQRRRRQRQSLMAEDDSKEEVEGDLLGTLSPRAEKGSKRWLRRAFALIYTRANVVLTPAYLAYHILLAPFLHITAIVLFAASSKRHHNTIDVKITYVLLCLTAALDILAEPIRQLLFKLMSMADVAALCETVPQYNNLIRSALQRTQPAGVLLKCAAHVGYTQGFFVCQRKNLYHMLAGLIFSDLVEANAKGLDFTSYRSFAPGRRNWVLNENLRKVCGPEVQGSLRGSFDRGVILWHIATDLCMRRMMAENTIDEIDMKFLECTEAISDYMAHLLNLRPDMLMTGSRQHLFTQAMEEVELILKDIESQQQQPHSLKKLGRDILAKKIIDKAKAEVNAAIDIERVSEQGREIRVDEPPPPKYPLVHDACRLAEELMDKMGCRTRCQVMYRVWVGMLSYSASMCRGYLHAKSLGEGGEFLSFVWLILSLKGAKTLADKLQMPEPEPEPEPEREPEPKSGPYSPGQEIVQGEPTATVATTADEGEDLSFLLPHSPRS >OGLUM09G20200.1 pep chromosome:ALNU02000000:9:22675114:22678244:1 gene:OGLUM09G20200 transcript:OGLUM09G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEAEVLAFVGGGCDGSGPDFGSGSRSGSGSGSGSGIWSLSAKVLAPLSERMSQTKERNSPPSPRLLACSIDLSFCLVDDLLCQDVSSELLEAMRLLLLRLNILQDELNLLHCLREEVLPAAGHEHVRAEVEKVGHVVRYRLRALEKFHVNLVDGVFSHHAAHAKVSGDVPEDDTPIKGPTQAALYLRAAYLAQVLIEHPVAAPRREASRCDIRHGHELEQQLPDGLRKDVQRGGEAEEHVGDLHVDGVVVALAAGGEEHDGGDVKERRQQYVVGQGPQQVSLHLLLAVIFCHQGLALTATTLFLLELAAAVDCQPVDAGSSESPRLLGEAEHPNDEEQDG >OGLUM09G20200.2 pep chromosome:ALNU02000000:9:22675114:22678244:1 gene:OGLUM09G20200 transcript:OGLUM09G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQEAEVLAFVGGGCDGSGPDFGSGSRSGSGSGSGSGIWSLSAKDVSSELLEAMRLLLLRLNILQDELNLLHCLREEVLPAAGHEHVRAEVEKVGHVVRYRLRALEKFHVNLVDGVFSHHAAHAKVSGDVPEDDTPIKGPTQAALYLRAAYLAQVLIEHPVAAPRREASRCDIRHGHELEQQLPDGLRKDVQRGGEAEEHVGDLHVDGVVVALAAGGEEHDGGDVKERRQQYVVGQGPQQVSLHLLLAVIFCHQGLALTATTLFLLELAAAVDCQPVDAGSSESPRLLGEAEHPNDEEQDG >OGLUM09G20200.3 pep chromosome:ALNU02000000:9:22677133:22680752:1 gene:OGLUM09G20200 transcript:OGLUM09G20200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRGGGRVGVAVEEGGKRVDGQGVAADVGQPDEGPEPVPDNGRHALPFAHSFRLASSSSTMMARPGAVKNIESWDEFTKHFVKSEYKLVVLVFMAPWSEPWKLMRPAVEKMASGLKSEEAEVCTISVDRFNTLGRLLRVEALPTFVLVKRHRAVARVVGVNRDDLDSSINKHLAPPSSSPQPINIS >OGLUM09G20210.1 pep chromosome:ALNU02000000:9:22682013:22682819:-1 gene:OGLUM09G20210 transcript:OGLUM09G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLVAAAALVAAGACVQANGGRRPPPPPAAVELAASTIVLSPTTTTTTSGSGVNLQADAVVPETETKRAVRGVVVVGVAVDQETDYGYVDPPPDTYRRGGGGAPIPHGQPMRLGIKIIHLNT >OGLUM09G20220.1 pep chromosome:ALNU02000000:9:22683949:22688019:1 gene:OGLUM09G20220 transcript:OGLUM09G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGAYSWGIVSDNYGRRQKPSAVLNHLELMVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGCNAILKLLSPNLIISSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >OGLUM09G20220.2 pep chromosome:ALNU02000000:9:22683949:22688019:1 gene:OGLUM09G20220 transcript:OGLUM09G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGLSTYTVDEALISMGFGKFQAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAEVGFNFTALVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLSSWFLEFIPAPNRGTWMVIFSAFWTIGTIMEASLAWAVMPSLGWRWLLAFSSLPSFALLLFYPLTLESPRYLCMKGRTADAVQVLETMARLNRVALPSGHLMSGHRMELHEMTDSSETSQLLSAKKTNPAAHSSKTEIGGCNAILKLLSPNLIISSLLLWTVFLGHAFLYYGLVLLTSELSHGNKICGSEGIVTTQTNHSNDANLYRNVFITSFGEVPGLILSAAIVDKIGRKLSMSSMLYISCLCIAPLMVPQTESLTTIFLFGARICISASFIVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAILIFITVMLVSGVAVSYFPLETSGRKLSDHIAA >OGLUM09G20230.1 pep chromosome:ALNU02000000:9:22688353:22692994:1 gene:OGLUM09G20230 transcript:OGLUM09G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGDDPLPSGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCGDDDEWKGIECSDSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLRGNAFSGGVPYSISQMTDLETLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >OGLUM09G20230.2 pep chromosome:ALNU02000000:9:22688353:22692994:1 gene:OGLUM09G20230 transcript:OGLUM09G20230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVGDDPLPSGRLLRPCSPRCAAMARRWPPLVVGLALLLLLSVAASSVVAKTDQPDVAALNVMFESMNKPSELLGWKASGGDPCGDDDEWKGIECSDSSVTEINLSGLGLSGTLGYQLSSLKSVTKFDVSKNNLNGEIPYQLPPNVVQLNLGKNQLSGQLTDMFSQLPKLTTMDLSFNSFSGNLPPSFQYLKNLKTLDVESNQFSGHINVLAKLSLEDLNVKNNKFTGWIPSKLKSIDNLETGGNSWSSGPAPPGMEKESSAGSSNGRDDSGINGFAIGAMVIAVLLAALILLSVLRRNHSSPVSSHYYTDESGRRNSSVVNMKSLEHSPSMGCKTPLAVPRKSMSDNEFENKLNHSRRSTDPISLMNHSSSDLLAATGNFSSSRQLGQGTTGCVFRAKYADGRVLAVKKFDPLSFSGSSDFMDTVNGIAKLRHTNISELVGYCSEPGHYMLVYDYHMNGSLYDFLHLSDAYSRPLTWDTRVRIAVCTAHALEYLHEVCSPPVLHKNIKSSNVLLDADLNPHLSDCGLSFFYEDASENLGPGYSAPECSRPSAYVMKSDVYSFGVIMLELLTGQKPYDSSKPRTEQCLVKYVAPQLHDSDALGSLADPALRGLYPPKALSRFADCIALCVQSLLRCVQRTISNRGMAGYLSNSQRSDISDW >OGLUM09G20240.1 pep chromosome:ALNU02000000:9:22693656:22697745:-1 gene:OGLUM09G20240 transcript:OGLUM09G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G07170) TAIR;Acc:AT2G07170] MKSSAVTSKGKAVFELKHRLVQAINKIADRDTYQIGLDELEKATDTLPPDMVGPFLSCVVDTDAEQKSAVRKECIKVIGTLARSHGSLVAPHMTKMVTSIVKRLKDADSVVRDACVDTCGTLALCARDYGDGGAALVALVRPLFESLGEQNRYVQAGAASCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAVIELIRSIIQAEGASTEQSLTSALTSIMDALKSSDWTTRKAASIALSSIALSSGYLVASFRTSCLRSLERSKFDKVKPVRDAITQAIQLWKAIPGSDTPEPSEAGSSTKENFFGDHHDGRSINDGGSRDTSFRRVDPASSVSAISGNSITSSKKRSPLSINKIALNNAANQQRSKLSDWHVEISVPKQNMIPLVDFEEKVSGNGSMLKGSNRSPYEIVDNDSKYEYDPMDDKQDCSSMSEVASRSCETKHVTSAQECVEDCDSARDIEQFPRAQKSKSIDSTVTDITSHGTHTCCLSAMKELSHIRKQLQEMERKQANIFDMLQEFMSNSVENMSVLSMKVHNLENAVDKTVYTITQSESRYHLPGSKFIKNQSATSSPRVSTSTPRSSVDANYKPPPIPHLKQEKKWMQDQPSKGLNMGVKEGEFLKSHTHDKTRKPGVVRSESTLGRYVPSSARTRASGVKGNFPVSLTNSCEQPELQNALRASKEFDGNDDMESAYVEALNSGDCDYLIDVMDRTGPVLEKLSRETTSELLRVIAGQFLNKKMFDLALPWLQQVADLSMIYKPSQLFVSVRAQKEFLALLEEAATSGSTEPAIRIAIAQLAFKLTKVCEVAPCRKVLSRVCRGNETIMATAM >OGLUM09G20250.1 pep chromosome:ALNU02000000:9:22699801:22705496:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKVGIEQEYIGRMLLKYPWILSTCMLENYGQMLMFFQRRKISSTVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEVMQIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.2 pep chromosome:ALNU02000000:9:22699545:22705064:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIRQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAIAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMYARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.3 pep chromosome:ALNU02000000:9:22699801:22705496:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKVGIEQEYIGRMLLKYPWILSTCMLENYGQMLMFFQRRKISSTVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEVMQIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.4 pep chromosome:ALNU02000000:9:22699545:22704029:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIRQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAIAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMYARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.5 pep chromosome:ALNU02000000:9:22699545:22703142:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MATATAALALLSPPLPASPTPPPPPPLRAILLKQPFLRPIRQRRRLSPRPLPRRRDPVAACAPTADAETASTSRSYDALEAERAIAELLREHGASPADAASIAARAPGYAAMLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKDVAEVSMDSFNMYARKLWPNVNVLPKKKVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPSSCEHVTDFNSSMYSNVYRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.6 pep chromosome:ALNU02000000:9:22699801:22705496:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSKRDRGVVPLLESAGVRLSSAKLIAPYVSAAGLPVLIDRIKFLKEILFSSNGYETLISRNAKRMMMHLSIPADESLQSTLSFFEKMEARYGGLNMLGHGDASFPYLIESFPMLLLCSENNHLKPLIDFLQYIGIPKPRIASVLLSFPPIILSDVENDIKPRINAWEKVGIEQEYIGRMLLKYPWILSTCMLENYGQMLMFFQRRKISSTVLGVAMRSWPHILGCSTKRMNSIVELFDDLGISKKMLVPVVTSSPQLLLRRPNEVMQIILFFKDMDLDKKTVAKILCRSPEIFASSVENTLKKKINFLINFGVPKHHLPRIIRKYPELLLLDINRTLLPRINYLLDMGLSKKNVCSMIYRFSPLLGYSIELVMKPKLEFLLRTMKKPLKAVVEYPRYFSYSLEGRIKPRFCVLQSRKIDCSLTDMLAKNDELFAEEYLGI >OGLUM09G20250.7 pep chromosome:ALNU02000000:9:22702644:22705496:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MAPRTSSVMALRPPRPCPAGVQQRQLDVDGAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLEEQQDNALLQIHVLEETVRFDEELVRRLTAVTPLVAAQVDEVVDEHHAVVTLGDGCERKMCVGVAGSLDRGLLKPSANVALNGRSLALVGVLPSDVAGCSAARFLVADADKPGVAYGDIGGCEAQKREVREAVELPLTHPELFAAAGVDPPRGVLLHGPLGTGKTMLAKAVARETSAAFFRVNAAELARHDGPRVVRDLFRLARDRAPAIVFIDEVDAIAAARQGGGGDDGGARRHVQRVLIELLTQMDGFDESTNVRVIMATNRADDLDPALLRPGRLDRKVEFTAPESPEEKRLVLQTCTAGMSLDGDVDLDALAARRDKLSAAEIAAVCREAGMQAVRDGRGTVTADDFDKGYLAVVGKKPGDAATEFHFYN >OGLUM09G20250.8 pep chromosome:ALNU02000000:9:22702644:22705496:1 gene:OGLUM09G20250 transcript:OGLUM09G20250.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial transcription termination factor family protein [Source:Projected from Arabidopsis thaliana (AT1G78930) TAIR;Acc:AT1G78930] MRKSTSAVLSIEKPRTSSVMALRPPRPCPAGVQQRQLDVDGAGNAKTSPEQKLELLDLQEEDDELIFLDADDEEGRQLREKLESLEREFCLLEEQQDNALLQIHVLEETVRFDEELVRRLTAVTPLVAAQVDEVVDEHHAVVTLGDGCERKMCVGVAGSLDRGLLKPSANVALNGRSLALVGVLPSDVAGCSAARFLVADADKPGVAYGDIGGCEAQKREVREAVELPLTHPELFAAAGVDPPRGVLLHGPLGTGKTMLAKAVARETSAAFFRVNAAELARHDGPRVVRDLFRLARDRAPAIVFIDEVDAIAAARQGGGGDDGGARRHVQRVLIELLTQMDGFDESTNVRVIMATNRADDLDPALLRPGRLDRKVEFTAPESPEEKRLVLQTCTAGMSLDGDVDLDALAARRDKLSAAEIAAVCREAGMQAVRDGRGTVTADDFDKGYLAVVGKKPGDAATEFHFYN >OGLUM09G20260.1 pep chromosome:ALNU02000000:9:22703638:22707833:-1 gene:OGLUM09G20260 transcript:OGLUM09G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEFWKDVLTDLIFCSNAHIIRSGRSHLNFGAKTLARKRDEDATYRHWHLCVQGTVQINSLSLVALRGHPSGEGLELVQTKNSVEAMEIGEGSIY >OGLUM09G20260.2 pep chromosome:ALNU02000000:9:22703515:22703939:-1 gene:OGLUM09G20260 transcript:OGLUM09G20260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQHTAIGTCVFKEQCRSTRSGGIPVEKASNLCKRRTVSRPWRLERVQFIENGTIKDHGQWGAQKMNLVRIVACGCDNSYWLSDKGVKHP >OGLUM09G20260.3 pep chromosome:ALNU02000000:9:22706084:22707833:-1 gene:OGLUM09G20260 transcript:OGLUM09G20260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHGGHGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >OGLUM09G20270.1 pep chromosome:ALNU02000000:9:22709606:22712586:-1 gene:OGLUM09G20270 transcript:OGLUM09G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKAPATTSWRWRLLLLLLLAVAALCWIPPAIAMAAAAAAATTSSGGRRSLLGFVEAQGNSSYRCSPSGPCVPCQYSEKSDEKYCCSETGYRLPLKCVEVQNITKEGNNTKQRKVLDDASTSGGSKHYTTYRSCVPLEDEEKLSVLGFEVMMAGMLLISGPFVYYRKRRTAIMQGASRIPTSPPRF >OGLUM09G20280.1 pep chromosome:ALNU02000000:9:22712729:22728045:1 gene:OGLUM09G20280 transcript:OGLUM09G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MPPVNSDGAGPSSGEDGSAAAVKKRNRPKYHRFTQQELQACKPILIPQTVILVLVFVGLIFIPIGLACIAASNKVVELVDRYDTKCVPRNMLRNKVAYIQNSSIDKTCTRVFKVPKDMKKPIYIYYQLDKFYQNHRRYVKSLNDMQLRNPKKVADTQYCSPEATANGRPIVPCGLIAWSLFNDTYSFTRGHGNETLRVNKDGISWKSERNRRFGKNVYPKNFQNGTLIGGGQLNPSKPLSEQEDLIVWMRIAALPTFRKLYGRIDMDLQAGDRVEVTMQNNYNSYSFNGKKSLVLSTAGWLGGKNAFLGRAYAIVGLACFLLALLLALLYFVFPMREEHLSLHYIPARLVR >OGLUM09G20280.2 pep chromosome:ALNU02000000:9:22712729:22728045:1 gene:OGLUM09G20280 transcript:OGLUM09G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLPLRRCLSTSTSSSATPTLYSSGTTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGAVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNTLPVSVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGNNSSDWRPQLIPSLKNLHVIQVACGGYHSLALTDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDGDEDLGPHRVISVAIGASHAMCLVSRQQNEK >OGLUM09G20280.3 pep chromosome:ALNU02000000:9:22712729:22728045:1 gene:OGLUM09G20280 transcript:OGLUM09G20280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G60870) TAIR;Acc:AT5G60870] MFRRLLPLRRCLSTSTSSSATPTLYSSGTTPVSILSWGRGASGQLGGGKEERRLYPSPVAHLSLPDPAPVLSPTPGRLPDAAAAGTAAGAVEVGISCGLFHSAVVVDGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLSELRVLALGGIHSAALTASGEVFTWGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNTLPVSVAAVACGGFFTMALTSDGQLWSWGDEGVVLSWGHGGHGQLGHPTLQNHRVPLAIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEIQPLPVKVNFLTDGDEDLGPHRVISVAIGASHAMCLVSRQQNEK >OGLUM09G20290.1 pep chromosome:ALNU02000000:9:22728320:22735316:-1 gene:OGLUM09G20290 transcript:OGLUM09G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPERESTEIEIFGMQGIPPDVLAAHYGEEEDPSSKVAKVEVPSLRPPVMPNPAGMVYPPRPAYGVAPPMYNPALNPLMARPPIWPAPPPQPWFTQPVVSVPQMASGLAPQQPLFPIQNMPAPMTSAPANLLQTSFPMAHVGVPSPVTPQVSQPLFPVSTSAGNGAVSSPYVASVAPGSIPTSSPSVAPAGVGYAATNQGTGGPAAVPPPASNNKAPATQPGANEVYLVWDDEAMSMEERRLSLPKYQVHDETSQEFQVGIYIVLPARARVLRPDELLLDHYYYYHSSSSDPYYSTPILPPYGDAFSPPNPPPPPPPMSPSCLLPPIIPAPTFTYSSPPPPPLYYPPPPDISPSPPPSVTPLPPVVYPSPPEVTPSPPEIAPYPSPPEITPYPSPPEIVPSPPEITPYPSPPEVVPGPPEINPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPYPSPPEIVPSPPEITPSPPTVTPMPPIIYPSPPEVTPGPPEITPYPSPPEVTPGPPEITPYPSPPEITPSPPEITPYPSPPEVVPSPPEITPYPSPPEVTPSPPEITPYPSPPEVTPSPPEIVPSPPSYEPSPPSYEPSPPEYAPEPPVYAPYPPGIFPSPPEYSPEPPSYVPSPPQYAPQPPSYVPSPPEYAPEPPVYAPYPPGITPSPPEYAPEPPPGPPGGGGGYLPPVVFPPPYASRGPPGRRAVWCVAKPSVPEGIIQPAMDYACGSGADCDSIQPSGPCFRPDTMIAHASYAFNSYWQRAKSNGATCDFGGTAMLITKDPSYGGCHYSTM >OGLUM09G20300.1 pep chromosome:ALNU02000000:9:22736165:22743505:1 gene:OGLUM09G20300 transcript:OGLUM09G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLPATAAALLVLVLQLMWSAEAQVAVGSGPPAGCPDRCGNVSVPFPFGIRDGCSLEGFGLTCNTTSNPPRLMIGNSTLQVVNISLANSTLRAVDIAGAVNITYGQIDGNGTWVGVVASPYIVNETLNQLLVTGCNIQVTLVGSGGNVISGCSSFCSINDMYTGGVFRSPGNKCAGIGCCQQQVSIGRPSYRVELTNLDKGRDFSGRVPEAVRIAELGWFDGLAADLLNESLADTSRRIPVPVVLDWAVASTGLVVTLNAGQNKQAANNWSCPTPGDGEARKSACLSSNSKCVNVTDNYRSGYVCRCDKGYDGNPYVAGGCQDINECERAKEHGCFGDCINTPGGYVCRCPRGALGDPRFANGCPKSYPGLTVGIGIGSGAGLFLLALGAVFLTRKIKHRRARLLRQKFFKQNRGHLLQQLVSQQADIAERMIIPLVELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKVTIQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVDGPASLSWEDRLRIATEAARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGITTAIQGTMGYLDPMYYYTGRLTEKSDVYSFGIVLIELLTRKKPYSYRSHEDDSLVAHFTTLLAHDNLFDILDPQVIEEVGKEVKEVAMLAVACVKLKAEERPTMRQVEMTLESIRASFLQQDVLHTVGGRKKSMENHVSGSNPIIHGTNMESTRQYSLEEEYLLSSRMPEMAVALAILLVALALATPSSSAQQPPGCPATCGNISIPYPFGIGAGCARDEGFQIECNHTSSPPRLIVSNSTGGRHRQQLLSLSLADGEARTFLTAKRRCYNSSTGDMVSENDQNATEMSLSGTPYRFSRSRNRLVALGCPNLAYLVDGRGSYISSCTSICRTPESVAAGSTVGFTGEGCCQSSIPYSVDVYKPDIIGFKQGRAGDSVLLNSTAASSILQSSTVCRYMYLAEDRWIDAAYRDGAVDFNRTDDFAVHVVLDWAVRNAGNCSAAMRNLSAANYACRSADSVCVDTSDGDGYRCNCSRGYEGNPYLDGGCKDINECERAKEYPCFGVCINTLGSYQCSCPPGTSGNATIPTGCVKTNQALTTGSIIGIGVGSGAGILVMALGATFLTRRIKNRRARMLRQKFFKQNRGHLLEQLVSQKADIAERMIIPLTELEKATNNFDESRKLGGGGHGTVYKGILSDLHVVAIKKSKVAVQREIDEFINEVAILSQINHRNVVKLFGCCLETQVPLLVYEFISNGTLYDHLHVEGPTSLPWEYRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQNGVTTAIQGTLGYLDPMYYYTRRLTEKSDVFSFGVVLIELLTRKKPYSYRSHEDDSLVAHFTTLLTHGNLGDILDPQVNEEGGKEVKEVAMLAVACVKLKADERPTMRQVEMTLETVRSSSLQLEVVPSVAAEESKEKHVSWSYPVCEGISIESSRQYSYEEENLLSSRYPR >OGLUM09G20310.1 pep chromosome:ALNU02000000:9:22759041:22762208:1 gene:OGLUM09G20310 transcript:OGLUM09G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELPFSIVLLGLTNGAGIGSGAGLFILALGAVFLTRKIKQRRARTLRQKFFKQNRGHLLQQLVSQNADIAERMIIPLAELEKATNNFDESRELGGGGHGTVYKGILSDLHVVAIKKSNVTVQREIDEFINETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARSLAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFRASRCIPAEQNGVTTAIQGTLGYLDPMYYYTGRLTEKICYLSTL >OGLUM09G20320.1 pep chromosome:ALNU02000000:9:22762272:22765525:1 gene:OGLUM09G20320 transcript:OGLUM09G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLALLQLWSVEAQVSGPPPASCPDRCGDVRVPYPFGIRDGCHLPGFRLTCDATRAPPRLMLGNGTLQVVDISLANSTVRALDLAGAVNFTYDVSKLAPSGSGTWASLGTVAGAGPYVVSEQRNRLVVTGCNVQATLAGENTNIIGGCSSFCPVSEMFTSVVATTPVVPGAGGDNATDGGFTCSGTGCCETPIAIGRPSYLVQFLSLDQNQELTGKLPVAVRIAERGWFEGVAGELLNSSNSDSAAALRTPVPVVLEWVVSPTLEAVLQGVTGQFADDRNWSCPADAARSACRSSDSFCSNVTGNYRRGYVCRCRRGYGGNPYVAGGCQDIDECKLAGRCYGECTNTPGDYECRCPRGARGDPRIPNGCVKTNLGLSVGIGVGSGAGLLVMGLGAAFLKRKVKKQRARMLRQKFFKQNRGHLLQQLVSQKADIAERMIIPLLELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPTSLPWEDRLRIATETARALAYLHSAVSFPIIHRDIKSHNILLDGSLTTKVSDFGASRCIPAEQTGVTTAVQGTLGYLDPMYYYTGRLTEKSDVFSFGVVLIELLTRKKPYSYRSSDDESLVAHFTALLTQGNLGDILDPQVKEEGGEEVKEVAVLAVACVKLKAEERPTMRQVEMTLESVRSSSQQQEVLNGVGAKKSKENHVSWSFPVSEGTSVQSTRQYSLEEEYLLSSRFPR >OGLUM09G20330.1 pep chromosome:ALNU02000000:9:22765356:22766207:-1 gene:OGLUM09G20330 transcript:OGLUM09G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSPGLTFFFHDTYKVITLGGGFMAWVELWRGILLCNVLLDDSEPHFIPLPPPLKADNELIGDAQEFRDIAVVQGYIKYVEIQSCISDGWVAATWSRKITLDSWEGGWRKDCELHVSDISGSLPELLGDEEARTAQLNLQSLHTGNPTTSLQDDDVVYFLAKVGLRDDKSYVLAVNMRSKTLQGAACFGAERVLDMNFTCTQSRISHHLRNTPGKS >OGLUM09G20340.1 pep chromosome:ALNU02000000:9:22804495:22816021:1 gene:OGLUM09G20340 transcript:OGLUM09G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFSSEPMTNNEGEPVEDASCRSVRRRRYREQTSTNSAPLRQQGDISEGAQNTMTGFDFDRLPQDILCHIHSLIPLRDAACLACLSRRFLRSWRCFPNLTFNQETFSLNVYEGTSYEKEKKPVDIIDSILQNHSGTGVKTLKLDVSNYFKPITADHINNWLNAAVKPGIIEIAVKFPVHNRPMFNLSCSLLSCAGSSLQSISLFFCAFHPTLRTGCFKSLRSVYFKFVHITSEELGCLLSSTVSLEKLEISNCDQLTSLNIPSHLQHLMVLNVLFCTNLKMIEIYAPKLTTFDFRGRPMKILTSDSSHLKYMTLHGTFFSGMIQYARTELHSIASNLQTLTLASSKEDFITPMLPVKFLHLRNLNVYFDGIRFQSYDYFSLSSFLEACPALETFYIWAGEYDLAWKDPALQDSNADSLQIRRIPEIHHANLKKVSINRFFPSKSLIELTYLIIENASSLQCLKLDAGYGFDTSGMCKRMNKLDVLNALSAVEVAKKYIEGKVPSSAKFNILEPCERRNRLTKGEAFNLNVCEGTSNEQAKKLVDRIGNILQNHSGTGVKTLKLDVSTCFKLITDDFINNWLHAAVKPGILEIAMKFSHDKPMFNLSCSLLSCAGSSLQSVSFFSCGFHPTLRTSYFKNLRSVYFKFVHITSEELGCLLSSTVSLEKLEIAGCDQLTFLSIPSHLQQLTVLHMIEIYAPKLTTFYFRGPPKILTGDSSCLKYMTLHGTYLSGIIQYARTKLHSLASNLQTLTLFSSKEAGEYDDVWQDPALEDSNADSLHIRRIPEFNHANLRRVSINRFFPSKSLIELTYLIIENASSLRCLKHMCKKMNKGGYVIQALKAVDAFKRYINGKVPSSVRFGALQAVPYCGTFPTLDVLKL >OGLUM09G20340.2 pep chromosome:ALNU02000000:9:22793220:22806429:1 gene:OGLUM09G20340 transcript:OGLUM09G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGDETGAVAYLPIASSPPDQFPKSSSSSSGEAENPRSSTTPMAPRILYRDAGLDDDSEDDLPAGFLGAAAAVGWTIRLSPAASYLNSTLSSLNGKHGAPSAATAAGGGSDNEDSGGGSRKKLRLFKDQAAVLEDTFKEHNTVNPKQKAVLARQLNLKSQQVPGGGVVPEQEGENEAEADEGGLRAAQALLRAAPAAAATAARAPCPRPAEGERRERERKREGTKRGGRRERERMTCGAHILLGPTIFIVGQHRHVSETALQNYQESQVALIRVTFKGVKVDLFHQRGQVAEGGPALGRTVDFGPFCMAQDAVSDSN >OGLUM09G20350.1 pep chromosome:ALNU02000000:9:22816408:22820118:1 gene:OGLUM09G20350 transcript:OGLUM09G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQAGGFISMAWSMPPLALFAAVLALQQAVAGAAAAGDCPTTCGDVAVPFPFGIGAGCYHSPGFNLTCDRSRDPPRLLLGDAAAFQVLNVSIVNSTVRAARVGGINITYGVRGGGNTSSADEGRGAWRGLGDGGPFALSEDRNELVVVWGCDVVALLTDGGGSGNSSNVTISGCASFCPGTDAGGQAIAAPAGSTMSLTEDRRCTGVGCCQMPISVGRDSYQVRLRRLNPSPPQPPPPQGAGDPTVVLIAEQGWVAEASKSTRGYPLPVSFDETAVPVLLGWMIASTRVGADGEVPVNSTCPADAARSACKSSHSSCRNVSSSARAGYVCDCDAGFQGNPYLATGCQDINECERAEEHGCFGECINTAGSFLCRCPAGTQGNHTQRNGCFRPVPPLRARSSTGLSIGVGVSSAASLILIVIMAIFIIRKQKRRRAKKIRQKYFKQNRGQLLQQLVAQRADIAERMIIPLGELKKATNNFDRARELGGGGHGTVYKGILSDLHVVAIKKSKIAVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVSNGTLYSHLHVSGPRSLPWSDRLRIATETAKAIAYLHSSVSIPIIHRDIKSTNILLDETLISKVSDFGASRCIPVDQTGVTTKVQGTLGYMDPAYYYTQRLTEKSDVYSFGVILVELLTRKKPFSHLTPEGEGLVAHFVTSFTEGNLVEVLDLQIMEEADMKVVEEVATLAVTCVNLRGEDRPTMRQVEMALEGIQASRENASGNLSAEKLGESNNVARDFMPSQEGRSMTEGTRQYSLEEEFLLSSRYPR >OGLUM09G20360.1 pep chromosome:ALNU02000000:9:22819510:22840564:-1 gene:OGLUM09G20360 transcript:OGLUM09G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASFCSKRSLPCLLLLLAVFFLGCSSSATARRVVTDGGEPATTTMVERFQRWKAEYNRSYATPGEERRRLRVYARNVRYIEATNAAAGLAYELGETAYTDLTNDEFIAMYTAPPPLRSADGGGDDDDNDAAMTTIITTRAGPVDEHHQPEVYFNESAGAPASVDWRASGAVTEVKDQGRCGSCWAFSTVAVVEGIQKIKKGKLVSLSEQELVDCDTLDSGCDGGVSYRALEWITANGGITTRDDYPYSGAAAAACDRAKLGHHAATIAGLRRVATRSEASLQNAAAAQPVAVSIEAGGDNFQHYRKGVYDGPCGTRLNHGVTVVGYGQEEAPADGGAAGDKYWIIKNSWGKNWGDQGYIKMKKDVAGKPEGLCGIAIRPSFPLM >OGLUM09G20370.1 pep chromosome:ALNU02000000:9:22841644:22843689:-1 gene:OGLUM09G20370 transcript:OGLUM09G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIDVWSAVRWWDEWQLRILVLGSLGLQWFLLVAAPMRKYTIPRWLRTCIWLAYVSSDALAIYALATLFNRHAKARSGASCGGTNANGGQAGVLEILWAPVLLIHLGGQRELTAYNIEDNELWTRHAVTLVSQVAVAVYAFYKSWPNSTDKRLWVSAILMFVIGVLSFSEKPWAFKRARIQKLAAVSSLVQGTTRHDGKWEKAYRFCFTDLEEQSARKRGLTTRNRVHMLLSDMSLFAAVTELKRRGVLDSVDQEGTAILSRAIGAERFSKRWLQNAFGLIYTRAKVTWTPAYLAYHLLLVPALHVASITLFAVSHKRGRYNATDVKITYILLCFTAVLDISAFFFRGLIHLVMFVAKVPSLCEWIAQYNLIDAALRRLQPTGWLIKCATRIGCYEGYFDTKHDKLYSKVAGYLVFDLLRSDQIEGLDLGSYRNLDSEMNNWILSHDLRRRACGEGTEVRSTLLGSFDRSVLFWHIATDLCFTRKPPTFPAHPRVVITEAISNYMAHLLNFRPDMLLTGSRQHLFAEAMQQVEAILKLRAGRHFKRPSIQDDMAMVDTIFKSTLGPGPNEYPLVHEASMLTKELLLLDDETRHELMYHVWVGMLFYSAAMCRGYLHAKSLGEGGEFLSFVWLLLSIKGTKTLSDKLQMPDQPNAPPVQQHAQGTQQGEVFQKQEDWDFRN >OGLUM09G20380.1 pep chromosome:ALNU02000000:9:22851729:22853263:1 gene:OGLUM09G20380 transcript:OGLUM09G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNSVVMTIDSWQQLIDSLKGNVVVLEFMAPWSEPSKFMEQPFKEVASEFKDKNSNVKFAALNFDNFKNLGRRLQVEALPTFLVVNNFAVVDRILALSKTELQQKINDKLKLIN >OGLUM09G20390.1 pep chromosome:ALNU02000000:9:22853200:22853711:-1 gene:OGLUM09G20390 transcript:OGLUM09G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEHHRCRSGQGRVGFAPVVHHLPIYHRCTIAPLPSKPSSRPSLASLHTGITSRCAGRASLASAVTGCASATPPGGRICLRCGRIHSAGRSSRRLLPSEACFSSHAEERLRRRRVFPATTFPTGRAIFGSGLRWRLGRWGVAVRAARISPLSRSRESGARE >OGLUM09G20400.1 pep chromosome:ALNU02000000:9:22854747:22860232:-1 gene:OGLUM09G20400 transcript:OGLUM09G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6M8] MGDVFLMIPKDGPVRRIYKVSEPMETRTNEYSRKGAMWELERNLDQPMDAEAGRLRNMYREKTYPTILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINIIPNQHRTDQDLTTYSRRTYEEKSLAAKIQRWLEGHQFRKNLILILVLFGTCMAVGDGILTPAISVLSATGGIQVEEGRMRNDVVVIISVLILIGLFSMQHYGTDKVSWLFAPIVFVWFILIGILGAVNICKYDHSVLKAFNPVYVYRYFKRGKTSWTSLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAFIAANTNQVSHAFYISLPAPILWPAFAVATAAAIVASQATISATYSIIKQALALGCFPRVKIIHTSKKYLGQIYSPDINWILMVFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMIPIMLLVWRSHWTLVVAFTVLSLLVEIPYFSAVVRKIDQGGWVPLVFAAGFLIIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPPDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEKMLFDSLILFVRLETMMEEYSDSDEYSTLMMSLPNNPGISNGGVTTTGTNNVMEVMSCTSTHDSIVPVNSKSNDTGSSQVMPASGQMAFQSVGDEIAFLNACRDAGVVHILGNTVIRARRDSGFVKKIAINYMYAFLRKICRENSAIFNVPHESMLNVGQVFYV >OGLUM09G20410.1 pep chromosome:ALNU02000000:9:22863578:22869522:1 gene:OGLUM09G20410 transcript:OGLUM09G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6M9] MARKHGWQLPAHTLQIVAIVVFFLLVVAFYAFFAPFLGKQILEYVAIGVYTPVAFAVFILYIRCTSINPADPGIMSKFEDGFINVPANSDGLQGINLPQKGNSTIGTQSPTSTCRSSLDGHSNQRGLSTRDANVNLSSQLPKKRSSCYFLGGLLCALFVMEDCRKPDESEQAANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFISLMAISLFWLAIEFGVGIAVIVLCFVDKNALSNIQDKLGNGMTRAPFAVIVGLFTLLSLVACIPLGELFFFHMILIRKGITTYDYVVAMRAMSEAAPEDDEEAHITYSPSNSATTGFSVGSSLGLHHKGAWCTPPRIFIDQDEVIPHLDPGMVPSTVDPDAAGYAERANKSKKPVKISARSLAKLDRNEVMKAAAKARASSSVLRPVDARRGHEGDLSSSGNASVRSSMSVDYSGTKESRGEMRLSPLQNSYPQSLASQDDYETGTQTASSLSSPVHIHKLASHSQFHAPPHQPPPPERPVPGIVPGIVRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRAVPGLDLPARTPRFLANPTGESSNHGKNLAPANASSSAISSGQPSERLTYTGQSIFFGGPILSTSGTNAQRSEAGTRARPDGSRDPPNAFQRDTRGERARTGSFPVFAPGTFQKNPPFDK >OGLUM09G20420.1 pep chromosome:ALNU02000000:9:22869941:22877013:1 gene:OGLUM09G20420 transcript:OGLUM09G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT5G18820) TAIR;Acc:AT5G18820] MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >OGLUM09G20420.2 pep chromosome:ALNU02000000:9:22869941:22874034:1 gene:OGLUM09G20420 transcript:OGLUM09G20420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP-1/cpn60 chaperonin family protein [Source:Projected from Arabidopsis thaliana (AT5G18820) TAIR;Acc:AT5G18820] MSLTTVSFSYPAKPLPKWPCTLPKPPPRARCRFVVRADVKVISSGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGITIAKAIELPNAVEHAGAMLLQEIASKTNSSVGDGTTTAIILAREIINLGLLAVATGANPVALRKGIDKAVHELIGILKTKCIPVSTKEDIKAVASISAGNDEYVGDLIADALEKIGPDGIIKIESSSSIYTSVEVQEGMKIDKGYISPHFITNQDKAIVEFENARVLLTDQRVDDVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWCLQGATSDQLGMAQKITITSDTTTIIAHPSMRPEIEARIQQLKKDLEETTSAYLKERFSARIAKLSRGIAVIKVGAATEAELEDRKLRAEDAKNATFAAISEGITPGGGVTYVQLSKYIPSIMDLVDDSEEKIGVNIVGKALLVPAMTIARNAGADGPAVVEKLLASEWRVGYNAMTDQFEDLVDAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPQIPGIPPLQINQNA >OGLUM09G20430.1 pep chromosome:ALNU02000000:9:22874374:22875807:-1 gene:OGLUM09G20430 transcript:OGLUM09G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEALTPPRPGKRPRHADPVMLPDDVVVDHILARVPAAAVVRLRAVCRAWRAALTSDHFVRAHRALRAAAAGDGQPEIVFFAPAAGGSGAGTAFYTCKLASSDGSGSAEARELVTVGNLPADDLVVLTKPCHGLTLLFQAGSSEYYVCNLSTGEHVALPPCAPAAKPDPYGGAYVRSSTGLGFDTAAGEHKVVRLYEEEERGQQRCEMYSLVSGRGGGGWRPSAGRVPPGVTKCLEGRPPTGRLGVVEAGILLRSPERAILSLSVATEQFGWIRTPAQLAREVRHLAELDGSLCAVVDLRLVAEEYELWTWSSPSWSRRCRISLASLERPMREELGLGGLRLLPLCTSPADGRILLATSRHKVYAYDAGSNRVDTVWRMHELVDVPAEPALMLNIALHEESVAVVVGGGDVGRRRRLKMEVGKSGEVVGKREGRLERHPSDVKPDAFEMMKRMIGLAQMMFHN >OGLUM09G20440.1 pep chromosome:ALNU02000000:9:22877605:22880693:1 gene:OGLUM09G20440 transcript:OGLUM09G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGDEGFPPPADTSRSSAPPLSASPCSGSIPAAGEGRHFRDIPPVDSRPVAWFFFADVIQAQLPALLAGDAFAVPVRRDAVLGSIPGGEVRGISWRAIVCTTTTSSRGQDEDAAGRALSLPAGPYVVLTKRARGSWPALLRTVADDGSLVRPSGYAVDALGEYVASSLRGFLGTVGGGYRALAVPDGREGDGRTWRIFLESGQAAGADSWPAHRRHSSADLLGHRRLADGGTSRRRRRFQEQRQNRAVPVPGVVWSRSSAPSRQRQRQRRTRWDEPPSPAVATPPPPPRCSSCDGGGEERADIDMVMTCCGAVLCRGCAEVNPCGCPEWQNRRGFAVLIPTDYHHVDVDGDCIVEGAVMLQRPRWHQFMAMRIGMDVFYGFYSVEDVYHSSSGVTYQIHRYEMVEGRRCRRFHCLVFRIPSGVILCNFSFPSFVDYTPAVVVALTSYFSRQRLGLRSIVIVHRIGRVSFIS >OGLUM09G20450.1 pep chromosome:ALNU02000000:9:22900269:22904431:1 gene:OGLUM09G20450 transcript:OGLUM09G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQELVKRSFREDASMAGAIFMSNTATRELCFRSNIFGLPIEYQPFVRNIRQGMPLFLFDHTERKLYGVFEATSDGGFNIIRSAFSSIGCSYPAQVCFKIIWKCRPLTEDEFSPAIKENYYMPWKFYFDLSYQQVVRLYQLFDEKRVEHPIHNHSKSANLENDPFRKGTQERKSLSPNIPHFPADQPGLFMPASTPRFSTVEASYCASTSMHQAPHPNMSMPLGTNPFGVQIAPVHNSHHDQAELPYNNNMLFPGYLPSGHVARDTTQELGLSANHSYPPSMGYAYGCLPPPGHRPQDAIAGDVNYAPLYPQFPLPNEQGSATNRRDYYDVHCKQCQFEDIYESEHQHFSKAKVLAPPILNQQDVPVYPAIAESAFDQRKECFTEEDSENARQKQSFNHTDMVSSGLGNSNRAYMPDHLNKNPDIRSESNTIAVGQHAQSSVFSRLSRIPPPLHQEIPGPSLNKLVLSLSQRAEHWGNQDKIITNDVCEQLVSEQVMDTPYPLAELNQQSGLIEEEIEGLPFMNFKRRSETRNLDANLGKEIRGQVKRRKLVRPSFGEVNNAGSSGKELEAKVLEGEKHSNDEHDENKFSIDLNKPAAIDGDVAKEDDTTTALPHPSVAIKMHKEKPSEENMSKPNSPNTTEEMKKQDPSLDSATHTEKISLELDVADLNTIDQSKLQAILSSSLLQALDKLRREKLNNSEEAEEVKITT >OGLUM09G20460.1 pep chromosome:ALNU02000000:9:22905476:22916018:-1 gene:OGLUM09G20460 transcript:OGLUM09G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSMSSSPWDATIVVLPETAKGTHGDGSFRALLPIDAARAVVEARAASGLGWTLPSLAAVEVRCRECGAGAGACSFCAGVKIWTLPWKGHISTTEEASVMEISKDMADCMGIKPKTSVRITLLDDLPHITKAIITPMDDDADLSASLTPHHIATQIGVMYPGMSFPLLVSKEKIGIFKVLGTLPMTTGQITSGTKIMIWKGSDEKTVGETLYPPILHPIIATPLQMKMMDDPALSNVVGRASKLLYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESGDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVLTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >OGLUM09G20460.2 pep chromosome:ALNU02000000:9:22905476:22914568:-1 gene:OGLUM09G20460 transcript:OGLUM09G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEESCALAAVRWWFSADRCDVSRDVIPFVSEQRKDRDLQGQITSGTKIMIWKGSDEKTVGETLYPPILHPIIATPLQMKMMDDPALSNVVGRASKLLYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESGDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVLTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVIYVGSLNYFSLTVPCRAGPCRGSSLGMARLSGYAGRGPTPVRPCLCRAVGPRVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >OGLUM09G20460.3 pep chromosome:ALNU02000000:9:22905826:22914568:-1 gene:OGLUM09G20460 transcript:OGLUM09G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEESCALAAVRWWFSADRCDVSRDVIPFVSEQRKDRDLQGQITSGTKIMIWKGSDEKTVGETLYPPILHPIIATPLQMKMMDDPALSNVVGRASKLLYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESGDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVLTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVIYVGSLNYFSLTVPCRAGPCRGSSLGMARLSGYAGRGPTPVRPCLCRAVGPRVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEVFSYYEYKLLCISLLI >OGLUM09G20460.4 pep chromosome:ALNU02000000:9:22905476:22914568:-1 gene:OGLUM09G20460 transcript:OGLUM09G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEESCALAAVRWWFSADRCDVSRDVIPFVSEQRKDRDLQGQITSGTKIMIWKGSDEKTVGETLYPPILHPIIATPLQMKMMDDPALSNVVGRASKLLYTHLPHEATKGKMTFLLHGPCDKKAVVEGIGRTLGLPVASVDASSLIKDFPSDSVSQINTCIQRPESGDGPLLIFLDRFDELVPHNRCGSTVMTDITTTQLKLLLDDPWVIFGHRITILWINLICPTILSRIRTRFYCGNDEKEGDWDGVGMSLLKLLETNKSIDPNSRWVLTNAILYGNTSLSKSDVTKLFAATQSFDSIVIDAMQVLKDFKNTGSKAVSDLFLRATARRPCIILIQQLDAINNETLISLILHEMNNMDRDLHVFASTRWWQLIHHMFLESRLFTRRVFCGVDSKKDECDFVGQALFEIQQRMGTASDKSTSS >OGLUM09G20460.5 pep chromosome:ALNU02000000:9:22915162:22916018:-1 gene:OGLUM09G20460 transcript:OGLUM09G20460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSSSSSMSSSPWDATIVVLPETAKGTHGDGSFRALLPIDAARAVVEARAASGLGWTLPSLAAVEVRCRECGAGAGACSFCAGVKIWTLPWKGHISTTEEASVMEISKDMADCMGIKPKTSVRITLLDDLPHITKAIITPMDDDADLSASLTPHHIATQGLATHAYAS >OGLUM09G20470.1 pep chromosome:ALNU02000000:9:22919504:22920763:-1 gene:OGLUM09G20470 transcript:OGLUM09G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDATCVSRWPDLPADLIREISGRLREVADYVHFHAVCKPWRDAVVSPPPLFFPWLVRCLDESTPPWREPCWADEDKLLFRSVSGHKATFRVSRASCLGEKFAVRDTDGPGGRVLAVCRDGASLVNPLTGAATHLPRCFPENMAGYLGSVDGVVTGDGTVLLYYLSISSCTFYRAAILRAGDDAWTSVHTCIDSETMSFWQQWSATYHDGKVINAGRQFYRVGMLSIAPGDVFTGRLEKRSLPQLYDDPASYSYFFELGGELMWAYVHVAAAALFDHGHGHGPLKGGDLVGSGAVSLWVYSREKKSGRWVKREGRRLLGSSVLFLGWTSSFAVEAGQLAGEVDGGCAYLMIDSVGRGLGRRGFLVLERCTVYRYRLEDDTITMLDELPPGWLASCCTWFLPRPTIHAGPEPKLSKPYA >OGLUM09G20480.1 pep chromosome:ALNU02000000:9:22922041:22923865:-1 gene:OGLUM09G20480 transcript:OGLUM09G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAPQPHVPFTDEDLGSEESMWSLYERWRGAMLPVHTSSSSHLGDMESRFEAFKANARYVSEFNKKEDMTYKLGLNKFADMTLEEFVAKYTGTKVDAAAMARAPEAEEELELELAGDVPASWDWRQHGAVTPAREQGTCELLGVLGGWRGGGRERDRDGEAGDAVGAAGAGLLRRRRLHRRRVVFPGPAWLRREAGDQPGWRNTPVPVVKMDGAVDVPASEAALKRSVYRAPVAVSIEATQSLQLYKEDWGDNGFGRMKRDVIAKEGLCGIAMYGVYSVKNGHKNCSYPATPAVVASY >OGLUM09G20490.1 pep chromosome:ALNU02000000:9:22924071:22952216:-1 gene:OGLUM09G20490 transcript:OGLUM09G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHNKYTLVGLSAVLLVAAAAQDVDLTVTDKDVESEESMWNLYERWCSVYHSTSSSPWDLADVESRFEAFKANARHVNEFNKKEGMTYQLGLNKFADMTLDEFVAMYAGAKLDAAVLASIPEAEEEEVVGDVPTAWDWRQRGIVTPVKNQNPCESAYAIVTVKLYTLSEQQVLDCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSAKKQACRTAAGTPASVAYANEAALKQSVYKQPVSVAIEASSNFQLYSKGVYNGPCGTSVNHAVIAVGYGVTQDNINYWIVRNSWGPGWGERGYIRMKRDIAAKEGLCGIAMYGVYPIKRTAAISSVVDDLTHMEMKNPLAVGLLALLVAAMAVAAAAHDDDDVPFTDEDLESEQSMWNLYDRWRAVYASSSSHLGGDIESRFEAFKANARYVSEFNKKEGMTYELGLNKFADMTLEEFVAKYAGAKVDAAAALASVPEAEEEMVGDVPAAWDWRQHGVVTPVKDQGSCGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATSDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTVAISMN >OGLUM09G20490.2 pep chromosome:ALNU02000000:9:22924071:22952216:-1 gene:OGLUM09G20490 transcript:OGLUM09G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHNKYTLVGLSAVLLVAAAAQDVDLTVTDKDVESEESMWNLYERWCSVYHSTSSSPWDLADVESRFEAFKANARHVNEFNKKEGMTYQLGLNKFADMTLDEFVAMYAGAKLDAAVLASIPEAEEEEVVGDVPTAWDWRQRGIVTPVKNQNPCESAYAIVTVKLYTLSEQQVLDCSGAGDCSGGYPSKVLTDFAVKKGIALSQYYPAYSAKKQACRTAAGTPASVAYANEAALKQSVYKQPVSVAIEASSNFQLYSKGVYNGPCGTSVNHAVIAVGYGVTQDNINYWIVRNSWGPGWGERGYIRMKRDIAAKEGLCGIAMYGVYPIKRTAAISSVVDRRDSGMRNLSLTSKREEMRERGGSCWAFSSVGAVESAYAIATKKLLRLSEQQVLDCSGGGDCGGGYTSTVLSEFAVKKGIALDASGNPPYYPPYQAKKLACRTVAGKPVVKMDGAASVPSSNEVALKQSVYKQPVSVLIEANSNFQLYKQGVYSGPCGTSINHAVLAVGYGATSDNTKYWIVKNSWGTGWGEKGYIRMKRDIAAKSGLCGIALYGMYPIKKTVAISMN >OGLUM09G20500.1 pep chromosome:ALNU02000000:9:22943350:22964274:1 gene:OGLUM09G20500 transcript:OGLUM09G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDERGARRADRKVVFMTSELNEVAQSQQEKICSAAVPLVEHFALVLGRKANHSRGMGLRAINGVAEERLRLLAQVEAAEKHAAAAQERADAAEQRAVAMEDQVTRETIPSRRLGLWSLRWLAVLRDGGHEEAPTECSGTKAMPTGAWEVEVQFAENKATSSGYSREEATPVGCWKAEAKPAGTWEDYLVETTRLLSLGYDTPALICRVVRGALSQLGGMGLHKHKRKDEARSEYGACSQRSWPGLATVEAVHQ >OGLUM09G20510.1 pep chromosome:ALNU02000000:9:22952251:22989564:-1 gene:OGLUM09G20510 transcript:OGLUM09G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCITLLAVVVAAMAVAAVQDDDEDVPFTDKDLESEESMWSLYERWSRVHGLTSRDLAEKQGRFEAFRANARHVNEFNKKEGMTYKLALNRFADMTLQEFVAKYTGAKVDAAALASVAELEEEDLVVGDVPASWDWREHGVVTAVKDQDGCGSCWAFSAVGAVESINAIATGNLLTLSEQQVLDCSGDGDCNGGWPNLVLSGYAVEQGIALDASGNPPYYPPYVAEKLDCRTVAGKPVVKTDGTLQVASSETALKQSVYGQPVSVLIEADTNFQLINHAVLAVGYGVTPDNTKYWIVKNSWNTTWGESGYIRMKRDQMDMTMRKPLVLAVALSAMLLLAAAAADVVDVTVRDSDLESEETMWDLYERWRRVYASSSQDLPSSDMMTSRFEAFKANARQVNEFNKRKGMSYTLRLNKFSDMSYEEFAAKYTGGMPGSIADDKSSAGAVTCKLREKNVPLTCDGGRLLITLLIAAMAMGAVKADDVPFTDEDLESEKSMWNLYERWCSVYYASSPRELADKVSRFEVFKENARYIHEFNKKKAGVTYKLGLNKFADMTLDEFAATYSSGAKVDDVDEALTTTCAAELEEEEEPVVGDVPATWDWRQHGAVTDVKNQGKCGSCWAFSAVGAVEGINAIATGKLLRLSEQQLVDCTGPKDDCDQGGRAERAMQYVVNNGIALDASGNPPYYPPYVAKKMACRTQRGRQAVTLNCIKRLPLYNETALMERVRKQPLNMGKSQCVGGYGKDYWIIKNSWGKNWGEKGYIRLKRNVPAKEGKCGITLQPWYPVKGLSAVLLVAAAAQDVDLTVTDKDVESEESMWNLYERWCSVYHSTSSSPWDLADVESRFEAFKANARHVNEFNKKEGMTYQLGLNQFSDMTFEEFAGKFTGGRTGSIAGDLRDGAVTYCKPPAVGYVPPSWNWTKYGVVTPVKNQLTCGSCWAFSVVGAVESINMIRTGNLLTLSEQQILDCSGAGDCNGGYPYDAFDYVIKTGISLDNRGNPPYYPPYQNQKQKCRFDPRKPPFVKIDGECLVPSGNETALKLAVLSQPVSVVITISDEFRSYRGGVFRGPCGSNPNVDNHVVLVVGYGVTTDNIKYWIIKNSWGKTWGEYGYIRMERDILNRNGICGITTWAICPLKNKPRLASADDAAATSMRKQLVVVLALLVAAAAAMAMADEEDVPLTDKDLETEERMWSLYERWRAVYAPSRDLSDMESRFEVFKANARYIHEFNKKKGMSYVLGLNKFSDLTYEEFAAKYTGVKVDASAFATATSSPVEELPVGVPPATCWVFSAVGAVEGINAIMTGNLLTLSEQQVLDCSNTGDCIKGGDPRAALQYIVKNGVTLDQCGKEPYYPAYVAKKLACRTVAGKPPIVKVDAVMPVANTEAALLLKVFQQPISVGIDASADWQHYKTGVFAGSCKTAPLNHGVVVVGYGVTTTPDKTKYWIVKNSWGKGWGESGYIRMKRDVGTPGGLCGITTYATYVTKKCPCPANPPTIIASY >OGLUM09G20520.1 pep chromosome:ALNU02000000:9:22967069:22967824:1 gene:OGLUM09G20520 transcript:OGLUM09G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGELPRASRDRAVAGMREGGAARSRSGADGEVGRRLHRRRAGSRGRARLGRRLERGGKEAAAQLRRLRCLVAAVADALVKLRTPTSCPIDIVL >OGLUM09G20530.1 pep chromosome:ALNU02000000:9:22968120:22969810:1 gene:OGLUM09G20530 transcript:OGLUM09G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRQGNRRVDTANQPATRQRSRQVGTVGEQMAIDHAIDRSEQDIDDQQASDVAMDDAEQIASILLNSRCRAPRRGGRKVTINTKLVKKRARREMLDISFPQPFGKVCGKHAKLFKSEVTIIVRNHVPLKAKKWKTIEKQHPGTMANVWKKLKDAFPELRNEDEDCATKQVXIYISDDVPDI >OGLUM09G20540.1 pep chromosome:ALNU02000000:9:22989693:22991052:-1 gene:OGLUM09G20540 transcript:OGLUM09G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLVVLLCVVVAAAMAVAASAHHVPITDKDLESEESMWSLYERWRAVYGAASSSRDLADKGSRFEAFKANARHIHEFNNKRKGMSYWLGLNKFSDMTPEEFMAKYTGAKVDTGVSGGARAASEAEEEQLLVGDEPPATWDWRQHGAVTDVKDQDGCGSCWAFSAVGAVEGINAIMTGILLTLSEQQVLDCFGAGNCSGGWPDQAQQYIVKNGITLDRCGKEPYYPAYDATKHPCRTVAGKQPIITVDDVKWVNKSEAALLLKVYQQPISVALDASGWQFYQGGVFTGPCQTPPPLNHAVLVVGYGVTTTSDRTKFWIVKNSWGKDWGDHGYILMKRDVGTPDGLCGITTHATYPTKKCPCPPTTPTAIASY >OGLUM09G20550.1 pep chromosome:ALNU02000000:9:22999519:23000428:1 gene:OGLUM09G20550 transcript:OGLUM09G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRLLEQLAGAPCCATSDSDKNTIFSSPRVTVIAVVKMYLSRWSHSTEVPTHGHTIATECTELWRGCEGVRQSWLAELGWPAVGVWRCRRPGVGRRGAAGADKWNRRMEGSFVVADLLVGQPRDTKISGVVSDLVDGKGSSRLVVPFSYLLEPLPFLDRSAPRL >OGLUM09G20560.1 pep chromosome:ALNU02000000:9:23039867:23043632:-1 gene:OGLUM09G20560 transcript:OGLUM09G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVSSSCGWPNLPPDLLREISGQLHDAVDLSRFHTVCTAWRDMVRLPAAEPQCPALLPWLVARGWPGCFSRSHLRSIFSNATPAWHSPRPTTRDMWLASTDGAGVWVLTADGDRLVDPLTGATVRRLPRLPRTSNNDHVCYIDGVVCGDGTIVAYATSDGFKHTSQIEAAILRPGDTAWTTVESKGMNYSALGYGCCTTYHQGGVVFADLYQTFVARVLVDDAGGGGRVVESRTRRWPPDYGFLYKRLLSTYTFEFRGKLMSTCVEIPWQSSAYQIDAAALSVSLYTLETAAAAGDGDELAHLWVRAADGGRLLGEHALFLGCPTSFAVDAARFGAGGEVSGGCAYFVIWTMPDVCHVYKYSFHDDAATPMAELPFEWTFMIKRTLWFLPQYSSFLDLHPLLAVEFAEQQPRQEWILTEAALAIAPTTAHSFSPKDFSIYVGNLRWDVDRLRLLKFFGEHGRVLVAQVVCDRQTGRSRGFGFVSMATLREPDDVIASLDGQVRRHN >OGLUM09G20570.1 pep chromosome:ALNU02000000:9:23044238:23052265:-1 gene:OGLUM09G20570 transcript:OGLUM09G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGSTKTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATPDFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPIKSQLAIGYDGEPCSLYYPLIMTGEAAATTPLPSCPDEMKTWADRFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWTLMDRHLIVNFHYLLGDVRRVLYTDGGKMLLHNGKDYWCVVTTGAAATAGEGKWSRWWPEEAGKEIQSSHLLEHRGELLWAFVLADSGYCSDVRGCRVAGRPLASALLVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGGGGCAYFVHRWAWATAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKIKSKHGHGGFVVVTDAREMCERGSRGRSSRGFGFATMAIAADAEPDDVIAMLNGQILDGRPLRVKFADKDQRGSSSSLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDKIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNEWTGKHCFNGRSLHHISEDSLNPYEQAISIISKTLSTFDEDNRIPCFGFGDTSTHDRNVFSFYSGRRQYCNGVSEVLRGYREIAPHVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRIRGAFKDASSSPSSKTCHFYLVIFRTDGYARTPIWAVIWAHGLGIRKAKGEEGNIMIHEPNPTNLLLPPKSSPLIPFLRFSSIPFPTMASSIAMSLRSLAATDAFLPKPSPSSAPFLLLLSPSTPRLRLHLHLRSTRRLPLAPLAASDSFESASSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADEEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLDGRALRVNVAEERPPRRGF >OGLUM09G20570.2 pep chromosome:ALNU02000000:9:23046812:23052265:-1 gene:OGLUM09G20570 transcript:OGLUM09G20570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGSTKTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATPDFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPIKSQLAIGYDGEPCSLYYPLIMTGEAAATTPLPSCPDEMKTWADRFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWTLMDRHLIVNFHYLLGDVRRVLYTDGGKMLLHNGKDYWCVVTTGAAATAGEGKWSRWWPEEAGKEIQSSHLLEHRGELLWAFVLADSGYCSDVRGCRVAGRPLASALLVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGGGGCAYFVHRWAWATAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKIKSKHGHGGFVVVTDAREMCERGSRGRSSRGFGFATMAIAADAEPDDVIAMLNGQILDGRPLRVKFADKDQRGSSSSLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDKIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNEWTGKHCFNGRSLHHISEDSLNPYEQAISIISKTLSTFDEDNRIPCFGFGDTSTHDRNVFSFYSGRRQYCNGVSEVLRGYREIAPHVRLSGPTSLAPIIETAMRITQDSGYQYHILLIIADGQVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRIRGAFKDASSSPSSKTCHFYLATQA >OGLUM09G20570.3 pep chromosome:ALNU02000000:9:23046812:23052265:-1 gene:OGLUM09G20570 transcript:OGLUM09G20570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEPKKRTSARRARRRASAGSTKTKASEEEEHVVVVVPPWADLPFDLLADISRRLHATPDFARFHAVCKSWRRTLPPHPPTFLPWLLSPGDATGHRTARCVFSKSSRHPAAAPIRIPIKSQLAIGYDGEPCSLYYPLIMTGEAAATTPLPSCPDEMKTWADRFFFSVSGDGTVFVYALGETYWDQYDHAYRCHFHAAILRPGDEAWTLMDRHLIVNFHYLLGDVRRVLYTDGGKMLLHNGKDYWCVVTTGAAATAGEGKWSRWWPEEAGKEIQSSHLLEHRGELLWAFVLADSGYCSDVRGCRVAGRPLASALLVSVYALEAEGGGSWVWVRKDGRSMDDRALFLGRPVSLAMDAAQLGVGGGGCAYFVHRWAWATAGRERCRVLRYSFGDATSEVVELLPRAVAQWWSEGGDGCIWLASPPPPAIALAPTTIEEIKERGLQVVEPNVQLMRIHVGNLPRKVDSHGLRRFLMSKIKSKHGHGGFVVVTDAREMCERGSRGRSSRGFGFATMAIAADAEPDDVIAMLNGQILDGRPLRVKFADKDQRGSSSSLLSSRIGMFFSTFLLLFWTIYSWTVRLNRDKIGNLRINNSWDSQRYDTLDQVKEALEKVGLESSNIIIGVDFTKSNEWTGKHCFNGRSLHHISEDSLNPYEQAISIISKTLSTFDEDNRIPCFGFGDTSTHDRNVFSFYSGRRQYCNGVSEVLRGYREIAPHVPRCCGANSANNRDENYLEERTLQALVQASHFPLSIVLVGVGDGPWDEQLMHCQEDRQLFDNFQFVDFTKIIMSREMPETEKEEQFALEALKKIPSQYAAIISKRIRGAFKDASSSPSSKTCHFYLATQA >OGLUM09G20570.4 pep chromosome:ALNU02000000:9:23044238:23046354:-1 gene:OGLUM09G20570 transcript:OGLUM09G20570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIAMSLRSLAATDAFLPKPSPSSAPFLLLLSPSTPRLRLHLHLRSTRRLPLAPLAASDSFESASSSSAAALDFAEPGAAEESDVPEESDQEEEGYAPEAEEEAEADEEVEEEAVEASAEVAEEAEDVEEVGEYVEPPEEAKVYVGNLPYDIDSERLAQLFEQAGIVEVSEVIYNRETDRSRGFGFVTMSTVEEAEKAVEMFHRYDVDGRLLTVNKAAPRGARVERPPRQFGPSFRIYVGNLPWQVDDSRLVQLFSEHGKVVDARVVYDRETGRSRGFGFVTMATQEELDDAIAALDGQSLDGRALRVNVAEERPPRRGF >OGLUM09G20580.1 pep chromosome:ALNU02000000:9:23054478:23057794:-1 gene:OGLUM09G20580 transcript:OGLUM09G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGDAVLSDVDEEDPLPPPPPPSTSSSSSSQKSPSPSQPQPQPHAQAQRLHDLAAELEEERRLRRKAEESLAEAEKRSERVKALAQNVLRKHDDLKTEASTASSMLTSGFERISAKAFPSAAAAASPAPLPTSQKYSSGLPAIAYGVLKRANDIVDDLLSQIDAANRDRDRAREQMEHRNYQIAIEVSELEASLASRSAHCDSLSKSLSDKDAEISDLRNNLAFLETKLDAQRPVLADQIACASKVYDEIREVVKLVDSDAASALSDSVFVWKETDVEESLKVSLEGTKMAYDLATTALHKVGAWVDKKESKVRDLEARVDELLREKEHIGVLLRSALQSNTSEVLKVAEDGLREAGIEVGLRERREHRPGSMEKDEVYTLAGELENSMKESQVKIVELQHLVEALRAESGLLRTRLEGQEKEIAQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGKAIEQEFETQLSSLNKELDEAKQAMLELENKLKFKEETAAAAMAARDAAEKSLKLADMRSTRLRERLEEINRQLEESDNRRDSSNRNGHRYMCWPWQWLGLNYVRLPPTEADQTSNEMELSEPLI >OGLUM09G20590.1 pep chromosome:ALNU02000000:9:23059853:23075961:1 gene:OGLUM09G20590 transcript:OGLUM09G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3012 [Source:Projected from Arabidopsis thaliana (AT5G40480) TAIR;Acc:AT5G40480] MASPSAAAAVAAAVVMMVAAAALCFSAAAAASPVGGPHMADLSVLLPPRMTKPVEHRLIGVDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIEIGQEVVNAQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKHHHWHVTNSSVAQVDSSLGVLHALSLGFTNIVVEDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAGSYDVQTSRLLTPISKGKGYLDASLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNGHPFSRCNCLNAFIRWSLLSENESFEVVGTADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLAATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNMVNNSPKELYLVPGSTMDVLLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYRVSCPSKGNFKLLFSRGNMIGKDHPEPAVSQSELAVVCDFPSAITLIANENESRLVILEAASRAERKHNRLQASPVVISNGRNMRLAAAGVHGNGRFFANSSSLCLSWEVTECEGLAYLDEDKDMLDDSSWERFLVLQNSTGMCTVRATVIGFSSRVDGRTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQKTLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFHISAGTQDGQVFRDSQYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRLVDSDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDLSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQSEEIEVIYDTGERRETSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMTDPASPATGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >OGLUM09G20590.2 pep chromosome:ALNU02000000:9:23059853:23075961:1 gene:OGLUM09G20590 transcript:OGLUM09G20590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3012 [Source:Projected from Arabidopsis thaliana (AT5G40480) TAIR;Acc:AT5G40480] MASPSAAAAVAAAVVMMVAAAALCFSAAAAASPVGGPHMADLSVLLPPRMTKPVEHRLIGVDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRNLGSDLFVVKGIEIGQEVVNAQLFEPQFEHVNDTITLTVAEAMSLEPPSPVLVTVGAMVKFKLKHHHWHVTNSSVAQVDSSLGVLHALSLGFTNIVVEDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAGSYDVQTSRLLTPISKGKGYLDASLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNGHPFSRCNCLNAFIRWSLLSENESFEVVGTADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLAATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNMVNNSPKELYLVPGSTMDVLLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYRVSCPSKGNFKLLFSRGNMIGKDHPEPAVSQSELAVVCDFPSAITLIANENESRLVILEAASRAERKHNRLQASPVVISNGRNMRLAAAGVHGNGRFFANSSSLCLSWEVTECEGLAYLDEDKDMLDDSSWERFLVLQNSTGMCTVRATVIGFSSRVDGRTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQKTLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFHISAGTQDGQVFRDSQYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRLVDSDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDLSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSSINHINVPFDCKVEPSFVGYVSHHRLVIQLAFPLDYYRDALKSHSPFSLRFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQSEEIEVIYDTGERRETSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMTDPASPATGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >OGLUM09G20590.3 pep chromosome:ALNU02000000:9:23059853:23075961:1 gene:OGLUM09G20590 transcript:OGLUM09G20590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 3012 [Source:Projected from Arabidopsis thaliana (AT5G40480) TAIR;Acc:AT5G40480] MASPSAAAAVAAAVVMMVAAAALCFSAAAAASPVGGPHMADLSVLLPPRMTKPVEHRLIGVDGCFTWAWDHHDIISVKPEYNDSSRCSTSARLASIAPYSGRKETSVYATDIISGITIHCKVFVDRISRIRIFHHAVKIDLDEVATLRVHAFDDEDNVFSSLVGLQFLWQLTPRWVDTNSHHLVHIPLKETHLSDCSGFCGDMNIRFELEDRHHHWHVTNSSVAQVDSSLGVLHALSLGFTNIVVEDTRVSGHAQVSSLHVVIPQALFLYLVPVVDDSAHFHGITSIPSSEVWYVFPGRKYVVLAKAFAEGFDFKEMFITEENELKLASSTVEFWNLSQVPDSSAGSYDVQTSRLLTPISKGKGYLDASLTYRTEASGPAKVLKLQQEVNVCSKVKAIWDEEMDNSRTIYLPWVPGAYQEVELKAVGGCGKMPEDYKLSSSDESVASVSDSLIVRTKRPGRAVIKVVSVFDALNFDEVTVEVSTPSAQAILPNFPVEVPVGTQLQAAVTLKTSNGHPFSRCNCLNAFIRWSLLSENESFEVVGTADALSTETLKHYAGSWAQYGNPCAWVSLNASAAGQATLAATFSFDSESYSEIFSGPIFLKSTSKISAYYPLVVLQAGSGNRFGGYWVDLSRIHSGIQNMVNNSPKELYLVPGSTMDVLLSGGPEQWDQLVDFVETVDVIGESKNYVVSSTAVQKLSSRLYRVSCPSKGNFKLLFSRGNMIGKDHPEPAVSQSELAVVCDFPSAITLIANENESRLVILEAASRAERKHNRLQASPVVISNGRNMRLAAAGVHGNGRFFANSSSLCLSWEVTECEGLAYLDEDKDMLDDSSWERFLVLQNSTGMCTVRATVIGFSSRVDGRTREEEHMFLQSARDTLTDAIQLQIVSSLRVTPDYVLIVFHPEAQKTLAVSGGTCFLDASSNDTQVVQILQHPGKALCSQLILGARGLGTATVTIQDIGLSPRALTDSLVRVANVDWIKINSEEHISLMEGSTEDFHISAGTQDGQVFRDSQYKYMGIEVHLGDETLELINSHELLDGPKFSVKAAKIGTTSLYVTAKQYSGQRVLSQVVKVEVYKPLQIHPEYIYLTPGASFVLSVKGGPKVGVVIEYTSLNVETVEVQNSTGKLSAKTVGNSTMRAVAFSNEGTFICEAFGRVEVDIPVAMILSTQSDRLCVGCSMPIYPSLPKYGLDQGLYSEGKNYPWFSNGSSNAFINHVIGRSAGKTKISVSITCDFLMTGSSGSIAYSASKTILVVPDPPLALGLPITWLFPPFYTTTDLLPRLVDSDSDDLESTIGYSLLRNIGKSDLVLQNANIIDGSKIRTGESNAIDCIQAKDLSTGRTEIASCLRVAEVAQAQIAAAESSIHIAYLSVHDKVELDIKYSDELGYTFSEALGIVPVKIETNHPDVVSILMPKEGNGTHGTHERFVLQARSHGTALVRLQISHIPKKADFIMVSVGAQMYPRDVVLRSGQQLNFTIIGDRMDVRGSSQWLSSNEKVVHINRITGEAQARGEGIAEVIFKGPNTKLHTTVTVLKVNQIVVNAPAETLTNAAGPPGGYKFSVKLRSDSTGHSADSSINHINVPFDCKVEPSFVGFVEPWSDDAAKKSYCLFHPYSPAQLLPVKLNPKEGFLHIVVHANLKEDPKVTGSAHALFVKGFYIKEPRKLNLTPSCNHSIITIGGNTDVELFWNAKDLLSASRVDTNGRGVPSQISYQVEALKRQSFYDKITIILPATGQSEEIEVIYDTGERRETSTSGLTTLAAIVTCIVVPIATIALFMKLLEKKPIREAPPRHATPAPASAPAAAMTDPASPATGELSPRTPQPFMEYVRRTIDDTPYYKRDARRRFNPQNTY >OGLUM09G20600.1 pep chromosome:ALNU02000000:9:23076651:23081109:1 gene:OGLUM09G20600 transcript:OGLUM09G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAVAATLVPSWSAVLVLFSYLGYLAAAGAVLPGKLVPGAVLPDSSRLHYRCNGLLSLLFLLVLSALGVYMGWMSPTVIADRGIELLSTTFIFSVIVTFLLYYSGLRSHHKSSSLKPHVTGNFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMAWLFINLSLFAKSYLAGSANLSVILYQFFCAWYIVDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNKVELSLLAAVVNCFIFVIGYLVFRGANKQKHIFKKNPKALIWGKPPKLVGGKLLVSGYWGIARHCNYLGDIMLALSFSLPCGTSSVIPYFYPTYLFILLIWRERRDEARCSEKYKEIWVEYCKLVPWRIFPYVY >OGLUM09G20610.1 pep chromosome:ALNU02000000:9:23082373:23085490:1 gene:OGLUM09G20610 transcript:OGLUM09G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6R0] MMETSPAPRPAHIPRSTAVRPPCATPFSRVHWQTTCAAIRRVPRVNGDSNSSIKPALSDHAAQPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRDLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAADLYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSSLLDVAGAGEKLQANIRLASGMTRILAIFLGPWIQRINGAEGIPPTMRIAFSFCREHMWSIKTCADTPFLLLGCGAFSLRRRLKLSMAAMRCLQLQSSEASETFYDWDAWEALPSL >OGLUM09G20620.1 pep chromosome:ALNU02000000:9:23088360:23092176:-1 gene:OGLUM09G20620 transcript:OGLUM09G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSTRGRVLMFLLLVLMMAVGANRDSTAAGFARTVSKAEVLASPPPISPAVNAVIIIRNRVVLSIVLDPHDPLCFGGMLRDGMWTMTKSNSTDFSLTTPIVINTMLAGVLSAFWALYAWIFDPKSPNYFMIANSLAAGMSSIQFVWLLVLRNSHREVSGQIVEVESLRCVLLSVAKSLERLGAAAPTEEFLQQTKYLLRLQEGLSIGLQSLDLMESAFLRLEQELVKNVARTANHVLEMQDKQDAAANFVARSDDNNANDVLRVCRILREGCTTNKNAFDSMQEAIQHKIANAEGGENNLKDRINAAAAAIRGLAISMGYNMQTINGRGIPPTVKIAFNFCCEQILSVKTCADAPFVWLGFGGFSLRRRLNLRFDNVNWPDVPL >OGLUM09G20630.1 pep chromosome:ALNU02000000:9:23101357:23101735:1 gene:OGLUM09G20630 transcript:OGLUM09G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCGGRGRRRLPTGEEMSGDLRYNSSPAKPRIAIALQIHTQTTSVATAPAVVSSPSLPSSLVHFLLGDAAAHAPACQPFSPCTVVEKMGTVGRTGGVTVAATAQRHWSSRPRRARA >OGLUM09G20640.1 pep chromosome:ALNU02000000:9:23104244:23105464:1 gene:OGLUM09G20640 transcript:OGLUM09G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6R3] METLPAPRPTQIPRSTAIRPPCATPFSRADWQTTCAAILATTSTTNAKAYGAPRVNDDSIKPALSDHAAQPLDLDLLPVSNLPRPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPSCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRDLLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAAAAEHVAAAGLRDTAAIASSRAADLYGLQVLADGIQDDAGNVTRFVMLAREPIIPRTDRPFKTSIVFAHDREGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMAEVRAQNALSEIQEFTSFLRVLGSYPMDMTPWEYSSSGQTAGDTSSS >OGLUM09G20650.1 pep chromosome:ALNU02000000:9:23107377:23112930:-1 gene:OGLUM09G20650 transcript:OGLUM09G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAAPMETSSSAAARRSAAPDPKKPRLAQHPAPRDPRSYPAAANGNAPAPAVDQAQVDELVAQYRTALGELTFNSKPIITNLTIIAGENLHAAKPIASLICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSARLPEVFCKAYKQVDSSIHNSMRHLFGTWKGVFSPTSLQVIEKELGFQSSTNGSSGAAPSKPDSQSNRPSHSIHGQGILGAGAKTTTISDSGDDIERTSRTAVDRGAGRRLDALNPRTNVQRAQRDPFSNPIHEKQDRDMRVLGFSNISQQAVVGTGLVHAKPKGQDGSGRPYYTAGVGSSEEQFDRRSNFYANKDVRPSGSVRLDSALLPTPVSNSDRIGRPSSNKSWKNSEEEEYMWDDVRSQGADYGGTSSTRKREWMPDDGNVGSFQRVKWAEAGGPLDPDLHKLDSFQRFGNATGQDRRIAPYMDHEEYLHGKHEVEPRIDREMLPEGQPFSSSRGSSLWLSHEKPIPDIVSDPRISAFSNQPADRPTIYAGTLSTSITSSVPVGLSGAYAGRSSLESAATRSTETIGQQKNRYWSTSSPPVQSPSASFARQSSPSPVELDYSSKPFSQLGQNSLEDYNQKTHALAQNLALSQGRPNLLGAPSHASQQIEKHPSLLQSKPHLRTLDQPQANFSPENSSSLFKSSIQLPISVGVGLRQPEEVSLSSDSTLMSSDHLSASNLLAGLIKSGFKPNDPNDLASLRAQPPLPSGPPPHVSTSLSAASSSLHLPASDTLKSQAPNSLRPPLPPGLPLSSPFVCPTTQTSEKAAPLSSLLSSLVAKGLISSPSADSTVAIPQQPSKSELNTPDDTASAPSLPFVQPSVKKETSNQNSSAPSKALVHQPVEIKKEPAEIKMVDLIGFDFKPEMLRKYHAHVISTLFDGQSHQCNTCGLRFSLEEELSVHTACHGSKQTETRKTGIAPEKWYPSKNNWVDRSHEVQNSALESASSVADLSSEEEVCEFMVPADESQIICALCGESFDDIYSIEKDNWMYKDAVYFDSSKTEGSSGDSAESKERVPIVHARCMSISSNDGMEVD >OGLUM09G20660.1 pep chromosome:ALNU02000000:9:23116677:23118815:-1 gene:OGLUM09G20660 transcript:OGLUM09G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGDESDGWSDWEEKTIMDESLRPPTHVATAAMTTPPWVLLECDAYIATNHGNATTATSRTRAGHPIEVSFWAAPPPRVSYMCVHCPGLDPHKFAAEPTIIATEADLVLLRLALGPRDDSFKTSRQDYFIYHASSTAPKLSLIPPPVLLDNRFIDKQVGILRCLDDGTYTVAALCSAFKRGDLDYVLHLYRSGADAWTCHPLSIHGLVFDPSFSHVTSMVITVGGEAGTMGWVDINDGILFCDLLRDTAELRYLPLPPPLEHKDDMIVGCPGPLRDFALVQGRIKYIEMQVHAPLSTAPTPLKAGLLPLGVHHPPILGSKVDLWDDQNAWVLAVDMKNKRLKDVAEFGAGRTLGISSAYISTRISDYLPTAPGLKGNLKRRGVMLTVPSHKKQTRVVLSNPSWKGGDQQNSGTSMDDKEDNMDLDLDMFFG >OGLUM09G20670.1 pep chromosome:ALNU02000000:9:23119312:23129368:-1 gene:OGLUM09G20670 transcript:OGLUM09G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAVAGRRTTSYSLLSQFPDDAAVLQRQSSGSSYGAGSSLSASSDFPFHLPSAAAPAAGAPGGSPCKSWAQQAEETYQLQLALALRLCADAASAADPAFLDPGHSATATATAGPFPLPPPTPSADSLSHRFWVNGSLSYSNTIPDGFYLIHGMDPFVWSLCTDLLEENRIPSIDSLKSVRPDDSSMQAILIDRRTDFDLGMLENYASSFLSSSADMKDVINQLAKLVSSRMGGTTSNEESFLPRWKECSDAIKSSTGSIVLHLGKLPIGFCKHRSLLFKMLADKVNVPCRVVKGCKYCKSDDATSCLIRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVPSPLRPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFNEASTGANSNAAVAMDQPYSTRKHDTRDDIMSSWVPVKAYIHIMAQQSQAAVSSDAILPEAPREVLPLITSSNLKADKKKEFKLIEGNQYLRSTVSDLSLAVDDLIIPWNELILKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPDRFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHKRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTMQQPWCNLNPAQVVAAVGFKGRRLDIPKDLNPQVAALIESCWAKSVDIPCMNIRLAKLSMRCSTSLVCILTDRDLRLAATFDKNIILFVAQFVLPVRRCNIWRTITGGTCSSVGSSETKNLDCEAEAFGVVSLGGGFRWFIVVRHCPSSIRRIRFPNPPPLPIRSRMIPQGEARCRNPGPGESSGVGVAAWLEARAMTGRRGRSWRNESLQPPAHGAAATTPWVLLDIRSFMANHRNATTATSGTRSGHPTEVSFWTAPPPRVSYICVHCPGLDPKRFATEPTIIAAEADLVLVRVAMGRRNIRFKSSFHDYYFVYQATTPTPKLTLLPPPRIDRFIDHELGLLRCCASPDYIVAALCNTFESGYFEYDLHIYRSGDDAWTCHPISLHGLVDPSFAHVNTKAITIGGTAGTMGWVDLYRGILFCDLLRDSTKFRYFPLPPPLNPNDSLTGSPRPLRDIAIVQGRIKYIEMQVHGRPGSIINGTFISQGWTAATWTAPHANPWKHGWRQDCKITASDISVDDSKMNFELLPKLFDDQGTPQPTLERLHVGHPTLSLHSDDIVCFMAKVDQWDDDAWVLAVDMKNKRIKDVAEFGAERTLGIGYAYMSSNISDYLRKAPGIKGSLKRQGVVLTVPSHKKQTRVVYPSPPSWKGGDQQDSRTSMSDGEDNMDLDLDLLFG >OGLUM09G20680.1 pep chromosome:ALNU02000000:9:23131156:23133736:-1 gene:OGLUM09G20680 transcript:OGLUM09G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMGIMYASLYPPVHGRSLGKRKRRQRRMPRWVLLDKLAYFSDADVRNATTATSKTREGHEIQVTLCTAARPPLVSYVCIHSPTLNPKTDDFAMEPQIIAAHDDLLLIRLILGIGNRFTVSLADYFVYQAASIDDDIPPSLTRITHPGPMITFTNHEVTILHNIPEAQDIDEPLISNKQHYIITTLSPAATPRNIPLPPPLKQGKVISGADPKDVRDIAVDLQGHINFVELEVDALRHKTDRTGYISQDWTVAKWSCCINSESDDCLWHMDYKLNASDISHLMPPQLPNYCHPTKPSPTLERLHVGHPLLSLDNNGMAKVDHRDYKAWVIPVDMRKRLIHEPAVFEGAPRTLGISHTYIQTTISNYLQPVPGHR >OGLUM09G20690.1 pep chromosome:ALNU02000000:9:23145884:23146279:-1 gene:OGLUM09G20690 transcript:OGLUM09G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAHSTRCRFREDGAEHEVAVVCRGEEWGTRDGEVAVSIDGKKVVEARRVKWNFRGNRTVVLGDGAVVEVMWDVHDWWFAGGGGGGAQFMMKARDGDGDGGRVWMDEVMASKGHPPGGFFLHVQCYRR >OGLUM09G20700.1 pep chromosome:ALNU02000000:9:23146737:23159259:-1 gene:OGLUM09G20700 transcript:OGLUM09G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCFGDGAVSLAASAAAAGAGAALDRSLQAATATVYKASLSSRKEILVRVMWTRTVAGAAPGGATGLAVAVDEASRSSPSPAAGSASAATPRRSAVALASSPQFLHKKRGTRSFVTEAGTVVAIYWDTTDAKYPAAGSSSPEPTRDYYLAVVADGELARKEAGRPSIIEGGGEEEGGGRADDGGGETGVLACFPGPGPAPSSLPVGDAAVTHAQLLSAGRCPSRLSARNRMTTW >OGLUM09G20710.1 pep chromosome:ALNU02000000:9:23163771:23176676:1 gene:OGLUM09G20710 transcript:OGLUM09G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYAHRVGGNLHPFGNTPHNNYHPHSASSFLPAFGFTPKSSPSPIDLISFDSSIARRGLGFLAAAMASEKHFKYVILGGGVAAGYAAREFAKQGVKPGELAIISKEAVLLLTGTIPVLVVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERFLPEWYSEKGIELILSTEIVKADLASKTLTSAVGATFTYEILIIATGSSVIKLSDFGTQGADSNNILYLREVDDADKLVAAIQAKKGGKAVIVGGGYIGLELSAALKINDFDVTMVFPEPWCMPRLFTADIAAFYESYYTNKGVKIVKGTVAVGFDADANGDVTAVNLKNGSVLEADIVVVGVGGRPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAVGDVATFPMKMYNELRRVEHVDHARKSAEQAVKAIKGKESGESVAEYDYLPYFYSRSFDLGWQFYGDNVGDTILFGDSDPTSAKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVANIEELKKEGLQFASKI >OGLUM09G20720.1 pep chromosome:ALNU02000000:9:23179802:23184450:1 gene:OGLUM09G20720 transcript:OGLUM09G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6S1] MVGTMDEARIIEYFKNKSILITGSTGFLGKILVEKILRVQPDVRKIYLPVRAVDTATAKQRMQTEVIGKELFGLLKEQHGKGFQSFIDEKVVPLAADMMHQNLGLEESTLQELAKDLNIIVNGAATTNFYERYDVALDVNVMGVKYLCQLAKKCANLEVFLHVSTAYVCGERSGVVQERALREGETLREGTYLDIETELRLVGEQRQQLEDAGDAKAERKAMKDLGLARARHFGWPNTYVFTKAMGEMMLQEQLVAGAGRRHGIPVVIARPSIITSVHRDPLPGWIEGTRTIDAIIIGYAKQSLSCFLADLDLIMDVVPGDLVVNAMMAAMVAHSRGSSSEMAVYHVTSSMRHPAAYAVLYRTGWRYFLENPRVGKDGVAVRTRPVYFFRTIASFRAFMAVAYALPLQLLRLLSLLCFGLLFARRYADLSRKYSFVMQLVDLYGPFALFKACFDDLNMEKLRLSMATPPSVFNLDPKNIDWDDYFYRIHIPGVMKYVLNK >OGLUM09G20730.1 pep chromosome:ALNU02000000:9:23181951:23183526:-1 gene:OGLUM09G20730 transcript:OGLUM09G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYYVHDEVEVGEEAGEALLGVADDDGVDGAGALDPAGERVPVDAGDDAGAGDHHGDPVAAAGAGDQLLLKHHLPHGLGEHVLGHPKWRALAKPRSFIAFLSALASPASSSCCLCSPTSRSSVSMSRKESCCYGGTMFSSDHNIAFWFCISTCRLQ >OGLUM09G20740.1 pep chromosome:ALNU02000000:9:23185482:23192795:1 gene:OGLUM09G20740 transcript:OGLUM09G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homolog of histone chaperone HIRA [Source:Projected from Arabidopsis thaliana (AT3G44530) TAIR;Acc:AT3G44530] MITEKPSWIRHEGLQIFSIDIQPGGIRFATGGGDQKIRIWSMKSVAKDNDSDDSSQRLLATIRDHFGTVNCVRWAHHGRYLASGSDDQVIQIHERKAGTGTSEFGSGEPPDVENWKVVMTLRGHTADVVDLNWSPDDSTLASGSLDNTVHIWSMANGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWSKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNHSMFRKHLSSGQDAKAAPAGWANGASKASSKEHQPYNVIAIGSQDRTITVWTTASARPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFEAKELGYRLSDAELDELKKNRYGDVRGRQSNIAESPAQLLLEEASAKQSASKKVSSVQQFQSPPKVSTDAPNPSTSVPNQKAPEALPEDEKKTAGSTADDINKAPRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDMSNRSQNQGVDFSSLDQRMILGENGTRPSYSASGNCNNCGVRERSGITARTNISESLVIQKASAGAGSDGRLSIEQSGSVVPGSLASCSSLSIHVFNKKDNEDSLPVRLEAKPVERSAGDMIGLGGAFSTKETEITCTRGTETLWSDRISAKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDECWKLLLVTRRGLMYIWDLYTRTCVLHDSLASLVTSPDEAAGKDTGTVKVISAKFSRCGSPLVVLASRHAFLYDTGLKCWLRIADDCFPASNFASSFSSTQGGELGKLQIDIGKFMARKPIWSRVTDDGVQTRSHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMVDAASSADLKNPSWDPDVLGMKKHKLLREDILPSMATNRKVQRLLNEFMDLLSEYEAAETNVEQMDVTPTPPPPAAAAATEGNNNGAS >OGLUM09G20750.1 pep chromosome:ALNU02000000:9:23190863:23194373:-1 gene:OGLUM09G20750 transcript:OGLUM09G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEESSTLIRRRTSLPATTVMMVVLLLLLCCNCGVEVVVAAADESSPAPVGKGGSDHGSCPGGDGDGEGYRKQLWVFGDSYADTGNLGNLGRELTHAWYYPYGITFPRHPTGRFSDGRVLTDFVASAVGIATPVAYKLRRRGGHGGEVASRGMNFAVGGSGVLDTGYFQRNISSQIDLFQKQLRGCGPTGVALVVVSGNDYSAVVDKNNGTSEAAIAYIPTVVRGLREQLRRLRDEVGMKKVVVTNLHPMGCTPYFTRLLNYSGCDTLANAGSDQHNAALRSVLHDLDPANTTFLLLDLHTPFLNLITAAADDKFPVRLRPCCETFTADGHCGQEDDAGNKQYTVCDDPERHFYWDDVHPTQAAWAAVAQAFTPAIHRFLST >OGLUM09G20760.1 pep chromosome:ALNU02000000:9:23198525:23200901:-1 gene:OGLUM09G20760 transcript:OGLUM09G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEAAMEARNGHRIPPTEEKVIIDTDPGIGTLILISPLLLPASVTVIHPSILTITNSYQFPDDSVAIMMAFEAPGVKCDRAGRPEVPVAEGSAEPLKGGKPHVADFVHGSDGLGNTSFPDPTTTNKVEQSAAEFLVDKVSESPGEISVLALGPLTNIALAMKKDSSFASKVKRIVVLGGAFFAAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVYFTDKDMLELRNSKGKHAQFLCDICKFYRDWHVHSYGVDALFLHDPVSFTALVHPEYFTFKKGVVRVETQGICKGHTSMDMGLKKWNSDNPWTGYSPISVAWTVDVPKVLAYAKELLFNAQ >OGLUM09G20770.1 pep chromosome:ALNU02000000:9:23202366:23203439:1 gene:OGLUM09G20770 transcript:OGLUM09G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGVGSKGELDNHELNLEDLEDAKMQQSRRPKISRPFAPSARPQPKAITGLGLTFTIRDAVLRLNCPWTEHGDIENV >OGLUM09G20780.1 pep chromosome:ALNU02000000:9:23203478:23208973:1 gene:OGLUM09G20780 transcript:OGLUM09G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRANRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSIFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >OGLUM09G20780.2 pep chromosome:ALNU02000000:9:23203478:23208973:1 gene:OGLUM09G20780 transcript:OGLUM09G20780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRANRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSIFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >OGLUM09G20780.3 pep chromosome:ALNU02000000:9:23203478:23207748:1 gene:OGLUM09G20780 transcript:OGLUM09G20780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPPPPPDSWETADLDEPMSRLHLPSARRVSSSPDLADADADDPQHPSSGRPDSVDQLDQFLREALEKPRERLSVLRMEQDILKFIHDPTRTEFDFHGLPTSYLRLAAHRLAQHYFLQSIALQDNGLPDGSGSRIILRKTSAECRLPAVRLSDIQVNLPQDESNSIAKVAIKQRPQKHYHNNSSSGAHSSRANLQKSVEERKEEYNKARARIFNNNSSSGNAADGRPGDEVTLPNTLHRSSSLELSSGNRLGEGPEITLERSLTTASSSSRANRNKTEKDPAFNRNRQNNRVAIFRDRESDRKDPDYDRSYDRYMQRFDPGFGFNGGSYTIQPLYAPAVNYNTEFPQLGSHGSPVPVEQQPQSIAQHIPGPWSPAQSPNAIGYRPPDGSMPPPYSPGHAGAPIRSSIFMHTPQQFAMPSRPGVTYVHPQEPMRPFAQTHQQQPEASLRLARPR >OGLUM09G20780.4 pep chromosome:ALNU02000000:9:23208403:23211027:1 gene:OGLUM09G20780 transcript:OGLUM09G20780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNSELVLQNCYIMEQNQRLKKAAELLHKERQRLLSELKAQLLSNQHRQPPANGHGHGDDDKPAAGGEPIQAQPSRLDWPEEAMGDEARKAVENALGWKKTGLPKLGMRIERRQQRLPPSAGGGGWSGGGGWFRWFSSGGFWDAAKQTLLTIVGIIAAFFLIANFNVLVAAIVSSLLAVLRQIRRALSFVAQCILQGVQSSAPRRSSPSLDTGNQAAVVVKDRVGKSAKERVVRKWGNGV >OGLUM09G20790.1 pep chromosome:ALNU02000000:9:23211515:23212867:-1 gene:OGLUM09G20790 transcript:OGLUM09G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGETGEGGGSHSQPEKQPGQAAAAASMAEAEVEELPKAIVRRLVKEKLAQVAAGGAEVIVNKDAMSAFAESARIFIHYLSATANDMCKESKRQTINADDVLKALDEMEFPEFVEPLNTSLQEFRNKNAARRSETTQKKAEKRRKTDKESLPQEQNDAADNGKTDED >OGLUM09G20800.1 pep chromosome:ALNU02000000:9:23213656:23216318:1 gene:OGLUM09G20800 transcript:OGLUM09G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIKN >OGLUM09G20810.1 pep chromosome:ALNU02000000:9:23216385:23217128:-1 gene:OGLUM09G20810 transcript:OGLUM09G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGSRSSVSLGVLLLLAVILSAGAADPDILTDFVVPSDTDPSGIDGAFFTYKNLVTGNSGDPAKLTVTKATHAEFPALLGQSVSYAALVFGAGTVNPPHIHPRASELLVVVQGPLLVGLVDAARNGTVYTQTLQTGDMFVFPKGMVHFQFNNGTDVVARAFSAFGSATPGTISLPAALFGSGIDDTILEKSMHTDQATVDQLKQAQAPPSPGPSPGSSSSAAAALLPSRWAITLLLCFAASYYFYF >OGLUM09G20820.1 pep chromosome:ALNU02000000:9:23220982:23223974:-1 gene:OGLUM09G20820 transcript:OGLUM09G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNASVTDENAASCSVVPWAERLRHDATIAFFVRVRKAIVVTLHSPPTCELNSRRRRTLWRLAPEAGDLFLLRSPPAASLPSPPAAFLPWKHLISMGLEIWKMEVELILMHIDMVTHIVTLLR >OGLUM09G20830.1 pep chromosome:ALNU02000000:9:23224043:23224693:-1 gene:OGLUM09G20830 transcript:OGLUM09G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYYYSLVLLLLAVWAPLALVMAGDPDILTDYVIPATANSDPMNITGDFFTFTGFSKVFNTSSAPEPNSFTVTKATMAEFPALNGQSVSYAALMYPPGTLNPPHTHPRSAELLLLVNGALSVGFIDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAPGVVPVPVTVFGTGIDDAVLAKSFKTDVPTILKLKANLTPPNKS >OGLUM09G20840.1 pep chromosome:ALNU02000000:9:23227097:23227741:-1 gene:OGLUM09G20840 transcript:OGLUM09G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILLLVVLAVVSAPVALVMAGDPDILTGYVIPAGSNAENITGDFFTFTGFRNPLSMNMSMPMPNANFTVTKATMAEFPALNGQSVSYAVLMYPPATLNPPHTHPRSAELLLLVNGALSVGFVDTTNKLYTQDLAAGDMFVFPKGMVHFQFNSGNQPAMALSAFGSAAAGLVSVPVTVFGTGIDDAVLAKSFKTDVPTIQKLKAGLTPPKKA >OGLUM09G20850.1 pep chromosome:ALNU02000000:9:23228476:23232669:1 gene:OGLUM09G20850 transcript:OGLUM09G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARAPSRAAARFVQRRLFSSGGKVLGEEEKAAENVYIKKMEHEKLEKLAHKGPNPGEQASTAGAAANAVKTGSGSTESRSAGVSTDKNRNYAVLAGTVAALSGLGWYLLSKPKKSEEIVD >OGLUM09G20860.1 pep chromosome:ALNU02000000:9:23228795:23230121:-1 gene:OGLUM09G20860 transcript:OGLUM09G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDLPTSQVIYLQPQPAPPRRRHPRLRLRLLPPPHRVVEMAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLSEVPKYKQITPSVLSERLRINGSLARRAIKDLMDRGLIRMVSVHCSQQIYTRATNT >OGLUM09G20870.1 pep chromosome:ALNU02000000:9:23234298:23236907:1 gene:OGLUM09G20870 transcript:OGLUM09G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDTVSASTSLVAHHLFDQRSKANHHLRRTLHVVSCRPLPTHFAGRRLVARAPRQHQPRLADWTVKALAMGVTKEASPHREYRGIPGEGADMGDIGITNPKTTWPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWFTLAQEEGKSPPPAFVLKRIEGMKSEQAISEVLCWSRDPSELRRLSSRKEEIRCNLRGTAFYQMRNGSREFMSTLANYKIPLAVATTRPRKVIEEAIDAVGVRSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSAVEAAHDARMKCVAVASKHPIYELNAADLVVKQLDELSVVDLKNLADIESPEFGMEPEPEMEEEEDEDSSPSTSVGVDDLFW >OGLUM09G20880.1 pep chromosome:ALNU02000000:9:23238906:23239673:1 gene:OGLUM09G20880 transcript:OGLUM09G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQAMEEDGVKEEEEEYYLEEEDEGEEETRPPLQQQQQVGLGRRGRAREEKERTKLRERQRRAITARILAGLRRHGNYNLRVRADINEVIAALAREAGWVVLPDGTTFPSSSSVSQPPPTQQQLQAAEPELSELEAKYRRVASGFRIQLTEKHMFVWKDI >OGLUM09G20890.1 pep chromosome:ALNU02000000:9:23240071:23243438:1 gene:OGLUM09G20890 transcript:OGLUM09G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6U1] MISSPALFFLLQPGRPSIAAPPLPSSPAPPLRGISISPVAARPISSLRSSSSIRIISPPHALPDPLLSLPSMDPQEVPDLLPPRPPERDFAGTPYVPVYVMLPLGVVNGNGEVVDADVLVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFHMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTGVEVYFDYMRSFRVEFDEYFQDGIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKSLRRAAEARGHTIWARAPDSAGHYNSEPNLTGFFSDGGDYDSYYGRFFLNWYSQVLVDHADRVLMLARLAFEGSDIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYASIAAVLKKHGAALNFTCVELRTMDQHEVFPEAFADPEGLVWQVLNAAWDAGIPVASENALPCYDRDGFNKILENAKPLNDPDGRHLLGFTYLRLTKVLFERANFLEFERFVKRMHGEAVLDLQV >OGLUM09G20900.1 pep chromosome:ALNU02000000:9:23243726:23246493:-1 gene:OGLUM09G20900 transcript:OGLUM09G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASAEDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLLGSNKFKLGSSPLFGQLALPAVYQMCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >OGLUM09G20900.2 pep chromosome:ALNU02000000:9:23243726:23246493:-1 gene:OGLUM09G20900 transcript:OGLUM09G20900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACGLPLLECVYCLGCARWAWKRCLHSGDRDSATWGLASAEDFAPVPRLCRLIMANYDHHPCHPLDARCVVRRRTYADTRGRVTPYLLYLDHPNSDIVLALRGLNLARETDYALLLDNRLGKRRFHGGYVHNGLLRAAAWVLDAECDLLRDLLADHPDYTLTFTGHSLGAGIAAMLTVVVLLNLDKLGTNLHRSRIRCYAMAPARCMSLNLAIRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCIRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMFRCGRYPPVVKTAVPVDGRFEHIVLSCNATMDHAIIWIEREAQKALDLMLEKEKTMEVPSEQRMERNESLQREHVEEHKAALRRAVTLSVPDARSPSAYGTFGEQPERSESFPPVSAMARQRMSWNDLIERVFDRDESGHIVLRSSPSP >OGLUM09G20910.1 pep chromosome:ALNU02000000:9:23247354:23254194:-1 gene:OGLUM09G20910 transcript:OGLUM09G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage and polyadenylation specificity factor 100 [Source:Projected from Arabidopsis thaliana (AT5G23880) TAIR;Acc:AT5G23880] MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDPSHLQPLAKVAPTIDAVLLSHADTMHLGALPYAMKHLGLSAPVYATEPVFRLGILTLYDYFISRRQVSDFDLFTLDDIDAAFQNVVRLKYSQNHLLNDKGEGIVIAPHVAGHDLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTALGSFVRPAVLITDAYNALNNHVYKRQQDQDFIDALVKVLTGGGSVLLPIDTAGRVLEILLILEQYWAQRHLIYPIYFLTNVSTSTVDYVKSFLEWMNDSISKSFEHTRDNAFLLKCVTQIINKDELEKLGDAPKVVLASMASLEVGFSHDIFVDMANEAKNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEALKASLNKEEEKKASLGSNAKASDPMVIDASTSRKPSNAGSKFGGNVDILIDGFVPPSSSVAPMFPFFENTSEWDDFGEVINPEDYLMKQEEMDNTLMPGAGDGMDSMLDEGSARLLLDSTPSKVISNEMTVQVKCSLAYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCSKNSDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVISKKLGEHEIAWVDAEVGKTDDKLTLLPPSSTPAAHKSVLVGDLKLADFKQFLANKGLRDFLQVEFAGGALRCGEYITLRKIGDAGQKGSTGSQQIVIEGPLCEDYYKIRELLYSQFYLL >OGLUM09G20920.1 pep chromosome:ALNU02000000:9:23254356:23256145:1 gene:OGLUM09G20920 transcript:OGLUM09G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSFTFLLEADGALRPPPPLSLSSPSASPSSSPASEPLFAGDLEARNPNKYTSREPARLCRLPLSWVLACLVGSKQRPNRGDLPPPIPWTARAAAGSRRPTGRRSPTSNTSIPAEE >OGLUM09G20930.1 pep chromosome:ALNU02000000:9:23256540:23257665:1 gene:OGLUM09G20930 transcript:OGLUM09G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGKPIKDSSLRPPAHGRDLLASWVSLTFGVASCLSMCLMTNLRQATSHCLHESGIGIDEGNASDVRDIAIDLEGCINYVEFEFKALPHPQRDSGSYIADGWTAAKWSWLLAHGLPPTFLSNLTSYDAYPAKLRHCPSSSSKLAKAPCGLHDAHLVHLMAKIEGRAHKAWILPIDLRNGVIQQPSDFAGADRTFGISETYVQTTISRYPRRKRRHRRRTGNNNNAIVPAVLDN >OGLUM09G20940.1 pep chromosome:ALNU02000000:9:23260607:23282629:1 gene:OGLUM09G20940 transcript:OGLUM09G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDCGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQKERPDLAKEAWGVLQAMVNYPDKKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTYYELIPNNALPMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHPKPPGEQEFCESNVQGKRRMRAKCRITIQLHYIADRPGRYIQDFTANLPKRGQEKMDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSRSMSKEAMLRKCCQVWVVINGKHINDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >OGLUM09G20940.2 pep chromosome:ALNU02000000:9:23261180:23282629:1 gene:OGLUM09G20940 transcript:OGLUM09G20940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDTCRKLPRLPNVFISQSIISYLSSTEAVNLSCLRCMAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDCGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDKKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTYYELIPNNALPMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHPKPPGEQEFCESNVQGKRRMRAKCRITIQLHYIADRPGRYIQDFTANLPKRGQEKMDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSRSMSKEAMLRKCCQVWVVINGKHINDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >OGLUM09G20940.3 pep chromosome:ALNU02000000:9:23261389:23282629:1 gene:OGLUM09G20940 transcript:OGLUM09G20940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDCGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDKKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTYYELIPNNALPMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHPKPPGEQEFCESNVQGKRRMRAKCRITIQLHYIADRPGRYIQDFTANLPKRGQEKMDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSRSMSKEAMLRKCCQVWVVINGKHINDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >OGLUM09G20940.4 pep chromosome:ALNU02000000:9:23260607:23282629:1 gene:OGLUM09G20940 transcript:OGLUM09G20940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASARSSLPSSSSSPLPPLSPQPVVPAGVERMLVRAGGGTRSLREIDEEEDDDDDCGGKTYVSVGKDLKDGKANIQWAARKLQPQQGDVNKLLVLLHVHQPADRIMSGLCKVPAKQLEEKELRAYRKIEKDDMNKLLEQYLSYCRAFPKVQAEKLVIEKNSVANGIVELIDQHHITKLVMGTSSFSVKRQVPKSKVAAIVHQQAKPYCQILYICKEALACTREASQFADKGDSPRSSSGSSLSDKSEFPPRSVSLPSWYSGFLGSPDQQSLPRRSNSISHPFPFSRQLENGVENISPIRPNSVDVAPKGCSPNSSHQSKGSSPTLTDLDTVDGLSVPVSSSSSEEHQHFMVEANMQNEMFEQWQQVRNELERSRKEASEGRQKAEKELFEASKMFRARENSLCKEKIAVEERLTREKVSLEKEHLQIYNELQKANEQIMELERKLMHANSLMEELQTVQGELQRQKDNAVKEAEKMSQINCNNVSCSTGAVALTEFTYTEIKEATNDFDESKMIGHGGCGSVYKGFLRHTTVAIKKFNREGITGEKEFDDEVEILGRMRHPNLVTLIGVCREAKALVYEFLPNGSLEDRLQCKHQTDPLPWRMRIKIAADICTALIFLHSNKPKGIAHGDLKPDNILLGDNFVGKLGDFGISRPLNLTNTTITPYHRTNQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLPSEVEAALNNEMLQQVVDASAGEWPPEYSKKLAILALRCCRYDRKERPDLAKEAWGVLQAMVNYPDKKCKIPSFFICPMTQEIMKDPHIAADGFTYEGEAIKDWLQRGHKTSPMTYLSFTYYELIPNNALPMATAGSPSPYPGDSPEPSFSGEKVYVAVGEESSRGTLLWALHKFPQGTAFVLLHVYSPPNFLPILGAKIPAGQLREQELIAHKKMNLQRISDNLDQYQLICAQQKVQAEKLVVESDDVAYGLVDVISEHNVSMLVMGAADDKHYTKKAAPFGHDVMQDCRQSATSAQCSVERSSSLSEIWCVSNTWLHKLNLEPHIETTSSDRYSDKEKEDTKERGESDNELQHIPMQLERVRQEAYEEKCRREKAEQELFEALQKVSENLYFGELKQKNEIEVKLATTMEEVDRLARTADELAAKFQEQCEKILVLEKRSAHSDRIIKDLMLQRDKAVREAEAIRVKNGESTAIADRTIPITELSISEIKEATSNFDHSSKVGESVYGSVYKGLLRQTNVAVKKLNPESTESLSQFSHEVEILSRVRHPNLVTLIGACKDARALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVCRMLTDEFKATTTLYRHTHPKGTFVYIDPEYAISGDLTPLSDVYSFGIILLRLLTGRSGFGLLKDVQRAVAKGCLQAILDSSAGDWPLMHAEQLSRVGLRCCEIRRKNRPDLQTEVWTVLEPMLRSASSMLCSLSFKSVSEDFGNVPSYFICPIQQDVMRDPLIAADGFTYEAEAIREWFDSGHYTSPMTNLDLPHPKPPGEQEFCESNVQGKRRMRAKCRITIQLHYIADRPGRYIQDFTANLPKRGQEKMDEEEIHIAVGKNFRKEKANILWAAARFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRAKETDAMVKMLSQYKNLCGSRKVRAHYLSHDDVLAGVVNLIKKLKIKRIIIGSRSMSKEAMLRKCCQVWVVINGKHINDHLEHTGSIGYGGSAESLASVHELSDDSNGYTTPPSDFADEIMYDDGVIQMDGADELATETETEDEESIETGELNSYEEEGEHSSGETAHRTDEIQSFRSITERAEELMEEIDKLQRKLKELQEEDDRSILSPRQKAAAASLKKEKRLSTGRYPELQLPQHISRFSMSMISKATGNFCSGNLIGEGGYGPVYKGKLGGVAVAIKLLRPHGRQGFPEYKQEVVVLSRMEHPHIVRLMGVCPESCGLVYEHLPNGTLLDTLSNSKSLSWKDRVRILGEQRSALAYLHSCRPHAIIHADLKLTNILLDAANSSRLGDFGTARAVHVKPLQDQADTICRRTNPMGTTGYMDPVFFVTGELTAESDVYAFGVVVLQVLTGLLDLNIADQVREALKMDAVHSVLDASAGSWPEVQAEKLLRLALRCCSLERKRRPAITCDAEWRSLDIMLRMANSPSKSRKWTSISIHAT >OGLUM09G20950.1 pep chromosome:ALNU02000000:9:23261254:23262415:-1 gene:OGLUM09G20950 transcript:OGLUM09G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQETMSTGVDFFFFLAEQHLRYSLLRSRAYGKEKMLVDMQQHKKLVDITLLRLEFPSCPLDVGLAVLEVLADRHGGNGDDDDDGNEERALATAMQRKQLKLTALPPHNRQNKKQTTGISAAAAAGGDGNNKANKRIESLTKGTFGFACMSSGNFYKLICFSYSTKERKISVKSILHTPY >OGLUM09G20950.2 pep chromosome:ALNU02000000:9:23261551:23262415:-1 gene:OGLUM09G20950 transcript:OGLUM09G20950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQETMSTGVDFFFFLAEQHLRYSLLRSRAYGKEKMLVDMQQHKKLVDITLLRLEFPSCPLDVGLAVLEVLADRHVLPAEETRAAMVTTTTTATKNEHLPRPCSANNLN >OGLUM09G20960.1 pep chromosome:ALNU02000000:9:23265060:23268190:-1 gene:OGLUM09G20960 transcript:OGLUM09G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPDPELIEFTMACKTPQASLARSGRSFLSYRQHLSARIANFLLYSGGHSPAEASTTCCSISLFRAASTSLGRPSGLLPLAYKVVSKENIIRLQITMGNAFWFVGMKEDKCCADVSSNFDPHSPWKWICLVFTLQTVL >OGLUM09G20970.1 pep chromosome:ALNU02000000:9:23268272:23281339:-1 gene:OGLUM09G20970 transcript:OGLUM09G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREICCGSCSSGYLPVDSLFSFFSEAAAAFCLDAKDSALPPYPMLPIHNTSKNIIQQKQARLERKKIETRTNGIVPAEGSEFSKKFLSKTLSYMSGRFFLLISQHLRPTRDNCSACIRGQSPADESNIACKQPFATARCTSFKRPNPDLPVKSRRSMIPKEYTSDRGVRSPEIAYSGSMYTKVPFGWVWRYRVVVALNSSVNIRHTPKPLSLATLFPSRRMLDAFKSLQAPG >OGLUM09G20980.1 pep chromosome:ALNU02000000:9:23283904:23297188:1 gene:OGLUM09G20980 transcript:OGLUM09G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYTKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >OGLUM09G20980.2 pep chromosome:ALNU02000000:9:23283904:23297188:1 gene:OGLUM09G20980 transcript:OGLUM09G20980.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MPNVRSSPVADLPGWPLFSPPKLQLQKCTKCPREFCSSINYRRHTRVHRRTLQIEKDFLKNRDNIAAFWDKLTLDQAKTILSLADVDIEGVTGPSILAALSTWMCKPGYASLPLPYARAGNQLLDLIETTASRLPVSSNELFSMLDEASENTFLSTNPTACIQKFIFNGEADKVAPELKNAVACTSYMLEQKLVEAWSADKAAEALRCQKLLVEEEEAAQKRQAELIERKRMKKLRQKEQRLKDLKDEDVTDRFPGSVDGTTDSSGILSLKEATSDPGLYEQEDTQLPTPVASEDNSSFADLPVEHDIHDPGHEVNPSVTLNQQVFSRHRVGRTENFAQNSFASGGSAIGSKHPASVRHSHYRGANAGAVSNRNKTWTWKVRTEIEEHSPKDELNIDDGQEIVLNKKSRVLIGSISVAIEDGSECLEDNQYTKEYPTPASQLNIGNHPVTKVMQPFNHGEEGNGYNAHNDVEVSITPTAQDHSSSGVMTDGNNCSSCCNAGLAEGGGLRGAIFSSKEAAAFLSQRWKEAINADHVKLVLCPEG >OGLUM09G20980.3 pep chromosome:ALNU02000000:9:23283904:23297188:1 gene:OGLUM09G20980 transcript:OGLUM09G20980.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G00370) TAIR;Acc:AT4G00370] MAMGAVLSSRTFASPLSSSGKQHPPQNNKCTCSSPPTRDKFSRLTTRTTIFQVSNYSRSTSMERFQLSARFHQPVVDSSTNYLTRWFYNANLKRRRIECFLTSDPINTGWLKPRRWDNFTSLDTACVQPDYKIPVRTRADCKAEQYEITGSPLSPSDVPAEAVLIGDTNEISPWWQQFPKRWTVVLLCFFSFLLCNMDRVNMSIAILPMSSEFGWSPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPFLLVMRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQRKAHSSPSEDPELSKAEKRYILGGSTLKEPVTSIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAIFANIGGWIADTLVGRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFQVAVVLYIVGTVVWNVFSTGEKVLE >OGLUM09G20990.1 pep chromosome:ALNU02000000:9:23298541:23302704:1 gene:OGLUM09G20990 transcript:OGLUM09G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLPPPPRLMTRRQSDSDWDWDGSSREGSPDPASASAVRRAARRWPDDPGPAQISLHMYMASRDRDDDPPPDHARLRIRGRQARLELVMRMAADRHAELHRLSHHRAVSDFPHRNRIHALLRGRFLRNGDDDRRPPSTAATELGQLRQRHSVSGLREEFRFRLENVVRGHAVSQSDDSSAQNVELSINGRTESSPSSSEYNLERHQRTRLNIGLQQIEGTATVSESGSNTPSIAEGLYEPHSQAESWQDDLEQERRDWEQFSHAITGEESEINWHENTYNGSSHEGTEVEGGQDAHIPESHDELASDNLPPQSHGEQQDNSHLPEENEELHDSDLQQSRGEWNEGSNPFIPTEVHNEWHSDDHFQGVNEEWHDDDESNDTADNWHNDNSDQPIDHDSALIRRANTFVPADDDNVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRAPLSWDLEGAPPAPDSPEQSQEQHRDEEEQELHDNVVRPPLVIPPPPIPPRQPLWHSELHRNNWIRQNIHRSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKETIDDGSKWIHVRKGTCCICCDTPIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIIEVIRAYFIM >OGLUM09G21000.1 pep chromosome:ALNU02000000:9:23303875:23308987:1 gene:OGLUM09G21000 transcript:OGLUM09G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMASSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNNNGFPVVEAFGSFTMDLFTPRSDLDLSVNFTANTDDQYARKKKISAIRKFAKVLYSHQRNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQTRDPPILPPLSALLKDGSDFESVERNTVAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNVEDFLDRSQNFARSVGKKEMQKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >OGLUM09G21000.2 pep chromosome:ALNU02000000:9:23303875:23308113:1 gene:OGLUM09G21000 transcript:OGLUM09G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEDTTRSPPPSPPAVFSMASSSSESTHVTRACRMSRVGGVGSPVYTMLRPKPLDYEQRTTLVHVFNNIANQIFGNGIFCGVLPVVTARVPIVNVIDRGTGIECDITVENKDGMTRSMIFKFISSLDPRFQILSYLVKFWAKIHDVNSPRERTLSSMSIVSLVAFHLQTRDPPILPPLSALLKDGSDFESVERNTVAFKGFGRTNKETVAELFVSLISKLLSAESLWEHGLCASNFEASWISKTWKKGIGNLNKICRCLRDCALNLLDFMRGKLDTSKLKTLLFGCLKPDELVSKPRLKRGKRKRKPQTSPDSRYGLGKGKHAVHLVGSDQHANSTTAEAPQVVHRHPTQAKASTQCAHKPTPPFVIIPSGFGYSLSLQLPVAPQLSRGLLGRPPPVNLVHLNNGAQLPQQGLLLSLPSQQAAGSNSGVTYAGAQQLQRNEN >OGLUM09G21010.1 pep chromosome:ALNU02000000:9:23315835:23316971:1 gene:OGLUM09G21010 transcript:OGLUM09G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILERAEEANIGEGSGSSEWELGVRQLCDSGITTLPARYVLPPADRPARYVTPPALLPVVDLAALRARDPCQLAALHAACRDYGFFQLLNHGVPPDAMLYAARRFFFDLPLPARKRYMSADIRAAVRYGTSFNQLNDAVLSWRDFLKLLIRDTRRLADVLPSWPDAPDDLRPAAAAYATACQRLFRELMEAALDALGIVRCRRQLLEECDAGSQMMMVNCFPACPEPELTLGMPPHSDYGLLTILLQDEVRGLEVSYGDGGGWAVVEPLPGAVVVNVGDHLEILSNGLYRSVLHRVRVNGRRARVSVASLHSLAAERVIGPAAELVDERRGRPRRYMDTDMAAFLAYLASAEGNHKSFLHSRRINTISSSGLTQPSN >OGLUM09G21020.1 pep chromosome:ALNU02000000:9:23317403:23317999:-1 gene:OGLUM09G21020 transcript:OGLUM09G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQKEAAKKKKKKVAVLVKTKTKVVQETVQVQLTTTTAELELEPTVTVQVDGNKTGAAADETPPVVPLQSQETQDPNEPKAAAAKKKKRAGHGRKRSRRRRGGALEYGGYKRYVWRVLKQVHPDLGASAQTMDVLDMMMADMFERLADEASRLSKLSGRLTLTSREVQSAVRLVLPADLANHAISEGTKAISNYLS >OGLUM09G21030.1 pep chromosome:ALNU02000000:9:23318798:23322742:-1 gene:OGLUM09G21030 transcript:OGLUM09G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDARELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >OGLUM09G21030.2 pep chromosome:ALNU02000000:9:23321505:23322742:-1 gene:OGLUM09G21030 transcript:OGLUM09G21030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MGIPNPSDEVVQVRHGDVAGEPTVVTISCPDKTGLGCDLCRLVLLFGLNIVKGDMSTDGRWCYIVLWVVARPGRAMAMRWDLLKDRLIQLCPVAAPFGLDNHHLAAAGLHLHDHDAPAPSPNIFLLKFFCYDRMGLLHDVTRVLCELELTIRRVKVSTTPDGRVLDLFFITDASN >OGLUM09G21030.3 pep chromosome:ALNU02000000:9:23318798:23319814:-1 gene:OGLUM09G21030 transcript:OGLUM09G21030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G36840) TAIR;Acc:AT2G36840] MIKTLMHVISYDVCNDLRELLHTKSRREETYDKLQSVLGDSLTSCEIESATEEMSSCLQASTLLPHSAVEEMFNVEVVEEQSRSCGGLSVAMDNSLSPAHTLIQIQCGDHKGLVYDIMRTLKDCNIQISYGRFYASQNGSCEVDLFAVQSDGKKIVDQHKQRALCCRLRTELHRPLRVALVNRGPDTELLVANPVEVSGKGRPLVFYDITLALKKLHKRIFLAEIGRHVVGDREWEVYRVHLGEGDHSSLRSKIVDGVTNMLMGWDDTPPS >OGLUM09G21040.1 pep chromosome:ALNU02000000:9:23321861:23322778:1 gene:OGLUM09G21040 transcript:OGLUM09G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKRAQKSKRKPMGQRNEKKRKGSEYSTMQEAHTVVAEEFEQEDVGRGGRCIVVVEVETSGGEVVVVEAEWRGDRAELDQPVLEEVPSHCHGAAGPCNHPQHDVAPPPISAHAKEEDKAAEVAAEARLVGAANGHHGWLAGDIAVPDLHHLVAGIRNPHPILNLHSLTNS >OGLUM09G21050.1 pep chromosome:ALNU02000000:9:23325639:23327466:-1 gene:OGLUM09G21050 transcript:OGLUM09G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLSQAEAGGGGRSKKSAAGELTTTSEKKKTTRRRKVAVVYYLCRSRQGGLEHPHLMEVEVEAEVGDGEEQVHVQLRLRDVTRRLDALRGKGMAAMYSWSCKRSYRGGYVWHDLSHPDDLLLPTGPHDYVLKASLLHLHHLIDPPPRHHPLITSTSSSAHHSLPPHAAAAAHVSLVSSSSTDANANIVVVGDDQCTSSCRTQPHSSSSSSSSSSSSASSSGSSSSHNNNSNNKSKEKEDEKQVVGEARRPAAAVVVASAATQTDDDSSFTVTGSIAAPSAQKQGAAGGRGSSSSSSRSLESLIMAEYSGFRSMLEDDYDEDDEEESAGGADNSSSRRRSCSMSIYRVKPANLLMRLIACGCGSSIPIPAAAAAAAKQQLQQVESLPLSPVLSPLSHLVNKQQLPHEQDRLSGGTISTSNANAAGKLKVADDNAPPLVQSQIECSNAPRPEDFVSSAAAAAGNTTNELAHSRPVVVALRLDKHDDKVIKIEERLASGARVTISSSTVHPAAGGLACSSNYQLHRRRHSGGLA >OGLUM09G21060.1 pep chromosome:ALNU02000000:9:23328640:23329008:-1 gene:OGLUM09G21060 transcript:OGLUM09G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEESTASAEAEGSGARGCARWREIASPWLPSPFLRCCAALPHADAGLLRRLNDLLDLLESELGLLDGVRGTHEHRARVPRRRPPDLLSRLAPTISSPPDGRLTQREREEEEGRGGGRGVR >OGLUM09G21070.1 pep chromosome:ALNU02000000:9:23329143:23329612:1 gene:OGLUM09G21070 transcript:OGLUM09G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSRVIVAPAAHAVVTRADSTDVVVDADGPRWRLAAELVFECKTCNKRFPSFQALGGYMTSHTRLQAKLLSDPAAAAAEKNRAHVHECAVCGVEFSTITALTVILWSGEHEEERERWRRRRRRRLVEEDESLIGEAYMGPTFFYAECHV >OGLUM09G21080.1 pep chromosome:ALNU02000000:9:23330037:23331263:1 gene:OGLUM09G21080 transcript:OGLUM09G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B6X0] MNKCRSSSSSSSSRALYALLLTSLILTVIVLYLPPPPDPLSQSQFEFDQLKLDDHPPPPQLHRRRTKRCAEHLRWAASLASQHNATLLLTVDRNQAAGCANFTTIQKAVDAVPDYAATRTLIAVDAGIYREKVVVWSNKTALTLHGRGNLNTTVAWNATSNSTGGSTVYSATFSVLAPAFVAYNITFQNTSPPPEPGDAGGQAVALRVAGDEAAFHWCGVYSAQDTLLDESGRHLFRGCYIEGSIDFIFGNARSLYVGCTISSVAMASATGNKEVTGSVTAQGRASAAERTGFAFVRCSVVGTGQVWLGRAWGPYATVVFAETYLGDVVAAEGWNDWGDPGRRQQVWFAEYACWGPGSATAATGRVSYARQLDQRQAAPFMDVSYIDANQWALPPSTPELYGSRYTPS >OGLUM09G21090.1 pep chromosome:ALNU02000000:9:23331406:23332278:-1 gene:OGLUM09G21090 transcript:OGLUM09G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYEVEVTVASARDLKNVNWRNGDLKPYAVVWIDDGAKCSTRVDLDNADNPTWDDKLTVPLPPSTRLDDAVLYLDVVHANATDGVKPLVGSARLPLRDVLADTGIGARASRSLRLKRPSGRPHGRLEVRVAVREPKRYYDPSPAYPAPYHQQSSRDPYAYGNTTTGGYGYAYGGALPAPYSAAPPAGYPSAYGGAAPTQPAYGSTAPPQPAAVSYGAPPVDAKKKSKMGMGGGLAVGAAAGVLGGLALAGGASYLENKFEDRVAERVEEDRYGGGGGGYDDYGDDDY >OGLUM09G21100.1 pep chromosome:ALNU02000000:9:23333769:23334724:1 gene:OGLUM09G21100 transcript:OGLUM09G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rotamase cyclophilin 2 [Source:Projected from Arabidopsis thaliana (AT3G56070) TAIR;Acc:AT3G56070] MPPSRPLPFPPHQIVSAADAPISFFQFQNPKVFFDILIGKARAGRVVMELFADTVPKTAENFRCLCTGEKGLGASGKPLHYKGSAFHRIIPNFMCQGGDFTRGNGTGGESIYGDRFADENFKLRHTGPGVLSMANAGPNTNGSQFFICTTRTTWLDGKHVVFGKVVDGYTVVEKMEQVGSGSGGTAERVLIEDCGQLADDHAN >OGLUM09G21110.1 pep chromosome:ALNU02000000:9:23335432:23338020:-1 gene:OGLUM09G21110 transcript:OGLUM09G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFMPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >OGLUM09G21110.2 pep chromosome:ALNU02000000:9:23335432:23338020:-1 gene:OGLUM09G21110 transcript:OGLUM09G21110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42450) TAIR;Acc:AT2G42450] MWCSSSLEERRGEGKENGTLDGTAASTVRGAVEMAAKRLLLLSRCRLIAHRPSCHIPFLPPALARYLGSPSSPNPNPKNTSSMGTASSGEEEEDDEGKAFPWRRWRPDVAWLPKALEPALQLYNQYKPFLTSAPTDNIPASTRTFSEILSDLQRSKVSIKDWSLTDLTIGLYLIYLSQASAKDAQAFKGLHISCNNKASPPSLLFPITISQFIILLDFCCYKGNATGLARHSMLRKRNVLKFVKDSSILRPGYYIAIDPRTKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGLIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGYGTPPCVSREIAQSCASYVSTVVLQDDIIPRLSAASLARLRAEILKTDWVSVLEKEDWKHIVDIVTNAKLVVSSIQDVARKLADYAKIVTVSTSSDAIKDQDRPLSTSEVLSPDSKEDVFMPEDLFLPGTLYYLKRDIEDINGVEDESYTLWRGDAGENFQRILLSGNLISDHKCESIYYALRDVLKTLPPQESG >OGLUM09G21120.1 pep chromosome:ALNU02000000:9:23339153:23339479:1 gene:OGLUM09G21120 transcript:OGLUM09G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGATRNMEPQDSEQNKTPITRIPWSPAWRRVMTSSRPLSPAKVEAAPERALASAQQATGATPPSAAYSPTPTSTTTSTSLTEGDNAHRDFGHGAPADTTASTRTA >OGLUM09G21130.1 pep chromosome:ALNU02000000:9:23339491:23339864:-1 gene:OGLUM09G21130 transcript:OGLUM09G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLGLAGVPPVVAVGIWVLVGGGGGSRCPRISLMANRSLDGSIFLEQRSRREVKSSDLGYLGGGGGGGSGDGRRCSTCVRAGVGGGGGVRAVLGVLAAAVEVASASAPCSAFQC >OGLUM09G21140.1 pep chromosome:ALNU02000000:9:23345771:23346172:-1 gene:OGLUM09G21140 transcript:OGLUM09G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHHQQQQQQQEGELVAKYRGVRRRPWGKFAAEIRDSSRHGVRVWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPADAHIYARQLHNNNAAAGSSSSSSAAAAAARPPPIEFEYLDDHVLQEMLRDHTTNK >OGLUM09G21150.1 pep chromosome:ALNU02000000:9:23376694:23377335:1 gene:OGLUM09G21150 transcript:OGLUM09G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRDVDMHILTALMADMADSSSSSSSSSSSDDSDMRASAAAAEPEHRRSPAPPPPPRQQQLIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAAMAYDQAAFSVRGASAVLNFPLHRVQESLQALALGAAGGSPVLALKRRHSIRKRRKPTKHMLLMQQQQQEPTVVELEDLGADYLEELLRLSESSSSSSSSSISNFTTTPNRHCQC >OGLUM09G21160.1 pep chromosome:ALNU02000000:9:23380811:23388697:1 gene:OGLUM09G21160 transcript:OGLUM09G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQGQGQGQGKLLYIVVVDDDGATFRYTRSLLHSTLQLMGCKPRHAFEISGRVFDEIRGHMGGDMAMGGGGGVQRYELAADAEAASPRQFQFELYKRRTTLLIPRPLFLRLVCHALALYKYVAPDQRSDLHRACRIRERKESVTILLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKQNPLLWASTYHAGECLDPVAVADAKARRKAKKRSGISTTSTIDFDKTRPLNDKPDGKPIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVGMFKLIQRLGSSRKLMAIVNVDGSVSKAWPVESSSGDGKGGSENGSKKYVGDPIYGPLNIGRAESVNLQFGAFGISAWPTDAGCTSQAGSVNESWDNANEGTGSHVPSSGSPKKLDGHCKEIKESAAASGSDDDEEEEEEAADVPPNSGSEEDLSEEDIRAIHEEMEGSVDEDCNRSDEEYDDLAMRDCMENGFLTDDGVVHTVFDGNGQKHSTLRKRQVNLRTLSKIDLDSPDTARSSSALPISASSKRNGTRRWKRSLSESFRSRPRSAPSLVELTPKHKGSAVPEVAPDK >OGLUM09G21170.1 pep chromosome:ALNU02000000:9:23382661:23383728:-1 gene:OGLUM09G21170 transcript:OGLUM09G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSRSDAVPVSSVASRSRRSSATTSSSSSSSRRPGPASSSISGSTASAASSSSSSLAAARASLPDPPVLYPFQEVAGATNNFLAKRAPASTYWPCTLRGRHAALFQLRRAPPPRDTVTGKLLAATARYHHTSLAPLLGGCVAGAHLYIAYELPPGAATLSACLRSPRNPSFTALRTWLSRVQVAADVAQGLDYIHHHAAAVHGRVSSSTVLVSDPGLRARLTHMGAAQLAELEDEEEPSREADVRAFGLLLLELLSGEQATTYRVREAVVETAAAARANGRVRSWVDRRLGDSFPQAVAERLLDVGLRCASASPPPEMTWVAGKISKAYLDSRAWDHSLQRPQAHLSSVSLAPR >OGLUM09G21180.1 pep chromosome:ALNU02000000:9:23391280:23391981:-1 gene:OGLUM09G21180 transcript:OGLUM09G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKKAAQKKAAAAAKRGDKAASSSSSTAAAKAAITLSERTCTAVLTSHPLSRDIHIESLSLTFHGHDLLLDTDLELNYGRRYGLLGLNGCGKSCLLKAIGCRELPIPPHMDIYHLTHEIEASDMSALQAVISCDEQRLQLEKEAEILAAQVCLFYFPSFLPSHSHQ >OGLUM09G21200.1 pep chromosome:ALNU02000000:9:23409255:23411508:-1 gene:OGLUM09G21200 transcript:OGLUM09G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVISHSQDFLNGVCTNIIHMQNRKLKLYTGNYDQYVQTRAELEENQMKQYRWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLTFRFTDVGTLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLIPLDGMVRRHNHLRIAQFHQHLAEKLDLDMSALQYMMKEYPGNEEERMRAAIGKFGLSGKAQVMPMRNLSDGQRSRVIFAWLAWREPHMLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCEKQAVTRWEGDIMEFKEHLRSKAGVDD >OGLUM09G21210.1 pep chromosome:ALNU02000000:9:23439453:23440807:1 gene:OGLUM09G21210 transcript:OGLUM09G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWPSDKLVIQVLDDSTDPAIREMVEGECGRWAGKGVSIRYENRRNRSGYKAGAMREGLRKAYARECELVAIFDADFQPDADFLLRTVPVLVADPGVALVQARWRFVNADECLLTRIQEMSLDYHFRVEQEVGSACHGFFGFNGTAGVWRVRALEEAGGWKERTTVEDMDLAVRASLRGWRFVYVGHVGVRNELPSTLRAYRYQQHRWSCGPANLFRKIFLEVLSSPTARVSPWKKLHLLYDFFFLRKLVAHLLTFSFYCVVIPACVLAGSDHVRLPKYVALYVPAAITLLNAACTPRSCHLLIFWILFENVMSMHRTKATLIGLLEATRANEWVVTDKRGNANPKHQQPANTTTRPGRKTTTSSSRTSFFNNDVHVAEILLGACLLYCALYDIAYGRDSFYIYLLLQSAAAFIVGFGYVGT >OGLUM09G21220.1 pep chromosome:ALNU02000000:9:23441021:23444212:1 gene:OGLUM09G21220 transcript:OGLUM09G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFCCFQSEDRGGDGDGDGDGAPPSTSSSGCSNSSSKKKNLASERSLGGSSRDNNSNLVNLVNEIVAESVTYRHKRVADEILKIGKGKVTARAFTYGELSEATGGFRAESLLGEGGFGPVYRGRLSIKGTVTEAAVKQLDRNGMQGNREFLVEVLMLSLLAEHPNLVTLLGYCTDGDHRILVYEYMARGSLEDHLLDLPPGAAALDWTTRMRIAQGAARGLEHLHDAARPPVIYRDFKASNILLDSSFQARLSDFGLAKVGPVGDKTHVSTRVMGTYGYCAPEYALTGKLTTCSDVYSFGVVFLEIITGRRAIDMARPHDEQNLVQWAAPRFKDKKLFADMADPLLRGAYPTKGLYQALAIAAMCLQEDATMRPAISDVVTALEYLTVAGASSEPAPRPQKLQPPEDDDDDQRPAA >OGLUM09G21230.1 pep chromosome:ALNU02000000:9:23441467:23442909:-1 gene:OGLUM09G21230 transcript:OGLUM09G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYAAGRWSSSSSSGGCSFWGRGAGSEEAPATVRAHGGVLLEAHGGDGEGLVEALGGVGAAEQGVGHVGEELLVLEARGGPLDEVLLIVRAGHVDGAAAGDDLEEDDAEAVDVGAGGELAGESVLGGAVAVGAHDAGGDVGLVADGADLGEAEVGEAGLEGGVEEDVGGLEVAVDDGGTSSVVQVLKAAGGALGDAHPSGPVQSRGARGQVKQVVLQGAAGHYPSSVTRFGCSASRLSISTSTRNSRFPCIPFRSSCFTAASVTVPLMLSLPRYTGPNPPSPSSDSALNPPVASDSSPYVNARAVTFPLPIFRISSATRLCRFTRLLLLSLLLPPRLRSDAKFFFLLLLLLQPLEEVEGGAPSPSPSPSPPLSSDWKQQKMPIIFMVCWALHQRQQERILEPDG >OGLUM09G21240.1 pep chromosome:ALNU02000000:9:23445283:23450782:1 gene:OGLUM09G21240 transcript:OGLUM09G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGGVAVVLVGMAAMLVGMASAATYNVGEPGGAWDLTTNYTNWVAQKRFHPGDQIVFKYSAQRHDVVEVNKAGYDSCSTSTSIATHTTGNDVIPLTSTGTRYFICGFPGHCTTTGTGNMKIQIDVVQADSSSAPAPVATTTPPSPPSSAATSLKATAAAAVLLAALLIMA >OGLUM09G21250.1 pep chromosome:ALNU02000000:9:23447845:23461255:-1 gene:OGLUM09G21250 transcript:OGLUM09G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELTGGKLINPSFQPAKSGSLSLVGLSAQDSRDRRRKRELGKWAPEGSDQTTGGVEFKVFYWSRFYETQIRQERSSLKAGRQAMASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRGTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQRCWKRLELYKSARDEIDSVAWTR >OGLUM09G21250.2 pep chromosome:ALNU02000000:9:23447845:23456664:-1 gene:OGLUM09G21250 transcript:OGLUM09G21250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGSLSLVGLSAQDSRDRRRKRELGKWAPEGSDQTTGGVEFKVFYWSRFYETQIRQERSSLKAGRQAMASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQYPWVGIVNRSQADINRKVDMIVAREKEREYFENSPDYAHLASKMGSVYLAKLLSQHLEAVIKARIPSITSLINKTIDELESELDTIGKEVAADPGAQLYTILELCRAFDRVFKEHLDGGRSGGDKIYGVFDHKLPAAFRKLPFDRYLSVQNVKKVVSEADGYQPHLIAPEQGYRRLVEAGLAYFKGPAEATVDAVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRGTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQRCWKRLELYKSARDEIDSVAWTR >OGLUM09G21250.3 pep chromosome:ALNU02000000:9:23447845:23461255:-1 gene:OGLUM09G21250 transcript:OGLUM09G21250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKVELTGGKLINPSFQPAKSGSLSLVGLSAQDSRDRRRKRELGKWAPEGSDQTTGGVEFKVFYWSRFYETQIRQERSSLKAGRQAMASMEGLIGLMNRIQRACTALGDHGGGGEGANLPTLWESLPTIAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHQIDKGAHDYAEFLHLPKTRFSDFALVRQEIADETDRVTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESVVHDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLSKEVDPSGERTFGVLTKLDLMDKGTNALDVHVVLRDLVRKSIGETEPLRRFPTLQAAIATAANEALERFREDGRGTALRLVDMEAYLTVEFFRKLPQDPDSGSKVGNNTNESNGSGSGSVTVDRYGDGHYRNIASNVSQYIKMVGDQLLHKIPKAVVHCQVREAKRSLLNHFYVHIGKKEASQFGHLLDEDPAMLERRQRCWKRLELYKSARDEIDSVAWTR >OGLUM09G21260.1 pep chromosome:ALNU02000000:9:23453190:23464650:1 gene:OGLUM09G21260 transcript:OGLUM09G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAAPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKLRTGDIFGAVFIACLIALQIMGSQRGKQSEKSFWPLIVMKKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADMYILGFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQLKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKVSVSNRGLRRVMSMELFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKVILPSVDCRSLQYVGVTVESRCLTLFGHLNFA >OGLUM09G21260.2 pep chromosome:ALNU02000000:9:23453190:23460506:1 gene:OGLUM09G21260 transcript:OGLUM09G21260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAAPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKLRTGDIFGAVFIACLIALQIMGSQRGKQSEKSFWPLIVMKKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADMYILGFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQLKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKVSVSNRGLRRVMSMELFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKVILPSVDCRSLQYVGVTVESRCLTLFGHLNFA >OGLUM09G21260.3 pep chromosome:ALNU02000000:9:23453190:23459938:1 gene:OGLUM09G21260 transcript:OGLUM09G21260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPATATCPKALHAHLLRSGALFADPSAAAPLAAAASLASLPYALSILRAHPTTFSYNSAIRALARGPRPHLAISLYRSMLSHSRSHPNNYTYPPLLAACARLADSDSSSAAAAAAAGVALHASLFRRGLESPDRFIRASLLSLYAAAGDLPAARQVFDLSPPNHRDLPLWNSLLHAYLSRAHYVQVLRLFRTMRTADHVTLLALLSACAHLGALHTARWAHAYLATTCSFPITTNLATALLNMYMRCGDVQTACSLFHSTPTRHKDVHTWTVMIAGLALNGFSTDALHLFTHMKDHNIQPDSVTLTAVLSACTHAGMVDEGKRILRRMPLDYHLQPTIEHYGCTVDLLGRAGLLEEALALIRAVPFKADVALWGALLVACRCHRNFEMGQMVAMEILRLDPQHAGAWVFLSNVYAAAGKWDLVQEVRSSMKQHRIHKPPGSSVVELDGVVYEFLSGDHSHPQSDQIYAMLDEIGKTLSLKGHKPATKLVTFDIDEEDKEVCISQHSEKLAVAFGLINTRRGAVIRIVKNLRICEDCHSVMKLRTGDIFGAVFIACLIALQIMGSQRGKQSEKSFWPLIVMKKWLNIKPKLNDFSEDEFDTDGGDEDFSDCAEDASDNFFEIHENNHTINRSSGDKIMPLRRLQRRKSESLRVNYISNKDMRFGLYEFIFNPQCIPCHASGDFIIVVTTRVMIGTWNVAGRAPSEDLDLDQWICSQEPADMYILGFQEVVPLSVGNVLGAEDSRTVPKWEGIIRRALNKSQQLKANCKSYSAPLSPLRVPIPSDDGHDDTKREYDKMTENLSPQQQCRDKQTSISKCSCDWLDGTSSLDWPECPLDIPAKVSVSNRGLRRVMSMELFNTDYLENAQGFDLHGVALQDGIRRSYRSSGNLGMSWSEQQEKVDVLSSVDYMSDWTSDDTTSVVGPDERATFAKGESLKPPGNYVRVVSKQMVGIYVSVWVSRKLRQHVNNLEVASVGVGLLGYMGNKVILPSVDCRSLQYVGVTVESRCLTLFGHLNFA >OGLUM09G21270.1 pep chromosome:ALNU02000000:9:23461829:23464562:-1 gene:OGLUM09G21270 transcript:OGLUM09G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISKSFLLTAPRPLHAPRLPICAATSLTTDISHKTASAWSCEEGISRAKTTPMSTSATGVYLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKKKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >OGLUM09G21270.2 pep chromosome:ALNU02000000:9:23461829:23464562:-1 gene:OGLUM09G21270 transcript:OGLUM09G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin family protein [Source:Projected from Arabidopsis thaliana (AT2G35360) TAIR;Acc:AT2G35360] MAMAAAGDEAEMVEVTLRAVGPSRPTTLRLPPFISVADLRRHIAHDRHLPQDRLRLVLRGRNLPCQDDAHVNLRHGDSLIVAVAPKPPANHLRDGDGDDDDDEEEELKFKIPETTTWWKKKIFIFLRDKLRLPDILLMVLFSLGIKAWVLIAMWFLFAPIAQMYDVGPLFILGTGFLVILCNLGRRQQGDVSAYSIFNEDFRELPGTLNAERIDRDIRAGQF >OGLUM09G21280.1 pep chromosome:ALNU02000000:9:23469948:23475017:1 gene:OGLUM09G21280 transcript:OGLUM09G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MASSPHQVVAPQPQSQAQAGGGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVNSLNKFDISNTSTINVNEDNGPRLWLGCREGEGSNCIYPCDLIPFTRRPLFLVIDSNASYSFKAALQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >OGLUM09G21280.2 pep chromosome:ALNU02000000:9:23469948:23475017:1 gene:OGLUM09G21280 transcript:OGLUM09G21280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3550/UPF0682) [Source:Projected from Arabidopsis thaliana (AT3G03570) TAIR;Acc:AT3G03570] MASSPHQVVAPQPQSQAQAGGGGGGGGGGGTAEQFWSLLDKADRRFARVRDLPLFGRREPDEYGKAFRIYTQLWRMQQEHRHRLLDAGLRRWQVGEIAARIAHLYYSQYQRTSDTALLSEAFVFYHAVLDRGYFLADAADHLFAPTKHLRFLARFLLVALLLARRADTVPRLTTHIRTLLDDSKKTLQEADYKEWKHVVQEIARFLRADSPFINMRPLRYSYAFDPPPDTLPTVPPTVKKRGLVLSDAMLCSYYQNEIKFTDLTIDVFRMLQCLEWEPCGSFALTNGYSTRDESGQNHPNLLKDLRDAALPPNPLKTILYRPSVTHFLTVLATKCEELPSNGMMLIYLSAAGEVGSSGFCPDTNEMVVNSLNKFDISNTSTINVNEDNGPRLWLGCREGEAMPAIHSRQSIHGFEKGETTAMLLSPSCRSSSAGFSGDSVRQIGSQFTMFLTAPLQAFCHLIGNNGVDIDRDTYNKAEELLSLSLNEWATTLVASSSLHPVWVEVLGDPLLRRLLLRFIFCRAAHSIFKPTYHKVDFLPTCTPPLPESVDAESMLSQCCLLRVASFFGATNQFSFSEVTTWPEVDVEEAAVVNPSI >OGLUM10G00010.1 pep chromosome:ALNU02000000:10:4015:5362:1 gene:OGLUM10G00010 transcript:OGLUM10G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASLLVAACRSVVLRLPRRRSRQPLLATARRLHSPQPLPTSARPATRYNPSPRSLTAVSSDGSVEVGEGRQGSVKGVVALARWEMRRQWGRLLPFRRRHSLRHPSPSPLTRVHVPTSRAHGFAAAGFSPFVVVVYLLHEPTSRARISPTPPPPLPPPLPLPRVRIVPPSPSPERSSVIPAGERGRRGEEEEEAATDMWGPTMGLASLEA >OGLUM10G00020.1 pep chromosome:ALNU02000000:10:5319:26852:-1 gene:OGLUM10G00020 transcript:OGLUM10G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAKATISLSPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAATPEPKSTKENDLVFIAGATGKVGSRAVRPAAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLVQAATAAKVEHFTLVTSLGTNRIGFPAFLLNLFWGVLCWKRRAEEALIGSGLPYTIVRPGGMERPTDAFKETHNLVVAVEDTYVGGLVSNLQVAELIACIASNRRTAYCKVVEAIAETTAPLLPTEDQLANIPSKRICGPEASKLAIAVPTISSSSCSYFYRYRCGRFIVNVELQRHRHPHQRGSTEAAAEASFTELKPPSSPSPTPPSAASSASVSACPDTPPAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >OGLUM10G00020.2 pep chromosome:ALNU02000000:10:5319:26852:-1 gene:OGLUM10G00020 transcript:OGLUM10G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAKATISLSPSYAGCCMAACPYRSTRHLRRGGGCSARSISSLRHAPSARVYAAAAATPEPKSTKENDLVFIAGATGKVGSRAVRPAAERLEIVECDLEKQAQSDIVSAIGNAAIVVCSIGASEKDILDVTGPYRIDYMATNNLIVRPGGMERPTDAFKETHNLVVAVEDTYVGGLVSNLQVAELIACIASNRRTAYCKVVEAIAETTAPLLPTEDQLANIPSKRICGPEASKLAIAVPTISSSSCSYFYRYRCGRFIVNVELQRHRHPHQRGSTEAAAEASFTELKPPSSPSPTPPSAASSASVSACPDTPPAAAASSAALDSSANGTPITGDQLNQQQRPLSPYTRYEELKPPSSPTPSTPKL >OGLUM10G00030.1 pep chromosome:ALNU02000000:10:27044:37262:-1 gene:OGLUM10G00030 transcript:OGLUM10G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGNEENQNNGNEVDNNMVLIERNNNGERRDAREKRCRGGGGACVARKRCGGVPMHKHELQRRWSVRSSSR >OGLUM10G00040.1 pep chromosome:ALNU02000000:10:40084:82056:1 gene:OGLUM10G00040 transcript:OGLUM10G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASGSGSGSAMDSFIHRGAGWHLPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTSYYHDLKFHLWPLADGPISGGAKSFVKPRRLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAATGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYKAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVASVIAKAKASEFAQKQEMKMREELEALLTATKKQHEDLVENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERCAYNHIMLPNCSSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPTTPNHALRFAIHDWLSQRSTPF >OGLUM10G00040.2 pep chromosome:ALNU02000000:10:40084:82056:1 gene:OGLUM10G00040 transcript:OGLUM10G00040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASGSGSGSAMDSFIHRGAGWHLPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAATGNNISVYNEDDIMVNRMIMNLLLMPTISAILLYQICNMHSLHLTQHSSLEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVASVIAKAKASEFAQKQEMKMREELEALLTATKKQHEDLVENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERCAYNHIMLPNCSSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPTTPNHALRFAIHDWLSQRSTPF >OGLUM10G00040.3 pep chromosome:ALNU02000000:10:40084:82056:1 gene:OGLUM10G00040 transcript:OGLUM10G00040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPSPPPSPGPASGSGSGSAMDSFIHRGAGWHLPRRDNVDARVHVAVGRSPEKTLGLLRWAFRRFACAQVVLVHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKDEMNKILLTYLTFCHRAQVQASLLVTENEQIHDGIITLVKDHGITKLVMGSTPDTCFKLKASYGKASFMARNAPSFCEIWFVWRGRHIWTREAAAATGNNISVYNEDDVMIRKRIRFSSTSNNAESILDEGYISYKAQTPADRYEITISDNGQPNDYESLVDANHFCNIIVPNLQHAQSAFNSTFQPGSSVDMESLVLYPQEILDKNFKQVILEAERSRKDAFVELLKRKDTESRVASVIAKAKASEFAQKQEMKMREELEALLTATKKQHEDLVENKEKATEGLDSSMRKLAILDARAKSIAFRMNEAVAELKLIQSSIGTLNQEIPKREKLELVHTDQVERCAYNHIMLPNCSSTVCADDLYNFRELTLSDIKAATCKFSDSLKVQPRGLGCVYKGEIMNRSVMIYKLHSCIIQSSMQFQQEVHLISKVRHPHLVTLIGACPDALCLVYEYVPNGSLHDRLWSKCGIPQLPWKIRARIVAEISSALFFLHSCKPQMIVHGDLKLENILLDANLHCKIADCGISQLFMEDAKDADPEYRRSKPLTPKSDIYSFGIVILQLLTGKQAAGLPSEVRRAMSSGKLWSLLDPTAGEWPLEVARRLAELGLKCSEAASPELLTPETVRDLEQLHLMRDNRQEVMHDPQVGADGLTYEGRAISELMDNGPPTTPNHALRFAIHDWLSQRSTPF >OGLUM10G00050.1 pep chromosome:ALNU02000000:10:74356:75297:-1 gene:OGLUM10G00050 transcript:OGLUM10G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGTDVVALYGGANGLSHKSGSFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHLVEAQILEAIGVDYVDESEVLTLADDAHHINKNNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRSMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPALRARAIVQAVTHYSDPKILAEVSSGLGEAMVGINLSDPKIHVERFAARSD >OGLUM10G00060.1 pep chromosome:ALNU02000000:10:86168:88615:1 gene:OGLUM10G00060 transcript:OGLUM10G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B705] MAAAVLLLLLLLLPALAAAQAQAQQMRTFSANDTNWSPAESNRTLVSNNGNFAAGFRPSPSSPAKFWFAVWVSANANESRPVVIWYAHNNDHSAVEGDANSVLSIDAAGKLSWSDNGNSTTIWSRNSNSTSAPLSLNDSGSLDHGAWSSFGEPTDTLMASQAIPSISNGTTTTTSITLQSQNGRFQLVNALTLQHGSSAYVNITGNTALRNLTADGTLQLAGGNPSQLIASDQGSTRRLRRLTLDDDGNLRLYSLQSKKGQWRVVWQLVQELCTIRGACQGEANICVPQGADNTTCVCPPGYRPQGLGCAPKLNYSGKGNDDKFVRMDFVSFSGGADTGVSVPGKYMTSLTPQNLADCQSKCRANASCVAFGYKLGGDRTCLHYTRLVDGYWSPATEMSTYLRVVESNNDPNNFTGMTTMIDTVCPVRLALPVPPKQGRETIRNIAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSYAELKAATKEFSDVVGRGAYGTVYRGELPDRRAVAVKQLHGVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADKEQRMLVYEYVPNGSLDKYLFAPGTGTQGDEEESNKRPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKKEKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQDSVGSEDWYFPKWAFEKVYVERRIDDIIDPRIVQAEAYDDDPASLATVERMVKTAMWCLQDRADMRPSMGKVAKMLEGTVEITEPVKPTIFCVQDD >OGLUM10G00070.1 pep chromosome:ALNU02000000:10:92804:94755:-1 gene:OGLUM10G00070 transcript:OGLUM10G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYTEAAVTRRRLLLLLLLLTCSCLSARERSNSSSSSSSRRVVRHLPGFDGALPFELETGYVEVDRIAGVRLFYYFIRSESSPADDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVHGHGHGQLPRLLYKPESWTKRTNVIFLDSPVGTGFSYADTDAGFRTGDTIAVHHILVFLNNWFQEVHPDFLSNPLYIAGDSYSGMIVPAVTFGIATSSPKPSLNLKGYLLGNPVTDHNFDAPSKIPFAHGMGLISDQLYQCVKDIYGNHILEPYCTFASPHNPRVDKPFTSRVRQILQLQDFHLSEISSECRTAEYTMSRIWANNDTVRETLGIHQGTVPSWQRCNYDILYTYDIKSSVRYHLDLTTRGYRSLIYSGDHDMIIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVAG >OGLUM10G00080.1 pep chromosome:ALNU02000000:10:102054:103708:-1 gene:OGLUM10G00080 transcript:OGLUM10G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTGSPLMMFCFGEGNEYTCTYTGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFGSNPLYIGGDSYSGYTVPVTALQIANDEDARARLNLKGYLVGNAATDNKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVESAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYSVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGQL >OGLUM10G00080.2 pep chromosome:ALNU02000000:10:102054:108122:-1 gene:OGLUM10G00080 transcript:OGLUM10G00080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLTLLLLLLVSATWAASAPTTSRARNVITHVKGFQGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPQLFYFQDSWTKVSNVIFLDAPVGTGFSYAREEQGYNVTLTQTGQQLVVFLTKWLGDHPEFGSNPLYIGGDSYSGYTVPVTALQIANDEDARARLNLKGYLVGNAATDNKYDSGGKVPFMHGMGLISDEMYEAARSSCRGDYVSTPTNADCANALQAISMATFAINPVHILEPICGFALRGRAMPETTMDQRLRLGLPVECRDNGYRLSYLWADDPEVRATLGIHEGSIASWSRCTALPLFRHDVESAIPYHAELTQRGYRALVYNGDHDLDMTFVGTQQWIRTLGYSVVTAWRPWYSNRQVAGFTTVYDHNLTFATVKGGGHTAPEYRPKECLDMLDRWTSPAGQL >OGLUM10G00080.3 pep chromosome:ALNU02000000:10:104552:108122:-1 gene:OGLUM10G00080 transcript:OGLUM10G00080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRATSTLTLLLLLLVSATWAASAPTTSRARNVITHVKGFQGRLPFHLETGYVEVDNTNTVELFYYFIQSERSPADDPLILWITGGPGCSALSGLLFEIVRGTAKIEGKAVSGGISGQTGIVGSRL >OGLUM10G00090.1 pep chromosome:ALNU02000000:10:144485:146121:-1 gene:OGLUM10G00090 transcript:OGLUM10G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTGYCRSPSPEHCRPNPRATGLALMSRPISHYSHFFYRPEQAFAAAVPASSLTSTADLCRPRMRVDGEHVMLPTSPRFGHRRAGAPLSLFYFGWQPVVFRGSDNQVAYLGFICVGARQVIIPLL >OGLUM10G00100.1 pep chromosome:ALNU02000000:10:174858:175157:1 gene:OGLUM10G00100 transcript:OGLUM10G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQSAAAARPHWRRREPSDGEVYVVHPTHFRTVVQQLTGAPPPVANNNANANVAAQHNNRPSQQQHMSSNNNNNGSVTTLGQMHQECMAWAAQDDQH >OGLUM10G00110.1 pep chromosome:ALNU02000000:10:183472:185052:1 gene:OGLUM10G00110 transcript:OGLUM10G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTKEEENIIMSSSFSDTSRSAPASRGYRLIAGSSSSSSSNWPQQASTRQLLLLTRQFGVAAPQHCRLLHSYYLDFELLRMLFGTSLEEAWIGNVGEQQD >OGLUM10G00120.1 pep chromosome:ALNU02000000:10:203726:204775:-1 gene:OGLUM10G00120 transcript:OGLUM10G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAALASRRHRLLLAAAAAGYGLYRLYRHHRRRVAAVLSLADAVSQVGSDLADFLRSDSDHVPRTLLQLSKLAASDPISSAASSLSHSLASGLLRALSDSTSTSTTTTPAQIGLTDRILDRLLSPAGTGFASAVVGSFARNLVLSYHAAAAPRPPSAHPLPDWLCSDRGKDAAADLVRVFVSTAVAAYLDRTASVPRTSHQLLAAFTDPKHEAKLKDLLVSVCNGAVETLVRTRRQVAVAPPPTPIVVVSEAQSPHGCVMDTVSSTLAVPSNRRFVLDITGRVTAETVRSLLDFLAQRVSDGARKSIATARNEGFLAIKHITSKSMAIFTICFALCMHISMGTRFLLPP >OGLUM10G00130.1 pep chromosome:ALNU02000000:10:234921:235460:-1 gene:OGLUM10G00130 transcript:OGLUM10G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVEATRRRRGPSGGAADGVGARRGGAVDGVGEVEGRDGPRRRLGGEARWTASAWSGGEAWWMASTWSEWRRGGEAMDSAVSLSPPPFFFPSPSYLDCNGVDEQMKMAAVVRARDLVLVVSAALPALTPVSSPDLGHRRWEAHGSAAVSHCCVGGGGCEELVMARLLLATTLLDGLR >OGLUM10G00140.1 pep chromosome:ALNU02000000:10:242518:249956:1 gene:OGLUM10G00140 transcript:OGLUM10G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSPSASLAVAVERSPGFGLEPSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDSMEDPPPFFVRRWAPMLEKIRNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLRSRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNAGRSQFGSLLSLGHDQTKLDKLYMRGPEGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >OGLUM10G00140.2 pep chromosome:ALNU02000000:10:242518:249956:1 gene:OGLUM10G00140 transcript:OGLUM10G00140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPPSPSASLAVAVERSPGFGLEPSSSSSSSSSSAPSRNELLTMVKKHSHLIGWTVVDAEDDASDVGMDDRFWHEMLDLFFVRGRVSKRREEDDLVFFVNNNMKMHGHGFNDSMEDPPPFFVRRWAPMLEKIRNINSAGVDWERSFYLNLIAHTSYTVTVAICSVRDLRSRAEKSKPLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNICFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEDTESKNASSNLLNTGSNQEKPPKRTLFSGYVSYQNVREAYNGRGEVEVAVSGIADQSHERSKKDPGDSFRVFVHRAASAASKLAKHAYEAASTNKRFDHELLPLKCCLMSVSLPWDYIAHDLLHKETPPLDL >OGLUM10G00150.1 pep chromosome:ALNU02000000:10:275205:277023:-1 gene:OGLUM10G00150 transcript:OGLUM10G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAVAVAGALLLLVVVGSSAAGAGSGSCQTRCGDVDIPYPFGIGPNCSRGVGFEIECNTRNGSGDLVPTLAATSLSIQVQNLSVESPPMAKVMLPVAYKCYDDPTKTQDFNGEVELNKTGVYRISDELNMLVVLGCNTMVYTKNGNSEGGLYSYLYYTGCIAYCNDSRSAQDGKCAGAGCCHVDIPGGLTDNTLVFDSWNRTKQVDFSPCDYAFLVAKEEYVFQRSDLNKDLNRTMPVWLDWAIRDDDSACPPLALGKKPPAGSYACVSDNSECVNSTNGPGYFCSFKLIFYIRVNFTKLHVF >OGLUM10G00160.1 pep chromosome:ALNU02000000:10:282175:285573:-1 gene:OGLUM10G00160 transcript:OGLUM10G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLREENLKRCLHGGYDTENAAIVTRPGTGHGCTRRSYPLSRVCLGFSFLIVAFLITLVMFQKRKMNEYFKKNGGSILQKVDNVKIFSKDELKKITKNNSEVLGQGGFGKVYKGTLGDNTIVAVKTSIEVNEARKDDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGNLQDILHGDGNIPLPLHLRLDIAIESAEGLRYMHSSTNRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELISRKPTIYGENCSLIIEFQKSYDKENSGRMMFDKDIEIEEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKGGQGSYSISPQNFEEISIEGTPKSFGAEISESSSAAVSAPATPAN >OGLUM10G00170.1 pep chromosome:ALNU02000000:10:287623:288493:-1 gene:OGLUM10G00170 transcript:OGLUM10G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVCCLILPALAPSARTSAPHCPLPDANHRAGCTSEPPGRSSATSCSGRLRRCPEEAEREAADAAMGGGGGRQSAVADEGGLGGDETALAAASGGAPDEVGDVRDEERHDEEEDLVVERTAIVLVCPGIAPLPLQLFTCIGVAVGRRSHGYCRAFGRISFSSRLCL >OGLUM10G00180.1 pep chromosome:ALNU02000000:10:287677:288471:1 gene:OGLUM10G00180 transcript:OGLUM10G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAYRNTNARKQLKRKRCNAGTDEHDGCPLHDEVLLLVMSLLVPDIADLVSLALGFFFTSTGAGTITTPDHNNYMPLRFVPLRPSSSPRRRRRRVMLADLVDGDGLPILQDSSSRVVASRNGRLVVEIRRTKSLILKLCVCNPITGEVDVLPHLRGSDRPPRLYECALLTVDDLQYFNLGNDEDDHYTTSPSSYRVVLMYNRRGGSRPAADSGGRRCVRRARGPAG >OGLUM10G00190.1 pep chromosome:ALNU02000000:10:291930:292624:-1 gene:OGLUM10G00190 transcript:OGLUM10G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCLGIKSAWSSRKLPSPAKDRWPVMGLYTHMSARRCDLRRQLGSLRRRATRPPWDATALYSSRTSGATRDMKGARRCSTNDAAAGVAGWAREKQQWASSSCFLLLWRKPTTLLRGTIASGPSWHTRRRKGGLRISRRRSLDAAAHRTSVPASDRATISSRSSGGRNNSGVGSAGGGVTHARRRRLRGGIVSSLLPVPVVCLAPY >OGLUM10G00200.1 pep chromosome:ALNU02000000:10:302960:304487:-1 gene:OGLUM10G00200 transcript:OGLUM10G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDDEDVGLALGLSLGSGGHRRQRESRDEAPSSAAASLLTLRLPAESGGQPQVVVKREVVRAEEEEYEYEYERALYSSSAAAADDDEGCNSRKKLRLSKEQSALLEDRFKEHSTLNPKQKVALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALTHSTAAGFFMATTLPVPAATLSICPSCERLATAAAAAGASPTAAADRTNKPTAPHLFSPFAKSAAC >OGLUM10G00210.1 pep chromosome:ALNU02000000:10:320719:326387:-1 gene:OGLUM10G00210 transcript:OGLUM10G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B723] MRVHEEASEDKEREVEEAPDLMPLSPPPTAAATAAAVAVAGQRLVVGYALTKKKVKSFLQPKLLSLARKKSIHFVSIDETRPLSEQGPFDIILHKLTDKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNAYGEVCTPRQLVIMKDPLSIPSAVAKAGLTLPLVAKPLIVDGTSKSHELSLAYVETSLSMLDPPLVLQEFVNHGGILFKVYVVGETIRVVRRFSLPDVNIYDLENNDGIFRFPRVSCATNTAEDAEVDPSIAELPPKPLLEKLGRELRRRLGLRLFNFDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFIDFLLSLVQNKYKRRLSGS >OGLUM10G00220.1 pep chromosome:ALNU02000000:10:338176:338349:-1 gene:OGLUM10G00220 transcript:OGLUM10G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVMALDMATSGDMMLMQLVLAEVAHLMSLLVWGWWRELRGALAVAGVEEEDGVWV >OGLUM10G00230.1 pep chromosome:ALNU02000000:10:373866:376959:1 gene:OGLUM10G00230 transcript:OGLUM10G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGEANSQLIISTVAHSWYQSNSIEVVLWWFWSRIAQTPTEYGFFGQAMACRTDEENDDDPRELEHLDPLSMQQLAESLANELFNQPQEQQEEQHGYHNPSLRVLPFVGDINKPEGHTPAAAAIRDSFFSLTNGSSSSLNFSALEQQQDSGPMTKFCSPLSEMKRGGRRATSSMQEHTDKVSVLGSTIEYVHHLRERVKVLQDIQSMGSTQPPISDARSRAGSGDDGNNNEVEIKVEANLQGTTVLLRVVCPEKKGVLIKLLTELEKLGPSTMNTNVVPFADSSLNITITAQIDNGSCTTVELVKNLKSTLRNF >OGLUM10G00240.1 pep chromosome:ALNU02000000:10:377745:386487:-1 gene:OGLUM10G00240 transcript:OGLUM10G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPVHLQSPCRRFVSTSKDGDARIWDMTTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCSIKVWETSQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OGLUM10G00240.2 pep chromosome:ALNU02000000:10:377745:386487:-1 gene:OGLUM10G00240 transcript:OGLUM10G00240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNQNQQQESSSGSSVMCQLVSPEGDHLGAALYLPHNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAIFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLSTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISRLLLSGSKDSTLKVWDIRTRKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OGLUM10G00250.1 pep chromosome:ALNU02000000:10:389284:396502:-1 gene:OGLUM10G00250 transcript:OGLUM10G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISYPSFNLDRHNFNQRPNKLCENHPTKISSPPRVRKPPRIISKIPPSPNPLFPFLLATAAAATATAGADPIPPESFPGERSEALSGVALC >OGLUM10G00260.1 pep chromosome:ALNU02000000:10:404364:406088:-1 gene:OGLUM10G00260 transcript:OGLUM10G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPESSPSSANSTTPSAPSPSSSLSKSPSPPSPSSPPPPASTNAPPKSAGGVSSSTQAQPSSESSSESTTTPSAPAERKSGGSSRGESGRSSEHIIDRGDVTAGVFVGLFVVAMVAVLAGVVAIVVCCCIKMTKKKKRPPPPNMPFFTDEKGNVYYATGGLPPMWQQHGSSNYSIPPPPPPGWHMSSSAGGFSGEMGMGYSSGPYGPALPPPSPNMALGFSKSSFSYEELAAATSGFSAANLLGQGGFGYVYKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAANQRMLVYEFVPNGTLEHHLYRGGNGDRVLDWSARHRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDANYEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVMLLELLTGRRPVDTSNYMEDSLVDWARPVLARLLAAGGEEGGLIRELVDSRLGGEYSAVEVERMAACAAASIRHSARQRPKMSQIVRALEGDDSLSLDHHHDDDFSASSEISRHRQVAVDSGDYTDDYSTTSTSTHSSRLPPKR >OGLUM10G00270.1 pep chromosome:ALNU02000000:10:409704:417408:-1 gene:OGLUM10G00270 transcript:OGLUM10G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSPSSAAAPTRTSTRKRAASASASAKATDEPSTKRTRRPKAETKPRKKKDEVKEEEKPPMEDDACGEEPDAEEMALGEEAEAEEAEAEQKQLDAPAPGVARKRVAQPSRVRHGSDGDHDPEFVGDPFPAKEARDKWPQRYQRNAATRRPDEEEDIKARCHYSSAKVDGTLYCLHDDVYVKAEEDKADYIGRITEFFEGTDHCRYFTCRWFFRAEDTVISSIMMENADDEKHDLKRVFLSEEKNDNVLDCIISKVKIVHIDPNMESEAKARRLADCDLYYDMSYTVAYSTFANIPLENGASGSDTASDISSDDVDSSKGKVVSDSEASSVGKATLLDLYSGCGGMSTGLCLGAALAGLNLETRWAVDFNSFACESLKYNHPRTEVRNEKADEFLALLKGWHSLCDEYVKKDIDFSSAGASENEEDDDEPLEKDEFVVEKLAGICYGGSGREDGLYFKVQWKGYGREEDTWEPIENLRDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRKEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSRLVAMKYQARLGMMVAGCYGLPQFRMRVFLWGALPTMVLPKYPLPTHNVVVRGGAPNAFSVNNHQPNEVMEYGSSPKTEFQRYIRLSRKEMLDSSFEGKDGPDLGKLLDHQPLKLNKDDHERVQQIPVKKGANFRDLKGVRVGANNIVEWDPDVPRVYLSSGKPLVPDYAMSFIKGRSLKPFGRLWWDETVPTVVTRAEPHNQIILHPNQARVLTVRENARLQGFPDYYKMFGPIKEKYIQVGNAVAVPVARALGYSLGLAYQRESEGSSPLFVLPDSFTEVGRQAAPARASSVGIPVGEVVEQ >OGLUM10G00280.1 pep chromosome:ALNU02000000:10:427556:430070:-1 gene:OGLUM10G00280 transcript:OGLUM10G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDVHHGGGATPAASVVVPCVTLNSGHAMPVLGFGTGSSTPPADLAATIARAVRLGYRHLDTAAVYGTEGAVGAAVAEAVRGGAVASRGELFVTTKLSMADAHPPRVVAALRESLSRLGLDYVDLFLIHWPVAIGKKDAAAAGELTWDDLSRRLVPFDMEGVWRGMEECHRLGLARSIGVSNFSATKMSRLLALAAVPPAVNQVEMNVGWRQEKVREACGERGVVVAAYSPLGAHGAHWGSDAVMNSGVLHDVAATRGKTIAQVALRWVYEQGVCMVARSFNEGRMKQNMDIFDWELTDQDKAMIAGVPQRRACRGDYFVSPDGPYKSLHDLWDGEI >OGLUM10G00290.1 pep chromosome:ALNU02000000:10:436644:447254:-1 gene:OGLUM10G00290 transcript:OGLUM10G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPMLADAAAAAPCSSSSCSSNSSSSSSSAIWSRRRDEITFDRLDKFWSALSPQARHELLRIDKQTLIEHARRNLYCSRCNGLLLESFTQMVMHGKLLQQKGPGVVQDESWGGLSTTKDGLLTLLDCFINTNSLHVLQNIFDNARAREREREMLYPDACGGGGRGWISPVIANYGRGHGTRDTCALHTARLSCDALVGYWFDLCEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRLRREHHCTSWFCITDTAFRCEVFEDAVLVDCRQSFLDQDKSYNRFEFAVGTEKGKSDILGFEAVGMNGQMHRKGLDLDQFEDYFVTLRAHYADNKNTDFYVKAHALKGQSCVHRRLIVGDGFVTITKGESIQSFFEHAEEAEEEDEDDAMDRDGNDTDVDGVHPQKHAKSPELAREFLLDAAAVIFKEQVEKSLREATAQQNAHSVFVSLALKLLEERVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKLRRKERLKEKEKEKEKIPVQLKPYIGTSSSPLSNSATPINDQSPDIAHSKYSASDDEDKDSIVVTESFSPDTCVDQSLTRESDGQSNEFHCSTTLEFIPSDCNGSFMCEQSTSSRRKLRFRRDSLQEQTTGFWYEDCQDDTGGVGNIHWQSRERARNAGRGCNSLFSANNRTRERYEYNACSCGQQEDYGYFSPTARSSREMKMSRKTMVEKPRLQYRRCYPLDSFIVSKGSRVGSTPNKNAAPKQVWEPMDARKKASLGSSNGSSETVSGVDRSNQVGCSKDIVNCSQILGSEHEELAEASSDRSEEACKSITDQPCESSENNQAACNSEPPVVNKPDSCFTKDGGQTANMTSSDSSSCLSEGDRDSSMSSMTSLSAQNPESSSTSDSEGSSERNNSNPGNPPTKNGSRSLLEMCAGNGFREYQPQNIHPSDGNQFGFGVTPFQEQLLHQQKIHAAPYPSTLMGFHNHHVSVPTNGYLAYPQPGHFYPNAVGYGVAGNQCVDFPMQYSNVHPYAGPEFGYVPAQPVHKAPVSFNAMVPTAALFRNGAPEVINPVIVKPDRQHRHTLPPEPKRVDPDPQNGCSEDNKKPQDGSVPFSLFHFNLPISSPAQASSEDEVSGGCLASRSPTPSAQKAQPCSREETNIKEYNLFSARTGVEFPFF >OGLUM10G00300.1 pep chromosome:ALNU02000000:10:457132:460251:1 gene:OGLUM10G00300 transcript:OGLUM10G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNSEEVSCNDHHQVDVVAAAGLQCSGDMLGDKQLVSQVILEGLEIEEPPADEMEAAEKKAGISRLMAGYVQHLQHRSAYHLGYPLNFDYDFSPLAPFLNFSLNNAGDPFAKVNNSVHSRQFEVAVLNWFANFWDVQRDQFWGYITSGGTEGNLYGLLVGRELFPDGILYASNDSHYSVFKAAKMYRVKCIRIATTVSGEMNYADLKSKLQHNTNSPAIINANIGTTFKGAVDDIDQIISTLEKCGFQNRYYIHCDSALSGMMTPFMKQAPKVSFKKPIGSISVSGHKFLGCPMPCGVVITRLEHAEVLSTDIEYIASRDSTITGSRNGHAPIFLWYTLSKKGYKGLLKEVHICMGNARYLEVLLKQVGISASCNTLSNIVVFERPKDERIVCRWQLACEGNLAHIVVMPNVTFEKLTVFVEELAEKRKDWYQDKGFDIPCLAVDIGKENCYCNLHAKKLRIPKM >OGLUM10G00310.1 pep chromosome:ALNU02000000:10:461648:467767:-1 gene:OGLUM10G00310 transcript:OGLUM10G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFTARRSKAEMVMPARPTPRETKTVSDMDDHPGHLVYIPLLEFFRCRCCHNSNSRAVPPARAVKAALAEALVWYYPVAGRLREIAGGKLVVNCTAEGAAFVEADADVRLEELGEPLLPPFPCVEELLCDAGDIGVVVGKPIVFLQVTEFKCGGFVMGFYISHCIADGFGMIQFIKAIVDIARGEQAPMVLPRHILTSRSPPPTIGATNTNTVKFSSVLKDSTSIDDDIMLSTPQESMVGNYFLFRPNHISALRSHVHEHGATTATRFELITAVIWRCRTVALGYKPDHRVHLLFAANSRRHRGDGTLRIPEGYYGNALTYHVAAATAGELCGTTLARTVALIREAKLDGTTEERVRSTVDFLASLRLRRSGGRFPALAFDKAYAVSDFTRLGEDGLDFGWAERVGGGVATPSFVSFHSRWKLVSSDGDGEEEEAVAALMLLPKPAMDRFDKELALCTAMVTFKANRSDPELVPPALATPREMKALSDVDTQPALRFYATGVEFFRHRPIVDDSHDQPENQAKVVKDAIAKALTYFYPVAGRIRELPRGELVVECTGEGVVFVEADADVWLDEFGNPIMPPYPCVDEFLCDPGDTSVIIGKPLVFMQVTRLKCGGFVIGTYSCHNIVDAFGHTQFLKAIVDIARGDDHPTVLPVWGRELMAARNPPNVSLLQHLTPSKLSPDHPVEPNSAAQHVSSSTDHMVGDYFFFGPREIAALQHHAQLQYSSTAFEVITAAMWKCHTVALRYVPDQNKKACLLMTMNARGKWKRDPPLPQGFYGNGFVYLVVETDASELCKQSLGHAVKLVQKAKLDMTEEFTKSMVDFIALHGGPPYVAGWTFVVSDITRIGEDALDFGWAQRVAGGVPMVGDVKCKQVSYQMRCINDSGEDCVVASMFLPKSAMEIFAKEILVLSSKEIE >OGLUM10G00320.1 pep chromosome:ALNU02000000:10:469843:470866:-1 gene:OGLUM10G00320 transcript:OGLUM10G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADNGGLPEESRLQPMETIPTPTVINEKNEVEVMDIAADMAGFVPRIKISARQRWRTLATTMVVDLMGCYAPRRGDNQLAI >OGLUM10G00330.1 pep chromosome:ALNU02000000:10:487764:498866:1 gene:OGLUM10G00330 transcript:OGLUM10G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFSARRSRAELVAPSRPTPRDTKILSDLDDFPNHHEYTPVLFFFRVSGDDDQPPPPDQTKWATTVFRTALAEVLVYLYPMAGRLRMLPSGKLAVDCTEEGVVLVAAEADLRLADLGEPLLPPFPCVGELVCHNSIVGDIRVVLGTPLVFLQVTEFKCGGFAIGLHMNHCIADGFGLTLFVKAIADLARGEPRPLALPVWERHLLMVRAPPSVAAAYPAFKPLIDGGASSGDDDVMLTTPLDTMVTRHFLFGRREMAALRRHLPAHLSRRCTDFELLAAVLWWCRTAALFYAPHRQVCLDLPSNARGRRMRRRHGVHVPEGYYGNALAYTIVHASAGELCGGTLGHTVEVVCEAKLRMTEEYVRSTVDLLASLRQRGRALVFDGVFVVSDATRLIGELDFGRGGEWVGAGVAQPMRATFLVRCRDADGEDAVAASMLLPPPAMDKFAEDIAEALLITSWLLVARRSKPELVAPSRPTPQDTKLLSDLDDFRNHYEYTPLVAFFRTSSTGNIPSAPPPEMTIRRAIAGDGERWRSQGLHIPEGYYGNALAYSIANASAGDLCGGTLGQTVELVCEARLQVREEYVRSTVDLMASLRGRGMVLDGVYVVSDLTWLFAELDFGRGDWVVSGMAQPMLATFLVRCRNTDGEDAVAASMLLPPPVMERFAEEIAGLMTTSSSSHPNRQLPSRLYGFPIYASRSYIHHIPEGYYGNALAYSIADAGASDPCGGTLGQMVELICEARLRVREEYVRSTVDLMALLRGRGMVFDGVYVVSNLTRLFAELDFGRGEWVVSGMAQPMLAMFLVRCRNGDGEDAVAASMLLPPPVKLRFAEELAGLMMSMPHGGAALCPAPASAYLPLSMRGRRWLHIPEGYYGNALAYSITDASASDLCGATLAQMVELVCEARLRVTEEYGRSTVDLMASLRGHDTVFDGVYVVSDLGAGSGWSTAWPSRCWRRSWNANGEDAVVASMLLPPR >OGLUM10G00330.2 pep chromosome:ALNU02000000:10:498866:508347:1 gene:OGLUM10G00330 transcript:OGLUM10G00330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERFAEELAGLMMRLSREAVVARRRQRWRPLLCQIRREGRRCAVSMVPVGKSGTDGLVARRSKPELVAPSRPTPHETKLLSDLDDFRNHYEYTPLVAFFRSSGSGNDVPSPPTMTIRTAIGEALVYYYPLAGRLRELPCGKLVVDCTEEGVVFVAAEADLRLADLGEPLLLPFPCSGELLVCDNARSDSLHVAVVDKPLIFMQVTEFKCGGFAIAMQGNHCVADGFGASQFMNAIADLARGEPRPLVLPVWERHLVMARAPPSVAAAYPAFKPLIDGASSNDVMLSTPLDTMVTRHFLFGRREMAALRRHLPARLGRRCTDFLLLAATLWRCRTAALPYAPHRRVHAYLPLSMRGRRWLHIPEGYYGNALAYSIADASAGDLCGGTLGQTVELVCEARLQVTGEYVRSTVDLMASLRGRGMVFDGVYVVSDLRRLFAELDFGCGEWVVSGMAQPMLATFLVRCRNADGEDAVAASMLLPPPVMERFAEELAGLMMSSKHDKSSSRL >OGLUM10G00330.3 pep chromosome:ALNU02000000:10:508355:510713:1 gene:OGLUM10G00330 transcript:OGLUM10G00330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFWDSDRMRRNMKTNEFITVLPVFMCVILVVLQGMLNHELNKPKYQCSTAAPASSTRRWTSWLAAA >OGLUM10G00350.1 pep chromosome:ALNU02000000:10:574317:581549:1 gene:OGLUM10G00350 transcript:OGLUM10G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVSKSAPVVVRPSETPVKTSGSKIVLSPLDMPLAMVPMTVLLAFDHPIIHHHQPTADTIKMALAQALVHYYPIAGRLSCNDDDDGGDFYIDCTGELGVMFVAASADCNMEELMCVVDTQPTDDETAVVQQLAFNCTADVGDDGPPPLLWVQIATQVVPPFTMALLQFLLGLKPLDLTFNNVTVPTSLINHIRFRGRRTNDDGGQPFTTVTAFEAVAAMLWKCRTRAVMASPEAPAILVFVVNARKHLAGVKDGYCGKCSMMHMAMAKSGAVANGDIMDVVEIIWRAKEARNASRSSSEKTATGWYRSSPMSIGLMDTRACFT >OGLUM10G00360.1 pep chromosome:ALNU02000000:10:616003:616497:-1 gene:OGLUM10G00360 transcript:OGLUM10G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSLAVVVVAAAVALVAGGGAAVAQLCEEYYDCTCPDAYDIVRRVLIDAHRSDARIFASLIRLHFHDCFVQGCDASLLLDSVPGMPSEKTSPPNNNSARGFPVVDDVKAALEDACPGVVSCADILALAAEISVELVIFINFIYYYHGNFIIIINVLRVTL >OGLUM10G00380.1 pep chromosome:ALNU02000000:10:641782:668600:-1 gene:OGLUM10G00380 transcript:OGLUM10G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHEEFTDWHVGTECLGQLAFFGVQYSLVTFLTTQLRQGNAEAARNFSMWQGTCYIAPLAGAIVADSCLGRYRTILSFFSIYIIGMGTMALSGASPAVISRSTQPAVFSLGLYLMAIGAGCIKSCVGPFGADQFDGGDAMERPKKSSYFNWFYFAMYVGALVSGSAVVWLQDNFGWLLGFGVPALCTVLAMASFLLGSAMYRYHQPRGSPVVRACQVVVAAVRKRNVVLPHDGFVLYDGLIVVIVVLLAAVTLVCCAGWKAAAQWWEAGKRARTVIAGFVISCDRSDRALVAGQFRFLDKAAVAVAVPSSAAAQPWRLCTVTQVEELKAIVRMLPVWATGIVYCMVLVQQPLFPVQGRAMRRRLGVAFAVPAASLNSVYAAAMLVLVPLYDAAVVPAARRLTGSERGLTELQRIGAGMALSVAAMAAAATVEGRRLAAAGEVSIAWQVPQYVLLGASAVLAHIGQLEFFYNQAPDSMRSLCSALGHMTCSLGSYLSSVVVTVVSRATARGGSPGWIADDIDDGHLDRFFWLVAGLSSTNLVVFICCAKRYKYKDSIN >OGLUM10G00390.1 pep chromosome:ALNU02000000:10:673046:675419:-1 gene:OGLUM10G00390 transcript:OGLUM10G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEQRQWMDGEFGCQPEEGPYTGNGSVDVKGNPASKTHTGKWKACYSILGGEFCGALAYYAVGTNLVSYLTKVQGQSNVTAASNIAAWQGNCYLTTILGAFLADSYWGRHRTIVVSLTTFTFGMVLLTLSAVVPPNMHRSMATFPQEALSSLGLYMTALGLGGIWPCVPTFGADQFDDTDVSEKAQKELFYNWYYFAVNGGFFVASTVIVWVQDNCGWGLGFGIPTLFSVIGVVGFLASMRFYRYQKPGGSALTRICQVVVAAFRKVHVDVPSDSSLLYEMPGKESAIVGSRKLMHTDGLRFFDRAATITASDEASASRPWKLCTVTQVEELKIFARMLPIFLTGVIFNTAEACFPLFVEQGGAMDNHVAAAFALPPASLTTFTCVCILVLAPTYDGVLMPAVSRLTGVKRGLSELHRIGVGMVFAVLALAAAAAVETARLRSVEADAPAVSILWQAPQYVLVGVAKVFGVVGYIEFAYEQSPDAMRSLCQACSLIMVTPGSYLLSAMLTIISSVTGGGGGHGGWIPENLNEGHLDRFFWLMAALQLINLIAFVCCAATYKRKLPTT >OGLUM10G00400.1 pep chromosome:ALNU02000000:10:694785:695048:-1 gene:OGLUM10G00400 transcript:OGLUM10G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGGSGGQDPQLPCLGCANPPPQGLGHTGSARRRASGDFEHGADPPLLDHWAQVTSTTSVVASIDDHSGGSQHDDGSGSGVTAATY >OGLUM10G00410.1 pep chromosome:ALNU02000000:10:711125:712662:-1 gene:OGLUM10G00410 transcript:OGLUM10G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKLDMILRRMEEFERRRVEAEQTRRADFQSLKAALASWIPHVQNNTEDSHFLVGNKQYKMTPTMCSTECFSPDVEPNHTVDMVVTCATTSMTSVDLVAAEDAIGATYIYNPVQPMVTPAKCLKNCSNPNNIPDLTVAAVVTCTSTSLASMDLEVGKDVTCTTEIDGPDCHKETHTKCSMLVLDVKGGADHVGDAFLTMTGVAKSVPISIESIDIFSARLVSDLKQDIPTPTGCLLRIPRYDSKTQFSKKLRLEGIELKPWPPPTYSGVISGLEFRPMPWPAFIYCWLEEHLLDPWPPPTEWAELEPWPPPHENDILRLLINGFTHILVDRKAISKFWKAIWSELGEEGSLFVPKLYELHLSGLLQHSVSMIWRIWSTKAKMKMLNGWDSKQYLSIMRSIPGLFVKLIQDISPNSHHQAYIEAQVAKKFLENFGEDKDFTCSKEMGYRLERCWV >OGLUM10G00420.1 pep chromosome:ALNU02000000:10:717574:738491:-1 gene:OGLUM10G00420 transcript:OGLUM10G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAADEERPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGNWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTPCIPAFGADQFDSADPGDRLAKGSFFNWYYFSMNVGSLLSTTLLIWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYWYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDGISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPASIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPEPMKSLCTALGQLAIAVANYLNSGVLSVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMALEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLHESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYTIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGLGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAVRNHRLKLPEDSSVLHELPSPTKGGYRIQHTARFRFLDKAAIPSDSDDNSPAQPNPWRLCTVSQVEELKMLLRVFPMWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALGHVLYANRNISFNHGMLIPTISASILVHLHLFGIHCVAVYHGLYLVSFGTGGIKFCTSAFRADQFDLADPLETNKKCSSLILQLLLLLDQHRIPAISNCASLGVGQHWLGGQILMSLCIAVFVAGRRVYKSNKPDEESLVKTMVYSALLESKHFAGHSQCNEHHVECSILPQPQRCQSPNTMKSLSFVLGQLGIAAGNYLNSSILSIVVVMTRRMMGLAWVDPIQPK >OGLUM10G00420.2 pep chromosome:ALNU02000000:10:726772:739378:-1 gene:OGLUM10G00420 transcript:OGLUM10G00420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPSPFPAPSPSSAQPTIAPSPQADRICWVLPTRAHMEAGAADEERPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGNWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTPCIPAFGADQFDSADPGDRLAKGSFFNWKVYWYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDGISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPASIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPEPMKSLCTALGQLAIAVANYLNSGVLSVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMALEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLHESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYTIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGLGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAVRNHRLKLPEDSSVLHELPSPTKGGYRIQHTARFRFLDKAAIPSDSDDNSPAQPNPWRLCTVSQVEELKMLLRVFPMWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >OGLUM10G00420.3 pep chromosome:ALNU02000000:10:726772:739378:-1 gene:OGLUM10G00420 transcript:OGLUM10G00420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAPSPFPAPSPSSAQPTIAPSPQADRICWVLPTRAHMEAGAADEERPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGNWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTPCIPAFGADQFDSADPGDRLAKGSFFNWYYFSMNVGSLLSTTLLIWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYWYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDGISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPASIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPEPMKSLCTALGQLAIAVANYLNSGVLSVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMALEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLHESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYTIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGLGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAVRNHRLKLPEDSSVLHELPSPTKGGYRIQHTARFRFLDKAAIPSDSDDNSPAQPNPWRLCTVSQVEELKMLLRVFPMWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >OGLUM10G00420.4 pep chromosome:ALNU02000000:10:726770:738491:-1 gene:OGLUM10G00420 transcript:OGLUM10G00420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGAADEERPLIQHLPPEEQCSQYTCDGTVNSDKKPALKQSTGNWRACFFILGAQFAETLCFFMVSKNLVTYLTSALHESNIDAAQSVSIWIGTSFFTPLIGAFLADTYWGRYWTTPCIPAFGADQFDSADPGDRLAKGSFFNWYYFSMNVGSLLSTTLLIWVVANIGWSVGFAIPMLLSGFGLALFFAGRKVYWYKKQGGSPLTRVSQVVVAAVRNHRLKLPDDSSLLHEVSKVTEDDYRTQLTTQFRFFDKAAILSDGISPAQWSPWRLCTVSQVEELKMLLRMFPVWVSMVVFFVVTAQITSTLIEQGMAMDGRVGPFTLPAASIATFDVISVLVWVPVYDTVLVPLARRVTGKDRGISHLQRIGVGLALAAVAMAYSAVVEARRLGTAPAPASIMWQAPSYLVLGVAEAFSVIGMMEFFYEQSPEPMKSLCTALGQLAIAVANYLNSGVLSVVAAATTRGGGAGWIPDNLDEGHLDYFFWMMALEQCSQYTCDGTVDIDRRPALKHSTGNWRACFFILGAEFTQCLCFSAVVKNLVRYLTSVLHESNVNAARSVSTWIGTCFFTPLIGAFLADTFWGRYRTIVICLSVYTIGMLILTTSASLPFLLHDSYNNGDDIRRVVAYLGLYLIALGAGGIKPCMSALGADQFDGADPVERVTKGSFFNYYYFSNNMGTLLSTTVLVWVQDNIGWGLGFATPMLLMGFGLSMFVAGRRVYRYRKLGRSPLTRVSQVVVAAVRNHRLKLPEDSSVLHELPSPTKGGYRIQHTARFRFLDKAAIPSDSDDNSPAQPNPWRLCTVSQVEELKMLLRVFPMWASLLVFFVVTAQMSSTLIEQSAAMDGRVGPFTVPPASLATFNVVAVLIWVPVYDAVLVPLARRATGNDRGLSHLQRIGVGLALSAVAMAYSAQVERRRRRPAAEEEAMSIMWQAPCYLVLGMAEVFTSIGMLEFFYERSPGSMKSLGTSLAHLAVATANYLNSGVLGVVVAATTRGGGAGWIPDNLDEGHLDYFFWMMALVSVLNLLQFLHCSIRDRGQ >OGLUM10G00420.5 pep chromosome:ALNU02000000:10:717574:726672:-1 gene:OGLUM10G00420 transcript:OGLUM10G00420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQENADFGHVLYANRNISFNHGMLIPTISASILVHLHLFGIHCVAVYHGLYLVSFGTGGIKFCTSAFRADQFDLADPLETNKKCSSLILQLLLLLDQHRIPAISNCASLGVGQHWLGGQILMSLCIAVFVAGRRVYKSNKPDEESLVKTMVYSALLESKHFAGHSQCNEHHVECSILPQPQRCQSPNTMKSLSFVLGQLGIAAGNYLNSSILSIVVVMTRRMMGLAWVDPIQPK >OGLUM10G00430.1 pep chromosome:ALNU02000000:10:761244:764225:-1 gene:OGLUM10G00430 transcript:OGLUM10G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEAADEERAPLLQPQPQPSRGDADSEYTGDGSVDVNNQPALKRSTGNWRACFMILGVEFSENLAYYGISKNLVTYLTKVLHESKVNAARNSSAWSGACYLTPLFGAFLADTYWGKYRTVLTFLPVYILGLLTLMASTSLPSSMTSSDAGHQLHSVAVYLGLYLVAFGNGGVKPCTSAFGADQFDGGDAAELRRKGSFFNWYTFMINSGSLLASTVLVWLQDNVGWGISFVIVVVVMAFFLAVFFAGSTVYRYRPVGGSPLTGVCQVVVAAVRKWHLVLPDDSSLLYEPQVADHRIKHTDQFRFLDKAAIVVTPSSLSGEKGNVAAAPVSRWRQCTVTQVEEVKMLVRMCPIWACLVLFFSVSSQMSSTLVEQGTAMDNRVGPFTIPPASLATFHSIGVLLWIPVYDVALVPLARRVTGKPKGITQLQRIGVGLAVAALIMAYSALVEERRLAAARAGAARTSILWQVPAQLMHGMAVVFTSIGKSEFFYDQAPRSMRSMCTALGQLAIAAGNYLSAFLLAVVASVTTRGGDPGWIPDDLNKGHLDYFFWLMAALLLLDLLFFVFCAMRYKGSTAAS >OGLUM10G00440.1 pep chromosome:ALNU02000000:10:766770:792909:-1 gene:OGLUM10G00440 transcript:OGLUM10G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLLAGVLLILMSSAAVGIAGRPAGCQARCGDVDIPYPFGIGGGCFRSAGFEIACNTSNGEGGLVPTLAAANDTIQVQKLTVFPRPEVKVMLPVAYRCYNSGGNVTKQFYGDVELKKTGVYRISDERNKFVVLGCNTVAWNKHGDSEGKGLYTGLYYAGCVTYCSDSLSAKDSKCAGVGCCHVDIPPELTDNVVTFEEWPRGSQVGFSPCDYAFLVDKEGYRFQRSDLKEDLINRTMPVWLDWAIRDNATSVASCPAPEVETTKNMPAGYACVSVNSKCVNSTNGPGYYCNCTKGYQGNPYDNDPNKGCKDIDECARPDEYPCYGVCRNTPGDYECRCHTGYQPSGDGPKKQECSSKFPFPARLAVGITLGLSFLIVVVFFTLMMLQKRKMNKYFKKNGGSVLQKVDNIMIFSKDEIKKILKNNSDIIGEGEFGKVYKGRLKDDTLVAVKTSIEVNEARKEDFTNEVIIQSQMMHNNIIKLLGCCLEVDVPMLVYEFAANGSLKDILHGDANRLVPLSLDLRLDIAVQSAEGLRYMHSSISHTIRHGDIKPANILLTDKFIAKISDFGTSKLLTADKEFTMVVAGSMGYIDPIFYMTGHLTQKSDVYSFGVVLLELFSRKPTIYDKNYSLVVEFRKAYDRENSGRALFDKEIAIEEDVLILEEIGRLAMDCLKEKIEERPDMKEVAARLMMLRRSRNLGQENYNVSPQQYFEEISIEENCKSFDADIGTSSSTTLLLHSV >OGLUM10G00450.1 pep chromosome:ALNU02000000:10:806533:809530:-1 gene:OGLUM10G00450 transcript:OGLUM10G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDEEKPLLPLQSQDVGSEYTRDGSVDINKQPALKHSTGNWKACFLILGVEFCENMTYFVISRNLVTFLTTVLHESKVDAARNVSAWVGACFLTPVVGAFLADTYWGRYWTIVVFLPVYITGMLIVTVSASLPMFSTSSEHGNVHRSVVYLGLYLAALGSGAMKPCTSSFGADQFDSTDLEELPKKASFFSWSFYMTTVSTLLSSTVLVWLQDNVGWGVGCAIPTAFMIISFPIFIAGSRVYRFRKLGFSPLKSLCQVIVAAVRKCHLQLPENKSLLYDPSNSSSTTEASHKIQPTNQFRFLDKAAIVLPPSDETCNKPMSSWSLCTVTQVEELKMLLRMFPTWASFVIFFAVNGQMSSTFIEQGMVMDNHVGSFAIPPASLTIIAVLSVLALVPVYEIISVPLVKHFTGHDKGFSHAQRIGIGLSLSMIMMVYAALLEMKRLAIVQSSGLADHNVAAPMSILWQTPAYFLQGVAEIFSCIGMSQFFYDQAPDSMKSVCAALGHLAIASGAYINTFVLGAVAVITTCSGAPGWIPDNLNEGHLDYFFWMMATLSLLNLAMFVYSSTRHRENTAS >OGLUM10G00460.1 pep chromosome:ALNU02000000:10:817758:818710:1 gene:OGLUM10G00460 transcript:OGLUM10G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLIAAGGLLLLLRFAFAADGITGCPDRCGDVDIPYPFGIGPNCSRGDGFDIACNTINSTGVLVPTLAAARRHAIQVQKLTVFPRPEAKVMLPVAYMCYNSGGNVTKQFDGDVELNNEVVYRISDERNIDSRSAKDGKCAGVGCCHVDIPSELTDNVVTFEQWPRGEQVDFSPCDYAFLVDKEEYRFRRSDLKMELNRRMPVWLDWAIRDRHGNASSVASCPALEVEKKKPAGYACVSANSECVNYTNGPGYYCNCGNDYEGNPYDKDGCQGKNVSSCI >OGLUM10G00470.1 pep chromosome:ALNU02000000:10:830973:835491:-1 gene:OGLUM10G00470 transcript:OGLUM10G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHILAISRVTSRARTCRRQSSPPPRPLTDCHLVDIFKAPRVMSLSSLRPASCATASNKDKQVVAGESSLSNSGKAGSGSYHSSSGPYHFPPRKKKGETDDDEVDYDYIPLNRCPQINSLCFHSFEIPFKILF >OGLUM10G00480.1 pep chromosome:ALNU02000000:10:860511:861838:1 gene:OGLUM10G00480 transcript:OGLUM10G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMHLFSFMILASGTQSRPATGCQARCGGLDIPYPFGIGSGCFHSKGLEITCNNGLVATLAGTGIQVLSLSVDPRPEVQVMLPVAYECHNSSGQITKWFNGSVVDHFTGGDKGVVYRISGRRNKLVVLGCSTMAYIKSNDGDSSYYYTGCISYCNGSRSAQSGWCGSAGCCDVDIPEGGLVLDNNGPVITFRSWPQQVRQQVAESSGGCDYAFLVDKDEYRPLRRDDLNMSLNKTMPVWLDWALPRPDGGGDASICKSANSEYVNSTNGNGYYYCKCSSGYEGNPYDEDPDKGCKDIDECARPREQYYPCYGVCRNTPGDYECSCRIGYHPIGGGPKKHKCTSKFPLAAQLALGKYILCYVSIFNFQLCPSLAKKNRWRMRSAFKVPQIENYRVRLG >OGLUM10G00490.1 pep chromosome:ALNU02000000:10:869299:869598:-1 gene:OGLUM10G00490 transcript:OGLUM10G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIGTLVWFENLNIEPMVVKPNITKPKSEVLPLAPLASIQTVPPQHAAHLVHYPIRLRRTHGCRSNTYAPQNLNQPPTPLIIVLPDLKTD >OGLUM10G00500.1 pep chromosome:ALNU02000000:10:870487:909663:-1 gene:OGLUM10G00500 transcript:OGLUM10G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVLCRLQSRVVKAVLSLGGIAKGAAAAARGGGVVMDLRWVYEENRDYGVYQGCNVSRCHGDEHNIIECAGLSMLALAVIVPNPASAPPPLVQPSPVATVVVVHSWSLVWRVPTLEELSRVIEGVKASTRRTYIAPSFHLPLPSITRSLPSPHALPSPLPAAFMRDSSAILPPPTNRRRPVKSCSPSHRPKLPLPRLNAFLIEPFERPARPSARTTPRLDTSLVKQPAPPSAAAPRTPVHHASRNPSSDPLGARPLRSSSTISGSEKGAGDAAVLWLCPPQGGHVPLVFDRRFQRDYHAHDAFQHQVCEGQERRHEERAAIWSTPHIDTAGPICHVTRQHQDAIIPKLN >OGLUM10G00510.1 pep chromosome:ALNU02000000:10:948265:949621:-1 gene:OGLUM10G00510 transcript:OGLUM10G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPDPSKSGESSAAAEVPPLAPAPEPVTGIPVGMFYPAPPMERVVSCRMAPAAGGAWTTALCDCSDDCNTCCMACWCPCIPVGQIAEIVDRGSSSCALNAVLYCLVFHVSAGMCQWVYSCAYRARLRAAYDLPETPCSDCLVTFCCQTCSIAQMHRELKNRGLDPNLGWEVNSRRTMTMTPPQHQAMEGMTTRS >OGLUM10G00520.1 pep chromosome:ALNU02000000:10:987206:991770:1 gene:OGLUM10G00520 transcript:OGLUM10G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAEDEEKRPLLLHLRRPNAGGKDDDSGCGGWRACLLILGTELSDCLAFAGIARNLVSYLTGVVGESNVAAARDVSAWTGTCFLTPLVGAFIADSYLGRRTTILLFLSIYTMGMITLTVSASFATSHLDTSSDGVLRATVFLGLYLVALGVGGIKPCASPLGADQFDDDAAPAARASFFNWYYFCINVGSLLAATVLVWVQERAGWWLGFGIPAAVMAVALAAFLFCSSLCGLRASHTPPGSPLTRLCQVVVAAVRNRGVELPGDSSLLHQLPDGDHRIIEHTDQFAFLDKAAVVASSPAVAVVSPWMLCTVTQVEELKMVLRLSAVWPTVVFFFAATAQMSSTFVEQGKAMDTRVGQLDVPPATLSTFEVVSILLCVPAYDAVLMPLARRVTGDRRGLSQLQRLGVGLALSALAMAYSALLEASRRRRAATSIVWQAPSYMALGAAEVFTSVGLLEFFYDQAPDTMKSLCTAVSLVAVAAGSYLNSAIVAVVAWATAPEKGGGGWIPDDLNQGRLDCFFWLMFGLSCVNLLAFVFTSTRYSYKVAN >OGLUM10G00530.1 pep chromosome:ALNU02000000:10:989895:994166:-1 gene:OGLUM10G00530 transcript:OGLUM10G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0B760] MAAAAKLLLGLVMLVGCAAADSHRYRPGDTVPLYANKVGPFHNPSETYRYFDLPFCAPDKVKDKIEALGEVLNGDRLVDAPYKLDFRVDFDAKSVCSRRLTKDDVVKFRHAVSKDYYFQMYYDDLPFWGFIGTKPEKADAGDKYYLYRHIIFDILYNNDRVIEINVHTDQNAVVDLTEDKELDVEFLYTAKWKETQIPFEKRMEKYSSSSVMPHHLEVHWFSIVNSCVTVLLLTGFLATILMRVLKNDFVKYSHDEEEPDDQEETGWKYIHGDVFRFPTNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYSATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYNSTAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKNDFQAPCRTTKFPREVPPLAWYRRTIPQMAMAGFLPFSAIYIELYYIFASIWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLTAEDHEWWWRSFLCGGSTGFFVFAYCLYYYRERSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRATLLFVS >OGLUM10G00540.1 pep chromosome:ALNU02000000:10:999859:1003036:-1 gene:OGLUM10G00540 transcript:OGLUM10G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTEISVELILYIAQAPRDRVVNIEGSVMLIMTGNITQCHDKLKFKVDINQNIKVFTEDEIKRITSNFSIPIGQGGFGEVYKGTLDDVYDLVAVKRYISKDLRKEFMEEVSIHSQMSHRNVVELIGYCIGESTLMIVTKYISKGNLDDILHNNDISIPLDVRLGIAIGCADALSYMHSMHLSNGSLIYHGDIKPANILLDSNLTSKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFHEGCLTPRSDVYSFGMVLLELIARKRVRKGDINLIGGGEIFDAEIANRSNMKILKEMRKLAIECLTLDIHKRPQMNVVAKRLRTLKKELKDLHGRYSEHILASHRSWRKNDNQGPSYNSRMQLKKSLSIFKRNLSNSSKILLGLSNMRIFTQEELNEITQNYSCLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLEGFEEAFINGGMILSQIIHKNIIRLLGYCLNADCPAFVYEYAARGTLSDVLDGREDFPLHLRVKIAVETAEALEYLHSSAAGMIRHGYVVPSKTLVDDSFTPKLTGFSWAQRLNNDDSAIHDHDKYCVSLKLKSDVYQFGVLVLTLISRKNFAFYADHEHLVSQFLVAYKADNSGRAFLDDDITSRCEDVALLEEIGKLLHKCICLEIDQRPTMKQVAQHLRIIRGCWKNNCTADGASLVIN >OGLUM10G00550.1 pep chromosome:ALNU02000000:10:1018572:1021457:1 gene:OGLUM10G00550 transcript:OGLUM10G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPEVPASELLPTMPRVGMGTAAFPFTSSEDTTAAMLRAIELGYRHFDTARIYATEGCVGEAVAEAVRRGLIASRADVFVTSKIWCSDLHAGRVVPAARETLRNLRMDYVDLLLIHWPVSLTPGNYDFPFPKEEMAPSFDMEGVWRGMEESEKVRA >OGLUM10G00570.1 pep chromosome:ALNU02000000:10:1026478:1027047:-1 gene:OGLUM10G00570 transcript:OGLUM10G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITVRII >OGLUM10G00580.1 pep chromosome:ALNU02000000:10:1043592:1047001:1 gene:OGLUM10G00580 transcript:OGLUM10G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVWRGMEECHRLGLARAIGVSNFSAKKLEHLLSLAAVRPAVNQVEVNPIWQQRTLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSAVLQEIAGAKGKTLAQICLRWLNEQGDVLLVKTYNEKRMRENLDIFNWELTDEERERISQMPQQRGLPGLEFISDHGPYKSVEDLWDGDGVWRGMEECHRLGLARAIGVSNFSDKKLEQLLSFAVVRPAANQVEMNPMWQQRKLREVCRREGVQLCGYSPLGAKGTPWGSAAVMDSGVLHDIAQTKGKTLAQICLRWMYEQGDVLLVKTYNENRMKENLDIFDWELTEEERDKISKLPQQRGLTGMQFVCDNGPYKCVEDLWDGA >OGLUM10G00590.1 pep chromosome:ALNU02000000:10:1052294:1054042:1 gene:OGLUM10G00590 transcript:OGLUM10G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLAACVVPALRRTLRNLQMEYIDLYLVHFPLGVLPECPPSAVFAKEDLVVMDMEGVWREMEECQMLGLTKAIGASNFTCKKLDSLLYCTKQVEVHPYCRQDKLREFCKGKGIQMCAYSPLGANGTSWCSKVMDCPLLKQIALERGKTVCLRWVYEQGNCIIVKSFNERRLRENLGIFDWELTDVDHQKISTLLESRGCLDFFVHESGPYKTVDEFWDGEITGDN >OGLUM10G00600.1 pep chromosome:ALNU02000000:10:1071925:1079109:1 gene:OGLUM10G00600 transcript:OGLUM10G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASPEKWLASAAAAATIPEAALKSGKPMPLVGMGTASFPLDAPQLPATVRDAVLRALSATATWTRRAAAYGTEAPLGEAILEAGRAGMVASRDDLYIDHLWISDTHPGRVLSASCTNQPLNNHLNLQMDYIDLYLIHWPIRLRVEQETPSPVYDNDFVMMDMEGVVPPNQAAAAAVAQAAVACFVRCPWSCCCCNIYTQMDACMGSAWNVVTWPIGGPIKILTGVWKDIEECQRLGLTKAIGVSNFTCKKLNTLLSFATIPPAANQVCLRWLHEQGDCIIVKSFNERRLRENLEIFDWELTDADRRR >OGLUM10G00600.2 pep chromosome:ALNU02000000:10:1058916:1072017:1 gene:OGLUM10G00600 transcript:OGLUM10G00600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDGGAQGMRGMMPEAALSSGKPMPRVGMGTASFPLGATEPSTVKDVVLRAIEAGYRHFDTAAVYQTEAILGDAVAEAVRAGLVASRDDLYITSKLWLAHAHPGHVLPSLRRALRKMQMEYLDLYLIHFPVSMRLAEDPESMTYSKDDLVTMDMEGVWKEMEECQRLGLTKAIGVSNFSCKKLETLLSFATISPAANQVEVHPYCRQNKLREFCKEKGIQLCAYSPLGGKGTPWSNNAVMDCPLLKQIAMERDKTIAQVCLRWVYEQGDCVIVKSFNKSRLRENLGIFDWELTNDDRHKISTLPEWRGTLDIFVHKTGPYKTVDEFWDGEITGDK >OGLUM10G00610.1 pep chromosome:ALNU02000000:10:1079500:1082832:-1 gene:OGLUM10G00610 transcript:OGLUM10G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1-like 2 [Source:Projected from Arabidopsis thaliana (AT2G01950) TAIR;Acc:AT2G01950] MDILIPLLLSSIYVSSSAVAAETDAAALLRFKAFVHKDPRGVLSSWVDPGPCRWRGVTCNGDGRVTGLDLAAGGLAGRAELAALSGLDTLCRLNLSGNGELHVDAGDLVKLPRALLQLDLSDGGLAGRLPDGFLACYPNLTDVSLARNNLTGELPGMLLASNIRSFDVSSNNMSGDISGVSLPATLAVLDLSGNRFTGAIPPSLSGCAGLTTLNLSYNGLAGAIPEGIGAIAGLEVLDVSWNHLTGAIPAGLGRNACASLRVLRVSSNNISGSIPESLSSCHALRVLDVANNNVSGGIPAAVLGNLTAVESLLLSNNFISGSLPDTIAHCKNLRVADLSSNKISGELPAELCSPGAALEELRLPDNLVAGTIPAGLSNCSRLRVIDFSINYLRGPIPPELGRLRALEKLVMWFNGLDGRIPAELGQCRNLRTLILNNNFIGGDIPVELFNCTGLEWVSLTSNQITGTIRPEFGRLSRLAVLQLANNSLAGEIPRELGNCSSLMWLDLNSNRLTGDIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNSLDGEIPEELGDMVVLQVLDLARNNLTGEIPSSLGRLRNLGVFDVSRNRLQGGIPDSFSNLSFLVQIDVSDNNLSGEIPQRGQLSTLPASQYAGNPGLCGMPLEPCGDRLPTATMSGLAAAASTDPSPPRRAVATWANGVILTVLVSAGLACAAAIWAVAARARRREVRSAMMLSSLQDGTRTATTWKLGKAEKEALSINVATFQRQLRKLTFTQLIEATNGFSAASLIGSGGFGEVFKATLKDGSCVAIKKLIHLSYQGDREFMAEMETLGKIKHKNLVPLLGYCKIGEERLLVYEFMSHGSLEDTLHGDGSRSASPAMSWEQRKKVARGAARGLCFLHHNCIPHIIHRDMKSSNVLLDGDMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTVKGDVYSFGVVLLELLTGRRPTDKDDFGDTNLVGWVKMKVRDGAGKEVLDPELVVEGADADEMARFMDMALQCVDDFPSKRPNMLQVVAMLRELDAPPATAI >OGLUM10G00620.1 pep chromosome:ALNU02000000:10:1090577:1091996:-1 gene:OGLUM10G00620 transcript:OGLUM10G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQHVPHHHHQPHHPRDCGANGNANGGAMPPSPATEAPPSMPMNFVRSDMWMHPQQQQQHHHPREHKALHNLTVGHGSSHIAHHDPVGYGMIPGTHTLQMMQQQTEPQPQPPPLPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPICSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >OGLUM10G00630.1 pep chromosome:ALNU02000000:10:1115604:1119319:-1 gene:OGLUM10G00630 transcript:OGLUM10G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDASMSIRWGGFFESPARNLGLQLMSSVPADRDTKQLLSGSPFLHHQHQQHVPHHHHQPHHPRDCGANGNANGGAMPPSPATEAPPSMPMNFARSDMWMHPQQQQQHHHPREHKALHNLTVGHVGYGMIPGTHTLQMMQQQTEPQPQPPPPPQQPKEECISSPLIEENVPVIDEPPPPKKRQQGRQPKVPRAKKPKKSAAPREDGAPPNAPAPRRRGPRKNIGMVINGIDLDLSRIPTPICSCTGAPQQCYRWGAGGWQSACCTTTISTYPLPMSTKRRGARIAGRKMSHGAFKKVLEKLAGEGYNLNNPIDLKTFWAKHGTNKFVTIR >OGLUM10G00640.1 pep chromosome:ALNU02000000:10:1139398:1142980:-1 gene:OGLUM10G00640 transcript:OGLUM10G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVGMHGGSWGGGDGGGGVEVEGEGRKWRWKGRHQHGSAYIGGPDRRFGDGKMNDDASMSSMGLRGWGAFYEPPARNLGLQLMSSVPADRDTKHLLSATPFLHHHQHQQHVPHHHHQPHHPRDCGTNANANGNGNGVGYGMMLATHTLRMLQHQPEPQPQLQHPPSPPHPKEECISPPLMEENVPVKPPPPKKRQQGKQPKVPRPKKPKKPAAPREDGAPPSAPAPRRRGPRKNIGMVINGIDLDLSRIPTRICSCTGAPQQCYRWGAGGWQSACCTTTVSTYPLPMSMKRRGARIAGRKMSHGAFKKVLEKLASEGYNLNNPIDLKTFWAKHGTNKESGAI >OGLUM10G00650.1 pep chromosome:ALNU02000000:10:1144671:1146080:1 gene:OGLUM10G00650 transcript:OGLUM10G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated family protein [Source:Projected from Arabidopsis thaliana (AT2G30810) TAIR;Acc:AT2G30810] MDPASRSLSIIFFLVAVTFVVEVSGQKNEAVYHLFGGESSLTKNECPGKCSYRCSATSHTKACMTYCNYCCERCLCVPSGTYGNKEECPCYNNMKTQEGKPKCP >OGLUM10G00660.1 pep chromosome:ALNU02000000:10:1148109:1153322:-1 gene:OGLUM10G00660 transcript:OGLUM10G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein-70K [Source:Projected from Arabidopsis thaliana (AT3G50670) TAIR;Acc:AT3G50670] MGDYGSGMMRGNPDGGGMQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRRDERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHVHERDAEYANGEPKHDRNLAGYDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTGPEGPEEGEAYDEGSS >OGLUM10G00660.2 pep chromosome:ALNU02000000:10:1148559:1153322:-1 gene:OGLUM10G00660 transcript:OGLUM10G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein-70K [Source:Projected from Arabidopsis thaliana (AT3G50670) TAIR;Acc:AT3G50670] MGDYGSGMMRGNPDGGGMQSRTKGQRVNVQQLKLMGQGHPTGLTPNLLKLFEPRPPLDFKPPVEKRKLPAYTGMAQFVSQFAEPGDPEYAPPVPTCETKAEKKDRIRKSKLDQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRVKREFEAYGPIKRVRLVTDKETNKPRGYAFIEYMHTRDMKNAYKQADGRKVDNRRVLVDVERGRTVPNWRPRRLGGGLGSSRIGGENAEQKLSTRDQQHAGRPRSEEPRRDERRADRDREKSRERPRERDRDERTRERSHDRTRERDSKEDRHHHRDRDRTRDRERGRDRERDHGRDRDRERDRRDRDRDRDRGRDYERDRDRGHDRHRERGRDRERDYERASHERDRGHVHERDAEYANGEPKHDRNLAGYDQEYGYNYEQHKSHDAYETERSKRHEHEYYQMQPNNTGPEGPEEGEAYDEGDYQYHQAADEHNN >OGLUM10G00670.1 pep chromosome:ALNU02000000:10:1157324:1157816:-1 gene:OGLUM10G00670 transcript:OGLUM10G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVTARPLPVGSSARGKVDKQCALFYGVTISEEQARSGIVIRVTSAAQSKFKLLFFEQEIDGGY >OGLUM10G00680.1 pep chromosome:ALNU02000000:10:1173067:1174354:-1 gene:OGLUM10G00680 transcript:OGLUM10G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFINWWEITKTELRMLQDKGNMWHCMRSAISLFRDVHVTLSVKTVDEKGPGVFRLAPQGLG >OGLUM10G00690.1 pep chromosome:ALNU02000000:10:1174501:1177290:-1 gene:OGLUM10G00690 transcript:OGLUM10G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRVAAASTSHASHCADLLTRLLRRGRLREARAVASRLALADAPDPAVSDALVACHSLLGDISSALSHFHRLVQSGAAPSPASTAALLRAMCSASMSTEAMDVLVLSMGNPSPLPVSDFALLIPGLCSEGAVDKARFLFDAMLRSGLTPPVRVYRSLAFAYCKARRSLDASDMCQLMLTKGMYLDRELSTALIRVFCREGRLEPALDVFRRMKGDEHVQLDAYAYTTMIWGLFEHGRVDHGLQMYHEMIDRGIQPDAATYNVMIRWYCKSKWVGAAMDIYKVMIRTGVAPDLRCYTILMASLCKDGKLGEAENLFDKMLESGLFPDHVMFISIARFFPKGWVVLFVRKALKAVAKLDCGAKLLELSSLAGGCSNMSLQKEADHLLDEIVTSNVLPVNIVLNLMIIAMCSEGRLDVSYYLLGKLVAYGCEPSVLTYNIVIKCLCEQNRMDDARALITIMQSRGVRPDMSTNSIMVTAYCKIGEIESALHLFGEMAKDGIEPSIAVYDSIIVCLCRMRRLKEAEATLRQMIREGLAPDEIIYTSLINGYSLTRQTRNVCRIFDEMLKRGLQPGPHAYGSLINGLVKGNKIRKALGYLERMLEEGIAPQTVIYTMLINQFFRKGDVRLGLDLVVLMMKTNVAPDLITYGALVAGICRNIARRGMRPSLAKKLKEARYMLFRMLPQIIDTRNGKQKDNQICTEEMIQVAQGIIQDLEENGMVPDLHIYNGMINGLCRANKMDDAYSLLSVMDQTGILPNHVTYTILMNNQIRLGDINHAIQLFNSLNSDGCVFDKITYNTFIKGLSLAGRMKEALSFLLMMHKRGFVPSKASYDKLMELLLAENAIDIVLQLFEDMLFQGYTPRYANYTSLLLVLAKDGRWSEADRIFTMMLKKRKYLDKKTKKCLEELCYKQGELDLAFEMEGSVPLYAVG >OGLUM10G00700.1 pep chromosome:ALNU02000000:10:1180189:1182751:-1 gene:OGLUM10G00700 transcript:OGLUM10G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSAGGGSSLRRVVKPQQRYMPTPQEALHDRSRLLSKIQALDTCARLAVDHSAAAGICCVGLLDPGSNIVANTVLSVSDEVAAVVDDADLARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLVVASRGMTAFSITSAASAKAFQPALRLAAQVAGHPQPERLVRVWMSLSSRLHQAVTVLSAEPTNLQGVQTLLAADEEPPPAPDLEQSWSLAASRQAYHNITAAPCHHTSSLMRVLLHAFRGFYLRALARLPAGELRNRYRQVIVKAGHCYGPMDPVSNIILNTVWYDAAFPAAAPPPVLDMIGPHILTRIESRSMYGIISFLQSRYHHLSEHEIVQCLVACRGDLPLAADEAMVINAGQQSPCAGLQEAYEAAATAAWHPNPTAQAVFLTSCKAKLQESPAAMLLLQQGGDRKPSPQPIRKKSTWPVSDGKMRSMATQRRISRNVKATLNQHFLRDGKPTYSLLVICGANDSVCGPEYYCSKQEDYLSFAPCEYRYTHVNFLATEKTDCSPSSPVLFFAEFDNKKAEGEPAIMCCKVDMPLPFAEHVRCLYCEVEGAKIVHPVLEKFHGGDKEFEEVIRGKHSLTNSRIICLNEYAVQRLYAHDEDFMYVDVA >OGLUM10G00710.1 pep chromosome:ALNU02000000:10:1201700:1204442:-1 gene:OGLUM10G00710 transcript:OGLUM10G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGKGGLRRVVRPQTRYRPLSVEQDDERSRLLSNIQSFYHHASARHTAAAICVGLLDPVSNILANTLLSDEVAPPVDDADLARRSLDGLVAFLLYFFPYLADWDAVRYLLLADADLLVAARLIVASRGMTAFSIASAASEPALRLAAQVAGHPEPERLVRAWMSLSSRLHQAVTVLSAEPTNLQGIQTLLAADEEPPPAPDLEQSWSLAASRQAYHNITNTPRHHTSSLMRVLLHAFRGFYLRALARLPAGELRTRFHEVIVKAGHCYGPMDPVSNIILNTVWYDAVFPAAAPPPVLDMIGPRILTRIESRSMYGLVSFLQSRYHQLSEHEIVQCLVAYCGDLSLADPNLFATNEAMVIKAEQQSPCAGVQEAYEAAATAAWHPNPAAQAAFLTSCKAKLQESPAAMSLLVQVDGDGTLSPEDVRYLAGVLLAEQKPSPQPVVEASVWPVIDGKWRSMGEQRRITGKVKAALNQQHFLHDDGESMYKLHVICGANYSVCGPEYISKKEDGFSVAPCKYRYTHVNFLATYKLASPPSPPVLFFAEFDNNKAESEPPILCCKVDIPLPCAEHARCLYCEVEGAKVVHPGFEKFHGGDKEFEEVIRNKHSHLTNDRILCRNDYAVQRLVGHDEDFMYVDTGDSDECSPFQDDY >OGLUM10G00720.1 pep chromosome:ALNU02000000:10:1205975:1208290:-1 gene:OGLUM10G00720 transcript:OGLUM10G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRGLRSCNDAKAWKCRACGTINRPTKHLFFKLPAFHCTNSDCGKKFCGSFKFCLGEINAQEKKVVGEVHDQGEQPLCVAFAYSKAVEIMERVFSILEGKDPDLVQCIDPFELHKKFEDKFPEVLSINCLTRDYGLHRVLHTALILRSEGITKEKSGNRYVARDVSTIPRDDFETICQNLAEGIPMVATYIPGKRRSLLRYCQIYKSPRSKSGEKQLHAQIGHAVVLIGAGMKRGRVFFYFLNSWGEKFCPRKNNQGEIVTGGIGKLREDDLTKNVVRLSPPGETGVTRRLDDQFELEISDPNYLLMMATRNQYSEMMMMKLRQKNLQEFRKDGLDDHLFRDDEALFDLCVGIQGHKELEQAVS >OGLUM10G00730.1 pep chromosome:ALNU02000000:10:1219439:1222579:1 gene:OGLUM10G00730 transcript:OGLUM10G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGRVVTLARLFDAGLCFGLLDPVSNILVNTLATTDVRPDDGTNTKAAAPLPLPSLQDKLPELGRRSLDGLSAFLLHFFPYLAAWEAVRYLLRADADLLVAARLVVADRGMTNFSIASPTSVLAFEGALGLAARLAGHPDLHRLLCIWVSLSTRLPEAVNMISQVQGYRPHDTITYRLRDWLLQKPRPSPSPPAALDLMQSWDLAAAPHGGNSITTDDMFSYKHLRALRMMLLNTVHVFYLRALARLPRNELRRRYHRSLHMAGSCYGPFDPVSNIILNTIWYHVNFPAAELPMLDMVGPLSLNRIESRSFFGRTRYTSLSEHETLQCLTASNADLSRADPKLNVAGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGRDSLTSEDVCYIADLLSPNHIPVPEEIKSDFFPVIAGKMRFEAKHERICRKVKFALDTCLDPILFAGLSTAKIRMMPYLFLLAPSAGKCPILFFAEFGNKDDDDVPLMCCHVDAPTPFAEHVRCLYCEAQGARIVHPSLEKFCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFILEVFFHNPSSHNALFIKAISQVPTSPIQAELIALQMAMEAVAFIHCTEVAFLTDNFQLADAIQKGDFLQDPPF >OGLUM10G00730.2 pep chromosome:ALNU02000000:10:1219210:1222579:1 gene:OGLUM10G00730 transcript:OGLUM10G00730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGLRRVVRPQTQYKALTSEQEEERSRLLSRMGAFYVDACGRLGVRGRVVTLARLFDAGLCFGLLDPVSNILVNTLATTDVRPDDGTNTKAAAPLPLPSLQDKLPELGRRSLDGLSAFLLHFFPYLAAWEAVRYLLRADADLLVAARLVVADRGAGEEQRQHPRYHQGRSSCYLSSTNLPGLCSAIRKVEQQTPCTSTQEAYEAAAVAAWHPNPEAQALFLSSVQTVLEESVLSMLQGRDSLTSEDDPILFAGLSTAKIRMMPYLFLLAPSAGKCPILFFAEFGNKDDDDVPLMCCHVDAPTPFAEHVRCLYCEAQGARIVHPSLEKFCGGEEFGEVIQGERYFTNDRLICKSEYFVQSLGGNEEDFILEVFFHNPSSHNALFIKAISQVPTSPIQAELIALQMAMEAVAFIHCTEVAFLTDNFQLADAIQKGDFLQDPPF >OGLUM10G00740.1 pep chromosome:ALNU02000000:10:1224151:1224731:1 gene:OGLUM10G00740 transcript:OGLUM10G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAELQHDHATASAGSICVGLLDPVSNIVANMLLSDKVLAVVDDAELVAISQRPRRAPTLLVPLPRRDAVRYLLLADSDLLVAACLIVASRGMTAFSIASAASAQAFQPALRLAA >OGLUM10G00750.1 pep chromosome:ALNU02000000:10:1224978:1225223:1 gene:OGLUM10G00750 transcript:OGLUM10G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLLHAFRGFYLRALARLPVDELRNRYHQVIVKAAHCYRPMYPVSNIILNNVWDDAAFPAIATPPVLDMSGPHILTHIES >OGLUM10G00760.1 pep chromosome:ALNU02000000:10:1229329:1230327:1 gene:OGLUM10G00760 transcript:OGLUM10G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHTGDILERKKTEKIGGGAGAACSGSTIIKAEQHLPLDGFTYTHVNFLATEKSASPSSPVLFFAEFDNEKAEGESPVLCCKVDMPLPCADFIMYAFNLESMSVKNIGNVEYNTTKHLVTFKFTPGKVVFTSQWHANV >OGLUM10G00770.1 pep chromosome:ALNU02000000:10:1231540:1237183:1 gene:OGLUM10G00770 transcript:OGLUM10G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B785] MAVALALLAAMSALSSCTSPATAELTRLEHPVVDGAPLRLLVVGDWGRKGGYNQTRVAEQMGKVAEETEIDFVVSTGDNFLENGLAGVDDMAFHDSFMDVYTAKSLHKPWYLVLGNHDYRGNVLAQIDPALRKIDSRFICMRSFIVSAGIVDFFFVDTTPFQLQYWTDPGEDHYDWRGVAPRDAYIANLLEDVDAAMKKSTATWKIAVGHHTMRSVSAHGDTQELLELLLPVLKENGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGIFQQNEDKLQFFYDGQGFLSLELSENRARFAFYDVFGEALYHWSFSKANLQKVQSSASVTEE >OGLUM10G00780.1 pep chromosome:ALNU02000000:10:1234223:1237997:-1 gene:OGLUM10G00780 transcript:OGLUM10G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPGWVVPPPPQPQPQQQQQQAVVVDGRDGLIAWLRGEFAAANAIIDLLLAHARDAADPAGFDAVAAAVQRRRHHWAPVLHLQHYFPVTEVALALHHAAARQGPPPPPPPPRPPSGSAGAEGDDAAIASGGVKEVETSADATQNSQLVSHISHATEAQPQKGLHVISNVVPVPTCFVVNEVIDGRMVNVLEGLKLYKGYVDLTEIGKVLSFVNEAKTMRRKPGLEGQTVVVAKRPMKGHGREIIQLGLPITEGPPEDEHLREVKVDPIPGVLQNLFDSLVHQKVVPSSPDYCVIDIFNEGDYSHPHHHPPWYGRPICTLCLTDCDMVFGHVIAADSRGDHAGPLKLSLSTGSVLVFEGKSADIAKRALPATSKQRILLSFGKSVSRKHVQSESSLLITPPLTPPPMPWAAPLRPGNIAIHPSSPKQLVYNPSNRVPAVSTPGLHHIPSNGIQTVFVAPLPITPKAVPFASAVTLPNSTAAWIAEAAPRPASPRLPLQGTGVFLPPGSGNPPPAQKLGVKHADAKPFFPQESSASSSGVSARAHKANGSVSSKPTRKDDMAEAKPKCNGSSDGGSSVAHAKATGGLEEQNVVAK >OGLUM10G00790.1 pep chromosome:ALNU02000000:10:1241865:1245426:-1 gene:OGLUM10G00790 transcript:OGLUM10G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B787] MAASRCFLLLLLLLLSPLLASAGEEEEEAVLAMAARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERYGLWFDPTEDFHRYAILWSHDWIVFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCTPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSIDRHGRARRHRRGPADSAF >OGLUM10G00790.2 pep chromosome:ALNU02000000:10:1241865:1245426:-1 gene:OGLUM10G00790 transcript:OGLUM10G00790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B787] MAASRCFLLLLLLLLSPLLASAGEEEEEAVLAMAARLRRPAAASFREGYTQLFGDSNLALHGDGKRVRISLDERTGAGFASQDAYLHGFFSASIKLPPDYAAGVVVAFYMSNGDVYEKTHDELDFEFLGNIKGREWRVQTNVYGNGSTSVGREERFYIDETPIREVQRTKSMGVQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPFVAEFSELMLHGCAMDTLTRAPMCTPDIANIHNAVAMSGRQRSAMERFRTKYMTYGYCYDRLRYPTPPSECNVGPEAELFLPTGEARSIDRHGRARRHRRGPADSAF >OGLUM10G00800.1 pep chromosome:ALNU02000000:10:1246792:1268467:-1 gene:OGLUM10G00800 transcript:OGLUM10G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLASIAQATATASLSSSSSEDAARALVVVATGFRAARRLLVIEGEGSGAGSHEGRAAGSQIRVGTAAARQIRVGTAAAHRQDSGRGEDGMENEERSKRGEEKKRRGGKRRERGKKFKVGRRERKGGRSPELRAHYR >OGLUM10G00810.1 pep chromosome:ALNU02000000:10:1296413:1322556:-1 gene:OGLUM10G00810 transcript:OGLUM10G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEKTRILSDVGGESAWDPRGPQMSVRHVVGPAVGRRSDGRPAMRRREHGGDRARRRAEWGDGEAERHRTKPAHVCHSVDARRRRREEGGGGGKRAAVEELRRRFDRGRYELRRRRSEVAAAMEELRASSSSSNLSPSSSSSSAGAPPRSVPRVSCATALPAFSACRRLGVGSQIMAQLRFAVLAVPSSIASLTRWRRGRLEGAIRCGPLRLDEALWSRLSILSACSTPLNLAAAACVELAGPALVALAATAACVALAGRALVTLAAAALVALATAAACIVLAGLTLVALAAATRDNDPVYKGNRARKPYSCTVNYGESAETQQTEESMGPNYTTNNNSGAQMQDIVAKLNTKAA >OGLUM10G00820.1 pep chromosome:ALNU02000000:10:1322585:1329818:1 gene:OGLUM10G00820 transcript:OGLUM10G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPDPPSAQPPAETAWARALRKLLPAGAPVPDEEQLDYSFVSVDVAGPAAERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRHSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRAERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDENVTECELPTGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFLLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >OGLUM10G00820.2 pep chromosome:ALNU02000000:10:1322585:1329818:1 gene:OGLUM10G00820 transcript:OGLUM10G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPAPPPPPPPPDPPSAQPPAETAWARALRKLLPAGAPVPDEEQLDYSFVSVDVAGPAAERRPPPRSSADGPPLPPLARHRRRISRLLRPSPPRRRHSPPPPPPLQCEPSPPSSSPDATSPASSPPRCSSSSPPAPPPPPPPPEAPLNQGSKRRGACARCGKGGIGVGILGEREECLACGARYCAGCVLRAMGSMPEGRKCVGCIGRPVADARRRARLGKGSRLLARLLAPAEVRQVMRAERGCAANQVRPGEILVNGRGLSQGELDLLLGCAVPPERLAAGRYWYDKDSGLWGKEGERPDRIVSSKLSIGGKLQTDASNGTTQVFINGREITKTELRMLKLANVQCPRNTHFWLYDDGSYEEEGQNIIKGNIWQKASTRLIATLFSLPIPRGLKEDTTLYSSRFVPEYLEQKKVQKLLLVGLEGSGSSTIFKQAKFLYGTEFSPEEILNLKLMIQSNVYKYLSTLLEWRECFEDEALEEEKELGMSNHKGDGEPKAVQSTSSLYSLNQRLMHFANWLLEIVALGNLDAFFPAATREYAPIVEEVWKDPAIQATYKRKNELHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGVNQWNGLSTLEFLLDDRGPLSDSYADKAGNPAIQTKYQLIRMNSKGLTGGFKCLGMLEDIRAIIFCISLADYDQTWVQSSGEPCNKMIASRDLFEDVIRHPSFEDTPCVLLLNKYDAFEEKISRVPLTVCEWFADFSPVRPHHTSQTSLASHAYYYVAVKFKDLYSSVADGRKLFVFQTKALERRTVDDAFRYIREVLRWDDVKNSDAGYCSADESSYSVDMTTSPS >OGLUM10G00830.1 pep chromosome:ALNU02000000:10:1329564:1337797:-1 gene:OGLUM10G00830 transcript:OGLUM10G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAALATRAAVRFGRRLAVGSVTGGITLSDILLYITMTEEDLSPDPEPTATYYDKLPTKRALAAGDAVVGRVAGVAARAAVRAAAGDELS >OGLUM10G00840.1 pep chromosome:ALNU02000000:10:1341039:1342248:-1 gene:OGLUM10G00840 transcript:OGLUM10G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMTSTDDLIQAQIELYHHCFAFIKSTALRAVIDLCISDAIHRNGGAATLSDLALNIGLHPTKFSHLRRLMRVLTVSGVFSVEDHNGEAMYTLTRVSRLLLNGDGERTHALSHLVRVLVNPLTVASHFSIHEWFTIEQAAAMTPFEVAHGCTRWEMIANDAKDGSVFNTAVVEDSRVAMDIILKESCGVFQGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPTTHSNIQFVGGDFFEFIPAADVVLLKYILHAWQDDDCVKILRRCKEAILARDAGGKVIIIEVVVGIGSKEIVPKEMQILFDVFMMYVDGIEREEHEWKKIFVEAGFSDYKITPVLGARSIIEVYP >OGLUM10G00850.1 pep chromosome:ALNU02000000:10:1359851:1361071:1 gene:OGLUM10G00850 transcript:OGLUM10G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPSSFYVECEALKSIRHRNLVRVIGLCSTFDTSGFEFKALFFCGDEFKALVLEFRSNGNLEVWINPKVRSQSPPKLLRVAADIATALDYLHNRCTPPLVHCDLKPSNILLNDESFSDFGLAKFLQNNIISLGDASSTTRLRGSIGYIAPEPLLSPIIMKEDDTIVDNELKMNQIATMRIPALQ >OGLUM10G00860.1 pep chromosome:ALNU02000000:10:1362679:1363886:-1 gene:OGLUM10G00860 transcript:OGLUM10G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVQEEDEQMMSTDDLIQAQIKLYHHCFAFIKSTALWAAIDLRIADVIHRNGGAATLSDLALNVGLHPTKLSHLRRLMRVLTVTGVFAVEDRNGEAMYTLTRVSRLLLNGDGEGTHALSQMARVLANPLAVVSHFSIHEWFTTEKATTMTPFEVAHGCTRWEMIANDAKDGSVFNAGMVEDSRVAMDIILKESCGIFRGISSLVDVGGGHGAAAAAIATAFPNIKCTVLDLPHIVAEAPATHSNIQFVGGDLFKFIPAADVVLLKCLLHCWQDDDCVKILRLCKEAIPARDAGGKVIIIEVVVGIGSEEIVPKEMQLLFDVFMMYVDGIEREEYEWKKIFLEAGFSDYKITPVLGARSIIEVYP >OGLUM10G00870.1 pep chromosome:ALNU02000000:10:1379755:1380191:1 gene:OGLUM10G00870 transcript:OGLUM10G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHRLGEERRGSVYKAALLLISQWKDDSQDDGHVKHEDGKTRKVDNKRFAHIAIEMEDEPC >OGLUM10G00880.1 pep chromosome:ALNU02000000:10:1382895:1383285:1 gene:OGLUM10G00880 transcript:OGLUM10G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLRALSFSPATCFLPKRRGMASDWPAVAMWTLTLGRRSTEPKQFAWMRSPSASSSPPPPSDVRGKWRRCRDASLPFPDHGESECAAAFLPQGTNLRVVMASPLVGAGAGGHHESRP >OGLUM10G00890.1 pep chromosome:ALNU02000000:10:1385735:1396057:-1 gene:OGLUM10G00890 transcript:OGLUM10G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESMKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNLLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREPWTVLDGQGGVYSEDVHLALPVDGMIYMHGHFHFENMPGEKQLSLALEQAWSISEFLLFGRMLVLSSIFASSLDAGFYGCHLRSQWLPRSWTYILQEEKWGLRWLWTNFSFSLAGDEKSLLGADKQKFLQCQANIVSFVCHCHLSVVAGGDWWWCRRRARRGCQPAGGGSGKKSREAIVMPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWHRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >OGLUM10G00890.2 pep chromosome:ALNU02000000:10:1385735:1396057:-1 gene:OGLUM10G00890 transcript:OGLUM10G00890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESMKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNLLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREPWTVLDGQGGVYSEDVHLALPVDGMIYMHGHFHFENMPGEKQLSLALEQAWSISEFLLFGRMLVLSSIFASSLDAEAWIIIIRSWTYILQEEKWGLSIVSFVCHCHLSVVAGGDWWWCRRRARRGCQPAGGGSGKKSREAIVMPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWHRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >OGLUM10G00890.3 pep chromosome:ALNU02000000:10:1389748:1396057:-1 gene:OGLUM10G00890 transcript:OGLUM10G00890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRMLFDLNELPTEAEEEEAAVVVSQPQKTLPVPTAGPSLFPQQEVTQSQGILNNNAFKHAPSGSGFQPFVRSKDSQITKEPIKAEDNLNASVASTSMLTNHSSDGVAKMIGSSNQVSQAVEREEGEWSDADVASDTAGSSVSNKEELAGTATTQVKRDSQESEPTAVKSGNMIKDEAAAEPSDTEMMDVPKDPVVRGPTGLESMKNLENKGNQLGDDSDLSNKSKDVRGVEANYALKFASNPSKRPKLDEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEAFRSGGGIAERAAERQSQPTIRDQRQSEMLGSERSNSADPIDQISESNGDAETGSQGRPKKMNAEEAPADGYQQPMPRQLKGRQISSQRSVVTGQNTVDQKPVNKRSLVSKKQTPANNMQYQDTSVERLIREVTSDKFWHNPEEAELQCVPKSFESAEEYIRVFEPLLFEECRAQLYSSYEESLESVSRDAHVMVRVKTVERRERGWYDVVVLPMHEYKWTFKEGEVAVLSFPRPGSASQSSRSNRRNVGSNEDTESECGRLVGTVRRHTPIDTRDPIGAIIHFYLGDSFDSSSSETNLLRKLQPRSTWYLTSLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRTFNGPQLSAIHWAAMHTAAGTSNGAVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGNTSNSSETVAAGSIDEVLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLQQLKCREQQLSQEIALLQRELTMVAAAGRSQGSVGVDPDVLAQRDRSRDFLLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLSHGFDMVVIDEAAQASEVAVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQLSGCPTILLSVQYRMHPQIREFPSRHFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDISHGRESHRGGSSSYQNVHEAQFVLRLYENLQKFLRANGGKKASVGIITPYKLQLKCLQREFEEVMSTEDGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNAGALMQSEDWALLIADAKARKCFMDLDTIPKDFLAMKISNTPGRNTSNNIRNMRTGGPRPRHLEMLPDSRVSMRPDEDERSNSVPRNGSYRNLDDLGRPGDRSRDNLPFGMPRRPNSSNGSRREV >OGLUM10G00890.4 pep chromosome:ALNU02000000:10:1385735:1389314:-1 gene:OGLUM10G00890 transcript:OGLUM10G00890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEKQLSLALEQAWSISEFLLFGRMLVLSSIFASSLDAGFYGCHLRSQVLDVHTTRREVGLEVVVDQFQFHIVSFVCHCHLSVVAGGDWWWCRRRARRGCQPAGGGSGKKSREAIVMPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWHRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >OGLUM10G00890.5 pep chromosome:ALNU02000000:10:1385735:1387548:-1 gene:OGLUM10G00890 transcript:OGLUM10G00890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKSSASFRLTALPVVVVAQLLAAAVLTLTLVWVLHFRGGVSWSWHRSSTPQLVYTAHPLFMVIGLVICTGEAIMAYRIMLGSREVKKAVHLLLHLVALAFAAVGLYAAFKFHHDLRAPDIRSLHAWLGITTAALYALQWLVGFVYFVFPGAVMTMRADYAPWHILLGIVIFLMAICTAETGLARFIFPFHGYPSEAFVVNFTGLAILMFGVAVVVAVILPSRY >OGLUM10G00900.1 pep chromosome:ALNU02000000:10:1396147:1398980:-1 gene:OGLUM10G00900 transcript:OGLUM10G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAPTGVRAHLAVTWEAERGTTRSKPPPPPREEEEETRHRAGSGRAAADRLEVDNKRTEWAGSQHKRNPAKNDFQIFSTKGQL >OGLUM10G00910.1 pep chromosome:ALNU02000000:10:1408761:1409406:-1 gene:OGLUM10G00910 transcript:OGLUM10G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRGESGESGVVATRGRHSVATLERARKEKRSNRRKFSLKRGEIPHLRGLLRVPLTLEGNPGLPGSPTLWLGYTHVVPRSQPSHWLWVRKGRGLDPELGFPASSSDIHRFGGSAREIWRVEEKKRDHRSYAKVVMDRRPLQPRVDQELYKRRMMDLDAEKRTIASENGPLPAARIRQPPAKIHFPWRPM >OGLUM10G00920.1 pep chromosome:ALNU02000000:10:1411770:1415142:-1 gene:OGLUM10G00920 transcript:OGLUM10G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAVHRHGGISLRSQQMALLHWKSTLQSTGPQMRSSWQASTSPCNWTGITCRAAHQAMSWVITNISLPDAGIHGQLGELNFSSLPFLTYIDLSSNSVYGPIPSSISSLSALTYLDLQLNQLTGRMPDEISELQRLTMLDLSYNNLTGHIPASVGNLTMITELSIHRNMVSGPIPKEIGMLANLQLLQLSNNTLSGEIPTTLANLTNLDTFYLDGNELSGPVPPKLCKLTNLQYLALGDNKLTGEIPTCIGNLTKMIKLYLFRNQIIGSIPPEIGNLAMLTDLVLNENKLKGSLPTELGNLTMLNNLFLHENQITGSIPPGLGIISNLQNLILHSNQISGSIPGTLANLTKLIALDLSKNQINGSIPQEFGNLVNLQLLSLEENQISGSIPKSLGNFQNMQNLNFRSNQLSNSLPQEFGNITNMVELDLASNSLSGQLPANICAGTSLKLLFLSLNMFNGPVPRSLKTCTSLVRLFLDGNQLTGDISKHFGVYPKLKKMSLMSNRLSGQISPKWGACPELAILNIAENMITGTIPPALSKLPNLVELKLSSNHVNGVIPPEIGNLINLYSLNLSFNKLSGSIPSQLGNLRDLEYLDVSRNSLSGPIPEELGRCTKLQLLRINNNHFSGNLPATIGNLASIQIMLDVSNNKLDGLLPQDFGRMQMLEFLNLSHNQFTGRIPTSFASMVSLSTLDVSYNNLEGPLPAGRLFQNASASWFLNNKGLCGNLSGLPSCYSAPGHNKRKLFRFLLPVVLVLGFAILATVVLGTVFIHNKRKPQESTTAKGRDMFSVWNFDGRLAFEDIVRATEDFDDKYIIGAGGYGKVYRAQLQDGQVVAVKKLHTTEEGLGDEKRFSCEMEILTQIRQRSIVKLYGFCSHPEYRFLVYEYIEQGSLHMTLADDELAKALDWQKRNILIKDVAQALCYLHHDCNPPIIHRDITSNNILLDTTLKAYVSDFGTARILRPDSSNWSALAGTYGYIAPELSYTSLVTEKCDVYSFGMVMLEVVIGKHPRDLLQHLTSSRDHNITIKEILDSRPLAPTTTEEENIVSLIKVAFSCLKASPQARPTMQEVYQTLIDYQTSSFLSKNCSRVILDELWDS >OGLUM10G00930.1 pep chromosome:ALNU02000000:10:1418212:1430100:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLCEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPLPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNIPSVLPPTTPPPCGSLSILSTDENQLPPEVQRESPSDRKLPSPSPTAAAPPPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSPTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPAANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKAPLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVSVTSCKISSYDFSFWLAYSVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >OGLUM10G00930.2 pep chromosome:ALNU02000000:10:1418212:1430100:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLCEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPLPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNIPSVLPPTTPPPCGSLSILSTDENQLPPEVQRESPSDRKLPSPSPTAAAPPPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSPTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPAANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKAPLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >OGLUM10G00930.3 pep chromosome:ALNU02000000:10:1418212:1430854:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLCEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPLPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNIPSVLPPTTPPPCGSLSILSTDENQLPPEVQRESPSDRKLPSPSPTAAAPPPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSPTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPAANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKAPLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >OGLUM10G00930.4 pep chromosome:ALNU02000000:10:1418212:1430100:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLCEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPLPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNIPSVLPPTTPPPCGSLSILSTDENQLPPEVQRESPSDRKLPSPSPTAAAPPPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSPTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPAANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKAPLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >OGLUM10G00930.5 pep chromosome:ALNU02000000:10:1418212:1429372:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MYPVYLTGILTDLHEEHSQSSFLGINFRDGDKRSQLADVLCEYNVPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSTGNNQNIILLHCERGGWPSLAFMLSCLLIFKKLQSAEHKTLDLIYREAPKGFLQLFSALNPLPSQLRYLQYVARRNISPEWPPMERALSFDCLILRAIPSFDSDNGCRPLVRIFGRNIIGKNASTSNMIFSMPKKKTLRHYRQEDCDVIKIDIQCPVQGDVVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDIDIVWGSKDQYPRNFRAEMLFCELGGISPARPPTATLNGDMKGGLPIEAFSAVQELFNGVDWMESSDNAAFWLLKEFSANSLQEKFQKLILSDMEELSKFQAKVGLQIPLMSPLDSDEEKYSVASDSVSSSEHEKVQPGGNSSDSENINHDLTTEDTASMGNVLVNIPSVLPPTTPPPCGSLSILSTDENQLPPEVQRESPSDRKLPSPSPTAAAPPPPPPPPPPPPPSGNKPAFSPPPPPPPPPPPLPQTSPTATTTTLAKLFSPTSTSTSTTATNLAKPFSPTTSTATTALAKSFSPATSTPTTATTILAKPFSPTTSSSGNRTPTGAATSSKGPPPPPPPPPPPANRTNGPGVPSAPPPPPPPPAANRSNGPSAPAPPPPPPLPAAANKRNPPAPPPPPLMTGKKAPAPPPPPPQAPKPPGTVPPPPPLHGASGRPHPPSSKGLNAPAPPPLLGRGREATGSAKGRGIGLAQQSNPPKKAPLKPLHWVKVTRAMQGSLWEDAQKQGNQARAPDIDLSELESLFSTAVATNASEKGGTKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMINAILALDTSVLDNDQVENLIKFCPTKEEIEMLKNYNGNKEMLGKCEQFFLELMKVPRVESKLRVFAFRITFSTQVEELRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLSEKLPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASVNDGAISVGFREGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARTAELEKKKLEKDKEKATLSAKKVLE >OGLUM10G00930.6 pep chromosome:ALNU02000000:10:1429245:1432311:1 gene:OGLUM10G00930 transcript:OGLUM10G00930.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein 14 [Source:Projected from Arabidopsis thaliana (AT1G31810) UniProtKB/Swiss-Prot;Acc:Q9C6S1] MQGCNAWKLPCWMENDEPLLGLWIGGLFFPSASGNRRRRFPNSAGISPLILLRSSLVNSSLSSRVVLPGRLGRRRRRRLFVFSASSPASPPPERALAKPCARKDGGGGASIPFVPGGWGRRSSATVVPRSAMAGRI >OGLUM10G00940.1 pep chromosome:ALNU02000000:10:1430315:1432295:-1 gene:OGLUM10G00940 transcript:OGLUM10G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGTTVADERRPQPPGTKGIEAPPPPSLRAHGFASARSGGGEAGEEALKTKRRRRRRLPSRPGRTTREEREELTRLDLNNISGEIPAEFGNLKSLYKLNLSFNQLSGSLPAQLGKLSNLGYLDVSRNNLSGPIPDELGDCIRLESLKINNNNIHGNLPGTIGNLKGLQIILDASNNKLDVIASGHHKPKLLSLLLPIVLVVVIVILATIIVITKLVHNKRKQQQSSSAITVARNMFSVWNFDGRLAFEDIIRATENFDDKYIVGIGGYGKVYKAQLQGGNVVAVKKLHPVEEELDDETRLLCEMEVLSQIRHRSIVKLYGFCFHPNYNFLVYDYIQRESLYMTLENEELAKEFDWSKRVTLVKDVAQALSYLHHDCSPPIIHRDITSNNILLDTAFKAYVSDFGTARILKPDSSNWSALAGTYGYIAPELSFTCVVTEKCDVYSFGVVVLEVVMGKHPMELLQTLLSSEQQHTLVKEILDERPTAPTTTEEESIEILIKVAFYCLEASPHARPTMMEAYQTLIQQHSSSSCPIRFNEVTLEQLRNT >OGLUM10G00950.1 pep chromosome:ALNU02000000:10:1457294:1459048:-1 gene:OGLUM10G00950 transcript:OGLUM10G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLLRLLVSCLLCLQTHVGAERHESIISLNSQQMALLRWKSTLRISSVHMMSSWKNTTSPCNWTGIRHGRRHRMPWPVVTNISLPAAGIHGQLGSIPKEIGMLANLQLLSLGNNTFSGEISTTLANLTNLATLYLWGNELSGPIPPKLCMLAKMQYIGLNNNKLTGELPASLGNLTRLVTLSLYDNQISGSIPQEIGNLVNLNILDFEYNQISGSVPKTFGNLVNIQLMTMSHNRLTGSLPREFENLTGIADLWLDNNQFSGHLPAYVCMGGRLKTFVVSVNTFDGPIPRSLKTCTSLVRIAVHKNQLTGDISEHFGVYPHLKTVSLAYNRFSGQITPNWVASPQLEEMYFQGNMITGVLPPALSKLSNLGVLRLDLNFFFERLTQDGAKFY >OGLUM10G00960.1 pep chromosome:ALNU02000000:10:1459130:1464286:-1 gene:OGLUM10G00960 transcript:OGLUM10G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSTGEATAGFAVPVCASVVPGEHWSSISATISSRPPFPLVATHVAAFPSRRTVLLVKVAARRLCRSPKVKRHGAIDDIS >OGLUM10G00980.1 pep chromosome:ALNU02000000:10:1480683:1481036:1 gene:OGLUM10G00980 transcript:OGLUM10G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLVAAGVMHRRRDIKPDNAPVTYGGGLKLAVRLRGGHAGEAAGEAVRGVSGRHAALDLHLARAARRQRVVRPGGGHVGAGVHHIVAENLTGGPLFDDSSDETMLKEMADMHARPA >OGLUM10G00990.1 pep chromosome:ALNU02000000:10:1487761:1488123:1 gene:OGLUM10G00990 transcript:OGLUM10G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRARCQSASVQDIDDVLSSFLIAGCETRSSGLSWFFWLLSSQLDVMARIADVVRVVRKATGGCPSELFGFDALREMYYLHAVLMESMRLYPPALGVRGG >OGLUM10G01000.1 pep chromosome:ALNU02000000:10:1498858:1500304:1 gene:OGLUM10G01000 transcript:OGLUM10G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B7B8] MDAGSKSSSSGPLHLVIFPWLAFGHLLPYLELAERVASRGHRVSFVSTPRNIARLPPVRPAAAAHVDLVALPLPRVDGLPDGAECTNDVPSGKFDLLWKAFDALAAPFAEFLDAACRDAGDGERRPDWIIADTFHHWTPLVALQHKVPCAMLLPSASMMVGWAIRSSEPAGASMFEVLGAKNAGWTCLFVADAASGMSIAKRCSLAMERCTVAAMRSCPEWEPEAFQQVAAGLKKKNKPLIPLGLVSPSPDGGRRRAGMSADNSTMRWLDAQPAKSVVFVALGSEVPLRLEQVHELALGLELAGTRFLWALRKPAGVDAADDVLPPGYRERTNGHGHVAMGWVPQIAILAHAAVGAFLTHCGRNSLVEGLMFGNPLIMLPIFTDQGPNARLMEGNKVGLQVRRDDTDGSFDRHGVAAAVRAVMVEEETRRVFVANALRMQKIVTDKELHERYIDEFIQQLVSHVADGSSNIATPVPSSSS >OGLUM10G01010.1 pep chromosome:ALNU02000000:10:1500447:1501399:-1 gene:OGLUM10G01010 transcript:OGLUM10G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEHEKVAAGELVQSALTVDHRNQPEPPAASSQQIGPELTAASAKGGWGGGGESSSLISSIPSSATAASLLCLKGRVVEIEMNRKEEICAGTPRDLSHLTTVISAHCVVGQRQKDGLGGAGLQWLGFRPGDAIARATLGRVHKKDKQFNLSET >OGLUM10G01020.1 pep chromosome:ALNU02000000:10:1514893:1516158:1 gene:OGLUM10G01020 transcript:OGLUM10G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVVTKSPPEIVRPSEPVTTTAATSKVIFSPLDRPLAIVPIVVLQVFEHPIHEPVETIRRGLSRALVHYYPLAGRLAGDDYDDVHIDCTGEGVTFVAANADCTVKELVRDIDCRSPDAAKAVIRELIVDYPANGFGRADPLVLMQVTAFACGGFVVGVTWNHGAADGFGIAQFLQAVGELARGLPTPAVTPVRWDRWAQAVAPSTVMASKRFMFGVKAPTRLALHSITIPARTIDGVRGATACTVFEAVAAALWRCRTRVVMSDPDAPTVLAITVNSRKHVGVKDGYYGNCATVHMAVARSSAVAGGDMTEAVRAIRRAKEEIPERLKKGDVIGELSKEQLGGYESVLLVTCWRNIGFEAVDYGGGRTARVMTTYEQGRVRPMCVVCLPWQGEEEEGARVLSGCVTPHHADAFLREIATL >OGLUM10G01030.1 pep chromosome:ALNU02000000:10:1516711:1517084:-1 gene:OGLUM10G01030 transcript:OGLUM10G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPIPRHGPIGVGPVLGRPDHRAVPGLPHRYVGPVGQARHTRNREARWTTRAFGPARHGPTADGPCLDGGGAHGLTQLGT >OGLUM10G01040.1 pep chromosome:ALNU02000000:10:1531490:1538270:-1 gene:OGLUM10G01040 transcript:OGLUM10G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERGSFRQDESRLNRGGPPPISIEQSRGEQGILGGTVMTEVLSRPLEHRLSSDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLILEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDDEVMKMVQSGVGWCGNLNLNHLEAEEKMMICEDDTMYTKKQESFKDEGRTLSRKISRARIEHPTLSPVREELPPMMLPTPGSPYSCDVPMVEKAIDAICQSKGSRDENVTITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLYDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKI >OGLUM10G01040.2 pep chromosome:ALNU02000000:10:1531490:1538270:-1 gene:OGLUM10G01040 transcript:OGLUM10G01040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESERGSFRQDESRLNRGGPPPISIEQSRGEQGILGGTVMTEVLSRPLEHRLSSDGHYEEKRKSNVEYSEDEKKAKIMSLKKKAMSASQKLRHSMKKGRRSSKVMSISIADERDPEEVQAVDAFRQLLILEELLPSQHDDYHMMLRFLKARKFDVEKAKQMWADMLRWRKEFGADTILEDFEFEEAGKVAECYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFIKNHVREFEKNFAVKFPACSIAAKCHIDQSTTILDVQGVGMKQFSKAARDLIGQLQKIDGDNYPETLCRMFIINAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDDEVMKMVQSGVGWCGNLNLNHLEAEEKMMICEDDTMYTKTPGSPYSCDVPMVEKAIDAICQSKGSRDENVTITKAIVNASNGSNPPLFGGVMALVMSIATMLRVSRNMPKKVLGATLGAQSTSKIQAQQLSKISMEAVSAAEYASSTKRLYDIEEKVIAILTKPAEMPADKEEMLKTAVSRVSALEEELAATKKALQETLERQEEIMAYIEKKKKKKSKI >OGLUM10G01050.1 pep chromosome:ALNU02000000:10:1536365:1537588:1 gene:OGLUM10G01050 transcript:OGLUM10G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAYHVTPTVFVTLCSLWAARNDRRHAQMPENPTGVAVVKIMKQREFNRSVHGVMIQNLKAILMDFQDLNLRWIDLPPVGLNSTTDLDRALIGGAEPIGWWAPVTLRHIKQRVETGAAQDTRFAAAANSTHKP >OGLUM10G01060.1 pep chromosome:ALNU02000000:10:1540334:1541903:1 gene:OGLUM10G01060 transcript:OGLUM10G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNTLGGNMQEILGKFVALKYLVLHHNDYTGSIVSSNVLRLPLLARLDLSYNQFSGELPLEVVDMKSLKYVMLLANNFSGGIPATYPPFNFVYTVMMRENCRSIWVRLLKGYGIIPICTNSLSPVRSNTISGYVQLSGNKLSDRRKIGAMRNLSLLHLDNNQLTGHLPPEISQLPLVVLNVSSNIISGRIITGMVMFIMANLRVRFPVYQDPNPESLSCENPKCGGGGGKCGAFHMSATSSPPSRCSSSCVTGF >OGLUM10G01070.1 pep chromosome:ALNU02000000:10:1542141:1546151:-1 gene:OGLUM10G01070 transcript:OGLUM10G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWKDPPGEAAAGGRVREGWGTAWMRCGVDSGGGGRRREMRERGVHAHGAREAARCGRGKGEEGRGAWGRRSSEMR >OGLUM10G01080.1 pep chromosome:ALNU02000000:10:1548913:1550185:1 gene:OGLUM10G01080 transcript:OGLUM10G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGGRGSGGGGGGGHLWLCLRWGEMDLGWKLLGRRWRRHGLMVVRQRGVGEWLNASADMGFSCLVVSVRLIGGRARGYGQNGGSGFGSTRPPASMGFGDATGDILLAPSPLHHQRWMISAHIYSEICWALHWHICCKLRYNSTGGAPVSFKQNLLISLIVFCA >OGLUM10G01090.1 pep chromosome:ALNU02000000:10:1557025:1558407:1 gene:OGLUM10G01090 transcript:OGLUM10G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B7C8] MSSSSPSLLSRRSTAELELPVPPEFRCPISLELMRDPVVGPTGITYDRAGIEAWLLAAGAGKTVAATTTCPVTKGDLRADDLVPNHALRRVIQAWCVANRCRGVERIPTPRVPVTPAQAGEVLGEVEAAARAGDAARCGAAVREVGRLARESDRDRRCLASAGAARALAAAVASFAAASDSASASSVLLDDVLAALVLVMPLDEEAIVAIGSSAASVALLANVAKHGDLQRRLQAVVVIREIVALSSCCSRNGGAATAIDLSDNLDGIIEVLVNTIRDPISPQATKASLVAAYHLALADDRAAARLAEAGLVPSLVELLIDGDRSTAEKALAALDATLASEAGRARARADALAVPVLVKKMFRVSDTATELVVSALHRICKKWHDGDDDEVGSPAARRSAVVEAVQVGAFQKVMMLLQVGCRDATKEKATELLKLMIKYETRGGGDCIDAMDFRGLKRVS >OGLUM10G01100.1 pep chromosome:ALNU02000000:10:1565780:1566702:-1 gene:OGLUM10G01100 transcript:OGLUM10G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSADGDPPGGEDGDSAGSGTVSPCAPLQVVAPAAREARSSREVGKARRRSFPATASGGERFLAMATVTAVETPSSRMARASCTALRQTARSSREASALPARAANPRPARPANPRRSQAARTLPLSPLARAISASRREAMAAEENRALSEARIASLSLQLDERLLLRAADARLSGFNGFRGLLRAAQRQLAAAPRPRLRRRLLCRRRRGGPCSAEGAADTGGFMASIAMLQQRMAEEKTVNRGRGKNA >OGLUM10G01110.1 pep chromosome:ALNU02000000:10:1568344:1569430:1 gene:OGLUM10G01110 transcript:OGLUM10G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGLPVASGVHPAHAHAHGGVAWHSYKQLLDAWRGSHVSGLAELKRYLARFWYMAKPRHDTTDAFDEHLELAVRRYQTRLSLPVTGWLDNATLDQIMSPRCGVRDDEEERRPVSVTLSPGQGGVVSRFTFFKGEPRWTLYDPPIMLSYVVSPTATVDYLLPAVVRAFVRWAWAIPVGFVETDNYEAADIKMGFYAGNHGDGVLLGILSHAFSLKNGRLHLDASEHWAVDFDVDATAAAIDLESVATHEISHVLGLGHSALPHAVMYQSIKPREKKVDIIF >OGLUM10G01120.1 pep chromosome:ALNU02000000:10:1569866:1571801:1 gene:OGLUM10G01120 transcript:OGLUM10G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSVSRHRPGSCSPESSQPPLPPPRSPADDDDLLSEILLRLPPQPSSLLRASLVCKRWRRLVAGPVFLRRFRAHHHRRPPLLGFFIDDYGDALFTPTLDPPNRITADRLSLRQGPGERLSFLGCRHGLAFLLNRPRLEALVWDPVTGRRRAVAFPPEFAINQGDFVRGGAVLCAAAADDDHVHVHGDCPFKLALLFIDNGRTQISVCLYESECGTWGDIASTALVTQWTSSVGTSTMVGNILSVIGGLAHVPDNSRPSSSFILPMEGSKLGIGILSGQRIRLWERMANSEWLLWRTLELEKILSLKPQAEPWRPVVLGFAEESNVVFVLTAIGVFMIQLDSLQFRNLFESNFVTSFYPYTSFYTAAFLNMAEGPGEASTGAEGRQKLEENEGV >OGLUM10G01130.1 pep chromosome:ALNU02000000:10:1610170:1623664:-1 gene:OGLUM10G01130 transcript:OGLUM10G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRNRKRSAPEQDDVTTGGVKKRLRLGSIYDYRKLTVLGEGRDGVVFKAEHLRTGDMVAIKWVRAAADQRAFVREVGCLAACRGHRNIVVVRDVVEDASTGDMFIVMDFVGGRTLRLDLWMAHPDPEDRARSVMRDLVAAAGALHAAGVMHRDIKPDNVLVANGGGLKLCDFGAATPVKPPGKPYEESRVGTLLYTSPEQLADSEFYGPALADSEFYGPAVDMWALGCIMAEILTGGPLFDDSSEERMLKEMADMRHRLESTGTCKLFDELPQLSAAGREVLAGMLAFNPDERMTAAEALDHRWFTDKPERRS >OGLUM10G01140.1 pep chromosome:ALNU02000000:10:1656500:1657549:-1 gene:OGLUM10G01140 transcript:OGLUM10G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGPPPPPMAFSPLYRQPSPYYSPYPAQSTFLPPHVYLPQPPINVTTYNFAQPPAAPAPSPPHVNVPQPAMHAAPAPAPPLWFRTVTAANLDSEMGLIGEMMLQYPYVTIDVEFAGVVHHPPHTGRRPTPDEIYAAVKSNVDEVPAVQIGITLSDAEGNLPSSSRSPSSSEQEIAWEVVFSDFDASRDPHVVDSVEFLKNQGIDFDLARQIGVTSTAFGEKLLAIQPPQSRRDELTWSAFGGAYDMGYLVKMLTGGQPLPETRQQFMQLVKSRLGGGRIFDSKYLVEHDRQDLRNAGLRHTADVLGVRQQEGVKMLAGHKSVVAAAIFATIRSQGVDLLHEGVIDGIL >OGLUM10G01150.1 pep chromosome:ALNU02000000:10:1660931:1662854:1 gene:OGLUM10G01150 transcript:OGLUM10G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNPKAYPLADSQLAQAIQELVSQAANYKQLKKGANEATKTLNRGTAEFVVMAADAEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEASNLRDPINNLKVAIEKLLI >OGLUM10G01160.1 pep chromosome:ALNU02000000:10:1663288:1666719:1 gene:OGLUM10G01160 transcript:OGLUM10G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCFPYTRNPVAESMSSAAAVEPGIDKFILATSSCSLFEVCKFCCHFALFDSTMGACLYFVLLQKEREMMQKKEKKERKKEKRRQKKAAQLGEKYETDDHHSKHGHKKRKHSGCEIVGEETRKVCNVTMEHLEKSSLSEEHEAPSYSQALRCTPESSLDSSKRLRTEVSSSPSQTRNGVNIRVKFTPTNQRRDPEATTGMSMKPRVTEQSPVKETGMDLSMANRKREFQPHVNTVSVVKRVVSQQKNMSIRNGNCLGESRKVSQQHDAKSMQRVNMVQRVSAKSTPIAAMQRVDPPSSEKAVMQRANPAPTKVMQGVEAAPVKSMQRANPASTKVMQEVEATPVKAMQIAGHITPSKVFNRESTQVQLRKETGGPLLGGQLNTGRPTLLNKPKVCADTPILLNKPKAHVEPPVVKQQQQIVPEAQEPCSVGVLAAASPVTEAQQSSSDRKSRKAEKKGRKLADLFVNWKPSPTQMEDTDVGDQDWLFSCRATPKNNCRTFDGSARCQPTEQLFSLQPRAVHLPDLHMYQLPFVVPF >OGLUM10G01170.1 pep chromosome:ALNU02000000:10:1670404:1671294:1 gene:OGLUM10G01170 transcript:OGLUM10G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATEPAREVLIRRVTADNLAVEMLTIRSHLPYFPYITIHADYPVDNAAARHWRRRRRSGGGGRRGNKRESEADERCYRLAKARVDELDVLQLGITLCDHHGRLPATAIAGPGGAAVAVEMAWQVGFSDFDVGQSAVDALRAAGVDMEHLRARGVPAAVFGQALRVFDIVSAANLGRLTWVAFGGLYDFGFLLKMLDGGSPLPETAEGFASRLRAHLGVVYDAKYVAARLPVDGVELRGGLVRVARVLGAPAAAVEEPRQAGEKSLVASQVFMRMTGLFFAYHDVAVHAGKIDGLQ >OGLUM10G01180.1 pep chromosome:ALNU02000000:10:1689868:1690044:-1 gene:OGLUM10G01180 transcript:OGLUM10G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTAGAMRALLPKLAELLKDEYNLEKHVRKGVKSLEIELEMMHAALRKVAEVPLDAR >OGLUM10G01190.1 pep chromosome:ALNU02000000:10:1709776:1710285:1 gene:OGLUM10G01190 transcript:OGLUM10G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAIFGTPVLLHGNLHLHWKKRWGTRYNRILVFDTVAESFRQLRPPAVNPRNYTRLLAMDGMLAMSVSKERVMDMSIFMLEDYDHEVWAFRYKIKLPTMEIRRFQDQGDWWADVVSEEGDILVSCFGWLLHCDKKGNLVSKFQYSDDLPAITPHRIKESLVQHAFFKR >OGLUM10G01200.1 pep chromosome:ALNU02000000:10:1727830:1728270:1 gene:OGLUM10G01200 transcript:OGLUM10G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHPDKNRSAAADGAFKLVTEAWEAISSGHAPFFSGDDVERDVPKPPRPPRRQSPAPPPQHGQRRATRDYGEEHVRHDGCCRENYRSTYRRGRRRPSPAAAAAAASKMYFAFCPFCGAKAAQPKNAQWLDMDPLAFCSKFHRF >OGLUM10G01210.1 pep chromosome:ALNU02000000:10:1728521:1732046:-1 gene:OGLUM10G01210 transcript:OGLUM10G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDFSIVGGFDMVPRAPPVRRNAPVTPAATRAPPATRASTRTTCSTERHRTDGRVMMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDGNNNEKASAEAGLWISHALQLQTPGRGDYLRKLGLSNAYLRMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVICSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYQMIFSDLITTVRLHKNMRNDKRIMHSLVTSRQYDHAVCWMSLDTTFCICKMQDN >OGLUM10G01210.2 pep chromosome:ALNU02000000:10:1728521:1731279:-1 gene:OGLUM10G01210 transcript:OGLUM10G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESRIHHGFLVPRAPPVRRNAPVTPAATRAPPATRASTRTTCSTERHRTDGRVMMEPAHAPGRDRLSALPDNVLRRIMSFLNARQSVQTCVLSRRWRHLWRSLPRINADYTEFCFACLDEKKEKVQEARFKKFVSTLLLRRDPVPLLDKFWLRYQVSDGNNNEKASAEAGLWISHALQLQTPGRGDYLRKLGLSNAYLRMGFFEQLSRGCPQLEDVFLNDCIILDDEISSTTLKTLNIYASRFSEDYRASISTPSLTSLTLYKPDASVPSLKDMKSLVSASIILDDNTDIHELLMSLSGVRNLDLECPQKMVTIAKNTQWCPEFKDLVNLSLGQFCLGSKLYALTEFLKNSPKLEKLTLDPPEVIIDKLEERSFECEHLKIVEVICSEDDSTLLKLVEDIFVTCGMSSLQINRKSSYKQYQMIFSDLITTVRLHKNMRNDKRIMHSLVTSRQYDHAVCWMSLDTTFCICKMQDN >OGLUM10G01220.1 pep chromosome:ALNU02000000:10:1751009:1753168:-1 gene:OGLUM10G01220 transcript:OGLUM10G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELEVSAPNSVAKIFHWKTRCLRLLPPPAASTPMATTRSVDAFGYHPPLRSAPGHHPLATTPPHHPPGSTIGGGGRSEGEKRWPPPTFPMPPPRPPSDTFERRHPPLVPASPCLEYRTFPHHRHGREEFEQKCASLREWITSGNLAGRRHHDISARVFSDSADRIWRDVLLNKELNLTSYYSTTNPND >OGLUM10G01230.1 pep chromosome:ALNU02000000:10:1772985:1796649:-1 gene:OGLUM10G01230 transcript:OGLUM10G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSSATASTSLVLPEWPSPVVVFELQEFSPAMSLPHRLGLPLRSSVVSDLIFPSRGVTSPERLLLLRLRYHISANFVISIAAPPWPASSSSRRRPRRGRAVLARTSNNPWNIDGKMMKGMDIAYISTWHSNFLCDVGEARCPRLLASRDFWINSDVTPLKNKSIIETPEHVLINQQANTLMTIWNSVNPHLDLSDYLESGEEVRDAARSEDVRPRDLAGQARETHHSGRYVSLRQQASETELRETVASMLNICQHRKSIESTEIPLGTVGIENERVNRPVSTTELDTMF >OGLUM10G01240.1 pep chromosome:ALNU02000000:10:1781026:1782843:1 gene:OGLUM10G01240 transcript:OGLUM10G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQVGVIPVLPEPGPTTISAPRHTAADARGAPETESYNRAVQRLKDGSGKGSATEADARCGCSRATSRWCRSYANFSADTAESYGNMMKNKVLGTDGSNGDMPAAQMPAFAYLHLNHDYGDDDKMFFCDDDQRLVMRTDTYIVPSLFLVTTFQDELDALFPERGAVFHYLGRYLFPQANHTAVLQRVPRAGVAAAGRRPDCGSQALFCSGAAAAEKDTLTCAKPWRDVRWQMFSIEATVSLS >OGLUM10G01250.1 pep chromosome:ALNU02000000:10:1796408:1797293:1 gene:OGLUM10G01250 transcript:OGLUM10G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTKLAEMWYLNLSSNNLSGEVTPLLGKMRSLTTLDLNGNPSLCGNDIAGLNSCSSNTTTGDGHSGKTRLVLAVALLVYMVAPARQDDVADNAGSQRQPRPVRQRHCRAELLQLEHRHQRQPLRRAANVIVEKAETSASGGSSTAAAAVVQASIWSKNTTFSFGDILAATEHFNDAYCIGKGSFGTVYRADFGSGRAVAVKRLDASETGDMLLLLGAPRAMKSVVLLSKKKNREREEEEQRLTSGPMGKHVFNQSFSLRFH >OGLUM10G01260.1 pep chromosome:ALNU02000000:10:1804767:1812830:-1 gene:OGLUM10G01260 transcript:OGLUM10G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVLLLFEWRTRALLILASTPTTCLRKRRCASWATTATADGLIMEPQQVPINNRLSNLPNDLICRIISNLDSRQAVWTSLLLRRWRNLWCSLTSINVDFCEFDGETNTWEGDQARFRKFVNNLLLRRDPVPLQDKFCLRSYIPHCANEQEASADANLWISHALQLKAPVVEVDQDIQTRDTLELGGHAVFASQYLTRLVLSAVSFTQGFFKQLGIGCSKLEHLSIYDSIICVDISSKTVKVLIIDNSEFSYDYSTSISTPSTTSLTLIDPGGRLPLLKDMGSLVSASIYLTREAIPLDTAINIDQWLMGLSGVGHLALDFPVEVIKIKDDMQWCPKFNNLVNLTLGRWCLDSKLYALTVFLQNSPKLEKLRLEIDEGYTAKDIKGELKERSFTCEHLKNVEVDGVEDDPLEVECLEDEPDPLVNRVKKLFRNSGMTSIQINITHLDYHLPYESLYDPGVLLELHDLSLLVAINPIPTVLVCGKSVDRHRLAANLSGKASSLRTPPVRRNALVIPSVPPPLALEHPRPPPVRRNASASPGSPGWLMEPEQAPGRGRLMLSDLPDDLIRRIMSFLYARQAVRTCVLSRRWRHLWRSLTRINADFCEFKGDTRTWVGDKARFEKFLNALLLRRDPVLLVDKFWLRCPSCSFGVYSLDANLWISHVLQLQAPVLDVRAVGISRLNQAVFTSQYLRRLALSSVVLSKGFFNQLEIGCPELECLFLRDCHIHDHHISSQTLKILTINISDFSFVDKNDCCISTPSVTALTLFGPQGRVPLLQDMASLASASVYLANDFSNFGTAVDVHRLLTSLSGVKYLALDFDGVNEGQITNENNMQWCPVFIDLVSLTLGSWCLESNFYGLIVFLQNSLKLEKLTLKLNKVHTRRIVGELKEKSFTCERLKVVEVICIGDDPLVNCVEEFFFNSGMTSLQIRINHLDGFELYEPRLYRDEYRRRQYMG >OGLUM10G01270.1 pep chromosome:ALNU02000000:10:1816776:1817475:-1 gene:OGLUM10G01270 transcript:OGLUM10G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRTRGRLCGTTAKSPPPTARAQGAARVRNQRDGSRRQVEEEAALLAWRREAGSIAVAPILQEKGEGVAVAPAWRPGWEEREPPSRATVQVRWGIGDRCLDGTGGEGRWSGAALRRSRASPPLRSMGAREEGAPQRKERHAAAGRGRGGRLASHARCRDLVYFYGCSEPTRLPSRANAFSLGQPITHWCQIRWTGQRGLWVNLNHAHPP >OGLUM10G01280.1 pep chromosome:ALNU02000000:10:1830014:1835712:1 gene:OGLUM10G01280 transcript:OGLUM10G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQSEDELVSLLQSLADMDITYKALQETDIGCHVNGLRKHLSGGVRQLVKLLVLCSGVHLFGSRAPKWKEIVDDWVRLHNSGGDGGSSLMVTLLIKSKAGATKMLLNNPVSDFKYSPSPQRHKYIKKGSHEQKRTMKEQKDNLLDSARKRLQENYQEAQNGNDFMTYFYGDGVRHVATRGELGLASAWTGRQSIERGEKVSDGGWVAAVPVVASFAPGKRLASPGIRGDLRRENEGENIDLCFGGLGREGSKVNFARKAEHAEVGFGKGGKTDFLEDT >OGLUM10G01290.1 pep chromosome:ALNU02000000:10:1849758:1857402:1 gene:OGLUM10G01290 transcript:OGLUM10G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSGATATRWWDEWGLRVLVLVSLGVQYAMLLIAGKRKFCTPGWFRIIVRILFIGCDVVALAALATLFNRQKSSSPAHIISSSNLEVLWAPILLMHIGGQAVATVSSIEKHDQLLRFIQTALTKGAVAAYLFYKSWSSTDIRLLTAAILLFIALIPRGIIKSLSLKQTGFNYMQDKSNSVSHRMDQKQLQETLKEMRFLISIMPETSNHYEIPAYERLYILRYMPSQLCLDYVRSYKSRRQTLESFWRLDDSQLYRVIEDGLSLIFSRLYTEDSRVDYIYSWWPDIAGMNLFVFLCLFKAIGNTPLIIAIALFHTSHKEAYSGGDITVTFVLLYGTLFLEFSFLFKLLTFRWKWQWRSTVPQLSILRFFTHHKRHSKLMGIARWFRFSSLLYSRWGKEAACDSSLKITTLVRQHIKLGWNNTINDAETYKMFNDTTGEWTFNLHNCNQFLELMSPYPLDESVIIWHLATDLCFYIGGAPNHDNAKRSREISNYMVYLLFTNPDMLTVSSRKSLFKTGCRELEYLLSDDYHEVHSDDPQEKHSDDMESLAKQINDRLTSQSEDPIRLNYISTAWNLSKNLRELNEEKMWKVIQGVWVEILCFSAGRCQGVLHAKSLGSGGEYLSYVWFLQAYAGLETFPDKLQKTHLMSTFQSPQREFTQNTSRSDLT >OGLUM10G01300.1 pep chromosome:ALNU02000000:10:1859605:1864170:-1 gene:OGLUM10G01300 transcript:OGLUM10G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLRTAGWLVGKLVNLLSAELLEALDNSYNLGANAHAIKAELLYTQGLLHRAQGRNVTHSPELAGLLLHLTHLAEDADNVLDKVDYYRIRDDAKGAPRGLIRRFATHARHSLGKYHRSLTSSLSCSATVEAEFFDRSTESERIKSLMAHMQPLCAKISNFLKLEVMDAKHRTTALNNTAAAFNEHVAATTSTSLEAKLHGRFVEFYATSKEITCGRDGLTVLPDRGGGIGKTTFTQHLFHDQRVKKHFHVRIWAHVSLNFDVLRLTKEIFDSIVAGEVSWGQRPSNEKEPRNLEKLHKGLQQMLQFKRFLLVLDDMRSCDNKYKWDKFLNPFRKTRVKGSTVIVTNRAQETADMVKSETDVLIRLDGLDLEAFWAFFLSCAFGNEKPEHHKELLDLGREIVKKPGYSPLAAKTVVGGVETSWRSTHHLQSTQVKTRAEAEKAKLKLKRDLVRLKLVWDEAGSEQTEEEANSIEGLQPPANIRELCIKNHKGITCPSWFHSANSFKASLSSGRRENGVIQISIKHLVLRNCNITGKELSEILACYTCLSHLEMEDCNGITGLSMQQSDHEMDGDGNDVDMLQFPSKLTSTLSRLGIFSKNHLTLNVNSEVLGKLTSLQWLQLGGCVLSCAAMQAVDDDLLPLANNLKVLRVYGYDKPD >OGLUM10G01310.1 pep chromosome:ALNU02000000:10:1896456:1896998:1 gene:OGLUM10G01310 transcript:OGLUM10G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLEAPHGAIPGRGNNLNQVDVIVDADVVCEREEDNINVVVGNGREERNIATDVDVSSRIENDTQNQVEVNVGQQITEWQAMFWTDAQISDPDCLFEEDEPVGVDEEHLYGVKDPVCSKEATVQMQLQLRMSLI >OGLUM10G01320.1 pep chromosome:ALNU02000000:10:1903665:1908401:1 gene:OGLUM10G01320 transcript:OGLUM10G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALRTAGWLVGKLVDLLSAELLEALDNSYNLGANAHAIKAELLYTQGLLHKAQGRNVAHSPELAGLLLRVHPPVRHPRRPLPRSIESERMKSLMAQMQPLCAKICAKISDFLKLEVMDPKHRITALTNTAAAFSERVTTTTSTSLEAKLYGRQEEFYAAIKEITGSRDGLTVLPVLGPGESARQPSPNTFTTREIFNSLVAGEVSWRQGRISNIEEPHNLEQLHRQLEQMLQSKRFLLVLDDMWSCDSEYKWNKFLAPFRKTMVKGSTVIVTTRSDKITNMVKSGTDLLICLDSLNPEAFWAFFLACAFGDKKPADHKDLLDLGREIVKKLRSSPLAAKTVGRLLKKDLTRRHWSRVLNSKEWEHEGNVDDIMPALKLSYDCLPFHLQKCFTFCALFPDDYQYQDSELTHLWSALGVITCSGQDGRIQDIGLRYINELVSNGIFQKVDGVKFSHEKGREVKHTYYVMHGLLHQLARIISSRECLSIDCSNPSLVYTPSSIRHLSITIRSTGDTLGVDHYQKFKENMANLKEQISIANLHTLMFIGECDERFSEAFKEILKEIKHVRVLRLFQTTLELPRKLIHLRYLRIQASRKPMNTQLQSNRSVTQERDQHTMGETQTPATNDSSASLPSSLPEYYHLRFLDLQDWMGMPEVPEGMHISRLIHLHQFLATKKLQSSVAEVGKLKLLKELAMFQVKRGDRDGFELQQLGELRDLGGALTISNLHKVKTRAEAEKAKLKLKRNLVRLKLVWDEAGSEQIVEEANSIEGLQPPANLRELCIKNHKGNTCPSWFHRAISYKALEVLHLHGVSWNTFPPFGQIPYLRKLKLENIAIENFEVRDESLENLRSIEFIGMPNMKTWVSTNLFTQLQQLKVLNCPVLRELPLSQNLQLSQTPTQQDFRLQILAVIFQRYFQAFPVQQKCSMPNLHELVVQVCPELSLPPMPYTPMLQLVEVATRQYILLYNKYTLEIRGTDNNWCGLGNLDNVLAFHDMKWLVRVTIKVCSSVPLATLQKLTSLEALTFEDCSNLSSGRGENAVIKISIKHLVLRKCNITGKELSKILACCPYLSHLEMEDCNGITGLCMQQSVHEMDDDGSDVGVLQFPSKSTSTLSRLGIFSRDDITLNVSSEVLGKLKSLQWLQLGGCVLSCAAMQAVNDDHPLENHLKVLRAYGYDMPVLVQDWLMTRMARTVVAASFQLEELDIGSISGVLDVQICDHHFSTSLRRLTFRNDKLLQSFTEDQETALRKFTSLQELVFYGCDRLQSLPSSLRTLPSLKRLEVSFRQLQGICLSQHDNIECTFNKKDCLEACNGSTYMG >OGLUM10G01330.1 pep chromosome:ALNU02000000:10:1905069:1953573:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDADASTSSAFAAAAVVEVSVEGLEEAGCRRAQERSVRHVTTVSPQHLEVVCQRKVVINSLHCCTREDTPSKLQPLEGLQLLEHFTVHIEEAYIVALPLPPTVTWVHKAWAVETTPGSPEQEVHADLALSISVAQEMPENTSEKSPHESADGNLAVSESAAAASFIGDGERSSKICQLPQLLKLKSITISPFNLEHEVYIVALPLPPTVTWVYKAWEVETTPGRPEQQLKLPEPYVLDSTILTAAGYDTKGRPQVGQL >OGLUM10G01330.2 pep chromosome:ALNU02000000:10:1905069:1953573:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDADASTSSAFAAAAVVEVSVEGLEEAGCRRAQERSVRHVTTVSPQHLEVVCQRKVVINSLHCCTREDTPSKLQPLEGLQLLEHFTVHIEEAYIVALPLPPTVTWVHKAWAVETTPGSPEQEVHADLALSISVAQEMPENTSEKSPHESADGNLAVSESAAAASFVVGDPRCYLLLPRLEMVSAPPRYPYVLDSTILTAAGYDTKGRPQVGQL >OGLUM10G01330.3 pep chromosome:ALNU02000000:10:1905069:1922377:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLSFLRLEMVSAPPRYPCRPLQKFYAKVYIVALPLPPTVTWVYKAWEVETTPGRPEQQLKLPEPYVLDSTILTAAGYDTKGRPQVGQL >OGLUM10G01330.4 pep chromosome:ALNU02000000:10:1905069:1921313:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHDIPCRPLQKFYAKVYIVALPLPPTVTWVYKAWEVETTPGRPEQQLKLPEPYVLDSTILTAAGYDTKGRPQVGQL >OGLUM10G01330.5 pep chromosome:ALNU02000000:10:1924029:1953573:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDADASTSSAFAAAAVVEVSVEGLEEAGCRRAQERSPCRPLQKFYTLHISDHTVLEWQDAFIMHCIKL >OGLUM10G01330.6 pep chromosome:ALNU02000000:10:1923199:1953573:-1 gene:OGLUM10G01330 transcript:OGLUM10G01330.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRDADASTSSAFAAAAVVEVSVEGLEEAGCRRAQERSVRHVTTVSPQHLEVVCQRKVVINSLHCCTREDTPSKLQPLEGLQLLEHFTVHIEGYVIPGEDT >OGLUM10G01340.1 pep chromosome:ALNU02000000:10:1918791:1923798:1 gene:OGLUM10G01340 transcript:OGLUM10G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAMGMALWPIGKLVDLLSAELLTALDESSSFGQNARDIKEELLYTQGLLHRAQELNVAHSPPLVELLRQLSHLAEDADNALDKVDYYRIRDAVKHTKEAAADEEVDGIVRRFTIHARHALSKCRPSSQTFNRSTESKKIKLLIAQIQPLCEKIHKLLNLESIPNPKHLTTAAASSEEIFNSIVTGEVSWRRSNIEEPHNLEQLHIQLERMLQSKRFLLVLDDMSCDSEYTWDMFLAPFRKTMVKGSTVIVTTRSEKIANMVKSGTDLLIHLDSLNPEAFWAFFLACAFGDERPEHHKELIDLGIEIVKKLNFSLLAAKTVGCLLKKDLNTQHWSRVLDSNVWEHGENSDPGIMPALQLTYNCLPFHLQKCFMLCALFPYDYHYNELELTHLWSALGIINCSNQDDRVQDIGLLRINELVNSGIFQKVDGVKISHQTGREVKYTYYVMHGLFHELAQIVSSCECLGIDCFNPLANTLTPSIRHLSIRTRCTSDIIGVDHYQNLKEELKNLKEQISIPNLHSLMFIGEFDECFSEAFKEILKEIKHVRVLRLFQTTLESLPHTLIHLRYLRIQASKRTTSMQLQSDRSMGETQTPATNDTLMSLPSSLPRYYHLRFLDLQDWMGMPEVPEGMHISHLIHLHQFLATKELQSSVAEVGKLKLLQELTMFQVKRGDRDGFELQQLGELTYLGGALTISNLHKVKKREAAEKAKLKLKKNLVRLKLVWDDVGSEQTEEEADSIEGLQPPANLRELCIKNHKGNTCPSWFDNTISLRRIEVFHLHAAADSDTARFPSADSCGDFSEGCPELSLPPMPYAPMLQLVEVATRQYMLLYNKYTLEIRGMDNLDKVLRFHDMEWLVHVTIKVCPSVPLGTLQKLTSLEALTLEDCSSLSSGRGENAVIKISIKHLVLRNCNITGKELSKILACCPYLSHLEIEDCNGITGLCMQQSVHEMDIDGSDVGVLQFASKFTSTLSRLGIFSRNHITLNMNGEVLQKLKSLQWLQLGGCVLSCAAMQAVDDDLPLANNLKVLRAYGCDMPNLEQDRLVTKMVRKMVAGSFQLEELDIGSISGILVAPICDHLSTSLHRLTFRNDTLVQSFTEDQETALRKLTSLHELVFYGCDRLQSLPSSLYTLPSLRRLEVSFWQLQGSPELLERCDVLRRRRPEVVLTCIPLI >OGLUM10G01350.1 pep chromosome:ALNU02000000:10:1956184:1960976:-1 gene:OGLUM10G01350 transcript:OGLUM10G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGKRLRYTASRRVGSTLSDLPEGVLHHIMSFLDSRQAVQVCLLSRRWRNLWRSMPRINIDCKEFEVTDKAVFIVFVNRLLELRDPVAPISKFRLWYSMTGSGCDTVKKDTGRWISHALQKQAWAVEIYVDMFYGYLKLLLTIEDNLQWCPKFNNLVNLTLGEWCLHANFYALIVFIENSPRLEKLTLKLYEFGQRTSKRTIVELNDRPFTCGHLKIVEVICFKNDPLANHVVDFLFSSGMTSAQIHIKHCW >OGLUM10G01360.1 pep chromosome:ALNU02000000:10:1991250:1993369:-1 gene:OGLUM10G01360 transcript:OGLUM10G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRDAPSTDMISGLPEGVLHRIMSFLSLREAVQTCVLSRRWRNLWLSMPLINADYKQFFEMTDTKAGYDEALAVAVPMFKRFVNRLLELRDPVASIDKFCLWYSISDDNEDDTESQDAAANRWISQALQKKARVVQVYGDLVFADLYPLVIDHSVFTSSYLTKILFSNVLLEDGFFKQLESGCPALEDLSLDDCVISGDEISSQTLKVLTIKDTKFSMEHKTSISTPSVTSLTLWRPAHGIVVLKDMASVVTASVKPSEFIDEFDARGLRQYLWALSGVKNLEFYYLGENTPRLEKLTLKLHPFRYQQPRIIGELTERSFTCGHLKIVEVICSENDPLINHLVDFFVSSGMTTAQIHIKH >OGLUM10G01370.1 pep chromosome:ALNU02000000:10:2010471:2028406:-1 gene:OGLUM10G01370 transcript:OGLUM10G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVDANRWISHALQKQAIEVVVMSYPLQLNHTVFTSRYLRRIGFSRVSLDQGFFKQLDVGCPVLDDLFLHWCTIADDEISSQTLKVLTIDGTQFSTENKTTISTPSVTSLTLSCLEGCTPILNNMPLLMTASVSITCLKYVVEFEVDFGFDANDLRHNLWSLSAAKKMEFIYEADNQKQFAVVSGIHQCVNMTLGQWCLDTNFYPLIVFLQNSPRLEKLTLKLARCNWEKSPRIFGELTERSFTCEYLKIVEVICLEDDPQVISVKDFFVNSGMPSVQFHIKHWSQLKADKSKAARLPISNSVPRFDEFELPRTRTTCSTKRLCDALGFQPAMEAPPVKRGWRIMAPAHAGGREDRLSDLPEGVLHRLMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRVLEVAVLSYALELDHSVFSSRYLKTIDFSNVVMDQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLALDATHFCCGFKTSISSPSITSLGLHYPMSGKPVLNDMEALVSASLLLCHVEDDDFDASDLRDYLWSLYNVEILDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGMSSVQIHIKKWSQTGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLLKNAWFLEVVVQLNSLELDRSVFNSIYLRIIAFGNVFMDQGFFKQLQMGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISTPTVTTLALCNTICGKPVLKDVASLVSASVVLYCVESGDFDANDLRHYLWSFSHVKDLIFSYQGKKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEERSFTCEHSSEVFSSVPKYSSEVFIRSILLRPKFPRAPPVRRNAAA >OGLUM10G01370.2 pep chromosome:ALNU02000000:10:2010471:2028406:-1 gene:OGLUM10G01370 transcript:OGLUM10G01370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPASVDANRWISHALQKQAIEVVVMSYPLQLNHTVFTSRYLRRIGFSRVSLDQGFFKQLDVGCPVLDDLFLHWCTIADDEISSQTLKVLTIDGTQFSTENKTTISTPSVTSLTLSCLEGCTPILNNMPLLMTASVSITCLKYVVEFEVDFGFDANDLRHNLWSLSAAKKMEFIYEADNQKQFAVVSGIHQCVNMTLGQWCLDTNFYPLIVFLQNSPRLEKLTLKLARCNWEKSPRIFGELTERSFTSRSKAARLPISNSVPRFDEFELPRTRTTCSTKRLCDALGFQPAMEAPPVKRGWRIMAPAHAGGREDRLSDLPEGVLHRLMSFLDSRQAVRTCVLSRRWRDVWRTVPRVHADFCDFTLNWTSDDDEVDEAAVAEDEVVFNRFVNRLLELRDPNASIRSFFLRFCRSDGGDDGSAEGNRWISYALQKNVRVLEVAVLSYALELDHSVFSSRYLKTIDFSNVVMDQGFFKQLEMGCPELEELFLDECFIVDDEISSQTLKVLALDATHFCCGFKTSISSPSITSLGLHYPMSGKPVLNDMEALVSASLLLCHVEDDDFDASDLRDYLWSLYNVEILDFSYHGKKSDSRSMVSGCQLLWTNRLPSELTNIGEANPRTRNGKLQRIIGQIEERSFTCEHLTSVEVICLEDDPLVNDVVNFFVNSGMSSVQIHIKKWSQTGFHARHLFDERRLSGCSAAMEEPPGKKGPAMDPAQDSGRDWLSGLPEGVLHRIMSFLDSRQAVRTCVLSRRWRDLWRSVPRVHADIYDFTPDGTIDGEGEEDVEEAEVVVVFNRFVNRLLERRDPTASIETFFFRCCIPDEDDDGSADANRWISYGLLKNAWFLEVVVQLNSLELDRSVFNSIYLRIIAFGNVFMDQGFFKQLQMGCPALERLYLDDCIVADDEISSNTLKVLTFDTTEFCYEHRISISTPTVTTLALCNTICGKPVLKDVASLVSASVVLYCVESGDFDANDLRHYLWSFSHVKDLIFSYQGKKLTIENNLQWCPKFFNLVGLTLGKWCLNANFYALIVFLQNSPRLEKLTLILAEDNCKTSEVFIGELEERSFTCEHSSEVFSSVPKYSSEVFIRSILLRPKFPRAPPVRRNAAA >OGLUM10G01370.3 pep chromosome:ALNU02000000:10:2003633:2010461:-1 gene:OGLUM10G01370 transcript:OGLUM10G01370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRPGRRRRRRVKAPARAAIMDWISGLPDEILHHIMSFLNARQAVQTCVLSRRWSDLWRTVPCINADFNVFDFIDYQGDDEDYNDEVAFKRFVNRMLELRDPATMIDTFWLRYKIWDGYNEYKDSNVDANRWISHALQKQAMVMEVVVFSFPLELDHSVFHFMLPEKNWSLKVLTIDDTEFSKANKASISIPSVTSLTLSSPENSTPVLKDMALLTTASVSVKFYTFSYGFDANDLRQCLWSLSGVTDLEFNYEGTELTFENNLQWCPEFINVVNLTLGQWCLDANFYALIVFLQNSPRLEKLTLNLAKCIWKKSPRTVSELMERSFTCEHLKIVEVKCLKDDPQVISVQDFFASNGMASVQFHIKHWGQYEEEDELPAFIRYEER >OGLUM10G01380.1 pep chromosome:ALNU02000000:10:2028438:2037255:-1 gene:OGLUM10G01380 transcript:OGLUM10G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSCRRRKVKTPAHAAGMDWISDLPDEILHRIMSLLNACQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDIDTASSADANRWISHALQKQARVLEVVMYPWHQLELDHSRFTSRYLRKIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFSIAINATEVQKKSISAPSLKIENNLQWCPEFVNVVNLTLGKWCLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDDKAPGYYGFARFVGGCLWTIGDCSVGPLSFGPLASLDNHHACTGYGYRPLLVGAWAAIGPLPMTRGPLSHFVLLSPWTGSITWHREREAKGRERKSASRSPQSPFHSMAASFIREEHRMIRSPVAESIPLTSPLEVWLISSPPTRATCSTKRRGVLGSHMEDDTAGWDRLSDLPEGVLHRIMSFLNMCQAVRTCVLSRRWRNFWRTVPYINADINEFDFYYGDNGNSDEEFRLIVNRLLELRDPTVVMDTFWL >OGLUM10G01380.2 pep chromosome:ALNU02000000:10:2028438:2037255:-1 gene:OGLUM10G01380 transcript:OGLUM10G01380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRSCRRRKVKTPAHAAGMDWISDLPDEILHRIMSLLNACQAVQTCVLSRRWRNLWRTVPCINADCKEFDFFGFRRSEVEFKRFVNRLLELRDPIAMMDAFWFRYHKLDIDTASSADANRWISHALQKQARVLEVVMYPWHQLELDHSRFTSRYLRKIGFSGVRLDQGFFKQLEAGCPALEDLFLHHCTIEDDKISSQTLKVLTIDRTYFSIAINATEVQKKSISAPSLKIENNLQWCPEFVNVVNLTLGKWCLDANFYALTVFLQNSPKLQKLTLKLAKCTSEIHQRIIGELTERSFTCEHLKIIEVICLENDPQVIRVKDFFASSGITSVQFHIKHWSQLKEDDKAPGYYGFARFVGGCLWTIGDCSVGPLSFGPLAPTRATCSTKRRGVLGSHMEDDTAGWDRLSDLPEGVLHRIMSFLNMCQAVRTCVLSRRWRNFWRTVPYINADINEFDFYYGDNGNSDEEFRLIVNRLLELRDPTVVMDTFWL >OGLUM10G01390.1 pep chromosome:ALNU02000000:10:2040133:2041359:-1 gene:OGLUM10G01390 transcript:OGLUM10G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAAGAPPPPATSLLCLTAARAGHWKPHGSRKDGGGGASFSPEVVGSEPMTPTRSPEPVAAVRMWRMQRGRGEDGPTVEAGDGDGEVLAGIRSRRRRIPPEGGSGAGQARGNGVGGNDAELVATVATTAGRQRQQVAARRQQRLVAAMATRCTRGRSGCRGGDSGWTMATRWLADGSSGYRGCGGRRHGGLGQLAGGVADGRTWLAWQRLEEGSEAGLAQRGVADATETGTMWEARPAAVEAGLAREARPMTGGRIGARSASGGGGGRRGARRRDRR >OGLUM10G01400.1 pep chromosome:ALNU02000000:10:2050180:2059216:-1 gene:OGLUM10G01400 transcript:OGLUM10G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLRCGTNPFRAADCKGRCSQYFIVGWGVETRRDARAIGVHHHQARLQCSLLSTPAPRLPTVPAAVRSVPTVQAAKPGAATERLLGSPPRRLRVAGLVRMAMMNRTRDLLMEGFEGLVREGSFSWALPRRGASPVDDADDPDSSSSSSAKQPSISGLSPKANAVVSRCSRVLGTSTDELQYDFDMQASDSIKQPRNYARNFLEYCCLRALAHASQVAGYLSDKSFRRLNFDMMLAWEVPSSSSELTVKVEVDNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGVDKEETILKAVLSIMRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSTQVNQPGTSSGRHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVNESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMGVVSLFSCFGALVFGSFHVPYKTN >OGLUM10G01400.2 pep chromosome:ALNU02000000:10:2048387:2058652:-1 gene:OGLUM10G01400 transcript:OGLUM10G01400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNRTRDLLMEGFEGLVREGSFSWALPRRGASPVDDADDPDSSSSSSAKQPSISGLSPKANAVVSRCSRVLGTSTDELQYDFDMQASDSIKQPRNYARNFLEYCCLRALAHASQVAGYLSDKSFRRLNFDMMLAWEVPSSSSELTVKVEVDNTVSLEAFSRIAPAIPTITDVVTCSNLFDVLSSSSGGRLTFPVYDKYLTGLDRAIKKMKGQSESSLLSAQRSQRGERIVEVDGTLTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVTYDKPKAYELAEDLKQSVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHFRRDYWLAIISEILYVHRFVRKFDISGVDKEETILKAVLSIMRLQAIEELAIPVSNRFESLLMFNLCDKLPGGDVILETLAGSISSRRSTQVNQPGTSSGRHSMSPFTVLSNLGVVSPINKGERLFVGEIVVGEMSALQKVVNESMNNYKKVELAQATVDGVKVDGLDTNLAVMKELLSPVSELWRFLVLLASWDEPIKSMVFCFSSSYIIIRGWLVYFLVLVLLFSAAFMFLTRLTSHGKPMTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQATDRAILALVVMALSLAFVPTRLLVLMMFLEAFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >OGLUM10G01400.3 pep chromosome:ALNU02000000:10:2048387:2050166:-1 gene:OGLUM10G01400 transcript:OGLUM10G01400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKVTSPPPMNTMEQLLAVQNAISKVEELVQDANIVLLKIRALLLAFPSQATDRAILALVVMALSLAFVPTRLLVLMMFLEAFTNHSPPRRASTERWTRRLREWWFSIPAAPVVVEKDKEDKKTK >OGLUM10G01400.4 pep chromosome:ALNU02000000:10:2058738:2059216:-1 gene:OGLUM10G01400 transcript:OGLUM10G01400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVPLRCGTNPFRAADCKGRCSQYFIVGWGVETRRDARAIGVHHHQARLQCSLLSTPAPRLPTVPAAVRSVPTVQAAKPGAATERLLGSPPRRLRVAGLVAWRGLPQRRRSPPA >OGLUM10G01410.1 pep chromosome:ALNU02000000:10:2062889:2097090:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQISTFPRAPPVRRNACLSERFRVKLQVDGFLILGLPLLFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQVQIIRWRDGPTRGLSTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTCVLSRRWRNLWRTVPCINIHIHEFGRNETGFIKYDQKMELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCQFYYDGKKLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01410.2 pep chromosome:ALNU02000000:10:2062889:2097090:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQISTVFSTRATCSTKRLPERAISSFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQVQIIRWRDGPTRGLSTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTCVLSRRWRNLWRTVPCINIHIHEFGRNETGFIKYDQKMELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCQFYYDGKKLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01410.3 pep chromosome:ALNU02000000:10:2062889:2097090:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQISTFPRAPPVRRNACLSERFRVKLQVDGFLILGLPLLFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQVQIIRWRDGPTRGLSTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTCVLSRRWRNLWRTVPCINIHIHEFGRNETGFIKYDQKMELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCQFYYDGKKLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01410.4 pep chromosome:ALNU02000000:10:2062889:2097067:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLGFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQVQIIRWRDGPTRGLSTHATCSTKRLWACACAHGLTAMAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTCVLSRRWRNLWRTVPCINIHIHEFGRNETGFIKYDQKMELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCQFYYDGKKLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01410.5 pep chromosome:ALNU02000000:10:2062889:2097090:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQISTFPRAPPVRRNACLSERFRVKLQVDGFLILGLPLLFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01410.6 pep chromosome:ALNU02000000:10:2082667:2097090:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQISTVFSTRATCSTKRLPERAISSFHARHLFDETTLRACLATMEGSPGKIRLSTLPDDIVFRIMSFLTMRQAVRMCVLSRRWRNLWRTVPCINADISEFKRRDTEHYDQETELAFKMFMERLNELRDPAPLIHTFRFRCILDLNEEINHILDSEVINGWISHAVQKQPHFLDIALLCDKLELNHSAFNCRYLRRIEFTNVILMQGFFKQLEMGCPAMRGLFLDECSVEDIEISSHTLKVLTIKNTHVSYGNKTTISTPSVTYLKLLSPLNGTYVLNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFDYVGRRKKLTIENNLQLYPKFNNLVSLTLGQWCLDANFYGLTVFLQTSPKLEKLTLKLEKEKPQRIIGKLEDRSFTCEHLTRVEVVCSEDDPLVEDVVNFFVNSGLTSAQDDPLVKDVVNFFVNSGLTSAQVHIITFVAPVESESTYKHQQQEEEEEEEERFHARHLFDETPACAVSRFHARHLFDETPLRACSAAMEGSPSKSRGVTAPAHTDDGDWFSDVPDDIILNIMSFLTTRQAVQTCVLSRRWRNLWRSVPCINSDIDEFTRDSDSEGYYDEKTELAFIMFMERVMELRDPAALISTFQFRCKFELDEGFDDISDPEDINAWISHAVQKQARVLDIVVLCDKLYLDHSEFASRYLTRIEFTSVVLMEGFFKQLEMGCPAWESLFLDECAVNDVEISSQTLKVLTIKNTLFSSDKTTISTPSVTYLKLWRPVDSCVFNDMPLLVTSLLVLYHVQDSGDFFQNLRSLSAAKSLEFNYIGKELTMENNFQLYPKFNNLVRLTLGQWCLDANFYGLMVFLQTSPKLEKLTLKIEKEKTQRIIGKIEDRSFTCEHLTPVEIVCSEDDPLVKDVVTFFVNSGLTSAQVHIIRRISHALHLFDETPARAVSSFYARHLFDETPLRACSAAMEGSPSKRRGVTAPAHTDDGDWFSDVPDDVILNIMSFLTTRQAVQTCVLSRRWLNLWRSVPCINADVGEFQRSDTEWEEYDQERESAFKMFMDRVLELRNPAAPIRTFRFRCCRLDGFEGTSDEADMNRWITHAMQKQPWVLDILVLYDALKLDHSAFTCRYLTRIKFINVLMMPGFFQQLEMGCPVLENLFLDESIVADVEISSRTLKVLTIKSTQFSYKFRTTISTPSVTYLKLWRPVNGIYVFNDMPLLVTSILVLYNVQDSSDFCQNLRSLSAAKRLEFDYFGRKLTMENNLQLYPKFNNLVSLTLGQWCLDANFYGLVVFLQNAPKLEKLTLELEKNTPERIIGKLEDRSFTCEHLTRVEVVCSEGDPLVKDVVNFFVNSGLTSAQA >OGLUM10G01410.7 pep chromosome:ALNU02000000:10:2062889:2082009:-1 gene:OGLUM10G01410 transcript:OGLUM10G01410.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAHADGKDWFSALPDDIVLHIMTFLTTRQAVRTCVLSRRWRNLWRTVPCINIHIHEFGRNETGFIKYDQKMELSFNSFLDKVLKLRDPAASIRTFCFKFYRLTRIEEAYARVLDIVVLSGKLELNHYSAFACRFLTAINFVSVAMKQGFFKQLEKGCPSLENLSLDECIITLKVLSIIDTWFPWKYKTTISTPSVTIFSLWWPLSGTIVFNNMPLLVNSLLVLHVEQASSDFCQNLRSLSAAKNLTCQFYYDGKKLTIENNLQRYPKFINLVSLTLGQWCLDANFYGLIVFLRNSPILEKLNLELEKHRWGKTSQRMIGELEERSFTCEHLTTPHAHHLFDEMPLRARPPMENAAGRDRLSDLPDEILHRIMSFLNARQAVQTCVLSRRWCNLWHTVPCINADFVEFDSIGYQGPEVPFKRFVNRLLEFRDPASVIDTFLLKYAMPDRLDGYKASNEEANRWIGHALQKQARILEVAVFFFPLDLDHSVFTSCYLRRIEFSHVYLRKGFFEQIETGCPSLEDLLLHQCFIWDGEISSQTLKVLTVDATELYTVKEMSISTPNLTSLTLSGLEYPKAVLKDMPLLVTASVSVTFDALNFDGYYDANDLRQYLWGLSAVRNLEFHYEGAELMIANNSQWCPEFVDVVNLTLGEWCLDANFHALIVFLQNSPRLVKLTLKLAKDRWTTPQRIIGELEERSFTCEHLKIVEVICLENDPQVIGVEDFFVRSGMTSVQFHIKHWRKDEEYELPAFIHFPHPHAHQLFDEMPPRALPPVEDDAGRDWLGDLPEEVLHHIMSFLDARLAVRTCVLSRRWRNLWRTVPCINADFDEFDLVFYQGDDEDYDDVLAFKRFVNCLLELRDPTAMTDTFWLRYTTRPEGNTYSNEDAYGWISHALQKQARVLEVVVFCCLFELDHSVFTSCYLRRIAFSGIVLCKGFFAQLEAGCPALEDLFLHQCGVHDDEISSHTLKVLTFDSVFFYMPMDTVEFTLLNKTSISLPSVTSLTISTSEGFTPILKDTASLVTASVSVSVTMSSFRFRFDANDLGQYLQSLSGVTNLEFNYQGSKLTIENHLQWCPEFLNVVNLTLGQWCMDSNFYALIVFLQNSPRLKSNMRISRRIIGELTEISFTCEHLNTVEVICSENDPQVITVQDFFVSSGMTSVQFHIKHWSPYANDLPAFIRSI >OGLUM10G01420.1 pep chromosome:ALNU02000000:10:2065416:2071451:1 gene:OGLUM10G01420 transcript:OGLUM10G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWWRTSSGRSPSQSLPASSSTGGRAREALYPSNLSGMAYLSKNVSITEAGSRNSSSRLTNLLNGTSGPWYPMESNSTKSALMHGTVCQRLHHRRDSTHVCTAWRAFRKDMMRWRISSGRSLSLSLPAAFSIGGRARRGISSNRWCAWGAAQ >OGLUM10G01430.1 pep chromosome:ALNU02000000:10:2075159:2076022:1 gene:OGLUM10G01430 transcript:OGLUM10G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSMASSQPRMMEQSLFYTQPPARAVHTRKVTAVNLHREMSLIRSLMPTFPFVAVDTQFPGVVHPHPRGAGVTADDRYAAVRANADELCLLQLGITLSAADGRLPVDGALVEFMWEFDFAGFDARYHRHAPEFVQFLRAQGFDFEAARLVGVPALAFAASGILGLRGVTWVAFGGMYDVAFLLRLATGGAPLPATRLGFLAQVGAVFGTQVFDAKHMASLLHMHGGLAAVGGMLRLPPQLPRRHMAGQNSAMAIQLFMELRRRFNDLGGSLHSCSLKIEGLT >OGLUM10G01440.1 pep chromosome:ALNU02000000:10:2087547:2087981:1 gene:OGLUM10G01440 transcript:OGLUM10G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSSSSSPSATGAAPASSYYWCYSCDPFVHAAPHEDSIVACLDYGGIILEEMGAPPPRTACLRHPRAHHTNDLRMRRTRCATAAAAASNRSPFNPVIVPHRSPVAVAAGDDDGAGSGLRPLPETMSDFLMGYAFERLLD >OGLUM10G01450.1 pep chromosome:ALNU02000000:10:2092126:2092458:1 gene:OGLUM10G01450 transcript:OGLUM10G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPSSSFSSSPSAVGAAPASSSYWCYSCDPFVRAAPHEDSAVAYPNYGGGILEEMGAPHRAPPTSATRARTTPKTCTCDGHVALTQRRRPMTARCSTPSSCFAAPPLP >OGLUM10G01460.1 pep chromosome:ALNU02000000:10:2117982:2121198:-1 gene:OGLUM10G01460 transcript:OGLUM10G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTARAAGGCGKPNGYLLWKQCDRIRQNRTDLGRLKRWMIIKMQDWVSRGSEWLLPLNAAAARAAGRPCPPNRHGKRPARMGRRTGVLFVMTDDAGKPEPVG >OGLUM10G01470.1 pep chromosome:ALNU02000000:10:2120429:2121008:1 gene:OGLUM10G01470 transcript:OGLUM10G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGLPVREASYRLEDLRFEGNASEPSNKLVDLTCNEFAETIHKCKGRMSYAHAVKFYDATTGEVASFSTRFTFAIAIRSDSSNPTDTKGDGLAFFLAAYPSTIPSNSDGGNLGLLVTKHPKAYGTNRFIAVEFDTYNNTWDPSKTYDHMGVDISAIESAE >OGLUM10G01480.1 pep chromosome:ALNU02000000:10:2122345:2125232:-1 gene:OGLUM10G01480 transcript:OGLUM10G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDPLVSIGNVASRSISTVSVHTICFSNQLVALHNICQTKNHSEFRPHDHVRLKEKESDFHACLDNKFSFKVCTGSFRLYFMHYSFVSDSLTSYQQSNNKPDHNEIPAGCIQHLLTFPLSIGLCSVEEFGTGTRGDSIYNYCTIVDFSIGICHGFTGLAIAIVVDSAGAVEETELGT >OGLUM10G01490.1 pep chromosome:ALNU02000000:10:2142429:2143608:1 gene:OGLUM10G01490 transcript:OGLUM10G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWMVHTCLTRDILAGYIVGVLGLVVVVVWIIVSCFMWTRRSNDDLDLKQIGGPRQFIYRDLNVATNKFSNVIGRGAFGVVCRGSLGGHEVAVKTLINGRKDFIAELSSIGGVKHKNLVRLIGWCRQNSFNIVDFIFWWRHDKKNKLFLVYELVSNGNLAKRLHEGVLEWTTRFIIIKDIASALLYLHEECHPYILHRDIKPDNILLDNNFNAKLADFGLSRIADPDNNIVKTTAHGTKGYTDPLCTRDATIEFDRSSDMYSFGMVLLVVACTQGTSREQVWQLYQDKSLLQAADDNLRGQYDETQMERVLILGLCCSRLDDATKRPTIRQALAFLEHGGPMPGLESLINPRSNL >OGLUM10G01500.1 pep chromosome:ALNU02000000:10:2143740:2148276:-1 gene:OGLUM10G01500 transcript:OGLUM10G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGGGGWTRLRGVGRGASGAVVSLAANDVSGELFVIKSAGEGAARQQLRREWSVMSGLSSPHVLKCLGFVQASGGCGGGEHQLFLEYAPGGSLADGVGRNGGRLDEGAVRAYVADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLADFGCARVAMPGGSKQPVLGGTPAFMAPEVARGEEQGLAADVWALGCTVIEMATGRAPWSDMDNVLPALHKIGYTDAVPDLPRWLSPEAKDFLRGCLQRRAGDRPTAAQLLQHPFISKSCGLNNKETVKATWVSPTRALDATLWESESSSTDGEEVDDMSSNSPTGRIRAMACSGQTLPDWDSDDHGCSWIEVLGSVSINVANKTAAIEQRVTSMACSPSSVPDWDSGNHGWIDVLSSVSISIANKLETATAADNVSSECPAKWVRAMACSPSSVPDWDSDQGLIDVLVCENNLKGKLHE >OGLUM10G01510.1 pep chromosome:ALNU02000000:10:2165056:2166603:-1 gene:OGLUM10G01510 transcript:OGLUM10G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIIGVGGGGWTRLRSIGHGASGATVSLAADDASGELFVVKSAGDDAVATARQQLRREWSVMSGLSSPHVIRCLGFVQAAAAAGEHQLFLEYAPGGSLADVVARNGDRLDESAVRAYAADVLRGLDYLHGKLVVHGDVKGSNVLVGADGRAKLADFGCARVAMPGGSKQPVLGGTPAFMAPEVARGEEQGPTADVWALGCTVVEMATGRAPWSDMDNVLAALHKIGYTDAVPDLPPWLSPEAQDFLRRCLQRRAGDRPTTAQLLQHPFVSKSCGLKKEVIKATWVSPTSALDAAAALWESETSSSTDDEEDDDMSNSPTGRIIAMACSGGQTLPDWDSDDHGWIEVLGSVSISVANKTTAAVEDYEASESPAKRVRAMACSPSSVPDWDSDNHGWIDVLSTSPADDNGGAGNAPEEFDVAAAADQIFGEAVDSIVVGVGSEQSVVVENQEDVFISLSSCSESVLLVAVHAADNNAASRKAGIKECSHDPRPSIPSRCAHNLFLSLIFIQIIRM >OGLUM10G01520.1 pep chromosome:ALNU02000000:10:2171586:2172476:1 gene:OGLUM10G01520 transcript:OGLUM10G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTSPLHRVIGAARWDAERPLGRLLILAHAAFLDAGFVPAAAADDDNSIRLPRKVGRTASSLPLRYAAPQLLHWPDDAAAVQLRLCAHGRHLVLYVSMARCSMFREWLDTYWVCLDALAAAALLGGALDDTARALRLDARLAALWGALADRLCRRVLVDVCARNGVTLEPTFMSLPDDVKAAILARLPDGDDLARAECTCAGLRRLVADRDRDAALWKPRYEKLPFLLQLIGGGDDDDDGEPTTEVSWKKKYVAARLWPFGELFASMRDTEATDLCTSVGLGLRFIHTLLGVR >OGLUM10G01530.1 pep chromosome:ALNU02000000:10:2174564:2175630:1 gene:OGLUM10G01530 transcript:OGLUM10G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSPLHRIIGAAMWDAEPLLGSLVILAHAAFLDAGFVSTGAANDDGAQSSVRLPRQVGATASALSLRYTAPQLLHRHRQDAAAAAAATVALRVCAHGRRHVVFYVCVSALADRLCRRVLVDLCAKNGVPVEPEHELMSLPDDVKVAILARLAAGEDLARVECTCVGLNLLVAEHDSTLWKPMYTKLRSQLRRRLRFLGLSYGEPTAVSWKARYVAVRRRRVPAAHDVFMGEILLPVMTEWMRVPWIRRYPFVPPPPPESPEEEETVVPRRRRRRRAMPRDAGHGRAAPGHGGDKKQWRGAGAVHSPSSRFRWKHR >OGLUM10G01540.1 pep chromosome:ALNU02000000:10:2183654:2197834:-1 gene:OGLUM10G01540 transcript:OGLUM10G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVVTIANSICMSTESDRGKKYLIVLDDVWNRDSDKWGKLKTCLKNGGMGSVVLTTTRDAEAARIMVTGEIQVHNLEKLGEDYLMEIIQSKAFGLPESDEHLEVLHKIVQRCDGSPLAAKSFGCVMSTVQEWKDILAKSNICNEQDRIFPILRLSYDDLPLHIKRCFAFCAIFPKDYEIRVENLIQLWLAHDFIPLQEDDNLEMVAEDIFEELVWRSFFQDVKKIPLRTTCKIHDLMHDIAQSVIGKDCISIATRSDFKNMLLEHPRYHFHSSYIKTGFLDDFMRKQSPTLRTVQFEDYFIDISASHLSKSRSLRALSLKQSTKLLPIRARYLQHLRFLDISQNVCIKELPEDMCILTLRELHSLNICGELELRGLENVSQEDAKAANLRNKEKLTHLSLVWDSECCIEEPNCHGNVLDALKPHYGLLVLNVISYKSTHFPAWMTDISMLPNLTELKLEGCTMCEEFPQFIQLKSLQVLCLIRLDKLQNLCCEEGRQGKEQTFHLLKRVVIKSCPRFRTLIYDMASTTFPALNNIELHDLEGLDRLVAMGEQQENGPTFPLLEKLVIEKCPKLHTLCYEMASTTFPALKEIKLHGLEGLERLVETDGRLKNESTFPLLEEADIKNCPKLRTLPEATKLKIIRLIENKPNLSWSLLQSRCMSSLSKLTMGVDDKEGTVQLDQIHESSLSELGLRHCNILFPTSPSQPIIMFWKWLGQLVTLDICSCDVLIYWPEEEFLCLVSLKTLLIMNCHKLIGRPTLVKGEPTCCARDQLLPRLTSLLTWSCDSLRELFVLPPSLTDIAIGSCSNPEFIWGKGDTESESVQVDHHNKFRSSKCNDHACTSLPEQSSSAADHPPPFLEVLDIHICLKMVALENLPSSLKHLSIYSCPEINSVSGQLYALNDLSIRHCNKLESLNRLGNLPSLETLHLQSCKRLASLPCGLVSNYSSLSRITVRYCPALNTKPLYQRLQTRLDSLEERDLSDAGTRDPDEAFTPFPALKKIKLYDLEGLERLVENESTFPLLEKADIRNCPKLRSLPEAPKLKIFTLSENKAELSLFLLQSSYMSSLSKLILDVDDKEGTVQLDQIHESSLSELDLKHCNFFFPTSPSQPIIMFWKCLRQLVCLEIWFCDVLIYWPEEEFLCLVSLKTLGIEVCDKLIGRPTLVKGEPPCCARDQPLPRLTSLEICNCDSLRELFALPPSLTYVSIHFCPKLEFIWGKGDIVSESVQVDHQNTFTSSKHCNYRACTSLPKQSPSAADHPLPCLESLCVRICPKMVALENLPSSLKMLIIYLCPELHSVSGHLYALEYLSIYSCNKLESLNRLGDLPSVETLNLMSCKRLASLPCGLGSYSSLSSITIRYCPALNTKQLYERLQTRSDCLEKRDLSHARARDPEEATMAEYLVGPLLSKVLEKASSFLVDMYKVMDGMEDQRETLERLLPAILDVIQDAEEKKNHRSGLVCAWLKSLKKVSYEAIDVFDEFKYESLRREAKKKGHHNHTMLGMDSVSLFPSRNPIVFRYRMGKKLRKIVEKIKELVSEMNSFGLVCQEETPKQWRKIDSIMVDSDKDIVSRSRDEEKKKIIKILLDKANSRDLTVLPIVGMGGLGKTTFAQLIYNDPEIEKHFPLRRWCCVSDVFDVVAIANSICMSRERDHEKALQNLQKKVGGKRYLIVLDDVRERNSDKWGKLMTCLKKGGMGSAVLTTTRDAEVARIMVTGEVEVHNLEKLGEIYVKEIIQSRALTLPNNDEYFEVLCKIVKRCDGSSLGAKAFGSMLSTRTTIQEWKDVLAKSSICNEGEDKIVPILRLSYDDLPSYMKQCFSLCAIFPKDYEIDVETLIQLWLAHDFIPLQGEDHLETIAENIFKELAWRSFFQDVNKISQRGENAYRRQLRDRTTCKIHDLMHDISQSVMGKECVSIICSSNFRNLMLEHPLYHVFIPYTSIALPDDFMGNEAPALRTLLFREYYGNVSTSHLFKCNSLQLRALELPRVEELPIRPRHLQHLRYLNLSDNSNIHELPADISTMYNLQTLNLSDCYNLVRLPKDMKYMTSLRHLYTNGCSKLKCMPPDLGQLTSLQTLTYFIVGTSASCSTLREVHSLNLSGELELRGLENVSQEQAKAANLGRKEKLTHLSLEWNGEYHAEEPDYPEKVLDALKPHHGLHMLKVVSYKSTNFPTWMTDLSVLENLTELHLEGCTMCEEFPQFNHFKSLQVLYLIKLEKLQSLCCEEAREKEQIFPALKEVKLIDLERFESWVETEGKRGNKPTFPLLEEVEISNCPKLSSLPEAPKLKVLKLNENKAELSLPLLKSRYMSQLSKLKLDVLDKEAILQLDQIHESSLSNMELRHCNFFFPTIPSEPIIGIWKWFRQLVYLEINSSDVLIYWPEEEFLCLVSLKMLTIFGCVNLIGRTTLVKGEPTRCATDQFLPCLTSLSICCCDNLRELFVLPRSVTHIHVSGCRNFEFIWGKGDIESEGVHVEHHDTFTLPEHCNDLEYRSVPEQSPSAVNHPLPCLERIHVSLSDKIVELQNLPPSLTSLEFHSCPELRSVSGQLHDLKFLDIRRCNKLESLNCLGDLPSLERLCLVSCKLLASLPCGPESYSSLSTIAIRYCPAMNMKPLYERLRPRLDILKERDLSHAHAKCPYGEHKRPTLWDPKSWKYAIPGCRWLQV >OGLUM10G01550.1 pep chromosome:ALNU02000000:10:2213995:2220313:-1 gene:OGLUM10G01550 transcript:OGLUM10G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTRRHPLHDAIDAARWDAEPPLGRLVVVAHAASLHASFVPYSAGGRLSASRRPLPDEISATASSLSLLYTVPDLLRQTTTQRRRSRAETAVLRLCAHGDHVVFYGHWACIDALRIAPVHLSGGLDATAHALAADGDGLWKKLTGGLARRLFVDMCRKNSRHLPPRLTSLPPDLQEDILRRLAVEDIAAVYFTCTGLRDLIAGSEAAGSDEADDRAFPGEEVQGSRWRRGRWPAAASDGGARPEDGRQRRRIFTSEMDASLIRLRWPRNASSLNSVIQVFTWMSGLVLTDEVRHTLLKLHTL >OGLUM10G01570.1 pep chromosome:ALNU02000000:10:2219567:2220028:1 gene:OGLUM10G01570 transcript:OGLUM10G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDNPGSTRNSSTAPQTGIVVQHLAAGNEVAEPRAGEVHRRDVLHGEPSQDVLLQVRWQRGQARRQVPAVLPAHVHEEPPGQPAGELLPEAVAIGGQRVRRRVQATGEVDGRDAERVDARPVPGCLESLDLVGVAGEVPVEDDVVTVRA >OGLUM10G01580.1 pep chromosome:ALNU02000000:10:2228774:2232995:1 gene:OGLUM10G01580 transcript:OGLUM10G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNDVHSRILFCKRIFSTYEDGCPDILQEVSTDILKKCGGLPLAIISISGLLSNRPVIKEEWEKVKKSIGFALDNNQSLEGMKSILSLSYNELPNYLKTCLLYLSIFPEDYIFEREMILWRWIAEGFISEDCGMNLEDVAESYFYELINKSLVQPLDISFDGKARACRIHDIMLELISSKAREENFVTLLGGQTRKTNSHHYVRRLSIQDTNNHLTTLLLNKDLCHVRSLTCFGGNINFLPKLARFEALRVLDFQGNVDLQKYDLENIDKLFQLKYLRLSGRIMSCIPTQLAKLQNLLTLDLRNTNVRELPPEICRLTKLLHLLGSFLKLPDGIGNMKYLQVLTGIYISCSSASAVAELGELTNLKNLKIRLPYKATKPTEEMLLASLSKFSSYKLQYLHISGGWSYEFLDHWFPDPCFLRLFRMTSNYCFPQLPKWVKPSLTNIAYLDINLDEIKDEDLERLGELATLLCLTISLKSDPKELLIVQSIGFQYLKEFVLICNCRAGGAYLRFEKGAMPKLEKLELPSHVLMAKSHGFYFGINNLLCLKEAVVRIYNVGANHSDSEAAVAAIRREANENPNHPRLAIKEAYISKKASGDNKDAEAEQGSVTGN >OGLUM10G01580.2 pep chromosome:ALNU02000000:10:2228774:2233693:1 gene:OGLUM10G01580 transcript:OGLUM10G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLNDVHSRILFCKRIFSTYEDGCPDILQEVSTDILKKCGGLPLAIISISGLLSNRPVIKEEWEKVKKSIGFALDNNQSLEGMKSILSLSYNELPNYLKTCLLYLSIFPEDYIFEREMILWRWIAEGFISEDCGMNLEDVAESYFYELINKSLVQPLDISFDGKARACRIHDIMLELISSKAREENFVTLLGGQTRKTNSHHYVRRLSIQDTNNHLTTLLLNKDLCHVRSLTCFGGNINFLPKLARFEALRVLDFQGNVDLQKYDLENIDKLFQLKYLRLSGRIMSCIPTQLAKLQNLLTLDLRNTNVRELPPEICRLTKLLHLLGSFLKLPDGIGNMKYLQVLTGIYISCSSASAVAELGELTNLKNLKIRLPYKATKPTEEMLLASLSKFSSYKLQYLHISGGWSYEFLDHWFPDPCFLRLFRMTSNYCFPQLPKWVKPSLTNIAYLDINLDEIKDEDLERLGELATLLCLTISLKSDPKELLIVQSIGFQYLKEFVLICNCRAGGAYLRFEKGAMPKLEKLELPSHVLMAKSHGFYFGINNLLCLKEAVVRIYNVGANHSDSEAAVAAIRREANENPNHPRLAIKEAYISKKASGDNKDAEAEQGSVTGN >OGLUM10G01580.3 pep chromosome:ALNU02000000:10:2228759:2230243:1 gene:OGLUM10G01580 transcript:OGLUM10G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVEARKESDGDLLPALIDLAAPSPILRLCHMDAVASVSHGALGPLLGKLNTLLVDEDDQHAAALHKYASLEDPDIQVKAWIAKLRELAYDIEDCIDKFMHQLGANELQHGTNIIKNFFKQSAQRLKTLGLRHKIAGEIEELKARVISVRDQKNNYKLDDIFSTSSNNSASIDPRLAALFAEENHLVGIDGPRDELVNWLDAESKSLKLRKVLTIVGFGGLGKTTLANEVAFPENDCSSTTIVTTRVSDVGRSCC >OGLUM10G01590.1 pep chromosome:ALNU02000000:10:2228784:2234456:-1 gene:OGLUM10G01590 transcript:OGLUM10G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRHQVHDAIDAARWDAEPPLGCLVVVAHAAFLHTGFVPYSAGGSSSASHCPLPDEIGATASSLSLRYTVPDLLRQTTTQRRRSRAETALLRLCAHGDHVVFYGYLTGDANQVQRLQTTRHWACIDALRIAPVLLSGGLDTTAHALAADGAGLWKKLAGGLARRLFVDMCRKNSRHLPPRLTTLPADLQEDILRRLAVEDIAAVYFTCTGLRDLIAGSEVLNNDFQFGELWMNFAWSQGYLRRRLPSPARVVIGRRSRTTSFVAGDGEDRCRRRDPTKQMIERFLEKRRRSKVLAGGGDGGRRRPVTAARSSGGRHLLYNKVD >OGLUM10G01600.1 pep chromosome:ALNU02000000:10:2246882:2250746:1 gene:OGLUM10G01600 transcript:OGLUM10G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCSITIIIQLLFCYMLCRPCYGTLSDIQCLKRLKESVDPNNKLEWTFTNTTEGSICGFNGVECWHPNENKILSLHLGSMGLKGHFPDGLENCSSMTSLDLSSNSLSGPIPADISKQLPFITNLDLSYNSFSGEIPESLANCTYLNIVNLQNNKLTGAIPGQLGILSRLSQFNVANNQLSGPIPSSFGKFASSNFANQDLCGRPLSNDCTATSSSRTGVIIGSAVGGAVIMFIIVGVILFIFLRKMPAKKKEKDLEENKWAKNIKSAKGAKVSMFEKSVAKMKLNDLMKATGDFTKDNIIGSGRSGTMYKATLPDGSFLAIKRLQDTQHSESQFASEMSTLGSVRQRNLLPLLGYCIAKKERLLVYKYMPKGSLYDQLHQQTSEKKALEWPLRLKIAIGSAKGLAWLHHSCNPRILHRNISSKCILLDDDYDPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGVVLLELVTGEEPTQVKNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDHDAELLQFMKVACSCVLSAPKERPTMFEVYQLMRAIGEKYHFSAADDELTMQPQNAEAEKLDELIVAN >OGLUM10G01610.1 pep chromosome:ALNU02000000:10:2253501:2257982:-1 gene:OGLUM10G01610 transcript:OGLUM10G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAAGEGGADEMDKAAGGSGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKDAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHPQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFPFPCAASHHAV >OGLUM10G01610.2 pep chromosome:ALNU02000000:10:2253503:2257662:-1 gene:OGLUM10G01610 transcript:OGLUM10G01610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAAGEGGADEMDKAAGGSGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKDAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHPQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFPFPCAASHHAV >OGLUM10G01610.3 pep chromosome:ALNU02000000:10:2253503:2255907:-1 gene:OGLUM10G01610 transcript:OGLUM10G01610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGSCAWQRKGAAGEWLHGKWEKACINKIVWTLVKTIYVKNLPENASKEKIKEIFEKHGEVTKVVLPPAKDGHKRDFGFVHFAERSSALKAVKGSEKYEFDGQVLEVSMAKPLGDKKPDHSFKPAGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNSLVEFPLHPQCDAVTGGMVAAEVVKGVMAGDIALTSFSFFPFPCAASHHAV >OGLUM10G01610.4 pep chromosome:ALNU02000000:10:2256054:2257662:-1 gene:OGLUM10G01610 transcript:OGLUM10G01610.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRQQSEEPEEQVDLEGDDDNDVMDDDEDGYRRRRRREDSDEPDDDEEDPEVEGDGHGDAGTAAAGEGGADEMDKAAGGSGGGGDGPEDDDEKRKWDELLALPPQGSEVFIGGLPRDTTEEDLRELCDSFGEIYEVRLMKDKETKENKGFAFVNFTAKDAAQRAIEELHDKEHKGRTLRCSLSQAKHRLFVGNVNTLPTPMLYGWNACMLGASQTVPILTINVPHAPQLLHAPRPTAIFPLAA >OGLUM10G01620.1 pep chromosome:ALNU02000000:10:2259036:2259552:1 gene:OGLUM10G01620 transcript:OGLUM10G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQLQLIILMASMYYVDDVDFSPHQAIPKESMNFKDDVKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRHVESSMDDYKSKKVGEGHGEKRKVGEGYDPRWSSPIEITMKICNDDVSNEATERTMI >OGLUM10G01630.1 pep chromosome:ALNU02000000:10:2261697:2263421:-1 gene:OGLUM10G01630 transcript:OGLUM10G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVMVVVVVGVVLALALAAAAMAAEYSVVDYGARAGGRADATGAFLAAWAAACGDDGERPVMRVPAGTFLVGRAYFRGPCRSAGGVVLAIDGTVVAPPAVGNASWITFHYAHGLAIRGGTLDGNGHAFWACKAAAGRRCPPGTTTLDISQSNNVSVKRVTLVDSKNVHVSIFDCAGVTLQGVRIAAPADSPNTDGIHVALSRDVAVLGATVRTGDDCVSVGPGTSGVAIRNIRCGPGHGISIGSLGGRAGEGEVRNVTVESASLAGTQNGLRIKTWGKPFAGRVSGVRFANVAMRDVQNPIVVDQNYCPGNVNCPGQSSGVKISDVEYEGITGTSATAVAVRFDCSRSNPCTGIRLRNINLTYDGGGGGGGKKPARSFCKNAGGSASGVVIPPSCL >OGLUM10G01640.1 pep chromosome:ALNU02000000:10:2289668:2290415:1 gene:OGLUM10G01640 transcript:OGLUM10G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGEELELLRAKLVVAKKEEAGKKRVAVEELRRRVDCGRDELQRLRGLTGQQHADNLTGEERKEGDRERRGNLVKPCKKVSLLLCPIQVALSTAAWLSWLATAARRRSTVVALLCFMLDVRVAVPDGDMAVHGGGAMLGVGATVAGSSSVEATGGGIGSEGGGTGSAGDGMVVTGGEEAASGESTITGGGEEATVAGP >OGLUM10G01650.1 pep chromosome:ALNU02000000:10:2292100:2295628:-1 gene:OGLUM10G01650 transcript:OGLUM10G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSGTGTNLSENVVETIDRQLLEAAKSGDSTTLKDMAAREAGVLLRTTKNGSNCLHIACIHELLRCCSEFGLGDVILKQDGSGCNALHHAIRCSHRDLALELIAKEPALSRAVNKDNESPMFIAMMRVFSDIFEKLLDVPDSSDEGCKGFNALHAAVRSGNAGYIVSDNDTATDPLLVSAGIRGHIGIAQEILIHCPDAPYCTKTGWTCLHGALSADQVEFVKFILSTPQLQKLTGMRDSHGKTALHVAVHKGNPKMVAALLSHRGTDVTMLDNNGNPPSWQLWRVRGNAKTLNWNEVSLLMLEADPQDASFMHNLHMEAKEKVTNESRKDVKALTERYTSNTSLVAILVATITFAAAFTLPGGYSADAGSEGLPVMAKKVAFQAFLISDTLAMCSSFAVAFICIIAKWEDLQFLLHYRSITKKLMWFSYMATSTAFATGLYTVLVGRLRWLAIVICLVPVLLPVLTKLIGEWPVLKLRFRLGKTYKSDFLNMV >OGLUM10G01660.1 pep chromosome:ALNU02000000:10:2300180:2300893:-1 gene:OGLUM10G01660 transcript:OGLUM10G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWFRRLEATATACYREEAATTAIPPDASVMIIRGRGDGEKVTVSRRQRHFGKGWDGGMPAASIGDIVEERVDRLVQRQQETFSSSCGGQFEFCLRTAAASSFLQFQFSPVQVPITALSRVFLSVSSMFVVNRKHEAWEICLTPVQVQNSPSGV >OGLUM10G01660.2 pep chromosome:ALNU02000000:10:2300180:2300893:-1 gene:OGLUM10G01660 transcript:OGLUM10G01660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARWFRRLEATATACYREEAATTAIPPDASVMIIRGRGDGEKVERRGVVKPVYKTHQGSTGVGGVATGPRVGWEPPMVTVSRRQRHFGKGWDGGMPAASIGDIVEERVDRLVQRQQETFSSSCGGQFEFCLRTAAASSFLQFQFSPVQVPITALSRVFLSVSSMFVVNRKHEAWEICLTPVQVQNSPSGV >OGLUM10G01670.1 pep chromosome:ALNU02000000:10:2305963:2306844:-1 gene:OGLUM10G01670 transcript:OGLUM10G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVLEVSMAKPLGDKKPDHSFKPAGAPNFSLPPYGGYMGDPYGAYGGGGPGFNQMTSTSWNEDGPNGNSLVEFLLHPQCDAVTGGTVAAEVVKGVMAGYIALTIFFRSLVPPAIMQCDQ >OGLUM10G01670.2 pep chromosome:ALNU02000000:10:2305961:2306530:-1 gene:OGLUM10G01670 transcript:OGLUM10G01670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGDKKPDHSFKPAGAPNFSLPPYGGYMGDPYGAYGGGGPGFNQMTSTSWNEDGPNGNSLVEFLLHPQCDAVTGGTVAAEVVKGVMAGYIALTIFFRSLVPPAIMQCDQ >OGLUM10G01680.1 pep chromosome:ALNU02000000:10:2308663:2309904:-1 gene:OGLUM10G01680 transcript:OGLUM10G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKLKMLTEAMYKGYHVFDTYGPLELIKEAGEVSDSYALDFHYVRRFHLSGGTIVSREVKSSLENLETVLDNLKEFVSLLNGCERIFRNPYSTYLYIDNFMFGRQVERQQIMSILMLDDHPKIPAVLPIIGGCRVGKKTLVWSSDERIRSHFSTILHFGGDDIKKFDERKVMPLKTLITVEFISDISDCEWLNFYSLVASSGNGSKVIIISRLEKLARFGTVNPIELRNFSHEEYSYLFNVLAFGSSNPLDHPRLAIIGKEIARTLQGSLVAINIYANVLRNNFSVPFWIRVLNLYRGMMESNLSLYGEHPKSLLQKDGTIIDITAFCPSLATNSLRITLLTGEKFKYDNKRELPVMGFGDIIAGSVTMPMKFQLVWESRLAPYTVISATCGAEELLSTTSTRKKRKIVCTS >OGLUM10G01690.1 pep chromosome:ALNU02000000:10:2312369:2320924:-1 gene:OGLUM10G01690 transcript:OGLUM10G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARAADGGGVATAAGGSREGRLEKGGRRRDPGKKGEAEKPRDKGERPLANDDVSLADEVAAQELLHARTSITSFMRGGADTRLVARAQRDQLPLLWSVYY >OGLUM10G01700.1 pep chromosome:ALNU02000000:10:2320960:2321205:1 gene:OGLUM10G01700 transcript:OGLUM10G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQERRGEGGSGEGGSRHQQRGEGGGRERIRCLLLPPHWRWRPRGGMVAVRRLAQATAVLGRGGSCAGGSRECGLSVGVLP >OGLUM10G01710.1 pep chromosome:ALNU02000000:10:2321956:2325666:1 gene:OGLUM10G01710 transcript:OGLUM10G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIFTAHRRQAELVAPARPTPHEHKPLSDIDSQRGLELYAAGVEFFRRRHHAAAVFSGGDDDNSGDPVGIIRAALAEALVSFYPLAGRIRELPAAGGGGGGGGKLVVECTAEGAVFVEADADVRLQELGHGQPLGPPYPCVEELLCSNDLVGEPDVVVGKPLIFMQVTRFRNNEGFCIGYHYCHSITDAFGMAQLLHTVCRLARGDDDGESLNDPPRDLLAVAPRRSSPRIRHVVEHPAYDPLPVSSAAAKDVVWTTPREQMVTRYFHLGRTEMAALRAHVLSSATVFELATAVLWRCRAAALGYAAAQRVRVLVMSSARWSWKRNTPLPRGFYGNLLVPQVAEATAGELCGRPLAHAVELVRGRKFAVTDEYMRSMLDMLARRGRPFFNLDWTFVVADAGGLGRSLGVVGRWERAGGGLTPVGQATAASMYSYYERCKIGAGEEAAVVSMCLPAPAMERFVREITGCSNTRSAKSAM >OGLUM10G01720.1 pep chromosome:ALNU02000000:10:2329913:2333751:1 gene:OGLUM10G01720 transcript:OGLUM10G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQSFLSYPAATNDWKNKRTILLTRILQQNVDNLLLFGMTTKHKIVSIKLFPHFFSHEATQSACSGADICPSLIMSLLF >OGLUM10G01730.1 pep chromosome:ALNU02000000:10:2330254:2331687:-1 gene:OGLUM10G01730 transcript:OGLUM10G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVALSAIASDLINRLTSFLMKKYMESTSIDDKMKRLKELLLRVHIVVEEADRRCITNPMMLMQLKMLAESMYRGYYMLDTIKYKSPKDEEVRKLCTMSVSLKRSRTIFDTPGSPADDNELEIVLNNLEAAISNINEFVVLLVGCERVCRRPYDAYLYTDNFMFGRHAEKQQIINILLQNPCQQDGPLVLPIIGGCRVGKKTLVSHVCGDERIRSYFRSILYINGDNMWGMEHTKFKRERTLIVGEFFTDIDEDDWVKFYCTVSQMTDRWSKVIIISRIGKLARFGTVKTVCLNSLSQEEYSYLFKMLAFGSIDEKDHPKMAMVANDLAVVLGGSLITANVVADLLRRNHDFQLWNSVLQRFKEMVKSNLSKYGEHPKDIIEKEHPIDITRFGSSYHTRLHLMPPRVERDDSPNRKKPSLLFRDLIAGCATIPDGDFELVTWESRIPPHTKYVQSAVAFVNGKNGCTTSTRKRRSNA >OGLUM10G01740.1 pep chromosome:ALNU02000000:10:2332144:2333999:-1 gene:OGLUM10G01740 transcript:OGLUM10G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRMLVFSTKFCRDVWNDPSCGYVAKKPEVMSQECCLAGDRHFSPAKPLNFMSHDSTQLMCLSLYAVPQTKRLMIKDGHMSAPEQALCVASWEKK >OGLUM10G01750.1 pep chromosome:ALNU02000000:10:2340364:2341794:-1 gene:OGLUM10G01750 transcript:OGLUM10G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAISAIASDLTSRFMSFLIKKYTVTTVKDDKIKRMNELLLRVHAVVEEADGRCITNPKMLTQFKMLAEIMYRGYYMLDTINYKPPNDEEVRRLSIMSVSLKCSRTIFGTPRNPAIDNELETALNNLEAAISNMNEFVVMLVGCERMCRRPYDTYLYTGNFMFARHAEKQQIINILLQNPCHHSAPLVLPIIGGCRVGKKALISHVCNDKRIRSYFSFILYISGDSMGRIEYAKLKKETSLIVCEYFTDIDEDDWINFYSTISQMTAGGSKVVIISRIENLARFGTVKVVRLNSLSKEVYNYLFKKLAFGSIDEKEDPKMASVANDLAVVLGGSLITANVIADLLRRNHDVHFWLHILQRIEGMVKNNLSKYGEHPKDIIEKEQPVDATRFVSSYPTRLHIMPPRVERDDLPNIGMPTIFFGDLIAGSVAIPNGDFEIVTCKSRIPPYTKYVSSGTSFVDDKNGFKTMRKCRSSS >OGLUM10G01760.1 pep chromosome:ALNU02000000:10:2351268:2360773:1 gene:OGLUM10G01760 transcript:OGLUM10G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCCEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDIIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANVGQITENGNLSARTLVIVEFFSDVDDNDWKTFYSHVTNLSRENKVIILTKIEKLERFGTVKPMTLNRLVYEEYRYLFKTLTFGSTNPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGTHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPKVFLKINLNHLCQGGSIGGHFPSLVKRLEQLLQRVHMVVQEADGRYITNHCMLTHLKTIVAAMYSGYHALDTIKYMKNNEGANDLVNDSSALYFATPLKRSRTTTVCPETKKKLSMQLLGALQNIETVIGDINEFVILLAGCERMSYKPYDAYLYIDNFMFGRHVEKQHLINFLLENNIPGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFSLIFHISGANLSRITENGNISARTLVIVEFISDVDDNDWITFYSSVANLNRGNKVIILTKIQKLERFGTVKPIAVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNIHFWLFALKGVQITVKKYLSMNGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLITGRIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >OGLUM10G01760.2 pep chromosome:ALNU02000000:10:2351268:2360773:1 gene:OGLUM10G01760 transcript:OGLUM10G01760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKSAITGDLTSRLISFLVNKFSDHTCCEEKVTRLEQLLLRVHMVVEEADGRYITNSCMLVHLKMVVTAMYSGYRVLDIIKYMKNKEDTGDLVNDSAALSFATPLKRSRTTVCTARKRIFSSELQCALEKLESVVGDMNEFVILLAGCERISQKPYDTYIYIDNFMFGRHVEKQHLINFLLENNIAGPPPVLPIIGGRGVGKRTLVTHVCNDERVSSQFNFILHINGANVGQITENGNLSARTLVIVEFFSDVDDNDWKTFYSHVTNLSRENKVIILTKIEKLERFGTVKPMTLNRLVYEEYRYLFKTLTFGSTNPVDHPQLIPIVEEFAELLEGRLIPANILADVLRKNLNVHFWLCKLRQVQITAKKNMTMSGTHPHKLFDQGHPAHFTGYILSPPTIITPNVPQNDLPQLRFGDLLTGHIFPPKGDFKLISWESRILPYTSFVHTARFCLSDLTSRLISFLMNKCFDTLYSDEKVKRLEQLLQRVHMVVQEADGRYITNHCMLTHLKTIVAAMYSGYHALDTIKYMKNNEGANDLVNDSSALYFATPLKRSRTTTVCPETKKKLSMQLLGALQNIETVIGDINEFVILLAGCERMSYKPYDAYLYIDNFMFGRHVEKQHLINFLLENNIPGPPAVIPVIGGHKVGKRTLVTHVCNDERIRSHFSLIFHISGANLSRITENGNISARTLVIVEFISDVDDNDWITFYSSVANLNRGNKVIILTKIQKLERFGTVKPIAVDRLVYEEYRYLFKTLAFGSANPMDHPQLVPIVEEFAMLLRGRLIQANILADVLRKNLNIHFWLFALKGVQITVKKYLSMNGTHPHELFDQGHPVHLTDYVLYPADTTENAPNNDLPKLTFGDLITGRIFPPKGNFNLVSWESRIPPYTSFVHMARFSPSLAEDKPESHLSGRKRPRSWEALASAGIIVIIPALATTRRIKPPLLALVLASWAASS >OGLUM10G01770.1 pep chromosome:ALNU02000000:10:2384939:2426401:-1 gene:OGLUM10G01770 transcript:OGLUM10G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISMRPHSQINAGGIATCRCRVSCEACNGFSQRNSMKGRTALRWRVGNPAPAASANVGGPSNKEVLNDELLKEALNDELLNLKVPAGLVVPSSINNCAKTTWWPRYMASASPFSCISIPELVMYRPSASSTTVDTRRISCCSLSSCFSEISWLEYLLRRKEMNRLTSSPVLGE >OGLUM10G01780.1 pep chromosome:ALNU02000000:10:2402872:2408048:1 gene:OGLUM10G01780 transcript:OGLUM10G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYHVLDTIKYAKNNEGANDLVNNSSALSFATPLKRSRTTTICPKTKNKFSMELQGVLKNIETVLGDINEFVILLTGCERMSHRPYDTYLYIDNFIGRGVGKKTLVAHVCNDDRVRSHFFFIFHINGENLGGITENKNLSERTLVIVEFVSDVDDNDWGTFHSSLMSLNRGNKVIILTRIKKLERFGTVRPITLDRMVHEEYRYLLKTLTFGSANPMDYPQLIPIVEEFAVLKGIRFVVKKNLSMSGSHPNELFDQGHPVHLTDYILYPASTSTDSPKNDLPNLTFGDMLAGQNFPPKGDFNLDTTIHFICSHGSLLSEFCSRSSGITVVREEACKDMELAVSAVTGELVSRFISFLLSKYSSHEISEKQLERLQQLILRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGHDKASRYLELHKTLGILETAVDHMAEFVVILGGCERMSRRPYDAYLYIDNFIFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSYEELSYLFKTLAFGSANPRDHPRLLLIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCIGANSTGKDLPRVKFTELLLDPSVRPKGEFNLVSWESRLPPYTSFIHFVPNYAQDLPKDTPLSGRKRQAHHLELPTIEQREGTQN >OGLUM10G01780.2 pep chromosome:ALNU02000000:10:2405022:2408048:1 gene:OGLUM10G01780 transcript:OGLUM10G01780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSKQCERRIDMELAVSAVTGELVSRFISFLLSKYSSHEISEKQLERLQQLILRVSTVVEEADGRYITNSGMLMQLKGLADAMYRGHHVLDMFRCRNKIQENSIKEVSSPFPPLKRFRAIVDAAGHDKASRYLELHKTLGILETAVDHMAEFVVILGGCERMSRRPYDAYLYIDNFIFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSYEELSYLFKTLAFGSANPRDHPRLLLIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCIGANSTGKDLPRVKFTELLLDPSVRPKGEFNLVSWESRLPPYTSFIHFVPNYAQDLPKDTPLSGRKRQAHHLELPTIEQREGTQN >OGLUM10G01780.3 pep chromosome:ALNU02000000:10:2402872:2404082:1 gene:OGLUM10G01780 transcript:OGLUM10G01780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYHVLDTIKYAKNNEGANDLVNNSSALSFATPLKRSRTTTICPKTKNKFSMELQGVLKNIETVLGDINEFVILLTGCERMSHRPYDTYLYIDNFIGRGVGKKTLVAHVCNDDRVRSHFFFIFHINGENLGGITENKNLSERTLVIVEFVSDVDDNDWGTFHSSLMSLNRGNKVIILTRIKKLERFGTVRPITLDRMVHEEYRYLLKTLTFGSANPMDYPQLIPIVEEFAVLKGIRFVVKKNLSMSGSHPNELFDQGHPVHLTDYILYPASTSTDSPKNDLPNLTFGDMLAGQNFPPKGDFNLVSWESRIPPYTSFVHMARFCPSFAQDHLESPLSGRKHARSFSVSYDE >OGLUM10G01780.4 pep chromosome:ALNU02000000:10:2405022:2407898:1 gene:OGLUM10G01780 transcript:OGLUM10G01780.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPYDAYLYIDNFIFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSYEELSYLFKTLAFGSANPRDHPRLLLIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCIGANSTGKDLPRVKFTELLLDPSVRPKGEFNLVSWESRLPPYTSFIHFVPNYAQDLPKDTPLSGRKRRGVPS >OGLUM10G01780.5 pep chromosome:ALNU02000000:10:2406410:2408048:1 gene:OGLUM10G01780 transcript:OGLUM10G01780.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPYDAYLYIDNFIFGRHTEKQRLLNFLLEYNSQGLPPVLPIIGSLAVGKKTLVAHVCADERVQSQFSSILHLNEDDLLRLAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLTQMNQGSKVITISRFRKSEKLGTVKPILLDIHSYEELSYLFKTLAFGSANPRDHPRLLLIAEEFAMQLQLKSSLVAANFLADVLRRNLDVNFWLCMLNRCITVAEKNFSLYGEHTRLLLEQGHRVDITNFDSSPAAPLHIVPCIGANSTGKDLPRVKFTELLLDPSVRPKGEFNLVSWESRLPPYTSFIHFVPNYAQDLPKDTPLSGRKRQAHHLELPTIEQREGTQN >OGLUM10G01790.1 pep chromosome:ALNU02000000:10:2419411:2420862:1 gene:OGLUM10G01790 transcript:OGLUM10G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVSAVTGELFSRFISFLLSKYSSHEISEDKQLERLQQLLLRICTVVEEADGRYITNSGMLMQLKRLADAMYRGHHVLDMLSPFPPLKRFRAIVDAAGKDKARYFEIHRTLEILETAVDHMPEFVVLLGGCERMSRRPYDAYLYIDNFMFGRHTEKQRLLNFLLEYNPPSLPAVLPIVGGHAVGKKTLIAHVCADERVQSQFSSILHLNEDDLLRIAHSDTLLSGKMLVVVEFVSDLNEKNWEEFYTSLAQMNEGSKVITISRFRKSEKLGTVKPILLDIHSYEELTYLFKTLAFGSANPKDHPRLLQVAEEFAMQLQLRGSLISVNICADVLRWNLDVNFWISILNRCITVAEKNFSLYGKHVRSLFEQGHRLDITNFASSSAAPLHIIPFAGHSSALKDLQRVTLRELLLDPSVRPKGDFNLVSWESRLPPYTSFIHFVPNYAQDLPKDTPLSGRKRRGDPS >OGLUM10G01800.1 pep chromosome:ALNU02000000:10:2432204:2438688:1 gene:OGLUM10G01800 transcript:OGLUM10G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLHNLLLKVHMIVEEAEGRYITNSKMLLQFKKIVETMYQGYHILDIIKHRTLCSSRPEEEVSSSNTLSTRTCYVNPFRTSQSYTIRHDQLQSTLDSLETIVSSMTEFVILLGGCERMSPKPYDTYLYFDNFMFGRQVEKQQVISILLQENIPHFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSILHLNSENIREMECETFTERRDLFVIEFTADIDDENWKKFYASCTHMGRGSKIIIISRTERISRFGTVRPIHLNSLPLEEYSYLFKVLAFGSTNPKEHPQLLSIANELSVLLGGSFVTANVCADIFRKNQNVNLWLRVLEKYRNVVKNNFSMFREHPKLLMEKEHQIDISNLVSSSSPLRLMPPHSEEDQSKRCLTKVIFSDFIADSVVIPKENFELVTWESRIPPYRRFVNIVSNYDNEMNFHHTDLPQKKRDFVNRFISFLMKKCASQENLEIKMERLQNLLLKVHMIVEEAEGRYITNSKMLLQYKKIVEAMYQGYHVLDIIKHRTLCSSRHEEESAAIRHDQIQNTLDSLETIVSSMTEFVFLLGGCERMSPKPYDTYLYFDNFMFGRQMEKQQVINILLQENLPHFSPTVLPIIGPTRVGKRTLVAHVYNNEMVRSHFSSILHLNGENIRKTECESFSEKRDLVVVEFTADTDDENWKKFYASCTHMGRGSKIIVVSRIERISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELSVLLSGSFVAANVFGDIFRKNQNVHL >OGLUM10G01810.1 pep chromosome:ALNU02000000:10:2438716:2446387:1 gene:OGLUM10G01810 transcript:OGLUM10G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKNFSEFKEHPKLLLDKEHHIDITKLTSSSSPLHLMPPHCEDVQSKRSLTKVMFSDFIADSVVIPKENFELITWESRIPPYRRFVNIVSNYDDEMNFHHTENLLLKVHMIVEEAEGRHITNSKMLLQFKKIVDAMYQGYHVLDIIKNSILCKSRPEEQVSSANTISAPTCYVNPFRTSQSSTIRHDQLKSTLDSLETIVSSMTEFVYLLGGCERMSLRPYDTYLYFDNFMFGRQVEKQQVINILLQENLPPFAPTVLPIIGPSRVGKRTLVAHVCNNEIVRSHFSSILHLNGENIMKMECETFTERRDLVVVEFTADTDDDNWKKFYASCTNMGRGSKIIIVSRIEKISRFGTVRPIHLNSLSHEEYSYLFKVLAFGSTNPEEHPQLVSIANELTVLLGGSFITANVFADIFRKNQNVHLWLHVLKKFRNTVQKNFLEFREHPKLLLEKEHHIDITKLASSSSQLRLMPPHCEGYGSKRRLTKVMFSDLIADSVVVPKEDFELVAWESRISPYRRI >OGLUM10G01820.1 pep chromosome:ALNU02000000:10:2449057:2453052:-1 gene:OGLUM10G01820 transcript:OGLUM10G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRDSGGGGSGGGRDLNGGGTPCGQVRVLVVGDSGVGKSSLVHLILKGSAIARPPQTIGCAVDVKYIFIEVFPQFQHITYGSPGSSSNSINSIKGDAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGKRVSSGNLVDVARQWVEKQGLLPSSEELPLAESFPGNSGLLTAAKVARYDKEALVKFFRMLIRRRYFSNELPAPSPWSLTPREDTILPVETTNDDDLFQRKSYAGQSYKYSGVTPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSAIPDASSSRTNRADINI >OGLUM10G01830.1 pep chromosome:ALNU02000000:10:2456219:2460475:1 gene:OGLUM10G01830 transcript:OGLUM10G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRPRRLLLTLPDEVLEEIFLRLDALPDLARASAACATFRRLITARAFLRRLHSLHPRPLLGFFKREGPSCEFFPAAPPHSSAAVARGAGAADLTFSFLPATPGGWRLRNIRRSLALLSTRDGGGGCFFPDVVVCDPLHRRYAQIPQIPDDLAAPIRRSGSLPKGFDYLLAPARREEEEEGSSFKVVCRPRLTEECDITVFVFSSGAGIWRAATLGSSPATAISVTSRPRCVHGCVYWLTRFLDRLLILDTDEMELFMFDSFPQSTGVVLNHTIVAIAEAGEGRLGVFNLDVHNVNLLSRAIRGSADEQWRHDKTIPLLPGYSIWRFVNHADVDGYILLGGVLGSGMQSAQELDRPAPLISTGYSVQRVSELINQTMKQDLPRPKGGRSSFGCEDVLIPCKTSCYWLLFAPQV >OGLUM10G01840.1 pep chromosome:ALNU02000000:10:2468113:2480510:1 gene:OGLUM10G01840 transcript:OGLUM10G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSHSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLAVGVEDFDYLVAPAGREGLSFRVICRPHLPMGCDVTVFVFSSSAVIWCAATLHACAATAQLVSPQYAHGYAYWRLTRSSTSRLLLLDTRDMDFFFVNFEPRSVPWQAIGEAGEVGRLVVFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRIVKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGISYHPQFELYRCFPPPLSFSSI >OGLUM10G01840.2 pep chromosome:ALNU02000000:10:2472971:2480510:1 gene:OGLUM10G01840 transcript:OGLUM10G01840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVQSAPAAATAAGMSAASAPAPRRWEGVDQALERMVLRACLDQAPERRRVRDVFKDVQLSIDHCLFKGQYSDIGTKESYDKNSRGVEIFSKCWYPENHRIKAIVCLCHGYGDTCIARKIASAGYGVFALDYPGFGLSEGLHGFIPSFDTLVDDVAEHFTKVKENLEHRGLPSFLFGQSMGGAVALKIHFKQPNEWDGAILVAPMCKIADDVIPPWPVQQVLIFMARLLPKEKLVPQKDLAELAFKEKKKQEQCSYNVIAYKDKPRLRTALEMLRTTKEIESRLEEVSLPIIILHGEGDLVTDPAVSKALYDKAKSSDKTLRLYKDAYHAILEGEPDEAIFQVLDDIISWLDQHSTKKVPSRDMEGWPEEHNTWLHCSPSVTVPYDMYRMTAGQ >OGLUM10G01840.3 pep chromosome:ALNU02000000:10:2465892:2470927:1 gene:OGLUM10G01840 transcript:OGLUM10G01840.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDQLLRKTLRMASLLPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSHSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLAVGVEDFDYLVAPAGREGLSFRVICRPHLPMGCDVTVFVFSSSAVIWCAATLHACAATAQLVSPQYAHGYAYWRLTRSSTSRLLLLDTRDMDFFFVNFEPRSVPWQAIGEAGEVGRLVVFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRIVKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGINASLHRYRFQRLAMRDGSLGMKEVAVSAATAAAGGSMGGVEEEIWELFVG >OGLUM10G01840.4 pep chromosome:ALNU02000000:10:2463942:2470927:1 gene:OGLUM10G01840 transcript:OGLUM10G01840.4 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLLLTILPEELVVEILIRLTDLADLARAASACKPLRRLITSRAFLARLHALHAKPLLGLFLLERDRCGFLPAATAVAAAVARASDFAFSFLPDHAAGWRLRDVRHGLALLSSSSSSSYLSPLGDRGFFPDVVVCDPMRRRHVRVPPIPDDLTAGVRRIAVEHFDYLLAPAGRDGSSFRVVCRPKLPKQCDVTVFVFSSGAAFWRAAVLDACAATEKLFLPQSVHGYVYWRTHSSGTLLMLDTRDMDFFFVNIQTNKCVIGEAEEVGRLAVFNTIVDVGVHKVEILSKAIRGGADEPWRHDRTIPLLPGYKWRTARMAEGYLLLHGIVGNNSWSTPGIQLQHFTLDLKTLKLESLCDSISRGRHHHPQFDFRKTLRMASLLPPRPLLLTVLPQELVVEILIRLDDLADLARAASACRALRRLITSRAFLRRVHALHPRPLLGLLHLEHHGSRCRFLPAEPPHPSAATAAAVARAFDSHSDSSFSFLPGRPGDWRLRDVRHGLAVLSTRHAVTDDGCFSFPDVVVCNPLRRRYARIPPISDDLAAPIRSLAVGVEDFDYLVAPAGREGLSFRVICRPHLPMGCDVTVFVFSSSAVIWCAATLHACAATAQLVSPQYAHGYAYWRLTRSSTSRLLLLDTRDMDFFFVNFEPRSVPWQAIGEAGEVGRLVVFNIAHANHTVELLSRAIRGSADEHWRHDKTIPLLPGYKWRIVKLAEGYLLLQGRILGDGASQFTPGDQLQYFTLDINTFKLERLCASTPQGINASLHRYRFQRLAMRDGSLGMKEVAVSAATAAAGGSMGGVEEEIWELFVG >OGLUM10G01850.1 pep chromosome:ALNU02000000:10:2486649:2488574:-1 gene:OGLUM10G01850 transcript:OGLUM10G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAPALPDEMLVEIFLRLDDPADLARASAACATFRRLISGRAFLRRIRARHAPPLLGLIGHFASPCGRFLPAEPPHCSARAARAVQQGADFAFSFLPAPPAGSRWLPLDVRDGRFLVSTSSAPGGDVVVCDPLHRRYVRIPSIPDGLTSDVRRICRRLHFDYFLAPSAGEEGVDSSFGVVCRAWTRHVSMVAVFVFSSSAGSWRAVTIDGNRPRFTLCRRQYAHGCFYWFTSLRGKLLMLNARDMEISIVEFPQSCFGIGQHAIVEAGEGRLGLFTIGDCNLDLHSKAIGAGGSAGDNEWRHDKTIPLLPRYKWDIINAAEGFLLLKGFPLDHYPPRWIPTEIPCFTLELKTLLLKRLCMLHLSVKLPAAVITSKHMKWTRISQEWGQSRRAASVVNMCLMP >OGLUM10G01860.1 pep chromosome:ALNU02000000:10:2493988:2496774:-1 gene:OGLUM10G01860 transcript:OGLUM10G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLVVKKIGAALGNEVINQASSLYRNLFAQLAELQGSMSRICRELRLMHEFLCRMDVRNRNDQAYEIWVDEVRKLAHGIEDIVDEYLHLVGQRHDKGWSFYLKKGINQPEALRSLNRMVRLIKEAESSLVHLFQVKDRWVPNASTGYANNSGYIVEKSQHLASTSHSICEDLVGIEENRDTLFNWMREDGMACSTIVLHGMGGLGKTAITANVYKHEQEYYDCHAWVSVSQTYSPMELLKKLSVQLFHEENIQSNIGNIDMIDIQEILRRFLEEKKYLIVLDDVWTPEVIIDMSRALVQNFKGSRLLITTRIGNVAEFASEGRVLTLEGLSEGKSWELFCKKAFRREANHECPTELKNLATQMLNKCKGLPLAIVSVGSLMSVREKNPTEWRRIYDQLSWELNNNPGLDHVRNILYLSFIYLPTYLKSCFLYCTLFPEDYILHRKMLLRLWIAEGFIEEKGENTFEDVAEGYLIELVHRNMLQLMECNSFGRIKSCKMHDIVRELAIDLSQKQSFGLAYYECGNRSSTMDTSIRRLAVAKCSNNILSSICLPRLRSCIVFDKAMPSLRIIKSISDKSKYIVVLELRGLAIEKVPDAVGCLFNLRYLGLRYSKVKFLPKSVERLSNLLTLDIFNSYIQELPQGIVKLKSLRHLLVERINDPSWRDFRSRHGVCIPKGLSNFTNLQTLHAIEAQDQTVKDLGELTQLKSLRVWNVKEIHCERLCVSVLKMRFLYHIHIAACDENEVLQLNKLDPPPLSLQKLCLRGRLAEGTLESPLFQTGGQKLRGLFLVWSQLKQDPLPPISRLCNLTQLNLTRAYVGELLIFRSGWFPSLKFLLLRDLPNLHRLEIEEGAVIGIRVLQLRHLDKLMDIPLGIEFLPSLQRLCFVHITEDFLALLNRCSRLKHIQWWYSTHDQPLTKKCTPLN >OGLUM10G01870.1 pep chromosome:ALNU02000000:10:2504926:2511245:-1 gene:OGLUM10G01870 transcript:OGLUM10G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNHSDEELSNLFKTLAFGSANPKDHPLLVQIAEEIAMQMQFIGTLAAANATADALRRNLDVNFWLGRLKMCITLTEKNFSLYGQNPKLLLEQGCRIDITSFAFSPTAPLHIIPLVHLKLVFKLALIGASKMDRRIFASVYSLVTSEWSDAIFTGPVYTIYHFGSPAILFNLETLTLAVTDGNWPETNFSSDCRYCIMRGEDDNVGLTILLYRGFQMWERKVTLGGAAKWVLRKTVKLHDILGLSSAVQREKIDIVGYAEDLNAFILVVDTAFYMVPVDSMQFKKLFDCNVITRCHPFTSFYTAVLVPMDMIPQGVQNSMKNIYIDAAIEGIGAEDKLRLDC >OGLUM10G01870.2 pep chromosome:ALNU02000000:10:2504926:2511245:-1 gene:OGLUM10G01870 transcript:OGLUM10G01870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNNHSDEELSNLFKTLAFGSANPKDHPLLVQIAEEIAMQMQFIGTLAAANATADALRRNLDLVFKLALIGASKMDRRIFASVYSLVTSEWSDAIFTGPVYTIYHFGSPAILVGNALYWLLSFNLETLTLAVTDGNWPETNFSSDCRYCIMRGEDDNVGLTILLYRGFQMWERKVTLGGAAKWVLRKTVKLHDILGLSSAVQREKIDIVGYAEDLNAFILVVDTAFYMVPVDSMQFKKLFDCNVITRCHPFTSFYTAVLVPMDMIPQGVQNSMKNIYIDAAIEGIGAEDKLRLDC >OGLUM10G01870.3 pep chromosome:ALNU02000000:10:2511282:2515571:-1 gene:OGLUM10G01870 transcript:OGLUM10G01870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQRRRQTSPAAPDNDDLIDEILLRLPSQPSSLPRASLVCKQWRRLVSDRGFLHRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFKFTTRELLVWDPVSGARRRVAVPPELAGGEKSVMNGAVLCAAAAAGDDGFRSCHFKVVLIGSSKMDGRIFASVYSSATGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSGHHILEFNLETSTLAVTDGNWPETNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWERKVTLGGAARWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTAFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAGSLSKAMEKQSSNDLEMEHVVSAVTGELVTRFISFLMSKYSRRHEISEEKQLKRLQQFLLRVSMVVEEADGRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIKEDPIKEVSNPFPLKRFCKIVDASGKDKAKFVVLLGGCDRMSGRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPGVQPAVLPIIGALGVGKKTLVAHVCADERVQSQFSSILHLNEGDLLGIAHRHTLLAGNILMVVEFVSDVNEMNWEEFYKSVAQMEGS >OGLUM10G01870.4 pep chromosome:ALNU02000000:10:2511282:2515571:-1 gene:OGLUM10G01870 transcript:OGLUM10G01870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQRRRQTSPAAPDNDDLIDEILLRLPSQPSSLPRASLVCKQWRRLVSDRGFLHRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFKFTTRELLVWDPVSGARRRVAVPPELAGGEKSVMNGAVLCAAAAAGDDGFRSCHFKVVLIGSSKMDGRIFASVYSSATGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSGHHILEFNLETSTLAVTDGNWPETNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWERKVTLGGAARWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTAFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAVTGELVTRFISFLMSKYSRRHEISEEKQLKRLQQFLLRVSMVVEEADGRYITNSGMLMQLKALADAMYRGHHVLDMFRCRTLIKEDPIKEVSNPFPLKRFCKIVDASGKDKAKFVVLLGGCDRMSGRPYDTYLYIDNFMFGRHTEKQRLLNFLLEYNPPGVQPAVLPIIGALGVGKKTLVAHVCADERVQSQFSSILHLNEGDLLGIAHRHTLLAGNILMVVEFVSDVNEMNWEEFYKSVAQMEGS >OGLUM10G01870.5 pep chromosome:ALNU02000000:10:2504924:2515571:-1 gene:OGLUM10G01870 transcript:OGLUM10G01870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRQRRRQTSPAAPDNDDLIDEILLRLPSQPSSLPRASLVCKQWRRLVSDRGFLHRFRARHRDPPLLGVFKDELHHPVFRSVLDPPDLIPPDRFALRLDDYRAASLLGCRHGLVLIFKFTTRELLVWDPVSGARRRVAVPPELAGGEKSVMNGAVLCAAAAAGDDGFRSCHFKVVLIGSSKMDGRIFASVYSSATGEWGDAIFTGPVSTIYHFGSPAILVGNALYWLLSVSGHHILEFNLETSTLAVTDGNWPETNFSSDCRYCIMRGEDDNVGLAILSYRGFQMWERKVTLGGAARWVLRKTVKLHDILGLSSAVQREKIDIVGYVEDTNAFILVVDTAFYMFQVDSMQSKKLFDCNVITRCHPFTSFYTAVLVPMDMIPQGVQNSMKNIYIDAAIEGIGAEDKLRLDC >OGLUM10G01880.1 pep chromosome:ALNU02000000:10:2522227:2522754:1 gene:OGLUM10G01880 transcript:OGLUM10G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRRRQRPTSPAPPLDNDDLLSEILLRLPAQPSSLPRASLICTRWRRLVSDPGFHRRFRARHRKPPLLGFFSQYALEPIFTPALDPPDRIPASRVCWRPPGDWGDDCEFLGFRHGRAVLFNLIHRSLMMLWDPVTGNRRAVEIPAASLGERIFHGAIRCVDGEWGHVHGDCHSS >OGLUM10G01890.1 pep chromosome:ALNU02000000:10:2522757:2530901:1 gene:OGLUM10G01890 transcript:OGLUM10G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGDEPNAFVCVYSSETGNWGNVISTAFSFGDLVYHSDILVGNSFCWLLQWGSRNTILQFDFEWQRLAQIDVPPLDMHTHWDERCRIVPAEDGGLVFLVLTDFSLDLWKNKEKILKLIYNFKNFSKNKTNCDDVAGWVLERTIQLDKLLSVEPRPRITSPCLGFVEEHNMLIVSTRIGGFLFHLESMQFKKLPQTIGMGYYYPFCSFDTKGNFFPCVFTLYI >OGLUM10G01900.1 pep chromosome:ALNU02000000:10:2535907:2538892:-1 gene:OGLUM10G01900 transcript:OGLUM10G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVLLLLSLMPLAAGELLAQLCGNGGNYTANGTYQSNLARLAAALPSNASSSPDHFATATAGQAPDAAHALALCRGDVSNATACGDCVAASFQDARRTCPSDESATIFYDDCLLRFAGDDFLAAPNITENATLFQAWNQQNITGEAAVAAANVRELLTVTARTAAAAARRFATGFMDGSSESRQTLYSLAQCTPDLAAGDCLACLQRLIAMVNSTTSVRLGGRVLLLRCNLRFEAFVFYAGEPTRRVSPPGSTPAPDSIAPTKNRKKSKSWVIAAIAAPVASVVLCFIVCYYYRWSRRFRKDRVRLRERRSGRFQGGDELICEMEGEISEFSVFEFREVIKATDNFSEENKLGEGGFGPVYKGLFSEGLEIAVKRLASHSGQGFLEFKNEVQLIAKLQHRNLVRLLGCCSQGEEKILVYEYLPNKSLDFYIFDERKKDLLDWNKRLVIIEGIAQGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFGSNSNEGTTRRVVGTYGYMAPEYSSEGLFSPKSDVFSFGVIILEIISGKRNASLDQCEDFINLLGYAWKLWSEERWLELLDASLITNWQSSCMLRCINIALLCVQENAVDRPTMSDVVAMLSSESMVLDEPKHPAYFHVRVTKNDESSTVGTCSTINDDVTTNY >OGLUM10G01910.1 pep chromosome:ALNU02000000:10:2543546:2548297:1 gene:OGLUM10G01910 transcript:OGLUM10G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVVGFLLILGLMPMPFEVIMAAADDGGGVFCDNLRLVSATLPNKTSSSPHHYATAAAGQAPDVVYVLALCRGDLNDTACGESVAYTFARLINESCDANYTAGAYYGDCTGVYSFQNFLDPSNATENEEPFERWNVNNITGDTENVLFVAGLIQQLLSETVETAAGAAGRFATGVVDTGRTFPLVYSLAQCTPDLSAGDCLACLRRLTGMINSTMAVRMGAQIHVTRCYFRYEAYVFYDSKPMLHLTGAPAPPPAPAIPKRHKSKLWVIPIVVTPVVAFFCFIVYCGWRRRHRKGIMGLQARRTENLQGDEELVWDLEGKSPEFSVFEFDQGQFSDGTEIAVKRLASHSGQGFIEFKNEVQLIAKLQHRNLVRLLGCCSHGEEKILVYEFLPNKSLDLFIFDENKRALLDWYNRLEIIEGIAHGLLYLHKHSRLSVIHRDLKPSNILLDSEMNPKISDFGLARIFSSNNTEGNKTRRVVGTYGYMAPEYASVGLFSIKSDVFSFGVLFLEIISGKKNSGSHHSGDFINLLGFAWSLWGEGRWLELIDESLVSKYPPAENEIMRCINIALLCVQENAADRPTMSDVVAMLSSKTMVLAEPKHPGYFNVRVANEEQSVLTEPCSVNDMTISAISAR >OGLUM10G01920.1 pep chromosome:ALNU02000000:10:2568888:2569895:-1 gene:OGLUM10G01920 transcript:OGLUM10G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNRRRQRPTSPAPLLDNDDLLSEILLRLPPQPSSLPRASLVCKRWRRLVSDHGFLRRFRARHHFSLDLWKNKTNCDDATGWVLERTIQLDKLLSVEPGPRITSPCLGFVEEHNMLFVSTRIGGFLVHLESMQFKKLPQTIGMGYYYPFCSFDTKGNFFPCVFTLYI >OGLUM10G01930.1 pep chromosome:ALNU02000000:10:2577012:2590056:-1 gene:OGLUM10G01930 transcript:OGLUM10G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVFMLQLDTLQAKKVWESCVIAPIHPYASTYVAVYHNIGMGTPKGVHWQVVLGEMSHRRGRATSPAAPVTLPDDDDLLAEILLRLPPLPSSLPRASLVCNRWRRLVTDPAFHRRFRARHHRNPPIIGVFADDFGFPFFRSVMDPPDLIPRERFSMRLCEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRVGIPPEIDGKEKIVWNGAALCAVAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAANYGLRKFGKVSRLLTVIGKLDRQIKY >OGLUM10G01930.2 pep chromosome:ALNU02000000:10:2577012:2590056:-1 gene:OGLUM10G01930 transcript:OGLUM10G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGGEMSHRRGRATSPAAPVTLPDDDDLLAEILLRLPPLPSSLPRASLVCNRWRRLVTDPAFHRRFRARHHRNPPIIGVFADDFGFPFFRSVMDPPDLIPRERFSMRLCEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRVGIPPEIDGKEKIVWNGAALCAVAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAANYGLRKFGKVSRLLTVIGKLDRQIKY >OGLUM10G01930.3 pep chromosome:ALNU02000000:10:2577892:2590056:-1 gene:OGLUM10G01930 transcript:OGLUM10G01930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLRHRRAISLAPPVTLPDDDDLLSEILLHLPPRPSSLPRASLVCKRWRRLVTDPAFHRRFRARHRNPPPLIGVFEDYLGYPFFRSVLDPPDLIPRERFRLRLAEDEGGQWHFYGCRHGRLLLFNRAKNEIVVWVPDTGDHRQVAVPPEIDGKEKIIWNGAVLSAATADDGPFRVVLVGVAGNNTQMFACVYCSESGKWSDLISFDLDKQTLSVIEWPYDSEPYSQTWLTEGDCLGAATLSRSSLQMWERKVCSGGVAKWVLQKTYELKNVLNPEFRLKIGYLTKLGYAQDIKVMFLWADHSVFMLQLDTLQAKKVWESCVIAPIHPYASTYVAVYHNIGMGTPKGVHWQVVLGEMSHRRGRATSPAAPVTLPDDDDLLAEILLRLPPLPSSLPRASLVCNRWRRLVTDPAFHRRFRARHHRNPPIIGVFADDFGFPFFRSVMDPPDLIPRERFSMRLCEDEVRKERMFCGCRHGRVLLLDRKQNEIVLWDPDTGDHRRVGIPPEIDGKEKIVWNGAALCAVAAAADDDGHVHGGFSCCPFNVALVGVASNNTQMFACFYTSETGRWSNLIFTPAPFLVFAFVDPGILVGHSLYWFPTGLGSAILQFDLDRQTLAVIEWPSNPNCYSHYMSQIFLAEGGYLGLVTLSYDSLQIWERKVCSEGVTRWVLQRTAELNKVLELGSGVKTSHLVRLGYAEDVKVMLLCADSSVFMLQIDSLQSRKLWETNIMSSLHPYASTYVAVKAH >OGLUM10G01940.1 pep chromosome:ALNU02000000:10:2595283:2605227:1 gene:OGLUM10G01940 transcript:OGLUM10G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRATQPLTQLARWSTITKQKLKKRGRRELQVYKVGASSSSRSLVFSSTRARAVGLVTDRSIDRERGRSINMGEAAAVVAVNGERYEAVGVDPSMTLLEFLRTRTPFRGPKLGCGEGGCGACAVVVSKYDAAADEVTSFSASSCLTLLGSLHHCAVTTSEGIGNSRDGFHPVQRRLAGFHASQCGFCTPGMCVSIFSALANADRAASAAPPPPPTPPGFSRLTAADAERAVSGNLCRCTGYRPILDACKSFAADVDLEDLGLNSFWKKGERADITKLPAYSCTADVATFPEFLKSEIRSSGGAPAVAVTGDGCWFHPRSIEEFHRLFECNLFDEMSVKIVASNTGSGVYKDQDLHDKYINISQIPELSAINRSSNGIEIGAAVSISKAIEILRSDGGDAVVFRKIADHLGKVASPFVRNTATIGGNIIMAQRMSFPSDIATVLLPAGSTVTIQQVASKRMCLTLEEFLKQPPCDSRTLLISISIPDWCSYDGITFETFRAAPRPFGNAVSYVNSAFLARSSLDAASGSHLIEDVRLAFGAFGSEHAIRASKVEEFLKGKLVSASVILEAVRLLKGVVSPAEGTTHPEYRVSLAVSYLFRFLSSLANGLDDKPENANNVPNGSCTTNGTTNGSAESTVDSFDLPIKSRQEMVFSDEYKPVGKPIKKVGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHIKGVNFRSSLASQKVITVITAKDIPTGGENVGSCFPMLGDEALFADPVAEFAGQNIGVVIAETQKYAYMAARQAVIEYNTENLQPPILTVEDAVQHNSYFQVPPFLEPKPIGDFNQAMSEADHKIIDGEVKLGSQYYFYMETQTALAFPDEDNCITVYCSAQMPEVTQDIVARCLGVPFHNVRIITRRVGGGFGGKAMKATHVATACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKAKYSVGFKSDGKITALHLDLKINAGISPEFSPAIPYAIVGALKKYNWGALAFDIKVCKTNVSSKSAMRAPGDAQGSFIAEAIVEHVASTLSVATNTIRRKNLHDLESLKVFFGDSAAGEASTSSYSLVTIFDRLASTPEYQRRAAMVEQFNGSSRWKKRGISCVPITYSVTLRPSPGKVSILNDGSIAVEVGGVEIGQGLWTKVKQMTAFALGQLCDDGGEGLLDNVRVIQADTLSMIQGGWTAGSTTSETSCEAVRKSCAALVERLKPIKEKAGTLPWKSFIAQASMASVKLTEHAYWTPDPTFTSYMNYGAATSEVEVDVLTGATTILRSDLVYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNADGLVIHDGTWTYKIPTVDTIPKQFNVELINTARHHSRVLSSKASGEPPLLLASSVHCAMREAIRAARREFAAVGGGTGGSDQVTLFQMDVPATMPAVKELCGLDVVERYLESFSATTA >OGLUM10G01950.1 pep chromosome:ALNU02000000:10:2608691:2609474:-1 gene:OGLUM10G01950 transcript:OGLUM10G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTGESVGSGYGRIHRMPWQWLPRLDPPNAPTATPAGGSGGSGGGGSHDSDGSRAWSSSRGNLHVPSKLILEISLPFAKVCLNTIEDQVISPTPEMPATDCQNFIKKG >OGLUM10G01960.1 pep chromosome:ALNU02000000:10:2611128:2615149:1 gene:OGLUM10G01960 transcript:OGLUM10G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPPVQIVAAKDDVPPPYLTDNVVHNLLLCLAPEPAYLAVATAVSTKWRSVVHSEACFGRRFRLDYDGPTPLLGFFSNNAAGPFFTATGAGVVGLAPPEEAVSAGDGSVQHIYDARHGRVLMDGREDKELLVWDPLSRRKDFIPMPPGYFVGEGYGGGALICEADHDAGDDCHAAPYRVVFVYCGSDRPPTTMASVYSSRTNTWGPVATMDARVTFELKQPAVLDYTVYWLVNGRTQIIEFEFDTNSLALFRTPVDLPDFVVFPMEDGRLGYTGMMGPIVRVFAIEDIYEDGDATWTKVTTLHLDAMRPSQSYQQVLDSDTDSDSDDEEEFVLLLAHQFGPKAKKDSKIIPSHPPTIKSDNDEYNHVVIRPRVIGFIEDPNSILVRTELGVFMVDIESNEYEQLSQRIYFTTVYPYESFYTTVGKANFNDPVLIDHENNDEQGLQQLEPLNDTILPDQENIGGGISASGDGDEQ >OGLUM10G01970.1 pep chromosome:ALNU02000000:10:2622502:2624458:-1 gene:OGLUM10G01970 transcript:OGLUM10G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHHSDSDDEMEVVLMLARKFGPKEKKDSNIIPSLPPAIKSDNDKYNHVMIRLRVIGFIDDPNSILVRTELGVFMFDIELNEYEQLSQRINFATIYPYVSFYTTSSTNTICFSHGQ >OGLUM10G01980.1 pep chromosome:ALNU02000000:10:2624739:2627405:1 gene:OGLUM10G01980 transcript:OGLUM10G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVSVDAVDGEQEQQQRRQQEELQLADLPNDALRSILLRLPSEPGYLAVAAAVAKNWRRQVLGSNGSFLRAFRAAHGGVPPLLGFFCNRRNLPCPFFTSTVDAGVVDLSPPAGKQRPFVHDVRHGRVLLDDGEDGQLLVWDPLARRRDIIPTPRCYFTNDDSCGAAIICGCDGLEHVVGASVGGGDCHLAPYRVIVAFNDRPNYRSDEWNHECICTRVWSSETKEWIEVYSMRGSCDFDFMPSALVAGAIHWLVGDTNGVLQFNLITKKLALIQTPLDISEFMLFPTKDGKLGFTGVLGSHIIFFHMDIAGGGIDAADDDGQHTDGNKSH >OGLUM10G01990.1 pep chromosome:ALNU02000000:10:2629001:2649591:-1 gene:OGLUM10G01990 transcript:OGLUM10G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRDLKQSTVLDYAIYWLVEGRTQIIEFELDTNTIALLRTPVDLPDFLIFPMEDGRLGYAGMMGPIIKVFSINVTPQSGTARRGGIDAASAKAIYSPSTFSDLENSTTPAVM >OGLUM10G02000.1 pep chromosome:ALNU02000000:10:2651506:2652621:-1 gene:OGLUM10G02000 transcript:OGLUM10G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHMSPRDLKQPTVLDYAIYWLVEGRTQIIEFESDTNTLALLRTPVDLPDFLVFPMEDGWLGYAGMMGPIIRVFAIKDIYEDDFDSDDEMEVVLMLAQKFGPKEKKDSNIIPSHPAAIKSDNDEYNHIVIRPRVIRFIDDPNSILVRTELGVFMVDIESNEYEQLNQRINFATVYPYMKEWWEIKYMKCSCDFGFMPSTIVA >OGLUM10G02010.1 pep chromosome:ALNU02000000:10:2653121:2655847:-1 gene:OGLUM10G02010 transcript:OGLUM10G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVRVLVIEYIYEDGYNARTQVITLHLDAMRTYDSYHQVPDWDMDSDSDRHLEYRPSYFSNTAVVSTVGGHDELHPHLFRVVFVSSNATTKRSTAFIYNSATFQRIKVATTETSSVIDGQQNVLIGQILYWHLISHGIVVFNLDTNELHEILVPADALDDVHEANLSIVVPKNGGAGLMQCPGTFSSSGRCTTTPLALPPGTCARS >OGLUM10G02020.1 pep chromosome:ALNU02000000:10:2656348:2656747:-1 gene:OGLUM10G02020 transcript:OGLUM10G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLERYSGGPLLSIPRQHSWDSGYLASPWVVEYYVSDSDEGGEEEQGQCCQHCNEVSGSHLPRWSESWSDEDFDQEKDALILVISANLNVIAFIAEANAVLLHAAGREAYTIDIETKHVK >OGLUM10G02030.1 pep chromosome:ALNU02000000:10:2656905:2658549:-1 gene:OGLUM10G02030 transcript:OGLUM10G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIMAFYFKTLVDLLDFVVFPMVDSWLGYANIRVEQAPYRVLVTFNDQPSYCRMSGTYRIHFAQDELYSMRGRRDSDFFMLSTIVVSVVHWLVGDIQSQ >OGLUM10G02040.1 pep chromosome:ALNU02000000:10:2659945:2666995:-1 gene:OGLUM10G02040 transcript:OGLUM10G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAISCCGCPPRRRAGAADDAAAGLTDDILAEIFLRLPPHPAFLRRVSLVSRRFRRVVTSRRFLRRFSDLHGGAPGAPLVGFFSNHNHGPWADTRFIPVGVDGTGDSRRSRCRSRRATAARNPGGVLALGDDAEWHVIGCRGGRVLLLSPTRLRLLVLEPMLGRRQYISAPPAPEHRPAYFSNAAVVSTAGGHDELRLRPHLFRVVFVSSNAATKRSTAFVYNSATFRWTKAAVTEMSSVIDGRPSVLIGQTLYWHLISHGLVAFNLETHELHEILVPADAFDDVHDANLSIVVPRSGGGVVGLAAVSGYILQLWTLRDYTHGASTWDLRKIVVLDALLPLRNARLPPPPQLPASAKPMPLVWLMALDEDENVGYVWTAGGVFAVQLDTMNYHKVLGPVCRGMQFVFPYKSFFLPQEFELETNILALIRTSVDLLDFVVFAMVSWLGYD >OGLUM10G02050.1 pep chromosome:ALNU02000000:10:2670600:2673074:1 gene:OGLUM10G02050 transcript:OGLUM10G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASSPPHSAPDLFGATCSLPLISTSSRSLRAREADAAVGPVLAVSRARRAPPALVLKLLRAWVSLNGLSGWGPTKGRRAGRFAALLQSFDAVVNRLQAPLDATDAAAASLLRDHTALDDGNTRLGARLDRALASNLHQVLRKPTIKSFQWVQATIDFEGTNHGLSMSTRNH >OGLUM10G02060.1 pep chromosome:ALNU02000000:10:2678654:2688626:1 gene:OGLUM10G02060 transcript:OGLUM10G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEMTRRRRRPTSPAPAQPLEDDDLLSEILLRLPPLPSSSLPRASVVCSRWRLIVSDPGFLRRFQSRHRKHPLLGFFKAGFRRVDPTFIPTLDPPDRIPAARFSWRLPGGDDDRYSMFGCRHGLVLLFNWVLHRLMVWDPVTGDRRAVDIPGSFLDGHGRSLVVVFRGAVRCVVDGGCHFEVAILGNHPLQTRLFTCVYSSKTGDWGNVISTEFYSAGYICHHSSALVGNSVYWLFQGDGISILQFDFDTQGLARIDVPPDVHAHVVTYYQIRIMPAQDGGLLLLVLPEFSLNVIRPIVMGVAGWMLEKTIELDRLLSVEPGRRGAAPTILGFVEEHNEVLLCTDIGAFMVNLHSMQFKKLSQTMEPGFYHPFTSFYTKPAPAPPQPLDNDDLLSEILLRLPPQPSSLPRASLVCTRWRRLLSSAAFLRRFRTRHRRPPLLGLFKEDSVYPIFIPTLDSPDRIPPARFSWRVPGGSGEDYHQLFGCRHGRVLHYSRRRRLLMVWDPLTGDRRAVDIPALFHRWDMVVYHGSVRCVDGDGCYSNPFEVAVVGTDTSGTVAFICVYSSKTGNCGDVISSPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELHRLLSFGTGPETWAPVILCFAEEHDAVFLSTHVGFFMVNMQSMQFKNIPQILKGSLYYPFSSFYTKEAAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >OGLUM10G02060.2 pep chromosome:ALNU02000000:10:2678601:2688626:1 gene:OGLUM10G02060 transcript:OGLUM10G02060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDPTDRKPQKMSEAEMTRRRRRPTSPAPAQPLEDDDLLSEILLRLPPLPSSSLPRASVVCSRWRLIVSDPGFLRRFQSRHRKHPLLGFFKAGFRRVDPTFIPTLDPPDRIPAARFSWRLPGGDDDRYSMFGCRHGLVLLFNWVLHRLMVWDPVTGDRRAVDIPGSFLDGHGRSLVVVFRGAVRCVVDGGCHFEVAILGNHPLQTRLFTCVYSSKTGDWGNVISTEFYSAGYICHHSSALVGNSVYWLFQGDGISILQFDFDTQGLARIDVPPDVHAHVVTYYQIRIMPAQDGRRGAAPTILGFVEEHNEVLLCTDIGAFMVNLHSMQFKKLSQTMEPGFYHPFTSFYTKPAPAPPQPLDNDDLLSEILLRLPPQPSSLPRASLVCTRWRRLLSSAAFLRRFRTRHRRPPLLGLFKEDSVYPIFIPTLDSPDRIPPARFSWRVPGGSGEDYHQLFGCRHGRVLHYSRRRRLLMVWDPLTGDRRAVDIPALFHRWDMVVYHGSVRCVDGDGCYSNPFEVAVVGTDTSGTVAFICVYSSKTGNCGDVISSPISPGDYMSFSSILDGDFLYWLLGNHGCPILQFNLVKQTATLVNAPPDLRTNSYGGFHIAPAEDGGGLVILAVTHFSLNVWKGKTNRDGIAGWVLEKTIELHRLLSFGTGPETWAPVILCFAEEHDAVFLSTHVGFFMVNMQSMQFKNIPQILKGSLYYPFSSFYTKEAAELLPPCDMSKKPKVPFAGALPDIEEYGSNIGEKLVATNSGPRHKKKINPKQTFYVGSLQVEIDPN >OGLUM10G02070.1 pep chromosome:ALNU02000000:10:2688715:2692515:-1 gene:OGLUM10G02070 transcript:OGLUM10G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTWLLLLATLLLSTTLLVFLFHGGSSATGGEKRRRLPPGPATVPVLGNLLWATNSGMDIMRAVRRLHARHGPMLGLRMGSRLEVIVADRRLAHAALVESGAAMADRPEFASRALLGLDTATISNSSYGPLWRLFRRNFVAEVAHPARLRQFAPARAAVLEELTDKLRRRQEDAGAGTILETFQYAMFFLLVAMCFGELLDERAVRDIAAAQRDLLLHSSKKLRVFAFLPAITTRLFAGRMKAMIAMRQRLKGMFMPLIDARRARKNLVDDHGDATAPPPPAASATTLPHSYVDTLLNLRINDNGGERALTDDEMVALCSEFLNGGTDTTSTALEWIMAELVKNPTIQDKLHGEIKGAITSNSGKVSEEDVQKMPYLKAVVMEGLRRHPPGHFVLPHAPAEDMELGGYTIPKGTLVNFTVADMGMDGAAWDRPREFLPERFMAGGDGEGVDITGTREIRMMPFGAGRRICPGLGVATLHLEYFVANMVAAFEWRAAEGEAVDVDGEKLECKLIGIVSMVVFSDPI >OGLUM10G02080.1 pep chromosome:ALNU02000000:10:2694885:2695459:1 gene:OGLUM10G02080 transcript:OGLUM10G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRHRAPPRIADSPPLESSQKETQTATTVKGERPDVVRTLCRASVNTTTSPAHATHLQDQGGEVGEHEFNGGGGRAIARGGGKRRSLSSPVGLCMEEDEEPPFSHIATNDVEAEGLLGGGGGGCCARKVAAMKELYEEKDRI >OGLUM10G02090.1 pep chromosome:ALNU02000000:10:2712966:2713340:-1 gene:OGLUM10G02090 transcript:OGLUM10G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYTPTARIRRLVLFLAALSLLSPKPWPHPHGLGGRGLQSLGYPVSDRGNKAPLCPVRRFRLDPICGADRVTYWCCYPEAACAGTRVWHGAGTARVGLAQRPSPGMCYWLFMLDTAIMLVFL >OGLUM10G02100.1 pep chromosome:ALNU02000000:10:2714412:2714785:-1 gene:OGLUM10G02100 transcript:OGLUM10G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEETPLAAAAADDDGGNELSALAILDDDAALGAHPDHRRRILLQRSKQLALVAVDDLQQRPTVAAS >OGLUM10G02110.1 pep chromosome:ALNU02000000:10:2716380:2716961:-1 gene:OGLUM10G02110 transcript:OGLUM10G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVLLIGQVTGGGFDDYFGRRLAVAPPPPPRRSIQGSFTFAAPSPPPFQYTTYEAASLYSSLSLPLHLPYTYYAAAAAASAPATATPLLPRMLPPLPPSATVVRRRIKKPRTPRSGEGQARAPQRRRPLERAAPLPPPAAVAEALDDLEREVTRGFVEDLLHALAPPPSSLPLPTFSLVRAAAAKAAASCAV >OGLUM10G02120.1 pep chromosome:ALNU02000000:10:2717005:2723087:-1 gene:OGLUM10G02120 transcript:OGLUM10G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGVTLAPRYSQRKKERSGRRMSGNRRRERKKERRPVAQAEGEAALTLETPTMWTWWPQHSPSPAVAVAATFPSAAALPTTGSVAVARARLRIRRCHRAIPALPTFGFAAATAGFAAVALPHLRILRRRLPHHRIRRGRRLSTPSSSSERARWAEEQSTTRASERGEAAPHASFHN >OGLUM10G02130.1 pep chromosome:ALNU02000000:10:2735507:2744483:-1 gene:OGLUM10G02130 transcript:OGLUM10G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLLLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >OGLUM10G02130.2 pep chromosome:ALNU02000000:10:2735507:2744483:-1 gene:OGLUM10G02130 transcript:OGLUM10G02130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIISYLLLLVLAGAVAVEAILGAPPAPGTSAAKVPAVLAFGDSIVDTGNNNYLPTIVRSNFPPYGRDFPGGKATGRFSDGKISIDLLELAVVEGCAPEDTPQTMCQINSIHVNSIICMHVWIQQWRAASALGVKEMVPPYLNKSLSTEELKTGVSFASAGSGYDNATCRTMMTPLTVERQLQLFDEYKARLAGAAVPDRALYLLCWGTNDVIQHFTVSDGMTEPEYADFMAARAVAAVRGLVARGARLLVVVGAPPVGCVPAQRIIAGGVRRQCATPRNQVALLYNRKLGQEIGRLNAKLAGVKIVLVDLYNILADVMHRYQALGFKNGKDACCGYIGLAASVLCNFASPLCNDPPQYVFFDSYHPTERAYKLMVDEVIKRYLRFL >OGLUM10G02140.1 pep chromosome:ALNU02000000:10:2747558:2759698:-1 gene:OGLUM10G02140 transcript:OGLUM10G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G66150) TAIR;Acc:AT5G66150] MASSSSALVVVVVAVLALAEAAVAFSGYNTSAGAVAGKLNVHLVPHSHDDVGWLKTIDQYFVGTNNSIQGACVMNTLDSVVDALILDPARKFVFAEQAFFQRWWAEKSPKIQAIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRVIKKQFNKIPRAGWQIDPFGHSAVQGYLLGAELGFDSMHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFGFEIFDDFVPVQDDMLLFDYNLKERVNDFVAAALKQANVTRTNHIMWTMGDDFNYQYAESWFRNMDRLINYVNKDGRVHALYSTPSIYTDAKHASNESWPLKYDDYFPYADAKNAYWTGYFTSRPTFKRYIRMISGYYLAARQLEFLVGRSSLGLFTSSLEDPLGIAQHHDAVSGTAKQHTTDDYSKRLAIGVSQVEKGVNTALSCLTSSKGTCTATKFSQCQLLNISYCPSTEEGISSAKSLVIVVYNPLGWERSDFVRVPVNDANLIVKTSDGTSLESQLVEVDIVTARLRKLYIKAYLGITSDKPPKYWLVFQASVPPLGWNTYFISKSTGTGSNGMGYVSTMVSPSNDTIEIGPGPLKMSYSSKSGQLKRMFNSISAVDLPIQQSFLWYASSTGDSEDSQASGAYIFRPNRTTPTIVSGMAPLKVIHGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPVDDDDDIGKEVVTRLTTNMATNKIFYTDSNGRDFLERVRNHRDDWDLNLTQPVAGNYYPVNQGIYVADGKYELSVLVDHAVGASSIQDGQIEVMLHRRLSADDGRGVGEPLNEVARATYYINVNKKGHGAHWRRTYSQQSLDDGTTLLRLAHLFQAQEDTQYSVMAKVELRKLFGKRIIKDLTETSLSANQKKSEMKKLNWRVTGETKTDPAPLKGGPVDSHALVVELGPMEIRTFLLKF >OGLUM10G02150.1 pep chromosome:ALNU02000000:10:2759743:2763601:-1 gene:OGLUM10G02150 transcript:OGLUM10G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLSSKARNILFPPYVPVDPSCHRSCLFSGRRAALRFGPSGHRPPPRDLLLILILLVETTTPIF >OGLUM10G02160.1 pep chromosome:ALNU02000000:10:2763593:2765347:1 gene:OGLUM10G02160 transcript:OGLUM10G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHARLPTLEAAVRLIRTPQDALKGAGEYIDHALGPAAAVHSLKPPLLAASAVADDLPGYLNVLSRFKEALHFLSDNCGIASQWLTDIVEYLEDRSLAAALAFSHLTTAAATAYSSPASPRRPTNREGGGCTCRTTVVEGAAIGVGPVGVLSEVASQLLLPLPTLFPRGSSRTVGPSGSDWVSELGVRLERDGRPANYFGEETGVQVREDATAQAEQETNVGESTLWSMRRPARKASTEETVAVVAAESVATSAFLRGAAFGGAIWGRVGDRSG >OGLUM10G02170.1 pep chromosome:ALNU02000000:10:2790722:2792815:1 gene:OGLUM10G02170 transcript:OGLUM10G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIDLPENILRDYIPDVDKVKWILDNNHNIIYFTEDDIKRITNNYSTKLGKGAYGEVYKGVIGDNRFVAVKKFIRVDKLDEFAKEVIVHTQVNHKNVVRLVGCCKDENAPMIVFEYAANGNLSDLLYCGDTPISLGTRLSIVIQCAEALGCMHSMYNPIVHCDFKLSNILVDENFHAKISDFGISRILSTDNTNLTMNVRGSIGYMDPTFAREGRLTPKSDVYSFGVVLIELITKTKPTNMEKNVIRRFIQAPQKRKGAKELFDVDIAKESNMKILEGIGKIAKDCIKEDIDKRPEMNDVAARLRELRKTLELGGEKTGWQFFSGGQNDLKKENQHERSNSSSNTVLYRRKSLGIFNWIGATNNFKKNGGHILKDIYNIRIYTNEEILNITQNFSTALSKSSSSDVYLGDIDDNTRVAVKVFTNVSESREEFVLQLIIQSQVQHQNIVKLFGCCLEMDHPISVCEYVPNGALCNYLVVEKGEETGERSLLDMNTRHFIALGVANAIACLHEKWLDTLNDSITSWDILLDGNFCSKLSKPTPNESTIMTTEVVPGNYMYMAPERLFFSRGFITAKADVYSFGQLLLDIVFGIRDTMFWEEVVGRKSFDFVNIVYQEVYLKQRIADCLDPCIIQAEAYDSARSMATAEHMVKTALWCMQFSADHRPSMQKVVEMLQGTIDIDEPPNPSSSNLYDSAS >OGLUM10G02180.1 pep chromosome:ALNU02000000:10:2792834:2793495:1 gene:OGLUM10G02180 transcript:OGLUM10G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSFNYRHNLPYPSSDEGEFKLFLQHHSEMSCMMYIIDDIYRRRMSDAKGLGAGASQYVNSNTQSLKPLQAFLNI >OGLUM10G02190.1 pep chromosome:ALNU02000000:10:2796850:2797331:1 gene:OGLUM10G02190 transcript:OGLUM10G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLGLPENKLRDFIQGADKAKWIAKNNHNIKYFTEDDIKRTTSNYSTSLGMVHSEKYSRIDSEEEFAKEVIVHSQVNHKNVVRLIGCCTEKNAPIMVFEYVSNGTLCENPHGSNVPVYLDKRLGIAIQCAEALEIVLHILPT >OGLUM10G02200.1 pep chromosome:ALNU02000000:10:2812286:2815933:-1 gene:OGLUM10G02200 transcript:OGLUM10G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLELEATVICVDDSEWMRNGDYPPTRLQAQEDAANLVAGTKMASNPENTVGVLAMAGDRVRVLLAPTSDPVKFLACMHGLEASGEANLTATLNIAELVLKNRPDKRLSQRIVVFVGSPVKDEKLETIGKKLKKYNVSLDVVEFGESDDEKPEKLEALVAAVGGSSHIVHIPPGEDLRAVLANTPIITGDEGGGAAAGGASRYEYNVDPNVDPEFAEALRLSEIARQEAAADGASRYEYSVDPNADPELAEAFRFAAGEPSTSNTDTVLLESDSDTYVPFHEFIQNNPFVTGAESASDRPADDERATEEGFPMIREALARSANAAHAEISGNSSSGQELELG >OGLUM10G02210.1 pep chromosome:ALNU02000000:10:2818227:2818502:-1 gene:OGLUM10G02210 transcript:OGLUM10G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGVLELQQAGIELLGTGRGLGSSRRCRAPAAALGEGRQRRAPTAGRYVGDRVGLQPASSGSSRSNGKGQRCSSVVYKMKRIKDYIASEE >OGLUM10G02220.1 pep chromosome:ALNU02000000:10:2824873:2833024:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLAPNWSLQIMLAEGGSKLGFGAVKFLYLKLWVRKSDSDSTASWVMRKRIKLCMFIPPPHPPLRQLEALFDRLIAWSGLLGFTEDGSVAFLQTPNGVIMLQLDTMEFKLVLPMERLHWVYPHSGFYLTATATARRRRRRRTPPLRRYASLPASRPDRPCARAAWTGRGSSPPRVHPRYPPLPSPVSEPYSTLAEGGGRGGLFHRPASRVESNPSYGVFVHLVTNVANVVPSLALSIGCFLVTIIKKKSCAFCFFFKLKSHCPSFLTMANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRALHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGAARGYQ >OGLUM10G02220.2 pep chromosome:ALNU02000000:10:2824873:2833024:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRALHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGAARGYQ >OGLUM10G02220.3 pep chromosome:ALNU02000000:10:2824873:2832155:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLAPNWSLQIMLAEGGSKLGFGAVKFLYLKLWVRKSDSDSTASWVMRKRIKLCMFIPPPHPPLRQLEALFDRLIAWSGLLGFTEDGSVAFLQTPNGVIMLQLDTMEFKLVLPMERLHWVYPHSGFYLTATATARRRRRRRTPPLRRYASLPASRPDRPCARAAWTGRGSSPPRVHPRYPPLPSPVSEPYSTLAEGGGRGGLFHRPASRVESNPSKLQILAIAKYNRGNHHTLPKLPLLIKILGSKLKSHCPSFLTMANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRALHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGADIDMLLLQFVFCSLFNPEHTC >OGLUM10G02220.4 pep chromosome:ALNU02000000:10:2829605:2833024:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRALHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGAARGYQ >OGLUM10G02220.5 pep chromosome:ALNU02000000:10:2824873:2832155:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLAPNWSLQIMLAEGGSKLGFGAVKFLYLKLWVRKSDSDSTASWVMRKRIKLCMFIPPPHPPLRQLEALFDRLIAWSGLLGFTEDGSVAFLQTPNGVIMLQLDTMEFKLVLPMERLHWVYPHSGFYLTATATARRRRRRRTPPLRRYASLPASRPDRPCARAAWTGRGSSPPRVHPRYPPLPSPVSEPYSTLAEGGGRGGLFHRPASRVESNPSYGVFVHLVTNVANVVPSLALSIGCFLVTIIKKKSCAFCFFFKLKSHCPSFLTMANMLPDDALIEILLHLPKHPTCLLRASLVCKHWRYLIRDNKFIKRFRALHQTPPVLGIFTNSTSIPRFLPIGNPPECVTAGAFSLPDPYWHVLGCRHSRVLLISSSWNSLQVWNPMTGNRYAVPVTPDVNPRINYGRVPESHAAVLCAAGHNDHGDCGSCPFFIVWVFTNIGYAYISRYSSEKDTWDMMASSPAPSEVDSRPSILVGNVIYWPLKSKHILAFELSTSRLYHIECPSETHSVYRRNVHIMKAEDGGLGLAAMTGFNLQLWALEIDSGGVTGWVLRKTIELGAVLPLEVPSIPLTDSPLVRRPPVRILGLVEEDDLFFIWTAVGVFAVQLKSLQFKKVFEADVSATFYPYTAFYTTGADIDMLLLQFVFCSLFNPEHTC >OGLUM10G02220.6 pep chromosome:ALNU02000000:10:2824873:2832726:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDGKFAKPRVLCGLLSKISPVPSPFRRRRHRRCPSSPTTYWPRSSTSSRLTPPPSPASPWSASAGAASSPTRPSTAASTPTPAAAELPSSASSTTPSTSPASSPPGEPPTASPPRPSPSAATPAAGSSSAAAAGARSSPRRSRRGASSWSGTHSPASLAPRRRLALRRRRVPPPHPIPRGLRLHRPAPPPLRLRLLLAFRRMGRGGLWEDGDPPRFGFGIRA >OGLUM10G02220.7 pep chromosome:ALNU02000000:10:2824928:2825709:1 gene:OGLUM10G02220 transcript:OGLUM10G02220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVWDPLSGEPCSAAPPCSATPASATAPPHSAWSSPSSTSAAAPAPASTPRFPANGARWSVGRRHLDESISGEKISAIDMKPPVLVGNVLYWLLVENCILEFNMDA >OGLUM10G02230.1 pep chromosome:ALNU02000000:10:2824896:2825438:-1 gene:OGLUM10G02230 transcript:OGLUM10G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGGGTRRRRRARRRRGARLAGEWVPDHEEAPRRERRGEERAPAAAAEEEPAAGVAAEGEGLGGDAVGGSPGGDEAGDVEGVVEEAEEGSSAAAGVGVEAAVEGRVGDEAAPALADQGEAGEGGGVRREDVEDLGQYVVGEDGHRRWRRRRNGLGTGEIFDNKPHNTRGFANLPSNLTI >OGLUM10G02240.1 pep chromosome:ALNU02000000:10:2834657:2840616:1 gene:OGLUM10G02240 transcript:OGLUM10G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase accessory subunit 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B7T9] MRFAVTKVCGGGAKARAGMLQIAGSNIETPALLLSTRKGLPAFVSRDLLASLPLPDSLLLHVCPTHFMEGPPSKTISNVGGLHHMLGLPDHILIAAAGDSIESLPTSEASNKFGASFETPAGRRLVKPSDYMELISCMKPNLWASLADEVPAWVTEKRNKVSVERTLRWLDACIALDEDSGANTLGVVVGGSSIEQRKLCATEVSKRNVSGFWIGGLGLGDSPEERCSILNAAVGCLPPEKPRVVSRLGLPEEVLEGVASGIDLFDSTYIYQLTMGGFALIFPIDMVGKEMQNGSLNNSDGDFTKINLRATTYRKDTSRIVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLCFFRLIRDTIKTGQFDRFRQQFVQDRRAYLAAAVI >OGLUM10G02250.1 pep chromosome:ALNU02000000:10:2836726:2862059:-1 gene:OGLUM10G02250 transcript:OGLUM10G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERINGETPTAPIWAGSRRKERNETDADDTCGHTDKRRVASHGEASYPMAARRLRQRSKATRDRKEVLDLAGGHMAAAGQGGAIEEEVLDLAGSHTAVGCRQRRIGQEALNLTNGHTAAATMWQRILIKVYEIGNHNPARKVQNKRRERQDRVRRRQKSGRKIPPRETNSKGRRHPAPWIEQKAAEGKGSGPYPTTAAAAEEKLSRRRRRAVAWGSGGETEEDIKLIEFKDSQAHNLSQHLMDIEQMIKFCDKIFALEAFKHVATSQITSCGYEDMIRKTQHMMQTTNI >OGLUM10G02260.1 pep chromosome:ALNU02000000:10:2843721:2848486:1 gene:OGLUM10G02260 transcript:OGLUM10G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDLPICIPHMGAHNPRISGRGKCTKTILLCLSSTPPRHSSSPPPAQLLLRRRRRRRVKQFGGGRRWGFVRQPRSLVRSAAELFFAGFLKFQTISCPIESGFLVQGFKALVLNPSLHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQITMINAMYE >OGLUM10G02260.2 pep chromosome:ALNU02000000:10:2843721:2848486:1 gene:OGLUM10G02260 transcript:OGLUM10G02260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDLPICIPHMGAHNPRISGRGKCTKTILLCLSSTPPRHSSSPPPAQLLLRRRRRRRVKQFGGGRRWGFVRQPRSLVRSAAELFFAGKHQQNPASTIVAIDKNLDTSTPDTYRAPPTPLPYDVGLVLKDNPDLEKTGIKRKIHEHKESLMMDDNESLQKCVSEDKPDEEDVCPICLEEYDEENPRSMTKCEHHFHLCCILEWMERSETCPVCDQITMINAMYE >OGLUM10G02270.1 pep chromosome:ALNU02000000:10:2859501:2861623:1 gene:OGLUM10G02270 transcript:OGLUM10G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHTTPPPPPETDEMPPEMDEMPLSDDVLREILLRVPPLPTQLIRAGAVCRRWRRIAAEPGFLRRYRAHHGEPPLLGFFVNPRGREPLFRATLDAPDRVPPERFSLRLHDDTELGGNWYYHGCRHGRLLLLNWKSGIGCRQILIWDPVSGDLIHLSPPPQLDALKGVFFQATVICAATTAAGDHVHGDNCKSNPFKVVLVGTDRSTAFAFVYSSETGDWGDHAAETPVGNCISLGCRCIQIGDFLYWMLFGYDNNILEFNLVNHSLSVVYVPTHIHEDHDGLYPITLQEGTELGLIVMSRSCMQIWQWMIDFDGLPGWLPLEPIYLDNLLHLSAGECVNPTKVLGFSQDYNELFIASSTRIFMVNLESLRFKELCKMDEFLESPDSRPIYAVYPFANLSATGC >OGLUM10G02280.1 pep chromosome:ALNU02000000:10:2880349:2908270:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGGHSSAAAESAPDDVLAEILLRLPPHPSFLSRASLVCKRWRRLARDPGFLRRLRAFHRASPVLGFFHNSPDLPRFVPAEGPPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWADLLVWDPMTGERRCITVPNQIQEGALDLNAAVFCAASGGGYQDCHSSPFHVVVVFTTGHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLASIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGHRCRAMPIWICGFAEDGNVVFIRTYAGVFLVWHDTLKFKKVSSSLLRRTVYSYASFYVPNDMADVGGGDSPQAAESAPDDVLAEILLRLPPHPSSLSSASLVCTRWRRLIRSPTFLRRVRAFHRTPPVLGFFHNSSDAHRFLPAPAATEDAPAPARVAAEASSVGRYGDDDVWWFLDCRHGRALLHSPDRAHFLVWDPMTGERRRVAVPHRMQGVGRATRRYATVFCTAASASHSSSFHVAVVFTSCGRVYASVYSSRVGEWGDIITKQAPFSETQILSVIDWPLEMNTLRQSNMRIVRLEGDVLGVVSIRDMDFILHLWAREVADDGELKWVPRMAIELDKLLPLPTEMEGDQCRVMPVSLCGLSEDGSVVFIQTIDGIFLVWLETLEFKVSCSLPMKHALLPDQSTRHERGAAAAESLPDDVVAEILLRLPPHPSFVSAASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGHDDDGDARMFIDCRHGRALLRRYDWAELLVWDPMTGERRRIAVPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFIGDGRAFACVYSSLTGAQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLESLKFKKMSDPLYMMTVHPYSSFYVPNVCRLFWKERKVTGVHSSPPATATASAAESLPDDVLVEILLRLPPHPSFLSQASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNSGDGLWWLVGCRHGRVLLRSCDWADLLVWDPMTEGFVCFPAPIQMVEADADRDAAVFCAASAGGDGDDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNFGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDLCLHLWVREVADDGAPNWVPRKSVEMDKLLSLPVATEDSSRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEIYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDVMFLWTLSGIFKFCSGSMELNKKDFLHRTLPVTSSAPPPLLPLLTVVVAVTIEKEAFEAVKRTKDLLATFSHL >OGLUM10G02280.2 pep chromosome:ALNU02000000:10:2880349:2908270:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGGHSSAAAESAPDDVLAEILLRLPPHPSFLSRASLVCKRWRRLARDPGFLRRLRAFHRASPVLGFFHNSPDLPRFVPAEGPPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWADLLVWDPMTGERRCITVPNQIQEGALDLNAAVFCAASGGGYQDCHSSPFHVVVVFTTGHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLASIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGHRCRAMPIWICGFAEDGNVVFIRTYAGVFLVWHDTLKFKKVSSSLLRRTVYSYASFYVPNDMADVGGGDSPQAAESAPDDVLAEILLRLPPHPSSLSSASLVCTRWRRLIRSPTFLRRVRAFHRTPPVLGFFHNSSDAHRFLPAPAATEDAPAPARVAAEASSVGRYGDDDVWWFLDCRHGRALLHSPDRAHFLVWDPMTGERRRVAVPHRMQGVGRATRRYATVFCTAASASHSSSFHVAVVFTSCGRVYASVYSSRVGEWGDIITKQAPFSETQILSVIDWPLEMNTLRQSNMRIVRLEGDVLGVVSIRDMDFILHLWAREVADDGELKWVPRMAIELDKLLPLPTEMEGDQCRVMPVSLCGLSEDGSVVFIQTIDGIFLVWLETLEFKVSCSLPMKHALLPDQSTRHERGAAAAESLPDDVVAEILLRLPPHPSFVSAASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGHDDDGDARMFIDCRHGRALLRRYDWAELLVWDPMTGERRRIAVPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFIGDGRAFACVYSSLTGAQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLESLKFKKMSDPLYMMTDMPRVTGVHSSPPATATASAAESLPDDVLVEILLRLPPHPSFLSQASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNSGDGLWWLVGCRHGRVLLRSCDWADLLVWDPMTEGFVCFPAPIQMVEADADRDAAVFCAASAGGDGDDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNFGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDLCLHLWVREVADDGAPNWVPRKSVEMDKLLSLPVATEDSSRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEIYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDVMFLWTLSGIFKFCSGSMELNKKDFLHRTLPVTSSAPPPLLPLLTVVVAVTIEKEAFEAVKRTKDLLATFSHL >OGLUM10G02280.3 pep chromosome:ALNU02000000:10:2880349:2908270:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGGHSSAAAESAPDDVLAEILLRLPPHPSFLSRASLVCKRWRRLARDPGFLRRLRAFHRASPVLGFFHNSPDLPRFVPAEGPPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWADLLVWDPMTGERRCITVPNQIQEGALDLNAAVFCAASGGGYQDCHSSPFHVVVVFTTGHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLASIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGHRCRAMPIWICGFAEDGNVVFIRTYAGVFLVWHDTLKFKKVSSSLLRRTVYSYASFYVPNDMADVGGGDSPQAAESAPDDVLAEILLRLPPHPSSLSSASLVCTRWRRLIRSPTFLRRVRAFHRTPPVLGFFHNSSDAHRFLPAPAATEDAPAPARVAAEASSVGRYGDDDVWWFLDCRHGRALLHSPDRAHFLVWDPMTGERRRVAVPHRMQGVGRATRRYATVFCTAASASHSSSFHVAVVFTSCGRVYASVYSSRVGEWGDIITKQAPFSETQILSVIDWPLEMNTLRQSNMRIVRLEGDVLGVVSIRDMDFILHLWAREVADDGELKWVPRMAIELDKLLPLPTEMEGDQCRVMPVSLCGLSEDGSVVFIQTIDGIFLVWLETLEFKVSCSLPMKHALLPDQSTRHERGAAAAESLPDDVVAEILLRLPPHPSFVSAASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGHDDDGDARMFIDCRHGRALLRRYDWAELLVWDPMTGERRRIAVPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFIGDGRAFACVYSSLTGAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLIFEFQFGSQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLESLKFKKMSDPLYMMTVHPYSSFYVPNVCRLFWKERKVTGVHSSPPATATASAAESLPDDVLVEILLRLPPHPSFLSQASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNSGDGLWWLVGCRHGRVLLRSCDWADLLVWDPMTEGFVCFPAPIQMVEADADRDAAVFCAASAGGDGDDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNFGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDLCLHLWVREVADDGAPNWVPRKSVEMDKLLSLPVATEDSSRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEIYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDVMFLWTLSGIFKFCSGSMELNKKDFLHRTLPVTSSAPPPLLPLLTVVVAVTIEKEAFEAVKRTKDLLATFSHL >OGLUM10G02280.4 pep chromosome:ALNU02000000:10:2880349:2908270:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSEATAGGHSSAAAESAPDDVLAEILLRLPPHPSFLSRASLVCKRWRRLARDPGFLRRLRAFHRASPVLGFFHNSPDLPRFVPAEGPPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWADLLVWDPMTGERRCITVPNQIQEGALDLNAAVFCAASGGGYQDCHSSPFHVVVVFTTGHGRVFACVYSSGIDAWGDPISTPVTSPCELYEEPPVLVGEALYWLLDGSRILEFEFGNQCLASIDHPVENHAILKRNIRLVRMEDDDVLGLAFVKDFSLHLWAREVADDGASQWIPRRAIELDMILPLEGHRCRAMPIWICGFAEDGNVVFIRTYAGVFLVWHDTLKFKKVSSSLLRRTVYSYASFYVPNDMADVGGGDSPQAAESAPDDVLAEILLRLPPHPSSLSSASLVCTRWRRLIRSPTFLRRVRAFHRTPPVLGFFHNSSDAHRFLPAPAATEDAPAPARVAAEASSVGRYGDDDVWWFLDCRHGRALLHSPDRAHFLVWDPMTGERRRVAVPHRMQGVGRATRRYATVFCTAASASHSSSFHVAVVFTSCGRVYASVYSSRVGEWGDIITKQAPFRLEGDVLGVVSIRDMDFILHLWAREVADDGELKWVPRMAIELDKLLPLPTEMEGDQCRVMPVSLCGLSEDGSVVFIQTIDGIFLVWLETLEFKVSCSLPMKHALLPDQSTRHERGAAAAESLPDDVVAEILLRLPPHPSFVSAASLVCKRWLHLIRSPSFLRRVRAFHRTPPVLGFFHNYRDLPSFVPAEGVPGRNRMDGHDDDGDARMFIDCRHGRALLRRYDWAELLVWDPMTGERRRIAVPNQKMQGGGAGTSRRSAALFCSCDVSGGGGDQDCHSSPFHVVVVFIGDGRAFACVYSSLTGAWGDLISTPAPLPCELCDTPPALVGEASYWLSYGGLIFEFQFGSQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLESLKFKKMSDPLYMMTVHPYSSFYVPNVCRLFWKERKVTGVHSSPPATATASAAESLPDDVLVEILLRLPPHPSFLSQASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNSGDGLWWLVGCRHGRVLLRSCDWADLLVWDPMTEGFVCFPAPIQMVEADADRDAAVFCAASAGGDGDDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNFGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDLCLHLWVREVADDGAPNWVPRKSVEMDKLLSLPVATEDSSRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEIYGAKPGWSSGDHRFPLLLPVKIIGVAEEGDVMFLWTLSGIFKFCSGSMELNKKDFLHRTLPVTSSAPPPLLPLLTVVVAVTIEKEAFEAVKRTKDLLATFSHL >OGLUM10G02280.5 pep chromosome:ALNU02000000:10:2886540:2911461:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMLPSPQRACPGAPPPSLPPAEDLLFEILLRLPPDPDCLHRAALVCRRWRRLIHGPAFLPRFRAFHRTPPVLGFYHNSRATGPSFVALAAPAGHSLVFGDGDWSLLGCRHGRVLLRSGPGWLQLLVWDPVTGHRSCVRLGRLAGHVRACNAAVLGDQDTRRDCSFRVAFVFTGEGRASACLYSSETAAWGRLITAGTARCGDVGTKPSALAGDTLYWVLDDGDILELDMGKGTLAVVEPPPPDALTLYGRNNIQLMASPDGSLGLAVMDVFSLQLWAREAGGSDGVASTSSWVLRKSIDLDVFTLMPLPCAGGRVILVPPVRLLGVDEDGISAFIWTIEGIFMLHLEDEMLMKKVAASRMVDFVYPYSSFYVADVSTVHSIHPLLRCDKSAAMSEATAGGHSSAAAESAPDDVLAEILLRLPPHPSFLSRASLVCKRWRRLARDPGFLRRLRAFHRASPVLGFFHNSPDLPRFVPAEGPPGRVAAEAASLRRDGDDGMWWFVDCRHGRALLRSRDWADLLVWDPMTGERRCITVPNQIQEGALDLNAAVFCAASGGGYQDCHSSPFHVVVVFTTGHGRVFACVYSSGIDAWGDPISTPLCDTPPALVGEASYWLSYGGLIFEFQFGSQSLTLMKRPLEMLADVRLVRLEEEEDGLGLAFIKDSTLHLWAREVADDGASKWKWIPRRAIELDKFLPMPRVLTGKWCGEMFVSISGFSEDGNVVFIRTLAGVFLVWLESLKFKKMSDPLYMMTVHPYSSFYVPNVCRLFWKERKVTGVHSSPPATATASAAESLPDDVLVEILLRLPPHPSFLSQASLVSKRWLRHTRNPSFLRRFREFHRTAPVLGFFLNSSHGALFFPTDAPPGRIADQVASLRRNSGDGLWWLVGCRHGRVLLRSCDWADLLVWDPMTEGFVCFPAPIQMVEADADRDAAVFCAASAGGDGDDEDRRSGAFNVAVVFVSGDHVFGCVFSSAIGAWGDVISTPVTLPLLMIYDEPAALAGEALYWIVNGSSLLEFNFGSQSLALISRPSDMPATHRWNIRPVSLEDDLLGLAFFNDLCLHLWVREVADDGAPNWVPRKSVEMDKLLSLPVATEDSSRRIVPAWICGFSGDGNVVFIGTPAGIFLVELDTLKFKKVTDGSLLIKTVHPYESFYYVPNEKGGKQESAIVGNQVSEAGGKNQVASEIYGAKPGWRFGTGMLD >OGLUM10G02280.6 pep chromosome:ALNU02000000:10:2908291:2911461:-1 gene:OGLUM10G02280 transcript:OGLUM10G02280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGCMLPSPQRACPGAPPPSLPPAEDLLFEILLRLPPDPDCLHRAALVCRRWRRLIHGPAFLPRFRAFHRTPPVLGFYHNSRATGPSFVALAAPAGHSLVFGDGDWSLLGCRHGRVLLRSGPGWLQLLVWDPVTGHRSCVRLGRLAGHVRACNAAVLGDQDTRRDCSFRVAFVFTGEGRASACLYSSETAAWGRLITAGTARCGDVGTKPSALAGDTLYWVLDDGDILELDMGKGTLAVVEPPPPDALTLYGRNNIQLMASPDGSLGLAVMDVFSLQLWAREAGGSDGVASTSSWVLRKSIDLDVFTLMPLPCAGGRVILVPPVRLLGVDEDGISAFIWTIEGIFMLHLEDEMLMKKVAASRMVDFVYPYSSFYVAGENQTCPLSTPSILC >OGLUM10G02290.1 pep chromosome:ALNU02000000:10:2897003:2897248:1 gene:OGLUM10G02290 transcript:OGLUM10G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAAGTGLVPTRSPPLSVPSSSPAFAAPQSFAAHLNTLPELQDMAAESKHNKKLLAAVPAQWSDGYRNYPFSADGGTGDA >OGLUM10G02300.1 pep chromosome:ALNU02000000:10:2922379:2924039:-1 gene:OGLUM10G02300 transcript:OGLUM10G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGWLPRSATAVLVLFLVLWRDWGVEAATFTFVNRCTDTVWPGVLSNAGSARLATTGFELPPGVARAVPAPAGWSGRMWARTGCAVVQDGGAGGGRMVCTTGDCGSGGAECNGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVEPSSSGGGGGGGGSLTSAATCAAAGCAADLNAMCPAELRAGGGAACRSACDAFGRPEFCCSGAFANPSTCRPTAYSQVFKSACPRSYSYAFDDPTSTFTCSGGPDYTLTFCPASSPSGSQKSTTATPTPAAMMPGTGTPTTPTTAAAMPGATMPGTATATTMPGTTFTDAVPDTSMPMPMGGDAGSGGEEGVVLSGSETWIANMATGELAAAAPAASLSRPSSAAALALFLIHALRLLVLR >OGLUM10G02310.1 pep chromosome:ALNU02000000:10:2951421:2953641:1 gene:OGLUM10G02310 transcript:OGLUM10G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGGMSSRSSSLLAMVFVAVAVLARAQGERAATFTITNNCAYTVWPGLLSSAGSAPLSTTGFALAPGASQAVPAPSGWSGRMWGRTLCAADGAGAKFSCATGDCGSGDVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNLPMLVTPSATSGSGKCAATGCVAELNGACPADLRVASASSASGPAVACRSACEAFGSAEYCCSGAYGNPNTCRPSAYSEFFKAACPRAYSYAYDDSTSTFTCAAGATDYAITFCPAAPTSVKSSGQNPQAAGLQQLNDTMVYFGGGGGGGGSPQSSGATTTSAYSSIAAAVFSVAAVALAALL >OGLUM10G02320.1 pep chromosome:ALNU02000000:10:2965742:2966602:1 gene:OGLUM10G02320 transcript:OGLUM10G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTLWLRPRGEGGGGTRPSCRRCSPPPSPSTCGDGGGGGGGRADPAPDAGGSAVVPPPFPCGGSGEGGEGGNFGGGGGRRGGWRQRWRCPMRSGSGSDGGGYDKAGMDSGKYVQYTPDQVEALERVYAECPKPSFSRRQQLLCE >OGLUM10G02330.1 pep chromosome:ALNU02000000:10:2972224:2977873:-1 gene:OGLUM10G02330 transcript:OGLUM10G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGGGYADEKGPGAATMQALGLQQQHGGGGEVEEESSEMGEKTATRTRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMASGILFQLFYGLLGSWTAYLISILYLEYRTRKERDKVDFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIGCASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYIAVASLIHGQVEGVAHSGPTSIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATVYVLTLTLPSASAAYWAFGDALLTHSNALALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKLVGLHGCPSLCKRAAARLPVVLPIWFLAIIFPFFGPINSAVGSLLVSFTRPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVHQVDTFGLFAKCYQCPPHPAAAALSPPGAIAPAPASMLPPFNSTAAGIFAAPVPSPAPAPAPMHFVLGHHHHHRHHRHGL >OGLUM10G02340.1 pep chromosome:ALNU02000000:10:3027202:3027549:1 gene:OGLUM10G02340 transcript:OGLUM10G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKSSSLAALLIFLLAVFTTAAAAAGTECQNDVDVLKTTCYKFVEKDGPKLQPSPDCCTSMKGVNVPCVCTYLGSPGVRDNISMDKVFYVTKQCGIAIPGNCGGEQASLDWPH >OGLUM10G02350.1 pep chromosome:ALNU02000000:10:3029372:3031357:-1 gene:OGLUM10G02350 transcript:OGLUM10G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGVKAMLARPIQLADEVSKQCGAARCFRAECGELKARADKLAALLRQAARADLYDRPAARIMAGAQQALLKASSLAARCASGHPRLRRLFTLSPAAGFPRTVALLDTALEDVAWLLRISSPRSGGGGGGGGGGGGDDDGDGDDGDLRGLPNIAQNEPILFLIWDHVARLHTGGLAARADSAANLASLARDSQHFAKLIIEEDGVPPLLRLLKEGTDDGQEAAARALGLLGCDDESIDKLVQAGVCSVFAAALKDPPMRVQAAVADAIGTLADRSATCQELFAQNNAVRYLVGHLASGTIQEHSRYSVGSSSSKNSAAAPQHMTSLHSVVLAKTLSMRHGGDRGTSSSTDEPPRVSNEQDTKRNQMQSVVQSAMAAKTKTNGSLVPPFRPQLGTSGSSGRGAVREVEDPETKAWLKAMAARALWKLARGHLGVCKSITDSRALLCFAVLLEKGDGGMGTSVQYFSAMAIMEISRVAEHSLALRQSAFKPSSPAAKAVVDQLLHIVSKGDYDDLLLPCITALGCLARTFTASENRVIAPLVELLDEREPPVIKEAVLALTKFACNENHLHVNHCKAIVDSGGARHLVQLVYLGDEVQIEALILLCFIAMHVPESEELAQAGVLAVLLWASKQAHMIQDMRVDALLPDAKGRLELFQSRASR >OGLUM10G02360.1 pep chromosome:ALNU02000000:10:3039655:3040760:-1 gene:OGLUM10G02360 transcript:OGLUM10G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPRALVLGVCAAVLLVNVLAVAADGDAAAAASMVVGLAKCADCTRKNMKAEAVFKGVRVAIKCKNSNGEYETKATGEVGKSGAFAVPLAADLLGDDGELRQQCFAQLHSAASNQPCPGQEPSWIVNAAADKKKTFVAVAGDTHFPSSECASAFLCDPFHKKDFFFHYKNPSPPAPAAYHKPPPSYTHPAPPVYSYPTPAYSHPTPVYKQPLPTPSPPIYHPPAEEKKVAMQDDAEADPELFKKLLPLIKKNPFLKFPKLPPVEVEAKP >OGLUM10G02370.1 pep chromosome:ALNU02000000:10:3054798:3056547:-1 gene:OGLUM10G02370 transcript:OGLUM10G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGFLARCPEPCAAAADPDDGDARRGGWRAAFFLVVVGFLERIGFFGVQGNLMLYLTGPMAMSTAAAATAANAWGGTVLVLTLAGGLAADSSGLGRYRAVLVASALYLLSLGMLTASSSSMAAQRATSPPSSSAGGAVVVVFYAALYLLALAQGFHTPCAEAFGADQFEREGDDDGGGGGDARRPASRSSYFNWYHFSISWGYVISTTLLSYVDENVGWTVGFAACWATMVLYLAVFLLGTGTYRRAERPAIDGAAAARRAWTARFFFFFSRNRKDAAEQLLEPQEEVVVVVDGHGDGGRGFFLVKLLPIWLSSIVFAVVVSQVSTLFTKQSSTMDRRVGGGGGLVLPSAGLQCLVSFTYIAVLPVYDRMVVPLARRLTGGGGGITMLQRIGAGMATACLAMAVAALVEARRLRVARDAGLVDRPGATVPMGVWWLVPQHVLVGVAEVLAVIGLEEFFYDQVAGELHSVGLAVSQGVMGVGSYASGSLVAAIDWATAARSGGGESWFADDLNRAHLDYFYWLLAALAALEVAVFVYLAQRYDYKNKSKP >OGLUM10G02380.1 pep chromosome:ALNU02000000:10:3070746:3072112:-1 gene:OGLUM10G02380 transcript:OGLUM10G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLLLHGAALMILMAAAAGETTATASVVVGAAKCAGCGRKNMDAETAFKGLKVAIKCKNGSSEEYESKAVGELDGAGAFAVPLAADLRGVDCVAQLHSAATDAPCPGQEPSKIEPLSSEGETGTFVAVAGKTHLPSSTSSSPECSSVAICFPCHRRHRMFHRKPMPEYQPPPSPVYGTPAPGCSCSPPSTPPGYGQPAPECPPADPGYGQPAPECPPPPTPAPECGQPAPEYPPPTPAYGTPAPECSPSTPEYGTPATACPPPTAPGYGSPSPFWPPVSPAYGTPSPTPIYRPPGSH >OGLUM10G02390.1 pep chromosome:ALNU02000000:10:3074064:3082532:-1 gene:OGLUM10G02390 transcript:OGLUM10G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASGLVNAALPDDLLAEVFRRVAAAGGKADLDSCALVCRRWRGVERASRRAARVPVDGPDGDAVVRCVADRFPGLADVLIDHGLYIAAGASAAAAERSRAQGWDNENPKLDEQHMQCSTLSEDTQKENGSDGVNPTSFTDAGLLHLIEGCKGLEKLTLNWFLHISEKGLVGIANRCRNLQSLALSGGYVQNHGLITLAEGCNLSELKLCGVQELTDEGLVEFVKIRSKSLVSLDISFCNGCITDRSLYAIGTYCHNLEVLSVESKHVNENKGIISVAKGCQYLKSLKMVWLGVGDEALEAIGSSCSALENLSLDNLNKCSDSSHKPARSTKSKKKLVRESLFSIANGCKQLKSLIIKSSVKFTDRSIERVSQNCKMLQHMEINMCHIMESAALEHIGQRCINLLGLTLNSLWIDNNAFLGFGRCCFLLKSVCLANCCKISDEAISHIAQGCKNLRELSIISCPQIGDEALLSVGENCKELRELTLHGLGRLNDTGLATVDQCRFLEKLDICGCNQITDYGLTTIIRECHDLVHLNISDTKKIGDTTLAKVGEGFRKLKHLMMLRCDAISDVGLEDIARGCLQLEACGVFRCSQVTPAGVAALAGGSSRLQRIIVEKCKVPEEATGKCRMINDPILISYY >OGLUM10G02400.1 pep chromosome:ALNU02000000:10:3090663:3091448:-1 gene:OGLUM10G02400 transcript:OGLUM10G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALLFAAIICAALVMAVTAAADGEAAAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCKNSDGEYESKAIGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGVDKANTFVAVAGKRMYSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLPANGGGGGAGNGGGGSEGNSGGAAPSPSSPPVYH >OGLUM10G02410.1 pep chromosome:ALNU02000000:10:3095268:3096041:-1 gene:OGLUM10G02410 transcript:OGLUM10G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVARALLLFAAVVCAAVIAVAAAADGEAAVAIVVGQAKCGECTRKNMKAQDAFKGLQVAIKCRNGDGEYESKAFGDLDGDGTFSVPLAADDLHGAADCFAQLHSATSSTPCPGQEPSKIVPLTDNGGDKANTFVAVAGKRMHSSTSPAECTSAFLYDYFHKHPFFDYFHKKPQGPEPKPDPKPTPLLPANGGGGGAGNGGGGAEGNSGGAAPSPSSPPVYH >OGLUM10G02420.1 pep chromosome:ALNU02000000:10:3108419:3109511:-1 gene:OGLUM10G02420 transcript:OGLUM10G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHITLYRPVTTCCQTGNTKRTFNELCFCAKNVDPTPELKKYIEGLEEYLDHSSKFYAFAMNNTFLKHDRVYFAKEVSQDYLKPLMEGKEAIDIGVQMAGGVSKNMLLHMSPMPIVAMHLPYLRNNSP >OGLUM10G02430.1 pep chromosome:ALNU02000000:10:3110112:3115054:-1 gene:OGLUM10G02430 transcript:OGLUM10G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRSLLEEGAAGDGDGSGEQGKQQGAGPAFAYASRGLRRCRSPSYRTFLSSPAGAPPVLLPSLSHQLSSFSAMTKIVGMLGPKLRSVDTISSCLKASMSVVPWSGGLLPRLLPT >OGLUM10G02440.1 pep chromosome:ALNU02000000:10:3124394:3125129:-1 gene:OGLUM10G02440 transcript:OGLUM10G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAWALLFGAVVSAVLVMAATAAADGEAAMAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCKNSDGEYESKAVGDLDGDGAFSVPLAADDLHGAADCFAQLHSAASSTPCPGQEPSKIVPLSSTTDNGSNKANTFVAVAGKRMHYSSSADCTSAFLCPFFDYFYNRPQGPKPTPANGGGAANGGGAAAPAPSPPAGISQLNF >OGLUM10G02450.1 pep chromosome:ALNU02000000:10:3132183:3132918:1 gene:OGLUM10G02450 transcript:OGLUM10G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARALVFGAVVVCAAVVMAVTAAADGEAAAAVVVGLAKCGGCSRKNMKAQDAFKGLQVAIKCRNGDGEYESKAVGDLDGDGAFRVPLAADDLHGAADCFAQLHSAASSAPCPGQEPSKIVPLPSTTDNGRNKGSTFVAVAGKRMRYSSSAECTSAFLCPFFDYFHKRPQGPKPTPLPKPTPANGGGAANGGGAAAPAPSPPASAYHS >OGLUM10G02460.1 pep chromosome:ALNU02000000:10:3135465:3138260:1 gene:OGLUM10G02460 transcript:OGLUM10G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALACASHLRRLGAGAPARSFHAHPYQAKVGVVEFLNGVGKGVETHAAKVEEAVGGDLQSLLHARTLRLKKLGIPCKQRKLILSFAHKYRLGLWKPQAESKKTQ >OGLUM10G02470.1 pep chromosome:ALNU02000000:10:3139048:3139836:1 gene:OGLUM10G02470 transcript:OGLUM10G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDGSCPGHAALLCAALWSCATQSAPRRSAASGTRKAPAPLSFPAAFVSYSPSAPFLHLIATRRPERKYIYKSHLIYSLLLYSMKIGSLPFLKKNNGKSNKIGRLY >OGLUM10G02480.1 pep chromosome:ALNU02000000:10:3139255:3140701:-1 gene:OGLUM10G02480 transcript:OGLUM10G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRVLALLAVLMAVAAHDEAASVVVGLAKCGDCTRKNMKAEAAFKGLRVAIKCKNGADGEYETKAAGKLNGAGAFRVPLAADLRGADCVAQLHSAAHNNAACPGQEPSRVMQLSERTFVAVAGKTHYVSPVCASATICEPIKKHFFDHFHHNKPVPAAPSTKPAPKPHPDQPPHPKPTPTPSYGTPSPYHPPARN >OGLUM10G02490.1 pep chromosome:ALNU02000000:10:3166983:3167783:-1 gene:OGLUM10G02490 transcript:OGLUM10G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLGVCAVLMAVAVGGEAASVVVGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPKPQPAPEYHNPSPPAN >OGLUM10G02500.1 pep chromosome:ALNU02000000:10:3179949:3190492:1 gene:OGLUM10G02500 transcript:OGLUM10G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIRTGRLIMPSCPLSVVADYSLYQPKPLDRAPRGLVLLGVCAVLMAVAVGGEAASVVVGTANCADCTRKNMKAEDAFKNLQVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >OGLUM10G02500.2 pep chromosome:ALNU02000000:10:3176921:3181127:1 gene:OGLUM10G02500 transcript:OGLUM10G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMAGARRGLVLLGVCAVLMAIAVSGEAASCKNINGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPRPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPANN >OGLUM10G02500.3 pep chromosome:ALNU02000000:10:3176921:3190343:1 gene:OGLUM10G02500 transcript:OGLUM10G02500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMAGARRGLVLLGVCAVLMAIAVSGEAASVAIKCKNGKGEYESKATGKLDGTGAFSVPLDADLHSSDCIAQLHSATNEPCPGQEPSKIVPMSEGTFIAVAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPKPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPAKN >OGLUM10G02500.4 pep chromosome:ALNU02000000:10:3175775:3176937:1 gene:OGLUM10G02500 transcript:OGLUM10G02500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLAVCAVLMVLAVGGEAASVVIGTAKCADCTRKNMKAEDAFKNLQVAIKCKNGNGEYESKAAGKLDGTGAFSVPLDTDLHSSDCIAQLHSATNEPCPGQEPSKIVPLSEGTFVTVAGKTSYRSALCASATICGPIKKKIIDHFHKKPVPPKPNPKPEPPKPKPEPEHPILDHFHKKEKHFFDHFHKKPVPPKPEPKPEPKPQPAPEYHNPSPPAKH >OGLUM10G02500.5 pep chromosome:ALNU02000000:10:3175862:3181495:1 gene:OGLUM10G02500 transcript:OGLUM10G02500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAPRGLVLLAVCAVLMVLAVDLQVAIKCKNINGEYESKAAGKLDGTGAFSVPLDADLDSSDCIAQLHSANNEPCPGQEPSKIVPMSEGTFVAIAGKTHYPSALCASATICGPIKKKIIDHFHKKPVPPKPEPKPEPPRPKPEPEHPFLDHIHKKEKHFFDHFHKKPVPPKPEPKPEPKPEPKPQPAPEYHNPSPPANN >OGLUM10G02510.1 pep chromosome:ALNU02000000:10:3244564:3249342:1 gene:OGLUM10G02510 transcript:OGLUM10G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAAEGVAGRPAASCQTRCGDVDIPYPFGIGLNCSRGQGFEIACNTRNGNGDMVPTLAAANGSIHVQSLSVKQLPEVKVMLPVAYNCYNSSNDVTKKFYGEVDLNNKGVYRISDSRNMFVVIGCNTLSYTKNGNSGGSNSHYSGLFYTGCVSYCNDSRSAQDVQCAGVGCCHVDISPGLTDNVVSFGPWPRGFQEKKSAGYACVSDNSECVNSTNGPGYYCKCKQGYEGNPYDKDQGCKDINECDVSNKKKYPCYGVCNNIPGDYECHCRVGYQWSGEGPKKQECSSKFPLAARLALVLQKVDNVKIFTKDELMKITKNNSEVLGQGSFGKVYKGTLEDNTTVAVKTSIEVNENRKDDFTNEVIIQSQMKHNNIIKLLGCCLEVDVPMLVYEFAGKGNLQDILHGDANIPLPLGLCLDIAIESAKGLRYMHSSTSHTIRHGDVKPANILLTDKFIPKISDFGTSKLLTIDKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGEKCSLIIEIQNAYDQENSGRIMFDKEIANEEDILILEEIGRLAMECLKENVEERPDMKEVTERLIMLRRSRKCG >OGLUM10G02520.1 pep chromosome:ALNU02000000:10:3260643:3260885:-1 gene:OGLUM10G02520 transcript:OGLUM10G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEGGHHSGARERIEKLRGRRSTVGGDGRTWEEREKKKSSRLGWGKRGGVRRLFIGGGRRFMKVGSPVEDEECTATMA >OGLUM10G02530.1 pep chromosome:ALNU02000000:10:3260887:3262079:-1 gene:OGLUM10G02530 transcript:OGLUM10G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRARFRWGGSVTPTTVGGGGAARITQSSRANCVVCCGGRGNSEAPTTVRFEAWGIGSSTSTLGLGFAELYNQRFDVGDSKPRTFPWKAEPREERGEGERGAGLPVAKVWKRQWHIVFPVDAHSGRPAVGREEERDGRNSSGKSLAPAGLGGGGGGLTQGGREGGKG >OGLUM10G02540.1 pep chromosome:ALNU02000000:10:3269501:3277450:1 gene:OGLUM10G02540 transcript:OGLUM10G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLLADLLILLASAATSVAGRPAAGCQTRCGDVDIPYPFGIGPNCSRGKAFEIACNPRNDSGELVPTLAAANSTIHVQSLSVAPIPEVKVMLPVAYQCYDSNNNITDWFNGAVDLNNNRVYRISDKRNMFVVLGCNTMAYTNNGNSSGKGPYAGLYYTGCVSYCNDSSSAQDGMCAGIGCCHVDISPGLSDNVVTFQSWYRYFQVNFNPCDYAFLVAKDEYNFQRSDLQKDLNRTKPVWLDWAIRDGGNSSASSSCPAPEVRKKMPAGYACVSDNSECVNSTNGPGYYCKCNKGYEGNPYLVGGCNDIDECARSDEYPCYGDCRNTVGDYDCKCRTGYQPRGGGPKIQECSQKFPLPAQLALGISLGFSFLIVAALFTLMMLQKRKINEYFKKNGGSILQKVDNIMIFSKDDLKKITKNNSHVIGQGGFGKVFKGTLEDNTIVAVKTSIEVNEARKEDFTNEVIIQSRMMHNNIIRLLGCCLEVDVPMLVYEFAANGSLQDILHGDANRSLPLTLDIRLDIAIESAEGLKYMHSSTNCTIRHGDVKPANILLTDKFVPKISDFGTSKLLTIDKDFTMFVVGSMGYIDPIFHKTGRLTQKSDVYSFGVVLLELISRKPTIYGENFSLIIEFQKAYDEVHSGRAMFDKEIAVEEDIFILEEIGKLAMECLKEKVEERPDMKEVAERLVMLRRARKHGQGSYNLSPRHHEEISIETTPTGFGADFSTNSSVTLSTTCTPERK >OGLUM10G02550.1 pep chromosome:ALNU02000000:10:3299726:3312805:-1 gene:OGLUM10G02550 transcript:OGLUM10G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGDKKPDHSFKPGGAPIFPLPPCGGYMGDPYGAYGGGGPGFNQGFGMADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRGADVMDKVGGDAGPEDEEEKRKCDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTGKDGAQRAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNQVESHLRPHRDGVTGGMVAAEVAKGVMAGDIALTSFFVPLVLPPSSHHAM >OGLUM10G02550.2 pep chromosome:ALNU02000000:10:3299726:3312805:-1 gene:OGLUM10G02550 transcript:OGLUM10G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGDKKPDHSFKPGGAPIFPLPPCGGYMGDPYGAYGGGGPGFNQGFGMADRQQSEEPEEQVDLEGDDDIMDDDDGYRRHRREDSDDPEEEDPDERQGEGDGRREDAEGPGDAGGDTAAGGEGGADVMDKVGGDAGPEDEEEKRKCDELLALPPQGSEVFIGGLPRDTTEDDLHELCEAFGEISEVRLMKDKETKENKGFAFVTFTGKDGAQRAIEDLHDKEHKGRTLRCSLSQAKHRLFVGNVPKGLSEDELRNIIQGKGPGVVNIEMFKDLHDPSRNRGFLFVEYYNHACADYAKQKLSAPNFKVDGSQLTVSWAEPKGSSSSDSSSAAAQVKTIYVKNLPENASKEKIKEIFEIHGEVTKVVLPPAKAGNKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLGDKKPDHSFKPGGAPNFPLPPYGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNQVESHLRPHRDGVTGGMVAAEVAKGVMAGDIALTSFFVPLVLPPSSHHAM >OGLUM10G02550.3 pep chromosome:ALNU02000000:10:3299726:3312805:-1 gene:OGLUM10G02550 transcript:OGLUM10G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPLGDKKPDHSFKPGGAPIFPLPPCGGYMGDPYGAYGGGGPGFNQPMIYGRGPAPAGMRMVPMVLPDGNNQVESHLRPHRDGVTGGMVAAEVAKGVMAGDIALTSFFVPLVLPPSSHHAM >OGLUM10G02560.1 pep chromosome:ALNU02000000:10:3310628:3311113:1 gene:OGLUM10G02560 transcript:OGLUM10G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPGKPYDLCRSSTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEEDLLADLSADLGDQLNELFYDVLPELSPAARGVLSGAAGVRPREEADVSGCTGVPVVRRGAQESRVPWFRAAVWIALGEEDRNSVLVSVCQSVVSFSLTARL >OGLUM10G02570.1 pep chromosome:ALNU02000000:10:3314397:3315020:-1 gene:OGLUM10G02570 transcript:OGLUM10G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTSRTIRHGDVKPANILLTDKFIPKISDFGTSKLLTVEKDFTMFVVGSMGYIDPVFHKTGHLTQKSDVYSFGVVLLELICRKPTIYGENCSLIIEFQNAYDQENSGRIMFDKEIAKQEDILILEEIGRLAMECLKEKVEERPDMKEVAERLVMLRRSRKCGQGNYSLSPQQCEEITIEGTPKNFGASISASSSATLSAPATPLN >OGLUM10G02580.1 pep chromosome:ALNU02000000:10:3328790:3329863:-1 gene:OGLUM10G02580 transcript:OGLUM10G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCWLLASLLLLASAAGDESVVTGRPAGCQARCGDVDIPYPFGIGPNCSRGEGFEIACNTRNGNGDLVPTLAAANGSIHVQSLSVEQLPEVKVMLPVAYKCYDSGDNVTRRFYGEVDLNNNGVYRISDSRNMFVVIGCNTLSYTQNGNSGGSNTHYSGLFYTGCVSYCNDSRSAQDGRCAGVGCCHVDISPGLTDNVVSFGPWTRGFQVDFSPCDYSFLVDKNEYEFRSADLKMDLNRTMPVSFDLFVI >OGLUM10G02590.1 pep chromosome:ALNU02000000:10:3366675:3367461:-1 gene:OGLUM10G02590 transcript:OGLUM10G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLGFASRAYGGRRRPEPIPTCPWPCYYPESIPTCPRNPARAEAATILASPGIKRIICYPSALLHPSLSPPPSPRHPRALRRVWWWCRSSRTAWCADADTDAEVEVEVERAVLLSLLPNITASAAITAEPMGATGTRVAPGQRVGIVDAAHSTAASTFQVTAAGANLHTLHIHTHSCAPHPCQCTAAGHALHAPHPRQPTPRVYAVFDVVALTVIVVGLSRRSHHPFSTYMHSSEPDFPSITSAVANSK >OGLUM10G02600.1 pep chromosome:ALNU02000000:10:3368135:3400082:1 gene:OGLUM10G02600 transcript:OGLUM10G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAVASRKRVAADNCGSSPANGPKRRRYNFGSADDYERLDVVGQGAFGVVLRARDRRSGKFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGELKICDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKAEFPGFVPLFG >OGLUM10G02610.1 pep chromosome:ALNU02000000:10:3410888:3412743:1 gene:OGLUM10G02610 transcript:OGLUM10G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCLGARLVAANHHTQSQKEHDQVVQGRTIXARDRRTGKVVALKRLIGADEGGRFAPDFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHVSRVIHRDIKPENILVSFSGELKICDFGAATLMNPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKAEFPGFVPLFG >OGLUM10G02620.1 pep chromosome:ALNU02000000:10:3417648:3420997:-1 gene:OGLUM10G02620 transcript:OGLUM10G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle-associated membrane protein 714 [Source:Projected from Arabidopsis thaliana (AT5G22360) TAIR;Acc:AT5G22360] MAIVYAVVARGTVVLAEFSAVSGNAGAVARRILEKLPPDAESRLCFAQDRYIFHVLRSPPPTAADGLTFLCMANDTFGRRIPFLYLEDIQMRFIKNYGRIAHNALAYAMNDEFSRVLHQQMEYFSSNPSADTLNRLRGEIHTVMVDNIEKILDRGERISLLVDKTSTMQDSAFHFRKQSRRLRRALWMKNAKLLAVLTAVIVLLLYLIIAAFCGGLSLPSILMRKSAATLEKQIYEFEVRVVSL >OGLUM10G02630.1 pep chromosome:ALNU02000000:10:3426272:3427249:-1 gene:OGLUM10G02630 transcript:OGLUM10G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVASRKRVAADDPEPRSCCGSSQASGPKRRRYNFGSADDYERLDVVGQGAFGVVVRARDRRTGKVVALKRLIGADEGGRFAPNFDALRVEAACQHACRGHPNIVQIKDVVADAKTGDLFLVLEFVGGSLRDEFPRARPEDIVRAMMRPLVDAAKKMHASRVIHRDIKPENILVSFSGQLKVCDFGAATLMKPAGKPYDLCRPGTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAVEALEHRWFADEPKKAEFPGFVPLFG >OGLUM10G02640.1 pep chromosome:ALNU02000000:10:3436870:3437235:-1 gene:OGLUM10G02640 transcript:OGLUM10G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXTLPYTSPEQLAGNRCYGPAVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLGDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAVEALEHRWFAEEPKKAEFPGFVPLFG >OGLUM10G02650.1 pep chromosome:ALNU02000000:10:3450630:3455886:1 gene:OGLUM10G02650 transcript:OGLUM10G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVRKEQGPRRCVAGTVRKGSIANGNGIATAAHDEIGDGGAWRQQVRRQWPPTAHRARIVAQGNRRHNDDDGTTVAMTAMAWKRIYHNTLADMPWLRRSYTKMLADRGYTLDPLQLLYQISSASATNDQTRSATQLVPPALTVGKANSKSRTSRAVMWVLAIYLPSMFIAGSTYLAYDLYIRPFDGASYPWCLLAVMLWGVYMALVAVVRQYMDLFLPSAPAAVRDTLVDVGWLWVGVPVFFVDYILALFGHAWMVITMICLLGVLIAALLALWESLVRTYGK >OGLUM10G02660.1 pep chromosome:ALNU02000000:10:3458351:3487118:1 gene:OGLUM10G02660 transcript:OGLUM10G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3414) [Source:Projected from Arabidopsis thaliana (AT4G38760) TAIR;Acc:AT4G38760] MASGGASSAPATASTPAAAAATTTTSKTASASLWWDPFIDLSDDLDRAAAASPSVPDALAERIKAHHAWLRGSVSMFVKPSDASRGALDASEVVVGEHRLAVKPELKAAALRLSKCMNLDEVQSYILVKRTSENTPTALVADTEEFLRLVSVQYYLERQCLLKCIRRIFVHANDCSDPIDAVREEASVLVREEVEQRLLSIVRDSLASAFSVKGGAELTISWLEETLIEINLIFDILFLFFYDNLSRCNGGLWIMLCSIFKDMLSGSYDVGKFAVSVEAKNSFHYAKAQLLFILIQTLDFESLFRMVRDEVPFSGGYSTFSVVDILEMDVEVSKLPEFAAVESGPLILAWAVFLCLVMSLPGSNTNLGPVSGFRGILRTFISAFVASYEISYQTEDSSLGMILNILCEVYDGEESLCMQFWDKDSFIDGPIRFNYLERMNGVTTLYAVPRSDTDNVNYHDQIEIHSPISIFGIEGITIPGGSHGYILKVLEDDVALVRWEDLCVALLHADKSLAVQASQNLGYIDKHVRIDIAKIFCTSIFKYVEDFNNACVMSKTLGMLAEMLSCVPYHVFNVALDCGFFITQSGGASSDWLLSGALARMLFATSEDSGDCSSLTTTVLDFAIQVLRKGAAADDIISSFIIFSVQYIMVNHMNWKYKSYSRWKITLKVFDLVKSCIQVKSFSSKLGGIIWEILLYDSSIHSVLLHILSMSTQLLEHSHGSYCHDLKEIEDIQLVLCCGLDIVFYMLSNLPEELVPSPPFVTMVLSSSSKPLPFVTAAISLMSFQNSAIQVAAARVFSMLCFTAYKAQPQLMENAYFVVNGSEIWRLQTSISCILDEVDKVNEVVAIFNLLSSAARYQAQRADVALVRLRVHLPGGSNPRLVEQILGYIGRSTELMDRSPSILSSVLDLLKALWESGAQFIYILEKLRSSRTFWENLSCCIRAAFASYPIDSVETVDEKKSLRYCCLGTIFEIMSYELFLQGKLLTETKTSDPAPVGSKEQKEPSVAPCPSDIVLKWFDSMTMEDLINHLSRNGYQNDLLHRAKVASCLCIIRLLTKLSSGDTGSLSFSLVKKIHLISSKLLQHRAFVALLSQYALHGYSIQREADMKRQNQKRQFAKITHRTLWNSMICTTIILQHCFEFDFYLLEKCSGEQDITNLIISDLYYHIHGELEGRPITPGPFQELLCFLLEFKVFEHNPSEQLQKSFPAANGVSLFDVPHIRDELGLELWNHSDWKTYKEVADKMLDIMHKANLMKCQVDAKLCALRSFITFLSVCTGTSSYKKFGLPGGGISITTTQSAVRCACKSLQSAVDSLPPEVDNSEVLFPPLSGQVELLLTITRILLDHAKQSKSSRHLYPVIVLLIKTSGASTSFLFNLLPSSPALKQPVKSLLVLLLSLFEFIYKKVDMKDGSEDVNIFGELSLLSMSLLPVLCKLAESREYFDLAIASMDIILKGFLPSNVWVPILQKHFRLQVILQKCQSGALLCTQVILNFLLTMGRTKDGAKILQSANIFAFIKVLLSQMSLDDSCLRNSLSTQTKDVKIWGLGLAIVSSLNHCMDDDISRNSVANSTISFLSGQVPLMSSYLSAQSVNTHQSKKRTLLQKSQTSLSALSLTENILTLLCILAKYHFPRDTGMKEVDSELREIIIHLLAFISRGSERTGDSPNWNLSFGCPPIIKEEMKLNEEPPLIRSKYGWFRFAASCTLSTPSVSGPPNAGLSLVIRDKNPADSDSMKRTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVRRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVLEANVSTALNTETERVCQLLLVILETSLYMELCVSQSCGIRPVMGRFEDFSKGIKAMVHAMIMLVNYHRWNGSSHIIVDVAPDWVHIAEALGKAATPRTSLFVNFCANLFAKSIVPGMGIRKTGSSS >OGLUM10G02670.1 pep chromosome:ALNU02000000:10:3480449:3497973:-1 gene:OGLUM10G02670 transcript:OGLUM10G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAAPPSPSFATRGTAMGTASPPCSLPAEEVSRKSRSNGCNNQQIKLYPFSSKERTLNRFNIDLSKIIPKLIRDVSKSMSFPTIDTISQKASRKGSEEYLNDSKVQGQVHHANQRL >OGLUM10G02680.1 pep chromosome:ALNU02000000:10:3493887:3494432:1 gene:OGLUM10G02680 transcript:OGLUM10G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B7Z5] MAPAASSKSNPRVFLDISIGGEWVGRVVIELLADKVPDTAENFRRLCTGERSGRSGKSRLHYKGSAFHRVVPGFMCQGGDITAGNGTGGESALDGAARHFPDEGFAVKHDGPGVVSMANAGPNTNGSQFFITVDKAPWLDGRHVAFGRVVAGMDAVRAIDRTGTWSGKTVKPVVIADCGVL >OGLUM10G02690.1 pep chromosome:ALNU02000000:10:3502970:3504616:1 gene:OGLUM10G02690 transcript:OGLUM10G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B7Z6] MVNAEPNTNGSQFIIVNRPPWLDGRHVVFRHVVDGMDIVRAVERTGTWRGKMVKPVGAPRAVEFLLSVVKERASVGVDDATSAKPQGSSQEETTCGVHDPAKGSGGEDFLETLACVLRRPRYLLRIQRIHLL >OGLUM10G02700.1 pep chromosome:ALNU02000000:10:3510422:3511540:1 gene:OGLUM10G02700 transcript:OGLUM10G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPCIAIAVLLSSSLAAAVTGPPGTIERVVKQQILASIPPGGHGAGDVHPPVLFLTSPSGKYAAYFVRSHTVPGAGGLGADFCYVEVVVNKGGEGDAAAGGGMSVWESECRPVSTVNTCTLLFSWHGLEVFDGSQEVWHGETNTDGTNFLQRLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATAFPPFAEPIGAHSSDLPFGMFPGGNGRAAELPQAADAAAGALGGVGAVAPLPGAVGGAGAVAPLPGAAGGDAAAAPLPGDMGGDAALAPLPGALGGVDPAFSPLPGDLPDPAAAAAAGGAAAGVGGVGAGALGAGAVGAFGSQPLVDNSPYDSGAWKVDGHLAAIVVALGVVLGAI >OGLUM10G02710.1 pep chromosome:ALNU02000000:10:3512079:3512423:-1 gene:OGLUM10G02710 transcript:OGLUM10G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEMAVVVLRCFDGTTVAAPAGVVAGRSGLVAEAVGAGGGGGGGRVVVDVPGNVSGVDVAAVVAYMEARAAAADGDAFDGEFIGGLTHDARIDLIHAAHHLADKALFNLLA >OGLUM10G02720.1 pep chromosome:ALNU02000000:10:3515544:3518780:1 gene:OGLUM10G02720 transcript:OGLUM10G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEETLHHHHHHRRLPSTAPSPSPLDDDDLLQEILLRLPPDASTLPTASAVCKRWRRLAFEPGFRRRFVARHEPPFLGFFFPYDFDPVFSFNAGFRSTTAQHHLPAHRFLPEREIGLRWEIVNCCKGLALFRITFRGGCKCKEFMVVDPISGDRRLLPFPLVDGKFLSATVVPAAADRRSFRVVAVFAERNTFTSVFASVYSSDAGVWSDYVSRLSLPWEVWVLRPSVLAGNAVHWFLDGYNVLMFDLESQKLGFSELPLDAKDDEDFPHRCRCQIIPAGDGRLGLAVIVGSTMQLWEREIGDGSDATWLLRRTLQLNFLPLEAEGRKLIVGVAEENSSILLWTRVGLFMVHLKFLQVRKVYGEISVDNYYPYSSF >OGLUM10G02730.1 pep chromosome:ALNU02000000:10:3528667:3533143:1 gene:OGLUM10G02730 transcript:OGLUM10G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVDFXLVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPLGSVQQKMTMTTSTVQSYAYSSLKMNEIKFLSFLLIPGCYETESKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGIGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >OGLUM10G02730.2 pep chromosome:ALNU02000000:10:3528667:3533143:1 gene:OGLUM10G02730 transcript:OGLUM10G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVDFXLVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPLGSVQQKMTMTTSTVQSYAYSSLKMNEIKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGIGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >OGLUM10G02730.3 pep chromosome:ALNU02000000:10:3528667:3533143:1 gene:OGLUM10G02730 transcript:OGLUM10G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVDFXLVGSRPAGDAGVVERLRRAGAVVLGTASLSEWCNFRAPGIPAGWSPRAGQGKNPYVPSATPCASSSGSAIAAAANMVAVTIGTETDGSIMCPSSYNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDPRDAEATRMALQYIPEDGYRQFLNIDGLRGKRLGILRKDFFRFPLGSVQQKVFDEHFNTISKMGAILVDNLEIPNMNIINDAVQSGERALMLAEFKLSLNSYLSELASSPVRSLSDIIDFNNKHPVEERMAEFGQSYLLQSEATDGIGPTEKKAIAKLNELCESGLEKIMRVNQLDAIVSPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPRLIEIAYSFEQATKVRRPPTLQHSII >OGLUM10G02740.1 pep chromosome:ALNU02000000:10:3530218:3534405:-1 gene:OGLUM10G02740 transcript:OGLUM10G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B804] MRCLIASSSRDKTESDFSESRQVRLAAMAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSWTLDLWTDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPDNANT >OGLUM10G02740.2 pep chromosome:ALNU02000000:10:3532061:3534405:-1 gene:OGLUM10G02740 transcript:OGLUM10G02740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B804] MRCLIASSSRDKTESDFSESRQVRLAAMAAEEILELSNGRITAKIAAWGATITSLIVPDAHGNLADVVLGFDTLEPYMKGISPYFGCIVGRVANRIKEGKFTLNGVEYSLAINNGPNSLHGGLKGFDKVVWDVVERKSGECPSITFQYHSKDGEEGYPGDVTVRATYSLPDSTTLRLDMEAVAENKATPINLAQHTYWNLAGHNSGDTLDHSIQIWGKHITPVDENTIPTGEIMPVKGTPFDFTTEHKIGARINDVPGGYDHNYVLDSGEEKNGLKHAAKLKDSSNSWTLDLWTDAPGMQFYTANYVDGITGKGGAVYGKHSGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >OGLUM10G02750.1 pep chromosome:ALNU02000000:10:3550299:3562120:1 gene:OGLUM10G02750 transcript:OGLUM10G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHLLLLALLLLSPLATSSQPPPSNTSASTSAAAVLLSFLDSLPLASQRLLLPSWRRSSSSGNATAPPPHCAFLGVTCSDAGAVAALNLSGAGLTGALSASAPRLCALPASALPVLDLSGNGFTGAVPAALAACAGVATLLLGGNNLSGGVPPELLSSRQLVEVDLNGNALTGGIPAPAGSPVVLEYLDLSGNSLSGAVPPELAALPDLRYLDLSINRLTGPMPEFPVHCRLKFLGLYRNQIAGELPKSLGNCGNLTVLFLSYNNLTGEVPDFFASMPNLQKLFLDDNHFAGELPASIGELVSLEKLVVTANRFTGTIPETIGNCRCLIMLYLNSNNFTSSIPAFIGNLSRLEMFSMAENGITGSIPPEIGKCRQLVDLQLHKNSLTGTIPPEIGELSRLQKLYLYNNLLHGPVPQALWRLVDMVELFLNDNRLSGEVHEDITQMSNLREITLYNNNFTGELPQALGMNTTPGLLRVDFTRNRFRGAIPPGLCTRGQLAVLDLGNNQFDGGFPSGIAKCESLYRVNLNNNKLRGSLPADLSTNRGVTHLDISGNLLKGRIPGALGLWHNLTRLDVSGNKFSGPIPHELGALSILDTLLMSSNRLTGAIPHELGNCKRLAHLDLGNNLLNGSIPAEITTLSGLQNLLLGGNKLAGPIPDSFTATQSLLELQLGSNNLEGGIPQSVGNLQYISQGLNISNNRLSGPIPHSLGNLQKLEVLDLSNNSLSGPIPSQLSNMISLSVVNISFNELSGQLPDGWDKIATRLPQGFLGNPQLCVPSGNAPCTKYQSAKNKRRNTQIIVALLVSTLALMIASLVIIHFIVKRSQRLSANRVSMRNLDSTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTELAVGKQWAVKTVDLSQCKFPIEMKILNTVKHRNIVRMAGYCIRSNIGLILYEYMPEGTLFELLHERTPQVSLDWNVRHQIALGVAESLSYLHHDCVPMIIHRDVKSSNILMDAELVPKLTDFGMGKIIDDDDADATVSVVVGTLGYIAPEHGYSTRLSEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRSNLNQADHSNIMRFLDEEIIYWPEHEKAKVLDLLDLAMTCTQVSCQLRPSMREVVSILMRIERNVKPPPQQLILMSHAPRFLLFLLQLLAASVVARPPPERADSAAVLRSFLASLPPPSRRVLRPSWRRGGGGGAPHCAFLGVTCEAAGAVAALNLSGAGLAGELAASAPRLCALPALAALDLSRNGFTGSVPAALAACSGVATLVLSFNYLSGAVPPELLTSRRLRKVDLNTNALTGEIPTTGLAAGSSVLEYLDLSVNSLSGAIPPELAAALPELTYLDLSSNNLSGPMPEFPPRCGLVYLSLYSNQLAGELPGSLTNCGNLTVLYLSYNKIGGEVPDFFASMTNLQTLYLDDNAFIGELPASIGELVNLEELVVSENAFTGTIPEAIGRCRLLTKLYLNGNRFTGSIPKFIGNLTQLQLFSIADNGITGEIPPDIGKCRGLVELELQNNSLSGMIPPEIAELSQLHKLSLFDNILHGPVPPALWRLSDMAVLQLNNNSLSGEIHSDITQTRNLRNITLYNNNFTGELPQELGLNTTPGLLHIDLTRNHFRGAIPPGLCTGGQLAVLDLGYNQFDGGFPSEIAKCQSLYRVNLNNNQINGSLPADFGTNWGLSYIDMSSNLLEGIIPSALGSWSNLTKLDLSSNSFSGPIPRELGNLSNLGTLRMSSNRLTGPIPHELGNCKKLALLDFGNNFLSGSIPAEITTLGNLQNLLLAGNNLTGTIPDSFTATQALLELQLGDNSLEGAIPHSLGSLQYISKALNISNNRLSGQIPSSLGNLQDLEVLDLSNNSLSGIIPSQLINMISLSVVNLSFNKLSGELPAGWAKLAAQSPESFLGNPQLCVHSSDAPCLKSQSAKNRTWKTQIVVGLVISSFSVMVASLFAIRYILKRSQRLSTNRVSVRNMDSTEELPEELTYEDILRGTDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQCKLPIEMKILNTVKHRNIVRMAGYCIRGSVGLILYEYMPEGTLFELLHRRKPHAALDWTVRHQIAFGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVEDDDLDATVSVVVGTLGYIAPEHGYYTRLTEKSDVYSYGVVLLELLCRKMPVDPAFGDGVDIVTWMRLNLMQADRRLIMECLDEEIMYWPEDEQAKALDLLDLAMYCTQLACQSRPSMREVVNNLMRMDK >OGLUM10G02760.1 pep chromosome:ALNU02000000:10:3559698:3560058:-1 gene:OGLUM10G02760 transcript:OGLUM10G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVPRLLRLPSSRGIGPEKLLLERSSFVRLLHEPSALGIIPSSRLLLISMYDNPQLVPKSADQELQAGLPCTNQVELRHGNGFE >OGLUM10G02770.1 pep chromosome:ALNU02000000:10:3569643:3578310:-1 gene:OGLUM10G02770 transcript:OGLUM10G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPMFAGVLFVCSCRRPLRLDGLAVSTLDAGGVLNGGRGANFSNGCSDISRCRFRLGDKLLLGGAELVVLDAVIRGRQLHASLLHTGGRRGEKFTGAEMEMEREAASDETIEVDKTESAMRKYKNTLPPPHPNTVPPQGRVGTSRYPAMTAVGSYPLNGDDLMYPIPWSIVLPSGRPELRRRRAFAACIASAAASLRAVGERTAANMNKKSTKACAPEDAMSPDGGDAFLTAASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKARDRRTGETVAVKWVRPRRGLAHGQPADLAAFARERDCLAACRGYPSIVQLRDVAANPSNWDVFIVMEFVGANSLRDFIAGCPFSEDETRALMRRLLTGVRVMHRAGMAHRDIKPGNILVGPSFALKICDFGMATTALPPYEPYMVGTLHYNSPEQLTEDGLNGQYDGKAVDMWAAGCVMAELLTGGKAFTSETAKEHLLELVELRDYDIGSRNSLAFGGLRWLSTAGREVLAGLLAFDGDKRMTAEAALEHRWFTEEADSPAVLSCLAAIT >OGLUM10G02780.1 pep chromosome:ALNU02000000:10:3571017:3571985:1 gene:OGLUM10G02780 transcript:OGLUM10G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPAPAPPASRKRAPAPDDEPTATGYTTPAAAAGAKRPRRYALASVDDYEQLDVVGEGSSGVVIMARHRRTGSKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVADAKSGDVFLVLEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYEECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYVVLPELSPAAREVLSGLLEFDPEKRMTAAEALDHRWFAEEPKKANFASV >OGLUM10G02790.1 pep chromosome:ALNU02000000:10:3579757:3581260:1 gene:OGLUM10G02790 transcript:OGLUM10G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVASPKSQYLSTQPGPTRMFAGLTSRWIRPAAWIAAAPARSCRIRARVSASEKGRPTMRSRSVRLPTNSMTRYTSPLFHGSAATSRSCTTDGCRGTRRGSRVPGRTRRQRRARRGLHLAGGSTRSPPCRRCGGRGPCARRCTRPPRASRDCRSCRCSSTAAPPHRSRRRRRRRPPGACWRSSRQPCRPRLLESLATMVSGGSLEGMGLRGMQRNGPLDRSCSYRSIW >OGLUM10G02800.1 pep chromosome:ALNU02000000:10:3580053:3581035:-1 gene:OGLUM10G02800 transcript:OGLUM10G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATIASKHPAADGGGDACSGAAALPLTNIYNYDSLGTLGAGACSVVRKARDRRTGDTLLDVAADPWNSGDVYLVMEFVGSRTLRDLIVGRPFSEAETRALMRQLLAGAAAIHAAGLIHRDVKPANILVGPGCVLKYCDFGDATLVMPPYDEFLVGTLRFTSPEEVAGDGDRFYGQGVDMWALGCVMAELLTGRFVFTSSETWEDHVLNLLDLRECDIGSEDSPAFGGLPGLSPAGREVLAGLLAFDHRERMTAEAALEHRWFTAAEDSPAVLRRLADLAAGKNNL >OGLUM10G02810.1 pep chromosome:ALNU02000000:10:3587566:3589033:-1 gene:OGLUM10G02810 transcript:OGLUM10G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPPASRKRAAAPDDEPTATGSTTPAAKRPRRYALASVDGYEQLDVVGEGASGVVIMARHRRTGNKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFVNPAGKPYKECLVGTLPYTSPEQLAGNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLANLSANLDDQLSELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALEHRWFAEEPKKANLAGFAPLFG >OGLUM10G02820.1 pep chromosome:ALNU02000000:10:3597236:3597526:1 gene:OGLUM10G02820 transcript:OGLUM10G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRRVEKNVALSEEHSHQHVSNPLVNRLAVRPAGALTAACVGRRVHRLRRLPACRRRENGGEHEQEQHQCMGSAGRCHVYICVGVKDN >OGLUM10G02830.1 pep chromosome:ALNU02000000:10:3597270:3597491:-1 gene:OGLUM10G02830 transcript:OGLUM10G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGGAHALVLLLFMFAAVLSPAARREAAEAMHAAANTRRRQSSGRPDGKTIDQGIGYMLMALALVLTYVLH >OGLUM10G02840.1 pep chromosome:ALNU02000000:10:3597562:3639230:-1 gene:OGLUM10G02840 transcript:OGLUM10G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHRGAGDSSKQEEYLPDLNDKPCGEEAAESSSGGSSGASRQTQKKNERMEHITNNEPYQVINQQTDHLQVH >OGLUM10G02850.1 pep chromosome:ALNU02000000:10:3639292:3640938:-1 gene:OGLUM10G02850 transcript:OGLUM10G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B815] MASMAAVMERERLTAEMAFPPAVVAGGGGASSKPGAEPRSIVIKIRRRLPDFARSVNLKYVKLGLLSAGFPMLPSPSWVVLLALAAAAAYSLFDVAALYAVDLVGCAAWLCAAALLVAVWYARRPRPVYLVEFACYKPDGDEHEISKDGFLEMTESTGFFNGEALAFQTKITRRSGLGDRTYLPPGIQARPPRLSMAEARAEAEAVMFGCLDKLFAATGVDPSRDVGILIVNCSLFNPTPSLASMVVNRYRMREDVKSFNLGGMGCSAGLIAVDLARDLLQANDGALAVVVSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSSRGGDARRAKYRLLHTVRTHKGAADGCFGSVYQREDERGRVGVSLARELMAVAGDALKTNITTLGPLVLPLAEQLKFLRSLVLRRVLRRGARRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLGLGDGDMEASKCTLHRFGNTSSSSLWYELAYAEARGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPPVSAFAAGEEERRRMSCNPWVDSVESYPPKAYI >OGLUM10G02860.1 pep chromosome:ALNU02000000:10:3654095:3654288:1 gene:OGLUM10G02860 transcript:OGLUM10G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGVRGGRRRLRQRHCFRHRCRTLGNTLTSKKVFTWAIATTSGCSTSATLIK >OGLUM10G02870.1 pep chromosome:ALNU02000000:10:3709116:3710844:-1 gene:OGLUM10G02870 transcript:OGLUM10G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTFDGSYEMPSSVSHPAAIRHRALAPAGARARGGGGTGRCEGRRRRRPGRVLGPDVEVAPASAPVGGQELGVAASFAGGDKRRPHRAKDEDNATAQCHRQAPPSIAAYLRSTMSFCIPNPPLDSPSNHELGGSRSGHPELEGRGSSHPEFGCSRSIREVSGPPSTPLRVVEVNLLRGEQVSAVPFHPIVGSHYLLIFFTQFSAKQKSPFPSLASISELAVNRFQHLLWLLWLNPCTGGYTYADLIYVGIEV >OGLUM10G02880.1 pep chromosome:ALNU02000000:10:3721382:3722742:-1 gene:OGLUM10G02880 transcript:OGLUM10G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNLALLDFDLCLREDPPEEIQPPEELNMAEEDYEQLRWNYDEKSAAWVKSNRLSLMYIKNHISPEIIGGIELIAHSVEEEERQRAEKQKLKDQLNLTNANNKGRRKMYQGEGSNSKKNKSSSSQNPSGSKQEASGPQAGPPKTPYCPFCFADGHWQRNCSRFKAWLAKKGNTNSEEISNVDESLYTEFSLNTWWVDSGATVHVSNSLQGFSGIQKVAKGEQSLRVADGAEIEVRAIGDLTLRLPSGCNLILNNVLFAPSIKRNLISVRVLAEIGYDCHFTKRTCYIKFDNKVVGLAFVRDKLYLLSLYDFVLNVINSCNNKAETSSKLWHYRLGHISRGRIERLIKEEILPP >OGLUM10G02890.1 pep chromosome:ALNU02000000:10:3727497:3729991:1 gene:OGLUM10G02890 transcript:OGLUM10G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARRALQRSVLHVASCSLGGSNRLLRQQQQQLEAHHLPTNLQEHYDIILPSHKSGIEKRYIHLDAELISAHPEIIDKHLPSLETRVDIMATEVPKLAESAARKAIAKWGRLATDITHLIFSTYSGYHGPSADLQLASLLGLCPSVSRTILSLHGCSSGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSTDGECPLFEMVATSQTMIPGTEHALSMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPCKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDIQQQPEWGVLLAFGPGVTIESIVLRNPLSRGLKEN >OGLUM10G02900.1 pep chromosome:ALNU02000000:10:3754686:3756629:-1 gene:OGLUM10G02900 transcript:OGLUM10G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGCGKAPVTFGRRPQCRTVRGRAAPHGRHGRTRELGDGLSTSTSMGGALELGLGMEESWERGITEGRRIDEVRCRGGGAEKKLQHAPKVFDEILASNNNHNIQHDAKVLKSLKV >OGLUM10G02910.1 pep chromosome:ALNU02000000:10:3765230:3765433:1 gene:OGLUM10G02910 transcript:OGLUM10G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVVSREAHQREVGSVVGSPAPTWALAFQPSQGTSFPGFAWNVKRRASRECWRKGRLKGGVWTRCS >OGLUM10G02920.1 pep chromosome:ALNU02000000:10:3765484:3765693:1 gene:OGLUM10G02920 transcript:OGLUM10G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDGWACQELCFLSRKSNGGGDLVGAQIWPKRLRLLSMEPYSSDDWGEWREVDDGYGDTVSRPTCSDV >OGLUM10G02930.1 pep chromosome:ALNU02000000:10:3799423:3799983:-1 gene:OGLUM10G02930 transcript:OGLUM10G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQSAWEAIKTVCIGTRRVHEWLARLKNREQSGESSGTAPDAAANAGSKKRGNRRGRGRGQEHPGDKCRSCGKMGHWAKDCRSKPKKAEAHIAAEDDDEPTLLMARVDGRYRSVSTVNITKIEEN >OGLUM10G02940.1 pep chromosome:ALNU02000000:10:3800493:3803181:-1 gene:OGLUM10G02940 transcript:OGLUM10G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSAPPVEVLINDAYRQILLRLPADRPKLPYRFAAVCRTWRSLLTDPAFLRRYREFHGLPHLIGYVHDGADGRDLVVARFVTTADTTFRPRVPEKGVDLHVLDSRHGRVIFRRIGKGWLDESSLIIWDPVADHHQEVPLPEAFAQEEFNLTATVLCDALGCDHLDCHGGPFRVVFVGVRDEEGASATSAFNYTSSSGSWTASPAAAAAVADEDDWGFRMPAPSILVGGTTLYFRSPGRILRYWFGDEMEHLSYVDIPPFITQETRGTVLMPAADGRLSFAAMYDDMTISFWETEVSADGAVDWVHTQNALVSIPLPGVLIGAAASLLFVRTEDGGIVSVQVGNGRFQMLPQPAPQWQQISALIPFMSFCTP >OGLUM10G02950.1 pep chromosome:ALNU02000000:10:3811691:3812352:-1 gene:OGLUM10G02950 transcript:OGLUM10G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGDSLRLKTSHGLKIKIRIKEAASTLYMTTGWKEFAEATGLETGETILFRMPSRSKARVMLLNRQCLTRCPVKTPSTTSSDKNRSLSPSDQLTRASTCAHPSTSKSIPPLRNGTGSTKRSIADTSFCHQLKLTAEN >OGLUM10G02960.1 pep chromosome:ALNU02000000:10:3846109:3852996:1 gene:OGLUM10G02960 transcript:OGLUM10G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPAHDYPTIEPTSFDVVICGTGLPESVLAAACAAAGKTVLHVDPNPFYGSLYSSVPLPSFLSPDPSSVPLSPSGDDSHTVVDLHRRNIYSEVDTSGAAPEPSRRFTVDLVGPRVLYCADEAVGLLLRSGGSHHVEFKSVEGGSLLYWEGQLYPVPDSRQAIFNDTATLKLTEKTVLFRFFELVRAHIAAADEDGEGEEASDKISEEDLDLPFVEYLKKHRLPPKLRAVVLYAIAMADYDQDTDCCEKLLTTREGIQTIALYSSSIGRFANAQGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPISALLMDEERKNFLGARLTSGQDILCQQLILDPSYKVPILDAPSDGSDSNLLRKVARGICIISKSVKQDSSNLLIVFPPKSLEEQQIAAIRGLQLSSNLAVCPPGLFMVYLSTPCADTSTGKQLIKKAIDALFAPQASDSLEGHLEETSENNEDLKPTVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKKVSRFIYGMLGGGIHVDKNRQEMCRTKLTQQEENFPVFSKARGFGAARGYFGDQACREVEMAIDMKEAAEGVEAGGSVERRCWTGRDRGTVAVTGVLPVPAAATSGGWTKAMAAGEVVGVGVWGEGSGRADNGGKSAARSPPYANAGDEQRLDGGGGGGGSGGRGGGATEVGARMCDLARGPIRECSISGDGGATREGEDCGVSRIRRSETSSRCGDGGMEAVESGKVGGGNGGVEAVTVWGKRGRPGRPEP >OGLUM10G02970.1 pep chromosome:ALNU02000000:10:3853701:3854085:1 gene:OGLUM10G02970 transcript:OGLUM10G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTTIYSNIPLSKCEQRKHLDWRRNRQECSIRMIALCAVIDVAKAKGARELWSMKPFVERSSWTNGTRGRARDEDGDTIAAVIEEDDTEEPM >OGLUM10G02980.1 pep chromosome:ALNU02000000:10:3854601:3855270:-1 gene:OGLUM10G02980 transcript:OGLUM10G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRLEHVTAVGIRRLPARRTSSPSLPPLSAHRPCRCGGTRPDGTPDSDDVGARSPPPRNRTTAANRLQIHSVAASSSPLCHGMPPARPTELRLLPFAGAPTWASSTRQPALVRLGSASALFLSPRLEMRTELRTKLGCERTGLHRPLPRRHLHVSNIGISSGFHYVVLPPRAVVPLPVVHLHWLLER >OGLUM10G02990.1 pep chromosome:ALNU02000000:10:3872913:3873703:1 gene:OGLUM10G02990 transcript:OGLUM10G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPLILSHLSLSSSSPFGSTAQLETRKAAARLGTGTAAARQGTGTAAAWLGTGKTAGGRGRWRHGWGREGGDMAGDRDGGDAARDGDGGGADMVGAGGIWCHRPSHAAEPSNLPQPTPASSSSPAAPALPTSSPTPISILLDDLFLECLAGVPYASLPQLPTTSPRPSPPSRPRRAPRLPPRSLRRAHGEPTDVGMVVVMRTRSQAATDSQLCLVVAATAVAATLHRRRLDGNRSSFSFPCKVNKMARGKYVRA >OGLUM10G03000.1 pep chromosome:ALNU02000000:10:3878158:3885061:1 gene:OGLUM10G03000 transcript:OGLUM10G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASVPLHRICSANLPNPQSNDTILDFGSACFCHGMVCLLELSGNNAIIVMDDADIQLAVRSMLFAAVGTAGQRCTTWRRLLLHESIYRTFLDQLVEVYKQVRIGDPLENGAAKRAIIINRQRPTVQNARWLDKYFS >OGLUM10G03000.2 pep chromosome:ALNU02000000:10:3878158:3885061:1 gene:OGLUM10G03000 transcript:OGLUM10G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCASVPLHRICSANLPNPQSNDTILDFGSACFCHGMVCLLELSGNNAIIVMDDADIQLAVRSMLFAAVGTAGQRCTTWRRLVSFFTKAYTEHFLINLLRFINKSELGILWRMVRWLDKYFS >OGLUM10G03010.1 pep chromosome:ALNU02000000:10:3885925:3909279:-1 gene:OGLUM10G03010 transcript:OGLUM10G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGGGEEKGVREAAASTGRRGGALVTTGERGRVAGLARDLPKVEEGLGWGDMARGGENRRPGKVEAVELTGARGEWSSGEFPVAWSGDWGTAGAATLWVAVGRPGKTPLTGGERLKAVEVRRLWCGGGSGAPVAFLGKGGDAEMRLSTAEPMVAVAWRGDGRSGGGGRLESTGGRWSSAARWEWCRRGGRGGRSGCRGAMWSGEADGGGRLARRRL >OGLUM10G03020.1 pep chromosome:ALNU02000000:10:3943645:3969435:-1 gene:OGLUM10G03020 transcript:OGLUM10G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAIGNGALHSNGAGADTKGKPIKCKAAVAHGPGEALVMEEVEVAPPARMEVRLKVLFTSICHTDLSAWKGENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACTYCESSKSNLCKTYRVNPFKSTMVTDGGTRFTMIDRSSGARNPVYHFLNTSTFAEYTVIDSACAVKINPKAPLEKMRVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKGKEMGITDFINSKASGKPVHEVIMEMTDGGVDYSFECTGITDVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVEKCMQGEININFDGFITQEMPFADINKAFQLLEEGKSLRCLLHV >OGLUM10G03020.2 pep chromosome:ALNU02000000:10:3945013:3969435:-1 gene:OGLUM10G03020 transcript:OGLUM10G03020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDATAIGNGALHSNGAGADTKGKPIKCKAAVAHGPGEALVMEEVEVAPPARMEVRLKVLFTSICHTDLSAWKGENELQRKFPRILGHEAAGVVESVGEGVEDLAPGDHVVPIFTGECGACTYCESSKSNLCKTYRVNPFKSTMVTDGGTRFTMIDRSSGARNPVYHFLNTSTFAEYTVIDSACAVKINPKAPLEKMRVGAAWNTANVSKGSTVAIFGLGAIGLAVAEGARLRGASRIIGVDINPEKFSKAVPPQVDMSTVTSCTFLSIRPVVSSAGGLLSKKPAAFVSLASERKSRPLSPCFAISSNKPGDPKIDVSPFSISPVVLVNPVPVDGERWQVAENKDEVSLLFEVPGLSPADLIVEIDEDVLVIKKKKKASPKSNYNTPTSGPIADHQEATADEFSGGGIYARLLLPAGYSREGVQAKLTSGELKLTIAKVKESFRRKINVDISVNYC >OGLUM10G03020.3 pep chromosome:ALNU02000000:10:3943645:3944668:-1 gene:OGLUM10G03020 transcript:OGLUM10G03020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFIFDKIQVHFSINRKDRKKVAPAGSKEMGITDFINSKASGKPVHEVIMEMTDGGVDYSFECTGITDVLREAFVSTHDGWGLTVVLGIHATPRMLPLHPMELFDGRRITGCVFGDFKGKSQLPEIVEKCMQGEININFDGFITQEMPFADINKAFQLLEEGKSLRCLLHV >OGLUM10G03030.1 pep chromosome:ALNU02000000:10:3978614:3980119:-1 gene:OGLUM10G03030 transcript:OGLUM10G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVVASYAPASRSPVTRASSGARRVSHTQSWRPPPPPFFPASAAVKCRRPTLPVARSVGPPEKHRPVFNIPPTERWEIKDDEGNVQLWLQVPGLTEDDLEITTTDEMLEIKRKAGGGDPRRLDDVHGVGSFRLRLLLTKEFVSSQVTAELKAGMLEVTIPKNTNLRRTVVRIGQQSQSPAAVRTAQPKVVDPPPANSPPKNNNLVRNTSVQSRIDPPARESPKNDLGVGISVQPNDSPAREPSKNNLAGGRNVAPKDDPPANEAPRNNLAGGTGVPTKDPPRGNNGPLKDPPRNANEPPKNPPGTREANQG >OGLUM10G03040.1 pep chromosome:ALNU02000000:10:4001929:4011128:-1 gene:OGLUM10G03040 transcript:OGLUM10G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLHAASCLPCTAEEERDLVVALTREAEENVKDGDLRYLVSHSWWLNWQSYVGLIKPDENDADMLPQAPSRPGEIDNSKLVSEESSSIGDEPELQRTLREGDDYTLVPQEVWRKLHEWYKGGPELSRRAKVSELYSLVCSLLSVEQSKIDIWDFYQKTKGKKLINLNETVEEAQLMMDQEIIIEAKADDAWCSDLGTRSNNELALIPLEPSTSSFSIAGGPAFSNGFSSGFGSSFSQDNSFSPLLRDAEDGYSFSNGTKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSREINTENPLGLQGELATAFGELLRKLWSAGRTSIAPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCKKISVTFDPFMYLSLPLPSTVTRMINVTVFSGTGDALPMPYTVKVYDHRIYRYWNPSEPLCHVKDEDKLVAYRLPVGSENLLRVEILHRVVDRYTSESMFNLSRKLIGSPLVTCIPSDSTRKADIYATVSSLLAPFVRAKVHTLDESATKLNSNGPSLDGIVLTDNGVTCEEDVSTSNVDEEAADEEVLPFQLWLTDDKANKREHIDADSNGVPGSTMRLLMDWSDREHEVYDIKYMDELSVVFKPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMYCPRCTEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYANHSRGDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEDIRTSSAYLLFYRRVGSSSCSVSKNVTVDTDMVDSLDT >OGLUM10G03040.2 pep chromosome:ALNU02000000:10:4001931:4011128:-1 gene:OGLUM10G03040 transcript:OGLUM10G03040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSAEGFLHAASCLPCTAEEERDLVVALTREAEENVKDGDLRYLVSHSWWLNWQSYVGLIKPDENDADMLPQAPSRPGEIDNSKLVSEESSSIGDEPELQRTLREGDDYTLVPQEVWRKLHEWYKGGPELSRRAKVSELYSLVCSLLSVEQSKIDIWDFYQKTKGKKLINLNETVEEAQLMMDQEIIIEAKADDAWCSDLGTRSNNELALIPLEPSTSSFSIAGGPAFSNGFSSGFGSSFSQDNSFSPLLRDAEDGYSFSNGTKDDIHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLQDYSREINTENPLGLQGELATAFGELLRKLWSAGRTSIAPRPFKTKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKKKPYIEAKDADGRPDEELAEECWNYHKARNDSIIVDKFQGQYKSTLVCPDCKKISVTFDPFMYLSLPLPSTVTRMINVTVFSGTGDALPMPYTVKVYDHRIYRYWNPSEPLCHVKDEDKLVAYRLPVGSENLLRVEILHRVVDRYTSESMFNLSRKLIGSPLVTCIPSDSTRKADIYATVSSLLAPFVRAKVHTLDESATKLNSNGPSLDGIVLTDNGVTCEEDVSTSNVDEEAADEEVLPFQLWLTDDKANKREHIDADSNGVPGSTMRLLMDWSDREHEVYDIKYMDELSVVFKPGFMSKKNRQEAVNLFSCLDAFLKDEPLGPDDMYCPRCTEHKQASKKLDLWRLPEILVVHLKRFSYSRFMKNKLDTFVNFPIHDLDMSRYANHSRGDQPPIYELYAVINHYGGMGGGHYSAYAKLVEEDSWYHFDDSHVSSVGEEDIRTSSAYLLFYRRVGSSSCSVSKNVTVDTDMVDSLDT >OGLUM10G03050.1 pep chromosome:ALNU02000000:10:4017556:4018401:-1 gene:OGLUM10G03050 transcript:OGLUM10G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLHDALAQLGLDKQVAVTTAHNLGVLATSYPPSSAYFRKDLLPLLCPILDFHARTGSPFLVNAYPYFAYAEDPTGVELEYALLEPTYAGVADPSSGLHYPNLLVAQVDAVYHAIAAANTAAARAVEVRVSETGWPSAGDANETGATPQNAARYNGNVMRLVADGKGTPLRPSVALRAYMFALFNENMKPGPTSERNYGLFKPDGTPVYELSYRLPKDNTNSGGGGGGAIGGSSGTIGGGGEYNGHGEDGGYYSISASAKPAVKLSSALLLIMLHNFYVL >OGLUM10G03060.1 pep chromosome:ALNU02000000:10:4032051:4033343:-1 gene:OGLUM10G03060 transcript:OGLUM10G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARARRDNTPYTGGWLSRRRCHQRGRNDGALLTTLPDDVLLDMLLRVFSDATDVARFASTCPRWGSFVATHAATISRALPQPARFLPSLALGCFQQENDVSRCAWGRKRLAASSSPQPRFFPAASASRTFFLGPFSLPDGDPMFDYAQPVASRNGRVVFELRRDARSEGLTLVVSNPMTGDTAVLPPLTGGDCPGSYACAILTGDDLDTPPSRSFFRLLIIYNRPGFTAMRCYSSDAGSWGPKQGGKILDHRLRRLGHAVVVGGVAYWPLHREAFGVRLSDSAMDVCSVPYIRGGYWPDFRLLGVSPDGKKLRYITVGFVGRVSLCVHLLTTQFEDVGDIHDLHVDVPGLRVTTVTPMKLRWFGEKSGTVIFTIGDADGGVFALNMVEGTVQKLADGGGYHACRNIYGYEMDRATLIASLAD >OGLUM10G03070.1 pep chromosome:ALNU02000000:10:4041778:4043145:1 gene:OGLUM10G03070 transcript:OGLUM10G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARARHDATPYTGGWLSRRRRPCRQRIRSRDDARAGSDDDDGGLLTALPDDVLFEILPRVFSDAADVARFASACPRWCSFVATHAATISRALPRPTRFRPSLALGCFQQENDVGRCSWGRKRLAASSSPQPHFFPAATASASRFLGPFSLPDSDPMFDYAQPVASRNGRVVFELRRDARSDGLAFVVSNPMTGDTAVLPPLTGGDCPGSYACAILTGDDLDTPPSRSFFRLLIIYNRPGFTAMRCYSSDDGSWGPERRKPGRKMLDHWLRRLGHAVVVGGVAYWPFHSEAIGVRLSDPAMDVCSVPYTYGEYWYEQDLRILGVSPDGRELRYIDAGFRRPASLVLSSLKTKFDDHGDMYDVHVEVPDLAVALTKTPIKLRWFGEKSGTVIFTIGDAQHGGVFAVNVAEGTVNKLAEGTAEKLADGGGYHACRNIYGYEMDRATLLASLAD >OGLUM10G03080.1 pep chromosome:ALNU02000000:10:4043418:4043897:-1 gene:OGLUM10G03080 transcript:OGLUM10G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLILVMAALLLPAAADATSSALLGINYGRVGNNLPPANAVPPMLSSLGVGRVRLYDADPATLRAFANTGVELVVGVPDECLAAVSTPSGAASWVRSVVQPALPATKIAVLTVGNEVLTGANSSSLSRSLLPAMQCLHDALAQLGLDKQVAVTXFCGF >OGLUM10G03090.1 pep chromosome:ALNU02000000:10:4057366:4058733:1 gene:OGLUM10G03090 transcript:OGLUM10G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARARHDATPYTGGWLSRRRRPCRQRIRSRDDARAGSDDDDGGLLTALPDDVLFEILPRVFSDAADVARFASACPRWCSFVATHAATISRALPRPTRFRPSLALGCFQQENDVGRCSWGRKRLAASSSPQPHFFPAATASASRFLGPFSLPDSDPMFDYAQPVASRNGRVVFELRRDARSDGLAFVVSNPMTGDTAVLPPLTGGDCPGSYACAILTGDDLDTPPSRSFFRLLIIYNRPGFTAMRCYSSDDGSWGPERRKPGRKMLDHWLRRLGHAVVVGGVAYWPFHSEAIGVRLSDPAMDVCSVPYTYGEYWYEQDLRILGVSPDGRELRYIDAGFRRPASLVLSSLKTKFDDHGDMYDVHVEVPDLAVALTKTPIKLRWFGEKSGTVIFTIGDAQHGGVFAVNVAEGTVNKLAEGTAEKLADGGGYHACRNIYGYEMDRATLLASLAD >OGLUM10G03110.1 pep chromosome:ALNU02000000:10:4066988:4070469:-1 gene:OGLUM10G03110 transcript:OGLUM10G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCRRDGTFAILVFPMALVFHWQLCQKLRNFHHKPAFARTPPIRRKASACSATITVATANVHGNVKLNDLPSHIILHIMSFTSMCQAGHACVLCCQISGVPSIPKNIKGELKERSFTCENLKIVEVTFLEEDPLVNRLENFFFNSGITSLQINITLWNYN >OGLUM10G03120.1 pep chromosome:ALNU02000000:10:4072971:4073336:1 gene:OGLUM10G03120 transcript:OGLUM10G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGGALAVGGEAAAVAPEPRAWRMPVGRHWWRGHLIAGGRRGERKEGEGGGGRPGGHAGTVDAFRLAGKARRQHAGAAEAEARRRSRKSARERRRGVMRKEDEEVFRVGFLCTNL >OGLUM10G03130.1 pep chromosome:ALNU02000000:10:4084201:4089659:-1 gene:OGLUM10G03130 transcript:OGLUM10G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRDLAAAAARFELQLHHPAGCAPLPSPHGSVALFGAGAARIRADVALTWKRPRRHRPCMEAAVLSCCPPPHFVSMRRQPPSGSPVEHLAGVRTDLVFAGRRPKTHYIWGHLFLPRPLPPARGFVFFLSPKRPPSSSSLRSLVVLPPLPIMDNPPPYLDHLLAEDFAMPCIPRVFCSVCATLICLECCPDHTAVHHPGTNAVLVEVVMVEGFPALTHRSVRTTGMGYDWNHIQRVKYDGNTWVMLRRDRPKKSMCGMHEKCPCGCRISPKNTFCSPSCKVAAIQRGRSWQLEGFPFIPDPDEQLPEVICARVTRVIVGDGRSAIPLRSQVLPSANNAHNCTCIMGEWCSVFCKRNGALGLLGCCPRLETVAQVGRSDRRRPKSNA >OGLUM10G03130.2 pep chromosome:ALNU02000000:10:4084201:4089659:-1 gene:OGLUM10G03130 transcript:OGLUM10G03130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRDLAAAAARFELQLHHPAGCAPLPSPHGSVALFGAGAARIRADVALTWKRPRRHRPCMEAAVLSCCPPPHFVSMRRQPPSGSPVEHLAGVRTDLVFAGRRPKTHYIWGHLFLPRPLPPARGFVFFLSPKRPPSSSSLRSLVVLPPLPIMDNPPPYLDHLLAEDFAMPCIPRVFCSVCATLICLECCPDHTAVHHPGTNAVLVEVVMVEGFPALTHRSVRTTGMGYDWNHIQRVKYDGNTWVMLRRDRPKKSMCGMHEKCPCGCRISPKNTFCSPSCKVAAIQRGRSWQLEVNFVVVEILMKEGFPFIPDPDEQLPEVICARVTRVIVGDGRSAIPLRSQVLPSANNAHNCTCIMGEWCSVFCKRNGALGLLGCCPRLETVAQVGRSDRRRPKSNA >OGLUM10G03130.3 pep chromosome:ALNU02000000:10:4084201:4089664:-1 gene:OGLUM10G03130 transcript:OGLUM10G03130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRCEEIWPQPPRGSSSNSTTPQACAMDFVFVALSTWRMRAPAVPAWKRRAVWCRRCQDSRRCRPHLEAPAPPPSLHGSGRPLLLSPAAFRLDAPPATEREPRRTLGGRAHGPRLRRKKTEDALYLGPPVVMVEGFPALTHRSVRTTGMGYDWNHIQRVKYDGNTWVMLRRDRPKKSMCGMHEKCPCGCRISPKNTFCSPSCKVAAIQRGRSWQLEVNFVVVEILMKEGFPFIPDPDEQLPEVICARVTRVIVGDGRSAIPLRSQVLPSANNAHNCTCIMGEWCSVFCKRNGALGLLGCCPRLETVAQVGRSDRRRPKSNA >OGLUM10G03140.1 pep chromosome:ALNU02000000:10:4092536:4096671:-1 gene:OGLUM10G03140 transcript:OGLUM10G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIDQAIHAAGCSTTQIDNEYTFPVTSKERNKLRGQKVYATIWPKGRFISECQVELIRLASQLNGDDVDRT >OGLUM10G03150.1 pep chromosome:ALNU02000000:10:4101268:4102635:-1 gene:OGLUM10G03150 transcript:OGLUM10G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLRRARARHDATPYTGGWLSRRRRPCRQRIRSRDDARAGSDDDDGGLLTALPDDVLFEILPRVFSDAADVARFASACPRWCSFVATHAATISRALPRPTRFRPSLALGCFQQENDVGRCSWGRKRLAASSSPQPHFFPAATASASRFLGPFSLPDSDPMFDYAQPVASRNGRVVFELRRDARSDGLAFVVSNPMTGDTAVLPPLTGGDCPGSYACAILTGDDLDTPPSRSFFRLLIIYNRPGFTAMRCYSSDDGSWGPERRKPGRKMLDHWLRRLGHAVVVGGVAYWPFHSEAIGVRLSDPAMDVCSVPYTYGEYWYEQDLRILGVSPDGRELRYIDAGFRRPASLVLSSLKTKFDDHGDMYDVHVEVPDLAVALTKTPIKLRWFGEKSGTVIFTIGDAQHGGVFAVNVAEGTVNKLAEGTAEKLADGGGYHACRNIYGYEMDRATLLASLAD >OGLUM10G03160.1 pep chromosome:ALNU02000000:10:4103941:4105832:1 gene:OGLUM10G03160 transcript:OGLUM10G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPLVRPDARVTVPSPPYRSIDPFRRGPHGRSGLPGDLLRLFSGRLHDPLDFLRFRAVCRAWRTATAAAAASPPPFLPWLLARPAPISPTAGPSLSFYSLSSAALRSVAAPSATCSLLGHTNSHLLFSDHGPLLLLLLNPLTGADLPLPPSPFDAFSPITQGYYLPGPDSPVVLYDTRRIFFHHPGGGGGGGGWTTVPVVDLVAENMYHAGKVFVCNDRGHLTIFDAATLAVLGDAAPPPPPPVTLQRDAFKCSSFVPSGDDLLCVIRYFRSKNTEQAGELLEDCCALEVHRLEIAGEKSRWVQMRSIGDRMLFVGLYQGFSLRAADFAGLEGNCVYFFKMDRASRSFIYRFRMEDGQIEELPGPSMHACTWFVPSLS >OGLUM10G03170.1 pep chromosome:ALNU02000000:10:4109921:4110993:-1 gene:OGLUM10G03170 transcript:OGLUM10G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSIAGKKAAASDGVGRLQDRTGVGDDTVHLDPRDSERKSRGTTIRLLVARWVRCACRPRRKEGKRAGSDAV >OGLUM10G03180.1 pep chromosome:ALNU02000000:10:4111788:4112166:-1 gene:OGLUM10G03180 transcript:OGLUM10G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGIAAAVASAAAVAAASGAELLACDCADQPPQQQEAAAAAVGRCDAFLVRHNQGSSLSRDAERAAAAAGEQRVEGKKFAPRFDGLRFIETLVTAHR >OGLUM10G03190.1 pep chromosome:ALNU02000000:10:4119099:4119407:-1 gene:OGLUM10G03190 transcript:OGLUM10G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAAAAAATASAAATAVASAATAAGTERCVTTCSNPTARSIPRPLFLVAGCGLLTLARVCLLLLQGSPASRGNGRRSAAEDRFAPRFDGLRFIETLVTAHR >OGLUM10G03200.1 pep chromosome:ALNU02000000:10:4167844:4174754:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHCSSHTRSTAAATRITSARQLLPPPPQSSLSPPPPLPVVALTVQLAVLFWRLTPLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKVTVRWVRSVYEYFTEAFDLLKEDNVQWCPYMEEETQRRAPANLNTLYLRDSSYWLTKKMIVYDIAVEVYNLQRGDIAEELYSDTTSLWEKLRDNIAGSLEEMMSALDRMRQKCKRIMRRHRAGMHQKSTVPQDTGLRTPYWNNRLPLLGLPHRQDPQLPHVLGRRQGLWHRLR >OGLUM10G03200.2 pep chromosome:ALNU02000000:10:4167844:4174754:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHCSSHTRSTAAATRITSARQLLPPPPQSSLSPPPPLPVVALTVQLAVLFWRLTPLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKVRSVYEYFTEAFDLLKEDNVQWCPYMEEETQRRAPANLNTLYLRDSSYWLTKKMIVYDIAVEVYNLQRGDIAEELYSDTTSLWEKLRDNIAGSLEEMMSALDRMRQKCKRIMRRHRAGMHQKSTVPQDTGLRTPYWNNRLPLLGLPHRQDPQLPHVLGRRQGLWHRLR >OGLUM10G03200.3 pep chromosome:ALNU02000000:10:4162663:4174754:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHCSSHTRSTAAATRITSARQLLPPPPQSSLSPPPPLPVVALTVQLAVLFWRLTPLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKIFYKQDPHVIPPLPLFFSLLAPARTAAHGSARKRAVDAQDTEAVGPSAATAAAEAEVEKARTEWVAGSARWWRESEEVEVEEERMGAGGREEERRAARWEV >OGLUM10G03200.4 pep chromosome:ALNU02000000:10:4167844:4174754:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHCSSHTRSTAAATRITSARQLLPPPPQSSLSPPPPLPVVALTVQLAVLFWRLTPLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKGDIAEELYSDTTSLWEKLRDNIAGSLEEMMSALDRMRQKCKRIMRRHRAGMHQKSTVPQDTGLRTPYWNNRLPLLGLPHRQDPQLPHVLGRRQGLWHRLR >OGLUM10G03200.5 pep chromosome:ALNU02000000:10:4166951:4174754:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSPMRPRSSSASSTSPVAGKKEKTATKPAGGGARDPPKGRSSSFACRVCGKRFPSQQAMAGHCSSHTRSTAAATRITSARQLLPPPPQSSLSPPPPLPVVALTVQLAVLFWRLTPLLAAAAVADWSQVAAGIPLSKEQLVVAVAIVVADDGQGQAAEVFLLDLAAEELNLGPSMNNEATSIPKALNE >OGLUM10G03200.6 pep chromosome:ALNU02000000:10:4164678:4166783:-1 gene:OGLUM10G03200 transcript:OGLUM10G03200.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQSSSAIPRARNAASIPHGTGSVLSFGAAFCVTGRAGGQSRIFYKQEWLAPASNWTATASPLADVAGRC >OGLUM10G03210.1 pep chromosome:ALNU02000000:10:4181695:4189739:1 gene:OGLUM10G03210 transcript:OGLUM10G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAGAAHARSGWFEASSSSLAKRWLLRGMDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLGVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCLMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLVKYRDLKEKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >OGLUM10G03210.2 pep chromosome:ALNU02000000:10:4181478:4189739:1 gene:OGLUM10G03210 transcript:OGLUM10G03210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKSSAGDVLKSSGQAEQDEQVPKFVQISPERYTNLTESEEQVKILCDKVNVLNEKLSAAQSDITTKDSLVKQHVKVAEEAVSGWEKAEAEALALKHQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEESEQKLQDVVFAKTKQWEMMKAELEAKLASFEHELIRAGAENDALSRSLEEREHLLMKVGGEKEQAESQIEVLKGTIQSGEKEISSLKYELHVLSKEFEIRNEEKNMSVRSADVATKQHVEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKLEVDSWGRDHADNRLRRSPSRSSNFHHPLSPSPDNSLENLQHMQKENEFLTARLLSMEDETKMLKEALSKRNNELQVSRNTCAKTAGKLRSMDVHMVSARQYKNPTNSNLGVHHDGALSLNGSNPPSLTSMSEDGVDDATSCAESWANALISELSHIKKDNGGKGSLTENSNQMVLMDDFLEMERLACLSPEGKECGSFIDKKKATKVHTTLTTITKRESDRDSWPSSQFPDTPSSSEHLPENSPLSKLHSRISSLLGSHSPQNNVGKVLDGIRNILRDIKEEAESVSAKKNQPDNMNGLADNGSLTKQSKNLRDVDHGLRHAILEILDFFQPFKRQLSEVQGKSSHQNTILEKIEKFSTIADKVVLNENALAEMVIALAEILAGNSAIKLTLPRDSITETESNNLDYVDKVTLLENKVHHEPIKDSLADICSLAPHSSSDPDFEGSRDAFVVKTTVQMCLMEEYEQLKSEKRKLEMELAKCNETIECRKLEFSLMEKNMEELTSKLSACEKSNSLTETQLKCMAESYKSLELHKLKLESEIEVMHRQINTLRTELADERQNHQDDLVKYRDLKEKIERYENEKNTSSVDEDAGVKMKQDKEIAAAAEKLAECQETILLLGRQLQTLRPPPAEPLGSVLNQQPVGVFSEDQARTTQGLHFKKLSGQFDTDHTFSSAPGTGNVSPLNGYRTHKSPSNLDGNTYFASPNNSKRPKHRSRSSSSSSFTNQFTEKQSRGFSRLFSKSKSEY >OGLUM10G03210.3 pep chromosome:ALNU02000000:10:4181695:4183717:1 gene:OGLUM10G03210 transcript:OGLUM10G03210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVGEERRGRRKGGRQVDKKGKARGGVKVVEESEGIQSNPVLIDPSRVRACACVVSSSSPDWEQSRVEQECARSKAGGGATDTDRIPHSAGAAHARSGWFEASSSSLAKRY >OGLUM10G03220.1 pep chromosome:ALNU02000000:10:4192673:4197768:-1 gene:OGLUM10G03220 transcript:OGLUM10G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKTMMVMLGMEMLHGVAHWAWRTLGPLSFAGVREGGAAQSYSCRLKNARRCGAAACHLPMAAVLRHCSHPFLRYL >OGLUM10G03230.1 pep chromosome:ALNU02000000:10:4197950:4199575:-1 gene:OGLUM10G03230 transcript:OGLUM10G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVVSASGSGSGGGGGGGGGGAGGGGGGGPCGACKFLRRKCVQGCIFAPYFDSEAGAAHFAAVHKVFGASNVSKLLQQIPAHRRLDAVVTICYEAQARLRDPVYGCVAHIFHLQHQVAGLQSELNYLQGHLSTMELPSPPPYVAGPTLAPPQPQPLMPMTAAANFNFSDLPSSSAANIPVTADLSTLFDPLPAAQPQWGLYQQQQHHHQQLHHHPYDRMGDGSSSSRGGDDDGSDGGDLQALARELLDRHGRSSSSSKLEPPPHTQ >OGLUM10G03240.1 pep chromosome:ALNU02000000:10:4210676:4211313:-1 gene:OGLUM10G03240 transcript:OGLUM10G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGRNHNGAPSLAWERWHGTQQAYELDETVAGGAPPAREVADLELPQPDPVPPRLDLTSPSRIGPGSGDGNERWEGDNDSGRLAWPVTTEADMANRSRRCLVCTVEGGGQRRAWMLTVPNCSDMGDDSRDGGIVSYQRRQCCRVGDPAKVGLG >OGLUM10G03250.1 pep chromosome:ALNU02000000:10:4228379:4231135:1 gene:OGLUM10G03250 transcript:OGLUM10G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVVGIIILKLGLALATDTSRVGRNWLCHEASALARIFSQIRDMKEELESMQSFLQGAERFKDTDNTTANFIKKIRCIAFEIEDVVDEFTSKMEVKQGGLASKIKQRICHIKTWHRLAFKFQDIKLKLENVDRRKVRYDMTGLVKNAEQSDANCRYTDHTSYFPTEEDLVGIDDNKKLLMNWLRCDSQLQSVITTVCGMGGVGKTTLVAHVYNNVKVDFDSAAWITVSKAYQVEELLRQIIKGFNSNDLKSELRVDIVDMEKRTLVEIIRDYLKRKRFLLVLDDVWGVDMWFKIREAFPANSIGRFVITSRVHDIALIATGNHKIELKPLEAHHSWELFCKEAFWKNEDRICPLDLQNLAQRFVDKCNGLPIAIACIGRLLSCKSPCYSEWENLYKELELQLSNNAILDVNIVLKLSLDDLPYILKNCILHCTIFPEDYLIKRKRLIRHWVTAGFIAVTEHKTMEDVAEGYLYELVNRSLLQVVERNESGRVRSCRMHDIIRILALTKSNEESFCSVYDDSRTTSKQNTRRLSIQSSDIEKFTVSSEVHLRAIYAFNELVTSDSLKFFLKSFNLLSTLDLQGTQIRKLPKELFNLFNLHFLCLRDTFVEDIPETVGRLQNLEVLDAFNARLVSLPQSIANLHKLRYLYVATDPRKGTKGVVPWIGIQVPNGIRNLKSLQALQLVEANSETLCHLGALTELRTFAITQVRREQCSDLCNAIMNMNHLASLSIMAINETETLELDGLRLPPSLSKLELGGKLDKESMPRIVSSFSDLGNLTLLTLALSKLDENSFSCLLLLNGLRGIWLDKAYQGKKLHFNAMSLPSLRLLAISDAPELNDVVIEQSALQNLIRLTLIDCPELKTLPDGIEHLITLEELYMRGASKELTKKLKQKEDSNYSNTYLMKINHIRRVTVFP >OGLUM10G03260.1 pep chromosome:ALNU02000000:10:4237271:4240375:-1 gene:OGLUM10G03260 transcript:OGLUM10G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLGRCWATRHAHSPRPSLPPFHLSFPLVRRRKLAPPRLLRRLKSVAEGAAGCFPASKAHRRQIRRERRQPPPRELRETISDVRALRQSPLSLVAPVHASGAACILLLPRCRILARTTLDIPRISGHQELYHSRQSVNSCSCCMKAVQCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >OGLUM10G03260.2 pep chromosome:ALNU02000000:10:4237271:4240375:-1 gene:OGLUM10G03260 transcript:OGLUM10G03260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSLGRCWATRHAHSPRPSLPPFHLSFPLVRRRKLAPPRLLRRLKSVAEGAAGCFPASKAHRRQIRRERRQPPPRELRETISDVRALRQSPLSLVAPVHASGAACILLLPRCRILARDHPYYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTHHLDKVLEEAAATFHPHVECPKYPGFCLTRQKNEYPFIEVFYNPEQAASPGKAVDPNVTKYSVKVLPFNYDQSVYGFREYFKKHGFKYFETN >OGLUM10G03270.1 pep chromosome:ALNU02000000:10:4242705:4243064:1 gene:OGLUM10G03270 transcript:OGLUM10G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARWRASTSSRLPTLPFSAPAPPFQEATAPLAASDDDAAAMAVFELERILEEAAAGRLGSSGGGNGSPSSGSDGGEGCRKCSHPDDRRGGEKSDAVCHCSMQRIFFFLISSKDFLS >OGLUM10G03280.1 pep chromosome:ALNU02000000:10:4244336:4247266:-1 gene:OGLUM10G03280 transcript:OGLUM10G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKKKHLNLAVSTHTLISLSSSVSSPTPLSLLLSTHPPPHSPSLPIGSGGGSSSSGFGGGARWGGQRLQRRRQGGQRLRRQLTASAHGGGGGAVEGAIDPAAVLVERAGSSACLPTGSSGDAGEGGCDGGSRRRLREMDLVEVLVGSVACLGDDDDASDGSGGGLSSLSLLHCRQLPSPPPPLETVQEIVEATMTYGARAWLLGNLVQKPLSVIPVNLADSGSERRSKKLRKEAEMSKSGRKRLCKVLNCRRLSDKACTHAAALAGGHAEATELPSNNDE >OGLUM10G03290.1 pep chromosome:ALNU02000000:10:4248555:4252952:-1 gene:OGLUM10G03290 transcript:OGLUM10G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQENIAISTSTTPLIVLVLQLLLIASADSSRSATAGESVTMMAGCPGNCGGVGIPYPFGIGAGCFRKGFEIICNDDDAAPFLAGSGNSLIPVSDLSFDPPEARVMLPIGWQCFNSSDKVDGYRGPRVDFNRDGVYRVSHTRSHLVVLGCNTLAYVGSQHRPGVVDSDYDHAEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDITDNTVSFDGTYSHERNLDYSPCDYAFLVEKDNYTFSTADLRMNKNRTMPVRLDWAIRDNLTCSQARKTAAQVGGYACVSDNSDCHDSTNGPGYVCKCNNGYDGNPYLPNGCIDIDECLHPELYTCFGDCRNLPGSYECRCPKGSHSADPYSQQCIQNFPLPAQIVVEKRKMKEFFKKNGGPILEKVNNIKLYKKEDLKPILKNANVIGKGGFGEVYKGHIGDSNQLVAVKKPIHVSLEKRDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDILHGSNRVPLNLDQRLHIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAVDHDHTMSIIGDTSYMDPVYCQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNGLRRNFIDAYTSGKTVTELVDEEIAMTNDVDILVNLAGMVVQCLNREVDQRPEMTDIAERLHNMAKRVHSN >OGLUM10G03300.1 pep chromosome:ALNU02000000:10:4254788:4255870:-1 gene:OGLUM10G03300 transcript:OGLUM10G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSGHRWRRVVEVAAAVPSYPSKSELAAAEVAPCAYGRNGGQIRRRLASARSCPSQPHPPSPVQYPRQIHARGARSGSQKRRLLTPYTRQSPWRSQQCRARSADVAPAADQRWRWSRRASSPQSSIVAAPATVLCRSSAVEVEPARLPPTNAMPAAVPCWIRIPPVDAAPALDATLSGLTCHRHSSSTLVLLVPEPPPPPLLLVAKPSPIRKMWRGRGKVCVGCGWLFVVRELKIYSDYGWGLRQIEFAWGWAGW >OGLUM10G03310.1 pep chromosome:ALNU02000000:10:4264466:4273626:-1 gene:OGLUM10G03310 transcript:OGLUM10G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIIALVLQQLLMLMAPSAVVGETTMAGCPGNCGGVGIPYPFGIGDGCFRRGFEIICKNDAPFLAGSGNELIPISDLSIDSSEARVTLPIGWQCFNSSDKVDGYRGPRVDFNRDGVYRVSHTRNHLVVLGCNTLGYVGSQRRPGLVGSDYDHDEYTGCLCYCNDSSSAVSGDCDGVGCCQVDIPPDITDNTVSFDDTYSHERNLDYSPCDYAFLVEKDNYTFSTADLRMNKNRTMPVRLDWAIRDNLTCSQARKTAAQVGGYACVSDNSDYIDECLHPELYTCFGDCRNLPGSFECWCPKGRSSADAYKERCTPNFPLPAQIVVGVLGGLFIIALLVFIALLHREKKKAKEFFEKNGGPILEKVNNIKLFKKEDLKPILKNANVIGKGSFGEVYKGHIGDNNQLVAVKKPINVNLAKKDQFANEVIIQSRVIHKNIVKLIGCCLEVDIPILVYEFVSKGSLEDILHGNNRLPLNLDKRLQIAAESAEGLAYMHSKTSTTILHGDVKPANILLNDDLLPKISDFGISRLLAMDNDHTMSVIGDMSYMDPVYFQTGLLTDKSDVYSFGVVLLELITRKKASHSDNNSLLRNFLDAYTSGKKVTEFVDEEIAAANYHELLVNLAGMIAQCLNLEVDQRPEMTDIAERLHYMAKRARSN >OGLUM10G03320.1 pep chromosome:ALNU02000000:10:4275527:4317564:-1 gene:OGLUM10G03320 transcript:OGLUM10G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAIGAIMPIFTIRDSILTLDPEQQASLGQSISPAKFSLFHSSLKSSDCPHTTSFLSDPTSSKPVGTAVIAVRDTKYSASKLSTADGSMTTAREPPFTESHLLGTAPLPDAQLQNSKRQPRGEVAPTSGSHYSVTQGRCTT >OGLUM10G03330.1 pep chromosome:ALNU02000000:10:4304469:4310125:1 gene:OGLUM10G03330 transcript:OGLUM10G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVVQALIKIGSAFGETALPLLQDFIKKEAALLQELPELAKCIRGELDMISSFLLQVRSKIHSTDNEVLKRWVVRVRQVAYHVEDIIDEYTHNVALLQDQSYLIRKMREAYNVTTFHAIATGLKDVSNEIKQLSEMKTKYAEYFGELLRNTSANTQAHLSRDGSLHTVKEGIVGMTVEMGLLNSWLAPNDLSRVVLSVWGLFGLGKTTLVRKVYQSMKEQKSFDCYSWIEVPHTYNNDVILRQLIRDLSEDQSQVPGSLESMYGSKLVDILSGVLTNKRYLIVLDNVWDAAAFHGISSFLMDSGNASRIIITTRTSDVASLAQETYKLKLKPLEDDDAMELFCRRAFHNSNKVCPPHLEDLCKQIVRKCGGLPSAIYAIGNVLAVREKTEVAWKIMNDQFQCMLEDNPGLGEVRSALSVSILFLPRHLKNCFLYCSLFPQNYRLSRESLVKLWTAEGFITKRGSSTLEEVADEYLMELIRGSLLQLLETDEIGRVAFCKMHDIVRDLALSYSRKEMFGLSDGDLQTDQKEDVRRLSISKCNKNVGSILEFPRLRTFITTNGGAESDLLHSLIQKSKYLAVLELQDSPIDIIPANIGELFNLHYLGLRRTNVKSLPKSIEKLTNLETLDLKYTRVDVLPKEICKLKKLRHLFADKLIDRNRQVFRYLKGMQLPHGFSHMNEIQTLETVEATKDSIELLGKLTALRTLWVENVHRADCTKLFDSLSEMENLSSLLVSASDEYEVLNFDAFSPSEMKLQKLIIRGCLENDTFDKLMFKNLGSHMKYLSLSSSRLGNDPFPLLAKNMKNLIYLSIRKWCCAEEVALREGWFPRLTTLFLGDMKQVHTVVIEPSAVESLEALYLVSLTAMTAVPTGLELVGSLRKLVVWGQSDDFKLEWKRENWETKLRHVPDIRI >OGLUM10G03340.1 pep chromosome:ALNU02000000:10:4319879:4320581:1 gene:OGLUM10G03340 transcript:OGLUM10G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKKDAKVLLLKAIAVMAVILSPCNAEDKCYTVYRCTLPACNDYCIKLGVKNPQVTCKLSFPPSDYYDTCCCGTWDDKSGGARRLLSH >OGLUM10G03350.1 pep chromosome:ALNU02000000:10:4322680:4323370:1 gene:OGLUM10G03350 transcript:OGLUM10G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRFKEVHISSMQIDGMAAAEFSQRWEGSSRSLHFANGSGIFSSHFAAGVENLMVLWHGQRSNVLARMRASRGGGDPDAAEMDKRAAGQCSYAEYCAMFPRIEMGWDAARWDHKIGEDEAFAGSLVSFDKVKIQNSS >OGLUM10G03360.1 pep chromosome:ALNU02000000:10:4332172:4332553:1 gene:OGLUM10G03360 transcript:OGLUM10G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKSDARALFLAAIVLMAMALLSQCHAQAQDYCGEILPCDESACRYYCQKMGYRYPHPRCNTPKPGQGNCCCRKNIGDWESRRLLSN >OGLUM10G03370.1 pep chromosome:ALNU02000000:10:4335892:4337230:1 gene:OGLUM10G03370 transcript:OGLUM10G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVGGAGCRHRYATGRGRLYKGEGRWDRTRDLMVVIHSARMWGGEWRWWTTPQPHREGEGLILCFASVLGMPLLTQAHHMGGGVIVYLGIGLFGTSTAGFVCIFSGDFGRWKLELTLEFKYAHGPYLGFVSVDLGVEFDLVKGRVRIRIRIHSRALCTKGI >OGLUM10G03380.1 pep chromosome:ALNU02000000:10:4348197:4350138:1 gene:OGLUM10G03380 transcript:OGLUM10G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHELIAEVSPEELLGAIGELYHHVLGYVRSMALKCAVDLGIPDAVNRCGGAATVADIATDTSVHPAKVADLRRMMELLSTTGMIFDSSTAGDGGAAGGDVVYRLTTIGRFIASPSNFSPVVQFAD >OGLUM10G03390.1 pep chromosome:ALNU02000000:10:4385518:4387254:-1 gene:OGLUM10G03390 transcript:OGLUM10G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGHVLVLLLLPFVSPAGSCTEQEMRSLLQFLAGLSQDIGLTASWHNSTDCCSWEGITCSREGTVAEVSLASRSLQGHISPSLGDLTSLVCLNLSHNSLSGGLPLELVSSSSIVVLDVSFNRLTGGLGELPSSTPHRPLQVLNISSNLFTGLFPSNTWEMMNNLITLNASNNSFTGPIPTSFYASAPSFAVLELSYNQFSGRIPLGLGNCSMLTLLSAGHNNLIGALPDDIFDITSLKHLWFPNNQLEGSIIGITKLKNLVTIDLGENRLNGSIPNSIGQLKTLEKLNLEYNNMFGELPLTLGNCTKLMTMNLGSNNLSGYLDNVNFSTLGNLRSLDLIWNNFTGTVPESIYSCRNLTALRLSYNRFHGQLSEKIGNLKYLTFLSLVGISLRNITNALQILQNCRTLTTLFIGYNFIHETMPKDDEIYGFENLRVFSLNDCSLTGKIPHWLSKLTNLEMLFLYNNKLNGPVPYWISSLNFLFHIDMSNNSLSGEIPLALVEMPMLQTGNVATKTFELPISRSHSLQYRITSSFPKVLNLGINNFTGMIPNEIGHLKALLLLNLSSNRLSGKIPDSI >OGLUM10G03400.1 pep chromosome:ALNU02000000:10:4390427:4392415:-1 gene:OGLUM10G03400 transcript:OGLUM10G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDNRRGTQHSEGPATILAIGTANPENIVCQDNFADYYFGLTKSEHLTELKDKMKRICHKSGIEKRYIHLDAELISAHPEIIDKHSPSLETRVDIVATEVPKLAESAARKAIVEWGRPATDITHLIFSTYSGCRAPSADLQLASLLKLRPSVSRTILSLHGCSGGGRALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIIGHGLFGDGAGAVIVGADPSVDGERPLFEMIAASQTMIPGTEHTLGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDVFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLHPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEQDMQQQPEWGVLLAFGPGVTIESIVLRNPLSRGLKEN >OGLUM10G03410.1 pep chromosome:ALNU02000000:10:4398783:4401044:1 gene:OGLUM10G03410 transcript:OGLUM10G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGATTAAIVDSRRCTQHSEGPATVLAIGTANPENIILQDDFADYYFGLTKSKHLTELKDKMKRICHKSGIEKRYIHLNAEIIRAHPEIIDKHVPSLETRVDIVATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLAALQLAKEIAENNRGARVLVACSELTLICFSTPDESKIVGHGLFGDGAGAVIVGADPSADGEHPLFEMVSASQTMIPGTEHALGMQATSSGIDFHLSIQVPTLIKDNIHQCLLDAFRSVGNTDPNWNDLFWAVHPGGRAILDNIEDKLQLQPWKLAASRQVLSEYGNMSGATIAFVLDELRRRREKEEDMQQQPEWGVLLAFGPGVTIETIVLRNPLSRGLKEN >OGLUM10G03420.1 pep chromosome:ALNU02000000:10:4415159:4417513:1 gene:OGLUM10G03420 transcript:OGLUM10G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAAQPRLDPDHPRWIWPGGGRPSRMRRDDASSYEAASGGREGYGGGVGGADVGAVEGSVVRVGFWAISARRSVPLTTGQSGASLLLGLCVGTVGCHRQDRVRGGQVARLGERHAEAVWLCRRGTARRPGYGGRGQARRGCRLARQCGYGSDPTRMVMAQPSGVVAGRGAVEQRGPAVRRRGMCDSVHPAGGVVRHGAVARCGTATGMQWSRLTGLFDLVFSPDGRLIASVSNETGLRLVQPVFTSKTARGHFGTFNALSFSSSARNNKIMEGVSSS >OGLUM10G03430.1 pep chromosome:ALNU02000000:10:4423044:4424474:1 gene:OGLUM10G03430 transcript:OGLUM10G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B886] MKRKTFVLFPSLGVGHLNPMVELAKHLRRHGLGVVVAVIDPRDDDATSADATARLAAANPSITFRILPAPATASPDPGAHRVRRSLDTLRLANPVLLEFLRSLPAAVDALLLDMFCVDALDVAAELAIPAYFFFPSPASALAVFLHLPHYYANGTSFREMGKAALLRFPGIPPIRTVDMMATMQDKESETAKIRLYQFKRMMEGKGVLVNSFDWLEPKAMKALAAGVCVPDKPSPRVYCIGPLVDAGNKVGSGAERRHACLVWLDAQPRRSVVFLSFGSQGAFPAAQMKEIARGLESSGHRFLWVVRSPPEEQTTSPEPDLERLLPAGFLERTKDRGMVVKNWAPQAEVVQHEAVGVFVTHCGWNSTLEAIMSALPMICWPLYAEQAMNKVIMVEEMNIAVPLDGYEEGGLVKAEEVEAKVMLVMETEEGRKLREKLVETRDMALDAVKEGGSSEVAFDEFMRDLEKSSLENGVCS >OGLUM10G03440.1 pep chromosome:ALNU02000000:10:4424575:4431572:-1 gene:OGLUM10G03440 transcript:OGLUM10G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDRRQRREGARGGNDGEAAWPGMLNYGRNAEVAVAVVLGACSQATVARSRSDAVTARNGVGLGGMEMTLKGDDGTDRDDRDSMAMTAFVFTTADREDEGEEDEMEHPASPPPISYALMH >OGLUM10G03450.1 pep chromosome:ALNU02000000:10:4432706:4433969:1 gene:OGLUM10G03450 transcript:OGLUM10G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPWQLMAVAGAVEAKALLRPHCRTLGRTLTSKESYICTSCSMWLAAEDRVESGGDEGVALYDVEAFMRVNLLLLNEQMWEAESK >OGLUM10G03460.1 pep chromosome:ALNU02000000:10:4435977:4436993:-1 gene:OGLUM10G03460 transcript:OGLUM10G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALPHLHDSEPATLVGGFGQRLCPHSCHGARVAEAAMDSKLHDVARLQRDAAAVVEDLAARRRSMRLFEWGSVKTNSAAARTMPRRAMWRSTRRRRSLMSSSDSEIDENSSFYVGGVNAHLSPNSLHFADRCPRSSWSFSTIKASRRLNKILICVFVKPMPSVEIMKKLAIPSLETI >OGLUM10G03470.1 pep chromosome:ALNU02000000:10:4436904:4437266:1 gene:OGLUM10G03470 transcript:OGLUM10G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal export protein NMD3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B890] MARVRAQALAEPPNQCGWFAVVQVWQRASHRRTLLHLEQQVVTHGTAVDTLRVGTAHGVGGLDFFVSRSHSARLIDLVTLLSPTRVVASKQLVSHDSNNSSYDVRHTFTVELCPVCRDRE >OGLUM10G03480.1 pep chromosome:ALNU02000000:10:4449843:4450607:1 gene:OGLUM10G03480 transcript:OGLUM10G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPLMSLRLGAVTTVVVSSPDVAREFLQRHDAAFASRSVPDATGDHATNSVAWLPNSPRWRALSRIMAAELFAPHRLDALRRLRREKVQELVDHVARLAEREGGAAAVDVGRVAFATSLNLLSSTIFSRNLTSLDDHGESMEFKEVVVEIMEAGGCPNVSDFFPAIAAADLQGWRRRMAGLFARLHRVFDAVVEERLSERDAGEARKGDFLDVLLDVAVRDNDSAGLDRDTLRSLFTVTRTRACLLLSVPLIP >OGLUM10G03490.1 pep chromosome:ALNU02000000:10:4508247:4523100:1 gene:OGLUM10G03490 transcript:OGLUM10G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRLHGMKEKDGDFLEVLLRHAARDDDTARLDGDTLRSLFTDLFTAGSDTSSSTVEWAMAELLQHPISMAKLCDELRRVVGSRRRIEESEIDWSIALFASCHKRNISTTLVLPTAASYLFHLSAAAPSRRHARPLPPRAATSPARAPPARHYRFATTPDLFGAGSDTSSSTVEWAMTELLQNPISMAELCDELRRVVGSRRLIAESEIGKLPYLQAVIKETFRLHPPAPLLLPRQATRTIQIMGYTIPKGTRVLINVWAMGRDEDIWPEAGKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNRIDMTEKFGLTLAKANHLCAMAIPT >OGLUM10G03490.2 pep chromosome:ALNU02000000:10:4508247:4523100:1 gene:OGLUM10G03490 transcript:OGLUM10G03490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRLHGMKEKDGDFLEVLLRHAARDDDTARLDGDTLRSLFTDLFGAGSDTSSSTVEWAMTELLQNPISMAELCDELRRVVGSRRLIAESEIGKLPYLQAVIKETFRLHPPAPLLLPRQATRTIQIMGYTIPKGTRVLINVWAMGRDEDIWPEAGKFMPERFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNRIDMTEKFGLTLAKANHLCAMAIPT >OGLUM10G03500.1 pep chromosome:ALNU02000000:10:4512297:4512638:-1 gene:OGLUM10G03500 transcript:OGLUM10G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSTLISKEVFIWANSNNQRLLHVGDIDRTRKSYICTSCSMWLDAEDRVESACDGGCCYFSFARHLY >OGLUM10G03510.1 pep chromosome:ALNU02000000:10:4512671:4514365:-1 gene:OGLUM10G03510 transcript:OGLUM10G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGADRRGGEAVVARGWCSRGRCGRTRRQWPSVATAWRGGGEVKEVACGGGKSRHTMEDDDDTVAVDGSGWRVVASGN >OGLUM10G03520.1 pep chromosome:ALNU02000000:10:4533008:4533310:1 gene:OGLUM10G03520 transcript:OGLUM10G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEHIWPEAGKFMPKRFLERTIDYKGGDLELIPFGAGRRICPGMPLAVRMVHVLLASLLIHFKWRLPAEVEGNRIDMTEKFGVTLAKANHLCAMATPT >OGLUM10G03530.1 pep chromosome:ALNU02000000:10:4536361:4538013:1 gene:OGLUM10G03530 transcript:OGLUM10G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLLWLSWLLLSLLSIYLLDLLAHSRRCLPPGPRPLPLIGSLHLLGDLPHRSLAGLAKTYGPLMSLRLGAVTTVVASSPEVAREFLQKHDAVFATRSTPDATGDHARNSVPWLPPGPRWRELRKIMATELFSTRRLDALQELRQKKVAELVDHVARLARDGTAVDIGRVAFTTSLNLIARTIFSHDLTSLDDHGASKEFQQVVTGIMEAVGSPNLSDFFPALAAVDLQGWRRRLSGLPSGRFRWPTV >OGLUM10G03540.1 pep chromosome:ALNU02000000:10:4559727:4560081:-1 gene:OGLUM10G03540 transcript:OGLUM10G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVGVVFLLEGIALCSTRFFGLRLEDPALRCLWSVHRKSKLLLATMTYVFLQWCRRNFPLKPCLCVWARSPLKNWAKSLLL >OGLUM10G03550.1 pep chromosome:ALNU02000000:10:4560138:4560602:-1 gene:OGLUM10G03550 transcript:OGLUM10G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRASTLPVLNFFCVCEVVGLVEVVHEISATQPVDGVWGGVGGAWPGVASWATITPLLLLSGSFVGVAVLLASWLLRWGGCAVGFLACLRVCWGESELLVGDKLGNDNPLPLSLVALWVPGVS >OGLUM10G03560.1 pep chromosome:ALNU02000000:10:4594504:4595413:1 gene:OGLUM10G03560 transcript:OGLUM10G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFLWLSWLVLSLLSVYLLDLLAQSRRRLPPGPHPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATGPNSVALLPNTPRWRELRKIMATELFSTSRLDALHELRQEKVVELVDHVARLAREGAAVDVGRVAFTTSLNLLSHTIFSRDLTSLDDHGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGKEHGKVKDDFLRVLLRLAARDDDTAGLDDDTLRSVFTVRICSL >OGLUM10G03570.1 pep chromosome:ALNU02000000:10:4597863:4598399:-1 gene:OGLUM10G03570 transcript:OGLUM10G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLGHDLWLSEQDGGMRHGPREFDDLYNRKGITDIRYFTNSKMHFAEDFLMPTLNLCITNAYIYNDRATAATSMASSQLMYNEAHVIA >OGLUM10G03580.1 pep chromosome:ALNU02000000:10:4606918:4613704:1 gene:OGLUM10G03580 transcript:OGLUM10G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFLWLSWLVLSLLSVYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDAAGDHTRNSVPWLPPGPRWRELRKIMATELFATHRLDALHELRQEKVSELVDHVARLARDGAAVDVGRVAFTTSLNLLSRTIFSRDLTSLDDRGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGEEHGKVKDDFLRVLLRLAARDDDTAGLDDDTLRRVAGKEHGKVKDDFLRVLLRLAARDDDTAGLHDDALRSIFTDLFAAGSDTSSSTVEWAMAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETLRLHPPVPFLLPRQATTTIQILGYTIPKDAKVFINVWAIGRDKDIWPEPEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVEVERDGVNMTEKFGLLQSKEKDRSDLAYVINAVKDLMGGDREILFSKVCRSQNRVSNCLANKTRCESLSGLWPDNSCNFILLLANKARCESLSGLYLSNIDPFPRKKMYDNIR >OGLUM10G03580.2 pep chromosome:ALNU02000000:10:4606918:4613704:1 gene:OGLUM10G03580 transcript:OGLUM10G03580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFLWLSWLVLSLLSVYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDAAGDHTRNSVPWLPPGPRWRELRKIMATELFATHRLDALHELRQEKVSELVDHVARLARDGAAVDVGRVAFTTSLNLLSRTIFSRDLTSLDDRGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGEEHGKVKDDFLRVLLRLAARDDDTAGLDDDTLRRVAGKEHGKVKDDFLRVLLRLAARDDDTAGLHDDALRSIFTDLFAAGSDTSSSTVEWAMAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETLRLHPPVPFLLPRQATTTIQILGYTIPKDAKVFINVWAIGRDKDIWPEPEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVEVERDGVNMTEKFGVTLAKAIPLCAMATST >OGLUM10G03580.3 pep chromosome:ALNU02000000:10:4606918:4613704:1 gene:OGLUM10G03580 transcript:OGLUM10G03580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFLWLSWLVLSLLSVYLLDLLAHSRRRLPPGPRPLPLIGSLHLLGDQPHRSLAGLAKTYGPLMSLRLGAVTTVVVSSPDVAREFLQKHDAVFATRSAPDAAGDHTRNSVPWLPPGPRWRELRKIMATELFATHRLDALHELRQEKVSELVDHVARLARDGAAVDVGRVAFTTSLNLLSRTIFSRDLTSLDDRGASKEFQQVVTDIMGAAGSPNLSDFFPALAAADLQGWRRRLAGLFERLHRVFDAEIEHRRRVAGEEHGKVKDDFLRVLLRLAARDDDTAGLDDDTLRSVFTDLFAAGSDTSSSTVEWAMAELLRNPLPMAKACDELQRVIGSTRRIEESDIGRLPYLQAVIKETLRLHPPVPFLLPRQATTTIQILGYTIPKDAKVFINVWAIGRDKDIWPEPEKFMPERFLERATDFKGADFELIPFGAGRRICPGLPLAVRMVHVVLASLLINFKWRLPVEVERDGVNMTEKFGVTLAKAIPLCAMATST >OGLUM10G03590.1 pep chromosome:ALNU02000000:10:4624084:4628379:-1 gene:OGLUM10G03590 transcript:OGLUM10G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >OGLUM10G03590.2 pep chromosome:ALNU02000000:10:4624086:4628379:-1 gene:OGLUM10G03590 transcript:OGLUM10G03590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHRNPTLLAPTPSPSSIPPPLEPSRSSPPPPMAATIVSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVDNVNSVIAPALIGKDPTSQAELDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAICKAGAIIKKIPLYQHIANLAGNKQLVLPVPAFNEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWEHYAKMTAEIGEQVQIVGDDLLVTNPTRVAKAIQEKSCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMTSHRSGETEDTFIADLAVGLATLLRIEEELGAAAVYAGAKFRAPVEPY >OGLUM10G03600.1 pep chromosome:ALNU02000000:10:4653565:4660397:1 gene:OGLUM10G03600 transcript:OGLUM10G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLAPPMPPAAAAEAEISPPPPAADGGAIADTAEAGVAAEKESDGMEVEDRGEVVSQEATAVKPDEAEEPEEDPEEVGEGAEEAPAAGPGDDAAAAAESGPVVMEAGDGEPALEVAITAHEPVEEEPKDVMETGEEAIEPEEDEEPEEAEAEEDEEPEELEMEEEEPEDADSEESMEEEAGAEVSEEEAAADDMKEIADKDSEEAQDEDKHGDNHKGEVADQLSNDEDVGGRENDESPNDELDTSLLVLDSVPDGNDKTLELFVGGLPKDCVEEDIRVVFSQCGEVESIRIVKKRKRIAFVRYADISATKKALTEFKDGIKVNGKSVRVSVAEPHRKSSEQKALTKVKTVYLEHFPRSWDERNIEECCEGYGNIQKVNILRSKKKVVSFVEFSSRKSVLACVEGISKAKINDREVKLAASLARPQSKVQLANESSKGGFNVHSGATSTSPDKSKMKKDQTDETIVKKSQLKLLKGDESKLPSKDDVEVPQTSTHSKGKAKVGKRQNTSIDERPSKKSQESGESKLTSQDEGEVGKSKSRSVNERPLKKASKNRDDVKLPSQSGLEEPQTSNRSKRKRKVRKNRSTTVNERPVEKAWKNRNMKHPAGSRYATSNQAYPTVGATSRSKPHSHDLAPHAGFIPPSSRVQRTPARDHQRTAPYNICQISGSPYARERVAPRPAYSVHTSNAAGYEAGYAYTYLPPPPPPPPPSISYHPPPPASISYHPGSGPYIIRRYY >OGLUM10G03610.1 pep chromosome:ALNU02000000:10:4661337:4664932:-1 gene:OGLUM10G03610 transcript:OGLUM10G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase 1 [Source:Projected from Arabidopsis thaliana (AT1G12370) TAIR;Acc:AT1G12370] MPPTTVSPPRTARGPANPSPAHPSRVRVIHPGGGKPGGPVVYCMLRDQRLADNWALLHAAGLAAASASPLAVAFALFPRPFLLSARRRQLGFLLRGLRRLAADAAARHLPFFLLTGGPAEIPALVRRLGASTLVADFSPLRPVREALDAVVGDLRREAPGVAVHQVDAHNVVPVWTASAKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEEVDWDALIARVCSEAENVPEIDWCEPGEEAAMEALLGSKDGFLTKRIKSYETDRNDPTKPRALSGLSPYLHFGHISAQRCALEAKKRRHLSPKSIDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSTAIYLNDKYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >OGLUM10G03610.2 pep chromosome:ALNU02000000:10:4661337:4664932:-1 gene:OGLUM10G03610 transcript:OGLUM10G03610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:photolyase 1 [Source:Projected from Arabidopsis thaliana (AT1G12370) TAIR;Acc:AT1G12370] MPPTTVSPPRTARGPANPSPAHPSRVRVIHPGGGKPGGPVVYCMLRDQRLADNWALLHAAGLAAASASPLAVAFALFPRPFLLSARRRQLGFLLRGLRRLAADAAARHLPFFLLTGGPAEIPALVRRLGASTLVADFSPLRPVREALDAVVGDLRREAPGVAVHQVDAHNVVPVWTASAKMEYSAKTFRGKVSKVMDEYLVEFPELPAVVPWDREQPEEVDWDALIARVCSEAENVPEIDWCEPGEEAAMEALLGSKDGFLTKRIKSYETDRNDPTKPRALSGLSPYLHFGHISAQRCALEAKKRRHLSPKSIDAFLEELVVRRELADNFCYYQPQYDSLSGAWEWARKTLMDHAADKREHIYTREQLENAKTHDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSTAIYLNDKSYKSILWQYEIDGRDPSGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFDVDAYISYVKRLAGQSKKRNAEESPNPVVKLSKSQH >OGLUM10G03620.1 pep chromosome:ALNU02000000:10:4665239:4668015:-1 gene:OGLUM10G03620 transcript:OGLUM10G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPRPPPTATLKLGAEMITRFAGKEWLAERIDATRLVEFLVTNIVGEEREVANKLSNHSIHQGGSRRIRQGIPDAQQQRGDYNDDSRGVEEANKGDGMRPRLAHHLQPRIPTHIIFNFNQNLNFALN >OGLUM10G03630.1 pep chromosome:ALNU02000000:10:4668420:4669283:1 gene:OGLUM10G03630 transcript:OGLUM10G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPRRFLNLIVGSGAVRSLRCIDLTRYPLLHPPLRTATAMEACSSSSIVSQQQRQTADAFNTKNKHADGAAFMMQEKFQLPDPIFSFKAQAADPDYGWNIDCFPLADRKVVCVDQSGHAFLFDAETHQVETMPSLNKPKWWPFSLFVPGTDNDGGDGEGSRLYIMEKSPKSEAGCSARCSDQFEAFVYRKPTATASFKSWCCQLLPPPPYVRNYAYSQRRHRITSYAVVGDDEDGSRRILVSAEDAGTYCLDVASNMWNRIGEWTLPFLEKVEYVPELKLWFGISA >OGLUM10G03640.1 pep chromosome:ALNU02000000:10:4699238:4700968:1 gene:OGLUM10G03640 transcript:OGLUM10G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVDSRRSAQRAEGPATIIAIGTANPANIVPQDDFADYYFGLTKSEHLTELKGKMKRICQKSGIEKRYIHLDEEIIRAHPEIIDKHQPSLEARVEIAAAEVPKLAESAARKAIAKWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLRPSVSRTILSLHGCSGGGRALQLAKEVAENNRGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIVGAGPFSDGECPLFEMVAASQTMIPGTEHALGMQATSTGIDFHLSIQVPMLIKDNIQQSLLESFQSVGNTDPDWNNLFWAVHPGGRAILTT >OGLUM10G03650.1 pep chromosome:ALNU02000000:10:4711914:4713446:1 gene:OGLUM10G03650 transcript:OGLUM10G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAPTTAVVDSRRGTQHAEGPATILAIGTANPTNIVPQDEFADYYFGLTKSEHLTELKDKMKRICLKSGIEKRYIHLDEEIICAHPNIIDKQQPSLETRVEIAATEVPKLAESAARKAIAEWGRPATDITHLIFSTYSGCRAPSADLQLASLLGLHHSVSRTILSLHGCSGGGRALQLAKEIAENNHGARVLVALSELTLVCFFTPDESKIVGHGLFGDGAGAIIVGAGSLADGERPLFEMVAASQTTIPGTGHALGMQATGSGIDFHLSIQVPTLIKDNIQQTLLDTFRSVGNNNPNWNDLFWAVHPGGRAILDNIEGKLQLHPWKLAASRQVLHDYGNMSGATIVFVLDELRRRREKEEHELQQHEWGVMLAFGPGITIEAIVMRNPQLSGLKEN >OGLUM10G03660.1 pep chromosome:ALNU02000000:10:4732505:4738282:1 gene:OGLUM10G03660 transcript:OGLUM10G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATTAVVGSRRGTQHAEGPATIIAIGTANPANIVPQDEFADYYFGLTKSEHLTELKDKMKRICQKSGIEKRYNHPYEEIITAHPEIIDKHMPSLEARVDIVASELASLLGLRPTVSRAILSLHGCSGGARALQLAKELVENNRGARILVALSELTLICFSAPDESKIVGHGLFGDGAGAIIIGAGPLADGERPLFEMATSSGIDFHISIQLPTLIRDNIQQSLLHSFCSVGNTNTKWNDLFCAVHPGGRMILDNIEGELQLQPWKLAASRQVLREYGNMSGATIAFVLDELRQCREKEKQEPEQPEWGVMLAFGPGITIETMILRNPLPHGLMEN >OGLUM10G03670.1 pep chromosome:ALNU02000000:10:4745072:4749110:-1 gene:OGLUM10G03670 transcript:OGLUM10G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYSSLTRIQLFPPEDPDKRGLANLDQIYEMQLATLAHLTVLLQIERFCCIGVSFFMRRHFLNLLLPSLHTEIAGDLVREAKTLWEKFRDGIVGTNHEVMATVDFLREKNVYKKDVRANAGAAVFLFPAVHLSTPLSLHTIINCCSCRTHTLNRYPPQSSMPITSQWQGEFVLYARPSQVVLPCIHQQMVPTHGKDNTWIMLTSSYDKMCSLGGGSSSTDEVGGLEGNDNNQHRINHERREPNRVSLLAPDMPQVQGNRQRKCKLVVLGLRLTTTMSSTEHDVFVQYSICCARCFVFEDYFLH >OGLUM10G03680.1 pep chromosome:ALNU02000000:10:4749263:4749656:-1 gene:OGLUM10G03680 transcript:OGLUM10G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGRAVPTRLILGRAPVPRHAHAGPGWVAHLAIYSPSSHWTYGSTRSAHISSFCTLLQILRAISNGLLMLFSPKAKETS >OGLUM10G03690.1 pep chromosome:ALNU02000000:10:4766088:4766411:1 gene:OGLUM10G03690 transcript:OGLUM10G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAMRRKMAVVVMSVLMMAAAAAAANYAAEPEEDCATQTTYFTNCLRRGIREGCCGVVKNHWCLCQVKREAEVKCIPGRRCDVPKALKIADMDLPCMRNLRCSKHA >OGLUM10G03700.1 pep chromosome:ALNU02000000:10:4774424:4778788:1 gene:OGLUM10G03700 transcript:OGLUM10G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLYAFADIAADGAPHLNSAAGEELVRVERAAAVALGSRAPEPPGTLFITTSEVEKGKAYAVDFLAVSLHAVSRDPEAYPSPCIYTQVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAATPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLSSRLMLNVNVASVGSLMLPDSLITIMDTNDYSAVPQQLKDQVNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTRNGSAGSSKDQKDGGNNFDNHPGDNGGPGSGGHDHETQNSGSESHDSDESIPTSTMLANTILGTVSSTLQASLCVLLIHHYYESALYISSRQPLDV >OGLUM10G03710.1 pep chromosome:ALNU02000000:10:4785282:4785635:1 gene:OGLUM10G03710 transcript:OGLUM10G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRRRGADIDIEAVRRRRELPRLASLCLREVLLVLQRFNAIVADCSVRSRMWLLLESDEMEAELRELNHDLATLLDLLPVVELGAWASPTTCSTSSPSCRASAGGARRHRRRSRR >OGLUM10G03720.1 pep chromosome:ALNU02000000:10:4791051:4791487:-1 gene:OGLUM10G03720 transcript:OGLUM10G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDGVRLGAAAQGGRVGLGRAERRHEVAAIGKAPRRREAAAWSRGAAAGQAGRRGAGSKKSKFEEFGTGALQAQVLMQAEMLQ >OGLUM10G03730.1 pep chromosome:ALNU02000000:10:4794623:4797055:1 gene:OGLUM10G03730 transcript:OGLUM10G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGAAAASAAPGDYVYFKSVVPLHKISIGPKLWRYYDFGPKTVPPLVCIPGIAGTADVYYKQIMSLSMKGYRVMSIDVPQVWNHQEWIHSFEKFLDSMNIHHVRKSSLPSAFLLCIAVLELSNHSYFKPCILHFHSSCASCNL >OGLUM10G03740.1 pep chromosome:ALNU02000000:10:4802178:4803980:-1 gene:OGLUM10G03740 transcript:OGLUM10G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGAGAGGGGRPWPRLGKMPAAALFAKQVVTGRWFMMLACMVIMSASGGTNIFSIYSGALKSSLGYDQRTLNTLSFFKELGANAGIVSGLVAEVAPPSAVLAVGACMSLAGYLVVYLAVAGRVARPPLWLMCACISAGADSQAFANTGALVTCVKSFPESRGVVVGLLKGFAGLSGAVLPQLYLAIYGGGHDAGSLILLIAWLPAAISLVFLRVVRVMPHRPTNGRVGGGGSNGPIFSFLYISFAVASYLLVMIVLQKTISFSHAAYAATAIVLLLILLLLPLAVVIRQELRIRREADVQETLPAAAPPPQPVVETPPPPPASTCGVGSCLKRTFNPPAHGEDYTIPQAALSVDMVVLFVCVICGAGGSLTAIDNMGQISQSLGYPARSVNTFASLINIWMYAGRAGVGSLSELLLSRYRFPRPLMLTLVLVVSSAGYLLIALGVPHGLYAASVVVGFSFGGLYTLLFSIVSEVFGLKYYATLYNLGMVASPIGAYIFNVRVAGALYDAEAARQNGGGGAAGHRACAGVRCFRASFLIVTAATFFAVIVSLVLVWRTRGFYRGDIYARFKAAAPAPAAEGHRGEVTPEEASGTKLHGST >OGLUM10G03750.1 pep chromosome:ALNU02000000:10:4808532:4810866:1 gene:OGLUM10G03750 transcript:OGLUM10G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSDAECEFSDVNPIGQTDEHDITHAVVELQINDQRFEDAEEAEHETHGNGH >OGLUM10G03760.1 pep chromosome:ALNU02000000:10:4812173:4815787:1 gene:OGLUM10G03760 transcript:OGLUM10G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVYGAGGAMKGGKLGMEEARELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >OGLUM10G03770.1 pep chromosome:ALNU02000000:10:4816384:4823618:1 gene:OGLUM10G03770 transcript:OGLUM10G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTLGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >OGLUM10G03770.2 pep chromosome:ALNU02000000:10:4816384:4823618:1 gene:OGLUM10G03770 transcript:OGLUM10G03770.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTLGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLSVV >OGLUM10G03770.3 pep chromosome:ALNU02000000:10:4816384:4826149:1 gene:OGLUM10G03770 transcript:OGLUM10G03770.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTLGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLV >OGLUM10G03770.4 pep chromosome:ALNU02000000:10:4816384:4823837:1 gene:OGLUM10G03770 transcript:OGLUM10G03770.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTLGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDFRPTVEAEKKQTKPTPGAASPPGLDLAACRRRLVSKRCPEGERERRARAMGRPKGGAAASSSSSKKPKAKPKQRGGAAAASGEERVAASAPSPPAPPSPPRRRRRAPLSPPRGRPPLAPFAEIASGSSASGSRAVSPPAGRQIRRGRGTPAEGERGDGGVWEVPAAH >OGLUM10G03770.5 pep chromosome:ALNU02000000:10:4816384:4823618:1 gene:OGLUM10G03770 transcript:OGLUM10G03770.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline-phosphatase-like family protein [Source:Projected from Arabidopsis thaliana (AT5G17250) TAIR;Acc:AT5G17250] MAAPGSHARRRAWPLLFLAVLMFHSLAIYLFTRGFLLTRTELDVHSHRDDRTGISPGCSSWPPPAVDRLVIVVLDALRFDFVAPSTFFQERQPWMDKLQVLQKLAADEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQFAKNGKRVVMMGDDTWIQLYPEHFNKSYPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNRILEDVIDTLKSLSTLGGPHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPNAVLSVLGKNLCNADLHGKEVCVSTMQQLDFAVTIAALLGIPFPFGSIGRVNPELYALSAGTWDNQQIGANDCTQQNDLEAWMRRYAEALCINCWQVKRYIDRYSATSVIGFRAEDLNHVADLYSKAQANWSSVLRSTCPLETSSQDELKECANKECTSSALRLQIDAYSDFLESFAKLARSAWTEFDLWLMGIGLSVMILSVSTQALAEGRVANFLLATSCIASVWHSATKGKFIIEEFVFLLLNIFIRFGIEFGMSKQISGSIISNDHPVSIICGLFGSSFCSDLMEIFPIISLTLVAYIILKCISYAISHRFLKYSVMSGSILSYIFIAIHWASESTLLSHTKAIRETGISLAPRLVYTIGGLSLAISAFYRLFGSTDHLKMNERITSLSAVMLCSWSPTILILLGRQGPFVALICMTIAWCIIKLQQKNQRELKLDKGIHAADSVSVTQWSFLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPVLSLPFIAICWYNSASKNSKVNDATVTRLIQVWGLFAPKYVFDAIGLLLTDLLVVLASIYYS >OGLUM10G03780.1 pep chromosome:ALNU02000000:10:4822946:4823276:-1 gene:OGLUM10G03780 transcript:OGLUM10G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEAGFPSLLWDELNDDTGQDELDDDASTGERRNEVTTSTMRASARSSRRSPWIWPRNA >OGLUM10G03790.1 pep chromosome:ALNU02000000:10:4841843:4842182:-1 gene:OGLUM10G03790 transcript:OGLUM10G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLSSEWWRGDPSVPDLKAGAGGRSSSEPVRVAAAGYVVHGVPHGRFREHTSRRPAAVVALKQRSSRKGGEGCFPPCAAATVFRPGD >OGLUM10G03800.1 pep chromosome:ALNU02000000:10:4844382:4846094:1 gene:OGLUM10G03800 transcript:OGLUM10G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPYPATIIPHASWLPRKKASNISPAATAHGAVQQPVHHNSATEASLPVSICNTLDKAIDRFIDPPERRPLVDPQRVLSGNFEHVVELPPTRCPLVLGSIPSCLAGGAYIRNGPNPQHQLQGRTHHLFDGDGMLHSLLFPAPSSTLLQEPVFCSRYVHTYKYLLEREAGVPVFPNFVAGFQGVAGLARMAVMFARALAGQISMNMGFGLANTSILFFAKHLYALCESYLPYTMCINPATGEVTTLSRCDFDGRRMIGMTAHPKKDPVTGELFAFRYSMFQPFLTYICNHQLLHDFAITEHYAIFPESQLVMRPMNMAVRGGSLIGLDSAMVDMIHINLRTGAVLRTALSPESLEFGVIHQDYVGRYNRYGYFGVSAQLPRFSGIRKLDFAMVGADDCTVARRDFGPGCFVGEPFFVPSNDNGDGNEDNGYVVCYTHKEDTGESQFVVMDARSPELEIVAAVQLPARVPYGFHGLFVTQAELLSQQK >OGLUM10G03810.1 pep chromosome:ALNU02000000:10:4867727:4869566:-1 gene:OGLUM10G03810 transcript:OGLUM10G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFLPLAFSLFLAVISAYVLQLLADARRQLPPGPWPLPLIGNLHQLDHLPHRSLARLAARHGPLMSLRLGTVRAVVASSPEMAREVLQRHNADIAARSFGDSMRAGGHCENSVVCLPPRRRWRALRRLSTVGLFSPRRLDAMRALREEKVAELVRRVSGHAARGEAVDVGHAAHVAALGVLSRTMFSVDLDPEAAREVSDIVDEASVLGTGPNVSDFFPAIAPADLQGVRRRMARLVKRMYAIIDEQIERRMHGRTAGEPRKNDLLDVMLDKEGESKEDSNEINRDAIRGLFTDLFTGGETTSHTMECAMAELLQCPNSMRRVQEELKSVIGTKKQMDEHDITKLPYLQAVIKETLRLHPPVPLPPYEAEATVEIQGYTIPKGAKVLINLWAINRCANAWTEPDKFMPERFYDSDITFMGRDFQLIPFGAGRRICLGLPLAHRMVHLMLGSLLHRFTWTLPAEAGKNEVDMRKRFGLTLSFVVPLYVIAQEIQ >OGLUM10G03820.1 pep chromosome:ALNU02000000:10:4872924:4876402:-1 gene:OGLUM10G03820 transcript:OGLUM10G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLAAKAIRRLLLVSIYVLGAVAFAGAGAGRRSAVRPSEITQQVPAVFVFGDSTMDVGNNNYLSGENVPRANKPYYGVDYPTSRPTGRFSNGYNVADFIAKALGFNESPPAYLSLAPARSNSLVVAAVSRGVSYASAGAGILDSTYAGENIPLSKQVRYFESTMAHVEARHGSRATSKFLSRSLFLFGIGSNDLFAYAEGQSGINHVATLYASLISNYSAAITDLYKGGARKFAIINVGPLGCVPVVRLLSGTGGCDDDLNQLAIGLDDAIKPMLTGLTSRLHGLVYSLGNFYDQAMDNFAHPKAFGFTNTDSACCGSGIMGAEDDCLPNSTLCTDHEGFLFWDRVHPSQRSAQLTAATFYDGMSHFTTPFNFKQLVAKKMTD >OGLUM10G03830.1 pep chromosome:ALNU02000000:10:4885762:4887361:1 gene:OGLUM10G03830 transcript:OGLUM10G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIYYSLLIILPFLFLIKFYKAMLSSRKQAGRLPPCPWQLPIMGSIHHLIGDLPHRSLRDLSRRYGPVMLLKFGQVPFIIVSSPEAAKDIMKTHDNIFATRPQSEIMKIITKRGQGLVFAPYDDQWRQLRKICIRELLCAKRVQSFCAIREDEAARLVKSISSDQAHLVNLSKKLADYATDAAIRIITGTRFENQELRDKFQYYQDEGVHLAASFCPANLCPSLQLGNTLNRTSRKAEIYREGMFAFIGGIIDEHQERRAQDMSHKEDLIDVLLRIQQEGSLESPVSMETIKFLIFDILAGGSETVTTVLQWAMAELMRNPTVMSKVQDEVREVFKWKKMFVIKETLRLHTPGPLFMRECQEQCQVMGYDVPKGTKILLNLWSISIDPKYWDDPETFKPERFEDDARDFKGNDFEFIPFGAGRRMCPGMLFGLANIELALANLLFYFDWSLPDGVLPSELDMTENFGVTVRKKEDLLLHASLYAQLSC >OGLUM10G03840.1 pep chromosome:ALNU02000000:10:4891103:4895815:-1 gene:OGLUM10G03840 transcript:OGLUM10G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLRILIFPRRRHRVPPEKIHLYRRSFKRVNERYRTNKEDLSKIERRTSFHCEDIKNIQRDLYHFIYHECCHKDGFFFDPEGSLAINSEYKSIWKWNYMLIINLGLSPKISNVDDVALTMMWPSTLMRTLYLSPSTKLCLQTDSHHARERGGEEGTRRTTKEEWWRQQPGGGGSGSDSALPLPDVAGGEAAAAAVIAPSPLPDVARGEAVDLGSYWTTAGRDGSGIGRQRRGTATSTVPPPLCPTTFLSPEGRRQTSAAAGSGVGRPPALSLLVSIPPPSYRRSGSQIRHAPSPPALGGGQLRGWWSRRLGAGDVDCGGGRTRPEASTKVQWAASTAVFLVGF >OGLUM10G03850.1 pep chromosome:ALNU02000000:10:4909474:4910019:1 gene:OGLUM10G03850 transcript:OGLUM10G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRMRLINPPPGGRFLQAPPQAVRMEPTDPLVQKNDFCSSGSCIHRFWWWSLQLLRRWGSYPAATTTLSTAAQCVLVVGGLLLARATVRQEPRERPPRLPSGSGRRQLRLSSNVPAGNQLRRRQLPREDRARHYVWGHGDYSARLLGGGAIAISDVFLVGGLVKDASNRHRAIHRLAKPS >OGLUM10G03860.1 pep chromosome:ALNU02000000:10:4912549:4912950:-1 gene:OGLUM10G03860 transcript:OGLUM10G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSDLQWRQGWLAVGLPPAPVVAVSAIVGFFLYLTWQMDEYEEQLRRRTQAGLWVLLVLGAVALLLLGSHALVDAGGRVAVPVSWRWGGGYGGSGDDGGGASPWAVAAVVAVLLVLASHKPSFQMFRPPWH >OGLUM10G03870.1 pep chromosome:ALNU02000000:10:4945011:4945506:-1 gene:OGLUM10G03870 transcript:OGLUM10G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLADCYSIVMELIEHPQKYGLKLVTMQLCDDRTAFVFWDAYHTSNAANQVIGSSPTASTPTW >OGLUM10G03880.1 pep chromosome:ALNU02000000:10:4962999:4969036:1 gene:OGLUM10G03880 transcript:OGLUM10G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMLLHLHLPVLTVLMAMQAMSPATTVAAAAQRPITLPGCPDKCGNISIPYPFGVKEGCYFDMIFSVACNLSTTPPAIFYPPLVLKASRHYFEGQQNLVSIRPELDGWTNELLDIDIARGEVRVTVPISSDCSMNESYHELNFSSISSSLSGALLISSTKNVLVGVGQRFSALIDGETSATNYSASCNSLFDTPETAQNGACMGLGCCEAELAPGLDYTRVWMYKQSSNSMWKTFPCSYSMAVEKSWYNFSLQDLYGYGALERKFPRGVPLVLDFAIRNDSCPADGTTLPTACRSDNSRCVNATYGPGYLCKCKDGFDGNPYIPNGCQDINECELRDEQPALRDQYRCYGICMNTIGGYDCRCKFGTEGDAKTGTCTPMFPLAAMVATVGIIGVTFIVVIVLLFKLLFEERRKTKEFFVKNGGPILEKVDNIKMFKKEELKPIIQSCNVIGKGGFGEVYKGLLDNKLVAIKKSINVDKLQEKQFTNEIIIQSKVIHKNIIKLVGCCLEVDIPMLVYEFVPRGSLHDILHGSTKECLPLQKRLNIAAGAAEGLAYMHSKTSTTILHGDIKPGNILLDDNFDPKISDFGISRLIAIDKTHTKCVIGDMCYMDPVYLQSGLLTKKSDVYSFGVVLLELLTRQKASSSEDTRLVKRFLDAYTEDYKGAIDLFDREILLEGDTKVFHNLAILVVECLKFEVETRPEMTDVEERLQTMKRSYVPKSISDASSSIDT >OGLUM10G03890.1 pep chromosome:ALNU02000000:10:4980216:4980776:1 gene:OGLUM10G03890 transcript:OGLUM10G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAATTACMPRLVRGGCRASTARPAATVSLLERIRDVVLRLIMLSAVSKASVKRTSGGGGKASSSSPRAAPPPAVTSCRRDDSIRNEAIEDCIEFLKRSSAEGDAAKLSSVTAAETVAVARDLATTKPSSSPSSVITTDDAPAKQPTIGEHLAASSPASPVTAGAVEVGMRRSTSPAAASSSPRLV >OGLUM10G03900.1 pep chromosome:ALNU02000000:10:4986815:4987228:-1 gene:OGLUM10G03900 transcript:OGLUM10G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFNVRNCFCFAAGKSDAARGGDRKKNVKANKKEINQPPATSTGGKRRLATTPAAATTRADVRPKLQPTTSSRPAADAAAVRADTKPRKHPAAATARAHRGVEHRRRAASRQTTPAKDESANFMLMTFTTLIFLM >OGLUM10G03910.1 pep chromosome:ALNU02000000:10:5008897:5012645:-1 gene:OGLUM10G03910 transcript:OGLUM10G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESNGGNSSSAATTVSNGGRRRHELVPKLRLSPGYHFVPSDEELVDYYLRGKIEQRRLPMDFINEVDIMSFDPVKLIEKYKGYGENRWYFFTVRKPSKTKKKDEPNRKVVVDGVEEGSWSATGSVAYICGKDHETVIGTKRVLTYKSARSAEEDKWSMHEYVMLDKSQMDQYVLCAIQLKQTYEAENKAQEEEERGVKRKRTATRKRRKGDIDQTTSQEQEDQQQETPPPGDPHDQSVVDAPYYSMQMTLGGEEEVAPVPWCADCMAQPDRIEYPAVWYNQQEQQPLQLVDRSMMTQGYIGDLSYIQNQFDQQQAHDHGSINAFDEALDQCHDTNFAWDNAGIYPGNNLIDGNLDDDTQDQFGNQATLGAFTGELEYGTGYQFHDALQATPGSDDASAQSRGIQPAAGHSMGDDDEACCNDDLSSLLADISRILLDGNGVINNAGNPEGSNQGLLICKDGHQWPLEESTMPTVECVLQHPGESA >OGLUM10G03920.1 pep chromosome:ALNU02000000:10:5020081:5024485:-1 gene:OGLUM10G03920 transcript:OGLUM10G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPPNCTKRKLMASGKMENGQQQQARRRRNGEVVVDGSEILQLVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTKGKKESVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMAAIFSQVESGNSTLQQCMLAALRQLTVDHGMPPASDSWVMENIIEPALQELHGDNLEQPVTQEVFFQEFRKLLAIVMRRLQGHPVIVAHTENTFDGNGIKKLLSNKLELDKLLDCVWRGVPKEKDRTAKQYIRVAFDRMADSINLPPYGAVEQVDAVVNEAFKMAKAEDGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPPSPMMLGNGKLGDQ >OGLUM10G03920.2 pep chromosome:ALNU02000000:10:5020081:5024218:-1 gene:OGLUM10G03920 transcript:OGLUM10G03920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKMENGQQQQARRRRNGEVVVDGSEILQLVENKEAFGKFVEQKFRLLDADGDGRLSVRELQPAVADIGAAIGLPARGSSAQADHIYSEVLNEFTKGKKESVSKSEFQRVLSDILLGMAAGLKRDPIVILRINGEDLNEFVESPRYEPEMAAIFSQVESGNSTLQQCMLAALRQLTVDHGMPPASDSWVMENIIEPALQELHGDNLEQPVTQEVFFQEFRKLLAIVMRRLQGHPVIVAHTENTFDGNGIKKLLSNKLELDKLLDCVWRGVPKEKDRTAKQYIRVAFDRMADSINLPPYGAVEQVDAVVNEAFKMAKAEDGKAVDETEFKKLLTEILGAVMLQLDGNPISVSTNSVLHEPMSTSSTLLSPSPPSPMMLGNGKLGDQ >OGLUM10G03930.1 pep chromosome:ALNU02000000:10:5032187:5033617:-1 gene:OGLUM10G03930 transcript:OGLUM10G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAATAAVVDSRRCTRHAEGSATVLAIGTANPANICPQDEFTDYYFGLTKSEHLTKLKDKMKRICQKSGIEKRYIHLDEELIRAHPEIIDKNLPSLEARVDIASIEVPKLAESAARKAITEWGRPATDITHLIFSTYSGWRAPSADLQLATLLGLRPTVSRTILSLHGCSGGSRALQLAKEITENNHGARVLVALSELTLVCFSTPDESKIVGHGLFGDGAGAIIIGADPLADGEHPLFEMVAVSQTMIPGTEHALGLQATDRGIDFHLSIQVPTVIKDNIHQCLLDAFQSVGNTDPNWNDLFWAVHPGGRAILDNIEGKLQLEPGKLAASRHVLSEYGNMSGAMIAFVLDELRRRREKEEGVQQQPEWGVMLAFGPGITVEAMVLRNPFSTGIN >OGLUM10G03940.1 pep chromosome:ALNU02000000:10:5035039:5035637:-1 gene:OGLUM10G03940 transcript:OGLUM10G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTRGRYRMLQLICSNSCAIACVSIVPWPLGAHIDQLVNLSKIYPQEHLEEVATKESLTTIFSTEFSLSMSHCAKLKEYGFSTNYTKRETPRGKSLCELTGEVAGRGGPTDDGASFMNLRVAEEAHGAKQLHNVSDYGGVSHYSDAEGNALTAALAMPKSMAHLMACDLM >OGLUM10G03950.1 pep chromosome:ALNU02000000:10:5036210:5037504:-1 gene:OGLUM10G03950 transcript:OGLUM10G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKTACIDIRAPSMEGQKSGIESIHLNEELIYTHPQIIDKYLPSLEAHVTYDGPQPWPVILVSVEFAARKAIAEWGRSATDITHLIFSTYFGCRALSMDLQLVTLLGLHPSISRIILSTHSCSGSGRALQLAKEIAENIYTYRQPSIKALLDFS >OGLUM10G03960.1 pep chromosome:ALNU02000000:10:5037586:5044215:-1 gene:OGLUM10G03960 transcript:OGLUM10G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRVEKEVRERQTLVKCCPLGLVEFEELCRRLHSSQSDGSGLLERERERERERARGSSLFQFLPISCIPSGSISPVTNLLPSTLPSKDRSRMMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSSSTQQSYPQKQQQAQPQQPKQSLPQQQQKQSLPQQQQQQMPHKQPTTLRRAASAAARTAGIMQQSEDTKIAPSNPKTRRLLPTKSAPTASTRSTVAATKPVEDLKSSGMKLATEEAPSPSSNAAMPGSEPSAPPLPKSAEDDMSIDEVDIPIEDMDEPVATPEETPMEEAIRVTRGRLRKRITAVSTADGEFVSNWYLVEVWKLETMIDLEIMVYHQLSPEGAHFGQQCSFSTIARARLLLSATHFPILIEFLEPNLYISNSESISHHTYESRKLASTRLDSFFHRLLPDPTKEN >OGLUM10G03960.2 pep chromosome:ALNU02000000:10:5038756:5044215:-1 gene:OGLUM10G03960 transcript:OGLUM10G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRVEKEVRERQTLVKCCPLGLVEFEELCRRLHSSQSDGSGLLERERERERERARGSSLFQFLPISCIPSGSISPVTNLLPSTLPSKDRSRMMGDFLSRVLLLAFGYAYPAYECYKTVELNKPEIEKLIFWCQYWILVALLTVLERFGDFAISWLPLYSEAKLMFFIYLWCPRTKGTSYVYETFFRPYISQYENDIDCSILDLRVRAGDMLVVYWQKVAIIGQTTFFNILKYASAQSPAHSSRSSSTQQSYPQKQQQAQPQQPKQSLPQQQQKQSLPQQQQQQMPHKQPTTLRRAASAAARTAGIMQQSEDTKIAPSNPKTRRLLPTKSAPTASTRSTVAATKPVEDLKSSGMKLATEEAPSPSSNAAMPGSEPSAPPLPKSAEDDMSIDEVDIPIEDMDEPVATPEETPMEEAIRVTRGRLRKRITAVSTADGGAAN >OGLUM10G03960.3 pep chromosome:ALNU02000000:10:5037586:5038153:-1 gene:OGLUM10G03960 transcript:OGLUM10G03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLEIMVYHQLSPEGAHFGQQLLLSATHFPILIEFLEPNLYISNSESISHHTYESRKLASTRLDSFFHRLLPDPTKEN >OGLUM10G03970.1 pep chromosome:ALNU02000000:10:5080559:5085053:-1 gene:OGLUM10G03970 transcript:OGLUM10G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSNVSIVEIEERLGKVVLTLDPIDKLVDEIHVDLARLASRDDKDGGHGEADDDEDRLVSTKYVEVSSLALQLKNDVKQLKRVLDANPHGGGWYHASSSSVARRPSMEMDTPPVEDLLDGNPRDSDPAALSLESLDGKLRRCLLCLAAFPAGVEAIKKRLLLHWWMGEGFVESIDAAKECFRELVSGGFLQPALHREHCRGVHYCRVNPSVRPRLVDAARNDGFLEFDEEDNPVQSTRRLCLRGNEAAGVRGGNETRGGRWRRRRRRNNSDDDDDGKLLTIYNINQQFVRLDRVGGKPAKTKSRAVLQLGRWRTSVKEHHVELAAGADVLKRAFMCKNLRYLSLRGISLIESLPESIGNLRELVVLDLRACHNLENLPASVGALSRLEYIDVSECLLDAMPEELSCLSNLVVLKGFVVGRAQSKVNPCRLAVLARMPRLRKLKLCTGKHCTVAGDDELRHLEHCDNLRSLTIVWGTKGVSSMIALPARLEKLDLQRTPMNDLLQFIQPSTSASLRKLYIRGGRLRAMTGGAACWKNVEIWRVRFLKNMECEWRDLQTSFPNVMVVENWGCDKLASWPCNHLGVWKRGETVKRSATSFVGVCYGMRGTDFPSPMEVVELYKSNNIRLMRLYHPNHQVLSALCGSGIGIIQGVGDNSILESLGYDQAAATNWVRTNVQAYSPGVSFQYIAVGNEVPAGVEMRFILPAMRNIERALSWQDQGVNGGDADHTGQIIATIHRCVSPGRTTIHKVHSTVLGSHRCTVASQCAPYYAYQYEGGHNIAISYALFTSPGTVVKDGSYNYQNLFDAMVDALYAALERADGENVRIVVAESGWPWSGMMAATVENSRTYYENLISHVGQGTPRRPGVPLQTYTLCSMRIVSSLDYSAMTRRRYTQLPSVSSHPNPSKTRPNNDF >OGLUM10G03980.1 pep chromosome:ALNU02000000:10:5096799:5097620:1 gene:OGLUM10G03980 transcript:OGLUM10G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPVESSASIASGGLAAPAPPTNPRNAIPAANPAANPISGAAHSAPANATPPATECPVRPPPPRPRWSEVDDERVLEILRDHLRRHDDLPRGVDLLMAVYGRLTRTDYSLAELEELVTGLRRRFEEDDAVLCSGSGGPAPGHELRLYTLSLEVWGAAPTAVAPPKPAAAATPTTAPAKNAPGTSYPARQAGRPRQAAAPPAKKVRYEEMRVQYPRLAAKVDERARKALEGVSDMTAWSLELRLQNQQLAGGSPAARTDDRAKELTGLISTLI >OGLUM10G03990.1 pep chromosome:ALNU02000000:10:5100505:5100891:1 gene:OGLUM10G03990 transcript:OGLUM10G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSAALFAVVITMSLLSVEVANGCGDTSCSNPSPPPPPAVPTPTVGTCPINVLNLAVCANVLSLNVPSSQCCTLLQGLADLDAALCLCAALKANILGVINVDALVDVTLILNSCNRKCPPGFTCPL >OGLUM10G04000.1 pep chromosome:ALNU02000000:10:5124526:5126121:1 gene:OGLUM10G04000 transcript:OGLUM10G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDEQSNGGGGGGHRGYEWKLPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLADPGELRSLAGDASCDAGQGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDTLHAHIDARLLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCATNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >OGLUM10G04010.1 pep chromosome:ALNU02000000:10:5155048:5156637:1 gene:OGLUM10G04010 transcript:OGLUM10G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8F6] MAAAAAKELHFLLVPLVAQGHIIPMVDLARLLAGRGARVTVVTTPVNAARNRAAVEGARRGGLAVELAEIAFTGPEFGLPEGVENMDQLVDIAMYLAFFKAVWSMEAALEAYVRALPRRPDCVVADACNPWTAAVCDRLAIPRLVLHCPSVYFLLAIHCLAKHGVYDRVADQLEPFEVPGFPVRAVVNTATCRGFFQWPGAEKLARDVVDGEATADGLLLNTFRDIEGVFVDAYASALGLRAWAIGPTCAARLDDADASASRGNRAVVDAARIVSWLDARPPASVLYISFGSLTHLRATQAIELARGLEASGRPFVWAIKEAKAAAVSEWLDGEGYEERVSDRGLLVRGWAPQVTILSHPAAGGFLTHCGWNATLEAISHGVPALTWPNFSDQFSSEQLLVDVLRVGVRSGVTVPPMFLPAEAEGVQVTSAGVVKAVTELMDGGEEGTARRARAKELAAKARAAMEEGGSSHADLTDMIGYVLELSAKKRQERDARETAQQPPPSPAELGDISGDGDKVEADPALSVQS >OGLUM10G04020.1 pep chromosome:ALNU02000000:10:5160196:5160522:1 gene:OGLUM10G04020 transcript:OGLUM10G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAAIDVETLLPVRSYSSCCRPQPRPPMAETVAELPDLCGCRVFSPIREVELEVALVMPRLSSANLDKGSEVSISSLWTDSDERSQLIVGGNGDSTTHRTKRKKKR >OGLUM10G04040.1 pep chromosome:ALNU02000000:10:5179522:5186169:-1 gene:OGLUM10G04040 transcript:OGLUM10G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNTMLPLLSFVLLGIAQVKRSFALSPVLSYGHLITPYPEGMTRRLEKRFLLDHGTNPSPSNASLPSTSTLARCPKRCGKLSFDYPFGIGQACFRHVDFSLTCDMATQPPKLFLNNGSTEVLGDIGVDGLNIYFIHFNLIPITSTHVIPINSGGDVYNFSWKTPGDSFTIARQGMTFVVTSCDLDVYMVDQEKSTPILLGTIACPSKEIAEMVYRQDSEGPGWYTIDSLPVRTVQLQFVRHKTGNTQKYFNLTMLWDRINITVKANLAWNIVDQTRCLNNMEDDRKNHACISNHSSCVSSQYIDVGYACRCNDGYVGNPYIMDGCKLDDEGCAAREVFQLSCVDNANSVLQYNDFIRVVSNIFGKGPNLYVDPTESASVQWAVANLTCQQAQQNTSTYACVSTNSSCSRVISTMQGYVGYRCTCLPGYDGNPYIPDGCKDIDECLQTPRICKELCHNTEGNYSCTMCPDHTEYDVIRMQCTPRRNQSLLLAIIRRWKRDVHKKIRRKYFQKNQGLLLEQLISSDENASEKTKIFSIEELKRATNNFDSTRILGRGGHGTIYKGILSNQHVVAIKKAKVIKEGEINDFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFELLHHDSSSTFPLSWGDRLRIAAEAAGALCYLHSAASISIFHRDVKSSNILLDANYTAKVSDFGASRSVPVDQSHVVTNVQGTFGYLDPEYYQTGQLNEKSDVYSFGMVLLELLLRMQPIFTTMSGMKQNLCTYFLSEIKTRPIIDLVDPPVLEEANEEDIRQVASLAEMCIKLKGEERPTMRQVEITLQLLRTERMIPPHVSPDRNQEIESLLTQGAIDQVMHALVNVDRANVTSQRSQTSCYSLEKEFLSSASLPR >OGLUM10G04050.1 pep chromosome:ALNU02000000:10:5193085:5194884:1 gene:OGLUM10G04050 transcript:OGLUM10G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRPPCMRAQLERARPAAGRRRRHPLRSTAAAXPQPPRRLAASTPRAWLAQRRPAPSSRRRLHLRLRPSPPPVLETSSPQQQQSATKQVSNGESDQVSNDEKPGPAPVHSFGFFPKTLSDDTTEDNSNV >OGLUM10G04060.1 pep chromosome:ALNU02000000:10:5214576:5220879:-1 gene:OGLUM10G04060 transcript:OGLUM10G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVQRLLLPVAQGAKPCVALVTAVMAVVLATAWLVIRLVFVKGNTGRRPCEADGMRLVPGSRGLPIIGETLEFLALSPSLQLPAFFQRRLDRYGPLFKTNMIMEDLIVSLDPEVTNFVFQQEERLFQMWYPNSFIRIIGANSLITTHGLLHRHIKNLSLRLFGPENLRRVIIQDVQTKTVEASLSTWLNHPSIELKEAVSSMIFSVTAKKLISHDSSTSDGKMWKQYDAFTQGLLAFPLCIPGTAFYKCMQGRKNVIKMLKEMLNERKTEEHHESFDFFDVLIKEVKAENHEITEKAALDLLFLLLFASFETTSSGITAMLIFLTDNPEALQELIEEHNNIRKRKADKNSEITWEEYKSMKFTSHVIHEALRLASIAPVMFREAIEDVHIKGFAIPKGSKIMICPYTVHLNPVVYKDPNTFNPWRWKNIPEPASGASKDFMAFGGGLRFCVGAHFAKLQMAVFLHCLVTKYCWKAIKGGRMALSPGLQFPEGFHIQLFPKA >OGLUM10G04080.1 pep chromosome:ALNU02000000:10:5233055:5234793:1 gene:OGLUM10G04080 transcript:OGLUM10G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHRRPLNAACKNLRRQPIGAACKNPAIFTKTFYNFNDYYICFIIYPKTDVVMVLDPLDYSHKIAYNYYKFKGGEQTKTREKLLVHTVTSNLRALSLRLPRIERRTGFDDTSIKNVQRDLCHECCHVLDKFFDPGSILATSDEYKALREWSNVMP >OGLUM10G04090.1 pep chromosome:ALNU02000000:10:5241993:5243999:1 gene:OGLUM10G04090 transcript:OGLUM10G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYIFDPEAAESRGWRWRRAHDVAALALRGRTACLNFADSPRRLRVPPIGASHDDIRRAAAEAAEAFQPPPDESNAATEVAAAALGATNSNAEQFASHPYYEVMDDGLDLGMQGYLDMAQGMLTDPPPMAGDPAVGGGEDDNDVEVQLWSY >OGLUM10G04100.1 pep chromosome:ALNU02000000:10:5246808:5263862:-1 gene:OGLUM10G04100 transcript:OGLUM10G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSFCCCCSSSSTSTLPSRLSRLGGFRRVRSPRVALAHFGAKAPPLSPIVCCCCAPGFRDLSACAPSPHAAARRSGWPDAIGSETEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSEVRRRRRRRRRLRELALLEAVAECGGGGEGSRFSSERGEAAAAEEAAAAGARVARGGGGVRRRRRGLALLERGEAAAAEEASGGG >OGLUM10G04110.1 pep chromosome:ALNU02000000:10:5267209:5270238:-1 gene:OGLUM10G04110 transcript:OGLUM10G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRSARARPLAVLLLFAFSSGVAAAAQGIERIKDDPVGKLKVYVYELPPKYNKNIVAKDSRCLSHMFATEIFMHRFLLSSAIRTSNPDEADWFYTPVYTTCDLTPWGHPLTTKSPRMMRSAIKFISKYWPYWNRTEGADHFFVVPHDFAACFYFQEAKAIERGILPVLRRATLVQTFGQKNHACLKDGSITVPPYTPAHKIRAHLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPQTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFSDAIPWEEIAVFVAEDDVPQLDTILTSIPTEVILRKQAMLGEPSMKQTMLFPQPAEPGDGFHQVMNALARKLPHGRDVFLKPGQKVLNWTEGTREDLKPW >OGLUM10G04120.1 pep chromosome:ALNU02000000:10:5305288:5306576:-1 gene:OGLUM10G04120 transcript:OGLUM10G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFMDRILADKPNAGGDRKAAATPQVIAGDPFGIKPVYSLLRLLLLHRQFARWFEVLHPLHPLRYK >OGLUM10G04130.1 pep chromosome:ALNU02000000:10:5307659:5309086:1 gene:OGLUM10G04130 transcript:OGLUM10G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRRGRAAHSADAAPPCHHKGWLSVVSHSRRHGGTRKERRGGGGEGTLSLLPDDVLGAILASGFLTAVDVVRCAASCRRWRRVVGTRSAYISRGLPPLGGYLSGLAVGVFTAPIARARRRTPQFVPTAAGALLLGDRRISLVDGIQVDGELLEHARPVASRNGRLVLQLRPTGGDLALCVCNPMTGELTMLPPLSGDDKTRRGWCAYGCALLTGDDFDTPRPPYTSFRLLLLYNHGASTTVLRCYSSSSGRWGKEVDITGVASISGEKMRQIGPAAVRRGGAAFWPLDDGALGVRLDVERPDAMDVHLLPYTSPHYWPEKRLLGVTAADNRLFFVSFGIWEGCLSGAVSYFDIDGDDIGTGRENSDRDGEVLYPMFDIKMRRRHDQSTLKLRWFCEKSGLVLFTLGEGSGYPGTFALDVRSPAVEKAVNGYSVSWRDVHLESPAMVKVADGHSWSSFVGYEMDMATYLAALAA >OGLUM10G04140.1 pep chromosome:ALNU02000000:10:5333904:5341831:1 gene:OGLUM10G04140 transcript:OGLUM10G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEQAPAYPLPPSAPRPTFLHSFLAHDFSGTCCPVIFCFLCARSFCRSCCQGHSSKHHPGRRPSIVEVTQFRRDWVVSAEDVDGVGYNWNGIQRVKNHGKKVLYIRRLLVKPQHNMPLTCKCGDRMQCRASFCCIGCRLNNVLSGQRRDVVAVLVATNFSEARLANQFCTICRKSFSSSCCTDHMGCHHPGIEDENNEHVIGIERHPVNGYILTPCHGALANVIFDHIQTLDLEGQLLIAIHRYSHGIIQGTMCPCSRIIALGFLYCSLECKDNHFWN >OGLUM10G04150.1 pep chromosome:ALNU02000000:10:5337815:5346582:-1 gene:OGLUM10G04150 transcript:OGLUM10G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34830) TAIR;Acc:AT4G34830] MDASSSSSSSTPHALTLLTSSPRFLHLPLCSPSPRRRHAGGGALLLRPSHRRRAEPGGVRHARRAPSCLLGGGGSAGAAVALGGSAVAAAAALLAAALQLVWLRWRGGDSPEEEEVSHEHDKRIVNNKALGTSTDLLDDSSYSSRRTHENVFSEPSVSGRMTVDRTDCQTGVFSGVDTVNNTTQVGSVISTYRSLDTFEGVYGPANTSSIEKVQDILPQSRSVSDGQHQSRHFANRRAQLGGLPHQFLSLSKQNEQTKNGQGPPDNKTDSEGANLLGCHQSDQGDYVDFTSLSSFKRINEHPLNFIPEAHASNLFEPRKASEFTNSYAGGSYLRAGRLVPVACLRDGPVSKQKKAMNDHDDAKLIGWSISNLLSKENPENSTSANRAGLRGAKDVPDYLRRYNSLLIDGRLKDSVDLLESMEQKGLLDMNKIHHASFLNACKKQRAVPEAVRFCKLINNPKMSTFNMLLSVCANSQDFDGALQVMVLLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCAKAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMTAEASESKGSKPILPDHVTVGALMKTCIQAGQADRAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVQPDEMFLSALVDVAGHARRADAAFEIMKDARAKGYQVGTIAYSSLMGACCNAKDWKKALQLFEEIKSIKLMPTVSMMNALITALCDGDQVLKSFEVLSEMKRLGVCPNMITYSVLFVACERNAEAQLGLDLFEQLKIDSIDLNPTIVGCLTGLCLQMFDNDLSLGNIVVTFNLGKPQIENKWTSSAIKVYREAISTGLLPSSDVLSQVLGCLRFPHDNTLTNTFIENMGISCDIPHHPNVNSLLEGFGEYDIRAFSILEEAASLGAVESISMKDTRILVDARKSKIYTAEVSVLTTLRSLKHRLAAGARLPNVTILLPTEKKQVGLDEREKTLKLAGRVGQAVGSLLRRLGIKYHGEESHGKMRINGLTLRRWFNPKLTSTSSTGTPADLLPLPSRLAKGIADQQRNIRNLSLE >OGLUM10G04160.1 pep chromosome:ALNU02000000:10:5347364:5347819:1 gene:OGLUM10G04160 transcript:OGLUM10G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAWRSQKRQRSRPALQRVSERGFGVARREPTACQTACVVTRAVPTSPAQAFGARPRRWMSAADPDLDGSIPTSNASSMTIPPPSSDPHRQARHHRSTVSKRTSTTQG >OGLUM10G04170.1 pep chromosome:ALNU02000000:10:5352227:5355961:1 gene:OGLUM10G04170 transcript:OGLUM10G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWREMTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRQLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >OGLUM10G04170.2 pep chromosome:ALNU02000000:10:5352709:5355961:1 gene:OGLUM10G04170 transcript:OGLUM10G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARAGATAAGGLRSGGDVRRRRNMVAIVAATTTTGAGPAVPKEGSLERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNVPWREMTKQVLESDVYEVFDRIRDLNLVYPDYYLNPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPDAGSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSARTVGLDLSPYFLAVAAHKEEKLSRQNPIRWVHANGEATGLPSNSFDLVSLAYVCHECPARAITGLVNEAFRQLRPGGTIALTDNSELSPVLFTLMKSTEPFLDEYYMLDLEETMRQAGFVNVHSILTDPRHRTVTATVPF >OGLUM10G04180.1 pep chromosome:ALNU02000000:10:5357685:5370387:-1 gene:OGLUM10G04180 transcript:OGLUM10G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKVAIYNLWMNDDGLLELDFEDDDEARCFYDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRIRSFGQAQENSMESVGLDENSVDIGSEGALDPNVMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRIRKSQQQQQPPYI >OGLUM10G04180.2 pep chromosome:ALNU02000000:10:5357685:5370387:-1 gene:OGLUM10G04180 transcript:OGLUM10G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPAAMAGGTGGAGGGGGRALDCRSFWKAGAFESASAPSREFHDALEAGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEICNGATFIKVDKSVNLKDNSTMLVFQDDGGGMDPEGVRRCMSLGFSTKKSKKTIGQYGNGFKTSTMRLGADAIVFTRANRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGHIVPLVYGSQGDWDSSLKIILEWSPFSSKEELLQQFKDIVSHGTKDILLRDQDKASGGVTKAQKEIVQQHISHRLRFSLRAYTSILYLKKFENFQIILRGKPVEQIRIADELKFKKLVTYKPQVAHDSQVVSVKVDVGFAKEAPVLGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFIRLEAKLRQIILDYWKEKCHLIGYQPVDPQLRSQYKAALKDSGNPGPKSQQKASTSRRTGGHTSNLLPETYDDTEVIGLTANGSGSRIRSFGQAQENSMESVGLDENSVDIGSEGALDPNVMEKLSEENIGLFTRREELRQRDTQLRQTVGELEQQLAETKKKCAQLADDLRIRKSQQQQQPPYI >OGLUM10G04190.1 pep chromosome:ALNU02000000:10:5389969:5390247:-1 gene:OGLUM10G04190 transcript:OGLUM10G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDARRSRCPPLSPSPLPHRRGGRGDDEEIGNGPLLAPGKALPGGSFSCRLRSPAAEEDDDGAASARVALKLASLTALL >OGLUM10G04200.1 pep chromosome:ALNU02000000:10:5390326:5393547:1 gene:OGLUM10G04200 transcript:OGLUM10G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGAPSPVTSFSSPLHHRFVLLRPIICPLLGRGVVAMRRLLDSALLCVAGPGRQGRSELQLQNQSQGAN >OGLUM10G04210.1 pep chromosome:ALNU02000000:10:5407023:5408033:1 gene:OGLUM10G04210 transcript:OGLUM10G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPPFSFLVLFLPVLPHHAARQRVHRLSPPPFRLHVQADVPQLKEMCSSLSMSKELVKALVGIWGPDDGLNPSTASLLSALGAQEELEKEREMPRLVDELHGPSRAPPPRPSHPWRRSPPHHPRAHGLGAATSAATEGLPTSFGEGGDGLRRPTSAK >OGLUM10G04220.1 pep chromosome:ALNU02000000:10:5450011:5460214:-1 gene:OGLUM10G04220 transcript:OGLUM10G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSRAGPRHVVLVMLFFAAAVAARGADAASPVTTRPCGAAAAAASFLCSRCATTVYPAVCYDSLLPYAGAFQDSRVRLARAAADVAAARLRDFSASLDELVHGSGDVGAVTTPPRVAAAVRDCVGTVSSAAGLARRSSAALGRLDAGAAAGGGGSRLARWEVSNAKTWLSAAMANLATCADGFADADSWSAAGIEEVVAGEAANVSKYTSNALALVNGIPFVNGAGEEAVRSGSGASSLPTGGASKLPLMAPLPPRAMSLVFLFLFLFFTAASATRRLDDAGGQPTTSSSATAFLRARCATTLYPDICYDSLLPYASAFQTSHVKLAVAAADVAAAKLRAFSARINDLLVQGGAARVDAALKDCKSTISDAGDLARQSSAELGQLDAGAAAAGVSSRQARWHVSNVQTWLSAAITDEGTCTDGFEEAGEAAAGSPAGKEVAAGVARVKQHTSIALALVNGIPL >OGLUM10G04230.1 pep chromosome:ALNU02000000:10:5497297:5497935:1 gene:OGLUM10G04230 transcript:OGLUM10G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRPMTAVFFVFVVALSSASPATAKATPAAPPCVAPPAAVAFLRASCASTLYRLTCYDALIPYGCAFQTSTVKLARAAADVNAASLKNLTARAKELVTHGVPGEAPAIAAEIRDCASTSSSASGHAKETAAELARLDAMGDAAKGSQARWAVSNAKTWLSAAMTNEANCADALSSTGAAMSLAARELIAGVVMAKQYTSIALSFVNTIPVS >OGLUM10G04240.1 pep chromosome:ALNU02000000:10:5508061:5510055:1 gene:OGLUM10G04240 transcript:OGLUM10G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLVSIMLSLLLVLFSHLLQLIAAARRRLPPGPCPLPLIGNLLDIGDLPHRSFARLAERYGPLMTVRLGAATCVVASSPATARAVLQTHNASLAGRGRQDAWHAGGHAENSVFVLPPGRKWRLLRKIGAAHLFSRRKLAELAPLRDEIVGGLLRRVAERADHRGGGGGGAPVDVGRLALAANVELLWRSVFSTRLDAATLDVLCDVAREAAVLLGTPNVSDFFPAVAALDLQGLRRRLAELMKNTYRLVDAQIDHRMRCRELRGGRGGEAMDLLDVLLDMSEQEREDGDVEVINRDLMRALLTDLFVGGSDSTATTVEWAMAELLQNPEIMKTLQQEIKMVLGTRSQVEESDIGQLPYLQAIVKETLRLHPIVPLRLYEAERTVEIEGHTIPKGSKVIVNAWAIHQSAKVWIQPEKFLPERFITKDIDFAGRHFEFIPFGSGRHICIGLPLANRMLHMILGSLMLQFKWTMPQMVNRNGLDMAEKFGLAVSMATRPNIIASKM >OGLUM10G04250.1 pep chromosome:ALNU02000000:10:5535708:5536364:1 gene:OGLUM10G04250 transcript:OGLUM10G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATKAAAAEAAAPCSTTTTESTSSSSFLRARCATTRYPDVCYDSLLPYASTFQTSHVKLAVAAADVAAAHLRAFSARVKDMLLHRGGGSEEAAASSGGGAARVDAALHDCASTISAAANLAKRSSAELTRLDADTAASTETSTSGGSRQARWQLSNAKTWLSAAMTNEGTCSDGFEDAGAAATASPAGKEVAAGVASVTQHTSNALALVNGIPL >OGLUM10G04260.1 pep chromosome:ALNU02000000:10:5568004:5574331:-1 gene:OGLUM10G04260 transcript:OGLUM10G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTFVYHALGSFSCVCLLCFASSLVSVADAGGAPVLQALECTSTAAGNYTQDGAYAANLGRLLAMLPNETVSKNGGFFNGTVGNGTATVYGLAMCAADFSRADCMDCLVVAAGISAGGVVKRCPGSTTVSAMFDQCLLRYSDSSFFGTAHISGELAGAVRGERDEPVHAGAQCTWDLPPDACRGCLDALAANVSATFPATARGERKSYSCRVRYDVNTSFMVVPFNLSTGSAGTPTSSLAGPGSVNPAKNNGPVMIGSIVAAVVFVVLVSVVVWLCVRHRAIKKVALAGPRSYSYEELYTATNGFSDERKLGQGAFGAVYRGVLSDPSQTLVAVKKIQRMSEAAWQELVAEITIVTQLKHRNIVDLVGWCDDRNNPLLVYELMDRNLDYHLYPPQRMGESEVVLDWKKRYNIILDMANGLQYLHTARNECVLHRDIKPSNVMLDENLSCAKLCDFGLVKQINHDEVTPGRQTTVIGTRSYLDPECIRTSIVSAASDVYSFGLVLLEIAFGRQPTMLQHGHNNKNSLVDWVQDSFRHRKSVADMADERLKGDFDEEQIERVIRVGFLCVLPEPDKRPDMATVVDYLKGRSDVPAAEPYPASPATIHAANNYESSPVSLLVS >OGLUM10G04270.1 pep chromosome:ALNU02000000:10:5593720:5593923:1 gene:OGLUM10G04270 transcript:OGLUM10G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVAVARAGGHGGSRRWGRRLRRRWRWLTAGGDGGSRRWWRREAMATTGRQLLASPPLPSLSLSQI >OGLUM10G04280.1 pep chromosome:ALNU02000000:10:5626720:5629031:1 gene:OGLUM10G04280 transcript:OGLUM10G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAGSLQGEREFHNEIAVASHLLASSSAPVSPPVPDAAAKPGGKGRDSILLPFAYSMSSAARGEGRPRRMMLVYDLMPNGSLQDALLGRRCPELVAEWPRRLAVARDVAAALHYLHSVVKPPVVHGDVKPSNVLLDTDLRARLADFGLSRINSDADADCKPESGAIAEGCDVDGGCDDDASVIAESTVTTTVNGEGNPKSPEDDDGFTTASPAEAASTSGFDRTSVESGMNSRSCNGGGSRTGGVMGSGTGSDWWWKQDNGGGSNGVKDYVMEWIRSEIKKERPKNDWIAGAAITNPAADRKKPKRRAREWWREEYADELAKKQKRRALAKSRSEQAGLQWWERDIDDDLDAKGRSKWSMMKSWSRRSNGSTGNGNGNGNGSINWWVNGARSTRDWASGEFVPKSSGAVSSTPSMRGTVCYVAPEYGGGGPLSERCDIYSYGVLLLVLISGRRPLQVTASPMSEFEKASLISWAKHLARVSRLIDLVDPALQDVNRDEVLLCITVALLCIQRSPARRPSSEEVLRMLSGEGEPPHLPLEFSPSPPGGFPFKSRKKVRIAIADLKYEGQPGHYIFWKGFIEVILTWFDTV >OGLUM10G04290.1 pep chromosome:ALNU02000000:10:5631061:5632331:1 gene:OGLUM10G04290 transcript:OGLUM10G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMDPMRSSGYYDFLKDPDLAHPFDEAATQGDFSQPSEDFPYVHGQFPLFSTQPPPAVAVNGGRTAATRSRVRQRVQANPAGQDDGRGRMYYTRDEDLRLSQI >OGLUM10G04300.1 pep chromosome:ALNU02000000:10:5634733:5638315:1 gene:OGLUM10G04300 transcript:OGLUM10G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEEKISLSDNEIDPTELYTMDDYLQQRNAWNAAAAQLAGHLMMMSSLEASSSRQQRGLRRTVRRDRVGGNARIVADYFCDNPVYNEVDFLAARSKCGSAPTSADRCGLARWRGDAGRWRARRRARPATELARVRARACRAADRQLTRTLPPRTRHFLHLPLRLQEPAAELHAQAQLGKMEERNSGGENEKRKTKSRGEGIEKKKEKVGG >OGLUM10G04310.1 pep chromosome:ALNU02000000:10:5640975:5643337:1 gene:OGLUM10G04310 transcript:OGLUM10G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAVKRKLIVDKSPTHRLLHSPLSPITLVRRRGSFFVHITAFCVDGFFGAAGDAVLGAIPINAVGDDAATGDGEGDSVGDDDDDAGDGEAYGDGDDEGGDGAGDGHDDYGDDGDGGAAGYGGTGYVGALYLGGGPGSYDLSGYAMFYPHADRSCC >OGLUM10G04320.1 pep chromosome:ALNU02000000:10:5652895:5655496:1 gene:OGLUM10G04320 transcript:OGLUM10G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVCEAEMTSRRRRPTSPSPAQAQAQPLEDDDLLSEILLRLPAKPSSLPRASLVCKRWRRVVSDAVFLRRFRSHHGKPPLLGFFKVSYRNPIFIPTLDPPDRISAARFSLQLPLPGGGGGSPPVFGHFYHMFAFRHGRALIYDRSLLQITVWDPVTGDRRAVDIPEPFGRRPVYVSNWAMRCVDGHVHGGCHSSPFEVVVIGFNKYRRRLFTCVYSSDTGNWGKVISNAFNFSGHKTRSSTLVGNFFYCLFQSVIGTVILQFDLDTQIPAQIDVLPEMHGDGGDQISPAEDGGLLFLAVRDFSLNLWKHKINSDSAAAGWVLEKTIELDRLLPFEPRPDTDTPAPMNILSFAEEHNVVFLATAIGVFMVNLESMQFKILPQAPGVGICHPFTSFYTKVTFIVPLTAWFLDELSYI >OGLUM10G04330.1 pep chromosome:ALNU02000000:10:5662484:5669955:-1 gene:OGLUM10G04330 transcript:OGLUM10G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADLVLKAACEGCGSPSDLYGTSCKHTTLCSSCGKSMALSRARCLVCSAPITNLIREYNVRANATTDKSFSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSSTATYYLLMMHGKEFHAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAATNGPAAFGSDIKKLEPTNGTEKENARPKKGKNNEEGNNSDKGEEDEEEEAARKNRLALNKKSMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGKAAGLNESDADEDDEDDDEDESSPVLAPKQKDQPKDEPVDNSPAKPTPSGHARGTPPASKSKQKRKSGGGDDSKASGGAASKKAKVESDTKTSVAKDETPSSSKPASKATAASKTSANVSPVTEDEIRTVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >OGLUM10G04340.1 pep chromosome:ALNU02000000:10:5712888:5713757:-1 gene:OGLUM10G04340 transcript:OGLUM10G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASNNDENERREQAEKALQRAEELFAAGNVRSAHLQAGRAKRLCPSLPGVASAAAAYEVHAAARPGKGGNNWRAVLGMRYGDAATLDTIKDQFQRLSLLLLHHPDDDNNNNAGRRAAVEGAVALLRRACEDALSAVAAGSSMEDDDDGTSRRPHAAAAPHNYKMPPPAMQLHVPREAIVIYCPSCKSEFAGKVGRLEQQVGMKCARCTEWLSPPWQKKPQAKKEPPAGPGRPAVFQCPAKCPECGEQYASMVCVGQWCLRCKACTKAAMVDVQGPDQATATIKKSRT >OGLUM10G04350.1 pep chromosome:ALNU02000000:10:5750727:5758031:1 gene:OGLUM10G04350 transcript:OGLUM10G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGASGGGGGPHPSASPSAAAGVPSSSSSEASLDGGFLLRILQNPPPQTRHQAPPPVDAAAAAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVALGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQRQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVAPAVTGGRLPHMGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKEPHQVPMPTSGSVAMDVREDRGKKTVAEANCLEDGVVGEVGFEHIVEGGVTLEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEHLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYAYVIMCIHYLQSQRILPCLQEMEPTYYVTVDNNICAYFDQVDKLNGFGAQCKDTLSRLLWGFFRYWAYAHNYTKDVISIRTGRTISKNMKDWTRRIGNDRHLICIEDPFETSHDLGRVVDNRSIWALREEFERAAEILHLDPNPNITLFEPYVPSEAET >OGLUM10G04350.2 pep chromosome:ALNU02000000:10:5750727:5755208:1 gene:OGLUM10G04350 transcript:OGLUM10G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSGGASGGGGGPHPSASPSAAAGVPSSSSSEASLDGGFLLRILQNPPPQTRHQAPPPVDAAAAAGPQQVFVDPAVAAVGPAFPSAAPQLQHGGGFAWPSPASNPQPQPQPQLRFPDPRLAQPLDPYVALGYGGSGAVDGVAGSRAVKPRSAAPPPGFAKASHPPSSSSRETLNAFGGMHNREQRREPSHQHPRGFGRALEKEQRVVQPSAGGHEALGVAPPRELHTMQTTGGRDMAAGTMYREHQQRQDHFLSRTPPDGNGPGPFGRMPRGEQHMHSATGGRMHHGEQHVAPAVTGGRLPHMGQRPQDHSLSNLPRREQRWQGHGDLKGHASLKPPNTNVHGMFSMMSVKEPHQVPMPTSGSVAMDVREDRGKKTVAEANCLEDGVVGEVGFEHIVEGGVTLEARKFEVSYTKNDFRSIGQDEEVDDGNKNDDATIEHLMETLVIDDNGEAKSTVVQINGSRSKNFRSDSRGKNVSSQSVRFQRRIRPCRYDIDQFTPSFMSIFESLVPSDEEISKQKQLLATLSRLINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDEKEMSKVDIILKLAHILHAGNLRNIQALTRARVPIVKLMDPNTGLSCDICVNNLLAVVNTKLLRDYSQIDKRLRPLAFIVKHWAKSRCVNETYQGTLSSYA >OGLUM10G04360.1 pep chromosome:ALNU02000000:10:5759019:5763106:1 gene:OGLUM10G04360 transcript:OGLUM10G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPTPALEPEIGPDGLARENPVIAYTEKVILEEQLQLKKYIQENYSKIRDVEKELENLSLEMKLTAGPKKAALEHLRKKIEISTERIRLARVKEEQAKKAWEAAAQIVKDEENAKQNLCDDLNRLVQESAASQFSRLEELKKRLESLNPSRASVDVSCMNTAQHATTSSVPQQAAAQNPQNAPSPANNANHDSSGLSQQQRPADAERKRRPSQMGQGRGGVMILPKGRGSSGSGWTGAGFDVDGRT >OGLUM10G04370.1 pep chromosome:ALNU02000000:10:5795987:5796882:1 gene:OGLUM10G04370 transcript:OGLUM10G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRKKSGNIINVLEIGQAVKEFMFQRHEMGDGAWKIEVHTVGIWPRPSTSKICCHTFPACGPVKNVEPKLVTSIEVVRRGGRVLAPHRLRRSPALGPKRQKRRRGKGESLAAPATSSTRCRCAVANKRCQIRARRSSSPTRGSAGWPPTPSRLAEHVTAAGAPSTATNLVEPGRRQREGERHGPVPPRWAENSRSGTRSPPCRRHLLALGSSVAVPAAEARLRVAAGQLPDPVVKEMNSTTDWWRRRRVPPVEREEGSRQSLTMEGEEGAVAAVGSLSCAEE >OGLUM10G04380.1 pep chromosome:ALNU02000000:10:5798251:5805345:-1 gene:OGLUM10G04380 transcript:OGLUM10G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglucomutase [Source:Projected from Arabidopsis thaliana (AT5G51820) TAIR;Acc:AT5G51820] MASHALRLHPLLFSAAAARPAPLAARPGGGARRVHRRHSLAVVRCSSSAAQALKIKSIPTKPVEGQKTGTMFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDAAQIITKIAAGNGVGKILVGRNGLLSTPAVSAVIRKRQANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPETITDQIYGNTLSISEIKTADIPDVDLSSLGVVSYGDFTVEVIDPVLDYLELMENVFDFQLIKGLLSRPDFRFVFDAMHAVTGAYADPIFVEKLGADPVDYILNGVPLEDFGNGHPDPNLTYAKELVFTMFGSGAPDFGAASDGDGDRNMILGRRFFVTPSDSVAIIAANAQAAIPYFQSGPKGLARSMPTSGALDRVADKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHRNKDKKAGERLVSVEDVAREHWATYGRNFFSRYDYEECESESANKMMKHLRDVIAKSKPGEKYGNYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRIYIEQFESDASKHDLDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >OGLUM10G04390.1 pep chromosome:ALNU02000000:10:5809749:5810952:-1 gene:OGLUM10G04390 transcript:OGLUM10G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTKDEVELGAVLAEDAVERRRWRWVLVEGELRAAPAEDAVELGRWHRQRREVELGATPNGGHCGAKEVVRAKDVDELGRRQFVGH >OGLUM10G04400.1 pep chromosome:ALNU02000000:10:5846900:5850996:-1 gene:OGLUM10G04400 transcript:OGLUM10G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVLPVANATAAALARVSAVFNAPLARAVVFGIHIDGHLVVEGLLIAAILFQLSRKSYKPPKKPLTEREVDELCDDWQPEPLCPPIKEGARIDTPTLESAAGPHTTVDGKEVVNFASANYLGLIGNEKIIDSCVGSVEKYGVGSCGPRGFYGTIGSYLLWLFSIIYILWPQKKNLAILWFADVHLDCESKIANFLGTQDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLQLSRSTVVYFKHNDMASLASILEKLTHGNKHTEKIRRYIVVEAIYQNSGQIAPLDEIVRLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPVEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAMSAVNHLEENPSVLANLRSNIALLHKELSDIPGLEIASNILSPIVFLKLKTPTGSAVADLELLEIIAEKVLMEDSVFIAATKRSSLDKCRLPVGIRLFVSAGHTESDIFKVSASLKRVAASVV >OGLUM10G04410.1 pep chromosome:ALNU02000000:10:5870338:5875860:1 gene:OGLUM10G04410 transcript:OGLUM10G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYFASVRT >OGLUM10G04410.2 pep chromosome:ALNU02000000:10:5870338:5876610:1 gene:OGLUM10G04410 transcript:OGLUM10G04410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLIKIKDKKKEQAASAAGKAPVKKQSAGELRLHKDISELNLPKSTSISFPNGKDDLMNFEIIVRPDEGYYLGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAAVLRDNPKLFEANVKRAMAGGYVGQHYFPRCA >OGLUM10G04420.1 pep chromosome:ALNU02000000:10:5878009:5882150:-1 gene:OGLUM10G04420 transcript:OGLUM10G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8J9] MEEESKLDATPSPRLLSTHLPYSVLPASITDMNKPIECKYFITLSCNTFFFNHRQPKDMLISFWHFINRDKSRDVSSSYVWESVRECTYFGSPIWDHILGYWNASKVKPDNVLILKYEDMKRNPTENVEKIAEFIGQPFSNSEKEASIVDNIVELCSFEKMKALGASMAGSQKVISSEFPNDSFFRKGAIGDWVNHVTPEMAESLDKLLSEKFDGSGFTFM >OGLUM10G04430.1 pep chromosome:ALNU02000000:10:5884012:5885612:1 gene:OGLUM10G04430 transcript:OGLUM10G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLRQGNRQVDTADQPATRQRSHQVGTVGEQMAIDHAIDRSEQDIDDQQASDVAMDDAEQIASTLLNSRCRATRRGGRKVTINTKLVKKRARRERLYISFPQPFGKVCGKHAKLFKSEVTVIVRNHVPLKAKKWKTIEKQHPGTMANVXAG >OGLUM10G04440.1 pep chromosome:ALNU02000000:10:5885591:5886052:-1 gene:OGLUM10G04440 transcript:OGLUM10G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKMPLVSAAAGCQRGPVPFKDVAAVVAGDDGAAAAMAHRPLADPEDEDYDELIATLPCKPPTPLMRRMRLYRGGWFPEKWLPAIMAFRRRFEARDGDVVVASLPKCGTTWLKALAFATAARGTYPPPPVAGGDDEGNRRHPLLRLNPXKH >OGLUM10G04450.1 pep chromosome:ALNU02000000:10:5912983:5915718:-1 gene:OGLUM10G04450 transcript:OGLUM10G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGVLQLWNEWGVQMLVLASFALQVFLLIFGGIRRHSSSSVLMFFLWSAYLLADSTAIYTLGHLSVDGRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAVYVIYTYIVGSGTDLLMASVSMFIAGLLKYGERIWALKCGNISSIRSSISTRKFKTDPYELLALGTSEEELLLGAHSQFDICKGVFADIIMLPNPSLLSRSKRRSVISYLGMDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFVSLFGTATTFLLFQLIISSSRGDGYSREDVVISYVLLVGALVLEIISVCRAVLSTWTCSLMHRRGRAWEWPLHIITSFSRRVHPASRRLWSGSIGQYNLFHLCARNTNEIGSRLATRLGLQDWWNMMHFSGTFSNTGSFSIQDLKKLVLQALEEKERALQYKDTDLNSRGSFILKSMKAYDDFARWSVNIDFDESILVWHIATELYIRRSKARHAKELVEATEVLSNYMMFLLVVKPNMLPGAARHNIHLPSCEQIEGHCRMGFGGEKDNPVAASPISWNPYCMLKELLHHDGPSCSSIPRREKLAEIVWSFCQFALGSVKAPNPHGESIRDSANMYSVLLANELLGIESRWCEQRDTLELILGVWVEMLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGASRGADELYESNPSMRTVRSWSRSLS >OGLUM10G04460.1 pep chromosome:ALNU02000000:10:5923820:5929844:1 gene:OGLUM10G04460 transcript:OGLUM10G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitroreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G02020) TAIR;Acc:AT1G02020] MLLLRHHPASLRCHVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPVIAGIPYPSLFHSPPPPPSPQPLSVNSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPLATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNTVLSDGLYKELTAQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHAALFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMISRFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMTVAAPFPWPDPAGGDMAGSRC >OGLUM10G04460.2 pep chromosome:ALNU02000000:10:5923820:5927876:1 gene:OGLUM10G04460 transcript:OGLUM10G04460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:nitroreductase family protein [Source:Projected from Arabidopsis thaliana (AT1G02020) TAIR;Acc:AT1G02020] MLLLRHHPASLRCHVRLDSAIHRLAMSTAASASAPPASSAASDYHCRTKHSLTAGYARGPGRLDWANQPNPFLRFSPPSQIPLPNPPPVIAGIPYPSLFHSPPPPPSPQPLSVNSLSALLFHSLALSAWKSAGVSTWSLRVNPSSGNLHPTEAHLLFEHPRDPGRLVVSHYAPRDHLLEVRSAAPLADFPALLPPLATAVLALSSIFWRESWKYGERALRYCNHDVGHALAAVAVAAAALGWDVRLLDGLSDEDLGYLVGVEKGAPPPPDGLPDKMVRGKAPWVERQHPDCAVLLFPAGSEPKVDYGAMSAALRGLDRLEWVGKANALSKDHVVWDVIYHTAEAVKKHGPAPGESFSVNPWRRNTVLSDGLYKELTAQEVVRRRRSAVDMDGVHVMGRDTFYQIMLHCLPSGDVSPEELQGPPSALPFRVLPWDAEVHAALFVHRVSELPKGLYFLVRNEEHFDMLRRAMRQDFEWERPEGCPDGLPLYRLMKGDCQQIAMQISCFQDIASHGCFSLGMISRFELVLHEKGEWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCYFDDAVHEVLGLKDLEFQSLYHFTVGAPVLDKRIMSLPAYPGPGIDA >OGLUM10G04470.1 pep chromosome:ALNU02000000:10:5931823:5935369:1 gene:OGLUM10G04470 transcript:OGLUM10G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8K5] MKAAAMEEPLIAGSSGGSGAAEKNGGEEEGLVVTEVKKQVYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLLVGQDPEIAAGAGSYIRWLIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVCMEWWSFELLVLLSGLLANPKLETAVLSICLNTNSFAFMVPLGLGAAISTRVSNELGAGRPQAARLATRVVMLLAFLVGTSEGLVMVLVRNLWGYAYSNEEEVADYIAKMMPILAVSILFDAIQCVLSGVVRGCGRQQIGAFINLGAYYLAGIPVAFFFAFVCHLGGMGLWFGILCGLVVQMLLLLTITLCTNWDKEAMKAKDRVFSSSLPKDLAT >OGLUM10G04470.2 pep chromosome:ALNU02000000:10:5931739:5932871:1 gene:OGLUM10G04470 transcript:OGLUM10G04470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8K5] MKAAAMEEPLIAGSSGGSGAAEKNGGEEEGLVVTEVKKQVYLAGPLVVGMLLQNVVQMISVMFVGHLGELALSSASMATSFAGVTGFSLLAGMASSLDTLCGQAFGAKQHHMLGVYKQRAMLVLALVSVPIAAVWAFTGEILLLVGQDPEIAAGAGSYIRWLIPTLFVYGPLQCHVRFLQTQSAVVPVMLSAGATAANHVLVCWLLVHRLGLGAKGAALANAVSFLTNLSVLAIYVRLSPACRRTWTGFSGEAFRDVLGFLRLAVPSALMVW >OGLUM10G04480.1 pep chromosome:ALNU02000000:10:5937709:5940513:-1 gene:OGLUM10G04480 transcript:OGLUM10G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVLVVALAAVVVVQAAMAQRPGMPPAVVPPSLPTTTPPAPAVVAPPLPTTPPPAVVAPAPPLPPLTPPPAIVPPALRPPPPLPAIVVPPALPPTPAIAVPPALPPIPAIVPPSLPPTPETNLPCVAELAPCSEFYRNATAKPTGACCAPLKKAYESELGCLCSVLTNPAMAATVGVDTKKGLDLFGRCGVKVPADVCSSHAPAPAPASSPPTASPNSDSSAAPHGAQWMQHAVLLSPVHPPWPYKCTIATYPLSSSLRSLRLTVKRQERHSSRVLNCSILNHSFPDFLNFLHSGLVLHAFILMSKNMIWYSINADPY >OGLUM10G04490.1 pep chromosome:ALNU02000000:10:5949070:5951965:1 gene:OGLUM10G04490 transcript:OGLUM10G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPERAEPRETERNTCPFALLSSAEPQRPPSPLLPPSAASLSHRQPFPSPEEKRREEKRWDLDCGEDGEDSGRRGGAAQGIGGNPLRLRLSSSGGARRRQDQRLFRPCPCLLPHPHAAHRFRRSRLLPPPRGPQPQGRQSCLFRRLLVARLRCRLGRRARAPGLEDASWRNDRHRFLELFLR >OGLUM10G04490.2 pep chromosome:ALNU02000000:10:5949070:5951965:1 gene:OGLUM10G04490 transcript:OGLUM10G04490.2 gene_biotype:protein_coding transcript_biotype:protein_coding PSGPERAEPRETERNTCPFALLSSAEPQRPPSPLLPPSAASLSHRQPFPSPEEKRREEKRWDLDCGEDGEDSGRRGGAAQGIGGNPLRLRLSSSGGARRRQDQRLFRPCPCLLPHPHAAHRFRRSRLLPPPRGPQPQGRQSCLFRRLLVARLRCRLGRRARAPGLEDASWRNDRHRFLELFLR >OGLUM10G04500.1 pep chromosome:ALNU02000000:10:5963302:5963596:-1 gene:OGLUM10G04500 transcript:OGLUM10G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMMKNLSFFMEPRISWLYWRELLPLLTKFFWREANQSGKTEYTMVYETEGCIFRVHGYMPKYKSC >OGLUM10G04510.1 pep chromosome:ALNU02000000:10:5963816:5964198:-1 gene:OGLUM10G04510 transcript:OGLUM10G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENESGVNLINFRQCTLYHPSLNNLTMPEVPGKLGFLAGGIKNENMSCWCRHVHEESQQRVHGGSPATMRR >OGLUM10G04520.1 pep chromosome:ALNU02000000:10:5970261:5971985:1 gene:OGLUM10G04520 transcript:OGLUM10G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >OGLUM10G04520.2 pep chromosome:ALNU02000000:10:5970261:5971985:1 gene:OGLUM10G04520 transcript:OGLUM10G04520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAVCRPVCIQLDIITSLRSRLDIILDEADDDSTTNNLENSLSQKATQVVFHELRVPYSFPFPRRILIPWLAGSYICDYLQQSETTEDAMERCKEVMSLEADMGNYSIVEPESASAATLGSFWDVVPGALSKAPSEPGLKEMNSGQNGSRKTHGSSFSILMAFVVLLIAVLVGCIFTLSATLKT >OGLUM10G04530.1 pep chromosome:ALNU02000000:10:5975979:5976506:1 gene:OGLUM10G04530 transcript:OGLUM10G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKVSLAAVLAVAISMAMAATTTSAQNTPQDYVNLHNSARRADGVGPVSWDPKVASFAQSYAAKRAGDCRLQHSGGPYGENIFWGSAGRAWSAADAVASWVGEKKNYHYDTNTCDPGKVCGHYTQVVWRKSVRIGCARVVCAANRGVFITCNYDPPGNFNGERPFLTLDAAAK >OGLUM10G04540.1 pep chromosome:ALNU02000000:10:6030002:6031009:1 gene:OGLUM10G04540 transcript:OGLUM10G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPEKDRVEDNQEDDTFSRLQLLAQQRHAMEKFWRMSQEQIEESAGNQELILPISRVKNIIRAKEGGMMLSADTPAFVTKLCELFVQELILRAWVCANSHNREIILGTDIAEAITTTESYHFLANVVRGHQALGSNIPEIGVSAWKRHKLDEMTSLCHPPQAVQVTDLANHPPNIPVCPPIGQSGTQHTTSTHVLMMQGESLHKASKEKSPLNEVMVPTNKVGMTNSSYAVPNGGGATSSKVAIDSQKGETAQVFSSQHACPSLEDNYVIPIPAGHGDSFRTLDEANIPQLHQEQKNFISQDAIVGENIPLNESLEKSKHMDEDLLFPDKDLPE >OGLUM10G04550.1 pep chromosome:ALNU02000000:10:6046354:6049556:1 gene:OGLUM10G04550 transcript:OGLUM10G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIRSFLLAALAVVVAAATAAEAATTTAAAGLPPLPSTMPADVPQPEIPPCLNDLMPCASVYDDSSMLGPCCDALGKVFKSDRACLCQIWEMARNDTRQVGSNALDGDQQMFARCKIPGASSTICDNGQAGHGTSAGDSSTGSQARNASPNSRLTEAFRILLLLQILFMLGV >OGLUM10G04560.1 pep chromosome:ALNU02000000:10:6061727:6062194:1 gene:OGLUM10G04560 transcript:OGLUM10G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIGPFLLAAMAVAAAVAAASETATTVPVAALPPLPALPVTAAASTLQPGTASCMDDLMPCATVSDDPTMLTPCCKVVAEVLKSDPECLCKVAEMSRNNTRKLASVSNNLDSDQQLFAQCKITGVSSDVCHKDKGHQGGAFLLWIKIKSEHVKR >OGLUM10G04570.1 pep chromosome:ALNU02000000:10:6074334:6074816:-1 gene:OGLUM10G04570 transcript:OGLUM10G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSDGDVVVRRGAAIAWRQWVEGEGRRWKGRPDPVFLDLAIIGVGSDGSRVKVRSGSGARKKTGGGGAATLAISFLDSVGEKGVGGAGGRRRRSASKTVASGGGCGQQRYLGDKLRCRWPVKASKAMACEGGCGQRRCCRGGVGCRGGRRSCWPVGF >OGLUM10G04580.1 pep chromosome:ALNU02000000:10:6142611:6146103:1 gene:OGLUM10G04580 transcript:OGLUM10G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cinnamyl-alcohol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G72680) TAIR;Acc:AT1G72680] MAAECGSGNCDAWAARDPSGILSPYKFNRRVVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLHFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >OGLUM10G04580.2 pep chromosome:ALNU02000000:10:6143579:6146103:1 gene:OGLUM10G04580 transcript:OGLUM10G04580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cinnamyl-alcohol dehydrogenase [Source:Projected from Arabidopsis thaliana (AT1G72680) TAIR;Acc:AT1G72680] MAAECGSGNCDAWAARDPSGILSPYKFNRRVVQSDDVSLRITHCGVCYADVAWTRNILNNSMYPLVPGHEIAGVVTEVGADVKSFKVGDHVGVGTYVNSCRDCENCNSSLENYCSQHVFTFNGVDTDGTVTKGGYSTHIVVHERYCFKIPDGYPLEKAAPLLCAGITVYSPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVISTSESKRKEAIDLLGADNFVVSSDENQMETLKSSLHFIIDTASGDHPFDPYLTLLKVGGVMALLSFPSEIKVHPANLNLGGRSLSGSVTGGTKDIQEMINFCAANKIYPDIEMIKIDYINEALQRLVDRDVRFRFVIDIENSFK >OGLUM10G04590.1 pep chromosome:ALNU02000000:10:6150435:6151140:-1 gene:OGLUM10G04590 transcript:OGLUM10G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTLSQSNLTNKQLHDHSDEKKAVKLLQRSMPHAGAGAGSGAQMAGDGSVAVEDGWVGGRGGGQGRRMWSKGRQAASTADCFQRPRCRPRCAPAVSPALGPSRTASHVSPRHLR >OGLUM10G04600.1 pep chromosome:ALNU02000000:10:6155503:6158091:-1 gene:OGLUM10G04600 transcript:OGLUM10G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSDDGGDPSFLPTPLLSRVTTLPPPAPSSPPHPIPPLSFRHLSPCARWSAWVAAALHDPAFAPLLRSAGIADAVGASAATVNPDRGALAALLSFWDPASHAFRLPAGAATFSLEDALLLAGLPPTGAPLDRPLTPEEDDLRIRLVIEKEKIRELHPCARDARRVSAELWLEWFDSSIRPGEDDELRRLGFLAYWLAFFITPRLRPRSGELPDCTFALAARLSLGERIALGPAMVANLYADMDRIVASGVMEGVSGRVETWGPLLLLQVWIWERFDCLRPPPLKAPPFPVSNARVHLWSRRKRTTTPEEAQQVFQDEACFLWRPYQYNSLNWTQPDWFNEKTTTASSESKHKPKWLEDYSAMITQAVLTGWFGDGMANSVMYNPHLVARQFGYDQDFPVSIIHGSDSSGIEVWVPSIGRHGVASKDYAAWWNAHFERHQEGNQHGCGMMLNKENKASTLPLNTDLISVVQMAVDQFRKGTKQENSKCMTKRQLTQLGNVAPDNEWNQVVLGLSAYDFDRSQNAVKRKDAIKKIRDKSTDVNRKKKKNKVFANEGGECPQFYDWVPLTVSDNENNSLQLDVQERSGPQENSNSSSKRCDELAQVDNDECIVLEPPAKNCEVINLDDEEEQSVPNSKHHDRQLVLELEEFVRSGLLSQREECSDEDEEDRRNREILKDNKDDPFSEAARREYPLFFEFIPQKPHYRGLLNNDEALGDLAYSGLWFLLVGLAKEVLKTSCDTDASEIVCLMKKVQELEQLGFNVKHLIARLKEPQSRLRLLQDSITRLEDARKKEHEANRLQSLSSHLSKLKHNIQTMEWHLDAKNQASSSSIFSLEKEVEAAEKYCQAMKDEVVALKMNHSSL >OGLUM10G04610.1 pep chromosome:ALNU02000000:10:6186397:6188502:1 gene:OGLUM10G04610 transcript:OGLUM10G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGDECVVDVDEEKLDLFFKRIVLRILPRNVVLVISVIIVAVLVGAGSVSRRYWRHGSIRLLFLGAYTLFMPLVSYVVSGVVENYDLPPGIVWCSDTGAVLLLMWANLVQIIGANYCTAIAVHDDEPRNIGPIVHLLLGAIWTLFLVAKEFMKYSYTIISNWLIAIPCALSLAKILAKLYAYKKSRRSSELGERNTHLITGYMEQFSLARGGDEHVIPLIVMGEDKQKVEKGPRGYRFTDDSADSSTLITTDTVANMVSTNDSILNLKSGPPFKDLCLSFSLFKLLRQRFTKCPVVETDCYQSDPNFMNKLWQGKNAQGIVSMIEYELSFACDFYYSYFPISYSSWWLPILNVVLSFLVITYCSAAEIILVALAYVSRQDREITCKSECGILYGPRYAHVLILNVLTSFLLIAVLLCEAWVIISYTCSNWTKVNLMCHYITKTSRQGSPLMKRLILCMLRLRCKALNHSYKIGQTSIMGTNMKIVKVVRRLLQLSDQKMEYVEIQPEVNTAILDKFRANNWRLPTVNASLQQSRIGNDILWACNGKGTSDVILVWHIATCIFEIKHPYEGPNAPAITASQLSRYCAYLLSSAPELLPDDKAWSKELYKSVKKITEPIFRKSKKSPVQYEHILLKLDEKSNDNTELKNGVALGKQLVGATLGTEQEGWEILAGFWSAMVLYIAPSDNAGRIEKLLLEEVS >OGLUM10G04620.1 pep chromosome:ALNU02000000:10:6233991:6253714:1 gene:OGLUM10G04620 transcript:OGLUM10G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8M4] MEGQGLVSRSWQESKLLWRVAFPAVLVELLQFSIGFVTASFVGHLGVVELAAVTAVESILEGFAYGVLFGMGCALDTLCGQAVGAGRLDVLGLYVQQSWIVCGATAVALTPTYAFAEPILRSLLRQPADVAAVAGPYALWSLPRLFAHAANFPLQKFFQTQSRVWALAAISAAVLAVHAALTYAAVVRLRYGLRGAAVAGNLSYWLIDAAQFVYLVSGRFPDAWKGFTITAFSNLAAFVKLSLVSAIMICLEFWYYAALLILVGLLKNARLQLDIMSICINYQFWTMMVAMGFSEAISVRVSNELGARRPKEAKFSVAVASLTSAMIGAIFMSIFFIWRTSLPSLFSDDKEVVDGAARLGYLLAVTVFFGNIGPVLSGVAVGAGWQILVAFVNIGCYYLVGIPFGVLFGFKLKLGALGIWMGMLTGTLLQMAILFFIIMRTKWEAQAILAEKRISELGETTAND >OGLUM10G04630.1 pep chromosome:ALNU02000000:10:6264092:6266377:-1 gene:OGLUM10G04630 transcript:OGLUM10G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFPLSFSFTQKGIEFPKFLQTAVVVGRSVAAGHRSSSPRATRLFQSRVEDPTGLTGTEVDLEGYAEASEGHVPQGNQSFPEEVHEGEEFYGADEFMF >OGLUM10G04630.2 pep chromosome:ALNU02000000:10:6264092:6265968:-1 gene:OGLUM10G04630 transcript:OGLUM10G04630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSENQQQLRVRSTWGRNYLKTFLLFRHDNRHPTFLFLSLSVCVRGSQWQKNNSVEDPTGLTGTEVDLEGYAEASEGHVPQGNQSFPEEVHEGEEFYGADEFMF >OGLUM10G04630.3 pep chromosome:ALNU02000000:10:6264603:6266377:-1 gene:OGLUM10G04630 transcript:OGLUM10G04630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFPLSFSFTQKGIEFPKFLQTAVVVGRSVAAGHRSSSPRATRLFQSRVEDPTGLTGTEVDLEGYAEASEGHVPQGLGWTCGRGFGQVDMIHNGGTRESSDRLALLRPSKCPTARTDQYLTGQ >OGLUM10G04630.4 pep chromosome:ALNU02000000:10:6264092:6264509:-1 gene:OGLUM10G04630 transcript:OGLUM10G04630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSFECAVLPVKKLCHWVIPIYHGTSVLTSCIKCGSGNQSFPEEVHEGEEFYGADEFMF >OGLUM10G04640.1 pep chromosome:ALNU02000000:10:6267373:6268041:-1 gene:OGLUM10G04640 transcript:OGLUM10G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDGREVEAAAPSGGRHIRPPERRGSRAGVRQSGGGLVRRLGRGSTSPNLVEAGSGGGGRGGVRQSVWEALATGSGFPEARSGVRRSRGGRVRWPGRGSTSPGLVEAGSGGGGGGRVRQSVWEALAAGRRPGCGSTFPDLVEAGSGGRGGGGVWQSMGEALSARSGVRWPGGRQGVGGGGGRRSGGGETWEVGRKKREVEAGGREEVRERVEEEERVVGRS >OGLUM10G04650.1 pep chromosome:ALNU02000000:10:6289269:6294814:1 gene:OGLUM10G04650 transcript:OGLUM10G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTFTSRRSEPVLLRPARPTPRETKQLSDLDDQRTLRYYETVVGFFRRCDGGAAGAVGAPADPAKAIRAALAEALVYYYPVAGRLREVADGGGAGNRLVVDCTAEGVVFVEADADVRLEDFGQPLLPPYPCVGELLCDAGDTRAVVGKPLLLMQVTQLKCGGFVLGFHICHNIADGFGMAQLIMAIADLARGEPAPTILPVWRRDLLTAARLGGGAVARTPFASAAAAASASASSPALQNGARRAAAAADAMLSTPPDRMVVEYFLFGPREVSYLRGQLPAHLADSTTVFELLTAVMWRCRTAALGYGPDLRVRLMITMNARGRWNAHTPLPRGFYGNAHVSPVAEAAAGDLLGRPLADTVELVRRTKRGMTRERMSAMVETVARLREWPPSSMDRVYEVSDIKWTTVNLLKFGWAEFAGGGIPLAGDLTSKLGSDHTRCKNSAGEVSTVVSMLLPRVAMARFKKEMAVLLNKDDKKSLTIMSSL >OGLUM10G04660.1 pep chromosome:ALNU02000000:10:6327541:6327735:-1 gene:OGLUM10G04660 transcript:OGLUM10G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALGAVSGGVGGQHGARQGVAPGGEACARRAGAAASVALGVDQRRAVACAESGGGLCGCEFFM >OGLUM10G04670.1 pep chromosome:ALNU02000000:10:6331612:6337043:1 gene:OGLUM10G04670 transcript:OGLUM10G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLYINPPSLWPTIKNHFAHVHTPTAMDTPALLPLALALVAIPIAILLFNRIRRGRLPPGPRAWPVVGNLFDIHPVRCRCFMEWAGKYGPIITVWLGTSPTVVVSTSELAREVLKNNDQQLADRPRNRSSERFSRGGVDLIWADYGPHYIKVRKLCNLELFAPRRMEALRPIREDEVTAMVESINRAITAPGEEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGRGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWNNPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVCPGAQLGISLVASMIGHLLHQFTWALPDGTRPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >OGLUM10G04670.2 pep chromosome:ALNU02000000:10:6331487:6337043:1 gene:OGLUM10G04670 transcript:OGLUM10G04670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLYINPPSLWPTIKNHFAHVHTPTAMDTPALLPLALALVAIPIAILLFNRIRRGRLPPGPRAWPVVGNLFDIHPVRCRCFMEWAGKYGPIITVWLGTSPTVVVSTSELAREVLKNNDQQLADRPRNRSSERFSRGGVDLIWADYGPHYIKVRKLCNLELFAPRRMEALRPIREDEVTAMVESINRAITAPGEEGKPMVMRKHLSMVAFNNITRLTFGKRFIDAAGELDEQGSELKAIVNNGIKIGASLTIAEHIRVLRWLNPVDEELYNAHSARRDRFTRRIMDEHARELERHGAKQHFVDALFTLRDKYDLSDDTVIGLLWDMITAGTDTTVITVEWAMAELVRNPRVQMKAQEELDRVIGRGRVMLEADIPNLPYLQAVVKESFRLHPPTPLMLPHKASTSVKIAGYDVPKDASVVVNVWAVARDPGVWNNPLEYRPERFLEESIDIKGSDYRVLPFGAGRRVCPGAQLGISLVASMIGHLLHQFTWALPDGTRPEDLDMMESPGLVTFMATPLQVVAMPRLDKEELFKRVPVDMS >OGLUM10G04680.1 pep chromosome:ALNU02000000:10:6350355:6353373:1 gene:OGLUM10G04680 transcript:OGLUM10G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTHLEGPTPARLRGRCRRPSHLEGRSWATHQELSARYQEMAFQPPPRRRRHQGLIPRHQPTEPSPRGHAADPGSLRQIQGPAGRRPAATLWSSGTQTQLLLLCLLI >OGLUM10G04690.1 pep chromosome:ALNU02000000:10:6360023:6367807:1 gene:OGLUM10G04690 transcript:OGLUM10G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVVSRHVVAVPIPGRGHINPMLAVCRHLAAADAALAVTVVVTEEWHALLESAGVPTALPDRVGFATIPNVIPSEHDRGADHIGFIVAVHTRMAAAVERLLDRLVDVSNFVPFLKNNLNLKQSVKLSCRSLEQYVPGLSSVRLSDIKTFRASWERPMKIAEEALVNILYPLHLLPRARTGNHQQNSRNGSMPHITIYPIGPSIPHLPRNGDDPGKIGNDDHRSWLDARQENSVLYVSFGSYVTMSHSQLEEIAMALLDSGVQLFWVGRDKADSLQQQVGGDNGLVVPWSEGLFHPSIGGFLSLCGWNSVLEAVSAGVPLLAFPIGWDQLADGHIVADEWKIGINLRGQRGEDGIVSRAAIRAAVIKLMDLDDNESQEMRRRAAKLHAARRGAIQEGGSSHRSLNSLVNDLAQGRLNGAGTCQ >OGLUM10G04700.1 pep chromosome:ALNU02000000:10:6376794:6379724:-1 gene:OGLUM10G04700 transcript:OGLUM10G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNCPPGQRIYQPGFKCEYPLAQEVSEHRSHLLHKIHLSYCKALERLSLRVRPRMAASFLVGGGGFCLGLLDPVSNIVANTLFSYGRAVGETRSECDELVYIPEEKLRDLEHRSLDGMVTFLTRFFPYLADAEAVRFLLYAEADLLVAARIVAFDIGMRRFGSSGPDIVNEALEMALKCAALAAKHPNPDRLVADWLATITRLDDAVRHLADVHRRSPQSSLDKLAELLDEGSPPAVDDDRWGPWRLVDSRLPPPRSVPYWQSPALKATLQDAIHGFYLKALARLPAGELRRRFHRSLLEAGHCYGPFDPVSNIIINTIWYDAAFPPTFELELDVIGTMGLHRIENRSLYGIVSFLCTRYHHIDFNQAIKYLVNADGYLLLADLYLDDEAAGFTTTVDSPPLTGLEEAFMAAATAACHPDPDAQAKVLLLCSSGQMLEDASSLLHGGGQLSSEDVQLLVRLFCPEATCSKQPLRPFPRPEYLFAPTRMSKKEPMYELHTICGVNNCVSGPVGTDAKCFRSHVNFLATPKGTPFSTYSNPVLFFAEVSNDNKAEAGTQSFCCLVSVPLLCAERVRCLYCDDMGIKIVHPIGVDFHGRKLEFEKMVCGEDPCNDDFDPESMQPYYTNMSIIEHSSLTTDRVNGRVEEDRLYSDEYDSDDLSLMSDEYDSDLCSMTDEYDILYPKIVSCCRHY >OGLUM10G04710.1 pep chromosome:ALNU02000000:10:6383739:6384361:1 gene:OGLUM10G04710 transcript:OGLUM10G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8N7] MEVWRRRQLHSHSYRLPEPFCDEVVVMVGCGDNGKDIALDLIGVAKEVHLTTKSTEEATMPAMSKLLAKYANLHLRPHFKHLCEDGTLVFVDGSRVVALTAIYCTGYAYSFPFGHGWDGHRRRQPCQAAVRARVRAGAGPVAVVRRRPEEGAGAMVLRGKWVAQV >OGLUM10G04720.1 pep chromosome:ALNU02000000:10:6387431:6387670:1 gene:OGLUM10G04720 transcript:OGLUM10G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSMKMEWEPAVCMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFLGTILVLPLAIILERYILPFFDTSRCIDI >OGLUM10G04740.1 pep chromosome:ALNU02000000:10:6388709:6389972:1 gene:OGLUM10G04740 transcript:OGLUM10G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTASSLSNRPRHGKAFASHPHIDGNTIVHDISQAVNPRRNLPSPTLLCSTEQNRPRRPIDGRRSSASHRRHKRPQHRCTAAKTPVGAAGGYLCADKGLLQLPPLQHQTPTSVTRARPCPASPASRSRPLPVQGLHCTDAPCRMRRTGLHAAGRASTCRTGLRHRRPHIHAPSPASTPPAAPLRAEPASRCRPRLHAAEPASTLHVSHPTPRRRAGLHAPRATPASTPSRQADLNSAGRISTGCAGLHTAGLASMLLHAPPPPEPRLLCSRHPPPLLHLAPDPAMADADPVMPEVTNSVAAAFTTVPTPRRQSLPPPAAANPATTSTCRRRPRALTMAPLRLASTLRSARAGSRVAAATSLAAAILESCWFSRPFAQAAARQRGGGRTAVAVAVAWVAARVAQAGGDAGVLH >OGLUM10G04750.1 pep chromosome:ALNU02000000:10:6393587:6396252:1 gene:OGLUM10G04750 transcript:OGLUM10G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8P0] MMISSSLKEWLPAIFMVMLQIFTAGSLMLVKVVVDGGLFVCTLLTYRYLLGAVLVVPFAVSFENGKLKELKLKAFIWIFTSALVGFTVPGLYYIVLGDTSPGYAINFYKIVPIAAFILAVLFRKEPLNMRSIVGIIKVIGVLVCVGGTIIISLYKGKVLHMWPTNIIGYHPSKAATAFGHHHIRGTILLVISCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAIIGVAMNREKATWKLKWNMSLITIIYSVIIPVVSDVLNLMHAILNTAAKFVMISWVVT >OGLUM10G04760.1 pep chromosome:ALNU02000000:10:6396274:6396618:1 gene:OGLUM10G04760 transcript:OGLUM10G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVVSVLFTTILDSLLLGHDLSVGRNDNNGSILLCEIICKCYVLVRDFILGMLLILAGLYLFLWGKRKEVVPETTETQGGGAISDR >OGLUM10G04770.1 pep chromosome:ALNU02000000:10:6402813:6404488:-1 gene:OGLUM10G04770 transcript:OGLUM10G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTSGGYKEYDTGGGGASLTDDVLAAIFTRLPNAADIVRCAATCRHWASVVAKEADTLSRALPPLPGLALGLFHQDRQDTAGAATTNTRKRKRRSTGLECSAPPCFVPTASGARLLGFNLPSTTALRSGLQAGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVYNPMMGDVAVLPTLLGNDRPKIYACTLLTGADLDLDRPRHASDLDLDRPRHASSDFFRVLIIYNRNRFTAFRSYSSDTCSWSMETKKTSGPKLTNWDLGKLGQGIVLHGVAYWPLKRTALAVRFDTPAPAQVLMPPDGVPNPLQQLRLLSVTPDGKLCLLDSGNRAGYASFVRTVFEASTGEWVRECSVTSTRLKVKSAADINLRWFCENSGILLFTLGRGSSNPGTFAMSLATKEVEKLHDSVDCSSWRNFVGYEMDGVTYLKSIACH >OGLUM10G04780.1 pep chromosome:ALNU02000000:10:6410043:6413353:-1 gene:OGLUM10G04780 transcript:OGLUM10G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGWVSRGQYLPRESLDTRRRVHARRRSMFAAAQRGGSSARSIQSPSLLRSDRKTRSDVDILLILVIRDCAYSVAAALRADLTSVPLPADYCGCIPELGEVWLKTWWNLQSLLDSRSISWISSVGNASGPFVVFPVRTIWSTHTPRVMVGEDGRHMLPIKRLPAEHGDGHDGLVEPDWNLCARAGCNEMFNGNAMCCCMSSA >OGLUM10G04790.1 pep chromosome:ALNU02000000:10:6427665:6432852:-1 gene:OGLUM10G04790 transcript:OGLUM10G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQQSKEPELAILVYIKGAFCTGQLIQSTSFVPDVCREEFISTFHLPSRRAVRCRLMFGYDNVPITTSNSYPNIINLTSGGPTLAVDETFCGLPRPQPYVAAESPFVGVAELYVAIYSPSSRSVRRRIIPNDNVVHQQRHTQLQQQNLSSASHQGDDGN >OGLUM10G04800.1 pep chromosome:ALNU02000000:10:6484480:6492690:1 gene:OGLUM10G04800 transcript:OGLUM10G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYAVSSWPRLPRLPPWPCASHAQRRRVLRLLPPRRRCAGAVRVVAEAGPALAIDRVAEEAGVRFPGDVEGVPGQQQQREEEEDAVDERERLRRMRISKANKGNTPWNKGRKHTPETLQRIRERTRIAMQDPKVKKKLMHLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDTAKKKPIQSRSAGLEDARGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLQHVDDEGPADSASDDASQDSGASDLHNHDVANQNDVIKQENKPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSAASAIQDVNGNHRMKDFNAHQLMVNDESITIDQIASEVAEIYPDEPQEDDTLPVQKSKMRWVRGRLVEVEE >OGLUM10G04800.2 pep chromosome:ALNU02000000:10:6484480:6492808:1 gene:OGLUM10G04800 transcript:OGLUM10G04800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLQGCYAVSSWPRLPRLPPWPCASHAQRRRVLRLLPPRRRCAGAVRVVAEAGPALAIDRVAEEAGVRFPGDVEGVPGQQQQREEEEDAVDERERLRRMRISKANKGNTPWNKGRKHTPETLQRIRERTRIAMQDPKVKKKLMHLGHAQSEETRIKISMGVRRGWNLRLQKLMIQDGCFVEWRDMIADAARKGFAGGISLQWNSYKILTEQMRQEWLEKVQKRRSMPRPTGNRRAPKSPEQRRKIAEAIAAKWLDKEYRERVCSGIASYHGTSSGTKVPRKPRSAREPGSKRDTAKKKPIQSRSAGLEDARGTTPTVKRKKSATPYKDPMAGEKLEMITKIRAQRAALEIEKKEAIKRARSLIAEAEKAANALETVASTSPFAQASLIEARKLVTEARLSLQHVDDEGPADSASDDASQDSGASDLHNHDVANQNDVIKQENKPVNGMELPPSNVNGRDFYFDVSTLTETDHLRDYQRIENSMERAYLLPSAASAIQDVNGNHRMKDFNAHQLMVNDESITIDQIASEVAEIYPDEPQEDDTLPVQKSKMRWVRGRLVEVEE >OGLUM10G04810.1 pep chromosome:ALNU02000000:10:6527729:6528182:1 gene:OGLUM10G04810 transcript:OGLUM10G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVGAGTSPAAGWKAPASMVLVQLFNSGMILLSKVSISGGMFVLALLSYRSVFGAIFILPFALIFER >OGLUM10G04820.1 pep chromosome:ALNU02000000:10:6533107:6536160:1 gene:OGLUM10G04820 transcript:OGLUM10G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8P8] MDMYEHDRLETFKLRSKAGLLKIVGVLVSVGGTMLVSLYKGKSLHLWNSILQHQKEQQTKSATNQLRGTIFLSGSSLTFACWYLVQSKVLKVYPYKYWSSMVTCLVGGFQTAFVGIILRRDKNAWKLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVIFTVVFDSILMGDDLTVGSLIGTAMDISND >OGLUM10G04830.1 pep chromosome:ALNU02000000:10:6582783:6590206:1 gene:OGLUM10G04830 transcript:OGLUM10G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8P9] MDIDGAGTKAAAAGWKAPASMVLVQLFITGMIMLSKVSIGGGMFIFALLAYRSLFGAVFILPFALIFERFLREVEGHGLVRIRMDLLQCLYRPLQRERSGPGAHAEQRSGELHAGRKEEAEEEEDENEERNNYVPPPFAASPLRAAASSPSLHMWRPPFSPSTRRRRSLHPVGRNSLPSARCRRISFPPSAASTVPSLRMPPLPAVPQTFEIGSMVGVLKIVGVLLSVGGTMVVSLYKGKSLHLWNSILQHQNEQTTKTATNQLRGTILLVASSFAYACWYLVQSKVLKVYPYKYWSSMITCLVGGFQAAFVGIILRRHKSAWKLGWDLNLVTVVYSGALATAGKYSLNSWAVAKRGPAYPPMFNPLSVVFTVVLDSVFMGDDVTVGSIIGTAMVIVGLYLFLWAKA >OGLUM10G04840.1 pep chromosome:ALNU02000000:10:6614768:6615174:1 gene:OGLUM10G04840 transcript:OGLUM10G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGGWIRRRDKAAASAGDKPNAEVMKVLWARKNKKMRRCKPRQQHDQLRRGDPLTDVAKEEMQRLWRWDFKFFVIVLIFVMLECLGE >OGLUM10G04850.1 pep chromosome:ALNU02000000:10:6618156:6625480:-1 gene:OGLUM10G04850 transcript:OGLUM10G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARVDEAMGLSGVCGRTRRKPVNIPDHCLRIVYLLYAKGKMAWRMKLQHLKDITDQFSPGRELGKGGFGVVYKGILANGKPIAVKRLQVMPGIQDRQFNNEVHHLMGLKHQNIVQLIGYCDERQEKVIYDEYQKKNICAEVQERLLCYEYMVNGSLDKGYMAPEYCHKGEISTKSDIYSLGILILEIVTGEKNHQSSVDLSGQRFIHSVRNKWSRMSKITSRYPLLDTHSLQQVHSCFKIGLTCVEIDPKRRPPARKIVNMLPWECKKAEAMASMLLPNVSNGRFTSSVVDKESNVTGLPAHQVDSNMKEILSVNPLELWFPMKAQEEFSCSMLLKNKTHHYVAYKINAQKLNIYRTEPCSGLISPQFTCNISVRMQAQQGVSPNMQLMDRILVQSVVVSDDQIDIAKDLSCKQKGKLVLKGPDKIMSKIYVNIRKTEALDENKPICGTTEGIGNSHHDNKLSGLSIMKNSSCDDYAINGMRIYVKIPSIAKTIKLIVKNSNSVADVKVEIERKEGILLDNQMLMYAGRQLDDRQILSHFGLSDDQILHVLICLFEKLRIFVNISNRRTVRLDVESWYTVADVKLMIEALLGFPACTQLLMWTNPSVDIELMDTETLKDQNVKNNTVLLLHPNVQIFIKSWEGRTLTTLVSMFDTAEEIWKKIKNRSQIKAEKYYLCYRGHVLPPGVSPDMYKIESNSTISIRLRNSYRKEEPGRITRGTSSFTLQSSLMEWYQERKYARQAEATAEDTILHA >OGLUM10G04860.1 pep chromosome:ALNU02000000:10:6633561:6634511:-1 gene:OGLUM10G04860 transcript:OGLUM10G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAEAAVWRGHGSSNITRQILKCTRWQLEETTDFITCPYHYYCDSAYPGDYSPAVGALVAAFAAYCLVSAAAFAVLDIGRAGGGGGRNRRKYMVPSGPFLLPVVLLALAKGQRINAVFPLAQLGPALLLTLQASALAFRNEADGDLRYAVLEASTVSGILHASLYLDAVVLPYYTGTDALRWSRFSGECATCLCRMDPLVVGGRTVLYRGLSKTALAIIFALCSRMVCRIYGEERVSAWTRSALEAASWVFVAGDAVYLAGWAFAEGAAASVAAYALVAGLVFLCIFGKVYKFLAWMETRQVQWKPSVSCHSNVV >OGLUM10G04870.1 pep chromosome:ALNU02000000:10:6639593:6641799:-1 gene:OGLUM10G04870 transcript:OGLUM10G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFVNEENQKIAPSQVFKAPLVIAAFSLLRRNPKHCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTIVPAACVFGASKVNWQAVLSHSIYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERTWQEWPISVTYGSVAGHLIGMAISLALIVAHKRRGRAKAD >OGLUM10G04870.2 pep chromosome:ALNU02000000:10:6640310:6641799:-1 gene:OGLUM10G04870 transcript:OGLUM10G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFVNEENQKIAPSQVFKAPLVIAAFSLLRRNPKHCSFLKAAARGLLGLPIGAFLNAFGAIVLGAPIGIKYWAATTYWSLLMSLFTIVPAACVFGASKVNWQAVLSHSIYCGSTDAVDYMISAPAHGAVIGAWLGAWPMPLDWERTWQVKIPL >OGLUM10G04880.1 pep chromosome:ALNU02000000:10:6655435:6656887:-1 gene:OGLUM10G04880 transcript:OGLUM10G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIRSVWIWYRACSICDCGCRLDFG >OGLUM10G04890.1 pep chromosome:ALNU02000000:10:6665593:6665826:-1 gene:OGLUM10G04890 transcript:OGLUM10G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALAAQIEQIGGRREAERLAVFFHFSQIGGGAVEGEPAIGSTGGGDRRGCGAVVGRRWRGRRKNHVAYGREAI >OGLUM10G04900.1 pep chromosome:ALNU02000000:10:6665853:6669106:-1 gene:OGLUM10G04900 transcript:OGLUM10G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILSPTIAPATTPRILGIHISLLDHHTRLNEGYCFHLPVQHISPTHAIFSAFLASFPQPLPLASAPLAPLHTPSYGTEFFFSFLVVSFHRSCTASLNCAASEPRLGARRRIGARPGEQAAPPSHSNLRRRCWDDQRIYDTSAKCKPFAGPCHQRQGNRQQGRHCIPSTGHTKSAVLPLPACGHFAGTYVCVGGGQANAVAMGIMCGIH >OGLUM10G04910.1 pep chromosome:ALNU02000000:10:6733951:6734591:-1 gene:OGLUM10G04910 transcript:OGLUM10G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVNLVSHAGGRRSFLLPVVGNTPSSARPASTTS >OGLUM10G04920.1 pep chromosome:ALNU02000000:10:6739751:6740268:1 gene:OGLUM10G04920 transcript:OGLUM10G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPSKSNLSTKGQSWFDLLQLWVKQGLIVGVIMQILQQLAGISGILYYTPQILEQAGAGILLKWFNFFFIIYFDECSNHLDDDSFYWCCNEMRIIMEEDLFFFTQYQC >OGLUM10G04930.1 pep chromosome:ALNU02000000:10:6740580:6741193:-1 gene:OGLUM10G04930 transcript:OGLUM10G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTAPSTPYRHMLETLHGLGRAFEARRIAGVREEPRLWEQDFGNFQDVDKMWQQRRRRQRARRHVPQMQQPRGRALGVRGGGCAEHHHVDHRHVRAQPARARRGGAGAVRPDDAGGQAPAERRHVPHRALGFEGLANLSNDGALVMQTGAGGRYSLLVHHSVEELRVFGLTDDMIED >OGLUM10G04940.1 pep chromosome:ALNU02000000:10:6741914:6742376:-1 gene:OGLUM10G04940 transcript:OGLUM10G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWIADEDAALLLSESIRLNPCGCTTGATGTGYMSVTSPANPSNAAGAPATSSGSVSATPLCSVSCRRSSVLGHLLLEDYMTGHDNDEFDDESISNTETTKLYGHEEVVTWFARPFKGKNIVESDHSPIPSLLDPIVTLFA >OGLUM10G04950.1 pep chromosome:ALNU02000000:10:6743340:6743624:1 gene:OGLUM10G04950 transcript:OGLUM10G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKATSFSDEHVSQDNNKSSGGHRNDGQREMVQLESAQEKKPVKKMNKFNLKELPESMDDDYL >OGLUM10G04960.1 pep chromosome:ALNU02000000:10:6746078:6747137:1 gene:OGLUM10G04960 transcript:OGLUM10G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTPKVHPYRTHVLEQPEMTLYLQYKAQPLSTSPSPSLPLLAPIIHEIRGKHRKTMLSIPEVKRCQPPALIL >OGLUM10G04970.1 pep chromosome:ALNU02000000:10:6757279:6759900:-1 gene:OGLUM10G04970 transcript:OGLUM10G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQGRESLGIAGTQAPASAPASPRRYRLPSDETPTGNAASSTSFASARTPPPLPSPSIRCLGSIVGEAPPLTPLAVGAEHRPRSSPHRFHPNRARGNEMPSDEYFAPGTGGFEDGSF >OGLUM10G04980.1 pep chromosome:ALNU02000000:10:6760031:6760242:1 gene:OGLUM10G04980 transcript:OGLUM10G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGWACQELCFLSRKSNGGGDLVGARRFCRNDFAYSRDDWGEWREVDDGYGDTVSRPTCSDV >OGLUM10G05000.1 pep chromosome:ALNU02000000:10:6778403:6782601:-1 gene:OGLUM10G05000 transcript:OGLUM10G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNRSDSKKKQPGTTHSAIVAPSSSSAVDVSDSNSGSSAMAKKQRREAAARRRREQQQQGREQRHHHHHRRRPLLLQPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADCSSIICLQEVWLGNDELVDMYEKRLGDANYSLFKLARTNNRGDGLLTAVNKNYFHVLNYRELLFNDFGDRVAQLLHVESAMPFWQNRSSSCIQQQSLIVNTHLLFPHDHSLSIVRLKQVYKILQYIEAYQEEHKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDSEEDAHKWVSHRNHRGNICGVDFIWLLNPNKSRKPLKTSWNEAVFGIIKYLLLQVASLSEENAFALLKADSPDDQITYSSFCQALCQLGMVHPDRLNSEEIKDLWSEADHDGDDIVDYKEFQRCIWSPTCCSQEEEDDTEIDISDGSLVTFEANDEAFGFTVKEAVLFPPEVEKGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPRTP >OGLUM10G05010.1 pep chromosome:ALNU02000000:10:6814435:6824523:1 gene:OGLUM10G05010 transcript:OGLUM10G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHGWRCLGESVYVLSTFADTKERTDQCTVNVEVKLGRNDDIEFAVSPDALRFTTPKFSDFVSSDKMETFENGKEVILDYCNFRTACTTLPTLQEGHVIGFSKTLPTGQCLDKFMQLCSLKHGLEADYSHYAAVRFGYESSHEIWLPCSFVLQGSGLQPAPKSSRASRAMCALQSFMGLLNAWNFFGQNQLVIKEQLLLNSTATLPTWDKAMSSARTNNSEDLRLVHTNILTNDQSLALDFRTPKPAVLCSTSIKPWNTKVQMTSLSLDNDDTGNRKGSINYDCQTQSIVRTNLCKSQVALLKPSFSRGKSEEGHKRKHSSEHSDADNSDKLRHTSLTNSTL >OGLUM10G05020.1 pep chromosome:ALNU02000000:10:6843540:6847675:-1 gene:OGLUM10G05020 transcript:OGLUM10G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGGHRRRNNGHGRHHHHGQPTAPPPPPPPPQQQQPEVAPNRYVFAAASPYPPQYPNPNPPQYYPQYGNFYPPPPPSMPGPLPAPYDHHHRGGGPAQPPPPPPPPQPIHAAGEFPPAMLQQHPHYHGWGGNFSYGPPTQPPAPAPPYVEHQKAVTIRNDVNLKKETLRVEPDDECPGRFLITFTFDATVAGSMTVYFFAKEELNCNLTATKEDLLKPVTVTFKEGLGQKFRQPSGTGIDFSLFEDAELFKEGEMDVYPLAVKAETTFSIGQFSEGEEQKSQTPNSQITQAVFERKENGDYHVRVVKQILWVNGTRYELQEIYGIGNSVEGDTEGNDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTNRCPICRQPVERLLEIKVNNKGEEQQQQQIPQPPPPPSTAPPHQQQESQA >OGLUM10G05030.1 pep chromosome:ALNU02000000:10:6852012:6852543:1 gene:OGLUM10G05030 transcript:OGLUM10G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCNDHKTHHQRNWMYRNSAYNLEKPKPKPKAEKKKRNVEKTVEEEEQLNHRLRLAAIENTKRKRNEERELRKMAREFAEKDERAKERAGKVDRAQHAKEAGPEATSKAQCTRCT >OGLUM10G05040.1 pep chromosome:ALNU02000000:10:6864929:6870164:-1 gene:OGLUM10G05040 transcript:OGLUM10G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAKDGQAWLGTNGYGSRREEDGVCHDDSATPVRANTVDELHSLQRKPQVVEDRHRLQLQSISASLASMTCGIGPKLVNGDPARKKEMAGKAVTHHQHHITVPTITVSDSDLKFTHVLYNLSPSELYEHAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTDDLWWGKGSPNIEMDEQTFLINRERAVDYLNSLDKVFVNDQFLNWDPNNRIKVRIISARAYHSLFMHNMCIRPTYEELENFGEPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLKRREMVILGTQYAGEMKKGLFSVMHYLMPKKQILSLHSGCNMGRGGDVALFFGLSGTGKTTLSTDRNRILIGDDEHCWSDNGISNIEGGCYAKCIDLSQEKEPDIWDAIKFGTVLENVVFDEHSREVDYTEKSVTENTRAAYPIEYIANAKIPCVGPHPKNVILLACDAFGVLPPVSKLSHAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTRYAAMLADKMNKHGATGWLVNTGWIGGSYGVGERISLAYTRKIIDAIHSGELLATSYKKTDVFGLDIPTKVEGVPSELLDPINTWEDKDSYKLTLLKLADLFKRNFKVFANYKKGGVSDLADEIAAAGPNF >OGLUM10G05050.1 pep chromosome:ALNU02000000:10:6920836:6924157:1 gene:OGLUM10G05050 transcript:OGLUM10G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme/RWD-like (InterPro:IPR016135), Ubiquitin-fold modifier-conjugating enzyme 1 (InterPro:IPR014806); Has 269 Blast hits to 269 proteins in 110 species: Archae - 0; Bacteria - 0; Metazoa - 175; Fu /.../0; Plants - 42; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G27530) TAIR;Acc:AT1G27530] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >OGLUM10G05050.2 pep chromosome:ALNU02000000:10:6920836:6922249:1 gene:OGLUM10G05050 transcript:OGLUM10G05050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Ubiquitin-conjugating enzyme/RWD-like (InterPro:IPR016135), Ubiquitin-fold modifier-conjugating enzyme 1 (InterPro:IPR014806); Has 269 Blast hits to 269 proteins in 110 species: Archae - 0; Bacteria - 0; Metazoa - 175; Fu /.../0; Plants - 42; Viruses - 0; Other Eukaryotes - 52 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G27530) TAIR;Acc:AT1G27530] MEGWDKGTKSVVGEIPLLSTRAGPRDGEAWRQRLKEEYRALIAYTSVNKSKDNDWFRISAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPADAAAAASGSAAAS >OGLUM10G05060.1 pep chromosome:ALNU02000000:10:6925682:6928391:-1 gene:OGLUM10G05060 transcript:OGLUM10G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8S3] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPAPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNRVKLETAFIEQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTDVIIPLKKEARPLL >OGLUM10G05060.2 pep chromosome:ALNU02000000:10:6925682:6928391:-1 gene:OGLUM10G05060 transcript:OGLUM10G05060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8S3] MAAPPCPPRRPISAPCFLLCFLLGFVAGLFPFAHRHLHLDLHLPLPAPATAILVREDPPSVVVDVDTPLPAAAEERKLLLVVTPTRARPLQAYYLRRLAHTLRLAPSPLLWLVVESGAATRDTAALLRGCGVMYRHLSSPVPDAPQDRPRRRGRRQDRPAVDSRARQRNTALDHIEHHRLHGIVYFADEDNVYSLDLFYHLRDIRSFGTWPVATLAPGKSKTILQGPVCEGSRVVGWHTTDRSKNQRRFHVDMSGFAFNSSKLWDAKNREQLVEDETHMEGVPPGCSKIMNFHLHLEDKNAIYLNGWQTDVIIPLKKEARPLL >OGLUM10G05070.1 pep chromosome:ALNU02000000:10:6929675:6931339:-1 gene:OGLUM10G05070 transcript:OGLUM10G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVGEATHCKLALLDATKRVVEVGGDLTIDYDKTRRRLAYPWHTSWRSTRWTWWLLSAMPVTARGLHAPIISRGYPSHHVGVKDRPMAIGRTTVVAFETHRGGKILLTLGEAFSIRNHSEEFKGDRGSHDGFLLQLKKKVIWL >OGLUM10G05080.1 pep chromosome:ALNU02000000:10:6932933:6950218:1 gene:OGLUM10G05080 transcript:OGLUM10G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVEEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLCHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNTTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQAMVPSDAGDGRDVHINTDSNKNTIGEIYKNNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQVTVEESLWFSSTLRLPNDISRETRHAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLHKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVSWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVSFFSIYAISIKMINFQRRDAATLAGGGSIDGGSGGPWREGIRAGADSRREGGCAGTRIYGGDS >OGLUM10G05080.2 pep chromosome:ALNU02000000:10:6932933:6950218:1 gene:OGLUM10G05080 transcript:OGLUM10G05080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVEEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLCHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNTTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQAMVPSDAGDGRDVHINTDSNKNTIGEIYKNNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQFRNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLHKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNISKFFVTRNVSNPYGLAEILMRFQSSTHNAIGISLWKIGLVPYLHVPYFYLLHVLWNGGSRLDTYSTHGICGILSRIPGWWIWFYYICPVSWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVSFFSIYAISIKMINFQRRDAATLAGGGSIDGGSGGPWREGIRAGADSRREGGCAGTRIYGGDS >OGLUM10G05080.3 pep chromosome:ALNU02000000:10:6932933:6950218:1 gene:OGLUM10G05080 transcript:OGLUM10G05080.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic drug resistance 3 [Source:Projected from Arabidopsis thaliana (AT2G29940) TAIR;Acc:AT2G29940] MAFAAGGIDHHVAVDVEGEEESRRRAVEEEADLLWAAFERLPSAKRRSHAVVLPDPDGLGGGDGGGRGEGQLVDVRKLDRPGLQRVLCHALATSELDNANLLHGIKARFDAVGLEVPRVEVRFQNLTVSTDVHVGRRALPTLVNYVHDIAERILISSHLLRPDKHKLVILDDVSGVIKPGRMTLLLGPPASGKSTLLLALADKLDSQLKKSGEVAYNGMALDQFCVQRTSAYISQTDNHIGELTVRETLDFAAKCQGASENWQECLKELVNLEKERGIRPSPEIDAFMKTASFRREKHNLVSDYVLRVLGLDICADTPVGSDMERGVSGGQKKRVTTGEMIIGPRKTLLMDEISTGLDSSTTFQIVNCMRNFVHEMEATVLMSLLQPAPETFELFDDLILLSEGKIIYQGPIKHVVDYFKSLGFSLPPRKGIADFLQEVTSKKDQAQYWSDQSKQHIFVSASEMAAVFKESQYGTYLEANLSSSCGNKDSALVLPRSKFAVPKFSLVRACFARELILISRNRFLYTFRTCQVAFVGIITSTLFLRTRLHPVDEQNGNLYLACLFFGLVHMMFNGFTEMTMTISRLPVFYKQRDNFFHPAWAFSLPNWILRIPYSFIEAVVWSCVVYYTVGFAPTVDRFFRFMLLLFSIHQMALGLFRMMGAIARDMTIARFIKPWWDWAYWISPLMYAQRAVSVNEFSASRWSKVSVSGNTTVGTNILISHSLPTDDHWFWIGVGVLLAYSIFFNIMFTLALAFLNPLRKPQAMVPSDAGDGRDVHINTDSNKNTIGEIYKNNDGFEGQTECKSKKGMILPFQPLTMTFHNVNYYVNMPKEMQAKGVPEKRLQLLSEVSGIFRPRVLTALVGASGSGKTTLMDVLAGRKTGGYIEGDIRISGHKKEQRTFARIAGYVEQNDIHSPQAFVEEVMALVELDQIRYALVGKQGLTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSVDMINYFQGIPRVVPITEGYNPATWMLEVTTQASEERLGIDFATVYKNSYQNVENLIVELSIPASGTEPLKFSSEFSQNRLTQFMVCLHKQSLVYWRSPEYNVVRLFFTSVAAIIFGSIFWNVGMKRESTEDILLIMGALYAACLFLGVNNASSVQPVVSVERTVYYRERAANMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMVNYERNIRKLVLYLIYMFLTFTYFTFYGMVAVGLTPTQHMASVRIPGWWIWFYYICPVSWTLRGVITSQLGDVDTRIVGPGFDGTVHEFLQQNLGFEQGMTGATVAVLVAFSVSFFSIYAISIKMINFQRRDAATLAGGGSIDGGSGGPWREGIRAGADSRREGGCAGTRIYGGDS >OGLUM10G05090.1 pep chromosome:ALNU02000000:10:6953607:6954552:-1 gene:OGLUM10G05090 transcript:OGLUM10G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATARMHAWQLLLLVAVVISCFHCHLADHHGGKHDRQPPSSVVVVVGSVHSGSDATKAAVSGAPVAVRCHDGNGRDVFRQQALTDRRGEFRVHLPPEAKSRLASVTSCSVKLLASNAARPCDDAAATSAATARGFPLAATKHNGGDGARVFNAGAFAVHPELCDEKGIFFPPLPLVPEPPNIGGVPIPPNPITPAPPSLVPPVFPTPSPPSILPPLTPQPPPSSLIPPVLPLPLLNPPPPPPPPPSLLPPVPLLPPLIPGVPPASASRSGRPVSTP >OGLUM10G05100.1 pep chromosome:ALNU02000000:10:6977707:6985111:1 gene:OGLUM10G05100 transcript:OGLUM10G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAVVLVAAEPAAAISMMPMELYFTPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSDLLTFELPGLSVLGLKQFGMGDQQRNSSQKTKKVYGAKVEVACETEGPNTQANSVFTATDEFGNFTLHLPSRLHATPSLENACVVKVLQLPPDSACGLRHRPAASYRIRPSSSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDK >OGLUM10G05100.2 pep chromosome:ALNU02000000:10:6977707:6985111:1 gene:OGLUM10G05100 transcript:OGLUM10G05100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLLLLLAVVLVAAEPAAAISMMPMELYFTPGELARIAGYGEEPVSTVVVSGQVACELCLCPGSDLLTFELPGAKVEVACETEGPNTQANSVFTATDEFGNFTLHLPSRLHATPSLENACVVKVLQLPPDSACGLRHRPAASYRIRPSSSLSSSADGFRAYTAGVIRLQHGGTPSGECVQVEDRVDK >OGLUM10G05110.1 pep chromosome:ALNU02000000:10:6993311:6999657:1 gene:OGLUM10G05110 transcript:OGLUM10G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRSSSADAAMDDALPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHVISQYFIASILLWRLRLHVDLLPPSFKHMQFSRFLKNGFLLLARVIAATCCVTLSASMAARLGSVPMAAFQICLQIWLASSLLADGLAFAGQAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVGLC >OGLUM10G05110.2 pep chromosome:ALNU02000000:10:6993311:6999657:1 gene:OGLUM10G05110 transcript:OGLUM10G05110.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT1G51340) TAIR;Acc:AT1G51340] MNGESLLDRSSSADAAMDDALPGHRHHHPLSVFLRDARLAFRWDELGREIMGIAVPGALALMADPVASLVDTAFIGHIGPVELAAVGVSIAVFNQVSRIAIFPLVSVTTSFVAEEDATSSDREKYEINGENEFNVSDSEMEELVSHEEASAAPSKSSFETDSSDVKIEHKRKNIPSVSTALLLGGVLGLLQALLLVICAKPLLGYMGVKQGSAMLMPALKYLVVRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDATNIVLDPIFMFVFQYGVSGAAIAHAILASAFARQDHSKAAATASRILQLGLVLGLLLSIFLGIGLRLGSRLFTDDQDVLHHIYLGIPILVAIVSIIFIVTLASYNGFVGIWIALTVYMSLRMLAGFLRIGTARGPWTFYAAQRMHSHEVVGLC >OGLUM10G05120.1 pep chromosome:ALNU02000000:10:7015062:7016047:1 gene:OGLUM10G05120 transcript:OGLUM10G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKPVVQEGIYKFTEGMQGFAEHNTHLLIGLIWPWQGMVLHIPWLLVIRVKR >OGLUM10G05130.1 pep chromosome:ALNU02000000:10:7019571:7022947:1 gene:OGLUM10G05130 transcript:OGLUM10G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGFPECISAVVLISLSTMIAASSGLSMSNSSNTDLTALMAFKAQLSDPLGILGRNWTVGTPFCHWVGVSCRRHRQRVTAVELPDVPLQGELSPHIGNLSFLSVLNLSNTGLMGSVPDDIGRLHRLKILDLGHNDMLGGVPATIGNLTRLDVLDLEFNSLSGPIPVELRLSHNLRSINIQMNYLTGLIPNGLFNNTPSLKHLIMGNNSLSGPIPSCIGSLPLLERLVLQCNNLTGPVPPSIFNMSRLHVIALASNGLTGPIPGNKISSSRFCNFSPSTTIISLIPLGLAACRHLKVFSLLDNLFEGPLPSWLGKLTKLNVISLGENLLVVGPIRDALSNLTMLNFLDLAMCNLTGAIPADLGQIGHLSVLRLSTNQLTGPIPASLGNLSALSVLLLDDNHLDGLLPTTIGNMNSLTELIISENGLQGDLNFLSAVSNCRKLSVLCINSNRFTGILPDYLGNLSSTLESFLASRIKLSGKLPATISNLTGLKLLDLSENQLFSALPESIMEMENLHMLDLSGNNLAGSIPSNTAMLKNVVMLFLQNNEFSGSIIEDIGNLTKLEHLRLSNNQLSSTVPPSLFHLDSLIELDLSRNLFSGALPVDIGHLKQIYKMDLSSNHFLGSLPDSIGQIQMITYLNLSINSFNDSIPNSFGNLTSLQTLDLSHNNISGTIPKYLSSFTMLASLNLSFNNLHGQIPGGGVFSNITLQSLVGNSGLCGVVRLGFAPCKTTYPKRNGHMLKFLLPTIIIVVGAVACCLYVMIRKKVKHQKISTGMVDTVSHQLLSYHELVRATDNFSNDNMLGSGSFGKVFKGQLSSGLVVAIKVIHQHLEHAVRSFNTECRVLRMARHRNLIKIVNTCSNLDFRALVLPYMPNGSLEALLHSEGRMQLGFLQRLDIMLDVSMAIEYLHHEHCEVILHCDLKPSNVLFDDDMTAHVSDFGIARLLLGDDSSMISASMPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRLWVSQAFPAELVHVVDSQLLHDGSSSTTNLHLHGFLVHVFELGLRCSADYPEQRMAMRDVVVTLKTIRKDYVNWMVLMGSTAQQ >OGLUM10G05140.1 pep chromosome:ALNU02000000:10:7023555:7025483:1 gene:OGLUM10G05140 transcript:OGLUM10G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGAEMSATGLFFLSRVIPRCVVAVINKATTLKDVVGGVAEVLLVNKLATREDANKVAVAAAQNDRRHASGGRELTRSIQSRSESIRNPIPHRADVFFFSAGKRSPMVGTKCVRERILQSPGQSGAGQESSRDDGAHTRLNSTPRPYPFPLSSRFLSSPRSPSRFPSILLLVLLSTNDALPLRHSTSLSPITSLLNNESERRPSHFFSHPPRISREKAPRVDKRILIHSPPPLTHPSLSLSITPSHPRPHPSRLSPVGSRGGGSDGVNCSRGLDPTVAVASAAPAGESGGGGGGVVSGRRIRWRLFRRVDPAVLYPLVLTLHAQACPAAVASALPRADLAEVVAAAFLMGRSSGPPHTPPPPQASLADPPAPAAASPLDPVAAAAAAATTLVAPTGDPAKGICLTPPTSPRPDPPLLLVVYLLFVYVMMMSLLRLILGFLCDVLLLPLAPPFPLIISLMIL >OGLUM10G05150.1 pep chromosome:ALNU02000000:10:7033888:7034593:-1 gene:OGLUM10G05150 transcript:OGLUM10G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCTTMRLASSVTIILLLLVASQALVVSGESSSSAMQSKTLNMNKLLNISEDHSPNGGRHWMQRMQPDSCSEQNVVVYQNNAEHLPSGIPTYSVEIINVCTACTVYDVHISCGEFASAELVDPSQFQRIGFNDCLVKGGGRLGPSEAVSFQYSNSFAYPLAVANVACE >OGLUM10G05160.1 pep chromosome:ALNU02000000:10:7043632:7047965:1 gene:OGLUM10G05160 transcript:OGLUM10G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITQVIATSVILGLLWWHSDPSTPKGVEPASTYPNLGLPPEWYGSLEWVFPEVQYSSMCIKIAISTGGHACFGDPGFEGWMRSIESDCDILLMPSRFEPCGLNQLYAMQYGIVPVVHGTGGLRVKNQEGVANFDDILAKSVAFMVARVD >OGLUM10G05170.1 pep chromosome:ALNU02000000:10:7050066:7050982:-1 gene:OGLUM10G05170 transcript:OGLUM10G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKESSLVITVDLCCCRSLTKIRKTLCKLQESEDIRAIMYDDKAGTVTISGGFDPLVLPCKLHRKAGSVIKDIHLKKEREKNRVPPPPPPPPPQPAPAARAAFGTLCGAGVAPGCACLCLGQCRCHCGGGYGCRCCGAAPPCYCVPIGGGGCSVVQFTYDEPSPACSIM >OGLUM10G05180.1 pep chromosome:ALNU02000000:10:7107891:7109624:-1 gene:OGLUM10G05180 transcript:OGLUM10G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILIGNKCDLSHRRVVSYHEGEQFAKEHGLLFMEASAKTAHNVEKAFILAARTVHKKIEDGVINLSDEVSFQLLAFSYIEEFNVLVCTLEPASIVA >OGLUM10G05190.1 pep chromosome:ALNU02000000:10:7109973:7110941:-1 gene:OGLUM10G05190 transcript:OGLUM10G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFGKYDDLMRCLILDSPVCHGSLEAVLLIEIEKCRSNLGIEIPEISVITESDVDPTGLCSAATVRDGIDSNSY >OGLUM10G05200.1 pep chromosome:ALNU02000000:10:7113651:7113902:1 gene:OGLUM10G05200 transcript:OGLUM10G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEEGSPANGHRSSARGTYAVGDRLDDGARSNDGCMRRRGFNDGGHCGISGGAEEIDEAGVASDSLRERSEEIDEAGNTAT >OGLUM10G05210.1 pep chromosome:ALNU02000000:10:7120423:7126054:1 gene:OGLUM10G05210 transcript:OGLUM10G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIEHLAITLSPPLEITIVRLPSRSWRLEGVEEESCCRRRHWGSRVATGRRGAHVEHLRTSPTASHLCFVRTAPRVFALRCPSVPCLDPGT >OGLUM10G05220.1 pep chromosome:ALNU02000000:10:7120498:7121211:-1 gene:OGLUM10G05220 transcript:OGLUM10G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGPGLSVARLLSFSLSFVSPSSSTSGQAATRRCGSAGRGGYGAGAARRRPGKAWRNSGDPPSAAAARDGARASSAAGQRHGRSRLQGDRAVELGSFQIDGCARYGGFVLLSRWEGEPLGWSVHDDPAGGGTAAGAAQLRRCTSQIEGAGEFYATSGFSGREDLPWNGRTTKGEDAWCGADEAEVASGRRRSQVFDVCSSASSGYPASPMATAAARLFLHSLQPPAPAGEPHNGDL >OGLUM10G05230.1 pep chromosome:ALNU02000000:10:7127473:7132287:1 gene:OGLUM10G05230 transcript:OGLUM10G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDVAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILVARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSQRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >OGLUM10G05230.2 pep chromosome:ALNU02000000:10:7127473:7130374:1 gene:OGLUM10G05230 transcript:OGLUM10G05230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63290) TAIR;Acc:AT3G63290] MAETALATALDVAELPFSDLLLLISPDLPDDGRRGRLLTTVATSLGRGGSGLLAITNVPLAAALRRRLLPLARRLAVMDHPSRSQLLKKHGLGSDVPLKKLDRRVSSFARLLRHSGEFQLLESMKEIESIKNDPDYLEKALDGVVIGEPMGDGTEKLGELVEELGLCMMELGILVARACDTVTGGNQLEKSITDFGTAKARLIHYHSELDNIIIKNSSSKRKVPINKIAKATAYESCSQRSASSQGSCIRSECAMTDTLKDSNDKSIHGQGSVVSLTNLWQEWHYDYGVLTVLTAPLFLCSTMGEDCSISKECSPPDEHTYLQLFNRRKVFSVRCSPESFIVQVGETADILSGGKLRSTLHAVSRPYGSTNISRETFVVFLQPSWDKKLPYSGHCFAGDDEPSEGDDSTFSDGSDMFSSEHTLMQDILKKIPPLSSRVKEGMTFAEFSRQTTKQYYGGGGIQQNN >OGLUM10G05240.1 pep chromosome:ALNU02000000:10:7131357:7137526:-1 gene:OGLUM10G05240 transcript:OGLUM10G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLLPGTGRASSLSSSLLLLLLLGAAMAAAPEAAAVMPMEAYFSPAELVRIAGYGEELVSTVIVSGKVVCELSLRPPGSDLLSIDLPGTTVGVACETGGIKTMANSVFTVTDENGNFTIELPSRLHATPNLEKACSVKVLQLPLDCACWPRRSPSYYHGIQLSSSEDGIRSYTTGVIRLQHHDTKSDMSMHHMSGLRLTYVN >OGLUM10G05250.1 pep chromosome:ALNU02000000:10:7163278:7163703:1 gene:OGLUM10G05250 transcript:OGLUM10G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGRWLPMTSQMERPAAPINLAQAQPMEVVSQFPMTAQVERPVAPVDLSPTQPMKAGLRRSWQRDSGLAAENGGGEKEPARTPTMFCRRRSGTVQRRPTSRATVIWRHQRSKAWRRDGGMAMENDGGEEDFARARAVRV >OGLUM10G05260.1 pep chromosome:ALNU02000000:10:7167051:7168928:-1 gene:OGLUM10G05260 transcript:OGLUM10G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVSPEKWGLRPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIYKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPERIHEDARIAEKALNLPLYAKYTDSPYLRNSLKEDNSANGNQSVIDSK >OGLUM10G05270.1 pep chromosome:ALNU02000000:10:7210697:7213292:1 gene:OGLUM10G05270 transcript:OGLUM10G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEELKESVKEEQAEKKEEAAEEKPDEPQEIVLKVDMHCEGCAKKVEKSLLRFEGVENVKADSRSKTVVVKSRAADPSKVCERVQRKTKRRVELIFPLPPPPEEEKKEEAPAPPPEEKKEEPPKTITVILKVQMHCDACAQILQKRISRIEGVESVETDLLNGQVVVKGVMDPAVLIESIQRKTRRPAVIVEEVKPREEEKKAEEEEKKPDEDKKADGIEEVKKYDFWPPVQYYVEYVYPYPLPPPPTALVSEEFSDENPNACTVA >OGLUM10G05280.1 pep chromosome:ALNU02000000:10:7250654:7254182:-1 gene:OGLUM10G05280 transcript:OGLUM10G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8V1] MGLNGKWRKAMPYMAMVFLQFGFAGLFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERPVLDQNFFYMGAKNTSASFSSALTNILPAVTFVNAIILRMERISIKERRSQAKIAGTLITVGGAMLMILFKGPVINFPWTKNANHNISDSSDHNNGHWLMGIFMILLSCFCWSAFFILQSYTLRSYPAELSLTTLICAMGVAQSGAVALVMERDIKAWLIGFDMRLFTAVYSGIMCSGVAYYVQGMVIKERGPVFVTAFSPLCMIIVTILGSFILCEVVTLGRVIGAIIIVVGLYALIWGKNKDHGNQVDQDDNFEKQKTFELPLSTTNVNEARSPNHI >OGLUM10G05290.1 pep chromosome:ALNU02000000:10:7330557:7341295:-1 gene:OGLUM10G05290 transcript:OGLUM10G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAVSRSPPSHRRRRSPSPRYGNRRSRRDRSRSPYTSTRRSPSPRWERSQSPTPRRRRSPPSPRRQRRRRSRSNTSSTVNNSCSPSHVSEQNNLIEKQKGDKKRRQKEAELKLLEEELARRVEESIRKNVEDRLNSEDIKNEIKRRVEEGIKQLFDEVDAQLQKEKETALREARHKAEQERREREELDRMLEENRRKVEEAQRKEALEQQQKELERFLELERIQKQREDAMRRKKIEEEEDRANQMKLLGKNNR >OGLUM10G05300.1 pep chromosome:ALNU02000000:10:7341169:7341348:1 gene:OGLUM10G05300 transcript:OGLUM10G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVWRCRGIGSDLVDSAGSHTAGKGSGAGGATAATETPHAASRSAWQPARTSTTAPPV >OGLUM10G05310.1 pep chromosome:ALNU02000000:10:7364108:7366292:-1 gene:OGLUM10G05310 transcript:OGLUM10G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISVESRGARGGHQQLQIQASPPAWRTKHRLRRIWGGDDGRGAGVFWLPSSRSPASGSSLRQRRRPGEDGSLPFSPTASRSPPSPTLVCRVWQLIPHLQIYPRWRVHLRYRPETSPRLLRAPGINSTTHRICSLFLLSSDQNHG >OGLUM10G05310.2 pep chromosome:ALNU02000000:10:7365157:7366292:-1 gene:OGLUM10G05310 transcript:OGLUM10G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISVESRGARGGHQQLQIQASPPAWRTKHRLRRIWGGDDGRGAGVFWLPSSRSPASGSSLRQRRRPGEDGSLPFSPTASRSPPSPTLVCRVWQLIPHLQIYPRWRVHLRYRPETSPRLLRAPGINSTTHRICSLFLLSSDQNHG >OGLUM10G05320.1 pep chromosome:ALNU02000000:10:7396223:7396714:-1 gene:OGLUM10G05320 transcript:OGLUM10G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTLHPLFPATAPGVSTTSTPSRDILRRGTRRLTTTCKAEPSGGNSTLELAAGAAGLASSSVVAWSLYTLKTTGCGLPPGPGGALGAAEGVSYLVVAALIGWSLTTKVRTGSGLPAGPFGLLGAAEGVSYLAAAAIAVVFGFQFFEVGSLPGPLPSDQCFG >OGLUM10G05330.1 pep chromosome:ALNU02000000:10:7531886:7532376:-1 gene:OGLUM10G05330 transcript:OGLUM10G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTEQNNARLRRTLMRAVVGQLKPAEFTDSEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKNSNAMRTLTSAVHALAWRSGVSSGGGDACHWANGYSLNVLLYVSLLHTPSHLEPFLSSPRGNQVILLLRYHR >OGLUM10G05340.1 pep chromosome:ALNU02000000:10:7534751:7541996:1 gene:OGLUM10G05340 transcript:OGLUM10G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHKAVFISLQELFPQVDPRILKAVAIEHHNDVDSAVVAILDEVMPSVTSTSPPTVSSVRQEIAPCCIGTSSASDGTSETGDSSSAGHGKQVEVDENVHSTQCKSSMEITNDRQRNVVDEVESHSSYPWMNEQLHLPIRNVPEPVDISYVGHDGHLLSEYLDAILNGESGNSSTQPNVAYVHKQDSDNPIPADGCVTKDNSITLPLDYVDINDVNYSLKSSAGVSNSEDSFGTCGTYQFAHVLNIPIPDTRKSSKGLGGEQDTNSIGKADLLPDLNLNHLATIASTHSVSIESLDDSISDAKSNKNELLPSLELVSKMIQDVEVLEEKAEVAKHESSIAETSILTKVGKLKEMLNHAKEANDMHACEVFGEKSILTTEARELQSRLQRLSDERKNYLVVIEEIRQTLEHRLVAAQQEIDAAEEKKIQKEASAQALLDEQEKEMNLAVEESRKLQKEAEENLKLKAFLVERGQIVDTLQGEMTVICEDVSQLKQIVDERLSFCKLQRSKMSSLFSSLQSSLHKSGSSADRAIEAVESTDKHTVAEGANAAVGDDPNGSKRIIHVWNGSGMADKDNGTGGDTNEDGWEFC >OGLUM10G05350.1 pep chromosome:ALNU02000000:10:7617989:7618323:-1 gene:OGLUM10G05350 transcript:OGLUM10G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCSNDCAFYVMRYMERYHGNPDKLADDFQPPESRVLRAQILHQLIFHRFNLAPCIHSVIEDLRPVDDDEGSSHQ >OGLUM10G05360.1 pep chromosome:ALNU02000000:10:7618511:7622017:-1 gene:OGLUM10G05360 transcript:OGLUM10G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTATGDETQVDTPQPDADFQCGSDVGISMQGILAITMTSEGTYRTQSHTGDGTEGHHDLPYADVEHGIEINISMQGNTAINVTTEGTNSAKSHSGDQIEGHHHQPDADVEQSSDIDIPTQGIIQPTAPAVDPALPDFGVPNTLLALMANVNKEADDGAEQHVSPVKHCVKRAGRYVPPTSQSVPKDDNVAIQLLDLILSDPTKFGRFIPFLPISCTLQLHNCFISIQPHLLTQSNIIPHNPPLIEVDCHNADAMDIAASFKYLINIENVTRLAKKDEFSPRALVEHLSECLKHVDFSKAEQFLLPIINNDHWTLYIV >OGLUM10G05370.1 pep chromosome:ALNU02000000:10:7639110:7641668:1 gene:OGLUM10G05370 transcript:OGLUM10G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRREGSRSAGSVGCHQSPYCGGGRGWLSPRCQTSGGFREYDTVRCAATCRRWASVVAKEADALSRALSPLPGLALNLFHQDRQDTAGAATTNTRKRKRRSTGLECSAPPSFVPTASGARLLGFNLPSTTALRSGLQAGGQHGHGVLDLSHSRPIASRNGRLVLELQSEGHVDRSLRLCVCNPMMGDVAVLPTLLGNDRPKRKVFLAPRSTHARCSPVPTSDLDRPRHASSDFFRMLIYNRDRFTAFRSYSSDTCSWSMETKKTSDPKLTNWDLGKLGQGIVLHGVAYWPLKRTALAVRFDSPAPAQVRMPPDGVPNPLQQLRLLSVTPDGKLCLLXISKVLKIPLKILLMHWSMGNSRKIPPH >OGLUM10G05380.1 pep chromosome:ALNU02000000:10:7661883:7663994:-1 gene:OGLUM10G05380 transcript:OGLUM10G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8W2] MMTSSSLKEWLPAIFMVMLQIFTTGSLMLVKVVVDGGLFVCTLLTYRYLLGAVLVVPFAVSFENLGKLKELKLKAFIWIFTSALVGFTVPGLYYIGLGDTSPGYAINFYNIVPIAAFILASQMLKVFPYKYWSTVATCFVGCIQMAIIGVAMNREKATWKLKWNMSLLTIIYSVTIPVVSDVLNLMQAILNTAAKFVMISWVVTQRGPTYPSMFCAVSMLFTTILDSLLLGHDLSVGRKEVVPETTEKPKEEVQFQTGDRTSELSSNV >OGLUM10G05390.1 pep chromosome:ALNU02000000:10:7701839:7702907:-1 gene:OGLUM10G05390 transcript:OGLUM10G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTKLREVKYPKNAPVDNEALVLPPLILMFSPVPSFHPPPGVPSFPPTPVALMSVEDIVM >OGLUM10G05400.1 pep chromosome:ALNU02000000:10:7733400:7736308:1 gene:OGLUM10G05400 transcript:OGLUM10G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPILLLAPLRVYWRRRPQPRSSATLAGAEVVPFRRPRRLLFQPPPDPTSSPSAVAGVKVGPFRRHRHHHRALHRCRGLPHRPRPEPTSSPSAVFAADGAVVPNRRGFPLEGEVTRRHPLCCFLVAATPPSPFDSRVAAPIAASREEPTSGGVVVASRHGQMWIGIDHKSLLPRWTKMQLPLSMNCWSFFMLRMASVAIPTYWLQVQPCSNATSSFKENHPNCQEAQSSFDI >OGLUM10G05400.2 pep chromosome:ALNU02000000:10:7733169:7736308:1 gene:OGLUM10G05400 transcript:OGLUM10G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRNPILLLAPLRVYWRRRPQPRSSATLAGAEVVPFRRPRRLLFQPPPDPTSSPSAVAGVKVGPFRRHRHHHRALHRCRGLPHRPRPEPTSSPSAVFAADGAPLEGEVTRRHPLCCFLVAATPPSPFDSRVAAPIAASREEPTSGGVVVASRHGQMWIGIDHKSLLPRWTKMQLPLSMNCWSFFMLRMASVAIPTYWLQVQPCSNATSSFKENHPNCQEAQSSFDI >OGLUM10G05410.1 pep chromosome:ALNU02000000:10:7754492:7766048:1 gene:OGLUM10G05410 transcript:OGLUM10G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAMEISTSLLLTTVALSVIVCYALVFSRAGKARAPLPLPPGPRGWPVLGNLPQLGGKTHQTLHEMTKVYGPLIRLRFGSSDVVVAGSAPVAAQFLRTHDANFSSRPRNSGGEHMAYNGRDVVFGPYGPRWRAMRKICAVNLFSARALDDLRAFREREAVLMVRSLAEASAAPGSSSPAAVVLGKEVNVCTTNALSRAAVGRRVFAAGAGEGAREFKEIVLEVMEVGGVLNVGDFVPALRWLDPQGVVARMKKLHRRFDDMMNAIIAERRAGSLLKPTDSREEGKDLLGLLLAMVQEQEWLAAGEDDRITDTEIKALILNLFVAGTDTTSTIVEWTMAELIRHPDILKQAQEELDVVVGRDRLLSESDLSHLTFFHAIIKETFRLHPSTPLSLPRMASEECEIAGYRIPKGAELLVNVWGIARDPAIWPDPLEYKPSRFLPGGTHTDVDVKGNDFGLIPFGAGRRICAGLSWGLRMVTMTAATLVHAFDWQLPADQTPDKLNMDEAFTLLLQRAEPLVVHPVPRLLPSAYNIA >OGLUM10G05420.1 pep chromosome:ALNU02000000:10:7766988:7767368:1 gene:OGLUM10G05420 transcript:OGLUM10G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPRDSPPPPRFSSLRTHPPSSRRASAAAPPDQRRHPKSQTAKPHQADPDQRRCASGLPPTTPVLPPVPARYGRRYSSHLLAAGRESPRLAPPCRTPRDPPRFTPKLRPTVSSRLSRHETTHFIP >OGLUM10G05430.1 pep chromosome:ALNU02000000:10:7784763:7785617:1 gene:OGLUM10G05430 transcript:OGLUM10G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIGAMSKENMENKSLRFHSYTLPSPVVAGQGLILAFSRRFGKEKIRRELSSWKNRQGRKKGEEDERVSCLPYEPELGARPGGGMGLGGEPRSVTGGAKSSWVHRTDKGRLET >OGLUM10G05440.1 pep chromosome:ALNU02000000:10:7824549:7827292:-1 gene:OGLUM10G05440 transcript:OGLUM10G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNRHRICNKKSIRLEKRNVSRHRPPSSSASEDVRSLPLASVLFLRQDLIAFTTAVVQSNLSNGKRSAGGVQNQHMELVGIWISRYYNDISVFFHEAGEIYSCSNI >OGLUM10G05440.2 pep chromosome:ALNU02000000:10:7821654:7827292:-1 gene:OGLUM10G05440 transcript:OGLUM10G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSNRHRICNKKSIRLEKRNVSRHRPPSSSASEDVRSLPLASVLFLRQDLIAFTTAVVQSNLSNGKRSAVECIYPSTFCSLECSLDVLIWRRTSYLAAHTNVLFSKRHVWLCKCSLRRSATTSSAELVCLAIHAMAAWRSQGLLAAHELVGIWISRYYNDISVFFHEAGEIYSFSATMV >OGLUM10G05450.1 pep chromosome:ALNU02000000:10:7828666:7829699:-1 gene:OGLUM10G05450 transcript:OGLUM10G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCDDDDDNGGSAATMAAAALLRRRRWRHRCTTKTAATTLRRRRRRRRGRRCDDSGGGSAATTTTTTNTTTAARTIDACYCRAAHPGSHQLDRKTSQEPQLTATGPSVRPDFREDECERLLTRAAEGDGSGVEPGGDARRRLDVEHDERAVRAEAEVVGGRPLSSSPLVLTPACICVGGGGGATTANVDGFVELRADPRHGEAGGAGRAEDEEQRTDDEDHDDDDRAEATAARCPGWAAAGRRSALRGGGLREKRGIEGEERVKGQERYFFYPAHMSELPNG >OGLUM10G05460.1 pep chromosome:ALNU02000000:10:7844408:7844587:1 gene:OGLUM10G05460 transcript:OGLUM10G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGIGAAAAVGFHRIREREAPVEAGEEKAAGGRVAAAAAARQRRPWWALPDLDPLGQI >OGLUM10G05470.1 pep chromosome:ALNU02000000:10:7858116:7858489:-1 gene:OGLUM10G05470 transcript:OGLUM10G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCVDALWTNVREDALEMKIVTQLRQSLRKMVSKSRCSRTVKDEMSR >OGLUM10G05480.1 pep chromosome:ALNU02000000:10:7877814:7878296:1 gene:OGLUM10G05480 transcript:OGLUM10G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKHTSEPCPSIAKSTGEQLAYTFSAPCVGEPLPLLPIMKNAGEPLASHFSALHRLRGTAANHTSLLSATRRASRCHPAPTLSACCRDDRRCSLSARRHRRSHLPLAPPLPSIVRATTAAPTFRSRRRGRSLPRTSQPPPPPSRMQQASPPPRVWPPPR >OGLUM10G05490.1 pep chromosome:ALNU02000000:10:7898127:7900466:1 gene:OGLUM10G05490 transcript:OGLUM10G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVPLPLLLGSLAVSAAVWYLVYFLRGGSGGDAARKRRPLPPGPRGWPVLGNLPQLGDKPHHTMCALARQYGPLFRLRFGCAEVVVAASAPVAAQFLRGHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSAKALDDLRAVREGEVALMVRNLARQQAASVALGQEANVCATNTLARATIGHRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALRWLDPQGVVAKMKRLHRRYDNMMNGFINERKAGAQPDGVAAGEHGNDLLSVLLARMQEEQKLDGDGEKITETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLKEAQHELDTVVGRGRLVSESDLPRLPYLTAVIKETFRLHPSTPLSLPREAAEECEVDGYRIPKGATLLVNVWAIARDPTQWPDPLQYQPSRFLPGRMHADVDVKGADFGLIPFGAGRRICAGLSWGLRMVTLMTATLVHGFDWTLANGATPDKLNMEEAYGLTLQRAVPLMVQPVPRLLPSAYGV >OGLUM10G05500.1 pep chromosome:ALNU02000000:10:7900793:7902184:-1 gene:OGLUM10G05500 transcript:OGLUM10G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSSGSDDMDACRLERHLAGGWRHVVPKQECVRKNMFKVQPDTVTRMLVWFQPLSPLHRTNLNRRRTKIVSTRVKLY >OGLUM10G05510.1 pep chromosome:ALNU02000000:10:7902204:7902416:-1 gene:OGLUM10G05510 transcript:OGLUM10G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRQIAMYQYTKRGIDEPTHIYLNTRSYMDPMMESLRERTSMVWDAISLPDDSHPLHIHMAIEQLGTGH >OGLUM10G05520.1 pep chromosome:ALNU02000000:10:7909436:7913850:1 gene:OGLUM10G05520 transcript:OGLUM10G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT2G33040) TAIR;Acc:AT2G33040] MAMAALRREGRRVLLSSTPSPAAAMAARSPAAAHQEIAPLGARSVSTQVVRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >OGLUM10G05520.2 pep chromosome:ALNU02000000:10:7910170:7913850:1 gene:OGLUM10G05520 transcript:OGLUM10G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma subunit of Mt ATP synthase [Source:Projected from Arabidopsis thaliana (AT2G33040) TAIR;Acc:AT2G33040] MDGDCPTRRTFCFNTMRTRMKSVRNIQKITKAMKMVAASKLRAVQIRTENSRGLWQPFTALLGDVPSVDVKKNVIVAITSDKGLCGGINSTSVKVSKALHKLTSGPEKESKYVILGEKGKVQLIRDSKDNIEMTVSELQKNPINYTQIAVLADDILKNVEYDALRVVFNKFHSVISFKPTMTTILSPEVMEKESESGKVGDLDSYEIEGGETKSEILQNLTEFQFSCVMYNAALENACSELGARMSAMDSSSRNAGEMLDRLTLTYNRTRQASITTELIEIISGASALEG >OGLUM10G05530.1 pep chromosome:ALNU02000000:10:7951095:7951919:-1 gene:OGLUM10G05530 transcript:OGLUM10G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPARLSTAVGADPLPLPRLALAWHDPLASASPCIGLLLRRQIPTPTYQGLRLAVVKVVEVVASGVPVMTATQLGHVDCLDAAQQMPGGRAFGLPSSSPFFFTSEHARARHVVTWIPIEWNQIRRRLLSLDTNKNILTHKYVITPYSSKRKNTYS >OGLUM10G05540.1 pep chromosome:ALNU02000000:10:7962420:7971602:-1 gene:OGLUM10G05540 transcript:OGLUM10G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKILNLNPPTSPLPEQQSPQGFCHPNRRRLRFLQVGNLVRQSAGSGSPLFQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVPDDYANKRR >OGLUM10G05540.2 pep chromosome:ALNU02000000:10:7962420:7971602:-1 gene:OGLUM10G05540 transcript:OGLUM10G05540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRKILNLNPPTSPLPEQQSPQGFCHPNRRRLRFLQVGNLVRQSAGSGSPLFQAVRCMSSSKLFIGGISYGTDDQSLKEAFANYGEVIEARVIVDRTTGRSRGFGFVTYTSTDEAAAAITGMDGKDLQGRIVRVSYAHDRGSRAGGYGGGGYGGQGTYGGGGGYGGGGYGGQDAYGGRGVGGYSEGGRGYVGGGYGDGNNYGGYNTSGGYNSEGGRGGYSVFEGGHGYGSGGTGYTGGSGGYNSAPGNYSSDNFNQGGAAPGAYEGANYGGGNNYMNNATSDDSTGKLDELLNDLKVDGDGKEDGEGKADGAGLVNEDLKGDDGQDELLQNDFKDEDVPDDYANKRR >OGLUM10G05550.1 pep chromosome:ALNU02000000:10:7996559:8002571:1 gene:OGLUM10G05550 transcript:OGLUM10G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8Y3] MAAAAAAAADHDAAPRAHALILPYPAQGHVIPLMELAYCLIDRGFAVTFVNTEHNHRRVVAAAAGAGGVQAPGSRARRLRLVAVADGMGDGDDRDNLVRLNAVMEEAIPPQLEPILDGAGGEGQLGKVTCVVVDVGMSWALDAVKRRGLPAAALWAASAAVLAVLLGAQKLIRDGVIDDDGAPLKLENNSFRLSEFTPPMDATFLAWNFMGNRDAERMVFHYLTSSARAAAAKADILLCNSFVELEPAIFTLKSPATILPIGPLRTGQRFAHQVEVVGHFWQTNDDTCLSFLDEQPYGSVVYVAFGSLTIMSPGQLKELALGLEASGHPFLWVVRPGLAGNLPTSFLDATMGQGKGIVVEWAPQEQVLAHPAVGCFVTHCGWNSTVESIRNGVPMLCWPYFTDQFTNQIYICDIWRIGLKMVQTCGEGIVTKEIMVEKLKELLLDEGIKERVQRLKEFAETNMSEEGESTRNLNAVVELMTRPMSWNMV >OGLUM10G05560.1 pep chromosome:ALNU02000000:10:8061050:8063534:1 gene:OGLUM10G05560 transcript:OGLUM10G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRTEDIEVQTLVGPSVNMVLHTSTDHRCNLKKGWTDFALSNGIKLNTVCIFHFYKTTHLGVTVDIF >OGLUM10G05570.1 pep chromosome:ALNU02000000:10:8070765:8076746:1 gene:OGLUM10G05570 transcript:OGLUM10G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGRCMVEVVILLVLMAMSQGCDAQNTTGGLTRKSFPNGFVFGTASSAYQYEGAVKEDGRGPTIWDKFAHTFGKIIDFSNADVAVDQYHRFEEDIQLMADMGMDAYRFSIAWSRIFPNGTGEVNQAGIDHYNKLINALLAKGIEPYVTLYHWDLPQALEDKYTGWLDRKIINDYAVYAETCFKAFGDRVKHWITFNEPHTVAVQAYDSGMHAPGRCSVLLHLYCKKGNSGTEPYIVAHNMILSHATVSDIYSKKYKASQNGELGISFDVIWYEPMSYSTADIEAAKRAQEFQLGWFADPFFFGDYPATMRSRVGSRLPKFTEKEAALVNGSLDFMGINHYTTFYTKDDQSTVIEKLLNNTLADTATISVPFRNGQPIGDRANSIWLYIVPRSMRILMNYVKDRYNKPTVYITENGKCTYVICDLFLPFISLKNALKDDKRTKYHNDYLTNLADSIREDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYYVDYKNQKRYPKNSVQWFKNLLASSS >OGLUM10G05580.1 pep chromosome:ALNU02000000:10:8078295:8079599:1 gene:OGLUM10G05580 transcript:OGLUM10G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8Y6] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >OGLUM10G05590.1 pep chromosome:ALNU02000000:10:8085836:8087426:-1 gene:OGLUM10G05590 transcript:OGLUM10G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEKNGIWVAEMICDYYEWGHIEVPKKLDQIGTIFSIGKKKSEPRGIKEVGDVVAGGLGPRGGRRWQRCGGRDIDANGGVDLMVTTVGGGSQVATRRNNSRSGVPWLKRTVTKLAVAPIDFPTFCGKMKPMSGHIELPAGKVAKNCRTGKFCSSCRNKLRRPRAQDWEWKRSPCLASYVESEDYRIGTPKVKEQSRESLGAAFKLKS >OGLUM10G05600.1 pep chromosome:ALNU02000000:10:8088169:8088800:-1 gene:OGLUM10G05600 transcript:OGLUM10G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:A0A0E0B8Y6] MSWQTYVDEHLMCEIEGHHLTSAAIVGHDGTVWAQSAAFPQFKPEEMTNIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGITVKKTGQALVVGIYDEPMTPGQCNMVVERLGDYLVEQGL >OGLUM10G05610.1 pep chromosome:ALNU02000000:10:8090351:8093165:-1 gene:OGLUM10G05610 transcript:OGLUM10G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEIEDWIDEAMHHLTKDDSNSGFISKIIFRLNRMRTQNRMANQINEVKTRVVEMSHRHKRYKLDASISTSDYTAIDPRLCALYADAEALVGMDGPRDEITKWLMGADQQLMVVSVLGIGGLGKTALANEVYKKIGGQFDCHAFISISQKPDIVRILSNILSQLGKETFTPSCEIHGVLNNLRENLQDKRYLIIIDDLWDKSAWDIFRCALPKNNHASRVITTTRIEKVAMECCSYRCEFIYKMKPLNEHDSRRLFFNRIFGSENACPERFKGVSTGILQRCGGLPLAIVSVSSLLANPATSVDRQWEYVSNSLSDKFGIMPALDGMRNILHLSYKNLPYHLKTCFLYLGIYPEDYIIRKSDVVRQWITEGFVHKAQVQDAEDVAGSYFNELVNRSMILPTDIDYQNNVVSCKLHDMMLDLILYEAAEEKFITVTDNFSTLLGLHNSVHRLSLQYDNGNHDTAAATTSLTHLRSLAIFGNSKYMHMHPLSDFKFLRVLIIVFSDAVHQMSLDLTGIRQLFQLRYVKIEANIHVQIQLPAQIQELKLLESIDIEWGSVCIPPDIVHLPHLIHLVIPEGTGLPDGIGNLKSLITLRSFDLGENSLHNIRSIRELTNLRDLNLCYSGKNVVSNMETWIDVLRSSLEKLSNLKYLHLYWPDTCENGLCSLNPPSRHLQRLEMAYWWFSKVPKWIGGLHELHVLKLAVKEVSDDDITLLAQLPYLTNLGLRMRGAPKQKIIFYKKAFPVLRYFKFWCRTPCLVFEAGAMPELKRLKLRFSARGWGKSGATAPSGIEHLLGLQEIFLEIGGLRDERRAAELALQNAIDMHSGRPSVKVVSCPHTQFHSDISD >OGLUM10G05620.1 pep chromosome:ALNU02000000:10:8093175:8093375:-1 gene:OGLUM10G05620 transcript:OGLUM10G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSTGVMNCLLAKLATLIDEEHVKFSNIPKEVGFLRDELRTMKAFLEILADKNNLDPLTKEWMN >OGLUM10G05630.1 pep chromosome:ALNU02000000:10:8094500:8094859:-1 gene:OGLUM10G05630 transcript:OGLUM10G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFIASSLILPVGVLILRIEGRGGARASSGTRREAPRLDGWRRPPSWLRGERNKDNMAQGQNGKRKLGLVSKGIEVKKEEEE >OGLUM10G05640.1 pep chromosome:ALNU02000000:10:8128588:8130333:1 gene:OGLUM10G05640 transcript:OGLUM10G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSPAPSATYDGAWLFLTVDGHGPRGLDHVLVNLNNFEYIDLPSAIFHFDCMDPENVDIIAATLSRAPTEQGCIVADRVFSEAEQTVWLSPLEQVEDLLYLDEDFLFLTKEEHIRVCPELTIFHESPERILWRFQPRRRRDDDEEEEQVLARYLMESRGSLLMTDF >OGLUM10G05650.1 pep chromosome:ALNU02000000:10:8130953:8134811:1 gene:OGLUM10G05650 transcript:OGLUM10G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVVSSSAGAMRSLVTKLTILLGTEYQKHKRMQKEVALLKDELSTMNALLETLEDIDELDPLTREWRNQVREAAHDIEDCVNNFIHSPTKNEAKVGFIQEIIIQRFKSLRARSKIAKQIDELKAQVVEISNRHDRYKLDDYISMSSYVAIDPRVSALYTDATSLVGIEGHVEELIKWLMDGNKKLKVVSIVGIGGIGKTTLANQVYCKLDGQFDCKAIVSISQKLDIKNLLNNILLEFGEQRLSDSCDVRHHLNEIRKYLQSKRYFVIIDDLWDTSAWEVIKCAFPENHHQSRVMTTTRIRSVAAACCNYNYEDIYNMKALTDQDAKRLFFNRIFGSGGTCPPHFKSVSTEILKKCGGMPLAIITIASLLASQPNNLKEQWEYIRNSLGTSHGENPTLDGMRKILNLSYTNLPHCIKACFLYVGIYPEDYTIKKDDLIKLWVAEGFVRTAHNDHDAYCVARGYFNELINRSMIQPILLDHNDEVLTCRVHDMILDLIISKSTDENLFTIVNDSKFMRRLCGKVRRLSFHSWITMEKYNKIVATICLLQVRSLVMLSYGLIPNVLDFRSLQVLILENSDQQDLTGICTLTQLRYFKIRTMEQFKLPSQIRGLQHLETFEIEKVPHFGFNSLHHLDIILPSDIIHLPRLLHLIIPDQTIMPDGIGNLKSLQTLKYFDLGKNSLHNILSLQELTNLKDLHLSCSRGSSFVGYKDVLFQSLARLADCNLKDLHINHHLSTMCCDSLNGLFKSAHHLQRLFLSDWQFSRVPSWIGELNVLHSLELSLGKLLKDDILILAEMPSLAHLYLHVRSAAKERIVISGTTFIVLKHFKLVCKRIPLTFEAGAMSKLQILELEFNARGMERQMELPVGIGHLSSLKQIHASISGFRATLSDRTAVEFVFNNFMCIHPNHPCVDIKYEPYKFIDWGDEDEYM >OGLUM10G05660.1 pep chromosome:ALNU02000000:10:8163979:8164509:1 gene:OGLUM10G05660 transcript:OGLUM10G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAQWNAHFGHPVPKIVSRKHIKKKPKVVQPLTCEVCKIQCDTPEVLRIHKTGKKHKKNLERLQDSITPKPVKPPRTPNTVALAANMAPDPVTTSVTTSVMPAAQTKKKKSAAATPEELEVKRRRVLDAGAAQGEVKICTVCNVVVNSQKVYEFHIIGQKHKAMVQKQQAQPPIA >OGLUM10G05670.1 pep chromosome:ALNU02000000:10:8166622:8172128:1 gene:OGLUM10G05670 transcript:OGLUM10G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein LHP1 [Source:Projected from Arabidopsis thaliana (AT5G17690) UniProtKB/Swiss-Prot;Acc:Q946J8] MALEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNHLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >OGLUM10G05670.2 pep chromosome:ALNU02000000:10:8166505:8172128:1 gene:OGLUM10G05670 transcript:OGLUM10G05670.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromo domain-containing protein LHP1 [Source:Projected from Arabidopsis thaliana (AT5G17690) UniProtKB/Swiss-Prot;Acc:Q946J8] MARGKNHPDGEEEEAPAAAGEEEAPVEADEEGEMEEEEEQGEGEGEERDEGEEEEEWEDAEEVEGGEESEQAAAEEEDSPLVVVEAEAAAPVVDGSPPKLAEGYYEIEDIRRRRLRKGKLQYLVKWRGWPESANTWEPLENLSACSDIIDAFEMRLQSPRPGRKRKRKITTTPVAGSNPSHGKRGRPRLDAKSHTRAPAPEPKQLPCRTSSRRATNCSSKTVAGLDASGSVVRNHLAQNIVQEGSSSVISRTPCQELPLSIRLTDQQNEHHLVNGSSNSENLVKVPPSQGGQVTGAKKRKSGNVRRFEQNKPTQGQGECGALVVAEDVGSTEGETGDKKKTEGCPNRVHITKIIKPVRFAAAVNNDVQQVSITFKALRSDGQEVMVDDKELKANNPLLLISYYEQCLRYNPTS >OGLUM10G05680.1 pep chromosome:ALNU02000000:10:8173021:8177124:1 gene:OGLUM10G05680 transcript:OGLUM10G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAPMMMALSRWWWWRRRRSRRRRRVGDDNDDAGGAGGGWRPPAGAAMASAGGASGGAALGRAGSAAIGSVAFAAASPPALAALAAEPANTLSPYAARLRPARPPFGRRPSPVPVVPAPSPAAARTAGRRAHSRVAASRRADAASAPPALLGSAPPVLSEVVVILCSKSHLQNPSLCTRSLYTTILPPISQISTAAPPRMAAAASAIPCSPGLGRASPGPQIQSGRAAERVVDWFLVPFPGSHPLCSLHSSSTLLPIPSVRSSPPTPNATCNGSRFTRSARPRWSPRTCMICAADLPATVGAICSVLPCARQPVTTNLNPRRAFACSAFFLLKNCRLLSSQFHCRRSKNIQLSCDNCNQVTVLRSIIYSDFLDITVRNIRKNMKNFGLDYKRRFLRCSFLYIKTIHVPC >OGLUM10G05680.2 pep chromosome:ALNU02000000:10:8173021:8177124:1 gene:OGLUM10G05680 transcript:OGLUM10G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAPMMMALSRWWWWRRRRSRRRRRVGDDNDDAGGAGGGWRPPAGAAMASAGGASGGAALGRAGSAAIGSVAFAAASPPALAALAAEPANTLSPYAARLRPARPPFGRRPSPVPVVPAPSPAAARTAGRRAHSRVAASRRADAASAPPALLGSAPPVLSEVVVILCSKSHLQNPSLCTRSLYTTILPPISQISTAAPPRMAAAASAIPCSPGLGRASPGPQIQSGRAAERVVDWFLVPFPGSHPLCSLHSSSTLLPIPSVRSSPPTPNATCNGSRFTRSARPRWSPRTCMICAADLPATVGAICSVLPCARQPVTTNLNPRRAFACSAFFLLKNCRLLSSQFHCRRSKNIQLSCDNCNQVTVLRSIIYSDFLDITVRNIRKNMKNFGLDYKRRFLRCSFLYIKTIHVPC >OGLUM10G05680.3 pep chromosome:ALNU02000000:10:8173021:8177127:1 gene:OGLUM10G05680 transcript:OGLUM10G05680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAPMMMALSRWWWWRRRRSRRRRRVGDDNDDAGGAGGGWRPPAGAAMASAGGASGGAALGRAGSAAIGSVAFAAASPPALAALAAEPANTLSPYAARLRPARPPFGRRPSPVPVVPAPSPAAARTAGRRAHSRVAASRRADAASAPPALLGSAPPVLSEVVVILCSKSHLQNPSLCTRSLYTTILPPISQISTAAPPRMAAAASAIPCSPGLGRASPGPQIQSGRAAERVVDWFLVPFPGSHPLCSLHSSSTLLPIPSVRSSPPTPNATCNGSRFTRSARPRWSPRTCMICAADLPATVGAICSVLPCARQPVTTNLNPRRAFACSAFFLLKNCRLLSSQFHCRRSKNIQLSCDNCNQVTVLRSIIYSDFLDITVRNIRKNMKNFGLDYKRRFLRCSFLYIKTIHVPC >OGLUM10G05680.4 pep chromosome:ALNU02000000:10:8173021:8176112:1 gene:OGLUM10G05680 transcript:OGLUM10G05680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRAPMMMALSRWWWWRRRRSRRRRRVGDDNDDAGGAGGGWRPPAGAAMASAGGASGGAALGRAGSAAIGSVAFAAASPPALAALAAEPANTLSPYAARLRPARPPFGRRPSPVPVVPAPSPAAARTAGRRAHSRVAASRRADAASAPPALLGSAPPVLSEVVVILCSKSHLQNPSLCTRSLYTTILPPISQISTAAPPRMAAAASAIPCSPGLGRASPGPQIQSGRAAERVVDWFLVPFPGSHPLCSLHSSSTLLPIPSVRSSPPTPNATCNGSRFTRSARPRWSPRTCMICAADLPATVGAICSVLPCARQPVTTNLNPRRAFACSAFFLLKNCRLLSSQFHCRRSKNIQLSCDNCNQVTVLRSIIYSDFLDITVRNIRKNMKNFGLDYKRRGYLKIKKKSIVWQSGPAELVMWSMQFSPRSCCQRCL >OGLUM10G05690.1 pep chromosome:ALNU02000000:10:8177751:8180790:-1 gene:OGLUM10G05690 transcript:OGLUM10G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFINININVRNARMTYIVKRRKYNEREAIDPARSPAIIIVADGLEQAGAQRCSATARGGTQREDAIFGEASSGIGGARPRHPGSSGVQGARGQGHGDGVFTGQIGWSGGDLFTGNHHGRPRWSAAAALTREKARSEEGREVSA >OGLUM10G05700.1 pep chromosome:ALNU02000000:10:8181395:8183500:1 gene:OGLUM10G05700 transcript:OGLUM10G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLTYKLILNIECHRPRNKIERSDFLVQEQEDQGGNQIIRMQPQQGRFFGREEMSNGVEYDAAYAATVAAVAYAIAAKEEEKQATEETPVKKKLTSEKKPVANDEPSTTPTLKLPPNRQGILKRPRQTEGSRITRRFSGKEIVPDEEDDGLEANVSVRRPVRTAQKIPEGGISGQNMVGKVLDSVPSIRKAPSFAKPLPEKKGSMKFEQEQAIPTVPPNVRPTTLFPREKKESKKFDQDQAIPRVPPDVRPTASFSREKKESKKFEQDKANQMPSLASAPTSSDSSEAEAMADTWEKEKMAKIKKQYNMTMDTIVEWEAEKKAKAKRQMELKEGDNSERKREKALEEYNDEITRINKVAAASRLTAEEKRRSAERKVREKAERIRVTGKLPVYVAVSDEIYAKLNQTDAEFL >OGLUM10G05710.1 pep chromosome:ALNU02000000:10:8189281:8190153:-1 gene:OGLUM10G05710 transcript:OGLUM10G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDMSVLPVLAGKDRPGLYACALLTADDLQDSADPLPPGPAAFRLVVLYKRRSFTACRSYSSDTKAWSTERKLSGVKIGGKRLGDMDAGVTFRGRVFWLVNSVVFVLHLDTLVATTENIPWHWRWNGKPCFCLGDPVPNRRLAVSPDGRLCVVQVGRNLRTYNPVINVFARHDGGSGDGSTVQKIRWKVEEAHDVELSHLIPLENVKRVCLRSVCEKSGLIFLAIGADMYAKKPDLALYALDMEKKEARLVPAPPGRCCVRRSSWSFFGYELDRVDYLASIAGGDSTAR >OGLUM10G05720.1 pep chromosome:ALNU02000000:10:8190796:8195540:1 gene:OGLUM10G05720 transcript:OGLUM10G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLLVFLLLAAMSAAVESITSTAVKTGCQERCGGVDIPYPFGIGPGCSRHGFELSCVSNGSGAGPIAVLAGTSIQVTRLSVEPAESQVMLPVGWQCYNTSQPTRTYPDWSRAKTEMNRGGVYRISNTHNMLVVLGCNTVGYTESLRSEGGAYSSTYYTGCMSYCNNSASAQDGQCAGVGCCHVDIPPGLTDSSVNFRVYDHTGMVDYSPCDYAFLTDRTNYSFRRADLIKMDKNRNVPVWLDWAIRENGSMSCAEAKGKPGYACVSVHSECVDSTNGPGYNCKCTAGYEGNAYAPDGCTNINECDRPSDYPCHGICQDTDGSYDCKCHRGYQNSGDPKEQPCSPKFPLAAQIALGITLGISFLIVGLLFILMMRQKRRMNEYFRKNGGSVLQKVENIKIFTKDELKKITKNNSEVLGQGGFGKVYKGILDDNTLVAVKASIEVNDARKEDFTNEVIIQSQMIHTNIIKLLGCCLEVDVPMLVYEFAANGNLQDILHGDNNRRVPLPLDLRMDIAVEAAEGLRYMHSSANRTIRHGDVKPANILLNDKFKPKISDFGTSKLLTVDKDFTMFVVGSMGYIDPVFHKTGRLTQKSDVYSFGVVLLELITRKPTIYDANCSLLIDFQKAYEQENSGRAMFDKDITIEEEIFVLEEIGRLAMECLKEKVEERPDMKEVAEQLVILRRSRKSRQGNYNISPQQFEEMSTEGTPLSLETAVSVSSSVLSAPSTPANNDFSNA >OGLUM10G05730.1 pep chromosome:ALNU02000000:10:8254122:8254415:-1 gene:OGLUM10G05730 transcript:OGLUM10G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAATPPYPSKSELAAVEVAPCAWGRIGGQTRRRHLWRIHAGSAIASSASMPDTPHRSRIRVRGARSGCRMRRLLASRPQSSVAACSQPWCARSA >OGLUM10G05740.1 pep chromosome:ALNU02000000:10:8257517:8258959:1 gene:OGLUM10G05740 transcript:OGLUM10G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPPPTPPCPAAACDDGWLSLSVSTVSGESNQKRLKRGGGGGGGVGGGAVEDDGCPLHDEVLLLVFAECSLETDDLVRCAATCRRWRRLVAGDAEYICRRKPPSRRYVGALAVGFVQQRRQENSSSSSGAPPPPRFVPLPSYSSRFAGGGELDKVFDSGLLSNSRLIASRKGLLVLELRRSSRAAAVRLVVCNPMTGDMTTLPILAGKDRPGHYACALITFDDHEGAPDRLGFVHDPAAFRLLLVYKRRNFTACRSYWSDTKAWDAEGKLSGAKIGGRRLGEMTGAVAVRGSVFWLLKNLLFVVRLGALKATTETFPSKWCSKLCFCYGSPVQNRQLAVTPDGRLCAVQVDRHVTSNNTVRINVISRHDGYGPPTWECDNARDVELNRVLPMANVRRVCLRGVCERSGVVFLAIGADLYNQQPDLALYALDMDKKEARKVAAPPGHCRRLSSSFFGYEMDRVAYLASLSGGESIAS >OGLUM10G05750.1 pep chromosome:ALNU02000000:10:8265184:8275687:-1 gene:OGLUM10G05750 transcript:OGLUM10G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRVLLSSNMILALVLASLFSLPRQGRALELMNWSCNNGSAYAANTTYDTNVHSILATLSARTPNTTTGFATATTGRGTDTEAWGLALCRGDTDRAGCASCLAAVPAVAFNECRGDMDVTVFYDRCFARFSYVDFTARPDNTEVLIGSPSADRITAGAGRFDALVADLAGALADWAAYNSTLRYAAGVMASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMPKVFVGKMGGRFNAVWCNLRYETFVFFDGDPSVKLVAPPVVPEDGKRRSSPENAAAVVGAVLGALVILLSLFIIYLWKKLQAKQCELSLSVLYAADKDVDSGSLLFDLAIIRKATANFAEQNKLGHGGFGAVYKGFLPDVGEIAVKRLDRTSGQGLEQLRNELLLVAKLRHNNLAKLLGVCIKGDEKLLVYEFLPNRSLDTILFGIDAVTNAYRGYMAPEYAVLGHLSVKVDVYSFGILVLEIVTGRRNTDVFDADEESSNLLSYVRPIDQLFYGFLKVWDHWQKGIPLEITDTLLLLSGSRGLQDMELLKCVHIGLLCVQENPADRPTMLSVLVMLQDIDTTNFAAPSKPAFTFANVRNTTSSSPSAAALSANEVSISEFHPR >OGLUM10G05760.1 pep chromosome:ALNU02000000:10:8288515:8291579:-1 gene:OGLUM10G05760 transcript:OGLUM10G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDGFTSTTEDMVHNIYGVVQCTPDQAAAACRACLEALRVDMAKAFAGRMGGRFDAVWCNLRYETFLFYDGDPTVRLAASPSPSSSSSSSPLPSPSPPLEGKRKSRPKNAAIVVVSVLASLVVLLSLLSFYLWRKLQAKQYTNHNDIDSGSLLFDLATLRKATASFAEYNKLGHGGFGAVYKGFLPDGWEIAVKRLDKTSGQGLEQLRNELLFVAKLRHNNLAKLLGVCIKGEEKLLIYEYLPNRSLDTFLFDPEKRGQLNWETRYQIIHGIARGLLYLHEDSQIKIIHRDLKASNVLLDANMNPKISDFGLARLFDGTKTASITNHVVGTLGYMAPEYAVLGHVSVKLDVYSFGVLVLEIVTGRRNTDVSGEVEESNSLLSYVWDHWVKGKPLEIADASLLGDGRGLSDMELLKCVHFGLLCVQENPVDRPTMLDILIMLHDVDTNSFAAPSKPAFTFAHGGNTTSSSQGVAALSANEVSISEFVPR >OGLUM10G05770.1 pep chromosome:ALNU02000000:10:8314903:8320290:-1 gene:OGLUM10G05770 transcript:OGLUM10G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B909] MPHVRSAAERDNGTGGDVTPGTPSPTHGARVRQRKRSSDVPSDVNKTNGANLLLNDQNKYKSMLIRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMASELFNLLRKANEDRQLPGFRLLNWHFFFTAMLFAYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFSQYAWTHMILLMVFAQSSFTVANIYEGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFLGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPIFTPESYDLPGWIPWREVAIMPIQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTFEEQHDLYEQLGKLLTRGN >OGLUM10G05780.1 pep chromosome:ALNU02000000:10:8323612:8324355:-1 gene:OGLUM10G05780 transcript:OGLUM10G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEAEAAVREMVRSMGAEQLDEAIGFATMELAGRDIPFEDMFRLCDEQELRRAKKPVMAVVSGSGEEVERIKSKLEIGEDGRPTSDSSEKTVVELLRALQTVTMTFQTLEASKIGKTISGLRKHSSEQVRDLAAALYKNWKALVDEHLTRKANTPPAAEKPAPTAPPKKTASNKREEAPALVDEAKLAAAKRKLQEGYDDAASAKKQRMIQVIDAPRKKVKNWRLVAVAAAHHPGGDRGASTTDV >OGLUM10G05790.1 pep chromosome:ALNU02000000:10:8324671:8325494:1 gene:OGLUM10G05790 transcript:OGLUM10G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGEAIPERWKELLVDAIYVAIDDLSARERDTISPELWRRLGDRRAAYKNPFARDGMGSDCSAGEDEVERIKTKLVAVVGEDGGNPRSDPSSEEAVVELLRALQAVPMAFETLEASKIGKAISGLRKHSSEQVRDLAAALYKNWKALVHEHLTRKPPAPPTKTASALGAADQANKANTAAPRKAAGNKHKEAPALPPEMDEAKLEAARKKLRERYRDEETAKKQRKIQVIDAPGKVQQRPTVVERRGVVRRTVASHAPVAAFVRA >OGLUM10G05800.1 pep chromosome:ALNU02000000:10:8332399:8339106:1 gene:OGLUM10G05800 transcript:OGLUM10G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSRSTLLGLVRRLRLSSPPSPAPQPRRLLLTVSAPPPTVCCSRPAAAPGRDARTMAVALAASLDRSLGRESRFRHGWRSLTSSSEEKGALKDVPAAAMLKNRNDNEKKRSRRRKPGITILKNSGHRDGSIFKGNRGWKIDFRIANPDETQFEAMMLSDPGDCKPDEIACVMHKPCPMLQIFSLELAKTSIDRFPVELYGYIAVRDLMDPLRNYVVLRSRDNTIAVKPGSLIEMTGPKRGIKFCSSALIEYDMRIKTGEQEEDDIQLIDGVLGIFDDLGKPSCKSFRSRIDGVGGAVDITVGLLPSAVEATFEVAISEVQSCFDLTVCSYAGGLSQEFKIFQGTIAESCGLRRSVVAVMLDGMLHLRFIARRKGSKRDHEIACSIRGKKHGSSTHQLNTELASFLVKSLLLDR >OGLUM10G05810.1 pep chromosome:ALNU02000000:10:8339398:8340909:-1 gene:OGLUM10G05810 transcript:OGLUM10G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNHHDNASVATIMSTPTSDLNRTTREGYTDTTLVLLVAAHRESGRERNKEGEKEEEKNLLAKN >OGLUM10G05820.1 pep chromosome:ALNU02000000:10:8340928:8341576:-1 gene:OGLUM10G05820 transcript:OGLUM10G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAANREPAGPPPPGEVWHLALWATWKARCFDIASAVVAVHLVDDLSNAKQEARTRYATGDNLKLLSPLRMMDQDLSFLDLKLSSSNLAVGDGPEWDGAAQLKLVLGEQLSAEKLTLKPA >OGLUM10G05830.1 pep chromosome:ALNU02000000:10:8361056:8367130:1 gene:OGLUM10G05830 transcript:OGLUM10G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHQLQTMQFTDPASRSPRPGGGVHGQPQPTPMSSPFSSRKPRRWCKTVAIYRLYYRCSYRDDRNCMATKVVQQENDADPPLYRVTYIHPHTCNPSPPAPTPAHVFTEPPPAKAEEHHAVLFRFSSTAGGHTPNNAVHRQQWQPAAATMAAGAQAQLSMTMSDDEREQPPAAIRSAPPARRLSMFRAVVDGLRQMRSSAPPTPSSSMVVDDGWDTFSSFDLDTCEFSVDDELLCGDHMYFPDSMQQ >OGLUM10G05840.1 pep chromosome:ALNU02000000:10:8383606:8385740:1 gene:OGLUM10G05840 transcript:OGLUM10G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPTPRRVKNKAPAPVQLTAEHLIREARELHGDGSVTSKKRRIVDADELAEHRLERRARFEAIVRRAGSGRGGCGDASSAWMRYARWEESPGGGGGDPARARSVYERALAGGAPAYRDHGVWIKYAQFEARGGRVGHARNVLDRAVAILPRADRIWSEYLRMEDLLGARDNARVVFDRWTSWRPGADAWAAYAAFELRHGEVDRARAVHERHVAALPCADAFILFAEFETKLKNLDRARRVYEHTGSLLAAAGDNDDTAVLLAAFADFEERCGDRARAIYQHALRGEPPEPRAEELREKLLSLEKRFGDRHGVEDSIVTKRRSQYERAVTTNPLCYDAWFDLIRLEESANAGDANRIRDLYRRAVANVPPAAAAAEKRHWRRYIYLWINYALFEELDAEDVARARGVYRECLRTIPHKKFSFSNIWVMAAELEIRDKNLAAARRLLGNAIGVAPRPKLFRRYIEIELQLGNVGRCRILSQKFIEHAPSSSHVWRSYAALEKKLGETDRARSVYDLAVSQPALDAPELVWTDHIQFEIDAGELDRARQLYERLLGKTQHLNVWVSYAEFEATACSGGAAIAGNAAEKAERVRRCRAVFRRADEHFRGCADDPAMKEARAMLLQQWLAKEAAFGDLGEVEPVEKKTPRRVKRKRSLLADGNGGGGGCEEFFDYIFGDEEYAAAAAGFKLMKAAYEWKNSGHVITY >OGLUM10G05850.1 pep chromosome:ALNU02000000:10:8390207:8390536:-1 gene:OGLUM10G05850 transcript:OGLUM10G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRILPLLLVLLLTVAVATSSASAYGADDGVTVMRRVLDTAAPAPAPGPAPGGAMANTTTSGYISYDALFADRVPCSLRGASYYNCHPGAEANPYTRGCSAITQCRG >OGLUM10G05860.1 pep chromosome:ALNU02000000:10:8433165:8438406:1 gene:OGLUM10G05860 transcript:OGLUM10G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B921] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >OGLUM10G05860.2 pep chromosome:ALNU02000000:10:8427042:8438998:1 gene:OGLUM10G05860 transcript:OGLUM10G05860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B921] MPFFIYCRPPSQQEVYVILYEYLRALRRRASTFPSKATRLLHWWPPPNPVAATSGSRPCRQEGFVRSLMEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCSWSRIVFAALKLRLVDRVFKFAKEAAPILMEMLRTDLSMATIGISGIKYRFT >OGLUM10G05860.3 pep chromosome:ALNU02000000:10:8427065:8438998:1 gene:OGLUM10G05860 transcript:OGLUM10G05860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B921] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCSWSRIVFAALKLRLVDRVFKFAKEAAPILMEMLRTDLSMATIGISGIKYRFT >OGLUM10G05860.4 pep chromosome:ALNU02000000:10:8427042:8438406:1 gene:OGLUM10G05860 transcript:OGLUM10G05860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B921] MPFFIYCRPPSQQEVYVILYEYLRALRRRASTFPSKATRLLHWWPPPNPVAATSGSRPCRQEGFVRSLMEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >OGLUM10G05860.5 pep chromosome:ALNU02000000:10:8435219:8438406:1 gene:OGLUM10G05860 transcript:OGLUM10G05860.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B921] MEVCAARAPGKIILAGEHAVVHGSAAVAAAIDLYTRCSLCLMPLADDEAAATLELDLKDPGLAFSWPCGRLREVLLTDEAAGAREARPCSPDRLASIARLLEEHEIPEAKIWLSAGLSAFLFLYTSILGCRPGKVTVSSDLPMGSGLGSSAAFCVSMSGVLLTAAGVVTAVGGISGEGMGWELVGKDDLELVNRWAFQGEKIIHGKPSGIDNAVSTFGSMIKFKKGELTNLKSSNPVKMLITDTRVGRNTKALVAGVSERASRHSDAMASVFNAVNSISEEVSSIVELAANDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKFNLVSKLTGAGGGGCVLTLIPTMLSNLVLEKVIAELESHSFRCFKVEVGGQGLQVCQGGCSYFNGDVV >OGLUM10G05870.1 pep chromosome:ALNU02000000:10:8446477:8447165:1 gene:OGLUM10G05870 transcript:OGLUM10G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRKTAASSRARAVARCGARGMAARLCRNGRGTSRWLRWLGLAGCRAGGAQQGNQTQWEHFQHEVETTRTMRGELVDALKLTLQLLFLGFREEGGMWA >OGLUM10G05880.1 pep chromosome:ALNU02000000:10:8449435:8452206:-1 gene:OGLUM10G05880 transcript:OGLUM10G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHKLHLSGGVKDSMSRDTADQDVQAGSLLFDLATLRKATANFAEVNKLGHGGFGAVYKGFLRDGEEIAVKRLDKASGQGIEQLRNELLLVAKLRHNNLAKLLDPEKRGQLIWETRYHIIHGTARGLVYLHEDSHIKIIHRDLKASNVLLDSSMNPKISDFGLARLFDGNKTASVTSHVVGTLGYMAPEYAVLGLLSVKLDVYSFGVLVLEVVIGRRNTNVFGAVEESNNLLSYVWDHWVKRTPLAIVDASLLGDGRGPPESEMLKCIQLGLLCVQENPADRPRMLHILVMLHDVDATSFAAPSKPAFTFVNGGHTTGSSSNVAALSLNEVSISEFHPR >OGLUM10G05890.1 pep chromosome:ALNU02000000:10:8452804:8453265:-1 gene:OGLUM10G05890 transcript:OGLUM10G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCYTTRTEQEKTKIIRKNHRWPPHRCLDDAHDDQEEDDERTTKPCLAARRRRARPPPPVGEEAALAVADGDGAALNEDVGEVGVLDERAAAVVEGEAVVAALGVARDPGDDVGTGSALVLRQLMVAPRKSSATASWSSTARAMRSAPTARS >OGLUM10G05900.1 pep chromosome:ALNU02000000:10:8457723:8458940:-1 gene:OGLUM10G05900 transcript:OGLUM10G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAMTVPTMLWSTLVPLAPLFSIPRHCRAQVIMNYSCNNGSSYAENSTYDSNVRAVLATLSASTPNATTGFATASAGRGADTVWGLALCLGDTDHAVCASCVAAVPAIAFHQCRGVRDVTVFYDRCIARFSYGDFTARPDNTEVLMVSPSKYQVTVNAGHFDALVTRLAGALADWAAYNSTLRYAAGVMASSDGFPSTTGYMVHNIYGLVQCAPDLAPPACRACLQALIVDMPQAFGGRIGGQFNAVWCNLRYETSVFYDGDPAVRLVASPSLEGPNINGSTTLIIAEN >OGLUM10G05910.1 pep chromosome:ALNU02000000:10:8482191:8482688:1 gene:OGLUM10G05910 transcript:OGLUM10G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKAGSSDLMASGKVVAEATMSVFQQKSVEGVDKKEVAGAAADLLHSASTYGKLDDKPVGQYIDKAEGYLKDFSSGSGGGAAPLPPAAGDAAAPKPAAEEPPKEPAPAEKEEGKPPSSEGFGLDDVMKGAETLMEKKGGGEESAGSGGAAGGLFKMAQGFMK >OGLUM10G05920.1 pep chromosome:ALNU02000000:10:8537382:8537930:-1 gene:OGLUM10G05920 transcript:OGLUM10G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYSREERWKYIWRDRGGLLPRDRVVHEAWDSIQVDLICLAYQLINSGAIDLQTTIGSQMGANMVAASVKHLYQRLAPTHHARWQWPGEEMVGESGRGRRRYELEVEMAMSGK >OGLUM10G05930.1 pep chromosome:ALNU02000000:10:8538782:8539594:-1 gene:OGLUM10G05930 transcript:OGLUM10G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHLATSVLLFVLFLSCMSITHAHTVAAPPPPGVAGNLTAAIVHLHEELQALDPLGCDDTCQGCLVRSGSGVWTSTTGTSSASLSASSSTLSPTGASGTSDKNGLDADYNNVRVWTS >OGLUM10G05940.1 pep chromosome:ALNU02000000:10:8551320:8552927:1 gene:OGLUM10G05940 transcript:OGLUM10G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLPSPFRPPPSPSPPATAFPGYATLGNTRGRRRRRPPATGAPPPRSPSLSSLGSLENREEEYEKKEGEKKRKKKIRY >OGLUM10G05950.1 pep chromosome:ALNU02000000:10:8554446:8554649:-1 gene:OGLUM10G05950 transcript:OGLUM10G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVGALLLAAIAVSTVLVQVERDAPIEKRFNKALDSPVDKRLDEATQAINEAVDSIVAVAPQEKKG >OGLUM10G05960.1 pep chromosome:ALNU02000000:10:8562109:8568330:1 gene:OGLUM10G05960 transcript:OGLUM10G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQTAQKSPVSASRLLRSLRHLAAEQARGGRADLHPASRGGEGRGGALISVWRVEQARGGEGIRMEGDTLLADIQLALASRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSTRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVQQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPGPNGNAGSGSRNNEPNVFSSNIAKPSSDIFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >OGLUM10G05960.2 pep chromosome:ALNU02000000:10:8562109:8568026:1 gene:OGLUM10G05960 transcript:OGLUM10G05960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQTAQKSPVSASRLLRSLRHLAAEQARGGRADLHPASRGGEGRGGALISVWRVEQARGGEGIRMEGDTLLADIQLALASRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNEVGSGSSPDLSTRNSAHDSYMPSPQACFVGSTVQRPPANWYPSKKKLKVQQHPSQALQAPRPNLIPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPGPNGNAGSGSRNNEPNVFSSNIAKPSSDIFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNT >OGLUM10G05960.3 pep chromosome:ALNU02000000:10:8562109:8568330:1 gene:OGLUM10G05960 transcript:OGLUM10G05960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIQTAQKSPVSASRLLRSLRHLAAEQARGGRADLHPASRGGEGRGGALISVWRVEQARGGEGIRMEGDTLLADIQLALASRCYLLPSGMHKSNTSSCPTITCEEALKRELEYRQKIERSHPHLLVGLNGSPALLNVDCVTEFNFGAHIGGKKHKAKKLEILGNRNNARPATGNQCTGNRNPGPNGNAGSGSRNNEPNVFSSNIAKPSSDIFSGSQTNGTIGNPHKEISSDGDGISNSKLEQNLSL >OGLUM10G05970.1 pep chromosome:ALNU02000000:10:8569360:8570741:1 gene:OGLUM10G05970 transcript:OGLUM10G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF179) [Source:Projected from Arabidopsis thaliana (AT3G29240) TAIR;Acc:AT3G29240] METSCFLTSNASPVKSMVMPSPAGVVKARPHVLFGGRRAASSSVTTCCSYNGEGAAPAIDPDWRSFRAQLYFNEQYAKSVNPAVAAVRATATTPEPVKIGDKWAHPLVEPEKGCLLIATEKLDGSHIFERTVVLLLSAGVLGPVGVILNRPSLMSIKEAQAVFAETDIAGAFSGRPLFFGGPLEECFFLLGPRAAAAGDVVGRTGLFDEVMPGVHYGTRESVGCAAELVKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPVVLGLATVVKGGLWEEVQGLVGERRVW >OGLUM10G05980.1 pep chromosome:ALNU02000000:10:8588992:8589334:-1 gene:OGLUM10G05980 transcript:OGLUM10G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTARPALGEMGSTVPRSQRRRPPDDDCQMVAWMVEGASTVNGGLRARPPRRNRQGCVPLYSVPHQRCHDDHGNADDQRVARPTGDVGVLVEIGSAMPSRSATTMWMVRCY >OGLUM10G05990.1 pep chromosome:ALNU02000000:10:8589369:8589629:-1 gene:OGLUM10G05990 transcript:OGLUM10G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTNSQRVHHLRERQSGGRESVRRWASRPANEWEAVVETSYRRSQGDDLEVVAAGGAAEAGSPADSRKRRPVGQDGGRRRRVCSK >OGLUM10G06000.1 pep chromosome:ALNU02000000:10:8589951:8590231:-1 gene:OGLUM10G06000 transcript:OGLUM10G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDEEDSEQLMMTSCQCANGDEDDRGNAVTRRGNTGAARCRCSSENSTGGEATLRRAPLSVPTTCRGGPRAATLQPERATQQQPKA >OGLUM10G06010.1 pep chromosome:ALNU02000000:10:8614563:8620234:1 gene:OGLUM10G06010 transcript:OGLUM10G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B939] MWPDLIKKAKEGGLDAIETYVFWNGHEPHRRQYNFEGNYDIIRFFKEIQNAGLYAILRIGPYICGEWNYGGLPAWLRDIPQMQFRMHNAPFENEMENFTTLIINKMKDANMFAGQGGPIILAQIENEYGNVMGQLNNNQSASEYIHWCADMANKQNVGVPWIMCQQDSDVPHNVVNTCNGFYCHDWFPNRTGIPKIWTENWTGWFKAWDKPDFHRSAEDIAFAVAMFFQKRGSLQNYYMYHGGTNFGRTSGGPYITTSYDYDAPLDEYGNLRQPKYGHLKDLHSVIKSIEKILVHGEYVDTNYSDNVTVTKYTLGSTSACFINNRNDNKDLNVTLDGNTHLLPAWSVSILPDCKTVAFNSAKIKAQTTIMVKKANMVEKEPESLKWSWMRENLTPFMTDEKGSYRKNELLEQIVTSTDQSDYLWYRTSLDHKGEASYTLFVNTTGHELYAFVNGMLVGKNHSPNGHFVFQLESAVKLHDGNNYISLLSATIGLKNYGPLFEKMPAGIVGGPVKLIDNNGTGIDLSNSSWSYKAGLAGEYRQIHLDKPGYRWDNNNGTVPINRPFTWYKTTFQAPAGQDTVVVDLLGLNKGMAWVNGNNLGRYWPSYTAAEMGGCHHCDYRGVFQAEGDGQKCLTGCGEPSQRYYHVPRSFLKNGEPNTLILFEEAGGDPSQVIFHTVVAGSVCVSAEVGDAITLSCGQHSKTISTIDVTSFGVAHGQCGAYEGGCESKAAYKAFTEACLGKESCTVQITNDLTGSGCLSGVLTVQASC >OGLUM10G06020.1 pep chromosome:ALNU02000000:10:8625818:8635039:1 gene:OGLUM10G06020 transcript:OGLUM10G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYVPSVYTWNTDASGPEAPSNDKIKNGLAAMLARFPHLAGRFFGVDEHGRRYFDLNDAGALVLEATASASLADALSHDVPAHVNELYSKADKSMSVFFIAWAAAVHTRGTLLPTPFHDRGVVVVPSRLPQPAFDHRNIDNSYGALPLHRIGNFSVHYPEEFVAWLKARWARGAARSGASWRTRGRRSRRRGASRQRSSRGVRIAVNYRGRASSAVPMDYFGNMVLWAFPRMRVRDLLSSSYATVVGVISDAVARVDERYILSFINFGEVATGAEYTDGGGARTVLCPDLEVDSWLGFRFHELDFGDGPPCAFLPPDVPVEVILMIFVPSCAAKGGIEMFVALDDSHVKAFSQICYSMD >OGLUM10G06030.1 pep chromosome:ALNU02000000:10:8644211:8645013:-1 gene:OGLUM10G06030 transcript:OGLUM10G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSNIDINWGAKLEDWQKLTCNGPIEDVTYYIGPLGEGFYVLTNKEDLLLYTPNTNNHPGELTMSSMEMYSVCDVCVGHMRRGDFCCPTWVTTDARKLIKMLLDPNPGTRITVVGLLETCGSRRMRPSRAPSLTSGWRRWTRLARPTTTKDEPPEVLNAGGVAGTGHGARARHAVRDVGAVEQRGRAAGGACNWQRHAHARDEEWRRAGAGGGSPWRQGQSRYFKKFLNSFDLEIIKYCL >OGLUM10G06040.1 pep chromosome:ALNU02000000:10:8645131:8645331:-1 gene:OGLUM10G06040 transcript:OGLUM10G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTTSFFCVASGDTHHRPGLFADARIARFYGSFPGGWLAAELPESRGHALLNLCTGSRIALST >OGLUM10G06050.1 pep chromosome:ALNU02000000:10:8657715:8658269:-1 gene:OGLUM10G06050 transcript:OGLUM10G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPSQADEEDVGPTWQRQEAKHRQSQLRGNLNVEVPVAAPTGCFAGCFRPSPTSSRSSPPSCNSQADRPASPSLIRSPSAWIRARGQSFASSARHARRRSGDFQYDARSYARNFDEGTDGEASGDEQAGLAAGDTLKYRSFASRLPPSPTPALSPSAAPVCDGGNSAKDSQTAREKGRDFD >OGLUM10G06060.1 pep chromosome:ALNU02000000:10:8659385:8678990:-1 gene:OGLUM10G06060 transcript:OGLUM10G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMMHPAHLAWNCIGNDEGQELLFSCVLAGIRAIDECDYILCNSFRGAEAATFARFPKIIPVGPLLTGERPGKPVGHFWRPEDGACMSWLDTQPVRSVVYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPDGFLDRVVASGTNGGGRGKLVAWAPQQRVLAHPAVACFVSHCGWNSTMEGVRNGRVLAHPAVACFVSHCGWNSTMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADEKLGVVTKKHIAGRVEEVMGDSGMRKRIEAMMAVAHESVQEGGCSHGNFDMFVSWWRLSTCDTYTSGGGSFRCPGRRRRLVEVSALGDSVADRRGGVVTANMAASAVSGPTRESASVRRKKAETRTRREGVAESLHTAAVPQHRRGRGESRRVTSCRVAGLWWRLSSASMASPLPARPHAFVVPFPAQGHVIPLMEVAHALADRGVAVTFVNTEFNHGRVVAAMPSPPRRNGVTENGGSGKLGMGRNRIRLVAVPDGMGPDEDRNNLVRLTVLMQEHMAPPVEELIRRSGEEEAAVDGDGDGWGRITCVVADYNVGTWALDVARRTGVMSAAVWPASAAVVASLLSIPELVRDKVIDAQDGSALTQEAFPLSPDMPMMQPAHLAWNCIGNDQGQELLFSCVLAGVRAVDECDYILCNSFRDAEAATFARFPKILPIGPLLTGERPGKPVGHFWRPEDGACMSWLDAQPARSVVYVAFGSFTVFDRRQFQELALGLELTGRPFLWVVRPDIVHGDVHEYPDGFLDRVVASGNGGGRGKVVAWAPQQRVLAHPAVACFVSHCGWNSIMEGVRNGVPFVAWPYFADQFVNRAYICDIWRVGLPAVADKKSGMVTKEHLAGRVEEVMGDAGMRERIEAMMVVAHESVQEGGCSHGNFDMFVESIMS >OGLUM10G06070.1 pep chromosome:ALNU02000000:10:8692367:8692660:-1 gene:OGLUM10G06070 transcript:OGLUM10G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRNGVPFVAWPYFADQFVNRAYICDIWRIGLPAVADEKSGIVTKEHIAGRVVEVMGDAGMRKRIEAMMAVAHESIQEDGCSHGNFDIFVESIMS >OGLUM10G06080.1 pep chromosome:ALNU02000000:10:8718519:8719971:1 gene:OGLUM10G06080 transcript:OGLUM10G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B946] MAYYEIAPVECPLQQNELYMHLYLRQADRGPNRDQEVILNPKVRPNDFGLTATTAWTISDSLDPNAKIVARAEGFHMQTSYNNTSWYASFNIVFEDDRFKGSMLQVMGTTPAEGQWAISSGTGEFALAHGIIKQKVIQSTPGENVKELHVHAFYTPMNDSVVPGATDGKSWTLGA >OGLUM10G06090.1 pep chromosome:ALNU02000000:10:8725890:8732595:1 gene:OGLUM10G06090 transcript:OGLUM10G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18680) TAIR;Acc:AT1G18680] MAPSSPRRDLDGEGTGGGSSAPREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >OGLUM10G06090.2 pep chromosome:ALNU02000000:10:8725890:8731061:1 gene:OGLUM10G06090 transcript:OGLUM10G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G18680) TAIR;Acc:AT1G18680] MAPSSPRRDLDGEGTGGGSSAPREKERPRSFDEKTRSACWRKAAVVMGRHPERWRQDAAGNVVCRRFWSCHGCLCYEYDHIVPFSKGGESTVDNCQILQTRVNRSKSDKAWIEQAEMQGFSCDIKFTDKELDVIEMAVYGDVIRPGKQCRCRTVAEMLGKVKSKNQMAACELPYNDAS >OGLUM10G06100.1 pep chromosome:ALNU02000000:10:8805261:8807081:1 gene:OGLUM10G06100 transcript:OGLUM10G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWSSNETMFGFCHWKGVTCSSHAHPGRVTALRMRDLGLVGAISPQLSNLTYLQALDLSNNRLQGEIPHDLGSCVALRAINLSVNSLSGQIPWSIGNLPKLAVLNVRNNKISGNVPASLGNLTALTMLSIADNYVNGRIPPWIGNMTNLTDLNVAGNVFHGYVPSNIAGLTNLLALSLLGNKLQGVFPPELFNITSLEIMYIGLNMLSGFLPMDIGSKLPNLVFLSTIYNQFEGPIPDSLSNISKLEYLQLHGNKFQGRIPPNIWSSGTITRLNLGNNIFEAKTPNDRDFLTSLTNCSELVTLDLQLNRLSGFIPNTLVNLSQELIWIGLGGNQIFGTIPVGIGRFRKLTVLELASNIFTCNIPLDIGQLSSLHRLLLYGNNLSGEIPPSVGNLTQLNELLLFQNNLDNKIPETLGNLSSLNSMDLPYNMLSGKIPEVLMRMPSLTKQLNLSNNLLGCPISPQIQELVNLGAIDLSGNKLSGQIPYTLGSCVELQFLFLQANLLQGKIPSELSTLRGLEDLDLSNNNLSGPIPDFLGNFQGLKHLNLSLNNLSGLVPNKGIFCNPTAVSLSSNDMLCGGPLYFGFQNAHHLLFIIVIQSTKCSLY >OGLUM10G06110.1 pep chromosome:ALNU02000000:10:8807339:8808528:1 gene:OGLUM10G06110 transcript:OGLUM10G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKVLDLHQKGQTQGFFAECDALRRIQHRKLVKVVTVCDSLDYNGNEFKAIVLEFISNGSLDTWLKTGNKVGTLSLIQRLNIILDVAQALEYLHNHIEPPIVHCDIKPSNILLDEDMVAHVSDFGLAKVMSVDASRQSLGESISNGVRGSIGYLAPEYGMGAEISARGDVYSYGVLVLQMLTGKEPTDAIFDGTTSLPKYVEMTYPDKLSPIVDAAIIANSGGGQETINMFIVPVAKIGLACCRDNASQRMNFGEIVKELVPLNKLCQDYFLTQGASSGNTSGIGITL >OGLUM10G06120.1 pep chromosome:ALNU02000000:10:8813269:8813605:1 gene:OGLUM10G06120 transcript:OGLUM10G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGRGAWWRERIRSFASAAISSVEGADQELRLSCCLLRSSSNTVVWWCAKRQPSDRLTMAKSLAFELKRLFLGLALSALCFSFFR >OGLUM10G06130.1 pep chromosome:ALNU02000000:10:8819053:8819790:-1 gene:OGLUM10G06130 transcript:OGLUM10G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIERPRRWHEMQRAQAEGIDWSVRFSWLPEWRRGGAGLWYPLIVRLVEPVPVLRHLQPEHRLLRSQWPGQHLQATKSGIDAQNTTGQRRRGDQSPAERKLPAVPQPMELYNIWDQKNRMFRGVAGQAGEGPGDGLGGKEEANCGPSVDRIGGDGIWASPSRRAVACCVIEERSGRLGVTGEGSALREAINSVAWTGPQRLGVTEDSSGWAVRR >OGLUM10G06140.1 pep chromosome:ALNU02000000:10:8819129:8820000:1 gene:OGLUM10G06140 transcript:OGLUM10G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNADPSPVTPSRPLLSSMTQQATARLDGDAQIPSPPIRSTDGPQFASSFPPKPSPAPWAEGLQEVCARQGSGRLVGVALKYSKVLSWPLTSKQSVLRLEVAEHWYRLYKTDNQGVPQSGSTSTPFWQPRKPHAPIYALRLCSLHLVPPSRPLDRHGLNYSNSSLQVFFLLILATILVLRLI >OGLUM10G06150.1 pep chromosome:ALNU02000000:10:8830028:8850164:1 gene:OGLUM10G06150 transcript:OGLUM10G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGLLRLPVAELATPYAALVTAGMAVLATACWLVFVKRNTSRPSEADGRRLPPGSRGFPIIGETLEFLTESPANQLPAFFKRRLDRYGPIFKTNMIMEDLIVSLDPEVSNFVVQQEEKLFQIWYPGSFMRITGAESIITTHRLLHRHIRNLVLRIFGPGNLRQEIIQEMHKTAEASLSSWLNHPSIELKEAVSSRRALRRRGGARGGRWWCIVIAAVVSMERRRMIFSVTAELISYDSSTSDGKMWKHYVAFAQGLITLPLCIPGTAFYKCMQGRKNVMKMLKEILNERKKIEGRHESIDFLDVLIEEVKEDNPSMTENTALNLLFSLLFGSFDTTSSGITAMLKFLTDNPEALRELTEEHNNIRRRRADLNSEITWEEYKSMKFTSHVIHEALRLASITPMMFREAIEDDIAEPAGGASKDFMPFGGGLRLCVGAHFAKLQMAVFRSKEEEWCFLPAYDFPKKIQLEQVICVRQKPSSVLARCSVMN >OGLUM10G06160.1 pep chromosome:ALNU02000000:10:8857156:8860695:1 gene:OGLUM10G06160 transcript:OGLUM10G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITRLLLQLLALPSTVIFLFLAPASRSIDAGDDLHALLSFRSHIAKDHSGALSSWSVVSNGTSDGTNGFCSWRGVTCSSGARHRRVVSLRVQGLGLVGTISPLLGNLTGLRELDLSDNKLEGEIPPSLARCLALQRLNLSVNFLSGVIPPSIGQLSKLEVLNIRHNNISGYVPSTFANLTALTMFSIADNYVHGQIPSWLGNFTALKSFNIAGNMMRGSVPEAISKLTKLEALTISGNGLEGEIPASLFNLSSLKVFNLGSNNISGSLPTDIGFTLPNLRYFTAFYNRLEGQIPASFSNISVLEKFILHGNRFRGRIPINSGINGQLTIFEVGNNELQATEPRDWEFLTSLANCSNLIYINLQLNNLSGILPNTIANLSLELQSIRLGGNQISGNLPKGIGRYAKLTSLEFADNLFTGTIPSDIGKLTNLHELLLFSNGFQGEIPSSIGNMTQLNQLILSGNYLEGRIPATIGNLSKLTSMDLSSNLLSGQIPEEIIRISSLTEALNLSTNALSGPISPYIGNLVNVGIIDLSSNKLSGQIPSTLGNCLALQFLYLQANLLHGLIPKELNKLRGLEVLDLSNNKFSGPIPEFLESFQLLKNLNLSFNNLSGLVPDKGIFSNASAVSLVSNDMLCGGPMFFHFPPCPFQSSDKPAQRSVVHILIFLIMGAFVFVIVCIATCYCIKRLREKSSKVNQDQGSKFIDEMYQRISYNELNVATGSFSAENLIGRGSFGSVYRGNLTCGSNVITVAVKVLDLHQTRAARSFMSECNALKRIRHRNLVRIITVCDSLDNNGDEFKALVLEFISNGNLDTWLHPSTENTSYIPGKLSLMQRLNIALDVAEALEYLHHHISPSIAHCDIKPSNVLLDKDMTAHIGDFSLARIMSAEAEGQCLGESSSVGIKGTIGYLAPEYGMGTEISREGDIYSYGVLLLEMLTGRRPTDTMFHDDMSLPKYVEMAYPDNLLEIMDNAIPQDGNSQDIVDWFIAPISRIGLACCRDSASQRMRMNEVVKELSGIKEVCESKFEEFYLSSV >OGLUM10G06170.1 pep chromosome:ALNU02000000:10:8892033:8897749:1 gene:OGLUM10G06170 transcript:OGLUM10G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B956] MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGRSELQYVLMGLYTPLIDLHLLSVWGDFFCTTPFCVLVATNPGDPGIFKAKKHPKLGKDGKQIQEISEHESCQGGKSFSDGCSIVNNSERLSNMFEGNDSSSRPGLHGVLCLICNPFFCLWKRFFHSDDQSSEQHMSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLMTCTLLAMLATIPLAQLFCFHVLLIKKGISTYDYIVALREQEEQQEVTEHQSPQMSIISSVTGFSTTSSFAPLQRGSWCTPPRLFLEDQHVIPPEMPQNSSSKKAKHADVTKRKPAGPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPIARHEDPKHDKRRPDKRGQFLPELSIDHTTRTSDSCTDSNCSDMDMETCGSLAPLQHEARSVFQPSIASSIRNLTSSPQSSLDSPDLHPFRVSMSGADELRSFMSLAASESTAPKSIALSRSTSGGYEASGGEESDRIPSKIVHRSSNWANAILNSGRREMAADLNLPTSERFLTNTRFS >OGLUM10G06180.1 pep chromosome:ALNU02000000:10:8898510:8902838:-1 gene:OGLUM10G06180 transcript:OGLUM10G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFEMNDLQKIGIGLTGFGVFFSFLGIIFFFDKGLLAMGNILFLSGLGLTIGLKSTLQFFTKPKNYKGTISFGAGLLLVLIGWPFFGMLLEAYGFIVLFSTLEAIEEKEFQCRSYPK >OGLUM10G06190.1 pep chromosome:ALNU02000000:10:8905214:8905606:1 gene:OGLUM10G06190 transcript:OGLUM10G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHELPRAGSASPPPPFSFAVWPPTRRTRDAVVRRLVAVLSGDTTTALRKRYRYGAVPAADAERAARAVEAQAFDAASASSSSSSSVEDGIETLQLYSREVSNRLLAFVRSRSSAAGAPPASAAAGEVA >OGLUM10G06200.1 pep chromosome:ALNU02000000:10:8914049:8915266:1 gene:OGLUM10G06200 transcript:OGLUM10G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDGDSIPRQIARTSSTESVSAVYLVVGHGVTCPAYSVFKVNPPAVVGGGGDDDGDTPVPLPQHLARLPSKHCMSFVPVRSRRHAPWIVGVGGNTGIRDYGPETIVFDTNTCKVVSGPKLLSTKLCPILVPMGERIYALAGMPCVTGDINFVPWFEVLDLSMARVIDDASGCCLLDCEWKPLPRPPFFPWDLTPTDYIFPPVVTVKSHVAVGSYILLSITGHGQKGTHMFDTETQQWAKLDDKDLPFIGRAIPLQGTLLFLGSSNTSDEITAYKIDVSVSSSVASPSTITAGHMYSLSIVEIQMLTNLEDEEEIVTGCKLISFDYPAGNPGFCSLNWVNNDPHISFDFPQHVGELVTIRAYSKVDYLESTRALVISSQWKQVYSIYDPLRRLSSPCLAGVTSL >OGLUM10G06210.1 pep chromosome:ALNU02000000:10:8927339:8927609:-1 gene:OGLUM10G06210 transcript:OGLUM10G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGDDGERSLTGNTGIHDSGATEMDSFFTLFANDTSSEDVNGVVANPFGSSEEAQAQVV >OGLUM10G06230.1 pep chromosome:ALNU02000000:10:8953620:8954135:-1 gene:OGLUM10G06230 transcript:OGLUM10G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVAKPQYVTLNTISLEWQVVTDERHVSNARAALRAYNAAVPAGGDTFFLEDLCCMTTFTEPPPPESSGLTYCHYNLIASCEATGSAAWFFAEVEVDDGGQVCGGEDGVVACCILQNPRDYSVNCNACFRQRSYLTHPDWNKFIAGHRLLPEPKEDDCEIEYDYDYPYFD >OGLUM10G06240.1 pep chromosome:ALNU02000000:10:8955432:8963980:-1 gene:OGLUM10G06240 transcript:OGLUM10G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases [Source:Projected from Arabidopsis thaliana (AT4G34260) TAIR;Acc:AT4G34260] MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGSVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLRTATVCISYNVGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDFAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYALWPMGGAWLCTHLWENYQYSLDKEFLEKRAYPLLEGCAMFLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDDTIMEWVQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKMILKLITLVPPGGKVDFEGGLYTNLWTAHPPFQIDANFGFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRLHYGEQVAMVTVLGGNVYRFNGGLQCVETYMAP >OGLUM10G06240.2 pep chromosome:ALNU02000000:10:8955432:8963980:-1 gene:OGLUM10G06240 transcript:OGLUM10G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-alpha-L-fucosidases [Source:Projected from Arabidopsis thaliana (AT4G34260) TAIR;Acc:AT4G34260] MDGDGWVWVRRPADDDEEERPLKVVFDSPAEHFTDAAPIGNGSLGAMVWGSVASEKLQLNHDTLWTGVPGNYTDPNAPYALAVVRKLVDGEKFVDATEAASGLFGGPTEVYQPLGDINLEFDSSSLGYTSYKRELDLRTATVCISYNVGEVQYSREHFCSNPHQVFATKISANKSGHVSFTLSLNSQLNHNVRITNANEMIMQGTCPGRRPALHHNGANDAIGIKFATAVGLQIGGTSAKVTIIDDQKLRIDAADWVVLLVAAASSFDGPFVNPSESKLNPEVAALNTLNISRNATFSQLKAAHLEDYQGLFHRVTLQLSQASMLEKDILEEVDHDVKTTAERINSFRSDEDPSLVELLFQYGRYLLISSSRPGTQVSNLQGIWNQDFAPAWEASPHLNINLEMNYWPTLPCNLSECQEPLFDLIGSLAVNGTKTAKVNYQASGWVTHHVTDIWAKSSAYYVDAMYALWPMGGAWLCTHLWENYQYSLDKEFLEKRAYPLLEGCAMFLIDWLIKGPGDYLETNPSTSPEHPFIAPGTGGHLASVSYSTTMDISIIREVFLAVISSAEVLGKSDTNLVERIKKALPMLPPVKISKDDTIMEWVQDFEDPEVHHRHLSHLFGLYPGHTITMQKNPEVCKAVANSLHKRGEDGPGWSTTWKMALWARLLNSENAYRMILKFTAAIAEMLLQSTHGDADLYLLPALPREKWPKGYVKGLRARGNVTVNISWEKGELQEATVWSSNPKCTLRLHYGEQVAMVTVLGGNVYRFNGGLQCVETYMAP >OGLUM10G06250.1 pep chromosome:ALNU02000000:10:8975453:8979483:1 gene:OGLUM10G06250 transcript:OGLUM10G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHAEVLENAARHIQGRFRTFITRKEFVKTREASISIQSYCRGCLARKMYMVKREMAAAIIVQKYVRRWRLHRTYQQAHSAALLIQSCIRGFIARRYFSVIREQRAALVIQETPPPAKYLASLPQSLTGSRRTRIPIERQEVNSTLHCSFVNFCLF >OGLUM10G06260.1 pep chromosome:ALNU02000000:10:9015609:9017138:1 gene:OGLUM10G06260 transcript:OGLUM10G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTFLALPLPPWHTRTDVEIAGLRRKKPVKPKPKDCGRFVRFYRSLETGREENSSGEATTSRNSEINQHIEYDLVFVRQLQAMDNLTIDTPADEDDDISCVPSPSDSETDEPAEGNNEEVII >OGLUM10G06270.1 pep chromosome:ALNU02000000:10:9027392:9028618:-1 gene:OGLUM10G06270 transcript:OGLUM10G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAYCATWIGLWFSSLSTSSSLSSSSATSASCSNAKRRSRKEPNELIKKPPLPGPGSDQGKASMCGLYNSSRGRGSATQFQSSVFSMEEILHATNNFSPALKIGQGDFGAVYRGVLPDGIFVVVKCAKLRAPGAFSPCFTTASKATLPLALTVSARIFEPLFSSRRPMPSGYCATWISGKALPSPKSFGHRGTNPPRFPYDGTGLEGPEGFAAAAATSDCADSPPYRSGPHLCAAAARSRRPPLASAARHRPKERRGEKERREGGRRKED >OGLUM10G06280.1 pep chromosome:ALNU02000000:10:9029359:9049043:1 gene:OGLUM10G06280 transcript:OGLUM10G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWYFHLPSFFQGYCAFLLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWQLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >OGLUM10G06280.2 pep chromosome:ALNU02000000:10:9029380:9049043:1 gene:OGLUM10G06280 transcript:OGLUM10G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWYFHLPSFFQGYCAFLLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWQLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >OGLUM10G06280.3 pep chromosome:ALNU02000000:10:9029829:9049043:1 gene:OGLUM10G06280 transcript:OGLUM10G06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRKGSKVWVEEKGEGWVEAEVVEVKDRAIVMLTSHRKKITVLAEKCLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKRRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNDSRSQSILVSGESGAGKTETTKFIMQYLTYVGGRAAIDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEMQFDANGRISGAAIRTYLLERSRVVQINDPERNFHCFYQLCASGKDAELYKLGHPGSFHYLNKSKTYELEGTNNEDEYWKTKRAMDIVGISRNDQDAIFRILAAILHLGNIEFSPGKEIDSSKIKDPTSNFHLQMAAKLFMCDPDLLVSTLCTRAINTLEGAIIKALDCSAAAANRDALAKTVYARLFDWYFHLPSFFQGYCAFLLVENINKSIGQDVDSKVQIGILDIYGFESFKNNSFEQFCINFANEKLQQHFNEKPIGIIALLDEACMFPKSTHETFATKMFRNFSSHHRLEKTKFSETDFTISHYAGKVTYQTESFLEKNRDYIVAEHCNLLSSSRCPLVSGLFGTLPEESLRSSYKFSSVASRFKQQLQALMETLNSTEPHYVRCVKPNSVNQPQIFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFGVLVPELMLGSYDERALTKGILEKMKLDNFQLGSTKVFLRAGQIAILDMRRAEVLENAARHIQGRFRTFITRKEFVKTREASISIQAYCRGCLARKKYMVKRETAAAIIVQKYVRRWQLHRTYQQSHSAALLIQSCIRGFIARRYFSVIREQKAALVIQSLWRKRKVIILFQQYRQATVAIQCAWRQKVARRELRRLKMAAGEEAKSVEILKRDKLIESLSAECAAAKSAAQSEHDKNLLLQRQLDDSLREITMLRSSKIMTAEAERENSNLKNLVESLSKNNSSLEYELTSARKGSDATMKKLKDVEGKCNHLQQNLDKLQEKLTNMENENHVLRQKALNMSPLNNMPMTTKAFPQKFATPIGLPNGEQKHGYETPPPAKYLASLPQSLTRSRRTRMPVERQEENHEILLRCIKENLGFKDGKPVTACIIYSCLLHWRAFESERTAIFDHVIEAINNVLKTLRSPSKLMGRSDNLGQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLSVCIQAPKSSRAQPGKATKSPGIGAQPPSNSHWDNIVKFLDLLMDTLHENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDATDEFAGTSMHELNYIRQAVGFLVIHQKRKKKLEEIRNELCPNLSVRQIYRICSMYWDDKYNTQGISNEVVSAMREEVNKDTQNLVSNSFLLDDDLCIPFSTEDLSIAIPAIDYVDIELPESLHHYASVQLLLKHHDPQPV >OGLUM10G06290.1 pep chromosome:ALNU02000000:10:9049598:9049926:-1 gene:OGLUM10G06290 transcript:OGLUM10G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYHCLHSVPRSRPHMRDVVAALEACRRAATCQMGLSSTPSPAAAVVVSQDDEKKASSDEADSAKPAAAVEDGEEVRRVGGARGRGSSVSGSPRQSWDRGA >OGLUM10G06300.1 pep chromosome:ALNU02000000:10:9049999:9050217:-1 gene:OGLUM10G06300 transcript:OGLUM10G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDYTAKLSDFGLAKEGPTGRRDPRHDTRHGDPRVRGAGVHPDGAPDGEERRVVLLELLTGRRGGREQNLVD >OGLUM10G06310.1 pep chromosome:ALNU02000000:10:9051547:9052763:1 gene:OGLUM10G06310 transcript:OGLUM10G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPRCLLIAAAPSSPRFLVGRCSLASHMSGRGEKGKGGIEPEEIESREGYFNTKIYTNTQTQLVHNEIRMTLICIVAQGNAETNAEKQVRYVQELTRFIYWQLAREIWRVTPELASPFKTSNCTFSESPSLQALQILAEPVNLTRRDTDRPNLISIVQLDT >OGLUM10G06320.1 pep chromosome:ALNU02000000:10:9052653:9063070:-1 gene:OGLUM10G06320 transcript:OGLUM10G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGEWVWVRRPAEAEAVAAAAGWPTAEEEARPLEVVFASPSRYFTDAAPIGNGSLGALVWGGVASEKLQLNHDTLWTGGPGNYTNPKAPAVLSKVRDLVNRGQYAKATAVAYGLSGDQTQVYQPLGDIDLAFDEHVEDTNYKRNLDLRTATVNVSYTIGEVVHSREHFSSNPHQVIVTKISADKPGNVSFTVSLTTPLNHQIRVTNANEIIMEGYCPGERPTEYGNASDHPVGIKFSAILYLQMSGSNGTVEILNDKMLKLVGADSAVLLLAAATSFEGPFVNPSESKLDPTASALTTLTVARNMSYSQLKAYHVDDYQNLFQRVSLQLSRDSNDALGGNGLVNLPENSLQETSVSDYAVQMVECSRFQGFNNSGKPTVDRILSFRDDEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWNDETSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKVNYEASGWVSHQVTDLWAKTSPDAGDPMWALWPMGGPWLATHLWEHYSYTMDKQFLEKTAYPLLEGSASFLLDWLIEGNGDYLETNPSTSPEHYFIAPDGRKACVSYSTTMDMSIIREVFSAVLMSSNILGKSDSDMVQRIKKAIPRLPPIKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHTMSLEKTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVEKEGGLYCNLFTAHPPFQIDANFGFPAALSEMLVQSTGSDLYLLPALPRDKWPQGCVKGLKARGGVTINIRWEEGSLHEALLWSSSSQNSRIKLHYGDQVGTISVSPCQVYRFSKDLKCLKTWAL >OGLUM10G06330.1 pep chromosome:ALNU02000000:10:9118148:9118664:-1 gene:OGLUM10G06330 transcript:OGLUM10G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTGTLLFLMLIVLLGNSSIHAEEHCNSYDFAKLVCVKPLCYLNCKIFFRKHLRSYSCEGTWPQRKCVCYACNDN >OGLUM10G06340.1 pep chromosome:ALNU02000000:10:9128838:9133965:-1 gene:OGLUM10G06340 transcript:OGLUM10G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDRDKLTIVPSSQNRDRAPRLILAPLLRRRCRRLVIAPPTTAASANASSPTAAISSSHIVAAAAATSGRLMVIRPDHPDDAASFSTRSCRPQPVDVVTSSTAPRLDRADPNRTTETAIQRLTYQKEEDCQGVGLKVGLKTMDARAAAIFFLLILVYQENPSCALESCIFNSARLVTCFPPFCKVACLADAKAHHAKYKDGWCDGFVNGICVCRLCFDS >OGLUM10G06350.1 pep chromosome:ALNU02000000:10:9134308:9138240:-1 gene:OGLUM10G06350 transcript:OGLUM10G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARAATFFFLLLVVFQGNPCSADDTCIYTSAHLVTCVTPVCKFACVVDARAHHAKYRNGWCKGFFNGNGCESCNHLVASPTYLSSKSIFCRGVLHYHWCKDVNVYATDMLLRQCIMHSSKIPGVKDFFEAFADVNFAITVE >OGLUM10G06360.1 pep chromosome:ALNU02000000:10:9146440:9152016:-1 gene:OGLUM10G06360 transcript:OGLUM10G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRHVILGLLIALVFVGNASFVIGECWETTSSSPICVGFMCKATCWIGAKATNGKVVEATCTGSVIKSECYCLQMYILIEMNGIEFHNGITSVRFVCKATCWIGAKATNGEIVEATCIGSVIKCEWYYRFL >OGLUM10G06370.1 pep chromosome:ALNU02000000:10:9152315:9153701:-1 gene:OGLUM10G06370 transcript:OGLUM10G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRHATLCFLLALVLIGNASFAAGEYWETTSYSPICLGLLCKVTCWIGAKAINAKVMEATCKGSVVKWRLF >OGLUM10G06380.1 pep chromosome:ALNU02000000:10:9187967:9188407:-1 gene:OGLUM10G06380 transcript:OGLUM10G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSLNLCFLLVLVLLMSPAPTAVAFSPEDCLDDVGWILICTKPTCKFSCWTSRSVNKGRKMQDYWCSDSNTCHCVFCTGD >OGLUM10G06390.1 pep chromosome:ALNU02000000:10:9196328:9201305:-1 gene:OGLUM10G06390 transcript:OGLUM10G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B980] MAKAMCSLGACLAVMLVVLAAAVAGVGCSTVSYDGRSLILDGERRIVISGSIHYPRSTPEMWPDLIKKAKEGGLNAIETYVFWNGHEPRRREFNFEGNYDVVRFFKEIQNAGMYAILRIGPYICGEWNYGGLPVWLRDIPGIKFRLHNKPFENEMEAFTTLIVKKMKDANMFAGQGGPIILAQIENEYGYTMLQPENIQSAHEYIHWCADMANKQNVGVPWIMCQQDNDVPPNVVNTCNGFYCHEWFSNRTSIPKMWTENWTGWYRDWDQPEFRRPTEDIAFAVAMFFQMRGSLQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLRQPKYGHLKELHSVLMSMEKILLHGDYIDTNYGDNVTVTKYTLNATSACFINNRFDDRDVNVTLDGTTHFLPAWSVSILPDCKTVAFNSAKIKTQTTVMVNKTSMVEQQTEHFKWSWMPENLRPFMTDEKGNFRKNELLEQIVTTTDQSDYLWYRTSLEHKGEGSYVLYVNTTGHELYAFVNGKLVGQQYSPNENFTFQLKSPVKLHDGKNYISLLSGTVGLRNYGGSFELLPAGIVGGPAGLAGEYRKIYLDKPGNKWRSHNSTIPINRPFTWYKTTFRAPAGEDSVVVDLHGLNKGVAWVNGNSLGRYWPSYVAADMPGCHHCDYRGVFKAEVEAQKCLTGCGEPSQQLYHVPRSFLNKGEPNTLILFEEAGGDPSEVAVRTVLEGSVCASAEVGDTVTLSCGAHGRTISSVDVASFGVARGRCGSYDGGCESKVAYDAFAAACVGKESCTVLVTDAFANAGCVSGVLTVQATC >OGLUM10G06410.1 pep chromosome:ALNU02000000:10:9222800:9229216:1 gene:OGLUM10G06410 transcript:OGLUM10G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAARGNKKLQERVPIRRTAWRLADLAILFLLLALLLHRVLHDSGAPWRRAAVACEAWFTFMWLLNVNAKWSPVRFDTFPENLAERIDELPAVDMFVTTADPVLEPPLVTVNTVLSLLALDYPAAGEKLACYVSDDGCSPLTCYALREAARFARTWVPFCRRHGVAVRAPFRYFSSTPEFGPADGKFLEDWTFMKSEYEKLVHRIEDADEPSLLQHGGGEFAEFLDVERGNHPTIIKVLWDNNRSRTGDGFPRLIYVSREKSPNLHHHYKAGAMNALTRVSALMTNAPFMLNLDCDMFVNNPRVVLHAMCLLLGFDDEISCAFVQTPQKFYGALKDDPFGNQLEVSLMKVGRGVAGLQGMFYCGTGCFHRRKVIYGMRTGREGTTGYSSNKELHSKFGSSNNLKESARDVIYGNLSTEPIVDISSCVDVAKEVAACNYEIGTCWGQEVGWVYGSLTEDVLTGQRIHAAGWRSTLMEIEPPAFMGCAPNGGPACLTQLKRWASGFLEILISRNNPILTTTFKSLQFRQCLAYLHSYVWPVRAPFELCYALLGPYCLLSNQSFLPKTSEDGFYIALALFIAYNTYMFMEFIECGQSARACWNNHRMQRITSASAWLLAFLTVILKTLGFSKTVFEVTRKDKSTSDGDSNTDEPEPGRFTFDESTVFIPVTALAMLSAIAIAVGAWRVVSVTTEGLPGGPGISEFISCGWLVLCFMPLLRGLVGSGRYGIPWSIKMKACLLVAIFLLFCKRN >OGLUM10G06420.1 pep chromosome:ALNU02000000:10:9234578:9236977:-1 gene:OGLUM10G06420 transcript:OGLUM10G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B982] MSLLCFLLFSLYPPTISAATDTVSPGHALTGSDRLVSNNGKFVLGFFKTESKNSSYASHNSYLCIWYSKLPMITPLWSANGENPVVDPASPELAISGDGNMVILDQVTKNIIWSTHVNTTTNHTIVVLLNNGNLVLQSSSNSSKVFWQSFDYPTDSLFAGAKIFRNKVTGQKNRLVSRKNSIDQAAGLYSVEFDINGTGHLLWNSTVIYWSTGDWNGHFFGLAPEMIGATIPNFTYVNNDKEVYISYTLTKEKITHAGIDVNGRGLAGIWLDSLQNWLINYRMPILHCDVYAICGPFSVCNDSNNPFCDCLKGFSIRSPKDWDLEDRSGGCVRNTPLNCGSTMNKKGFTDKFYCVQNIILPHNAMSVQTAGSKDQCSEVCLSNCSCTAYSYGKGGCSVWHDALYNVRQQSDGSADGNGEILYIRVAANEVKSVERKKKSGTVIGVTIAASMSALCLMIFVLVFWRRKQKWFSHGVENAQEGIGIRAFRYTDLQCATKNFSEKLGGGSFGSVFKGYLNDSIIIAVKRLDGACQGVKQFRAEVNSIGIIQHINLVKLIGLCCEDGKKLLVYEYMTNRSLDVHLFKDNDKVLEWNIRYQIAIGVAKGLAYLHDSCRDCIIHCDIKPENILLDASFVPKIADFGMAKVLGREFSHALTTVRGTIGYLAPEWISGTVVTSKVDVYSYGMVLFEIISGRRNSNQEYCRGHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEVERVCKVACWCIQDSEFDRPTMGEVVQFLEGLLELKMPPLPRLLNAITGGSHSTSLLPIDLQ >OGLUM10G06430.1 pep chromosome:ALNU02000000:10:9244324:9249682:1 gene:OGLUM10G06430 transcript:OGLUM10G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGGGMLASPSRYLGPDPRGEERLDNPPTKGCPHVSPYYNAASPSIINGKGIDPFELSEGNQSHDDHRFGQKHDHAMRYNDANFKSSNGF >OGLUM10G06430.2 pep chromosome:ALNU02000000:10:9245035:9249682:1 gene:OGLUM10G06430 transcript:OGLUM10G06430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSELIGEERLDNPPTKGCPHVSPYYNAASPSIINGKGIDPFELSEGNQSHDDHRFGQKHDHAMRYNDANFKSSNGF >OGLUM10G06440.1 pep chromosome:ALNU02000000:10:9246451:9248874:-1 gene:OGLUM10G06440 transcript:OGLUM10G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B985] MSVLLGFLLLLSLHPPTSYATTDTVSPGQTLAGGDRLISDNSKFALGFFKMDSKNSSYTSRNSYLCIWYNKLPMITPLWSANGENPVVDPASPELTISSDGNMVIMDQATKSIIWSTRVNTTTNDTVVVLLNDGNLVLQSSSNSSLVFWQSFDYPTDSLFADAKIGWNKVTGLNRRLVSRKNSIDQAAGLYSLEFDINGVGHLVWNSTVTYWSSGDWNGQFFGSAPEMFGATIPNFTFVNNDREVYLTYTLNNEKAITHAAIDVNGRGLAGVWLDSLQDWLINYRMPLLHCDVYAICGPFTVCNDDNDPFCDCMKGFSIRSPKDWEIEDRTGGCMRNTPLNCGSTMNKTGFRDKFYYVQNIILPRNAMHVKEAASKDECSDVCLSNCSCTAYSYGKGGCSVWHDELYNVRQQSDVSADGNGDNFYIRLAANEVHEVQSAERKKKSGVIIGVAIGASTAAFCLMIFLLMFWSRKGKLFARGAENDQGSIGITAFRYIDLQRATKNFSEKLGGGSFGSVFKGYLNESTPIAAKRLDGTCQGEKQFRAEVDSIGMIQHINLVKLIGLCCEGDKKLLVYEYMPNGSLDVQLFKDNDKLLDWNLRYQIAIGVARGLAYLHDSCRDCIIHCDIKPENILLNESFVPKIADFGMAKILGREFSHALTTMRGTIGYLAPEWISGTVVTAKVDVYSYGMVLFEILSGRRNSSQEYFKDGDHSAYFPMQVARQLINGGIGNLVDAKLHGDVNLEEVERVCKIACWCIQDNEFDRPTMGEVVQFLEGVLELKMPPLPRLLNAITGGSHSTPLSSLDLP >OGLUM10G06450.1 pep chromosome:ALNU02000000:10:9252609:9252854:1 gene:OGLUM10G06450 transcript:OGLUM10G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIVQTGGGKEAQRGGEGERGKRRTRREGGMVEEAHREGRRGDGGAEAAHLEEDDASAARSCEGEAALGVGREGREERR >OGLUM10G06460.1 pep chromosome:ALNU02000000:10:9282167:9289276:1 gene:OGLUM10G06460 transcript:OGLUM10G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFDRWEKDPFFPAAEEVQESADRMESVYRRWLQERKEVGGGAVDAAAERGGGGWGRAAGDLRRELHTALGTAKWQLDELQRAIKSNYSVVLAGKDTRARHDDFVSAIGHRILEVENFLKESNTTEGRGPLSWVRLDEGEREELAHFLSAGTYKKRDEMVTITSAGDIEVGSNARRVKKGVSIDSSNDSSGSAESGLVSTKEETAPGHRRTASAYADIGSWTITIPDEANGINEESFVDLPKVPLVKSPSSSVLMIAFQSKPRMKAKNGAKKWAGADQQDVVESLPLTNSHSCQGFDGLFQRSKSCLSTSDDEDTCNKKLYGCLGAFRRLLQRSQYQVQYGRPVQLLILAIAVLLVLIYAIKTIL >OGLUM10G06470.1 pep chromosome:ALNU02000000:10:9286736:9289020:-1 gene:OGLUM10G06470 transcript:OGLUM10G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B988] MRASRPVVHPVEAPPPEALAAAAAAVAVEAGVGGGGGAAAHGGENAQPRGVRMKDPPGAPGTPGGLGLRLVQAFFAAAALAVMASTDDFPSVSAFCYLVAAAVLQCLWSLSQAIVDIYALLVKRSLRNPQAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICANNHCASFETATAMAFISWFALAPSCVLNFWSMASR >OGLUM10G06480.1 pep chromosome:ALNU02000000:10:9292477:9299443:-1 gene:OGLUM10G06480 transcript:OGLUM10G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSAGLATESLPAATCPAKKDAYAAAASPESETKLAAGDERAPLVRTTRISTTTIKLYRLTIFVRIAIFVLFFKWRITYAARAISSTDAGGIGMSKAATFWTASIAGELWFAFMWVLDQLPKTMPVRRAVDVTALDDDTLLPAMDVFVTTADPDKEPPLATANTVLSILAAGYPAGKVTCYVSDDAGAEVTRGAVVEAARFAALWVPFCRKHGVEPRNPEVYFNGGEGGGGGGKARVVARGSYKGRAWPELVRDRRRVRREYEEMRLRIDALQAANARRRRRGAADDHAGVVQVLIDSAGSAPQLGVADGSKLIDLASVDVRLPALVYVCREKRRGRAHHRKAGAMNALLRASAMLSNAPFILNLDCDHYVNNSQALRAGICFMIERRGGGGGGAEDAGDVAFVQFPQRFDGVDPGDRYANHNRVFFDCTELGLDGLQGPIYVGTGCLFRRVALYGVDPPRWRSPGGGVAADPAKFGESAPFLASVRAEQSHSRDDGDAIAEASALVSCAYEDGTAWGRDVGWVYGTVTEDVATGFCMHRRGWRSAYYAAAPDAFRGTAPINLADRLHQVLRWAAGSLEIFFSRNNALLAGGRRRLHPLQRAAYLNTTVYPFTSLFLIAYCLFPAIPLIAGGGGWNAAPTPTYVAFLAALMVTLAAVAVLETRWSGIALGEWWRNEQFWMVSATSAYLAAVAQVALKVATGKEISFKLTSKHLASSATPVAGKDRQYAELYAVRWTALMAPTAAALAVNVASMAAAGGGGRWWWWDAPSAAAAAALPVAFNVWVVVHLYPFALGLMGRRSKAVRPILFLFAVVAYLAVRFLCLLLQFHTA >OGLUM10G06490.1 pep chromosome:ALNU02000000:10:9302229:9304505:-1 gene:OGLUM10G06490 transcript:OGLUM10G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGNIKTIPIYLRNAIKKSHQGSKYDIESSKFQAAGHTWSFFFHLNASKYSGNGYSTVCLKLHAADPGTAAAAAAGGIRTNVRFRMVSLQPCVPPTNEVRSYATSFHGTGKAEYRCFTFIRHDVLASQWSSTDDEFAIHCDVAVVEEAAAAATMSTELGPDDLDGLMMICKCSVDNDDEPCKSGTRQNLKEAFRKHFLGCFGPNPSPARYLPPSPARYLPLFGLSLFDLAHK >OGLUM10G06500.1 pep chromosome:ALNU02000000:10:9307266:9309848:-1 gene:OGLUM10G06500 transcript:OGLUM10G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMALAEGAQAAAVAEDTKAAAVMAMAAVAACGGDGAVLGGDGGDVPQIRASRLVLEGGRLATAVLLTVGLAAVGDDDGDRACGGPRSSASASDGGGGHGGDVELAAWWRRVAAQRFVEPTAGVGGSGDSGRGCGGGGDVGGCGSLTIAMEAGKESGVR >OGLUM10G06510.1 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGLYHVIMAKERAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACDTTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVTIAMTVLVCLVLVIAMIFLRNVWGNASSSEEEVVAYIASMLPVLAVSFFIDGINGALSGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.2 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGLYHVIMAKERAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACDTTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVTIAMTVLVCLVLVIAMIFLRNVWGNASSSEEEVVAYIASMLPVLAVSFFIDGINGALSGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.3 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEVIMAKERAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACDTTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVTIAMTVLVCLVLVIAMIFLRNVWGNASSSEEEVVAYIASMLPVLAVSFFIDGINGALSGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.4 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHAGMGSKGAALSGAVTYWTNLAVLALYVRLSGACDTTWTGFSIDAFRELRRFTELAVPSAMMVCLEWWSFEILVLLSGILPNPQLETSVLSICLSTSSLLFMVPRGIGSSLSTRVSNELGGGHPRAARMAARVTIAMTVLVCLVLVIAMIFLRNVWGNASSSEEEVVAYIASMLPVLAVSFFIDGINGALSGCGKQNIGAHVNLAAFYLVGIPTAVLLAFVLHLNGEGLWLGLVCGSISKVGMLLFITLRTDWGKEVRKPSPYKHFGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.5 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEVIMAKERVFGSSYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.6 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06510.7 pep chromosome:ALNU02000000:10:9321745:9335190:-1 gene:OGLUM10G06510 transcript:OGLUM10G06510.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B993] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGYHGKRKGLQFKSSNSMKNSRNVGVVFSNEDVFNVIGAMCAATWKSERRTRQGPTPYAHRGDKSMLKRLIHGFYAAAIVRLPVDEMPALLPAILDSNLYFGPLDPISNIVTNVVSRLPPAANVMASAVAESPCGVLDQLLPLHAHPRGVALPARHRGGSCHRPPHRGRLLHAHIRRRLGHYQDHPPLHYGGPRATPTWTALPWPCLHHCRNSG >OGLUM10G06520.1 pep chromosome:ALNU02000000:10:9342251:9342816:-1 gene:OGLUM10G06520 transcript:OGLUM10G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCVRQHGRVRGGAGKAQLRMHSDDKPALLPAMLNGGLYFGPLDPVSNIITNAVGHLPPPANVMAQWSLKALVGFLICYFYYMPSLEALCYLCSTEADILTIVHLIEVDCCTRTFGVGSGTTKTSPSCATGGAGHANMDSLTTAMLTLSLRNG >OGLUM10G06530.1 pep chromosome:ALNU02000000:10:9343481:9356335:-1 gene:OGLUM10G06530 transcript:OGLUM10G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGLYHVIMAKERVFGSSYHGERKGLRFKYSNSMKNSRNVGVVFCNEDVLNAVL >OGLUM10G06530.2 pep chromosome:ALNU02000000:10:9343481:9356335:-1 gene:OGLUM10G06530 transcript:OGLUM10G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEGLWLGIVCGSISKVGMLLFITLHTDWGKEVQKASPCKHFGYHGERKGLRFKYSNSMKNSRNVGVVFCNEDVLNAVL >OGLUM10G06530.3 pep chromosome:ALNU02000000:10:9343481:9356335:-1 gene:OGLUM10G06530 transcript:OGLUM10G06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGVLTGCGKQKIGAHVNLGAFYLVGIPTAVLLAFVLHLNGEVIMAKERVFGSSYHGERKGLRFKYSNSMKNSRNVGVVFCNEDVLNAVL >OGLUM10G06530.4 pep chromosome:ALNU02000000:10:9343481:9356335:-1 gene:OGLUM10G06530 transcript:OGLUM10G06530.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A0] MDEPTVEEPLLAVRRGGDGEDGAMASTAAEVKRLLRLAGPLMAGFVLRNSVQMVSVMFVGHLGELQLAGSSLAASLANVTGFSFLFGMSSALDTLCGQAYGAGQHRLLGVYAQRAMLVLAAAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWMIPSLAAYVPLACALRFLQAQGIVVPVMASSGVAAVAHVAVCWALVHKAGMGSKGAALSGAVTYWTNFAVLAFYARLSGACKATWTGFSMDAFRELRRFTELAVPSAMMVCLEWSSFEILVLLSGILPNPQLETAVLSISLSTASLLIMVPRGIGSSLSTRVSNELGGGHPRAARMAARVATAMTVLVCLVLVIAMILLRNVWGYTYSSEEEVVAYIASMLPILAVSFFVDGINGALSGYHGERKGLRFKYSNSMKNSRNVGVVFCNEDVLNAVL >OGLUM10G06540.1 pep chromosome:ALNU02000000:10:9343840:9346012:1 gene:OGLUM10G06540 transcript:OGLUM10G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSIFSIVRCMRKEEAAVRATCQEIAVMDIDLRTRPVADPSSFTPMKRFAGFPIRAHTFPKFSLRSNINLLHGAVWTVEDEAVLPGRWSGGRKASAQLLSQNQCWDKASKQKLLRLLAHAPSSATICPPPIQLEQQHLVFSFVQSICMCTPESL >OGLUM10G06540.2 pep chromosome:ALNU02000000:10:9343839:9345953:1 gene:OGLUM10G06540 transcript:OGLUM10G06540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSIFSIVRCMRKEEAAVRATCQEIAVMDIDLRTRPVADPSSFTPMKRFPDQSTHVSQVLTEVSQIQHQPSPRSRVDCGGRGSAAWQMEWREESQRSAPFSEPAGTRPPNKMFDCRLGPNKTSTVVGTCAIVGYDLSSADPIGAATSSFFICTINMYVYPRVFVNMKCSCNLFLAW >OGLUM10G06540.3 pep chromosome:ALNU02000000:10:9343839:9345953:1 gene:OGLUM10G06540 transcript:OGLUM10G06540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSIFSIVRCMRKEEAAVRATCQEIAVMDIDLRTRPVADPSSFTPMKRFPDQSTHVSQVLTEAGTRPPNKMFDCRLGPNKTSTVVGTCAIVGYDLSSADPIGAATSSFFICTINMYVYPRVFVNMKCSCNLFLAW >OGLUM10G06550.1 pep chromosome:ALNU02000000:10:9372928:9376367:1 gene:OGLUM10G06550 transcript:OGLUM10G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A7] MDKPAAEEEAPLLGALANHKRRRWRRAACCRTSSRSMVPVMFVGRRLPRLVAHQCHRLPPSPKYWSNTLLAYKKCLISAIFLVDFDHEFGAFGAGRHCLLGVHKQRAMAVLALACVPVRRVRQGQRQRDPPVCVGQDADIAAEAGANARWTILSLVPYVPLVCHILFLQAQSVVVPVMASFAATAICHVAVCWALVFKAPMGSRGPALSIAILYCMSASTSPCLLSMSGSQLQGDMDGVLHGCVQGWPAVGRRSSGRGGLTAGRRCGDGDVVADTREKGRAAAGRGGVSGRRARLLELLLLVPDCSHNAADADYLTALPNPYLIAKLSAYRPHHLPPPRSTITRKLGERLATLTLADTVELVCMLNSVAVASALANSTAGNSNIAAPTHARGDGRHRDGEDLWRQQRRSALRYIHAAISTGHATMMLSTSLLKKRTQLSEVAYHHTFMEWWSFELLVLLCGLLPNPKLETSSLNTGSLMFMVPFGLCTAISTRVSNELGAGKP >OGLUM10G06560.1 pep chromosome:ALNU02000000:10:9378938:9381615:1 gene:OGLUM10G06560 transcript:OGLUM10G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9A8] MEKPAASVEEPLLLGAGEKKGESAAAAELKRLLRLAGPLVASGVLRNVVQMVSVMFVGHLGELPLAGASLATSLANVTGFSLLFGMASALDTLCGQAYGARQHHLLGVYKQRAMLVLAVAAVPIALVWASAGEILLLFGQDPAIAAEAGAYARWLIPSLVPFVPLVCHIRFLQAQSAVLPVMASCGVTAASHVAVCWALVRKAGMGSRGAALANAVSYGVNLTIMSLYVRLSRSCEKTWTGFSMEAFRELRQYAELAIPAAMMVCLEWWSFEFLVMLSGLLPNPKLETSVLSICLNTGALLVMVPIGLSTAISTRVSNELGAGNPQAAKLVTRVVICMAMTEGSVVAFTMILLRNSWGHMYSDEAEVVTYIARMIPVLAISFFIDGMHSALSGPLAWHRLREPQQAYLALLDHNEYKLGEGINQGERIGVQFISSCSMKKNNASEFHDMVQLLL >OGLUM10G06570.1 pep chromosome:ALNU02000000:10:9387673:9389983:-1 gene:OGLUM10G06570 transcript:OGLUM10G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSYEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >OGLUM10G06570.2 pep chromosome:ALNU02000000:10:9387675:9389885:-1 gene:OGLUM10G06570 transcript:OGLUM10G06570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVHEPARLRGGEAAAAAGRADRGQLHPAVRGEHGVRHTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICLNTGALLYMVPLGLCSSISTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSYEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >OGLUM10G06570.3 pep chromosome:ALNU02000000:10:9387673:9389983:-1 gene:OGLUM10G06570 transcript:OGLUM10G06570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATAMDTLCGQAYGARQYHLLGVYKQRAMVVLAAACVPIALVWASAGRILLLLGQDAGIAAEAGAYARWMLPSLAAYVPLQCHIRFLQTQTVVLPVTASSAATALLHPLVCWLLVFRAGMGSKGAALANAISYAVNLAILAVYVRASNTCKGRWSGFSGEAFKELRQFAALAMPSAMMICLEWWSFEILVLLSGLLPNPQLETSVLSICTRVSNEIGAGQPQAAKRATRVVMYMALSEGLVISFTMFLLRNVWGYMYSYEQEVVTYIARMLPILGISFFIDGLHSSLSGVLTGCGKQKIGAAVNLGAFYLVGIPVAVLLAFYLHLNGMGLWLGIVCGSIIKLLVLIIVSCCIDWEKEAILAKDRVFSSSLPVA >OGLUM10G06580.1 pep chromosome:ALNU02000000:10:9440692:9442258:-1 gene:OGLUM10G06580 transcript:OGLUM10G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCIAVYLDYFLLINNHAEKVMICLAYVPWQALLHAYLHGRPKARMELGLLLEPGPGASNADLAAADMSKVLQVKITAEQAEMASLVDKPFTNKPFGLFSGEFARRHGFHLLGTTSTWLLDALQRHSGQPVAT >OGLUM10G06590.1 pep chromosome:ALNU02000000:10:9447694:9453283:1 gene:OGLUM10G06590 transcript:OGLUM10G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSSSSSSLPSCSAARVAVTPAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDTDTDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQISYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMCSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHVKLCSLQACILQRN >OGLUM10G06590.2 pep chromosome:ALNU02000000:10:9447694:9452810:1 gene:OGLUM10G06590 transcript:OGLUM10G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAQEPRHHPSRPPLAPAAAHAPNSAAAACSTPRRGKTSPHASSRHASSSSSSSSLPSCSAARVAVTPAPHATATAPVTMRMRSLSVSFQGESFVYETPRAAAPRRAPAAAAARPRPTTRRRGEAENERPSPPPASKATDALARSLDCSLHRKESILAAVRLLRSSISPGNAAAAAAPDADAATDTDTDAAPPSIPTQTRFWQETNSRLRRLPESGLPHPISTSRKPFLDGPISPTLLETSPANAPSIISFATAVRRANKGEDKIEEAHRLRLLDNRHLQWRCLNAHADAAAVARSCAAEKALHSAWKDISTLRDNVSFKRSKLQLQKQKLKLFGILKGQISYLEEWSDVENNHSSSMSEAIKALEASTIRLPIVCGAKADAQGVKEVVSSALTKMDTMASSMCSLLSKVEGMSSMVFELAKVVSQEQMLLDQSRDLFSAVAVMHSS >OGLUM10G06600.1 pep chromosome:ALNU02000000:10:9454282:9454533:-1 gene:OGLUM10G06600 transcript:OGLUM10G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLDMHGRLVLHQVGGEVDRTDIVTVNQGGLRRWRVELMEELVELGDFSDLVGNSAVCPLTHY >OGLUM10G06610.1 pep chromosome:ALNU02000000:10:9460282:9460860:-1 gene:OGLUM10G06610 transcript:OGLUM10G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKNKRCNRCGTCGRGGKDWGYTEPIGGEVTAGAEDGRVRERAREGTTGKRTHQLGGHSLSTRERGAGRKKGHWPAPAVAAAQGCGYGLEVVPSALGSKGDGKLPRLEGEWRQRGETWWHGGEVNAGDGDVDFSTDVVGIRVWGRWSWMGSEQDGRGRLDKDGREDHVDGLITAARGHRTTHVQKKTVAL >OGLUM10G06620.1 pep chromosome:ALNU02000000:10:9460509:9463392:1 gene:OGLUM10G06620 transcript:OGLUM10G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSRSSFSSRQRVASQLMGALPGGTLSRPLANPSIFSASGDLASYRLSVSPIFASSSAAQREQNGCWLATAAKRGGTS >OGLUM10G06620.2 pep chromosome:ALNU02000000:10:9460509:9462904:1 gene:OGLUM10G06620 transcript:OGLUM10G06620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSRSSFSSRQRVASQLMGALPGGTLSRPLANPSIFSASGDLASYRLSVSPIFASSSAAPT >OGLUM10G06630.1 pep chromosome:ALNU02000000:10:9480037:9480795:1 gene:OGLUM10G06630 transcript:OGLUM10G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARSAVCFLLVLVLLGTPTASAAICEHFSTKDLFCIKYLCRGFCHDEAVNLRGKHARVMRAWCHGRRCNCNVCH >OGLUM10G06640.1 pep chromosome:ALNU02000000:10:9482422:9483575:1 gene:OGLUM10G06640 transcript:OGLUM10G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFAGVCFLLVLLLLANPTSADELDPGTCGTEVDPLDPCIQTLCKWNCELVAMKRGGHLTSYECGDRECKCDFCASSIGADEHGLHV >OGLUM10G06650.1 pep chromosome:ALNU02000000:10:9506405:9512165:1 gene:OGLUM10G06650 transcript:OGLUM10G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G14835) TAIR;Acc:AT2G14835] MVVCKCRKATRVYCFVHKVPVCGECICFPEHQLKIMLNGLLILIMTGHNTVHLAILFWKLEAKKLHDWVACIWPPSTIKDTGSRLHSKLKEAIAQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRVSISGDRESNGANIINSAIDANVQSGGMYSSATVGSGTPSHVEPEIVEIDGPSPITTQFPEQESNFIRSPSPHGPSAMTRKGANYVERQNSEISYYADDEDANRKKYTKRGTFRHKFLRMLLPFWSSALPTLPVTAPPRKESDAPEGRSRHQKSSRMDPTKILLALAIMRW >OGLUM10G06660.1 pep chromosome:ALNU02000000:10:9514834:9520752:-1 gene:OGLUM10G06660 transcript:OGLUM10G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCQSSRPTAAVAAVVAAVSMIIVLVSGTAIPSAAVEHTFVVSQVNMTHLCKEMAFTVVNGQLPGPTIEVTEGDSVTVHVVNKSPYNLTIHWHGVYQLLNCWNDGVPMITQRPIQPNHNFTYRFDVAGQEGTLWWHAHDAFLRGTVHGALIIRPRHGAASYPFPRPHREVPIIIGEWWEKDLPQVDRNMTNGYFDDYSSGSTINGKLGDLFNCSGVLEDGYVLDVEPGKTYLLRIINAALFSEYFLKIAGHRFTVVASDANYLTPYSTDVVVIAPGETLDAIVVADAPPSGRYYIAAQPIQAPPPDTQTPEYATRGTLQYSSNSRNSSAAVMPEMPHQHDTMRSFYFRGNLTAGVRLHRHGRRHVPARADERLFVTLGLGSVCRHGGVSCKRGGNLQESIVVANVNNVSFHIPAAAATPILEAHYYHRLHAGAGAGDEQVEEEELADRPPRAYNYTDQALTPFGPEEMRLEATSRAVVTRRFRHGATVDVVFQSTAMLQGDSNPMHLHGHDVFLLAQGIGIYDAARDEGKFNLVNPPRKNTVLVPNLGWAAVRFVADNPGAWLMHCHFEFHLSMGMAAVFIVEDGPTVDTSLPPPPEDF >OGLUM10G06670.1 pep chromosome:ALNU02000000:10:9535815:9542672:-1 gene:OGLUM10G06670 transcript:OGLUM10G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPAGLPLPLVVAVALAAVAVMVVEARFVVEKNSLMVTSPTSLRGRHDSAIGNFGVPQYGGSMAGTVVYPKDNADACEAYDGDRHFRAKPGALPNFLLIDRGNCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAIKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVVSKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFQVAKESKKPWIWWDYVTDFHIRCPMKDKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDEMETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGNGKCQCPAGFRGDGVKKCEDINECKEKKACQCPECSCRDTWGDYECTCSGDLLYIKEHDTCISKTAVQGKAAWAAVWGILIVLVVVAAGSYVVYKYRLRSYMDSEIRAIMAQYMPLDSQGEVPNHTNDEEHH >OGLUM10G06680.1 pep chromosome:ALNU02000000:10:9547755:9548018:-1 gene:OGLUM10G06680 transcript:OGLUM10G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALLLAPVVVLCSRPTAFGAQRRRRRRWGRRLQDGEVRRRLQLRREGEEEELVKKLYRANSGDDDRPRYRSSGGPSPVGEVPIMH >OGLUM10G06690.1 pep chromosome:ALNU02000000:10:9567500:9571155:-1 gene:OGLUM10G06690 transcript:OGLUM10G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVAQALLLLLVVVSNSLLPSLGLAGQEAHEVAMAGLHDQQPPSPAAVAARVSVAHADLPMVASSVLGAESWLRAHVLAHYPSNHVTAIAVAVACARGGSRHGQDLRASRAAKNLHHALVRWGLVDEIKIDASSAPCAEEVGGGALKRRLYGMHHLPPPLPPTSVASPPPPGVLLSFAPNAPPEVVPSVPPAAAPPSTPVVVVPAPATSPPMSMPATPPEAAAGGMAPCSAPPTAAMSPQPWSGEGGNGGGQWCVAKPTVPLDRLQEAMDYACSQDGVDCQEISGGGSCFYPDSIAAHASYAFNSYWQKMKHIGGSCSFGGTAVLINSDPSSLSEAKIWIS >OGLUM10G06700.1 pep chromosome:ALNU02000000:10:9596205:9596859:1 gene:OGLUM10G06700 transcript:OGLUM10G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGGSVTPTTVSGGGAARITQGPRANCATCFGGRGNSEALTTVRIEAWGARSSTSTLGLVLPGFTTNGSTSGPIDRKRPHGRCKCLFPFLFFLFFFSSSSSSRFTESREKGEEREKGALVLRWSRGCDVGGKTCS >OGLUM10G06710.1 pep chromosome:ALNU02000000:10:9596891:9597522:-1 gene:OGLUM10G06710 transcript:OGLUM10G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSSANRLEQPTSAAYKYRRAVPFSPTHHQSLELFLSLLPCPAAAYRRPISFTIVSQPKPSRHRLCLGEMNPFYSFPFSFIHHHKPSPPPSMPAMVELLPSPFRPSHSPP >OGLUM10G06720.1 pep chromosome:ALNU02000000:10:9641827:9645164:-1 gene:OGLUM10G06720 transcript:OGLUM10G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9C8] MCRDVDTVPSPELRPLPPLRRGASALTQGSSDEDAAYYTPGQRSAGSGGGGGGEGGGTWSEASASSPRTTTASRRSLPSLTSDFFPTTPAAAPVPAPAAAAPPPAPPAPRSRRTPPGTRFSAGSGAEMNKQMASPPSNPPPAPPPPPPPPSRFNNTTPKPPPPPPPPEPPTGPVSARRLLRPLPAEGPSIVIPRAPAMAVTKDNDATAATMSVRTRGEAAGDEPRPKLKPLHWDKVRASSDRDMVWDRLKLDEDMIEVLFMNNSTAVAPRMDNPKKVGMPQFKQEERVLDPKKAQNIAILLRALNVTLEETLVKMAPTKEEELKLRDFTGDLSKLGSAERFLKAVLDIPFAFKRVDVMLYRANFENEVNYLRKSFQTLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGADGKTTLLHFVVQEIVRSEDAKSEKAPENHITNIAKVEQLRRQGLKVVSGLSTELGNVKRAATMDFDVLHGYVSKLEAGLGKIKSVLQLEKQCSQGVNFFATMREFLKEAEQEIEQVRHDEKAALGRVKEITEYFHGNAVKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTFVGSARSFRISAANALPILNMQGQKGGRESSSDGDSPSM >OGLUM10G06730.1 pep chromosome:ALNU02000000:10:9653500:9653896:-1 gene:OGLUM10G06730 transcript:OGLUM10G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVGPMNATAVAVGPGSAGRQIDGWVPRAALEQLPLAGVTWRVSRKIISLKLKKEDILLSKGTVGAAKKLFFFPEDNTFGLVICDILVCV >OGLUM10G06740.1 pep chromosome:ALNU02000000:10:9663397:9663789:1 gene:OGLUM10G06740 transcript:OGLUM10G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEKSESASEYATRRRDSGRSSGRGKSSPQRRTQSDEPMRVSATADEAAAHRAVASSGVLDRQDRAGERGDRRCHGSEDGSMDEVSRCLQQRERAAMVAYLDFGSHLAHDVSGIWWWQNAGGRRLEAWN >OGLUM10G06750.1 pep chromosome:ALNU02000000:10:9688914:9691097:-1 gene:OGLUM10G06750 transcript:OGLUM10G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNFNPVNDSDWLIRAAMLLSFTLQVILVFVAPTRKRSCHPLPHLAVWSSYLVAGWVAVVGLGLLLYSLSISGSNNGSSSIFAFWTPFLLLHLGGPDTITAYSLDDNELWLRHLAGMLFVVFVALVVFFSSVTSNPMVTATVLVFVAGVIKYGERIYSLYSGSVRGFRDKMLGEPNPGPNYAKLMTEFESKKNAGLMVEIIVVDGEHKEALEQAEVMKNGRKSVETMAYELFAMFRVLFVNLVLSYKERRISQAYFLDRGDVMTAAAAFEVVEVELGFLYDMAYTKAAVSSTRRGCLLRFVATACLVVAVVLFVLMDKAGVRHVDRGVTYVLLLGGVAIDVAGYLMLLSSDWTLAFLDGKPKLAWLARVARAVRLPTRRWSERITKMNLIRYSLGKPEEDAGRRCWCCRWTTIPRVVRCLAWVADMVGVREILDDFFFIRHKPVSCRKIKDSNKGKKSIDVLNYVFDGLRKTANEVRYSSGNEMKEVCDYRGGEGIINELVGDIQLMELNVNDVVRDSVRREFDESLLLWNVATDLCSHRRHDIEVPRNGDIQGLMSISETLSEYMLYLLARRPEMLPAATATAAGIGLLRYRDTRAEARRIFRSAAAWDPTHHDAQRMLLEVNTSKKPAVVKGDESKSVLFDACILAKALLQLGDDTMWRVVAGVWREMLVHAAGRCHGSTHVRQLSRGGELITMVWFLMAHMGIGDMYRTQVGDANAKLVVLDQ >OGLUM10G06760.1 pep chromosome:ALNU02000000:10:9740866:9744418:-1 gene:OGLUM10G06760 transcript:OGLUM10G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVVAPILALSLLLFAVIVQGCTPNCSGEHVVPTPPVAVPTPLHHGGHGEHGRCPINALKLRVCANVLNRLVDVKIGHGPDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSLILNKCGKSCPHHIKLPPSPPLPARAEVFPSLFWPPLKVPLLATTFLGCKEKMNTLLFAVIVQGCTPNCSGEQVVPTPPIAVPTPSHHGGHGEHGRCPINTLKLRVCANVLNGLVDAKIGHGTDDCCSLLSGIADLDAAVCLCTAVKANVLGIRVNLPVDLSIMLNKCGKTCPSDFTC >OGLUM10G06770.1 pep chromosome:ALNU02000000:10:9777969:9782455:-1 gene:OGLUM10G06770 transcript:OGLUM10G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVAPFIALSLLLLAVIANGCTPNCPGEQVVPTPTHHGKNGGHGRCPMDALKLRVCANVLKGLVDVEIGHGPDDCCSLLSGIADIDAAVCLCTAVKANVLGIRVNLPVNLSLILNKCGKTCPANPVTGTGRKDDVAILRGMEGEGTVVGACGWMWGWRQRQRRPSLGMEVESAVVGARGLRWRSIEVGGRGGGDSDGRSWMEAMRTRARGGGGGGRGGDRSSGLEAKAGALDPISVFFIGFTNGPVTINSMASTVVAPFLALSLFLFAVVAHGCTPNCPGEQAVPATPVAVPVQSHHGQHDEHGRCPINALKLRVCINVLNGLVDMKIKINRSN >OGLUM10G06770.2 pep chromosome:ALNU02000000:10:9775805:9777959:-1 gene:OGLUM10G06770 transcript:OGLUM10G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKMKYKIMASKVVASFLALSLLLFAVTAHGCTPNCSSEKVIPTPPEAVPAPLHPGGHSDHGRCPINALKLRVCANIGHGPDDCCSLLSGIADLDAAICLCTAVKANVLGIRVNLPVDLGLILNKCGKTYPTDFTC >OGLUM10G06790.1 pep chromosome:ALNU02000000:10:9836473:9840256:1 gene:OGLUM10G06790 transcript:OGLUM10G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPMPLPHLTVLLVLFATSAAQAAADRSSSSSSSCGGGERCGDLLLPFPFHLNSSCVSSTTNSSSRFRLSCDTTNATLTLPLGSATFRVLGFLPSGSLLLDYAPAASPSPSSPCDPAYAAFSRPSSPAAALDAAAAFLAVTPANVLRLYACEDSSLCRAGCEDVATCGRAAAGAKSGCCYPLSDGSVWKPGDGLGAFAEFGCRGFSSWVKNRSAAAPGVVRGIEVEWAVPKGSEMAACADGAVAVNATAVRGGVRCACAAGLVGDGFAHGTGCSKGTSCSNSGQASDGRECCQGRFCSKKSVALADF >OGLUM10G06800.1 pep chromosome:ALNU02000000:10:9865541:9869143:1 gene:OGLUM10G06800 transcript:OGLUM10G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLVLSLICISLCQGWVVQSLEYDHTASIECLRDPMKPLYNGGIIQNGEFNSGLMGWSTHRDIKAGLSSSPSGNKFAVVQRADSLSGAAVPSRSVYQKIQLQGDTHYSLSAWLQVSAGAAHVKAFVKTPNGERVVAGSVSAQSGCWSMLKGGMTAYSSGPGQIFFESDAPVDIWLDSVSLQPFTFDEWDAHRQQSAAKVRRSTVRVVVRGADGAPMANATVIVELLRAGFPFGNTLTKEILDLPAYEKWFTSRFTVATFENEMKWYSTEWTQNNEDYRVADAMLKLAQKYNIKLKAAMQKRLKSVVTRYAGKVIHWDVVNENLHFNFFETKLGPNASPMIYNQVGALDKNAILFMNEFNTLEQPGDPNPVPSKYVAKMKQIQSYPGNSALKLGVGLESHFSTPNIPYMRSALDTLAQLKLPMWLTEVKFLEQVLREGYAHPSVNGMIMWAAWHAKGCYVMCLTDNSFKNLPVGTLVDKLIAEWKTHKTAATTGADGAVELDLPHGDYNLTVSHPSLGTNATVRAMTVDAASLASEHLINIKV >OGLUM10G06810.1 pep chromosome:ALNU02000000:10:9905166:9908519:-1 gene:OGLUM10G06810 transcript:OGLUM10G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAADAAAVVIQHPSSSSGNGGGGGGGRVERAYGAVSAAAVMAANPGHYVAEVVRPVATAPATAASASAPAARRRLKLLRPDDTLLLGGVYHLVTFEDVLKQFVSKRNATMSRATIAAAAADDDEDDDGHRRQGHSGGEAAAAAPAKVAAQSHQENPSSPSPTDKARPEPEPEPEPDLVAAAMALGGRMSLSRHGQWRPALPSIAEGSVLCF >OGLUM10G06820.1 pep chromosome:ALNU02000000:10:9931880:9932254:1 gene:OGLUM10G06820 transcript:OGLUM10G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVWTLRFFKHDRGPGAMVVEEPMDRDLVCFFNMVEIIEKLGYQKSDEMFFAQPGCYCRTSMTRIKGDSNVMDMLRENDSIKKVDIHIFDRKVASSSALEEDVVADATDNVEANKNRDLEEK >OGLUM10G06830.1 pep chromosome:ALNU02000000:10:9946905:9955119:1 gene:OGLUM10G06830 transcript:OGLUM10G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9E0] MPLAFRFSRILNPRFNPSTNLGLALASSFSASSRPEPPELPGARGGGGGFPATIREGRAEIFADDSNSVFYNKAQVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEELGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEVAIEACKKNIHHNGSVSSSKVVPHLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSSIQAMSCAYSAYAKISAIMTSVSEELPKAPLFVSLHNLCAILKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTKILSQEPKLQAKFSHVPGGLAVQKSPRFVPNPEKYWGPKTKAGRQPKRLSVDNL >OGLUM10G06830.2 pep chromosome:ALNU02000000:10:9946905:9954589:1 gene:OGLUM10G06830 transcript:OGLUM10G06830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9E0] MPLAFRFSRILNPRFNPSTNLGLALASSFSASSRPEPPELPGARGGGGGFPATIREGRAEIFADDSNSVFYNKAQVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEELGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEVAIEACKKNIHHNGSVSSSKVVPHLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSSIQAMSCAYSAYAKISAIMTSVSEELPKAPLFVSLHNLCAILKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTKILSQEPKLQAKFSHVPGGLAVQKSPRFVPNPEKYWGPKTKAGRQPKRLSVDNL >OGLUM10G06830.3 pep chromosome:ALNU02000000:10:9946905:9955119:1 gene:OGLUM10G06830 transcript:OGLUM10G06830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9E0] MPLAFRFSRILNPRFNPSTNLGLALASSFSASSRPEPPELPGARGGGGGFPATIREGRAEIFADDSNSVFYNKAQVNNRDLSIAVLRSFISRRREEHDIQLRRGSHAELPPKHHAEELGHIRGSSEDKALSEEISYRAPKVLEALAASGLRAIRYALEVDGIGEVIAVDNNEVAIEACKKNIHHNGSVSSSKVVPHLADARVYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGILMCSATDMAVLAGGNAEVCFSKYGSYPLKGKHCHEMALRILLACIESHAIRHKRYIVPIISVHMDFYIRDKRNIALPNFSPLVPQECAECGHNFVMGGPIWSDPMHDKEWAASILSSIQAMSCAYSAYAKISAIMTSVSEELPKAPLFVSLHNLCAILKCTNPTIATFQSAIRNAGYQISGSHVDPLALKTDAPMSVIWDIMRCWVKIHAVKYRPGNHPGTKILSQEPKLQIVILGPVWAFRWIY >OGLUM10G06840.1 pep chromosome:ALNU02000000:10:9960008:9962090:1 gene:OGLUM10G06840 transcript:OGLUM10G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCAFPASIDGDLSAMVLAAMVVKLLITKGTVVGGEVLDEAGLRKPSLFANAAPAGKRSAWVQPIMLGLAWTSSLTSLVINMKEPKVMRVVEGNVVVVMKLYKRLGHVAPRFEPQQDSRLEKRPRIRTPPAAIR >OGLUM10G06850.1 pep chromosome:ALNU02000000:10:9962223:9964642:1 gene:OGLUM10G06850 transcript:OGLUM10G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFELDVPLGALWHRPALPRSAPPTPASSYDDEARRGKRRIWGVTSADKERGRRRPGLSSTSALVPEALSSLACARPRCAPALELTGQRRLGVRTRGGGGGSICPARRRSTPSPDQRGAARLDTTLLVARLLDRLCTNRLLDSFHAASAAHTAFSTASSTTAASSRFTSSPTQNEALPGEGRGGGAMEIRGEKRCCRGALRRISEAETTTEMVVAEEEVDDEVMDDYLFKSITKESLPHLCELFEKIEQQYATLERQEFLLIREKEQTNELKGELDKQREMSFWYGFMRKPKNLL >OGLUM10G06860.1 pep chromosome:ALNU02000000:10:9974242:9977043:1 gene:OGLUM10G06860 transcript:OGLUM10G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILLAVKKIGVALGNETINQATSYFKKFVTQLTELQGSMGRIKRELRMMHEFLSRMDVRNRNNQTYEIWVEEVRMLVHRIEDIVDDYLHLVGHKQDTGWGTYLKKGFKRPNVLFSLNRIASSIKDAEANLVHLFQAKERWVWMAGGRATGSKSSSYIIETSRHLANISRSLDEDLVGVDENIRKLHEWLTSDESQREVIALHGMGGLGKTALAANVYRNEREKFECHAWVSISQTYSIKDVLKCLVTELDLKKKIQGNIGDMDTATLQNELKKFLMDQKYLIVLDDVWVPGAVNDLFSVFVSNLKGSRILVTTRIDGVAHLAFPDKRITLEPLSEKKSWELFCKTAFPRDKNHECPTKLTELAQQIVSKCEGLPLAIVSVGRLLFVRDKTEEEFRRIQNQLDWELINNPSLEHVRNILYLSYIYLPTHLKSCFLYCSMFPEDYLITRKKLIRWWVAEGFVEERGGNTMEEVAEEYLKELVHRNMLQLIERNGFGRIKSFRMHDIVRELAIDLCRKEHFGRSYNCENKHGKFLEGKDERRVVIHKLDKHINQAILNECHSLRCLITLDEATPPSPCLLHLVADKCRYMSVLELTGLPIEKVPDAIGDLFNLRHLGLRGSKVKHLPNSIEKLSNLLTLDLNETEIQEVPNGIVKLKKLRHLFVEKMNELYGREFRPRTGVHIHKGLEKLNELQTLQGLEVQDEVSLRRLGVLRQMRSIRIWGVKESYCESLCESLQQMEFLSFLSVNASGKEEVLKLDGLNPLPPNLRKLNLRGILAEAGMLLGSPAAGDQNNHSLYSVQISWSQLIEDPLPSLSRWSSLTDLMLTRAYVGEQFVFHQGWFPNLKELVLRDMPDLKRLEIHDGAMTSLQDLTLVNLSGLTEIPSGIELLSTLKNLGFWEITQDFLAALRQCHRIHHMQWWYSVRGETDGAL >OGLUM10G06870.1 pep chromosome:ALNU02000000:10:10012866:10017279:1 gene:OGLUM10G06870 transcript:OGLUM10G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAAVGRGPEGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDLASDDAQSPKPQRSRSRQHRGFQTKSFSRSMSCDSHSKASFSSSSRAHTVIVGFVQAAKRLKTNVKVA >OGLUM10G06880.1 pep chromosome:ALNU02000000:10:10019798:10022259:-1 gene:OGLUM10G06880 transcript:OGLUM10G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRSRHFLPPRPRRRPRPRREAPAAEPPTPTYTRDVVRRVDAILRGHPWSAARPLLLSLPGLAWDSHTVARVLKAHPPLHKAFLFFRLAAGAGGGFRHDRFTYTSMLHLLGEAGRVPAMMRLLAEMLRAGVDPDAATFTTVMHWLAHAGDVDAAMRVWEEMRARKGKCRPTLVSYTACVKILFDAGRPAEAREVFQEMVAEGLRPSCKTYTVLIEHLANVGKFESTMEIMDKMQEAGVEPDKALCNILVQKCSRAGETSVMTRILQYMKENFIVLRRPIFLEALEALKANGESDNLLREVNPHLAFEGIECDPAFTDLGYITVRSTILYLLASRNWSAVEHMINEMTPKNIKVESHILSDIIQASCANCRPSCGLAVLRYSLRIGNELDRSAYGSLLGHYIRNGSFDLVFEIVEILIKSGCNLGTYLSSILIIKLACAGHSSTAMRIFGLLTTDKNVVTYTALMSAYFQDGKVDKALQLFSQMSANGVSACPGTYEVLIHGLQMAGRKQESEHYRRERMEMQWHLQYRNEHSPEDSLCNHLFCDFHG >OGLUM10G06890.1 pep chromosome:ALNU02000000:10:10037845:10040347:-1 gene:OGLUM10G06890 transcript:OGLUM10G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRGVEFAALRLWPCGSFLHADEDGRSVYHGSVRDGDAWLPNAVWAVEELVAGASHTRYVLLRGAYGRYLGAGAPDARDRDQERCACPLPSCPLPCCSLQAAQRDRDDAEPDDIMWRPIGCSGTDIAGSIVLLQDRSGRYLRGNQGFLARHHGVSVDVNIGNEMTLRTCPCWPLLNREIQFVTVDDADNFGFGSVRFTGRSVELLREDLMRRIGYDDFTMCVRAGRHGRLTPLFIDLPHSRETLCIVLIRPNTPVNDRLLTSILKAEDDATKAAAVELQHRQEMLWEREEALRVRTETALRRWEGRLQGKSNQSPGGRRWREGLGRRELALTQREERVSGMEATHRAATSRDKPSAPLLKKEDNIWEKRQMSLSISLLTPLALLFSVRPLIPAEYDHYILMAFIAIWGLGSLAFQFGLFGSNSGEKSFSRFVFISFTAMVLYTLHLEMMEAKGYSAAPLSPLADVSNVTLFPVVLDDQTWTVIFWIYFALVLSGHLYAWATVYIFDS >OGLUM10G06900.1 pep chromosome:ALNU02000000:10:10089947:10092104:1 gene:OGLUM10G06900 transcript:OGLUM10G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRHGIMRPRRLASGRSAAEEEEDGEGEPGSYEAACSADPELGTFDTALRRRASRAITAVASGVEVRSLSLGSLREVTGCLLDMNQEVVRVVLACKRDVWRSPDLFDLVEDYFEGSLHTLDFLAALDKSLHRARDSQLVLHLALQRHHHEPPAAASASELYASTLGELRQFKAAGEPFTDEFFAAFQTVYRQQMSMVGKLRRRKRRLDRRLRSVRVWRRVSGIVFLTAFAALLVCSVVAAAIAPPPVAAALAAAASMPVGSAGKWMDSLLKKYQDALHGHKEVVSAMQVGTFIAIKDLDSIRVLVEHLEVQISSMADSVEFAERDEEAVRFGIDEVKKKLELFMKSVDDLGEQADRCSRDIRRARTVMMNAFHDICRKDIKFKTSHYYLNVCSTNY >OGLUM10G06910.1 pep chromosome:ALNU02000000:10:10096417:10096926:-1 gene:OGLUM10G06910 transcript:OGLUM10G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRFLQALQRDAEGVEGEVRGVCNAMLFVYAHNNNEIKESSTGATGKLTAHTHFRRAHLEHSRFLECSIL >OGLUM10G06920.1 pep chromosome:ALNU02000000:10:10099207:10102522:-1 gene:OGLUM10G06920 transcript:OGLUM10G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVPGLALLNTSISKSWSDEELVRFLAERKEAHSLPENVFVGMNISLIDPRNSDDPQSPKNGENAIIKSKTGYWKVVGTVRILTSTVIVGMKVTLDHYEGQAPSGKRTGWVMNEYLIEHNDEANLPQDYKNLCTIFFQGDDILNAGDKQICLNANVPNERKEFYLQYLAELEEQNAAWNNQAVSVNEQDVSSSKGLDGQKTSAADDQSVNHAPSREGYIELNDFLNSDSSASTSEYSSQRTMISEEYFDSDAFLREIRNDHNAADEEHTDSKFSVAAASKSDCVVISPPEQGFVNNLDNHATITGDSPQKSVQNDKVDEHSRSMILRHAILVRTGCLNRFMPDLLIEHSQRRLQVLKQQ >OGLUM10G06930.1 pep chromosome:ALNU02000000:10:10114067:10114369:1 gene:OGLUM10G06930 transcript:OGLUM10G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAEQGKRRSLEWREKGEEVDKEAGVVMVLVAGMMDGSARRRSQSMVWPSNLWPRSQMMGHADAMAMVDDLAVAILGGRLADGEDGTIGVGDGGDEVLL >OGLUM10G06940.1 pep chromosome:ALNU02000000:10:10114217:10114650:-1 gene:OGLUM10G06940 transcript:OGLUM10G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGNGDEEAAGGKSILSQQNAAAVTHPNSYWRSLKEGLCEVQETGVGEALVMVVGIGGSRVAAEGEAGIVPRQFHGGGSSGITLEKHLIPAISNTDGTVLTVCKPPSKDSHSKVIDHGHRIRMPHHLRSWPQV >OGLUM10G06950.1 pep chromosome:ALNU02000000:10:10115639:10117439:-1 gene:OGLUM10G06950 transcript:OGLUM10G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQNDERKNKYAVGCSIIGSIISVLMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALVGSLTAGRVSDCVGRRLTISLAACIFLAGSVLMGLAPNFATLLAGRCVAGVGVGYALMIAPVYAAEIASADIRGSLTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAALALGVLAMPESPRWLVVQGRAEEALSVLRRVCDRPSEADARLAEIKAAAGLADDDGGAAAANAGSGGKGVWRELFLHPTPPVRRIVIAALGIHFFQHLTGIEAVVLYSPRIFKAAGIASRNSVLAATIGVGVTKTAFILTAILLVDRIGRRPLYLSSLAGIIASLACLGMGLTVIERSPPHHSPAWAVVLAIATVFTFVASFSIGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAITIGGAFFLFAGLAVAAATFFYLLCPETQGKPLEEIEEVFSQGWRARRRASAAAVEMPASGGGGGGGATMA >OGLUM10G06960.1 pep chromosome:ALNU02000000:10:10120985:10122294:1 gene:OGLUM10G06960 transcript:OGLUM10G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELTLCQCQTGLQIGRDRSNEQADGRLWPTRIVCDSPSSNVFTRMTMDRIGHRQSKSMLGPRPTHPCLTHVRKVYWAKPRAHAHARWVLINWHYWRNDLCWVTLWRLWTPHTHTAWLSN >OGLUM10G06970.1 pep chromosome:ALNU02000000:10:10133769:10134451:-1 gene:OGLUM10G06970 transcript:OGLUM10G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDGADGEARLGDALRDGEAGGATADDKVGRATNAEASETARRVCLCSALGNNREKNGAISSHGYSVGPIEWWGGVGVGAQRFTEHAQLMAALGSAGRDDNTTRASHAAAAAAAATAVSSMGAGDKQMDLELHL >OGLUM10G06980.1 pep chromosome:ALNU02000000:10:10137319:10138558:-1 gene:OGLUM10G06980 transcript:OGLUM10G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVMLIVRSAASARDILHLPFPVTLGQSDSHTRADVEQSPTSHAARTRRPTAAAPSALGRSAEPPLLRGSVPRWPTAQRRSAPRPGLGLPAFGLPNSRRCAGHRSNVSTM >OGLUM10G06990.1 pep chromosome:ALNU02000000:10:10147736:10151475:1 gene:OGLUM10G06990 transcript:OGLUM10G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAAIHIAVVAMLVSLTALAIADESDNNQREALLCIKSHLSSPEGGALTTWNNTSLDMCTWRGVTCSSELPKPRLVVALDMEAQGLSGEIPPCISNLSSLARIHLPNNGLSGGLASAADVAGLRYLNLSFNAIGGAIPKRLGTLRNLSSLDLTNNNIHGGIPPLLGSSSALESVGLADNYLTGGIPLFLANGSSLRYLSLKNNSLYGSIPAALFNSSTIREIYLGENNLSGAIPPVTIFPSQITNLDLTTNSLTGGIPPSLGNLSSLTALLAAENQLQGSIPDFSKLSALRYLDLSYNNLSGTVNPSVYNMSSITFLGLANNNLEGIMPPGIGNTLPNIQVLMMSDNHFHGEIPKSLANASNMQFLYLANNSLRGVIPSFGLMTDLRVVMLYSNQLEAGDWAFLSSLKNCSNLQKLHFGENNLRGDMPSSVAELPKTLTSLALPSNYISGTIPLEIGNLSSISLLYLGNNLLTGSIPHTLGQLNNLVVLSLSQNIFSGEIPQSIGNLNRLTELYLAENQLTGRIPATLSRCQQLLALNLSSNALTGSISGDMFIKLNQLSWLLDLSHNQFINSIPLELGSLINLASLNISHNKLTGRIPSTLGSCVRLESLRVGGNFLEGSIPQSLANLRGTKVLDFSQNNLSGAIPDFFGTFTSLQYLNMSYNNFEGPIPVDGIFADRNKVFVQGNPHLCTNVPMDELTVCSASASKRKNKLIIPMLAAFSSIILLSSILGLYFLIVNVFLKRKWKSNEHMDHTYMELKTLTYSDVSKATNNFSAANIVGSGHFGTVYRGILHTEDTMVAVKVFKLDQCGALDSFMAECKALKNIRHRNLVKVITACSTYDPMGSEFKALVFEYMANGSLESRLHTKFDRCGDLSLGERISIAFDIASALEYLHNQCIPPVVHCDLKPSNVLFNNDDVACVCDFGLARSIRVYSSGTQSISTSMAGPRGSIGYIAPEYGMGSQISTEGDVYSYGIILLEMLTGRHPTNEIFTDGLTLRMYVNASLSQIKDILDPRLIPEMTEQPSNHTLQLHEHKKTGIMDICALQLLKLGLQCSEESPKDRPFIHDIYSEVTSIKEAFFATSI >OGLUM10G07000.1 pep chromosome:ALNU02000000:10:10153619:10157542:-1 gene:OGLUM10G07000 transcript:OGLUM10G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDACVEDKVHRIFLDFMTKVARYDELVDAGKKVLLKFHQERPKLLTESGAIIEIVKSNYSDRMRSYLEAGCTHHDEIIQNMNRLHAYQEKLNDHINKAKLLLEELQFLEEDVYSAALTASLSSSRNTDDCPDDDNLTNVCSEDEQQPEDWLDGAVSFASVIVLVHNMLKMDYMMQEKIVKAFWVKTSSSEIEGYCQMWDLRPYIDDNVMQLAWQLVP >OGLUM10G07010.1 pep chromosome:ALNU02000000:10:10183344:10183838:1 gene:OGLUM10G07010 transcript:OGLUM10G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMKLSIALACFLFFLAAATTASAQCTFEILVKTDGRRNAGTDARVSLQVRAASGPTLTITNLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAIDEAPHMLTAERRGCGIGAAAP >OGLUM10G07020.1 pep chromosome:ALNU02000000:10:10185613:10189715:1 gene:OGLUM10G07020 transcript:OGLUM10G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSSVKRSPRKPLPPEACQGKSSYFQEHHAMLENNGKSPYHHAPHAWRFAFCPPISDSPQAATVIPPIKRKNYKDDLEHHISITKKALNSYNMANGDLDYEFAGGTRISTIAEFGSTYHHCNFLVFSPTTRTIHLFFAEFDANTQDERGVHETRADAQRCHGRVTSSATSQAVITTYMHNLRKTLRNMPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLATDEPPHMLTAERKGCSIGAVAS >OGLUM10G07030.1 pep chromosome:ALNU02000000:10:10220763:10221266:1 gene:OGLUM10G07030 transcript:OGLUM10G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTMNKLSLALASYLILFLAAAATAATARCTFEIVVKTDGRRNAGTDARVSLQARAARGPTLTVANLESWGQMAAGHDYFEKGNLDRFRGAGDCMPSEPCNMVLTSDGSGNKPGWYVSYVMVTQLGQGSLPSMTHRWAVDQWLAVDEAPHMLTAERRGCGIGAAAP >OGLUM10G07040.1 pep chromosome:ALNU02000000:10:10228608:10238534:-1 gene:OGLUM10G07040 transcript:OGLUM10G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINHDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVILQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLCIVINGLNVQQNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMQCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRQSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDMIQAASAKNPFGDNNVAKQKSYAVNREQKFDWTAIYVSFGEFQLNTLNVQALVT >OGLUM10G07040.2 pep chromosome:ALNU02000000:10:10228811:10238534:-1 gene:OGLUM10G07040 transcript:OGLUM10G07040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINHDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVILQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMQCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRQSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDMIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLT >OGLUM10G07040.3 pep chromosome:ALNU02000000:10:10228811:10238534:-1 gene:OGLUM10G07040 transcript:OGLUM10G07040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G35750) TAIR;Acc:AT5G35750] MSRVGECGGGGGCGARRGKAAHAGAGAVAGFLGCLLLVWAMGGCGRGCGGGGGEGVRDRVEEVAAQFNLSMSKLQALASLLSSPERECICKSGTINHDNPAHSMPDMSNCRLKNKPSGGNQNRLDNVILQDCCANEDNYDKNNHENNLLQNAMQQDIGSPTTLWNLCLCDLCIVINGLNVQQNNALSCNHGMIFSLSASLGIVVILVVITIFKRGKQANELCQHEKLLQTPSVKISRKWSKRALLLGVLVGLCSSVWIFSSMHADVVARRIENLENMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSERELFEQKLGWKIKKMETEDQSLVHDYNPEKLQPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDRDNILRSRATGKGALTAPFPLLKSNHLGVVLTFTVYKYDLPPDATPEERIEATLGYLGASFDVPSLVERLLEQLASKQKIVVRLYDITNHTYPTKMYDSDVIASDDLHISNIDFGDPTRKHVMQCRFKHAPSLPWSAIMISSAVAIIVLLVGYIIYATLNSLEEAEDNYTTMRDLKGRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQRDFVVTAQESGKSLINLINEVLDLAKIESGKIELEAVRFDVRDILDNVVSLFSEKSWAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTEQGHIFIRVHLIEEVKRKMEALDDTSPENIEVTANSKNTMPYNTLSGLEVANNRKTLESFRMFKDSSDAIDSVNLLVTVEDTGIGITKDAQTRIFTPFMQADGSTSRTYGGTGIGLSITKRLVELMGGEIGFVSKPGVSSTFSFTAIFKENRKDPGDIKRYCPEPTPPDFQGMRALVVDGRCARAEVTMYHLRRLGIQCDLAATSESALSALLESCNSSVKSSLNMVLVDKEAWGEDSGLAFFRCLIDLRLKGTLKSWQTMPKFFLLAGSITPADSDCLRLAGYSNSIRKPLRLSTVAACLSKALGVGLTGRQSRDNSLVLRSVLTGKNILVVDDNAVNRIVAAGALKKYGAIVTCVDSGKEAISRLQPPHKFDACFMDVQMPEMDGFEATRLVRSVESKINDMIQAGEVSSEIYGNKAHWHVPILAMTADVIQATFEGCMECGMDGYVAKPFEEQQLYSAVAHFLEADATDPLT >OGLUM10G07050.1 pep chromosome:ALNU02000000:10:10238857:10239090:1 gene:OGLUM10G07050 transcript:OGLUM10G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSTHSNPRNAAKNQSNPRKPTLNTSRMSNQEHKARMSWILAGIGEGVGGRGGGERQRKGERREREKQQQQQQVR >OGLUM10G07060.1 pep chromosome:ALNU02000000:10:10299124:10299525:1 gene:OGLUM10G07060 transcript:OGLUM10G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGNCEVNSSIALLQERFRNLQKVREMREGREQLLQTPPSPSATATAIAGATTSTGAAASSSGGEQPRWFSHPDLVRPSRPAAAQRATADDEAAAVRHQPPAVSVGRAAAMVLQSSGCRSDVEVDTSLHL >OGLUM10G07070.1 pep chromosome:ALNU02000000:10:10307685:10312228:1 gene:OGLUM10G07070 transcript:OGLUM10G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9G7] MAFPSTSSVAYPRSLSPLRRCPGFLALLVAVLLFLSFQLVIHVPSIRLAVSGWLFSDHQTERKGPGSCPGCHNGMDNADKTIAYTNQDGRIRLFKVTTREFLSSSIWKNPLLPKDTEPVAETQEIAEEQLLATGSEVSNLSSTETLETRTDPIKLKREVFRRKRKEHRIQELLQVDKEAELHMRNVATNRSRNFSNKVRASYNIWRLQFHHTNTDSTLRLMKDQIIMAKVYATIAHSQKQPDMYALLMKCIKLCQEAIGDAHMDYELDSSALERAKAMGHALSSARDVLYNSDEVSRRLLVMLQSTELNIDSVKKQNSFLVQHAAKTVPMPLHCLHMQLTTDYYFRDGTIKEYFHDAALKEEEDKAKREDRSLYHYAIFSDNVLAASVVVRSTVAHAKEPEKHVFHIVTDRLNFAAMTMWFIRHPPLPATVHVENIDNFKWLNSSYCSVLRQLESARLKEYYFKAHDPSSLSDGNENLKYRNPKYLSMLNHLRFYMPEIHPKLDKILFLDDDVVVQKDLTPLWDVDLKGMVNGAVETCKESFHRFDTYLNFSHPKIAENFDPRACGWAFGMNMFDLKEWKKQNITGIYHYWQDLNEDRKLWKLGTLPPGLITFYNLTYPLNRNWHVLGLGYDPAVDLAEIENAAVVHYNGNYKPWLDLAVSKYKPYWSKYVDLDNSHIQHCYMSEQ >OGLUM10G07080.1 pep chromosome:ALNU02000000:10:10328922:10341478:1 gene:OGLUM10G07080 transcript:OGLUM10G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQVLYLYFTLLLFVCILFAYIFYKAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHQLFYHSVTPSLGPLHGIALDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWNDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >OGLUM10G07080.2 pep chromosome:ALNU02000000:10:10328922:10341478:1 gene:OGLUM10G07080 transcript:OGLUM10G07080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSYQMNGILNGMSNSRHPSSPSEVDEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFVEVPGGTNNNNYANVQLIVEIAERTHVSAVWPGWGHASENPELPDALKEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKIPPESCNSIPEEMYRSACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAPSETVKELEQAARRLAKCVHYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVVVGMGVPLYNIPEIRRFYGMEHGGGYDAWRKISAVATKFDLDNAQSVKPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRRGPRSYTLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQAADLIARLDLDDPSSVRRAEPFHGTFPKLGPPTAVSGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNSDFRKNKDFPAKLLRGIIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFSDNIQSDVIERLRLQHAKDLEKVVYIVFSHQGVRTKNKLILRLMEALVYPNPSAYRDQLIRFSGLNNTVYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVGAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVKGSVRMQWHRSGLIALWEFSEEHIKQRNGQDAMSLKQQVEDPEEKRWGVMVVIKSLQYLSSAIDAALKETSHYKAGAGNVSNGNSASSSHGNMLHIALVGINNQMSTLQDSGDEDQAQERINKISKILKDSTVTSHLNGAGVRVVSCIIQRDEGRPPMRHSFQWSVDKIYYEEDPMLRHVEPPLSTFLELNKVNLDGYNEVKYTPSRDRQWHIYTLIKNKKDQRSNDQRLFLRTIVRQPGVTNGFLSGNVDNEVGRAQASSSYTSSSILRSLMAALEEIELHAHNETVRSSYSHMYLCILRVQQLFDLIPFSRTIDNVGQDEATACTLLKNMALNIYEHVGVRMHRLSVCQWEVKLWLDCDGQASGAWRVVVTNVTGHTCTVDIYREVEDSNTHQLFYHSVTPSLGPLHGIALDEPYKPLDAIDLKRYSARKNETTYCYDFPLAFETALKRSWKSTLSVVAEANEHNKSYAKVTELMFADSTGSWGTPLVPVERSPGINDIGIVAWIMKLSTPEFPSGREIIVVSNDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLSATAGARLGVAEEIKACFNVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLESGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPDRPVTYFPENSCDARAAICGVQDSQGKWMGGMFDRESFVETLEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSNIVENLRTYNQPAFVYIPMGGELRGGAWVVVDSKINPEHIEMYAERTAKGNVLEPEGLVEIKFRPKELEECMLRLDPELIKLSTRLREMKKENAGLSEMDTTRRSIIARMKQLMPIYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRSFFYRRLRRRVTEDALAKEIREAAGEQLSQKSALDYIKKWYLSSNGSDGNSEKWNNDEAFFAWNDDPTNYENQLEELKAERVSKWLSRLAESPDVKALPNGLSIVLNKMNPSKREQVIDGLRQLLG >OGLUM10G07090.1 pep chromosome:ALNU02000000:10:10345126:10350643:1 gene:OGLUM10G07090 transcript:OGLUM10G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26680) TAIR;Acc:AT4G26680] MPPPPPRVLPLPLPHFTLPPLAGEDLLFVTALRAHLSTAPPPPTTTAASLSRFLPHLTPLRLSHLILAPPPPPRHDDSGHLLLASLLPSPPPPLPFALLLHSLRPRRSSALLASLLPSIPHHAFPDLLHHVLLTARLTAARPDGGAVPALDVLFSVCARGKKLSLATLAFRAMRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKRKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVELDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDEVINRCLHTKTTIKSYENLTKVPRPMGGGTGTRNGGGYHLLKTLDDRNLFGPQLEMLWAVAN >OGLUM10G07090.2 pep chromosome:ALNU02000000:10:10345126:10350643:1 gene:OGLUM10G07090 transcript:OGLUM10G07090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G26680) TAIR;Acc:AT4G26680] MPPPPPRVLPLPLPHFTLPPLAGEDLLFVTALRAHLSTAPPPPTTTAASLSRFLPHLTPLRLSHLILAPPPPPRHDDSGHLLLASLLPSPPPPLPFALLLHSLRPRRSSALLASLLPSIPHHAFPDLLHHVLLTARLTAARPDGGAVPALDVLFSVCARGKKLSLATLAFRAMRAHGLLPRVVSCNVFISAALGLRRPEIALSFFREMRRCRISPNIYTANMVLRAFCDLGRTADAAEVLDKMPEWGVGRTTVSFNTLIAAYCRDGVDAGPALQLKRKMEQEGLVPDVVTYDTIIHGLCKEGRMGKANQVLSEMRAKGVMPNTVTYNTLIHGYVALGDNAMAGRVHEEMVKNRVELDIVTYNALILGLCNEGKMKKVEHLLRELDRAKLEPNASTFSALIVGWCKMQNSERALQLLNVMKKSGFHPNYTTYKMVISSFCKNKDLEGAVDVMGDMLGRCIAPDKALLNEFFDGLWKAKKLHLAENLRSLNNGLKFIPDVYYTGDYRNKDEVINRCLHTKTTIKSYENLEMLWAVAN >OGLUM10G07100.1 pep chromosome:ALNU02000000:10:10345188:10346086:-1 gene:OGLUM10G07100 transcript:OGLUM10G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGEILHRRISRKNDRAISGRLRPSAAEMKTLQDTTRGSRPWARMARNASVARESFFPRAQTEKSTSRAGTAPPSGRAAVRRAVRRTWWRRSGKAWCGMEGSREARRAEERRGRREWRRSAKGSGGGGDGRREARRRWERRSGVRWGRKREREAAVVVGGGGAVERWARRAVTKRRSSPASGGRVKCGSGSGSTRGGGGGIGDGDGPEARRGEGSRGRSPSPAARWGGRRATRRRVGNSTKSVGALESTSVITLFLFFKKKCRLFVLENTIVFEVLFKRCCV >OGLUM10G07110.1 pep chromosome:ALNU02000000:10:10357243:10359943:1 gene:OGLUM10G07110 transcript:OGLUM10G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNYPEKKTGAKTDPNQPQLPLIHTTPQLPQHARNPALRLHTSQPARSSSSPALRLHNATASRRHGGEEEVRQGGEVLKMGALLSSPNSKNQPWEHGEASKADSSKKLRMSAPPLSGGYDHPGLIPGLPAEISLQILARMPRMGYLNAKMVSRSWKAAITGVELYRVRKELGVSEEWLYMLTKSDDGKLVWNAFDPVCGQWQRLPLMPGISHGGECKRGIPGLWLGDLLSAGIRVSDVIRGWLGQRDSLDRLPFCGCAIGTVDGCIYVLGGFSRGSAMKCVWRYDPFVNAWQEVSSMSTGRAFCKASLLNNKLYVVGGVSKGKNGLAPLQSAEVFDPRTGIWVEVPDIPFSKAQAVPTAFLAELLKPIATGMTSFGGKLYVPQSLYSWPFFVDVGGEIFDPETNSWAEMPVGMGEGWPARQAGTKLSAVIDGDLYALEPSTSSDRGKIKIYDPQEDAWKVAIGQVPVGDFAESECPYLLAGFLGKLNLIIKDVDSKINIMQTDALKPVELSAPGNGPTCQNQQLSSEQETNLWKVIVSKNLAAAELVSCQVLNI >OGLUM10G07120.1 pep chromosome:ALNU02000000:10:10361905:10370928:-1 gene:OGLUM10G07120 transcript:OGLUM10G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELASRCLAAALFLLLLLLSVSLWPLFVLAWLEVEFLCNNEIILNYWFRLLLMLSYHREFEQQRETGAKSTQQLVNQRSHDPSEIGVASSDIYSHKANARIKLKETSSGFPLAEDSAGSTEDYENSSHNSDGLFAEKIDSYGGHEVSSFRATMSGDLSLSSQSPTPEKGSLRSKHLSPQGSNEWTYGWSPELSTGHDLAAAHEENNQLRTRLEVAESAFSHLKSEATSLQDFTDKLGTETQGLAQQLGVELMSRNQLSAEVSSLRTECSNLKRELQEMKSAKLLQQKANGEDIMMAAGQGNISSKFGNDILADTSVRDLQTEWLQGLLLLESKLQQTRNNALHGLQAADLDFLLADLGALQRVIENLKQGVQIGQMKENNYLEHLVPPTNAAHQPSLGRDHDSNKKTSGSAGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEERQKQTEIELENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTTLEAQNKEFERRAVATETALKRVRWNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSIVEDFESSPEEQSAVADLGANKERSLYMSDHESQAFSAENGRPDNLTYKMDGQKSLLRALKMEEIRNRSEFQVRSNTNLQVDYSKLDKLEQTPSTTESEVLETYMANIEWQVFSDVLREAHCTALGTIKLMQERLHMLEIQLRDSNDARDSLVLKLNAALDQAKSVKETEAEYILKCDDFMVKNKILEAKLQDMSAENALLMEKLTESERYVQEHESCESKYKACAEDRKRFEDLLMKESLQTSHLKDELRSVVENFEAMKDELHKQSTLNTDMQTVSALLQEQMNNVCNGIISSSKDIGISGLDEASLLHELQRRNYIAVMASLEFFQKQSCQEVVRLRQEKEAAEEMCEALRSRQDKSELELLDMKQKYQLDFDAMKEKLNFSEEHMEKLEKELQDMTHKFKISSEAQEKYSIINADLTSRLAEMEGQLQHITSENEALVEKLKDIAAIVEEHERTKVTLAESEEENKTLTLSLQSKDEAMMQMENEIRSLQDELRSSDDNLLREKRLMEELQSTLASLTSQLGHKDQALLSFDEHKTELNRLRDQVLDMERANSLMQDALSQSEQIQMDLNCKNISLQSQLSNVEDRLATVMKDTVATETEASYMRNLVEELTGQLDSLRNDHEKLQLKNKDADDLLRVHMSTEAELADRVAALEAAIHSLEIDLARVNEEKEELEELIKSNEEQFVQVGTDKSRDIVESIDSSERVLKYQDDILQLKVLLTNLEEQVDDLRSTKDEVEILNMVLKSKLEEQRTEILSLLQNSGHELANFKEQNKDLTQKLAEQTLKAEEFKNLSIHLRELKEKAEAGRKEKEGSLFAMQESLRIAFIKEQYETKVQELKGQVFVSKKYAEEMLLKLQSALDEVETGRKNEIALAKRIEELSMRISEMELEMQDASADKRDLSNAYDSIVTELECTKLNFDCCMEEKQKIEDTLQECTEERNRIRVELDLVKKLLENMALTDNPTVPDNSGSCTSGATSIGQILGDAKPGSASSKTTKNTPEVDSGLQQDEDRIQSTNASSTLAAGEDVRRFSEQGEHARSVPSKNLEECEPSLENHSTGKTSIEDISMEHRKLAVDLNHFHQELERLKNENLSPLLPLDINLIDPSLSGLERALSQLDMANEHLRSIFPSFKELPGSGNALERVLALELELAEALQAKKKTDILFQSSFLKQHNDEAAVFQSFRDINELIQDTIELKRRQVAVESELKDMQGRYSELSVQFAEVEGERQKLEMNLKNRSPMRS >OGLUM10G07130.1 pep chromosome:ALNU02000000:10:10371348:10371993:-1 gene:OGLUM10G07130 transcript:OGLUM10G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPKWKIEKAKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVSAKTNKANVRNGSCKWPDPIYEATRLLQDSRTKTYDDKLYKIVVAMGTSRSSILGELDVNLAEFAEALKPVSIALPLRGCEFGTILHVWF >OGLUM10G07140.1 pep chromosome:ALNU02000000:10:10372039:10373542:-1 gene:OGLUM10G07140 transcript:OGLUM10G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLVLNLRSATSLSGSGGLIAVHYTFLALNLVLGARVRVFAGKSQGKRNLTLLELRYV >OGLUM10G07140.2 pep chromosome:ALNU02000000:10:10372037:10374403:-1 gene:OGLUM10G07140 transcript:OGLUM10G07140.2 gene_biotype:protein_coding transcript_biotype:protein_coding LPGKQSSLPSLVHFLPNQNPKPPHLTSPLSLSPHSFSLYIFLFSLSLATTTAAAAARSPAPVAHHHSLFLAGVTGAASRRVGRPRPPYVFLSAAAAAAAAAWTRRRGSGGPLSGEFGVGVSGARGKRNLTLLELRYV >OGLUM10G07150.1 pep chromosome:ALNU02000000:10:10391643:10395216:1 gene:OGLUM10G07150 transcript:OGLUM10G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGFSAWTGAMGSLLRKLNSPPQAGDGGHMEAAYEGIHSFRDDLEESHGFLRELSELEETTTMADKCWMKEVRELSYDIEDFLDEIALAADAMLIAGKVSEFRERAQEASQRRRRTRYGLCHPTSRRSSRRRCSSSSTRRPPPRFGADVDVAAADEVARWVANDDGEEELGLKVAGVVGAGGTGKTALAGEVYRRLGGQFERRAFVRAAEKTDLRRLLRDMLSQLLGRHHKHLQDHACVDTPDLVKFIRESLYHRRYLIIIDDLWSTSLWNYLRRAFPEDNNSSRIIITSQVEEIASSCCNYHSGSIFKMRHLTTDNSRKLFSRGVLGSKDGFPGHFREISADIINRCGGLPLAIVNAASLLASQLAVMDEQEHWNIYNSLASNLRRNSTTEDDLVKQWVAEGFVDVIKDQDLEEIAGNYFDELVERRMVQPVDVYYDNKVISCTVHSMVHDFIAHRSIEDNFIIVLDNSQNSIEISDEVRRLSLHFGNARYAKTPENISFSQVRTLGFFGATRCLPSVAEFKILRVLILYALGDKRGPPVVDLHIIGELHKLRYLKVSCDSRIKLPTRISRLKCLETLEIEAKVTALPSDIVHLTRLLHLHLPDETNLPDGFGKYMTTLVTLVAYLDLSGSSIENVESLVDLINLQDLHLTCCNLQSVQLLWETMNTFGFVIESLVNLKSLSLAVGFLNGTSSTDHFSSATTRMSWQMCTPPPLLQRIDLSPHVCTFSTLPNWIREVGSLCILKIAVRKLGDGDMDVLGGLSALTVLSLHVSDAPEERIIFREAGFPVLSYLKLRCSVANLAFEERAMPRLRRLVLGFRAAGIAEQQPGRTPVGMEHLLSLEVVTARIDASCANEADRMAAESALRNVVKARNLFGGIAPVVNIRWVDRQITSTEESKTVGEDQPADYPTAKNEFSGRRYGFSDAHL >OGLUM10G07160.1 pep chromosome:ALNU02000000:10:10510476:10513293:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQALQFLREIEGDVSGFRFCLAHMLCISIGGISSTKQAKVQQNHEYKLRKNASIYNCHRSLERLILLHEKSVDSSITLNLEKSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRCVHSLAM >OGLUM10G07160.2 pep chromosome:ALNU02000000:10:10510476:10515100:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAVALPSFSVLRISLPHQAVAIVLPLPTPPPWRRRHCRVPLPMPPPWRRRHCCLCRFPTAGILLMPVRHHYAPDIAAGDAPVTHVVQARRRHESHREIGALDLSIKEVLISLTIPRSSPRLTLGYRCSMEHANADVMFWLLRSIGGISSTKQAKVQQNHEYKLRKNASIYNCHRSLERLILLHEKSVDSSITLNLEKSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRCVHSLAM >OGLUM10G07160.3 pep chromosome:ALNU02000000:10:10510476:10513293:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLSPPICPEHANADVMFWLLRSIGGISSTKQAKVQQNHEYKLRKNASIYNCHRSLERLILLHEKSVDSSITLNLEKSNSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRCVHSLAM >OGLUM10G07160.4 pep chromosome:ALNU02000000:10:10510476:10513293:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLSPPICPEHANADVMFWLLRSIGGISSTKQAKVQQNHEYKLRKNASIYNCHSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRCVHSLAM >OGLUM10G07160.5 pep chromosome:ALNU02000000:10:10510476:10513293:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQGLSPPICPKQAKVQQNHEYKLRKNASIYNCHSGTLSLREFHERYWSPDFKIGRSFRGCHFNCRCVHSLAM >OGLUM10G07160.6 pep chromosome:ALNU02000000:10:10513923:10515100:-1 gene:OGLUM10G07160 transcript:OGLUM10G07160.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCAAVALPSFSVLRISLPHQAVAIVLPLPTPPPWRRRHCRVPLPMPPPWRRRHCCLCRFPTAGILLMPVRHHYAPDIAAGDAPVTHVVQARRRHESHREIGALDLSIKEVLISLTIPRSSPRLTLGYRCSMLTGNSHTK >OGLUM10G07170.1 pep chromosome:ALNU02000000:10:10524080:10524358:-1 gene:OGLUM10G07170 transcript:OGLUM10G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRSSRSDFSPSIPKSPSRARDSPLPALAFPFPRFASSRLRWHRATGGTTLETAAGTATATPSPVDRRQEQEQEQAQPRPSPSLWTEVG >OGLUM10G07180.1 pep chromosome:ALNU02000000:10:10528829:10533382:1 gene:OGLUM10G07180 transcript:OGLUM10G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRGRGRGREWEDDDLGVGGGQPPHLAAPVVCLARSAGDLAAGAFVGSLVGYGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMAATLASKPSTIKHQEGDVLPPFTLPPLLNASDALASCCQAFLKPKD >OGLUM10G07190.1 pep chromosome:ALNU02000000:10:10536059:10536679:1 gene:OGLUM10G07190 transcript:OGLUM10G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGFSYAQIHVQQDRCRMTNEEKMKKMMAEEEEKSKKGMCEGEEEEKNKFMAADEKSCHSWTSERVHPCSSPASKIGRLIGSKDKNEGQQLERRMMHDRLDHVSGTPPIPL >OGLUM10G07200.1 pep chromosome:ALNU02000000:10:10537800:10541691:-1 gene:OGLUM10G07200 transcript:OGLUM10G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVPDLPAAIELGEVVAAGQLFSTNRRPSTNQQPPPVSFARRRTGEMGKREENVAAMAVRLKGLIWCTLTPKISMGTWLNMKVMPISHWYIEYNIVHAILKTYNFLCTRCLAGCARLVSTQVNNTTAIFSNISFRHQLWRLPDQLYQNMDLQMQMPYLGSSFKEKQMCRKIVSKLVSLSSGISESMTGHHAIRALLAWLCSAHHCHALHFLLSANPFWICLSSNPERTIAFS >OGLUM10G07210.1 pep chromosome:ALNU02000000:10:10543397:10544143:1 gene:OGLUM10G07210 transcript:OGLUM10G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAGGGGSPDHELKLLGSTNPSPFVTRVELALALRGLTYDLVAVDLDRKTDLLLAANPVHAKVPVLIHRGRPVCESRVILEYIDDAFPFPGGGGAPLLPPADDPLARAAARFWAAHVDDEFVASWRPAYLGSTEGERAEGMARMAAAVGALEGALAAAEGKPFFGGDAPGLVDVTLGSVIPRTRANEALTGTRVLDAARTPLLAAWAERFGELDAARKVLPAVGDVVEYLETRLRMSNVVIARK >OGLUM10G07220.1 pep chromosome:ALNU02000000:10:10567114:10570078:1 gene:OGLUM10G07220 transcript:OGLUM10G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPIIAQKPTLCLLHPLLSPCRLSRFTHHHSHERCITLPRPSLSSRRIDHIGGRGRRWRALAASRRWADGSGQQPAALPLPQPRRASGRRQQPEEPDSPNPALQLSASPWTRSRRDGGFCFGPLDPVSNIITNAIRHLSTGEDSGADVDEIEMRRGLKTMARGSLKALVGFITSYFRYLPTLEALNFLRAAEGDLLAAVHLVKVERCTSCAFDIGSCTARTALRCAAGAAGHCDPGRVASDMLSLFSKAHKIASHLLSRTADRLTCSAVEHLRHLLLEETNDQICTQRQILVLVPPRLPPELAAFSPLASLGVTVKDERTLERCTKSLQSVLLDKIHGFYLEALALLPQHLLRGRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALIRAERCSIAGLVAGLSAFAGDNDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQERTMLSDSELCRFMDVMANKRLELYSVMAIAAQHPSADGLLDFLVSERARAMLPRESRRFSREDVRSVIESLLQEPPPSLGMPSELFPGATKRFWADMSSFHSKAKAALENYVQPPGRTVPRLGIVLSQFLSMRTMWLHVRLGLMAYEVKS >OGLUM10G07230.1 pep chromosome:ALNU02000000:10:10570213:10571394:1 gene:OGLUM10G07230 transcript:OGLUM10G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQIHYHLTRFTHRTTHQPRCPGSGLGLPGTGWKGREVALAPWPKEKGGRKQKREEEEEEAKKKKRRSGKGRERKEKGIREKSGGFSGIFEIFKENLGY >OGLUM10G07240.1 pep chromosome:ALNU02000000:10:10571811:10572388:-1 gene:OGLUM10G07240 transcript:OGLUM10G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKALGLVASPSHRATAGAVELFVAAAAQACSVPALAAPRGDGARARQTGDDDTASRRRYGQRCWCRSSRGAEHHAARGVGETASGFNGGIERWCLGGAAQEGYGGGGATQSVAGLADPPCPMGGSAPGPGGRMRA >OGLUM10G07250.1 pep chromosome:ALNU02000000:10:10586743:10588572:1 gene:OGLUM10G07250 transcript:OGLUM10G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGYVSQLGIAGLTRRVSACSREEDKTTCCCCTCVNDVPPRPFKHDDLYLQVEVQPLPRTRRFSAGAVAADGIPPEYLRRKGWKVSTESSKYDVADDADGVDVPLRRRTPDLGGGGGSTSPSPVVVVGRWYVPFIFVRVDDDERRRVRKNLVRRCMFYKMTLEQSWKQIYNCTRRRQRRRKSRRGWVARTYPAQEEEVGADGVKWFRPAAATTAAVGRRALGLNVVVWERMRWELERGGWVAGDEEEERIERVERCDVEGLQGQWDKFECYMLVETFVLTRMDGSVALSYQFSHTDKIRTRWE >OGLUM10G07260.1 pep chromosome:ALNU02000000:10:10614996:10618551:-1 gene:OGLUM10G07260 transcript:OGLUM10G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPQSRTPPALNGALLPLPLFPIWSPHLLAKIQSPGEEEHEPPPPSPLSSASEDGHVLLRTVTRCRHASPPTASSNFFLCSCALLLPRISHFFSTTISIGSKQAQLFSGNRFVGTPLHRLLRFYFYKMQIQRKEIIKEDSVARAYC >OGLUM10G07270.1 pep chromosome:ALNU02000000:10:10619587:10642120:-1 gene:OGLUM10G07270 transcript:OGLUM10G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPAASTSLGAMGSLLRKLPRSPPSPSPSPSLAWLPREAMDEVNSLRGDLEENYAFLRELSELEEPTMTEKCWMKQVRELSYDVEDMLDMAILAGARAGADAVTLIAGKVSEFRERAQGASQRRTRYGVCHPTSSRRRCSSSSSSSAINDHRFADVGAADEIAGWLMKGGEERRLKVVGIVGGAGGIGKTTLAREVYRRLGGQFERRAFVRMAEKTNTRRLLRDMLSQLGRRQPGDACDTPELVDHIREHLHRRRYIVIVDDVWAISLWNSICRAFPEDNNCSRIIVTSQIEEIAGFPLAVLNTASLLACQPKLLEQQWHDICNSLATNLRSSTSEVTKHALNLNYNNLPHYIKTCLLYFNIYPSDYMILKEDLVKQWVAEGFINSTNEQDMEEIAGNYFDELVNRRMIQPVDINYDDEVLSCTVHSMVHDLIAYKSIEDNFIVVLDNSENTIEISEDVRRLSLHFGNARYANIPESISLSQVRSLAFFGLFNCLPLITEFEAIRVLILHVCGDKCGTIVDLSRIGELRQLRYLKISCDSRIKLPIQVSGLYCLETLKIEARSINFPSDIVHLSHLLHLSLHGEADLPDMIGQMISLRTLRGYFDPSSSLESVQNLTKLTNLKDLHLTCSTAQSVRQAENMECFGSIVLSLTNLRSVTLVPGFLHGKSTSRTSSTACMSWVISNPPHLLQRLELSPHICTFSRLPNWVGELGMLCNLKIAVKELRRIDVDVLGGLHALTLLSLYVKKVPEERIVFHTAKFPVLKYLKFGCSVACLTFEEGTMPDLQSFILCFCAQGAARSGHMPTGMEHLLSLEVLTAHIERLGANELDTQAAESALKNIIDVHGTTLVINVCWVDQAENSDESNTKEEDQPEKEYPFAKNNVNSCGNIAQNLRHQLLPSMVGEFCKALGGDSPIHSVLVASNGMAAVKFIRSIRIWNLETFGLENAILLVAMATPEDLKMNAEHIRIADKFVEVPGGTNNNNYANVQLIVEIAERTQVSAVWPGWGHASENPELPDALNETRIIFLGPPSTAMAPLGDTISSYLIAQAEGIPTFPWSGSKVKLSPESYHPIPEEIYKSACVSSTEEAVASCQVVGYPAMIRASRGGGGKGIRMVHNDGEVRKLFKQVQGEVPGSPIFVIKVASESRHLEVQLLCDKHGNVAVLHSRDCTVQRRHQKIIDEGPITIAPPETVKELEQAASRFAKCVQYIGAATVEYLYNMETGQYYFLELNPQLQAQHPVTEWIAEVNLPAAQVAVGMGIPLYNIPDIRRFYGMEHAGGYDAWKKISALATKFDLDKAPSVRPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSIKARHIHPKCLEERFTRHVFAFGESRSLAIANLVLGLKEFQIRGEIRTNVHYAVDLLNAAEYRENNIYLSWLDSRIAMCVRADRPPWYISVVCGALYIEIVRGGPHSYRLRMNGSEIGAEMHSLLDGGLLIQLDGSSHAIYAQREAAGTRLLINGKSCLLQKAHDPSKLLAHTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMHEGQTIQENDLIARLDLDDTSYVRRVETYNGTFPKLGPPTVVSGKVHQKFSASVGSAQMILAGYEHNINQVVHDLLNCLDSPELPFLQWEELMSVYEAQLPEGLKNKLDVKYKEYMSNLNSWKSKDSPSNLLRGLIKENLAYCPDMDRLIKLIESYEGGREGHSSLVLKSLFEQYLSIEELFSNNIQSDVIKHLQIQHKNDLEKVVAIVFSHQRVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTTYSELALKASQLLEHTKLSELRTNIARTLSELEMFTEDGEQVSRPRRKIALNERMEDLVCAPLAVEDALVALFDHGDRTLQRRVVETYIHRLYQINLVSGRIRMQWHQFDLIASWEFFEGHNELRDGQDSIPLKKQVENPVHNKLGFMVVIKSLQFLSTVLEVAFKDTSQYKGADGNLSIANPVNTNQSNMLHIALVGTNNQMRTLQDIGDEDQAQERMNTLVKILNSNSVRSYLSGAGVRVISCIIQRGEGCPPMRHTYQWSVDKLCYEEDLMHRHVEPPLSAFLELDKMNLEGYNEAKYTPSRDRQWHIYTLVKKKKDLRSNDQRMFLRTIVRQPSANRGFLSGSIDNGGSHAQASSYYTSNSILRSLMGALDEIELHAHDETVRSSLSHMYLCILREQQLHNLIPFSGMMDDIGQDELTASTILKNMVLNIHKHVGVRMHRLYVCQWEVKLWLHCNGQASGAWRVVVTNVTNHTCTIDIYREKEDPNTHKIVYHTVASTPGPLHGVALHEPHKPFDAIDLKRYSARKNGTTYCYDFPSAFETALKKSWKSSTSGVAETNEHNQDHVKATELIFSDSTGAWGPPLVPVERSPGDNDVGIVAWSMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKVPLIYLAATAGARLGVAEEIKSCFRVGWFDNESPELGFHYVYLIEQDYSRLSSSVIAHELKLDSGETRWVVDTIVGKEDGLGCENLRGSGAIASAYSKAYRETFTLTFVTGQAVGIGAYLARLGMRSIQRLDQPIILIGFPALNKLLGREVYSSHMELGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPVTKPLDPPDRPVTYFPERSCDARAAICGIQGSQGKWLGGMFDRDSFMETLDGWARTVITGRARLGGIPVGVIAVETQTMMQVVPADPGQLDSNERVVPQAGQVLFPDSATKTAQALLDFNHEELPLFVLANWRGFSGGQRDLFDGILQAGSMIVENLRTYRQPVFVYIPMAGELRGGAWVVIDRNINPEHIEMYAERTAKGNVLEPEVLVKIPITFRPKELEECMLRLDPQLINLNTRLEEMRKKNSGASEMETIWKRLTARMEQLMPIYTQVATRFAELHDASARMAAKGVISKVVDWEDSRAFFYRRLRRRVAEEALTREVREAAGEQLSHQSTLEYIKKWFLASRESEGDSGNWNNDEAFFAWKDDPTNYENYLEELKAERVSKLFSHLSDLLNKINPSRRVQISSNAGICTGHIKSAAMYASLSHIGEPGARARGHKIGSPDVMRAETIKGTPGARGNPFVLRSETSCAEGICSDTVY >OGLUM10G07270.2 pep chromosome:ALNU02000000:10:10619587:10642120:-1 gene:OGLUM10G07270 transcript:OGLUM10G07270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAPAASTSLGAMGSLLRKLPRSPPSPSPSPSLAWLPREAMDEVNSLRGDLEENYAFLRELSELEEPTMTEKCWMKQVRELSYDVEDMLDMAILAGARAGADAVTLIAGKVSEFRERAQGASQRRTRYGVCHPTSSRRRCSSSSSSSAINDHRFADVGAADEIAGWLMKGGEERRLKVVGIVGGAGGIGKTTLAREVYRRLGGQFERRAFVRMAEKTNTRRLLRDMLSQLGRRQPGDACDTPELVDHIREHLHRRRYIVIVDDVWAISLWNSICRAFPEDNNCSRIIVTSQIEEIAGFPLAVLNTASLLACQPKLLEQQWHDICNSLATNLRSSTSEVTKHALNLNYNNLPHYIKTCLLYFNIYPSDYMILKEDLVKQWVAEGFINSTNEQDMEEIAGNYFDELVNRRMIQPVDINYDDEVLSCTVHSMVHDLIAYKSIEDNFIVVLDNSENTIEISEDVRRLSLHFGNARYANIPESISLSQVRSLAFFGLFNCLPLITEFEAIRVLILHVCGDKCGTIVDLSRIGELRQLRYLKISCDSRIKLPIQVSGLYCLETLKIEARSINFPSDIVHLSHLLHLSLHGEADLPDMIGQMISLRTLRGYFDPSSSLESVQNLTKLTNLKDLHLTCSTAQSVRQAENMECFGSIVLSLTNLRSVTLVPGFLHGKSTSRTSSTACMSWVISNPPHLLQRLELSPHICTFSRLPNWVGELGMLCNLKIAVKELRRIDVDVLGGLHALTLLSLYVKKVPEERIVFHTAKFPVLKYLKFGCSVACLTFEEGTMPDLQSFILCFCAQGAARSGHMPTGMEHLLSLEVLTAHIERLGANELDTQAAESALKNIIDVHGTTLVINVCWVDQAENSDESNTKEEDQPEKEYPFAKNNVNSCGNIAQNLRHQLLPSMVGEFCKALGGDSPIHSVLVASNGMAAVKFIRSIRIWNLETFGLENAILLVAMATPEDLKMNAEHIRIADKFVEVPGGTNNNNYANVQLIVEIAERTQVSAVWPGWGHASENPELPDALNETRIIFLGPPSTAMAPLGDTISSYLIAQAEGIPTFPWSGSKVKLSPESYHPIPEEIYKSACVSSTEEAVASCQVVGYPAMIRASRGGGGKGIRMVHNDGEVRKLFKQVQGEVPGSPIFVIKVASESRHLEVQLLCDKHGNVAVLHSRDCTVQRRHQKIIDEGPITIAPPETVKELEQAASRFAKCVQYIGAATVEYLYNMETGQYYFLELNPQLQAQHPVTEWIAEVNLPAAQVAVGMGIPLYNIPDIRRFYGMEHAGGYDAWKKISALATKFDLDKAPSVRPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSIKARHIHPKCLEERFTRHVFAFGESRSLAIANLVLGLKEFQIRGEIRTNVHYAVDLLNAAEYRENNIYLSWLDSRIAMCVRADRPPWYISVVCGALYIEIVRGGPHSYRLRMNGSEIGAEMHSLLDGGLLIQLDGSSHAIYAQREAAGTRLLINGKSCLLQKAHDPSKLLAHTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMHEGQTIQENDLIARLDLDDTSYVRRVETYNGTFPKLGPPTVVSGKVHQKFSASVGSAQMILAGYEHNINQVVHDLLNCLDSPELPFLQWEELMSVYEAQLPEGLKNKENLAYCPDMDRLIKLIESYEGGREGHSSLVLKSLFEQYLSIEELFSNNIQSDVIKHLQIQHKNDLEKVVAIVFSHQRVRNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTTYSELALKASQLLEHTKLSELRTNIARTLSELEMFTEDGEQVSRPRRKIALNERMEDLVCAPLAVEDALVALFDHGDRTLQRRVVETYIHRLYQINLVSGRIRMQWHQFDLIASWEFFEGHNELRDGQDSIPLKKQVENPVHNKLGFMVVIKSLQFLSTVLEVAFKDTSQYKGADGNLSIANPVNTNQSNMLHIALVGTNNQMRTLQDIGDEDQAQERMNTLVKILNSNSVRSYLSGAGVRVISCIIQRGEGCPPMRHTYQWSVDKLCYEEDLMHRHVEPPLSAFLELDKMNLEGYNEAKYTPSRDRQWHIYTLVKKKKDLRSNDQRMFLRTIVRQPSANRGFLSGSIDNGGSHAQASSYYTSNSILRSLMGALDEIELHAHDETVRSSLSHMYLCILREQQLHNLIPFSGMMDDIGQDELTASTILKNMVLNIHKHVGVRMHRLYVCQWEVKLWLHCNGQASGAWRVVVTNVTNHTCTIDIYREKEDPNTHKIVYHTVASTPGPLHGVALHEPHKPFDAIDLKRYSARKNGTTYCYDFPSAFETALKKSWKSSTSGVAETNEHNQDHVKATELIFSDSTGAWGPPLVPVERSPGDNDVGIVAWSMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKVPLIYLAATAGARLGVAEEIKSCFRVGWFDNESPELGFHYVYLIEQDYSRLSSSVIAHELKLDSGETRWVVDTIVGKEDGLGCENLRGSGAIASAYSKAYRETFTLTFVTGQAVGIGAYLARLGMRSIQRLDQPIILIGFPALNKLLGREVYSSHMELGGPKIMATNGVVHLTVSDDLEGVSAILKWLSYVPPYVGGPLPVTKPLDPPDRPVTYFPERSCDARAAICGIQGSQGKWLGGMFDRDSFMETLDGWARTVITGRARLGGIPVGVIAVETQTMMQVVPADPGQLDSNERVVPQAGQVLFPDSATKTAQALLDFNHEELPLFVLANWRGFSGGQRDLFDGILQAGSMIVENLRTYRQPVFVYIPMAGELRGGAWVVIDRNINPEHIEMYAERTAKGNVLEPEVLVKIPITFRPKELEECMLRLDPQLINLNTRLEEMRKKNSGASEMETIWKRLTARMEQLMPIYTQVATRFAELHDASARMAAKGVISKVVDWEDSRAFFYRRLRRRVAEEALTREVREAAGEQLSHQSTLEYIKKWFLASRESEGDSGNWNNDEAFFAWKDDPTNYENYLEELKAERVSKLFSHLSDLLNKINPSRRVQISSNAGICTGHIKSAAMYASLSHIGEPGARARGHKIGSPDVMRAETIKGTPGARGNPFVLRSETSCAEGICSDTVY >OGLUM10G07280.1 pep chromosome:ALNU02000000:10:10647333:10648037:1 gene:OGLUM10G07280 transcript:OGLUM10G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMRSKRSSMAEATIKGRRASGETTPCNCDNHLQLYRQHKEESWGEQSSKAREEGSSSKAEQRSN >OGLUM10G07290.1 pep chromosome:ALNU02000000:10:10691088:10691892:1 gene:OGLUM10G07290 transcript:OGLUM10G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDELKLLGTWPSPFVTRVELVLALKGLSHEYVTQDLVGKSDLLLASNPVYNKVPVLIRNGKPIYESRIILKYIDEAFPVDGATLLPADPYDRAVARFWAAYIGDKGKTEEEKAKGIKQILAAVETLEGALTKGCSWMSHWVCPYSGGEGDRGAHRCQDLRRRHYPPPGLVGGALRRA >OGLUM10G07300.1 pep chromosome:ALNU02000000:10:10695496:10698036:-1 gene:OGLUM10G07300 transcript:OGLUM10G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFVVMVNKQGQTRVAQYYEHLSVDERRALEGEIVRKCLARTDHQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIHLMEKTS >OGLUM10G07310.1 pep chromosome:ALNU02000000:10:10714145:10725100:-1 gene:OGLUM10G07310 transcript:OGLUM10G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSPLGGRACGWVAAIPSEPKGSGCPDPSWRSPPCDRYVTGRMTDGMVASHARPSRISAGKRLSDAGISDFVILEATDRIGGRTHKTNFAGRRRRGDGGKLGGGCNEEPAGMPPCMIRSRRCTRAMCIVEKGDDEEIQQLMVVRGIINNSSKIFRVVKFCCKAIRVLNRAFGMYFVVIDDMSAERWCDIGPAFPVDDGISIRIVVTTNIQSIDNACSTADGYVYKMGKLNTEYSKDLFFQSASVEDCSPDMERGSEQLRKKFHGLPQAPICIGHFLHDVCNNLGHHLENKDNNVLAKTQRTLTMNYTYLCGDAAKACLLYLGMFPGNHPIRRKRLLRACQETASPLVQWQCSQELQMFMDWDIVQPINVRNNEGVRYHAQEYKLLQVLDLEECDGFMDGYLDSICKLLLLKYVSIGGAVTTLPKTIAGLKLLETLDFRRNKVEVVIISVEVLVLPKLIHLFGKFELPGSVSNKMQKKIEPGKSNLQILAGFIAANNHGFVKLMCHMKNLRKVNIWCKSVTKGNKLNSLSIAIEKFIENSNDPTDARTLSVDFSECSEDILQISI >OGLUM10G07320.1 pep chromosome:ALNU02000000:10:10729623:10731239:-1 gene:OGLUM10G07320 transcript:OGLUM10G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAWKIVSIYQFDMSVYTKIFLKFPKRFWPEGPGTEFFLYASGRRGYYPVWQQFEKQYPGSNVLLVTVTDEESRRIEQQSDNQTRAEAVEVLRKMFPGKQVPDATDILVPRWWSNRFFKGTFSNWPIGVNRYEYDQIRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSADILIKCAQKKICKYIVQGKYK >OGLUM10G07330.1 pep chromosome:ALNU02000000:10:10749132:10749650:-1 gene:OGLUM10G07330 transcript:OGLUM10G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSESDSRNRALVDQHPHPTRRHWPSASVPNSSGGSAGRGSSAPPATAASTWRGTSPARASRAQVRLSLPLCAADKPPPRRGAAPQPQQLCGLNGGAGLFSSCEYRWTDYVNVVCACMLQSWRLSFVHGSYEGRGMTAMMECHDVEMPFLRGINVNRPAPAAETTTTRGHL >OGLUM10G07340.1 pep chromosome:ALNU02000000:10:10749838:10750773:1 gene:OGLUM10G07340 transcript:OGLUM10G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAEDGDGERVQLFIGQVPCSMVEEILAVDRVAARANDATVIRYCAAVRVHVPKVPPKVRMTTWTAPPLPRSLEGGHRVGTQRHALPELCCITSCRSTTNPGHTSTFPPAKSDFLASQYTTHVG >OGLUM10G07350.1 pep chromosome:ALNU02000000:10:10751313:10752812:1 gene:OGLUM10G07350 transcript:OGLUM10G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEVLKVADSYLDGRRRDGPRGGSATTDVDVRQLQPTATRQPTWRRQRLGRRRQPRRLPRRPPRIWSSGTTSSGLSSAGAAYTAISSGAASSGLSLAGAVASAAEWIKRGNDLHSARNGNTRPRFRRDNSSPS >OGLUM10G07360.1 pep chromosome:ALNU02000000:10:10755583:10759570:-1 gene:OGLUM10G07360 transcript:OGLUM10G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G64320) TAIR;Acc:AT5G64320] MAEHHPPRLPPSAAGAAATSWPELLAPFDLSRLRATLASRPLTPRRLGRLLALPLSPATSLLLLTWYASSHPALSSLPLRPLLAGGDPDRALSLLDSLPPGFLPLRESLLLPLLRSLPPGRALHLLDQLPRRFGVQPSFRSYNVVLSVLSRADCHADALALYRRMVHRDRVPPTTFTFGVAARALCRLGRADEALALLRGMARHGCVPDAVLYQTVIHALCDQGGVTEAATLLNEMLLMGCAADVNTFDDVVRGMCGLGRVREAARLVDRMMMKGCMPGVMTYGFLLQGLCRVRQADEARAMLGRVPELNVVLFNTVIGGCLAEGKLAEATELYETMGLKGCQPDAHTYSILMHGLCKLGRIGSAVRLLREMEKKGFAPNVVTYTIVLHSFCKNGMWDDTRALLEEMSAKGLTLNSQGYNGMIYALCKDGRMDEAMGLIQEMRSQGCNPDICSYNTIIYHLCNNEQMEEAEHMFENLLEEGVVANGITYNTIIHALLRDGRWQDAVRLAKEMILHGCSLDVVSYNGLIKAMCKDGNVDRSLVLLEEMAEKGIKPNNVSYNILISELCKERRVRDALELSKQMLNQGLAPDIVTYNTLINGLCKMGWMHAALNLLEKLHNENVHPDIITYNILISWHCKVRLLDDAAMLLNRAMAAVCPVGDRRIMQILPDKNFKLYLHTKGDDFQHSLGCVKPSCPAGKDRCERKTGRCWRAARRRPGQGGEQGNVGTLEDENASHQNYSLSRELYCIVLVARYQFVRAKDCDNLMTAYTCGCELSNKCAYP >OGLUM10G07370.1 pep chromosome:ALNU02000000:10:10762758:10768359:-1 gene:OGLUM10G07370 transcript:OGLUM10G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILEYIAQSSPSLHGRDAIESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLMSRTFLVGHGLTIADITVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYKDTLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFRLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQAKLQNGSMAAERRPISPERCNKRKV >OGLUM10G07370.2 pep chromosome:ALNU02000000:10:10763284:10768359:-1 gene:OGLUM10G07370 transcript:OGLUM10G07370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSFSQDSPPISIISAAKVAGVSLSIDPSLAAGSAPVLCFSSGESLRGINPILEYIAQSSPSLHGRDAIESGHVVEWLEYAPTFLLGSEFEVACSFVDGYLMSRTFLVGHGLTIADITVWSNLAGIGQRWESLRKSKKYQNLVRWFNGIDSDYKDTLNEVIAAYVGKRGIGKSPAPNLKEKVNDSKDPSAPEVDLPGAKFGEVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAEKLIKQGKAYVDDTPKEQMRSERMDGVESKCRNNTVEENLSLWKEMINGSERGMQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRIGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGMRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVIFRLTNGPEKSFVRILPRHKKFDGAGKKATTFTNRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELIGELHLEGSVKTTKLKVTWLPDIDDLVPLSLVEFDYLISKKKLEEDENFLDNLNPCTRKETLALGDANMRNLLRGEVIQLERKGYYRCDAPFVRSSKPVVLFAIPDGRQQARY >OGLUM10G07380.1 pep chromosome:ALNU02000000:10:10772081:10774589:-1 gene:OGLUM10G07380 transcript:OGLUM10G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATPTSSTTNVSLPSSLSSTPCSRIACLAKRFLDDPGFLAGWPQALLIGRGGLEWKSPWEKAYKENEKYKEGKSILEKTRMWKEAR >OGLUM10G07390.1 pep chromosome:ALNU02000000:10:10778591:10781447:-1 gene:OGLUM10G07390 transcript:OGLUM10G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0B9K9] MGLLFVELLPRHGDGGGPASAVLKCRRCRVDAASADAILSRDFRGRFGRAYLFDHVVNISLGPNEDRYLMTGLHTVKDIYCSCCQQILGWRYEKAYEESEKYKEGKFILEKARMWKEAR >OGLUM10G07400.1 pep chromosome:ALNU02000000:10:10801946:10805315:-1 gene:OGLUM10G07400 transcript:OGLUM10G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTRGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OGLUM10G07400.2 pep chromosome:ALNU02000000:10:10801946:10804906:-1 gene:OGLUM10G07400 transcript:OGLUM10G07400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OGLUM10G07400.3 pep chromosome:ALNU02000000:10:10801948:10804906:-1 gene:OGLUM10G07400 transcript:OGLUM10G07400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OGLUM10G07400.4 pep chromosome:ALNU02000000:10:10801946:10805315:-1 gene:OGLUM10G07400 transcript:OGLUM10G07400.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRINSLLSTIPQDFTSMSARASNHAYICSDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OGLUM10G07400.5 pep chromosome:ALNU02000000:10:10801948:10804906:-1 gene:OGLUM10G07400 transcript:OGLUM10G07400.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDSQMPYYNNSVPSGGNGRFYITQNHQDAHYASSDDGSQKIGSSPQAFEAPYCTLESSSANGAHPAHSSASSHSISPISGSPLSHHDSHSDHTYNSPPSASCVTEITDLQIKLRELENAILGPELDIAYDSPESALQPNIMATPENWRQLLGINTGDLKQVIIACGKAVAENDVRLTELLISELGQMVSVSGDPLQRLGAYMLEGLVARLSSSGSKIYKSLKCKEPTSSELMSYMHLLYEICPFFKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWMTLIQALAARPGGPPFLRITGIDDSNSAYARGGGLDIVGMRLYKVAQSFGLPFEFNAVPAASHEVYLEHLDIRVGEVIVVNFAYQLHHTPDESVSTENHRDRILRMVKSLSPRLVTLVEQESNTNTRPFFPRYLETLDYYTAMFESIDVALPRDDKRRMSAEQHCVARDIVNLIACEGAERVERHEVFGKWKARLTMAGFRPYPLSSVVNSTIKTLLHTYNSFYRLEERDGVLYLGWKNRVLVVSSAWC >OGLUM10G07410.1 pep chromosome:ALNU02000000:10:10837753:10838139:1 gene:OGLUM10G07410 transcript:OGLUM10G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAGLVLHPRRSSWPADLGPASSLPPDLVVPPLAAVEEREAITHRLSSSCCPVVCGTSWPPCICSSSEFKKEGGSGMRGRWRRRRQRVEEDDGRPGLSLTKPSFLLARSWPGRVVKLDLSVSAQPG >OGLUM10G07420.1 pep chromosome:ALNU02000000:10:10838525:10843580:1 gene:OGLUM10G07420 transcript:OGLUM10G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGFRVESPRVRYGDGEIESEYRYDTTEVVAPPSPEKGWVVRPKSVTYHFKTTTTVPKLGVMLVGWGGNNGTTLTAGVIANREGISWATKEKVHKANYFGSLTQSSTIRVGSYNGEEIYAPFKSLVPMVNPNDIVFGGWDISSMNLADAMTRARVLDIDLQKQLRHHMESMVPLPGVYNPDFIAANQGSRANNVIKGTKKEQVEQVKKDIREFKEKSKVDKVVVLWTANTERYSNVVAGMNDTMDNLLASLDKDEPEMSPSTLYAIACVMEGVPFINGSPQNTFVPGLIELAIKKNSVIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQTFRSKEISKSGVVDDMVSSNAILYEPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQVPPGTPVVNALAKQRAMLENILRACVGLAPENNMMLEYK >OGLUM10G07430.1 pep chromosome:ALNU02000000:10:10844173:10848432:-1 gene:OGLUM10G07430 transcript:OGLUM10G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELPLAAGLLDLRPCKLAPPPPPPLPVSPSPRHHRRPHSTATACRAAPDLHSSTELADGSIVFRFARPRDDDDEEQQQRRADAVAPEAAAVVESGLDGDAAAAAEPEARDGGGEGEVTATATGLDAEEVVASGGAEATATSGLEDAGEEASDGSTARDSDTDVDTESSASTAADDDQPAEFAVPPPPAEEVCNKVDWEKDTSEVKNTDRMVPVASSTLVLASGAAILPHPSKAATGGEDAYFIACDGWFGVADGVGQWSFEGINAGLYARELMDGCKKFIMENQGAADIKPEQVLSKAADEAHSPGSSTVLVAHFDGQFLNASNIGDSGFLVIRNGEVYQKSKPMVYGFNFPLQIEKGDNPLKLVQNYTIELEDGDVIVTASDGLFDNVYEQEVATMVSKSLQADLKPTEIAEHLAAKAQEVGRSAAGSTPFSDAALAVGYLGFSGGKLDDIAVVVSIVRKSEI >OGLUM10G07440.1 pep chromosome:ALNU02000000:10:10861564:10872172:-1 gene:OGLUM10G07440 transcript:OGLUM10G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYLQSYRSRPVGVHGDGSGAGAAPPLAVPHAGALRLPTAPSLDSEKDGTRITVQSVTNKMYLSAAGGGGSKVVANGSKQRNSETFRDRNHQSISQISDAYGLIQLWKIESPVPMFKIRVDHDQFVSLNDDEELVAVATSPNQTGNFQIISNDVDKSQIQIKAPNGKLLQVQLDGSVKANGEPGGSWSNSDPAVFIIYINESKRMDGDFQLCSFYGANQTVKMLQDHWNSFITEDDFRFISSNGLNAVRIPVAWWITRDSGPPSCHPPNYPGYQAVLDRAFAWADKYNLHVIVDLHAAPGSQNGFAHRGSRDGTVAWGDATSIAMTVQVIEALADRYASWKDLLAIELLNEPLAPKVTLDTLKKYYTAGYTAVKEKVQRSDVYVIMSNRLNTQDPTELVEFTSTFDNCVIDVHYYNLYNLNFPSVKENIDFVKTNRSSQLNSLMRANGARVFVGEWTTEWGVQGANEEDRTSFADVQMDVYGQASFGWAFWSYKNNNTNWSMKDMIEKGKIYTQMVPKNLNMIENMRKIFRVTNESKYQKKKIH >OGLUM10G07440.2 pep chromosome:ALNU02000000:10:10861564:10872172:-1 gene:OGLUM10G07440 transcript:OGLUM10G07440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYLQSYRSRPVGVHGDGSGAGAAPPLAVPHAGALRLPTAPSLDSEKDGTRITVQSVTNKMYLSAAGGGGSKVVANGSKQRNSETFRLWKIESPVPMFKIRVDHDQFVSLNDDEELVAVATSPNQTGNFQIISNDVDKSQIQIKAPNGKLLQVQLDGSVKANGEPGGSWSNSDPAVFIIYINESKRMDGDFQLCSFYGANQTVKMLQDHWNSFITEDDFRFISSNGLNAVRIPVAWWITRDSGPPSCHPPNYPGYQAVLDRAFAWADKYNLHVIVDLHAAPGSQNGFAHRGSRDGTVAWGDATSIAMTVQVIEALADRYASWKDLLAIELLNEPLAPKVTLDTLKKYYTAGYTAVKEKVQRSDVYVIMSNRLNTQDPTELVEFTSTFDNCVIDVHYYNLYNLNFPSVKENIDFVKTNRSSQLNSLMRANGARVFVGEWTTEWGVQGANEEDRTSFADVQMDVYGQASFGWAFWSYKNNNTNWSMKDMIEKGKIYTQMVPKNLNMIENMRKIFRVTNESKYQKKKIH >OGLUM10G07440.3 pep chromosome:ALNU02000000:10:10861564:10872172:-1 gene:OGLUM10G07440 transcript:OGLUM10G07440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYLQSYRSRPVGVHGDGSGAGAAPPLAVPHAGALRLPTAPSLDSEKDGTRITVQSVTNKMYLSAAGGGGSKVVANGSKQRNSETFRVQLDGSVKANGEPGGSWSNSDPAVFIIYINESKRMDGDFQLCSFYGANQTVKMLQDHWNSFITEDDFRFISSNGLNAVRIPVAWWITRDSGPPSCHPPNYPGYQAVLDRAFAWADKYNLHVIVDLHAAPGSQNGFAHRGSRDGTVAWGDATSIAMTVQVIEALADRYASWKDLLAIELLNEPLAPKVTLDTLKKYYTAGYTAVKEKVQRSDVYVIMSNRLNTQDPTELVEFTSTFDNCVIDVHYYNLYNLNFPSVKENIDFVKTNRSSQLNSLMRANGARVFVGEWTTEWGVQGANEEDRTSFADVQMDVYGQASFGWAFWSYKNNNTNWSMKDMIEKGKIYTQMVPKNLNMIENMRKIFRVTNESKYQKKKIH >OGLUM10G07450.1 pep chromosome:ALNU02000000:10:10872282:10878782:-1 gene:OGLUM10G07450 transcript:OGLUM10G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHFEFLLLFLLLCSPCLFSVSDGRTVRPVKRDAPSPPIRAVNLGGWLVTEGWILPSLFDDIPNKDLLDGTQLQFKSVTQNMYLCAEQGGGTILVANRTSASGWETFKLWRIDEDTFDLRVFDNLFVTVAGDGVTVVATVASPGPGEAFQIVRNGDKTRARIRAPNGMFLQAKTSDSVTADYDGETNWGDDDPSVFVVTRVGGLQGEYQICNGYGKAKATKVLRGHWSTYIVESDFKFISTSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNLGVIVDLHAAPGSQNPFEHSASRDGSQDWGTTDANIAQTVQVIDFLTHRYASSPSLLAVELLNEPLAPGVTLPALMRYYKDGYNAVRKYTSTAYVVMSNRLSASNTELLGFAAGFPGAVLDVHYYNLFTSNFNGLTVDQNIDYVRTNRSDELSTVTRPNGPLTFVGEWVAEWNVQGASNQDYQRFAQAQLDVYGRATFGWAYWTYKNVNNHWSMQWNIQNGIISLKS >OGLUM10G07460.1 pep chromosome:ALNU02000000:10:10891819:10895550:-1 gene:OGLUM10G07460 transcript:OGLUM10G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQPHHLHLHLPPRPTSHRRFCPSLSNRPSLTLLRVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVDAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTISASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDDANPVERVTKGSFFNWRVYRYKKLGGSPLTRVLQVLVAAARNHRLNLPDDSSLLHELPGVSEGDYRTQHTNQFRFLDKAAILSDKNCTPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLVLIPVYDAALVPLARRATGRDRGVSHTQRIGVGLALSAVAMAYSAMVEARRLAAAAAGSTPMSIVWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSS >OGLUM10G07460.2 pep chromosome:ALNU02000000:10:10891819:10894705:-1 gene:OGLUM10G07460 transcript:OGLUM10G07460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVDAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTISASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDDANPVERVTKGSFFNWRVYRYKKLGGSPLTRVLQVLVAAARNHRLNLPDDSSLLHELPGVSEGDYRTQHTNQFRFLDKAAILSDKNCTPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLVLIPVYDAALVPLARRATGRDRGVSHTQRIGVGLALSAVAMAYSAMVEARRLAAAAAGSTPMSIVWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSS >OGLUM10G07460.3 pep chromosome:ALNU02000000:10:10891819:10895550:-1 gene:OGLUM10G07460 transcript:OGLUM10G07460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERQPHHLHLHLPPRPTSHRRFCPSLSNRPSLTLLRVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVDAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTISASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDDANPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAVFIAGRRVYRYKKLGGSPLTRVLQVLVAAARNHRLNLPDDSSLLHELPGVSEGDYRTQHTNQFRFLDKAAILSDKNCTPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLVLIPVYDAALVPLARRATGRDRGVSHTQRIGVGLALSAVAMAYSAMVEARRLAAAAAGSTPMSIVWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSS >OGLUM10G07460.4 pep chromosome:ALNU02000000:10:10891819:10894705:-1 gene:OGLUM10G07460 transcript:OGLUM10G07460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVDAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTISASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDDANPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAVFIAGRRVYRYKKLGGSPLTRVLQVLVAAARNHRLNLPDDSSLLHELPGVSEGDYRTQHTNQFRFLDKAAILSDKNCTPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLVLIPVYDAALVPLARRATGRDRGVSHTQRIGVGLALSAVAMAYSAMVEARRLAAAAAGSTPMSIVWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSS >OGLUM10G07460.5 pep chromosome:ALNU02000000:10:10891817:10894705:-1 gene:OGLUM10G07460 transcript:OGLUM10G07460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVGVIGFLPTKLLKKPEAQMEASDEERPLIHHLPPQEQCSKYTCDGTVNIDSKPALKQSTGNWRACFFILGVEFTECICFYGVSKNLVTYLTSVLHESNVDAAQNVSIWIGSCFFTPLIGAFLADTYWGRYWTVVMSILVIILGMIVLTISASPLFLNASFYNGGISRLTVYLGLYLFALGTGGIKPNIPAFGADQFDDANPVERVTKGSFFNWYYFSINVGSLLSSTVVVWVQDNIGWSVGFAGPMLLLGFGLAVFIAGRRVYRYKKLGGSPLTRVLQVLVAAARNHRLNLPDDSSLLHELPGVSEGDYRTQHTNQFRFLDKAAILSDKNCTPAAPSSPWRLCTVSQVEELKMLLRTFPVWASLVGFFMVTAQMTSTLIEQGVAMDGRVGRFTVPPASLATFDVVAVLVLIPVYDAALVPLARRATGRDRGVSHTQRIGVGLALSAVAMAYSAMVEARRLAAAAAGSTPMSIVWQVPSFFVLGAGEVFAVIGMLEFCYEQSPASMKSLGTALVQLAVAVANYLNSGMLRVVAAATARGGGGGGAGWIPDKLDEGHLDYFFWMMAALSVLNLLQFLHCSIRFRGNNTLSS >OGLUM10G07470.1 pep chromosome:ALNU02000000:10:10895920:10898659:1 gene:OGLUM10G07470 transcript:OGLUM10G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLPVFAHRLAPPPHPPPSIVAVAASPSCIHRRRHSFLARPSHQRDGPRPTRRWMCLPLPHPLPIWWPLWTALAPASAWIWGFGNTMV >OGLUM10G07470.2 pep chromosome:ALNU02000000:10:10895920:10899083:1 gene:OGLUM10G07470 transcript:OGLUM10G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSLLPVFAHRLAPPPHPPPSIVAVAASPSCIHRRRHSFLARPSHQRDGPRPTRRWMCLPLPHPLPIWWPLWTALAPASAWIWGFGNTMV >OGLUM10G07480.1 pep chromosome:ALNU02000000:10:10903265:10923325:-1 gene:OGLUM10G07480 transcript:OGLUM10G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLTFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSFLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADYSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRAPNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDICQKFKPPSNRITQRTTGTRCTCGYTSKILSRRIQCCKKIHFASLCNPVNSNVRGSNGVSLSSQQSLWCGEWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVITTATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYDNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >OGLUM10G07480.2 pep chromosome:ALNU02000000:10:10903265:10923325:-1 gene:OGLUM10G07480 transcript:OGLUM10G07480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLTFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSFLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADYSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRAPNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASSSIIKNWQINCTQIMLNPSNRTSEIYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNREWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVITTATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYDNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >OGLUM10G07480.3 pep chromosome:ALNU02000000:10:10903265:10923325:-1 gene:OGLUM10G07480 transcript:OGLUM10G07480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLTFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSFLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADYSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRAPNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNIGIIVDLHAAPGSQNRLDHSASRDGSLEWGTSAANIAQTVDVIDFLASSSIIKNWQINCTQIMLNPSNRTSEIYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNREWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVITTATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAERMGGRVEGKRGIQRGLPKLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >OGLUM10G07480.4 pep chromosome:ALNU02000000:10:10903267:10923325:-1 gene:OGLUM10G07480 transcript:OGLUM10G07480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLTFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYARSSSLLAIELLNEPLAPDVPVDTLAKYYQDAYNAVRKYTLQAYVILSTRMSGDPTEFLSVASSLFGAVIDVHYYNLYNSMFDIYTVEQNINFVRNNREWVAEWYVDNASKEDYQNFAQAQLDLYGKATFGWSYWTFKNVKNHWSMEWMIKNGYISLNNLPPSSPPIRSVNLGGWLVTEGWILPSLFDGIPNNDLLDGTTLHIKSVIQDKYLAAEQGGGQTIVANRAVASDWESFTLWRIDETTFNLRVFKKQFMGIDSNGTVITTATTPGLSETFQIVRSDTDKNRVRIRAPNGSFLQAKTANSVTADYGESTNWGNDDPSVFIVDMVGGPQGEYQICNGYGAEKASQVLREHWSTYIVESDFKFISSSGLNAVRIPVGWWIASDPNPPAPFVGGSLQALDNAFKWAEKYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYDNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >OGLUM10G07480.5 pep chromosome:ALNU02000000:10:10903267:10923325:-1 gene:OGLUM10G07480 transcript:OGLUM10G07480.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSSPPVPPIRSVNLGGWLVTEGWILPSLFDDIPNNDLLTFKLWRVDENTFNLKAIDDSAVHFVGVDGNGVVVATAATPGPSETFVIVRSDRDNSRIRIRASNGKFLQAKTMASVTADHGEGTSWGDDDPSVFVINRGEKLQGEYQLCNGYGVKKATEVLREHWSTYIVENDFKFISSNGLNAVRIPVGWWIASDPNPPAPFVGGSLEALDNAFRWAEKYNLGVIVDLHAAPGSQNPWEHSGSRDGSQTWGTTDETIIQTVQVIDFLASRYAKSPSFLAVELLNEPLAPKVSAGMLKKYYQDAYNAVRKYTSDAYVIMSNPISADYSNEILQFAGGFFGAVFDVHYYNMFNGSFDNTTAEWNIQFVRNDRSAELRSVTKQNGPLTYVGEWVAEWKVNNASEEDYKRFAQAQLDVYSQATFGWAYWSFKHVQNHWSLEWMIKNGYISLNQPKLPIRAVNLGGWLVTEGWIKPSLFDGISNKDLLDGTQLQFKSVTNNMYLAAENGGGSAIVANREKASGWETFKLWRINETTFNLRVFNNQFVSIGGNGAVIATATVPGPNETFQIIRLDSDKSRMRIRAPNGKFLQVKAMGSVTADHGASTNWGNDDPSVFVVNNIYGLQGEYQICNGYSAGNATEVLREHWNTFIVEDDFKFISSNGLNAYNVGVIVDLHAAPGSQNHWEHSATRDGSLEWGTTDTSITQTVQIIDFLASRYANSPSLLAIELLNEPWGPDVPLEKLKKYYEDAYNVVRKYTAKAYVIMSNRLAGESNTELLDFASRFPGAVIDVHYDNLFNDDTFKNLNVEQNIEFVKNSRKAEFSNINKQKSPLTFVGEWVAEWKVNGASKEDYQRFAQAQLDVYGRATFGWAYWNFKNVNNHWSLEWMIKNGYISLKI >OGLUM10G07490.1 pep chromosome:ALNU02000000:10:10926752:10928190:-1 gene:OGLUM10G07490 transcript:OGLUM10G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAAQNKLAEYGDTATEKLERGYLTQLCPSCSTFLPSCVGCTSCSTFLPSCVGCREGPQLLHDATFAKRSIGKGERKPARVGGHEGPRLTKEELDPEAEATTTLLVGELWPGPGDSSQAEPRDGCVEEKDGVTEDLSVRQVVLSGAMRAGRGPTRTRRLIRRSLDAEMRREWE >OGLUM10G07500.1 pep chromosome:ALNU02000000:10:10933811:10934379:1 gene:OGLUM10G07500 transcript:OGLUM10G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHHATPHDHYSSSSLESSLLSSLLTLPTPPLHSRARRWRRRQCRPPARSPSSSSSWAWPSRRSPPPPPPPATSAPAARASSSAAASGATPASPASRPPPPPTSPIGLRNVEFVRVDLQI >OGLUM10G07510.1 pep chromosome:ALNU02000000:10:10952630:10954367:-1 gene:OGLUM10G07510 transcript:OGLUM10G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDEFNEFVMNELIDPSSLDEEHDLFFGAAQMIIEESVNNPGRIGSVQGHEVVHRDRLLWHNLLYKDYFSDNPTFGANIFRRRITKKIKNKETHTQLQADLIEHLWQNHGDLYHID >OGLUM10G07520.1 pep chromosome:ALNU02000000:10:10956128:10957093:1 gene:OGLUM10G07520 transcript:OGLUM10G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQWRCLAAAASTSGNSKLPPLPMALGGAVEYGQLVHGAAAPVAPFFVDAEQQSLSPATAMVLGAGWYNYNLVTPSQAAQLHHRLRRAVGAAPCSMKRCGGMAAAAAGRLALVGPAPVQAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEDAALAYDGAAFRLRGDAARLNFPELRRGGRHHAPPLSASVDAKILQATTTTTADTAAAAAPASTNTTPPPSPRVVKTEPGCCSVSEASTTTTADAADVSSTGSSPSPTSSNQAATATPPAPRPPPPLPETIQQLDFTEAPWDEADGFALRRYPSWEIDWDAILS >OGLUM10G07530.1 pep chromosome:ALNU02000000:10:10957482:10961644:1 gene:OGLUM10G07530 transcript:OGLUM10G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGAKVKVECRSKSTGAKTCSFEGQTDHTGTYNIPVNDEHEHELCESVLVSSPDAKCGKIVAGRERAPVFLTNNNGVTSNVRLANALGFQKDAPLAACAQILKMYEEVDDRV >OGLUM10G07540.1 pep chromosome:ALNU02000000:10:10968076:10969497:-1 gene:OGLUM10G07540 transcript:OGLUM10G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPDRPRGRGHGRRLAVEVSSSGGRWTMGEIGQRRVKSVRGGDGRGSPTSFAPSHGESALAPVGSRRWRPWPRKAGMGMVVRIDGWMDVQFVAVGCLSRCSWVMDICRWET >OGLUM10G07540.2 pep chromosome:ALNU02000000:10:10968761:10969497:-1 gene:OGLUM10G07540 transcript:OGLUM10G07540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFPDRPRGRGHGRRLAVEVSSSGGRWTMGEIGQRRVKSVRGGDGRGSPTSFAPSHGESALAPVGSRRWRPWPRKAGMGMVVRIDGWMDVQFVCVPMKVRMFYLFYFLSMIYLLNQSL >OGLUM10G07550.1 pep chromosome:ALNU02000000:10:10973402:10973702:-1 gene:OGLUM10G07550 transcript:OGLUM10G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSIGYYVPLPQMGPLGMPVEYAVVGFHLGEFRMPPLPQTRTAGAGGSSRVFGACSAGLQESVVGQVVVPVRGPEAGGKRHG >OGLUM10G07560.1 pep chromosome:ALNU02000000:10:10993094:10993369:-1 gene:OGLUM10G07560 transcript:OGLUM10G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPSSSTEYECGLCQGKKNNVFEENHAMLANKVEAGGAYRHTPNAWRFAYQPPSLQVTGDQPATSTAPQPEKQITIKETTTTTTTTSTT >OGLUM10G07570.1 pep chromosome:ALNU02000000:10:10993712:10994397:1 gene:OGLUM10G07570 transcript:OGLUM10G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDTDTSTSTTSNIHGSMAHASRRARRWRCTCRRSANCKGKSKFVLEHQAMWEKEGRNKGYDTTVHRWRFATVPEGKIPAGWEKEDFLVANDAPSLQHLGCDGRGLAVLVCLTDDELKVQDKVYYDGLLESRWAEDTGSSYAPRTAAVAGSVTGRRHDPRGQRHDGGDEEHSGGKVPQGQDSGEARI >OGLUM10G07580.1 pep chromosome:ALNU02000000:10:10995843:10996466:-1 gene:OGLUM10G07580 transcript:OGLUM10G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLINGSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPPVSTFITAASPMALLGSSFTAGVARRCRRPPRCLPIHPTPSGRLQAAAIASARAAAAGVFTSDRIKKGPPVRHVSTADGAVSISKYP >OGLUM10G07590.1 pep chromosome:ALNU02000000:10:10996191:10997034:1 gene:OGLUM10G07590 transcript:OGLUM10G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQRGGRRQRRATPAVNELPSKAMGDAAVMKVDTGGTSRSARQTALLHLKPEPKPICQTRWPRRTRPLASASITFVRVVTSMPGARAMAGGGGGGASQMQPSSSLYHSTTARGSSSTSAGRRRAGRLGRAWGRRSQRGGGPEQDEDGGGRGGDSTGRRWRLEVLTVMVAKRQWRAGDVATRGGSGRAWQRLWRGGGEVKEVAGGGGEWPDRRPFFFFDVA >OGLUM10G07600.1 pep chromosome:ALNU02000000:10:10998302:11001772:-1 gene:OGLUM10G07600 transcript:OGLUM10G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDMDQSWGDKHFVRFYGRKRRQQNMCKEPESSCRDKAPSLSVIEQILGRKGLIAWTYAALAVGLHLFGGLGKLAPILYVPPPASTKIKADLVMVIVLTTKQDFPLPIILVENSGMCKTNEHGEKQSDPFLPIVKWDGKPVGDE >OGLUM10G07610.1 pep chromosome:ALNU02000000:10:11005641:11006933:1 gene:OGLUM10G07610 transcript:OGLUM10G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSSLAAAASASAASPSLAKAVDTYRKAVGTAATLTAYTVLARGMARELVPHDLRAAVAWAASLVRARFEPRPAERRTVIIRRRDGGDGDPYGRGHENRVFADAHSYLATKIDPRSMTRFCLSGGASGGERRARSSVVISMVPGDSMTDVFEGVEFTWTSVPGEGGGGGGRSNGGGTAAESDSRELSFDAEHTDTALDRYVPFIRDEVERARRRDRELGISMNEGSSWNGIVHHHPATFDTEKEKLTLSGLLNFIDGLWSTSGEERVIVFTTNYRERLDPALLRPGRMDKHVYMGHCGWDAFTTLARNYFLVDDHPLFPEIRRLISQAEVTPAEVSEMLLRSEDAGAALAGLAEFLEVKKKKMNQAAV >OGLUM10G07620.1 pep chromosome:ALNU02000000:10:11010018:11011542:-1 gene:OGLUM10G07620 transcript:OGLUM10G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFLTDYAKEEMGGFTGVIVFSGDVAPERDASLEEFLDSCCDQIGAMRCARNGDEQTEIKKRKEEAMEEEYLLGRLGRRSEERAARRARRPGQDGGAGGLAGGRLGGLPRPRGGRLLLVPRPRGTAARGRDAEVSPRSSVAAASAAGLVRWPGREDERRRGGEAAVPNAGPGEGKKEKPPLPVESVLAGVGEGKGAMALPPCNSFGDKEPQPPLALEPVGVSGCSGAPKNVKPPLAVGPVVAAACFGEAKNEKAPGGAVGSFGEATNANPPLAVEPVGVSGAAGDTKNDKALLPLDPVGVVGALGASSKNANMFPPPPPPPPPPPPPVPPTANGKEKSLSGQEPRVPAREESRGGAVAGEGVGVGEPKGWSSSSTFLRGDEGGRRHRRFLPASQGAEKATAECARSMRRSARWWRGVVGVGAGEPKEGSRWGSGAASVAMAVAWRARAAHEFLDSWVELGGGGGGSQRRLVG >OGLUM10G07630.1 pep chromosome:ALNU02000000:10:11014836:11017868:1 gene:OGLUM10G07630 transcript:OGLUM10G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSAAPNPRIHARASTRGAVKECQGKSKFFLEHQAKWEKEGNKGYDTNAHGWRFAYELTFPEGEIPSDWGYSKPLWDEHAKDEARRRHREAKQRKNEALQRQQRIEQVRTRWREKYGAGKAPRKEQLQKEAMDDMFDWQVLAEKRHTKNVQMALNIINRKHPGRNYELWEISAKSTIVEMELSYCHYNFTAYSPSSGFGFFFAETSDDVKCEDQVHSWCSIETGEIGCYVRCMSYEIYLVHPSSDKFLFGDESLHCCCADH >OGLUM10G07640.1 pep chromosome:ALNU02000000:10:11019778:11030719:-1 gene:OGLUM10G07640 transcript:OGLUM10G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLGIAVGDSWSDTEIIMFLAERKTWDPLPQNILVGVDLTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQTKKVSSSENWMNEKARNGQAQGSTDKRFLIFGVYVTDHLFDNQLKLLNIITRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKEYTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGDIRAKVDKMTQMYGLSEVVPIDSNQFIEGSFNADAYGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQMRMKEHIFLTKIKKVIESHIMDGKVLIASFRITTGCYLIKSTKFQKKIPNMN >OGLUM10G07640.2 pep chromosome:ALNU02000000:10:11019778:11030719:-1 gene:OGLUM10G07640 transcript:OGLUM10G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLGIAVGDSWSDTEIIMFLAERKTWDPLPQNILVGVDLTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQTKKVSSSENWMNEKARNGQAQGSTDKRFLIFGVYVTDHLFDNQLKLLNIITRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKEYTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGDIRAKVDKMTQMYGLSEVVPIDSNQLILPPVRQQTSGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQMRMKEHIFLTKIKKVIESHIMDGKVLIASFRITTGCYLIKSTKFQKKIPNMN >OGLUM10G07640.3 pep chromosome:ALNU02000000:10:11019778:11030719:-1 gene:OGLUM10G07640 transcript:OGLUM10G07640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPPLGIAVGDSWSDTEIIMFLAERKTWDPLPQNILVGVDLTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQTKKVSSSENWMNEKARNGQAQGSTDKRFLIFGVYVTRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKEYTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGDIRAKVDKMTQMYGLSEVVPIDSNQFIEGSFNADAYGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQMRMKEHIFLTKIKKVIESHIMDGKVLIASFRITTGCYLIKSTKFQKKIPNMN >OGLUM10G07640.4 pep chromosome:ALNU02000000:10:11019778:11030719:-1 gene:OGLUM10G07640 transcript:OGLUM10G07640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAERKTWDPLPQNILVGVDLTMIDPRDSPGTLWFLNWPDNQLCYDDENNVFRKAKNGYWKCVDACRIQTGTSILGVKLCLEFHEGQTPCGTRSGLMMYEYLIEQNDELNLPQAYKSLCRMFLQEDRKIVEDEHISLNLGVHHNFLGSYSQYLAEIQRQNMVMNSQTKKVSSSENWMNEKARNGQAQGSTDKRFLIFGVYVTDHLFDNQLKLLNIITRLNNYLELMIEEPRFSSIAYVRLDSQVKKLDKEYTSAMDLSSSLHQMLQKLNLRVQDEEVWVSQLGSNLQASFRKIMDTSSEIGKLEDEVLQLKVDIAEFAPGEVTSCAVYEMIKTLQIVELFMNNSTRHLKLIDGINSEMREFGSIFNGKVRCLVRFARYQQTIMEKSTQIINFLGDIRAKVDKMTQMYGLSEVVPIDSNQFIEGSFNADAYGTCSYYATLSSVESLYKREYASYPTILKDKIGQPDEFLVNLSRAQLEDIVEDFYTRHKVKGSKRLNVCLDKMKNEGVISEESYMNPQAQMRMKEHIFLTKIKKVIESHIMDGKVLIASFRITTGCYLIKSTKFQKKIPNMN >OGLUM10G07650.1 pep chromosome:ALNU02000000:10:11033006:11037354:1 gene:OGLUM10G07650 transcript:OGLUM10G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >OGLUM10G07650.2 pep chromosome:ALNU02000000:10:11033006:11038326:1 gene:OGLUM10G07650 transcript:OGLUM10G07650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >OGLUM10G07650.3 pep chromosome:ALNU02000000:10:11033006:11038326:1 gene:OGLUM10G07650 transcript:OGLUM10G07650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >OGLUM10G07650.4 pep chromosome:ALNU02000000:10:11033006:11037397:1 gene:OGLUM10G07650 transcript:OGLUM10G07650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIASSASSSSLTALFDPSSDSPLPSPPGSPPRDVSTTPSFVLSTQYSGDYRADWHQRDVQVQGLDKSWMDPTPTPSIFGVDDLCTIFAANLDCQMVKSRSRVPPREELRRISDERDYNSLRIALKAYISRSSEKTPELRVVSVLERNLIVEHGQGFLHFNFLVQPVDLESSTLKMFFAEVHPDCKGDEDVYVCCPLHVHDNGHCFGCSVRAKFLRHPSSHVFLGGHKDVDFPFTDSSSNDHSNGTEDSLRDEESENSKIETDDKDSNSDTEEETESKDD >OGLUM10G07660.1 pep chromosome:ALNU02000000:10:11038847:11039813:1 gene:OGLUM10G07660 transcript:OGLUM10G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPHHSVVSPRLPEASRSRAASSPRLASRKLREAPARPEPWRSRSRQATTPASSSRCAAPGRRCSSPRSAIPARRRRGGRRHHGDRAPPPRVVASSGTPASSARSSLRRGARPRCTRRTPARRFSSSSPWSPSSCSSSGSSRQRRWRRRREGGSERGAGLRSTESEGFGASFTVLVLREFLPLLRGDALLCG >OGLUM10G07670.1 pep chromosome:ALNU02000000:10:11049128:11050840:1 gene:OGLUM10G07670 transcript:OGLUM10G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCNAALLLLDGFGFYHCLHHGKVVIVERILATLGIGGMGLLLVLFGLDSVAVLADNEFSAVNMGVDDSGGLDLFGFVPSWLDGIIVLIDEIASAAAA >OGLUM10G07680.1 pep chromosome:ALNU02000000:10:11070532:11071923:-1 gene:OGLUM10G07680 transcript:OGLUM10G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPPEFQDVQSRAAAAVAFLGNVKARFRRRPAVYVELCDVLTAYGRDPAAPAAPVLRRTAELLRGHPDLVAEINAVIYPHNRVELVAHDDDNRVELAAAAARPRRRSDTQRRLDDAAAAESSAAAERRAKVSKAEQFLANLRIVGGVELHDRVEHVIYDVNEDKGLDAHQVYARLEEVLAAEHPYLLHGVDEFFPRPKHQPPPHTAADGEPDADHRPSSSKSKLAAVIDINQNGDATRPSRARATQLRTAAIFDLQINHVDLHVNKNSEAVRPKKKPRAADPQTSKSALDGGDDDGAVLPSRAAKKPRAADIKIKRRHPLDDGEESDACWQVTTDKPHDAARTFRKILEFIAWYSKLVTTMRRAEELERREPHPHGALKDLFPSSDCHEILEELYGGGWRTVQVTHDDDGGRAGRTTMAAMLVGLRQRENAAVELARRRADKTRYGEEPAAASGSRPRRHRP >OGLUM10G07690.1 pep chromosome:ALNU02000000:10:11072015:11073092:1 gene:OGLUM10G07690 transcript:OGLUM10G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAHAVHILPGIHAPKRSPIAFTVGDGLYVMEAASPEPLPMCWAEHCFEALIHCLPPAPPTSRTGTGAPSQRCTTPETTGPPHRRARGGR >OGLUM10G07700.1 pep chromosome:ALNU02000000:10:11073127:11073380:1 gene:OGLUM10G07700 transcript:OGLUM10G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFDTASDAWPNFGDWVLPFRGRIEHVPVDNLSFGFSPHDDGHLRASDLTATPPLLPPHKHASKTTT >OGLUM10G07710.1 pep chromosome:ALNU02000000:10:11083281:11083559:1 gene:OGLUM10G07710 transcript:OGLUM10G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVAASCSEASTAEGNSMPYDHQSMPAPCPPLRATSGATYSCVPTNELDRACTGSARDAHHVQILEREEHLSGVEPGERDWESTTGHALVL >OGLUM10G07720.1 pep chromosome:ALNU02000000:10:11083599:11083802:1 gene:OGLUM10G07720 transcript:OGLUM10G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMKGWSSRRRMRFSAAAWPSLPFLESARQSTTFMAYSHEDDEPTHSPSDDVPGADVVDEGEVPRA >OGLUM10G07730.1 pep chromosome:ALNU02000000:10:11086117:11092309:-1 gene:OGLUM10G07730 transcript:OGLUM10G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLITATGAPRRRGRRKGRRRHRVPLRLPRTEAATGPTFTPPFRARLGEWAAAAASASARPDNRIVLPSPGTTSLSSFSGDPAGWVSVQCRPRLSPGAAENELQLVDFFQRCHIGRGSQEGTNENLGKAKVSNMRNHVLPKKNVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRSSSCADRLVIEFGSAPPSLLVQFVKNVMNLQSLTLVAFSWFLCSIRYFRQSIPISGGTIPVKLLEERSMERMLVAFAIEGGMLPVKWFKATMKYWIFGHCPNQKCQPLKTADLAKGLRDWSSEVILIEEKFRQRREVAKYKKIQVRNSESPVD >OGLUM10G07730.2 pep chromosome:ALNU02000000:10:11086117:11092309:-1 gene:OGLUM10G07730 transcript:OGLUM10G07730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLITATGAPRRRGRRKGRRRHRVPLRLPRTEAATGPTFTPPFRARLGEWAAAAASASARPDNRIVLPSPGTTSLSSFSGDPAGWVSVQCRPRLSPGAAENELQLVDFFQRCHIGRGSQEGTNENLGKAKVSNMRNHVLPKKNVAMISCCRFPMLAGNGPSKLLLSRESCCSCVKFPSEDGMIPDNRSSSCADRLVIEFGSAPPSLLVQFVKNVMNLQSLTLVAFSWFLCSIRYFRQSIPISGGTIPVKLLEERSMERMLVAFAIEGGMLPVKWFKATMKYWIFGRPLPKSEGNLPCNSFSCRPMWISEERLRSVLGMCQPLKTADLAKGLRDWSSEVILIEEKFRQRREVAKYKKIQVRNSESPVD >OGLUM10G07730.3 pep chromosome:ALNU02000000:10:11086117:11092309:-1 gene:OGLUM10G07730 transcript:OGLUM10G07730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLITATGAPRRRGRRKGRRRHRVPLRLPRTEAATGPTFTPPFRARLGEWAAAAASASARPDNRIVLPSPGTTSLSSFSGDPAGWVSVQCRPRLSPGAAENELQLVDFFQRCHIGRGSQEGTNENLGKAKVSNMRNHVLPKKNVPNACWQWPLQAIVGDRVWQCSTKPVVLYCHSMKSCAICQERDEPPVLDTGCLQLVFQAEHSNLRRYDSGEVVRREVYGAHCQPLKTADLAKGLRDWSSEVILIEEKFRQRREVAKYKKIQVRNSESPVD >OGLUM10G07730.4 pep chromosome:ALNU02000000:10:11086117:11092309:-1 gene:OGLUM10G07730 transcript:OGLUM10G07730.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLITATGAPRRRGRRKGRRRHRVPLRLPRTEAATGPTFTPPFRARLGEWAAAAASASARPDNRIVLPSPGTTSLSSFSGDPAGWVSVQCRPRLSPGAAENELQLVDFFQRCHIGRGSQEGTNENLGKAKVSNMRNHVLPKKNHQVFQAEHSNLRRYDSGEVVRREVYGAHCQPLKTADLAKGLRDWSSEVILIEEKFRQRREVAKYKKIQVRNSESPVD >OGLUM10G07740.1 pep chromosome:ALNU02000000:10:11086967:11090917:1 gene:OGLUM10G07740 transcript:OGLUM10G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKSFKMFTALKLLLLPYLLLLASASCTQGLPFSNNADLDALLGFKAGLSHQSDALASWNTTTSYCQWSGVICSHRHKQRVLALNLTSTGLHGYISASIGNLTYLRSLDLSCNQLYGEIPLTIGRLSKLSYLDLSNNSFQGEIPWTIGQLPQLSYLYLSNNSLQGKITDELRNCTNLASIKLDLNSLNGKIPDWFGGFPKLNSISLGKNIFTGIIPQSLGNLSALSELFLNENHLTGPIPEALGKISSLERLALQVNHLSGTIPRTLLNLSSLIHIGLQENELHGRLPSDLGNGLPKIQYFIVALNHFTGSIPPSIANATNMRSIDLSSNNFTGIVPPEIGMLCLKYLMLQRNQLKATSVKDWRFITFLTNCTRLHAVTIQNNRLGGALPNSITNLSAQLELLDIGFNKISGKIPDGINNFLKLIKLGLSNNRFSGPIPDSIGRLETLQYLTLENNLLSGIIPSSLGNLTQLQQLSLDNNSLEGPLPASIGNLQQLIIATFSNNKLRDQLPGEIFNLPSLSYVLDLSRNHFSGSLPSAVGGLTKLTYLYMYSNNFSGLLPNSLSNCQSLMELHLDDNFFNGTIPVSVSKMQGLVLLNLTKNSFFGAIPQDLGLMDGLKELYLSHNNLSAQIPENMENMTSLYWLDISFNNLDGQVPAHGVFANLTGFKFDGNGKLCGGIGELHLPSCPTKPMGHSRSILLVTQKVVIPTAVTIFVCFILAAVAFSIRKKLRPSSMRTTVAPLPDGMYPRVSYYELFQSTNGFNVNNLVGTGRYGSVYKGTMLLKKSETTVAIKVFNLEQCGSSKSFVAECNAISKIRHRNLIGVITCCSCSGLNQNDFKAIVFKFMPHGNLDKWLHPEVHSSDPVKVLTLMQRLSIASDIAAALDYLHNSCNPTIVHCDFKPRNILLGEDMVAHVGDLGLAKILTDPEGQQLINSKSSVGLMGTIGYIAPEYAECGQISPSGDVYSFGIVLLEMFTGKAPTNDMFTDGLTLQKYAEMAYPARLIDIVDPHLLSIENTLGEINCVMSSVTRLALVCSRMKPTERLRMRDVADEMQTIMASYVAEIDKVSL >OGLUM10G07750.1 pep chromosome:ALNU02000000:10:11109013:11112369:1 gene:OGLUM10G07750 transcript:OGLUM10G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNDNQLSGPIPESLGRLSKLEMLALQVNHLRGNIPRTIFNLSSLVQIGVEMNELEGTLPSDLGNALPKIQYLILALNHLTGSIPASIANATTMYSIDLSGNNFTGIVPPEIGTLCPNFLLLNGNQLTASRVQDWEFITLLTNCTSLWGVTLQNNRLGGALPNSIANLSEHLQLLDLRFNEISNRIPDGIGNFPKLIKLGLSSNRFTGLIPDNIGRLTMLQFLTLDNNLLSGMMPSSLGNLTQLQHLSVNNNNLDGPLPASLGNLQRLVSATFSNNKLSGPLPGEIFSLSSLSFVLDLSRNQFSSSLPSEVGGLTKLTYLYMHNNKLAGALPDAISSCQSLMELRMDGNSLNSTIPVSISKMRGLELLNLTKNSLTGAIPEELGLMKGLKELYLGHNNLSLQIPETFISMTSLYQLDISFNHLDGQVPTHGVFSNLTGFQFVGNNKLCGGIQELHLPSCQVKSNRRILQIIRKAGILSASVILVCFILVLLVFYLKKRLRPLSSKVEIVASSFMNQMYPRISYSDLAKATNGFTSNNLVGTGRYGSVYKGRMRFKNSVSDVAVKVFDLEQSGSSKSFVAECKALSKIQHRNLVGVITCCSCPNLNQNDFKALVFEFMPYGSLDRWIHPDIDPSSPVKVLTLMQRLNIALDIGAALDCLHNNCQPAIVHCDLKPSNILLGDNMVAHVGDFGLAKIVTDPAGEQLINSKSSVGIMGTIGYVAPEYGEGGQISPYGDVYSFGKVPTHDMFSDGLTLQKYAEMAYPELLIDIVDPRMLSVENAWGEINSVITAVTRLALVCSRRRPTDRLCMREVVAEIQTIRASYVEEINKIVSD >OGLUM10G07760.1 pep chromosome:ALNU02000000:10:11132831:11133086:-1 gene:OGLUM10G07760 transcript:OGLUM10G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDMDSGKPPSGVAINQACPTQCHCHRSGSLHATKVALELIVVWINLILGSNGVVRPHLGSSGNVQAYPSVYGVDRAPLG >OGLUM10G07770.1 pep chromosome:ALNU02000000:10:11139622:11147085:1 gene:OGLUM10G07770 transcript:OGLUM10G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADARRFAVVPQLGEHNADIAQILKEAQQRWLRPAEICEILKNYKSFRIAPEPPNRPQSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRTYWMLEEDFMHIVLVHYLETKKSLNPHCIVLCDYELQGGKSRTRGNNDMHQAAVMDSPLSQLPSQTIDGESSLSGQFSEYEEAESGGTGYHSFTQMQQQQNGIGPVTDASMFSSRVSASSIGNYQGQHAMGHTTNFYSSSQHDSPLVLSDPNLELENNGHESLWNGVMKPDEGTVQMTHLQPPVHPEQGMFTTEGQGVEYLTFDEVYSDGLSLKDIGAAGADVEPFWQFSSNSYLTEAIAFQLSSATADISATENSVQQNDGSLGAAIGFPFLKTQSSNLSDILKDSFKKSDSFTRWMSKELLDVEDSQIQSSSGAYWNTEEADSIIEASSREPLDQFTVAPMVLQDQLFSIVDFSPSWTYAGSKTKVLVTGRFLHANEVTERCKWSCMFGEVEIQAEISADGTLRCYSPPHKPGRVPFYVTCSNRLACSEVREFEFRPSDSQYMDAPSPLGATNKVYFQIRLDNLLSLGPDVYQATITNPSKEMIDLSKKISSLLANNDEWSKLLKLADDNEPLSHDQQDQYAENLIKEKLHVWLLHKVGNGGKGPSVLDDEGLGVLHLAAALGYDWAIRPTVTAGVNINFRDFHGWTALHWAAFCGRERTVVALIALGAAPGALTDPHPNYPAESTPADLASANGHKGISGFLAESSLTSHLQALNLKEANMSEISGLPGIGDITERNASQPAIGDSLGAVRNAAQAAARIYQVFRVQSFQRKQAVQYEGDKGGISDEHTLSLLSMKPSKSGQLDPLHAAASRIQNKYRGWKGRKEFLLFRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKVILRWRRRRAGLRGFRPTEGAIESSSGGTSSNLVTDKPAGDDYDFLQEGRKQTEERLQKALARVKSMVQYPEARDQYQRILNVVSKMQESQTVQEKILDESTEMDEGDFMSEFKELWDDDTPLPGYF >OGLUM10G07780.1 pep chromosome:ALNU02000000:10:11148362:11152261:1 gene:OGLUM10G07780 transcript:OGLUM10G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPPPLSAAGAGAGRRRWQNRLSPTLARDRCYTRAFRSAGLRPTAVPLPDGAVVHLWLPPAAPPELHPVLLLHGFGARATWQWAPFLRPLIAAGLAPFVPDLVFFGGSASPAADRSPAYQAACVAAAMAAVLPGAPQAQAQRYAVVGVSYGGFVAYHLAHAFPAAVERLVLVAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLHALINGKKLSDLPKINQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELVIVKNAGHAINREKPAELCRLIKNYIADPSVKYRDGHKGSWKSAIKRFAGSSLRKVDSTRPLL >OGLUM10G07790.1 pep chromosome:ALNU02000000:10:11162640:11162876:-1 gene:OGLUM10G07790 transcript:OGLUM10G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRAECRRESDLRLAALRHNHGLQSADAASLPPWPSTDDADDSADLAEPSSATADSITVGVELATLFLGESRGCS >OGLUM10G07800.1 pep chromosome:ALNU02000000:10:11181511:11187139:1 gene:OGLUM10G07800 transcript:OGLUM10G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSLAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGVGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGYTSDTLEEGPAAAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDNDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >OGLUM10G07800.2 pep chromosome:ALNU02000000:10:11181511:11187139:1 gene:OGLUM10G07800 transcript:OGLUM10G07800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSLAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGVGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGYTSDTLEEGPAAAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDNDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >OGLUM10G07800.3 pep chromosome:ALNU02000000:10:11181648:11187139:1 gene:OGLUM10G07800 transcript:OGLUM10G07800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMRCIPGLLAGRRKKRVVADAKKASGDCPKVKPVEFIDSLAAECGDGKVAPCDVKVVVDDTVVTALAATKGGGGGDDHGGDMALAKRGSMSSDFEFEFHAHEKPDGHGVPAGASPDAVIASGKEVVVADASPKLKRSCSNIETKRPGAHDAAAEAAPARSRSYGDLGNLPGGVGGGISLETTPRGAAPQAEASPASVRTSRTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPSPAARPAAAACTPAGHHGGGGYTSDTLEEGPAAAAADRKNKKVMVDDSPPIPNQWVAFSADNSLHDRISAWVNSIDNDTFRIAEEDNDDHHHHHYHGDADDDDGEHAMEHGDCVARAPRALEIGESSGKGHGKSKRSTAADEVAQANTIIQSLNAFSSVAHISGMGLKVVPMIAPFSSLRAVNLSGNFIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSGCTALRELYLAGNKISDVEGLHRLLKLAVLDLGFNKVTTARALGQLVANYHSLRALNLVGNPVQANVGDDALRRAVTGLLPHLAYLNKQPVKPRGAAPADGAVSRAALEAGGAGGGSRSARKRSSAAAASRRLGQRGEGSGSGRSRSKGRQPSSSLPARR >OGLUM10G07810.1 pep chromosome:ALNU02000000:10:11188784:11189723:1 gene:OGLUM10G07810 transcript:OGLUM10G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSHHLLAAAVAAVVVVVMGWSARPCEASLYQPPPPAMAYHDGAVLEGAVPVSVLYYGAFPPHHRAVVADFLMSLSPRGRDHQPHTFGAPGPAPPPTVARWWGTVERYVRKAGRGGGAGVARGDAAAPVEVAGACPGVYGRGAYPGYPGAVRVDAATGAGYNVVGRNGRRYLVPALVDPDNYSCLIMT >OGLUM10G07820.1 pep chromosome:ALNU02000000:10:11192977:11196211:-1 gene:OGLUM10G07820 transcript:OGLUM10G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGQRAKEAVARAWGCPDPVVPNHLKTGYSAQEFGAAERRQAVTLGWRAKEGDASENNTISPEELQIRDELEADIEEDLEREIIDDMCRLTRHLQRLYQQRDLRQLTGSATSYQMPLYHTTTEVLSEINIRINLDGQCKINITKIEQDDDTENQRKTCPNAYQSDKRRGHVKARQTYTVSRRKQQNHPVAPWR >OGLUM10G07830.1 pep chromosome:ALNU02000000:10:11199618:11199896:-1 gene:OGLUM10G07830 transcript:OGLUM10G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVAKAKEVTTMTLIGGDGVAWQAARKEGTMAGRLSEEEVMGQMWRGMVACPLVHEGGGWRWRKKPSSSSPHGGWWRLRPVTELELTHEGK >OGLUM10G07840.1 pep chromosome:ALNU02000000:10:11204324:11208003:-1 gene:OGLUM10G07840 transcript:OGLUM10G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPNDKYSRRVRETGIALGDKWPPTKMTSVGVRLLPACENLFSQADLKKSAYENTFIFAGGPFKSSACENIFLQSELLRAKMFLAFQIFGNFLEISTVPLLPSRFSSHPFLSSSFLFFFSPLRPIEGRSRPPASAHGGVLSSSSTSVVPYNCTAGDKPLPQQQWRPTKFGDQPPQPSLGWSPNLNLPCPLPPTQPTVVSLPAPRSGGPALPLLPHHHHVRSKVAFRFPNDQGFEGGTNGGVFVEQAHHPSASAVLGALERHTTLLLYSNRLVGSLPPSPGGLAAPQMLHISDTSVMSSPSLVVLSRLANLTVFELASCNLNNTILRSLGRLTKERGGHGDWKDDRHHRRDQWLRQRCPRDAPSSPTAAFEMRWREMGKKIRGDDGGRRWN >OGLUM10G07850.1 pep chromosome:ALNU02000000:10:11213993:11215940:1 gene:OGLUM10G07850 transcript:OGLUM10G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAVVYSHGGHFGGYGGGLMGGAPAAPWDVFAAAAGGGGGGSWDDPGLFAAAASLDVIQGVDEWEVDQDQHASSSSKVAARPPVKAAAAAGKRKRQRAKAAKNREEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQTLEARRTIKDHIDGGAGESPSPFAGFFAFPQYSTATSGHGGGGDAHSRIVVKPAETTTTAAGGGAGVAIADIEASMVEGHASVKVQARRRPRQLLKLVAGLHQLGLTTLHLNVTTVAAMAMYSFSLKVEDGCKLGSVEEIATAVHEILERMQEEQAFADAKTSL >OGLUM10G07860.1 pep chromosome:ALNU02000000:10:11220549:11223434:1 gene:OGLUM10G07860 transcript:OGLUM10G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVRSVASLYCQGLRRTVRLGGGATAGTPAARAPALDQRRPFLSRVDRIEATTGGGGGGAADTGGSPRRHGKEGTAPLFTWARLVVGSVLAAMAPFLQSKWATLLRIQSEVEMVKDAAETAAEVVEEVAAAVEKASAEVAEAEQLPEHGALRRAAALVERASREVAEEAHLAHDIIHKVDEIEEDVKTMIEPIIDNHKHGTRGTIKKH >OGLUM10G07870.1 pep chromosome:ALNU02000000:10:11229236:11230094:1 gene:OGLUM10G07870 transcript:OGLUM10G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEENDVTSAKPFIQTAMEPNLNGFMKMEGADFYYCSLGMSFKLYVKMRDNAGDVAVVTATTGNAAAVGGGAAGGQRGRGDGGRSGVRAPPLAATHKAHEAAAGGGAAGGRRRAAGGARSDGRSGGRCTRCTGLPEDRATARGVAGR >OGLUM10G07880.1 pep chromosome:ALNU02000000:10:11230135:11231072:1 gene:OGLUM10G07880 transcript:OGLUM10G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGSGTGRARTPPPSSSMAVDLAPAPSTVWPVERRCGEEGQQAGARGRGQRRHRARPAIPIFSPRNAQEGRLPLSVNSQELGESGGGGLPRPPDELSAIAEARPAALPLVGIEAEAGAIAGGDRFHIGVGVQRRGDDGGGQRQRPHRRSWRLWWQRVRGTAARAAPPTAAYARRSRPASQLLPRRPPLALTPLRRQPPLPSHVAVAVAAAAASFSCVVLTGPPPHSLAALQRGRKRERDEEGEKRGREKSWRTDRRGPRGAHADSPATSNKTGLKTARGPQANSFVS >OGLUM10G07890.1 pep chromosome:ALNU02000000:10:11240401:11242568:1 gene:OGLUM10G07890 transcript:OGLUM10G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSCRGSSMAIIHDTSDQQEDNMRSYMDGGGAAAYEEEEEEVEDDDGGGGGGGGGGGLGEKKRRLAAEQVRALERSFEADNKLDPERKARIARDLRLHPRQVAVWFQNRRARWKTKQIERDFAALRSRHDALRLECDALRRDKDALAAEIADLRDRVDGQMSVKLEAVAMDEHQPPPPPPPPPLAYNNKVVDGSTDSDSSAVFNEEASPYSGAAIDHHHHQTPASYDTAGFTSFFAPSTTLTSSLSFPSMFHASSHFDGHQELLVGGGGAGAVADADLGGAGFFAGDEHAGGLSWYGAEGW >OGLUM10G07900.1 pep chromosome:ALNU02000000:10:11247505:11251820:-1 gene:OGLUM10G07900 transcript:OGLUM10G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSIDSKATIGVEFQTRTLLIDHKYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEDERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLSEIFKIHSKKNMAADPKANGAAPSLAGKKVVVPGPAQEIPKSKCCSSM >OGLUM10G07910.1 pep chromosome:ALNU02000000:10:11277014:11282771:-1 gene:OGLUM10G07910 transcript:OGLUM10G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLRESNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLEKTVGHRSTS >OGLUM10G07910.2 pep chromosome:ALNU02000000:10:11277362:11282771:-1 gene:OGLUM10G07910 transcript:OGLUM10G07910.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyridoxin (pyrodoxamine) 5'-phosphate oxidase [Source:Projected from Arabidopsis thaliana (AT5G49970) TAIR;Acc:AT5G49970] MLTVAASALLRNNKKSTAAACFSLAMPFLLSSPTPPPPPPHSSSLPRSPSPRPRLPLPPPRRAALVTAAQDPRWRRAMASLAVSASASASGEEVTHLAQREAAEIDEQLMGPLGFSVDQLMELAGLSVAAAVAEVYKLGEHTRVLVICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTPKPLYSGLCTQLESLTIPFVPVEDLPANLSEEFDIIIDAMFGFSFHGTPRPPFDDLINRLVSLSAIDNSAKRPAIVSVDIPSGWHVEEGDINGGGFKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPPPIVSKYKLHLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLENQVMPDPFDQFVRWFDEAVTAGLRESNAMALTTADKEGKPSSRMVLLKGVDKQGFVWYTNYGSQKAHDLSENPNAALLFYWNEMNRQVRVEGSVQKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIPGREVLQQAYKELEQKYSDGSVIPKPDYWGGYRLTPKLFEFWQGQQSRLHDRLQYSLREVDRSTVWHIERLSP >OGLUM10G07920.1 pep chromosome:ALNU02000000:10:11287402:11304239:-1 gene:OGLUM10G07920 transcript:OGLUM10G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWYFNLARRPDAFIEAKRRRYCYGDGDDDGGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVIGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAAAEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGLVAGLRSLPLDMPGTALHHARKCRRKLNSVFREELERRKVKMVTGEGGDDDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLREENLAIAKEKNGDGFITLEDVSKMKYTAKVVEETIRLANIAPMAHRVALRDVEYRGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDKSAEPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGIVYLPHPRPTDGATPVVAVGATDWAWRLGALVAGAVPLLALAVWNCTDAFHRAAFAFRRRGTRRARRLPPGHMGLPFVGETLALLWYFNLARRPDAFIEAKKRRYCYGDGDDDAGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPDPELVGVSSIVNVHGGRHARLRRFVLGAINSPNSLRSFAEVVQPRVAAALRSWAAKGTITAATEIKKVTFENICRMFVSMEPSPATAKIDEWFAGLVAGFRALQLDIPGTAFHHARKCRRKLNSVFREEVERRKLKAKLEEHDDVMSELMRMEDEQGRRLGDDEVVDNIVSLVLGGYESTSSAIMWATYHLAKLPAVLAKLREENLAIAKEKNGASFITLDDISKMKYTAKVVEETIRLANISPMLYRVALRDVEYRGYTIPEGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDKAAKPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGVVYLPHPRPTDGAAMSFSKL >OGLUM10G07920.2 pep chromosome:ALNU02000000:10:11287402:11304239:-1 gene:OGLUM10G07920 transcript:OGLUM10G07920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGATADWAWWLGLVAGAVPLLALAVWHCTDAFHSAAFAFRRRGTRARLPPGHMGLPFVGETLALIWYFNLARRPDAFIEAKRRRYCYGDGDDDGGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPVPELVGASSLVNVHGGRHARLRRFVIGAINRPGSLRTIARVAQPRVAAALRSWAAKGTITAAAEMKNVTFENICKIFVSMEPSPLTEKIHGWFTGLVAGLRSLPLDMPGTALHHARKISYAGTHLHTMQCRRKLNSVFREELERRKVKMVTGEGGDDDDDGDLMSGLMHVEDEQGRRLDDDEVVDNIVSLVIAGYESTASAIMWATYHLAKSPSALAKLREENLAIAKEKNGDGFITLEDVSKMKYTAKVVEETIRLANIAPMAHRVALRDVEYRGYTIPKGWKVIVWIRSLHVDPAYYDNPLSFNPDRWDKSAEPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGIVYLPHPRPTDGATPVVAVGATDWAWRLGALVAGAVPLLALAVWNCTDAFHRAAFAFRRRGTRRARRLPPGHMGLPFVGETLALLWYFNLARRPDAFIEAKKRRYCYGDGDDDAGIYRTHLFGSPAVLVCSPASNGFVFRSAPPGSFGVGWPDPELVGVSSIVNVHGGRHARLRRFVLGAINSPNSLRSFAEVVQPRVAAALRSWAAKGTITAATEIKKVTFENICRMFVSMEPSPATAKIDEWFAGLVAGFRALQLDIPGTAFHHARKCRRKLNSVFREEVERRKLKAKLEEHDDVMSELMRMEDEQGRRLGDDEVVDNIVSLVLGGYESTSSAIMWATYHLAKLPAVLAKLREENLAIAKEKNGASFITLDDISKMKYTAKVVEETIRLANISPMLYRVALRDVEYRGYTIPEGWKVIVWIRSLHVDPKYYDDPLSFNPDRWDKAAKPGTYQVFGGGERICAGNMLARLQLTIMLHHLSCGYKWELLNPDAGVVYLPHPRPTDGAAMSFSKL >OGLUM10G07930.1 pep chromosome:ALNU02000000:10:11295009:11295212:1 gene:OGLUM10G07930 transcript:OGLUM10G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRGKMMPIGVVNIATARRPGVMLLPWALKTVDYRCLARHLAQQLNFATTQDDTTLEEQTLLIHPW >OGLUM10G07940.1 pep chromosome:ALNU02000000:10:11308583:11317576:1 gene:OGLUM10G07940 transcript:OGLUM10G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQQALLNQERTMLSDSELCRFMDVMAKRLQLYSVMAIAAQHPSADGLQEFLISERTRAMLPMEYRRFSREDVHSVIESLLHEPPPSLGMPPELVRLSLAAERTIDQFPDATNRFWADMSSFHSKAKAALESYVLENGGPQYVIHVICGANESVADRNGPELSRINWPRSRNKFHYSHINFLASPTGPSAVGVLPTLFFAECVNHNEESDRARKNNCYPVVVPPTNAEKVRCFYCEYKGINIIHPADGNYHGCDTDFEKMARREHILTNSIESVFNNGLLVSNFRGAVQEDFFYFDHARDHARGPSSCSDGSVVPCDGVGVVSVRRWWRWWNAWRRWRYSRQPTKCMATAALRSVFAGGSANAGATNRALAENLGGGAQRGWAIERLPVEEKPALLAAILDGGLCFGPLDPVSNIVANAIWHLSTSEDSSEHVVEEVEMRQCLKTMARGSLKALVGFMTSYFRYLPTMEAMHFLRAAEGDLLAAVHLVEAERCTSAFDIGSCTTKTALRCAAGASGHPDPDRLATAMLSLSSKAHKIAHLLSRKGRLTCSDVDHLSYLLLEEGTNDQICTQQLMALVPPRLPPELADAAATFSPLAPQGVTVKDERTLERCTKSLQCVLLDKIHGFYLEALALLPQHLLRDRYHRSVVMAGHCYGPLDPVSNIILNTIWYDAAFPVPKEQHLDLDMIGRWALVRAERYSVAGLIAFAGDYNLSELQAIRCLLYANGDFATAMSVLQQALLNQE >OGLUM10G07950.1 pep chromosome:ALNU02000000:10:11318331:11325660:1 gene:OGLUM10G07950 transcript:OGLUM10G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGRSSQKMPLLPSPLGSLSAAATAAPRRAAAAAGLSPLLLRRRAPIAGALLFLSLGAFAGVRSLSSSASARMESTSTTVPSIVVYVTVPNKEAGKRLAGSIISEKLAACVNIVPGIESVYWWEGKVQTDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTRES >OGLUM10G07950.2 pep chromosome:ALNU02000000:10:11325292:11325603:1 gene:OGLUM10G07950 transcript:OGLUM10G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVGRVAPPSETSSPSSPSQSSSATQATREGREEEEEATLSAVAAARAGEDAPSSRARRSGGKTEASTAGGVEREERGKRRRRCGCEKVEGAEG >OGLUM10G07960.1 pep chromosome:ALNU02000000:10:11328822:11329409:-1 gene:OGLUM10G07960 transcript:OGLUM10G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAASDASAAAFWTACPHCCYVHSYPRHYAGRRLRCPTAACRRAFSAAELPAAPPIVPGTDMYFCTWAFFPLGPPAAAADGWAPFTPFNSAPTPSPPPATAAAPVPAPARAGGPTSRRKMCVSLKGRARVEAEQEEEEEEAAAAATIIDLEPEVQEGGIGEKGFTGINLNESVELSELGFRDDEIELFTAMS >OGLUM10G07970.1 pep chromosome:ALNU02000000:10:11339680:11340610:1 gene:OGLUM10G07970 transcript:OGLUM10G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAFNSKTVMNSAPEEISMEILAIRQNMVTLAANEEDLVSSSISTRDAAPMVNQEETEVTTSQDSTIFDVRIAGVNTVIELAADGAQLDAAHTIIAEAKVQVEAGGKHPSQEVRQRSRQPIDPTPTRQNELQKTMPNEKTH >OGLUM10G07980.1 pep chromosome:ALNU02000000:10:11355000:11355516:-1 gene:OGLUM10G07980 transcript:OGLUM10G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESSSYGGRIGGCQYYQWEDEMLDTGVQTHLAPAPIHAGHLQAVAPLGGFPTAAPEAIMQDRTAGVVGNAQVDIRVMQQLKWLEKMVYVCTFLLFMLFSRSSWVFV >OGLUM10G07990.1 pep chromosome:ALNU02000000:10:11382681:11383149:-1 gene:OGLUM10G07990 transcript:OGLUM10G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRRRSIFRGGPYRGVAWENSFSRADGLRGAPAKIPLFLQADLKLSTYKNWLWHLNRRKTSPLLSSPLPRLSSPSDSKGGASGGGGKGVTAALRRRRTGTVDPATVTREGGGSGDGETGVHPARTSPTWSIGHDLNGLEYLE >OGLUM10G08000.1 pep chromosome:ALNU02000000:10:11389427:11391114:-1 gene:OGLUM10G08000 transcript:OGLUM10G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTVEITRSEVLRPSPASAAGGGGEMVPLTVFDRAATDGYIPTMFAWDAAAAAALSNDAIKGGLAAVLSRFPHLAGRFAVDDRGRKCFRLNDAGARDRVDEPLLQVQLTRYTCGGLVIGAVSHHQVADGQSMSVFFTEWAAAVRTAGAALPTPFLDRSAVAAPRSPPAPAFDHRNVEFRGEGSRSHSYGVLPLDRMRNIAVHFPPEFVAGLKARVGGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAAPTDYFGNMVLWAFPRMQVRDLLSASYAAVVGVIRDAVARVDERYIQSFVDFGEVAAGDELAPTAAEPGTAFCPDLEVDSWIGFRFHDLDFGGGPPCAFLPPDVPIDGLLIFVPSCAAKGGVEMFMALDDVHVEALRQICYSMD >OGLUM10G08010.1 pep chromosome:ALNU02000000:10:11398605:11399007:-1 gene:OGLUM10G08010 transcript:OGLUM10G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTDSWGRPIRCRQRPRAAGAMDLTPAMSVGGGAYDPTPTRLRAVDRTLRRRVTGSGAGISDRM >OGLUM10G08020.1 pep chromosome:ALNU02000000:10:11407668:11409065:-1 gene:OGLUM10G08020 transcript:OGLUM10G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSETSAAGGGGRRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEPVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGENSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSASYATVVGVIREAVARVDEQYIQSFVDFGEVAVGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRQICYSMD >OGLUM10G08030.1 pep chromosome:ALNU02000000:10:11442330:11443727:1 gene:OGLUM10G08030 transcript:OGLUM10G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVEITRSEVLRPSETSAAGGGGRRSPLTVFDRAATDWYIPAVFAWDGAAAPSNDEVKGGLAAVLARYPHLAGRFDVDERGRRCFNLNDAGVRVLEATVAADLADALAHDVAAHVNELYPKADMENADEPVFQVQLTRYACGGLVIGTACNHQVSDGQSMSFFYVAWAAAVRSAGATLPTPFVDRAAIAVPRGPPAPAFDHRNIEFKGENSWTHSYGSLPLERIRNLAVHFPDEFVAGLKSHVGARCSTFQCLLAHAWKKITAARDLSPEEYTQVRVAVNCRGRASPAVPMDYFGNMVLWAFPRMRVRDLLSASYATVVGVIREAVARVDEQYIQSFVDFGEVAVGDELTPTAAPPGTVFCPDLEVDSWLGFRFHDLDFGRGPPCAFLPPDLPVEGMLIFVPSCAAKGGVEMYMALDDLHVDAFRQICYSMD >OGLUM10G08040.1 pep chromosome:ALNU02000000:10:11463691:11465271:-1 gene:OGLUM10G08040 transcript:OGLUM10G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSHCHATNGNNGAIAAADTPVKTQHCARLLDADEFRRQGRLVVDLIADYYAGMGEYPVHPTVSPGFLRHRLPAEPPSRREPDAFAAAMQDVRDLILPGLTHWQSPRHFAHFPASSSTAGALGEALAAGINVVPFTWAASPAATELEMVVVDWLGKALHLPERLLFAGGGGGSILGTTCEAILCALVAARDRKLAAIGEGRIGDLVVYCSDQTHFAFCKAARIAGIRREHCREIPTYRDDAFALSPAALRAAMRRDADAGLVPLFVCATVGTTQTTAVDPVGELCAAAAPHGAWVHVDAAYAGSAMVCPELRGAVAGGVEAVDSFSMNAHKWLLANNDCCVMWVRTPSALVAALGTDQEYILKDAAAETAAADGGEGVVDYKDWGITLTRRFRALKLWLVLRCYGVEGLREHVRSHVGMAAAFEGMVRADARFEVVTPRRFALVCFRLRSPNKKTANELNRRLLEEVNAASSGPYMSSANVGGVYMLRCAVGSTLTEERHVREAWKVVQDRATSILSKMEIIM >OGLUM10G08050.1 pep chromosome:ALNU02000000:10:11478292:11478549:-1 gene:OGLUM10G08050 transcript:OGLUM10G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEKLDLFLKKMVEEAAQRVEAEQRSISDLLSFKATVEAWIPEVEWKVDSLNSSVVYLQNKVTLMEKKGAAAVDVAAVTRRHV >OGLUM10G08060.1 pep chromosome:ALNU02000000:10:11488649:11489212:1 gene:OGLUM10G08060 transcript:OGLUM10G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADLLPHDVLEEILRRLAPSPRSLAACRVVCKAWRAVADTRCPPPRPDLLPLSLAGIFFASYYCPVQEHPGFFARRGRHHRARIISPKLDYLDGAPIAYLEARDHCNGLLLMCEHVVNPATRAAVGAAAPDVRVERPRGAGDHDDHELVGLRVHHVRPNRIAALRGVLRPPTHWGCHSRIGGKTA >OGLUM10G08070.1 pep chromosome:ALNU02000000:10:11514148:11515060:1 gene:OGLUM10G08070 transcript:OGLUM10G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMLAGVPSLNNDDHRRRGHGRSDLITVKVMAILLLLISTPAHSIGGVDDDDDDVEYVEITCYIDTQPFDYTASSKPTCCCPTTNLARLYMIPLVKLRIMFSEALKLKPVRLAFSRDWNEETHITKQDTGYIGCWPLMSFNLVAWKNSGYVYWKSEKKLENCLVNTPWNASEVGDLLMRPNDEAI >OGLUM10G08080.1 pep chromosome:ALNU02000000:10:11530660:11533132:1 gene:OGLUM10G08080 transcript:OGLUM10G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELELVVAAEERECTAWTRAMRMPHQAACSPTPLSHPLVLLLRSATLTMTAARPLGSTALAKVAFLAVALWTVVPCSLWRTRFAVAPTVFSGGRSHIGATAALETWWWSSPLPSKERWTS >OGLUM10G08090.1 pep chromosome:ALNU02000000:10:11533204:11543572:1 gene:OGLUM10G08090 transcript:OGLUM10G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MDTPPPPPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADKEAALDCLRTALAPCALDAYPWSIKKRFELAGLLSSILRAHLQAYDPILSMTLRIHKLLCSRQGISSPISDLTERLLFDDRDPPAVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKMDLPLLDKLIHEYCIYRGIVEGGSHVLPAPVILPIMIHGPGDYVGSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEDDMIVKPDLMAGTGLPDSISNQDQKYEAILEMRDLTCKGMASKVVEEINNMDPDFFLKNPIILFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGCHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >OGLUM10G08090.2 pep chromosome:ALNU02000000:10:11533204:11543572:1 gene:OGLUM10G08090 transcript:OGLUM10G08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: CTLH, C-terminal LisH motif (InterPro:IPR006595); BEST Arabidopsis thaliana protein match is: LisH and RanBPM domains containing protein (TAIR:AT1G61150.1); Has 333 Blast hits to 242 proteins in 88 species: Archae - 0; Ba /.../ - 0; Metazoa - 104; Fungi - 47; Plants - 152; Viruses - 0; Other Eukaryotes - 30 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G66810) TAIR;Acc:AT5G66810] MDTPPPPPPPPPPPPTQSSPPVNWDALDALVLDFARSDRLLLPPASPSPPSSPSSSSTTTSSSTPSPSSSYRSRLLIRRARTALEEGDVDAALALLRAHAPAALLDHRLLFHLHKQRFVELVRRGTEADKEAALDCLRTALAPCALDAYPWSIKKRFELAGLLSSILRAHLQAYDPILSMTLRIHKLLCSRQGISSPISDLTERLLFDDRDPPAVDVQALAHAVELTRQGAVDSLKFAKGDLFQAFQNELCRMKMDLPLLDKLIHEYCIYRGIVEGGSHVLPGLQSNNQSNDVNFVDKQEDSTETRIDFQMTNNQNGNCSTSDTSHHDSWSRRLRRVRSSASGQRRRKRWRGRVDDLDYGCETPLDANKHAILCSALDMDEDDMIVKPDLMAGTGLPDSISNQDQKYEAILEMRDLTCKGMASKVVEEINNMDPDFFLKNPIILFQLKQVEFLKLVASGDHGAALKVASTHLGPLAASNQALLKPLKETLVTLIQPCEDVLTKSVSLPVLASSLQIAMSRRLGIEEPQLMKIIRTTLHTHSEWFKLQMCKDRFEHFLKIDSLKEVDPSAGCHNMSKVLTDECANGSSQITTCSSGKVLDEGSSPQESSEVTCDENAILKVMEFLALPRADAIQLLMQYGGNAEAVIQQIFS >OGLUM10G08100.1 pep chromosome:ALNU02000000:10:11548584:11550860:-1 gene:OGLUM10G08100 transcript:OGLUM10G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRAPPPVEPDARGSDRSNTTILDRVRLDGGVGLGLYWTINGRKEVEQVDIICRAWRAIVDDRCPPPHPNLLRLSLADIFFASLSFYYTVEDLPGFFARWRRNHHARISHKLDYLDDAPIHQLEAIDHCNSLFLMQEYIVNPATRRWARLPPTPEWSPAAMVANSCPEEYLVYDPTVSPHYEVLSIHHLDDCFRTNSTTAESVVWPPSPFVVQVYSSATGRWEKRSLVRRGEATGTITDVQDSHGMATNHLYGVYWRGALYVQMRNNDYQVIKSPSDINMNNNPYIYLGRSMKGVYCASIDLKQHQRLQVWLLHELHGGGYHMEWMLIHDFSLNQIMADFRWNPEAVRPWIEHDMYCDDAKNDIEISQAESTGWD >OGLUM10G08120.1 pep chromosome:ALNU02000000:10:11731250:11732405:1 gene:OGLUM10G08120 transcript:OGLUM10G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPNLPAWCPDVLHPSLKREILRLAEWAKQQNAEDSTPALIIQVTELVQDLRLHLGEDPAAASTSTATTAPTSVADLLTEITRLGEILRQETPARV >OGLUM10G08130.1 pep chromosome:ALNU02000000:10:11755420:11757465:1 gene:OGLUM10G08130 transcript:OGLUM10G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFGRQPCKREQELGWESFHPSSAHHLFDEMSSPLEVFEEDVLLVMSEENITWDEALHLLQEELKDAQCRFDEKLDRFLEVFGLMGDKSNQSEGDKRSNESEEFSASIKELIPTTEAAAFQSPQASPSSASTKCSMICFGLDTMSDLNMAAAVVCATTSLASVELVAGGNATCEPYVNTPGHPKETHANCSMVGLEVKGDTDHTKVTCHTMMGVPDGVLVPDASSKVFSPWLIAEMDLIPLLPTVCSMKCSKDKKKLLMGNAKRNSWPPSWLGGVISRCELQPLPWPGSKLYWEGLPLTPSWPPPARVSFLACEPFDIGALVIGTVILTQEMAEIKPWPPPSEVSGLPSVIQSIGAFHTERKVTDLHWAELKAWSLFDENGTSHILTDELCELYLDCVIFTAGNTRNLEKLEFIRWTKWQQQLFTERDYPNSAEGNQLIAWNFKQYIDGRVLSFVAINLSIQPTNVEQISQYIVQYSSIERELDFSDKLHTHAQVIGRKDSLLQLSEPQLTSCKVGQNTKKDGWCLIMDKRCSDFFKLLALLDGKLEAIRHAMVYLITKLIHISPRNEPFRSTINYLLGGNNLVLLHKSIVGIHLGWWVFPCHYIGVRPLEIWVRRLAQFWQHKGQAKPSLAFLHSNPTTSLVRILHKPWDPGGGINFILFHFYRLEGKPNFKERGLLGT >OGLUM10G08140.1 pep chromosome:ALNU02000000:10:11763206:11763394:-1 gene:OGLUM10G08140 transcript:OGLUM10G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFCLDLLWESFSWDLGDVGGAMAWSAKARVDRNGEVADGGALDTEDADELDTRCSIHAKG >OGLUM10G08150.1 pep chromosome:ALNU02000000:10:11763570:11763881:-1 gene:OGLUM10G08150 transcript:OGLUM10G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTANGGELDDASKNKKVEHLLANPTVVISFPETIGVDGGGSASGDGFKAVDAYEAISLSWPNQCMHAHLQGSRMLTEGCPYTDEAWTTTANRGTLRRRRKTA >OGLUM10G08160.1 pep chromosome:ALNU02000000:10:11763572:11770619:1 gene:OGLUM10G08160 transcript:OGLUM10G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAAAGAFLGSPSSSKLPLKPSPLALPPPSTPIVSGNDMTTVGFARRCSTFLFLDASSNSPPFAVGIAGKLAFASALLLPLLCSPPQAHDRAWDRFRQLVRGLGKENLAGGLIFYA >OGLUM10G08160.2 pep chromosome:ALNU02000000:10:11763572:11767138:1 gene:OGLUM10G08160 transcript:OGLUM10G08160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAAAGAFLGSPSSSKLPLKPSPLALPPPSTPIVSGNDMTTVGFARRCSTFLFLDASSNSPPFAVGIAGKLAFASALLLPLLCSPPQAHDRNRLSLIE >OGLUM10G08160.3 pep chromosome:ALNU02000000:10:11763572:11770223:1 gene:OGLUM10G08160 transcript:OGLUM10G08160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAAAGAFLGSPSSSKLPLKPSPLALPPPSTPIVSGNDMTTVGFARRCSTFLFLDASSNSPPFAVGIAGKLAFASALLLPLLCSPPQAHDRMEIQRARRRRVFDTGFIDPRKVNIEMINKYPKDTEDTLVHLLKEQHFKMFILLPYNAELVFTVFRINFVPVHTCTVSYIISCKLQIPLGPFTFRLGGK >OGLUM10G08160.4 pep chromosome:ALNU02000000:10:11763572:11764058:1 gene:OGLUM10G08160 transcript:OGLUM10G08160.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSAAAGAFLGSPSSSKLPLKPSPLALPPPSTPIVSGNDMTTVGFARRCSTFLFLDASSNSPPFAVGIAGKLAFASALLLPLLCSPPQAHDRWALPH >OGLUM10G08170.1 pep chromosome:ALNU02000000:10:11771775:11776080:1 gene:OGLUM10G08170 transcript:OGLUM10G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELLPDDVLEFILRRLALSPRSLAACRGVCKAWRAIIDTRCPPPHPDLLPLSLAGIFFANFYCPIEDLPGFFARRGRHHRARIFPKLNYLDDAPISKLEAHDHCNGLLLLDEYIVNPATRRWVRLPLTPEWSPAGSDLEAMVTDSSREEYLVFDPTVSPHYEVFSIPELVFCRGDDKDNTESVVRQHEWPPSPCKSIRRRKGGGRKGHSSGKGRLPGPLLMCTTHLGWQVTTSTASIGGVHFIITLLDDKYQVIKSPSDINLNNHPYIYLGRSKKGVCCASIDHKQHQRLQVWLLHEVLHGGDQMEWVLIHDVSLEQIMADFRWNPEAVKPWIKHNTYRGDNKNNEEISEDESPGWDSEDDSIIVYTEDMVRWDMNGYTCILGLHPFREIIFLFNSYQDRVWAYHLYNSKVEFLGMLWVGFSEEIGRSFSYTPCWIGDLS >OGLUM10G08180.1 pep chromosome:ALNU02000000:10:11783975:11784550:1 gene:OGLUM10G08180 transcript:OGLUM10G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAATSTRKGILRPAATSSGEGPDLERRGTRRRVGRDPVGDLDGLRSAGSMTGTNDTMSLVPTNWCRLGNQCLPVPNRHL >OGLUM10G08190.1 pep chromosome:ALNU02000000:10:11787393:11787762:1 gene:OGLUM10G08190 transcript:OGLUM10G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTSAHRGHRSSAGGESEWRMFSGGGACRNIDWMYPKGCMHVYSIGCRVLTEMLDKAGGACRAAATGSSMAAWHNSRVDVGCSICKRSH >OGLUM10G08200.1 pep chromosome:ALNU02000000:10:11787652:11788109:-1 gene:OGLUM10G08200 transcript:OGLUM10G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPSSLSSIDFTQRMFWNVDLTIAVPECALDAAVTKPDRATTPPASPRPPLHLPPRNPSNGPTILEIDIVFSSIIDSILSDRAADVNPGVVPCRHGASRRCGSAGTPSLV >OGLUM10G08210.1 pep chromosome:ALNU02000000:10:11787853:11788249:1 gene:OGLUM10G08210 transcript:OGLUM10G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTMSISKIVGPFDGFLGGKWRGGRGEAGGVVARSGLMDGDGDDAITTAASSAHSGTAMVRSTFQNILCVKSIELREDGTGIERRGNDRGLVALEAVVVFTAGGEVEMEKESSVSSAQGW >OGLUM10G08220.1 pep chromosome:ALNU02000000:10:11814343:11815137:1 gene:OGLUM10G08220 transcript:OGLUM10G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVAMNMKRKEHAEVANNGLSIFLDPKRLKLQIPDMMEEEKPSAGVQLDPTVPTMALSWMLPTQGQETTHDTMNTAYEMSSSETPPLRADQAAAAAPMDVEVQLRGSLRRRRVSRLISGQVSEQQTMLTRPCW >OGLUM10G08230.1 pep chromosome:ALNU02000000:10:11816292:11819677:-1 gene:OGLUM10G08230 transcript:OGLUM10G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSVSAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFSPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >OGLUM10G08230.2 pep chromosome:ALNU02000000:10:11816445:11819677:-1 gene:OGLUM10G08230 transcript:OGLUM10G08230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSVSAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFSPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKVHFLSQGFTL >OGLUM10G08230.3 pep chromosome:ALNU02000000:10:11816292:11819022:-1 gene:OGLUM10G08230 transcript:OGLUM10G08230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAFESNQGLWNSGYYSQLFGIGGVMVTVAILWLSTGYFGGIGAPFSPYFWPYLGQLPKKKERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKEAGSMETTTDANQNTGATCDKVKSQLSNFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQAIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSRDMITTFNISLVVHGTVTEGSSVVGIDPYAVPKSMGIFQTITSTKPITTVSVATRIIDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >OGLUM10G08240.1 pep chromosome:ALNU02000000:10:11838121:11841983:1 gene:OGLUM10G08240 transcript:OGLUM10G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPQRTFLTPQGIPDGDGSSAANRFSPASASLSRGRLWGETLASTPHHRKVERLAGKAVSDTRRSRTTVIGDGKGATNQALPEVNATFPKVEVQVAVEVGHGSKGGSGGVRSTAPRSSFSGGAASTARGCRHREEGGDGRKRATPAGHGLAWRYVAGGTWPVGGAWRRRPARRAKSATPVVGLPGKGLGMEKHGWWREASEEHERAQHGGTWLVSTARRFERCGSEPAVV >OGLUM10G08250.1 pep chromosome:ALNU02000000:10:11857919:11858173:-1 gene:OGLUM10G08250 transcript:OGLUM10G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRGWRRMELPAPYPGSQSPMAGSATEGRGAPGVWGWGVAPRMELADNFGIGVRELVVARTGAPLPPPDLECGCHPRASPPPD >OGLUM10G08260.1 pep chromosome:ALNU02000000:10:11864036:11865049:-1 gene:OGLUM10G08260 transcript:OGLUM10G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMDQSNHPWRENGRSSKERKSNGESLIWRAIFCQARPSSSSWMVVAEQELRRHGRTRSFASVFVPATPGDIGTRAILVSAGFSNSLFEKSKTESSSNAAKGCFRVIKICKLENVGYKKEKKIITMITSKSSSTKEVDQKANQQRGECRQGAEQ >OGLUM10G08270.1 pep chromosome:ALNU02000000:10:11874472:11877145:-1 gene:OGLUM10G08270 transcript:OGLUM10G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLSESEAPSSQTRRSFRPRNQASTTSHGPIPLLPPTARRGGGASSPSAPATAAATSPSGASCLAV >OGLUM10G08280.1 pep chromosome:ALNU02000000:10:11889212:11890252:-1 gene:OGLUM10G08280 transcript:OGLUM10G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G63220) TAIR;Acc:AT3G63220] MSTLIDGLPNEVALQCLARVPFLSHPVLQMVCHSWRASVRNGELSKVRNQISATEDLLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLRRLWAQRAPMLVARAMFACCALDGNIIVAGGFTNCRKSISKAEIYNPEADTWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLPTVQILEDGNAWAVEDYSWLQGPMAMVRGELYVLSNSCIMKQRGVNFPDKMVSCASEFQSRIGFGMIGLGDNIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWLPGSPMTHCRGSISGCALLRI >OGLUM10G08290.1 pep chromosome:ALNU02000000:10:11893510:11894790:-1 gene:OGLUM10G08290 transcript:OGLUM10G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKIAPSVLLLVAVVAAAPAAAATADGEEARGLLSSDKLVFAEWFKKAVDATKEVVQWKYDEKRRERERGVGFQGWLPTAAGGGSFVAVVKAGSMGFSVRDVDVTSEVTSTSQCGGGSRPYKCGGGGEVCSNGAGQEDAGDLRQGAVSVSTFGGRRIFSNDTVVYTCSASSGKDAAVVGLGRRSILAASFRRFAYFIDGDLRSLVWLGDDAAAAPARGGGGGDHHHPAHPVGVDKAPNIDPNLYFVAITGIKVSSGEAVNDKAAAIMTTNTIPDTELPAITLVFAGKDAAMEHYSYKRSDGAVCLSILRSPLRGGVAGRKIAYDLDENTLTFDFETPSSSMRSSSSPSPTASRSSSSAAAAALTPSVFLSAAWVVVLLLAVVM >OGLUM10G08300.1 pep chromosome:ALNU02000000:10:11899516:11900135:1 gene:OGLUM10G08300 transcript:OGLUM10G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAKVGIVVREGEVGETVNQLAATALVVGLHDKSFLYSPPPRRRLASASRRPTTPASCAGVEGPRGGEIDDGSRPRRGPRGGGTPRTNGAAARRDQAGMRGTTTPTRRRGSRRTGEWYTVAEAERWRWRRSKGRSGGAWRRLPYGTGEVGPPPLPAAGARHSSLAGRE >OGLUM10G08310.1 pep chromosome:ALNU02000000:10:11921489:11922778:-1 gene:OGLUM10G08310 transcript:OGLUM10G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPAAAEFSDALPSPTSPAATPSHPSSGRHFYLAVDRLQFKMRTLLELLGVVADRRGALPIAVSVSSRDELDLVCADVASLPFVSLSPLYSDQAESERASVLDKFRQATIQWNHTKAAAADIADSPKTESADSKLTIVVATDACLPQATLGEAPLMARVLINYELPTKKAH >OGLUM10G08320.1 pep chromosome:ALNU02000000:10:11924375:11927666:-1 gene:OGLUM10G08320 transcript:OGLUM10G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKYRGYYHDEASSAGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFELTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENADGEVDHEEFLKMMKRIGFGGGFF >OGLUM10G08320.2 pep chromosome:ALNU02000000:10:11925211:11927666:-1 gene:OGLUM10G08320 transcript:OGLUM10G08320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQASKYRGYYHDEASSAGGGGDGYRREKQVRKKRLTAQKRKEIKEAFDLFDTDGSGTIDPKELNVAMRALGFELTPEQIHQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDAREELNKAFKIIDKDNNGKISDVDIQRLAIETGEPFTLDEVREMIEAADENDGDV >OGLUM10G08330.1 pep chromosome:ALNU02000000:10:11935366:11952070:-1 gene:OGLUM10G08330 transcript:OGLUM10G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLESHSLSLRSFTRRTPRRDPMLQLRSPPPATSSPSSAVSFPALPPRLLPLRRRGVGTPLGGKTSTAVRASSAAPGATEPEVMVEVAHREVARALASLAEARLGARLLPSAVPPDVAEFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKIPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYMERYYENTQVDKQREKVEELPQARPYRSRSLFVRSAFSLTAILMSIDCGQGGEGTLKEIVRGQLATAARALLQIWLDSCADHTTEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGLCASLMANRSYGGRIILEQGSRSEVNISDLVGLDGGGGGAHGGGGRCPAGERVRVRGGEGVGVVLGVSTAVVVVATDSTPCSALRRPSPPFAVPVLTVPSVGVVSLRRACAGGGIGRCRVCAVLGGAAVVACCALASAAPGADSTSVGGSGRLVINILLQVDAFGILVALFLAILVVLIARHKSIGSLSKAPLLMWGCFAESDDIHTKIYGSATNLDLVSFSWRQPKGINVKRCALLLRPKVAVVAVLRRRGSTIVTASPQLPIGLLLFLLFGYIWKAASVEVAVAHREVARELASRAEARLGARLLPSAVPPDVAGFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYIERYYENTQVDKQRENVEELPQARPYRSRSLFVRSAFSLTAILMSIDCGQGGEGTLEEIVRGQLATAASALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGMN >OGLUM10G08330.2 pep chromosome:ALNU02000000:10:11935366:11946076:-1 gene:OGLUM10G08330 transcript:OGLUM10G08330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFNLYGGRIILEQGSRSEVNISDLVGLDGGGGGAHGGGGRCPAGERVRVRGGEGVGVVLGVSTAVVVVATDSTPCSALRRPSPPFAVPVLTVPSVGVVSLRRACAGGGIGRCRVCAVLGGAAVVACCALASAAPGADSTSVGGSGRLVINILLQVDAFGILVALFLAILVVLIARHKSIGSLSKAPLLMWGCFAESDDIHTKIYGSATNLDLVSFSWRQPKGINVKRCALLLRPKVAVVAVLRRRGSTIVTASPQLPIGLLLFLLFGYIWKAASVEVAVAHREVARELASRAEARLGARLLPSAVPPDVAGFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKVPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYIERYYENTQVDKQRENVEELPQARPYRSRSLFVRSAFSLTAILMSIDCGQGGEGTLEEIVRGQLATAASALLQIWLDSCADHTSEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGMN >OGLUM10G08330.3 pep chromosome:ALNU02000000:10:11946402:11949354:-1 gene:OGLUM10G08330 transcript:OGLUM10G08330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRLAMRDRQSHSLSLRSFTRRTPRRDPMLQLRSPPPATSSPSSAVSFPALPPRLLPLRRRGVGTPLGGKTSTAVRASSAAPGATEPEVMVEVAHREVARALASLAEARLGARLLPSAVPPDVAEFRSGGGAGNAVGSLDVRRGAPGSTIDFMLQSSLHCKIPNGAIDITSLLIFLNASTDAPHFLMEFIQGSPTSIVVLLDLLPRKDLALHPEYMERYYENTQVDKQREKVEELPQARPYRSRSLFVRSAFSLTAILMSIDCGQGGEGTLKEIVRGQLATAARALLQIWLDSCADHTTEMEEGERENMIKRDQIVRSKSIEVDLTSNLPRMFGPDVADRVIAEIQKAFGVQEA >OGLUM10G08340.1 pep chromosome:ALNU02000000:10:11952082:11954035:-1 gene:OGLUM10G08340 transcript:OGLUM10G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVRSAASAASRVSREEAVARMPPLAHREVMLAVAGEAEARLGARLLPSEVPADVAWFGNAAGDAVGSVDVRRGAPGSSAWFHRELPGGGGGAIDITALIVNLNGATDAPHLVMEFIQGGPASLIVLLDLLPRVDLPLHPSYIHRYYAATALDARARRRVAGLVPQSRPYVSPSLLVRSLWSPAAVVADVQCGEGPGGAAALDGIVRGELAATAMDVLGVWLEHCAGGGGGEMEAAERERMVARDRKVAAAELEVNLAANLPRMFDAGVADRVVAEIRKAFMGS >OGLUM10G08350.1 pep chromosome:ALNU02000000:10:11956507:11958849:-1 gene:OGLUM10G08350 transcript:OGLUM10G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVSLNPPINAEPLTKGDQLLDLMSDGWTNERHSLYISSMEASFMEQLYGHDHHGLDRNRSHAGGATGFRVHREGACDNLRSERNDAHAHDGGMSCFPENPWIRRFRPRGAGVNRRNDAVGFSVDDDESGTDMVRQRVRVHGREAKSCVGGILADKSTVLNEKLYIIWILFAPVANKYLIRIFLTKMSKSIQSHARGGGLQIPQLHLMTRQHNRINHLHLLLFHPENHPQRQKASEDREFRLRLKGRLENMGWVGILLNFLCGCCDLQVDAVVSMLVITQQKE >OGLUM10G08360.1 pep chromosome:ALNU02000000:10:11968653:11973546:-1 gene:OGLUM10G08360 transcript:OGLUM10G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWARAWPLVFLALCCCSWTQRRILVAATTDANDVTVLNALFTSLNSPGQLRGWQVNGGDPCGASWQGITCSGSSVTAIKLPSLGLSGNLAYNMNTMESLVELDMSQNNLGGGQNIQYNLPNKKLERLNLAGNQFAGNVPYSISTMPKLKYLNLNHNQLQGNMTDVFSNLPSLSTLYLQNNQFTGSINVLANLPLDNLNVGNNRFTGWIPNELKKINSLQTDGNSWSTGPAPPPPPFTAPPPSRNRKKSPGRHSNGSGSSSSSGGNSGLRAGAIAGIIVALLVIGAVVAFFLIKRKRKGTRQEHVEQRQPFNSYPSNEVKDVKPIPESTKIEVEPLPSPVAVSLKPPPKIERNQSFDDDDDDFSNKPVAKKSNSASVKATVYSVADLQMATDSFNMDNLVGEGTFGRVYRAQFSDGKVLAVKKLNSTVLPSQSSDDFFDLVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDMLHLPDEYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTEFNPHVSDAGLASNVPDSEFQASDQGSGYSAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSARLRTEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMIDGEEGSRRPDDQDQEFV >OGLUM10G08370.1 pep chromosome:ALNU02000000:10:11982656:11983595:-1 gene:OGLUM10G08370 transcript:OGLUM10G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSCHGKATHDPQHGLSKITCESTKHQQGNISGSQAAAAKSKPWKKRYLTFLSKFQNKMKKHKKPDNIKAHHSRSHNKTRSILLSSSQIMEECSNLVQVIRHTAADCFAAAATAVAAAVDYEEDDHHQPYMQLDQVNYGVMKREAFGPVYLCCLEQQCDHLIETGKAFLNAFQDVIHLPCLKKEEEFLNAFLPCLFSVVF >OGLUM10G08380.1 pep chromosome:ALNU02000000:10:11997592:12002511:-1 gene:OGLUM10G08380 transcript:OGLUM10G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pumilio 23 [Source:Projected from Arabidopsis thaliana (AT1G72320) TAIR;Acc:AT1G72320] MVCFGSKALRRKGDKQRCLPEGDLGDSLPMRRGRKDKSEKPRKGGSSSSNRPSAEKAKHGRKKSGDGKKSKGHGKGRHSDSSIGMNPGHMKNDNTLLPSKASKPVTNVLRKRVDPETAKYFLEISNLFDNKEIDLEERATMCANALEETKGKELELATDGPISHTLQVLVEGCELEQLCVFLHNSIESFHIIAVDKFGSHVAEAALKSLATHLEDEASRGIIEDILIRICKVIAGDAANVMSSCYGSHVLRTLLCLCKGVPLQSLQYFHTTKRSAVLAERLSCGSTRSGGSDPKNQGCGFSDIFKSFVREMLQSAKDDIATLETDKNSSLVLQTALKLSAGDDHELNYIISILLGFDEDDTAQKKDCSEQKNEIIALLEDTAYSHLLEVIVEVAPEELRNNMLTGTLKGALFAISSHHCGNYVVQALVASAKTSDQMEQIWDELGSRINELLELGKAGVVASILAACQRLETKRLESSQVLSAALSSNSESSDSIVAHMLFLENYLHQKSSWEWPLGAKMSVLGCLMLQSILQYPHQYIRPYVASLLAMDDNKILQISKDSGGSRVLEAFLCSSATAKRKFKVFANKGAGQNIEELLTSRSPSKKRKQKDKTDVVTEDASTNKPDLSHVGKTKRIKSEKTTCEKESSNKKPTNEDSGTSMAFLKNSAKGKSPGFLSDKPSFKRQKHHKPNAGNSSGKMFFRESAGTPFVRNSGKQKRSIAELADLAGKEKLSASEVRKLLKTEMPGKS >OGLUM10G08390.1 pep chromosome:ALNU02000000:10:12021241:12037288:1 gene:OGLUM10G08390 transcript:OGLUM10G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLLRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAALEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLALADHHLGGLVARRAQSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLICGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNYNSMPSSMDSLRCYSPHTILIPKTIRSRNLIRASMDSSFSGPESKKAPNSVSFTSKVNKVYEDKSMGILCYTDESGELICEGFDEGPRLTWQDMEKINREKELKAEEDRRERMMRIGVAGIDWSSLRTAVSKPVSMGEELKK >OGLUM10G08390.2 pep chromosome:ALNU02000000:10:12021241:12037288:1 gene:OGLUM10G08390 transcript:OGLUM10G08390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSVAVDNLNPKVVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLLRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAALEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLALADHHLGGLVARRAQSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLICGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNYNSMPSSMDSLRCYSPHTILIPKTIRSRNLIRASMDSSFSGPESKKAPNSVSFTSKVNKVYEDKSMGILCYTDESGELICEGFDEGPRLTWQDMEKINREKELKAEEDRRERMMRIGVAGIDWSSLRTAVSKPVSMGEELKK >OGLUM10G08390.3 pep chromosome:ALNU02000000:10:12021272:12037288:1 gene:OGLUM10G08390 transcript:OGLUM10G08390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPQLGAAVLRPRSLSIRWAHRVLNCEYAVRGEIVIHAQRLQQQLQTQPGSLPFDEILYCNIGNPQSLGQKPVTFFREVIALCDHPCLLEKEETKSLFSADAISRATTILASIPGRATGAYSHSQGIKGLRDAIAAGIASRDGYPANADDIFLTDGASPGVHMMMQLLLRNEKDGILCPIPQYPLYSASIALHGGALVPYYLNESTGWGLEISDLKKQLEDSRLKGIDVRALVVINPGNPTGQVLAEENQRDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSMGYNEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKVASVNLCSNITGQILASLVMNPPKAGDASYASYKAEKDGILQSLARRAKALENAFNSLEGITCNKTEGAMYLFPQLSLPQKAIDAAKAANKAPDAFYALRLLEATGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAIISRFKAFHEGFMAALEAHHRHTGSLLHSAFLARSPHLTSLTYIAGSIAPSPAANEMLRAAARALTVSSLNPKVLALADHHLGGLVARRAQSMQQELDANPASHPFSEVLALCNHPHLLDRSEASFMFSSDAITRAREIGIEGLRDAIAAGIASRDGLPSYSEDIFLTDGAAAPVHMMMHLLICGKKDGILCPIPSHSLYTDSMVLRGATLVPYYLDESRGWSVNISDLKKQLDGARAKGIAVRGLVVVNPGNPTGQVLVEENQCEIVELCKNECLVLLADEVYQENIYTDQKKFNSFKKVARSIGYGEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFSSEVRGEVYKVASLSACSNISGQILMSLVMNPPKVGDESYPSYRAERDSILSSLSCCAEAMVSTFNSMEGMTCNKAEGGISVFPSVRLPPRAIEAAEAMNTEPDVFYALRLLESTGIVVVPGSVFGQVPGTWHFRCTILPQEEKTRQIISRFNYNSMPSSMDSLRCYSPHTILIPKTIRSRNLIRASMDSSFSGPESKKAPNSVSFTSKVNKVYEDKSMGILCYTDESGELICEGFDEGPRLTWQDMEKINREKELKAEEDRRERMMRIGVAGIDWSSLRTAVSKPVSMGEELKK >OGLUM10G08400.1 pep chromosome:ALNU02000000:10:12045518:12046870:1 gene:OGLUM10G08400 transcript:OGLUM10G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTQSNSNQTHLPTPNPSSARAMCGGAILADLIPSPPSGGHTNKNKRWRISDDEDFEAAFEEFDAGDDDSDSESEEVDEYDVVVDDDDSEDGVVVLPPPPPPVIPHERHGARRFRGVRKRPWGKWAAEIRDPVRGVRVWLGTFPTAESAARAYDAAARRLRGAKAKPNFPSAPPPSAAAHRRKKRRAHAATRSPSSPPATSEVTAASASASSDVPAPAFASFVGEPGHGGAKSMPTTSHTSQPAPPATVASENVDDPEVFDPYDVHGGLASYFAGGAYESLESLFAHGGDSAAVDQAASDHWPAALWSFADDGSFCF >OGLUM10G08410.1 pep chromosome:ALNU02000000:10:12051681:12053482:-1 gene:OGLUM10G08410 transcript:OGLUM10G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIVKRKERDETWWVLKIDRTEPTSLEIEDLVIEKKPRESLQRVQYAKAIDHINNGLSNDKQLMVIHMDLKTWSCELFPCLLMVGFASLAHSDFLHCQLTTTSLPDHTLREQNDVTSASSSTSMNEPKFGIIEILPIVLQKGVLRTNCIDSRDRTNRAQIVDGLVGLGRQLKALVQTKGLEIHIEEPLSSTLMLFYEEMGDALALQFTGSAAQNKEFWKQKGQWSAMNKLTRNIQHFVSNAYMDSEKQNSPNM >OGLUM10G08420.1 pep chromosome:ALNU02000000:10:12059482:12068050:1 gene:OGLUM10G08420 transcript:OGLUM10G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Spt20 family (InterPro:IPR021950); Has 8778 Blast hits to 7244 proteins in 477 species: Archae - 6; Bacteria - 326; Metazoa - 4198; Fungi - 1506; Plants - 923; Viruses - 22; Other Eukaryotes - 1797 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G72390) TAIR;Acc:AT1G72390] MGISFKLSKVGVRVHPAARVAAPAPAAVAAEKAAEKEAKREDGVVERASDANGITISPACSRIILPEHEVSFTFSLYDRGYLIAKSAAMDPCQPSIQDGKTLHPYDKASEKLFSAIESGRLPEDILDEIPSKYYNGSVICEIRDYRKHASNQAPAPSAELGLPVVNKVRLQMTFENVVRDIPRLSDDSWSYRDFMEAEARIVKVLQPALCLDPTPKLDRLCQDPVPHKLNLGIGKKRRLRQNPEVVVTSNNMSHGKKVCIDRVSENMKSDEMGISGGNAVHQGLDNTAIQNMSGGSQTFRPANFSMLSQTGIQQTVNYPAIGNDRGAGTPMNYAGINSSISSPQNLMAYNETTNGLLSVKREMADAPLQDPKRVKTTVSVDDMQQQQQTRHQPAGLGGQEMQWKNQQLQQLDVKGMQYAASVGQRYTHPHVQEPASIYSNQLGMRYGAKQEQMDGMDKSKDTLQAMAPENSVLDQQQPQAPHLSQQAGPRNMQQWQNPRFSGEKDLKKEEMLQRRKIAATSRVSSVPMVQSPVSSKSGEISSSSMSAQFGAAVTSAVMGSQKDKFPANSNPAVVGYPPVASSPSDSMHRMQQPSVAPSKRKSNSVPKTQPPVSGVGSPASVSNMHAVLNASSPSIGTAPMGDQAILERFVKIDAISQRCKLHSKKNKVDNIPQRKPIINASQEKVATVLSNCFHAEDFRDEIKPLCNSMLGGTMNSFKTRILNFVANNRMYQGPTKPFRIIFKEKHDGTVAMQYGDPEDFDNQNSYECTLILPTKYHADLLAKQLIIRMDREGHTKADDQVALSTPPGNLSALSGILPDNTVNDVKQEGGISHQLNAAAHANMTPGTPLQQHPANRMLPSVNNQALMQQGYMQGANMPPRSQQLDQNLIQQQQQQPPQLQQNAQAQLQQPASLPLNQMQRPQLLPTNPLSQMLGNTGSNLPMASSHMGNKVAPNSVQLQMMQQQQQSRKMMMGLGSTANMGNMVNNVVGLNNIGNVMGMGNVRPMSSPMGNMSGLGNNPNQMSLGMVSSLSAPGIRPGMTHAAIAKMRMGLIQQQRAAGIYPQTSMVGMPGSSSPILPGSANLSMMNQLNRSNINPLQRAMMGPPKMPGSNYPLTPQQQMQLQQQFQQNPLQQQQLQQLQQQQQQQQQQQIHQQQQQQQQQQQQQQIQQQQQQMGSPLQQAAQVGSPAGSQQSLVMSQHQQISPQQMAAMSPQLSSGTMQQVNNNVINHVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >OGLUM10G08430.1 pep chromosome:ALNU02000000:10:12069956:12071443:-1 gene:OGLUM10G08430 transcript:OGLUM10G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSPAAEAAAAVEELTRLYRELPRRPSAEEVEAAAAAVLASEAEAEGAAARLARAEAAAAEGGVSGELLDLLRETRANAVLPAIGLLRRRKEAEIVMEVERRFKVFDGLLARASRVAGAGEERVDSAPAESVEEDVRRTPRGFTGGLDDEMVLCEILVRLPARSVLRCRAVCTAWRRLTSDPAFLRAHHHRQRDLPLIYFRRGGSDRVGAIDLHAAQLRPVVDHTWPPLGYTVIASCDGLLLLSSGRFYICNPATNHWAEIPQLVDADFLGLYPHNPSGEYRVLYGEFHGEEECVYHILTLGSDEPRCITMTMGSETVEQPLAREFLMHARGDRSVLVRGNLHWYLRHRDGGCKIMVFDTASESFQWMRHPAIPGWVSLLEMDSTLVFSAVECTTRIDLWVLQDYERSTWACKHRIELPMAQIRQFPECNLEHLGWSAMVVSVEGDVLVRCSNRIFHCDRKGNVLASFQFDGQLPMNCLHRLKENLVVHPFFQM >OGLUM10G08440.1 pep chromosome:ALNU02000000:10:12072428:12076683:-1 gene:OGLUM10G08440 transcript:OGLUM10G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAADDDDHRAALPREEDDGEEEEGSEEEVESDDKEEEEEGEGYDWSEEDDPEAASLAGICDPDAGSDDDPTFDPAADGDLEVDAVLRSRMARMLLSSARKDRKGSRMPKMGKEEMDLLAMVDKLMHGQFFLRLPLCDCDISNNVMQTDGQLEKLKVYECKAYLRMHKLRLSGNKEVLLTRIREQIEYELYPLCDSCKGDVVVFEQNIYKRKKGAPRGVKGHLCGQRTNAGRIIKESYGTKKQQHTFTPWLDEEERNRTLQEKHARGYVARKTREVRIKDKENERMRRLNRNKENKSKGQDNMNKKSSQAVFPQHTVTTNTVQKRAEKIIPSLQHGESGNSSQQHLSSKQTPTEQLLHYLPQFPHPQQHNEVLLQKGTSRTSTTQLINHQAPSLQHAVKVETTQQQQQQPPPKSIKPAPIQQSSAYPQQYPKHQHHNQALPRVPPSQEQRAAVSQTSAARQDFTNHQAPPSRQHGGSENMRRQEISSRPTPTPTPQQAVSYTQQQPPNHQYRNEAFWQQGGTSTSRTGFMDRQSNNWGSTDHDKPAFQPFTQKAKTYQHGSNGSGHHQARVDRETHQPLRSRNQDYHWEDQSYHHQQNHHQNYYGHRQMSQDQYHHQQNHHQNYHGRQGMNGNQYHDRQNHNQNPQRFRPWKPCFIYQQQG >OGLUM10G08450.1 pep chromosome:ALNU02000000:10:12078553:12080529:-1 gene:OGLUM10G08450 transcript:OGLUM10G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAATSRFAAACGALSQYVRAADSVHRARTAAAARPLPLMPGADVAGDERPEEEGAAAVAQMTIFYGGRVLCPIINTM >OGLUM10G08460.1 pep chromosome:ALNU02000000:10:12107543:12111191:-1 gene:OGLUM10G08460 transcript:OGLUM10G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSDHHCGGGGRSWRFAVACGVLSRCVKAEAAAAANGRHRHHPTMLLMPGADVEPDVREEAAAAAQLKIMYGGRMLVFDDFFPAGGAVVELVRAAARAGQDVRRAGAARRRVGDSRGLDAGLPVVRKVSLQRFVEKRRRMRLGATAPNLLAIRRQCCRQGRRDQAALTTPRYTIFCTLTRVSAARAPERAVMSAADYSAGGRRRRRFAAACGVLSRCVKAESAAAAGKMLAAMAPSAANYGHPAASASTMLLMPGADVAPDVREEGDEAAAAAAGGGSGSGTSARLTIMYGGRAVVFDDYTAESAAEVMRVAARAATKEQHQEDDAYDAANHGGGGGLAADLAVARKDSGSPQRSFVVEKRRRAARISATAPYSPRRPPLAANAVVKDDDGDAGGRWLTLALGAPGSYQEARRGGETSCRPDDADAAAAAALH >OGLUM10G08470.1 pep chromosome:ALNU02000000:10:12132380:12133105:-1 gene:OGLUM10G08470 transcript:OGLUM10G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGSSSRFAVTCGLLSQYMRERQQPQPPVTVLEAVAEEEEEDARTMQLFPPRAAAADGVATPSAGTAPLTIFYDGRMVVVDDVPAEKAAELMRLAGSACSPPPPPPQPAHAAALPEMPIARKASLQRFLQKRKHRITTTSEPYKKAAVASPAPEKSFAVAPVKDEPATWLGL >OGLUM10G08480.1 pep chromosome:ALNU02000000:10:12143775:12146747:-1 gene:OGLUM10G08480 transcript:OGLUM10G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPDSVRIGRDYVIINRSSAVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSLLALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREIRSLHMDL >OGLUM10G08480.2 pep chromosome:ALNU02000000:10:12143775:12146747:-1 gene:OGLUM10G08480 transcript:OGLUM10G08480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSLLALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREIRSLHMDL >OGLUM10G08480.3 pep chromosome:ALNU02000000:10:12145025:12146747:-1 gene:OGLUM10G08480 transcript:OGLUM10G08480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPDSVRIGRDYVIINRSSAVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSLLALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREYGTHLVEAA >OGLUM10G08480.4 pep chromosome:ALNU02000000:10:12145025:12146747:-1 gene:OGLUM10G08480 transcript:OGLUM10G08480.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLPRLVRTPFTPILCTIRWRREGGEKQLCRISSSLVSETQLVPVQDIPAAGRVLDSQSSRILSLKYIGSHTRSGFLESIWASCHSIFALRPAERLDAYNILSLSLLALYILRISLDIFPSSENDAAQQCSRRRSAALPAQDKPNTAMTKRERWAQKEARSLGIGETSQSDENCSSRKDWSKVFLLLYEMLREYGTHLVEAA >OGLUM10G08490.1 pep chromosome:ALNU02000000:10:12151477:12157204:1 gene:OGLUM10G08490 transcript:OGLUM10G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKKQVEESLPEWRDKFLAYKRLKKLVRLVSSSGDVGGGGGGEAEFVRLLDGEVDRINAFFLEQEEEFVIRQRELQETVEKVAGGGGGGRRPAAAEMRRVRKEIVDLHGEMVLLLNYSAVNYTGLAKILKKYDKRTGRLLRLPFIEKVLRQPFFTTELISRLVRDCEATMEAIFTSSVATTAMAGDRRTWKGCSGDAGMAPMADQQGIFRNTVAALATMKELRSGSSTYGRFSLPPMAAPASPESDVLQSIQIADPIPI >OGLUM10G08500.1 pep chromosome:ALNU02000000:10:12154123:12159285:-1 gene:OGLUM10G08500 transcript:OGLUM10G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NagB/RpiA/CoA transferase-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07300) TAIR;Acc:AT3G07300] MPDVQPLVSEFVLKLKRRKVEGSHAVARQTAELLRSVVSQHRMGSTNQAAALADAVRGVGEQLIAANPIELAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTAESDDEYDSGNDDHPTLSAAVLAAHARNALRAPSLQTLLEDIPVSTALSRSASSAGDSDGKSGDKSSKSRKLKHDVIAAIGDLIDEIDTCYDQISEQAVEHIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYKGHVLAKELVEKGVQTTVITDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSSCMNFSTQDGTPLLNVVNPTFDYVPPKLVSLFITDTGGHSPSYMYRLIAEYYSADDLVVRRKSTSS >OGLUM10G08510.1 pep chromosome:ALNU02000000:10:12170017:12170702:1 gene:OGLUM10G08510 transcript:OGLUM10G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFHRPTGRSSDGRLLIDFIGIPTPYLAGKTATDLLAGTNFAVGGATALELAVLASMGIVSAVPVSLSNETRWFQDALQLLASSINGKSDGRRSCSFF >OGLUM10G08520.1 pep chromosome:ALNU02000000:10:12181295:12183871:-1 gene:OGLUM10G08520 transcript:OGLUM10G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKVAAACSTVWLLAKARKWLEVPADDAPQSLTLLLCRGSGSGGGGSVAGLIAWSSRTPSLRSCSRSVSTLSVPSASWTVVGVGAVTLVVFADVVVALDLVAHTHTRRVQMAASEEHGCRGCSGRRARRRSGDGRAQRWAKQRRAS >OGLUM10G08530.1 pep chromosome:ALNU02000000:10:12183729:12185088:1 gene:OGLUM10G08530 transcript:OGLUM10G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMHPTARRRIAEKSLFFFGEIGVNDYFLALASNHTVEQAAATLVPDIVGVIRSAVIDAIVAGARTVVVTGMIPLGCEPQLLALFPAGSAADYDPDTGCDARFNKLAEVHNRELTRMLRQLRRAFPAAAVHYADFYRPVTAIIASPAKYGFGDTPLAACCGGGGNAYNFDFAAFCTLRASTLCADPSNYVPWDGIHYTEAVNKFVARSMLRRALIPMPKPNPSLSMPLSSSREHTGQETSRELATL >OGLUM10G08540.1 pep chromosome:ALNU02000000:10:12189677:12191611:-1 gene:OGLUM10G08540 transcript:OGLUM10G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIGNAL PEPTIDE PEPTIDASE-LIKE 1 [Source:Projected from Arabidopsis thaliana (AT4G33410) TAIR;Acc:AT4G33410] MESLWKLSYLLEPASLALILTAVSVAYASASRALDHGREMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLVTAFTAVASAMALFFCLSPYVNCVRSRLGVGDPFVSRCCSKPFTRLQGLLVAICVGTVVAWLVSGHWLLNNLLGISICIAFVSHVRLPNIKICALLLVCLFVYDVFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRSLMGGLAPGSSPGDYMMLGLGDMAIPGMLLALVLSFDHRKIKDMSVSQDMPPSKQRKYVWYALTGYGVGLVTALAAGILSQSPQPALLYLVPSTLGPVMYMSWLRNELWELWEGSRPIINDKAHLLEV >OGLUM10G08550.1 pep chromosome:ALNU02000000:10:12200826:12226711:1 gene:OGLUM10G08550 transcript:OGLUM10G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAIVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASAHEKHTTAASSIFYFGEIGFNDYSFALSTGNGSVDVAASLVPDIIAVIRSAVTAVIAAGARTMVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNGLAELHNRELQRALHEIRRAHPGAIAALGLPEPTPYLAGKAAAEFRRGANFAVGGATALDPVFLKSRGITSFVPVSLGNETRWFEDVLHLLAGASAHQKHMIAASSVFYFGEIGFNDYSFALSAGNGTVEAAASLVPEIIAVIRSAVAAVIAAGARTVVVAGMIPIGCEPEMLALFPSGAGDYYDPASGCIARFNRLAELHNRELQRALHELRRAHPGAAAAILVVVMMTCPKMLTFGRKTTSRPEEKTPTLEKAIPKLNPSPRWIRVSYTPFSSVTVAASATTLAEPVTTGSISMTPFSPHLVAAAAALLGLLATAVAGGGTGAYTRVFSFGDSLTDTGNALHLPSTGGGGGPASRPPYGETFFRRPTGRASDGRLAVDFIVEALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFDKVLQILGSSDHGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGGGVAGDDYDPESGCMTRLNGLAEHHNRELRRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSAYVSWDGVHFTEAANRHIACAVLEAGAPPAVSTAPMTWPAAAEAGRSRIGCS >OGLUM10G08550.2 pep chromosome:ALNU02000000:10:12200826:12226711:1 gene:OGLUM10G08550 transcript:OGLUM10G08550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAIVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLALGLPEPTPYLAGKTAADFRRGVNFAVGGATALDPAFLKSRGMTSSVPVSLSNETRWFQDVLQLLGASAHEKHTTAASSIFYFGEIGFNDYSFALSTGNGSVDVAASLVPDIIAVIRSAVTAVIAAGARTMVVAGMIPIGCEPEMLALFPGGAGNYYDPASGCITRFNGLAELHNRELQRALHEIRRAHPGAIAALGLPEPTPYLAGKAAAEFRRGANFAVGGATALDPVFLKSRGITSFVPVSLGNETRWFEDVLHLLAGASAHQKHMIAASSVFYFGEIGFNDYSFALSAGNGTVEAAASLVPEIIAVIRSAVAAVIAAGARTVVVAGMIPIGCEPEMLALFPSGAGDYYDPASGCIARFNRLAELHNRELQRALHELRRAHPGAAAAILVVVMMTCPKMLTFGRKTTSRPEEKTPTLEKAIPKLNPSPRWIRVSYTPFSSVTVAASATTLAEPVTTGSISMTPFSPHLVAAAAALLGLLATAVAGGGTGAYTRVFSFGDSLTDTGNALHLPSTGGGGGPASRPPYGETFFRRPTGRASDGRLAVDFIVEALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFDKVLQILGSSDHGKKH >OGLUM10G08550.3 pep chromosome:ALNU02000000:10:12200826:12226711:1 gene:OGLUM10G08550 transcript:OGLUM10G08550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAPFPRRLLLSLLLGVLVVAIVAAADVESSAGGGGGRRHSPRRTRYSRVFSFGDSLTDTGNAAILPATAGGPFTRPPYGMTFYHHPTGRASDGRLALRLRHPAPYLAAGGETAAEFRHGVNFAVGGSTALPPEFYEGRGLKPFVPVSLANQTAWFDKVLQILGSSDHGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGGGVAGDDYDPESGCMTRLNGLAEHHNRELRRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSAYVSWDGVHFTEAANRHIACAVLEAGAPPAVSTAPMTWPAAAEAGRSRIGCS >OGLUM10G08550.4 pep chromosome:ALNU02000000:10:12225378:12226711:1 gene:OGLUM10G08550 transcript:OGLUM10G08550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGSLLIGRRKIMASSLFIVGEIGVNDYLVSLVGNLTVGEVETSVVPHIVAAIRSTVNEVIAAGATTVVVPGMIPLGCEPQLLALYQGGGGGGVAGDDYDPESGCMTRLNGLAEHHNRELRRAVAELRGAHPGASVVVAYADLYRAVADIVASPGRHGFGGAPLAACCGAGAGAYNFDMAAFCGAAGSTACADPSAYVSWDGVHFTEAANRHIACAVLEAGAPPAVSTAPMTWPAAAEAGRSRIGCS >OGLUM10G08560.1 pep chromosome:ALNU02000000:10:12210727:12211579:-1 gene:OGLUM10G08560 transcript:OGLUM10G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGERAGGGGGEEGEQASGQAPLLLARAGGEGGAAASGQAAARRGRHGDGFVDRTDCSVCLGEFRDGESLRLLPKCSHAFHVPCIDTWLRSHSNCPLCRYNIAFVTVGMVSPEPEARPPREDMERQPQAEPHNVVTGVGNGGRNQEAKDGPGRSEDANGIAEIRVDGALMPPTRAPSSLSDTHREGRMSIAEVLQAASWQALRGPQDGVMGSTARTAAAGHCRTARI >OGLUM10G08570.1 pep chromosome:ALNU02000000:10:12219243:12221423:-1 gene:OGLUM10G08570 transcript:OGLUM10G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKIGLQTLTLILSHSFLSCLARSAAAASSAAFASTSASASPHSLLLADVALAATVTDENGVYDTRIQRGDGFNFGIAFSSVGVFYFGGSVQLSPCNRRLSLTSSGLLVVFRPKVNIFGQVIITTTSFNPVSHPHLLLPCTHLIGVGYFGWVGGSGGACAVEKIYGRGEGSEVSCLPSAAILEVERELGARRYAREGSLVARLLPITLGQVRKRIDPELLFS >OGLUM10G08580.1 pep chromosome:ALNU02000000:10:12226814:12227077:1 gene:OGLUM10G08580 transcript:OGLUM10G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALPTMSSCRGCLCAAVAVHDDKDFVARHPRPQGVHDCTMRTYASSPPPDMPTLDYYPPPPPPVYYTPPSPPHTTVCRRRTEATT >OGLUM10G08590.1 pep chromosome:ALNU02000000:10:12237736:12240003:1 gene:OGLUM10G08590 transcript:OGLUM10G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKHTSMAPAVILRVTALLRFILLVAGAATATATAARFSRVFSFGDSLTDTGNLVLLPAGRDVPERRLPYGQTFFHRATGRASDGRIAIDFIAEALELPRLKPYLAGEGADGFRHGANFAVGGATARDAGFFQRRGLRSVPVSLATEMGWFKELLPLLASSCPQEQRKITASSLFFVGEMGGNDYLNAIFQNRTLDEAKTFVPGIIDAIRSSLTELVGVGAKTVLVQGMLPIGCEPRVLELFKLKHGRSTGGDGDYDADTGCLTSFNELAEQHNRVLTAALDELRRAHPGTAIVYADLYRAVTDIAVSPRRYGFGGEPLFACCGGGGGPYNVRLAARCGDEGTAACGEPSEYVSWDGIHYTEAANRVIARGIVEGRYTVPPISLSVSSSD >OGLUM10G08600.1 pep chromosome:ALNU02000000:10:12246225:12249328:-1 gene:OGLUM10G08600 transcript:OGLUM10G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G07010) TAIR;Acc:AT1G07010] MAVLPPPVRVAAAAAATTFGLSTSPAAATPAGCRRCGPRGRAAVHVAISASGRASFSRGAAGDGGGGGGGRPAITVAGDPPTFVTAPGRRIVAVGDLHGDLNQTRAALVMAGLLSSESDGHVWTGGQTVLVQVGDILDRGEDEIAILSLLSSLNMQAKSQGGAVFQVNGNHETINVEGDYRYVDPGAFDECIRFMEYLDECDGNWDDAFLNWVNVCERWKEEYPMSPNGDWRPWNFVKKQKGIAARSSLFKRGGPLACELARHPVVLSVNDWIFCHGGLLPHHVEYGIERMNREVSVWMKSSSGDSDDELDIPFIATRGYDSVVWSRLYSQGPTEMTRHSWKLSSVVAERTLKSVGAKGMVVGHTPQTRGVNWYLLFSLG >OGLUM10G08610.1 pep chromosome:ALNU02000000:10:12254184:12254626:1 gene:OGLUM10G08610 transcript:OGLUM10G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPRSTLHSRGSSPASRPATAVPRASPTPSARPFDDSSFAPSVSLPRSAPASTSSPPAHASLVPRGLRASSAPASISRSSANSQRGWSN >OGLUM10G08620.1 pep chromosome:ALNU02000000:10:12255781:12259449:1 gene:OGLUM10G08620 transcript:OGLUM10G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHLVLVLVLLLAAAAHPIAFADAGAAGNATTTTYIVFMDPARVPAAGHAAHLQSLAIDPDRHLLYSYSAAAHGFAAALLPHHLPLLRASPGVLQVVPDEVFDLHTTRTPEFLGLLSPAYQPAIHGFEAATHDVVIGVLDTGVWPESPSFAGGDLPPPPARWKGVCEAGVDFSPSVCGRKLVGARSFSRGLRAANGGGGGGARAGVGRKGFVSARDRDGHGTHTATTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGSAPYFRDTVAVGAFGAAAAGVFVACSAGNSGPSGATVANSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGPSPSPRPAMLPLVYGGGGDNASRLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGKLAGDKIREYASRRAAGGAGAPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGVAGPTGLVKDGRRTHFNIISGTSMSCPHISGVAALLKAAHPEWSPAAIKSALMTTAYTVDNTNSSLRDAAGGLLATPFAFGAGHVDPQKALSPGLLYDISTKDYVSFLCSLNYTTPHIQVITKMSNITCPRKFRPGDLNYPSFSVVFKKKSKHVMRFRREVTNVGPAMSVYNVKVSGPASVSVKVTPAKLVFNKVGQKQRYYVTFASTVDASNAKPDFGWISWMSSQHVVRSPIAYTWKI >OGLUM10G08630.1 pep chromosome:ALNU02000000:10:12266269:12278266:1 gene:OGLUM10G08630 transcript:OGLUM10G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDAVASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYPGSCSNSSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPRIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFDDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMTKSDKQQIPAVEDMALLEDALVEALKGKMLLLVMDDMWTEKAWDNGLRVPITKACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKSSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWALLLFYTALHHDWQQCRVTSGVIPE >OGLUM10G08630.2 pep chromosome:ALNU02000000:10:12266224:12278266:1 gene:OGLUM10G08630 transcript:OGLUM10G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMILDAVASYLGEQFAKMVKDEAGMLLGASGEVEKLTGTLSRLKKFLANAERRHITDAKEGEYVHEWVRKLKDAMYDATDIVDDVHLKSEQRRERYASPYPGSCSNSSLLWCLQDPLFAHRIGSRVKELNERMDGLFKQAEADQQADTDRLKSSSTPGNPHSGNPRRTAPRIIHEDIVGDKIEEDKRMLVDWLINHDKKYLVVAIQGVGGIGKTTLAKKIFDDQAIQDTFDVKIWLSVTQDFNEAHLLKTAIAMTKSDKQQIPAVEDMALLEDALVEALKGKMLLLVMDDMWTEKAWDNGLRVPITKACAPGTCVLVTTRNEDVAKVMKAAHTHQVTKLRLDDSWTLLQKQAALSVSEIEIVQECGMKIAEKCDGLPLAIKVIGVVLCKKNATKNAWEEVLRNQIWSKMGLPEELNKAIYLSYEDLSHNLKQCFVYYSLFPKDEIIGIDKIVSMWIAEGFIGKDGYSAQSAGLDYYKELIKRNLLEPQNDYYNEEHCIMHDVVHSFAQHVARDEALVLRDPQNNGILSSSKFRRLSISAEQIEWSNLQNQHCLRTLILFGNIKLKPGDSLRILPSLRTIHDSLCHLKHLRYLELRYTDISALPRNIGRMKFLEHIGVRGCHRLSKLPSSIIKLDNLRHLSIDETKIRAIPRGFSRLLNLDVLWGFPVHGVAQGTAKHYCTLEDVGPLSQLRKLKLKGLENAPSKSAALAELGTKSRLTCLELWCSNDETKDAIVTVEQEQIKELFDLLRPAECLEELTIGGYYGDTVPDWIKMPEAAIFKDLRRLNLQNLVSCIQLPDGLGQLPNLDFFVVDDAPCIKQIGHCLLFEQGQRNMDNKKSSRHVAFPKLHELHLKGMMEWNEWTWEKHVEAMPVLSVLHVKDCNLSHLPPGLPYQARALKRLCVINARNLNSVEGFSSVVKLEVYGDPNLERIVDLPSLQNLTIVNCPKLMLLDGVASVQIMELGDHGMETLPEYLRHLTLRHLKIVCSLNLLRLMSTKHDASRSEWEKISHIMHVEGFASDNGDGILRWALLLFYTALHHDWQQCRVTSGVIPE >OGLUM10G08640.1 pep chromosome:ALNU02000000:10:12292693:12298879:-1 gene:OGLUM10G08640 transcript:OGLUM10G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTTTSSSPSWSSLFGLGCFTSSHSDGGSHHYHGGSAAAKNPGTPLPARPSSCNSNDGVAAAVMPSPEDLSQSLAGSGVEAFTVEELRRATRDFSVSNFVGEGGFGPVYKGYVDERLKPGVRAQAVAVKLLDLEGSQGHKEWLAEVIFLGQLRHHHLVKLIGYCYEDEHRLLVYEFMARGSLEKHLFKKYSASLPWSTRLKIAIGAARGLAFLHEAAKPVIYRDFKTSNILLNSDYEAKLSDFGLAKDGPQEGETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSYGVVLLELLTGRKAVDKKRPPREQNLVEWARPCLHDSRRLNRVIDKSLNGQYSIRAAQKAAAIAYQCLSVSPKSRPRMSAVVEALEPLLAMDDGIVEPFVYMAPPESK >OGLUM10G08650.1 pep chromosome:ALNU02000000:10:12304367:12327866:-1 gene:OGLUM10G08650 transcript:OGLUM10G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVAYPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSGLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGRKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLLHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSLLLRRECCTFSNGEYVKTGLCVLEKWILDATEEHAGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >OGLUM10G08650.2 pep chromosome:ALNU02000000:10:12304367:12327866:-1 gene:OGLUM10G08650 transcript:OGLUM10G08650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRPGTAVWVEHPDHAWAEAVVAYPASSSPSSVTVTLAGGAKAVVDGKKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYGFNEIYYRGVQFGELSPHVFAVTDASYRAMVSEDRSQSILVSGESGAGKTETTKLIMRYLTFVGGRSTGDIRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGRISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYLNQSHTYELEGVNEAEEYLKTRRAMDIVGISFSHQEAIFRTVAAILHLGNIEFSPGKEFDSSAIKDEKSKFHLQMAADLLMVDGSLLLSTLCYRTIKTPEGNIVKAVDSSAAAISRDALAKTVYAQLLVDNINMSIGQDMESRALIGHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKVIYQTELFLEKNRDYVNLEHQNLLCSSKCSFLSGLFALQQDDPSKSSYKFSSIASRFKQQLQALMETLSSTEPHYIRCVKPNSLNYPQKFENGSGVLEAIRISLAGYPTRRTYTEFIDRFGLLLPEHMDERFDEKSLTEKILRQLHLENFQLGRTKVFLRAGQIAVLDSKRTEILEKAARIVQGRFRTFVACKEFHSTKKASVSLQAYCRALVIQSGVRYMLAIQKLLQLKNNKAATIIQALWRMKKLYDFHRQYRHATILIQCCWRQKLAKRAFRNLKQAAYETGALREAKGKLERSLEDLTLRFTLERRQRVAAEESKALEVSKLLKIVESLKCELEAANEEKINGRKEVASMQQQLELSIKDQELLHSNLAQIEELKRENTLLKGKNAEMEQELLKAQKCSHDNMDKLHGVERNYLHLRDNLKNLEDKISNLEDENHLLRQKALSLSPRHSRTMSHPIGSSPCSPKSLIESSPVKIVPLLHNPTELRRSRMNSERHEEYHELLQRCIKDDMGFKKGKPVAACVIYKCLLHWGVFEAERTTIFDFIIQNINTVLKALRPPLKAFGQRNSMSHIDAKYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRSRSPDVTLQQPISAHWDRIIKFLDSLMDRLHKNFLAPTTGVLHIFKWRICENWALCTGEMDSGCYRRGAAWDELKYIREAVEFLIIAQKSKRTLEQIKKNICPVVAKMRDMVSSDAQNPVSNSFLLDDDLSIPFTTEEIAEEVPDIDMSNIEMPSSLRHVHSAQFLMQHLQTTYPLR >OGLUM10G08660.1 pep chromosome:ALNU02000000:10:12331515:12332300:-1 gene:OGLUM10G08660 transcript:OGLUM10G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSSSAPPRLHLAATPACFSSSSGHGVSRLSVTSACSAAPGSLVSMRRQKARSLTVRCEQGAKGGGGGGGLDVWLSRGAMLGFVAAITVELTTGKGVLQNVGLTSPVPTVALALTGVVGVATAFLIFQSASQD >OGLUM10G08670.1 pep chromosome:ALNU02000000:10:12335925:12337609:-1 gene:OGLUM10G08670 transcript:OGLUM10G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEGEGVRLLGGRMSPFTMRARMALALRGVEYELVEEALHPRKSGRLLAANPAYGRIPVLLLPGGRAVCESAVIAQYVDDAWGGAGAGAAILPVDPYERAMHRFWTAYIDDKFWPALDAISLAPTPEARATATASTRAALKLLEEAFAARSNGGAFFSGGGAAASPGLLDVALGCFLPALWACESLNGLRLLDDDATPLLRAWSARLAATPAAMAVMPETEEVVAFTRFLQTKFGVAGSK >OGLUM10G08680.1 pep chromosome:ALNU02000000:10:12337804:12338115:-1 gene:OGLUM10G08680 transcript:OGLUM10G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGSCEVGGALDQSPSCAAAAEEGKLSAVHGGEAVARKRGLSRWSNQGVLRVNQEEEEVIPAQSDGELSGPSPPPPPSSSPAATRERERGWSGGEAAAQLNQ >OGLUM10G08690.1 pep chromosome:ALNU02000000:10:12337870:12342004:1 gene:OGLUM10G08690 transcript:OGLUM10G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Proteasome assembly chaperone 3 (InterPro:IPR018788); Has 120 Blast hits to 120 proteins in 47 species: Archae - 0; Bacteria - 0; Metazoa - 62; Fungi - 2; Plants - 49; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14710) TAIR;Acc:AT5G14710] MTFFVVSLITRSMLVSQMEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEATMKYIVSTIIENRLW >OGLUM10G08690.2 pep chromosome:ALNU02000000:10:12339054:12342004:1 gene:OGLUM10G08690 transcript:OGLUM10G08690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Proteasome assembly chaperone 3 (InterPro:IPR018788); Has 120 Blast hits to 120 proteins in 47 species: Archae - 0; Bacteria - 0; Metazoa - 62; Fungi - 2; Plants - 49; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G14710) TAIR;Acc:AT5G14710] MTFFVVSLITRSMLVSQMEMGMNSVQPNAQFPVPHKSLSLDINGNKTDIVISKYEDNFMVMVTQIGCMGTILAARKDESVFSDPTYNVSVLFGKRDEATMKYIVSTIIENRLW >OGLUM10G08700.1 pep chromosome:ALNU02000000:10:12343269:12345423:-1 gene:OGLUM10G08700 transcript:OGLUM10G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQPPEGRGGGDNNNGKRKGKEKVVPEYGKNRHGMPVGWYFVPKDLELFAILRCKLVRGQLPGALNNVFEHIRILEIHPALLHETYIGNEEDGYIYFFSKRQFTTKAGNKRRPTRVTKGGMWKASGGSKTLRSKKVGGIDVGQKLTMVFYERRFEGDRNPIKTNWGMHEFTKIIDGTKNQLEDLAVYRLYKIKRKEDEEPSNTAAAASSTDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPGLAGSSSAMSLPALQLPGMAGSSSAMPLPPLSLPGLAGGMMSMADQANMASTSQVSTPSSELLQDWYDEFEITYGAVAPPSPSTISWVAPQSSPTGWWPSPNGGPVQHDGYLGMAADPTSYMLENLLPTAAIPPEPMMPPTSSPAPPPAVDHHHRLSPPHDAAGSNYNYPELADYNGGVQAQHEHQYHPQEPQASLVDAEDGYSAMAGGDDAQLGGAELDTERIAEMVNHIMDGEFEFKFEDNTVLKYNEVFPDNDEVVAAPMMIDGGGDGDGANGGDGDDPFDN >OGLUM10G08710.1 pep chromosome:ALNU02000000:10:12345518:12350638:-1 gene:OGLUM10G08710 transcript:OGLUM10G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEFEFKFDDDTIVSFNEVVAAPMLIDGDGDGDGDGADGEEGAENSRREPEWWPPPPSPPILLPLRDASGHRSGQHPGGHCRRGVLWRSVPSGMPRVSGCLCQISSVLACPSFARVGTAGKEKEERVVSGIRLMPSPQICRPHHTTREHRRD >OGLUM10G08720.1 pep chromosome:ALNU02000000:10:12350699:12352824:-1 gene:OGLUM10G08720 transcript:OGLUM10G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGFYFVPKDLELLAILMCKLVRGKVPGALNNVFKHIRILEFHPALLHETYIETMEDGYIYFFSKRQFATKARNKRRPMRVADGGTWKASDESKTVRSKKVGGIDVGQKFTMVFYERRFEGDQNPIKTNWGMHERKEDTEPVNAAATVSSTDEPSTPAALPLPTPPPRPLPDMAGSSSATSLLPLQLPGLAGSSSTMPLALPLQLPGLPRSSSVMSLPGLAGEMTSMGHGETGEHGLDASTPSSELPQDWYDEYEVAYGAVAPPAPSTIIWAALPSSPTAWWPPSNGGPMQHDGYLGMADPASYTLEHLLPTAAIPPEPMAPPKSSPAPPPAVGHHRLSPPHDAAGNYDHLELANYGGVRRSTSIIIIIHRSLRMARTATVPWPTATHS >OGLUM10G08720.2 pep chromosome:ALNU02000000:10:12350701:12352198:-1 gene:OGLUM10G08720 transcript:OGLUM10G08720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVADGGTWKASDESKTVRSKKVGGIDVGQKFTMVFYERRFEGDQNPIKTNWGMHERKEDTEPVNAAATVSSTDEPSTPAALPLPTPPPRPLPDMAGSSSATSLLPLQLPGLAGSSSTMPLALPLQLPGLPRSSSVMSLPGLAGEMTSMGHGETGEHGLDASTPSSELPQDWYDEYEVAYGAVAPPAPSTIIWAALPSSPTAWWPPSNGGPMQHDGYLGMADPASYTLEHLLPTAAIPPEPMAPPKSSPAPPPAVGHHRLSPPHDAAGNYDHLELANYGGVRRSTSIIIIIHRSLRMARTATVPWPTATHS >OGLUM10G08720.3 pep chromosome:ALNU02000000:10:12351996:12352826:-1 gene:OGLUM10G08720 transcript:OGLUM10G08720.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAAAVEATATMGSGRGRRRWCRSTARTGTACLSASTSSPRTWSSSPSSCASSSEERSPAPSTTSSSISGYLNSTPPSSMRQFATKARNKRRPMRVADGGTWKASDESKTVRSKKVGGIDVGQKFTMVFYERRFEGDQNPIKTNWGMHEFTKIIPGTKN >OGLUM10G08730.1 pep chromosome:ALNU02000000:10:12356965:12358242:-1 gene:OGLUM10G08730 transcript:OGLUM10G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGAASRAYLPDDLVADILTRLPARSVCRFRAVCRSWRALATERQFVLAHAARDRAAAVPMNHHHRKVHPPFSPSPRRGGGEPTVMLAFVMICRRNEPDRSFYLESREDGACKLLGCWDGMMCIDVRRDSPACRDGIVVVNPISMAYAVVRSPMPDGGEFIAGYAHPDTFAFHLMYYCHNQGKVIFQVIKAGDSQWREIAADRLAISGIDFDKQGISSVALHGGLHWQLRTNSGQWVMLVYDMVTEKFRSIAAPQCATTWVRGLSVLSGRLCSIVIPESMTAEIWVLEDYHEHRSWHCIREIDMAASAERINLENFWDSDLRMFLKVDVKQGIEHEVQEIIIHHGNKIISQPCSVYELRRNEAVYNVRHNVWHKSTMCFNGESIMYKESIVPYQMSFGMKSQFCERKRGTSQFSEGQHVYHLPL >OGLUM10G08740.1 pep chromosome:ALNU02000000:10:12360378:12361305:1 gene:OGLUM10G08740 transcript:OGLUM10G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGRELDEDGTATTIGTQQGKNSKRPAVGWKLDKEDREKIGLSYTELATMWMQLSGIGARRRHYRAGVRRRAPTAVATALVREGDVDPTMERWGMETKEVKSSGKVVDLACPEWRGGRDR >OGLUM10G08750.1 pep chromosome:ALNU02000000:10:12362552:12364663:-1 gene:OGLUM10G08750 transcript:OGLUM10G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >OGLUM10G08750.2 pep chromosome:ALNU02000000:10:12362552:12364649:-1 gene:OGLUM10G08750 transcript:OGLUM10G08750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGSKNQKTFRPKKNAPSGNKGVQLKKHIDATLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPTTCPTMSAGPKFEYRWADGIQIKKPIEVSAPKYVEYLMDWIEVQLDDESIFPQKLGTPFPQNFREVVKTIFKRLFRVYAHIYHTHFQKIVSLKEEAHLNTCFKHFTLFTWEFKLIDKAELAPLIDLIESIVSVC >OGLUM10G08760.1 pep chromosome:ALNU02000000:10:12368103:12376840:-1 gene:OGLUM10G08760 transcript:OGLUM10G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G33210) TAIR;Acc:AT4G33210] MADRGRMKGVEGGEGGSGAGEGAEERDGEAREELELALSLGRRGWHLPAARREPPPPPAAMRWTMPPHSWDHDAAGSSRAATHVPPLRCRDIWHGDNDAGGAIEGAEEGDEEDEEGDEDGDRDLQSKRPKVRGFGEESPQHSGVNASFFGLESTRFPGSDEHGHFKLSHCPENELDFGLSLFPNDGVNENPGDGNVGDVEISGGENSEDVEIRMDLSDDLLHLIFSFLGQRDLCKAGASCKQWRSASMHEDFWKCLKFENTRISLQNFVDICHRYQNVTYLNLSGVPHAELLVMEAITCLRHLKTLIMGKGQLGEAFFQLLSECPLLTTLTVSDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLQILSLRRTGMAHVSLNCPQLVELDFQSCHKLSDNAIRQAATACPLLASLDMSSCSCVTDETLREIANSCPNLSVLDASNCPNISFESVRLPMLVDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPHLKNISLVHLRKCSVLHRVSITSNALQKLVLQKQESLSSLSLLCNNLIDVDLSDCESLTNAVCEVFSDGGGCPLLRSLILDNCESLSTVELNSSSMVNLSLAGCRSMTLLKLSCPNLQNVNLDGCDHLERASFCPVGLESLNLGICPKLSDLHIEAPKMSLLELKGCGVLSQASINCPRLTSLDASFCRKLMDDSLSQTAEACPLIENLILSSCLSIDLNGLSSLHCLHKLALLDLSYTFLTNLKPVFDSCPQLKILKLSACKYLSDSSLDALYREGALPMLVELDLSYSSIGQTAIEELLSCCTNLVNVNLNGCTNLHQLVCGSDDCSSGDMPVDVCPPDSAPVRSEEISERSDRLLEVLNCTGCPNIKKVIIPSMTTYLRLSKINLNLSTNLKEVDLTCSNLYTLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQDEELESAISRCSALEILNVHSCPKINVLDFSRLRVVCPSLKRIQSSLIT >OGLUM10G08770.1 pep chromosome:ALNU02000000:10:12413000:12415817:-1 gene:OGLUM10G08770 transcript:OGLUM10G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRRFSAHSLILVTVLAVLIVLAMTTALLGLSIGRRALGDPHGVQSTAAMQQDAQALAYHCRPIPRVRLRLLSCHPRRQHLLPCFSATPSTPRKTCVRPWQSEPNPLARRGGGSALNYMWTGRTGAEVRRTTRALRQQARQWPIGSNASARRRWLATLGYRDADES >OGLUM10G08780.1 pep chromosome:ALNU02000000:10:12423681:12427608:-1 gene:OGLUM10G08780 transcript:OGLUM10G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRENAPPISTSSSSFPARERPSSDRGGALPPLAASTHPVLAGGAKPPVKIPDPIAALLSSTPQPLLRRLRPPARRRTRARRKAQLIRLSKFKQQQERCQSSLASIAALTSKPKHRAQPVNAPSAPARPPQPIKFSNDTERLQHINSVRKSPIGAQIKLVIELLYKTRQAFTAEQINETTYVDIHGNKSVFDSLRNNPKVHYDGRRFSYKSKHDLKGKDQLLVLVRKYPEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFREMELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQINGVQPKAKPKKKQREITRRTKLTNAHLPELFQNLNT >OGLUM10G08790.1 pep chromosome:ALNU02000000:10:12430091:12433413:-1 gene:OGLUM10G08790 transcript:OGLUM10G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cell elongation protein / DWARF1 / DIMINUTO (DIM) [Source:Projected from Arabidopsis thaliana (AT3G19820) TAIR;Acc:AT3G19820] MADLQEPLVRPKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDVWSAMKSEKRRQKEHDDNVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDRERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAVEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMRLTYTPVKGSLKEIAQGYCDSFAPRDGDPAKVPDFVEGMVYTENEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGESIRNYYHDNHVIQDMLVPLYKVGDALEFVHKEMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHHHRQGDTSYAQMFTDVGVYYAPGAVLRGEEFNGALAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRQKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >OGLUM10G08800.1 pep chromosome:ALNU02000000:10:12447993:12449006:1 gene:OGLUM10G08800 transcript:OGLUM10G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMEMRWAAPAPAARGRGRARRRAPDQPSFSSTLLDAICDSMDEGGEDGRTRNAASAAAKKRQEAANSYHYYYCYKPSLAASYRAAPALGSTADCPGRGYFSSSEVEYSLRRLRPIRTSAAGGAGDGAAVARKQRHEQPDVEKTAKTKPGSASARACRRPASPGARLASLLNSIFSGKRPSAQRPACSPDHPDPACSTAPPSSSSSYARRPCHAKTPRTPTTTTTTARARPSRSRTVRFLDIDGKVAVAAAVAGCRRIPVMEVEADTDDGGEESSDASSDLFELDSLAAIAPAGGRDGSYGDELPVYGTTGVGIRRDIGRRRPYGHAPCRSWSRAV >OGLUM10G08810.1 pep chromosome:ALNU02000000:10:12463867:12480517:1 gene:OGLUM10G08810 transcript:OGLUM10G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPLVSSPFIGKEKWKVAAPKEELETLIFCPVSTADKELEVHMANIAKLAYSLVLLLKGIEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRRRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNVNGFTGDL >OGLUM10G08810.2 pep chromosome:ALNU02000000:10:12463867:12480517:1 gene:OGLUM10G08810 transcript:OGLUM10G08810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESTQGNQRKLDGPGKVSTELSLVNLEAKNLHPKPECNQPLEHIPTKGMKCTPLLPLPTEHADDEPIYVNAKQYHAIIRRRQRRKIVGSEDKVAAIRKRILVEARQKQAKLRRRGKGGRFISIEHPLELSMDDQISKNGGSASPSSSTVSENSSNVNGFTGDL >OGLUM10G08820.1 pep chromosome:ALNU02000000:10:12464381:12470654:-1 gene:OGLUM10G08820 transcript:OGLUM10G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPAVQPAIGGGTSSHPVLRSTLTSESDGNVEISMLEPCSADAIALPLSSIPTIEPMEDASMGSVEPMEDASIGSATTITPTEWKKLFRPEEEARLELMTDRIKMTLDVLCKFTTGTGPKTPRSLWS >OGLUM10G08830.1 pep chromosome:ALNU02000000:10:12480962:12481608:-1 gene:OGLUM10G08830 transcript:OGLUM10G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BA56] MAPSLLAPRLAVPLLLVLAAAAAADGVTDAGAGAGMTHLHFFFHEVFTAGPNGTTATVAPPARSGDGSSLGFVGVVDDMLREGADPASRLVGRAQGVTAGTSLAAADGAGAITTMLSLAFTEEGPYAGSTLQVFGRAVLGTVMERPVVGGTGKFRMARGHTLSRRVNSSDPDNLLVIEYDAYVTTSPI >OGLUM10G08840.1 pep chromosome:ALNU02000000:10:12492016:12492561:-1 gene:OGLUM10G08840 transcript:OGLUM10G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BA57] MAASHRAILALILLFSSSAATIAAAQPAAADGGRTTHLHFYMHEFFNGGPNGTTARVAPPARSGGSLFGFVSVVDDALREGADPASRLVGRAQGLAAGTSLADGSVTTMLDFVFTDGPYKGSTVAAFGVGLPLPGGAAMERPVVGGTGAFRMARGYTLSRTVKTADPNSQLILEYDVYISH >OGLUM10G08850.1 pep chromosome:ALNU02000000:10:12507149:12513167:1 gene:OGLUM10G08850 transcript:OGLUM10G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRSSAAVQLVAGVDAADDMFGSLAGCPPRPLEYPVVPDLDDYDVDDAPVAGRPAPASDKTLAVHAGEKLGSGAAAAETDSIATPIVGGTTHWFRDSADLIAFREGRRRNFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALEAWNPFLMRASTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALVSRIRAWHHDLGGAISPNAAYMIIRGLKTLALRVQAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVGLEVASDMRAAMRGKCDEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALGKI >OGLUM10G08860.1 pep chromosome:ALNU02000000:10:12518182:12519884:-1 gene:OGLUM10G08860 transcript:OGLUM10G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSLVTKVSVQRRRSVHRPRRSPTKPERAAAADMFGSLAGCPPSPEYPVVPDLDDCVVDAAVDDASAAGRRKSDETLAVHAGEKLGSGAAAKTDSIATPIVSGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSKMGITSTFVDLDDDMEVLESVLDEGEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGADVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDMRGVMKFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >OGLUM10G08870.1 pep chromosome:ALNU02000000:10:12526045:12527854:1 gene:OGLUM10G08870 transcript:OGLUM10G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSPVTKVSAQRRRRVHRPRRSPTKPERAAAAVALSHEKILLAGVDAAADMFGSLAGCPSSPEYPVVPDMDDRDVDGADSIATPIVSGTTHWFRDSADLIAFREGRRRSFEYGRYGNPTVKVLEEKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVATADCYSEARAFIRDKLSNMGITSTFVDLDDDMEALECVLDESEVTMFYADSMTNPHLKVVDVTRVAELCHRRGALVCIDSTLASPINQKPLALGANVVLHSATKYIAGHHDVIAGCVSGSEALISRIRAWHHDLGGAISPNAAYMIIRGLKTIALRVEAQNRTALRMARLLEKHPKIERVYYPGLESSPWHGVATRQMAGAGGVVSFEVASDLRGVMRFVDALELPLIATSLGGCESLVQQPAVMSYWGKSEEEKAKNGIKDNFVRFSFGIEKFEDLRDDILQALEKI >OGLUM10G08880.1 pep chromosome:ALNU02000000:10:12547298:12549259:-1 gene:OGLUM10G08880 transcript:OGLUM10G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGWLNQTSMFVNEWAIRIVVLCSFAAHLVLALLAGVRRRKATGVRVLLLWAAYQLGGFAGTYALGSMSLSRTTPQQQQQLALWAPFLLLHLASSDNITAYSLDDTALAGRQVLTVAVQIAGAAYVLYRQIYGSRIARGGDGGSALMWVSVVMFAIGVAKYVERAVAMRQADLGSMRSSSKKSKLERRRFFFSDVRELGNEHALLVAHDLLYITKGAFVDHLDDEHPLDRDAVRSEIFRHGWKEMLKVVEMELSLTYEILYTKAQMVHTWFGYGIRIVSPAVSATSLMLFWLHGKEEQGRADIFITYILMAGTILLDIRWLLRAAVSTWTYAFLIDRPCCWLHHGLPARWRVLRRFVLSLDPCRLLGKEPTCSYRMWSGTIGQYNLFRECTRDRRSWMLISSLVKKLASEEEWMEYEYHYSRGIRISPDIRKLLFNCIWEYMKLAYPVVQPKIETDEKRKKPCSAHVESVRELDEALAFLPEFQESVLILHIATNVFYGQCIESDQNAASFKQLEVIKTLSDYMVFLVAVRPGMLPGLKLRSLYEATHQALGKIWSEQRRSCNCKRTKERCLAEILRCLEKKPGERVLKNHLYCNWRPGYRTRNREPGFISKLYDSSVILSDGVKLAEVILRWLSSGYRDNICTSNQRINFS >OGLUM10G08890.1 pep chromosome:ALNU02000000:10:12576872:12581027:1 gene:OGLUM10G08890 transcript:OGLUM10G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKFQPMMPVGPVNSRFRACQEASPPIKKKRSRQRGGPKRVTSRTTTASVYKRRRPTKFRRVVVVVAVVERESNPPHAERAKPHPPPLPHPPHARLVAAARGELERAAAMAAAKEAVVPVEGRRNVLVTSALPYVNNVPHLGNIIGCVLSADAFARYCRLRGHNVLYVCGTDEYGTATETKAMEEGCSPREICDKYHAIHKEVYEWFDISFDIFGRTSSPQQTEVCQDIFLKLLDNNWLSENTMQQLYCNSCQRFLADRLVEGYCPTEGCNYDSARGDQCEKCGKLLNSTELVCGSTPCVRDTDHLFLELPLLREKLEKYIDETSVTGSWSQNAIHATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYISITACYTPEWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYESGKFSKTKGIGVFGNDAKSTNIPPEASDTLFTWTDLQAKCNNELLNNLGNFINRVLSFIAKPEGTGYGSVVPDSPDVDSHALTQSLAETVGKLIDQYIDAMDKVKIKQGLKIAMAISSEGNAYLQESQFWKLYKQDPASCATVMKTSVGIVYLLACLLEPFMPTFSKDVLQQLNLSPEEHLSFCDEKGEVEKAKRPWDLIPSGHRIGKPAPLFKGLENEAVKGLREKFAGSQAERKLRTQVAAQLEATSI >OGLUM10G08900.1 pep chromosome:ALNU02000000:10:12581851:12588047:-1 gene:OGLUM10G08900 transcript:OGLUM10G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPSPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAAVRPDEVTMIAAVSGGGQMRDLELGRRLHGFMDSNGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQWRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNMAESS >OGLUM10G08900.2 pep chromosome:ALNU02000000:10:12581851:12588047:-1 gene:OGLUM10G08900 transcript:OGLUM10G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPSPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAAVRPDEVTMIAAVSGGGQMRDLELGRRLHGFMDSNGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGASYNNMASINRPIVFFTVCLFLLCDGSLAQQLLGQSTSQWQSSRRGSPRGCRFDRLQAFEPIRSVRSQAGTTEFFDVSNELFQWRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNMAESS >OGLUM10G08900.3 pep chromosome:ALNU02000000:10:12581851:12588047:-1 gene:OGLUM10G08900 transcript:OGLUM10G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPSSRLLALLSARRPPSPLRRLLQIHAHLLAAGLLQDFSSLLAAAYALSTTATATDARTSPPSPLRHALALLSSLPASAYNAAIRALSLSDDGDRHGHGVVRRCLPLYRALLRSGTARPDHLTFPFLLKACARLREWGYGDAALAHVLRLGLDSDVFVVNAATHFLSIRGPMEDARRLFDRSPVRDLVSWNTLIGGYVRRGNPAEALELFWRMVAEDAAVRPDEVTMIAAVSGGGQMRDLELGRRLHGFMDSNGVSCTVRLMNALMDMYIKCGSLEMAKSVFERIEHRTVVSWTTMIVGFAKFGLMDDARKVFDEMPERDVFPWNALMTGYVQCKQCKEALSLFHEMQEASVVPDEITMVNLLTACSQLGALEMGMWVHRYIEKHRLVFSVALGTSLIDMYAKCGNIEKAIHIFKEIPEKNALTWTAMICGLANHGHANEAIEHFRTMIELGQKPDEITFIGVLSACCHAGLVKEGREFFSLMETKYHLERKMKHYSCMIDLLGRAGHLDEAEQLVNTMPMEPDAVVWGAIFFACRMQGNISLGEKAAMKLVEIDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDSHAIYDCLHEITLQIKHTADLLSISAAGRGITGPTFPGCPETYQQQFQQSGQAQLTESQSQSHKFKDEHQKIHRFRQGDVIALPAGVAHWCYNDGEVPVVAIYVTDINNGANQLDPRQRDFLLAGNKRNPQAYRREVEEWSQNIFSGFSTELLSEAFGISNQVARQLQCQNDQRGEIVRVERGLSLLQPYASLQEQEQGQMQSREHYQEGGYQQSQYGSGCPNGLDETFCTMRVRQNIDNPNRADTYNPRAGRVTNLNSQNFPILNLVQMSAVKVNLYQNALLSPFWNINAHSIVYITQGRAQVQVVNNNGKTVFNGELRRGQLLIVPQHYVVVKKAQREGCAYIAFKTNPNSMVSHIAGKSSIFRALPTDVLANAYRISREEAQRLKHNRGDEFGAFTPLQYKSYQDVYNMAESS >OGLUM10G08910.1 pep chromosome:ALNU02000000:10:12606182:12606538:1 gene:OGLUM10G08910 transcript:OGLUM10G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:A0A0E0BA66] MVVTLAAVMALLVAPAVVAATATPPAGYTTAEDVSSDFIKQVGKFAVTVYKLARGVSLYYVSTSQCWSKPAGGGADDYWMVLTATNGAGAAGSYVATVWGIPGSESKTWKLLSFNATS >OGLUM10G08920.1 pep chromosome:ALNU02000000:10:12609674:12611173:-1 gene:OGLUM10G08920 transcript:OGLUM10G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPLDALQPLDPDTFAADSSAVVDFLAGYYRDVDKYPVRAADLEPGRLRRLLPEAAPEFGEPAERILADVRRDVLPGLTHWQSPSFFAYFPMNASAAGFAGEMLSVGLNVVPFMWVASPAAVELEAVVVDWMARLVGLPDRFLFSGSGGGGGGGVLQGSTCEAVVCTLAAARDRALGRIGHEGIVKLVVYASDQTHATFQKGARLVGITPANFRVVPTAAASGYALTGAAVRAAVEGDVARGLVPLYLCATVGTTGIGAVDPVRELGEVARRHGMWLHVDAAYAGSAAICPEYQGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPAALTAALSTDPEYLKNAGGGKPQAAAGAGAIDYKDWQISLSRRFRAMKLWFVLRRYGAAGMRAHIRRHVAMAEWFERAVSADERFEVVAKRRFSLVCFRLRGGGGGGDAMNRELLAAVNASGRAFMTHFVVEGKFVIRLAVGGAMTEMRHVGDAWELVQRTAEQLLQRR >OGLUM10G08930.1 pep chromosome:ALNU02000000:10:12616037:12617623:1 gene:OGLUM10G08930 transcript:OGLUM10G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAVVRNPMPDGGEFIPRHTTREQPADGDGGGSGGSNNNNGKLKGKEKVVPEYGKNRHGMPVGFYFVPKDLELFAILMCKLVRGEVPGALNNVFEHIRILEFHPALLHAAITEDLKPCSSSSSSSPAMACAETYIENMEDGCIYFFSRRLFAAKARNKRRPMRVANGGTWKASGGSKTVRSKKVGGIDVGQKLTMVFYERRFEGDQNPVKTNWAMHEYTKIIHGSKNQSNIKLKKV >OGLUM10G08940.1 pep chromosome:ALNU02000000:10:12625270:12629616:-1 gene:OGLUM10G08940 transcript:OGLUM10G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVPHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVVREEIQNIFLQMGFTEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEAPAATKQLPEDYLEKVKEVHQRGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >OGLUM10G08940.2 pep chromosome:ALNU02000000:10:12625270:12629616:-1 gene:OGLUM10G08940 transcript:OGLUM10G08940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEACLLAHLNSGGEVPDSRSYASSLRVPHDELEAVIKSLSAFRIVDTTDITKETWVLTDEAKGYVAGGSPEAQLVAAIPPEGASKDVLREKLGSVFDIGMKTAAKNKWIGFEKGNKDLVLRKVDTIKDDLQEQLKSLESGEVLSDKVIDDLKRRKLITKEKSIWYALKKGPQFVAKRKKLATDVTQEHLRSGDWKDLEFKDYNFGAQGQPIAIGYVQPLLEVVREEIQNIFLQMGFTEMPTNNYVESRYGYDWKRDEAEKNLLRTHTTAVSTRMLYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHEGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGLQ >OGLUM10G08950.1 pep chromosome:ALNU02000000:10:12631012:12639878:1 gene:OGLUM10G08950 transcript:OGLUM10G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVMQGGLGSPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >OGLUM10G08950.2 pep chromosome:ALNU02000000:10:12631009:12639878:1 gene:OGLUM10G08950 transcript:OGLUM10G08950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; EXPRESSED IN: sperm cell, cultured cell; CONTAINS InterPro DOMAIN/s: Colon cancer-associated Mic1-like (InterPro:IPR009755); Has 30201 Blast hits to 17322 proteins in /.../pecies: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G12010) TAIR;Acc:AT3G12010] MKERNPAVASSALTRILAACASQAKDYGRCIAEKVPEIEQNMCAKEFLALRSCMQTVILYWKIVPSTPAGPPNSDPVNDGPVLSVRYSLDLKAIGIQRSNHEVEFINRETGQTCNKKCRADSETILGFFWTDCPTCDVIIIKTSGLDLFAYEPQSNALHLVDSKKINVSWYFYTHESRLILLASGMQCTLFTGYQFSAGGIVKLPKFEMTMTKSEANNKPVLAADDVHTLDRVSMTLNLYRFYRDAVVQQGTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVFMDSYAPISAPLPLLVRGLPSNNKQSAQPPDSQSSAYGGTLYGEGWSFLIPDLVCDVENGLLWKLHLDLEAIAASTSDAPSILEFLQRRKSDPSMVKTLSLAIVRTIILERRPITMVAKAMDVVLDSYSRLMKMGGGLPAVRRTSEQNQQPGVQPGVNPDSASGDGNRPVQSNSEVEHGIANLAEHVDRTLLNTSSDSDDIIDASGASDAPDRKPQVLGQDSRPLASGTSTQHGSHVASVAVSPSEMFESVFVLVEDEMMADPAYLISIIMEFLRSVSRAGLKAPPNLFVMMTTLLARSNRYPEIALFVSNKILEPSKELAMQLMELGRQHSPTRKLGVDMLRERGLHHDYVTALLQDGYHLEALRYARKYKVITVQPVLFLEKAVAINSAQNLAAMLSFFSEFTPTFKTTSDYGRYRHILSEMI >OGLUM10G08960.1 pep chromosome:ALNU02000000:10:12640671:12640913:-1 gene:OGLUM10G08960 transcript:OGLUM10G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIALLVVEEFEKMTKKLKQQRQQQGRISEEVPAGDAAAASRAGEWGSWAAAAMRTRVAALKEPAAAQGLAAVDGFFSA >OGLUM10G08970.1 pep chromosome:ALNU02000000:10:12644428:12649155:1 gene:OGLUM10G08970 transcript:OGLUM10G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSPAAAPPPPPAAAAAEASWECDPCACAATAGSPCGSCGAPPPWACARCTLLNPSGSGVCSACEAARPVEVDAENDGDDPASSPPPPRARKKRVREACADEEEEEEGEGADGAGSPRPSDAAAAKKEKIENNLDKKTFKIMTYNVWIREDIELHRRLGALGDLIQLHNPDFICFQEVTPYIYQLMEKSDWWQEYKCLLSHEMAMRKSHFCMQMSKLPVSESNPIPFSKSIMKRELCVAVVKTGEIHLAVGTSHLESPCPLPPLWDLKYSKKRVAQAKQSLEILGQLRNAIFCGDMNWEDKVDGPFPLPDGWIDAWVELKPGDNGWTYDTKANAMLSANFKQQKRLDRFVCKLSDFKIDDIEMIGKEAIPGVVYYKEKIVRKEFHKLELPVLPSKHFGLVLTITLQDDIL >OGLUM10G08980.1 pep chromosome:ALNU02000000:10:12649337:12649783:1 gene:OGLUM10G08980 transcript:OGLUM10G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTCHFTLSTSLYPISFPNPPSLLPPLSSIAHWWRRRRGAEDGNSRGQSRLGAAKGSQGRRRAGANAARTTRSASASTVGHRLCLHYWSLELVASIVTTRARSAAGGGLPSSLHLRLHRRPPELIPSPLSACQARSASTSIVGHSSY >OGLUM10G08990.1 pep chromosome:ALNU02000000:10:12649842:12650132:1 gene:OGLUM10G08990 transcript:OGLUM10G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGRLGVGIVRWRLGARAANMQRTPVDLVSMRERGRGIKNFASPLQAPTSTSTPSLTGGGEPRTAAGGSGGRADDTIRLRLHRRSLELDALTATT >OGLUM10G09000.1 pep chromosome:ALNU02000000:10:12651221:12651580:1 gene:OGLUM10G09000 transcript:OGLUM10G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVEAVAENSRREPEWWALPPSPPLSSPFVALVIMVLAATTVVTVLECTVGGCPMAECTKWDAKGRRMLVSNFFGASVSELHARRGGGEGGGGAGCIWRVRAPTPSGGSHYGSLSTC >OGLUM10G09010.1 pep chromosome:ALNU02000000:10:12666600:12669012:1 gene:OGLUM10G09010 transcript:OGLUM10G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGCYFVPKDLELFAILRCKLVCGQLPGALNNVFEHIRILEFHPALLHETYIGKEEDGYIYFFNRRQFATKAGNKRRPTRVAKGGTWKASGGSKTVRSKKVGGIDIGQKLTMMFYERRFEGDRNPIKTNWGMHEFTKIIDDSKNQKPGRSTKRIGERCRRGVDEPSTSSALPPPTPPRPLPDMAGPSSATPLLPLQLPDMAGQSSATPLLPLQLLGLAGSSSAMSLPVLQLPGMAGSSSAMPLQLSLPGLAGEMMSMADQANMASTSQASTPSSELLQDWYDEFEITYGAVTPPSPSTISWEAPQSSPMGWWPSPNGGLVQHDGYLRMAADPTWYMLEHLLPTAAIPPELMTPKKSSPAPPPAVDHHHRLSPPHDAAGSNYNHPKLAGYNGGIQAQHENQHHPQEPQPALLVDGEDGYGAMADSNDTQLGGTEFDTERIAEMDNTVLKYNEVFPDDDEVVAAPMMIDSGAMVQRDVMETTHSTIRALFRIDLW >OGLUM10G09020.1 pep chromosome:ALNU02000000:10:12678033:12680031:-1 gene:OGLUM10G09020 transcript:OGLUM10G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNGRGGGSAAGVEGLSVLDAAAAAFTGSAVXVPLSPFDAYWVALPPVRRVFLFPSPPPFGDVVRALRDSLEAVLPAFYPFAGALVYSPEEESLSIVVEAGGGVAFVEAETDLDLGRLVDEGEEHDEDALRQLVPDIRRDELPAPVMAAQVTEFVGGGGGVAVGVAMHHAAADGRGLWRFLEMWSAAAAAAVATSVREALPPPLHDRTLVRFDGDGELARLFLRQIAPDLPKIVHAPLRQCPLSRRTFTFAAPAVKLLKQRATAGGGKAPSTFAAMAAHGWVSIARASGLADDGGGPVFAVFLADARAXVAMRRDCIERVCC >OGLUM10G09030.1 pep chromosome:ALNU02000000:10:12678177:12680844:1 gene:OGLUM10G09030 transcript:OGLUM10G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVDGALPPPAVARCFRSFTAGAANGRVNDLQEIVSDTLKYAYQIGILEHGGNRTERAHLGQVRRDLPQEQPGQLAVAVEPHQRPVVERRWEGLPDTGGHGRCGGGGPHLQESPEAAAVRRRVVHGHPDRHAPATADELRHLRRHDGRGELVAADVRDELPQRVLVVLLPFVDEPPEVEVRLGLHERHAATGLHDDGQRFLLRRVDQRAGEGVERREHRLEGVPERAYDVSERRRGREEEDAADGRQRDPKEGGHRAGERCRRGVEHGQALHAGGTATATAIAGHGRIVVGNVATATAIARPGWVVVDDAAGTATAAAWPARVVGGDVALPGLAGEMTSMADQANMASTSQASAPSSELPQDWYDEYEVAYGAAAPPSPSTISCAALPSSPTAWWPSSIGGPVQHDGYLGMADPASCNTLEHLLPSAAIPPEPMAPPKNSPAAPPPADAGGNYDHPELADYGGGVQAQHEHHQPQELTDGADGDAQLELGAAEFDSERVAEMISQIMDGEFVFKFEDDTIVSFNEVAAAPMLIDGGGDGDGDGADGGVGDDPFDN >OGLUM10G09040.1 pep chromosome:ALNU02000000:10:12681782:12687293:-1 gene:OGLUM10G09040 transcript:OGLUM10G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDDDELYERLRLDAFHRVWSKIQSTINEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYLESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMILSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISYDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >OGLUM10G09040.2 pep chromosome:ALNU02000000:10:12681782:12687293:-1 gene:OGLUM10G09040 transcript:OGLUM10G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:origin recognition complex subunit 3 [Source:Projected from Arabidopsis thaliana (AT5G16690) TAIR;Acc:AT5G16690] MAAPPGEAPLTAATNIEPFYVLHKGGAAASSSSSSAPSLPSSGRARRRIDVSGPASPNPKPGKRSRDDDDDELYERLRLDAFHRVWSKIQSTINVQTQFPHIPSIRLLLTRLIPQQLQNLTSAISHLGIDPIFDCSCGQEVLRGISLKLFDQVLRWVQESFSAVRSIARPSAAEVRQPYPLLTDVICRKIPTAFVLTKNAEFVDDITTFRDLAEYLESNGCHLAKLSATELSEKNGVGCCFRSLLRQLLSDVPDVADIFALASWYSAAENYDQPIVVVIDDLEQCSGDVLGELVMILSEWVIKIPIFFVMGIATTLDAPRKLLSSEALQRLEPCKLTLGSPSDRMNALVEAILVKPCAGFCISHEVAVFLRNYFFKHDGTITSFISALKLACSKHFSVEPLSFLCMGMLEEDRENFWHDKFNALPQELRKYASGLPSCTREKDSTKSGDNMVDGLSELMNIQKDWSSVLLCLYEAGKHGKVQLLDIFCEAVNPDLHTQKAPNLPNEKSGTSRRFIDQVMDTIRYLPVETLFCLLEVWSIHLNGMDKITNKVKELQSTTISTDSVRITKDKWPRRSTNSTGNSTVALNDKVAMLLDDVTRKFLVSVECLPFHEIVCFKNALIGNPRRMVQLDLVKSHKHLKCSCCRKNGIAVSASMHDTSIMCNLAQEYGDVINLHDWYISYDGIINSVHSKIKRKPHTSPSKKKSKPVAAESEAMIQAVTELQITGLLRMPSKRRPDLVQRIAFGL >OGLUM10G09050.1 pep chromosome:ALNU02000000:10:12763749:12773587:-1 gene:OGLUM10G09050 transcript:OGLUM10G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEMIFRGTDTTALVTEWCMAEVVRNPAVQARLRAEVDAAVGGDGCPSDGDVARMPYLQAVVKETLRAHPPGPLLSWARLATADVGLANGMVVPAGTTAMVNMWAITHDGEVWADPAAFAPERFIPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVTLWVARLVHAFDWSLPDGSPPVSLDEVLKLSLEMKTPLAAAATPRRRRAA >OGLUM10G09060.1 pep chromosome:ALNU02000000:10:12781165:12784029:-1 gene:OGLUM10G09060 transcript:OGLUM10G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATASSCADATWWAYALPALLGADTLCAHPALLAGAVLLAFATAAVLAWAASPGGPAWAHGRGRLGATPIEGPRGLPVFGSIFALSRGLPHRALDAMSRDAAAPRARELMAFSVGETPAVVSSCPATAREVLAHPSFADRPLKRSARELLFARAIGFAPSGEYWRLLRRIASTHLFSPRRVAAHEPGRQADATAMLSAMAAEQSATGAVVLRPHLQAAALNNIMGSVFGRRYDVSSSSSGAAADEAEQLKSMVREGFELLGAFNWSDHLPWLAHLYDPNHIARRCAALVPRVQAFVRGVIRDHRLRRDSSSTAADNADFVDVLLSLEAHENLAEDDMVAVLWVILQ >OGLUM10G09070.1 pep chromosome:ALNU02000000:10:12792722:12793374:1 gene:OGLUM10G09070 transcript:OGLUM10G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCSRPHCRNICLRLMLKRLYDSKAVTIAMATSIFGSVARYGTYRGRSGQTWCCLGSSMHLRRFVFLLSLAGQKLHSAVTRNWRWRVLLCLCEIFILVLLL >OGLUM10G09080.1 pep chromosome:ALNU02000000:10:12816528:12817184:-1 gene:OGLUM10G09080 transcript:OGLUM10G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSGSRPEARPKRTLWQVMLVRPMATSATGHHRASATVTVTASSTAWPHLCRLSSTAAVQSQPHRPAGQLCHQVSATPSLDLSLGMERGEACGGGVATGRSSLSSELTDFGSGRRRCRLTLRREEEDRKEEHRWKKVTAVA >OGLUM10G09090.1 pep chromosome:ALNU02000000:10:12818469:12824489:1 gene:OGLUM10G09090 transcript:OGLUM10G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSAASPPPPPPAEQRRPDPVRFGIMGCASIARKLARAMLLAPGAAVAAVGSRSEAKARAFAEETGLLLRHAPRLHGSYEALLADPGVDAVYLPLPTSLHVRWATAAAAAGKHVLLEKPTALCAADLDAILAACDAAGVQFMDATMWMHHPRTAKMRELVADEATTGDVRVINSLFSFRANEEFLQNDIRVKPDLDALGALGDAGWYSIRAILWAVDYELPKTVIALRNPVRNQAGVLLACGATLYWADGKIATFNCSFLTNLTMDMTIVGTNGTLHVTDFVIPYEEKSAAFNMASKSKFAELHIGWDPLPSKHVVSTDLPQEALMVQEFSRLVQNIRDAGGKPEGKWPAITRKTQVVMDAR >OGLUM10G09100.1 pep chromosome:ALNU02000000:10:12833159:12833521:-1 gene:OGLUM10G09100 transcript:OGLUM10G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRASGRITDDEINELISKLQSLLPESSRRRGATSRSPATKLLKEMCSYIKSLHREVDDLSERLSELMATMDSNSPQADIIRSLLR >OGLUM10G09110.1 pep chromosome:ALNU02000000:10:12865553:12872574:1 gene:OGLUM10G09110 transcript:OGLUM10G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDGEKASKLCKEAGGPSLDSNLAPTIVLALTAVPMGWDNRRRKQASTMMNARGRGARNGNGRNRGREGGRAHSDVVVGANTTVLATRRWVGLEIDSVPGDEGQRDIVNYYLRRATGVGSGERELAVVGTHRSNRRVTYVVHEPFLQSLKELQVAAVVGVERLRWKSRKDVVHWLNMLISDVTSNEVAICNNDGKDAKLANISPTKDSSSSTAGNDSGDFKWLGPESHSKKGKSYKSFWRRGFTFMVHDFVYILVQHGNKLVAYVEELYEDNHANNMVHIRWFRTVNSTGIQLSPGVNDREILLSDNLQDIGVECIDGLASVLNEEHFEKFHAIANNTNRQPYLCIRHIDNNSNVKTFDIAQLQGYSEQEIFRTISGTPPVTGHPDASEGNKNTPRSSARGHHHHQTVENPTAGDETNVQATTINVLARNAAPTESASGLINSALEKYLEQYFSPGCLVECLSQDSGIRGCWFIGSVIRRHGDRIKVRYQHLQDPETPRANLERENPSTISVGTVIDGWLYDGWWEGIVLKVNDARRLLAYLPGEKKMALFRRDQLRHSLEWIGNEWKNFAHQEDIAHRIPSAEDLRIRVITARKVPTREEVMRQLEGLQTNKGGSNSTKPAAEKGSSSSATKKTTPDLIRHATNDLGYSNFKHVGVPASEEIRTNNKGSQVNLENVLKSDSLKWTERKARGSFGPRIYQVPLLPLLLPTHSGVPPAVAAIAGFRCCCSAVAATGCQREKGGDGAVASAVAARTTAVATSAQTCEGRDGAGSSTWKEKGCRGSRAVAPTRRAEGVEVRRDGAAVPAPALRERMEGMAAPAWLPLLQARQLGSERRRCRRRVAADGLAQQWNSVAAMPEQQQRNPAVAATACGTPARVGSGSRSSRTWRLL >OGLUM10G09120.1 pep chromosome:ALNU02000000:10:12874296:12874792:1 gene:OGLUM10G09120 transcript:OGLUM10G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGCCPSAVLCSAACAGRLRAAACLPRPPHRCPVRPREIKSSLNLRYMIITCGYLATCGAVPCTAAPEPNWYYKRATRRAVQRRRQGEPRRVRACNTGTRTKARKRGSLPFLWMDWTEESWAYGQDFNSEDVLGIGNMGLRPRY >OGLUM10G09130.1 pep chromosome:ALNU02000000:10:12878295:12878546:1 gene:OGLUM10G09130 transcript:OGLUM10G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRRSELGGSATQSVPRTWSQRSDLTINDVAITQAVIGKLVTGVGYLSLTWSTVVLLGGFVSTVPINEFWFLTAISLVLAST >OGLUM10G09140.1 pep chromosome:ALNU02000000:10:12886405:12886833:1 gene:OGLUM10G09140 transcript:OGLUM10G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVAWVDVIGEGRLVAKKEQGGIGGGGGGRGCCACGGEAQEAFFRPIPQPSHGVDPSVTPAEITPASPKPRQPKNDFLLKRSYSFSFERHLLAVEASSMVPPPPHPGATTSTSATQTTVAAVAAFGGSGGHPHSAGVLPLP >OGLUM10G09150.1 pep chromosome:ALNU02000000:10:12893882:12894538:1 gene:OGLUM10G09150 transcript:OGLUM10G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSRSRASSAARITDERIGDLVSKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSERLAELLAAADASTAQAAVIRGLLM >OGLUM10G09160.1 pep chromosome:ALNU02000000:10:12898969:12908295:1 gene:OGLUM10G09160 transcript:OGLUM10G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMELDGGGDGKGKAPPQISLSGLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPRALTSVMWLCGPIAGLIVQPCVGLYSDKCTSSLGRRRPFILTGCIIICISVIVIGFSSDIGYALGDTTEDCKGPRYHAAAAFILGFWLLDFSNNTVQGPARALMADLSGRHGPSAANAIFCSWMALGNILGYSSGSTNDWHKWFPFLMTRACCEACANLKAAFLVAVVFLGLSTAVTMVFAREVALDPVAAAKRNEGEASGPLAVFKGMKNLPIGMPSVLIVTGLTWLSWFPFILFDTDWMGREIYHGRPDGSPAEVTAFQEGVRQGAFGLLLNSIVLGISSFLIEPMCRRLGARAVWVMSSAVVCVAMAAVSVLSAWSLGDFGGSVQDAARAPAEEGGVRASALALFVFLGLPFAVLCSVPFAVTAQLAASRGGGQGLCTGVLNISIVVPQMAIALGAGPWDELFGEGNIPAFAMASVFAAAAAAAGVVLLPKVSVRSVSMAGGH >OGLUM10G09170.1 pep chromosome:ALNU02000000:10:12909136:12909825:1 gene:OGLUM10G09170 transcript:OGLUM10G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAGVARVAGCWIRALEAPAPSAAATPQGGGAASTSTSTSTTARLRPSAAYTSTCHRSEGREVSLPAAVKGATPTSRRSVATAGRFTNASTDGNATNRFMVVELDAPKQRVCIVVCLSSLSLPPLSDPGADNPPSTNATESLSERQCAPQYDAANLANSSAHAASPVPAFDGNGI >OGLUM10G09180.1 pep chromosome:ALNU02000000:10:12913373:12914708:1 gene:OGLUM10G09180 transcript:OGLUM10G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-13 [Source:Projected from Arabidopsis thaliana (AT1G69780) UniProtKB/Swiss-Prot;Acc:Q8LC03] MASNGAAAGAMAPFFPPNFLLQMQQPLPLHHQHLQDHAHGGHGGHHLLPPPPPSLSPFLPDLAMDAPPPPMYEASGGDGGGGGAASEDEEDGCGGGGGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARAENDALLSLNSKLHAEIVALKGGAAAAGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRPAPPPPPPPANESPVNRGIPFYASIGRGGAGGVDIDQLLSCPRRAAACSRRPRRATVPAAPPEMRGEESEGEGSEGERGEGEDK >OGLUM10G09180.2 pep chromosome:ALNU02000000:10:12913107:12914708:1 gene:OGLUM10G09180 transcript:OGLUM10G09180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeobox-leucine zipper protein ATHB-13 [Source:Projected from Arabidopsis thaliana (AT1G69780) UniProtKB/Swiss-Prot;Acc:Q8LC03] MRPAMASNGAAAGAMAPFFPPNFLLQMQQPLPLHHQHLQDHAHGGHGGHHLLPPPPPSLSPFLPDLAMDAPPPPMYEASGGDGGGGGAASEDEEDGCGGGGGGGGGEKKRRLSVEQVRTLERSFESGNKLEPERKAQLARALGLQPRQVAIWFQNRRARWKTKQLEKDFDALRRQLDAARAENDALLSLNSKLHAEIVALKGGAAAAGGGGSSCRQEAASELINLNVKETEASCSNRSENSSEINLDISRPAPPPPPPPANESPVNRGIPFYASIGRGGAGGVDIDQLLSCPRRAAACSRRPRRATVPAAPPEMRGEESEGEGSEGERGEGEDK >OGLUM10G09190.1 pep chromosome:ALNU02000000:10:12933968:12935610:-1 gene:OGLUM10G09190 transcript:OGLUM10G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDRAFSAWQDESKFGLVARQDPPPRGPMALLLRRRGAPRERQVHPFRAHRWRRRRRGNRRRARIRQRLRRRKRRVGSGRAAPKSNLCSAALVHAAKRALLCLPTAAPAGRRRGAALLLFRLAPTASSPAAGRLGQTRGEEKREREEEGREM >OGLUM10G09200.1 pep chromosome:ALNU02000000:10:12945523:12951793:1 gene:OGLUM10G09200 transcript:OGLUM10G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMDTAAAAAAAAPDTNNADPSKAASKTTYSSYPSTTKSGSSWTVPSYKDRSDLPTPRTEGEILSSSNLKAFTLSELKNATKNFKPDSLLGEGGFGYVYKGWIDEQTLAPARPGSGMVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHENLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLSWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSFGVVLLELLTGRRALDKSKPASEQNLVDWTRPYLGDKRRLYRIMDMKLGGQYPKKGAHAIATIALQCIRSEAKMRPQMSEVLEKLQQLQDPKYNVTSPQVDTRRRSSSGSVPRSPMRMQPSPRRLSASASPLPAAGSPLPACRTAQVH >OGLUM10G09210.1 pep chromosome:ALNU02000000:10:12955469:12958911:1 gene:OGLUM10G09210 transcript:OGLUM10G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKEEEQHGGGGAVGWMTVPAFGEWDMKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDDDLLAAQQHKAAAPQPAPNASAAADDHRRPLHAAHDDSPTGRKKFLSYFQCCIRA >OGLUM10G09220.1 pep chromosome:ALNU02000000:10:12962691:12963547:1 gene:OGLUM10G09220 transcript:OGLUM10G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFVLTVSAVWGRRSSAAVAKVAALVEAAAVVDEAEEAAGAATVLVMVAALAEVVVAVLAEVATLVDAAAGVEARRLPDLRGARRCSRRWRRSWTSGDAHGGGGGRGGGGGGGARGRAEVAAGWQYIRLPPMHANGVTKDE >OGLUM10G09230.1 pep chromosome:ALNU02000000:10:12964983:12972103:1 gene:OGLUM10G09230 transcript:OGLUM10G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDGPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVRTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNIFNSTPSPAANPSPVLRKYSWEHDESPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGRKGKRQLTSPTHFATSGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNESSKILAATGEIIRQHLEGVEGRMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >OGLUM10G09230.2 pep chromosome:ALNU02000000:10:12964983:12972103:1 gene:OGLUM10G09230 transcript:OGLUM10G09230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELTNIQKATSSDYWSLASNQYPCGKFPKVSVGVTIPRTSSVSRGRDAASTAAFEKNLSQGTDGRSRPPKMDNASLQVSPEAANHGGSAKEVPKPVPAKVSVSQPDDNAIEQTGTFSFGTRREQDSHLDQLDRPPLVSSQGKRQVESADKNKPNSEMLRMKLWEILGGTSQNKEAVASPNPEDIETPCQPKSQIANGPSSGRQKVFTSPVPYNIKTPAQFNSQTANKPSSDPIESDSDGPQVVEVRPITRSLGRKKAPTGSTHQDKSGSAKKPLSTHRSTPKQKILDNVFAFNDKCTPKTVGKSANGESGSLRNLRSLSRRAKVEPKKAHCSDRISDKTTQDDMERKVPSKYIPSEKKGEKTNSFSSLSRTGKTAESCSRSPKRERRVNTMANVGARKMQLSENLLVRTLNDGEHKLSSPQLTSFKSKGKCSSISPQQKENDNTHIPEASDRTAARNIFNSTPSPAANPSPVLRKYSWEHDESPAINGKSGQKDASPLADRFSDMPDDFASPTFAANIKISPHRSKMLDDDLFSSKYPKGVNRSRSTSFTSDPESEPLDKMEKTNELPGSESPNSQEERQNRKQPHLSPLSPIESEGAQISIPSFRKGYKSHKWLSDVDSPDKSSIEHLGRKSHLKEGTQETMSDKEPEKVPENYLTRAFDQLVVVLGRFQTKIKSETRNESSKILAATGEIIRQHLEGVEGRMQADVDKLVNAGKSKRKRLESTFEEQQEKLRILHEKFKEEVNQQLLGCKNSVEDFEAYHAELKGVADKQKASHKKLLQNAEKTVGAQLSDAETKIAEVQKRARKRMKGLKFVLKELIAETAE >OGLUM10G09240.1 pep chromosome:ALNU02000000:10:12971232:12975232:-1 gene:OGLUM10G09240 transcript:OGLUM10G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNINLKNGSKITSQKDPCCFGVPGCNPRCVRYNKKSRTCRLVTRAISVDRPQLDFSNSDWKKQFQEDFDRRFSLPHLKDVIDVEPRPTTFSLKSRTPLENVNGSMQGSWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLAEVPLNRQVVQNINLAGGSFLGVSRGGANISDIARRLDMLFVLGGNGTHAGANLIHEECRKRKLKVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDICLIPEVPFTLDGPNGVLQHLEHLIETKGFALICVAEGAGQEHLQQSNATDASGNMILGDIGVHLHQKIKAHFKEIGVHSDVKYIDPTYMVRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGICNTHNVYLPISEVIKSTRFVDPNSRMWHRCLTSTGQPDFH >OGLUM10G09250.1 pep chromosome:ALNU02000000:10:12989524:12990910:-1 gene:OGLUM10G09250 transcript:OGLUM10G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKTPCLNERILSSLSKRSVAAHSWHDLEIADADAFSLSKCCIGPGAPQVFNVVVEITKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEFRHFNDLKELSPHRLAEIRRFFEDYKKNENKEVAVNDFLPPATAQEAIKYSMDLYAEYILHSLRR >OGLUM10G09260.1 pep chromosome:ALNU02000000:10:12992399:12996574:-1 gene:OGLUM10G09260 transcript:OGLUM10G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLCCVASRPHGASTASREWSSIGRSDPLWRTNAGFSPPLSRRWEYRINSEGLSYGSQGDSGAAAHYGSSLSSNSKEPSRSWERSDVPPDHHRYSTSEGAISYFNSPDVTFQNHHIMLPMLQDSGIDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEGQETDSPVANASTSSPMPSEFKAIGEIRPSGLMDYAYASGSHGESANWSAASSMDLTDLSERHDAERSGPLRSNNIMDRTRCDLCERLLSKRSPWGSRRIVRTGDLPVAGVLPCCHVYHAECLERTTPKGQKHDPPCPACDRLSGKDTEQWSICRLRNGFPRLRSLGEGPSRVWSCAQAGDCVAGAVQIPRASSISLLSRSGHKRHHAASKGESGKDWAETSSSSRTACM >OGLUM10G09270.1 pep chromosome:ALNU02000000:10:12996708:12998186:-1 gene:OGLUM10G09270 transcript:OGLUM10G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPIFSHRNPRREREATGCFPTSSLLAIVDKEDEQQSGGERGVAVGSKIPKLPPSSSSSSSSIHFSLPSPLSLPPPRRRRRRHRRRNRWRGGPPFHPHSPARPGKPPQPPYVARGGRPPPSSTSTAVSPPRPDPPSTTGGALRLATGLELRWCLEMFVLAREFCEQAYGSSITYGYERLI >OGLUM10G09280.1 pep chromosome:ALNU02000000:10:13004829:13007345:-1 gene:OGLUM10G09280 transcript:OGLUM10G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGECRGGGGDGLIKLFGKTIPVQPDAKDVQQHSGSSSSSTESDVQETAAVAVADPSPRSEVVDGESPPQPGGGAGSHQQQQKEMKLKKPDKILPCPRCSSMDTKFCYFNNYNVNQPRHFCKHCQRYWTAGGAMRNVPVGAGRRKNKNATAAAHFLHRVRACAAAAAMPAAPHDATNATVLSFGGGGGGHDAPPVTLDLADKMTRLGKEGLVAHARNADAAAACSEVSSNRDDEQIGNTVAKPANGLQQHPPPPHHHHHSAMNGGGIWPYYTSGIAIPIYPAAPAYWGCMIPPPGAWSLPWPATVQSQAISSSSPPTSATPSVSSFTLGKHPREGGDHEARDHHGNGKVWVPKTIRIDNADEVARSSIRSLFAFRGGDKADDNNDDDGTGVHKLATTVFEPKRDGKTAKHPAITSLPRLHTNPVALTRSATFQEGS >OGLUM10G09290.1 pep chromosome:ALNU02000000:10:13017844:13022220:-1 gene:OGLUM10G09290 transcript:OGLUM10G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPADFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEPESDFLLKTMPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >OGLUM10G09290.2 pep chromosome:ALNU02000000:10:13017844:13022220:-1 gene:OGLUM10G09290 transcript:OGLUM10G09290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPADFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >OGLUM10G09290.3 pep chromosome:ALNU02000000:10:13017844:13022220:-1 gene:OGLUM10G09290 transcript:OGLUM10G09290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPADFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTMPYLLHNPKIALVQTRWEMFLTWPLPGDFAVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >OGLUM10G09290.4 pep chromosome:ALNU02000000:10:13017844:13022220:-1 gene:OGLUM10G09290 transcript:OGLUM10G09290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSLPAAWAAAVRAGAVAPALRAAVWACLAMSAMLVAEAAWMGLASLAAAAARRLRGYGYRWEPMAAPPDVEAPAPAPADFPMVLVQIPMYNEKEVYKLSIGAACALTWPPDRIIIQVLDDSTDPFVKELVELECKEWASKKINIKYEVRNNRKGYKAGALRKGMEHTYAQLCDFVAIFDADFEPESDFLLKTMPYLLHNPKIALVQTRWEFVNYNVCLMTRIQKMSLDYHFKVEQESGSFMHAFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGWEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEIITNKEVSMWKKHHLLYSFFFVRRAIAPILTFLFYCIVIPLSAMVPEVTIPVWGLVYIPTAITIMNAIRNPGSVHLMPFWILFENVMAMHRMRAALSGLLETARANDWVVTEKVGDQVKDELDVPLLEPLKPTECAERIYIPELLLALYLLICASYDFVLGNHKYYIYIYLQAVAFTVMGFGFVGTRTPCS >OGLUM10G09300.1 pep chromosome:ALNU02000000:10:13026722:13026994:-1 gene:OGLUM10G09300 transcript:OGLUM10G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPTRAEALSLFRSLLRTARQFSDYNIREYARRRAADAFRENRALGDAAAAAAVFADGKKQLEVAKRQAVVYSLYAPKAKSIMEMKLQ >OGLUM10G09310.1 pep chromosome:ALNU02000000:10:13027064:13028130:-1 gene:OGLUM10G09310 transcript:OGLUM10G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRSSREYIAKKKKKSPVWSRSRCLLLSLRRRQDESRLNRGGPGGDLHLASRAAEGRGSWFRGISSFLSLAAI >OGLUM10G09320.1 pep chromosome:ALNU02000000:10:13033711:13040200:1 gene:OGLUM10G09320 transcript:OGLUM10G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G14300) TAIR;Acc:AT1G14300] MADELDELLGFLSSPQPNVRGAAAGIVRGLTGDADGLRALSARADRALPALLRLLASAGGELGTGGAAADSLVNLSQDGALSARLVSLGAVVAAMDVVAKRGGEQPGLARSLVMLLANLTQVDSGVAALLQVGDEKMQGLYVAKLVRSFCRSSSESEEEDIFEHVASILVNISKVEAGRRILMEPKRGLLKQIIRQSDSTNQLRKKGVVSTIRNCCFEADTQIQNLLSLAEYIWPALLLPVAGKKIYSEEDRSKMPPELANALSHEREAVENSEIRQQALEAIYMIVLQDEGRRAFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGDDEQDQEQGGGQKP >OGLUM10G09330.1 pep chromosome:ALNU02000000:10:13042660:13045256:1 gene:OGLUM10G09330 transcript:OGLUM10G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRNGDVTVTYEHQLFGRRRNVAGGGGGFATYLDLVREEGDAGKMPPRRPLPAPPPHGAASRRRTYADGELDVFAAERYFKGAMDGADGYNKVDLASPVMAAAAARPAVAVSRPAPWTTRASVASAGSSGSTANSQAVLLREQRRRDKCCAHVGGILRSCSGKRSVHVGGAAVAATEPAGDPGDELPPATASRIEWYRDLRLDKAGDGVSHGGVVAAGLPPNLNSIGAARVAAIGREEATAATSEYSSSSFRSNFTLLAPVKVTIPASGGDDDDDDVGSESSSDLFEIKSLMIDDCRGYEPSEASVQWSVVTASAADVSVAASGRGGGGGGKGRPAAAVAVYWVL >OGLUM10G09340.1 pep chromosome:ALNU02000000:10:13045372:13049519:-1 gene:OGLUM10G09340 transcript:OGLUM10G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pectin methylesterase 31 [Source:Projected from Arabidopsis thaliana (AT3G29090) TAIR;Acc:AT3G29090] MAQQQPRRVLRVAPPGRGGARAEAERGEEGEAVFATVQAAVDAVPVGNRVRTVIRLAPGTYREPVYVAKAKNLVTLSGEAGSPEATVITWDNTATRIKHSQSSRVIGTGTFGCGTVIVEGEDFIAENITFENSAPQGSGQAVALRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGNCDFIFGNSIALLEHCHIHCKSAGYITAHSRKSSLETTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPAGWHNWDRSENERTACFFEYRCSGPGFRPSNRVAWCRQLLDVEVENFLSHSFIDPDLDKPWLIQMMAIKGKPLGSKHSDCDQPAAGVHNFGIGDIFGGSRG >OGLUM10G09350.1 pep chromosome:ALNU02000000:10:13052125:13062878:-1 gene:OGLUM10G09350 transcript:OGLUM10G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAASSGWLRRAAGTVPRIPCGLVTALVPTPPPPAAAVVSEAPALALPSHAAAAMELMCSARSVVNLERHELVPLGASSGRDIMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCKCCGRVKLPHFYCCSGERGNPGSESS >OGLUM10G09360.1 pep chromosome:ALNU02000000:10:13063603:13064485:-1 gene:OGLUM10G09360 transcript:OGLUM10G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLRRGLEHLERRYAFYAAYHSNPANVLVHAVCVWPILLTAMLPLRYAPPLPLLRFYCPLCRQYLPVQLGFPVAVALGAYYALMDRRAGAAAAALCVAGWAAGTLLADAAGLWTFRDAWRPLLTAQAVLWSAQFFSHAFFEKRRPALVDGPVQAVVTAPLFVFIEVLHRLFGYEPTPGFYKRVQARVAAMHNGPPAPAPAPEKKEEEEKENVSKATQEESAEKDS >OGLUM10G09370.1 pep chromosome:ALNU02000000:10:13065533:13065811:1 gene:OGLUM10G09370 transcript:OGLUM10G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTDNASPVARDPVRRRATCLRPLSLLPNGSLLIAYTCNDDMNDDVLGPVVFKSGRLT >OGLUM10G09380.1 pep chromosome:ALNU02000000:10:13069527:13081740:1 gene:OGLUM10G09380 transcript:OGLUM10G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSCGGGGGGGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPGFRDVADAVYTVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPGVPKPVAQSSPDSSKRQRTVSQVDNAIQAGGNQQSIDPATNKEPIELISNIEEITLGSGTQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPLQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELNSWFVRGNMVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >OGLUM10G09380.2 pep chromosome:ALNU02000000:10:13077610:13081740:1 gene:OGLUM10G09380 transcript:OGLUM10G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSCGGGGGGGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPGFRDVADAVYTVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPGVPKPVAQSSPDSSKRQRTVSQVDNAIQAGDDVSTESIQISVPSSYRLTRKTSIKHKGSPLQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELNSWFVRGNMVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >OGLUM10G09380.3 pep chromosome:ALNU02000000:10:13077610:13081740:1 gene:OGLUM10G09380 transcript:OGLUM10G09380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMATSAAAAAGEGTSCGGGGGGGGGAVPEAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVVVGSYATLVRPGDLGVVSAASVRCNGITRDAVAAAPGFRDVADAVYTVLHGRVWAGHNIVRFDSARIREAFAEIGRPPPEPKGMIDTLPLLTQKFGRRAGDMKMASLANYFGLGRQSHRSLDDVRMNLEVLKYCATVLFLEASLPGVLTVENLVERAITRSQANGAASPGVPKPVAQSSPDSSKRQRTVSQVDNAIQAGGNQQSIDPATNKEPIELISNIEEITLGSGTQIDASSSGFSGFLEPDDVSTESIQISVPSSYRLTRKTSIKHKGSPLQLCCAGLRIQFGVSTKFLDSAGRPKLNILVDIPENLSKILEFCDGIAQKSSQDSGSTSEWRPLIKKYGYVNRPTVRLHIPTIVSGEAATYGTDIYQKEASGNIQKLVFSKVDVAELNSWFVRGNMVDAFFSLELYDYEQNAGIRLVAKKLVVQSK >OGLUM10G09390.1 pep chromosome:ALNU02000000:10:13191401:13192518:-1 gene:OGLUM10G09390 transcript:OGLUM10G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWSLSLDAKEEVAGAWGHLDPVVSKHLEIGSGTKELEATKRQRSATLGRREEAGSIGDLRRWAREVAAPSSWRPAASEGDGDAVGSAFSLAVPENTGVIRVTSLLPAVDQGTDVGCPWPIRQALQEPRALGLSRSYEPPTHSVIGTQLLLRNEDAVMFLPALILNL >OGLUM10G09400.1 pep chromosome:ALNU02000000:10:13217086:13224352:1 gene:OGLUM10G09400 transcript:OGLUM10G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLLLGAAAAPAARWSRRPSRSSSEPPLAPAPPRPSCTNTAPLPPMPLVITDTPAHTAPPQSCRRYRRSSESPLMSPLHPSRYSSESTLPFPHPLLLKRHASERERDKNG >OGLUM10G09410.1 pep chromosome:ALNU02000000:10:13245145:13246065:-1 gene:OGLUM10G09410 transcript:OGLUM10G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGERVRKIKRGAGKCFKVPGDSQVVRSEVQPQQQMDDEGDRDELRKKPFDSWDHRFIDN >OGLUM10G09420.1 pep chromosome:ALNU02000000:10:13254859:13256211:-1 gene:OGLUM10G09420 transcript:OGLUM10G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFLFSLILLLITALSLPFPLHASSVDPFSVGATAVRYWNRKIPNNAPHPDFFLSLLSPLTASVSSSLSSPLSISPSICRSARLLCPNSTYFQSLSSTVFIDGCTLSYTYTFTYEHTNITVKPGIFFREQELKEGNVVRMPDIANELTTARSSFLPRSIADRIPFEAEAVKSLFGLEPNTTLAKAVDETVEQCQSSPSKGETKRCVTSAEDMIDFAVAMLGDDIVVRSTVLPNGPGESIMIGMVKGINGGKITSSVSCHEYLFPYMVYYCHSVPKIRVYEAEILSVQTKEKINSGVAICHIDTSAWNAGHPAFVALGGKPGQNEVCHWIFNGSMTWVIADKS >OGLUM10G09430.1 pep chromosome:ALNU02000000:10:13278323:13280733:1 gene:OGLUM10G09430 transcript:OGLUM10G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTRSPGSPAPATTPHTIQVPAAVLPHPAPHHRTTPPSKPSPPRAHPHRGGLTFVPTCIMAKD >OGLUM10G09440.1 pep chromosome:ALNU02000000:10:13322596:13325586:-1 gene:OGLUM10G09440 transcript:OGLUM10G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARASQSAAPPPPGAGVRVRAPLVESVSCYCRLDTGLKTVVDARKFVPGAKMCMQPDVKPNKRKSRGSRKERSRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRIVCKRWNRLLSGNYYYSLRKRNGMAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPAEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLPSAEVYDPNRNRWACVAEMNNGMVPFIGVVYDGKWFLKGLDSHRQVMSEVYLPSSNLWSTIDDEMVTGWRNPSITFNGKLYSSDCRDGCKLRVYDPNTGTWAKFMDSKHHLGSSRAFEAAALVTLNGKLCIVRNNMSITLVDISDPTMSIETDSAHMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >OGLUM10G09450.1 pep chromosome:ALNU02000000:10:13330535:13330741:-1 gene:OGLUM10G09450 transcript:OGLUM10G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALPAAENSVCSGGVGTLGQELGGGGNGGQGMRRRGDLSGIGGGGEGVGCGCTTSPPPPPPIPHPT >OGLUM10G09460.1 pep chromosome:ALNU02000000:10:13335600:13336353:-1 gene:OGLUM10G09460 transcript:OGLUM10G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRWRSEWWRGDPSPRRRVIGISETRCPTMVPYIQRGSNIHEEADSQRREQTVIHVDADNEMVGDEAPPYKEVRREGGLHPLGSTL >OGLUM10G09470.1 pep chromosome:ALNU02000000:10:13351701:13356989:1 gene:OGLUM10G09470 transcript:OGLUM10G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAD2] MEESVGSRGGGGGGLDAQIEQLMECRPLSEPEVKTLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLIELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIDNLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >OGLUM10G09480.1 pep chromosome:ALNU02000000:10:13357509:13359823:-1 gene:OGLUM10G09480 transcript:OGLUM10G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SET domain protein 38 [Source:Projected from Arabidopsis thaliana (AT5G06620) TAIR;Acc:AT5G06620] MFHHLRRRLLCTAAAPPIRVALTESSGRGVFATRPISAGEVLHSAQPLVSHPSPPLIHEVCYSCLRKKSGSGGGSSGSCYFCSDACREHAKGFHGVEKKADWSLFDDHCSSRGLKYPYMAKRLACMVISGAVSADCLDILQPARLHQGTLTEMEEEFVLLDSTFRKAGFQEEITTFLTKEWYINVLARIRINAFRIELVASSYEDLLSSAVASVSCDAAVGNAVYMLPSFYNHDCDPNTHIVWLASADARLKALRNIEEGEELRICYIDASMDVDARQRILADGFGFECRCQRCLSGD >OGLUM10G09490.1 pep chromosome:ALNU02000000:10:13374819:13377051:1 gene:OGLUM10G09490 transcript:OGLUM10G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKLKAQWKVIMEAKNLSGANFDDAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTKDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKSDKGKRVRTDDNVVYEITGATDNMSETMCFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >OGLUM10G09490.2 pep chromosome:ALNU02000000:10:13374819:13377050:1 gene:OGLUM10G09490 transcript:OGLUM10G09490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSRFAVVARNFLAVGTKHDGRQLVFKEVHHEECAKQINGKYHTNFTSRQVYHKLKAQWKAKDKRAKFINVPIRWYDEMEFIFQDKHATGEFNVLQTPYDHPMTKDDDFIGDKNGSPGDVDPSSNYDSDCLPDQENNTGSSSSSRRAKGRKSDKGKRVRTDDNVVYEITGATDNMSETMCFTHMTHPNESLFKIIDEMTEYPVMVRLELQTYLATHENIAAMLKGRPLDSIKEYVAQWIIQNYPAAM >OGLUM10G09490.3 pep chromosome:ALNU02000000:10:13375065:13375392:1 gene:OGLUM10G09490 transcript:OGLUM10G09490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSTSKHDSLVQKHVDFGILENNIQDPTATPMYLPMEFLKTITCDFSKEQELGRGGYGVVYKGAFGSKASRGLACPGKDSHLESEKNPSPWGRASP >OGLUM10G09500.1 pep chromosome:ALNU02000000:10:13377835:13386009:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.2 pep chromosome:ALNU02000000:10:13377835:13386009:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.3 pep chromosome:ALNU02000000:10:13377835:13386009:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.4 pep chromosome:ALNU02000000:10:13377835:13386009:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.5 pep chromosome:ALNU02000000:10:13377835:13385107:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.6 pep chromosome:ALNU02000000:10:13377835:13385107:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.7 pep chromosome:ALNU02000000:10:13377835:13385107:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.7 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09500.8 pep chromosome:ALNU02000000:10:13377835:13382383:1 gene:OGLUM10G09500 transcript:OGLUM10G09500.8 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVKKLLEIHILKDDKFQKEVTFLMDLKHPNIGLQYIHEECHIIHLDLKPENILMDDDMGPKIADFGMSRLFGHEQSRIITGSREGTLGYMAPEYLANGLISTKSDIFSLGVIIIEVITGHRNYPRICTRESFQHFIEDALQMFTMKSANLLDDLTIARTGLSTSNTAKQVIAATYVDSMLGARSSTSNTESTAAVSCVPARRSMIEIMAFGVAKILVRGSNMMKSDGAASGERKIGILAFEVANTIVSGSNLMKSLSEESMSHLNEVVLQSEGVRTLISEQYYQLLIIHQADIREQLRRFALDVIRLGKLCKDPELYKEMCVLDKFEQGKPTVVQSGITISSVKNQRIAVANLQKKSLWSKKMDDVVQHLDMSSIFVLSDPYLTIMLHMFCCRLYKNL >OGLUM10G09510.1 pep chromosome:ALNU02000000:10:13393469:13397817:-1 gene:OGLUM10G09510 transcript:OGLUM10G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQLATLAEEPGGGEEAARARRKTGLHAALHRWARARIPLRFLSGGGGGGGVGGGGGGGRPSPDLRVLLSVLACPLSPVPVLPRHPRNVASSAQYILEQFRATTGCAKIEGAAKSMYAAGRVRMAMTPEPGGGIGIGGGGGGGHEGCFVVWQLVPDMWLVEMAVAGHAVAAGCDGRVAWRRTPWLGAHAARGGGARPLRRALQGLDPVTIASVFSAAEHVGEKAVDGEDCFALRLDVAPSVLSAWGDGAAEVIRHGMTGYFSQRSGLLARLDDSQLTRIQTPGAPAMYWETTVSSRLGDYRAADGAVVAHAGTSVAHLARFGADVGAARAVTRMEEAWTIDDVAFNVAGLCPESFIAPEEVRSGGGGGSSSRRYDGGGGAIAKKK >OGLUM10G09520.1 pep chromosome:ALNU02000000:10:13407419:13408175:-1 gene:OGLUM10G09520 transcript:OGLUM10G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDKIPLPQQDSFPFTSISRKSTWHNGRAVTGAEAPVAGAREQGDGAAAVAGARESRKRAVVVAGAEGENGGGGPSRGRERRRWPETGEGAATVAGANEGSSDGGRSRIRGREGVAVVGEGGGGGGAAPEPAEEGSAAVAGAREEGALGRQWSSASMSVSPDRYRLIPDRYHLIPHKYHLLCGKNCMITDRYHLIPRKYHLKRGRIA >OGLUM10G09540.1 pep chromosome:ALNU02000000:10:13414222:13415288:-1 gene:OGLUM10G09540 transcript:OGLUM10G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKKSSLSDGPSQPAWPGLTGHQPTQLSSLYINHPRRTLGFSPSPPLLPPRAVAARRIRALLRLPPSLATGEEKVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >OGLUM10G09550.1 pep chromosome:ALNU02000000:10:13417352:13420750:-1 gene:OGLUM10G09550 transcript:OGLUM10G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAAIQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKISDYQLELRNRARKSLLVKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >OGLUM10G09550.2 pep chromosome:ALNU02000000:10:13417352:13420750:-1 gene:OGLUM10G09550 transcript:OGLUM10G09550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEAAALPQLQLQLLSLVSEHRLLRERERAAREELHAAIQRWKEAEEGHRRETRELRAEVAARDDALRRLESRDSACSLQWTIQMKDKQIAVISEKLNAHLALFSSVGKEVAAVKQVLGNVKCLVGDKENVVSDLKGKVEKISVLEKDFVEKLRFFEEKISDYQLELRNRARLIYELRERLEAEKLNNKFQPKLEEISISTELKDEIIERLTSEKQAMLMELHNMEIALHKFQDIFDSIGHEVIKRSSPVSNSQDVTEDVNREKLESIPGSQCEPANEHTVIPVFDEAATTPNIEGQSEIDPGRKQHSALPSPEPANANAETADCLHGSEDIDMDNSSPGR >OGLUM10G09560.1 pep chromosome:ALNU02000000:10:13421107:13421532:1 gene:OGLUM10G09560 transcript:OGLUM10G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVEEIRVDKETMEMLAALGMADLPGVERQQEVSAPTYSRPPYGGPRRDRV >OGLUM10G09570.1 pep chromosome:ALNU02000000:10:13424876:13436326:-1 gene:OGLUM10G09570 transcript:OGLUM10G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G59820) TAIR;Acc:AT1G59820] MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >OGLUM10G09570.2 pep chromosome:ALNU02000000:10:13424876:13436326:-1 gene:OGLUM10G09570 transcript:OGLUM10G09570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 3 [Source:Projected from Arabidopsis thaliana (AT1G59820) TAIR;Acc:AT1G59820] MGSRIKEMVRVATARLGGEPSPRGGAGNSAFGRGESSRTARLGGGGASLRRQPQPQAPSVRTICCNDREANAPVGYKGNSVSTTKYNVLTFLPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDVLQGQKWETTPWKRLQVGDIVRTANLDGETNLKIRKALEKTWDYKNPEKAFEFKGEIQCEQPNNSLYTFTGNLIVDKQTMPLSPNQGCSLRNTEYIVGVVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFTMCVIGAIGSGVFINEKYFYLGLRGKVEDQFNPKNKFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIAGEIYGTGITEIEKGGAERAGIKIDGDEGKRSGAAVHEKGFNFDDARIMCGAWRNEPNPEACKEFFRCLALCHTVLPEGEETPEKISYQAASPDEAALVAASKNFGFFFYRRTPTTVIVRESHVERMGSIQDVAYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNNDIKKISREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFIQAKSSLRDRCTAIEDKLQEGVPACIQTLSAAGIKIWVLTGDKMETAINIAYACSLVNNDMKQFIISSETDVIREAEDRGDPVEIARVIKESVKQSLKSYHEEARGSLISTPGQKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVASLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRYLTDLLLVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIMVGLFDKDVSASLSKKYPKLYQEGIRNTFFKWRVIAVWAFFAFYQSIVFYYFTAAASRYGHGSSGKILGLWDVSTMAFTCVVVTVNLRLLMSCNSITRWHYISVAGSITAWFMFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWFFPYDYQVIQEMHRDEPHEYSRIQLPETSHLSPEEARSYAISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKPWDVARRASMKQRQKTGGS >OGLUM10G09580.1 pep chromosome:ALNU02000000:10:13440745:13445938:-1 gene:OGLUM10G09580 transcript:OGLUM10G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGPISSCRCSIPIFSNLQIHRRRPPAATRPSTPKFQREPHALFRDATTGRHGTRKRGESESEIEFEFYAQNPSKLPRPAPRLQNLPEASNPPPPTTRKSVLGSRGGGNAGGAQGGGGGGGGGGAGAGEKRRRAAEGRMLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVSEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >OGLUM10G09580.2 pep chromosome:ALNU02000000:10:13441142:13445938:-1 gene:OGLUM10G09580 transcript:OGLUM10G09580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKGPISSCRCSIPIFSNLQIHRRRPPAATRPSTPKFQREPHALFRDATTGRHGTRKRGESESEIEFEFYAQNPSKLPRPAPRLQNLPEASNPPPPTTRKSVLGSRGGGNAGGAQGGGGGGGGGGAGAGEKRRRAAEGRMLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVSEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >OGLUM10G09580.3 pep chromosome:ALNU02000000:10:13440747:13445362:-1 gene:OGLUM10G09580 transcript:OGLUM10G09580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVSEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRRSRIDG >OGLUM10G09580.4 pep chromosome:ALNU02000000:10:13441144:13445362:-1 gene:OGLUM10G09580 transcript:OGLUM10G09580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVSEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLSVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >OGLUM10G09580.5 pep chromosome:ALNU02000000:10:13440755:13445362:-1 gene:OGLUM10G09580 transcript:OGLUM10G09580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVRVHLPSEIPIVGCEITPYVLLRLPTGVVSTDDVSEAAPADGYFMRYRIQSDRKVAICSVHPMEQATIQCLGCVKSKIPVAKSYHCSAKCFSDAWQHHRVLHERASSALNENGAEEEELFGRFGSTGSGVLSTTGSGSMSNLGQSPGLNNGPVPLYPSGTDKNSGETWYEVGRTRTYTPTADDIGHVLRFECVSVDAEKKVPVGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLSHLDLDSQTSFGTFSVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLMREIIGYHADIICLQEVQLNHFEDFFSPELDKHGYQALYKKRTTEVYTGAPHAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPSTQRRVALSRLIKDNVALIAVLEAKFGNHGTDNPGKRQLLCVANTHVNVHQDLKDVKLWEVQTLLKGLEKIAVSADIPMLVCGDFNSVPGSSPHGLLAMGKVDQLHPDLAIDPLGILRPASKLTHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTGRE >OGLUM10G09590.1 pep chromosome:ALNU02000000:10:13480143:13481443:-1 gene:OGLUM10G09590 transcript:OGLUM10G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G40020) TAIR;Acc:AT5G40020] MACLSLLSGVHPLPLLLLITLLGPVALVRGVTFRVVNKCPFPVWPAAAPNAGHPVLAGGGFLLPPGQSRRVSAPATWNGRFWGRTGCNFTSTAATANAAAACLTGDCDGRLACNGTAGAPPATLVEVDLHEDQSKGSSYDVSLVDGYNLPVAVWTKPPTSAGAAADRKCVIPGCAKNVNAVCPPELQVTAPAAKAAVVVACKSACVAFGTDAFCCRGAHGTAETCRGSAYSRVFRDACPAYLSYPYDTAAAAARCYAEVYVVTFCPSRWGEGADRVAQA >OGLUM10G09600.1 pep chromosome:ALNU02000000:10:13496652:13497388:1 gene:OGLUM10G09600 transcript:OGLUM10G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWAAGSTEEGVGGGRQRRGRAPRQWQRGDESGGAAAAPFPGDDGEVPLSSSVSMTAGDESSLGRAWRVPRAACAVGRASRAAGGAEDIGPAAVVLVPHLRLMLPRRLADVAERQEPHWTNLVIVEELGVSSPLISMVIWAPYDSSFGDSLLCFCHWPPSIFCCALYFLSRSHDTGGDADGAEEDYEEELRASICLHRRPPSPPSPAIDAKRERERERERGSRGNGIGEGLV >OGLUM10G09610.1 pep chromosome:ALNU02000000:10:13500060:13500986:1 gene:OGLUM10G09610 transcript:OGLUM10G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEAVAAAVAAGVEPFPAVDKCDASGREAHAVAADLEGTLLRSRSAFPYYALVAFECGGVPRLALLLLLAGQAVSGSYDCCYASTFSRPPPPPPIAASTLDKAPMTSTDHGASTNTSAATPAANNKPPPPVAATATTLGKKGEGKGKAPTTTSTDHAGSTNTSAPPAANYQPPPLQGTQHVFASGVVVGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDDDDDGRAAGAGAGEGGASASGR >OGLUM10G09620.1 pep chromosome:ALNU02000000:10:13504765:13505649:1 gene:OGLUM10G09620 transcript:OGLUM10G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPRPVIFHDGRLARRPTPLAALLAVLWFPVGFALACVRIAAGALLPMPWVYYAFWALGVRIVVRGAPPPRAERAAGRRGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAAMIGELLDEGDLAICPEGTTCREPFLLRFSALFAELTDEVVPVAMESRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLGKLPPEHTCGAGGRSSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVDNATGKLPSPATATANNSKDKAC >OGLUM10G09630.1 pep chromosome:ALNU02000000:10:13505941:13509963:-1 gene:OGLUM10G09630 transcript:OGLUM10G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSRRRHGSLRLLAVALLVLAGVASSAAAAGSGRGAFDPSRVVQLSWRPRAFLHKGFLTDAECEHLISLAKDKLEKSMVADNESGKSVMSEVRTSSGMFLEKKQDEVVARIEERIAAWTFLPPDNGESIQILHYQNGEKYEPHYDYFHDKNNQALGGHRIATVLMYLSDVGKGGETIFPEAEGKLLQPKDDTWSDCAKNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGQKWSATKWIHVRSFDISAKQGASTDGCEDENVLCPQWAAVGECTKNPNYMVGTNEAPGFCRKSCNVCAHCRIEWNTYALAYV >OGLUM10G09640.1 pep chromosome:ALNU02000000:10:13512065:13512826:-1 gene:OGLUM10G09640 transcript:OGLUM10G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAARTRRSPPAERFLGMFTSPTPSLPTSPTAAGDELLEGDLLFAPAPSSDPPPPPPPDPSGKPARVPGGHVGLLAALHEGDRRLSGRGGAAAAVATAGAAGALLRRKATIAAAEAAASSSAQTQSPPSAARAIPSAPRVRFHLPEQPPAVPYHQSAPVKVPVRPPPQRRSGWDHLAGVPGDGYDDDDDEELLRGDAAMLPPHEMVARASAGGGFGGPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >OGLUM10G09650.1 pep chromosome:ALNU02000000:10:13513518:13513933:-1 gene:OGLUM10G09650 transcript:OGLUM10G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYWEQWEGVEEDQEDVRTTSQKQDEGLREAIAPREVNRPWTEAAITRELYEGVGELRKREVKDGSACSSSAAAYPIVGIRRGGEVVIAAPPQDRMEREEWARDVKE >OGLUM10G09660.1 pep chromosome:ALNU02000000:10:13514884:13515722:1 gene:OGLUM10G09660 transcript:OGLUM10G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGDGEEKKGAGSGKNKHGLPRGFRFVPQDQELLDILDDKLRGAPLDRALDAVFHDTRILDFHPAKLYATASRSLSLSLSLPFFFSGDGLGALGDLILIRGGLDWFGGDAGMYAEDEENGYIYFFSTIEFKAAKPKQKKWPRRAAQGGRWKAVLGSSQLVEVGGVPVGRKLSMEFYVKGVRTNWGMHEFVRIVGPNIEVADLAVYRLHKLWTNGEEKPGDLAADVAAKSTNQSGQ >OGLUM10G09670.1 pep chromosome:ALNU02000000:10:13526259:13526669:-1 gene:OGLUM10G09670 transcript:OGLUM10G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRGEGRLGHGGGDVKKRMTAPALCSDWSARAACARGGCRRVGRQRRRVTARRRLAVVSKAAVTAARRRGARRRRRRIGKGRAAAAPTGREEAAQSWASWAVRQLGCGPGREGKGRKKEDGPNEGISARRNSNF >OGLUM10G09680.1 pep chromosome:ALNU02000000:10:13526634:13529208:1 gene:OGLUM10G09680 transcript:OGLUM10G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSPFSSPPLVVGRSPSPELHKTNPCTTSFISLRRIHFTHFPLLFYLKSSRHRHLLLPAGLSSCRRRSGHSPLSLCLPPPSSGARQSGTPPAASVADPRPPEHRCPSPSPSSVLCWKEKRGRRREKEVEGTPDSGPHFYKTVQQVLSASKELMWTQMATLKPREILRRKARQH >OGLUM10G09690.1 pep chromosome:ALNU02000000:10:13529343:13533535:1 gene:OGLUM10G09690 transcript:OGLUM10G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVRRQNPDDTPVPVDIPSCKISELIPSSSPGSSSSSHPQPPDRTSLPSRVEVEVDAYESSGTLEQGMTSNSRLTKHQERRSSTTWDDATDPLACEGQWGNLEYRVHGNNSFRKAISPTPFHSLHDMERKLTSYVDDIEKSIVLPGYTQGSISVYLPNKLDRFLVAAENLTRILRHPLLAEREDLHDRVRCLHSTTMSALATEFCHLRIWRSAAGPISNESVRCSNDDCSVSSMVSWSSSSSFTRSSTYGFSNDGQPEKILVNHMRSIDTKSVYLMDAIARVMTDGGCEQVLRAAFDRHHTELVRKQPILVPVTIFQIKTIGRDQRRGNCQLSFSWRQRLLEQNFGAFNSFKDDYFMVIATKSIMKLLAFGSSLICNWKNADKDNLLTHSGAETTLVMQMILKLVIMYRALKDEMPVLLLLFLGQTEHTVLVEFGRLIDRSSALVLDLFVDLNNFVKTQRLVMDDVGVHRVTRHTMDYIGSLVEQKDTIYLMLEGSPNAFVELVTQLTSALEFMLVMNSRTLTLQGQQQLFLLNNVHFMLEQAKKFNDLGLTLGKSWLIQRQEQLNQLITGYMEDSWEPVMSSLFEKKTLVSVILWSNHLFDEFISSLEKIYSMQKTWKVSDPLIRQNLREAIIQKVIPLFRQQLEKKHKPSYDRVEHLESQLLEMFEG >OGLUM10G09700.1 pep chromosome:ALNU02000000:10:13540220:13541617:1 gene:OGLUM10G09700 transcript:OGLUM10G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAEDEENGYIYFFSTIEFKAAKPKQKKLPWRAAQGGRWKAVLGSSQMVEVGDVPVGRKLSMEFYVKGVRTNWGMHEFVRIIGPNIEVADLVVYRLHKLWTNGEEKPGDLAADGAAKSTNQSGQASAADYYQTYQNAVSQAYAYAPPYVLQPGWSQGYPYDVAAAPPTAPWPVCWAPPSAPGSYDCCYASTFSRPPPPPPIAASTLDKAPMTSTDHGASTNTSAATPAANKKPPPPVAATATTLGKIKGEVKGKAPTTTSTDHAGPTNTSAPPAANYQPPPLQGTQHVFASGVVVGHEDEEGYLVVDEVNTWRNTQQLVLEDDDDDDDGDGRAASAGAGEGGTSASRR >OGLUM10G09710.1 pep chromosome:ALNU02000000:10:13546793:13548024:1 gene:OGLUM10G09710 transcript:OGLUM10G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEGQNKFVGVRFLPKDLELLGILDAKLRGSPLGPVEAIFHDTQILDFHPYKLYEMYAEDEEEEGYIYFFSTMQFRCRKIVERAAQGGRWKVNNCETLEVGGVAVGRKFTMNFYEHIGGDNDLIWTNWGMQEFTRIIGPNKEFADLAVYRLYKKKITRGNGEEKPEDIAAASDGDTESSMNKRRRVEASAAAMALPPPPPPGTMMFMADQANVASTSPLPQEWHGQFANSAAAAPSPSGCWPWAPPPTPSAVEPFSFWASASAAIPPAADHYHPPPPPQGGEYYSRHGAFSVAPVPASACSTSPLPAAGTEGSYSQQQEPPCELMEF >OGLUM10G09720.1 pep chromosome:ALNU02000000:10:13549286:13551383:1 gene:OGLUM10G09720 transcript:OGLUM10G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEDGEDGNFGKNKRGLPIGFYFAPTDQDLLAILEAKRLGRPLSRAHDAFFHDIRILDFHPAELYEKYAKDEEKGYIYFFSKREFPTSSKKRPLRVAEGGAWNSSGAVYKVVKSSKSGGGYDVGHKKTLVFHQRFPGDKEAVKTNWAIQEFTRIIGPQNEVPDLAVYRLFKMRKEGRETPADLAADEAAAAAAMNNRGQQASAAAMALPPPATGLPGGRMMSMADNANMASTSKAYGPSKSSSSQLQQDAAAAAAPPNAAGPSNWAPRPCNCRECAPAAGHYGYFAAAAAMNNRGGQASAAAKALPLPAPGLPGVRRMSMADKANMASTSKAYATSQSSSSQLQQGAAAVAAPPNAAGPNNWAPRPCNCRECAPAAGQYGYFASMVPRPSLDRKGKGKAPMDCAEQAGGGGGCHAESTSTPAPPKGAEYYGCSVAVEDDDEELLKFLQAMVRGEEVEGDGDHAMADERGPQQGSSPVAAAAAFGSAPAGHDGRRGSLQGGHHGSSSPTSLAAAAATGDDVTSAASAGDDVSGSQQEDHPAR >OGLUM10G09730.1 pep chromosome:ALNU02000000:10:13552434:13552943:1 gene:OGLUM10G09730 transcript:OGLUM10G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGGGGDDDQRFDLPDDPPPAHPPARPIQGARVDRRALAAPSTSPRASTSTAGSAAASASTNGRRPSPPAATPSSAPRQAAFAAAAPPEATAAAAASPGSPSTCRRTALQLLDLQVPEQWRRDDGEVDVVDLVVSHPAARRVEELRVRVVPCRYRSYSDDDEEKEAR >OGLUM10G09740.1 pep chromosome:ALNU02000000:10:13563449:13576012:-1 gene:OGLUM10G09740 transcript:OGLUM10G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNTGNSGGCIPLLHRKSNNWYQSLVKEQESGGGKEHAPDDTMTMLWSGTYRHGGCGSEADGNNL >OGLUM10G09750.1 pep chromosome:ALNU02000000:10:13582860:13583267:1 gene:OGLUM10G09750 transcript:OGLUM10G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIAENRSNGFVFGVVVVVVLAVLTLTCGSTTSPLPCAGSAAMVAAASCVLAARRMLAVLSPWFYVGGGPVIRRGHEWSPPFYMYARARDDDLSGVALTLGAAALLLALALLFLRRRRSVGLKKVDKVEGKSE >OGLUM10G09760.1 pep chromosome:ALNU02000000:10:13583899:13584227:-1 gene:OGLUM10G09760 transcript:OGLUM10G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACATVVPIDTVATSLAVSKWLCKSCGEGDATVLLLPCHHRCLCRECEPKLDACHVCLATKDASASIRIVVIAASVYKVVGLQDHNPGAKVLTVAIYGLEGK >OGLUM10G09770.1 pep chromosome:ALNU02000000:10:13590364:13590771:1 gene:OGLUM10G09770 transcript:OGLUM10G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESIAENRSNGFVFGVVVVVVLAVLTLTCGSTTSPLPCAGSAAMVAAASCVLAARRMLAVLSPWFYVGGGPVIRRGHEWSPPFYMYARARDDDLSGVALTLGAAALLLALALLFLRRRRSVGLKKVDKVEGKSE >OGLUM10G09780.1 pep chromosome:ALNU02000000:10:13591403:13591731:-1 gene:OGLUM10G09780 transcript:OGLUM10G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKACATVVPIDTVATSLAVSKWLCKSCGEGDATVLLLPCHHRCLCRECEPKLDACHVCLATKDASASIRIVVIAASVYKVVGLQDHNPGAKVLTVAIYGLEGK >OGLUM10G09790.1 pep chromosome:ALNU02000000:10:13626706:13630111:-1 gene:OGLUM10G09790 transcript:OGLUM10G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole (Corrin/Porphyrin) Methylases [Source:Projected from Arabidopsis thaliana (AT1G45110) TAIR;Acc:AT1G45110] MASLLRLQALALNLTAPRRLPFLPLRVTTATAPLAGCLSTAAAAASASGSSPESPASEPDLDSGLYLVATPIGNLEDITLRALRILKCADVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPNILKRLHEGEAVALISDAGTPGISDPGMELARLCATEGIPVIPIPGPSAAIAALSASGLPTDEFTFVGFLPKHARSRKERLEISACQAATQIFYVPPHGIHQFLSDAASSFGDSRSCVIAREITKLHEEFWRGTIGEANEAFAARQPKGEITVLIEGKLISADETPSEDFLEHELRELMTQGHPLSAAVKMVSEATSAKKKDVYALALRLFGK >OGLUM10G09800.1 pep chromosome:ALNU02000000:10:13644929:13654516:1 gene:OGLUM10G09800 transcript:OGLUM10G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIGNFLTLMHLLEPLVPSIDKFDISGLQLSIYTDADGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYAEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKHPHSGISLYGRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASRSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASYIGVFLQERWALAAPTLHPFSF >OGLUM10G09800.2 pep chromosome:ALNU02000000:10:13644901:13654516:1 gene:OGLUM10G09800 transcript:OGLUM10G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYCDKKEVTVAFSKTKRQEEDEVIWRMVEQGATDDEECGGTNCSAGENRDPGWPGTSVFRMLIPATKVGAVIGHSGERLRRLCEETKACVRVIGGHFAAAERAVIIFAKEQPDEPKPPAIDALLRVYECTINDDGLDVRYNNIVVARILTPSEQAASLIGDQGSVINYIKKASKTNIHVIDGDLPPVALEDDMIIEIWGLPARVHQALELVACHLRKYLVHRSVIPLFDPHVSIPISPVDMPPFHYSDHHEGLLHEASPGYYSLYAEAFQLEHPWTDTSYSRYPMENFTHADIFEYRQEAPVFFGRYRSVTPPHYGHEAEAYLSSPMELCLHNNLNTYGWQATPPIGRSDTVERIRSLISVYGKQAHPHPLRQTYQSTKMEKHPHSGISLYGRDDHPTRVSPSPATELPPSPAVSAYKWQVSPSLKMYPSTNVENLQHCRVSACAPEELPNVVVPSLTSQSPAVTSQVIMKMQVPIFYAEAVIGPTGARIDYIRQASRSSVVIKDLDDSAMSIEITGSAATDVQIAEQLIKNFMAEAAAASPDHSYDFIPSHLPAPRSPEPDIPTTSLTRRASYIGVFLQERWALAAPTLHPFSF >OGLUM10G09800.3 pep chromosome:ALNU02000000:10:13645671:13654516:1 gene:OGLUM10G09800 transcript:OGLUM10G09800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKMSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >OGLUM10G09800.4 pep chromosome:ALNU02000000:10:13651029:13654516:1 gene:OGLUM10G09800 transcript:OGLUM10G09800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKMSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLRCSPDGLQCVQEIASHLLELRRIATQR >OGLUM10G09800.5 pep chromosome:ALNU02000000:10:13651029:13654182:1 gene:OGLUM10G09800 transcript:OGLUM10G09800.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNHARSQDFWRRFWSMLSYACSELCLIILLHVAAGASYLATRLARIHKLKMPCILCTRMDHALHGKPWFSSDLVCAAHRSEISSLAYCSSHNNLAQCDDLCKRCTVATNDVVDTRRSKSRQLCSCCSEPFTKARNAHRISETASVSGEINREQIPADHSKDKAFVVGIEEVNESDSSPRTYEQSTKNNGASGNAGTAKLAPSGSTVPMRVFVDRNSSVKNGFISRANLSSPRPSQIISAKDSNSTTQQEVKAFLSQMSTVRGIDSSWSDGAPSPGINAQTDESNANGRRPSLERNYSVIEPSDANLADEVEGESSPENLKRLLELNKKSMSALYKELEEERSASAIAASQAMAMINKLHEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELENFRRLVQNEQFNGGKHDIAGIMNETDMPFEVLNDLGYTKNTMSGFEDEMAYILESISRLEDKLCVSTNRLASDDAKINQEGLIGGADFGSSPTHGESTSDQQDDGNKSVQNHKDNCSCSHPEDGKMSDANLKDEVSLLHTRLQALEADQEFLKHVLNSLSIEEV >OGLUM10G09810.1 pep chromosome:ALNU02000000:10:13656090:13658547:1 gene:OGLUM10G09810 transcript:OGLUM10G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARGGTPEEEGGGADVEKAAAETGGRGTWRHAAFHVATTIATPAAYAPLPFALASLGWPLGVCSLVTGTLVTWCSSLVVASLWQWNGDKHTSYKLLAKSIFGPWGYWYVSFFQQVASIGNNIAIQIAAGSSLKAVYKHYHTTDDGAMTLQQFIILFGAFELLLSQLPDIHSLRWVNAACTASTIGFAGTAIGVTIYDGHRIDRKEVDYSLQGSAAAKIFRAFNALGTIAFSFGDAMLPEIQSSVREPVRMNMYKGVSTAYSIIVMSYWTLAFSGYWAFGSGVQPYILSSLTFPRWTIVMANLFAVIQITGCFQIYCRPTFAQFEQRIQAKDAGYRARMWRLVYTSAYMVVITLISAAMPFFGDFVSVCGAVGFTPLDFVLPALAFLKAGKLPENPGLRHAVKVITSAVAVLFSIVGALACIGAVRAIALDVKTYKFFHDM >OGLUM10G09820.1 pep chromosome:ALNU02000000:10:13658914:13661946:-1 gene:OGLUM10G09820 transcript:OGLUM10G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAI5] MKEVEIEELCRVHYEEFILAVDELRSVLVDADELKGTLSGENLRLQEVASSLLLKLDELLELYSVNKNVEEALGMLKICLQVTSLCQMCNKDIAEARLHSALKSLELIEKDFLQNIPLKLLKKAVQKQIPIVKLYIEKKVCNEFNEWLVYIRRTAKEIGKVSISQASLARQKNEGIRSQQREAEDCSRIGFDEHAYALDLDLIGEEEVLEFNLTPVYRANYIHTCLGLGEKFREYYYNNRLMQLNLDLQIPTTQPFMESHQHFLAQIAGFFIVEDRVLRTADGLLSDSQVETMWGTAISKVTSILEEQFSRMDAANHLLLVKDYVTLLGATMKKYGYQTTSLLEILEKNRDKFYQLLLSDCRKKIDGIFTKDSYEQMIIKKENEYHMNVSAFQLEPIGVVPDFPYVAPFSSSVPDACLIVRSFIEDSVNYLSYDPMMDAYDVVKRYLDKLLIEVLNDGLLNLIHGGCLEITQMVQIAGNIAILEKSCDMFLFHAAQLCGVPRRLLDKPHSGLTARAVLKASQNAAYNGLIALANSKIDEFMLLLTSINWTPEETPEHVNDYMHEVVIYLHTLVSTAQNVFPREALYKVVCGAFSHISDSIMTVFLSDRVKRFNANAVAGIDIDLKKLEEFADDKFHSTGLSELRKETTFRDCLVEIRQLTDLLLSNQPENFMNPVIREKNFGSLDHKKVSIICDKFRDAPESLFGSLSGRSTVQSARKKSLDVLKRRLKDFS >OGLUM10G09830.1 pep chromosome:ALNU02000000:10:13664224:13667682:-1 gene:OGLUM10G09830 transcript:OGLUM10G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAATLPSSSSSAATAVQTISRALSFPRRGGGGFLHLRRHPLAAAAAATRGRGPLLRLGPRRPFSASASAGDNGAAAGGDGGGCDYDYDLFTIGAGSGGMRASRVAASLYGARAAVCEMPFATVASDSLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESHGFGWRYGTEPKHDWSTLMTNKNLELQRLVGVQTNMLKNSGVTIIEGRGKVVDPHTVSVDGKLYTAKNILIAVGGRPSMPDIPGIEHVIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSGVHVFIRQKKVLRGFDEEVRDFVADQMSLRGITFHTEETPQAVMKSDDGLLTLTTNKGSINGFSHKRLGSKWTSMVLLCAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFRPLRATLSGLPDCVYMKVIVCANTNKVLGVHVCGEDAPEIIQGIAIAVKAGLMKQNFDATIGVHPTTAEELVTMRSPTRKVRRDAVDEAKMKDEATSQK >OGLUM10G09840.1 pep chromosome:ALNU02000000:10:13671316:13677087:-1 gene:OGLUM10G09840 transcript:OGLUM10G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILDCAFDAFFGSNFLAMVATCISNIVSWEESIAALGPAKGNALPLVGGIKVGYCSERQTRGPLVAKMWWILDYTASLPGLTTMNCPSMSSQPKPQLGKPS >OGLUM10G09850.1 pep chromosome:ALNU02000000:10:13694291:13707804:1 gene:OGLUM10G09850 transcript:OGLUM10G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acylaminoacyl-peptidase-related [Source:Projected from Arabidopsis thaliana (AT4G14570) TAIR;Acc:AT4G14570] MDALASEEYASQSKLLQEFTNVPSIDGAWVFQTNNGSKLLVVRNGEKGSPTKLEIVDQSHVEKEIHVAQSVHGPLYTDEWFHGISWNQEETLIAYIAEESPEPKPVFDYTGYRKEGSSEKDCNNWKGQGDWEEDWGETYSKKGRPSLFVLDIASGEVRAAKGISRSLSVGQVVWAPPSSCGREKYLIFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYATLCPFEESDVDNAPVSDSKLESASVAINLTPSISSAFFPRFSKDGKLLVFLSANHAVDSGAHNATDSLHKINWPSDWKMDQYLEITDVVPIVMCPQDGCFPGLYCSSMLSDPWLSDRCTMILTSAWRSTEVILSIDVLSGKATRISPENSEYSWSALAVDGHNVLAVSSSPIDPPQIKYGHQVSLKDQTCTWVWDEVNNNPLMAANNKVKALLSHHQFSILKIPVTNPSDDLSDGGKLPFEAIFVSCKDSSHKPTILVLHGGPHSVSVSNYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIEEGLIDTSKVAVVGISHGGFLTTHLIGQAPDRFMAAAARNPVCNLSLMIGTTDIPDWCYAVACGSEGRQHASESPSPDHLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGEIRIMMFPDDIHEINIPQSDFESFLNIGVWFKKHLSISASDAAV >OGLUM10G09850.2 pep chromosome:ALNU02000000:10:13694291:13707804:1 gene:OGLUM10G09850 transcript:OGLUM10G09850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:acylaminoacyl-peptidase-related [Source:Projected from Arabidopsis thaliana (AT4G14570) TAIR;Acc:AT4G14570] MDALASEEYASQSKLLQEFTNVPSIDGAWVFQTNNEDRSTAMYSISQTNLLANNKRKYILFSHIMRNGTNLLDFQWSPFPIQMDGVSAVVPSPSGSKLLVVRNGEKGSPTKLEIVDQSHVEKEIHVAQSVHGPLYTDEWFHGISWNQEETLIAYIAEESPEPKPVFDYTGYRKEGSSEKDCNNWKGQGDWEEDWGETYSKKGRPSLFVLDIASGEVRAAKGISRSLSVGQVVWAPPSSCGREKYLIFVGWLEHNGFQNTPRKLGIKYCSNRPCSLYATLCPFEESDVDNAPVSDSKLESASVAINLTPSISSAFFPRFSKDGKLLVFLSANHAVDSGAHNATDSLHKINWPSDWKMDQYLEITDVVPIVMCPQDGCFPGLYCSSMLSDPWLSDRCTMILTSAWRSTEVILSIDVLSGKATRISPENSEYSWSALAVDGHNVLAVSSSPIDPPQIKYGHQVSLKDQTCTWVWDEVNNNPLMAANNKVKALLSHHQFSILKIPVTNPSDDLSDGGKLPFEAIFVSCKDSSHKPTILVLHGGPHSVSVSNYSKTSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTALDYVIEEGLIDTSKVAVVGISHGGFLTTHLIGQAPDRFMAAAARNPVCNLSLMIGTTDIPDWCYAVACGSEGRQHASESPSPDHLRLFYQKSPIAHISKVKAPLLMLLGGADLRVPVSNGLQYARALRERGGEIRIMMFPDDIHEINIPQSDFESFLNIGVWFKKHLSISASDAAV >OGLUM10G09860.1 pep chromosome:ALNU02000000:10:13708368:13714934:-1 gene:OGLUM10G09860 transcript:OGLUM10G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase of the GNAT family 1 [Source:Projected from Arabidopsis thaliana (AT3G54610) TAIR;Acc:AT3G54610] METSHRKRKLPPSSLSDATADEDDDTTAPSSPSTSPSSPSRPSSPSSSHSDDDDDDSLHTFTAARLDGAPPSSSGRPPKPESSTVSAAAAAAAAAAAPKPDSASAAAGDGKEDPKGLFTDNIQTSGAYSAREEGLKREEEAGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECRIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKGKLGGRQISGGIPNHDQPFLLITNMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLKTMSKRVESEQYYVTLEMFVADMKRMFSNAKTYNSPDTIYYKCASRLESFFSNKVASQLAQASTKN >OGLUM10G09870.1 pep chromosome:ALNU02000000:10:13732893:13733530:-1 gene:OGLUM10G09870 transcript:OGLUM10G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSEGSSASSRRTSASPIPYRVGPFDYHPAVLCRCGTKAAKWISWSVDNPDRRYYKCANARSGGCDFFDWYEGPTSSFIRDLLNDLRAAVFNLRREKDELQIAVEDGRSKALELDEATQELDTLRRELATVRSAANESEAKLAVLKDRNCRLENERIVLLSLLVVVCAICFILACNMSQNLMDM >OGLUM10G09880.1 pep chromosome:ALNU02000000:10:13736798:13737724:1 gene:OGLUM10G09880 transcript:OGLUM10G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLIAVALLLPALLAFQAPMATAVNSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFIIDYTTETNPPTPTNGKFNIFWQNTVLTPSAVASIKQSNPNVRVAVSMGGATVNDRPVFFNITSVDSWVNNAVESLTGIVRDNNLDGIDIDYEQFQVDPDTFTECVGRLITVLKAKGVIKFASIAPFGNAEVQRHYMALWAKYGAVIDYINFQFYAYGASTTAAQYIDFFNQQIVNYPGGNILASFTTAATTTSVPVETALSACRTLQKEGKLYGIFIWAADHSRSQGFKYETESQALLANATISY >OGLUM10G09890.1 pep chromosome:ALNU02000000:10:13738609:13743331:-1 gene:OGLUM10G09890 transcript:OGLUM10G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAJ3] MDRELVRTVKLATKNHAGVLFRRAVRHLPHIVAVTALVAAAPRLSTLLAAAAAGGVGGGSTMRWARALWSDLAGELGPSAPALAVACWAAALAAYTYAASRPRPVYLIDLAGYKAPREHEASRAKTIAHFGRCGRFSGESMAFQKRMLERSGLGEATHFPTSLISLPVDMCLRTAREESHAVIFGVVDEVLRKSGVAAADVGVLIFNSSLLSPTPSFTSLIVNRYGMRPGVVSHNLSGMGCSAGIIAIDLAKRLLQVHENTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRGRAKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGEVGVSLSKELMVVAGEALKTNITTLGPLVLPISEQLRFLATVVLKRVFRADVKAYLPDFKLALDHFCIHAGGRGVLDELEKSLKLSPWDMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIKRGDRVWQIAFGSGFKCNSAVWRALRTVDAAGLDAGDNPWMKEVDMLPVDVPKVAPIDETSYQIPN >OGLUM10G09900.1 pep chromosome:ALNU02000000:10:13764485:13768601:-1 gene:OGLUM10G09900 transcript:OGLUM10G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRSDWPLTSASSPYTGVGFSFVQAPSSAAPFIFSGFLWLSKQQQEESRSKGENVREEETGIPKANALSSAQENIMDEAVNCSTEKQTQDKIIMGQMTCICSSSPDEGGHPRASVTLTERRYRPILTNLGFHPEKTLEVELKEGSSSNAFKKHPRREPPNVTCTPRVPAIFTPPTRRPDAKPPCPHPRTRRIWAREPDPAVPLTTNAGRRLEGRRRTQVAAAGCLRAYTTAGRRAAAGSVASTTAVAIPAANTHNTNRRTHLSRHRRPSPPPSSTLPPPPAHPQAAPRPSEREETRSAPPPPSLRPHGFAGGHSGDGEAEKVVGVGGRGAAGSPPEPPLERAVAFAL >OGLUM10G09900.2 pep chromosome:ALNU02000000:10:13764485:13768601:-1 gene:OGLUM10G09900 transcript:OGLUM10G09900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRSDWPLTSASSPYTGVGFSFVQAPSSAAPFIFSGFLWLSKQQQEESRSKGENVREEETGIPKTAMMGQMTCICSSSPDEGGHPRASVTLTERRYRPILTNLGFHPEKTLEVELKEGSSSNAFKKHPRREPPNVTCTPRVPAIFTPPTRRPDAKPPCPHPRTRRIWAREPDPAVPLTTNAGRRLEGRRRTQVAAAGCLRAYTTAGRRAAAGSVASTTAVAIPAANTHNTNRRTHLSRHRRPSPPPSSTLPPPPAHPQAAPRPSEREETRSAPPPPSLRPHGFAGGHSGDGEAEKVVGVGGRGAAGSPPEPPLERAVAFAL >OGLUM10G09900.3 pep chromosome:ALNU02000000:10:13764485:13768601:-1 gene:OGLUM10G09900 transcript:OGLUM10G09900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRSDWPLTSASSPYTGVGFSFVQAPSSAAPFIFSGFLWANALSSAQENIMDEAVNCSTEKQTQDKIIMGQMTCICSSSPDEGGHPRASVTLTERRYRPILTNLGFHPEKTLEVELKEGSSSNAFKKHPRREPPNVTCTPRVPAIFTPPTRRPDAKPPCPHPRTRRIWAREPDPAVPLTTNAGRRLEGRRRTQVAAAGCLRAYTTAGRRAAAGSVASTTAVAIPAANTHNTNRRTHLSRHRRPSPPPSSTLPPPPAHPQAAPRPSEREETRSAPPPPSLRPHGFAGGHSGDGEAEKVVGVGGRGAAGSPPEPPLERAVAFAL >OGLUM10G09900.4 pep chromosome:ALNU02000000:10:13764485:13768601:-1 gene:OGLUM10G09900 transcript:OGLUM10G09900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MISSVGTIRVAASIGVRLPVRWRSDWPLTSASSPYTGVGFSFVQAPSSAAPFIFSGFLWTAMMGQMTCICSSSPDEGGHPRASVTLTERRYRPILTNLGFHPEKTLEVELKEGSSSNAFKKHPRREPPNVTCTPRVPAIFTPPTRRPDAKPPCPHPRTRRIWAREPDPAVPLTTNAGRRLEGRRRTQVAAAGCLRAYTTAGRRAAAGSVASTTAVAIPAANTHNTNRRTHLSRHRRPSPPPSSTLPPPPAHPQAAPRPSEREETRSAPPPPSLRPHGFAGGHSGDGEAEKVVGVGGRGAAGSPPEPPLERAVAFAL >OGLUM10G09900.5 pep chromosome:ALNU02000000:10:13764485:13768339:-1 gene:OGLUM10G09900 transcript:OGLUM10G09900.5 gene_biotype:protein_coding transcript_biotype:protein_coding MELSWEAEQAATGGIKEQGGKSHLLMKVVIPELQYCASYSEIHCHWSRSNVTLTERRYRPILTNLGFHPEKTLEVELKEGSSSNAFKKHPRREPPNVTCTPRVPAIFTPPTRRPDAKPPCPHPRTRRIWAREPDPAVPLTTNAGRRLEGRRRTQVAAAGCLRAYTTAGRRAAAGSVASTTAVAIPAANTHNTNRRTHLSRHRRPSPPPSSTLPPPPAHPQAAPRPSEREETRSAPPPPSLRPHGFAGGHSGDGEAEKVVGVGGRGAAGSPPEPPLERAVAFAL >OGLUM10G09910.1 pep chromosome:ALNU02000000:10:13774816:13775688:-1 gene:OGLUM10G09910 transcript:OGLUM10G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGYLFREYIGAQFTGVRFSDVPINPNLSFNFILSFAIDYTSPDGGATPAPTNGVFSPYWDTANLSPADVAAVKAAHPNVSVMVGLGGDSVQDAAKVFFSPTSVDSWVANAVASVSGIIDAYGLDGVDVDYEHFNDDGGAGGGVDTFVECIGRLLTELKRLHPNITTSIAPFEDAVVQRYYQPLWRRYAGVIDLVNFQFYGYGDNTDVPTYVMFYDEQAANYPGGKVLASFKTGDVAGLLSPEQGIAGAEELQRQGKLPGLFIWSADSSKVSSYGFEYETRGQEIIANH >OGLUM10G09920.1 pep chromosome:ALNU02000000:10:13784544:13784903:-1 gene:OGLUM10G09920 transcript:OGLUM10G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGVQAGRGGVFFRDVVDADHPRRWKPTSSAQSSTSIPGQQNSHAKKDTGERNGEEGGVGVVFFRSNSTCASAGQGNDRWRAAVSYGGGALFIPCACSLCNKANQAAGPHAYGPSEG >OGLUM10G09930.1 pep chromosome:ALNU02000000:10:13789139:13790717:-1 gene:OGLUM10G09930 transcript:OGLUM10G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTNRRVFREYVGAQQSAVNLTDVPVNPNVGEFHFILSFAIDYTTSDSAPQPTNGNFSPSWNTRHHTPEAVAGFKRRHPDGPNKPKARVMVSVGGDSVMERANVLFSPVDEDSWVRNAVESLSAIINDYNLDGVDIDYEHFRTDAAMFTRCIGRLLTELKARKPGLITSIAPYSDTESYYISLWNEYKNVIDYVNYQFYAYGRDTTDQDYIRYYNTQANGNFAGGSVLAAINTNSQAVINIVNPDVALQACSELNQRLPGIFFWSADASHNQNQGFTYEARAQQMLANN >OGLUM10G09940.1 pep chromosome:ALNU02000000:10:13799619:13800482:-1 gene:OGLUM10G09940 transcript:OGLUM10G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGYLFREYIGAQFTGVRFSDVPVNPGLSFHFILAFAIDYFMATQSSKPAPANGVFAPYWDTANLSPAAVAAAKAAHPNLSVILALGGDTVQNTGVNATFAPTSSSVDAWVRNAADSVSGLIDAYGLDGVDVDYEHFAAGVDTFVECIGRLLTELKARHPNIATSIAPFEHPVVQRYYQPLWRRYAGVIDYVNFQFYGYGANTDVATYVMFYDEQAANYPGSKLLASFKTGNVTGLLSPEQGIAGAKELQRQGKLPGLFIWSADSSLVSSYKFEYETKAQEIVANH >OGLUM10G09950.1 pep chromosome:ALNU02000000:10:13811539:13811865:1 gene:OGLUM10G09950 transcript:OGLUM10G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYVEMLDMGVRVAARFHSHCPHTARMYYKPPQTTADHGGRGDGGAAAGKQVAAGFRFEAVTSSSAGAMAAAAEWEDRGFRATAPSGFDFEFDTAQAVVVYDHVAAV >OGLUM10G09960.1 pep chromosome:ALNU02000000:10:13833864:13834181:1 gene:OGLUM10G09960 transcript:OGLUM10G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRYVEMLDMGVRIAARFHSHCPHTARMYYKPPQTTADHGGAAAGKQVVAAGFRFEAVSSSSAGAMAAAAAWEDRGFRATAPSGFDFEFDTAQAVVVYDDVATV >OGLUM10G09970.1 pep chromosome:ALNU02000000:10:13866255:13869727:1 gene:OGLUM10G09970 transcript:OGLUM10G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEKNGTAYGEYTYAELEREQYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTNGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIILSFEDRELPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAGYGSSKVVSTQAPVQLGSLRAADGKE >OGLUM10G09980.1 pep chromosome:ALNU02000000:10:13884900:13885917:-1 gene:OGLUM10G09980 transcript:OGLUM10G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAPDPSPAPARSMLKRLFDRQLLRVSPAERIVAVGGGEKDEVEPSSVCLDGMVRSFLEDGSGVGAAVERAGGHGARRCNCFHGGGSSDDDDDEDDAAASSDVAETIKGLVHCATLRERNLLADVCGHVERHRAGGARRRELLGLVAASLRAAGHDAAVCVSRWDKSPTHPAGEHAYVDVLLPPASDRGARERVLVDVDFRSAFEVARPTKAYRALLQRLPAVFVGKDDRLRLLVAASADAARASLRKRGLHLPPWRKPEYMRAKWLSPYDREPAPPDEASASAAAAEVAGEEAPAAA >OGLUM10G09990.1 pep chromosome:ALNU02000000:10:13910981:13912419:1 gene:OGLUM10G09990 transcript:OGLUM10G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAK7] MAGKGGKGLLAAKTTAAKAAADKDKDRKKAPVSRSSRAGIQFPVGRIHRQLKGRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >OGLUM10G10000.1 pep chromosome:ALNU02000000:10:13915035:13918136:-1 gene:OGLUM10G10000 transcript:OGLUM10G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAK8] MECADYFIGSGRRCSPSTSTSREAWRPEKQWRKATNVIRGCHRLLRLGVLSAAAGIMRRNPSYVEIKVHDEGELDVSSGGDGEAPVAFTVAADDESFKGLVKNKREDCFRLLGGGAGVAAVLASGAERGIRGDDADVARRKKAFGSNTYPKPKPKGFFRHVWDALADVFLIVLLVCAAVSLAFGIKEHGIKDGWYDGVSIFLAVFLVAAVSAVSNHSQGKRFDKLARESENIMVSVVRAARRQEVSIFDVVVGDVVVLKIGDVVPADGVFLDGHALQVDESSMTGEPHPVEVDAVKSPFLASGVKVVDGYGKMVVTAVGTDTAWGEMMRTITRENTDPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGNALFDKRNVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMVRENALVRRLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGADRPRSAAAVNGGVVRLLCQGAGLNTTGSVYKPDNVSPPEITGSPTEKALLSWAVEELAMDADALKRKCKVVRVEAFNSDKKRSGVMLRDAATGAVTAHWKGAAEMVLARCTVYVGADGAARELGVEQRRKLEQVINDMAAASLRCIAFAYKQVVDGGDSDNAKIDDEGLTLLGFVGLKDPCRPEVKSAIEACTKAGIAVKMVTGDNVLTARAIAKECGIISGNDDDAAGVVIEGHEFRAMSEQEQLAIVDNICVMARSLPLDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGVQGTEVAKESSDIVILNDNFDTVVTATRWGRCVYNNIQKFIQFQLTVNVAALVINFVSAVTTGRMPLTTVQLLWVNLIMDTMGALALATDTPTKGLMRRPPIGRTAPLISNAMWRNLAAQAAYQVAVLLALQYRGFGGAGAGERANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVHRNRMFLGIVAVTVALQVVMVELLTKFAGTERLGWGQWGACVGIAAVSWPIGWAVKCIPVPERPFHEIITERRRRRRST >OGLUM10G10010.1 pep chromosome:ALNU02000000:10:13923666:13924226:-1 gene:OGLUM10G10010 transcript:OGLUM10G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRRACSWFGSNPVKPLNQPRPNRSAGYTWVGLGYSKTRLNRIKYRKVDEAWDGAIAGVHAVAVREGNVVAVVAFGDGEVDAAKPGGDSAEIGRDERHEPQQEQYQPLASLLPWLGLVDRQWWLRRRRRRNHRAAPRTA >OGLUM10G10020.1 pep chromosome:ALNU02000000:10:13931411:13931733:-1 gene:OGLUM10G10020 transcript:OGLUM10G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATSGRVLAIMLLMAIIAALMIINSPVAECRVAPDQVGVDPNGHCYFDPSSCRSPGAP >OGLUM10G10030.1 pep chromosome:ALNU02000000:10:13941946:13943161:1 gene:OGLUM10G10030 transcript:OGLUM10G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTGRRRWLPSPSLADLAGGGRREAGGGGGGGNNNGAGQRRRLPSPSLPDPAGGRLGWVRRTGGEVLFSPEIGGRTRKAMNGNGSAKEGGK >OGLUM10G10040.1 pep chromosome:ALNU02000000:10:13948377:13954957:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPIVPLSSAGEFLGKRGISRPKVEGERVHGLTVPLLLSWRLGDQSMEHVQIPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVAEEDGVAVVRRAFEAGALKQLPREKVQVATKFGIAGFDANGMLVKGTPDYVRACCEASLERLAVDYIDLYYQHRIDQSVPIEETMGELKKLVEEGKVKFVGLSEASADTIRRAHAVYPITAVQMEWSLWTRDIEEEIIPLCRELGIGIVPYSPIGRGFFAGRAAVQSIPSESWLTRHPRYNGENLEKNKVFYTRIEELATKYGCSPAQLALSWVLHQGDDVVPIPGTTKVKNLDDNIGAVKVKLSKEDLKEISAAVPAGEVAGSRLIGVLEPYSWRVANTPPPKSLSRTCATPSIMGFEGAAASKQETTIRVA >OGLUM10G10040.2 pep chromosome:ALNU02000000:10:13954759:13958901:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRASGNARQPRDFGDDGECKARDAHQEHMCPPQVEPLVLFLDHHHSQILLLQCNAMPGPKTLMQLKAMQLWLHVSFTGGSRPRYCLLPVVKSVAQQAAVVAAAKLQPWQAAAVISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVVVVMLLLLFAAARSLALSSARASQKALCVSRLSFLQGNSSDDVHMISDERMHACKVAFNFGEERSPCRMISLVSFPRNCGKKREQESDPTAAANYL >OGLUM10G10040.3 pep chromosome:ALNU02000000:10:13954759:13958901:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRASGNARQPRDFGDDGECKARDAHQEHMCPPQVEPLVLFLDHHHSQILLLQCNAMPGPKTLMQLKAMQLWLHVSFTGGSRPRYCLLPVVKSVAQQAAVVAAAKLQPWQAAAVISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVVVVMLLLLFAAARSLALSSARASQKALCVSRLSFLQA >OGLUM10G10040.4 pep chromosome:ALNU02000000:10:13954759:13958474:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRASGNARQPRDFGDDGECKARDAHQEHMCPPQVEPLVLFLDHHHSQILLLQCNAMPGPKTLMQLKAMQLWLHVSFTGGSRPRYCLLPVVKSVAQQAAVVAAAKLQPWQAAAVISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVVVVMLLLLFAAARSLALSSARASQKALVA >OGLUM10G10040.5 pep chromosome:ALNU02000000:10:13954759:13958901:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.5 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRASGNARQPRDFGDDGECKARDAHQEHMCPPQVEPLVLFLDHHHSQILLLQCNAMPGPKTLMQLKAMQLWLHVSFTGGSRPRYCLLPVVKSVAQQAAVVAAAKLQPWQAAAVISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVVVVMLLLLFAAARSLALSSARASQKALCVSRLSFLQA >OGLUM10G10040.6 pep chromosome:ALNU02000000:10:13954759:13958901:1 gene:OGLUM10G10040 transcript:OGLUM10G10040.6 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRASGNARQPRDFGDDGECKARDAHQEHMCPPQVEPLVLFLDHHHSQILLLQCNAMPGPKTLMQLKAMQLWLHVSFTGGSRPRYCLLPVVKSVAQQAAVVAAAKLQPWQAAAVISRQLIKLELVDLAGVVIDAEEVPGEPAPSDVAGVHGHGAFRGKPEADTVTTCSHHDIAGDRAIVVVAAAAGDDAAAAAECEVIEVGRRRGGWLLELRKKQLVVVVVMLLLLFAAARSLALSSARASQKALCVSRLSFLQELWQEKGARKRSYCSSKLSINEVFPSMPPANADMMMKMALKF >OGLUM10G10050.1 pep chromosome:ALNU02000000:10:13957326:13960378:-1 gene:OGLUM10G10050 transcript:OGLUM10G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDLSSDQEATGSNSHGGGGGDRMVLGSHGAAHVMLSNLFLPPAAAAATMLLPAAPVMVRPAAMAAAQEPRAKKKRSLPGNPDPEAEVIALSPRALVATNRFVCEVCNKGFQRDQNLQLHRRGHNLPWKLRHRAAAVSAVTTAAPAPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVHSDWKAHVKNCGTREYRCDCGILFSRKDSLLTHRAFCDALAEESARLLAAANNSSSITTTTCNNSNISNNNNNNNINSISNSNNLLITSSSSSPPLFLPFSTTPAENPNPNQLLFLQQHQAAHHQLLLPQFQQPPSSPPAYFDHLAFCGGGGVITGSSCNDDNSSIAGDVMVAAGGDSVSFGLTSEGSVTMHAGDVGRRRLTRDFLGVDHDAGEVDELELDELPADLSTTAAACQGCNFAAATTAACCATDFTTGSRQYLGRLPPVNETWSHNF >OGLUM10G10060.1 pep chromosome:ALNU02000000:10:13964495:13967244:-1 gene:OGLUM10G10060 transcript:OGLUM10G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAAAGIVKEEMLESQQQQRQEDGGAAPRPMEGLHEVGPPPFLTKTYDLVEDPATDGVVSWSRAGNSFVVWDPHVFADLLLPRLFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPPSNAPPSQQQSLTSCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQATKDHVKAMEDRLRAAEQKQVQMMGFLARAMRNPEFFQQLAQQKEKRKELEDAISKKRRRPIDNVPFYDPGETSQTEQLDSPYLFDSGVLNELSEPGIPELENLAVNIQDLGKGKVDEERQNQTNGQAELGDDFWAELLVEDFTGKEEQSELDGKIDGIDELAQQLGYLSSTSPK >OGLUM10G10070.1 pep chromosome:ALNU02000000:10:13969729:13971775:-1 gene:OGLUM10G10070 transcript:OGLUM10G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAM0] MENEFQDGKTEVIEAWYMDDSEEDQRLPHHREPKEFIPVDKLTELGVISWRLNPDNWENCENLKRIREARGYSYVDICDVCPEKLPNYETKIKSFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIALKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEFLAKLLKSEGENQAVEGF >OGLUM10G10080.1 pep chromosome:ALNU02000000:10:13974987:13977055:-1 gene:OGLUM10G10080 transcript:OGLUM10G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like cupins superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43850) TAIR;Acc:AT5G43850] MAPLVWMLGENGEEKSFENPNELLPLSRLEEIGVLYWHLDPKKSESEEELTKIRRERGYSYFDLIEICPDKLENYEEKLKSFYREHIHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFIVDSNNYIKLMRLFIGEPVWTAYNRPQEDHPVRQEYVKNVKGDTGFALAAH >OGLUM10G10090.1 pep chromosome:ALNU02000000:10:13981228:13982555:-1 gene:OGLUM10G10090 transcript:OGLUM10G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFAAQILAFCLLLLLLLLLQLQTTMAGDSSFSGVFDHGSHGVTLVKVDEAPRKCSSAAAAKKTDDDTAPAGGAPPKPLLVAAPCDAGVYPVVVFLHGYLAYNSFYSQLFEHVASHGFVVVGPQLYTMSGPDTTDEINSAAAVINWLAAGGLTSKLPPNVRADATKISISGHSRGGKVAFALALGHANVSLRGGAGGATIAALVAVDPVDGFAAGKQTPPPILTYGGANSLRVPAPVMVIGTGLGGLARAAPLLPACAPPGVNHGEFYGECAAPACHLVARDYGHTDMMDDVTPGARGLATRAVCRSGGARAPMRRFVGGAMVAFVKRWVEGEPELLECVRARPETAPVVLSVVEFRDEAIANHSY >OGLUM10G10100.1 pep chromosome:ALNU02000000:10:13991058:13992522:-1 gene:OGLUM10G10100 transcript:OGLUM10G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTMPPPLLLVAAAALIAVVTATVAAGAGEGPACDTAHCGRGQCVEQPGPLGLDTFRCDCDAGWSNMFAFLPASPCTIPKCTFDSACFNITFNFPRGFPLTDPCVAINCGSGGECVKEEGLSYHCACSPGFVNMFNLTMFPCIKNCAFGKDCSALGLSPPGSPPPPPPPSPSSSSPATPGNDSHDSSGHPSSPKGNASTVATSTTSLGYTITRFFT >OGLUM10G10110.1 pep chromosome:ALNU02000000:10:14001033:14004274:-1 gene:OGLUM10G10110 transcript:OGLUM10G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGCLLLLLLGVVATVAAGECNDDEELARRPCVAVNCGPGGQCVKEEGFSYHCACSPGFVNMLNLTELPCIKNCAFGKDCAALGLSPASTPAPAPTPAGTLRGTNWLQL >OGLUM10G10120.1 pep chromosome:ALNU02000000:10:14008520:14010746:-1 gene:OGLUM10G10120 transcript:OGLUM10G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductases [Source:Projected from Arabidopsis thaliana (AT4G20350) TAIR;Acc:AT4G20350] MEDDKTTQESSAAAAPPLRSLADYAVGPIPTLLYVPGFISDAEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTKITDRICQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYFPVVAIISLASPVVIDFTPHQRLKGEDFTDPQNAHSGESQATTTESNGSHNLEGANETDPASLSLLLMPCSLLIFKDQAYTDYLHGIQDNELQNLDKVANMLQCPEFKHLNSGDGQGNTDESCSLEQSGVYRRTATRVSLTCRLVLKVHKKLFKM >OGLUM10G10130.1 pep chromosome:ALNU02000000:10:14016147:14018052:1 gene:OGLUM10G10130 transcript:OGLUM10G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRWLRSFLAGKKDGGGRRSGERQQHGGGGDATPAVEVVAASTREKKRWSFRRSSASAAAAAMGKPAAVTAPSTPEPSVSGLASVSERARDVADLEGQSKHAMAVAAVATAAEGDDVSASAVEVVAAVMIQATYRGYLARKALCALRGLVKLQALIRGNLVRKQATATLRRMQALLVAQARLRAQRMRMLEEEEDDDVHGHGHHHHRRSSPHHPRHRRSYEMDRSGEEQAKIVEVDVGEPAPRRRGRSSCSVAVSESRERRMAEYGYYAQCSPAPSSSAFTAAAAASPPRDASYSGHFDDFSPFEPATARSSPYIPPSPAAGGGGGGGGEFFPNYMANTQSSRAKARSQSAPRQRTEPLERQPSRRKSGGGGGGAKMQ >OGLUM10G10140.1 pep chromosome:ALNU02000000:10:14032958:14033188:1 gene:OGLUM10G10140 transcript:OGLUM10G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSSHVGVPAAHGYHHHHLYSYGQYPWSVKQLDRSSASLKDSECGSTTSSVLTAATTVGYCRSLVGFDLHRGHY >OGLUM10G10150.1 pep chromosome:ALNU02000000:10:14045491:14049595:1 gene:OGLUM10G10150 transcript:OGLUM10G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQQQVVDAAAAAAAAATMRVPVPPPKPLLRTIGGNLMETFFPDDPFRAVARESGGRRALAALRYVFPFLEWLPSYSLAALWSDVVAGVTIASLAVPQGISYAKLGDLPPIMGLYSSFVPPLVYAVMGSSRELAVGTTAVASLLFAATLGKEAPPGEKPELYAALAFTATFFAGVLQAGLGVLRLGFLVDLLSHAAIVGFMAGAATIVCLQQLKGMLGLAHFTTSTDVVAVVRSVVTQSHQWRWQSIVVGCCFLIFLLFARYISKRKPKWFLLSAMAPLASVIAGSVLVYLIHGDRHGIPVIGYLKKGINPPSARDLLLSSPHTMVALRTGIITGIIGLAEGIAIGRSFAMLKSYNVDGNKEMIAFGAMNIVGSCTSCYLTAGPFSRAAVNHNAGCKTPMSNAVMAVAVMLTLQFLTPLFHYTPLVVLSAIIISAMIGIIDYKAAVRLWKVDKIDFCVCVGTYLGVVFGDIQIGLAIAVGISILRILLFIARPKTTVLGKMPNSTNFRRMDQYTVAKAVPGLLVLRIDSPIYFANSGYLRERIMRWIDHEEDRIKAEGLESLKCVVLDMGAVASIDTSGTKMLEDLKKNLDRSSIQIALANPGSEIMRKLDKSNVLGLIGEEWIFLTVSEACYYAQQNCKIGVGMGVVQCVVDPEHMV >OGLUM10G10160.1 pep chromosome:ALNU02000000:10:14051838:14054739:-1 gene:OGLUM10G10160 transcript:OGLUM10G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYQPGRRRCLAADHHRRGIVVAAGTSCYPYAASPYSMAPASLSRHIGAGGGALNTAFTGTTNGGGDAIGPGSYSLSLHGHTGVGGDQITVFAGGDTLAANFINYSYCFAAPVPYSAQDWHHIGAGGDYNMVFPSMPYFATADLHHIGGGSDHSTVFFPNIDALAMNAFSLAAPNQHSAVEFYYNGAGGGQNIEEFYYNGASGELNTEEFYYNGASGVQNMVSPNMDTLTTNAFSFATFGHYSATEFYYNGASGEHNIVSPNMDTLTANAFSFVTPIHYSAAEFYYNGASGEQNMEEFYYNGASGEQNIEEFYYNGVSGVQNMVPPNVDTLTANTFSFTTPGHYSATEFYYNGAGDEQNMEEFYYNGASYEQNMVSPNTDNLAMNAFSFAAPFNHSAAEFLHHIGATSERNTVCPNIIDTPLAVNAFSFVAPVHYSDANADLHLHVVDAGDEQDTVAANVDALGIPPAPPSPAPASITNVTVKSVWRENCTEQFKLVVDALNQPRRHLYIAVDMEFAADATTNIRRRPVTSTGCYHHLREFVNHGDIVQMGLTFVFVGDGEQSSSSSPPPITLEINFKINIKARKYNKKSIAFLSRHGHDLREHRRRGVSPRRVYEGLLRHLPFGDTSVTWVAYHGDYDLGFLLRLLQRGGRRRGPASASRDFPAFYDVRVIRQMLEDHGFSGKLTGLAEHLGIRRTGGAAHHAGSDALLTLSCFFKIFRSLSGQQLHQLDARRGLLAGLEEWNMAIKCARHIDDHTRNIKVIDVVAENLDEEARRIGELVAGNFSIIGVDVNQVVIHPRLGRKGYEMIIAFMNPEGMLAYGRAWKFCISRFTSDNNGYVLNLKQLAELMQSCGATNNPDVSWVTFQGSDVIYRLIRSANGGVIPSLISGESYFPSLYDVALIVGGFHGIGTLATTDRKVGIFDVARALKLKAIKADKEAERVLLTLRCFMRLAELIP >OGLUM10G10170.1 pep chromosome:ALNU02000000:10:14055337:14055645:-1 gene:OGLUM10G10170 transcript:OGLUM10G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLDLVCSSPCVLHITVAAGRTTGTHGGKNQRTEEEEGKEETGEADLEPLGGGGGGGARHDVLAAGELHRLGLGGALSSHLHVAPILPPPPPPPLHLPSA >OGLUM10G10180.1 pep chromosome:ALNU02000000:10:14059016:14059825:-1 gene:OGLUM10G10180 transcript:OGLUM10G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKRPAPDGGDAFLTGASPCCKKPRPLFTSIFNYEYLHKLGAGSYGVVYKAHDRRTGETVAVKWVRPRRGLAHGQPADLAAFARERDCLAACRGYPSIVQLRDVAANPSNWDVFIVMEFVGANSLRDFIAGCPFSEGETPVLMRRLLAGVRAMHRAGMAHRDIKPGNILGPAFTLKICDFGMATTVPPPYEPYMVGTLYYNSPEQLTEDGLNGQYDGKAVDMWVAGCVMAELLTGDKRMTAEAALEHRWFTEEADSPAVLSCLAAITS >OGLUM10G10190.1 pep chromosome:ALNU02000000:10:14060215:14061192:1 gene:OGLUM10G10190 transcript:OGLUM10G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAPAPAPAPPASRKRAAAPDDEPRSASGSTPGAKRPRRYALASVDDYEQLDVVGEGASGVVIMARHRRTGNKVALKHLPHGARDFDAVRVEAACQHACTGHPNIVQIKDVVTDAKSGDVFLVMEFVGGSLRDELPRARPEKQVRFMMRQLVGAAKKMHASHVIHRDIKPENILNSFGDLKVCDFGSATFENPAGKPYEECLVGTLPYTSPEQLASNHCYGPGVDMWALGCIMGELLTGAPLFGGDMTEKELLADLSANLDDQLNELFYDVLPELSPAAREVLSGLLAFDPEKRMTAAEALDHRWFAEEPKKANFAGFAPLFG >OGLUM10G10200.1 pep chromosome:ALNU02000000:10:14063424:14063642:1 gene:OGLUM10G10200 transcript:OGLUM10G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAHALVLFLFMFAAVLSPAARREAAAEAMHAANARRRRSSGRPDGKTIDQGIGYILMALALVLTYVLH >OGLUM10G10210.1 pep chromosome:ALNU02000000:10:14080863:14084851:-1 gene:OGLUM10G10210 transcript:OGLUM10G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRVLLGGRIRAMVFAAPRQARAPAVRMDGGAAADADAALPWVPGQLLLPPLFWLFPLSPLSRPMVMPAKRGKAGGDVSVLPAALLHQFMNDVSDVRRLLLIQWWMHVDIIDKWSITTCVPTLNHMIPDIRYKLHSKKSKVDNLPRKKPVIYILNLWTNKVFQTIWKEKPDGTVQRDIKIQKGSTWVEKRFQGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSPFGSSLPDLIDFNDVKPASFPRLFLSQILWTCSNFEKKRREKIEWIKTLNQFW >OGLUM10G10210.2 pep chromosome:ALNU02000000:10:14080863:14084452:-1 gene:OGLUM10G10210 transcript:OGLUM10G10210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAPRQARAPAVRMDGGAAADADAALPWVPGQLLLPPLFWLFPLSPLSRPMVMPAKRGKAGGDVSVLPAALLHQFMNDVSDVRRLLLIQWWMHVDIIDKWSITTCVPTLNHMIPDIRYKLHSKKSKVDNLPRKKPVIYILNLWTNKVFQTIWKEKPDGTVQRDIKIQKGSTWVEKRFQGWCLIHSKQTQILDNHGQVDDDEVIIDSPLLPYQISHDFLSPFGSSLPDLIDFNDVKPASFPRLFLSQILWTCSNFEKKRREKIEWIKTLNQFW >OGLUM10G10210.3 pep chromosome:ALNU02000000:10:14081705:14084851:-1 gene:OGLUM10G10210 transcript:OGLUM10G10210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRVLLGGRIRAMVFAAPRQARAPAVRMDGGAAADADAALPWVPGISCTVRRAKLTICLERNQTNKVFQTIWKEKPDGTVQRDIKIQKGSTWLIID >OGLUM10G10210.4 pep chromosome:ALNU02000000:10:14081705:14084452:-1 gene:OGLUM10G10210 transcript:OGLUM10G10210.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAAPRQARAPAVRMDGGAAADADAALPWVPGISCTVRRAKLTICLERNQTNKVFQTIWKEKPDGTVQRDIKIQKGSTWLIID >OGLUM10G10220.1 pep chromosome:ALNU02000000:10:14084934:14088590:-1 gene:OGLUM10G10220 transcript:OGLUM10G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:proton gradient regulation 3 [Source:Projected from Arabidopsis thaliana (AT4G31850) TAIR;Acc:AT4G31850] MLEVCCCSGVLGGSPPSSRTAGVSSPGVLPSRPNKRRIGRARVQPPPPCDERRAAEDVIHALRSADGPAEALERFRSAARQPRVSHTTASCNYMLELMRDHGRVGDMAEVFDVMQRQIVKANVGTFAAIFGGLGVEGGLRSAPVALPVMKEAGIVLNAYTYNGLVYFLVKSGFDREALEVYKVMMVDGVVPSVRTYSVLMVAFGKRRDVETVLWLLREMEAHGVKPNVYSYTICIRVLGQAKRFDEAYRILAKMESEGCKPDVITHTVLIQVLCDAGRISDAKDVFWKMKRSDQKPDRVTYITLLDKFGDNGESQSVMEIWNAMKADGYNDNVVAYTAVIDALCQVGRVFEALEMFDEMKQKGIVPEQYSYNSLISGFLKADRFGDALELFKHMDIHGPKPNGYTHVLFINYYGKSGESIKAIQRYELMKSKGIVPDVVAGNAVLFGLAKSGRLGMAKRVFHELKAMGVSPDTITYTMMIKCCSKASKFDEAVKIFYDMIENNCVPDVLAVNSLIDTLYKAGRGDEAWRIFDQLKEMNLEPTDGTYNTLLAGLGREGKVKEAMHLLEEMYHSNYPPNLITYNTILDCLCKNGAVNDALDMLYSMTTKGCIPDLSSYNTVIYGLVKEERYNESFSIFYQMKKVLSPDYATLCTILPSFVKIGLMKEALHITKEYFLQPGSKTDRSSCHSLMEGILKKAGTEKSIEFAEIIASSGITLDDFFLCPLIKHLCKQKKALEAHELVKKFKSFGVSLKTGSYNSLICGLVDENLIDIAEGLFAEMKELGCGPDEFTYNLLLDAMGKSMRIEEMLKVQEEMHRKGYESTYVTYNTIISGLVKSRRLEQAIDLYYNLMSQGFSPTPCTYGPLLDGLLKAGRIEDAENLFNEMLEYGCKANCTIYNILLNGHRIAGNTEKVCHLFQDMVDQGINPDIKSYTITIDTLCKAGQLNDGLTYFRQLLEMGLEPDLITYNLLIDGLGKSKRLEEAVSLFNEMQKKGIVPNLYTYNSLILHLGKAGKAAEAGKMYEELLTKGWKPNVFTYNALIRGYSVSGSTDSAYAAYGRMIVGGCLPNSSTYMQLPNQL >OGLUM10G10230.1 pep chromosome:ALNU02000000:10:14090692:14091377:-1 gene:OGLUM10G10230 transcript:OGLUM10G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSPVSNIFRLQDSERNVVGRPCAGDSGGKPSTAAATLSSSSSPRRCRRTERLAGAAAARPNLDGALRMEGGPARGAEVEDAAWRRQIQRRRGRIRPPRGWIRIWPEQAALGQRRREAKAAASGGWRQLVKEASAREAATGGGGDQHVRRTQWPPLAEAVEAWPVAAETGMCRGAAGGSGGRRSRRPRWQPQRKLARVAGDRRRLNGAMERLRAV >OGLUM10G10240.1 pep chromosome:ALNU02000000:10:14092281:14099492:-1 gene:OGLUM10G10240 transcript:OGLUM10G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVGHDARQYSWWWVSHISPKNSKWLQENLNDMDSKVKAMIKLLNEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHKSISEAFPNQMPPMSDESPASSGQEVEPHTPDLPTFTRLPFDLDDLQKDGVGVSPQQFTSKRNGTHPEEASAPNRKGFDVKVRKGLSFGSPEVKGSDAISNEMVNLQQEISRLLAESNSMKQQILSESERANKAENEIQVLKDTVLKLNSDKDTSLLQYNQSTERLSTLESELSKAQDDLKKLTDEMATEVQKLSSAEARNSEIQFELEALDQKVKMQQEELEQKQKELKSFNLTFQEEQDKRLQAESALLSEGKELAQCQEEVQRLTMEIQMANEKLNELKQTKVNLENAVSELKKEVESLTEQNRSSELLIQELRDEIDSLTDSRNELQNEIQSLRSTISQLNTEKDAALFQHQQSVERVSDLESQLLKLQPELEEIEQKVQMLMQDLEQKRQEADNAHAQLQDECNRHTQTEADLHRFKNLHSQLEEEVTKLTENLDRSTKGLEELENAKLDLENTSRELKSTILDLKSEKDAVLLQQQQSLAKISDLELQLSKTQLELKNSEQKMQLLELEITQKSESMDSLTLSLKDETEKRVQAETSLMSMENMYSQSQEEVNRLHLEIEKLNCKLNELENLSSELNSTILLLNAEKDATDLKNQQSLVRISDLESELSKLQAQLEKIEGKVQMLEQELKHKKEEVDSLQINIQDEAHKRSEGEAALLSMTNLNSESQEEVNRLTLETEKLKVKLSEVENSNTDLENIVAKHTEDIHVLREKNVSTELMIKELHHELEALKELNVKLESEMGLHIGEKEALQRDFACQKEEKQNLEGIHHSLAEEMSTLKSRSAANQKLIEDLQIMNLKLKEVCAKNEVEKALLSEKVQEVEKLSEEFSLMENSLSDANAEMDSLREKIKVLEASEGSLKDVISSHVSEKAILTSDLETLGKNYADISEKNSNLDILISDMKAEIENLRTKLKDSEETCQAHLANNSALSDEKNNVFSQLESVTVVMKALESKHADLEDKSSSLSKEMNLAYDQIRELQDQLRVKDEEYEAFVKSHQTQVNDFEEQISSLQKKSYYMNELLEQEQENNISASINVVILENCLADLKDKNVDLFNECQKFAEANHAAEMLISQMKDEARYHQDERKFLLIHTEKLREGISQHMKVLNICKDLGPANIAEDEIILQTVSDEASNIMKLKDQSEDANRLMYTELTVLATVMLQVGLELRDLNLQKRALEKELETRAAEFITLQNNNVQMLEWNEQLKQELQQGCEREEVLKAEILVLQEKLSCSRDSYQTSQNEIVSLTEKNETLCKEYQSLIEKYNALEDENGAILSECMRLEHLSLFLRGHNNEVATALGSLTDEMALLGVGKDELDCEVQELSRRGMMLESENNNLKEYFIYLIEILSVQLALSEFDLNINQSICQELASELESCMAQLSQKDDELLEAEDKVHLLQGKNRELCGVVGSLQVAIEGAKIVKEELEKKIATLTEEGNTKDGEISLLRQANERLQVEADILKDKEDSMTSSHELLSKEVEQREGEFVVLMGDVITSSVNAAVYEEKALELMTENTELKVNLSTHVALIASLSDHVNELEENTLSLSKPYSTESKKEDAEVPFMQERNHGPESHPLPEGTPELQRLIARMGALQVAIRNAKDLHDQESTKSAATLAAAHRDIQELKARGGSQMEAREIYSDNEKLNNVEGSKGKQVQMMKDIELDQISTCPPYGTGAALYPLKNGTNAGMDDEMLQLWEAAERSCKNQTSKSSSAEHDIEAVEEVKSEYPSSELARGRDLGINKLEVSTSSVEPHEQWSNNVLEKLSSDAQRLQSIQVSIEELKRKMGSPSNGKSPMNSEYNTVSTQLLDTEGCVLEQINYNNKLTKRVENYPALSDSMNAEQEGYPSRRKISGQVQKGSENVGRLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKEKRGGAQKKKKRAPFCGCVQSRTET >OGLUM10G10250.1 pep chromosome:ALNU02000000:10:14099523:14100774:-1 gene:OGLUM10G10250 transcript:OGLUM10G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVLFYSSCSVFFLSVSCWDLPPPSVVVRQPFFLVASKQALHSAEQEGRVQTVREGEAGWVEEEEEEERRRLGGSKEATAFALLLLGFHLGVATCQCIFLPFQLIGELEPNIALLHNSSAEEVRS >OGLUM10G10260.1 pep chromosome:ALNU02000000:10:14107938:14108222:1 gene:OGLUM10G10260 transcript:OGLUM10G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPCVEKVPMARREEHATTATTPHRKEARGVVAPRGEEASAAGARYEQEVMARLGGEGSIWRGSDSDISAARRREGDDDNGGSVLGVGGHGST >OGLUM10G10270.1 pep chromosome:ALNU02000000:10:14109477:14112615:1 gene:OGLUM10G10270 transcript:OGLUM10G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQGEYHADMMAEFLRGSGGAAVIDGGLATELEANGADLKDALWSARCLFTCPDLIRKVHLDYLEAGASVLITGSYQATIQGFLSKGFSQEESESFLRRSVELACEARAIYLEKCSNGSDEAKDVTKYRKRPILIAASVGSYGAYLADGSEYSGDYGNEGTLEFLKNFHLRRLQVLAEAGPDVIVFETIPNKIETQAYVELLEECKLRIPAWFGFTSKDGVNVVSGDSLIECASIADSCKEVAAVGINCTPPRFIHELVLSIRKVTSKPILIYPNSGESYDPIRKEWVECSGISNEDFVSYVKKWHEAGASLIGGCCRTSPDTIRGISKTLHGV >OGLUM10G10280.1 pep chromosome:ALNU02000000:10:14113330:14117521:1 gene:OGLUM10G10280 transcript:OGLUM10G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARVIDACSERMGSGRRLLRFLSWCRSKDAGGIGDEALDSAIAALARMGDLTAMRIAVADAEKDGRRMSPETFTVVVEALVKLGKEDEAVRLFRGLERQRLLPRHDAGDGGEGVWSSSLAMVQALCMKGHAREAQGVVWHHKSKLSVEPMVSIVQRSLLHGWCVHGNAKEARRVLDDIKSSCTPLGLPSFNDYLHCLCHRNLKFNPSALVTEAMDVLAEMRSYGVTPDASSLNILLSCLGRARRVKESYRILYLMREGKAGCSPDWVSYYLVVRVLYLTGRIIRGKRLVDDMLESGVLPTAKFFHGLIGVLCGTEKVDHGLDMFRLMKRCQLVDTHTYDLLIEKLCRNGRFENGKELWDDAKKNGFMAVGHVILMDSTQEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKASKQWCATTNKLAQVRQRGRKRTMIG >OGLUM10G10280.2 pep chromosome:ALNU02000000:10:14113165:14117521:1 gene:OGLUM10G10280 transcript:OGLUM10G10280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQEQQQDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDSKLTLKRLNAEARCMTKARKLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGINEEQLIDIATQIGNAVGKLHDGGLVHGDLTTSNMIIKNNTNQLVLIDFGLSFISTIPEDKAVDLYVLERALISMHSSCGDVMEKILTAYRKASKQWCATTNKLAQVRQRGRKRTMIG >OGLUM10G10290.1 pep chromosome:ALNU02000000:10:14123911:14128618:1 gene:OGLUM10G10290 transcript:OGLUM10G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSHDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGGGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGGGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSTVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OGLUM10G10290.2 pep chromosome:ALNU02000000:10:14123911:14128609:1 gene:OGLUM10G10290 transcript:OGLUM10G10290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGAKKSKLSWSKSLVLKWFNIRGKSHDFHGDDAAAAFGRRGGGGEDEWRSSSFSRRESCTVKKSRTERASRRSHERSRRSKIDLDAAEATVTLDYRIFVATWNVGGRAPPGSLSLDDWLRTSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRRTLNSLAGTGGGGGGGGGGGMRTPSPAPDPVVEMDDDFEGSSSRQNNPAAFFHRRSFNAGLSRSLRMDGDILGGGGGAQPRLERRYSVNDRVMYGSRPSDYEANCRWGHPSDDGEIDDGGGESPSTVFSPMSYGYGAPPYMEESNGGAAHSRYCLVASKQMVGLFLMVWARREIKSDIRNLKVSCVGRGLMGYLGNKGSISVSMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPMVYGQYERSPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDRYAGDDMNQKEKRRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >OGLUM10G10300.1 pep chromosome:ALNU02000000:10:14133947:14136273:-1 gene:OGLUM10G10300 transcript:OGLUM10G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTVWKPLVVLFAAMNTSDSSGYFPYYGVPVSEVSPYIRLIRASKRAIKRLNAEVDERAEERDEKRAVSLQSA >OGLUM10G10310.1 pep chromosome:ALNU02000000:10:14136390:14140043:-1 gene:OGLUM10G10310 transcript:OGLUM10G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03100) TAIR;Acc:AT1G03100] MLALCSRPAVAAREPARRLTPVLARTPDSVTPPPPARPSPVRSASPPRAPWQGEGCSLPALACPHWAPAERHPARAPSLQMLRVGRICSRSSTRAVSSFLVGPRHLIGHESPHFLGSKCCWTNRTGAIGFLNTKLNIGSYGPCLSTLTETILVQAHDPSLLALEIENAIDQQRFDDAWRAYEKHIHMDGVPRKSVLSKLITGFAETCDAHWLNQSYNVVNHAFEEKSKLLEKEPLIFLSLALARSSLPNLSINVVRKLIKMEAYPPVAAWSAIVAHMCQTATGAFLAADMVMEIGYLFQNNRVDPRKKSNRPLLLMKPNSLTFNIILTASLLFGTTKKAEQLLELMPRIGLKPDVSSLIVMARIYEQNGHRDEIQKLRRHVNEACGLSESELRQFYDCLLSCHLKFGDLDSAVDMILDMLKKGKNTKRSLEAAKAVLEAVENNKIYLPYEKTKPVNSGSSNKSVCTDSQMLNYISFFKDKSFARLELDARELLKLLSDKLQEQVGLVKSEYGILYPTELMYAKLVKAFLEADKISALASFLVKASKEDSPVSVESSFVVQVINACISLGWLEQAHDLLDEMRFSGIRVGSTIYSSLLKAYCKEGHHEDDIAALLKDAQQAGIQLDPSCYEDLIQSRAHHNNTTGALNLFKELKSLNILKAGQNEFEMLVQGCNNNGAALTTKLVEEVRSGHPVNHAIHDWNNVIHFFCKKRLMHDAHKALGKMKALGHTPNAQTFHSLVTGYAAVGGKYVEVTDLWGEMKVLATSSSMNFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKGKMFIDKYKYKCLWLKYHRTLYKGKAPKVQTEAQLKRREAALHFKRWIGLT >OGLUM10G10320.1 pep chromosome:ALNU02000000:10:14141123:14142626:-1 gene:OGLUM10G10320 transcript:OGLUM10G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRAKPSSSSGGSNKQQHEIAFDHGGGGGGAAAISAPKLLVPSSSGEASPEAVLMSPTSTLQTASATSPCSGRTTGAAATAVPFSRRRRHGGGGVGRGPADGDGQCRTHRPWDATRPVGLGLVGALNDDEDDDDGEVDAAPGDAAASSVLTGQIRLRVQTNYYAPCTEFGVKSGGAAVQYAPPPRRRWMLPREMMEEMSEDYTCVIARGANPRTTHIFDNRVVESSGDGEYFPPELWPPSAAGKGDGDGDGDFLRYCHGCSKDLGLGKDIFMYRGEKAFCSHECRYHEMLFDEGIEEL >OGLUM10G10330.1 pep chromosome:ALNU02000000:10:14155011:14155553:-1 gene:OGLUM10G10330 transcript:OGLUM10G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRGKRRARGVFRLPCATAPPLRRVPLHRPSSSPTAGAAAAPVVLPCRSCSSRGLEERVILRPPGHCLRPRHPTPARLLCLHPLPRCSRSTPPARPDSAPSPPSPFLPWKPSLVESRDWTQRFFQGLGVGAPLPAPAELHGTYSALVRGVLSSSTVSASASPCISCTLRRSPSPSPPS >OGLUM10G10340.1 pep chromosome:ALNU02000000:10:14159969:14160286:1 gene:OGLUM10G10340 transcript:OGLUM10G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEAAKCECCGLREDCTVEYIAGVKADFGGRWLCGLCSEAVRDEVAKKGGGGGGGGRQLEDAVRDHMSFCGKFCRKNPAFRVADGMRQMLRRRSSDISAPSGAS >OGLUM10G10350.1 pep chromosome:ALNU02000000:10:14164800:14165375:-1 gene:OGLUM10G10350 transcript:OGLUM10G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVISQQRNHHNQHSGGRGKMTGPHFSSPPSSHGFRGMNCRSFHSGVCAGLLPSPPPPPARTYSSPEPKTPKQQQQLQRRGGKRSRPISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLRQNRSISLELPLFERSDEVEVKPHAKSAPSSPVGGSGFDFFNDNETAVATENLRRILHLDISDH >OGLUM10G10360.1 pep chromosome:ALNU02000000:10:14169527:14184560:1 gene:OGLUM10G10360 transcript:OGLUM10G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRFAVSDIGFGYHQFIKRELLEKSGHVRDDGFAIRCDVTVVTELRTDAAVPPPDLRRHLGGLLESGDGADVTFHVAGEEVRAHRYILAARSPVFKAELFGQMKESSSSNTVVNVDDMEAEVFRALLVFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEEKLVEYIDRGSAVMLMALAEQHHCHGLKEACFRFLESKETLSALPVSCQGAAFQDDGGGARPPPYSSSSAIVGGTVKGHHILKIEGYSYIKEKLPAGKFIKSRTFKVDDHLWCLLFYPNGSRASPPGFVAVYLKLVVAGGKQPVRARATFGLLDRLGNPVMSCKLDAGMHGFTVSETGFGYHEFIGAEVLEKLGYVRDDSFTIRCDVAVVGALRVEDRTAPVVAVEVPPPELRRHLGGLLESMEGADVTFHVAGEEVPAHRSVLAARSPVFRAELFGAMKESVSGGSNAVVEVDDMEADVFRALLAFVYTDELPETETKQQVVMAQHLLVAADRYGMQRLMRLCEEKLCGRVELGSAATLMALAEQHHCRGLKEACLRFIDSTATMVAVMASDGFEHLIKSCPSLVKELIPTESAIVGSTVTGHHLLHIDGYSHTKDRLPNGCYMDSRPFTVGGHLWRIGYYPNGDVADASAYMAVYLTIDENVIVAVKAFAKFSLFFNGEPTPPAFVHTTEPFVFSRKGIGYGFSKYAERELMEGSIVDDKFTIRCDVGVSTELRAEDRPPSDFAAVVPPSDLHRHLGDLLDSKHGADVTFQVGGEAFRAHRYVLAARSPVFRAELFGAMREATAAAAASSSDSEAIRVDDMEAPVFSALLRFVYTDALPAPGGADDGQAAGGGSDSEEAAMAQHLLVAADRYDLKRLKLLCEDKLRRHIDAASAASMLALAEQHHCRGLKEACLVFLSSPANLHAAMGSDGFEHLSRSCPGVIKELISKLVPRCD >OGLUM10G10370.1 pep chromosome:ALNU02000000:10:14175424:14176345:-1 gene:OGLUM10G10370 transcript:OGLUM10G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSAATGGSELVWPPPPYSSASAIVGGTVTGHHILQIDGYSYTKEKLPHGKLKYSRMFNVGDHQWHLRYYPNGQGSANADYISVYLGLDAAAAGHAKEQPVKARATFSLLDRAGKPVPSYTLDAGMHDFAFIKRELLEKSGHVRDDGFAIRCDVTVVVEFRTEDRTPPLVEVPPPDLHRHLGGLLESGDGADVTFHVAGEEVPAHRYILAARSPVFKAELFGQMKESSSSNTIVKVDDMEAEVFRALLAFIYTDALPETKTKANQEDELVIAQHLLVAADRYGMERLKLLCEE >OGLUM10G10380.1 pep chromosome:ALNU02000000:10:14180235:14181399:-1 gene:OGLUM10G10380 transcript:OGLUM10G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPEPSTSASAIVSGTVTGHHVLTIDGYSRTKAKLPTGRFTASRPFTVGGHSWSIHYYPSGDRSDTAGFISVFLELNPAAAAAAAAAGGSEPVDARVTFSLLDQAGRSVPSHTMATDLHDFTATGREVSEHLKNDRFAIRCDVVVFSDELRAEARTADAAALSVAVPPSDLSQHLGGLLAAKELGADVTFLVAGETFTAHRCVLAARSPVFRAELFGPMKESAATAVITVDDIEPDVFRNLLTFMYTDTLPETNPQELEEEEDDDDDDYEDDQAQAAAMVEHLLIAADRYNLERLKLICEDRLCKHIDGESVATILALAEQHSCDGLKEACFQFLSSRSALNSLVATDGIEHLARWCPSVLNQLMSKVAALVPVDFVVRETR >OGLUM10G10390.1 pep chromosome:ALNU02000000:10:14195023:14197316:-1 gene:OGLUM10G10390 transcript:OGLUM10G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYAKLVANYPRSGVARGCQANPGTPGGFATGSADGEVAGTSHFDRKLQCDEGKGPPLYWNGAGELIKYSGICAGKIDPVTAWAARDYLVNSMEGHGDLLEQHGTIEEAANMKYNKCENAVGMVGPIS >OGLUM10G10400.1 pep chromosome:ALNU02000000:10:14198372:14214038:1 gene:OGLUM10G10400 transcript:OGLUM10G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPTTAPLSASSAIVAGAVNGHHVLRIDGYSHTKNTVRNGQHVRSCMFRAAGRSWIVYYYPNGYTAESADFISLYAELQDGVLTTAQFTRLLRRGVQHVGGERFIRRDQLEQSEYVRDDRLAIRFDVAVMDKLRTTEEIAGGGGGAVPPSEMSRQFADLLASGDGADVEFRVGGETVAAHRAVLAARSRVFRAELFGPMKEGVAANGTIQVDDMDAEVFRSLLHFVYTDSLPPEMGTPREGAAMAQHLIVAADRLKLICEERLCEHIGVATAATTLELAERHHCHGLKRACMEFLSSPTNLKAVMETDGFEQLSCPAVLKELMAKALALLRFIYTDAPPELDEEDDDFSMAWLLVAADRYNVERLKMICENELCKRIDGNNFEATLALAEQHHCSCPWFNLSFSAFVSEGADIPKFRKFRKFRSHPRSDAAPMTSGKVGSNAGARMELGIHYSGMRKQEEDGTTEEYKNPFVDMSPTMPMTMTADEPTTASAIVAGVATGHHVLRIDGYSRTKNVVPNGQFITSRSFRAAGHSWHVFYYPNGFDDESIEYISLYLLLEDAATATTATTTTVQFTVTLLDKDGRQVPSQKANSGVFTYSSEIQKYGFTQFISRDELEQSEHLDGDRFALRFDITVVGKFRAEEIAGPVGAPYVAVPPSDMRRHFGDLLASGDGADVEFRVRGAGGEEETVAAHRVVLAARSPVFKAELLAGVPAKDGGGAVIQIDDMDAEVFRSLLHYMYTDSLPPEKGTTREEAAMAQNMIVAADRYSMETLKLMCEDRLRKHIGASSVATMLTFADRHHCHGLRAACTEFLSSPTNLKAAMATDGFGQLSCPTC >OGLUM10G10410.1 pep chromosome:ALNU02000000:10:14214605:14215697:1 gene:OGLUM10G10410 transcript:OGLUM10G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSSTGNNNGGIPSRSSSSSSAIVVSKVSGCHFLNIDGYSHTKEMLSHGHCSRSCTFRVGTHSWYLEYYPNGRSFLHNASDHMAICLVRDDDGDAGDGGAYEQMTARFHLLDHHAGKPVPGHTRGYPLPFY >OGLUM10G10420.1 pep chromosome:ALNU02000000:10:14217090:14217489:1 gene:OGLUM10G10420 transcript:OGLUM10G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVASMSPTAGASPDASPGPGSESESGVPNIGTEDPWQNAVQIPEDNDSQGHYVRNCPWKVFARSPEKDKKKCPGSNQAPSQSTDQKDGTLQPNSGANRTVKWKMDNGRTMIIT >OGLUM10G10430.1 pep chromosome:ALNU02000000:10:14223446:14226167:1 gene:OGLUM10G10430 transcript:OGLUM10G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMRDAAGGMYAPKRRSELFGATATSKAGSGGLVHVVDDGIGARAFEALLRFIYTDAPPELDEEDDDASSMARLLLGAADRYNVERLKMICENELCKRIDVNTVATTLALAEQHHCSSLKKACMDLVDANPRAVEAAGGFEYLSNKCPSILRELIARLADFDLKNDG >OGLUM10G10440.1 pep chromosome:ALNU02000000:10:14230749:14231246:1 gene:OGLUM10G10440 transcript:OGLUM10G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAAAPDLQSQMSALFLGADVTLQVGGGETETTTFVAHRCVLAARSSVFRSELFGATATSKAGSGGQVHVVDDGIDARAFEALLRFIYTDAPPELDEEDDDASSMARDLLVAADRYNVERLKMTCQNELCKRIDANTIDPTMALAEKHHCSSLKKACVDLVFS >OGLUM10G10450.1 pep chromosome:ALNU02000000:10:14232380:14238988:1 gene:OGLUM10G10450 transcript:OGLUM10G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEISNPAGMSSSTAGVPSRSSSAIMVSTVRGYHLLKIDGYSRTKTVLSFGEYTRSRSFRATIHGTWIRYYPNGSSNVVMYAAAASPSLSSSNLQITATLRRRWERSGSAFLIAPAILIMKEPSAVADPPAVVDVAMPLPELNRDMEAALLQSEEGADVTFEVGGESFAAHRCVLAARSSVFRAELFGAMKESTAGGGKARVDGVEARAFRALLHFIFTDAVPELDGKDQETSSMAQHLLVAADRYNLERLKLICEDKLCKRIDVSSAATLALAEQHRCPSLKKACMDFLYSPGNLKAVEATDGFEHLATSCPIVEYSRTKAVPNGCSMKYPAFTAAGRTWHVGYFPNGVIGAEEEADYVAFFLYLNDNDAAEEAVKAQAIFSLLDIEGNSSYTFTTVLVNFSEEKYWGYKNFIKRESLENPLYLKDDCFSIRIDLAVTPPLTVVVPPSDMHRHYGRLLISKEAADVEFQVGKKVFDAHRLVLAARSPVFKAELYGRMKESTTKSAIAIDDMEEEVFEAMLTFIYTDSLPKMKRRDEAAMAQHLLVAADRYNQERLKLICEDKLSKNIDTGSIANILLLAEKHSCHALKEACFEFLRTSRSLNAVMETDEFEYLIDTCPGVIKELINNGIPSRSSSSAIVVSKVSGCHVLKIDGYSHTKEMLSQGDCSRSCTFRVGTHSWYLEYYPNGRSLHNASDHIAICLVRDDDDSGDLGYGGAAREQMTARFHLLDHHAGKPVPGHTRGVTKRKNRKKK >OGLUM10G10460.1 pep chromosome:ALNU02000000:10:14238863:14239127:-1 gene:OGLUM10G10460 transcript:OGLUM10G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERWAGWSPSPPPAGRGRGPAVGFGGGRRGCREATGRVSRRKTRGRKVVLDSFSAESMSCDADRTARQF >OGLUM10G10470.1 pep chromosome:ALNU02000000:10:14239142:14259092:1 gene:OGLUM10G10470 transcript:OGLUM10G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDDTASKGVEAKAIFSLLDMEGNPVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHAEETLLIVVPPSDMHRQFGDLLLSKQGVDVEFQVGKKKFDAHRLVLAARSPVFRAQFYGRMRESTTKPDRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVVETDGFRYLIGSCPVKEINTHQQYITMAPRSICPLLFVSFALPSCAVLLINQPALSASSIVSDKVRAHHHLKIDGYSRIKDDLPNGECVSSCPFAVGGHLWRIDLYPNGKDAGRQIMLSGGDTFIWETNCMSFYLVLVDEHVPKPVKAQFEFSFKKPKPPPTRSLFGKSKPPPLASAVRSFDCHGSCGGKATEVSKLTVERQIRDDSFTIWCDIAVLNEFRAEGATAASSSSVAAAAAAAASPSYVSVPPSDLHRHLGDLLASGDGADVTLEAGGETFKAHRSVLAARSSVLKAELLGPMARSTTAATPTRINDIEAPVFRAMLHFIYTDHLPETARNEEEEEEEEEEAAAAMAQHLLEAADRFNLERLKLVCEDKLCRGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVVSMVNGCFLFRINDYSRKAGNVVESTLFSAGGYSWRIIYSPSCGGPIFFALVLDLGYGGGCGSPIRARCKLTLLDKAGKPAVPSRTRASPVLDWSVDMEWSCSDLVTPEELLQRRRAELLGDRDRLAVRCDIVFTDVLGGAAAAARPLPPSDLHQHLGKLLSEKVGADVTFQVAGGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEAEVFSSLLNFIYTESLDDDGDGDDDDGVMAQHQLAPADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEDTNNAFHFRMPPAWWPAAAFAGRGDPPRSSTSTIVADAASGSHCLKIDGFSRTKGLPAGERLQSIPFTVGGHRWRLNLQPNGNAAEGHASLYLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVKRMKLKHAPFTPRVSTCNFASRAAWGFSKFLKWADLENQGYLEYDCFVIKCDVVVINEFRTVGGTTRAAATPAAPSFVSVPPSDLCQQLGVLLDTEKGADVVFMSAARPSRRIGVCSRHGNRSSARSSSVQ >OGLUM10G10470.2 pep chromosome:ALNU02000000:10:14245538:14259092:1 gene:OGLUM10G10470 transcript:OGLUM10G10470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHLLVAADRYNLERMKLICEHNLSKHIDTDSVVNILVLAEQHSCHMLKEACLKFLRSSRSLKAVMETDGFGHLISSCPGLIKDIMSKLSPFKEINTHQQYITMAPRSICPLLFVSFALPSCAVLLINQPALSASSIVSDKVRAHHHLKIDGYSRIKDDLPNGECVSSCPFAVGGHLWRIDLYPNGKDAGRQIMLSGGDTFIWETNCMSFYLVLVDEHVPKPVKAQFEFSFKKPKPPPTRSLFGKSKPPPLASAVRSFDCHGSCGGKATEVSKLTVERQIRDDSFTIWCDIAVLNEFRAEGATAASSSSVAAAAAAAASPSYVSVPPSDLHRHLGDLLASGDGADVTLEAGGETFKAHRSVLAARSSVLKAELLGPMARSTTAATPTRINDIEAPVFRAMLHFIYTDHLPETARNEEEEEEEEEEAAAAMAQHLLEAADRFNLERLKLVCEDKLCRGIGTATVATTLALAEQHGCHGLKEACVEFLRIPGNLSSAMATDGFEHLTTSCPAILKELMSKLAVVSMVNGCFLFRINDYSRKAGNVVESTLFSAGGYSWRIIYSPSCGGPIFFALVLDLGYGGGCGSPIRARCKLTLLDKAGKPAVPSRTRASPVLDWSVDMEWSCSDLVTPEELLQRRRAELLGDRDRLAVRCDIVFTDVLGGAAAAARPLPPSDLHQHLGKLLSEKVGADVTFQVAGGETFAAHRCVLAARSPVFRAQLFGPMKEGSTDSGVIAIDDMEAEVFSSLLNFIYTESLDDDGDGDDDDGVMAQHQLAPADRYGLDRMKLVCEEKLRRHIDGSSVGSLLVLAERHHCRGLKEACFDFLSSGVKLEDTNNAFHFRMPPAWWPAAAFAGRGDPPRSSTSTIVADAASGSHCLKIDGFSRTKGLPAGERLQSIPFTVGGHRWRLNLQPNGNAAEGHASLYLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVKRMKLKHAPFTPRVSTCNFASRAAWGFSKFLKWADLENQGYLEYDCFVIKCDVVVINEFRTVGGTTRAAATPAAPSFVSVPPSDLCQQLGVLLDTEKGADVVFMSAARPSRRIGVCSRHGNRSSARSSSVQ >OGLUM10G10470.3 pep chromosome:ALNU02000000:10:14239142:14246384:1 gene:OGLUM10G10470 transcript:OGLUM10G10470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDDTASKGVEAKAIFSLLDMEGNPVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHAEETLLIVVPPSDMHRQFGDLLLSKQGVDVEFQVGKKKFDAHRLVLAARSPVFRAQFYGRMRESTTKPDRYNLERMKLICEDKLSKHIDAGSVANILALAEQHSCHTLKEACLEFLRSSRSLKAVVETDGFRNPQNPLAVASLLSSRRRRDTPWSPLADHHPPPRAMTASSLAAAASDGASSSSGSASAIVAGTVNGHHVLKIVGYSLTKAVPSGKSIRSRPFRAGGHTWHVLYYPNGNRAEKADFVAFYLCLDDAETCSEAVEAKAIFSLLDMEGNPVSSYRFTTRVVNFMEHKKGWGFDFMKRESLEESEYLKDDCFKIRIDVVVITDFRTEEETPLIVVPPSDMRRQFGDLLLSKQGADRGFPASSTGSFPNIRVGKKKFDAHRSVLAARSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEMKQQDEA >OGLUM10G10470.4 pep chromosome:ALNU02000000:10:14239142:14246384:1 gene:OGLUM10G10470 transcript:OGLUM10G10470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSRASASASDGASSSAIVAGTVNGYHVLKIVGYSLTKAVPNGKSIKSRPFRAGGHTWHVAYYPNGQNAEKAEYMAFFLCLDDTASKGVEAKAIFSLLDMEGNPVSSHSFTTRVVNFSEERSWGYSEFMKRGSLEKSEYLKDDCFKIRIDVSVIADFHAEETLLIVVPPSDMHRQFGDLLLSKQGVDVEFQVGKKKFDAHRSVLAARSPVFKAQLYGRMRESTTRGAIRIDDMEEEVFRAMLTFVYTDDLPEMKQQDEA >OGLUM10G10480.1 pep chromosome:ALNU02000000:10:14259412:14270358:1 gene:OGLUM10G10480 transcript:OGLUM10G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAFVHLTRRMVLQLTTGRFMVRSISVSSPAAMEVSRVSECFVLTIAGYSRTKEAGYVLSTAFIAGGYSLRFTIKYFPICVSFMLLQVQDATDVTIPSVHARCKFTLLDHAGRPVPSHARDSPVLDWSVDRRIWCYSDLVMEEELKRRRPDLLGDDDCLAVRCDFVFVFPDVLGGAARPLPPSDLHQHLGKLLTEKEGADVTFQVANGETFAAHRCVLAARSPVFRAQLFSPMNEGSTASGVIAIDDMEAEVFSSLLNFIYTSSLLNFIYTDSHDDDGDDDGVMAQHLLAAAVRYGLDRMKLVCEEKLQKHIDGSSVGSILALADQHSIAMSSRRRASTSSAPAAALNCKPSRSISASSPAVMEVSMVSGCFVMIKMAGYSRTREEHRSLDSPPFSVGGYSWRIIYHTITLFYPGRMSFALVLLDRIDGPMTMNARCKFTLLDRAGNPVPVPSHARDSPILDWSVDSIWCCSDLVMEEELERRRSELLGNDDCLAFRCDIVFMDVLGGAAAAAVAARPLPPSDLHQHLGKLLSEKEGADVTFQMVAGGETFAAHRCVLAARSPVFRAQLFGPMKEGSTASGVIAIDDMEAEVFSSLLTFIYTDSLPPDAAADGVMVQHLLAAADRYGLDRMKLVCEEKLRKHIDGSSVGSVLSLAYRHNCDDLKEACFDFLSSGAKLREFAGTDAFEELIGSSPAVVKELFAKPSSRTASSIAPTAGRGSHCLKIDGFARTRGLPAGEHLRSGPFIHRGRPPLVLLRQAQRRRDRCGRRSSSSRRGSSTQAPVFCSLYYNIERPRLICEENLGEYIDVVTVVTCWC >OGLUM10G10490.1 pep chromosome:ALNU02000000:10:14280731:14284034:-1 gene:OGLUM10G10490 transcript:OGLUM10G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCAMSPARSGTTAGGGGGPPTPSSSRSASTIVAGTASGYHLLKIDDYSRTRDLFPTSTALKSRAFTIGGHRWRIQYYPNGNTPNCGDYISLFLHLDEEVTREVYALLQFRLLDDELGDKLPPPPPSLDANKFFSHASWGLPKFIKKEELEKSRHLKGNSFTVRCDVVVITEFVAKDMPEATATAARRRTPAKGTGSFVSVPPSDLHRHLGELLLGEKGADVVFKVGGKTFTAHRCVLAARSPVFGAELLGSMKESRRKAVVRVDDMEAQVFKALLRFAYTDSLPEMKEKDEGAMCQHLLVAADRYAMERLKLVCEEKLCERIDVSSVATILALAEQHHCDGLRNACFDFLSSPENLKAAMAGDGFEHLSRSCPSLMTELVAMLAAAATSDGPTLPPVMNHGALSPTTAAAAVSASAIVANTSRGYHYLKIDGYSHTKATPTGEALFSCQFTIGGHRWRICYYPNGNVLEAADYISMFLVLDEIVVRNVKAQFQIRFAGQVEKQPSLAWKTVRAFNKQTSSSSSWGYPKFIRREDLEKSEYLRDDSFTIRCDIIVVDNYRAEDASSAAAGFVSVPPSNLHSHLGDLLKNEKGTDVVFEVAGQRFTAHRCVLATRSPVFNAELFGMMMESDTTTNDVIQIGDMAAPVFKALLHFVYTDSLPETMEEREDTMCEHLLVAADRYNLERLKLICEERLCKYIGIGTVMDILALADRHHCKGLKKACFDFLRSPANLSAVTGSESFEHLSRSFPSLMKELVDILGTSHNYAC >OGLUM10G10500.1 pep chromosome:ALNU02000000:10:14284413:14285545:1 gene:OGLUM10G10500 transcript:OGLUM10G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSRGTASAIVADAVTGYHLLKIDGYSRTKGTPNGAALTSDQFVVGGHRWRIRYYPNGDIAMFADYISFHLMLDENATSTKGVKVKAQFQICFADQVETQPLLSSRPVRTFGDECLTWGHVKLIKRDEFEKSDDLRDDSFTIRCDIAVVGEIRTERTTEIAAETFVTVPPSDLNQKLGKLLDTEKGADVVFESPVFSAEHFGSMKESDRAGVVCIDDMEAQVFKALLRFMYTDSLPEMEEEEEEGTMCLHLLVAADRYNLERLKLICEDRLCKHVGVGTVVNILTLAGQHHCDVEEEERRPVLGPDAELELRRDRLGDVLVEEEVEGGVPLGGVAVGLEVEAPAVASDGERDGEW >OGLUM10G10510.1 pep chromosome:ALNU02000000:10:14285145:14287887:-1 gene:OGLUM10G10510 transcript:OGLUM10G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRWRLNLQPNGNAAEGHASLYLLLDEDVAKPVTAQFEFSIGAENRPSFFLLHVAVVLTSQREDVHHRANADVLAQPVLADQLEPLQVVPIRRDEQMQAHGAFLLLLLHLRQRVATP >OGLUM10G10520.1 pep chromosome:ALNU02000000:10:14292682:14294521:1 gene:OGLUM10G10520 transcript:OGLUM10G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVGGGLSVVEHRDITCRLVGSMWRVRLAAPSAGIEEVRVSLIEDGEGGVDGELGEGPNNACGEQVEGIDCRGWVDAGGEEGIYGGGREEGVVGGDKAGGTISKRETAEECGGPVEDKAHVGVIGVASLERLEVGNDGRGWEGGGEKGGGESLAMASLERERSVLGAALRRETSAAMKMLNNGHRVLGGGGRHHELRGEERHRTYFHERRPDVNDGGGHPTVHCGGTL >OGLUM10G10530.1 pep chromosome:ALNU02000000:10:14295614:14296684:1 gene:OGLUM10G10530 transcript:OGLUM10G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAGNSSRSASTSTIVADTATGYHLLKIDGYSRTKGTPISTAIASSQFVVGGHRWRIYYYPNGDHTDNADYMSFYLLLDEKKNTKTKSVKVRTLFQICFADQVKALPTLTSKTVRTFGDGSSWSWGYSKFIKRQDFEKSKNLRDDSFTIRCDTAIVREFLVETTEVLPPKSFVSVPPSDMNLQLGELLETGKGADVVFEVAGERFAAHRCVLAARSPVFGAELYGLMKEGNAAVVVRVEDMEARVFKLLLRFVYTDSLPEMKKKDEGIMCQHLLVAADRYNLERLKLICEEKLCNHISTGTASNMLLLADQHHCTGLQKACCNFLGSSANLSPVSRGCLSVMKQLVLGCWCLH >OGLUM10G10540.1 pep chromosome:ALNU02000000:10:14300434:14301000:-1 gene:OGLUM10G10540 transcript:OGLUM10G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRRWRPRRPARGSCHESPPRMGVGAVDLADARSQAVDPAAGWARDYGGLATATAATTGHWRRIRPRDGHGGPRSVDGGRGGDGDGDGCGSGGGIVRDRRRPLSLPGSPPSGRREAAAVASVAATAASVAATVARSPAMTTC >OGLUM10G10550.1 pep chromosome:ALNU02000000:10:14309265:14314525:1 gene:OGLUM10G10550 transcript:OGLUM10G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAVGRGNPPSRSASSSTIVAETATGYHLLKINGYSLTKATTPTGSFLPSSPFTVGGHRWSIKYYPNGDDVETADYISFFLVLEEEETNMGLTVQAKFKFSFANQVKKQPSLKYRPIKTFNLEDSCGWGYVEFIKRVNFEKSDDLRDDSFTIRCDIVVVREIRTEETTEILPVESFVPVPPSDMNQQFGDLLETEKGADVVFEVGGQTFAAHRCVLAARSPVFRAALYGSMKEGDTDGVVHIEDMEAKVFKLLLRFVYTDSLPEMETEEDVICQHLLVTADRYDLHRLKLICENRLCKYISVSTVSNILALADQHHCDGLKKACFSFLGSPANLSAVVASDGFKHLSRSCPSLMEELRRGEQFPAMPPAARRLSPPRSDSASTIVAGTASGSHLLKIDGYSLTKGTPNGSFLISSQFTVGGHRWRIRYYPNGFSASSADFISLFLVLDEKVKVQAKSDFQISYTGQVDEPPWLATMKADTFDGAGFRSFGYEKFVRRCDFEKLIRDDSFTIRCDIVVINEIRAEESTEITTTAAVVTVPPSDLNQQLGDLLESEKGADVVFEVGGQTFAAHRCVLAARSPVFKAELYGLMKEGDTAGVVHIEDIEPRVFKVLLRFMYTDSLPEMEEEDVMCQHLLVAADRYNLERLKLICEEKLCRHISVGTVWNILPLADQHHCDGLKKACFDFLGSLANLSAVVASDGFKHLCRSCPSLMEELVVTLALPGSHA >OGLUM10G10560.1 pep chromosome:ALNU02000000:10:14314552:14334504:1 gene:OGLUM10G10560 transcript:OGLUM10G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAEAKPASLPFRTMSLAACRGDPPSRSAIVADTATGYHLLSIHGYSRTKGTPTGSPLKSSRFTVAGHRWRIHYYPNADRADSADHISMYLFLDEKSNARSVKALFQIRFADQVKAQPSLALHAVRTFGDSSWSWGYAKFVRREVLEKSKDLRDDSFTIRCDIVVVREFVAEEATEILPAGSFVSVPPSEMNRHFGDLLETEKGADVVFEVAGERFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRIEDMEAQVFKMLLRFVYTDSLPEMEAEEHIMCQHLIVAADRYDLQRLKLICEKKLCKYIGVSTVSNILALADQHHCDGLKKACFSFLGSPANLSAFVADDGLDHLSRSCPSLMKELPTNVPSLPTMSPAARRGNPSRSASAIVADTATGYHLLKVDGYSLTKATPTGSSLTSTQFTVGGHRWRIKYYPNGDSADSADYISIYLLLDEKASLDLKVEAKYLISFADQVKTQPSMKYRTVRTYSKHVPSFPSRLASPFLPPKPAAKVKPYLQQQRASGEQCPAMSPAGNPSRSASASTILADTATGYHLLKINGYSLTKGTPTGSFLTSSRFTVGGHRWRIEYYPNGASVDAADYISIYLVLDEKSNADFSVQAKYQISFANQVKMQPSLKYIMVRTFIRGCSWTWGYKKFIKREDFEKSDDLRDDSFTIRCDILVLRKIRAEETTEILPAAESFVSVPPSDMNQQFGDLLETEKGADVGGQTFAAHRCVLAARSPVFRAELYGLMKEGDTAGVVRVEEMEAQVFKVLLRFLYTDSLPEMKEEDVMCQHLLVAADRYNLERLKLICEEKLCKYISVGTVSNILALADQHRCDGLKKACFNFLGSPANLSAVVAGDGFKHLSKICPSLMEELLLKLSYMPFYPLPRRAVSPAMSPAACRGNPSRSASASAVVVDTATGYHLLKIEGYSLTKGIPTSLSLKSSQFTVGGYRWRIDYFPNGDCADSADYISLFLSLDERANKDVKVRASWRFLIGYTGHVDKPLSLATAKVCTTFGVGPDGSWSWGYDRFIRREDFEKSDNLRDDSFTIRCDIAVVRRFRAEETTEILPVETFVSVPPSDMNQQFGDLLETEKGADVVFEVGGETFAAHRCVLAARSPVFRAELYSSMKEGDTAGVVRIEDMEAQVFKLLLRFVYTDSLPEIENGDEDVMCQHLLVAADRYNLERLKLICEEKLCSYISVDAVSNILALADQHHCDGLKKACFHFLASPANLNAVIASDGLKHLSRSFPSLMEELVAMLAPLLSHALCPAMPSSANGHGNSSTRSTSAIVVDRVTGHHLFKIDGYSFTKETPTGTAIASGEFTVGGYRWRIEYYPNGRGKKSADYISLYLSLDKNTNGKVKVKVKYQFDLADRVKKQLSLISKPVRTFVVPILGPGFMKRRKFEKSKYLRDDCFTIRCDIVVMREIRPEEATRMSVPPSDLKQQLGDLLETGKGADVVFEVGGETFAAHRYVLAARSPVFNAEFFGSMKESDAVAGGVVRIEEMEARVFKLLLRFVYTDSLPKMKEEDIMCQHLLVAADRYNLKRLKLICEKKLCKYISVGTVANILALADQHYCDGLKKACFNFLGSSVNPPVASII >OGLUM10G10570.1 pep chromosome:ALNU02000000:10:14360434:14370318:1 gene:OGLUM10G10570 transcript:OGLUM10G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVAGHGNSSRSTSAIVVDKANGYHLLKINGYSLTKGTSNGKPLLAHILLPQREPRRVRQSHVPFPMARREIHQGCEAASGQARLKFRINGGGQMDNPPNSLAMSEVKTFCEGCMSWGHSNFIEREDFEKSKNLRDDSFTVRCDIVVVCKIRVEETTEIAFPGEALIMPVPPSNMNQQLGDLLETGKGADVVFEVAGQTFAARSPVFSVELYGPMKESEPTGVVRVQDMEAQVFKALLRFMYTDSLPKMEEEEGVMCQHLLVAADRYNLERLKLICEEKLCKQYVSVHTSPSSSAITSGATSGYYLLVVEGYSRTKDTVPNGDFIRSRPFRVGGYRWVIDYYPNGESSDDADSISVSLQLDQDSERPFMAHYEFSFIDETERQKSTHICSEALFDFSDDNRWGYINFIRREELEKSKHLKDDCFTIRCDIILKKDGSNTTGDDVAAPLVAVPPSDMHRQFTDLLLTKVGADVTFQVGGETFAAHRCVLAARSTVFMAELFGPMKEGATTASVIIHISEMVPEAFKAMLAFIYNDTPPPETEEDEDGKVAMWQHLLVAADRYDLPRLKLICEEKLCGHIGVGTATTILLLADKHHCRGLKEACLEFLSSPANLEEVMEHGGLEDVVGTCPASAARASYLAGSAMASTAGGCKHSRSASAIVAGAASGYHLLKIDGYSRIKGLPTGEALKSCAFTVGGYRWRIHCYPNGSKPDYSNFISLFLHLDDGQFTKQVKAQYLFRFLDELDDKPPPSLTSEQVRVFGSGGWGETMFIKREALEKSEHLKKDSFTVRCDIIVTTGFRAEEETAEAQRPRKANFVSVPPSDLQRHLGDLLHNEKGADVVFEAGGETFAAHRCVLAARSPVFSAELFGSMKESDAAGVVRIDDMEAQVFKALLRFVYTDSLPETEEEEQDTMAQHLLVAADRYAMERLKLICEDMLCKYIDVGTVTTILTLAEQHHCEGLKKACFDFLSSAVNLKAVAAGDGIEDLSKSCPSLMKELIAMLGCHETRGNPSMRP >OGLUM10G10570.2 pep chromosome:ALNU02000000:10:14370096:14380690:1 gene:OGLUM10G10570 transcript:OGLUM10G10570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGEDEHGLHRLLAYTTPCSSPDNNGAAAGSTYGYHLLVISNYSHTKETISTGDSIESGQFMLGGHTWHAEYCPNGDDSTNSDCVSFWLVRDDDDDDDDDGNDAVKVQPLKVKFEFSFAEQAAKHEARRVLVSMACDFSGTSGWCDTRFVRREVLEWSRYLVDDCFTVRCDIVILAGAGAAPPPSSSLFGAVESFGRLLGREEGADVTFEVGGETFAAHRCVLAARSKVFEAELFGPMREGTARRASCDMDVTFEVFRGLLSFIYTDVLPDQGDLGDEAHEWHDDDDDDEREEEIATWLQKLTVAADRYDLQRLKLLCEEEMYDYISERTVESMLILAEHHHCRVLKDACLDFLSSHGNLRKVMEPDGGYGLDHVIENFPSLTKELIGKFAIVMSNISYSSNVSKVRRRSLVEREVGDTMGASASSSAGRSKAPPPPPCSSSGVAVSTSRGYHLFEIRNHSRIKSMTPLGRCVSSSVFMAGGFHKWYLRYFPNGETAEAADYAAVYLDYVIDSYPPKSVTAYFLLRLVDKVSNDLMDPPPDDDVRAAAVHEFSAGQNSWGYYAFWKKDELESSGRIVDDVLTIRCDVGVVGRYATAKTDAAAAAASVASSVHVPPADLGHQLGALRSRAVGADVTFQVGAGAGARRFGAHRCVLAARSPVLEAELYGPMVERDAGRVIRIDDMDPQVFDALLEFMYTDALPGMRKRDAVAMSQQLLVAADRYDLKRLRLLCEHELCKHVNKGTVASMLALVEQQRPSCQGLKKACFEYLRKTPKVLREIMATEAFDHLVNELLLSNKLAIRE >OGLUM10G10580.1 pep chromosome:ALNU02000000:10:14362281:14363473:-1 gene:OGLUM10G10580 transcript:OGLUM10G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCGVSFVGGGGGGGSAPAAVTAGDVATGFHLLVNTPVGECIESRRFTVGGYRWFIEYYPNGKSSSVSNCISVYLVLDDDGVAEPVQAQYQFRLVNQLEKEQLPSIPEVMNYTYFSNSYPSWGRLIRKDVLEQSKFFWDDNFTIRCSVIVAKKLRSKNQESIVVPPSDIRRDFGDLLRTEDGADVTFQVAGELIAGHRCVLAARSSVFKAQILGETKDGSQKADASFILVEDMEPQVFKSLLTFIYTDSLPELEDEEETESDRDEEEDQESEADGVHGEDDDDDDDDDDNGGDEMWSPLLVAADRYDLQRLKLICAKKLCERIDASTVADTLGLAEKHHCRLLKEACFEFLKAPANLKFVLASDGLDHITATCPSVLKELLAKYAS >OGLUM10G10590.1 pep chromosome:ALNU02000000:10:14370167:14371366:-1 gene:OGLUM10G10590 transcript:OGLUM10G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAGVSFICDGVHVCSSPANGAAGSAAYGYHLLVINNYTRTKQAIPNGFRIKSGKFKLGGHTWHIEYCPNGDRSTISGYVSFHLVLDCDGGDGAVAAEPVNPKFEFSFADQVAKHQATRLRATKVCEFSRDCSAWHVGRFVRREALERSRYLVDDCFTVRCDIMVVHAGAGAGGAAAATAAPSMAGAVESFGRLLDTKLGADMAFEVGGETFAAHRCVLAARSKVFDAELFGPMKEGTAASVVRIDGMDADLFRGLLSFIYTDELPEREDHGGEKETSSDDDDDDDDNGAQSDQKHKQFTWLQQLIVAADRYDLQRLKLLCEEEMYDHIGEKTVEAMLILADHHHCRVLKDACLGFLGSRGNLQKMMAADGLDRVIKNFPSLTKEIIGRFAVVMANKS >OGLUM10G10610.1 pep chromosome:ALNU02000000:10:14399949:14400503:-1 gene:OGLUM10G10610 transcript:OGLUM10G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPRGGTSKGKQKIEMCCIEGKEKQQVTCSKRRRGLFKKASELSRTSGASIAIVSFSKAGNVFAFGAPSVDAVLRRHAVAGPPTSTAHGHAGGDVFTGDEDPEVLNALKRATDEATAEVAAEDARLSGVEGKIARAMAAERRFWWEANVEALGEAELPVFDRALQKLRGAVVRRRLAAATRSS >OGLUM10G10620.1 pep chromosome:ALNU02000000:10:14407064:14411659:-1 gene:OGLUM10G10620 transcript:OGLUM10G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTAASAAAAAGKEQQAVGLAARDSSGHLSPLAISRRSTGDGDVAIKILFCGICHSDLHCIKNEWKHSIYPLVPGHEIAGVVTEVGKNVTRFKAGDRVGVGCMVNSCRSCESCNNGLENHCPEVVFTYNSVDKDGAVTYGGYSSMVVVHERFVVMFPEAMPLDAGAPLLCAGITVYTPMKYHGLNAPGKHVGVLGLGGLGHVAVKFARAFGMKVTVISSSPGKKREALERLGADAFVVSSSAEEMEAARSTMDGVINTVSANTPMAPYLALLKPNGKMILVGLPENPLEVPPFSLVQGNRTLAGSNIGGMADTQEMIELAAKHGVTADIEVIGADYVNTAMERLAKADVRYRFVIDVGNTLHAAAAE >OGLUM10G10630.1 pep chromosome:ALNU02000000:10:14413835:14430692:1 gene:OGLUM10G10630 transcript:OGLUM10G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAATTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSAPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNHAKWMLATVETFMRHRLNSKSILETATREIAVHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKAMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANIILNKHSDEKTLVEAVKENFFAEHLFSDQYQDRQLFRWHLRNTYVDSAFMERVKEIEVKNSGDGSGSISGHRTTNTRSFGDLMEDPLACILGSSDSNIQSNKSAEHTGTTVKRREVRAHRRSHRHHHHHHHRHADKCVNARIVLRTSSRRRPIGVDFWDEYFASTSKMKLIWMFDAKGGERERGGDETAAMVMQQVQVLKEVSALLRGKETPVILDLEESLRAKGDMTQGEEVKIYASKVTAVLCKAFATATSALVGYHVLGVGAKLLGNPPLPRIPRIGMAAGSAWIVGKFAYYTALQASPIFILEGGEERMKMELANIILTKHNDEKTLVEAVKQHFFAEHLFSDQYQDMPLFRWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDTIEPKCNGKITIALYSQLLYDDLMGRVSPV >OGLUM10G10630.2 pep chromosome:ALNU02000000:10:14413835:14430692:1 gene:OGLUM10G10630 transcript:OGLUM10G10630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAATTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSAPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNHAKWMLATVETFMRHRLNSKSILETATREIAVHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKAMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGPKIGEPPIPRFPRIGVSTGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANMWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDTIEPKCNGKITIALYSQLLYDDLMGRVSPV >OGLUM10G10630.3 pep chromosome:ALNU02000000:10:14413835:14430692:1 gene:OGLUM10G10630 transcript:OGLUM10G10630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSSQQPASAIKAATTSGYHRLRIDYYRSLGSPTGWALSSRDFVVGGRQWRISYYPNGNRPENAEFISVFLCLDSSAPKPAMLQVTITFDDEAKKQSQLRKAPVITIAPGACWGYHRFVKRDDLARSKRIRPDGFFTIRCDVSLIDHFTAQEDEPVFVSVPPSELRRDLGGLLDTGSGGDVVFQVGGEAFTAHRCLLAARSPVLAAALYGPMMEGGGLQGGVAIKIDDMDPLVFKALLRYAYTDSLPPQMQQGELEEEGRAMAQHLLAAADRYGMERLRLLCEAQLCKHIEVASVASILILADQHGCSGLKNACFEFLKSPGKFAAAMATQEYDYLKTNHCALADEVVKGNHAKWMLATVETFMRHRLNSKSILETATREIAVHASTSNETPPVFLDLEESLRAKGDMTLREEATLKVLKVVATLGKAISTGAIAAVGYYVPGIVGAKFGEPSLPRLPRFAMAAVTSWFAGKAMYYAILQGSTEFILKHGEERMKMELANIILNKHSDDKTLVEAVKTQFFAEHLFSDQYQDRTLFRWHLRRTYVDSAFMERVKEIEVKSSNDGPGLISGQRIISTRPFGDLMEDPLACILGSPDSNMESNKSAEHTGTIVKRREIACPPAVLVEPIRLQPNVPICSLLARSRTGSNLEVSPVILDLEESLLAKGNMTLEEVTIRVSKMTASVFKVFATAAFGASSYYALGLGIAWFGGKFVYYTALRAGAEFILKRGEERMKMELANMWRLRHTYVDSTFMERVKEIEVKNSSNGSGSISGHRTTNTRSFGDLMEDPLACILGSPDGDIENKKSAENTGTIVKRREDYPSDFQSQATDRSRFSGMNISVHEQNEFHRDTIEPKCNGKITIALYSQLLYDDLMGRVSPV >OGLUM10G10640.1 pep chromosome:ALNU02000000:10:14430679:14439007:-1 gene:OGLUM10G10640 transcript:OGLUM10G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPFVTKWSHRSHEPAAPSNPAAQQQPPPPSPAGVGVSSAAAAAVVEEAEMETGGDDFITQEEEYQIQLAMALSASASVSAPSGGGGSGDTEGEQIRKAKLMSLGRGDLGAAADRGVGDSAEALSRRYRDYNFLDYNEKVIDGFYDIFGLSAESARQGKMPSLAELQTSIGDLGFEVIVVDHKFDSALQEMMEVAQCCMLGCPDTTVLVRRIAEVVAGHMGGPVIDATEMFTKWLGKSIEQRTSHQTSLLPIGRIDIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAINIVKMNNEREFLVDVMAAPGTLIPADVLISKGTPFNLTKPLVKNQVVELASNIENDPSAAHSEHVGNRLHMFGNGNSLSENQSGCEKTMIAGSEVSQLWTLAPQMQSDQQSTSAGAHSMQKEDLKLTPDSQENEESKKQISETDSFRGIEPGKSSLAFKGLNNRNNEYQRRRESIAPAPGRSQQPLVMKNWSACNDISNKQYNIAEGLVRRRNTSDNAASSSQLAWSTAKHYNPNGRERNDRLCAAPGRNYDNRKVGASTMATASATGERLDRPNLAPAHYYDDKPNGISSVNAASTSGIVKVAEKGPHDLEKVPIYSRFDSQIYSSMQGYSPEVKENKENYDRHDNMRLHPNPRRSPLDRFMDTSRQNSESVSPPQAGSSTVDMVLGEVSECEILWEDLLIGERIGLGSYGEVYHADWNGTEVAVKKFLDQEFYGDALAEFRCEVRIMRRLRHPNIVLFMGAVTRPPHLSIVSEYLPRGSLYTIIHRPDCQIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDNNWTVKVCDFGLSRLKHGTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLQMPWSGMNPMQVVGAVGFQDRRLDIPMEVDPLVASIIQDCWQKDPNLRPSFSQLTSCLKTLQRLVIPCHQETAGSYVPQEISLYR >OGLUM10G10650.1 pep chromosome:ALNU02000000:10:14448151:14448654:1 gene:OGLUM10G10650 transcript:OGLUM10G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTQPQLVESEPVKKLQRLPPVFSRVLELPFPRDTNVRKLFTTNADLFFVPHGVGGEPNVVKVHIVRLERWDMTRVVVHIGPGEPDLRNDLVYDKWRFPLAETSILSMVMAGYVNGQLIVVVPRMDASGDGGNEGIPMWPNIDKRGGGGGGSGFGLLAGASHIPTK >OGLUM10G10660.1 pep chromosome:ALNU02000000:10:14452094:14460680:1 gene:OGLUM10G10660 transcript:OGLUM10G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEETKAMEGRVEETMPSGSAFLVDASMKYPEESEWDDEFGNDLYVSDSVPSQLGSQAVDASENKVDEDSKIKALIDTSALDYSQIPDGYGGGRGYGRGMGGRMMGGRGFGRGGLERRTPPAGYVCHRCKVPGHFIQHCPTNGDARFDMKRMKPPTGIPKSMLMATPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSLGDLPPELRCPLCKEVMKDAVLTSIRDYIINKSMCVCGATSILADDLLPNKTLRETISRILEAPPTSTVSAASKEEPKRTPAPVEESPDVESHSEVKTTNVDMSSSDKKVPALPDVVEGTMESKILKEKTPEATPVVKESQEKMPVVGEQVVKKKKKKKVRAPGNAEDWKPYQDFGAENFAGMPMGPAGGFNPYWGGGMPLPMDYMGAPFPGPMPYMGYPPGPFDPFGGGVLPQDPFMPPGYMMPAVPRDLSELAVNSMGMNMGPPVVSRDEFELRKPDNRRRREMERFNEREREHGHSRERERERERERERERERERERDRDRNRDRDRDQERDRDRERDRERESRREARESSGANNDSTTSMRPKARSRSSQPADRSAPPPPASPDRHSRRSPHRSSGSGKKRSSFDRYDDLPLPPPPPPASRHEAAEHAHAAAAAAADARAKAKGSVFSRISFPGGDGNPSDAKRSRRSSSDKPPASSSSSSKKAAAAVAEDSDGRHHRRHHREAAAAAEERRRPAAGDYYGEEDEEEESEEEQHFKRRPSSSSRREREHQEAQEEPRRSRERRGGGGGGDGNHHHNHGGGGGHKRR >OGLUM10G10670.1 pep chromosome:ALNU02000000:10:14461484:14462382:1 gene:OGLUM10G10670 transcript:OGLUM10G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQEERPPAVADGTKITIGEALEAAALSAGDQPVEPSDAAAIEAAEARAAGRLQDDDDDDDNDDADATPAGLAARARAAADANARAERDEDKTTLGDVLADAAAKLGGADKEVEREDAVRVVGVEVRSKPDAAARPGGVAASIAAGARLNRGRQ >OGLUM10G10680.1 pep chromosome:ALNU02000000:10:14470835:14471593:1 gene:OGLUM10G10680 transcript:OGLUM10G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCLPTAGLRRELTLPSPSPAAAVGHEEGSSTSAASTSVGTGSPPSSSSASTSSPAFLDDLDPPLYLDDVEAEADAGGLSTAIASRRLFFESPGRSNSIVDSAEHPAAAVVPRGNGGASTSSSSSGRPAAAPTRAAAAAAAATSKGVRVCGDEQARPVPVSTAAPREEFLKSMTEMVDAMGLDVARRGGDRARLHELLLSYIALNDRDALPDILGAFTDLLLALNAHGPAAAPADAVVRERGGGGDARRKA >OGLUM10G10690.1 pep chromosome:ALNU02000000:10:14490013:14492673:-1 gene:OGLUM10G10690 transcript:OGLUM10G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCWFRGSLIVNRVSSNAKPETPKIQSPSERDRSDESKLPSNAKEVEAMRLDSSARNPLVAFSFEELRAVTSNFRQDSLIGGGGFGRVYKGAVAASAAGDGDGAEPQPVAVKVHDGDNSFQGHREWLAEVIFLGHLSHPNLVRLVGYCCEGDHRLLVYEYMPRGSVESHLFSRVMAPLSWATRMKIALGAARGLAFLHEAEKPVIYRDFKTSNILLDEEFNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPPREQTLADWALPLLTHKRKVMSIVDPRLSAAAAAAAAGAGGELPARAVHKAAMLAYHCLNRNPKARPLMRDIVASLEPLQADDEARDAAGA >OGLUM10G10700.1 pep chromosome:ALNU02000000:10:14531613:14533534:-1 gene:OGLUM10G10700 transcript:OGLUM10G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVDPMALGLGTSGGGGGEAAVGGDGAEPVDLVEHPSGIVPTLQNIVSTVNLDCRLDLKQIALQARNAEYNPKRFAAVIMRIRDPKTTALIFASGKMVCTGAKSEDHSKLAARKYARIVQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKYRKEIYAAFENMFPVLTEYRKTQQRW >OGLUM10G10710.1 pep chromosome:ALNU02000000:10:14552433:14558501:-1 gene:OGLUM10G10710 transcript:OGLUM10G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVGCAHVSGRQMDGQRREEAGSGGAGGAGKRSWMAVAAPVARSLVHSASLAVKGSECASTIIAARTLHVLTIDGYSDTLKSNDPSQHLFLSSPFSAGALVSFLSIYLVLEDNTADIVSAQVTFSLLDQQGNPMPSHTLTTPLLKFSLYGTLPKALGYNSWYNSFIRRDDLERSGHLKDDCFAIGTFTAHQLVLAARSPVFMTEPFGLMKEGTTVNKIPIFDMEAQVSGLYLYMLPEMDQEDEAAMAQHLLVAADKYGLHRLKMICLEILSSHIDANSVATILVLAEKHYCYGLKEACFEFLNSSAVLSAIVSTSDFQYLIQSCADILEDISFNIVARQLERAIFLSKNQEGQINSVEIGIWQQEARRVWVRRPTPGCGGMQRTCNIASNVAGGSCPTPSRSAAASTIVTTQAYHVLKIDGYSRTLQVHRYRSLSSFPFSAGGRTWYICYHPHGKNDISKDFISIYLVLHDAIAEVVMVQATFSLLDQHGKPVPSHTRATRLLSTSNQDDMGDDLGFETFIAKGDLEKSGHVQDDCFAIGVHVVITKEAPPPPPPIVAVPPSSDMHLHYGDLLSSKRCADVEFLVGSETFAAHRLVLAVRSPVFVAEHFGPMKEGANVNDVVEINDMDAQVFKSLLNFIYTDTLLEMDQEEDATMAQHLLVAADKYGLERLKVICEERLSNHIDADSVATLLVLTDKHNCRGLNKACIEFFSSPTALAKIIETDEFQYLTQSRPNILEHIISNIVACQLEKAIFSPENEGGKINKVDIRIQPWQNSNARCG >OGLUM10G10720.1 pep chromosome:ALNU02000000:10:14569508:14570338:-1 gene:OGLUM10G10720 transcript:OGLUM10G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLQRLMLICEDRLCNHINTDSVAIMLVLAEKHHCIRLKEVCFQFLSSSTALVEFMESSDFLYFMRSCPTVLKDLIYNLPQVRVAKFGHGFLIAGGTYTRARGERGEGRGRRRGERSRGVAGQVTVASRGCPRRPSLPH >OGLUM10G10730.1 pep chromosome:ALNU02000000:10:14570365:14577383:-1 gene:OGLUM10G10730 transcript:OGLUM10G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTSTVTTIAAQAYHVLKINGYSNTLKAGRHHPLSSCPFSASGHTWHVSYYPHGCRDSNKDCISIFLVLEDIVTEEDVMAKATFSLLDRYGNPVPLYTYHTKLRNFSTSSGRARGFENFIRRDELERSEYLNDDYFAVAAHVIIPKEKPSVVVPPSNMHLHYGDLLVSKEGTDVKFLVSGEMFAAHRLVLAARSPVFKAELFGSTKKGTIDAIQIDNMEARVFKALLEFIYTDIWPEIGHGKDNIAMAQQLLAAADRYGLHRLKFVYEDKLCNHIDTCSVSTMLVLAEKHHCCKLKEACSTFLSSMSPPIVEDLNSSIFGSELEKTVSSSENHGSQINRTDIRIQPAGDIPPPRSASTIVAARANHVLKIDGYSSALEANCKLRSFPFSAGGHTWHINYCCTDYISFYLVLEDAVDEPVMGQVTFSLLDQDGKPVLSRTHTTRMFSFSLNSSFGFHKFIRRKDLEQSEHLKDDCFAVSVHLVITKGAPSVKVPPSNLHSHYGDLLSSKQGADVEFMVRGEIFAAHRLVLAARSPVFKAELFGPLKEGTTTDAIQIDDMDAQVFEALLIFIYTDTLPKQ >OGLUM10G10740.1 pep chromosome:ALNU02000000:10:14589456:14589866:-1 gene:OGLUM10G10740 transcript:OGLUM10G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVINDHWPPFQSPRRDQSKEIKNSQMKVLVAVDDRSTAVAATARWPGCSTTSSSPATGDGGEEEQVPRPDHEAAAPELVLVHAMEPLHHVMFPVGPGQSVSRDGEANARLSQRWGCDCLRVFAQGRRCTARRR >OGLUM10G10750.1 pep chromosome:ALNU02000000:10:14608449:14611065:-1 gene:OGLUM10G10750 transcript:OGLUM10G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGVGADWGRDAARRELVSSSRARLRVLVVEDVEETQVFGHEPVLVRPGLLLFPPISRCRRRRPCPRPLYRPGSEERVVRSADGGDRDRATRRAGVGLVAARRDERSCEVGKVEVEVATRGRDGAGGDGGGGAGKGKAEVVGEWEDESPVFTRAHGRFCKKSAAAPPPRRQDDCIIQFLLIQAEGSWDEQTTNSGMKGIDRMRISSRMRKSVNLPNFLEMEWTRRNNIDVMMDSLDLVPVVTDAGDTAFQIHCLERSAYASVLRTFFAMSKLLSRKVEKLYLITIVLMVVNGLHGQVANYKRKGREKIQR >OGLUM10G10760.1 pep chromosome:ALNU02000000:10:14619096:14622860:-1 gene:OGLUM10G10760 transcript:OGLUM10G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMERYERTRDQDSGSEYDPLQDDTAGDISDGTATGSKRKGCSKTNNASDAPCGVKFRPRKRVYADQPPTRCTRSKKSTAQPDASLPASDNIPVPPPPPTIETVVNFAEHTQPAAEDNGGDAIAQSNGHIHMANEDDGDDANPQSDGHGHSHMVNEDGFHQHEDNTTMDDGDYQMTHEGAEGPWNRGPNMGRGLQRINRARRGKLPIIIPEGHIRPLTPIIAAKFATECNIAVRGHVPMFKHWKDYKSRPAAKFNINTDDPTVHNGCIEMMKSAIRQQRHRLKEDYFDPFPLHLVTKTSPVKSTSDEDWLKLVESWKTPKKMEACQKNKENRAQVKFPATTGSCSYPVFVENLNQMEQQLAAPPEEGEEPKSATEVVADVLDDSTKKNMFLQNVGIQTARPRSSVQNVQAQLEVEKMANVELRAKVDDLERKAYETEQARLRDMEEMQKKQADLEAKLELMLGQHRPLEDGMNWWDYIQNFHHQPGADVLMQDAPG >OGLUM10G10770.1 pep chromosome:ALNU02000000:10:14631031:14632200:-1 gene:OGLUM10G10770 transcript:OGLUM10G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAPNVVDGDCGGTPPSRSASTIIAARTLHVLTIDGYSDALKSNVDPSQHLLLSSPFSAGGHTWCIHYCPIGSTEESKDFISIYLVLEDTTADVVLAHVTFSLLDQQGNPVPSHTLTTPLLKFSLQGTLPKGLGYNSFIRRDDLERSGHLKDDCFAIGVHVVVTKEAIPSSITVPPSDMHLHYGDLLSSEERYATDVEFLVGGETFAAHRLVLAARSPVFMVELFGPMKESTTVNKIQIFDMEAQVFRVLLKFIYIDMLPEMDQEDEAAMAQHLLVAADKYGLHRLKMICVEILSNHIDANSVATILVLADKHHCYGLREACFDFLNSSAILSAIVNTSDFQYLIQSCPDILEDISFNIVARQLERAIFLSENQEGQINSVEIGIRS >OGLUM10G10780.1 pep chromosome:ALNU02000000:10:14633301:14635243:1 gene:OGLUM10G10780 transcript:OGLUM10G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGVDEALHPRRGRQKRKGHLAARSMGEEPADGAVIREAISGEAGVDEVRREGDESRRRQRERGEQRRTEVVVSADAVGGAGPPRCRGVGEQGEEEPDMRARGSELHGTAYIGLISDYLPLRNRGSSRQSRIRSNSASSC >OGLUM10G10790.1 pep chromosome:ALNU02000000:10:14636439:14649753:-1 gene:OGLUM10G10790 transcript:OGLUM10G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSIASKVAGGGCPTPLRSAAVSTVVTMQAYHVLKIDGFSGTLQVHRYRSLSSFPFNVGGRSWYICCHPHGKNNISKDFISIYLVLHDDIAEAAMVQATFSLLDQHGKPDDLEKSGHVQNNCFAIGVHVVITKEVPPPPPPIVAVPPSSDMHLHYGDLLSSKRCTDVEFLVGGVTLAAHRLVLTVRSPIFVAEHFGPMKEGANINDVVDINDMDAQAFKALLNFIYMDTLLEMDQEEDTTMAQHLLVAADKYGQERLKVICEERLSNHVDADSVATLLVLTDKHNCRRLNKACIEFFSSPTALAKIIETDEFQSEGS >OGLUM10G10800.1 pep chromosome:ALNU02000000:10:14679385:14680490:-1 gene:OGLUM10G10800 transcript:OGLUM10G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTATTLAASAGDGSSPTSRSTVTTIAAQAYHVLKINGYSNTLKAGCHYPLSSCPFSAGGHTWHVSYYPNGCRDSNKDCISIFLALEGIVTEDRRTCWQKLHSACSIDTGTRARGFENFIRRDELERSEYLNDDYFAVAAHVIILKKKPSVIVPPSNMHLQYGDFLVSKEGTDVKFLVGGEMFVAHRLVLAARSPVFKATKKGTIDAIQIDNMEARVFKALLGFIYTDIWPEIGHGKDNVAMAQQLLAAADRYGLQRLKFVYEDKLCNHIDTCSVSTMLVLVEKHHCCKLKEACSTFLSSMSPPIVEDPNSSIFGSELEKTVSSSENHGSQINRTDIRIQPWQNTEV >OGLUM10G10810.1 pep chromosome:ALNU02000000:10:14687473:14697355:1 gene:OGLUM10G10810 transcript:OGLUM10G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEASASAVEAGGRRRLRLYIVFYSMYGHVEALARQAAAGVAAVEGVEAVLRRVPETLPPGVLEKMQAPAKDPAVSVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGNCTDEYQSEFLILHSGVASGYSLQEGETAATAGRDWSPEVFASPRRSSSPPPPPWWKESVPARLAVAPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVMKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDACAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILGSSHRTKKGPCLYPHAIVPAKKLNSGNECTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKALPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASTKRKAEVPEVTGSKSLTVIVSTAGNIEHGFDIMKLDLTAILLSKVEQLFREKPDPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEVPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEQEGEAKAQRARGRVSEPPAKRARRPSVRLSRSEWLWW >OGLUM10G10810.2 pep chromosome:ALNU02000000:10:14687473:14697355:1 gene:OGLUM10G10810 transcript:OGLUM10G10810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEASASAVEAGGRRRLRLYIVFYSMYGHVEALARQAAAGVAAVEGVEAVLRRVPETLPPGVLEKMQAPAKDPAVSVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGNCTDEYQSEFLILHSGVASGYSLQEGETAATAGRDWSPEVFASPRRSSSPPPPPWWKESVPARLAVAPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVMKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDACAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILGSSHRTKKGPCLYPHAIVPAKKLNSGNECTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKALPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASTKRKAEVPEVTGSKSLTVIVSTAGNIEHGFDIMKLDLTAILLSKVEQLFREKPDPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEVPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEQEGEAKAQRARGRVSEPPAKRARRPSVRLSRSEWLWW >OGLUM10G10810.3 pep chromosome:ALNU02000000:10:14687473:14696650:1 gene:OGLUM10G10810 transcript:OGLUM10G10810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVPSKKRQPPASTVAGAPSSSSAPRDAPEASASAVEAGGRRRLRLYIVFYSMYGHVEALARQAAAGVAAVEGVEAVLRRVPETLPPGVLEKMQAPAKDPAVSVIAAAADLEEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEKQKLAGKPAGFFVSTGTQGGGQETTAWTAITQIVHHGMLFVPIGYTFGSGMFKMDEIRGGSPYGAGVFAGDGSRQPSETELALAEHQGNCTDEYQSEFLILHSGVASGYSLQEGETAATAGRDWSPEVFASPRRSSSPPPPPWWKESVPARLAVAPPPLSKTEPMMDTLELASAIPEAGDEAFQIHRLKRSAYAAVLRAFYAQPDLLSGAKEGSLAKLRSEFRIFDTEHREYLMKAISGSQSLSVGLNKASICNIEVMKDSLDLVPMITDAQDTAFQIHCLERSAYASVLRAFFAQSELLSRSQAKLLTELRKKLRISDAELREVLMNVTSNEYIKSLRNCSLANNSGLKDPTFDACAMVPDKLVKDGQSFTSFTNCISLSQESQISPRSMLSVRSVDILGSSHRTKKGPCLYPHAIVPAKKLNSGNECTLSYLKSSPAEQLPVAVSSVQVKRSTDDPLDTKALPCEVKTGCTLSPIQLKHIQANAGHVPLCIHQDMKASTKRKAEVPEVTGSKSLTVIVSTAGNIEHGFDIMKLDLTAILLSKVEQLFREKPDPDDLETAKAILKEQEKVLLDAVLKLSEVSYVEECFSTNCQPDEFNQHYEYESDDEMPQKTASTNDAETPPKPVSPSDKEVPPQPVPGRGGGATSKGKAKPRDSGRSSGGGSGSGGRLDAADDQPTSASTCTSSSTLSPRSEQEGEAKAQRARGRVSEPPAKRARRPSVRLSRSEWLWW >OGLUM10G10820.1 pep chromosome:ALNU02000000:10:14699356:14705809:1 gene:OGLUM10G10820 transcript:OGLUM10G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRHEPICKGHPKVQAHVTIWGALAFTSLYVVYVVAVAFTHGRAPSIGHDAEADHTADAFSELCNVAETKFYGDQEPLLPDTTPLLSYYAGDSDGDGDGGGGGCKKKIRSAFWSVLRALELPLWLPRRLTIPDASKERWSKPAAVTAVTMAPVLLSHLCSRATGTTSPLAVLLGVLAGASLGALAFFTTSPDAPPTDHLAAWLAAGFVMSVAWAYAVATELLALLVSAAHVMGVDSAALGLTVLAWGNSLGDLVPNLAVASRGGGGGGGAQVAVAGCYGGPVFDVLVGLGVSMLLSSWAAHPRPVAMPAEAGPFQTLGFAAAGICWAVVVMSRRGMRVDRTLGFGLLAIYLCFLCINISQALGPV >OGLUM10G10830.1 pep chromosome:ALNU02000000:10:14712477:14716811:1 gene:OGLUM10G10830 transcript:OGLUM10G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Core-2/I-branching beta-1,6-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT3G03690) TAIR;Acc:AT3G03690] MRSPVGRREVVVSGVFTALLVLSILSLPSLLLTGPAYKSWPFLAAARDSSTGSGGGGGGAGYYPVSFAYLISASTGDAARAARLLAALYHPANCYLLHLDREAPVEEHRRLAELVSGQPVYARAGNVWIVGRPNLVTYRGPTMLSTTLHAVAMLLRLGRRWDWFVNLSASDYPLVTQDAHQPPWLEDRARPVILDTALYEADRSELIRPANLTTNRRNLPTAFKLFTGSAWTMMSRQFAEYFTVGYDDNLPRTLLLYYTNFVSSPEFYFQTLACNSRRFRNTTVNHDLHFIRWDSPPKQHPLYLGPRDYRRMLLSAAPFARKFREDDPVLDRIDRDILRRDGAAPGRAFAYGGWCSEGGVRLCSNPQEAGRKGMIKAGAGSRRLRAMLNKMMNARNFRRQQCR >OGLUM10G10840.1 pep chromosome:ALNU02000000:10:14721713:14728001:-1 gene:OGLUM10G10840 transcript:OGLUM10G10840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPACSAADRAESSAAGAPAGGGKGRHLARALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLETPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVICYLPLRYAPPNEIATKVALPSSSESLTSDSGYSEPDEENSEDLLGNVQDIPTANEANKIRRQKAIACIILIFLGVVTIVSSVSFSFFPLIHTWIRVSMWLAVGAIIYVFYGRKYSSLTGVAYQRISPA >OGLUM10G10840.2 pep chromosome:ALNU02000000:10:14721713:14728001:-1 gene:OGLUM10G10840 transcript:OGLUM10G10840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRLSWQDLQASGSAIATRLSLQDLQCLVRRKPACSAADRAESSAAGAPAGGGKGRHLARALSVPDLIAIGVGSTIGAGIYVLVGTVAREHAGPALTLSFLIAGIAAALSALCYAELSCRFPSAGSAYHYSYICIGESVAWLIGWALILEYTIGGSSVARGISPNLALFFGGHEKLPFFLTQIHVKWLETPLDPCAAILVLIVTALLCLGIKESSFVEGIITIANVIVMLFVICAGGYLAFQNGWSGYNDEQGYFPKGVAGVLSGSATLFFAYIGFDAVASTAEEVKNPQRDLPWGMCLTLSLCCFLYMMVSIVIVGLVPYYALDPNTPISSAFAKYGMQWAVYIISTGAVFALIASLIGAILPQPRIVMAMARDGLLPPLFSAVDPTTQVPTLSTILSGICAAILALFMDVSELAGMVSVGTLLAFTMVAISVLIVRYAPPNEIATKVALPSSSESLTSDSGYSEPDEENSEDLLGNVQDIPTANEANKIRRQKAIACIILIFLGVVTIVSSVSFSFFPLIHTWIRVSMWLAVGAIIYVFYGRKYSSLTGVAYQRISPA >OGLUM10G10850.1 pep chromosome:ALNU02000000:10:14730100:14736540:-1 gene:OGLUM10G10850 transcript:OGLUM10G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADDAPPPWRPSKPAAAIDGSSGPLAAAAARLSGRSRALPSSRDFHFYNNFPSFRSPVGAAAARAGASLAALGAAPFYPKRHPPFPGDDLDDAQDWVVGVIDDLAEQFGASMDEFKAAREEEEATGRRATEEDGFQVVYGKKKKKVMGGGGGEEGVGRGGEAFGGGSGSVKMATRDKSAAPGAKAKVPFHIPTIPRPQDVHRILVDNSSKPFEHSWLEKSDDGSRVVHPLEKIPMEQLVDRDFPESEPIKPPALDDTPFTHVEDLKSLEVLATKLKSATEFAVDLEHNHYRSFQGLTCLMQISTRTEDFIVDTLKLRKYLGDYLREIFKDPTKKKVMHGADRDIIWLQRDFGIYVCNLFDTGQASRILQMDRNSLEHLLHHFCGVTANKEYAREDTHYLLYIYDLMRLRLVKESSDENDLLLEVYKRSKEICLQLYEKELLTHSSYLYIHGLKENEFDARQLSVLANIYKWRDSVARGEDESTGYILPNKTLLEIAKQMPATTGRLKRIVKSKNSYLERHLGHVISTIRSAIANCDAFESIAEQLKKGRLEELAVANMKSNDGDTEMVPADDGNNDDDNVGPSDEHGAVASVENVGAASHCIGNVTSGASSVNVQLENPAETKSLGILSGVSGQDMEVLSNGDRKQVAKATVQVSKRPTAFGALLGKPTSGRRQNLFSGFSSGQNKVDKIKSSVALPFHNFCGGAKSPATSIPLEESVRPEPESIQYSDPACQTEDVIQLGTETDGPQPPENHNEDGQGHLVPDDMEMSRSPPEHSSAGAKQRFQSLNESRNQQQNHKPHQEPEFNHQLKPFDYAEARKNITFGERKAERIKDNAVARAINKDSGDKGRTSNQFGAGENEGNRQNPRGRQAFPPSGNRSATYH >OGLUM10G10860.1 pep chromosome:ALNU02000000:10:14751809:14752199:1 gene:OGLUM10G10860 transcript:OGLUM10G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGKIPALLLWLLAGVVLAFAVVNPAHGAKTRHYDFFITEANHTRLCHEKSILTVNGQFPGPTIYARKGDLVIVNVHNNGNKNITIHW >OGLUM10G10870.1 pep chromosome:ALNU02000000:10:14753567:14756444:1 gene:OGLUM10G10870 transcript:OGLUM10G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKCGYGGRRLTLALAASSASWPQPVMTREPLVGLRDELQREKKVEEEEEVCLPIMPSQVNLACDLELCPKDSFSAPTKHFASETRGETRPRAIGSRFSVLDGEDSEEEEEQVCHREEEVVGSVQKGSIVRKAMAEGFTLDEILSAGEYLLCTNSSPKAHSFPGSTRTKGKNHLVDRLVAAVCRKKVSMCKPWKGPLPSRRISQPRKLETFLSAALEDWQRKRTVGLEKTPAKFSKREDVNASTFSGNLDKASSLQFSGRQLAQEDEASVCSSLLHGGTNMDGPTLQIGKGDRGVNVKFCSVLGRLLSHAGRHSLARKERNKGEIERPRRQSQLGLNIRNREGRQRGGEEGGLCVGGELQVRAEEEKKEVRKGGMARRKDDYDGCSNKDWGQRGSFREEEEGFGTGFQGSRMGFDPGYGFGQQGSFGQRWQRHGYRPRGARGFGPRRGGFAGRPGRGSARGFGSQRPAFERKMDDMERNGEEKGGAASSERNGGAGRVKVGEVEVLVLARKEKQPMVEKKEENGDFVEMECDPSLFEDQQLGVEKGKVCSRCFQKGHASNNCSTEIYCDICDYKDHVNHRCPVLKLPKPAVQAVGYSVEGFGFHHIPHNPLPRSKKGTTKMALVSCVGGVLTKEQVVEQLQRIVSNKWKWQPTEKDDNSFVVLFPSKVELQRAIAFGGADVKENGLATGMRLQFEEWFEEEEGYLLPKVWVKVYGLRKKLREYLTLWAVGWFLGGVDVVIGDHYFELEFELEKKGYDENGDEVEIEQGGDGGDDKEDGGQEEDELREKETKRAKSDDMVLDDKEEGKMEGNFGGDNGNQGMDGVQEKFFGEMASKIIDGAVVSLLEEVCDKVMHEEGQPAVHEGEIGQQEVEGGDDIEQGDGGEEVGKMIEEKIVRAAMVKEVATTPTRASVRLASSGGEHSVDVTP >OGLUM10G10880.1 pep chromosome:ALNU02000000:10:14765135:14767268:1 gene:OGLUM10G10880 transcript:OGLUM10G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAW4] MDRKGIVLGSKLTVFSMILLWHRHGVDQPRNPWSDGPEFITQCPIWPGGNFTYQVILFEEEGTLWWHAHSDFDRATVHGAIVIHPKRGTTFPFNKPDKEIPIILGEWWNDDVEHVLDEAKRTGGDVEPADANTINGQPGDMFPLCSREDTFKVAVQQGNTYLLKVINAGLTNDMFFAVAGHRLTVVGIDARYTKPLTVDYIMIAPGQTMDVLLEANRTLGSNSRYYIAARTFITVPVDTIPFNNSTTTAIVEYTDNPAARPVGPPEFPLLLPAIKDEDAAMAFVKQLRSLGNQDHPVHVPTQVDEHMLIDDIDINFLPCDATNATNKSCNGARDPKATGSRRASTIFESPAIDVLDAYYYGSGRGVYEEDFPNKPVNAFVNPTGDNGGGPLLTKRGTKVKVLEYGTVVEVVFQDLSSENHPMHLHGFAFYVVGRGSGTFDERRDQTTYNLVDPPLQNTVSVPKSVWAAIRFRADNPGVWFMHCPFDRHVVWGMDTVFIVKDGKTPQAQMLPRPPNMPEC >OGLUM10G10890.1 pep chromosome:ALNU02000000:10:14768848:14770051:1 gene:OGLUM10G10890 transcript:OGLUM10G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVAVDDSRGSHRALSWVLDHLFFPAATGDGGEEEEQVPRPDHEAAAPELVLVHAMEPLHHVMFPVGPGSAVYGAASMMEAVRAAQAENARNLLGRARLVCERRGVAAATVAVEGEPREALCRAAEDAGAGLLVVGSRGLGAIKRAFLGSVSDYCAHRASCPIMVVKPPPDAGDEDDGGHRTSN >OGLUM10G10900.1 pep chromosome:ALNU02000000:10:14772075:14780343:1 gene:OGLUM10G10900 transcript:OGLUM10G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAW6] MASSSPRGHRQLARTAAHQKKKKIKTWNSTGVSSRGRVDSTTFSSSTPSPRIRISAAAAAAAAERARRFHYSSRWPTRVVGAAATTSNGGGGGRLLPPRPFGILLLPRMPQLLGSRARRRAAPTFPAPAPDYRRGTPLPRRSRLEWGRVEAQNSGARTSCRAALQWLSSMARSHVNVGYGSPLVLPGLTKPGSSRCLCVAGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISTSERKKITSIIEDSSIYKEQDPFVQRDSSFYHLDEVPDDDEFSYDLQMYLDRRSDQSEVVATQDYAAQLSQISETGQSVAEGTSDDPSASAAVDLINIILVAAECAPWSKTGKIIIATYLLNVSVSMKGHCGFNLVPVSGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYMDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKVAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >OGLUM10G10900.2 pep chromosome:ALNU02000000:10:14772075:14780343:1 gene:OGLUM10G10900 transcript:OGLUM10G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase, chloroplastic/amyloplastic [Source:UniProtKB/TrEMBL;Acc:A0A0E0BAW6] MASSSPRGHRQLARTAAHQKKKKIKTWNSTGVSSRGRVDSTTFSSSTPSPRIRISAAAAAAAAERARRFHYSSRWPTRVVGAAATTSNGGGGGRLLPPRPFGILLLPRMPQLLGSRARRRAAPTFPAPAPDYRRGTPLPRRSRLEWGRVEAQNSGARTSCRAALQWLSSMARSHVNVGYGSPLVLPGLTKPGSSRCLCVAGMVGNAGNQVGDDSDDGIKVTNEKLRAVIRKSKEVLEIHRNLLEKISTSERKKITSIIEDSSIYKEQDPFVQRDSSFYHLDEVPDDDEFSYDLQMYLDRRSDQSEVVATQDYAAQLSQISETGQSVAEGTSDDPSASAAVDLINIILVAAECGLGDVAGALPKALARRGHRVMVVVPMYKNYAEPQQLGEPRRYQVAGQDMEVIYYHAYMDGVDFVFIDNPIFHHVENDIYGGDRTDILKRMVLLCKVAIEVPWYVPCGGYCYGDGNLVFLANDWHTALLPVYLKAYYHDNGFMIYARSVLVIHNIAHQGRGPLDDFSYLDLPVDYMDLFKLYDPFGGDHLNIFAAGIKAADRLLTVSHGYAWELKTAEGGWGLHGIINESDWKFQGIVNGIDTTDWNPRCDIHLKSDGYTNYSLETVQAGKQQCKAALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSIRLAHRMTAGADILLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVEHYNPYEESGLGWTFEKAEANRLIDALGHCLNTYRNYRTSWEGLQKRGMMQDLSWDNAAKLYEEVLLAAKYQW >OGLUM10G10910.1 pep chromosome:ALNU02000000:10:14780717:14782112:1 gene:OGLUM10G10910 transcript:OGLUM10G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAGGRSYEDFNPPHKMKEHIKVQLVRSRRRLVVSGECPVAGETNRWSRFRLQFPVPNGCDLKAIQARLHDGVVRVTLPGVKPQQPPPPAKTAAAAAAAAAAVVGERGGGERCQFLRERGKLATTLLGVVLVLFSFVIYIRYSVKP >OGLUM10G10920.1 pep chromosome:ALNU02000000:10:14783079:14783780:-1 gene:OGLUM10G10920 transcript:OGLUM10G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFHLIAAWLFPCAVCATTTMTTPAAADDGEGGRVAEPPPTGDRLQLGTSSSRQQRSRSASPPCFGARPRRQTAAAAATNGETGANDEPPARNAIATDAPAVSSASSSSPTSSPPSSLRDRLRLGLTTASPPRRRSASPPAHGGGGGTSRSPEAEKGGAVGGYLRRISKRLTTRGATAAADEEAAAAPPATMIPRSSPLVGEGRRKGGSAIATEDEIRAFVVANGSRAIPLV >OGLUM10G10930.1 pep chromosome:ALNU02000000:10:14786019:14787590:1 gene:OGLUM10G10930 transcript:OGLUM10G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAAAAAASRSRSRVLSEIDPHSEWIHGDEFDTLVLDVTGFSKDHLKVQVEASGSLRISGERAAVNGGGRHWLHFLKRFDLPPGAAGDASAIKVQLDKGVLYVQLAGALSRHRQVVLNVVIAVVLLWLVTFASKPTKNE >OGLUM10G10940.1 pep chromosome:ALNU02000000:10:14787967:14791425:-1 gene:OGLUM10G10940 transcript:OGLUM10G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEKYLKRVAATAARTVLCPRLGRRDLSSSTRLPSRLPPSAGARQRNRKSEARRDPEPAPLAAMQPPVLLNIGGSRYETTADTLTQRDPGSLLAAALSGAAAHGLPTTEDGAVFVDRDGELFRHVLNWLRDGAVPALADAEYRQLLREAEYYRLPGLIDCISERIGDWDDKIGRSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLHKAKFGEVQASRSSFNYANLRECEFVGANLQESTLDRANLQSANLQDACLVKCSFIETDLRSAHLQSADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >OGLUM10G10940.2 pep chromosome:ALNU02000000:10:14787967:14791425:-1 gene:OGLUM10G10940 transcript:OGLUM10G10940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIEKYLKRVAATAARTVLCPRLGRRDLSSSTRLPSRLPPSAGARQRNRKSEARRDPEPAPLAAMQPPVLLNIGGSRYETTADTLTQRDPGSLLAAALSGAAAHGLPTTEDGAVFVDRDGELFRHVLNWLRDGAVPALADAEYRQLLREAEYYRLPGLIDCISERIGDWDDKIGRSSEAELTRKDVIKCIQADKVRFRGVNLSGLDLSKLDLSEVDFSCGCIEETKFSLANLHKAKFGEQISKSLLWTEPTFKVQTCKSADLTGANLTGANLEGANLKGAKLSGSNLQGANLQRAYLREVDLRETQLTGAKLGGANLLGAIR >OGLUM10G10950.1 pep chromosome:ALNU02000000:10:14793612:14794977:1 gene:OGLUM10G10950 transcript:OGLUM10G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAADDHKGKRPLTPEEADEAAAAPPPAAAEEEGEKLVLVSDDGVEVLASVAAARVSKTLRGMIEDECATGAIPIAGVHSDVLALLVEYCERHAPHYDPEASDRDRYPFPPFPVELPPTASSIKPVTFVDPDADPHGLKAFDKKFLDVDNSTLFEIIMAANYLNIEELLDDACTAVADKMRGKKPEEIRDIFEIENDYTPEQEAEVRRENAWAFED >OGLUM10G10960.1 pep chromosome:ALNU02000000:10:14796072:14797812:-1 gene:OGLUM10G10960 transcript:OGLUM10G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSNPAPEPAPLLLLPPPPQEPAPLSPPPPPPTSPKPIPTVADNFRSLLRSGEALLRFAFRGNSGQLAHRHPPPPRPPPQQQQHPHHHNRPAEIMKRLQREKFADMIKHMDGHEQIDRLVALYTSSAKGLHLPELPVRVKVALDAAGALLLVDGDELEQARGRLAKARNTTGLGSRFVFESSTRGGKDTVAAELATGLGAAAAAGGRPLELTRLQYCAHVSDLLSMTLVPFGAQCNNFLHGSSLIQSIQSRALSGGPPSYSERHNCGAGVSIKGSRFRASIAELIFGSPGEHGGGGNGDHEVPNRLTTFGKVSYGTADDIKLSLSGLWQVRPPASRFSDLGALAVPLGSLKTRRAIASPPSPPATTQPPPSPDLMVQVPAPPAPPTPMMGIGSTVAVQGSVAAAAATAPSSHTVAVMVDCDMYDTLRAEGWVEMETAAAAARRRGPVARWGVCVSDCPEHELGWGVRIGGAAERNAHRPHVEGFLSFDLGKGGRVQPGLVIAMDGDKRTPALVLRSSWLM >OGLUM10G10970.1 pep chromosome:ALNU02000000:10:14801692:14805212:1 gene:OGLUM10G10970 transcript:OGLUM10G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G35930) TAIR;Acc:AT4G35930] MGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTEPMMSPKRLNFEPFSGTKGQVMPITPKTPQSDELSDGHSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMSRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAVAQNKLL >OGLUM10G10970.2 pep chromosome:ALNU02000000:10:14801844:14805574:1 gene:OGLUM10G10970 transcript:OGLUM10G10970.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT4G35930) TAIR;Acc:AT4G35930] MGTGSVTVKQKKRVKHTKNKYLKPGALAQIRYSRSTSRDIGKKRILLNVDKDELPQEEVAFENTEPMMSPKRLNFEPFSGTKGQVMPITPKTPQSDELSDGHSRLESLPLELLIKIICCLHHDQLNIVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLQHTTPLPTEHWPFMRIDGKDVRISTPRTPKAPKHAPRLARLELLDFKPITAVLFPDTFPSKRLRRSMPPGLPRPVSKAASSTRVLLYEEELCEAD >OGLUM10G10980.1 pep chromosome:ALNU02000000:10:14805687:14808186:-1 gene:OGLUM10G10980 transcript:OGLUM10G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRSSLVVAMAVAILHRCCCAVAPAAAAANRTRAPALFVFGDSIVDAGNNNAITTLIRCNFAPYGKDFPGHNATGRFSNGKVPGDILATQMGIKEYLPAYLGAELSDFDLLTGVTFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKEKLRRVAGARRAGEIVSESLYMVVTGTDDLANTYFTTPFRRGYDLDSYIDFVVRCASGFVRRLLAMGSRRVNVAGAPPIGCVPSQRTNAGGLDRDCVALYNQAAVVYNARLEKEIERLNGTAPAGTVLKYIDLYTPLLDMIQRPAAYGFEVTNRGCCGTGVFEVTLTCNRYTADACRDADKFLFWDTYHLTERGYNILLSQIITKYGF >OGLUM10G10990.1 pep chromosome:ALNU02000000:10:14809044:14813788:1 gene:OGLUM10G10990 transcript:OGLUM10G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPCLVPKDGKLLDHDQDKSEDCGAEDSYHRH >OGLUM10G10990.2 pep chromosome:ALNU02000000:10:14809046:14812387:1 gene:OGLUM10G10990 transcript:OGLUM10G10990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTAASLLRHSGIGGGGGGGIPQALADALVCPLSKKPLRYCEDSGSLVSDAVGVSFPIVDGIPCLVPKDGKLLDHDQDKSEDCGAEDSYHRH >OGLUM10G11000.1 pep chromosome:ALNU02000000:10:14814869:14815288:1 gene:OGLUM10G11000 transcript:OGLUM10G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVSHVMALLSSALSGKKAAAEGDGGDDGGQCRCWRDGDGDGVGVGGGQAAAAAAGCCVCISGFRDGEEVRRLPCGHAFHRDCVDRWLALYCRRRTCPLCRLHVGGAVVAAAVAGLDELQLGDDLVIWFSSLFVAGF >OGLUM10G11010.1 pep chromosome:ALNU02000000:10:14816931:14819534:-1 gene:OGLUM10G11010 transcript:OGLUM10G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAAAPLSTMNAVAAPTCAMPPPAPSSDRPTTSQSSNHRVVDINYHQSQQNPGGSDFDEHLCLSGGGRRGGRPVPARGYGSGHRGGYGFHRHRRDGWRGHHGGHGPEHIIGFLPPPPHYPPFLDFLPPPSFLTSPYFGACHEPAIGYAPHGEYYGSMIGHAHPGFAFPLPQQNIMPLIPFSVPPHIEQPEAPLTAEEREKKIREQIEYYFSENNLCSDVHLKGWMNQQGWVPLTLVAGFPRVQALTTDYEIVQRSLLSSTEVELQRLPILVTN >OGLUM10G11020.1 pep chromosome:ALNU02000000:10:14820932:14822169:1 gene:OGLUM10G11020 transcript:OGLUM10G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALTNSVQIWKLFQTASIFLVQPDPQDATDTIREVSKQKLFDLARMAERKMIIVLVYIDGRIQHGDKGVEYNIPPKITFPALEATTFEEVRNKIFQALGYTEDHCAMSIQARQGMEDDIREDVWAFGKGWLRCFFGAGSFGKGWLRCFFGARYHSFCRTCRIHFSP >OGLUM10G11040.1 pep chromosome:ALNU02000000:10:14825192:14826059:-1 gene:OGLUM10G11040 transcript:OGLUM10G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEGCPFEAASLKEYRWWFQGNGMFTVFFDSQCLGGLENSIPYPRDNMEWTGYMPSGLPLARIALREIKNAAWEIKCFSTKGCKKLGKSILMTCQGNLKDLNLEPKLQNMLYEAGLPTKVDEIPSDDDVSTTVCTPSPPKDSSIDVFDDWMISQRGFSRYIDLDVENRNEFCPTTQDASQVTEHTQYDDMVPAREAIAPSDPGETVSFQSTSFGQVVDWTSTGMTPMFRQNTLT >OGLUM10G11050.1 pep chromosome:ALNU02000000:10:14830281:14831655:1 gene:OGLUM10G11050 transcript:OGLUM10G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAMAENLLVLCTILAARMALAAADDWIPATATFYGGNDGSGTMGGACGYGNLYDQGYGLDNAALSTALFNDGAACGQCYLVVCDTDKAGRWCKPRGAVTVTATNLCPPNWALPSGGGGWCNPPRRHFDMSQPAWERIGVYRAGIVPVLYRRVRCWRRGGVRFTVGGFDHFELVLVANVAGSGSVAAVSVRGAGTGWLQMSRNWGANWQSLAGLAGQPLSFGVTTTGGQYILFQDVAPAGWKFGQTFSTSKQFDY >OGLUM10G11060.1 pep chromosome:ALNU02000000:10:14834056:14835081:-1 gene:OGLUM10G11060 transcript:OGLUM10G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIRFFAVLAAALCITSASAAAAAAAGGWVSGTATFYGGKDASGTMGGACGYGNLYTQGYGVYNAALSTALFNGGASCGQCYLIMCDASKTPEWCKAGTAVTVTATNLCPPNWALANDDGGWCNPPRPHFDMAQPAWETIGIYRAGIVPVLYQQVKCWRQGGVRFTVSGFNYFELVLITNVAGSGSVQAMSVKGSKTGWIPLARNWGANWQCNSALVGQALSFRVTSTGGQTLQINSVVPEWWEFGTTFTSNQQFDY >OGLUM10G11070.1 pep chromosome:ALNU02000000:10:14852214:14853209:-1 gene:OGLUM10G11070 transcript:OGLUM10G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAGRSRGGAATAWRTREERARAVVAVRGGVGGRPQCRASSTLRTRALLVGMKSHGSRDKLQAAASSSHRRVLLLLFATCFVLATFLTFVCNTSHFATASGSGSGSGAGVDAGAAASSGGGASSGSASALPLPVFEELVHFASISNATHRMSNTDIRAISAVLRARGPCNLLVFGLGAESPLWLALNHGGRTIFLEVNEFYDFRNLLDAARASRAAECCPIQNLLVSECRLAINDLPNEPYDVAWDIVHIDRPSGWNPTSPGRMPSIFTTAVLARTGATVTKGPTDVLVHDFQFELEQVLSKEFLCDENRVAGSSTPSLGHFVVRPDDRL >OGLUM10G11080.1 pep chromosome:ALNU02000000:10:14854039:14854656:-1 gene:OGLUM10G11080 transcript:OGLUM10G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:expansin 12 [Source:Projected from Arabidopsis thaliana (AT3G15370) TAIR;Acc:AT3G15370] MAWYGTSTAALSTALYDVGASCGQCYEIACDAARAADARWCRAATVTVTATNLCPPNPALPASGGGWCNPPRAHFDMAQPAWGQIGASYEAGIIPVLYQRVPCSPWRQGGVRFTVRGSNYFELVLVSNVGGSGSVASAWVKGTATDRTPMSRNWGANWQSFVGLAGQALTFGVTSTGGQTIVFTNVAPPNWEFGMSFISNLQFTR >OGLUM10G11090.1 pep chromosome:ALNU02000000:10:14877997:14886065:1 gene:OGLUM10G11090 transcript:OGLUM10G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDEYEYLEKTVEPSAPSTNGSGEKDRGGSRRRSSGGGRDDEERGSKRSRSGEDRDRDRDRHRGGREHRDRDDGKEKERSSRSRGKDGEKDRGKDGEKDRSKEAEKDRDRDRDSERDRRRERDSGRERRSSSRPERRRTEEEEMVRELQKERERSDRNRDYRDRDVRRRKDDGAEPEADPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALTGQVLLGQQVMVKPSEAEKNLVQSNVASGGIASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPFTRLEDAKAAQSLNGQLDIAGRVIKVSAVTEQAGLQVGGATTGDLDDDEGGGLALNASSRAMLMRKLDRSGTATSITGGIGIPGVNTSVELPSASVTGAPLPTTSLIQPTIPAIGTVPGIQIPGTQSADIGSPTEFLLLKNMFDPAVETDPDFDLDIKDDVQDECSKFGAVNHIFVDKNTAGFVYLHFDSVAAATAAQRALHGRWFAGKMITATFMTAQQYKMKFPN >OGLUM10G11100.1 pep chromosome:ALNU02000000:10:14886620:14902428:-1 gene:OGLUM10G11100 transcript:OGLUM10G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLPLALTVLSVSVLIAVVISKLVATKPRLNLPPGPWKLPVIGSLHHLVGSHAIHRSMRALAEKHGRHHLMQISLGEVFAVVVSSPEAAEEVLRNQDVTFADRFLSTTIGVITFGGNDMAFAPYGERWRQLRKLCTLELLSAARVRSFRRIREEEVARLVRDLAASAAAGEAVNLSGRIAKLINDVVVRCCVGGRSEHRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKIEHILEQIIQERKRIMDRSSHGGDGEAMNTSECFLDVLLRLQKDGNTPIPITNEVIVVLLFDMFSGGSETSSSTLIWTMAELIRKPKVMAKAHVEVRQAFQGKNTITEDDGVNELTYLKMVIKESLRMHCPVPLLGPRKCRETCKVMGYDIPKDTTVFVNAWAICRDPKYWDDAEEFQPERFENKSIDYKGINFEFLPFGSGRRMCAAMNLGIANVELPLASLLYHFDWKLPDGMMPEDVDMQDAPGILVGKRSSLIMCPVTRVAPSNPQPAARFRTYCVMDDKLLLALAVSVVSIVTISKLVYRATNKPRLNLPPGPWTLPVIGSLHHLMMRSPSIHRSMRALAEKHGPLMQVWLGEVPAVVVSSTEAAAEVLRNQDARFADRFITTTLGAITFGGGDLAFAPYGERWRHLKMLCTQQLLTAARVRSFRRIREEEVARLVRDLAASASAGGGGGEVAVNLSERVARLVNDIMVRCCVGGRSKHRDEFLDALCTALSQTSWLTVADLFPSSRLARMLGTAPRRALASRKKMELILEQIIQEREEMTTDRNGDGGGGDGEAGPTNECFLDVLLRLQKEGDTPIPITMELMDIVSGGTETSTIVLNWTMAELIRTPRVMAKACAEVRQTFQSKSTITEDDDISGLTYLKMVIKESLRMHCPVPLLGPRRCRETCKVMGYDILKDTTVFVNAWAMCRSSTYWNDAEEFKPERFENKCIDYKGSNFEFVPFGSGRRMCAGMNLGMADVELPLASLLYHFDWKLPDGMFPEDIDMQEAPGLFGGRRTSLILCPITRVAPSDLQVIV >OGLUM10G11110.1 pep chromosome:ALNU02000000:10:14935350:14939392:-1 gene:OGLUM10G11110 transcript:OGLUM10G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKLLLLLLALAVSVLVAVVISKLVSYATKPRLNLPPGLWTLPVIGSIHHLVGSHPIHRSMRALAEKHGRDLMQVWLGELPAVVVSSPEAARDVLRSQDLAFADRYVSTTIAAIYLGGRDLAFAPYGERWRQLRKLCTQRLLTAARVRSFRCVREEEVARLVRDLAASAAVGEAVDLTARVAELVNDVVVRCCIGGRRSRYRDEFLDALRTALDQTTWLTVADVFPSSKLARMLGTAPRKALASRKKMERILEQIIQERKQIKERSTGAGAGADDEAAAAGNECFLDVLLRLQKEGDTPIPITNETMMLLLHNMFSAGSETSSTTLNWTMAELIKSPRVMAKVHDEVRQAFQGKNTITDDDVAKLSYLKMVTKESLRMHCPVPLLGPRRCRETCKVIGYDVPKGTIVFVNAWAICRDSKYWKSAEEFKPERFENISIDYNGNNFEFLPFGSGRRICPGITLGMANVEFPLASLLYHFDWKLPNQMEPEEIDMREAPGLVGPKRTSLYLHPVTRVAPSSV >OGLUM10G11120.1 pep chromosome:ALNU02000000:10:14941560:14946269:-1 gene:OGLUM10G11120 transcript:OGLUM10G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGAAHSASTSGGGGGSEGSPTGRAAPGMQGGGSAATPAASASASTPASETTVARRLDGLDIQGDDAPSSQPATSKKKKRGPGTRATGPDKGGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPNNNFASPDPDNPNTPQFDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSMSDVEELKTEIIGLKGRIDKKNAYLQELEDQFVGLQNLAQRNEQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSFVLKALGFSGKEPDDTQARVGNGGECSTTPIYHQSPQVARPNGVRLPTSPPIPGILKGRVKHEH >OGLUM10G11130.1 pep chromosome:ALNU02000000:10:14954193:14954767:1 gene:OGLUM10G11130 transcript:OGLUM10G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATVILEMEVHCNGCARKIEKTIKKISGVALATASLGSPGTVVVHGTADAAAIQARLKAKIKRDVAIVSITAGAVEPPQQAPPAAAPPQQAAPPAAPPHQYGGAPPAPPHQYGGDYRQHGSGNSFRYPPSYFSDENPSGCSIQ >OGLUM10G11140.1 pep chromosome:ALNU02000000:10:14956891:14957265:1 gene:OGLUM10G11140 transcript:OGLUM10G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGRRWPPPSVSSVKEKLDDAAAENAAVAGGAGDSGNGASTSESSPAVAVLQIDMDCWGHSKMVRKLVMDYPGVDKVTVDIPARRVMVAGKFDVQCLELLLQVRSKKKVTIISAPALAIAGR >OGLUM10G11150.1 pep chromosome:ALNU02000000:10:14960214:14962870:1 gene:OGLUM10G11150 transcript:OGLUM10G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKKDKASGKDAGEKKDAAGGGEKAAAAAPGPIVLKVELHCAGCASKVKKAIKRAPGVETVVTDTAGNKVVVTGAADAAELKERIEARTKKAVQIVSAGAGPPPKKDKEEKKDKDKKGGGDDKKADKEKGGGGGDKKAEKEKGGGDKPKEEKKAKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVAVDAAKDLVKVTGTMDAAALPGYLKDKLSRQVEVVAPGKKDGAGGGDKKDGGGGDKKDKKEGGGGGGDKKDAGGEKTDKDKSAAASASVAPVPLADAGMFQMPPQYGFNPYHVHPGAAYYGGAPPPNPAAFYHHPNAAAAAAYQPYPYNVHAPQMFSDENPNACSVM >OGLUM10G11160.1 pep chromosome:ALNU02000000:10:14997200:14998357:-1 gene:OGLUM10G11160 transcript:OGLUM10G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEPSWPPPSPSTATIRSGGTQAERCVRGWESERTIDLRQQEDGMAKLQYDAQDGGGGGGDGELATVVAALRVYRTDSGVWSTCLLLGCRGLSGTDFNSS >OGLUM10G11170.1 pep chromosome:ALNU02000000:10:14999413:14999899:1 gene:OGLUM10G11170 transcript:OGLUM10G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKPWIPSDVTSCPGLRSFSAVNVHFAGGFPNYFGNATLFPELESLSLARNLLWGEIAPEFGKNSKIRGTTKMPGPMTSCRGGLSFVSGMANLVEAHLQQNVFKGPLPDATSLANLRVFDASDNDLSGVAKFPAGVTVNVAGNPGVGTPCPS >OGLUM10G11180.1 pep chromosome:ALNU02000000:10:15000275:15000692:-1 gene:OGLUM10G11180 transcript:OGLUM10G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRIEMSSNSCSIIRSRWQQPWHMSPLGFDWRGSSSRVEIPPGTAGSAVLDLQYANMIITTERQLLETEAEERWSGTTRRRALHAIYGDGGGREAAAALELDAGLAEVGGGAHPSVVGADGALTR >OGLUM10G11190.1 pep chromosome:ALNU02000000:10:15008167:15013081:1 gene:OGLUM10G11190 transcript:OGLUM10G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPEQLSHRSSCNLRAETHLHPVAGLPPLALLRLTRPPAPPDPPAMGCSSSLPANNAGGVGTISNENSGTDPKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSSESFNKAQYWVKELQKHGSPDMIMALVGNKADLHDNRSVSSQDAQEYAERNTMFSIETSAKTADNINQLFEEIAKRLSRPTPS >OGLUM10G11200.1 pep chromosome:ALNU02000000:10:15013435:15018706:1 gene:OGLUM10G11200 transcript:OGLUM10G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPSMHLKPHSVVSFLVLLLLFHAAAAGGDGDQFRYDGFAGAALDLDGMAVVEPDGKLMLTNVTSQMKGHAFHPAPLRFHHPPPANGTAAAARSFSTAFVFAIAADYVTVSGNGLAFFVAPSKNMSTASPSQFLGLFNSENNGNASNRVFAVELDTILNPEFRDINSNHVGVDVNGLVSVAAEPAGYYDDATGGAFKNLTLFSGAAMQVWVDYDGRAAVVNVTLAPVEVAKPRRPLISVAVDLSPVVNGTAYVGLSSSTGPFHTRHYVLGWSFAMDGPAPPLDYAKLPKMPVVSAKRRSKALDVVIPVAAPLLALAVVAGVSFLVWRRLRYAELREDWEVEFGPHRFAYKDLFVATAGFDGKRLLGVGGFGRVYRGVLPASGTEVAVKIVSHDAKQGMRQFVAEVVSIGRLRHRNVVPLLGYCRRRGELLLVYDYMPNGSLDRWLHDHGAPPLGWAQRLHAVRGVAAGLLYLHEDWEQVVVHRDVKASNVLLDGEMNARLGDFGLARLYDRGADPQTTRVVGTMGYLAPELAHTRRVTPATDVFAFGSFVLEVACGRRPIERGGAMTAAADEDGQLVLADWVLDRWHKGDIAAAADARLCGDYDAKEAALVLKLGLLCSHPVAAARPTMRQVVHFLDGDAPLPEPEPTYRSFTTLAMMQNADGFDSCAVSYPSTATSIDGASSVLSGGRERAFFAMVSLPCLVVLLLAAAVGVEAAGGGGGGGVEFVYDGFGGAALALDGMATVTPGGLLLLTNDTDMNKGHAFHPDPVRFVGGGGGVVASFSTTFVFAIVSEFLDLSTSGFAFLVAPSRDLSAAMPQQYLGMFNASGNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAATAGYYDDATAAFQNLSLISRQPMQVWVDYDAAAAEVTVAMAPARRPRPKKPLLSTAVNLSTVVADAAYVGFSSASSIVLCKHYVLSWSFRLGGGGAAPALDYAKLPKLPRIGPKPRSKALTVALPIVTTAIVLTAVAVGFLLLRQRLRYAELREDWEVEFGPHRFSFKDLYDATGGFKDKRLLGAGGFGRVYKGVLPRSRTEVAVKRVSHESRQGMREFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGCDEKPILDWAQRIYIIKGVASGLLYMHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPEMVRSGKATTCSDVFAFGAFLLEVTCGRRPIEEEEEVAGAGADDDDRFVLVDWVRGHWREGAITDAVDAKLGGEYDAAEAELVLQLGLTCLHPSPAARPSMRQVMQYLDGSAQLPELPPTYVTFNMLAAMDTHQNVFGAWSVRRSSAMSVATVSDIGLSGGR >OGLUM10G11210.1 pep chromosome:ALNU02000000:10:15029625:15038294:-1 gene:OGLUM10G11210 transcript:OGLUM10G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BB01] MCLCHVIRPGPSPRRLIPVGFRSPTARRQRQGDPHPPRRASRSRTPPPPRREASERLGVLLLLDGERRQRVAAGERTRQRERRRGRKERFSDASASVRGARKDGLMQKAFSVQLLRPSRSRRRESWPQSGGSVEVADYPGLMVWYCAFSHRNLLVWFILRKRCLTLFSEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVILVRLDSELLCNPLVSCSLSLERTVSTIKYLYKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFKPEGWMQNDIILFENLLNFKGENANCNDFCQKLASGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGMPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILNSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEESSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLMVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNREQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVWGREHCAS >OGLUM10G11210.2 pep chromosome:ALNU02000000:10:15029625:15038294:-1 gene:OGLUM10G11210 transcript:OGLUM10G11210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BB01] MCLCHVIRPGPSPRRLIPVGFRSPTARRQRQGDPHPPRRASRSRTPPPPRREASERLGVLLLLDGERRQRVAAGERTRQRERRRGRKERFSDASASVRGARKDGLMQKAFSVQLLRPSRSRRRESWPQSGGSVEVADYPGLMVWYCAFSHRNLLVWFILRKRCLTLFSEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVILVRLDSELLCNPLVSCSLSLERTVSTIKYLYKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFKPEGWMQNDIILFENLLNFKGENANCNDFCQKLASGAAIFVNDSFSLSHKMLASTVGITRFCHASLAGFHFEEELMQLRKITDTTRRPFIAIIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGMPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILNSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEESSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLMVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNREQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVWGREHCAS >OGLUM10G11210.3 pep chromosome:ALNU02000000:10:15029625:15038294:-1 gene:OGLUM10G11210 transcript:OGLUM10G11210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BB01] MCLCHVIRPGPSPRRLIPVGFRSPTARRQRQGDPHPPRRASRSRTPPPPRREASERLGVLLLLDGERRQRVAAGERTRQRERRRGRKERFSDASASVRGARKDGLMQKAFSVQLLRPSRSRRRESWPQSGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVILVRLDSELLCNPLVSCSLSLERTVSTIKYLYKAGAKVLLVTSWTPVLQSVYPVLKSTETFADYMSSLLQVKVVPVNGVPGLTSFKPEGWMQNDIILFENLLNFKGENANCNDFCQKLASGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGMPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILNSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEESSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLMVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNREQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVWGREHCAS >OGLUM10G11210.4 pep chromosome:ALNU02000000:10:15029625:15038294:-1 gene:OGLUM10G11210 transcript:OGLUM10G11210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BB01] MCLCHVIRPGPSPRRLIPVGFRSPTARRQRQGDPHPPRRASRSRTPPPPRREASERLGVLLLLDGERRQRVAAGERTRQRERRRGRKERFSDASASVRGARKDGLMQKAFSVQLLRPSRSRRRESWPQSGGSVPPQSASVVHLEEEDKYSCIDHSTSYLHVQSVRDFPIEKLNAEVILYHVVCRWKGLCQLSNTCTKQEQKCFWSPVGLPFFNLFTQYSSQLKLLQIGGSNFLGKAPALNLLASLCDGLFFVGKLSLQIMNGFGMPVPSCFVEKNSTKEVLQLIQTAHNRNIPIYYPTDTWCLNNKNNNHEKLEILNSAELLPGWTPADIGPSTLEKISSLIPLYKKVLWIGPTGFDLTEESSGGAAQLGRILDKASHDSCDVILVGSAACKAVKGISGSSSKYTTFKNASVVLEFLKGKILPGVAALDKSYPYQIPWNAIFSDSSQPLMVDIGSGNGLFLFQMARNWEGSNFLGLEMNKKLVVRCLRDVASVDKRNLYFVSTNATSTFRSIVSSYPGQLALVTIQCPNPDFNREQNRWRMVRRMLVEAIADLLQPNGKVYLQSDVESVLLGMKEQFMTHGKGQLVVDDDGGGDHQMDNPFGAASDWERHVLARGAPMYRTMLRKVWGREHCAS >OGLUM10G11220.1 pep chromosome:ALNU02000000:10:15038546:15061975:-1 gene:OGLUM10G11220 transcript:OGLUM10G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGGGGDDIFAGAGGGAIRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVDELLRRVVGDGDDAAATCLVADTFFVWPATLARKLGVPYVSFWTEPAIIFSLYYHMDLLTKNGHFNCKASQPHAVVVTYPLQGHVNPAVHLALRLAARGFAVTFVSTESVHEQTARALGVADPSGYDVFAAARATAAKAGCGGRHGRAVVSDGLPVGFDRSLNHDDFMGSLLHAFGAHVEALLRRVVVDAAATFLVADTFFVWPATLSKKLGIPYVSFWTEPALIFNLYYHINLLIEHGHFRCNEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPRRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPDGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRARIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSSRRGFDELVDELKRRCGGGGGRH >OGLUM10G11220.2 pep chromosome:ALNU02000000:10:15038546:15061975:-1 gene:OGLUM10G11220 transcript:OGLUM10G11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQPQDAVAANGNGGGKRPHAVVIPYPLQGHVIPAVHLALRLAARGFAVTFVNTESVHRQITSSGGGHGGGGDDIFAGAGGGAIRYELVSDGFPLGFDRSLNHDQYMEGVLHVLPAHVDELLRRVVGDGDDAAATCLVADTFFVWPATLARKLGVPYVSFWTEPAIIFSLYYHMDLLTKNGHFNCKEPRKDTITYVPGVEAIEPGELMSYLQDTDTTTVVHRIIFRAFEEARGADYVVCNTVEELEPSTIAALRRERPFYAVGPILPAGFARSAVATSMWAESDCSRWLAAQPRRSVLYVSFGSYAHVTRRELHEIARGVLASGARFLWVMRPDIVSSDDPDPLPDGFAAAAAADGRGVVVPWCCQVEVLAHPAVAAFLTHCGWNSILESAWAGVPMLCFPLLTDQFTNRRLVVREWRAGVAVGDRGAVDAGEVRARIEGVMRGEEGEVLREQVGKMRATLHAAVAPGGSSRRGFDELVDELKRRCGGGGGRH >OGLUM10G11230.1 pep chromosome:ALNU02000000:10:15076147:15080921:1 gene:OGLUM10G11230 transcript:OGLUM10G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEPSSSADPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKIRMNKVVRKNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQEPIYVLEFLQTVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRSKENPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFERTEAGAGAAADPFASAAAVADDDDLYS >OGLUM10G11240.1 pep chromosome:ALNU02000000:10:15086932:15095109:1 gene:OGLUM10G11240 transcript:OGLUM10G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLLQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTCMSSPFRFFSSRVSSLDLLIRGCSGVPRSVLSTIFCTISSTSLIFGRCLAWRTRHLFATEASLAADFKL >OGLUM10G11240.2 pep chromosome:ALNU02000000:10:15090646:15091996:1 gene:OGLUM10G11240 transcript:OGLUM10G11240.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MVLCWNSNRLAAIVFAACLQERKNYTQDSSWRYFKPRAVSSATLSRIAHDAGLGDLDDRPLRPSCLMATSTLRRGSRTLRMTQPKPPSPSTSERLNPRVALLSSWKVKMRRLLLARCGSDSCRYRPNDSALISDDTFREHSDPDRRASRSVDVLLFDRADSDHVVAAAAATADDRPRRSSLSQPANPKHCIAFDMVPGEDDDASPALHQRRGRRKKGQ >OGLUM10G11240.3 pep chromosome:ALNU02000000:10:15086755:15091996:1 gene:OGLUM10G11240 transcript:OGLUM10G11240.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLLQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTYSSWRYFKPRAVSSATLSRIAHDAGLGDLDDRPLRPSCLMATSTLRRGSRTLRMTQPKPPSPSTSERLNPRVALLSSWKVKMRRLLLARCGSDSCRYRPNDSALISDDTFREHSDPDRRASRSVDVLLFDRADSDHVVAAAAATADDRPRRSSLSQPANPKHCIAFDMVPGEDDDASPALHQRRGRRKKGQ >OGLUM10G11240.4 pep chromosome:ALNU02000000:10:15086755:15091355:1 gene:OGLUM10G11240 transcript:OGLUM10G11240.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLLQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTYSSWRYFKPRAVSSATLSRIAHDAGLGDLDDR >OGLUM10G11240.5 pep chromosome:ALNU02000000:10:15086755:15089342:1 gene:OGLUM10G11240 transcript:OGLUM10G11240.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G08305) TAIR;Acc:AT5G08305] MPPPPPHSPPLPPHLLRHLDGRALSTPLLDPLIRAASASASAPHHAFSLFLLLLRSALRPSHLTFPFLARAAARLASPRLARAVHAQPLRRGLLLQDLHVSNSLVHMYAACALPGLARRLFDEIPRPNHVSWNALLDGYAKCRDLPAARRVFARMPQRDVVSWSAMIDGCVKCGEHREALAVFEMMEATAARHDGVRANDVTMVSVLGACAHLGDLVRGRKMHRYLEEHGFPLNIRLATSLVDMYAKCGAIVEALEVFHAVPVESTDVLIWNAVIGGLAVHGMSRESLQMFQKMEHAGVVPDEITYLCLLSACVHGGLVDEAWRFFRSLEAQRLRPHVEHYACLVDVLGRAGRLEEAYGVVKSMPMKPSVSVLGALLNACHIHGWVELGEAVGRQLVHLQPDHDGRYIGLSNIYAVARRWQEAKKARKVMEERGVKKVPGFSEIDVGRGLCRFIAQDKTHPGSAEIYALLKLIAMDMKMKDDATVPDYTYRSFLLLSN >OGLUM10G11250.1 pep chromosome:ALNU02000000:10:15089773:15092501:-1 gene:OGLUM10G11250 transcript:OGLUM10G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRAGEASSSSPGTMSKAMQCFGFAGWEREERRGRSSAVAAAAATTWSLSARSNSSTSTDRDARRSGSECSLNVSSEISAESFGRYRQLSLPQRASNNLRIFTFQELKSATRGFSRSLVLGEGGFGCVYRGTIRRVLEPRRSVEVAIKQLGRKGLQGHKEWVTEVNVLGVVDHPNLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSSRSPRPASWAMRLRVALDTARGLKYLHEESEIKIIFRDLKPSNILIDENWNAKLSDFGLARLVSQDGSHVSTAVVGTIGYAAPEYIHTGRLSSKNDIWSYGVVLYELLTGRRPLDRNRPRGEQNLIEWVKPYSTDSKKLEIIMDPRLEGSYSLKSAAKLASVANKCLVRHARHRPKMSEVLEMVQKIVDSTDLGTPEHPLISKSRELTRDEKKRKGLDLKRRFADIKAGGDQRWFTWQRWRPKLVRTQ >OGLUM10G11260.1 pep chromosome:ALNU02000000:10:15098378:15106559:1 gene:OGLUM10G11260 transcript:OGLUM10G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVILLLFGLSSD >OGLUM10G11260.2 pep chromosome:ALNU02000000:10:15098378:15106338:1 gene:OGLUM10G11260 transcript:OGLUM10G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALPVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKAEIEIYVKSLGKKPSTRVSRNCDQNSWALGCQPGWACARQDSSSSGREVPSRAVNCRPCCPGFFCPRGLTCMIPCPLGAYCPLATLNDTTGLCDPYSYQITPGSNTACGTADSWADVITTDDVFCPPGHHCTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGSTEE >OGLUM10G11260.3 pep chromosome:ALNU02000000:10:15098378:15106338:1 gene:OGLUM10G11260 transcript:OGLUM10G11260.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALPVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKAEIEIYVKSLGKKPSTRVSRNCDQNSWALGCQPGWACARQDSSSSGREVPSRAVNCRPCCPGFFCPRGLTCMIPCPLGAYCPLATLNDTTGLCDPYSYQITPGSNTACGTADSWADVITTDDVFCPPGHHCTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGSTEE >OGLUM10G11260.4 pep chromosome:ALNU02000000:10:15099398:15106338:1 gene:OGLUM10G11260 transcript:OGLUM10G11260.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALQGITVQQQPKNLTVLRGTIAVKAPQRNVSVFGKTHVRKIQQKKRRLYLVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGSTEE >OGLUM10G11260.5 pep chromosome:ALNU02000000:10:15098378:15106338:1 gene:OGLUM10G11260 transcript:OGLUM10G11260.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLLAAAVAAAVFLAALPVPPARCQQQQVAIPPPASTSPPVRLPPSAPPPLVGVPPGVLMARIDAVRDELAAEVQAKYGFCMANVQEDFTQAFSFSNASFVSDCMEETQGQMTGMLCEKAEIEIYVKSLGKKPSTRGITVQQQPKNLTVLRGTIAVKAPQRNVVILSVVLLLVYNCSDQFIKIRAKILSKSRRKAATIAQESATARGRWKLAKELVLSHELEMSESDQLAASSNEARHATEGNGKRSKNRKKLAHARTERFRRAYSQIGRERVLQPDNDKITLSGVVALAAENRSRRPMFEVVFKGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTTGYKKDGLVLINGKSGSMQSYKKIIGFVPQDDIVHGNLTVEENLWFSACCRSSKGMSKSDKIIVLERVIGSLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALQGVNVCAVIHQPSYTLFNMFDDFVLLARGGLIAYLGPISEVETYFSSLGIKVPERENPPDYYIDILEGITKTKMRGHAAPKHLPLLWMLRNGYEVPEYMQKDLEDINNVHELYTVGSMSREQSFGDQSENADSVHQNVREPYSLLDRKTPGVLAQYKYYLGRVTKQRLREATLQAVDYLILCIAGICIGTIAKVKDDTFGVASYGYTIIAVSLLCQLAALRSFSPERLQYWRERESGMSTLAYFLARDTIDHFNTLVKPVAFLSTFYFFNNPRSEFKDNYLVFLALVYCVTGIGYTFAIWFELGLAQLCSALIPVVLVLVGTQPNIPNFIKGLCYPKWALEALIIAGAKKYSGVWLITRCGALLKGGYDINNFVLCIVIVMLMGSTEE >OGLUM10G11270.1 pep chromosome:ALNU02000000:10:15109257:15112107:-1 gene:OGLUM10G11270 transcript:OGLUM10G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGASAGGAAEARAAGAGGGRKRGCVGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKTLTGGACSCPTSLAPACPSSAPKRKGTDPDVAGRVALGLTVDAPRGRLLIVYTDRIPRFGYSAVAAYELTSWRRLFLTRLDGPAAKKRQIIKFPRDNAHRLHCQRRLFLVRLDRPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPEGEPLSVIKNATFSQRPGWINNLVGLNGIVYHPSGYLLVIHTSGGDLFKVDPKTGSVRVVEVKGSLKAGDGLALLSPARLWSPPACRLVESDDDWETAAVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHIISKAAFAPL >OGLUM10G11270.2 pep chromosome:ALNU02000000:10:15109257:15112107:-1 gene:OGLUM10G11270 transcript:OGLUM10G11270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDCCGASAGGAAEARAAGAGGGRKRGCVGTALALVALAAAAAVAVLEGTAGGVSYVGDGWLHECAKTLTGGACSCPTSLAPACPSSAPKRKGTDPDVAGRVALGLTVDAPRGRLLIVYTDRIPRFGYSAVAAYELTSWRRLFLTRLDGPGDSTLADDVAVDDEGNAYVTDAKGNKIWKVSPEGEPLSVIKNATFSQRPGWINNLVGLNGIVYHPSGYLLVIHTSGGDLFKVDPKTGSVRVVEVKGSLKAGDGLALLSPARLWSPPACRLVESDDDWETAAVTGRYVGPAHRIGSSATVKDGDVYINHIIGFGLGKKTHIISKAAFAPL >OGLUM10G11280.1 pep chromosome:ALNU02000000:10:15131001:15135018:1 gene:OGLUM10G11280 transcript:OGLUM10G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSNSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETSGLLMHAEAQEKLGCMPGPCDGGVVHIDCVLCVMKLKGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >OGLUM10G11280.2 pep chromosome:ALNU02000000:10:15131001:15135067:1 gene:OGLUM10G11280 transcript:OGLUM10G11280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSNSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETSGLLMHAEAQEKLGCMPGPCDGGVVHIDCVLCVMKLKGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >OGLUM10G11290.1 pep chromosome:ALNU02000000:10:15149849:15153866:1 gene:OGLUM10G11290 transcript:OGLUM10G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSNSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETSGLLMHAEAQEKLGCMPGPCDGGVVHIDCVLCVMKLKGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >OGLUM10G11290.2 pep chromosome:ALNU02000000:10:15149849:15153915:1 gene:OGLUM10G11290 transcript:OGLUM10G11290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAATATAALRLRAAFLSPPPPPAASAAAASRLLPRRPTASILLLPLRRLCSVPPHAVGAAGTGSNSQPSPVMDAQFESFRAQLDESSTLRDRIRAVVSEVESASRVASAALLLVHQPVPLADVLGKAKAQVEVIKGLYSRLAEILKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETSGLLMHAEAQEKLGCMPGPCDGGVVHIDCVLCVMKLKGLCFMSNDFPRYVVNRVTAGDYDCPRKVLTFLTDLHASFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLVPGESKQEAA >OGLUM10G11300.1 pep chromosome:ALNU02000000:10:15163694:15168380:1 gene:OGLUM10G11300 transcript:OGLUM10G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLSIGGPAGAASSSHRGGGGGIVLAGGYGGAAGFWVEVGCGGRAGMDDMLYLACEGEIQQLLQGPRDETDIYKCLAGDRDNPNTSKSHTKSSRCGRRCGGKRKPFSLKPLRLETTTIRRHHLRKNNEHWTLKEITELVKGVSKHGVGSWTKLKRDFFSTSIRTAVHLKVKVQKTMMLSLDMELVEQIKHLASKHPYQRRKNY >OGLUM10G11310.1 pep chromosome:ALNU02000000:10:15170160:15171428:-1 gene:OGLUM10G11310 transcript:OGLUM10G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWGAYTEVARQKAVGRGRKVAAPPPNFPLVKTMPRLLGIDGSGVLVVIFLEASFEGPFPFNISPDDRPLCGGAYDFHKMTTLAGVSEGKWIHLFLALFLSNPGADAG >OGLUM10G11320.1 pep chromosome:ALNU02000000:10:15180704:15184285:1 gene:OGLUM10G11320 transcript:OGLUM10G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAACGWRKGLEEGEPEWEAMELEAAAPAIFPADGGGGGGGGGRRSPAASTIFLPDLLDGSFLSDDILPDLNQMEDIFQPAHEDDIHHLLQGPQDEADLDKWLADFIPKDGCKRGPRGARKRQTFPSRGARTRRAFPLDKWRNLLKACGIDFTSTAKGNAQKTMLWPLDKRLIEQITQLAYKHPYPRQKY >OGLUM10G11330.1 pep chromosome:ALNU02000000:10:15196705:15201572:1 gene:OGLUM10G11330 transcript:OGLUM10G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRSPAAATASSGPVAAAASAAMAAPGVGGVEPAVTLDQVPRWSDPDQRLYAPSSSSAAAAGGVEAGEGGGSEPAASAFLSFSDPLTGDDGGGVSAGGRGGASRFPVDHEINSRIYLWRGHPWNLEVDAVVNSTNESLDESHSSPGLHAAAGSGLAEECSTLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKSKIAGVVFCTVSSSDTEIYKRLLPLYFPRDRQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGATDRAATTAPIDLPFDSGLASKRSSFKLDSYLDPSFMSLIKDPDLRRKEQWEKSAQAQKGFNYAKLLGYGDLACPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYSIVYFHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQMFVDGEVWKKVVYVDRLVHLFRYVPREQLTIPDFVFQHDLEVNGGRGLIVDPRTKHIYQRPSG >OGLUM10G11340.1 pep chromosome:ALNU02000000:10:15207997:15209587:1 gene:OGLUM10G11340 transcript:OGLUM10G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQLKVLTTLDHARTQWYHFMAIVIAGMGFFTDAYDLFCISLVSKLLGRIYYTDIASDTPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLVLMVVCSVASGLSFGRTAKGVVATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNAYPAPSYADGRAASLVPEADYVWRIILMFGTVPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLDTEIQEDADRAEAVAAGCAGNEWGLFSRHFVRRHGVHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDVAGRFAIQLMGFAMMTVFMLGLAAPYHHWTTPGNHTGFVVMYGFTFFFANFGPNATTFIVPAEIYPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQDPHKPEAGYKPGIGIRNALFVLAGTNFLGMLMTLLVPESKGMSLEEVSKENVADDEEATA >OGLUM10G11350.1 pep chromosome:ALNU02000000:10:15211690:15212653:-1 gene:OGLUM10G11350 transcript:OGLUM10G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISKIANHWVGYLGVNGEEVEVKPMAWWLGLQRSTAPGTVTTDEATRIAPNTSDWAEGITLAATALPPPPLPCHRQSEQPGYSSVRKDGGSGVESCEAAGPILCEGEGDDAQ >OGLUM10G11360.1 pep chromosome:ALNU02000000:10:15216716:15218341:-1 gene:OGLUM10G11360 transcript:OGLUM10G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQEQQQHLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDLAKENPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLLMMVICSIASGLSFSHTPTSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLIISSAFRAGFPAPAYQDDRAGSTVHQADYVWRIILMLGAMPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQVEIQEEQDKLEQMVTRNSSSFGLFSRQFARRHGLHLVGTATTWFLLDIAFYSQNLFQKDIFTSINWIPKAKTMSALEEVFRIARAQTLIALCGTVPGYWFTVFLIDIVGRFAIQLLGFFMMTVFMLGLAVPYHHWTTKGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGSFGFLYAAQDPHKPDAGYKPGIGVRNSLFVLAGCNLLGFICTFLVPESKGKSLEEMSGEAEDDDDEVAAAGGAAVRPQTA >OGLUM10G11370.1 pep chromosome:ALNU02000000:10:15221818:15225561:-1 gene:OGLUM10G11370 transcript:OGLUM10G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWTEPYYNCVFIVSEAESMHHVATRKCQIPDLVPSVPNMMPRRYHDDVSIVSGGNCRRRWEDRWDVGIATRRQRYLQLQKVLNMRKPPSSSSILSLLPSPSLSGKQQQHVVNEQQQQVAGSSTDRAPTPFTVVCTKDSCSVALCLTPKIKSHCRQEIQVQSLICFDDLQSRGCSLLIVRSLALLFELAHDDDSHHADDDHDDDEGGAARKQ >OGLUM10G11380.1 pep chromosome:ALNU02000000:10:15239765:15240139:-1 gene:OGLUM10G11380 transcript:OGLUM10G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRSKDTATKYRGVRRRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAYAQRGAAAVLNFPAAAAAGRGGGAGGAASGSSSSSSAQRGRGDKIEFEYLDDKVLDDLLDDEKYRGK >OGLUM10G11390.1 pep chromosome:ALNU02000000:10:15260142:15261941:-1 gene:OGLUM10G11390 transcript:OGLUM10G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLEVNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDVDIYEYRIK >OGLUM10G11390.2 pep chromosome:ALNU02000000:10:15260142:15261536:-1 gene:OGLUM10G11390 transcript:OGLUM10G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYADGTTALPEWEREASIRQFYGVIFPSLLQLPSGITELDDRKQRRLCLQKFRKVEERVSEVDLERELECGICLEVNAKIVLPDCAHSLCMRCFEDWNTKSKSCPFCRACLKKVNPSSLWLYTDDRDVVDMDTLTRENIRRLFMFISKLPLVVLHVVDVDIYEYRIK >OGLUM10G11390.3 pep chromosome:ALNU02000000:10:15261587:15261941:-1 gene:OGLUM10G11390 transcript:OGLUM10G11390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFQDSVKALEADIEHANELASEFLRDYDGAVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMIYKVSPTPPRHRQFAADFSPAG >OGLUM10G11400.1 pep chromosome:ALNU02000000:10:15267991:15274160:1 gene:OGLUM10G11400 transcript:OGLUM10G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPMSLYCNKKKQLISPNNPFMNYEMMRIRERFCVFLPRENYALPRRKNPLSKSSVPLVVADHVVLVPGRPDDDEHLGGGLADALRRQLPPLLHHQPPLPVHHVDAVLDHLPPPAVRHHQHPLRLRRPPRQRAPVGELDHHRLAGDLRHGAPPGHHRPPRPPLVHLHHAEVRVRPGPPRRVGERLAAVALAHHREQQHGRRLRHLAVHEPHHGHGGARRGGGGGGVGGAVLVVHLLPGGLPPRAVELRLHPRPRGLRVHNLQRALQLRHGVLGVDGLTRLAEPREGEAVPGVVPREGLPPVVAAALREVLHGAGELAHPAWLQAAVDGVPRRVGALPRVEEPELVEQLGPAVGVEVAEELGGEAALVGDE >OGLUM10G11410.1 pep chromosome:ALNU02000000:10:15272762:15274948:-1 gene:OGLUM10G11410 transcript:OGLUM10G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPTPPRHPYHHHHRHHALPLPPPPPPRLHHLYQPFHPPPSPLPDKYKDLDLGQRLAVLRDRLGLWHEYAPLISALSREGFTPSSIEEATGISGVEQNRVVVATQVRDSLVADEGGFPAELLRYFDSYGGPELLYELRFLNARQRADAARHAIDRRLEPRGVRELARSMKDFPQRRGDDGWEAFTRDNPGDCLAFARFRQSREAIDAEDSVAELERALEVVDTEPARARVEAELDRARRKAAGEEVDDEDGAANAAAAAAASRPAVPVVRLMYGEVAEATTVLLLPVVREGDGGEALAHAPRRTRTDADLGMVEVDKGWTRWAVVPGWGPVAEVAGEAVVIELADGRTLPWRSAEAERVLVVANRGRREVVEDGIYVVDREGRLVVEKGRKLAAEGVGEAAAEVLVVVRPPRDEDDMISDDEWD >OGLUM10G11420.1 pep chromosome:ALNU02000000:10:15281862:15283030:1 gene:OGLUM10G11420 transcript:OGLUM10G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRTPVRAASPSPGPSPPPPPPPAQLKGGRPPVVHADEEEEKKKKKKKKQGSMVVGGDREEEEEEEDDDDDLVLVASTTSERDVTGAAAAGRCSRNDGKRWRCKSAAVPGYVFCDRHIAWSTRKRKPRKRSHSSIVDPPPRKEDPAAAAKAEDDDGDDDGGGGGVEEEEEESKNHGANLRCNDDDDDEEFHYYGGLQHGGRKRAKSGGGGGPA >OGLUM10G11430.1 pep chromosome:ALNU02000000:10:15286134:15287863:-1 gene:OGLUM10G11430 transcript:OGLUM10G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCASRLLGSSYSASAAAPPPPVTGAGAAAPGFELLPLALATPPPLPYPGSQESGAGATCFAAAAASGEPCELSRSPWDLIGELNISDPQEEDVVEKYFVHVASRASWLFPTSMPAAVKQKGLAAAGEFSTKIPNKKFAKKTAKKPSPATAKKKEAAAAAVKEEDEPTKKPKLKKEEDDSNSAISAAGGAQVWTCKKNDGKRWHCQRRVRQPNSLCDYHSDQKRGYYNPLYDDPTDETYAPPASPPSSVAPATASSKTSTSSSKARKKKVADSGEGFYYYAGFGPFRTKRHCRSSSSSNNYNMQDQPPPVEQEQHEEEEARSPEIADPHPSAGNKSRSGNGDDATTTTDRQTTLASTTTAVAARDDFSSSDDDDDDIAGIAGGDEESSDDAARIGRLRAGINGDARKNKSQQARKRWRKPVKARSLKSLM >OGLUM10G11440.1 pep chromosome:ALNU02000000:10:15290843:15291229:-1 gene:OGLUM10G11440 transcript:OGLUM10G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNQWARSPSRLIDHNVKRNRIAVRCAQTTEPPLLAASESQNTQQYNITVAVWHNGRAMTKEAVPLDPKCF >OGLUM10G11450.1 pep chromosome:ALNU02000000:10:15297064:15307298:1 gene:OGLUM10G11450 transcript:OGLUM10G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >OGLUM10G11450.2 pep chromosome:ALNU02000000:10:15297064:15307298:1 gene:OGLUM10G11450 transcript:OGLUM10G11450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein-related [Source:Projected from Arabidopsis thaliana (AT2G46060) TAIR;Acc:AT2G46060] MAAARRAKVAAALGVVVWWWWWWAVAVVGCGAQPVVVGSYGQPRLWLKPYDWSYLRVELPPSFSSVTMDFATDRDIQREHLKDLPRRDLAIICLMNSNPPIPDISDSFLDTLLSNFLVVGSFGSTNNQSDLAQCIPFQKNTTIVLRNDQISPGIWYIGYFNGLGPARTQSKMISRGRARSVSTSITVEGCPTSALWGPYCNQTIEMISCSQSSGYNNSRNLMGLNIDKRKTLNTREHTRRINFLSQWNHLEEKGVGSNSTTYSRMDNSITCAISNGSLCLRQGDMKFYFLDVVDLALQFEITATNFGLAQRSSLICYLRYNAFPRRDLHDYSGDISSAPLVLKLPNIGRWYIAIETVNITQMNSTASTPLLDTTCFSLEWQVTGCLNGKAGTNCSWEAYVLQRVPKRSPSVPFESYYVPSDGKASLEYSHFSLEQFLSNSSFEPFAWTYFFLDIPEGSAGALIHVQIKSDKELNYELYSRYGGLPSNESWDYYASRTSSSNGSVYFSLQNSTNSDMDLSIFYAKEGTWCFGVKHPSDKSNSQTYMSISLQGCHKNCNQKGACHSSIDESGLTFYSFCTCDRDHGGFDCNDELVSPNGHIWQSVFLIASNGAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFIYMATIDETSKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLIGWLLEFSTARRFVCWPWRINLNVPQSWPNLRSLFWNSLDLLNKRFRWFYLLLGFITLAFAGTSWKLESNRSYWVWHSLWHITIYTSSFFFLCSMRINTVNHSPEPSYELTRQNSLPRSEPRET >OGLUM10G11460.1 pep chromosome:ALNU02000000:10:15324409:15326028:1 gene:OGLUM10G11460 transcript:OGLUM10G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCKPDYRKDESGLTTEDGQYDGWDDQQSAGGSSNWNSRATRSFGLADIPEWTGKPSLPYEDPDMLRFLGEPILTPKNNEVFDDTIGKGRPDKCNCEVPGSTSCVRFHVAEKKTELKREMGSSYYAMKFDEIGEDAALTWTKDEEKKFETIVQQNLPSSKYNFWDKLRAAFRYKGERALVSYYNNVFLPRRRAFQNRVAQHANGVDSDDDSIEPAFLRLRQDGVQLHLELKTQKVHLFLSSPIGYWTGDDYLKRLFYHYLGVLGGMSGCFMLILSQRSGQMFLRIFSCKVTTET >OGLUM10G11470.1 pep chromosome:ALNU02000000:10:15327808:15328722:1 gene:OGLUM10G11470 transcript:OGLUM10G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPTPPPPSAPSSAPPFKSNASSSRPNRAPPQGAPTSPAAATRPPPFAMVPAVSEAVLAVFDVSTTLRSQGYLAGQELPSITLPEDRAPEVFERLLGSFLAQSHGPGVLPPRPMPPLLGDGTPVGLLRLYLAVRACGGFEAVRSWAAAAEMAGLNPAMDVPIMLVYHKYLCPLEASFLRAQKLREEAGSSGGSAKKGKFLAPAARDAEGVEEVLDLKRKRESLVGMLNWVRQVAKKPDRRRSGRNTADNHLSMALMFRRQMFVDDGFSDKPHGCASPEPEATEPEVNSSLPPTSIFLEMLLD >OGLUM10G11480.1 pep chromosome:ALNU02000000:10:15361606:15366064:1 gene:OGLUM10G11480 transcript:OGLUM10G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPTESIYQGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVTPEDKSSDEELSEDEDDSGDDAILGNPDP >OGLUM10G11490.1 pep chromosome:ALNU02000000:10:15372033:15380319:1 gene:OGLUM10G11490 transcript:OGLUM10G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDSSRSRDKRDADDDVDARSSWKEDDEHEDVEDRKNRSGKSTRYIYDDEGDEDDYDVTRESRVSKVPRRSPEERSERRLSDGYKDRDGDSSRRRREDNNDWDSSRRSGSRTSGHDVSRSKSRSSDRTSSDRADTRDSRSSADQSKNRSAREAHDYRNELSERWEDTERRKGSARTDKNDQDRRSIDPRYDSPPARDDRIVDSVDNTRQNTLHYNAKSEESDEKCMDQVEGTNRISDNVETKDMLPYVDKDGHALSRDGRNKEARHHREKDDGDQGHSDSDNERNISMKEKIRVDAHGDYKSYRGRDRNRELEGSKEHWGSRQRHDSKEPNDYDVGAEWRHGQERLDGGNFHGRSGYRKDSRGRYESSKGPSSYGNRYDSSDSIEIRPNRNLDFGRESSVSGRRINMGSLQDLTPGTSDPSEENKRNYGNGEDAQERYYDDVQNMDDKIPSDSQTGRGGAIASNNSGAGPSGSGSIISPTPQQGPKGSRPSRGLRGRPNVRDPQRMGLPVPLMPPPPFGPLGLPPGPMQPIGPNMSHSPGPLGPGVFIPPFPGHLVWPGARGIDVNMLSVPTNLPIPPVAGPSFTSSVAAGPNHSIHLNQTGSGLGSPANAPGTGFNPLSTPNHEILQDKPPAGWTPQRGPAGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQRKDDIVSNSASAPMYYKCDLKDHMLSPEFFGTKFDVILIDPPWEEYVHRAPGITDHIEYWTPDEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWIKTNKKNATPGLRHDSNTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSSSNFHKEAYIKNFMDRDGKIWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPPQKSQQQQSMPPMGSSSSTNRRSVMNSQIVVTVVGSETMMPSPWSSNPMSGFGMPE >OGLUM10G11500.1 pep chromosome:ALNU02000000:10:15372663:15373271:-1 gene:OGLUM10G11500 transcript:OGLUM10G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFGRRRRAERAGGGAGGGGGGSAAAGGDRTDTPKHRQAVEDDGGDVLALPVPIPVGAIFLSCGAPARRSSAPPAGRSPRSRRRRRFPRMDTGCCSVIATIARHSVPSCTITNAFSGISANVKSGVKSELQRQVRTRLFGSLPAGCTQEVIQDQ >OGLUM10G11500.2 pep chromosome:ALNU02000000:10:15372663:15373271:-1 gene:OGLUM10G11500 transcript:OGLUM10G11500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFGRRRRAERAGGGAGGGGGGSAAAGGDRTDTPKHRQVPRRGGRRRRRPRSPRPDPRRRHLPLLRRACPPLVCSAGRPLPAQSAAAKVTVPASRSWPTWMFCCARFPRMDTGCCSVIATIARHSVPSCTITNAFSGISANVKSGVKSELQRQVRTRLFGSLPAGCTQEVIQDQ >OGLUM10G11510.1 pep chromosome:ALNU02000000:10:15394929:15405301:1 gene:OGLUM10G11510 transcript:OGLUM10G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVITNRAPPPTPTKTTASESARDSHFGDLGLVCTPSSYVVVVAVVVLCWLLAITPSSSAAGRTCCSIDRTPAASAAAAVIEDRY >OGLUM10G11510.2 pep chromosome:ALNU02000000:10:15394945:15408842:1 gene:OGLUM10G11510 transcript:OGLUM10G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEVIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >OGLUM10G11510.3 pep chromosome:ALNU02000000:10:15404786:15408842:1 gene:OGLUM10G11510 transcript:OGLUM10G11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLASTPKVVLGCVAFTIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEEAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGNMLSWKSRGSKDLLFRVCVVSAVASALFTNDTTCVVLTEFILKVARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVESGITFGQFLLGVFPAMVVGILANTCILLCYFWRYLSADRDQLEGGGAHGPEVVADEEVTSHRFTPARMSHASSVNDSDCISEPIRRSESMNRADALRSRSYNSEGDIQVAIRSLRASSLSREMVEVSTVCDRRDVVVDGGGGGGPRKITRSTSHQRSVIIEDAPEQHQHPAGLFDGEKDKDDEVIGKRRRWKVIVWKYAVYLTTLGMLAALLLGLNMSWTAITAALILLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >OGLUM10G11510.4 pep chromosome:ALNU02000000:10:15394929:15405301:1 gene:OGLUM10G11510 transcript:OGLUM10G11510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQATPSSSTSHFSPPSPLCLRACQPRKAKLSCLALFDDQVAWFDELTIEEKRTANVDRY >OGLUM10G11510.5 pep chromosome:ALNU02000000:10:15405350:15408842:1 gene:OGLUM10G11510 transcript:OGLUM10G11510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVHDLLGSRRVPVGAVHAGGSHGGLPPGRHAHGALPRDDARGGVRRHRPPHPRPPLRHHGRLHLPRARRHVQVPRQHAVVEEPRQQGPPLPRLRRLRRRQRALHQRHHLRRPHRVHPQGGAPEQPPAAAVPPRARVELQHRLRGDPHRQPAEPRHRRRERHHVRPVPPRRVPGDGRRHPRQHLHPPLLLLEVSLRRPRPARGRRRAWPRGRRRRGGHLAPVHPGEDVPRVVRQRQRLHQRAHPPEREHEPRRRAPQPELQLRGRHPGRHPLAPGVEPVARDGGGVHRLRPPRRRRRRRRRRRAAQDHQVDEPPAERHHRGRAGAAPASGRPVRRREGQGRRGDRQAAAVEGDRVEVRRLPHHPRHARRPPPWPQHVVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYARIDSPKGVVLLAIVILVLSNVASNVPTVLLLGTRVAASAAAISPASEKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVMSY >OGLUM10G11520.1 pep chromosome:ALNU02000000:10:15422056:15428487:1 gene:OGLUM10G11520 transcript:OGLUM10G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQREDPAAAAPSSPDDGGGSPRSPKLSYATANGSTLPEEISIEVEAASSDSEEAGDELISNCQGPPQQRPHDPEDTRSGDNVRRCRLYKVPEQICKADKREHEPSYVSIGPYHYRSEGLQARSNLWKEQCVSVVKSRLQYLNQDADLLLDKMKGIEDEVRMYYDDIRSSPFPDKGQAFCEMMMTDGCFLVITLALLSDENSSITVSSNWDNLFWWHDILLYANQLPFVVVRAIYQLIYPGMNGDVPLLRYIKDGLKRYTKRKVSDPGNADHVLHMCHELLKPTDADRDGDGDRVGRWRRATEYSELLVQFKERDLDSEGGNGDFQCISDVRVRARGRVVEIPKLQLNPESWMLLRNLMLLEQMNDHLGGHVTAYCNFISQVASTSADVSLLVRRGIIVHTEANHERAAKKLSMLCDQIIYDQRKDYLKSDWQELDAHCRSWSWLLWAKLFLHKDWKNPLVTLGALAAIAILACAIVQTWYTVKAYKDQNKHPGQS >OGLUM10G11530.1 pep chromosome:ALNU02000000:10:15454250:15467501:1 gene:OGLUM10G11530 transcript:OGLUM10G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVDLSSLSAVKSPDPFVRTLTGVKEAGLVTSSINTPSSFLSSTSLLVKWKMVEGTPKAGVAAVKSKPDEATTTSGRSHPPSEMMLARGGWMGGREREGGGLRMVVSDIKGDSGRGWNGWIGHVANNASSTKTQLGGHRGWGSHVLHPDPMRMIKTSKHAISVGSINRRGMGCSDDVSNEEMTPKDVPKTSSSRQSHLDPARLSPFHDPVFTREKNTTEAEATAMSLADAHGTLPNTTCPSTSSSMAPMSRYNNGSTGSGRGPPPAGAQAEMECMVVELKLLVLELECGSSTTALGIGNT >OGLUM10G11540.1 pep chromosome:ALNU02000000:10:15503395:15504774:1 gene:OGLUM10G11540 transcript:OGLUM10G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDQQRCHISRAPDHIRSSNPEHYKPLAFPVGPYHARSGVSAPEKAQRIKEQCVDEVVQLSGRSRHDLLEQMRSVLDRAREYYADEIGMDDEALAQMLLLDGCFVLVSLRGTERLKQLTPESTESLLSENSDDRCSDCSDQQGDEENQIAAANNGGGGGGVDSWHHFNVARDLFLAENQIPFFVVQKIYELLVKNHPYAERGVVGAVEAYVREVMAVYADGADAQAQPPAADHVHHLLHLSHMYLRPRTRRWSGGGGGGATVGRLRRATQLRELMVRFKKLEIVGRKAAPAAGSILDVAFRGGGVLEIPRLEIDGGTWRQMANLILLEQGSPHVGLYVTAYCAFMSQLAGTAEDVALLCESGVIEHQLGGDGDVADGLRRLCDGIIFDADDDAYNYLRPVYRAVEEHCRSRTLRLLCWVRGHANCPNPWLLLGIVAIITLLCFIVQQLQHATLSKDAT >OGLUM10G11550.1 pep chromosome:ALNU02000000:10:15534567:15537047:-1 gene:OGLUM10G11550 transcript:OGLUM10G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRIEFLVVVTALLFLLMSILDMFRRRSRHNLLKCILLVLDSISDSTLIYTIGLMQSVPSINRNLFPVWALILVNLRSSVCFISAYGIPDQQNRRFTEIARVMALIGVAFLNSTFNNRFKHPIWALWAMQVVRCGYLLTVYRFATRSYLHGWSSPLLTAYMGTPDGIAANGDTATMQGYKYLVSGDQKQTVQVKPPEYKFTLFVPECRKRMLITLDKVWQPRNQQNASNGPENEAATDSSADIRTPQMKDMCLSFALYRLLRCRFDDLSLPSDSVVNTRRLISEMIGKNSTDLAAQISNYSERTFRIVRAELAFLNDYFYTRYPVLFWRGFPIYAVCHPLLTIAFTIWLGRDLYKIYKPKQGEIVHVVHGANVDIIITWGFMGIIVFKELWKMITYLLSDWTKVMLLCEYTADSFKHTPRWLCKGFLWLLCTRRSKIVQHWHNKVNQYEFLQSFNYKPSKWNTLYYGTLGLVPRRRDGEIPGKSIELPEDVKSAILRSLYSLNLEQDRFEPNFPSLFSKFDLPACSHIILLWHIATSLCEIQLSQRYNACLTNSELQHAVKAGKNSQPYIVKEERLESALRANYIVASCISRYCAYLLVSEPDLLPDTYLSSAEIFESTVAEASDVLKGSDNLQSIYRKLMHLGDDANGENMKRRYSNVILKKSAQTAKSLIAIDRMTRWKILAEVWAGMLVHIAPSENAAAHKKCLSTGGEFITQIWAILSHCNIQKSNLWPRLKSPEDNEAEEQEASAGGNQAAVGHGGEMFAQGVPTRNDEAGPSGTKPNGQFAWQDDQEIKEAIAVSPREQDETSIISATMGGNNETEDVD >OGLUM10G11560.1 pep chromosome:ALNU02000000:10:15552731:15556567:1 gene:OGLUM10G11560 transcript:OGLUM10G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIFIRRDDLTPGFIQFIVALTTLLTVIRFGLDALWAVLMVTLRYSVKIGSPAGMAMKQTPLVDLMSSFWAAHILRSHDVSMLLKVPVWLLWENMKMLTDYMRHEHTTVPVQRPDPSSMKGYRYLVLGEAKKLKKREAEQGIDSVEATETISRILLALTDDNNQELVTLERIWSHQGRCSHDGCQFNLPPGCCDILDQKTKDLCLSFALYKLLRRRFFNFPIHEARLQKTRRLVVNGILGEGDAADYERAYAIIFTQGFPWVRLVLSTLLIGGISTMAVAVHRFSKSAKEDELGRAHIHHGVYFTWVILSLLGAKEIWEMTTYVFSDWTKVLLLCKFIEQPWWMRCWVGNLARALMRMLLCSPPLFRRWHGKVGQFNLLFSRHSSIHLSKQVKKAVVDSLKNSIRQNLRLNNYLEQAINKNSLSIRLVRPSDNQEQEQAPQNSQADGGHRVSVEWLQGLQKKSVEWQLQDDVHTLLVWHIATCYCELKLAETRNVGANYTWLSWRGFGCRRGPPDADNPWRPHYLVSRTLSQYCAYLLWLVPPLLPGNSLMAKAVSRQVYRERNRLLGRRVYLPFSWCTSTTKVLVKLEKYRSEEIQLFADEAGNANTTILRKGAEVGMGLITAARRADSEALWKFLSDFWAGFVVHLAESTKASQHKMYLTAGGELSTHLWALLSHAGYLGATAHGDQTFDTVLQQPQPYNPNVQL >OGLUM10G11570.1 pep chromosome:ALNU02000000:10:15573768:15574454:-1 gene:OGLUM10G11570 transcript:OGLUM10G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLVLLIILVLLSIGMTTSARTLLGYGIGGEGGGGGGGGGSGGGGGYGGSGYGSGSGYGEGGGAGAGGYGHGGGGGGGGGEGGGSGSGYGSGQGSGSGYGSGAFGAGGYGSGGGGGGGGGQGGGAGSGYGSGEGYGSGYGSGAGGASGGGGGHGGGGGGGQGGGYGSGSGYGSGSGYGQGDGAYGGGYGSGGGGGGGGGGQGGGSGYGSGSGYGYGSGGGGGHF >OGLUM10G11580.1 pep chromosome:ALNU02000000:10:15576879:15577433:-1 gene:OGLUM10G11580 transcript:OGLUM10G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKHLALAILVLLSIGMTTSARTLLGYGPGGGGGGGGGGEGGGGGYGGSGYGSGSGYGEGGGSGGAAGGGYGRGGGGGGGGGEGGGSGSGYGSGQGSGYGAGVGGAGGYGSGGGGGGGQGGGAGGYGQGSGYGSGYGSGAGGAHGGGYGSGGGGGGGGGQGGGSGYGSGSGYGSGGGGNGHH >OGLUM10G11590.1 pep chromosome:ALNU02000000:10:15587765:15588115:-1 gene:OGLUM10G11590 transcript:OGLUM10G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGGGGEGGGQNGYGDGFGSGVGFGQFNGGWPYNNGYYKAGGRGSGGGGGYGGPNRFGHGSGSGVGIGEAGNQYGDEYAKSKGDGGGAGDSARGGYGEGLGHGSGYGDTGPQQP >OGLUM10G11600.1 pep chromosome:ALNU02000000:10:15594014:15594665:-1 gene:OGLUM10G11600 transcript:OGLUM10G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNKLLAITFFVLLSIGVSNARMGALDTPPAAVAVVVGEVVVAKQEDMCLIMDLTMLEVVGAEAAAVEAVVAVAVEVVEVVIMDHMDLTMDLTTDLTMDLMLVAVAAVVVAAAVVEAAGMVMVLAVDMVKLVGLIMDLMLAVVVVAAAVVVEVAGMVMVLAVDMVKLVGHIMDLMLAAAVVVAAVEVVGAVQVVVATEAAQEAGLVVGTHKTFI >OGLUM10G11610.1 pep chromosome:ALNU02000000:10:15600971:15601663:-1 gene:OGLUM10G11610 transcript:OGLUM10G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKFVALSFIVLLGIGLTNATRVARYVSAGGGGGGGGGGGGLGNGSGWGSGSGSGYGQAGGSGGAYASGGGGGGGGGGGQNGGSGYGSGSGSGYGQAGGYGSHGGAYAQGGGQGGGGGGGANGGSGYGSGSGSGYGQAGGYGPHGGAYAQGGGQGGGGGGGYNGGSGYGSGSGSGYGQAGSYGPYGGGYAHAGGQGGGGGGGQSGPGGHGYGSGSGSGSGSAYSGGHP >OGLUM10G11620.1 pep chromosome:ALNU02000000:10:15615170:15615727:-1 gene:OGLUM10G11620 transcript:OGLUM10G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVALSFVVLLSVGLANASRVARYASAGGGGSGEGGGGGSDGGSGWGSGSGSGYGQAGGDGSYASGGGGGGGGGGGENGGSGYGSGSGSGYGQAGGSGPYGGGYAQGGGGGQGGGGGQNGGSGYGSGSGSGYGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGYGSGSGSGSGSAYGGHP >OGLUM10G11630.1 pep chromosome:ALNU02000000:10:15618156:15618713:-1 gene:OGLUM10G11630 transcript:OGLUM10G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLVALSFIVLLSVGLANATRVARYASAGRGGGGGGGGGGSNSGSGWGSGSGSGYGQASGDGSYASGGGGGGGGGGGQNGGSGYGYGSGSGYGQAGGYGPYGGGYAQGGGGGQGGGGEQNGGSGYGSGSGSGYGQARGYGPYGGGYAQAGGQGGGGGGGQSGLGGSGYGSGSGSGSGSAYGGHP >OGLUM10G11640.1 pep chromosome:ALNU02000000:10:15621523:15622080:-1 gene:OGLUM10G11640 transcript:OGLUM10G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKVVLLTFLVLLVMGVAYARPKKYASAGGGGGGGGGGGSSGGGSGWGSGSGSGYGQAGGSGGAYASGGGSGGGSGGGVNGGSGYGSGSGSGYGQAGSYGPYGGGYAQGGGGGGGSGGGQNGGSGSGSGSGSGSGQAGGYGPYGGGYAQAGGQGGGGGGGQSGPGGSGSGSGSGSGSGSAGYP >OGLUM10G11650.1 pep chromosome:ALNU02000000:10:15625517:15626008:-1 gene:OGLUM10G11650 transcript:OGLUM10G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQVVAAVEGEVAVGLMAVLDGDLVLALGMARQVAVEHMLVEAEEEEVVEVDRMANPDTVPVLGLVMDKLVGMDHMVEDMLKEGVADKVAVVDKMVDLDQVMALALGMVKLEGMGRTVVGMLRLVAKVVVAVVDRAVLVAAGMVVDQEADLEVRMVDTHKNM >OGLUM10G11660.1 pep chromosome:ALNU02000000:10:15646084:15646662:-1 gene:OGLUM10G11660 transcript:OGLUM10G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKLAVLSFVLLMSIGLTHAARVARYANAQGTGSGGGNGGGYLNGGGVGSGNGYGVATSGHGAHAHSSGGGGGGGATSYGTGYGGGFGAGSSSSQTSSGYYQGYTGDASAGGGGGGNGGGQGGGTVGSSGYGSGFGTGSGASEGAGGFSSPNPSYANADASANGGGTGGGQNGGNGNGVGGGSGYGDANP >OGLUM10G11670.1 pep chromosome:ALNU02000000:10:15648023:15651151:-1 gene:OGLUM10G11670 transcript:OGLUM10G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:A0A0E0BB69] MASEEGEEANAASSAEEVGRKKPPRHKGKHDKPKPWDDDPNIDHWKIEKFDPSWNEGGMLEEAWPIVKGALKEFGVACELNLVEGSMTVSTTRKTRDPYIIVKAKELIKLLSRSVPAPQAIKILNDEMSCDIIKIGSIIRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSWKGLKQVRRVVEDCIKNIKHPVYHIKELLIKRELAKNPALANESWDRFLPKFKKKNVKQKKPITKEKKPYTPFPPPQQPSKIDLELESGEYFMSDKKKSAKKWQEKLEKQSEKAEENKRKREAAFVPPKEDTATPYESAKSTSNNDEIADMAKSLKKKAKEFRKSEAQENVRLESYVASNEGSRPKKKHKSSKSK >OGLUM10G11680.1 pep chromosome:ALNU02000000:10:15653045:15670105:1 gene:OGLUM10G11680 transcript:OGLUM10G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTWWKPSMEADSLCTATTSIEKRQTGGCDDQRAPLSSSSLADLREKGVNRGKEAAVAEASFGGLTEDWHRYVEKMLTSIAASCLFSPPPPSLGGDGIF >OGLUM10G11690.1 pep chromosome:ALNU02000000:10:15654502:15655071:-1 gene:OGLUM10G11690 transcript:OGLUM10G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLAALSFVVLMSIGLANAARVSRLANAQGQGSGTGSGGGYVNGGGVGNGNGYGVSQSGSGTHANAGGGGWGGGGSQYNGTGFGSGSGQGSSSGSVSQGGGSASAGGGGGGVAGGQAGGVDGSGGYGTGTGSGTGSAEADGGASPTSSPPYANANATGDGNGNGGGQSGGSGSGGGGGSGYGDANP >OGLUM10G11700.1 pep chromosome:ALNU02000000:10:15657418:15657999:-1 gene:OGLUM10G11700 transcript:OGLUM10G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKLVALSFVVLMSIGLANAARVVRYASAEGQGQGGGNGGGYVNGGGVGNGNGYGSSESGSGVTATAGGGGWGGGGSQYNGTGFGSGSGAGSSSGQMAEGYYPGYGGHASAGGGGGGNGGGQAGGVDGSGGYGTGGGNGSGSSVANNGTPNTPPPYANANASGNGNGNGGGQSGGSGSGGGGGSGYGDANP >OGLUM10G11710.1 pep chromosome:ALNU02000000:10:15670136:15674208:1 gene:OGLUM10G11710 transcript:OGLUM10G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNLAHAASGRRSSPRWESSANLSLASSLLWDSANAPEDKALQREELALSPTAGGSPLLRSGECSGGGQIRVLCIGVHLHDSQSTASGGQPTVGGRLGGEGGQARNGAASGLGRDAGYRMERGEVDGRQGHFVQYESGCGPPPKRRPLTPPRLPIVGRRIWPTRRGSGHRGLSPDRWLLPPPPPPHRQPPDPATVASPPTAGRFLLRHRTVNRGSGHRDLSPD >OGLUM10G11720.1 pep chromosome:ALNU02000000:10:15670795:15671241:-1 gene:OGLUM10G11720 transcript:OGLUM10G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNKFVALSFIVMLGIGLANASSTSNGNGTGWGEGGGSIDGAGGGSGSGTGSSVSIVNEGGSVHGSGGGRYGGSGRGSGSGRGSSSSQVGPDLYRGSSNAGGTGGGEGGGRADGYYGSSGYGSGSGTGVGSSASMNGVFPGGCFLQC >OGLUM10G11730.1 pep chromosome:ALNU02000000:10:15676775:15678409:1 gene:OGLUM10G11730 transcript:OGLUM10G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKCLLASMSRRLYMSGAVWKGFYRSNVIGKVFCGAVWKGFYQSNSGNCTFIGSIMIGKDFIGEILIRKVYVRVVRNLSLCNSIDAFSILLTGINLHFFGKKTDDVH >OGLUM10G11740.1 pep chromosome:ALNU02000000:10:15680536:15681144:1 gene:OGLUM10G11740 transcript:OGLUM10G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKIVALGIFLVLCINGLSHAARVIRYSSAKGEGEGGGEGSGSVSGAGSGSGGGFGASNTSSSGGYFGTSNAHANAGGGGSGDGGGPLGSVGSGSGSGSGSSSSNSSSVMRAWYGGGEANAGGVGGGGGDGYGGGYSGSSGYGSGSGTGGGSSAVAMDGFYGAHANADGGGDGTGVGHGEAGGYSNGGGSGSGYGNGKYP >OGLUM10G11750.1 pep chromosome:ALNU02000000:10:15685014:15685577:-1 gene:OGLUM10G11750 transcript:OGLUM10G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITKLASLGFVMVLCIGLANAARVARFSISSATGTGGGEGAGYVNGHGLGSGSGAGSGENSAVQGSHAVAQGKGGGGGGAPYGGAGFGGGSGSGSVFSNLDSAGSSEASGTGGGDGEGQAGGNPGSKGYGAGSGTGSGSSMLHEYFPGFTNARASANGGGTTDTQNGGSGAGNGGGSGYAGLGPRP >OGLUM10G11760.1 pep chromosome:ALNU02000000:10:15691136:15693284:-1 gene:OGLUM10G11760 transcript:OGLUM10G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPRDLPRHRQGQPPFCCPRQPPDAVRDGLLLLVVSPAPGYAHHQPLPAVSGAPLSAATPAYRRHWPLPAAARLPPIPTTSVFLPQTTPLGLDAVHLVVNASFLLFDSGSQAFARQLQPSVAAAVVAPELIGVVGSNPMTSGKRKALPPPSLRPRRFLRLAQVAARRRMEGSGGGGAAAEVTGPRERRRGPRLANAVRVSRLSNSDGTGAGGGGGGGYLNGGGSGFGSGAGSAQSGNPFGSYATAVAGGGSSSTSQDGGSGNGAGGGSASGAGENIDTVSTGYGGSTSAAGNGGGGGGGQAGGSYGSYGQGGGGGTGSGSGMADTHLFGPISEAEGNAKGNGGGNGTGQNGGNGSGGGGGSGYAKAHP >OGLUM10G11770.1 pep chromosome:ALNU02000000:10:15697403:15697981:-1 gene:OGLUM10G11770 transcript:OGLUM10G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANAARVARYSNADGNGSGQGGGVGYVNGGGSGSGSGAGAAQSGSNGAHATAGGGGGGGGNSQYGGYGAGGGSGVGSSSSQYATGYYSGYGGYSSAGGNGGGGGGGQAGGNWGSSGSGDGSGAGSGSSSANTYYGGPSYANADANGNGNGKGTGSYGGSGGGQGTGSGYGDASP >OGLUM10G11790.1 pep chromosome:ALNU02000000:10:15711248:15711817:1 gene:OGLUM10G11790 transcript:OGLUM10G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVALGFVVLLSMGLANAARVARYSSADGNGSGGGGGTAYDNGGAAGAGSGYGVSQGGSNGAHATAGGSGGGGGSSQYGGSAYGSGDGSGSSSSQSSNGEGGYGGESDAGGGGGGGGQGQAGGYGSTGSGSGNGSGSGYSNANNNWYGSSAGAGASGNGGGNGNGENGGNGSGAGGGSGYGNASP >OGLUM10G11800.1 pep chromosome:ALNU02000000:10:15718132:15718713:-1 gene:OGLUM10G11800 transcript:OGLUM10G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLVALGFIVLLSIGLANASRVARYSSAQGSGSGSGEGSGSVNGGGSGGGSGTGSGQSGYNGAHASGGGGGGGGGGSQYGGSGSGSGSGSGSGSSQYSSNYYGSGGEYSSAGGSGGGGGGGQGNGNYGSTGQGSGSGTGSGYSDANSNYWRGPSYANANAGGYGNGNGYGQNGGSGGGGGSGSGYGDANP >OGLUM10G11810.1 pep chromosome:ALNU02000000:10:15726349:15732814:-1 gene:OGLUM10G11810 transcript:OGLUM10G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGSGQGGGYVNGGGVGEGIGAGSGSSNRYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNRYYSYGGSSSAGGAGAGGGAGQAGGTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGIGAGSGSSNSYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSASGAGVGGGAGQAGGYWPSNGHGSGSGTGYGSSTANNYYYGPYANANAGGNGGGNGQGQYGGSGGGGGVGVGSGDASP >OGLUM10G11820.1 pep chromosome:ALNU02000000:10:15735070:15735357:-1 gene:OGLUM10G11820 transcript:OGLUM10G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASDGATGENGSREHVTAIDADITMQGCRGGRIHGFAPPRIMSHLHGGKDALPQEAPESAAPQAPPQGDDEWRQPRRYLSHCLPTSMVGNNRSS >OGLUM10G11830.1 pep chromosome:ALNU02000000:10:15744341:15744916:-1 gene:OGLUM10G11830 transcript:OGLUM10G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKLAALGFVVLLSIGLASAARVERYSSSQGSGTGGGEGGGYVNGGGAGKGTGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSNGHGSGSGTGYGSSSANNYYGGPYANANAGGNGGGNGQGQYGGRGVGAGVGSGYGDANP >OGLUM10G11840.1 pep chromosome:ALNU02000000:10:15749540:15750115:-1 gene:OGLUM10G11840 transcript:OGLUM10G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFVVLLSIGLANAARVERYSSSQGSGTGGGEGGGSVNGGGAGKGSGAGSGSSNYYGAHASGGGGGGGGGYSQYGGSGSGSGYGTGSGSSQTSQNGYYGYGGSSSAGGAGAGGGAGQAGGYWPSYGHGSGSGTGSGSSEANNYWSGPYANANAGGNGGGNGQGQYGGRGVGAGSGSGYGDANP >OGLUM10G11850.1 pep chromosome:ALNU02000000:10:15755302:15755877:-1 gene:OGLUM10G11850 transcript:OGLUM10G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKLAALGFIVLLSIGLASAVRVERYSNAQGSGTGSGEGTGSVNGAGAGLGSGTGSGSSGYNGAHASGGGGGGGGGYSQYGGSGSGSGSGSGSGSSQTSQNGYYGYGGSSSAGGSGAGAGAGQAGGYWPSYGHGSGSGTGSGSSEATNTWYGQYANANAGGNGGGNGQGQYGGSGAGVGSGSGFGDANP >OGLUM10G11860.1 pep chromosome:ALNU02000000:10:15759550:15761342:-1 gene:OGLUM10G11860 transcript:OGLUM10G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVATAPAAAMAHHPSAPDLAAAAAWKGRRPAVAKGGEGEEERWWQAELGDDDDEGERGHWEGVGGSIKLAALGFVVLLSIGLASAARVERYSRSEGSGTGSGEGGGYVNGGGVGSGSGVGSGSSGGNYGAHASGGGGGEGGGYSQYGGSGSGSGSGSGSGSSEYTQNGGYGYAGSSSAGGSGAGAGAGQAGGYWPSSGHGSGSGTGSGSSSAATYWKGPYANAYGNGNGGGNGQGQYGGSGVGAGSGSGFGDASP >OGLUM10G11870.1 pep chromosome:ALNU02000000:10:15779018:15787864:1 gene:OGLUM10G11870 transcript:OGLUM10G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCLFGLVIFVMYDIGDVMMMGAMVVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQEIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFATKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >OGLUM10G11870.2 pep chromosome:ALNU02000000:10:15778950:15787864:1 gene:OGLUM10G11870 transcript:OGLUM10G11870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLSYYKRKPQHKMPKLPIKSLHIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHQRITMAAFNIQEALIWKEKIEMVIDQQQGVVASDGNLAHSSSQQKVSLENGRKSSFSDHESLYSHEEEEEEEDNQRSLMRRTTIGNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCLFGLVIFVMYDIGDVMMMGAMVVVLFQSREHPNCGPQPGFVRAQIESGGFNISPLKSRNGRIRTQVQHLMQIDLKGWGVGYLPSFQQHCLLHMLNSVAGLREWFSQSDENLILPRIPVMANMAPPVSSKKGRTTQDNTMQTGLQMDQSRQSTMLDEESDEDEDQIPESEQEIPAGKPLMKLVAVDWFKDVKRMDHVARRKGCAVQVAAEKGLFALAVNLQVPGTTNYSMVFYFATKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGPNYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRVSHIELKSAIVPVLGD >OGLUM10G11880.1 pep chromosome:ALNU02000000:10:15790700:15791485:-1 gene:OGLUM10G11880 transcript:OGLUM10G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAASALPLDGRVALVTGGSRGIGREVCAHLASLGARVVVNYASNSANADAFAADLNSRSAAALPRAVAVRADVSDPAAVRALFDRAEEAFGTPPHIVVACAGLLESKYPSLADTAVEDFDAMLAVNVRGTFLVCREAANRIPAFSGGRVVTFSSSILGTLLPGYAAYTATNGAVEAMTRIMAKEVAAKGVTANVVAPGPVRTELFMAGKDEAFVKKVEERSMGRIAETTDVAPVVAFLVSDAAAWVNGQVIRVNGGFA >OGLUM10G11890.1 pep chromosome:ALNU02000000:10:15794034:15798317:-1 gene:OGLUM10G11890 transcript:OGLUM10G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Leucine-rich repeat, typical subtype (InterPro:IPR003591), Leucine-rich repeat (InterPro:IPR001611), Ubiquitin (InterPro:IPR000626), Ubiquitin supergroup (InterPro:IPR019955); BEST Arabidopsis thaliana protein match is: L /.../-rich repeat (LRR) family protein (TAIR:AT5G07910.1). [Source:Projected from Arabidopsis thaliana (AT2G30105) TAIR;Acc:AT2G30105] MQVVNGSKVMLLASQGLHQGDGPITKNSSVSAASTRKASNAKEAQTQKLDTNISKIRPERWKATGIIALSDSSLKAVPEEVWGCGSSIRVLDVSNNCIEAIPQEVAALKSLQKLILTANDIADGNISWEGLTCVQTLVTLPSSLGSMTHLRELRIANNRLENLPVEIGLLKHLEILIANNNRITSLPSSIGGCESLNEVDLSSNLLAELPEAFGNLRHLKALSVRNNGLTSLPSAFFMKCSQLITLDLHGTEITNDVLRQVDGWEEFDERRRKKHQKQLDFRVGSSGVFDEGADDDYRRL >OGLUM10G11900.1 pep chromosome:ALNU02000000:10:15800702:15802630:1 gene:OGLUM10G11900 transcript:OGLUM10G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRAGALSAARWRGGAGTGIGIDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTAFLTSATSNSTFLADDSVLRTGEDFAAAIGANSSDAAAAAEATVVAEAVPFIKLEDVAITKEESSGAGAEQAVTVDTDASSDAGAAAATEENPIVEAVSCDTEAPVDCTGDKDLFNLLMRTAIEKFSDLHFYRFGRPVSVPGSPMECDLAWRFRPAEDTNGRTTYYKDYRRFTLTRDVNTCNLVVGNVGEYHSGMGAKRSGRRKGKKGKKGKREAPVTDFVPAKTQMRLDENAANADTTAASEPELVVGEAVNDNLPVVESESEFSRGKYLIYMGGGERCKSMNHYVWGFLCALGEAQYLNRTLVMDLNVCLNSRYTSSGKDEERDFRLYFDFEHLKQSASVIDQSQFWTDWGKWHKKDRLKNHYTEDIKVTPMQLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEAVIKRPWYLLWKSRRLMEIVSAISSRMDWDFDSVHVVRGEKAQNKQLWPNLDRDTSPDSLLTTLNDKVGAGRHLYIATNEPDKSFFDPMKGKYRTHFLDDFKDLWDENSEWYTETKELSSGNAVEFDGYMRVAVDTEVFLRGKRKLETFSDLTRDCKNGVNTCTASS >OGLUM10G11910.1 pep chromosome:ALNU02000000:10:15811626:15818780:1 gene:OGLUM10G11910 transcript:OGLUM10G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-ammonia ligases;catalytics;glutamate-ammonia ligases [Source:Projected from Arabidopsis thaliana (AT3G53180) TAIR;Acc:AT3G53180] MEMESKYAALRRAAEEAAAVDAHAHNLVADGSAFPFLRCFSEADAADALALAPHTLSFKRSLRDIAALYNCEASLEKVEEFRRAEGLSSISSKCFKAANLSAILIDDGIDFDKMLELEAHKAFAPTVGRILRIEKLAETIINDESFSASSWTLDSFTEIFVTKLKSYPPHIAAYRSGLEIDPNISKTDAEDGLRKELSGQRPLRITNKNLIDYLFTCSLEIAVSYHLPMQIHTGFGDKDLDLRKCNPLHLRAVLEDTRFSKCQIVLLHASYPFSKEASYLASVYSQVYLDFGLAIPKLSVQGMTSSIKELLELAPIKKVMFSTDGYAFPETYYLGARRARDVVYRVLSAACEDGDLSIQEAIEAVEDIFRRNALYLYKLNVANGSVGQITAIADNGIPLSEQDVLFVRVVWIDTSGQHRCRVVPAGRFYEIARKKGIGLTFASMGMTSFTDGPADGTNLTGVGEIRLMPDMSTLLRLPWSRREEMVMAEMHIRPGEAWEYCPRNTLRKVTKVLLDEFNVTMMAGFENEFFLRKKVVSGEKELWVPFDNTPYCSTTAFDGASSVLQEVYTSLKAAEIVVEQLHAEAGKGQFEIALKYVLCTLAADKLIYAREIIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSEYNYYGMSRIGESFLAGVYLHLPSILAFTAPHPNSYNRIQPNTWSGAYQCWGKENREAPLRTACPPGIPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRSLTLPEPTESNPAGYASNSKLKRMPKDLMESVEALAADKIMHELIGDKLVTAVIAVRKAEIDHYEKNPAAFADLIHRY >OGLUM10G11920.1 pep chromosome:ALNU02000000:10:15818687:15822199:-1 gene:OGLUM10G11920 transcript:OGLUM10G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPGAGSSGGGGGGHECSFKILLIGDSGVGKSSLLVSFVVAAAAAHLDDDIAPTIGVDFKIKFLTIGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFTNLAEVWSKEIESHSSNKDCIKMLVGNKIDKEDERTVTREEGLAFAEESGCLFLESSAKTRDNVEKCFEELALKIMDVPSLLEEGSSSVVKRNILKQKQESQAKHGGGCCQ >OGLUM10G11930.1 pep chromosome:ALNU02000000:10:15830153:15832968:-1 gene:OGLUM10G11930 transcript:OGLUM10G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELESEQHGCEHYTRGCRIRAPCCGEVFGCRHCHNEAKNSLEIHLNDRHEIPRHEIKKVICSLCDKEQDVQQYCSGCGACMGKYFCKKCNFFDDDVSKNQTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >OGLUM10G11930.2 pep chromosome:ALNU02000000:10:15830151:15832789:-1 gene:OGLUM10G11930 transcript:OGLUM10G11930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYFCKKCNFFDDDVSKNQTGGVDKFFHCDKCGCCYSNVLRDSHHCVEGAMHHNCPVCFEYLFDSTKDISVLHCGHTIHLECLNEMRAHHHFACPVCSRSACDMSDAWKKLDEEVAATPMPEFYQKKMIWILCNDCGATSNVNFHVLAQKCPGCSSYNTRETRGCGRPAAARSTV >OGLUM10G11940.1 pep chromosome:ALNU02000000:10:15835092:15867106:-1 gene:OGLUM10G11940 transcript:OGLUM10G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDYSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEARHTDLQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGNSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINSLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGNHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIVSTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIFYLQQNEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGTNITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTVLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGLHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLKSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGGVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQSKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTRALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTNMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEIDITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >OGLUM10G11940.2 pep chromosome:ALNU02000000:10:15835092:15867106:-1 gene:OGLUM10G11940 transcript:OGLUM10G11940.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDYSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEARHTDLQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGNSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINSLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGNHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIVSTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIFYLQQNEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGTNITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVHVKVASSILEMWYNYHTFLWTYCSGRPKVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGLHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLKSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGGVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQSKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTRALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTNMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEIDITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >OGLUM10G11940.3 pep chromosome:ALNU02000000:10:15835092:15867106:-1 gene:OGLUM10G11940 transcript:OGLUM10G11940.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDYSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEARHTDLQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGNSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINSLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGNHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTNVWWLEVHLLEEIVSTLAKFRIANSTFSLVPSIALKLPCTVSIKLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIFYLQQNEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGTNITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNAYKLALECSAVHVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGLHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLKSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGGVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQSKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTRALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTNMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEIDITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >OGLUM10G11940.4 pep chromosome:ALNU02000000:10:15835092:15867106:-1 gene:OGLUM10G11940 transcript:OGLUM10G11940.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDYSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEARHTDLQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGNSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINSLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGNHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTKYSIHQTKMLYVNPQCLVVGSASIRRNRVHSCKVQNSQLNILPGTLHSLEAAMHCINQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIFYLQQNEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGTNITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTVLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVHVKVASSILEMWYNYHTFLWTYCSGRPKVQFSVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGLHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLKSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGGVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQSKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTRALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTNMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEIDITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >OGLUM10G11940.5 pep chromosome:ALNU02000000:10:15835092:15867106:-1 gene:OGLUM10G11940 transcript:OGLUM10G11940.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPases;nucleotide binding;ATP binding;nucleoside-triphosphatases;transcription factor binding [Source:Projected from Arabidopsis thaliana (AT1G67120) TAIR;Acc:AT1G67120] MSLDGSFSAAAAAARLLARCPALRADPRVLALASSTAGGGGGGPSRDDVAAALAEPLLHPRYTVPVVGCFLPLAPALLDRAVGLLRAAGAAALRSDDPAAREGEEAGEGDLRVVEFYLSRGRGLRLHEIACLALARALDLAPYLLRHLLNYFKFAPPPFQRFLSGGVPSQIPIKVGLHLLLDATQVSYRFLELEPRVFCEQWDWSCFLDLVYSTADYSLVDYSLYSVVLDLRWCAIQILMVVLKASDEAVESFGLGADEAFTCFLRSFAQILPWRKPLCIFKPKKLIVKLMQMDSLVWLILCQIGMSFLWDGSMAVESYEVALMAVNQKWPILLYGPVGAGKTALINKLAQIGGNRVLFIHMDEQMDGRTLIGSYVCTEKPGEFKWSPGSLTQAVIKGFWIVFEDIDKAPSDVQSILLPLLEGSSSFSVGHAEAVEVAENFRLFATVTTSKNDVSHALEDTFEKANLLVSYQFGGLNLAGGSSECLVQRFSLRDLLKWCKRIRGVDLNFKGLGLSSSCCKLIYFEARHTDLQVGRVTLQCSDKPVLIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTLVQNLASWLKQPLTVVNLSQQSDISDLLGGFKPADARSICFPLYIEFKDLFCRSFSGKDNEAILRHCDVYVMEKKWKKLLRALEKCVEKAQKLIDGNSRSNSGSKRKRPLPAQVISDWDSFSSRLNAACSQIGSEIGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGESGTLCLAERGDVDYIERHPCFRMFACMNPATDAGKRELPYTFRSRFTEFFVDDLIDDDDLRLFVSKYLDGLHAAKGVADSIVRFYKAAKKESEEKLQDGANQKPQFSLRSLSRSLGYIKNAERKFGFKKALYDGFCMFFLTMLDAPSAKIINSLIVSLLLDGRVPPRISFADYFVEKPKLLNGSESDDFLRSYVLTNSVTEHIVNLARAVYIKKYPVLLQGPTSSGKTSLVRFLAAQTGHEFVRINNHEHTDLQEYLGTYVTDSHGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPVLYGGRKILSRAFRNRFIEVHVDEIPEDELITILEQRCSIACSYATRMVQVMKDLQTHRQNSRVFAGKHGFITPRDLFRWANRYRLFEGKSYEDLAKDGYLLLAERLRDDTEKAVVQEVLERHLHVKLSISNLYNMEVSCDNNLSRESIRLRVQETFGNITWTESMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGVKLHILNCHQYTETSDFIGGFCPIRDRSKIAMEFKHLITKMKQLKIFIHVAGDMSFPADISGAVSVVNHIDEILDRYIKEKELFPQVPPHDFAAMEQIKLDLMQLHKRWQAIFLWQDGSLVQAMKNGDLFLIDEISLADDSVLERLNSVLEPERKLSLAEKGGSVLEKVVAHPNFFILATMNPGGDYGKKELSPALRNRFTEVWVPAVTNVDELKSIAIERFTNAEVSCFGDCIVNFWMWFNQLHTGRMLTIRDLLSWISFINVTERNLGPQQALIHGLFLVLLDGLTLGMNVSKTEATELRRTCLSFLLEELQKVEGKPLNSDLHDLKNYGWGNHTREIDIGQPDHFGIMPFYIDTGHFTRKQQGFQFMAPTTSKNVFRVLRGMQLPKPLLLEGSPGVGKTSLIVALAGFSGHNVVRINLSEQTDMMDLLGSDLPVEGENGMEFAWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGHTYKCPPSFRVFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSESDYSFICKSQYPSIKDDILKKLISFNNRLYMDTMIHKKYGQEGSPWEFNLRDIIRSCEMIVGACETARGYPETSMVDCFLNTVYLQRMRTVADREEVVKLFEEVFQTKYSIHQTKMLYVNPQCLVVGSASIRRNRVHSCKVQNSQLNILPGTLHSLEAAMHCINQGWLCILVGQHSSGKTSLIRLLAQLSGNTLNEVNLSSATDVSELLGCFEQYNFFRHYKVVISQVERYIDEYFSLSQYIQWKSLVADRKTLFVKWFEFVIAKKFSSICISTLVEMSKNSSLPSLSLVRDIVEDMKCDMEMFDLPVSFNKDDLSKTLRSIFYLQQNEAVHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVILKAHPKFRMFLTINAKHGEVSRAMRNRGVEIFLMNQNLSINGCSNAAEDSERRDITRFLISCGIPRMELVSSMSEAHLYAKAAGLRLGTNITLLEITRWVQLFKQLLIKGNQFLWSLHLSWEHTYLPSLGEVCSNIVEEGKLRFLFPTVSDKQSVKPCVTGLFNSELADQMLFIAANWVMEQSTENDLKLYAIWFNWCNHLLQPYCNFFENYGNILKQESDHPIWHSILECYREIVAYHKIDVVAHPIPLLSMRLLDMTCSVTLKDCHNRLRNSRNGLSLLRLTLQQWQFETKFPDHGIMEVTMLPALKSLRCLEGEVLKMVVKSRKLLQIYSRLIDYHRSIWKMILLSQFEGLPVVWNLLKKEILKLQPKFPVEVGIFLMESVNLNSLQDFNLHYDKPTLWVYGGHPIVPSSGRIFYKIQDILAFSAAVWPRKNLLKGHFDDNQHFVDAMLSANQDLRNLAMEGLSMASLAATITEEEDSTVLAQLDEVHKRLVEKVDWEKKNLEVLSKASTTEVKFCCSVSSDVLCNMHGFHGWVASLPLLNLKSLNLDTVLLQRLSKCAQLDSSESHQIIANIEYMLKYAMDFQLGSSSRSPFEFTQHQIIWWIHHAWATVDNVTHDETCDLAHLTKMDAIDTILQEDLRVMDYQKNRLVLRISSRNLWEGVSFAGNFVLSLHSAADSLFKQIIVVHKKHFKQEEYSKLESILFQQSEHRVKKEDLDTACALLSRSSHGVLASLAGLHELIGSLLLELHSPFSQGYLMHLGAAWVYIGELRFRLLLSSYNPDPAFESAFMHSHILEKISLLELEGKVRHQCEELAGSSSAEDGYDQKLLQELKTKEKSIRAKVVFRPPQSRHKSLIAACCEFEERLSECKSLLTSLNGDGVGQLEIDRVCNWQITSRNFIKRLTEEYVEYVDLIQPIQVAVYEMKLGLAIALSGYLEREYLKKIKEDDIERALGAIFAIMQFPSGSATGNVSVDMPDLTKYVNDDQLEIRYNEVSDLAMLKKLAAVSSQLHVGEVADKLKSHSQMLVSIHHISLVRTTYRVCYSLILDKTSYLSLKETFDQFTSMWVDMKSSLKARENDDSQYYKFRSRIIDIHDIFKGGVPSLSDMDAEGNAVADTEEKLEQDFLKIMERTDEENSAVEDSWDLIPESILKCIVTIHNQLFGSPDLVEKPSKCQISDDLKIQSFMDSYQLGARILKDLPELTSSTFDEKLMPEHLLRVCLEYRQTCAPSLECNTYNAYKDPNPSILFKMVEPLTALQEKVRYFLDEWPGHPGLLKILDIITSLLAIPLSTPLSKVLLGLQLLVGKAQTLQENDSKFFLKDHLPQLFIIVSSWQRLELECWPILLQEVLEKYESNALKLWFPLRALLTQSCDISKNEEISIIKSVEEFVQTSSIGEFKRRLHLLLAFHGELSDGAGVGAYSSNSLKKIQNILYNMFGYYMQFLPLVLGEIEGGKGSIEKDLKDQVKLYRWEQDPHSASIENFKRTRQKVFKLLQRFNDILQKPVMVLLNQESVARKVPCWLDQQMPESEFPVDLGKLSGRFLWYTKWANQSKLSFQALQHTNATDIGVHNEEFARVVFHNTNCQQAESELEDRLNFFWAAIERICNAADFGSILKSGKKNQKKTALSNLFKTLEECGLSKHRPMGREGNELAAPSPFLEQSYITTHLLQQVISKKMPEDASVAHATLLSTNNWKNANQQYFKCLAMMQQLRQISLKFNKDLGLEEVNRATSFMDHLLSIMSEQRHFAYNMFEQLNEFRHAILLLGSGGDSDYLSSCQSVLLNSMWQQKQFFDNILAMTMDTNLLLRSFIDCHHDPCDNIQVEVSAMSTLFEKFIARFSESKDLLDKYLLGSNYMIAGSHKNMPLATREMEQLVAANCQLISMFREDIQVLCHQDVSMRSVKKVLLSRFEELLEKGNSPMAILSRKVDEDKHMLSSDVLHNLEASYAEAFKEIFSLAVGVVGKLTDQGISTDGTHDSLEGNITLWKDILQTYVMNLQMGHLFDASKKLTVSVRRLVDIKPELRSSIGMQLMHLHALLGLVLSAAEGILSELLEAHRTTSEMTRALGDLLIHLFAEGFGSTDDTTEDASDGPQQDATGTGMGEGEGKESASSKIDDISQLEGTANEMDALRKPDQAPKNDDDAVEMQDDFNAELSDVSEDPEGNDSGDEDDDTNLENQMGDTGDASEMVGKKSWDKDEDDDPNRSTEKYESGSSAKETQKNDRELRAKDEGALEEDPMETDCDEQGKNNNLEDDPSTCEDVDQDTNDLMDKADAYDDRTAPELPEPKDDSEDVDMDGTEHIDEMDADGEELSPEEEKLAADGSLDASDDVEDVDAAQHGDNEIDGEQEHAEDGQMETNNMEKELHNIESLEHPSQGIQPNNAEMDYNRESESNLANSTNMNSAAAPSVNFSSNEVPSLEISMPNSGDGSRLLSNSKPELQTDTPPSQIKQTNPFRSIGDAMADWKERAKVSADTQDHQPEAEHHIDDESATEFRYVPDSEQSTSQALGNATADQINDDLQVKQSSMEDENRVQKEEHNTDRAPGDDHNLEVPHLQASQSRTNKSENANNLEHREIQTDTYVQDSVQGETDETFGDFVSFKQPPADEKTVMRDDLANDRELSTPMEIDITDVDMKGPIVDWKSVELTTMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAVDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVKVLHDFDQVFNGEAGTNQDNKLEDEPVADLLMHLNTMLDTAVARSRTPSGQNPLQQLVLIISDGKFHEKENLKRCVRNVLNRKRMIAYVLLDGHEESIMDSLEVSYQGTKLTMGKYMDSFPFPYYVMLKNIEALPRTLADLLRQVQIILCLSSCYLRTNGWFTHFCSLVPLCLTCGLVFSLLQWFELMQSANE >OGLUM10G11950.1 pep chromosome:ALNU02000000:10:15869311:15873961:1 gene:OGLUM10G11950 transcript:OGLUM10G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding (RRM/RBD/RNP motifs) family protein [Source:Projected from Arabidopsis thaliana (AT2G18510) TAIR;Acc:AT2G18510] MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYDSFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQKNRPHTMFASGPPTQGLANGAPVPRPFSNGAVPPQIQHVRPPPPPMQQFPPMQMNEYTATTPHATSNALQACSETTSTKHDATPSSWHGKASAASFQYASSSYVEATPTPTTSWWYATTSYVHATAATTTFCDMFYYLRLFNHRHSRKLEQFARAQVGSVWVGLGSILKPSSGPHSQI >OGLUM10G11960.1 pep chromosome:ALNU02000000:10:15874473:15879519:-1 gene:OGLUM10G11960 transcript:OGLUM10G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBA3] MAGPDESTSITLLLVAATLLLLPPPLAASLNSSLPDPAAVVADFHSKVATSRRRMQEAASGGGGGGGGCLTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNAMGGKGGPVYVVTDPSDGDPVNPAPGTLRYGAIQEGPLWIVFAGDMTIRLNEELLVNSYKTIDGRGANVHVGAGGACITLQYVSNVIIHNIHVHDCVPAGNANVRASPTHYGWRTRSDGDGISLYSARDVWVDHCALSRCADGLIDAIMGSTAITVSNSYFSHHNEVMLLGHSDGYLPDSAMQVTIAFNHFGIQLVQRMPRCRRGYFHIVNNDYTAWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTEEGQWAGWNWRTEGDMMVNGAFFVPSGEGLEAIYDKASSTDPKSSALVDQLTAGAGVLGGPRDNGEAAAYAGVNYAGVGTGGGGGGGAGAGGMGYGYLGMVYGSGGNWSCRADLTLQLTSLFLALFALICLHPL >OGLUM10G11970.1 pep chromosome:ALNU02000000:10:15888964:15891014:1 gene:OGLUM10G11970 transcript:OGLUM10G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAVPVPQQVASLALPVVAAEAEAAAAAAAAPGRRGAAGGVSSIPKGAGAAARRKTLCDITNLRPRPAAAVEQDGATCAADAGGVAQAQLENSELVRLLEERDKIIELSGTELQKLRLANWQLAQANSQMLAELNLGRDRLKKLQHQLACSRAVIATKTSELEEAKKAMKRNRNLPLPEKAPPASETAQQQQGSDRAAQIKDGDVVNPEPAVASDASHAASTKKLSNASRKRMQRSRSLGPAAKTKLAAAPKENENVQRRKSMRTPVPQPSEHREDLFEIEDLQLAIGGGGGGGDSKAGTSDPPEQAAVAVAAAQFPRRSSLGRPIRRATERVASYKEMPVNIKLRRS >OGLUM10G11980.1 pep chromosome:ALNU02000000:10:15891255:15893667:-1 gene:OGLUM10G11980 transcript:OGLUM10G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine triphosphate pyrophosphatase family protein [Source:Projected from Arabidopsis thaliana (AT4G13720) TAIR;Acc:AT4G13720] MSGAAAAAARALPKAVTFVTGNAKKLEEVRAILGSSIPFQSLKLDLPELQGEPEDISKEKARMAASQVNGPVLVEDTCLCFNALKGLPGLNNLLLAYEDKSAFAMCIFSLALGPGEEPMTFVGKTAGKIVPARGPADFGWDPVFQPAGFDQTYAEMPKSVKNQISHRGKALALVKEHFAAANYKVQNDGSA >OGLUM10G11990.1 pep chromosome:ALNU02000000:10:15897278:15901749:1 gene:OGLUM10G11990 transcript:OGLUM10G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAINRQRVLLAHLEPAASPAAAAPAITASACAAGDSAAYHRGACFADDVVIVAAYRTAICKSKRGGFKDTPAEDLLVPVFKALIDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAAFYAGFPDTVPLMTVNRQCSSGLQAVANVASNIKAGLYDIGIAAGLESMTVNQVRLDGQVNPKVELFSQARDCLLPMGLTSENVAKRFGITRMEQDQAAVESHRKAAAAAASGKFKEEIVPVHTKIVDPKTGEEKEIVVSADDGIRPGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRGIAMQKGLPIVGVFRSFAAVGVDPAVMGVGPAVAIPAAVKAAGLQIDDVDLFEINEAFASQYVYCCKKLGLDPAKVNVNGGAMALGHPLGATGARSVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDELTNARCIPTHNRLSKDAM >OGLUM10G12000.1 pep chromosome:ALNU02000000:10:15905253:15919284:1 gene:OGLUM10G12000 transcript:OGLUM10G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08600) TAIR;Acc:AT1G08600] MDENRKLSDDMSKKMEPIHSNGEAGEDKTIIIEPESDANKLEVETDARHGICEAHKVHEYGTMENDLHEEASTTDDDSENDSYEYLLRESDNEQTSESGAGEGDNEAPLTDEEVETLITEFLDAESKAAQAQESLEKESLEKIESEVRLELSESLQGNELESAVSTEMKQYKKEWESELDDLETHIAVLLEQLDAAGVELPSLYKSIESQVPNVCETEAWKNRAHWAGYQVPEEANKSIRKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDDGSAQCHEKSWNAFNELTKSKEYAESSFGSSNWASVYLASTPQEAAALGLQFPGVEEIAEVEGAGSDIKGVDEIELSEVQRRKYRKVPEEDDAKMTKRLRRHLKERRTRHLHKENIGLASSSNGRCELPPKRLKTYENGVSVELAKRTREDDVEFDNKRSKTVIIESDDDMQTDSKPDSAPSENADEIIDLDIFPSQSPKLGDKVRPKPFKCTICTEMLNAPEVHRHPVLDVIICGSCRFLVIEKNRLEDPVSGGYCTWCVKSEQLQSCSSCKLLFCRNCLSKNFGEEGLSEARVAGWQCCCCLPSQLEHLISDCDKALGGVESSDPENDFAELSVIESNGPFSKHKMKKRIRRIMDDEELGEETKLKIAMEKARQEHLKSMQEQSASKLKSNNIGISLEAPSEVSEYVGDGHIVNLAREEDEAPVRIPSSISAKLKPHQYRITITLVMISVQLYLYCYRKLKYIMIFQVSGIRFMWENVIQSVKKVKSGDKGFGCILAHNMGLGKTFQVITFLYTVMRCIQLGLRTALIVTPVNVLHNWKKEFIKWCPAELKPLRVYMLEDVPRCRANIQYLLKKWRIKGGVLLIGYSSFRNLSLGRSARDKTVANEITNALQMWVLIQSIYICHNQCGPDILVCDEAHIIKNRRADTTQALKQMVDFVREGYLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILYEQLKGFVQRMDMNVVKNDLPEKKVFVVTVKLSQLQRKLYRRFLDVNGFSSSAASEKSFQRSGFFAKYQTLALIWNHPGLLQMAKQKGNLRQEDVESFLMDESSSDDNIENYLPNGEKLRSRNDQLSKKSSDVVNEESNWWENLLDENAYKEADYSGKMVLLLDILSSCSELGDKALVFSQSLSTLDLVEFYLSKLQVNGKEGKYWKQGKDWYRIDGSTPSSERQNLVERYGQTKPVYAYRLMAHATMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLLLFEFGDEELLEQSGSTMNGHSKVGTEKPPTPNSSETTEHLPLDRLMVNLLHDHSRWIASYHEHEALLQENEEERLTKEEQDMAWLSYNKLLEVAPRKATHDAERKPSTVPTESSLIQPPKATSRSRQPQQPKITSNNQKKCNNLSHLLTLRSQGTKPGCSTSCKECGQDISWETLNRDGRSR >OGLUM10G12010.1 pep chromosome:ALNU02000000:10:15939482:15941117:1 gene:OGLUM10G12010 transcript:OGLUM10G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDRAPCCYPLSSNTIVPFLIEVILKGTYWTDQWSLLLFMEDGVDMEWISIQLALLSHWIGPCAEATVLAPAEGHARRRWPRARTGRRGGSRERARRRWRRPRARRRQPRRGLVGESMALRACSYGCIRVFLALSLLLLAVEFAAYLQGWHLEEVALLLAVDGLFAASYVGWMRLRLNYLAPPL >OGLUM10G12010.2 pep chromosome:ALNU02000000:10:15932908:15941178:1 gene:OGLUM10G12010 transcript:OGLUM10G12010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGAQGRRMPNNGGWSSSTAAEGMDKHPTSSPLPLDWSLCGGDSFGSGGRARAEAVAAGANGQARRQPRACAEAVAAATGEAEAAASRAGGGEHGAAGVLLRLHPGVPRPVPAAARRRVCRLPPGVAPRGGGIAPRRRRPLRRLLCRVDAPPPQLPRAAAVVPHQRMRLSLMVQSIDHEG >OGLUM10G12010.3 pep chromosome:ALNU02000000:10:15937141:15941119:1 gene:OGLUM10G12010 transcript:OGLUM10G12010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRELWIDFGFFTAVDAHLRQAPVKGSGWCREHKAEGCQIMEAGAAALQRREWISIQLALLSHWIGPCAEATVLAPAEGHARRRWPRARTGRRGGSRERARRRWRRPRARRRQPRRGLVGESMALRACSYGCIRVFLALSLLLLAVEFAAYLQGWHLEEVALLLAVDGLFAASYVGWMRLRLNYLAPPL >OGLUM10G12030.1 pep chromosome:ALNU02000000:10:15945046:15946754:1 gene:OGLUM10G12030 transcript:OGLUM10G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKAIAVDGDLFLFRIPITHNDYFVYAARPPPRRPTLDLLPNPSHDTLGDREIALLSCSGGDGGGDGEPCYVVAALRTIPGSTTIHRLHLYRSRPGGEQGRWTSRMVSVDEPPPLMRDVVFPIPETSHRQVHHVTSKAIRLGSGGDGTVGWVDIWRGILLCDVLHESPKLRDVPLPPPAKGNSHRAFQNTTDQYCADIAVSRDRRFISSSSDWILD >OGLUM10G12030.2 pep chromosome:ALNU02000000:10:15946680:15954345:1 gene:OGLUM10G12030 transcript:OGLUM10G12030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWVDIWRGILLCDVLQESPKLHDMPLPLPAKSNSHRAFLNTTDQYCGDVAVSRDKSFIKYVEMEIVTPKIVSATPPGDCDPDPFLEWLRRRECKDLKRTLVHGRWKATTWRMPIPVTSWDDWCRDCAAESAELSTDNPKAYELLCAVSKESLKEDDDDKAMEAATTTTTRLPLGRLGMAYPAMSIDDDVIYVLTKPVMGNGKAAFLTAVDVRRKKVLAVAKLDSAVFMRCYLAVGISKHFFSAPGTRESLGQAEDHGQKSARRRRRRRGKRQE >OGLUM10G12040.1 pep chromosome:ALNU02000000:10:15958113:15964409:1 gene:OGLUM10G12040 transcript:OGLUM10G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQKTQYYNQFFEGVQIKRMYIAHTFLLLVIRAIASFATCTSVEFLSRASNLLHYKWAIVCRCLTICWKKAEKSLSCTIFGPFHLQLMPYSRLQGTWKLHQFRCRNADVRSSAFSNTIAPSLPKDLKVLKGAT >OGLUM10G12050.1 pep chromosome:ALNU02000000:10:15963920:15969076:-1 gene:OGLUM10G12050 transcript:OGLUM10G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEPTPTTPTPMNPELLMAACHGYHDRLTRLLTREDQAVVVVEIIDHGASTTSSPPPSSSSSSLLLQGVTSDGDSALHVVAAAGDGDAHLRSATVIHGKARHLLGARNKLLLRLAAAAAAAGGGGEGGGEGERVRALVGARNGVGETALHEAIRADDARAVAVLMAADPCLARVPPAADGGGGGGVSPLYLAVALRRHAIARDLHARDNQLSYSGPAGQNALHAAVLQSKELILKWNNQLTKQQDDQGNTPLHFSLSQEGEKHGMLPLYAVPVRRGKAIATLLNISEPPLELTRTLLEADADSAFQPDRRGSFPIHIAASAAGGLSAVIVLLTMFPGCAGLRDSDGRTFVHVAARKKRYSVVAHACQTPALSRILNKQDNEGNTALHLAVEAGGWWIFACLFVNKQVDLNLPNSSGHTPLELSINTIPTGLYCLLNSRILIQETLIAANATRGISRRDAAGTEEHGPQSEAENEEKGSEIVSNSTQFLSVGLVLITTMAFGATFALPGGYIADDHANGGTPTLARAKQFQGHLVMANMLAFFFCSSLAVLSLVFAGTPTVELPMRYTHYNISIWLSLNVVGSLAIAFAIAVYIMITPVAAKTSLAVIVVILSVGILHSPSITEKFTKLLLVLCVRPGVLPVLRSSIFKSTQKKALSFKRIWTIKSCSMCNRWLKNLSKCRNSPKRL >OGLUM10G12060.1 pep chromosome:ALNU02000000:10:15974920:15981048:1 gene:OGLUM10G12060 transcript:OGLUM10G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGPFFDRPTRSQTLAFPPPTPPAHSLTAPPLIGATLRRPALAGSEPVAASLCSPLRRPLLQAAPSGAPPSPSLAPAAHARGLAAVALSGRVQTIVHWLLHIRPLSYHRPLGSTLFRRSRRQRSCGVTTSLNFTAFLQVQLCGIAFGTMEEGSDYYLVRKGEMVAVYKSSNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLGIMFMLINMESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRREWNAEADRQANIAITLSSGVVSEERGDG >OGLUM10G12060.2 pep chromosome:ALNU02000000:10:15974920:15981048:1 gene:OGLUM10G12060 transcript:OGLUM10G12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGPFFDRPTRSQTLAFPPPTPPAHSLTAPPLIGATLRRPALAGSEPVAASLCSPLRRPLLQAAPSGAPPSPSLAPAAHARGLAAVALSGRVQTIVHWLLHIRPLSYHRPLGSTLFRRSRRQRSCGVTTSLNFTAFLQVQLCGIAFGTMEEGSDYYLVRKGEMVAVYKSSNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRREWNAEADRQANIAITLSSGVVSEERGDG >OGLUM10G12060.3 pep chromosome:ALNU02000000:10:15975158:15981048:1 gene:OGLUM10G12060 transcript:OGLUM10G12060.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYSRAPCRLGLFLTGPRGAKPSHFLRRLRRPTRSLRRRSSARRSGGRRSRAPSRSPPPSALLSVALSSRPPPPVLHRRPPSLRQLTLAGWPPSPSPAGFRPLSYHRPLGSTLFRRSRRQRSCGVTTSLNFTAFLQVQLCGIAFGTMEEGSDYYLVRKGEMVAVYKSSNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRREWNAEADRQANIAITLSSGVVSEERGDG >OGLUM10G12060.4 pep chromosome:ALNU02000000:10:15975158:15981048:1 gene:OGLUM10G12060 transcript:OGLUM10G12060.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYSRAPCRLGLFLTGPRGAKPSHFLRRLRRPTRSLRRRSSARRSGGRRSRAPSRSPPPSALLSVALSSRPPPPVLHRRPPSLRQLTLAGWPPSPSPAGFRIAFGTMEEGSDYYLVRKGEMVAVYKSSNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLGIMFMLINMESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRREWNAEADRQANIAITLSSGVVSEERGDG >OGLUM10G12060.5 pep chromosome:ALNU02000000:10:15975158:15981048:1 gene:OGLUM10G12060 transcript:OGLUM10G12060.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYSRAPCRLGLFLTGPRGAKPSHFLRRLRRPTRSLRRRSSARRSGGRRSRAPSRSPPPSALLSVALSSRPPPPVLHRRPPSLRQLTLAGWPPSPSPAGFRIAFGTMEEGSDYYLVRKGEMVAVYKSSNDCQAQICSSVSGPAASAYKGNSWSREKEEYLSSRGLSNATYVINAAELREDLFGTLIPCTFQEITVSSSNQSALNHTGVLNNTRYQPGAQSVDLNYDAVGSGQASAEHYSQRINQGYSVRGQAFNRLESRPSSSSHFSPNNLDQSGTVDAQPLSKQYMVCLLHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGIVTNNVAEYRGLILGLRYAIRHGFKKIIVYGDSQLVCYQVKGTWQTKNQNMMELCKEVRKLKENFVSFEINHVRREWNAEADRQANIAITLSSGVVSEERGDG >OGLUM10G12070.1 pep chromosome:ALNU02000000:10:15981702:15983475:-1 gene:OGLUM10G12070 transcript:OGLUM10G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVDGLHDGGGGDLQALLDDAVLDYVAARGGGGGDVGEVKVEVERPSDEDAFPAVEKMATAAAAKGLQCRHCGTTETPQWRHGPEGHRTLCNACGMRYRSGKLVPEYRPLKNPTFSPELHSNRHHRVLQLRRRPRPGPRSAVPAPAAVARCGGEAKEEEEELAWVSNKDAFATVETTMAPSPRVVETPPEHDHRPPNIPITSPEPHSDRPRRVVQLPRRLQEPSASTNLAHAVAATARAGRECAHCGTTKTPAWRLGPDSRRKLCNACGNKYRSGQLNSTTFSQNSQEQKKKSKSSTCSRERKRSAAATAAVVVDGLHDDAAAIADEHLDGGDLQALLDDVGLDDVAARGGGDAGEAKEEEEELEWLSNKEAFPTVETMSPAPPESRTKAPVPPAGWQCRHCGSTATPLWRDGPGEAEHVRKEETPPNITPATKHRRIVDLLRCSTAPNTAATATATAVERRCTHCGTTKTPAWLSGPDSRGKLCNACGKQYRKGRLVPEYRPLNCPTFSPELHSNAHAHRRRRESPVAIAIAGEK >OGLUM10G12080.1 pep chromosome:ALNU02000000:10:15985978:15992268:-1 gene:OGLUM10G12080 transcript:OGLUM10G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPTAAATSDSDGGGGGCGGLIRPSRICYMAILSAVFWFLVFSLLSGMPGGGDLSSVLFRPSLLSLPLLNSFTFDQNPSPEQQPPPAPAPAEDRCAGRYIYMYDMPARFNEELLRDCRALRPWTAEGMCRYVANGGMGEPMGGDGGGVFSERGWFDTDQFVLDIIFHGRMKRYGCLTGDPAAAAAVFVPFYGSCDLGRHIFHRNASVKDALSEDLVGWLTRRPEWRAMGGRDHFFVAGRTTWDFRRERDEGWEWGSKLLNYPAVQNMTAILVEASPWSRNNLAVPYPTYFHPETAADVAAWQRRVRAAARPWLFSFAGGPRKGNGTIRADIIRQCGASSRCNLFHCHGAAASGCNAPGAVMRVFESSRFCLEPRGDTMTRRSTFDAILAGCIPVFFHPGSAYTQYTLHLPPERGGWSVLIPHADVTGRNVSIEETLAAISPEKVRSMREEVIRLIPTVVYADTRSSRVDFRDAFDVAIDAVVGRYLTYTVKLATHTYVRTSAPMAASVVSDKSGGGASLLRPSRVLFLAVLSTAFWSVIFYAHHSAVQGNATMASVLLRPSSFSRPLLTSFRLIGGGLDRCAGRRVYMYELPPRFNAELVRDCRLYSRSMDMCRLVVNGGFGPALPGGGALPERDWRAMGGRDHFMVAARPVWDFYRGGDDGWGNALLTYPAIRNTTVLTVEANPWRGIDFGVPFPSHFHPTSDADVLRWQDRMRRRGRRWLWAFAGAPRPGSTKTVRAQIIEQCTASPSCTHFGSSPGHYNSPGRIMELLESAAFCVQPRGDSYTRKSTFDSMLAGCIPVFLHPASAYTQYTWHLPRDYRSYSVFVPHTDVVAGGRNASIEAALRRIPAATVARMREEVIRLIPRITYRDPAATLVTFRDAFDVAVDAVLDRVARRRRAAAEGREYVDVFDGHDSWKHNLLDDGQTQIGPHEFDPYL >OGLUM10G12090.1 pep chromosome:ALNU02000000:10:15987698:15988696:1 gene:OGLUM10G12090 transcript:OGLUM10G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWLECEIHAPVVPAPSVAATPEAGAASTSASASTSTSFSPGAAVKRVIGSHDGFLGLTNTSLDGNATNRFVGVELDAVKQRVCVAACLSSPSQTPLLDPRADDSPSTNATESLKNQQCVPHLELGELIRPCRVPGAGLRCQQHPIHYCRRHCGSSASATSPASAAGDVLRREAS >OGLUM10G12100.1 pep chromosome:ALNU02000000:10:16004682:16005567:-1 gene:OGLUM10G12100 transcript:OGLUM10G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGEGGGRRCRPVVVRLDLAGRPVLVLNFAVPARFFWRKGKTVPARSHASLFLALVFDVVGRAVVGLSLPAPRLDLAGRAVLRLRPRLMRPATAAVAPLLRSPPAALPAVKPQVVVERVVASPLNKVPCASSPHSGGGGAEGSRDFLIANPKRRARAAIGGRSLRSRRRRPRLWWEDGSFLNLHKTGRARLDFSPPPPSPLPAKAAIRKFKSRQGRSRPAPMLQMMLPTL >OGLUM10G12110.1 pep chromosome:ALNU02000000:10:16007834:16011480:1 gene:OGLUM10G12110 transcript:OGLUM10G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNVAEVPVPVSYGGERDEKTGSKVDKMGGGAARRWRSGGCCSRLWLVLVVFATVTMLLRHRYDSGLGHGAAAVVRIEPVHRKVKPADRGGARPSFSDSGSGKPVTVYHKSATTDSSTGTESDGGGEPSSASSSLPAAAHPFSRALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCVALFPWKDMCKFTANGGFGPPMSGGGGVFQETGWYNSDKYTVDIIFHERMRRYECLTGDPSLAAAVYVPFFAGLEVWRHLWGFNATARDAMALEVVDIITSRPEWRAMGGRDHFFTAGLITWDFRRLADGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFAWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSAASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPKNHADYSVYISEDDVRRRNASIEERLRRISPAAVERMRETVISLIPAVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRHDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDSLARWEDT >OGLUM10G12120.1 pep chromosome:ALNU02000000:10:16011650:16013512:-1 gene:OGLUM10G12120 transcript:OGLUM10G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHNTAEVPVPVSYGGKVEKTMGGAKQGRGGGGGCCSRLWFMVVLSATVTLLVRHCYDSGVIGHGAAAGGVVRIEPVHRGLYHTRKVSPVDRGDGGGGTSFSGHSPSPPDAGGSAKPESPHDSGVKAPSELTTVEHTKQPSEPASTGTESDDGGKPSSASSSSLPAAAHPFARALAAAGDKGDRCGGRYVYVQELPPRFNTDMVKNCATLFPWTDMCAFTANGGFGPQMSGGDGGVFQETGWYNSDQYTVDIIFHDRIRRYECLTDDPSLAAAVYVPFFAGLEVARHLWGFNVTTRDAMALEVVDIITSRPEWRAMGGRDHFFTAGRTTWDFRRLNDGDAGWGSKLFSLPAIKNMTALVVEASPWHLNDAAIPFPTAFHPASDEAVFVWQDKVRRLERPWLFSFAGAARPGSAKSIRSELIAQCRASSVCSLMECADGPSNKCGSPASYMRLFQSSTFCLQPQGDSYTRKSAFDAMLAGCIPVFFHPGTAYVQYTWHLPRNHADYSVYISEDDVRRNASIEERLRRIAPAAVERMRETVISLIPTVVYAQPSSRLDTMKDAFDVAVDAIVDKVTRLRRDIVDGRGEEEKLEMYSWKYPLLREGQKVEDPHEWDPLFAFG >OGLUM10G12130.1 pep chromosome:ALNU02000000:10:16012212:16013632:1 gene:OGLUM10G12130 transcript:OGLUM10G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHTLDALHCAMSSERMDLALPGRAAPAKEKSHGRSRRRTLSCQTNTASSLAGWNAVGNGMAASLRCHGLASTTSAVMFLIAGRLNSLLPQPASPSFSLRKSHVVRPAVKKWSRPPMARHSGRDVMMSTTSSAMASRVVTLNPQRWRATSRPAKNGTYTAAAREGSSVRHSYRLIRSWKMMSTVYWSELYHPVSWNTPPSPPLICGPKPPFAVNAHMSVHGNSVAQFFTMSVLNRGGSSCTYTYRPPHRSPLSPAAASARANGCAAAGSEDDDADDGLPPSSDSVPVEAGSDGCLVVVQTTVHRLDAHHAAGGGAVADHAGVVAVAHQQRHGGGEHDHEPEAGAAAAAAAAPLLSPTHRLLHLAAVAHRHRHLRRVVPLHVPPPA >OGLUM10G12140.1 pep chromosome:ALNU02000000:10:16041511:16046276:1 gene:OGLUM10G12140 transcript:OGLUM10G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGEREPPSTMAEEEDRLCILFKCFCCWSKEDAGFRRIAPGTDYLAIPAAGADDDRPRWSVVVGLTAVDVPRHNLRLHRFRVAASGRVLGASDDALEAFRHVAPDDADAEAFSTASADLSNGNGNGGSHRMHLICRAVPLNADGRWICNKTNLTKCYRSFSMDLGGGGGGGGAVTPLGDLPTVLMPGGHFAIQAGGENWVLSVERPYPWLDERSTTRLLMRRQEGRRWVVAGEPHEFLHTGFERSESLYGGIFQGRAVIGDDRILVSLVDAAFFVFDCTHCVWTRLSLTHKKLNYIPLSVYVEDHNAVYFLRFDTLFAYKFSPGKNTIEPPIRLDVMCPFGSNGYGSLVHLAGRVMCAGWISTRSICTCTTQHVMITTLIINGDCVDGGGFVPKDVGVLHSTCRSLVVGDGRETTSRCNIFCFSLSYVEDVDRVEPFSIPPVLGEATYSEIEDSPNMLECCRMFLEDQWNEDDVVLEKCTTKTKMNLFFISQSGCQSLTYQISISNGKLVCRDKMLEPICCAETFVSEDALYGSSSSPTWHYIYVGRKRLYCIPSLPESEMQVIDLQRKFNCPFKTDRPKECFSAVFPVGNQLVGLTDTLQSVYLLKRGSSVWTHCKTTSRDADLTEKINISGFVVLNRYSFMVSDAGSFDCFLLDLDSLEWTIVKSFQSYRRGTLLGRSIYIGGFIYTLFTGGILAFELIKNYGSYYIDVPVFLRTWSKLIRDKNTICFASVGEDNSSGSIMFCLAHGYPFYDPSSMPKIKNLHHVKITMMQVTTCETVRGTREPVKPPRYLSDRDQFRVYSVLRLHDILTIEK >OGLUM10G12150.1 pep chromosome:ALNU02000000:10:16048729:16049169:1 gene:OGLUM10G12150 transcript:OGLUM10G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYLEGLCIHGFVCTLFDGGILAFELVVSENDGPYYLDAPIFLRAWSKIVRERRMICFASVGEDDDDDHSCDHCLVFCLAHGGYPCAGYSSKVRKKLYDDVQITMIQVMTCETGRGTKEPMRPPRYVDECTNSVEWMQACWVFAA >OGLUM10G12160.1 pep chromosome:ALNU02000000:10:16050262:16053741:1 gene:OGLUM10G12160 transcript:OGLUM10G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PsbP-like protein 1 [Source:Projected from Arabidopsis thaliana (AT3G55330) TAIR;Acc:AT3G55330] MAAPSTSTPAPASSAFLLAAAAAAARFPRASSSTRALALAERRRLSEVGGGGRSVAAGRRSFHGLVPAHVLSAGDDDAEAEGASINREVEESSRRRMLLAAGAALFLSWPNPAAYAAEAKKGFLPVTDKKDGYSFLYPFGWQEVVVQGQDKVYKDVIEPLESVSVNTIPTNKQDIRELGPPDQLYDEKVAEALIRKVLAAPTQKTKLIEAKENDVDGRTYYTFEFTAQAPNFTRHALGAIAIANGKFYTLTTGANERRWEKIKDRLHTVVDSFKIEARI >OGLUM10G12170.1 pep chromosome:ALNU02000000:10:16057038:16061599:1 gene:OGLUM10G12170 transcript:OGLUM10G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22700) TAIR;Acc:AT1G22700] MAAPSTSASAAAPASSAFPLAAAARFPRVSSASASSSSRALALAERRRLSEAGGGDRSVAAGRRSFHGLVPAHVLSAGDDDAGGFMQSLRWELSSRVHRSQGKFVASAHVFAKKGLTTKGMDILTALERQSIKREADIFHPAKKEEAFGTKKCSKLLLQLGALPCCTMAWLSFAQTAQASEGANLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKDLQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIQKWDRDEQDLAQVYNALGVSYKRDNKLDKAIQQFEKAVELQPGYVTAWNNLGDAYEQKKDLKSALKAFEEVLLFDPNNTVARPRRDDLKQRVGMYKGVPVKSEKR >OGLUM10G12180.1 pep chromosome:ALNU02000000:10:16062083:16067608:-1 gene:OGLUM10G12180 transcript:OGLUM10G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGSRLRGSAGVDGATSAAQWTRGAAAATATMGLRTRGKMKGDIVIVWFYLKDTAKGLPSGHSPPPLQQTAVEALVVDIAARVGGGSSGDGEVLVRDVVRDALFPVAARFCFGDGIGVRDVRDLQRVLREFELDVVVEGFGGSMLANLVHWWRLRRFVASGRRQAEFRPYVDLLLDLRVPVGDNAAAGEGKEEHHLSHRALTDDEMMGLVSEFLGSGTESVVSCVEWTLAHLVIQPEIQDKLRREVVAADRHGGERSTTRTYLRAVILESLRMHLPVPLTMRDVRSQQAVEHLSLPGGGVRVHFILGDIGRDGKAWTDPDEFRPDRFMAGGEAEGVRMMPFGAGRRYCPSMGLGVAHACLLVAALVREFEWAAAATGGVELTEGER >OGLUM10G12190.1 pep chromosome:ALNU02000000:10:16062937:16066959:1 gene:OGLUM10G12190 transcript:OGLUM10G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKQNRAATGNRASRTTSRTSTSPSPLLPPPTRAAMSTTRASTAVCCSGGGEWPDGRPFFFFLTWHRGPKYRWVIAHD >OGLUM10G12200.1 pep chromosome:ALNU02000000:10:16068195:16075976:1 gene:OGLUM10G12200 transcript:OGLUM10G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid transcriptionally active 3 [Source:Projected from Arabidopsis thaliana (AT3G04260) TAIR;Acc:AT3G04260] MATTTPSPSTSAPAAPPSSAFPLTAAARFPRAASTSSTRASALVERRRTRRRRLPEGSGGGGDRSAAAGAVEKGLRLAFLEQLAERARAADAAGVADAIYDMVAAGLSPGPRSFHGLVAAHVLAGDAEGAMQSLRRELSSGVRPLHETFVALVRVFAKKGLATRGMEILAAMERYKYDIRKAWLILVEELVNNNYLEDANTVFLKGTEGGLQGTDEIYDLLIEEDCKAGDHSNALTVAYKMEASGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGGEDYMKPDTESYNWVIQAFTRATSYDRAGDVAELLGMMVEDHKRRADELLEALEAMSNDGQTIAPRAMILNRKYRTLVSTWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRKLLITLRNEGPGILGDVSEDDVRRVIERLKKLVVGPKKNVVKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEELDELISRIKLEDGNTEFWKRRFLGETRNYLCEEVNDEEDADLDDDELDDDDDEDDDDDDTTKGEEDEIDEEDVVEQTENQAGDETKDKPSKGPKQHLQMIGVQLLKDLEKTSVSSKKSKRVPEIDDDEDWFPEDPIEAFKVMRETRLFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIDLGGTPTIGDCAIILRAAMRAPLPSAFMTILQTTHSLGYKFGSPLYDEVILLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLAAKQSAGNSALQPPPAEDWLTSDLNFSS >OGLUM10G12210.1 pep chromosome:ALNU02000000:10:16076014:16087340:1 gene:OGLUM10G12210 transcript:OGLUM10G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPPRVLSSLIKSPRPPLFPPPQEKKKSFIAQPPPRRRHHRRSPPPPIPSLLLSSSLVPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRSYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMELKVGGPNLHGLFLQGPLAASGVRPTSAARTPPLCRPHHRVALPHALVREACACMSRSRRILACAAAAAAVSSPPRLPRAPPLLSTSRARLFSPSSLAHEIAEEGRSVGEEEPRGKRPAAAELRLFDTRTKAAVPFRPRVEGKVAMYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDAISLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEQTIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKQNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNVFDIHGGGKDLIFPHHENELAQSQAAYPESEVKCWMHNGFVNKDGQKMAKADKNFFTIRDIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNILEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGSTIIIHRKDHINLAPKYSASPPPNRAAANQYKSTHALLLNPA >OGLUM10G12210.2 pep chromosome:ALNU02000000:10:16076014:16087340:1 gene:OGLUM10G12210 transcript:OGLUM10G12210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPPRVLSSLIKSPRPPLFPPPQEKKKSFIAQPPPRRRHHRRSPPPPIPSLLLSSSLVPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRSYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMELKVGGPNLHGLFLQGPLAASGVRPTSAARTPPLCRPHHRVALPHALVREACACMSRSRRILACAAAAAAVSSPPRLPRAPPLLSTSRARLFSPSSLAHEIAEEGRSVGEEEPRGKRPAAAELRLFDTRTKAAVPFRPRVEGKVAMYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDAISLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEQTIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKQNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNVFDIHGGGKDLIFPHHENELAQSQAAYPESEIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNILEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGSTIIIHRKDHINLAPKYSASPPPNRAAANQYKSTHALLLNPA >OGLUM10G12210.3 pep chromosome:ALNU02000000:10:16076014:16086071:1 gene:OGLUM10G12210 transcript:OGLUM10G12210.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEPPRVLSSLIKSPRPPLFPPPQEKKKSFIAQPPPRRRHHRRSPPPPIPSLLLSSSLVPRSLLGSAMYRAAASLASKARQAGSSARQVGSRLALSRSYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGSPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFVTNPKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKKQRPLLIVAEDVESEALGTLIINKLRAGIKVCAVKAPGFGENRKANLQDLAILTGGEVITEELGMNLEKFEPQMLGTCKKVTVSKDDTVILDGAGDKKSIEERAEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLPTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEKEAPAMGGMELKVGGPNLHGLFLQGPLAASGVRPTSAARTPPLCRPHHRVALPHALVREACACMSRSRRILACAAAAAAVSSPPRLPRAPPLLSTSRARLFSPSSLAHEIAEEGRSVGEEEPRGKRPAAAELRLFDTRTKAAVPFRPRVEGKVAMYVCGVTPYDYSHVGHARAYVAFDVLFRYLKYLGYEVNYVRNFTDIDDKIIKRANEAGEDAISLSSRFIDEFHRDMYELQCLPPTHEPRVTKHIEQTIDLITKIMDNGKAYTIEGDVYFSVDNFPDYLSLSGRKVDQNRPGTRVAVDARKQNPADFALWKSAKEGEPSWESPWGRGRPGWHIECSAMSAHYLGNVFDIHGGGKDLIFPHHENELAQSQAAYPESEIISLYHPMALRLFLMRTHYRSDVNHSDTALQFASGRLYYIYQTLHDGEETVSLYGEHKLENSIPADDQKLIEENHSNILEKMSDDLHTTAALDHLMKLQQKLEHQKKKQSQKQQQQQQQKKPEDYIQALVALQNEVTDKLSILGLMPMSSLAEALKQLKDKALKRAGMTAEQLQQMIEQRTLARKNKDFAESDRIRTELSALGIALMDEPTGTLWRPSEPELAEGS >OGLUM10G12220.1 pep chromosome:ALNU02000000:10:16089797:16091305:1 gene:OGLUM10G12220 transcript:OGLUM10G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSMAAMAAVVVAGLMAAAAPAEGARAFFVFGDSLVDNGNNNYLLTSARADMPPYGIDHPSHRPTGRFSNGLNIPDIISEHLGAEPTLPYLSPDLRGAKLLVGANFASAGVGILNDTGIQFVNIVRMSRQLQYFAEYQERLRALVGAARARRIVNGALVLITLGGNDFVNNYYLVPFSLRSQQFALPDYVRFLISEYKKILQRLYDMGARRVLVTGTGPLGCAPAERALRGRGGGCAPQVMRAAELFNPQLSRALGEMNARVGRPGAFMAANSFRVHFDFISNPAAFGFATARDACCGQGPNNGLGLCTAMSNLCADRDAYVFWDAYHPTEKANRIIVSQFVRGSLDYVSPLNLSAALEIDAAAEAAAAARRRRQRAVARLVGDKPHA >OGLUM10G12230.1 pep chromosome:ALNU02000000:10:16093015:16094285:1 gene:OGLUM10G12230 transcript:OGLUM10G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenine nucleotide alpha hydrolases-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13450) TAIR;Acc:AT4G13450] MAPFIDLGRGGPTAAPGKPRLVMIIADPGRESTAAMEWALSHAVAEGDAILLLHVNMPPNSAGAGPSRTGSGGSAGSPLTALLGAGGAGAAADADFMETMSAACKARHPRARVRALRVEPATEGREAKAQTILAESQRRGVELLVIGHRRVSSFLGLRSPSGSSRAHDSTAEFLIEHSKCVCVSVQKKGQNAGYLLNTKTHKNFWLLA >OGLUM10G12240.1 pep chromosome:ALNU02000000:10:16094949:16101118:-1 gene:OGLUM10G12240 transcript:OGLUM10G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGVIHSIEVIMDHRELWPYGLRVLVIDDDCSYLSVMEDLLLKCSYKVMASSGDTNTVMKYVANGASDFLLKPVRIEELSNIWQHIFRKQIQDHKNNNMVGNLEKPGHPPSILAMARATPATTRSTATEASLAPLENEVRDDMVNYNGEITDIRDLRKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCMEIMDYSLPRDNLSSGSECMLEEQNDYSSEGFQDFRWDSDKQEYGPCFWNF >OGLUM10G12240.2 pep chromosome:ALNU02000000:10:16094949:16100200:-1 gene:OGLUM10G12240 transcript:OGLUM10G12240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRELWPYGLRVLVIDDDCSYLSVMEDLLLKCSYKVMASSGDTNTVMKYVANGASDFLLKPVRIEELSNIWQHIFRKQIQDHKNNNMVGNLEKPGHPPSILAMARATPATTRSTATEASLAPLENEVRDDMVNYNGEITDIRDLRKSRLTWTTQLHRQFIAAVNHLGEDKAVPKKILGIMKVKHLTREQVASHLQKYRMQLKKSIPTTSKHGATLSSTALDKTQDHPSRSQYFNQDGCMEIMDYSLPRDNLSSGSECMLEEQNDYSSEGFQDFRWDSDKQEYGPCFWNF >OGLUM10G12250.1 pep chromosome:ALNU02000000:10:16103728:16112466:-1 gene:OGLUM10G12250 transcript:OGLUM10G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALAGARSPGAGAILSLRRLAPAAAAPVRLGGSGSGTPGTRRRRGIAMAAAASAPPAPADALPKGADSFFRTVISNMEKVYLSRNPTAKTILELVRSYDGDHICYDHFAFRTFGVDGYGIKSLAEFFTDFGYVPREELRFPAKKLRALWFSPPTNDGYTRTGVYGPLPRIFISELLVDELSPQSQDIIQKYIRTSGKGNKHATLASTSGELTWEKPIYSDFQVLSRESEYAAWTLVNGYALNHTTISTHRLISDIRSINKFNKFVEDNGFKLNSEGGILKVSPDGLLQQSSTVADSALFTFADGITESIPRSYIEFAERLVLPQFKDLPNDEHQICDLLGSINVAELQPRFNIDSRQSP >OGLUM10G12260.1 pep chromosome:ALNU02000000:10:16113543:16119485:1 gene:OGLUM10G12260 transcript:OGLUM10G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPPPPRPPASSSAAAEARVLPPTMLHAPSPLSSRRASRAAAGGRGGRGRRKGGAPIPAAGAGGGGGGSGVDRLADAVRLIGRDVDPGVAGADILELAMAKGPMFSWLSYWPEEGRIGY >OGLUM10G12260.2 pep chromosome:ALNU02000000:10:16113543:16119485:1 gene:OGLUM10G12260 transcript:OGLUM10G12260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPPPPPPRPPASSSAAAEARVLPPTMLHAPSPLSSRRASRAAAGGRGGRGRRKGGAPIPAAGAGGGGGGSGVDRLADAVRLIGRDVDPGVAGADILELAMAKGPMFSWLSYWPEEGRIGY >OGLUM10G12270.1 pep chromosome:ALNU02000000:10:16114791:16121771:-1 gene:OGLUM10G12270 transcript:OGLUM10G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPTRAGAAASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHMEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPAYIKMGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQTK >OGLUM10G12270.2 pep chromosome:ALNU02000000:10:16118475:16121771:-1 gene:OGLUM10G12270 transcript:OGLUM10G12270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MADGGEMDEEAMRAFFPMSFGKAPTRAGAAASAHASTLRKPPQNPSAKPSTSSAAAAAAAGDDDDDDDGPMVGPPRPPPQPAGGGEGEDDEEGGGVMIGPPRPPPRSSSRGEGEDADGGMIGPPRPPPVKDDDEEDEDDDDDDDDDGDDSDDEMEDDGERYNRIPLSNEVVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFIKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLARPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTVKTGWGSRPDIHVEKTHMEDITGVKFSTDGQILLSRSMDSTLKIWDLRKMKTPLKVFEDLPNHYAETNVAFSPDEQLIFTGTSIEKDGENGGLLCFFDRRKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHALPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGFGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSEGEEKK >OGLUM10G12270.3 pep chromosome:ALNU02000000:10:16114791:16116253:-1 gene:OGLUM10G12270 transcript:OGLUM10G12270.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20330) TAIR;Acc:AT2G20330] MGKLVAAIGKLLCCVQVDQSTVGIKERFGKYEEVLDPGCHCVPWIIGSRVAGELTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKSQIQSYVFDVIRASIPKLELDDAFLQKNEIARAVEEELEKAMLAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGSTSKSSAIFLPHGPGAVADIASQIRDGCLQAHQTK >OGLUM10G12280.1 pep chromosome:ALNU02000000:10:16124279:16129616:-1 gene:OGLUM10G12280 transcript:OGLUM10G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEHLGRRNVAGPLLLLNLLMYVFLLGFAGWALNSSINNAGADVGVGWGEQPWSPYYRQSAWLASRFHLATFAALAGALGVAAKASAAYHGGRSGASI >OGLUM10G12290.1 pep chromosome:ALNU02000000:10:16130582:16133568:-1 gene:OGLUM10G12290 transcript:OGLUM10G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:riboflavin kinase/FMN hydrolase [Source:Projected from Arabidopsis thaliana (AT4G21470) TAIR;Acc:AT4G21470] MAAPKPIARLISHVILDLDGTLLNTDCVVSQVLKPFLVKNGKKWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFNEQWCNIKALPGANRLIKHLKSNGVPAALASNSPRSNIDAKISCHQGWKESFSAIVGGDEVEKGKPSPDIFLEAAKRMNTNPPNCLVIEDSLPGVAAGKAAGMHVIAVPSVPKRTAEFSSADEVINSLLDVKPEKWGLPPFSDWIDDTLPIEPWFIGGPVIKGFGRGSKVLGIPTANLPAENFSDVLSEHTSGVYFGWAGLSTRGIFKMVMSIGWNPYFDNTEKTIEPWLLHDFGEDFYGEELRLVIVGYIRPEANFPSVESLIERIHEDARISDKALNLPLYAKYKDSPYLRNSLKEDNSANGNQSVIDSK >OGLUM10G12300.1 pep chromosome:ALNU02000000:10:16137748:16153743:-1 gene:OGLUM10G12300 transcript:OGLUM10G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAPCGICRGDMRRGGRGGGDAVFTAECSHQFHFHCISGTVARGRIACPLCHARWRELPSFRRGNDAAPPGASASASASAAQPFFRPVEPRVFDDDEPLVRAPRRLGERRHGGGAASVASDGGGGSAVALATHCENSAVARDACREDFAVLVHARAPTGGGGAAAAEAQRAPLDLVTVLDVSGSMVGNKLALLKQAMGFVIDNLGPGDRLCVISFSSGASRLMRLSRMTDAGKAHAKRAVGSLSARGGTNIGAALRKAAKVLDDRLYRNAVESVILLSDGQDTYTVPPRGGYDRDANYDALVPPSLVRADAGGGGGRAPPVHTFGFGKDHDAAAMHTIAEVTGGTFSFIENEAAIQDGFAQCIGGLLSVAVQELRLDVACVDTGVRVTAVKSGRYKSHIEDDGRAAKVDVGELYADEERSFLLFVVVPRAPAWDDVTHLIEVSCSYRDMETGRTTSVAGDEEAVVLRPSRAESGVAERSVEVDRELVRVEAIDDIALARAAAERGEYAEAAEILRSRQRAVARSAAARAGDAMCAALSGELREMRARVADRRQYELSGRAYVLAGLSSHAQQRATSRQMSGEVAPRRHAHGGGGGSSELPTGITVSYVTPAMLDMLDRSRRGPNCSSLRRTVVPIERETDPCAICLGEIAGGQAIFTAECSHTFHNRCIARNVAHGRRVCPLCNARWSDVPALSSSSAAAAEPDDDDEPPLYADDDPVEPAGEQAAATDGDAAAGLVVKAHCEYPAVARGASRDKFAVLVHAKAAGAAAAAASRAPLDLVTMLDVSGSMAGRKLALVKKAMGFVIDNLGPADRLCVVSFSTEASRRTRLLRMSEVGKATAKRAVESLVDDSATNIGDGLRVAGRVLGDRRHKNAVSSVILLSDGKDSYVVPRRGNGMSYMDLVPPSFASSGGRGRLAPIHTFGFGADHDAAAMNTIAESTGGTFSFVENEAAIQDSFAQCIGGLLSVAVQDARIAVACSSPGVLVREINRVDADGRAASVEVGELYADEERRFLLFINVPIAEATEDATQLIKLSCTYRDTVTGRTIDVAAGEDAVVRRPLEVSAADQEVSMEVERERVRVEATEDIAIARAAAERGDHAEAARTLQLRREAVEASAPGLAGDVMCDELADDLCELEEEVEDAPRYERAGRARMLAGMSSHGLQRASGTTHNSSRRKQCARKERAKERLYATPAMGMMVSKSRDEPPTAQRQQKGPGRGGDEQSEKKKKSGELCVRAPRVRAAAAEAEGEGEEGEGRGRSVAASPPAHVASSRRLSRCGSRSSTKICAICLGGMCSGNGQALFTAECSHKFHFHCISSSVRHGNTVCPICRAVWKELPFQGPLPAAATAAADASLLGTARVNPHPLDDRHQHQRMAVVRRLSRGDSVTRQWQLPIFRTLDGGIFDDDEQLDLHPAEDLVGTQDVDSIVADEMAPASVGITTYAAFPAMEESVMVEEFAVLIHLKAPSSPATVTSRAPIDLVTVLDVSWSMAGTKLALLKRAMSFVIQALGPGDRLSVVTFSSSARRLFPLRKMTESGRQRALQRVSSLVADGGTNIADALRKAARVMEDRRERNPVCSIVLLSDGRDTYTVPVPRGGGDQPDYAVLVPSSLLPGGGSARHVQVHAFGFGADHDSPAMHSIAEMSGGTFSFIDAAGSIQDAFAQCIGGLLSVVAQELRLSVECGDDGVLLTSVRSGGYASHVDGDGRGGFVDVGDLYAEEERDFLVTVRVPAARGVSALITPSCTYRSTATMETVRVGGDTVTVPRTVDAPVGYDGMSPEVERELHRVQATEDMAAARAAAERGDFELAAAILDERRGVLESRADDDPQSVALAAELREMQDRVETRQRYEESGRAYMLAGLSSHSWQRATARGDSTELTSVIHTYQTPFMVDMLQRSQTLQPEVVVAMSRSAPLPAPSLSLSPPPPPSQLRRRSVRPAMSFPGRRS >OGLUM10G12310.1 pep chromosome:ALNU02000000:10:16142714:16151777:1 gene:OGLUM10G12310 transcript:OGLUM10G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGWVDRSAWKEEFLAGSLALGGLAASGEVRRRRERKQGRNTGGGGKRGGERRAGEESVASTSHHSPLFFFFSDCSSPPRPGPFCCRCAVGGSSRDLETIIPIAGVAYNLSFALSLRAHCFLLEELCVVPDARCSPCELMPASMRARPARSYRGASSTSSSSSHRSSASSSHITSPASPGADASTASRRSWSVRAASAWSPRSAAARAIAMSSVASTRTRSRSTSMDTSWSAADTSRGRLTTASSPAATSMVRPVTVSRYCETQVAGAIVLYPSTPQV >OGLUM10G12320.1 pep chromosome:ALNU02000000:10:16154725:16159019:-1 gene:OGLUM10G12320 transcript:OGLUM10G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein / WD-40 repeat family protein [Source:Projected from Arabidopsis thaliana (AT5G43920) TAIR;Acc:AT5G43920] MEMHASSVSSHGEARLGGERGLVDREELVHVIAQSLYSLGYRRAAAALEAESGVPLYPAEHDRLLFDVMSGRWDACVAAIRAVAGLGDRERAAAEFLVWRGHFLELLGIGDAGLPRAREVLWRRIAPLGVDRECVHWLARAMVSCEGAVAPDAVVGWRIGLFLDLVDAFPPWFHVPSGRLELLVENAVVKQVSSCVYHNLPDEVTLFEDHKCPEEQIPSKCSQILCGHNNEVWFVRFSNDGNYLASSSSDCTAIIWKVEEDDTLTKKHCLVGHKNPISFVAWSPNDRMLLTCGNGESVKLWNVATGECSLKFSSSVGHIINSCAWFPNSEKIVCASCEPESSPKRIFTCDLEGQELESWVGDRIPKVSDIAVTPDSKHLICVCSNEIWIRELPKGREWRIREQQTISSLSLSGDGQSLIVNLNSQEIHLWRISESSTAPDNKFKGHKQGKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLYGHSLTVNCVSWNPAKPHMLASASDDRTVRIWLAHKGSHRTRLTA >OGLUM10G12330.1 pep chromosome:ALNU02000000:10:16178466:16179484:1 gene:OGLUM10G12330 transcript:OGLUM10G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGTPSPVATMVCVGGNVVVGGDHDALSTSAKATSDHLAASGCGDVHGHSLFFFAPPSLLPLRHRRGPPLVPGRRRCGGARGAPHRVPLLADGPTLWYQLDDDAAGLRELLAACPSLTDEPAPWYSLARGTEPLTPLMVATAYGLVACLDVLLSPPYLVDPNRASASSLSTPLHLAAAGGATSAPTSVSRLLAAGADDDDDEVEERQGEEGEEEAFRSFHERGRARNLQKLSDNSGEFERGSVDLQMIHLRSYLFFFSFGFMGYFSLLLLKSYQILLWQ >OGLUM10G12340.1 pep chromosome:ALNU02000000:10:16187239:16189334:-1 gene:OGLUM10G12340 transcript:OGLUM10G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKKRRRLLGDDDDDLALNEGLYMALECGALTAGHPDADRLIRAWLAVSRRRHALVRRLVGLLEDSYDDGGDGDGSSWPWNRAAHRHCPLGVEKRRGVKSLLILVSWEIWKERNSRVFQSIETTPCGIIDRATEEIWLWRAGEAKSIQSLMPDDRDVSTMIIWALEFKENFCGHEMEFEKMTCGEDSRNDHDRSFRFDEPINATLIAISMAIAV >OGLUM10G12350.1 pep chromosome:ALNU02000000:10:16198819:16201602:1 gene:OGLUM10G12350 transcript:OGLUM10G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBF4] MALNLAQSAAAAACFATAGDARRAASVVAMPSSSSSATTSLRMKRQAACEPVACRAVARHVAAAAASSRRNGVPVFVMMPLDTVSKCGSALNRRKAVAASLAALKSAGVEGIMVDVWWGIVESEGPGRYNFDGYMELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWVVEEMEKDNDLAYTDQWGRRNFEYISLGCDAMPVFKGRTPVECYTDFMRAFRDHFASFLGDTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMRSSLKAAAEARGKPEWGHGGPTDAGGYNNWPEDTVFFRGDCGGWSTEYGEFFLSWYSQMLLEHGERVLSGATSVFGDGAGAKISVKVAGIHWHYGTRSHAPELTAGYYNTRHRDGYLPIARMLARHGAVLNFTCVEMRDHEQPQEAQCMPEALVRQVAAAARAAGVGLAGENALPRYDGTAHDQVVAAAADRAAEDRMVAFTYLRMGPDLFHPDNWRRFVAFVRRMSESGSPREAAESAAHGVAQATGSLVHEAAVALRS >OGLUM10G12360.1 pep chromosome:ALNU02000000:10:16201952:16203743:-1 gene:OGLUM10G12360 transcript:OGLUM10G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRARTRDLFARPFRKKGYIPLTTYLRTYKIGEHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHVQPSRCTEEFRLRKIKNDQLKADAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGGYGA >OGLUM10G12370.1 pep chromosome:ALNU02000000:10:16204469:16208378:1 gene:OGLUM10G12370 transcript:OGLUM10G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCPPAASPPLRLPPRRRSGSLRSAAPPGRQPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMIPSDLTMSSLSAALQDISLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRARFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGPGNIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVAGIKCRAISARSGLPWQSDPRLAKFLC >OGLUM10G12370.2 pep chromosome:ALNU02000000:10:16204501:16208378:1 gene:OGLUM10G12370 transcript:OGLUM10G12370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCPPAASPPLRLPPRRRSGSLRSAAPPGRQPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIISDGGNTTFVYVIIDKQTKTRTCIITSGYPPMIPSDLTMSSLSAALQDISLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRARFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGPGNIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVAGIKCRAISARSGLPWQSDPRLAKFLC >OGLUM10G12370.3 pep chromosome:ALNU02000000:10:16204469:16208378:1 gene:OGLUM10G12370 transcript:OGLUM10G12370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRCPPAASPPLRLPPRRRSGSLRSAAPPGRQPLLPAAAGRVVLGCGLVTLDYLATVDAYPRPDDKIRSGELQVSGGGNAGNALTGAARLGLNTRLISKVANDEIGGTVLSELKEAGIDISHVIKNSYVYNHIRVPSDDS >OGLUM10G12370.4 pep chromosome:ALNU02000000:10:16204469:16208378:1 gene:OGLUM10G12370 transcript:OGLUM10G12370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSDLTMSSLSAALQDISLLYLDGYSDEMALAVAKQADQMKIPILVDAEPERTREELGGLLSLASYIVCNGKFPEKWTSIPSIPSALLEILLQYPRARFAVVTLGENGCMMLERGKDGENYETEPVDIENVAESLRLKVDKDDILPTCVSSKFMRLTARGPGNIFARLLIGTAESIPASELVDTTGCGDAFIGAVLHGLSTEMPPEKMLPFACQVAGIKCRAISARSGLPWQSDPRLAKFLC >OGLUM10G12380.1 pep chromosome:ALNU02000000:10:16204873:16209258:-1 gene:OGLUM10G12380 transcript:OGLUM10G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAGGGGGRGHVGRSLQVALADKLDIVKSLGIIGGYPDVIIHFTLEMSLVLRPRRAAPVSAFPALPPPDTCNNGNAPRKLWGLVWCVTCSSPLRILSSGRGYASTVAR >OGLUM10G12390.1 pep chromosome:ALNU02000000:10:16210711:16213488:-1 gene:OGLUM10G12390 transcript:OGLUM10G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRVAASLSSPSKSRQNSIFSGGGWWWSARSRCAFDGQGVFLVEFLGSRLSSWIRGGRRHPGPGRVSRIRFLLIRSESERMGSAMDVVDISSDEEGFAAAAAAAAVAVATTKKASVDSLGWIADLLREEDERALSDEFDDLEVMSELSAPPVTAQQKKGKPDCGGEEDDDDCVVLDGDPDDVVAVAGEKGSEGDGSSDELQIVAEKGPVACRDFPHSRHLCSNLPFSTTSHVKYCSMCHCFVCDTPAPCNYWGKGTGIYDHCHATDKEKKWKAMRHTFKSKGLPTSHPEKRQNVVYPTTTSFVQQDTQCEISLVQSHMTTYFPNQSHLANVVNQGLTQTRHTSIRVSPSVGRTVSATRTSPATRAGRGMSNAPSIQIPQSRTRFKRVGATSPGIVILNDNQFGSAAPNNTQLHQPSSPHASQPAQVAPRTLFGTVQKNPPQRSLSAPIALQGQQDQSASSYQAASNGTHGTGPQFSRCTSLTAQRTQLLPEPALDVYSKSWQDIIDSLASDLEVPDYNMGAAESQQPDRTISQPLDSITFQGVGLHSEPVVALANLMPCNGQNVANGMIGSNCPAQTTQILPHLNHQPSLVPNESHLNNSVSSTADGLLMEAAHQRDTQGSDSLDLLFDFEFEDWDSAEP >OGLUM10G12400.1 pep chromosome:ALNU02000000:10:16215962:16218843:1 gene:OGLUM10G12400 transcript:OGLUM10G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRFFSCTGATPPPAENADITLVSGPPCCGKTSLLFQFAINRATESGRGVVFICRKGRLENSPPFLSQGIDPSHSVLQRIHIKYIEDDEGIRQYFAAFHLLGSFPAAVIIDDFADFFSERSCQQRYGNARARDLAVVRILALCQNAIAHANTKLGVPGSCNLLLSDVHQGDTPRSMFIYKRWISSIYTIQGDGHGSYILKSISSSDCGSREGRAAKYSIALQYLVLEHISNG >OGLUM10G12410.1 pep chromosome:ALNU02000000:10:16219616:16220955:-1 gene:OGLUM10G12410 transcript:OGLUM10G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ribosomal protein L11 [Source:Projected from Arabidopsis thaliana (AT4G35490) TAIR;Acc:AT4G35490] MATTLKDAVARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASGRPGHTVVSSLSLRHVYEIAKLKQSDPYCKHMSLEALCKSIIGTANSMGIEIVKDL >OGLUM10G12420.1 pep chromosome:ALNU02000000:10:16221790:16228631:-1 gene:OGLUM10G12420 transcript:OGLUM10G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAISGEVPDEPVVSKKSGLLFERRLVERYIEDHGKCPVTKEELTMDDIVAVKTNKVVKPRQLQAASIPGLLGMFQNEWDAIMLSSFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARALLAQAERQIPASMAGAAPTAVVSNGKRAFEDEVGPDGKKIRPGINPVMIDELTECNTLLSAHRKKRQVPPTLASIDAIERYTQISSHPLHKTNKPGILSMDIHPSKDIIATGGIDTNAVLFDRPSGQILCTLTGHSKKITSLKFVPRDELFVTGSADKTVKIWQGSEDGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNTWCFYDIPSGSCLTQVGESSGQEGYTSASFHPDGLILGTGTTEAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAALDGVKLWDLRKLRNFRTISPYDSDTPTNSVEFDFSGSYLAVGGSDTRVYQVANVKLEWNLVKTLPDLSGTGKVTNVKFGTDAKYIAVGSMDRNLRIFGLPGEDDQMDDAKPSEE >OGLUM10G12430.1 pep chromosome:ALNU02000000:10:16238431:16241331:1 gene:OGLUM10G12430 transcript:OGLUM10G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDVDDEPFADGISSPIAQHIYDFCDDGNGGDLFAAVNAAAAAGSDMFTASSEDASASSSSTATAPIAGGGDTLSPPLPSLDSTLSALLEQDESPGGGGGGGMVMSMMGMDEIGEYQRMMECGGALLGATHAADGADIAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >OGLUM10G12430.2 pep chromosome:ALNU02000000:10:16238422:16241331:1 gene:OGLUM10G12430 transcript:OGLUM10G12430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMECGGALLGATHAADGADIAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >OGLUM10G12430.3 pep chromosome:ALNU02000000:10:16238422:16241331:1 gene:OGLUM10G12430 transcript:OGLUM10G12430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRELFSSSNSHPVDVPLPSQYQFRAFLGARSIMLDDVDDEPFADGISSPIAQHIYDFCDDGNGGDLFAAVNAAAAAGSDMFTASSEDASASSSSTATAPIAGGGDTLSPPLPSLDSTLSALLEQDESPGADAELLLPIDDYAFAAVDETQPPPPEQHQQQFTQMPLPVMGGAAAEHPVLQAQLSSTATELMQYAGFTDEVFAAALAAGAGAGAGGYMGLDDPLCPQQQHSGAMLPSAAAAGEAFFSKDAHAAQAAFFAAAGGGGGGGMVMSMMGMDEIGEYQRMMECGGALLGATHAADGADIAFGNAAAAELQMGGGGSSPARLPATGTTETTSLEDTSFKTVRLSNEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYCEASRSIGSQNHEEYEQIGGVKGEDMLDSDALAHISGMSSYMYNHTVESWI >OGLUM10G12440.1 pep chromosome:ALNU02000000:10:16245816:16247512:-1 gene:OGLUM10G12440 transcript:OGLUM10G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OGLUM10G12450.1 pep chromosome:ALNU02000000:10:16250992:16251258:1 gene:OGLUM10G12450 transcript:OGLUM10G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDGLYGSRRRMATAKGAGAGRRRSSSAVAAPRPVRQLYWKLRSRLRSSSSSKRHAGGAAARFGYDLQSYSRNFDDGGLVVSGHRF >OGLUM10G12460.1 pep chromosome:ALNU02000000:10:16254619:16254876:-1 gene:OGLUM10G12460 transcript:OGLUM10G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHPHPWVPAGNQAALALAGGHSSSRSGQTKPTGHQRQFAAVHARSGDDALIGGPRVNDPTRQGLIRRDANEWGMDRREEEAGD >OGLUM10G12470.1 pep chromosome:ALNU02000000:10:16267615:16272516:1 gene:OGLUM10G12470 transcript:OGLUM10G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxin-12 [Source:Projected from Arabidopsis thaliana (AT3G04460) TAIR;Acc:AT3G04460] MLFQVGGQGARPTFFEMSAAQQLPASLRAALSYSLGVFALRRPLLHKVLDYEDEFFALLMAVLESHSLRTTDGSFSESLYGLRRRPVKVSVKRSSSGAESNDKAYDSVLRKRQKVLSVVFLVVLPYFKSKLQSIYNKEREARLQASLWGQGDVDVRFDEADLVSDQGETSQAQVEATTGEVSNVARIKKNFAALIGVCYPWIHATNEGLSFAYQLLYLLDGTAFYSPALHALGLHVCRATGQELMESSSRVSRIRNRELERLRGPPWLKTMQRVLLNCMYTSLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPLPKVAKDGLPLPPDRTLCPLCCQKRNNPSVLSVSGFVFCYSCIFKSVSQHKRCPITLMPATVEQIRRLFHDL >OGLUM10G12480.1 pep chromosome:ALNU02000000:10:16276493:16282516:1 gene:OGLUM10G12480 transcript:OGLUM10G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase A4 [Source:Projected from Arabidopsis thaliana (AT5G44030) TAIR;Acc:AT5G44030] MDTASVTGGEHKGKEKTCRVCGEEVAAREDGKPFVACAECGFPVCRPCYEYERSEGTQCCPQCNTRYKRHKGCPRVEGDEDDGGDMDDFEEEFQIKSPTKQKPHEPVNFDVYSENGEQPAQKWRPGGPALSSFTGSVAGKDLEQEREMEGGMEWKDRIDKWKTKQEKRGKLNRDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSKINPYRIVIVLRLVVLCFFLKFRITTPAMDAVPLWLASVICELWFALSWILDQLPKWSPVTRETYLDRLALRYERDGEPCRLAPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFTIEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGSELPRLVYVSREKRPGYNHHKKAGAMNSLVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDKQLGKKLCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKSHKNKKGGGGGEGGGLDEPRRGLLGFYKKRSKKDKLGSGGAASLAGGKKGYRKHQRGFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEVIHQNISPFASSRKMMEANQKSKFLQIGWIYGSVTEDILTGFKMHCRGWKSVYCTPARAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSIEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKAAADETDAFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKPKGPVLKPCGVSC >OGLUM10G12490.1 pep chromosome:ALNU02000000:10:16281916:16285558:-1 gene:OGLUM10G12490 transcript:OGLUM10G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLEHLNLSSNQFSGEIPASLAKLTKLQSVVLGSNLLHGGVPPVIGNISGLRTLELSGNPLGGAIPTTLGKLRSLEHINVSLAGLESTIPDELSLCGNLTVIGLAGNKLTGKLPVALARLTRVREFNVSKNMLSGEVLPDYFTAWTNLEVFQADGNRFTGEIPKAIAMASRLEFLSLATNNLSGAIPPVIGTLANLKLLDLAENELAGAIPRTIGNLTSLETLRLYTNKLTGRLPDELGDMAALQRLSISSNMLEGELPAGLARLPRLVVFVASDNLLSGTIPPEFGRNGQFFIISMANNSFSGELPRGVCASAPRLRWLGLDDNRFSGAVPACYRNLTNLVRLRMARNQLAGDVSEILASHPDLYYLDLSGNSFDGELPEHWAQFKSLSFLHLSGNKIAGAIPASYGAMSLQDLDLSSNRLAGEIPPELGSLPLTKLNLRRNALSGRVPATLGNATRMEMLDLSGNALGGGVPVEMTKLAEMWYLNLSSNNLSGEVPALLGKMRSLTTLDLSGNPGLCGRDIAGLNSCSSNTATGDGHSGKMRLVLAVTLSVAAALLVSMVAVVCAVSRKARRAAVVLEKAETSASGSGGSSTAAAVQASIWSKETTFSFGDILAATEHFNDAYCIGKGSFGTVYRADLGGGRAVAVKRLDASETGDACWGVSERSFENEVRALTRVRHRNIVKLHGFCAMGGYMYLVYELAERGSLGAVLYGGGGCRFDWPARMRAIRGVAHALAYLHHDCSPPMIHRDVSVNNVLLDPDYEPRVSDFGTASFGVVAMEMLMGKYPGGLISSLQHSPRSLSAEGHDGGEEASASRRLLLKDVVDQRLDAPAGKLAGQVVFAFVVALSCVRTSPDARPTMRAVAQELAARRRPILDRPFEMIKIGDLTNSHR >OGLUM10G12500.1 pep chromosome:ALNU02000000:10:16310827:16328294:1 gene:OGLUM10G12500 transcript:OGLUM10G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVEIENGQMEVVFIGVEILREEILDLNGNNFTGAIPASISRLRSLTSLDLGNNGFTDSIPPQLGDLSGLVDLRLYNNNLVGAIPHQLSRLPKVAHFDLGANYLTDEDFAKFSPMPTVTFMSLYLNYINGSFPDFILKSPNVTYLDLSQNTLFGKIPDTLPEKLPNLRYLNLSINAFSGPIPASLGKLTKLQDLRMAGNNLTGGVPEFLGSMPQLRILELGDNQLGGQIPPVLGQLQMLQRLDIKNSGLVSTLPSQLGNLKNLTFFELSLNQLSGGLPPEFAGMRAMRDFGISTNNLTGEIPPVLFTSWPELKSFQVQNNSLTGKIPPELGKAKKLQYLYLFTNHLTGSIPAELGELENLIELDLSVNSLTGPIPSSLGKLKQLTKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITDLRSLQYLAVFDNHMSGAIPADLGKGLALQHVSFTNNSFSGELPRHICDGFALDHLTANYNNFTGGLPPCLKNCTALVRVRLEENHFTGDISEAFGVHPKLVYLDVSGNKLTGELSSDWGQCINLTLLHLDGNRISGGIPAAFRSMTSLKDLNLAGNYLTGGIPPVLGNIRVFNLNLSHNSFSGPIPASLSNNSKLQKVDLSGNMLDGTIPVAISKLDALILLDLSKNRLSGEIPSELGNLAQLQILLDLSSNSLSGPIPPNLEKLMTLQRLNLSHNELSGLIPAGFSSMSSLESVDFSFNRLTGSIPSGTVFQNASASAYVGNLGLCGDVQGLTPCDISSTGSSSGHHKRVVIATVVSVVGVVLLLAIVTCIILLCRRRPREKKEVESNTNYSYESTIWEKEGKFTFFDIVNATDNFNETFCIGKGGFGSVYRAELSSGQVVAVKRFHVADTGDIPDVNKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYGEEGKKKMDWGMRVKVVQGLAHALAYLHHDCNPAIVHRDITVNNILLESDFEPRLCDFGTAKLLGGASTNWTYVAGSYGYMAPEFAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISSSEEDDLLLKDILDQRLDAPTGQLAEEVVFIVRIALGCTRVNPESRPSMRSVAQEISAHTQAYLSEPFKLITISKLTDYQK >OGLUM10G12510.1 pep chromosome:ALNU02000000:10:16325320:16327986:-1 gene:OGLUM10G12510 transcript:OGLUM10G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVHRFLLLLLFVAAAAMPASINAAASSQTDALLAWKASLTNVTALSAWTRVAPVCGWSGVACDAAGRAARLRLPSLGLSGGLDALDFAALPALTELDLSGNGFSGSIPSNISHLRSLAVLDLSNNKFVGSIPPQLGDLSGLIELRLDDNFLFGDIPHQLSRLPKIVHFDLGYNYLTIPDYRKFSPMHTVKFLSLCGNSLNGSFPEFVLRSSNITYLDLSVNDFSGPIPDLLPEKLPNLRHLNLSFNAFSGRIPDSLRRLTKLQDLRIRNNNLTGGIPKFLGSMGQLRVLALGYNPLGGQIPPVLGQLQMLEELQISGAGLVSTLPLQLADLKNLSVLNLAYNKLSGNLPLAFARLQAMRVFGISSNNLTGDITRDLFTSWPELEFFGVDSNMFTGKIPPELGKARKLNVLLMHDNRLSGSIPPALGSLTSLKYLDLSANNLTGGIPSALGHLSHLKFLNLSHNSISGPIMGNLGNNFKLQGVGSSGNSSNSGSAFCMLLSLQNLDLSNNKLTGKLPDCWWNLQDLQFMDISHNDFSGEISALGTSYNCSLHSVYLAGNGFTGVFPSALEGCKTLVSLDIGNNKFFGNIPPWIGKGFPSLGILILKSNNFTGEIPSELSQLSQLQLLDMSNNGLTGSIPRSFSNLTSMKNKKLISPQELLQLLSSGDRIDTIWKGQEQIFKINFSGLDFFQLLTGIDLSGNALSKCIPDELTNLQGLQFLNLSRNHLSCNIPGNIGSLKNLESLDLSSNELSGAIPPSLAGISTLSILNLSNNNLSGKIPFGNQLQTLIDPSIYSKNVGLCGFPLNISCTNSSLASDERYCRTCEDQYLSYFVMSGVVSGLCLWFGMFFSIETLRYAIICFVDAIQCKVMQKVSYINQFLSRGNTA >OGLUM10G12520.1 pep chromosome:ALNU02000000:10:16370005:16384784:-1 gene:OGLUM10G12520 transcript:OGLUM10G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVLHSFFLLLVATAAIPGSVNAAASSQQTDALLAWKSSLADPAALSGWTRASPVCTWRGVGCDAAAGGRVTTLRLRGLGLGGGLHTLDFAAFPALTELDLNGNNFAGEIPAGISQLRSLASLDLGNNWMDGSIPPQIGDLSGLVDLCLYNNNLVGAIPHQLSRLPKIAHFDLGANYLTDQDFAKFSPMPTVTFMSLYDNSINGSFPDFILKSGNITYLDLSQNDFSGPIPDTLPEKLPNLRYLNLSKNEFSGRIPASLGRLTKLQDLLIAANNLTGGVPEFLGSMPQLRILELGDNQLGGAIPPVLGQLQMLQRLDIKNAGLNSTLPPELGKLKNLTFLELSVNHLSGGLPPEFAGMRAMREFGLETNWLIGEIPSVLFMSWPELISFQVQDNFFIGRITQEVGMARKLRFLYLFLNDLSGSIPAELGELENLEELDLSGNSLTGPIPSSLGKLKQLINLALFFNYLTGAIPLEIGNMTALQSLDVNTNSLQGELPATITALKNLQYLTVFENNMSGTIPPDLGKGIALQHVSFTNNSFSGELPRHLCDGFALNHLTANYNTFTGTLPPCLKNCTGLFRVRLEENHFTGDISEAFGVHRSLEYLDISGNKLTGELSSDWGQCTNLTLLRMDGNRISGRIPEAFGSMTSLQFLSFFENNLTGGIPPDLGHLNLLFNLNLSHNSFSGPIPASLGNNSKLQKIDMSGNMLNGTIPVALGKLGALTFLDLSKNRLSGKIPRELGNLVRLQTLLDLSSNFLSGWIPQAAFCKLLSLHILILSNNQLTGKLPDCLWYLQNLQFLDLSNNAFSGEIPAAKASYSCSLTSVHLSGNNFTGVFPSALEECKKLINLDIGNNSFFGDIPIWIGKGLPSLKILSLKSNNFSGEIPSELSQLSQLQLLDMTNNGLTGLIPRSFGNLTSMKNPKLISSQELLQWSSNHDRIDTIWKGKEHIFEIKTFAIEIQLLTGIGLSGNSLSQCIPDELTNLQGLQFLNLSRNYLSCSIPENIGSLKNLESLDLSSNELSGVIPPSLAGISTLSILNLSNNHLSGNIPTGNQLQTLTDPSIYSNNSGLCGLPLNISCTNYSLVSDERYYRTCEDQYLSYCVMAGVVFGFWLWFGMLFSIGTLSSCMHEMAMVMRSRSTAGWRPHAVVESAKPWSFSGELPRHLCDGFALQHLTAYHNNFSGTLPPCLKNCTGLVRVLLVENHFTGDISEAFAVHPSLEYLDVSGSKLTGKLSSDWGECIILTLLHLDGTRISGGIPAAFGSMTSLQSLSLAGNNLTGGVPPDLGHLNLLFNLNLSNNSFSGPIPASLGNNYMLQRINLSGNMLNGTIPVARQHCYSAIS >OGLUM10G12530.1 pep chromosome:ALNU02000000:10:16430241:16430828:-1 gene:OGLUM10G12530 transcript:OGLUM10G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARAVAAMAIFLLAALSTTHLASSLRPVAGGACRPSGYLPGKSGNCEKSNDPDCCEDGKAYPQYRCSPPVTSATGAVLTLNSFEKGKDGGGPSECDNAYHSDGELVVALSTGWFAGMARCGHRVRITANGSGRSVVAKVVDECDSVHGCDDEHNYEPPCDNNIVDASPAVWDALGLDKSVGMEHITWSDGDE >OGLUM10G12540.1 pep chromosome:ALNU02000000:10:16430844:16432185:-1 gene:OGLUM10G12540 transcript:OGLUM10G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSTSPIIHGASDDAAASCHASGYVYFHGNETRCPKGSHDCCVAGERYPRFRCSPPDDAGDPDAEGVRPRRGDGGAPTSCDMLFHRNTQLVVALSSGWLRLGGARRCNRRIRVFTGAASGRSVVVKVVDECDSVNGCREEHGFAPPCRNNAVGGSPAVWKKLGLNASVGEFEVVWSDAWRTPKSKSKHKFIKKTEDNKVAQQRAVSP >OGLUM10G12550.1 pep chromosome:ALNU02000000:10:16455637:16456863:-1 gene:OGLUM10G12550 transcript:OGLUM10G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKYNVLNGNSSNSSSRSAFCRLLSLQILDLSNNKLTGKLPDCWWNLQSLQFMDLSHNRFSGEIPAVKTSYNCSLESVHLAGNGFTGVFPSALKGCQTLVTLDIGNNNFFGGIPPWIGKGLSSLKILSLRSNNFTGEIPSELSHLSQLQLLDMTNNSLTGSIPTSFGNLTSMKNPKIVSSAGSLDGSTYQDRIDIIWKGQEMIFQKTLQLMTGIDLSGNSLSECIPDELTNLQGLRFLNLSRNNLSCGIPENIGSLKNLESLDLSSNEISGAIPPSLAGISTLSTLNLSYNHLSGKIPTGNQLQTFTDPSIYSHNSGLCGPPLNISCTNASVASDERDCRTCEDQYFYYCVMAGVVFGFWLWFGMLLSIGTWRYAIFSFVDGMQCKVMQKVSSVDKFLSRGNTDQYL >OGLUM10G12560.1 pep chromosome:ALNU02000000:10:16475691:16478836:-1 gene:OGLUM10G12560 transcript:OGLUM10G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASRLPTCHASSSLAAAAAIPAPANAATPTEAEALLAWKASLQDDAAALSGWSRAAPVGRWHGVACDSGRVAKLRLRGAGLSGGLDKLDFAALPALIELDLNGNNFTGAIPASISRVRSLASLDLGNNGFSDSIPPQFGDLSGLVDLRLYNNNLVGAIPYQLSRLPNIIHFDLGANYLTDQEFAKFSPMPTVTFMSLYLNYINGSFPDFILKSPNVTYLDLSQNTLFGQMPDTLPEKLPNLRYLNLSINSFSGLIPASLGRLTKLQDLRMAGNNLTGGIPEFLGSMPQLRILELGDNQLGGAIPPVLGRLHMLQRLDIKNAGLVSSLPSQLGNLKNLTFLELSLNQLTGGLPPAFAGMKAITDFGIATNNLTGEIPPVLLTSWPELISFQVQNNSLTGKIPPELGKAKKLQFLYLFTNNLTGSIPAELGELENLTELDLSVNSLTGPIPSSLGKLKKLTKLALFFNNLTGVIPPEIGNMTALQSLDVNTNSLHGELPATITDLRSLQYLAVFDNHMSGTIPADLGKGLALQHVSFTNNSFSGELPRHLCDGFALNHLTANYNNFTGTLPPCLKNCTGLFRVRLEENHFTGDISEAFGVHRSLEYLDISGNKLTGELSSDWGQCTNLTYLSINGNSISGNLDSTFCTLSSLQFLDLSNNRFNGELPSCWWELQALLFMDISGNGFSGELPASKGLQLPLQSMHLANNSFSGVFPNIIRKCGALVTLDMGNNKFFGHIPSWIGTSLPLLRILILRSNNFSGEIPTELSQLSQLQLLDMASNVLTGFIPTSFGNLSSMTQTKTLPVTEYFNAESTPFQPEVPQVPKPHRRREPKNQSPLDQSRDRVSIQWKGHEETFQRTAMLMTGIDLSGNSLYGEIPKELTYLRGLRFLNLSRNDLSGSIPERIGNLNILESLDLSCNELSGSQLQTLVDPSIYSNNLALCGFPLSIACHASRLDEKNEEFDMDLFYSVTIGIVFGFWLCVTPQSGTAVQRHLIGAYRRNNGVNRFLRDRDLGTSPRT >OGLUM10G12570.1 pep chromosome:ALNU02000000:10:16501598:16506435:1 gene:OGLUM10G12570 transcript:OGLUM10G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGAGAGDDEYTRDGSVDLRGNPVLRSKRGGWKACSFIVVYELFERMAYYGIASNLVIYLTEKLHQGTVEAANNVTNWSGTVFITPLIGAVVADAWLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDGGGGGAACPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPEKLHKLSFFNWWMFTIFLGILFSTTVLVYLQDNVSWTVGYGIPTLGLMISVAVFLAGTPLYRHKVPQGSPLATMGRVVAAAVWKWRVPLPADSKELHELELEHYTSRRGFRMDATVSMAFLNKAAVKPAARLPGWTLCTVTQVEETKQIVKLVPLLATMVVPCTLVAQAGTLFVKQGVTLDRRIGKFHVPPASLGAFVTATMLVCIVLYDRFLVPAVRRRTKNPRGITLLQRISLGMLLQIVTMVVTSVVESRRLGYARRHGLVAGGGQLPVTIFILLPQFVLLGVADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGAGNLLSSAILAAVERVTGGGKGRTPWVTNNLNASRLDYYYAFLATLAAANLLAFVVLSCKYSYRVESTETIDVDVAMDDVQGGGVARVKSEAAPMA >OGLUM10G12580.1 pep chromosome:ALNU02000000:10:16521702:16527877:1 gene:OGLUM10G12580 transcript:OGLUM10G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKAKKGKRKGKRKQGDAVGSSDSEGSGSSSGVAAAAADEGTAVAAGSSTSGAAAEGVTGNAEVAGDVQLPEPQQQAQLREWKKEEKDVLGLLVNRAKGRKPCIEDKFTKDKPREDIEKIVVGFTRFNMKFHTWINNQKVVKPDGYLPSDGVVMISSVLGAISEALKKNCKFVGLDNKFSYVMLDYKIKILPFNIRRGNDDKDTDRTIPKWQDPDLAEFIPLMGKPDIMIDQLLEHPLLLPPDIRELSYRKLWLNHLLFDQGNLLYSSQDYNHWKQNIPQDEAVLIDMLNSGNYLDTFAGAFQYGRDTSSHYMTNARRLNQGILVPPCTVDSKLKKALPGLI >OGLUM10G12590.1 pep chromosome:ALNU02000000:10:16527872:16533800:-1 gene:OGLUM10G12590 transcript:OGLUM10G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEELCVSFKCFCGWRSRCVPKIPRIAPGTDYLAVHAAAVDDDRPLWSVLVGLAAPDVPGHNLRLHRFRVAASGRVLGDSDGLLERFLDIAPRDDAAEVFANASADLSSRGDGRLLHIICKSLRLRTTDGDGEYGYILDDLHLGRKYTPLAINLADKTLTPLGGDLPVVPGEHWPILAGGDDWALCVERPFDDYLQLRGTTILRMQRLDAVRQRWVLAAEHEFPFKQKIRFSGGVLQGYVSIGNKILVSCLGPVFFVFDCSDCTWAPVSLSGEINNYIPLWYSASYVEDDDTIYFIRTTNPLVYISAIEPLNGNKYDSWREKIVIALALSDVDEALTEPCPTEPANPVRAEGHHYDSYNSEGIIGTKYI >OGLUM10G12600.1 pep chromosome:ALNU02000000:10:16555319:16557305:-1 gene:OGLUM10G12600 transcript:OGLUM10G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRGRRVPAGRELADHLIERINDLRLENPYPGKVEILGGYIRSYAFQYEDNASYFQGSIFFESPADDKGIATVEATFIILDEEHEEGEAGRIVGQNVYDSTAGFYGYRDSIANIYLTAYCSKVSRWVIAYERFDSYFDDVIPGCPPFYENESGSRVSQFWIDTIRQIIESLSCIHGEGVVHGRMYSPKSYVVDSNMTLKLINIGRYSRSGVSTSHGDITGFFDYLCSQSSLGVSSSQEWVGFEYLYRSEEAMNNR >OGLUM10G12610.1 pep chromosome:ALNU02000000:10:16565664:16566760:1 gene:OGLUM10G12610 transcript:OGLUM10G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRDEEDNADVMLVDCKFPTKAHLYVIAETVYGSLIYQVSISDGKFFCHDKVLEPHRSVNSFITKKSRTIFLVGHLVIGIGHTLKDVCIMKKKRWKHLDTSGPCLDQTRKNEISGWAVLNSDTFIVADAKTCDSFILNLTTGEWNVVKPRLPYRCGMLSGRSFCVGGFIYTPWKGGIIAFELVEDGNFYYLGEPILFGLWKKKIYGSWRRISLDEEFTRIALIGTDSDCIVFSIFHGAPSTPPFRGIKHDMLMATVLVKTQTTGRGTKQPISAEHIDLCTSFIEHEGWINPTFAFAFISMLESRGGDSSIRNR >OGLUM10G12620.1 pep chromosome:ALNU02000000:10:16577851:16583603:1 gene:OGLUM10G12620 transcript:OGLUM10G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAERVEAAGGGDDDYTQDGTVDLHGNPVLRSKRGGWKACGFVVVYEVFERMAYYGISSNLVLYLTTKLHQGTVSSANNVTNWVGTIWMTPILGAYIADAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTADPGCSEKASSLQLGVFFLALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDNVGWTVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAVRKLAVALPDDARELHELDDEYYAKKKTTPLPYTPYLKILSKAAVKTSTTSRWSLSTVTQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGGFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVGLVIHIAIMGIASVTERHRLAVAREHGIADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSRVVDVGGSGSGGEVLRPKEVAMVDTNL >OGLUM10G12630.1 pep chromosome:ALNU02000000:10:16584443:16584667:1 gene:OGLUM10G12630 transcript:OGLUM10G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPPHSNHAHHRSAPLTGNLHRCHHPWSTGAIGACLADCHRARPLPPSASPPLRHSLEPGETHRAAPLLEED >OGLUM10G12640.1 pep chromosome:ALNU02000000:10:16591887:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQVSSL >OGLUM10G12640.2 pep chromosome:ALNU02000000:10:16591906:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQVSSL >OGLUM10G12640.3 pep chromosome:ALNU02000000:10:16591887:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKVRISN >OGLUM10G12640.4 pep chromosome:ALNU02000000:10:16591887:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQGSLKLTGRDVPENFFDGSSFVVVAFRLINHGTNDLPMCQFWGCWEKFGFCCAFRLINHRSLLQMVKFAGQHYASDRQ >OGLUM10G12640.5 pep chromosome:ALNU02000000:10:16591906:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQGSLKLTGRDVPENFFDGSSFVVVAFRLINHGTNDLPMCQFWGCWEKFGFCCAFRLINHRSLLQMVKFAGQHYASDRQ >OGLUM10G12640.6 pep chromosome:ALNU02000000:10:16591906:16599285:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKAP >OGLUM10G12640.7 pep chromosome:ALNU02000000:10:16591906:16598221:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKATYGAPHLVDSKLKKALPGLVSKVYALSLNDAWQEYLKS >OGLUM10G12640.8 pep chromosome:ALNU02000000:10:16591887:16596168:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTTIDQLLEHPLLLLPQKRELVYRKSWIRDLSNDQEDLIVSIEYNGWKSKIPVDEDVLQFMLKTGYYDDDFNGAFKFSHDTSSHYMARARQLNKVRISN >OGLUM10G12640.9 pep chromosome:ALNU02000000:10:16591887:16595054:1 gene:OGLUM10G12640 transcript:OGLUM10G12640.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVAESSLGAGAEGTGTEEVADVQAPEWKREEKDILDVLRNRARGRAQWWGVSVFIYKFKYGGVDNQWYNGQTFLNTHIDESFTKDKPQQVSIWTCPLDERQRVELSVSLLWGVNHFAILKPIFLTYNKKIQKIVVGFTRYNKKFDSWINSQQIVQPDGYLPSEGVSMISDVLRAMSEISKNSCKFVGLENMSSYVLLDNRIRILPFNIRRGSADKDADIADQLLAFSDLLLKKLYPKWKDVDLMEFISLMHEPDTT >OGLUM10G12650.1 pep chromosome:ALNU02000000:10:16600331:16606970:1 gene:OGLUM10G12650 transcript:OGLUM10G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGRCGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVLWHNLRLHRFRVAASGRVLGDSDDLLERFLDVRPDGAAAEIFASASAHVSSRGDRLHIICKCWRLKTDGSDREYSTIPNDIRLGQKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHEFPHDYTKHKINFGGGILQGYVVVRDKILVALLGAVFFVFDCSDCTWAPVSLSGDIYDYIPFKSRAAYVEDDDTIYFIRTSTLLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTGHLLITTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQPH >OGLUM10G12650.2 pep chromosome:ALNU02000000:10:16600331:16606970:1 gene:OGLUM10G12650 transcript:OGLUM10G12650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGRCGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVLWHNLRLHRFRVAASGRVLGDSDDLLERFLDVRPDGAAAEIFASASAHVSSRGDRLHIICKCWRLKTDGSDREYSTIPNDIRLGQKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHEFPHDYTKHKINFGGGILQGYVVVRDKILVALLGAVFFVFDCSDCTWAPVSLSGDIYDYIPFKSRAAYVEDDDTIYFIRTSTLLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTGHLLITTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQVSISDGKFFCHDKVLEPQRNVNSFITKKSRMDDPPSCHFVHQEQFFYVISSAPLKRMDLIDVHHNTQRTIETNRPKVFFTAVFLVGRLVIGIGHTLKDVCIMKKKRWKHLDTSGSSLDQTRKIEISGWAVLNSDTFIVADAKAYDCFILNLTTGEWNVVKPRLPYRCGMLCGRSFCVGGFIYTPWKGGIIAFELVEDGNFYYLGEPILFGLWKKKIYGSWRRISLDEEFNCIALISTDSDCIVFSMFHGAPSAPPFRGVKHDVLMTTVLVKTQTTGRGTKQPISAEHIDLCTSFIEHEGWINPTFAFAL >OGLUM10G12650.3 pep chromosome:ALNU02000000:10:16600331:16603926:1 gene:OGLUM10G12650 transcript:OGLUM10G12650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGERSDLCDTFKCFCGWRGRCGPNIPRIAPGTDYLAIPAAAVDDDRPRWSLLVGLTSFKVLWHNLRLHRFRVAASGRVLGDSDDLLERFLDVRPDGAAAEIFASASAHVSSRGDRLHIICKCWRLKTDGSDREYSTIPNDIRLGQKYTSLAMNLADKTLTPLGGDLPVVPGEHSSIAAGGEDWALCVERPFDKRRQRGTTILRMQRLEAAGQRWVVAAEHEFPHDYTKHKINFGGGILQGYVVVRDKILVALLGAVFFVFDCSDCTWAPVSLSGDIYDYIPFKSRAAYVEDDDTIYFIRTSTLLAYKYSPEQRSLSPPIKVATLFPFMEGEGFGYLVHLVDKVLCAVWFGNDLPCACTTGHLLITTLIVKGDWDSGCFTPRDVEILHSTCRRVEISEGGGTRKGRLGNFGFLQLYVENADQVDPTSIHPTIGQAAYLGIEDSPNILHCCRMFLRDEEDKADVVLVDCKFPVKAHLYVIAETAYGSLIYQVSISDGKFFCHDKVLEPQRNVNSFITKKSRMDDPPSCHFVHQEQFFYVISSAPLKRMDLIDVHHNTQRTIETNRPKVFFTAVFLVGRLVIGIGHTLKDVCIMKKKRWKHLDTSGSSLDQTRKIEISGWAVLNSDTFIVADAKAYDCFILNLTTGEWNVVKPRLPYRCGMLCGRSFCVGGFIYTPWKGGIIAFELVEDGNFYYLGEPILFGLWKKKIYGSWRRISLDEEFNCIALISTDSDCIVFSMFHGAPSAPPFRGVKHDVLMTTVLVKTQTTGRGTKQPISAEHIDLCTSFIEHEGWINPTFAFAL >OGLUM10G12660.1 pep chromosome:ALNU02000000:10:16630305:16630673:-1 gene:OGLUM10G12660 transcript:OGLUM10G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDPAAPWLPRPPTGPELRAQVRLPLVAADLASSGGGWPLMDLGRWGATVAADLASGNVTTTAAVSGDDDHGEMGIWGCRPDEATRGAPTPPMRGFCSWLRGSRAQARRLTRFFCWLGFAL >OGLUM10G12670.1 pep chromosome:ALNU02000000:10:16661417:16668576:1 gene:OGLUM10G12670 transcript:OGLUM10G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMEAAAAGDDDYTQDGTVDLRGNPVLRSKRGDRKACGFVVVSEVFERMAYYGISSNLTTKLHQGTVSSVNNVTNWVGTIWMTPILGAYIIDAHLGRYRTFMIASLIYLIGMSLLTLAVSVPSLKPPKCGAGTPDPSCSEEASSLQLGVFFVALYILAVGTGGTKPNISTIGADQFDDHHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYLQDNVGYALPTLGLAVSIAIFTAGTPFYRHKPTSGSSFARMARVIVAAARKLAVTLPDDARELHELDDPEQGGGEEDHAAAILSKAAVKTNTTSRWSLSTVTQVEETKQILKMLPVLAVTFVPAAMMAQVNTLFVKQGTTLDRRVGGGSFEIPPASLQAFVTISMLVSVVLYDRVFMPLMARATGNPRGITLLQRMGVGLVIHIAIMGIASATERHRLAVARAHGVADSKGTTIPLTIFVLLPQFVLMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSLLLSTVAHVTRRHGGGGGWIQNNLNASRLDHYYAFFAVLNCVNLVFFFLVCRLYVYNAEVSGVVDVGGSGGGEGGEVLRPKEVAMVDTNL >OGLUM10G12680.1 pep chromosome:ALNU02000000:10:16669957:16675983:1 gene:OGLUM10G12680 transcript:OGLUM10G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDQGKLFIGGISWETTEEKLRDHFAAYGDVSQAAVMRDKLTGRPRGFGFVVFSDPSSVDAALVDPHTLDGRTVDVKRALSREEQQAAKAANPSAGGRHASGGGGGGGGAGGGGGGGGGDAGGARTKKIFVGGLPSNLTEDEFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDAEDAVDRVLHKTFHDLSGKMVEVKRALPREANPGSGSGGRSMGGGGGGYQSNNGPNSNSGGYDSRGDASRYGQAQQGSGGYPGYGAGGYGAGTVGYGYGHANPGTAYGNYGAGGFGGVPAGYGGHYGNPNAPGSGYQGGPPGANRGPWGGQAPSGYGTGSYGGNAGYAAWNNSSAGGNAPTSQAAGAGTGYGNQGYGYGGYGGDASYGNHGGYGGYGGRGDGAGNPAAGGGSGYGAGYGSGNGGSGYPNAWADPSQGGGFGASVNGVSEGQSNYGSGYGGVQPRVAQ >OGLUM10G12690.1 pep chromosome:ALNU02000000:10:16676377:16679859:1 gene:OGLUM10G12690 transcript:OGLUM10G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHQRSGSLSVTSSATPSSDATELDFAAAADVGCPFGRVDALGPVELRETAYEIFFMSCRSSGGNTAGAAEVSSPVAGPRGGGGSRVKKALGLKARRLSSSSAAMVAQPMMVRTLSQTSGPASPGRGRRPMTSAEIMRQQMRVTEQSDARLRRTLMRAVVGQVGRRPDTIVLPLELLRQLKPAEFADGEEYHQWQFRQVKLLEAGLILHPSLPLDRLNSAVLRFREVMRATEIRAIDTAKSSDAMRTLTSAVHALAWRSGVGSGGGDACHWADGYPLNVLLYASLLHAIFDHRDCTVVLDEVDELLDLIRKTWPTLGVTRPVHNVCLAWAFFQQYVVTGQVEPELAAAALAVLADVAADARGTRDAVYGKALLGALGAMQEWSEKRLLDYHDSYEKGIGGAPTEGMEILLSISLAAGKIIADRDAAADADDAANFAGDRVDYYIRCSMKNAFTKILESGMGDGDGEPGVVLTQLARDTEELAVVERRSFSPVLRRWHPAPVAVAAVTLHGCYGVVLRQYLGKVTILTEELVRVLQSASRMEKAMAQMTAEDAADCRDDRAKAIVGDMEPYEVDSVVMGLLKVWMDDRFKITMDCLARAKETESWIPKSKDEPFAGSAMEMMKLAKYTVEEFSEIPASAKDEVVQDLVDGLEAIFQEYISFAASCGAKQNYLPPLPPLTRCNQDSGFFKLWRKAVLPSCQAPEGGPRGVGVGGGSHHVPRPSISRGTQRLYVRLNTLEYVLTHLHAIDKSLVAAPSPRFDGARAAAKSAIARVAEVAAFRLVFLDSRHSFYHGLYLRGVADTRIRPALRALKQNLTFLVSVLADRAQPVAVREVMRASFEAFLMVLLAGGGDRSFARGDHAMVEEDFRSLRRAFCTCGEGLVPEEVVAREAEAAERVVELMARPTDALIDAFGVATSESIVAAVGRGGDDGDGGYGGVTPVPPTSRRWDAADANTILRVLCHRDDEVASQFLKRTFQLAKRR >OGLUM10G12700.1 pep chromosome:ALNU02000000:10:16680416:16697416:1 gene:OGLUM10G12700 transcript:OGLUM10G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEAGLPAPPRPAPPGSALGRGPVCPGPRHALKEEGIEVAIDWPQEHFVFPEERTRATLASAEHLRKAGPACLEIDEVTIGASLSTARHRPKGRRRRPLEPLSRKINLFHPDSQASAAPRAATVNGIRLLTANLEPALRLPPSRLDAAPRAAAAVEKAFCPDSQAILPYAAAKDTTSTQSRYLLAKDTPATLRPPLSEGTPPSTPEHLSSRT >OGLUM10G12710.1 pep chromosome:ALNU02000000:10:16680923:16683087:-1 gene:OGLUM10G12710 transcript:OGLUM10G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMQKVWSAAIRHGFCPVALVKKLTVDGGGAGRNPWPRSPARRAGAATTSGGGNSRAGGACSPSRAARRRDQGRRAEKPIEASGGRGFIITG >OGLUM10G12720.1 pep chromosome:ALNU02000000:10:16688191:16693598:-1 gene:OGLUM10G12720 transcript:OGLUM10G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNPGLFTEWPWKKLGSFKYVLLAPWVAHGWYEVATKGWREVDLGYIAILPSLLLRMLHNQAWITISRLQNARGRRQIVRRGIEFDQVDRERNWDDQIILSGILLYLGALYLPGGQHLPLWRTDGAGLIALLHAGPVEFLYYWFHRALHHHFLYTRYHSHHHSSIVTEPITSVIHPFAELVAYELLFSIPLIACALTGTASIIAFEMFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDTLYENSLKNNEEEEAVDVVHLTHLTTLHSIYHMRPGFAEFASRPYVSRWYMRMMWPLSWLSMVLTWTYGSSFTVERNVMKKIRMQSWAIPRYSFHYGLDWEKEAINDLIEKAVCEADKNGAKVVSLGLLNQGKGLVIKAHTLNKSGEQYLLKYPKLGARIVDGTSLAAAVVVNSIPQGTDQVILAGNVSKVARAVAQALCKKNIKVTMTNKQDYHLLKPEIPETVADNLSFSKTGTAKVWLIGDGLDSAEQFRAQRGTLFIPYSQFPPKMVRKDSCSYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGILHALEGWNEHECGDKVLDMDKVWSAAIMHGFCPVAQG >OGLUM10G12730.1 pep chromosome:ALNU02000000:10:16710797:16714179:1 gene:OGLUM10G12730 transcript:OGLUM10G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyanase [Source:Projected from Arabidopsis thaliana (AT3G23490) TAIR;Acc:AT3G23490] MEGGGGERAAGVVRRLMAAKAESRKSFSEIGEEAGLTNVYVAQLLRRQAQLKPETAPALRAAVPGLTDDLVALMMEPPFRSYHPDIVHEPAIYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVQGADGKDRVVVTFDGKYLPYSEQRSDHMMSRLTRKTS >OGLUM10G12740.1 pep chromosome:ALNU02000000:10:16714978:16723529:-1 gene:OGLUM10G12740 transcript:OGLUM10G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEEQAHMDTSHWSDAIEPVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLSFDAFFRISNLHLEADKFHFHYPVFNLGEDSNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARLMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRQDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >OGLUM10G12740.2 pep chromosome:ALNU02000000:10:16714978:16723529:-1 gene:OGLUM10G12740 transcript:OGLUM10G12740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEEQAHMDTSHWSDAIEPVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYLSFDAFFRISNLHLEADKFHFHYPVFNLGEDSNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARLMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRQDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >OGLUM10G12740.3 pep chromosome:ALNU02000000:10:16714978:16723529:-1 gene:OGLUM10G12740 transcript:OGLUM10G12740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARLMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRQDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >OGLUM10G12740.4 pep chromosome:ALNU02000000:10:16714978:16723529:-1 gene:OGLUM10G12740 transcript:OGLUM10G12740.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARLMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRQDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >OGLUM10G12740.5 pep chromosome:ALNU02000000:10:16714978:16723529:-1 gene:OGLUM10G12740 transcript:OGLUM10G12740.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT2G35920) TAIR;Acc:AT2G35920] MLVHSSARRLLVSRGGGSGSFFPFAAAANACSRRALAPVSASAMSYRGGGGGRRGGGGGPNSQRGRGRGGGGAGRGGGGGGRGGGGRGEQRWWDPQWRAERLRQMAGEVEKVDENEWWNKIRQLREGSQQELVVKRNFGRDGQNILADMAQRQGLYFNAYNKGKTIVFSKVPLPDYRADLDERHGSTQQEIRMSNETERRVENLLAKAKSNSNDSASTSTLTTRQSRPSTSSSVTESTKDIDKERLSSELRDIQNSRKMMPSARLMQSFRDKLPAFKMREEFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLRGADCSIICTQPRRISAISVAARVASERGEELGDTVGYQIRLESKRSAQTRLLFCTTGVLLRRLEPDLVGVSHLLVDEIHERGMNEDFLIIILRDLLPRRQDLRLVLMSATINAELFSKYFGEAPIMHIPGFTFPVTELFLEDILEKTRYKINSERDNFQGNSRRKRLASVKSDPISDAFEDVDIYKEYGNYSVATRQSLEAWSATELNLSLVEGTIEYICRHEGEGAILVFLTGWDEISKLLDKIKGNNLLGNSNRFLVIPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGACYRLYPKVIYDAMPQFQLPEILRTPLQELCLTIKSLQLGAVASFLAKALQPPDPLSVNNAIELLKTVGALDDVEELTSLGRHLCTLPLDPNIGKMLLIGSVFQCLDPALTIAAALAYRNPFVLPIDRKEEADAVKRSFAGDSCSDHIALVKAFEAWKEARRSGRERSFCWENFLSPMTLQMMDDMRNQFFDLLSDIGFVSKTRGLKAYNYYGKDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAGIHQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSESKTGEGIEMLGGYLHFSAPRRIIELIQRLRGELDKLLQRKIEEPALDIFSEGKGVVAAAVELLHSQNVHH >OGLUM10G12750.1 pep chromosome:ALNU02000000:10:16727152:16729594:-1 gene:OGLUM10G12750 transcript:OGLUM10G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKIERGGLRGFVRRMAMECLCSGEQLRAADEIIRSPESAITKDCSASGYSSRNGEIEQYLDNGNIEEAELSLREGVCLNYEEARALLGRLEYQRGHVEAALRVFDGIDIPALVPKMKISIARKVDRRKTRSQWDSPPMPLHAVSLLMEAIYLKSRALHDLGKFKEAAQECRMILDIVEAAVPEGLPAGFGKDCKLNEIICKAVELLPELWKLGGFSLEAISSYRRSLLNNWNLDGETIARIQKEFAIFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRVERDPTIMHHLTFALSISGQLKPLAVQFEELLPGMLDKREWSYNVALCYLAEEDDSTALNLLKRILKSGDDSDNFKELLLASKACTERSAHTEGASYAQRAIANMQGGCEQLAGVADLLLGVNLSNQARCATSDTERASWQCEALEVLENAEKKMHGKDPRAMYSLSLENADQRKLDAAAFYAKKLVKLEAGSELRSWLLLARILSAQKQFADAETIIDAALDQTGKWSQGDLLRTKARIQAARGQLRNAVETYTKLLAVIQLRTKSLSAGIFLAKVL >OGLUM10G12760.1 pep chromosome:ALNU02000000:10:16731823:16734563:1 gene:OGLUM10G12760 transcript:OGLUM10G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEFRLGRFRGELLSYQKKKKKKKKREQETSMNWTMTGPILKSEYCSASFAVQRLTVLKEDQMDLSFFHAQSKSPACVMIGLSAHCIKALQNLSGQADSAANGTINDINYSTCCLFKKDIRCPDWFFPDLVFNGPVPFPVWNSDHLNFFNAREGQRIFQFV >OGLUM10G12770.1 pep chromosome:ALNU02000000:10:16738344:16741312:-1 gene:OGLUM10G12770 transcript:OGLUM10G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKAKVVVSGEVVAAAVMELAAAPLGVRTRARSLALQKRQGGEYLELRSRRLEKLPPPPPPPPRRRATAAAATADATAAESAEAEVSFGGENVLELEAMERNTRETTPCSLIRDPDTISTPGSTTRRSHSSSHCKVQTPVRCNIIPASAELEAFFAAEEQRQRQAFIDKYNFDPVNDCPLPGPFEWVKLD >OGLUM10G12780.1 pep chromosome:ALNU02000000:10:16795127:16797083:1 gene:OGLUM10G12780 transcript:OGLUM10G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAPAPAMSSGVEDAAAGDELPMEDAVAGNELRCGPGTGEDAAVGDKIRPLPPLPPPATTAAAPPSPGSDRRRPSLSRLRLLPPAPPSSGRSRPSSLRRCLPRHRLGRDAPLPRRDPNEVLELTAQGSSPAAASSSRQAGPLPWPSPEVLAGSHLLLTAQACAELWIGKGTKGSRPDGIPILRGAILDVY >OGLUM10G12790.1 pep chromosome:ALNU02000000:10:16802955:16806105:1 gene:OGLUM10G12790 transcript:OGLUM10G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEASMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >OGLUM10G12790.2 pep chromosome:ALNU02000000:10:16802812:16806105:1 gene:OGLUM10G12790 transcript:OGLUM10G12790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIRSSCIYTILARGWRGNCQQQSLARLLRQFEMASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEASMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >OGLUM10G12790.3 pep chromosome:ALNU02000000:10:16804469:16806105:1 gene:OGLUM10G12790 transcript:OGLUM10G12790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHRRHTGVVVYGKEYFFGGGIQKDHPGRTPYGTPVRVEDLGVTHVPREIFEDFLQDISPRYTPATYNLLSNNCNNFTNEAAQFLVGSAIPSYILELPNEVMNSPIGALILPMIQGLETSLRAGVAPQPPQFKPSPVAAVTATQSPPSGSIHVEPKSTASDKTEVDNNGGGIPPAVQPAPVAAETSPAAVAEASMAPPPPVDPLREAKSRVQEEIKREFAAIMATGAVQAGEAAALATRRVMERHGLRRAAVAGGGMQRG >OGLUM10G12800.1 pep chromosome:ALNU02000000:10:16806068:16806367:-1 gene:OGLUM10G12800 transcript:OGLUM10G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQQQGRIPARKRKGRDGAAGGSPDAAPGAGSRLLAGYLAHEFLTAGTVLGERLAAGTTPEEGGGGRYEAVAALVHGGGARVPGVVNPAQLAAWLRT >OGLUM10G12810.1 pep chromosome:ALNU02000000:10:16824790:16844644:1 gene:OGLUM10G12810 transcript:OGLUM10G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVATMLTLTLLAYSAAMLARLLVARSRRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIGGGDARPDRLAEGMEEMDETFHAVLDELFARSIDRRHADRRGPRVDAN >OGLUM10G12820.1 pep chromosome:ALNU02000000:10:16848500:16853531:1 gene:OGLUM10G12820 transcript:OGLUM10G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYDGRGLPVPIPDLESPILRRIQIPNPIPSNSNPPQKSRLPPSVRGPNSPRPIPXDDDVVRPADVDLLVVNVSMFSPAPSLSARVVRRYNLREDVKVYNLTGMGCSATLIALDLVNNFLRTHANKVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRHRRHAKLRLRHLVRTHTGASDDAYSCALQMEDDAGRPGFHLGKDLPRAAVHAFVKNLRLLAPRVLPLPELLRLAFATFLSSGRRSGGKKTSPSQQPLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKRRLRPGDRVLMLTFGAGFKCNSCVWTVEKPVSDAGVWKDCIDHYPPKELANPFMEKYGFVKDMMNL >OGLUM10G12830.1 pep chromosome:ALNU02000000:10:16854118:16855713:-1 gene:OGLUM10G12830 transcript:OGLUM10G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALFLEFSLLTPSRNHRSHPFSHPHPDRDRGRGLQEVFGMAMELGHIKDDDDNVRPPSCASMEAKVASHLAALVSHTIAACRTAKRLPPPTRFHPGNAAAALA >OGLUM10G12840.1 pep chromosome:ALNU02000000:10:16902299:16902926:-1 gene:OGLUM10G12840 transcript:OGLUM10G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWTVRSCAWAISIHPFFPTARAYRVVGSLGAEPSTSPTCLFPFLILTPFAPPDSPTARFLPLSPAPLHQTLRPSPYLRLPTRHLPVASSAAPSGAAASATERPRFLERCGLNADEFDDDAEAEPTVALFGREEEGKAIVMAGSGLSLLSGSGNVLPFVLASPSSSQKIPHYWLHR >OGLUM10G12850.1 pep chromosome:ALNU02000000:10:16906804:16910610:1 gene:OGLUM10G12850 transcript:OGLUM10G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEAARRDAATLDPELLQLPELSPGALRENPALAEALYSQWLALPETSKLVNSLIEDAKAGATLNVTGSSASTNAASSGSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKVVSEPVREVIPQFYFKHGRPAPKDLKEQCLSRIDHLFFAGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDAAGSGTVTRYIVHFNICVDAI >OGLUM10G12860.1 pep chromosome:ALNU02000000:10:16925313:16934796:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIGYFKIVLESWWLRPCSYISSEPVVSVRMVEAAIQLRRRTAGWLRQRIEAVATRFRRQEDRGRGGGAWMAHVDGSGQLKHRRLISRSHCHLIGDAVLIDDAAHLRICLSPLTYNHKAEASRRPAGDAYSPTGGGIARGRRRFRPAQTTGGDESDGRRTAKTLRNRGQIDCTKGVSREQRAINRRKKLESSSGYVKSRKRLPKVYVEYQKRLKALDAFENNQSATEEEQFGEGLCDREVSTTAFEEESFGHVVDPSRQTYRTSASDDLDLSDIVVSLALFDGDKMLFACSGIPLPSRRSREELTSFVTSADFARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIAIVTCLGLEEISPIDFKGTPTCPDGSPLLAVGRAFKSGNLMAMSGSVHSGNTWVPNSQDISKAVLGGPLLGKDNIFLGMNFSISDNDDWTVKYAFLPMELLHERLKHFGILDPKHLHFRGYSLPKDVKSIVPSVNGELLNQFEDCFGESLGWRGYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGNKATPPVILTSASLISHNEDKIDSNLTIEVFLPPNQRGNGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNDCKLDCKDLKAGIGGPLIKLDGSFVGMNFYDGSRVTPFLPRDKIVKVLSTLNDLPSESGRNHPMPIDVDDGTKKNSLDYYIQQSTYMGNIILDDRWPVPEPYWYHGSLDVDMHYIPKLIGRVLQ >OGLUM10G12860.2 pep chromosome:ALNU02000000:10:16925313:16935065:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIGYFKIVLESWWLRPCSYISSEPVVSVRMVEAAIQLRRRTAGWLRQRIEAVATRFRRQEDRGRGGGAWMAHVDGSGQLKHRRLISRSHCHLIGDAVLIDDAAHLRICLSPLTYNHKAEASRRPAGDAYSPTGGGIARGRRRFRPAQTTGGDESDGRRTAKTLRNRGQIDCTKGVSREQRAINRRKKLESSSGYVKSRKRLPKVYVEYQKRLKALDAFENNQSATEEEQFGEGLCDREVSTTAFEEESFGHVVDPSRQTYRTSASDDLDLSDIVVSLALFDGDKMLFACSGIPLPSRRSREELTSFVTSADFARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIAIVTCLGLEEISPIDFKGTPTCPDGSPLLAVGRAFKSGNLMAMSGSVHSGNTWVPNSQDISKAVLGGPLLGKDNIFLGMNFINGELLNQFEDCFGESLGWRGYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGNKATPPVILTSASLISHNEDKIDSNLTIEVFLPPNQRGNGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNDCKLDCKDLKAGIGGPLIKLDGSFVGMNFYDGSRVTPFLPRDKIVKVLSTLNDLPSESGRNHPMPIDVDDGTKKNRWPVPEPYWYHGSLDVDMHYIPKLIGRVLQ >OGLUM10G12860.3 pep chromosome:ALNU02000000:10:16925313:16934796:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIGYFKIVLISRSHCHLIGDAVLIDDAAHLRICLSPLTYNHKAEASRRPAGDAYSPTGGGIARGRRRFRPAQTTGGDESDGRRTAKTLRNRGQIDCTKGVSREQRAINRRKKLESSSGYVKSRKRLPKVYVEYQKRLKALDAFENNQSATEEEQFGEGLCDREVSTTAFEEESFGHVVDPSRQTYRTSASDDLDLSDIVVSLALFDGDKMLFACSGIPLPSRRSREELTSFVTSADFARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIAIVTCLGLEEISPIDFKGTPTCPDGSPLLAVGRAFKSGNLMAMSGSVHSGNTWVPNSQDISKAVLGGPLLGKDNIFLGMNFSISDNDDWTVKYAFLPMELLHERLKHFGILDPKHLHFRGYSLPKDVKSIVPSVNGELLNQFEDCFGESLGWRGYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGNKATPPVILTSASLISHNEDKIDSNLTIEVFLPPNQRGNGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNDCKLDCKDLKAGIGGPLIKLDGSFVGMNFYDGSRVTPFLPRDKIVKVLSTLNDLPSESGRNHPMPIDVDDGTKKNSLDYYIQQSTYMGNIILDDRWPVPEPYWYHGSLDVDMHYIPKLIGRVLQ >OGLUM10G12860.4 pep chromosome:ALNU02000000:10:16927435:16934796:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRQINTMASVVRQPPLISRSHCHLIGDAVLIDDAAHLRICLSPLTYNHKAEASRRPAGDAYSPTGGGIARGRRRFRPAQTTGGDESDGRRTAKTLRNRGQIDCTKGVSREQRAINRRKKLESSSGYVKSRKRLPKVYVEYQKRLKALDAFENNQSATEEEQFGEGLCDREVSTTAFEEESFGHVVDPSRQTYRTSASDDLDLSDIVVSLALFDGDKMLFACSGIPLPSRRSREELTSFVTSADFARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIAIVTCLGLEEISPIDFKGTPTCPDGSPLLAVGRAFKSGNLMAMSGSVHSGNTWVPNSQDISKAVLGGPLLGKDNIFLGMNFSISDNDDWTVKYAFLPMELLHERLKHFGILDPKHLHFRGYSLPKDVKSIVPSVNGELLNQFEDCFGESLGWRGYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGNKATPPVILTSASLISHNEDKIDSNLTIEVFLPPNQRGNGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNDCKLDCKDLKAGIGGPLIKLDGSFVGMNFYDGSRVTPFLPRDKIVKVLSTLNDLPSESGRNHPMPIDVDDGTKKNSLDYYIQQSTYMGNIILDDRWPVPEPYWYHGSLDVDMHYIPKLIGRVLQ >OGLUM10G12860.5 pep chromosome:ALNU02000000:10:16927435:16935065:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRQINTMASVVRQPPLISRSHCHLIGDAVLIDDAAHLRICLSPLTYNHKAEASRRPAGDAYSPTGGGIARGRRRFRPAQTTGGDESDGRRTAKTLRNRGQIDCTKGVSREQRAINRRKKLESSSGYVKSRKRLPKVYVEYQKRLKALDAFENNQSATEEEQFGEGLCDREVSTTAFEEESFGHVVDPSRQTYRTSASDDLDLSDIVVSLALFDGDKMLFACSGIPLPSRRSREELTSFVTSADFARAFNEHRNRDDNLTVAVRLPDNTTTDGFLGLYDHDIAIVTCLGLEEISPIDFKGTPTCPDGSPLLAVGRAFKSGNLMAMSGSVHSGNTWVPNSQDISKAVLGGPLLGKDNIFLGMNFSISDNDDWTVKYAFLPMELLHERLKHFGILDPKHLHFRGYSLPKDVKSIVPSVNGELLNQFEDCFGESLGWRGYPYVDPAIGCRERVWKHLRKEVVTDISRRVVSLASFNGLVRSFACTGLIINWHGNKATPPVILTSASLISHNEDKIDSNLTIEVFLPPNQRGNGILEFYSLNYNIAIVSLKRNFNAVRPENIFSGTVEMPSEKVVAIGRDVTLGPLMATIGKVIQHGKNDCKLDCKDLKAGIGGPLIKLDGSFVGMNFYDGSRVTPFLPRDKIVKVLSTLNDLPSESGRNHPMPIDVDDGTKKNRWPVPEPYWYHGSLDVDMHYIPKLIGRVLQ >OGLUM10G12860.6 pep chromosome:ALNU02000000:10:16925444:16927802:1 gene:OGLUM10G12860 transcript:OGLUM10G12860.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAAIQLRRRTAGWLRQRIEAVATRFRRQEDRGRGGGAWMAHVDGSGQLKHRRLVSGRRHRSGKRTGGLFAKRIPTRGGLFAKLRVSARWERWPPS >OGLUM10G12870.1 pep chromosome:ALNU02000000:10:16941568:16946418:-1 gene:OGLUM10G12870 transcript:OGLUM10G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBN2] MAAAAAARGHPLLRGGERRERYTHGLGAAQMEALRAICGAFIPSLPEAAAALAEADDEGRGGGGGDKDLERFYLASAADAAVPDEVAELMVNRCAWEAVALVTVVLWLLATRAGTLALCGAAACVASSGAGAGGWFSRFPRVRRFADLPPERREAALRRWSSTRWLFPLKITFTVIKIICHFVFYTKCIVFPNDIFPLDEKSRNPSWKAIGYAAPAAAAAVEQRRPASPSRRPLEDGVVETRRMDDNALLRSLVEKGLAVKTGTAAHHTVQCDAVVVGSGCGGGVAAAVLASKGYKVVVVEKGDYFATEDYTSLEGPSMERLYEKGGVFGTSNVTTILFTGATVGGGSAVNWSACIRTPAEIREEWSREHGLPVFASTAYAQAMDAVCDRIRVTGGCEEEGFQNRVLRRGCDALGMRADAVPRNSSEGHFCGSCNLGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFILERNAGGRGGRSKRCVGLMATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSMAELPGKCYEGGIITSMHRVTERTIIETPALGPGAFSAVVPWESGRDMKERMRRYARTAHAFAL >OGLUM10G12870.2 pep chromosome:ALNU02000000:10:16941568:16946418:-1 gene:OGLUM10G12870 transcript:OGLUM10G12870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBN2] MAAAAAARGHPLLRGGERRERYTHGLGAAQMEALRAICGAFIPSLPEAAAALAEADDEGRGGGGGDKDLERFYLASAADAAVPDEVAELMVNRCAWEAVALVTVVLWLLATRAGTLALCGAAACVASSGAGAGGWFSRFPRVRRFADLPPERREAALRRWSSTRWLFPLKITFTVIKIICHFVFYTKLDEKSRNPSWKAIGYAAPAAAAAVEQRRPASPSRRPLEDGVVETRRMDDNALLRSLVEKGLAVKTGTAAHHTVQCDAVVVGSGCGGGVAAAVLASKGYKVVVVEKGDYFATEDYTSLEGPSMERLYEKGGVFGTSNVTTILFTGATVGGGSAVNWSACIRTPAEIREEWSREHGLPVFASTAYAQAMDAVCDRIRVTGGCEEEGFQNRVLRRGCDALGMRADAVPRNSSEGHFCGSCNLGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFILERNAGGRGGRSKRCVGLMATCTSSGITKKLRIEAKVSISACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDSMAELPGKCYEGGIITSMHRVTERTIIETPALGPGAFSAVVPWESGRDMKERMRRYARTAHAFAL >OGLUM10G12880.1 pep chromosome:ALNU02000000:10:16958381:16969276:-1 gene:OGLUM10G12880 transcript:OGLUM10G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBN4] MAPHPLLRGGARRGRKYAHGMHPAQMEALRAMCGALIPSLPVDADGGDGGRRPGDKDLERFYLASAADSSIPDEVGRSVDRSNTPCARVAELLVTRCIWEAVALTWVVLWALSTRAGTLLLCGRDSVAAVDGGGFPFVSVRRFADMPAARREAALRRWSGARWLFFPLRIAFAIAKILCHYVFYSMVNENSENPYWKAIGYRVEEPRRDRAESMPSPSPSPSPASRRPLDNGVVETRALTDTTLLRSLAAKGLAVRPGASDEHHTVRCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTKEDYSSIEGPSMERLFERGGVFCTSNVTTMIFTGATVGGGSAVNWSASIRTPAGVMQEWSREHGLAVFASPGYARAMDAVCARLGVTDACREEGFQNKVVRRGCDALGLRADAVPRNSSEGHFCGSCNFGCPTGDKKGTDTTWLVDAVERGAVILTGCKAEHFIVESNGGGGGRSKRCVGLVATCMSNGITKKLRVEAKVSISASGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPDNTPEPHIPGKCYEGGIITSMHRVGTHRSDGLRLRCKGVRDTDVEAFLDEVTIEKGPMYPGSDKWAIFCSAHQMGSCRMGASPRDGAVDVAGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSNGIADTLSH >OGLUM10G12890.1 pep chromosome:ALNU02000000:10:16990550:16990783:-1 gene:OGLUM10G12890 transcript:OGLUM10G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHLPLPHQGEQIQVGIEAEDVDVAPGDPDVKYDGALVGVHGAPPPAGASGGRGGRTRHEEEHKGDEGKRGEDDE >OGLUM10G12900.1 pep chromosome:ALNU02000000:10:16995358:16996780:1 gene:OGLUM10G12900 transcript:OGLUM10G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEERVGVGGIERIERMVEKEDKLTCETHVRVEGNGILVHTEIRTPETLFYNFWAERGDRNFEMTRMPPLLISFFTMQLRERMARTSWESLTLTAAGVAATGEDLGNAATVLLPGANDIPDRRRDVDIVRGGEAAEEERQWVGAHGEAAEERHGRSWAEDG >OGLUM10G12910.1 pep chromosome:ALNU02000000:10:16996502:16998949:-1 gene:OGLUM10G12910 transcript:OGLUM10G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRFTRRVGLRFDLASPESSAAAAALLFSPAFSVLTPPRLPLSPPRFAEGRHVGPCTVSSSTSHQPSTPTDAAGSIPKSDQSSETVSDAHSGGRREAAPLAGLRPHPRRRSRVHAQTISSPPSSPRPRLPSSTTPSACARSAAVSLKRLPSVSASTDKAPISILNDLVISRVFAEAGFRSGDIKLAILCPAPPMPLLGCLPMRTHPLPLFLCSFAATDDVDVPSPVGNIVGAGEENCRRIAEILSRGCNSRSRQSQALPACPRHPLPQLHREEGNE >OGLUM10G12930.1 pep chromosome:ALNU02000000:10:17002721:17005968:1 gene:OGLUM10G12930 transcript:OGLUM10G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-intrinsic ABC protein 4 [Source:Projected from Arabidopsis thaliana (AT1G03900) TAIR;Acc:AT1G03900] MAGVGVEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDRIWSGRLRVVSCGDRCEIRLEDPASGDLFAACFVLPGQREAAVETVLDSSRYFVLRIEDGRGKHAFVGLGFGERNEAFDFNVALSDHEKYVKREHEKEAAHGGAGGGEENDDGQIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLSSAGLSGGASAKPKASMLLAPPPGSAGKLRSPLPPPPNDPAAARMNSGSNAGIRAPKEPAKRNSDAFSDLSAMKQNLPSSTESAQTKSTGAGWAAF >OGLUM10G12940.1 pep chromosome:ALNU02000000:10:17008949:17013241:1 gene:OGLUM10G12940 transcript:OGLUM10G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSQVATHFRQLSFLLSLVAMDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKESLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMGTLLPIFSITELKKKEKAKRPSAKSLFGIPSRALAILHPTQRSNQHQHQHQLKTTKEVPGVQSLTCQEA >OGLUM10G12940.2 pep chromosome:ALNU02000000:10:17008949:17013241:1 gene:OGLUM10G12940 transcript:OGLUM10G12940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSQVATHFRQLSFLLSLVAMDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKESLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFGIPSRALAILHPTQRSNQHQHQHQLKTTKEVPGVQSLTCQEA >OGLUM10G12940.3 pep chromosome:ALNU02000000:10:17008949:17013241:1 gene:OGLUM10G12940 transcript:OGLUM10G12940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSSQVATHFRQLSFLLSLVAMDSARSWFTKLQTREKSIGKKKELPPNGKEGTDEAPSSATKQRVAAAKQYIEKHYKEQMKNLQDRKESLEKKLADANVSEEEQHNIVKQFEKKETEYMRMQRHKMSVDDFDLLTMIGKGAFGEVRVCREKNTGNVYAMKKLRKSEMLRRGQVEHVKAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHRHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDYSNFPDLNEKDVTSTKPQSTNGDGRQQSMPKRTQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEARLTTDAKDLISKLLCNVDQRLGTKGAEEIKEHSWFSGVEWDKLYEIEAAYQPQVTDELDTQNFEKFEESSDNIQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDPEVLGMAELKKKEKAKRPSAKSLFDSSPDAEEQPAPAPAPAENDEGSTRSTEPDMPRSLSAPST >OGLUM10G12950.1 pep chromosome:ALNU02000000:10:17015874:17020913:1 gene:OGLUM10G12950 transcript:OGLUM10G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVVGGKYKLGRKIGSGSFGELYLGVNIHNGEEVGIKLESVRSKHPQLHYESKVYMQMQGGNGIPHMKWYGVAGEHNVMVIDLLGPSLEDLFNSCNRKFSLKTVLMLADQIKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPAEVLCKSYPSEFTSYFHYCRSLRFEDRPDYSYLKKLFRDVFTREGYQLDYIFDWTTSKNPQMGSTNKLIQQQSGRMIGIGPSVDRADKTSVGQEIRDRFTGAVEAFARRNPGSGRLGDNSRHKSLADSFGSSTEAVVDSERTRTISRNRSSAKMPTAAAAATPSSRGTSSKGDGGEQNRAGRWVSSGSGSNRPSPAAAQRHHHHHHHHHSAAADDRSPPAAKGGTAIRCFERLSIGGERRK >OGLUM10G12960.1 pep chromosome:ALNU02000000:10:17020974:17028320:1 gene:OGLUM10G12960 transcript:OGLUM10G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAAAAAAAPGFGAALVSRWIGGVRPGSSVEEQSHGGGGGKDVAKLCEAMASRAQRSINDNGHADVAELSTVSATIWGVGRNETIVAPRGVGFFAKGFISAAAPAVCLLVAGEGAEEMAVGEAATETLAEN >OGLUM10G12970.1 pep chromosome:ALNU02000000:10:17023713:17026612:-1 gene:OGLUM10G12970 transcript:OGLUM10G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting-associated protein 2.3 [Source:Projected from Arabidopsis thaliana (AT1G03950) TAIR;Acc:AT1G03950] MNPFAKKPTPREAIRSSKRELTNATRGIERDIGTLQLEEKRLVAEIKRTAKTGNEAATRILARQLIRLRQQISNLQGSRAQIRGIATHTQAMHANTSVAAGMQSASKAMGALNKQMDPAKQMKVMQEFQKQSAQMDMTNEMMSDSIDNILDDDQAEEETEDLANQVLDEIGVDIASQLSSAPKGRITGKKVQADESSELDELEKRLAALKNP >OGLUM10G12980.1 pep chromosome:ALNU02000000:10:17028419:17029705:-1 gene:OGLUM10G12980 transcript:OGLUM10G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAAASLSLFRTPQAHHLAELRVDGADQSPVAFSDPCAVGRKRRCLFPLFSPRKRMLLELPPFASTPTAPAPGSASPGALSSGGDRSTRTGGSGGGGGGGSSFSAMAERVLAPPSVSSGSGAAFAFAFLAASPKQQQPLTPMGSTASCGGAGSGFLPPDPSSSLTPMGSKSNGIGASAFLASPRPATRSANDGGGFAFFRSPEPERTAGDTTRSGAPFSAPPNLVSGPAGSPASAAAKEPSQIIGDGGLVAPPYTISSSPARKSRSSTLWSRRLAHAAAEGRTSPQPPRDEQLQITLPPPPQKVTKTVLAPATGEPSRGATLSSSAATTCCTFLTSPAKATNQVRIVCTDLLFLSPLFLNLDCSTRRRSLAHLQEREARASSRMGGGEGATTAAAAAAAVACAGGEVVVSVTCSCGAREEFCFDHRH >OGLUM10G12990.1 pep chromosome:ALNU02000000:10:17036513:17040857:1 gene:OGLUM10G12990 transcript:OGLUM10G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDLTWVKYEFIYIFRDAFVDYWINDNKITMDTASQIFEILRKPDYNYLTQEDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRAGNGHLTLRELKRGNLIAAMQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFSQVPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTTTEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENECYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >OGLUM10G13000.1 pep chromosome:ALNU02000000:10:17043564:17048892:1 gene:OGLUM10G13000 transcript:OGLUM10G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62930) TAIR;Acc:AT5G62930] MVRPRMVLFGDSITEQSFRPGGWGAALADTYSRKADVVVRGYGGYNTRWALFLLHQIFPLVGIAPPLATTVFFGANDAALLGRTGERQHVPVAEYKKNLKKIDCSKSMLIVLITPPPIDEDGRERFARSLYGEEARKLPERTNEMAGVYASQCIELAREMNIHCIDIWSKMQETAGWQKLYLSDGLHLTPEGNAVVHKEVVQTLRSVGLKAEEMPYDFPHHSRIDGSCPEKAFQ >OGLUM10G13010.1 pep chromosome:ALNU02000000:10:17056836:17059222:-1 gene:OGLUM10G13010 transcript:OGLUM10G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G08610) TAIR;Acc:AT1G08610] MDRAAIHSNTRTVKCTFGLDVGIDPGVGCIPRKGGGWWWNPVKNWGCWEFGNLGLCNLEEGEAIFFICGEFLQWGWLDCAVNGGGGGGSMNMARSGDVSRCHRCGLWSNGSAPITVSASITMRSSRVTAHAVYLDYPTRTEQKNGGNLVVMRPDRRRPRDDFFKGEGGGGSLVVVQPDRDRRPQDDFGRAAADSEKDVSPIHAKPRKPLDQNPEGMDVAGFSKHGGKCYADNLRRYCNSGKLIQACCVIDEMVLHGQIPETKCCVRIIRGLVKTGKANKARHVLEVMVLSGGVPDTISCNMLIAQLCRGGQLSSALQVLEDMRFSGCSPSGITFNTLIRCMFNQRMYDRAISFWKEQLRLGWPPYEMTSTLLVDLVCKNCGPQKALEVLDELSLEGCQPDVVTYNALISASCKAGRLNDAKFILTRLAAEGLEPNGTTYCILLHALCNMRRWDEVCDLLEDMNQANRDPDVTTYNIFINYFCKYGHLDQAIDVLERMVINNCSPDIVTCNTLLNAISKKGMVEEALGIARCIRENGYKLVLITYNTLIDALAKKAEVKKAMVLFDEMLSDGISPDDITYGSLVMGFCKKDMADEALDLLNQMLTLGFEVKTTTFVMVIQALCRDGKVEAAAEITKVMLSKNSIPGNSLCSSIVTKVAKSGWNKEAQMLHQKLVECEILKEDAEVILSS >OGLUM10G13020.1 pep chromosome:ALNU02000000:10:17080271:17105371:1 gene:OGLUM10G13020 transcript:OGLUM10G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKGTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >OGLUM10G13020.2 pep chromosome:ALNU02000000:10:17080271:17105371:1 gene:OGLUM10G13020 transcript:OGLUM10G13020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFPGGAPDPQQLQSTMLAIEQACSLIQMHMSPADAEKVISSLHSSPMPYQACRFILETSHMPNARFQAAGAIGDAAIREWGILSDDNKKSLIVVEFSDQEKAAIFFEIEQCVRGIHGPNRQFATINFLEALVSEFSPGTASAMCLPKEFHEQCQWSLEVKFLKDFYCWAQAAVFNSADRILNVNASVAEEKACSAAFRLMFQILSWSFKHNVEHANSEAKINSGLRSDAINLKKFERSLVKPGSVWSDVLISSGHVQWVLNFYTAARQKFSYDTLWVDSPIATSCRQLIVQLCSLTGYNADGQIQYLVRILSAVVHWIEPPDVIAASIRSGASESEFVDGCHALLSMASLTTCSLFDNLLKSTRNYGTINLLSALTSEAVKSFLDNQNEEETWGSEALDILLETWNVILGDVDFEKSPMSVDGAIAASSLFKIIVESHLKERDEQLALYAQIARSAADTTIPFLAQLFSERFARLSQRNGENDPTQTLEELYWLLLITSHVLTDSGEGETLLIPEALQAGFPYVVEVAQHPVVALSWSIINFSRQCLDPGIRARYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVNRAEIDSVDKHMLQHSRKMLNSFAWENNQGERVLDFVVLISMVALTTYQGEIELQTLTCQKLLATVVRRKHTCTYVVQLDSWRDLTRAFASGRSLFSLTGRLQRSLAETLACAASCIKDPEASVQYLRDLMGPVAGCLVENANRSDLKSVAQQADVVYMSAVIYMILKFVVDFVDGQAVFLDAKETSVLVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDSSIEGSQDIAEVIYVGVDIVTPLISLDLLKYPKLSRDDSDIVDRCLTAINALASYHFKERLGGRGGLSSQLMESEGSNGKLQESISSHFLRLLLQLLLFEDFRMELAGSAADALLPLILCEQPLYQRLLQELVEKQQNPTVKSRLGMAFHNLTSSNNLSNSLDRPNRQRFRKNLRTFLGDVSGFMQIK >OGLUM10G13020.3 pep chromosome:ALNU02000000:10:17096776:17105371:1 gene:OGLUM10G13020 transcript:OGLUM10G13020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRSDGGQSKRPILLLCVMVVCLCLLFLYFSGSNGQAGSAALEYGTKFSRSLGWGSDGDGDDGSEESIFGTGDADDVKLKSFPVCDDRHSELIPCLDRNLIYQMRMKLDLNLMEHYERHCPPPERRLNCLIPPPHGYKVPIKWPKSRDIVWKANIPHTHLAHEKSDQNWMIDAGEKIKFPGGGTHFHHGADKYIANIANMLKFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDRRIWKKMSSLVERMCWKIAEKRNQTVIWVKPLNNDCYRSRAPGTNPPLCKRGDDPDSVWGVQMEACITPYPEQMHKDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVDNYWRLLKPKIKPDTIRNIMDMKANFGSFAAALKEKDVWVMNVVPHDGPSTLKIIYDRGLIGSTHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRIVRPSGFIIVRDKGTVIEFIKKYLNALHWEAVTTVDAESSPESEENEMIFIIRKKLWQPGGSQDPNPK >OGLUM10G13030.1 pep chromosome:ALNU02000000:10:17102989:17105337:-1 gene:OGLUM10G13030 transcript:OGLUM10G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAALGDVAAARAAFACLPERDVVAWTALVGAYADAGELGEAFELFETMQESGVRPDVISWNTLVSGFARNGDLRAALHLFDEMRLRGVKPGVNSWNCIISGCVQNARYDEALSIFCEMCESESPDAVTVASILPACTGLMALGIGKQLHSYVIRCGIKLNVYIGSSLIGMYSECGEFGYARSVFAAIEEKNATVWNELIRSYINEERMNEAWEAFRLMKENGLQPDTITYNSFIAAYARAGQKEQAYTLLSNMVEIGLKPNVVSMNALISGLHHHGRHADALEAFRYMQVSSDGEAKGWALPGNSIQPNGTTITSVLSLLTDLRLDRLGKEVHCYAFRSGLISNIFVSSKLVDLYGKTGDVGTADKVFRRISNKNVVTWNSILASYRQNRKPEIALKLFHEMIKSNLLPNLVTLQIALLSSGMTMALQHGRELHGYIRKNWPDGYPTALASALIDMYGKCGKIEDARLVFECTDEKDIATWNAIMSAYLLHRIPGEVKKLFKYIEQSGIQPDPVTFIILLSACKQEGSMEEARRYFYSMEDVYGIQPTLKHYTCMVDIMGTAGLLEESLELIQKMQLEPDGCLWSILLKACKLHSNLEIGEKAAKALFELEPNNTSNYMSLSNIYADNGLWESTEALRVAMTEQGLNVERQCSRLYLGTDVHTFEAGDSSHPAFEKILSTWNDLSDRMEQSGYPPQDIEPYSNAEADPLSCQHTERIALCYGLISVRVHDPIRISKNFRMCMECHSSIKFISRDMNREIFVSDGCTYHHFQNGACSCGDMW >OGLUM10G13040.1 pep chromosome:ALNU02000000:10:17133307:17135778:1 gene:OGLUM10G13040 transcript:OGLUM10G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLREMESTLPPGFRFCPSDEELVCFYLRNKVANHRVASGTLVDVDLHAREPWELPEVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRIVHEGTTRAVVGMRKTLVFYLGRAPNGQKTTWVMHEFRLETPNSQPKEDWVLCRVFDKKKPSTIEAEGGGSSGSDLFIPGATDGSTDPSSPTTMAPLLGSSPDPTVVDRFDHRSAAVPPLMVLMQGGGDQMISGSGVHCSNNDNSGSSSALLNLTMLQYSFLEHRPTGDDMAVGAHFGTCQGGNNDATMALGMGFEEHGMGEIIEMEPAWRQGGSNCVYRDELYF >OGLUM10G13050.1 pep chromosome:ALNU02000000:10:17148778:17163656:1 gene:OGLUM10G13050 transcript:OGLUM10G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62600) TAIR;Acc:AT5G62600] MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNMETQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLLTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEVSINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPQVVADAVNRYWPTLKSIFDQRAWDTRTMESLCRSCKFAVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSPEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLIPPQALTDSERSIFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLSFTVAPPPL >OGLUM10G13050.2 pep chromosome:ALNU02000000:10:17148778:17163656:1 gene:OGLUM10G13050 transcript:OGLUM10G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62600) TAIR;Acc:AT5G62600] MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNMETQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLLTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEVSINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSVVITTLPPDHAQRALELICQPVINPLQTGMGYTDHGVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSPEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLIPPQALTDSERSIFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLSFTVAPPPL >OGLUM10G13050.3 pep chromosome:ALNU02000000:10:17148778:17163656:1 gene:OGLUM10G13050 transcript:OGLUM10G13050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G62600) TAIR;Acc:AT5G62600] MEAQATAAVKEALAALYHHPDDATRTAADRWLQQFQHTLDAWQVADSLLHDESSNMETQIFCSQTLRSKVQRDFEELPSEAFRPLQDSLYALLKKFSKGPQKVRTQICIAMAALAVHVPVEDWGGGGIVNWLSDEMNSQQDFIPSFLELLTVLPQECSSHKIAARPERRRQFENDLRSSAEVALSLLTACLGIDQLKEQVLEGFASWLLTSELIHFTVSRESNGITEQLPLIQVLIPYVMGLKEQLKDSSKDEEDVKAIARLLADMGDSYVELIAAGSDDAMQIVNALLEVTSHSEFDISSMTFNFWHHLMRNLTDRGSYASYGSEVSINTERNRRLQLFRQPFEILVSLVSFRVEYPELYHTFSEEDQRDFRHSRYAVSDVLLDATDVLGGDPTLKILFTKLIQACGNGQNQKWQPVEAALFCIQAIAKSVSVEENEILPQVMSLLPSFPHQEQLLQTVCSLIGAFSKWIEAAPSELLILPPLVDILNKGMSTSEETAAAASVAFKYICEDCRRKFSGSLDGLFQIYQIALSGVGGYKVSSEDSLHLVEALSNVKLPQVVADAVNRYWPTLKNGHGIHGPWSHYVVRTCGRFMGFTIGAMLEEIQTLYQQHNQACFLYLSSEVIKIFGSDPACANYLASLIQALFGHTIQLLRTIQDFTARPDIADDCFLLASRCIRYCPDLFVPTEMFPRLVDCAMAGITIQHREACKSILSFLSDVFDLAKSPEGEKYRELINTVILQRGAVLTRIMVASLTGALPSSRLEEVSYVLVSLSRSFGGNMLSWARECITLIPPQALTDSERSIFLNIISDASSGSSLGSITDRFAEISEVCRRNKTVQDIVQGALRPHDLSFTVAPPPL >OGLUM10G13060.1 pep chromosome:ALNU02000000:10:17164291:17168505:-1 gene:OGLUM10G13060 transcript:OGLUM10G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAADERRKTVCVTGGSGYIASGLIKFLLEKGYAVNTTVRNPDDEKKTSHLKDLQSLGPLKIFRADLNEEGSFDEAITGCVFVFLVAAPVVVDSENLEEDITETNVRGTMNVLGSCVRARATVKRVVLTSSVAAVLHDGRTTMQGGDDGHVVAKAYGAGKVRSEKEASRVARENGISLVTVLPVIVVGAAPATRGFNSSSLVLSLLAGHEATTEMLKATQDLAGGTTPLVHLRDVCRAQVFLAEKGEAAAAAGGRYLCCGANTTVARLAGFLAGKFPQYNVKTDGQIIIAFGDVAEEPRMLISSEKLVGEGFEYECKNLDDMFDDAVEYGKALGMLP >OGLUM10G13070.1 pep chromosome:ALNU02000000:10:17209657:17210283:-1 gene:OGLUM10G13070 transcript:OGLUM10G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVAHAAAPDSPHSDSGGGGGGMATGATSASASASAAGASPSRYESQKRRDWNTFGQYLRNHRPPLSLARCSGAHVLEFLRYLDQFGKTKVHAPACPFFGHPAPPAPCPCPLRQAWGSLDALVGRLRAAYEENGGRPENNPFGARAVRLYLREVREHQARARGVSYEKKKRKKPPHPSSAAAAHDDAANGALHHHHHMPPPPPGAAA >OGLUM10G13080.1 pep chromosome:ALNU02000000:10:17226788:17232789:-1 gene:OGLUM10G13080 transcript:OGLUM10G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPASATAAASLFLRRSLSSSSPRHVFLPHRVPPIVVARPARLSAVPKRGGGCVVAAASAAAGSAQYGAGDSENPYEVEGLGYWEWLCSCLGSAFVGDQILGISPLDRFDQVKMAYKRRRKDAESNKDAEYLLKLERAYDMIMMEQLQNRKNGVAYGSIQVSKDIKYADNQPVVPWGPRYSRSTGKDLRINMAISATFIMYISTMGHADWKPMQFLCFAYFYRILDKLKATESVSTPIYNEYGEVEGRGIHMAKRVLRSLGLVLGSILTVSLGYTELANFSQFLGQYIPSVVYNFQVK >OGLUM10G13090.1 pep chromosome:ALNU02000000:10:17235869:17240564:1 gene:OGLUM10G13090 transcript:OGLUM10G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBR0] MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPATESLNGLKMELVDAAFPLLKGIVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVQVTDVKNAIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVADDEWLNTEFISTVQQRGAAIIKARKQSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWTIVQGLPIDEFSRKKMDATAQELSEEKTLAYSCLN >OGLUM10G13110.1 pep chromosome:ALNU02000000:10:17261945:17262700:-1 gene:OGLUM10G13110 transcript:OGLUM10G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIINLLAMLSSVHVLAILGYIAVHSVALGTVFASVAGQHLQGRPRRWFHFSGMAFGVRRLTGFAFLRWATRDAIVQMLCLWFFSEVHDQAQLFRLFVVAKLMPFSASVNPWLAVTVGGPELDGFFIAWALLDAVVSVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLIKCWQTVVCGSMGQLAMVTLGGKVLGGFLHSIGEVYFMVVWLMFYFSARCKEVRLGGRHFGLEDVAAALDGFRQ >OGLUM10G13120.1 pep chromosome:ALNU02000000:10:17268629:17278397:-1 gene:OGLUM10G13120 transcript:OGLUM10G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEVMASDALLVKIHNPVFLWKVYGFSALLQRGALAAKSAAFHCSGYNWYLKVSPMHKTLGDGTPHVALSLVLSRLSFKPDYTMNAVFVLSMYNHSKGNFLVVKASYNFDVKNTHSRNICLISLEDQLKSSEYLLDDTCVLGVEILQIDVCRSLKKKNIKVQKKFLFLQKKKFVSVQNLFLQKKDFTKGDYTWTMNNFPELDLKPSVLSPAFEIGRRKWFIRMYPRGDEYSTNSLSMYLFPQSWDKLLPEPGMMIELTLSILNQNNAQLHKVSGRFVFASKNGWGWSNFIALNKLKDLVGSSCIVKADITIIGSSSESQIVYMLRLIYWRRDLKREPEERTMGNVAGRAAGACLKEDIVVDTTFELSIYNHSRRTHHGTRASYKFHYPKYYSEYTYLIPLSKLQDGSDFLADDTCVFGLDILRARKFKPTRNAKGVTIQHVFLQTKGFMQGNYTWNIEDSKLDLKSIICSPKFDIGEHKWYLRVDPFGDYRNRDYVSIYLCLDDNSNMPPIESAIMAEFTISILNQKNGKHSQQKARTVFSWKGIAWGWHKFIRRDQMKNTNAGFVVGSSWTVQAEVTVIGSSSHA >OGLUM10G13130.1 pep chromosome:ALNU02000000:10:17283766:17283984:-1 gene:OGLUM10G13130 transcript:OGLUM10G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMYDVDDVDFSPHQATPKESMNFKDDAKVQPSSGQIPNKGNYYMGHANAIMMMFQYIRDGCRHVESSMED >OGLUM10G13140.1 pep chromosome:ALNU02000000:10:17285978:17286682:-1 gene:OGLUM10G13140 transcript:OGLUM10G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLPSIDGRFRVTAGGGEVGPALQRRSTSPQPTAPSAPSLCRSITVSTSKANRLRVPRAATDPGLLNPASRHPACEQGQKFRLSKKNSG >OGLUM10G13150.1 pep chromosome:ALNU02000000:10:17289297:17291425:-1 gene:OGLUM10G13150 transcript:OGLUM10G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMRICNDGAAAATPHGTGYGGHLADTAAGGAFFSRSASSASTSATATSPTQPFARRPDDSPPRWSPSSAGPPSSQPSENTATCTNDEPRHGDGAPDAAMTXHRDVHQRRTASRRRCTGRRHDHEDERRRRRRGGVGCSTRVCGGGATRVRAVVKTLLAGERHLCDELLASDEELGHEWFADVARRCLLQLIGFADAVAMSTPATEKLYRMLGMYEALTAVEPDIESLFTGDVRDLFSSEVTGVVAQLGNTIRHTMTIDQFVNVIHGESSRRPVHGGKIHPMTRYVLNYCGLLAECRTTLDMVLADNNNTNDDHHDGGGASSSGRVESRNKLKVV >OGLUM10G13160.1 pep chromosome:ALNU02000000:10:17291703:17298178:1 gene:OGLUM10G13160 transcript:OGLUM10G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIWIWRPLLVDLQGFQCEVIAWRTHERTRNGTSLPVIFVQMLVSKVTLAEYPRVKRRPCDHK >OGLUM10G13170.1 pep chromosome:ALNU02000000:10:17315497:17321543:1 gene:OGLUM10G13170 transcript:OGLUM10G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPLLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIELCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIYDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >OGLUM10G13170.2 pep chromosome:ALNU02000000:10:17315497:17321543:1 gene:OGLUM10G13170 transcript:OGLUM10G13170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPLLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIELCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIYDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >OGLUM10G13170.3 pep chromosome:ALNU02000000:10:17315497:17321543:1 gene:OGLUM10G13170 transcript:OGLUM10G13170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPLLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIELCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIYDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >OGLUM10G13170.4 pep chromosome:ALNU02000000:10:17315497:17321543:1 gene:OGLUM10G13170 transcript:OGLUM10G13170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G13630) TAIR;Acc:AT1G13630] MPFRPRLPLPLLLLLLPHLRRRRSSPRPPVPAWRPLSYYPSAAAAAAEVTESEEDAAAVGRDTRAPPSIGGIARGAPRVGCNGGGAADDEEVERKARAVARIELCHELLRERRWRAMRAALAQLVTEQGYIVFPEALSIDHYHIKNRSGSAAALCDILWNRFRECDSNGCVWDALANSYARAQMVHDALYVLSKMSSLNMQISVFTYDSLLHGLRMTDVALELFEEMESCGVSPSEYSHSIIINGLCKQDKVGEALSFLQEARKEGKFKPLGMTFNILMSALCNWGFVQSAKSFLCLMLKYGLVPDRYTFSTLIHGLCKVGSMEEALDLFERVTKEGMELEIVTYNSLINGYRLLGLTKEIPKIIQMMRGQGVEPDLVTYTILIAGHCESGDVEEGMKVRKDVLDQGLQLNIVTYSVLLNALFKKGMFCEIDNLLGEIYNIGLDMDVIAYSILIHGYCKLGEIEKALQVCNAMCSSQRVMPTSLNHFSILLGLCKKGLLVEARWYLENVARKYQPTDVVFYNVVIDGYAKLGDIVNAVRLYDQITVAGMHPTIVTCNSLLYGYCKIGDLQLAESYFRAIQLSGLLPTAVTYTTLMDALSEAGEVNTMLSLFDEMVAKRIKANAVTYSVVVKGLCKQLRFDEAINVLKDMDSKGINADPITYNTLIQGFCESENVQMAFHIYDIMLCRGLVPTPVTYNLLINVLCLKGKVIQAEILLESLRENGIKLRKFAYTTLIKAQCAKGMPINAVLLVGKLLDAGFEASIEDFSAAINRLCKRQFAKEAFMFVPIMLSVGIYPDTQIYCVLGRALQKNSELVYLPILNALAVKTGI >OGLUM10G13180.1 pep chromosome:ALNU02000000:10:17336849:17341859:1 gene:OGLUM10G13180 transcript:OGLUM10G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBS1] MRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSIDLVYGGGSIGLMGLVSQAVFDGGRHVIGVIPKTLMTPEISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINTSARRIIVMAPTAEELMDKLEEYVPYHDRVASKLNWEMGHLGY >OGLUM10G13190.1 pep chromosome:ALNU02000000:10:17342998:17346224:1 gene:OGLUM10G13190 transcript:OGLUM10G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVDGWMLRPDSIGLIRGSSMGDTPPAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >OGLUM10G13190.2 pep chromosome:ALNU02000000:10:17342998:17346224:1 gene:OGLUM10G13190 transcript:OGLUM10G13190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLIANLIVMGSGIIGRAMLQAYRKALDNANKTGVAHETINNIRRASKTMTEQEARQILGVSEQSTWEEIAQRYDNLFERNAKSGSFYLQSKVHRAKECLENVYQKNKQDGTPP >OGLUM10G13200.1 pep chromosome:ALNU02000000:10:17347810:17355047:1 gene:OGLUM10G13200 transcript:OGLUM10G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFEMFLNVILCFGNVENAATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQASTIHFADPNHCILMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTFQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLADGPSPPLPISSSQMGEMKDSEPLLGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >OGLUM10G13200.2 pep chromosome:ALNU02000000:10:17350976:17355047:1 gene:OGLUM10G13200 transcript:OGLUM10G13200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTDGAASRLGVAGALGMSVTSSVAIVICNKYLISTLGFFFEMFLNVILCFGNVENAATTLTSWHLMVTFCTLYVAQRLRFFEAKPIDAQTVISFGLLNGISIGLLNLCLGFNSVGFYQASTIHFADPNHCILMTKLAIIPFTMLLETIFLSKKFSRSIKISLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKLLTNRDVFAFTYTFQVVAFIVLSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLILSFGYVLLRDPFTFRNVAGILVAIFGMGLYSFFSVSESRDKKLADGPSPPLPISSSQMGEMKDSEPLLGGGGGAAAKSSPWNEVKGLQSFDEVPRTAKSAFSRP >OGLUM10G13210.1 pep chromosome:ALNU02000000:10:17368176:17379456:1 gene:OGLUM10G13210 transcript:OGLUM10G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFLRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >OGLUM10G13210.2 pep chromosome:ALNU02000000:10:17368176:17377461:1 gene:OGLUM10G13210 transcript:OGLUM10G13210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGVAAEVVVEDAAAAAAAVPAAAVAGTGAGGGEEGRMRMEGWLYLIRSNRLGLQYSRKRYFVLEDAALRCFKAPPPPSSSSSKREDPVRSAIIDSCIRVTDNGRESVHRSVFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRSNRMHSIDWTVFSSVHNDPMASDVIAPSPWTIFGCKNGLRLFTEAKDGGSEGKYWDDHPAIMAVGVVDANSEAVFQTVMSLGQSRSEGMRKRDLLLRRYWRREDDGTYALREFLRAKNGNCACIEFSSGELTRDMRLQQGENERIKIEMLSASENNRLEDPTEGSLGGSNRHLSSAGSFIQLNDAADEFFDVPDDSEYDQREAMWPSDESTHSVDQRHAKLSTAAVFVKKLHDLAVQKRGYVDLQGAADFDNGPFCYGYTLPKDSNCTMPSTWAMTDPTTFLIRGESYLQDRLKIKANNTLMQMVGADWIKSDKREDDLAGRPGGLVQVPGSSTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTQEELPEFLLGTCRLNYLDASKAVSIDEC >OGLUM10G13220.1 pep chromosome:ALNU02000000:10:17388575:17394039:1 gene:OGLUM10G13220 transcript:OGLUM10G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBS8] MKEVGEVEEVRCLDPQLWHACAGGMVQMPAPRSRVYYFAQGHAEHADGGGGAAAAAAELGPRALPPLVLCRVEGVQFLADRDSDEVYAKIRLAPVAPGEAEFREPDELCPLGAAGDATEPSPEKPTSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTRHGELCVGIRRAKRMACGGMECMSGWNAPGYGGGGFSAFLKEEESKLMKGHGGGGYMKGKGKVRMADVVEAASLASSGQPFEVAYYPRASTPEFVVKAASVQAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPNRWPNSPWRLLQVTWDEPDLLQNVKCVSPWLVELVSSIPPIHLGPFSSPRKKLRVPPHPDFPFEGHLLNPIFHGNPLGPSNSPLCCYPDTAPAGIQGARHAQFGLPLTDHQLNKLHLGLLHSGSFNRLDAITPPSQISKGFVVSSAPAHDNISCLLSIGTPQVAEKSDDRKTTPHIMLFGKAIFTEQQITSSGSTETLSPGVTGNSSPNGNAHKTGNASDGSGSSICIGFSSQGHEASDLGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGRLADMFGIEKEEIINHLHFRDAAGVVKHPGEVPFSDFMKAARRLTIIAGDRERIERPLIECLVEQA >OGLUM10G13230.1 pep chromosome:ALNU02000000:10:17426572:17426949:-1 gene:OGLUM10G13230 transcript:OGLUM10G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGVGALDDGGGWSWWLCASRMANRSSGGGNILPQWSRRVVKIPYLSCLGGGSRGGFGGGRRWCLACERAHAGGGGGVCAVLGVAAVVVALHRAPAVCCAPACAAPGAASASVGWGLVGSSPG >OGLUM10G13240.1 pep chromosome:ALNU02000000:10:17431319:17438397:1 gene:OGLUM10G13240 transcript:OGLUM10G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKVDCQLLRFTLPASNDPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >OGLUM10G13240.2 pep chromosome:ALNU02000000:10:17431277:17438397:1 gene:OGLUM10G13240 transcript:OGLUM10G13240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAMRSGSGSDGGGGGYDKAGMDSGKYVRYTPEQVEALERVYAECPKPSSSRRQQLLRDCPILANIEPKQIKVWFQNRRCRDKQRKEASRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLGNDTSCESNVTTPQNPLRDASNPSGLLTIAEETLTEFLSKATGTAVDWVPMPGMKVDCQLLRFTLPASNDPGPDSFGIVAVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPSTASAQQFVRAEMLPSGYLVRPCEGGGSIVHIVDHLDLEAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGIEDVIIACNAKKVRNTSTSANAFVTPGGVICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASSLKTSSCSLPGLRPMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLMSRDIHLLQLCTGIDEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDMKTDGTPAGRTLDLASSLEVGSTAQPTGDASMDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSIVSSVQRVSMAISPSRSGLNAGQKIISGFPEAPTLARWICQSYQFHLGVELLRQADDAGEALLKMLWDYEDAILCCSFKEKPVFTFANEMGLNMLETSLVALQDLSLDKIFDEAGRKALYNEIPKLMEQGYVYLPGGVCLSGMGRHVSFEQAVAWKVLGEDNNVHCLAFCFVNWSFV >OGLUM10G13250.1 pep chromosome:ALNU02000000:10:17449096:17454479:-1 gene:OGLUM10G13250 transcript:OGLUM10G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dsRNA-binding protein 2 [Source:Projected from Arabidopsis thaliana (AT2G28380) TAIR;Acc:AT2G28380] MYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSSLRQLVRQEASSSNEPESNDEQEQIRIARALLNYRLKEKMAMANNPHASPFPKKFPMQPERRTAFPQSSHSSYSKILPLFRPKSNSRSRPESPAASDAASQTPFRPTESPNPRSRFPAAEAAPYVPVGHFRMPCHSMAPPVTVRTSIPVFSAPPLPPPGARTQQLPPLMSHPPPIRMASPVRIRPAPPLFTPSAVQGPKPMMPVQIKDVQHQQIKETRSPVMPVQVKDAQNQLLKGSLSPVIPVQIKDVQSQPPKEALSPAIPVQIKDVQLQPRNEPVSIGKGVVPLPAIRPPVKVEAPAEVKEASQPVAGSSVVQCKADTSPDSLPKTQLKTANADNADAKDDRLPVDAEEVEDIIRHLELK >OGLUM10G13260.1 pep chromosome:ALNU02000000:10:17466186:17466707:1 gene:OGLUM10G13260 transcript:OGLUM10G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTGGGGSPKEAVVPSAASGDTTLGRHLAHRLVQVGVSNVFAMPGDLNLTLLDHLIAEPGLHIVGCCNELNAGYAADGYAWARGVGACTVTFTVRGQLLHGRRRRSHRFWNQVTGDEAGAAFRNQECAGAAPSLPSAVARRSGSVVVDGGSASPSSPPSPSSETTASWDRHG >OGLUM10G13270.1 pep chromosome:ALNU02000000:10:17475323:17476169:-1 gene:OGLUM10G13270 transcript:OGLUM10G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSGGGGGMSMVAAHRLFAPPPQQHGAEAVELDEAEVIWGTSSASSSPVEAYLRGGGAPPTHVAVASSKGGKGKRGGGGGAGAREGGGGGGTMIN >OGLUM10G13280.1 pep chromosome:ALNU02000000:10:17487043:17488712:1 gene:OGLUM10G13280 transcript:OGLUM10G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISQEEQHAGGGRDYAEPAPQPFLGSSELRRWSLYRAAIAEFVATLLFLYVTVATVIGHKRRQDEGGDGEACGGVGLLGVAWAFGGTIFLLVYCTAGVSGGHVNPAVTLGLLVARKVTLLRAALYVAAQCLGAGLVRALNSAHFARHGGGPNVVGDGYSKGAGLAAEVAGTFVLVYTVFSATDAKRSARDSHIPVLAPLPIGFAVHLATIPITGTGINPARSFGAAVVYNQPNAWHDQWIFWVGPLVGSAIATLYHEHVLRASTLKALGSFKGARQ >OGLUM10G13290.1 pep chromosome:ALNU02000000:10:17492005:17493637:-1 gene:OGLUM10G13290 transcript:OGLUM10G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHATTSTKQKKFKKKAIYISICICISRAARAAESQQEHTHIVVVYDDDHAVRRAAAGGGGGAGAGELGEPVRDAGDGGAEAEGRGVRAGAGDDGEEERAAAGVQPGAQEDPVLLHRGRPISESLIIVQYVDEVWPPPASILPSDDPYAAAIHRFWGQYIDDMFPPRIRILRGTVPGDKNKASDEMTTALLYLEEAFVECSKGKQYFGGDSIGYLDIALGSHLGWIRAVERIAGVELLGGAKVPNLAAWADCFCAHPAVVDVMPDADVLVEFTAKHADLMRALLASK >OGLUM10G13300.1 pep chromosome:ALNU02000000:10:17495529:17497999:-1 gene:OGLUM10G13300 transcript:OGLUM10G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCAVLGMGFFGNHKVWKKFTGIGYTPHQPPRIAQGRGNRGRRSGGHPPPQISSSRSPAAAATTTTTAAAMISILAQERLLGFALGSVSMGGFVLHQRRAIYRSLADADAAAAPSPFSSYQPSETRSRTSSTELAHVWNKGVDETLGRLVAYLSSRGW >OGLUM10G13310.1 pep chromosome:ALNU02000000:10:17498298:17501004:-1 gene:OGLUM10G13310 transcript:OGLUM10G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G45530) TAIR;Acc:AT2G45530] MSTATAAGSEPGPGPGRADVGGEQPGPQAEEVVVVTTAAAAAEAGAGAGAVTIVISQPEEAAEPKGAAAASPPPVEAGAKVAAAAVKEAELARTDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSAYGRGRGGHERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMAPSGYHPGV >OGLUM10G13310.2 pep chromosome:ALNU02000000:10:17498298:17501004:-1 gene:OGLUM10G13310 transcript:OGLUM10G13310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G45530) TAIR;Acc:AT2G45530] MSTATAAGSEPGPGPGRADVGGEQPGPQAEEVVVVTTAAAAAEAGAGAGAVTIVISQPEEAAEPKGAAAASPPPVEAGAKVAAAAVKEAELARTDSFDEQCRVCQQKTEEPLVDLGCRCRGDLSKAHRTCISVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRSMPRLPMDGSMAPSGYHPGV >OGLUM10G13320.1 pep chromosome:ALNU02000000:10:17508137:17511146:1 gene:OGLUM10G13320 transcript:OGLUM10G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQEGELIPIQRRSSGRLARHEASDFYYGVGRPARNILAILINPYTDGGIAPKRRPS >OGLUM10G13330.1 pep chromosome:ALNU02000000:10:17511167:17514736:1 gene:OGLUM10G13330 transcript:OGLUM10G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYRIASASEYLAITGYGIADVKLAKKAWVAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRADDDDCLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFQGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVAGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKERDGMTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAEANADLAMKKAGWQRQAMVAEVEAAKAVAIREAELQVEVERTNASRQTEKLKAEHLSKAVVDYEMKVQEANWELYNRQKAAEALLYEQEKQAEARRASADAAFFARRREAEAELYAKQKEAEGLVAMGDAQSAYLSAMLGALGGSYAALRDYLMVSSGVYQEMARINADAIKGLEPKISVWSNGVGAGGEVGEGGGAMKEVAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGAPSSTS >OGLUM10G13340.1 pep chromosome:ALNU02000000:10:17516437:17518845:-1 gene:OGLUM10G13340 transcript:OGLUM10G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTFMVVLMALTGSTIYITGFLRLSFRWLPTGGGLFLYRYDLITWIVRFVLNFAFFQFVPLLSSAFSQSENRSKTELLLILLWMLLAEIIRKKVQGMLLPADGSSFNRGIGRFTLMDYAYDTSHLVWIGYLICSKLPDDKSLSITFAVLWFLCFAKLVLSAVNRYFASYSFHTARNPLVIAGYMTKVMDKYNRDGDGRSAVPANDMSSCKFVVMGEDALVVPDNDKEKKPKPVTTPGYGYGVGRRVVVAGGGHQHQATYCDENEQKHLHLCIAEPDDYSNKEWPLVTVKTIWEMREKHKHIFHGKRGDFLEDLCLSFSLFKMLRRRFEHYPMVEVGSDMARAMMLDGLLKLNFSSPGSNSSHDQLQRPFQVLLMELELLKNYYQQAAAPVVMSQPILFCINFLSSIIFLDFFTVAVVDILIVNKDAAPLYCRIMGWGRTPVSSPSLILSLTMLLVLTVILIEAHDFWTSFVFSDWNIVRMLCSYDRPSRRWLQKIYSVVIYIRYCLLSSSKNKMTIYQVSILDACGPIDKHFTRTSQVTLPASATAQIIQALCSCDIINRSTGAINLPAGIDSNQMTTTEAILAWHLATELLETTTMDSQKKQCRRPPAGADNRRIASVLSKYCVHLVVHLPELLPDEETWVSSCYEETRSCLDHASRRCGCRACPPSRRCRKKKIAKTAMSGDQWEDSFRDPTVRRGVKLFHRLREKPADEAWDELARLWVHLVIYLAPSNDVQGHAKALASWGADLITCLWALCTHAGITRQPPPEQHDVEVHDQQQIDIVVTHRQPTLNQDDDNSIYV >OGLUM10G13350.1 pep chromosome:ALNU02000000:10:17572279:17572845:-1 gene:OGLUM10G13350 transcript:OGLUM10G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPIRPLAQLRPSRSRPRRSKWRDGSLCTGLRSAVAELERGGGEEMERSRAAAVFGGVCWLPVYGRQCEGRRGAALNVDIATVPGSWFGWGGKTDFG >OGLUM10G13360.1 pep chromosome:ALNU02000000:10:17572569:17572832:1 gene:OGLUM10G13360 transcript:OGLUM10G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTWYCGDVDIQSCSPPTLTLPPIHRQPANLYEPHQRQQQLGSSPFLLHLHARALPLRSSVPCTSCHPSICSAAAGSGWASVEQEDG >OGLUM10G13370.1 pep chromosome:ALNU02000000:10:17584481:17586994:-1 gene:OGLUM10G13370 transcript:OGLUM10G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLFVVVLMAIVGCTLYINGFVQVFFPWVRQIGFQKYSLSIIARFLLNFAFFQFVPLVSATISQSQPNSDGNNDGVLRNESELLEALLWLILVELIRKKVQGMLLPTDGSSFSRGIGRLTLMDVSYEVSHLVWVGYLIFANLARQTRRTEPLEFRYYLLGLTFIYIFTVLWSLCLAKLVLSLLNRRLASCSLHTARNPLVVAAYMQKLMEKQTATSPPATTLSTCKFVVMGEDRLVLHYNKVNNDDENNNNKRKRRMRRRDNGVLEPVTIHGYGYGVARRVGGDQNEHKHVHLLLTDPDEYLRLTEHDCVEKGRLITVEDVMNMHEQHANLFKGRRRQLLEDLCISFSLFKMFRRRFEHYPMVEVGSAMARGVMLDGVLKLEGCEPVGKAQKLCSKFTLNRVQGQIQRGFQVLQLELDLLVHYYQQAAAPVVMSQPILFVVNFVSSLFLLCLLLGTVVYILFIPSQGERVYCQIIGWTTTGNGPIPNVSFYITVLLVLTVIAIETHEFWIVHAFSSWNIVRMVCTYHRAAHRPWLRWLYFLVIRVRFLTFSVGKSEMVIYQMSIFDAASPLQKLYATVRAADVALPAIATGRIIDALRSDAVVSRTTGIVSLPDIDGLDFRTMTTTEIILACHLATELLDNEHDDHPPPAADDNDDDQQQQKKKKEKKEDDRKIASVLSRYCMFLVAQIPELLPDDETWVSDRYGDTASALHLASRRVVCPTSRRRKKAIAVAVRSSRWEELFDDDPAARRGARLFHRLRRRGPAFDKAWDELARFWVHLVVYLAPSNDVQGHAKALASWGSGDLLTCLWTLCTHAGITRQPSEQAAELTVDDSNV >OGLUM10G13380.1 pep chromosome:ALNU02000000:10:17590657:17593881:-1 gene:OGLUM10G13380 transcript:OGLUM10G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGASEYLAITGWGIDDVKLAKKAWVFAGQKCLKFDATPVSYDIDVQAMSSEKLPFRLPAAYTIGPSPKIKRNPVVDGPAPPADTQRRLEDCDEEASNPFFFFFFFFFFVYLCSWNKQLLLYAKLIAASQIRSPNHVIDLVKGVIEGETRVLASSMTMEEIFQGTKKFKQQVFDQVQLALNELGLYIYSANVKQLVDDPDSPGNDYFSFLGQKRQAEVEGKAKVAEAEARMKGEIGAKEREGLTLQNAAKVDAETKVLSARQQGVGCREEIKVKADVEVYENEREADIAAARAALAVKKAGLDKQSKVAEVEAVKAVAVREAELQLEVQDSNAALYDRQMAADATLFEQVKSAEARKAQAGAKFFEQKLAEDARLYARQREAEALAGVGRAKAELVASMLRELGGDHGALRDSLMIDGGVYEEVARVNASAMSGIQPKISIRSGAGGANAGASSAGAVQQVAAADVYDMLPPFLQSSGGFNKLPL >OGLUM10G13400.1 pep chromosome:ALNU02000000:10:17612948:17614949:-1 gene:OGLUM10G13400 transcript:OGLUM10G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVVAGPSEYLAITGWGIDDVKLAKKAWVFAGQKCSRFDISPVNYEFNVEAMSSEKLAFNLPAVFTIGPKITPAPAPEVDGASNQRRVLMPESEEALLLYAKLIAPHDHASNHVKQLVKGVIEGETRVLAASMTMEEIFQGTKKFKQEVFDQVQLDLNKFGLYIYNANVKQLVDEPGHEYFSYLGKKTQQEAANKAKVDVAEERMKGEVGAKEREGLTRQNAAKVDAETKVVSVRQQGIGLREEAKVKAEVQVYENEREAEIAAAQAGLAMKKAGWEKQSKVAQVEAVKAVAIREAELQMEKAADATLYEQVKSAEARKAQADAMFFEQKLAEDARLYAKQKEAEALAMVGKAKVEYVTSMLQALGGDYGALRDYLMIDGGMYQEMARVNASAVSGMQPKISIWSGADGAAGEAGAGAMQQVAGVYKMLPPLLSTVHEQTGMQPPAWMGSLPKDGAN >OGLUM10G13410.1 pep chromosome:ALNU02000000:10:17619193:17624065:-1 gene:OGLUM10G13410 transcript:OGLUM10G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaredoxin-related [Source:Projected from Arabidopsis thaliana (AT3G11920) TAIR;Acc:AT3G11920] MGEETLVAMPLAPPHHHHHHAHLPALPHLAAPPPPPPPPAETELTEQREEEVPVDDVVEAAADVPRREEGLVVDGGEDVYYARRMLQGVVLRPPPHLPQPEAPPGLTRALSAPAPDGYVEEEEEQRPVERSASVNSAASAVVVDVASIGRFFRDRRDVLSSAITRRISSLKEASSSPPPPVGMDTYGVQEIHLPNVKVTVRLKDAIEADAEEDDAVGGGGDDGYSFSGSHIKGRVSFFSRSGCRDCAAVRAFFRQSALPYVEINLDVFPEREAEFASRAGASARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDAAPRVPVYGFDNDPGKEGGDREDAMVGIVRVLRHRLPIQDRIVRLKLVKNCFSGADMVDGIVNHLECSRKKAVEIGRELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAIPKYYNFIRGATNDGEPKLAAAIGQRMTKIMVAILEAYASDDRRHLDYSRIAASEEFRRYANMVQELQRVDMSALPAEERLPFFLNLHNAMAIHAVVMVGQPGAIDRRSSFSNFQYVVGGHPYSLATIRNGILRSNRRQPYTIAKPFGSSDKRLELVQGKVNPLVHFGLCDATRSSPIVRFFSTQGVEPELRHAAREFFLNGGVEIDLESRTVHLTRIIKWYSVDFGQDRETLKWILNYLDPTKAGLLTHLNDEPLSCAFCLIGKMVNGKKSIEHRGNQHVELVARFESEDVYSIVSMSKWVHSIDEKGNMFVRIKCISHLLFLLSVYQIIPKSHTTDLVFKSNGQSSLSNLPPPVQLGRECTFLTPLLASLFLRCT >OGLUM10G13420.1 pep chromosome:ALNU02000000:10:17628527:17631195:-1 gene:OGLUM10G13420 transcript:OGLUM10G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0BBU9] MTHRTARIRQGQPRPSSPILFLSPSLLRPLPLSSPPTAPPPPPPPPPRLPLLPTAKMNVDKLKKMAGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRVGVNTIPGIEEVNIFKDDVVIQFLNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASGEEAGASAGAAQGDDDDVPELVPGETFEEAAEEKKES >OGLUM10G13430.1 pep chromosome:ALNU02000000:10:17632560:17635180:-1 gene:OGLUM10G13430 transcript:OGLUM10G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPCSNDEVSKTRYRSEPVLESNKQPADLDQIKPAKRKRGKQVKIVDEEDADELGALQPCQGWKKVRRKRLDAVKDDNNGENAKITNKNARKVSRRSAPKNSGKRKLDNVEPEVSSSESIDDDIELTVEDLLSIAEEYVKADRLKQHEVKTTKTARYNENRCSPSISTEADIGGSIINARSMMGLPDTTRNARSMKGLPDTTMNAQSMKGLPDTAETNTAPSEPSRYEINKQQVQQCTPSFTATCDVAQDMLNIFFGPLLSKCSGYEKKPEVVQDANHATEKKDLSCDVQRQGEHATEKKHLSCDVQRQEEHATEKKDLSCDVQRQGELVTKKKSSLKDKVGLFF >OGLUM10G13430.2 pep chromosome:ALNU02000000:10:17632560:17635180:-1 gene:OGLUM10G13430 transcript:OGLUM10G13430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPCSNDEVSKTRYRSEPVLESNKQPADLDQIKPAKRKRGKQVKIVDEEDADELGALQPCQGWKKVRRKRLDAVKDDNNGENAKITNKNARKVSRRSAPKNSGKRKLDNVEPEVSSSESIDDDIELTVEDLLSIAEEYVKADRLKQHEVKTTKTARYNENRCSPSISTEADIGGSIINARSMMGLPDTTRNARSMKGLPDTTMNAQSMKGLPDTAETNTAPSEPSRYEINKQQVQQCTPSFTATCDVAQDMLNIFFGPLLSKCSGYEKKPEVVQDANHATEKKDLSCDVQRQGEHATEKKHLSCDVQRQEEHATEKKDLSCDVQRQGELVTKKKSSLKDKVGLFF >OGLUM10G13440.1 pep chromosome:ALNU02000000:10:17640584:17645217:1 gene:OGLUM10G13440 transcript:OGLUM10G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGRLLPAPAMVVVVVVLLVVVVASPWVPVAAADPQATLLNLGCSQYNATPTAAFLAALNSTFGELRANLSSGGGGEGGGFATAAEPRAAAPAFAMAQCRPYVTRGDCCVVTVGEGGCAQCLEVAARNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAPYLRSGKSDRKGAIIGGILGGVAFLFLLGLLAFLWTWRSRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPKEYNPEEVKKIIQIALLCTQSAVASRPTMSEVVVLLLTKNSSEFQPTRPTFIDAISRVRGETSSSSSSSASKATISITQYSASNKWMFTCEHKQKQCKSSEISLSLSLSLVHVTMSPIAFVLVTVLRQITALLVCSDHI >OGLUM10G13440.2 pep chromosome:ALNU02000000:10:17640584:17645217:1 gene:OGLUM10G13440 transcript:OGLUM10G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMGRLLPAPAMVVVVVVLLVVVVASPWVPVAAADPQATLLNLGCSQYNATPTAAFLAALNSTFGELRANLSSGGGGEGGGFATAAEPRAAAPAFAMAQCRPYVTRGDCCVVTVGEGGCAQCLEVAARNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAPYLRSGKSDRKGAIIGGILGGVAFLFLLGLLAFLWTWRSRKLLKPRRGDILGATELQGPTSFYYQDLKVATNNFCEESKLGEGGFGDVFKGLLKNGKTVAVKRLTVMETSRAKADFESEVKLISNVHHRNLVRLLGCSSKGSECLLVYEYMANGSLDKFLFGDKRGTLNWKQRFNIIVGMARGLGYLHQEFHVCIIHRDIKSSNVLLDDEFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEIISGRKLNDARLDPDSQYLLEWAWKLYENNNLIELVDKSLDPKEYNPEEVKKIIQIALLCTQSAVASRPTMSEVVVLLLTKNSSEFQPTRPTFIDAISRVRGETSSSSSSSASKATISITQYSAR >OGLUM10G13450.1 pep chromosome:ALNU02000000:10:17652221:17657874:1 gene:OGLUM10G13450 transcript:OGLUM10G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFYRREGEGDVSYADVGGGIMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFATFTKDQELLVSMPVLVDYVEGFIVLNEQSLHSSSIAFPTNVDFNPDFGTKNNPKIYYCIEFAVHDYQNKNINVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGISDFRDLLMDSISPDNFEGLILIYPLLRHKWDTNTSVVLPDSGSTDQVMYAVGILRSANPDDGCSHHCLQELLLHHSRLAGAAASGLGAKQYLAHHPTPAGWRRHFGRRWERFADRKARFDPRCILGPGQGIFPRDSSSSNGAFASYS >OGLUM10G13450.2 pep chromosome:ALNU02000000:10:17651968:17657874:1 gene:OGLUM10G13450 transcript:OGLUM10G13450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMVCTRVNLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPSAVLKPQAPRDISLLLSFLSASPLGKVTVAARGAGHSIHGQAQALDGIVVEMSSLPSEIEFYRREGEGDVSYADVGGGIMWIELLEQSLKLGLAPRSWTDYLYLTIGGTLSNAGISGQTFKHGPQISNVLQLEVVTGRGEIVTCSPTKDAELFNAVLGGLGQFGIITRARILLQEAPQKVKWVRAFYDDFATFTKDQELLVSMPVLVDYVEGFIVLNEQSLHSSSIAFPTNVDFNPDFGTKNNPKIYYCIEFAVHDYQNKNINVEQVVEVISRQMSHIASHLYSVEVSYFDFLNRVRMEEMSLRNSGLWEVHHPWLNMFVPRAGISDFRDLLMDSISPDNFEGLILIYPLLRHKWDTNTSVVLPDSGSTDQVMYAVGILRSANPDDGCSHHCLQELLLHHSRLAGAAASGLGAKQYLAHHPTPAGWRRHFGRRWERFADRKARFDPRCILGPGQGIFPRDSSSSNGAFASYS >OGLUM10G13460.1 pep chromosome:ALNU02000000:10:17659093:17659966:-1 gene:OGLUM10G13460 transcript:OGLUM10G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGKEVDASNNSGKPRWLAAMKGSDHSGGVGAFSLGAWRCKGVARQSASMCCGQLDHSFGMHDDGEVWLRAGPCSDIAGIVRWSSRRIWGPELKLGRIAPSSWAATTADRPLCPILSHLLGAPTLLGWFIGRKELHGETVKMAAGEEGRGCRHVAVGGGLVVVMVVPTCSIGSSWLRRHQIDHMEASRQRGVSVVAVVCWQGYRQAKSWAGSGDAFGHCNPPEGVVEVPLSPFLMGSYSR >OGLUM10G13470.1 pep chromosome:ALNU02000000:10:17668285:17669311:1 gene:OGLUM10G13470 transcript:OGLUM10G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRLALLLLAVASVSLLAASPAAAARPCHPFFVSFAANPNPIVGDGEVGDHRGAALATATVITVFRVRRLGPHLAHVHGHGHAHPNLHHLHSIPANVQIRRPELPEHPRRAAHAADSFQERAKDILVVVVGILFGVGCGALTAASMYLVWSVLAGAAAAAPSPYDELYGDEDEEASDSESPKKVGYVIIPGVEAHDGVLGFKISDDRAIQCA >OGLUM10G13480.1 pep chromosome:ALNU02000000:10:17670981:17671196:-1 gene:OGLUM10G13480 transcript:OGLUM10G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACELVALDMETREFEVIVQGPPCRHGEGKMTVLELHGALCVACSDKATDAINIWMVNCDAVTALGRSSTV >OGLUM10G13490.1 pep chromosome:ALNU02000000:10:17671215:17671406:-1 gene:OGLUM10G13490 transcript:OGLUM10G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWAMLRDDDVCRCPLLAGHAAMGYDAAASCSSAAATTTKGERQDRRRQGRWRTTCRQPTLT >OGLUM10G13500.1 pep chromosome:ALNU02000000:10:17672960:17680939:-1 gene:OGLUM10G13500 transcript:OGLUM10G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEHKKAVGSVWLPDDVFFSILSYLPAKPVARFRSVSHSWRDMLSSAPFVQLHLRRANRPGQLKVFFHTGLPVDNDLPDKHFYYTWQQDGGPAKKLMRHGFSGGFSRITKPLHGLFLFRSYGRGFFVLNPCTNALLTLPDTKYPLKNNRHLGTNLSGKYRSPGYMYWSYGLGYCSATGEYKVVRLFSLPYDSEAAATFCEVFVLDAPAYWRPTAQQPPAPADHNVKVMVTDPPVFLNGLFYFLCRDGLDVITLDVGDETFGSPPPLPVANMALDHRNSKLTLTELDGCLCAYYREACSMRSYVLWLLRDGDHEAARWEQLCRVDTEAWPDPPWSIAPLTMLDGSGDGEKKIIFKTDKCVVLGVDLNGSGTSATPREILLNPEDAVATAAETHLSPETTTATCRFRSEYPPELSLFEESLVPLGRTLEEIVFSSPATRAWSEVLKLLPARTVSDLSLVCREWRAMVTTNRFIRSHAVHSNLIATHPRIKLVVDTPRDYLDASGFADLDDLIISGNRPRMCTSTSFICSPPCHGLNLGTFRRTNYLFNPCTGYQVELCPPDYDYDDRVFDGVMALGYDAATGAHLAVHLDCWNFETRAYELRCRTQLVGGHEVWKPAESPPRAADMEVPAAYANGKIYWVVDRKFGPQPSSTAAAACELLVFDMEARKFEVIQGPPCRLPRFNFGPIGPPGERDPKKYQKRVVRNKYRKRKIEPCHRHGESRITLLELHGALCVACSDPATDAIDMWMVKDDGAWSVEYRLEIGELSPEYSLETTSPMAIDPVDGRILLNTGTSLGYYDPKTRALETIYSVDIRHDNEGLRYRFCPVICQESLVCPLPGKY >OGLUM10G13510.1 pep chromosome:ALNU02000000:10:17681280:17683590:-1 gene:OGLUM10G13510 transcript:OGLUM10G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09820) TAIR;Acc:AT1G09820] MPLATLLGHLAAGRFGRVQALTGAATAAAAHRLLHLLLRTAPPPPLPDLVSLARWSRAHFRAPLPLRLHGLLLARLASKGLYPLLRSELHVLAAARLHSPASILRALPSPSASASASTPLIADMLVLALARASQPLRAYDAFLLAGESHPRHRPSTSSVNALLAGLVGAKRVDLAEKAFRSALRRRVSPDIYTFNTVISGLCRIGQLRKAGDVAKDIKAWGLAPSVATYNSLIDGYCKKGGAGNMYHVDMLLKEMVEAGISPTAITFGVLINGYCKNSNTAAAVRVFEEMKQQGIAASVVAYNSLISGLCSEGKVEEGVKLMEEMEDLGLSPNEITFGCVLKGFCKKGMMADANDWIDGMTERNVEPDVVIYTILIDGYRRLGKMEDAMAVKEAMAKKGISPNVTTYNCLITGFSRSGDWRSASGLLDEMKEKGIEADVVTYNVLIGALCCKGEVRKAVKLLDEMSEVGLEPNHLTYNTIIQGFCDKGNIKSAYEIRTRMEKCRKRENVVTYNVFIKYFCQIGKMDEANDLLNEMLDKCLVPNGITYETIKEGMMEKGYTPDIRGCTVSQASENPASS >OGLUM10G13520.1 pep chromosome:ALNU02000000:10:17686099:17688217:-1 gene:OGLUM10G13520 transcript:OGLUM10G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L18p/L5e family protein [Source:Projected from Arabidopsis thaliana (AT3G22450) TAIR;Acc:AT3G22450] MSLRQLLLQARRFASRPPPPLSNMLVLCRGISDRGHKALPSAPPRHSTGQIQMPQTFHCSNANPLGNRFQIDVVDSDLWPASFDLSMDHAPKTGCPDDFQEHEDGEVHDSEDEIDDMRHRKKLFYKLDRGSKEFEENNVSLRHRRKREKGNVKNPKESKKVDPDESASVKLPKLKTKYTVREEDVVEAKRDRVPTFNQMTDPYHHPFCLDIHVTKGSVRACFVHRVSSRVVTVAHSISKDMKFDVGSRKGMKACAAVGALLAKRAIEDDIHNAIYTPRKGDRIEGKIEVVLRAIIDNGVEVKVKLKQRKPTKIHGIECTGYAAFSISLMGKLFIRMTPTTRNAQKS >OGLUM10G13530.1 pep chromosome:ALNU02000000:10:17688974:17690713:1 gene:OGLUM10G13530 transcript:OGLUM10G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGSASKTGVFVRSFVQEPSSFGEEDAKPSKHDAREGTTEEDGDNANLGVKQVEVGDVSLGVVLAHSIDAEAAEGVGDGDGVQQHVPSWSGCPPTLSSSPSPFSSAGRTLPLLLFLAACGLAFLYPFVPISYVVELYLDRSCVNPLRLRTEKGGVGATQQLGALLLFLAACGLAFLYPFVPISCVVELYLDRSCVNPLRLRTEKGGVGATQQLGVHHGWRL >OGLUM10G13540.1 pep chromosome:ALNU02000000:10:17692897:17695750:1 gene:OGLUM10G13540 transcript:OGLUM10G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPEDVLLEIFSRLPARSAARLRAMSRSWRAELSSPSFVDLHLRRANTTAPPKLFCCPCDDKLMLADQWCLYDLQLGGGPGRELVRGGEFGDVLPAPLTKPLRGLVLVMCYGRNGVYVCNPSTGGEALALPDTELPSKATFRPSLGPGPPYYRNVAYGLGYCSAAKEFKVVRMFSEGHYEETATRCKVFVLDSPAYWRPAAGKPPPACIVENTGVFLDGSVHFLCSDGGGMVSFNVADESFGSLPAPPPLAAAVYGVADWRIRERMTELDGCLCVCQYACGSDGHGPCRLWLLRRHGGGDETAARWEKLCCIDPIPWPSRSIVPLCMYGEKILMRTGRSVVFAVDAAAAAGGAPEILFRPDEHEATAGEFEDTQLPALGLYEESLVPVGRTVEEIVFSSPATRAWSDVLKWLPARTVSELSVVCKAWRAMVTTDRFIRSHAVHANMAARRPRIRFVMDPVGGVPADIDRHTDEIHEPDISPKPFVCSQPCHGLNVGSFSDVLDFVCNPIMDYHEELPLIESDDDYDDDGDDDIFYGRIALGYDEEVGDHVVVRLAYTENNPETRSYKLQCRMRYVKRREWSPQPIPPPPRPVASATPAYANGKIYWLVDPALGPASATTTTPPTSACELVALDCRNAVARHHYDVVRGPPMPPCGRVSLLRLHGALCVACSDRDANAIDVWAMQQGAGTAAGDAVWSMVYRIELAGYSPEYTSEKTTVMGVDPTSGRILLNTSRSVTTIPRRGSWRRSTVSEGCTRRQMMAVVHDF >OGLUM10G13550.1 pep chromosome:ALNU02000000:10:17695948:17698122:-1 gene:OGLUM10G13550 transcript:OGLUM10G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLSAASRRVAPSPIQQLSHLAQRAGAVNLAEGFPDFPAPAHVKAAAAAAIAADLNQYRHVQGICDALAETMKRDHGLRVDPLTDFAVCCGQSEAFAAAIFAIIDQGDEVLLFDPAFETYQTCIELARGVPVYVPLDPPSWTLNEDKFLKSFTNRTKAVVLNSPHNPTGKVFSREELLIIAQACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPANIASAIRNIHVKLTDSAPAPFQEAALVALTSPPDFYSSLKQDYTARRDFILQVLTDFGFRISFKPQGSIFVFVELPRSWQLSDMDFVTNLIKNAGVAAVPGRGFFHSSTDDPSYHHRYVRFAFCKSNDTLNAAAEKMRKLAVSHDARLLRPTDDGEAGQTASATSP >OGLUM10G13560.1 pep chromosome:ALNU02000000:10:17700110:17702462:1 gene:OGLUM10G13560 transcript:OGLUM10G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGFGDARSGNGSRSQCSRGKAMLLALGKGLPEQVLPQEKVVETYLQDTICDDPATRAKLERLCKTTTVRTRYTVMSKELLDEHPELRTEGTPTLTPRLDICNAAVLELGATAARAALGEWGRPAADITHLVYISSSELRLPGGDLFLATRLGLHPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVVAAETTVLGFRPPSPDRPYDLVGAALFGDGASAAIIGAGPIAAEESPFLELQFSTQEFLPGTDKVIDGKITEEGINFKLGRDLPEKIESRIEGFCRTLMDRVGIKEFNDVFWAVHPGGPAILNRLEVCLELQPEKLKISRKALMNYGNVSSNTVFYVLEYLRDELKKGMIREEWGLILAFGPGITFEGMLVRGIN >OGLUM10G13570.1 pep chromosome:ALNU02000000:10:17702949:17703602:-1 gene:OGLUM10G13570 transcript:OGLUM10G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLKHRPAPDIVSYNTVLAGYSAQGDEEGFEKLLKEISAKKLEPNVVTYNCRIQWFAKKGETFKGEELLDAMESKDVAPNYLTYNALVQGYCKEGNVGSAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVENERLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKGGKSAEAKDVVAKMNLLVKGDAKVAWEKIAGELSLEGTPSSNP >OGLUM10G13580.1 pep chromosome:ALNU02000000:10:17704242:17715527:1 gene:OGLUM10G13580 transcript:OGLUM10G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERNGVTRNHSQKNSQPSAYQEALEDQMGLPAVLVDGGPVDNCMVWGLASGTLRVSDLEEAEELLQPLKEPSWPGRKREDESFTWGDSTLTSKAIICCIQIIALINATRVHDTLPEGKLAAAGPHHCPPAAGVTASEERCTRTPAPGGSPGDPANCGRGGPGVAGDAGFAGIDGAAGPEPEVGAGAAGASPSTALSTTAGVVAAATTPESNGPSDSDAGAADGTSAASGLVASEAAVAGVAMGEEESGGKA >OGLUM10G13590.1 pep chromosome:ALNU02000000:10:17705090:17715496:-1 gene:OGLUM10G13590 transcript:OGLUM10G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) TAIR;Acc:AT3G19840] MATPATAASDATNPEAAEVPSAAPASESEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPVKYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQEQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTSDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPADAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMATKLKQSDTKEKSDTDGKQRRSSDPPRRR >OGLUM10G13590.2 pep chromosome:ALNU02000000:10:17705090:17715496:-1 gene:OGLUM10G13590 transcript:OGLUM10G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) TAIR;Acc:AT3G19840] MATPATAASDATNPEAAEVPSAAPASESEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPAMMAPSVAAAALQPPVPVKYFGNRPSFSYNVVSHANAGLPTGQQFQLDTGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQEQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTSDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMATKLKQSDTKEKSDTDGKQRRSSDPPRRR >OGLUM10G13590.3 pep chromosome:ALNU02000000:10:17705090:17715496:-1 gene:OGLUM10G13590 transcript:OGLUM10G13590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-mRNA-processing protein 40C [Source:Projected from Arabidopsis thaliana (AT3G19840) TAIR;Acc:AT3G19840] MATPATAASDATNPEAAEVPSAAPASESEGPFDSGVVAAATTPAVVDSAVEGDAPAAPAPTSGSGPAAPSMPANPASPATPGPPRPQFAGSPAYASPPAPAFSYNVLPRPSPRPQVGSGAAQQQLASPPGTNHAVQVSRFVPPSSLQPPAPMNLARPSSAFPGAGAMPPNPPGSIRLPFPGPPRPSINTFVASPQQEQPQASQLPSNSGSSDVSTSRSDTRSVPEASPQTMQLSTGPPSTSTAGSPSITVQMPTNPSLPTRPEVFGAVGASVPGQPSTILSAPPSLLGRPMTPSASPFPQTSQSPTAFQQPGQQQLYPSYPSAHGVQPQPLWGYPPQPTGFQQPPFQSYPSGLLGPLGRPMVGSSSVTAYLPSIQPPGVSTSDRDSKELSSANPGSEQPTQQGSQNSDQLEDKRTTAIQDSDSWSAHKTEAGVVYYYNALTGESTYQKPPGYKGEPEKVAAQPVPVSWDKLAGTDWSIVTTSDGKKYYYDNKLKVSSWQLPPEVAELIKNAESGPLKGSSTSLQDAGTIGNKEEISIDIDTPAVQTGGRDSLPLRQTVAPASSSALDLIKKKLQDAGASSVPSPLATPSSASELNGSKTTDAAPMGHQVSISGEKSKDNSGDGNMSDSSSNSDDEEHGPSEEECTRQFKVEMLKERGVLPFSKWEKELPKIVFDPRFKAIPSHSRRRSTFEQYVRTRADEERKEKRAAQRAAVEAYKQLLEEASEDINSNKDYKEFKRKWGTDPRFEALDRKERDALFNEKVKSIEEKVQSVRNAVIAEFKSMLRESKDITSTSRWTKVKENFRSDARYKAMKHEEREVAFNEYIAELKSAEKEAEQAAKAKLDEQAKLKEREREMRKRKEREEQEMERVKLKIRRKEAVSSYQALLVEIIKDPKASWTESKPRLEKDPQGRAVNPDLGKGDAEKLFRDHVKDLYERCVRDFRALLSEVITPEIAARTTDEGKTAINSWTEAKGLLRSDPRYNKLASKDRESIWRRYADDMATKLKQSDTKEKSDTDGKQRRSSDPPRRR >OGLUM10G13600.1 pep chromosome:ALNU02000000:10:17716973:17723617:-1 gene:OGLUM10G13600 transcript:OGLUM10G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPLLAAALPLLLSLLAASQLGASATAAASSSGAAASGRAEWQVLTRANFSSQIRLHPHILLVVTMPWYGESRSLMAEIERLVAADEQELGHLKLMAVYRNSEKLLTDVLGATEGIKFIYYQRSLPFKYEGKLRAREILSSVHYIMSLKHAEAPFVVLHTKEDVEAFVESTDKAVVLSEFCGWFSKLAHGGSNRTEGTSSKNHTENVDISGKALTGESDGPLELVIEDEELIFGGGVQLAGSPWKGGFTLANGSMSDQNGITTDENRKLCTAEKLQQFESFYAKLTALSRDYFLPPEKVRFGLITERSSLPSSEFINEGNSETWFLSVHYLGCTNCSIVAKEGDDLRSLLQSYHNLNINEMDIDASGIATFPASRSSAILFIDRLSDSSKVRDESKLSLKLLREYVQKNYPSHFSTGGLTSGKSRMSSKAVPSLVSTGRSAHTERTRLNDWASKLMEIGEKMSVMVVNDGESISYRSDSQGSTDNPLYDILTKLIHKTKPAHRSKKTKISFVAKDVAIKKLSDDSEVQVVESLSIRDSQLERNEGSCASSDGGNDDYTEDSVHENRATEAEYINDRQAPIKLEKGPANYCDNNEKHLESSDTEVEEEHKTKASDVSLDLQEDISVDVHSSNAPKNFCKINKEDLDCSDTKMEEQEHKTEASDTSADLQEEVSTDVHSSNEVGRILHKHKDEETVREALDILEPDGTNVNFNQQKSGSANQQDAVFSVLGQESRRIEDAIYEDNLFILDEGSEESDSKYPVHAALSSSSSLVGDNTYYTEQETPSIPDEHFAGSLFFSDGGYRLLQTLTGGSRMPSLVIIDPIQQKHYVFPDEIEFTYPSLANFLDHFMNRSLSPYYRSALSVISSKELLRPPFINRDFHEADSIPQLTTSNFCMLVFGFEGCVSKSELPFSNTENIASAWKKDVLVLFSNSWCGFCQRTELVVREVYRSFKNFLSSNSQFLQAQDLQIEEKEEESTMKGFPAIYLIDCTSNECHHLLKSVGKEERYPTLLFFPAENKSAISYERGISVSNLFEFLESHASNSPHLLEYKGFLWKKKTVVQGDAPQAIQFDNSDKSSNDVGSHSPSHSERNEARVLTGSVLTATSKLGSAVPFDNSQVLIVSADSREGFHGLIINKRLSWDTFKNLDGSMEPIKHAPLFYGGPVVVQGYYLVSLSRVAFDGYLQVIPGVYYGNVAATAQVTRRIKSGEQSAENLWFFLGFSNWEYSQLFDELSEGAWQVSEEPIEHLVWPEN >OGLUM10G13610.1 pep chromosome:ALNU02000000:10:17726405:17736492:1 gene:OGLUM10G13610 transcript:OGLUM10G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3506) [Source:Projected from Arabidopsis thaliana (AT4G33630) TAIR;Acc:AT4G33630] MAAAVSTAPRAPLPAGAVSSSCCSSSSSSASMSRRWDPSPNPSSGSGSRLFLAARRGERLRVRXRRVSSVVRCGGGGGGARSPDDADAGSGERRRGWDALFHDAFQGAVRRWSEYVGSHWPLAPAGKDAGLRKRVESRREEQVRGEVEEEEGKWSWERWKQHFALIEESERLVDELQLQLRTAVYREDFRSAHKLKLAIAATSKNDTVGRAISDLNSAIEEERYMDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVAKSYDTRQLNSDGPGFPIFEIYFAEANGGYNLQAVHLKPDDSDSQQLSNTLREKLGMDSINISSSSFGAKHEDHNEGVNMDDQNTDDSDISAGPAGFKNLPSDSTPVPRVKILKVVPMENVNQDYIIKIFDQMSDEDDENDNPEDEIESSEDIGDGDNVEEAEAASAEDNVDESGDESDIEALISIDFITEDDKDFMSPSSTKAFERMPARLERRDRFSFSFYTEQYSKRQDVEKVQGTSKEKVGLRTAQQDDDDLQFDRVKLVGSNRKLSVLQLGIKQHNNKVQQKLYGVTHFSRIQIPVSSDPLTGLYMTASGFDSEILSLQRKFGQWREDDSSEEHRDLQFYEYVEAVKLTGDNLVPAGQVVFRAKVGKHYQLPHKGIIPRELGVVARYKGERRIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLKLPD >OGLUM10G13620.1 pep chromosome:ALNU02000000:10:17741298:17756885:1 gene:OGLUM10G13620 transcript:OGLUM10G13620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MILHAKSFSIPGQPKRGEDLQRPSDMTGPHVGLSPHISATAAAALHRVNQLSSASSHRRLRHFAFSHSPPPRRRFIPTSSCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVKVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSEVVKIHIESVKPLCDSEDCIFSKASLCLHMSYFKEALILIEEILPQGYGELMKSESGSEELTKRGYISSKVNTLINIFKSFGSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHLDSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRVMYVIMLLTHGVGLLLDRYRCALFLVTVESRLHLFLLIEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLLTCHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERRTDKKSAQDSASLMMLHKLQELKICICKT >OGLUM10G13620.2 pep chromosome:ALNU02000000:10:17741298:17756885:1 gene:OGLUM10G13620 transcript:OGLUM10G13620.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MILHAKSFSIPGQPKRGEDLQRPSDMTGPHVGLSPHISATAAAALHRVNQLSSASSHRRLRHFAFSHSPPPRRRFIPTSSCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVKVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPVATKGASTLHDCEAHIAQLELTLDAKIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSEVVKIHIESVKPLCDSEDCIFSKASLCLHMSYFKEALILIEEILPQGYGELMKSESGSEELTKRGYISSKVNTLINIFKSFGSSNEVLCLIFVDRIMTAKAVERFMRGIVNFSCFSISYLTGGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLDGSTGKPLLMEKEQIHARVPPELLIHLDVTTDILKSFYLLPSVIHRLQSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRSTGWSILCWWWHCCCTLGYEVVWNRYQM >OGLUM10G13620.3 pep chromosome:ALNU02000000:10:17741298:17756885:1 gene:OGLUM10G13620 transcript:OGLUM10G13620.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MILHAKSFSIPGQPKRGEDLQRPSDMTGPHVGLSPHISATAAAALHRVNQLSSASSHRRLRHFAFSHSPPPRRRFIPTSSCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVKVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPIYIVEDRNELESFSPPATIVNKYYDAYMVDFDNLKSKLQILSDEFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSEVVKIHIESVKPLCDSEDCIFSKASLCLHMSYFKEALILIEEILPQGYGELMKSESGSEELTKRGYISSKVNTLINIFKSFGSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLGTGYTPYSNGSTGKPLLMEKEQIHARVPPELLIHLDSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLLTCHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERRTDKKSAQDSASLMMLHKLQELKICICKT >OGLUM10G13620.4 pep chromosome:ALNU02000000:10:17741298:17756885:1 gene:OGLUM10G13620 transcript:OGLUM10G13620.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicer-like 3 [Source:Projected from Arabidopsis thaliana (AT3G43920) TAIR;Acc:AT3G43920] MILHAKSFSIPGQPKRGEDLQRPSDMTGPHVGLSPHISATAAAALHRVNQLSSASSHRRLRHFAFSHSPPPRRRFIPTSSCASPPPNPPNPAAAAMADDEAAVLPPPPPLPPPCRPHRQLRPRGYQVKVFEAALRGNTIAVLDTGSGKTMVAVMLAREHARRVRAGEAPRRIVVFLAPTVHLVHQQFEVIREYTDLDVMMCSGASRVGEWGADHWKEEVGRNEIVVMTPQILLDALRHAFLTMSAVSLLIFDECHRACGSHPYARIMKEFYFGSQWRPDVFGMTASPFDALLVGLQESPSNKFKDTDNILETSRKSLSRYHGKILYSLNDLGPIITSEVVKIHIESVKPLCDSEDCIFSKASLCLHMSYFKEALILIEEILPQGYGELMKSESGSEELTKRGYISSKVNTLINIFKSFGSSNEVLCLIFVDRIMTAKAVEREYIKRCSESSSSEIYFGFVPSWKDVTEEGVDVPNCSCVIRFDLPRTVCSYVQSRGRARRNNSEFILMIERGNLQQQEHIFRMIQTGYYVKNCALYRHPNALSYDLSIQGMYTYQVQSTGATITADCCVNLIRKYCEKLPKDRYFMPKPSFEVTIEDGLFKCTLTLPRNAAFQSIVGPLSSSSNLSKQLVSLEACKKLHQLGELNDHLVPLTEEPMDTDFTTADEKCISGPGTTKRKELHGTTCVLALSGTWIHDSENITLNTYRIDFLCDQEGENYAGFVLLMEPELDDDVAPSKMDLFLIPNKMVYTTVTPRGKVQLNKKQLGKGKLFQEFFFNGIFGRLFHGSRKSGAQRDFIFKKGHEIQWNTESMYLLLPLRDSSYIQDDLSIHWEAIESCAGAVEQLWSSYQGDENVIPVNCIPQKRRGGQEEIIHLANKSLHCSSIKDSVVLSLHTGRIYTVLDLILDTTAEDSFDEMCKGKASPFTSFVDYYHQKYGIIIQHPEQPLLLLKQSHNAHNLLFSKLKYLGTGYTPYSNGSTGKPLLMEKEQIHARVPPELLIHLDSLMLASQLRREIGYNQHIPVTLILEAITTLRCCETFSLERLELLGDSVLKYVVGCDLFLRYPMKHEGQLSDMRSKAVCNATLHKHGIWRSLQGYVRDNAFDPRRWVAPGQISLRPFPCNCGIETAFVPSHRRYIRDDPSFVVGKPCDRGHRWMCSKTISDCVEALVGAYYVGGGIAAALWVMRWFGIDIKCDMKLLQEVKFNASHLCSLSKINDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLLTCHLYATHTDVDPGELTDLRSALVSNENFAQAVVRNNIHSHLQHGSGILLEQITEYVRSNLECQGKESEFLQHTTCKVPKVLGDIMESIAGAVFIDTDFNVDMVWEIFEPLLSPLITPDKLALPPYRELLELCSHIGCFLNSKCTSKGEEVIIEMSLQLRDELLVAQGHDRNKKRAKAKAASRILADLKQQGLSIKQCLSKAKQLDIVTSDLQFDLTSSGTQLSYSDLNDYHILEGLSSVKKEVVLPLKMEKGGPRSALFKLCKILQWPMPEFEFVEQRFRTPIVMDGATTTNFNSFVSTITLHIPDATTITFQGERRTDKKSAQDSASLMMLHKLQELKICICKT >OGLUM10G13630.1 pep chromosome:ALNU02000000:10:17758181:17760427:-1 gene:OGLUM10G13630 transcript:OGLUM10G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRADEDRRGGRRRGDNEYGGGGGGGQEEGGAGGCCSCLPPFCGVWGSRTKPRRRRRRFRFRLRLIRLSWFFSWPWRKNSGNKKKKTTATATKEAKGMKKRMLLLLSSSSSSAASPPSPAKKALAAAASVSAAAAGSLLLPKVGSFAADGGKKQRKSGSKSLPQQTVTGGGGGGGGGGDAAAPAKETAPPAWQPCPRPAPGELAGVKRAPSRRHGSFRREPGGGGLWTMATTLGVIVFFGRVTAVAFLCSCLYAARFVRAQAAGAAAAKGKGGGGSGRFGEPAAEERPAVVEVCTEEHKKKVVMEGLLDRGGKRLSSRFL >OGLUM10G13640.1 pep chromosome:ALNU02000000:10:17768378:17769365:-1 gene:OGLUM10G13640 transcript:OGLUM10G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEPGGGGGGRRRRWFAVLLCFGGDGNDKDEAAGRPPRRRKRTVPVDGAGDDDGAVSSAGSAEREMRRGEEGGERRPRRRRGGCGFLLPLSSCVPGLLKRNVRRNSNSSNDVHGGQRQQHEPTAPPLPRPAELPPPPPPPRRRHDVVAPATTRGAGAGAIADAETAESKGDVVVVASSRERASSCGHGEFGPAMGVCVVAAVSMAGLLGGRLWAVVCVCAWLAAVYGLQLNRRRAKPPPPAADGGGEEVVGDVNSKDYKKLVVLKGLLQRDRR >OGLUM10G13650.1 pep chromosome:ALNU02000000:10:17772429:17777750:1 gene:OGLUM10G13650 transcript:OGLUM10G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G17330) TAIR;Acc:AT1G17330] MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >OGLUM10G13650.2 pep chromosome:ALNU02000000:10:17772429:17777765:1 gene:OGLUM10G13650 transcript:OGLUM10G13650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G17330) TAIR;Acc:AT1G17330] MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGNMNKCSVFESFPKTPAGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >OGLUM10G13650.3 pep chromosome:ALNU02000000:10:17772429:17777765:1 gene:OGLUM10G13650 transcript:OGLUM10G13650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G17330) TAIR;Acc:AT1G17330] MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIGDYKYTKDNAEDMSIVERFLEEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >OGLUM10G13650.4 pep chromosome:ALNU02000000:10:17772429:17777750:1 gene:OGLUM10G13650 transcript:OGLUM10G13650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent phosphohydrolase [Source:Projected from Arabidopsis thaliana (AT1G17330) TAIR;Acc:AT1G17330] MAAAAVRAAEELAEREMAGRDASHDAAHALRVRDLALSLAAELGLSSSPDRLLIVEIAALLHDIEVELEQGQREEIVAIIKGMGFKNEVSKKSVVEPTLEFAIVQDADRLDAIGAIGNMNKCSVFESFPKTPAGIARCFTYGGSKKNTLHDPKILPRDNLSKEKYMSKDEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >OGLUM10G13660.1 pep chromosome:ALNU02000000:10:17776519:17780204:-1 gene:OGLUM10G13660 transcript:OGLUM10G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATMDAVMPGAAGAHNATAAAAAGRRGGGIVAGMMAFPEVQTVELLVAVSIFVAIHSLRQRRSQGLPSWPLVGMLPSLLLGLRGDMYEWLTGVLASRGGTFTFHGPWLTNLHCVVTSDPRNLEHMLKTKFGSFPKGPYFRDTVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLRVLGDAEEAGDAVDLQDVLLRLTFDNVCMIAFGVDPGCLRPGLPEIPFAKAFEDATEATIVRFVTPTAVWRAMRALGVGHERVLQRSLAGVDRFAYDVIRQRKEEVAGGGGGRSDLLTIFTKMRDADTGAAAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLNKNPAVEAKILEEIDDIVAARRSSPPAPAAAANGADEDDLVFHPEEVKKMEYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLKKGTKVIYAMYTMGRMESIWGEDCREYKPERWLRDGRFMGESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILRRYHVRVVDGHPVAPKMALTMYMKHGLKVKLTKRDKSKL >OGLUM10G13670.1 pep chromosome:ALNU02000000:10:17805563:17806098:1 gene:OGLUM10G13670 transcript:OGLUM10G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLTILLRKLSDEIEMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OGLUM10G13680.1 pep chromosome:ALNU02000000:10:17816667:17816930:1 gene:OGLUM10G13680 transcript:OGLUM10G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMLGISAGIAVAAYGEARFDAFGVMLQLVTITAEATRLVLIQILLTGATPPPAPAPAQADRDVEMGLLGGESSASRPVMKPQPGS >OGLUM10G13690.1 pep chromosome:ALNU02000000:10:17818007:17822515:-1 gene:OGLUM10G13690 transcript:OGLUM10G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLSMIMAAPLPDAVKEEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPLCELLMKIGQRTSGSSGAKPAAPPPAQQQPPPPAQHGACVKRPAIGPPPGFAGVRQPPQKQQQLPPPPRRRAQQQPASAAAHHRNPNRHHLSGVAAPPAAAANALSIMRKAAAAGGGGAAVYCGVCNVKCMTRFNLREHEAGRKHRDKVASNAGEKNVRCQLCDVLLASELNVAQNYAGKQHLHRLRLSRGRRGGGGGGNGATGAGAA >OGLUM10G13690.2 pep chromosome:ALNU02000000:10:17818007:17821360:-1 gene:OGLUM10G13690 transcript:OGLUM10G13690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPLPDAVKEEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPLCELLMKIGQRTSGSSGAKPAAPPPIVHLHGLIPDELCKIDHRPALSDFLSAQQQPPPPAQHGACVKRPAIGPPPGFAGVRQPPQKQQQLPPPPRRRAQQQPASAAAHHRNPNRHHLSGVAAPPAAAANALSIMRKAAAAGGGGAAVYCGVCNVKCMTRFNLREHEAGRKHRDKVASNAGEKNVRCQLCDVLLASELNVAQNYAGKQHLHRLRLSRGRRGGGGGGNGATGAGAA >OGLUM10G13690.3 pep chromosome:ALNU02000000:10:17818007:17821360:-1 gene:OGLUM10G13690 transcript:OGLUM10G13690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIMAAPLPDAVKEEDEGNMDSFQSGQTIIGQDDFMAIFRNDKPLCELLMKIGQRTSGSSGAKPAAPPPAQQQPPPPAQHGACVKRPAIGPPPGFAGVRQPPQKQQQLPPPPRRRAQQQPASAAAHHRNPNRHHLSGVAAPPAAAANALSIMRKAAAAGGGGAAVYCGVCNVKCMTRFNLREHEAGRKHRDKVASNAGEKNVRCQLCDVLLASELNVAQNYAGKQHLHRLRLSRGRRGGGGGGNGATGAGAA >OGLUM10G13700.1 pep chromosome:ALNU02000000:10:17822593:17823069:1 gene:OGLUM10G13700 transcript:OGLUM10G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATLLALGNGAPDVAGVRCGTDGERRGNEHDGPDDERGDERASATGDTAAVTVATLEKGGGRRVERRSAGRRGRGEDSAVGSRPLARLPLLLCSATRALACRRRRSAPPAAHSCSTPLRRPPRTHPPPRAIFIDLLRLIPAQAEAAPLRPAEDDVD >OGLUM10G13710.1 pep chromosome:ALNU02000000:10:17825337:17827174:1 gene:OGLUM10G13710 transcript:OGLUM10G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLMHRTLAVVILTVARLIPVARPLSCTTSKLQLAGYLGEIIKGIAPASQTRSPHITRRKASRSSPPESPPRAAVGGTHRRSRRHHQVPEVAELLDVCWLEITGKLQPAALAPLSGDDVRRLTCLLLSTVVGLQRRLVLSPVAGLQRRLVLSPFAGLQRRDAPAGAGVTPLKPHQSSPTKPPALATAMDVVSSLSSSPAPAPSVLKSLLLSYTYMSVWITFSFSVIMYNKYILDPTMYNWPFPISLTMXEGGKWARVSAAEREGVLFPRYRRLAVQSKP >OGLUM10G13720.1 pep chromosome:ALNU02000000:10:17836253:17840923:1 gene:OGLUM10G13720 transcript:OGLUM10G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIEQEPEAEETPAAAEEPAAEEQAAGGAAGGEAARADGEDEEEAFEDALTDEQLREKARSQANDAKAEGNKFFGAGEYERALLQYETALQIAAELESVEDIRSACHSNRAVCFLKLGKYDETIKECTKALELNPSYLKALLRRGEAHEKLEHYDEAIADMKKIIELDPSNEQAKRSLFRLEPLAAEKREKMKEEMIGKLKDLGNSVLGRFGMSVDNFKAVKDPNTGSYSISFQQ >OGLUM10G13730.1 pep chromosome:ALNU02000000:10:17841812:17846306:-1 gene:OGLUM10G13730 transcript:OGLUM10G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCTRGAKPPRRVDPNISRPPARAAVLPTHTVSPGDGATASSHLSMAPVVAIVLCTLVTIAAILFLSFFGSWMAPVVSIDAAGAAGNATTTTISPSFDVAVRVKMRRFHLAPEAYARGSVAVSCAGGAVTARGELPDFLLYVTSPSVVSATARAAPPTTALSAGARGRLAGELRRGEVRLDVSVSYRRSEDDPGSMLSNGFRAMCAATASLGHGNSTATAAAAAAAACTVVKHMRGAKPPRVDPNVRPAKAPHVVVVVPADPAGDVATAPNTSSVERGVTVLLSVAFVVAVFGVLLFGVWMNPIVSIDGVSATGLDAAAGKNASAATTVSPSFDVAVRLRRRWFRLLPDTYTNGTVSVSFAGAGGAAVARGALRDVTLTAFSPSVVSATARAPPTALLAAGELRRGEEVRLDVTVSYDRSQVHEPYSPLSHGFRAMCAATALGGGNSTAAASCTVVRIPSPNDLSWFQ >OGLUM10G13740.1 pep chromosome:ALNU02000000:10:17849008:17849536:1 gene:OGLUM10G13740 transcript:OGLUM10G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEGEGEWGWMAEVAGEELEKLEAAHPGRFGPLKAELKRLIADPAAAAAATPLVSPHSDATVTSSSSQSDSVLRIVSTQESSSRKKRRCGGNGGAGGEQEGKRRRSAAAAAGKDRAEMAIERAERCLRRIRAFKASLLGFSD >OGLUM10G13750.1 pep chromosome:ALNU02000000:10:17850270:17856362:1 gene:OGLUM10G13750 transcript:OGLUM10G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nijmegen breakage syndrome 1 [Source:Projected from Arabidopsis thaliana (AT3G02680) TAIR;Acc:AT3G02680] MVWALTPVDTVRGAQRCYIFAAGTYKVGRKDCDVIVQTDTSISRVHAEIVVEKMVAWDPQSGAPANPSYVRVVDRSKYGTFFNKVQGTQGSRLHKDEDAMLADGDTVTFGTGNATFRLSFVPIVVFFHGKKSGRISPSLQAVMTSIGAYATRKWSDECTHVLVDESCSLTPELLDAVLTKKQIVLGDWFKVMAEKNIHTEMPSSTQYIPKLTLDGMEIQVVEIKLIESCLAGYTFILGSSEKYKFGDKLHALLESTGAKYLHVDEFCANSQDSGAGENDKEILLVPAKSPLEFSKIRGLFPLSKITDVKLFAAILSDIVASSNSTDETIVVDSDVEIDTATSDHTVAASKSEHHIEHISDDKKEVVAISEEDAVNLVEAKTSINLHSDQEKDEIVKPMEEDVKVIEKTATMRGFKVEGEDIPVMTKVPKDETLDSRDETCHVIYTQNLVVKSILQSARAESIETGGINFKRFRKRGAVSGNSFKDLILYSREPYRESDYERGTVTDFMREEKKRRQMEAIAEDLFNNAKPKKKAAAGSSIHTMLTGRR >OGLUM10G13760.1 pep chromosome:ALNU02000000:10:17854495:17858381:-1 gene:OGLUM10G13760 transcript:OGLUM10G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19950) TAIR;Acc:AT3G19950] MSNPAAYYAAVARKQYFCYQCNRTVLLPASAAAAGALSCPECRGDFIEEVNVPAPAIIPFPFAFPPMMPTATSASAAAAAAASPTQSSSSSAATSPSSDLSAFLNSMLGPLNLRTDERMPGTTSAAGTATPEDEPDGFDAVTFFQNYLQNLMDGGANIQVLLDDASVGLAPGIGRVGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSTLPDVVVTDAMVAAADGAECAVCKEDFSPGEGAKQMPCKHIYHADCIMPWLDLHNSCPICRFELPTDDPDYEGRKKSNPQPAAGVDAGAASGSSTAAEEREESGESARLVERRFNVSLPWPFSGLGSQTPQQDGSYGGAGFPLGSGIQRSTIFMCHCHLYTIDIKRPKKFTVFLSAGTIVHSCSRCPLGHVHKARKLPRQETSLLICCSGCVDRVPLFLPRYMYTRFQGQKVDLTLALSWVKLEDLFDR >OGLUM10G13770.1 pep chromosome:ALNU02000000:10:17861057:17869629:1 gene:OGLUM10G13770 transcript:OGLUM10G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKVQEEAGIETREEEDDESETKRERESGEIEGGGGEPSRKKRDPEAVSRFKQRSAALFAKLKEEFERKVAEHPDVDWSDSLVIDASSYRKDWDYVWSQAYGSFDKITPIPPMRYTTADPGPDYASEHDAVQIFCVKIRELRRGLQWPIHVFGLVAARDVIDHNRNIIFNRTRDDCQLTQEVPYLLLTGPTRAVVVVDPVDFEVALKVKGSIESEDKDLSFLAVQLTRISNISGTHLINKEYTSKLSTLELTFGYIVRSVEATINVRVIDGSWPEEGFSARITAHTSSRKDYRVLLLDSGDEMKKMSVTADGMIELSRRVVSVEFEGELEVSVAAFGSNCNYMEAEEKFTPKDDGESKAELDVGFRKLEVTVFWSLMSLNPDLSIEDPRPSFGYSISPSPPRQLRLLIVSSPARSSPIPSSPRAIRGAVARPAAASAESCLGGFNRIPMSHVRSASAAADAASDWQKVRAEWFARFKEEYERKVAEHPDVDWSDELAIDARHYRESWERIYARAYGPFDKSTSIPPMRYTAEPVPFDASEQYTLQIFCVKIKELRRGLQWPIHVFGLIAARDTIDHNRNMVFDRTRDDCQTLTQEDPYLLLTGPTRAVGVCDPVYLEAVLRVKGSTESEDEDLSFFTVPLTDVNRPRETCLITREYTSKLSTLELTFGYVVRSVEATIKARIVDGSWPEEDGSSARFTACTSSLKHNGVLLLDSGDKRRKMRVDADGVVGLSRRVVSVELEGELEVSVVTFDGSNICSKMEAEIRFVPEEVGESCVELDVGFCKMEITVAWSCLSLSCR >OGLUM10G13780.1 pep chromosome:ALNU02000000:10:17883219:17886808:1 gene:OGLUM10G13780 transcript:OGLUM10G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKRRRSHSPVEHLEGNNKEIEISGRKDDLRDLENDSSNARSGKGHEYVRHSDRHSSGAPRDSRRHDDYRRYHDKRGDDNDRGHRISRSERESRSDTYYDRTKRDGTSDRSRGDWRNDDKSLRREHRSKNQDKQEPSREYPRYDGEHDKYSDGKKQGHTSRRYPEEKESKYKETAKQEEALKKRTGKEIEKMSSVAEPEVGTREKRSLFSSVGPDFENAQLNDNADTSGKKPSLDCSNGVVLDNPTSGFTVNSVDAAKVAAMKAAELVNKNLVGFGVGAGRLSTDQKKKLLWGNKKSNPPESSAHWDSNLFPDRERQEKFNKLMGVKSSSSSSSAQESKVDGKDGSSSDAKKQEELDTDLEKHYIAGLRRRDGRTVGLGL >OGLUM10G13790.1 pep chromosome:ALNU02000000:10:17887277:17890798:1 gene:OGLUM10G13790 transcript:OGLUM10G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 5 [Source:Projected from Arabidopsis thaliana (AT5G23290) TAIR;Acc:AT5G23290] MASPPRIEVEKLSVEQLKALKEQTDLEMLVPLTASLYVPGTLDDSEKVLVDVGTGYFIEKTMTEGKEYCERKINLLKSNFDELVEMATKKKNIADEMGRLLQAKLRQSSPSPSS >OGLUM10G13800.1 pep chromosome:ALNU02000000:10:17893079:17903319:1 gene:OGLUM10G13800 transcript:OGLUM10G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVHGQRAEDSFGGFFSFDEDAASEDMSLDGLDEELEEHKDYDVLISILANGEKQRDMATLVEGNLGHAEQDLIQDYVEDSDNLVLLHDQIHDCDIILSQIGSLLTGFQVHIGSINSELRSLQERSLDISVRLKNRKLVETKLAKFVEEIVAPPSLVTVIIDGEINDGYAKSLEILSKKLRFSQVDPMINASNSLKDIKPELERLLEKALCKVSDYLTELFFSMRKPGTNIQILQQNMTVISQFPLHFLFIYYRSKLLLKWFQVLSAHFQVYIEALEKLKLDIGVSSDLTGYDTNIIDLISRGREHLRNHRFMFSLGERASILKMMWKNYLFSGPFKVINGHLDHTLRNYHDAVCLMLMICITRKHQVLIYLWPRFKTVFDMYLQSLYRCDTKLLWVDGSHPHHIVRCYVEFTASLIQLNAECGDGQLDMNLERLRLAVDNLLGRLAENFANPKTQHLFLLNNYDMTISVLKEAGDEANKLQSYFEEKLESNMISFADELLMEHFKDLIKFVRSRVSEDLILYTECPSIADVEPIVKNFAVTWKTALELMHNEVVTSCSNLLAGMEILKAAMVQLLNDYNRLSECVKIIPGGSTLNRNLVSITSISYEMRKYSITL >OGLUM10G13810.1 pep chromosome:ALNU02000000:10:17910946:17912406:1 gene:OGLUM10G13810 transcript:OGLUM10G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFLLTAAAAAAAIVVVTSAAASYDSPASLPAGVLSSPSFLWIAANVIVVWLVSSYRGHTAAGDGDGVGESGGGGVDVGLYTSSSGHGHDHDHDDMFAVAAVAADLVVVAAAPVVTTTVAKKKPSREARAAKRSTTDRPRARKAAASAAGGETTPARPGDCSPRDVSKALAGVVLQTPRGAAPAVGAALMDTVDVKRPIIVEEEWLTWDLAATAAEATAKPDVKKSVVEEEWPDWAFFVDDAKNPLVGEEEWSAWVLACTEEAKPAVVEKKPVVADEPWPSSWTIAATDVKMAVKKPVVVEDPWRPPPSSWTIEAPADGDKWAITFATDFSTPKPDVVAGAGAGAGDDDDDVSMDSMWQTILQSGRARPVTVPKSETWATTTDEQQPRRRERAVAAAVARREIRKSATATNMTPPPSPPHVRAPPAPARRPWRTRDVLPAMPNDELMRRAESLIRRHHEQLRLQRQESEQRQALELQRRRPLIRV >OGLUM10G13820.1 pep chromosome:ALNU02000000:10:17932090:17932684:-1 gene:OGLUM10G13820 transcript:OGLUM10G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGAIGLQAYLPINESSFLHWLCDTREKLVESQRRGFVTIATLVAWIIWKEKNNRIFNHQHKAWLEIARAISAEAELWRLANSAMPALLL >OGLUM10G13830.1 pep chromosome:ALNU02000000:10:17942483:17951925:1 gene:OGLUM10G13830 transcript:OGLUM10G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVDSAAAATGARATAAAAAAATTPRRQSPAGAGSPSQRDARWGDTSSYGARKKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHVIRGENARKMYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >OGLUM10G13830.2 pep chromosome:ALNU02000000:10:17942785:17951925:1 gene:OGLUM10G13830 transcript:OGLUM10G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVRSVRKSAALRPRGPGKLQPARSMPLDYRYSAAGGRKAANGVGARAAVAPEEEEEEEGVEGDADSPYSSQAATTEEVEEEGDGERGGGDAEVDSAAAATGARATAAAAAAATTPRRQSPAGAGSPSQRDARWGDTSSYGARKKHRVFCQLPNSDWALCTVITTSGDDSVLKLPEGKVLRLKTESLEAANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVPLYGNEYIDAYRNKTKDSPHVYAIADSALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGGGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFGERSYHIFYQLCAGAPASLRDKLNMKKADEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQDNVFTMVSAVLWLGDVSFTVIDNENHVEIVVDEAAETVARLLGCSIEDLNLALSKRHMKVNNENIVQKLTLSQAIDTRDALAKSLYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVEFEDNQNCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNNNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQFLAKCKSSLPQMFASKMLSQSDNPLPVPYRNSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHVIRGENARKMYSSLARKHRAAIILQRNLKCWLARRYFVNIRKASVVIQSGIRGCLVRRCAGNVDLLNVLREFESKKEAEGDQILIKASFLAELQRRILKAEATVREKDEENEMLQQRLQQYENRWSEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWESNGVHIGSASQLVPRTVGREMNASISVISRLAEEFEQRSQVFADDAKFLVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGSRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >OGLUM10G13840.1 pep chromosome:ALNU02000000:10:17952573:17954669:1 gene:OGLUM10G13840 transcript:OGLUM10G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYWGQRLWRPPAPDAATTVHLASLLQSCGRAGDLRRGRLLHARLVLSGAAAASTFLANHLITMYSHCADLASALRLFAAMPRRNAVSWTTLVSGLSQNLMHADALAAFAAMRRAGVAPTRFALSSAARAAAALGAPLPGAQLHCVGVRLGFDTELFVASNLADMYSKCGLLSEACRVFDQMPQKDAVAWTAMIDGYAKNGSLEAAVLSFRDMKREGLVGADQHVFCSVLSASGGLKDGWLSKSIHCCVTKTGFELEVAVRNALIDMYAKSMDVESASRVLKIDPGGWNVVSGTSMIDGYIETDCVEEALVIYVELRRQGVEPNEFTFSSMIKGCAMQALLEQGAQLHAQVIKTDLIRDSFVGSTLVDMYGKCGLISLSMQLFNEIEYRTDIAWNVVINVFAQHGHGREAIQAFDRMIYSGIRPNHIAFVSLLTACSHAGLVDEGLKYFHSMKEAHGIEPKEEHYSCIIDTYGRAGRLDEAYKFISEMPIKPNAYGWCSLLGACRMRGSKELGEVAAQNLMKLEPGNTGIHVSLSGIYASLGQWEDVKAVRKLMRDSRIKKLPGFSWVDSNKKIHVFGSEDWSHPQQKDIYEKLEELTTRIKEEGYIPDTSFLPCNLEDIAKERILRYHSERIAVAFALISMPATKPIIVKKNLRICIDCHTAFKFICKVERRDIIVRDNSRFHHFVNGRCSCGDYW >OGLUM10G13850.1 pep chromosome:ALNU02000000:10:17956698:17958185:-1 gene:OGLUM10G13850 transcript:OGLUM10G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYAEEKIERKTSRSSLVHKKGKKNSIIYRMSKLSQKTDSYVQGFKEHITLGPKISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVDKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGGITRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFEYLQRAWGLWILMCDQW >OGLUM10G13850.2 pep chromosome:ALNU02000000:10:17956698:17958185:-1 gene:OGLUM10G13850 transcript:OGLUM10G13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSQEHVIGIPLASFAYAEEKIERKTSRSSLVHKKGKKNSIIYRMSKLSQKTDSYVQGFKEHSEYSICDALLPVRSFVCMDQAITYSQPLSFVLAVTLGPKISDTLKGKLSLGAKVLQAGSIDKVFRQYFQVDKDEKLLKAFQCYLSTTAGPIAGMLFISTEKIAFHSDRPLDLTSPKGGITRVPYKVLIPAKRIKSAAVRENLYNPDEKYIDVVTVDGFDFWFMGFISHTKSFEYLQRAWGLWILMCDQW >OGLUM10G13860.1 pep chromosome:ALNU02000000:10:17959888:17967211:1 gene:OGLUM10G13860 transcript:OGLUM10G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAGGADGGNGHLPRPRRARRGGGGGGGMGSPPPGPPPPPCTDYDMAYFKAYSHIGVHEEMLKDHVRTNTYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAFAGAARVYAVDASDIALQAMEIVRENELSDKVIVLHGRIEDVEIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYLAPITNSHRYQDSVYFWQDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPSVVAQVDCYTIQAPELETITATFNYTSMLQAPLHGFAFWFDVEFNGPVRQRSKKQANQCLDGNTQDASPSNKKKKADAPIVLSTAPEDAPTHWQQTLLYLFEPIELKKDQNIEGSVTISQSQQHARFLNICLKYFTRDQWYVKESVMK >OGLUM10G13870.1 pep chromosome:ALNU02000000:10:17965413:17971505:-1 gene:OGLUM10G13870 transcript:OGLUM10G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BC09] MSASAGGGGGGGGKRTVADVLMGNARDAARKAKKGAAPSPKKLKTQPQPAAEADGGAVAKPEEKPHSPVKPKRSSSPTKSKSPGEGKRSESMAAGAKPEAKEKPSPKKPKTLGAKSDTKCSAEGVEKEKRRSPSPTKAKALASESQDIKKPPSPKKTKAMDAPKSEEKDTTLELKKKGSEFNPMAAAYWNPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVIATTPEDLLATVYLSANRIAPPHEGTELGIGDASIIRALAEAYGRREEHVKKNLKELGDLGLVAKASRLSQKMMYKPKPLTISHVLAKFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSEKHSPPSKIQSPFEEAAKIIKQVYSVLPIYDKIVPAILEVGVWKLPEICSFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCLEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTISDIKVSVCTFGFDILYINGKPLLQEQLKVRREHLYNSFEEVPGVFQLATSITSNDLEEIQKFLDTAVSSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFHGRGKRTGVYGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSVSLRTKAIPKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRVRDDKNPEQATTSEQVADMYRAQKIYHANNNNDDEDDD >OGLUM10G13890.1 pep chromosome:ALNU02000000:10:18012663:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVVSSQSLTITTPPPPPREMLPAGWCLDSGDCGCCRTGSLCSLSWPCMTPTILAALSAACVKPAGKALPEKKLSRLSFSHSLAIMPRMCSSASLAASPGFSLSEARALLLCADKIKDVIHNVDKGGDLCELNPQLVELEVCKSKLLHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >OGLUM10G13890.2 pep chromosome:ALNU02000000:10:18012663:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVVSSQSLTITTPPPPPREMLPAGWCLDSGDCEKQHPQSSRTGSLCSLSWPCMTPTILAALSAACVKPAGKALPEKKLSRLSFSHSLAIMPRMCSSASLAASPGFSLSSLLSWKSARASSSIAVHLVGKVCR >OGLUM10G13890.3 pep chromosome:ALNU02000000:10:18012633:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTILAALSAACVKPAGKALPEKKLSRLSFSHSLAIMPRMCSSASLAASPGFSLSEARALLLCADKIKDVIHNVDKGGDLCELNPQLVELEVCKSKLLHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >OGLUM10G13890.4 pep chromosome:ALNU02000000:10:18012663:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVVSSQSLTITTPPPPPREMLPAGWCLDSGDCGCCRTGSLCSLSWPCMTPTILAALSAACVKPAGKALPEKKLSRLSFSHSLAIMPRMCSSASLAASPGFSLSSLLSWKSARASSSIAVHLVGKVCR >OGLUM10G13890.5 pep chromosome:ALNU02000000:10:18012663:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFSHQPERDGGIEQVAAMGELLEVGIHGAVDLVLEVVVDAEALQVVDGGHPLPAAVRRTTPLTPPLMQQHLLHVVRQAIQALHEALQMHAFPKHKRLESQNSQSRFSTNFNHF >OGLUM10G13890.6 pep chromosome:ALNU02000000:10:18012639:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTILAALSAACVKPAGKALPEKKLSRLSFSHSLAIMPRMCSSASLAASPGFSLSEARALLLCADKIKDVIHNVDKGGDLCELNPQLVELEVCKSKLLHCSAPGGQGLQVAEVVPGLVLHQADMVGMFQ >OGLUM10G13890.7 pep chromosome:ALNU02000000:10:18012663:18017104:1 gene:OGLUM10G13890 transcript:OGLUM10G13890.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCFSHQPERDGGIEQVAAMGELLEVGIHGAVDLVLEVVVDAEALQVVDGGHPLPAAVRRTTPLTPPLMQQHLLHVVRQAIQALHEALQMHAFPKHKRLESQNSQSRFSTNFNHF >OGLUM10G13900.1 pep chromosome:ALNU02000000:10:18013595:18017724:-1 gene:OGLUM10G13900 transcript:OGLUM10G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVFFSLEPSLLPVAAAAAPAAGDGGRRRGRRRADGRFRPSPAIHPGRPELASHLMLPNELDIQGFMERLDGLTNDVQEMLLHQRRRQRSSTANGGGGGDGGARERMATVDHLKRLCIDHYFQDEVDGAMDAHLEELAHGGDLLDATIAMAYSKDIRGLLSLQDISHMNIGAEASLYKAKEFTSRNLQSVIDYLEPGLARYVRQSLEHPYHVSLMQYKARHHLSYLQTLPTRCTAMEELALADFQLNKLLHQMEMQEIKRWWMNLGLAQEIPVARDQVQKWYVWIMTAFQGASFSRYRIELTKIASFVYIMDDIFDLVSTQEERSCFTQAIKMWDFAAADSLPSCMRSCYRAIYTVTNDIADMVEREHGVNPINHLKKAWAVLFDGLMTEAKWLTDSHVPASEDYLRNGVITSGVPLMFLHLLFMLGHDAAELIDNIPPVISCPAKIFRLWDDIGNAKEGLDGSYKELYLRENPGLAASEAEEHMRGMIAREWEKLNRESFFSGRAFPAGFTQAALNAARMVGVMHGHDSEQRLPVLEDYLRMLLF >OGLUM10G13910.1 pep chromosome:ALNU02000000:10:18023263:18023862:1 gene:OGLUM10G13910 transcript:OGLUM10G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAMAAMAIFLLAALSATHLASSLRPGAGAVAGGACRPSGYLPGKSGNCEKSNDPDCCEDGKAYPQYRCSPPVTAATGAVLTLNSFEKGKDGGGPSECDNAYHSDGELVVALSTGWFAGMARCGHRVRITASGGSGRSVVAKVVDECDSVHGCDGEHNYEPPCDNNIVDASPAVWDALGLDKSVGMEHITWSDTDE >OGLUM10G13920.1 pep chromosome:ALNU02000000:10:18027317:18028117:1 gene:OGLUM10G13920 transcript:OGLUM10G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQRMKIHPVDLEAGGRHRPTAPLVPGGSFRSDKGDPAAQPQQPPSHGHLPPPPPRRVAPPVPPLPPPKRRRGCCCRLICCVLVTVVLLAVLAAAAVGALYLVFDPKAPRYSVDRLSVSAFQVDPTLTATARFDVTVTATNPNARIGIYYEAGSSLSVWYDAHRLARGALPAFYQGHRNTTVLAVVMAGQAQLGGAVMSALQDAQRTGAVPLVFRADVPVRVELGSLRLWKVTSRVRCDLVVDSLGVNNPVNIKASNCKFSLKL >OGLUM10G13930.1 pep chromosome:ALNU02000000:10:18029854:18030108:-1 gene:OGLUM10G13930 transcript:OGLUM10G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPTRAKQLSLLAIAAAAAAPPLLRLRRRLSPTLRNLFSSLLVSRGVHRVAACARIWARRSLAIGPRFLGPGAGWGDLGLG >OGLUM10G13940.1 pep chromosome:ALNU02000000:10:18029917:18035150:1 gene:OGLUM10G13940 transcript:OGLUM10G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLPTPPLLIPDTIATRAVVSKFTISLDPIFIFPTKTLNSPPKLPSFTSISGNGGGGGGSGDDSISSPHSTTSISNALKLLMSSFGWKLTLTHPSSSSLSWSASPTKSTSRSISTAPNSSASPRAGSAPTPRAKTRSSFSGRVAGGAPRAAPSAAATRARMPSGAAAAHASLDGLRADRSTQAARERKAQAKSTPGSGARRDGHRTAGWAARRRCSAARWGRLVESGAPWSGRLESRNRSSAATRRRRDRSAGCRCREGDAATRSCSSRDRARASPSSSETGGAAMSRPVSASSWGRSGVAAWAQMGRSIWAKRPGGPRDCDV >OGLUM10G13950.1 pep chromosome:ALNU02000000:10:18031245:18035033:-1 gene:OGLUM10G13950 transcript:OGLUM10G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSDELGLARARSRELHDRVAASPSLQRHPALRSLLRLVAAELRFLDSSRPDQGAPLSTNLPHLAALHLLLAAHPAVRCPSRLAPLPGVDFACAFRSRAAWVLLSARNPSRLAWAAAAPDGIRARVAAALGAARGAPPATRPEKLLLVFARGVGADPARGLAEEFGAVEIDLLVDFVGEADHDSEEEEGWVSVSFHPNEDMRSFRAFEIDVVECGDEMLSSPLPPPPPPLPEMEVKEGSLGGEFSVFVGKMNMGSREMVNLDTTALVAIVSGISNGGVGKLMSIPEAETRARFKCNYKFVMDQAHSELQSPILVELGNAVDGKKCIICETVNSEFKEIVTMCGGPEEQTRSSQLLKRLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTVFTGAASTISIPHTDEQILFPHQKEEQHMITEESDCPFQPKPPVYQAPGSQEKSKYCSTTNGGLSNVSDLQQARDLPLALVVPS >OGLUM10G13950.2 pep chromosome:ALNU02000000:10:18031851:18035033:-1 gene:OGLUM10G13950 transcript:OGLUM10G13950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPVSDELGLARARSRELHDRVAASPSLQRHPALRSLLRLVAAELRFLDSSRPDQGAPLSTNLPHLAALHLLLAAHPAVRCPSRLAPLPGVDFACAFRSRAAWVLLSARNPSRLAWAAAAPDGIRARVAAALGAARGAPPATRPEKLLLVFARGVGADPARGLAEEFGAVEIDLLVDFVGEADHDSEEEEGWVSVSFHPNEDMRSFRAFEIDVVECGDEMLSSPLPPPPPPLPEMEVKEGSLGGEFSVFVGKMNMGSREMVNLDTTALVAIVSGISNGGVGKLMSIPEAETRARFKCNYKFVMDQAHSELQSPILVELGNAVDGKKCIICETVNSEFKEIVTMCGGPEEQTRSSQLLKRLIVVPDSPSARMMDLPTTRKLAMKNKVVFGTVFTGAASTISIPHTDEQILFPHQKEEQHMITEESDCPFQPKPPVYQAPGSQEKSKYCSTTNGGLSNVSDLQQARDL >OGLUM10G13960.1 pep chromosome:ALNU02000000:10:18035219:18039695:1 gene:OGLUM10G13960 transcript:OGLUM10G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGKNTQLDLETEGSSSPAATQKMKVKMEDSESKIESPTPEKSESRRNGVVISSLARNLLAERYKDRFAAQLLGDEDEDETDDEDDSSSTSPGGSSPSVSESIDISGTSPKDKSNDLLEKHNNLLNLFNRMVSSIRLLHLRKKMTTFKNIATQVEILTKREFLYTHLAQMKHLFPEAIQIKKILLHDEKSLCMYADMEITILMDTVESSSPDQSLSMAICEAFHSKLLSFLDAHHKDIDIPEAMLPEPFNSRDKLHLKAPLDGHSAEPLLQSSNRNELLNASHFPCSFQKLMSQKIIADGTDRTKLLSDPAELSMLSADDTEGPKRSSNKQDQHASIPFKTDISSTPNRHFISSCQGSTPKQGTLLHSPLMAETPAMQTPRRPLPTQIEKLETTSGQTSEARSASSARRSLKMFSPSKIQECSSDHDGAILTLEHEVTAGKCLFPDETHNFTNSLEENNPAICFADVDKTKEVDPAESQEKIASLQLTFDIVCDISRSTKNSLITKQELFYNILANNLEIEETGEIEEQLHILEDLSPDWISKKVIPGGDILYSIKEIADQKSVRARLVEVI >OGLUM10G13970.1 pep chromosome:ALNU02000000:10:18042862:18043503:1 gene:OGLUM10G13970 transcript:OGLUM10G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAMTMSRRRLSHALLLVLAILPNLAALAVAAAGGSGGGGFFPHRSLLQSSSCQPSGAITGTSGDCNADNGSECCQDGVQYTTYACSPPVAAGGTGTAALLTLNSFADGGDGGGAPSCTGRFYDDGQLVVALSTGWFDGRSRCEKDVVIRASGGASVTAMVVDECDSQRGCDSDHNFEPPCRNNIVDGSPAVWDALGLNKDDGEAQITWSDA >OGLUM10G13980.1 pep chromosome:ALNU02000000:10:18044384:18044629:-1 gene:OGLUM10G13980 transcript:OGLUM10G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELEASSGHGWFPRVGLERCGEAPDGCHRELFVVSGMGEVLAAVDLVTGVEKPMAAKFAAEVGGLGKCRRRRSSTPPVS >OGLUM10G13990.1 pep chromosome:ALNU02000000:10:18044671:18044970:-1 gene:OGLUM10G13990 transcript:OGLUM10G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARAMAAMAIFLLAALSATHLASSLRPGAGAVAGGACRPSGYLPGKSHSACSLPSRTMQPSQASSTVNCLRRHGRPPQDAVELDAELPIGSDLGHPR >OGLUM10G14000.1 pep chromosome:ALNU02000000:10:18045614:18048897:-1 gene:OGLUM10G14000 transcript:OGLUM10G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANVKVLVVLALLQLMSLHAVVHGSDNGGVSAVATGKHEPKPKQRGGGGGGDGGCHISGFLHGKAGKCNRAHGSDCCVAGRRYPQFRCSPPVSSARPTPATLTLNSFARGGDGGGRSSCDGRFHPDTAMVVALSSGWLRLDGARRCNRMIRVAAGNGRSALARVVDECDSVNGCDAEHNFEPPCPNDVVDGSPAVWKALGLDEGVGEFKVTWSDAMSLLSTSPTIHGVSDAAASCHASGYVYLHGNETRCPKGSHDCCVAGERYPRFRTTPAILTLKVFDHGEDDGGVPTSCDMRFHRNTELVVALSSGWLRLGGGRRRCHRRIRVFAVAGAASGRSSVVARVVDDCDSVNGCREEDGFAPPCRNNAVGGSPVVWEKLGLNASVGEFEVVWSCLKNAKEEWVH >OGLUM10G14010.1 pep chromosome:ALNU02000000:10:18054739:18055425:-1 gene:OGLUM10G14010 transcript:OGLUM10G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFHVAALTALMAATAAGAVTFDATNTASSTAGGQRFDREVGVDYAKQVLADASSFIWDAFEQPGDGGDRKPVDAVTLTVEDIDGVAFTSGDAIHLSARYVGGYSAAGDVKAEVTGVLYHEATHVWQWDGRGGADGGLIEGIADFVRLRAGYAPPHWVQPGQGDRWDQGYDVTARFLDYCDSPAVVQGFVAQLNGKMKDGYSDDFFVQISGKTVDQLWQDYKAKYGG >OGLUM10G14020.1 pep chromosome:ALNU02000000:10:18057510:18071941:1 gene:OGLUM10G14020 transcript:OGLUM10G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHVVAAILLAVAASSSSPPAAGAVTYEVNNEAASTAGGQRFDREYGAGYAKQVLAAASSFTWSIFSQPSAADRRPVDAVVLAVRDVDGIASTSGNTITLGAGYVAGVTGNDFKTQHPLFEKNKISSIPRSEAFFYFALRLLCHVDALHSFSLSSGDLPSWDILLLVPTVARAHDATKAATLQVTGVLYHEVVHVWQWGLQDYGAHSWVYEGIADFVRLRAGYPAAGWVQPGQGNSWEDSYSVTARFFDYCDSVKPGFVADLNAKLKDGYNVDYFVQITGKTVQQLWQDYKAKYGN >OGLUM10G14040.1 pep chromosome:ALNU02000000:10:18081321:18081509:-1 gene:OGLUM10G14040 transcript:OGLUM10G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPVDLITGATLTLEVEPSDTVESVKLQLQEKDGLPPCQQRLIFEGRQLDDGDTVASCTRT >OGLUM10G14050.1 pep chromosome:ALNU02000000:10:18102084:18102521:-1 gene:OGLUM10G14050 transcript:OGLUM10G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFWLRYDGKQMDEVGGRMLADYGIHDPSTEMEIFVRGLMGNTRTLWVKPSDTVKSVKLKDGDTLASCGIRKYSNMNLCYHFADSCDCICAHIETQRLIQKHQTLAQSHSTQPATEMQIYV >OGLUM10G14060.1 pep chromosome:ALNU02000000:10:18103863:18104472:1 gene:OGLUM10G14060 transcript:OGLUM10G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTLAVMGRRNMDREADTLHLADGATCRGGRTPATLLAVRREEEGGHRRRQSRPTQKGGPDEAPLVEQPRAHDAKEALDRMPMRLALARSPFRHGCLLVLIYTITTPHLSRRVGRLISVTGIGDRGVAISMFHYCIWLKHFYSSVETFLSGSETSETIFIER >OGLUM10G14070.1 pep chromosome:ALNU02000000:10:18105862:18113053:1 gene:OGLUM10G14070 transcript:OGLUM10G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTASFFKFRPCAAFLTACRLLVSYPSTDEIASTTTCKVLTVVRTPLTQNRPSTLPEQGKYRTGNIEYFISPFQTTCHRFLNGQHRQFLVECRDALGMPSKLPLRPCSALHPLQTAEASACKCLEFEGA >OGLUM10G14080.1 pep chromosome:ALNU02000000:10:18106061:18106579:-1 gene:OGLUM10G14080 transcript:OGLUM10G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVMMQIFVKGLMGKTMALRMEPSDTVESVKVKLVDKEGLPPDQQRLIFQGRQLDDGHTLTYYGIQEESTLHLLGRPCGGGGGNKKRKKKAYATPKKGKHEHRKEELAVLRHYRVDDVTGKVERLRLMCPNPECKDVSALMAKHHDRLTCGKCGLTCFTEKWRGDVSKVDT >OGLUM10G14090.1 pep chromosome:ALNU02000000:10:18107313:18117279:-1 gene:OGLUM10G14090 transcript:OGLUM10G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPAPAPLLFAPLKPLPLLRFPPRRPRASSSSASSVGARLRAGRRGLRLLCRAAVGAGEEVFGPRRELAGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLESGEVEALATKYGVSTQDAAFKAELCDLYARFLYSVLPPGDEDLKGDEVQTIIKFKSALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKIILAFNSLLITLSKHPDQDRFVRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNEAVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGIRDEKAGQIRDAASAGTLSVATEEEDELLSTVQLAAALSQHLTPRTINN >OGLUM10G14090.2 pep chromosome:ALNU02000000:10:18109214:18117279:-1 gene:OGLUM10G14090 transcript:OGLUM10G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MELALASAKPSPAPAPLLFAPLKPLPLLRFPPRRPRASSSSASSVGARLRAGRRGLRLLCRAAVGAGEEVFGPRRELAGVQPLVEALPPAARTAAELAVAAAAVAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGCDDPTKLESGEVEALATKYGVSTQDAAFKAELCDLYARFLYSVLPPGDEDLKGDEVQTIIKFKSALGLDDVDAANMHMEIGRRIYRERLETRDRDADMEQRRAFQKLIYVSNLVFGDASTFLLPWKRLFGVTDSQIDIAMRENAKILYASQLKSIGRGLDIGTLIEVRRAQLAYKLSDEIAAEMFKEHAKKLVQENISSALDIVKSRTKAANSPTQVIEDVKIILAFNSLLITLSKHPDQDRFVRGLGPISLGGESDHDRRADDLKLLYRAYATEVLSDGHLDDEKLAPLNQLRNIFGLGKREAESIMSDVKAQVYRKRLAKSFNSELAAAPSKAAFLQNICEELQFDPELASKMHEDIYRQKLQQFVADGELNKDEVEALMAFQVRLCIPQETVDAVHSEICGKLFEKVVVEAISSVDGYDTNRRQAVKKAAQGLNLKNEAVMAIFSKVVRKLFLNYIQRAKAAGNRIETAKELKKMISFNTVVVSELLADIKGEPTTAEAQTSDATSEPEVSESEGDDEYEWEQLETLRKTRPDKELREKLAKSSQKEITLKDDIPLRDRAELYETYLVFCVTGETTNVSFGTAISTKKDNSEYLMLKQLGDILGLTRKEAQNVHIKMAEKAFVQQAEVILADGKLTEAKADQLAKIQKQVGLPTEYAQKIIKNITTTKLSSAIEASISRGQIGIQQVRGLKEANFQLDSLIAEPLRENIYKKSVEEIFSSGTGEFDEEEVYVKIPADLIINAEKAKSIVQDIAKIRLDNALVQAVALLRQKKKDEVVASLNDLLACDAAVPASKPLSWPTSGELDDLYGIYMKSIPKPEKLSRLQYLLGIRDEKAGQIRDAASAGTLSVATEEEDELVF >OGLUM10G14100.1 pep chromosome:ALNU02000000:10:18122954:18126353:-1 gene:OGLUM10G14100 transcript:OGLUM10G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEWTLRPLPSGDGDGAAAAPRCTTNSTATAFVLSTGGFTGNPFHDYTDVLIPAFITAHRFGGEVQFLVSSYKSWWMNKYIQIFQQMSRHDVVDVDADGGEVRCYRSAVVGPEFHRELGVDPTKTPSGYSVLDFRKMLRGAFGLDRATATPSGDRWDIRRRPRLLIISRRAARGRAFMNERAMADMAVSLGFDVRVGEPDASTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVLVQVVPYGKLEWLARNTFAEPSSAMEIHYLEYAVQLDETTLSEQYPADHPVLRDPMAIHKQGWEALKTTYLDKQNVRPHLGRLKNTFLQALKLLPHDKETMN >OGLUM10G14110.1 pep chromosome:ALNU02000000:10:18127963:18132588:-1 gene:OGLUM10G14110 transcript:OGLUM10G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPSWRAARWRPSRANTALAQRMQPSRPSSVICMAGYEDLEGTEVEAIIKFKKALGLNDIDAANVHMEIAKRIDRNAGVGQQQAFEKLIFVTNLVFRDAYEYLLPWKRLFGVHESQIDDVMRESAKSLYASLLKSIGRGLDIGTLIEVRRSQLAYKLSDEIAAEMFREHAKKLVEENISTALNNLNNRTQVVEEVKSILSFNGMLTVLSNFPGEERFVRGLGPITLGGDSDHEKRVEDLKMLYSAYAMEVLSDGHLNDDKLAALNQLRNIFGLAKYEAEAIITDVKARVFQTY >OGLUM10G14120.1 pep chromosome:ALNU02000000:10:18136795:18139741:1 gene:OGLUM10G14120 transcript:OGLUM10G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRCETTWVWVVMVVVVVILAAAMAAVVEGVGSPEPELEALRDERGGLVALRDALRSGRDLHSNWTGPPCHGGRSRWYGVACDGDGRVVGVQLDGSQLTGALPAGALAGVARLETLSLRDNAIHGALPRLDALARLRVVDLSSNRFSGPIPRGYAAALGELERLELQDNLINGTLPAFDQDGLAVFNVSYNFLQGEVPDTRALRRFPATAFAHNLRLCGEVVRTECRRESSPFDAAPAGGGGSGSDGGDRVFGARDAAAPPARWRKPIRFRIARWSVVVIALIAALVPFAAVLIFLHHSKKSRVVRLGGGRAAAAAAATAGKHATTPLHFARRRRRLSSTFSTSLDMTVAGDIKDKAAEQAGNNKVSSGSGSGSRSTTESGKGAADQLQFFRPEKATFSLDELFRSTAEMLGKGRLGITYRVALHAGGGPVVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKDEKLVVYDHVPGRSLFHLLHAKGVARGLAYLHQTLPLFHRPPHGNLKSTNVLVVFPAPGSRRGGGGDAAPVAKLTDYGFHPLLPHHAHRLAAAKCPELARGRRRLSSRADVFCLGLVLLEVVTGKVPVDEDGDLAEWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAVDPERRPKAHDVVRMIDEIAAGSAAAAGDGEATAGRELALR >OGLUM10G14120.2 pep chromosome:ALNU02000000:10:18137055:18139741:1 gene:OGLUM10G14120 transcript:OGLUM10G14120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTRCETTWVWVVMVVVVVILAAAMAAVVEGVGSPEPELEALRDERGGLVALRDALRSGRDLHSNWTGPPCHGGRSRWYGVACDGDGRVVGVQLDGSQLTGALPAGALAGVARLETLSLRDNAIHGALPRLDALARLRVVDLSSNRFSGPIPRGYAAALGELERLELQDNLINGTLPAFDQDGLAVFNVSYNFLQGEVPDTRALRRFPATAFAHNLRLCGEVVRTECRRESSPFDAAPAGGGGSGSDGGDRVFGARDAAAPPARWRKPIRFRIARWSVVVIALIAALVPFAAVLIFLHHSKKSRVVRLGGGRAAAAAAATAGDIKDKAAEQAGNNKVSSGSGSGSRSTTESGKGAADQLQFFRPEKATFSLDELFRSTAEMLGKGRLGITYRVALHAGGGPVVVVVKRLRNMGHVPRKDFAHTMQLLGKLRHENVVEVVACYFSKDEKLVVYDHVPGRSLFHLLHAKGVARGLAYLHQTLPLFHRPPHGNLKSTNVLVVFPAPGSRRGGGGDAAPVAKLTDYGFHPLLPHHAHRLAAAKCPELARGRRRLSSRADVFCLGLVLLEVVTGKVPVDEDGDLAEWARLALSHEWSTDILDVEIVADRGRHGDMLRLTEVALLCAAVDPERRPKAHDVVRMIDEIAAGSAAAAGDGEATAGRELALR >OGLUM10G14130.1 pep chromosome:ALNU02000000:10:18140729:18142210:1 gene:OGLUM10G14130 transcript:OGLUM10G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSILSLGKLYQDMSTPGGLVAVSLAHALALAVAVAVAVNISGGHVNPAITFGALLGGRLSLIRALFYWFAQLLGAVVATLLLRLTTGGMRPPGFALASGVGDWHAVLLEATMTFGLMYAYYATVIDPKRGHVGTIAPLAVGFLLGANMLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFVGAGLAGLLYEYLVIPSADAAPHGGAHQPLAPEDY >OGLUM10G14140.1 pep chromosome:ALNU02000000:10:18143100:18145874:-1 gene:OGLUM10G14140 transcript:OGLUM10G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHLPVSPSSSHHLLDAAPTPASPAHAQHRRRRRRRMCGGGRGRGGGAHQVRCCAAAAPQPRVATGAGLRGAAATTRVFVVSDLHTDYRENMDWVLRLPVGGGGGGGDGVGIDALVVAGDVAETRDNFARTMAALRERFGAVFYVPGNHDLWLRRENGRYMDSLEKLTALLDACSELGVDTGPRIIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACQWPPDLANEDEALALYFDKLNDRNQDAIEEVKKSSKQILTFSHFVPRQELCPEKRMLYYPNLPKVIGSDFLERRLRTIHDNTKHGAACHVFGHTHFCWDSVVDEIRYVQAPLAYPRERKRRMNGGDGWLPFCIYRDGFNPEIYPALWSDYYNKNRREPENTQLAPWVAKYFSKYY >OGLUM10G14150.1 pep chromosome:ALNU02000000:10:18147393:18151086:1 gene:OGLUM10G14150 transcript:OGLUM10G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BC45] MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTDKITLDVAPHSCRMLLLKPLISSRALRASSP >OGLUM10G14150.2 pep chromosome:ALNU02000000:10:18147393:18151139:1 gene:OGLUM10G14150 transcript:OGLUM10G14150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BC45] MAAAAAVLLAVACAAAAMIAGGECGRVVHVGEEHRRSMLANGLATAPPMGWNSWNHFACDGNGEDVIRETADALVSTGLAAAGYKYVNIDDCWAEPQRDSKGNLVANKKTFPHGIKALADYVHSKGLKLGIYSDAGFKTCAKVQPGSLGHEEQDAKTFASWGVDYLKYDNCNNGDLKPLERYPEMSKALMMAGRPIYFSLCEWGDMHPAKWGAAYGNSWRTTNDIADTWESMVSRADENEVWADYARPGGWNDPDMLEVGNGGMTNDEYIVHFSLWAISKAPLIIGCDVRHMSQETYDILANKEVIAVNQDALGIQGKKVRMEGSSEVWAAPLSGYRTAVLLLNRHAAEAAAITAHWDDVGLPAGTAVEARDLWRHATVAGAGGELTDKITLDVAPHSCRMLLLKPLISSRAN >OGLUM10G14160.1 pep chromosome:ALNU02000000:10:18156726:18157980:-1 gene:OGLUM10G14160 transcript:OGLUM10G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPIIRHLLPLLMVLLLISCLTGNSCYMDDLPIVPHMEGLLMIHHMEDLPLIHHMEDQYPQYGRPANDPPYRRPANDTSYGRLNNDGPRDPYTVYPVEYFSKREYRSGSSKGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVELRIPNSYLESVTVCHWCWWCQSS >OGLUM10G14170.1 pep chromosome:ALNU02000000:10:18157995:18173539:-1 gene:OGLUM10G14170 transcript:OGLUM10G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGADEVTPNLYTYGILIGSCCRAGRLDLGFAALGNFIKKGFRVEAIAFTSLLKGLCADKRTSDAMDIVLRRMTELGCIPNVFSYNILLKGLCDENRSQEALELLQMMPDDGGDCPPDVVSYTTVIDGFFKEGDPDKAYSTYHEMLDRGILPDVVTYNSIIAALCKAQAMDKAMEVLTTMVKSGVMPNCMTYNSIVHGYCSSGQPKEAIGFLKKMCSNGVEPNVVTYSSLMDYLCKNGRCTEARKIFDSMTKRGLKPNITTYNTLLQGYATKGALGEMNALLDLMVWNGIQPDHXRGTSAALHRWLGRRCPSRRGPCCAVGRLDDGGVFRRRSPPWRRCYSVDPFLFLTVLRVKTLLRLPDETVAATTSCPSWGHRFGEAFSYKDIVVGAAMSLGGKLGNDDTIAKSEPPILLLVRAARIGELRTRAGMARRAASRALRSEGSIQGRGGRAGGGGGGAEDARHVFDELLRRGRGASIYGLNRSLADVARGSPAAAVSRYNRMARAGADEVTPDLCTYGILIGCCCRAGRLDLGFAALGNVIKKGFRVEAITINPLLKGLCADKRTSDAVDIVLRRMTELGCIPNVFSYTILLKGLCDGNKSQEALVLLHMMADDRGGGSPPDVVSYTTVIDGFFKEGNLDKAYSTYHEMLDRGILPNVVTYSSIIAALCKAQAMDKAMEVLTTMVKSGVMPDCMTYNSIVHGYCSSGQPKEAIGFLKKMRSDGVEPDVVTYNSLMDYLCKNGRCTEARKIFDSMTKRGLKPDITTYGTLLQGYATKGALGEMNALLDLMVWNGALGEMNALLDLMVWNGIQPDHHVFSILICAYAKQDKVDEAMLVFSKMRQQGLNPDTVTYGTVIDVLCKSGRVEDAMLYFEQMIDEGLSPDNIVYNSLIHGLCTCNKWEKAEELILEMLDRGICLDTIFFNSIIDSHCKEGRVIESEKLFDLMVRKMDEATKLLASMVSVGLKPDTVTYNTMINGYCKISRMEDALVLFREMESSGVSPDIITYNIILQGLFQTRRTAAAKELYVSITKSGTQLELSTYNIILHGLCKNKLTDDALRMFQNLCLMDWKLEARTFNIMIDALLKVGRNDEAKDLFAALSSNGLVPNYWTYRLMAENIIGQGLLEELDQLFLSMEDNGCTVDSGMLNFIVRELLQRGEITRAGTYLSMIDEKHFSLEASTASLFIDLLSGGKYQEYHSPIRGGIFSVCVNSEVQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHQLTTRLVVPSNKVGCILGEDGLGAEIPVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRL >OGLUM10G14180.1 pep chromosome:ALNU02000000:10:18193098:18202730:1 gene:OGLUM10G14180 transcript:OGLUM10G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MARASSSSSPPSPTRLRLLLLLLVAAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLTGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYVLTPN >OGLUM10G14180.2 pep chromosome:ALNU02000000:10:18193098:18200645:1 gene:OGLUM10G14180 transcript:OGLUM10G14180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MARASSSSSPPSPTRLRLLLLLLVAAVAATLLPEAAALGNFTAESRGARWRSRRARRRAFENGLGRTPQMGWNSWNHFYCGINEQIIRETADALVNTGLAKLGYQYVNIDDCWAEYSRDSQGNFVPNRQTFPSGIKALADYVHAKGLKLGIYSDAGSQTCSNKMPGSLDHEEQDVKTFASWGVDYLKYDNCNDAGRSVMERYTRMSNAMKTYGKNIFFSLCEWGKENPATWAGRMGNSWRTTGDIADNWGSMTSRADENDQWAAYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKNILSNSEVWAGPLSNNRKAVVLWNRQSYQATITAHWSNIGLTGSVAVTARDLWAHSSFAAQGQISASVAPHDCKMYVLTPN >OGLUM10G14180.3 pep chromosome:ALNU02000000:10:18202024:18202597:1 gene:OGLUM10G14180 transcript:OGLUM10G14180.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-galactosidase 2 [Source:Projected from Arabidopsis thaliana (AT5G08370) TAIR;Acc:AT5G08370] MPMEIEAQEARCSVRKKKKKRAKAKPMADKGLKKRKGKESKTTKLNDDEAKDEITAAPAPETVTMELDLIEHYLVGEVARYMTGALLCPILHLKHLAPFEKDVEFSANILKQRRLINGPVELQMDPITTSDDHHDDELIDRRGRMNWRTPEERAKRMLEEEQEELEDEARWKNKLKEEA >OGLUM10G14190.1 pep chromosome:ALNU02000000:10:18217196:18221069:1 gene:OGLUM10G14190 transcript:OGLUM10G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 protein [Source:Projected from Arabidopsis thaliana (AT3G53270) TAIR;Acc:AT3G53270] MDLSPFKLDIDELLADYTEANCTAFVDFKRLWMAKKFSYIYEGRPKTNSGAFMQSLFLHCIGHMTSQSCMPQRLAGLYCLYCLYESQPYKPHFKIYLSLEELKKLKDFVVEAKQNGMAVVPSLVKRMLDKGMILFGFINLLGDSGVKQVNELTASQNKRVKFACDKLFMNTQIDSYMHMDLGSELELDKIKKSSLDYAKAKELAFAEASNIIDVEDARHIVQNDKLLGDRVDEIVKEWDAQKEAFYEKTGVRRDELAVVEHDESGVLPHENDEFDEIRQLLLE >OGLUM10G14200.1 pep chromosome:ALNU02000000:10:18221810:18222277:-1 gene:OGLUM10G14200 transcript:OGLUM10G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTPFATTDDAVFNNHGRRRRLCPHLHLRHRGAVAKGHDAAGDGIDLRAEQPWLDDDDGGEVRTGEGEGQAGNGRRRHQELRGRRRATAGSVGAALPIVRSXGSAPTPAPAPGPSTKHSTSVPVPTQSTASCGEGGGVASRRMGPTSAPGRPST >OGLUM10G14210.1 pep chromosome:ALNU02000000:10:18223415:18223808:1 gene:OGLUM10G14210 transcript:OGLUM10G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKHKPKPDEVKEETVVDALPKEEEEEERGKRRKKRKKKKMVTVKLSDELMGYLRTKETPRPLPIDPGVAQHMFVGQELGQEIAAQVHRNRGFDAFVLYQYRTQGYAEIQQEVTDDDDDDG >OGLUM10G14220.1 pep chromosome:ALNU02000000:10:18233768:18236661:1 gene:OGLUM10G14220 transcript:OGLUM10G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nodulin MtN21 /EamA-like transporter family protein [Source:Projected from Arabidopsis thaliana (AT3G02690) TAIR;Acc:AT3G02690] MVAMKGVIPKTGPFFVAALRLLPAGALLVAFAAARGRRQPSGWAAWVAVAAFGLVDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAVLAALLFGESIGAIGVGGLVLGVVGLLLLEVPALSVEGNDTTIWGSGEWWMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNHDPALNGHLQELTLNDVLALLYTSIFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGFLYLGETFSPVQLGGALLTLVAIYMVNYKSIIGEK >OGLUM10G14230.1 pep chromosome:ALNU02000000:10:18237202:18240490:-1 gene:OGLUM10G14230 transcript:OGLUM10G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRWVEEYGRSSSPSSNLQCFLDCTTPAVDTHLLPKANGRFSSDSWHHAEMDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKVSKTHLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >OGLUM10G14230.2 pep chromosome:ALNU02000000:10:18237202:18240490:-1 gene:OGLUM10G14230 transcript:OGLUM10G14230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRWVEEYGRSSSPSSNLQCFLDCTTPAVDTHLLPKTESEMVLVLIQANGRFSSDSWHHAEMDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKVSKTHLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >OGLUM10G14230.3 pep chromosome:ALNU02000000:10:18237202:18239810:-1 gene:OGLUM10G14230 transcript:OGLUM10G14230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVEYFNLADLWEQYYEWSAYGAGTTVQLYGGERVVQYYVPYLSGIQLYTNKAQTASRSFGEDNGMDYWSDDEDNEKMSRSWSSTSEDSLFNCDAISGNRKRHGHMYFEFFEVCSPYGRIPLIDKVYELSQSYPGLTSLRSVDLSPASWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDYALESMANGKRNDETEKKVSKTHLAPFGLAAHKLQGSLWTNPRTGDRDRMVSLFGAADSWLKQLGVQHHDYNYFITHPM >OGLUM10G14240.1 pep chromosome:ALNU02000000:10:18253587:18260418:1 gene:OGLUM10G14240 transcript:OGLUM10G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFHAQNNSKGRMLFAPYEGICLFTTETVHSYKTTQVKSGEEVQIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLITQDLPNLDAIKDADFSNVDAVFCCLPHGTTQEIIKGLPKQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVRRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICTKRGMQSTMFVEMAPGVTVGDLYQHLKSTYEGEEFVKLLHGSTVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMSLPENRGLQYQPLFP >OGLUM10G14240.2 pep chromosome:ALNU02000000:10:18253587:18260337:1 gene:OGLUM10G14240 transcript:OGLUM10G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQWFHAQNNSKGRMLFAPYEGICLFTTETVHSYKTTQVKSGEEVQIAVLGASGYTGAEIVRLLANHPQFHIKVMTADRKAGEQFGSVFPHLITQDLPNLDAIKDADFSNVDAVFCCLPHGTTQEIIKGLPKQLKIVDLSADFRLRDINEYAEWYGHSHRAPELQQEAVYGLTEVLRNEIRNARLVANPGCYPTSIQLPLVPLIKAKLIKLSNIIIDAKSGVRRGAKEANLYTEIAEGIHAYGIKGHRHVPEIEQGLSEAAESKVTISFTPNLICTKRGMQSTMFVEMAPGVTVGDLYQHLKSTYEGEEFVKLLHGSTVPHTRHVVGSNYCFMNVFEDRIPGRAIIISVIDNLVKGASVYCKSASWN >OGLUM10G14250.1 pep chromosome:ALNU02000000:10:18265525:18272451:1 gene:OGLUM10G14250 transcript:OGLUM10G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGGGGGVAAAAVAAAGMVKAELEDVGIKAAGGAAAAVAALSPLSETLWREKAAAEFLGDVSARLAWRDLTVTVVLGGGGGGGGTTQDVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLDGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPMEEKRALVEGTIVEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGLASEACQFFAQAGFPCPPLRNPSDHFLRCINADFDKVKATLKGSMKRRFERSDDPLDRIMTSEAIRRLITYYKNSQYYFAAQQKVNEMARVKGTVLDAGGSQASFWMQAFTLTKRSFINMSRDFGYYWLRLIIYIVVTVCIGTIYLNVGTRYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTISAMPFLILITFISGTMCYFMVRLHPGFTHYLFFVLCLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVSRSKWLDLAVLFSMIFIYRLLFFAMIKVSEDVTPWVRGYVARRRVQGKGARGRRGRGADLAAARSPSLRAYVVDADDDLPPA >OGLUM10G14260.1 pep chromosome:ALNU02000000:10:18275683:18279181:1 gene:OGLUM10G14260 transcript:OGLUM10G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGIFICAAPMCLKSFLKRSEFDSHIPEVHANLLHNTPEREERNEPDAPNISRASGGDQRQSQMPEMSTARAPPRTGVSPSSSSHVQDRDDRSRYHHSRDQTPQRPPMLSRPPSFHGRHSYPPGDTPSENNPPQGFDRPYNWAHENAPGATPVRQESEHGSQDKQQMMPNAPFMFPPMPHQPNFMMPMNMNQPLMSNTSFNYPLQQDGNPQFFTAPFQMQLPDVGLDQGLASGVQPTPPGPLSFPEGLQRPWGMGLMGNPFQSMPLGQGMPEGAGEPQGGGGMVFLQGGFGVMPDGSMNSGIPGRDLSGQGDRGVLAQMPMQMQMQMSLPPPPPTQPPSAGQQTFSRT >OGLUM10G14270.1 pep chromosome:ALNU02000000:10:18279103:18284827:-1 gene:OGLUM10G14270 transcript:OGLUM10G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0BC63] MATKRAYKLQEFVAHASDVNCVKFGRKTSRILITGGEDQKVNLWAIGKPSSILSLSGLTSPVESVSFDSSEAMIGAGASSGTIKIWNVDEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCVDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSNAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPVTTPRIRSKVDLSIGARVFHRNSVLSVAPTNRSRSKISAYSREGSSFIPVVIPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLSVDCGEDDRLVRVIDSRSSNIGVQNGRRREAGDIITHKETPETTLTVNMDRDFRRKAPKTESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYNASSFVKPNLTVGRNPETSYINRRTMFGLRHSMDSSEKHAVEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAAKSRLTKLEVVYRCWHNNDVKGSIDATRRIQDLAVTADIISVLMENANSITLDICTCVLPLASSVLEKSSYDRHLKVALEMILKLVKSFGSTISSAVSSTPPVGVDIEAEQRLNRCNLCFQELIKVHSFLFALTRRQGEVGRSAQELSLYLQDIFQLTSR >OGLUM10G14270.2 pep chromosome:ALNU02000000:10:18279101:18284006:-1 gene:OGLUM10G14270 transcript:OGLUM10G14270.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0BC63] MIGAGASSGTIKIWNVDEAKVVRTFTGHRSSCASLDFHPFGEFFASGSSDTNMKIWDMRKKGCIHTYKGHTRRIDVLRFTPDGRWIVSGGSDNSVKIWDLTAGKLLHDFRNHEGPINCVDFHPHEFLLATGSADKTVKFWDLETFELIGSSGPENSREYFVPASVVRSMTFNKDGKSLFCGLHESLKVLSWEPIICHDVVDVGWSTLGDLIVHEGKLLGCSYNQSCAGIWVVDLMKIEPYAVSNAEAHLNESVNRSIQADNSISSVLGRLSVSRSPAKEASSDTLLKLSMSASKEVPVPASSAVTKKLPKEPITSNIRLTRSDSLPVVSPRVRLNPKFSDDQKRQTDYAVPVTTPRIRSKVDLSIGARVFHRNSVLSVAPTNRSRSKISAYSREGSSFIPVVIPRHIPKVDSGPNLSKVLTTDLTIVEPQDIERGGLSVDCGEDDRLVRVIDSRSSNIGVQNGRRREAGDIITHKETPETTLTVNMDRDFRRKAPKTESMQQDIFHSEPISSKCKYIKETSGAGDINLSGSAITESVKSNEGGDWYNASSFVKPNLTVGRNPETSYINRRTMFGLRHSMDSSEKHAVEHGPSNLSASYERNQYAPTLHNLRRRSSVAREQSASAGDEDDIADLMENHQEFIHAAKSRLTKLEVVYRCWHNNDVKGSIDATRRIQDLAVTADIISVLMENANSITLDICTCVLPLASSVLEKSSYDRHLKVALEMILKLVKSFGSTISSAVSSTPPVGVDIEAEQRLNRCNLCFQELIKVHSFLFALTRRQGEVGRSAQELSLYLQDIFQLTSR >OGLUM10G14280.1 pep chromosome:ALNU02000000:10:18286042:18290753:1 gene:OGLUM10G14280 transcript:OGLUM10G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPPPPSPSSSSDKLVAGAAQSAPLVPLQEPDGSSLGESFDTTSSQDLITASHNIIDDGSSSTCPSRIDKPVADATLSTSLQDMAPSLLDEIFDDNPRTAPDNTTTDGYLQSASSSVGSSILVRSFVNCYQLFYIRTDPRGFCWTYPDVGGPFQRVDEADDAIKCFLDELQHGARCTQSAEFSRVDRMIHDCKHYLYGPPKRDPSSPSSKTTYDEKQYLVQAILDQYNDDNKLFGNHAYELEDLVSRQLFCENCMWYCHFNFTTKQKGADDSTGKLFFAEVTHVQRRMAWKVSCFCKIDTEVNGGHCYGCRNKGAPPMKHPQNTNAYAGGHLDVERYRLVLTSSSEDEESEDESEEEELGLFEVEEESEEEEETNMWLRRDTFDKFLDTMGEK >OGLUM10G14290.1 pep chromosome:ALNU02000000:10:18296055:18296603:-1 gene:OGLUM10G14290 transcript:OGLUM10G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNPNPRGAMLRRYAAGREDFGMSQGSSFPARLPVGSSGLPLVRCPRCGAAVVECRSMRHGGKVFFKCEENEQDVPNCCKFFKWIESYRKMVEGMSKHVVDEGPSDVAVVDGSIEMKRSSVDDGKIDKLINLIEVLVMINIGLLVLGFIGVFVMILK >OGLUM10G14300.1 pep chromosome:ALNU02000000:10:18297023:18302903:1 gene:OGLUM10G14300 transcript:OGLUM10G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKTLRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGLEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQVAGLPAIARGALTEIASRLRTRTLRDGSSSNNPTPFAPFDGPPVDILPNREFMLYGRSANSPPYGGPANDPPYGRPAIDPPYGRPAIDPPYGRPAIDPPYRRPANDTSYGGLNNDGPRDPYTAYPVEYFSKREYPSGSSKVTPSASYDRYAATTRLPNRELPSSISPGADYMSRRSYLDQVPTDRYSSRGTLQLGLSRAGNSNVQQLGITRAGNSNAYDYTEAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGVGGVNLAEIRQISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >OGLUM10G14300.2 pep chromosome:ALNU02000000:10:18297023:18302903:1 gene:OGLUM10G14300 transcript:OGLUM10G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDRSRRHKSNTSRKRPHFNSDDGKRKRLNSRHDDGTISSEPIETIYRILCPVKKIGSVLGRGGDIVKTLRDTTKAKIRVADSIPGADERVIIIFNYSSQTEEAAQNISTDGLEDMKPHCFAQDALLKIHDKIAADEDLHAGIVHEKSENVDDVIARILVPGNQVGCLLGKGGSIIQQLRNDTGAGIRVLPSENLPQCALKSDELVQISGSSSLVRKALYEISTRLHQHPRKDNPPLEEIIDASTQRKHQAPPQLPHANPMLPHLHVDHSPQIPLLDPYRNRPLQYHSAEAEEFSIKILCASEHIGQVIGKSGGNVRQVEQQTGACVQVKEVGKNASEERLIVVSSQEIPDDPVSPTIEALILLHSKVSTLAENHHLTTRLVVPSNKVGCIIGEGGKVITEMRRRTGAEIRVYSKADKPKYLSFDEELVQAAEQIHGREDYRRLSGLTGYPGGSVEFRIPNSYLESVIGVGGVNLAEIRQISGARVKLHEAHPGSSESIVEIQGIPDQVKAAQSLLQGFIGASSNSRQAPQSSRMAHYF >OGLUM10G14310.1 pep chromosome:ALNU02000000:10:18325271:18343187:-1 gene:OGLUM10G14310 transcript:OGLUM10G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLDDTSVIVGAESSTFSRRLSEAQKRQDEQDDGEDTIAFSKNLLTEKKKTKTPKEKSKKRARNHDDVATEEDIVEDLILSSDEEDEDEDKNMESDEDDGSMPVEDDSDDDFVDPDSQWKKQKKEKSKKRNKRQPSKKALHPAAAAAAPDIFPPAAAMSSAANVMLAIHEKRTTPTDLYRPLRLYIASAYSEREAAAADDDLAAVRDLRADVEQPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDRVYLMRVPDASSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLMSILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKVARERCYKQIAAAVAKYRDIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAAKQTCRGTLLRHQIRTVHHHHHRLTLPMRRAPMVFHLGVIQDPGTLSPSQDPLTHSHTRRMVRHRNSLRMVRHRNSLLMARPTLVTTNSRHTSNLPTMTMANKHILEDGAGSTTIHISPSRSRSRHILSHRTMPRGSIQGRGGRAGGSGAEDARHVFDELLRRGRGASIYGLYRALAHVARHSPAAAMSRYNRMARAGADEVTPNLYTYGILIRSCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTQLGCIPNVFSYTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVIDGFFKEGDLDKAYSTYHEMLDRRILPNVVTYISIIAALCKAQAMDKAMEVLNTMVKNGVMPNCRTYNSVVHGYCSSAQPKEAIGFLKKMRSDGVEPNVVTYH >OGLUM10G14310.2 pep chromosome:ALNU02000000:10:18325274:18333407:-1 gene:OGLUM10G14310 transcript:OGLUM10G14310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAANVMLAIHEKRTTPTDLYRPLRLYIASAYSEREAAAADDDLAAVRDLRADVEQPSLPDPSSLERRRDALLAYARALSLVEPRFPISPDRAHVHSLAFTWHDAFKTGKKASVASIHLEKAAVLFNLAAVYSQIALAADRATDVGIRTACGSFQSAAGAFAWMRESGVAAKAVAAGATTVDVTPECAAMLEKLMLAQAQECFFEKVIAGGKPPALCSKVARQVGIFYEEAYAALSAAPLSQHFDKTWVSHVQLKAAQFYADACYRCSLDLHEKEEIAQEIARLKIGISALADAKKVARGVAAPLLDSVNKLESNMKTNLERAMKENDRVYLMRVPDASSLGALPAASLVKPTSLAEVLDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEITRVRLKEMDLPDSILSLEGNITLPLDLKEDVEAVQISGGPAGLEAELQQLRDLSRVNQELLVQTEEMLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFASNLKTAGDSDSQIERGLKESYPLMSILDRRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEISKYDPVCAEIADNIVAQEQLLLQIQAQNEQFAAVFNLEDYKVARERCYKQIAAAVAKYRDIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQKKLAAKQTCRGTLLRHQIRTVHHHHHRLTLPMRRAPMVFHLGVIQDPGTLSPSQDPLTHSHTRRMVRHRNSLRMVRHRNSLLMARPTLVTTNSRHTSNLPTMTMANKHILEDGAGSTTIHISPSRSRSRHILSHRTMPRGSIQGRGGRAGGSGAEDARHVFDELLRRGRGASIYGLYRALAHVARHSPAAAMSRYNRMARAGADEVTPNLYTYGILIRSCCRAGRLDLGFAALGNVIKKGFRVEAITFTPLLKGLCADKRTSDAMDIVLRRMTQLGCIPNVFSYTILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVIDGFFKEGDLDKAYSTYHEMLDRRILPNVVTYISIIAALCKAQAMDKAMEVLNTMVKNGVMPNCRTYNSVVHGYCSSAQPKEAIGFLKKMRSDGVEPNVVTY >OGLUM10G14320.1 pep chromosome:ALNU02000000:10:18349803:18361343:-1 gene:OGLUM10G14320 transcript:OGLUM10G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear RNA polymerase A2 [Source:Projected from Arabidopsis thaliana (AT1G29940) TAIR;Acc:AT1G29940] MAAGKSSSASKEDQYAALRELYRPHIDSFDYFIDEGLDKMLQSIRPVEITKGHLFPPKRDGRLDAPLYPQQCRQARTTYHGEFKVDTSIQCNDGPAVRQTFNFGYLPIMLMSKLCHLRGADSEKLIFHGEEATEMGGYFICGGMERLVRILILQKRNYPMGLIRGSFINRGAGYTDKAVIIRCVQDDQSSVTIKLYYLLNGSARLGFWLGGREFLLPVGIVLKALIDTSDREIFTSLTCCYSDHYERGKGVVSTQLIGERAQIILDEVRDLSLFTRTECLLHLGKYFRSVMEGFEKDDFETVAEAVLKDYIFVHLQNNHDKFNLLIFMLQKLYAIVDQTASPDKADALQYQEVLLPGHLITVFLKDRLQDWLRKSKRLIVEEATKNKSFDLNDSQEVRKFLSKTSAYVGKAIQSMIKVGKVNSQSGLDLPQRDGMTIHAERLNFHRISSFYNSEGATKDFQKIKMSLIARLVGAGMAQLLPRIERTGPPEVLHVHVDGCIVGSIASAKIEEVIPEDLEVGYVPLSHGGAYPGLYLFTNPARFLRPVRSLLGLSNGGPNIELIGPFEQAFMEIRCPDGGDGGRNKLFPATHEEIHPTAILSVVANLTPWSDHNQSPRNMYQCQMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYSKYCMDEFPSGTNAIVAVLSYTGYDMEDAMILNKSAVDRGMFRGHIFQTECIDLSAKSRDNVTEFFCKSNLSRDTTAAIESDGLPRIGENIFPNEQYYSVCNNLTGTVRPIKLKGSEPAAIDYVAVNGTNFKDHLQKANIRLRRVRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSANTGMRPDLIINPHAFPSRMTIAMLLESIAAKAGSLKGKFIDATPFASSVKERSNSIVDELGPMLASYGFNYHGTEILYSGVFGTEMKCEIFLGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKYGGGIRFGEMERDALLAHGASYLLHDRLHSCSDYHIADVCSICGSLLTATVIKSESQKKAKRDMLGLPTVKPPKNFACQACKTSKGMETVAMPYVFRYLASELAAMNIKLELRLSNRTEHPTTTSEES >OGLUM10G14330.1 pep chromosome:ALNU02000000:10:18362999:18366175:1 gene:OGLUM10G14330 transcript:OGLUM10G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTRVLAVILVVDVVAFGLAIAAEQSRPSARVETDARQEWTYCVYRPDAATGLGAAALALLLVGQAVAAVSSRCFCCGAALRPGGARACALILFLSSWLTFLIAESCLLAGLVQSAYHTRYRKVFFENPPDCETVRRGTFGAGAAFSLITCVLTGAYYFYFSKSRVSYGRREATIGMSPYS >OGLUM10G14340.1 pep chromosome:ALNU02000000:10:18367094:18367921:-1 gene:OGLUM10G14340 transcript:OGLUM10G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPFLGSSSSSASSPLSYLTPPRPPSPPPPPPLLMVRHGLARWLTDLDDELMVFDDDLGVQGQGYAAAANGGIGGGGVEAVNAAAAPRQGGRHAGHPPLPRPPPRQCPRCGSANTKFCYYNNYSRTQPRYLCKACRRHWTEGGTLRDVPVGGGRKNSKRAAGGGKAGATASTAASAHVVAPAAAPPTSSSFPDLLRQMLMAPATAGGGGGYSIDLTAWQQMAAFAAPPQAATGDVGGAVGATSTAAPDANCGGGGVQYWNGWLQDDMPGLDGSC >OGLUM10G14350.1 pep chromosome:ALNU02000000:10:18375499:18376262:-1 gene:OGLUM10G14350 transcript:OGLUM10G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRRQWASWQTFHPVHPMEDLMSGGVRLPDPDEQPPDPIYAFLEVFEELYGDQFPPHVLLYDSGDPNGGDDMEESDDDVDGGGESLSAIVYGGGRLHPSRRPSVPPPEERPRGWMPCPGWREKGRPDAWQQFYLDRGAGRHAASEAEANRELWIAFLLDRGAAKSTSWWRSFERRFERCAAADPYYAAGDTPCAMVTSFTFCFQ >OGLUM10G14360.1 pep chromosome:ALNU02000000:10:18379162:18382434:-1 gene:OGLUM10G14360 transcript:OGLUM10G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEIEGIGKLNSPEKKKKKEKEKEKEKRRRKHKPDEVKEEMVVDAIPKEEENLKSPEKGSPKKKEKRRHKHKHKPKPDGAQAVLKEEEESNSKSKAPAEGEKKRKKKKLVTVKLSDELMGYLRTKEVMAYLARETPRPLPIDPGVAQHMFVDQELRQEIAAQVHENREFDAFVLYQYRTKGYAEIQQEVTDDDDDDEETKTTNGLPAYAGPGGWNDPGMLEVGDGEMSESEYRSQFSICGHYHRLGVQGKKVQADNGLEVWARSLSNNRKAVVLWNRPSLRIGRALDSLFVVKVAATKRHSCHYLIKKYTRLKTNLWQKPS >OGLUM10G14370.1 pep chromosome:ALNU02000000:10:18384176:18387515:-1 gene:OGLUM10G14370 transcript:OGLUM10G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEIEGQAMARSSVWKKKKRAKPMPDKGWKRKGKGSKKKKAKLHDVDDEVMVAADAAGSKDEEAAAHPPGTETTVTMELDLVDHYLVGELVAYMTGALLCPILHLKSMNCPFVDPRHLAPVEKDVEFSANILKQHRLTNGPVELQMDPITTSDDHDDDELIIDRRGWMNWRTPEEMRKRMVQEEPEDQAAQGFRSTRKGNTEGKESLITPRTTYSCHRQQISGYPEPTDVRLYLHSSFAAQGQHWWCLMTASVLTPN >OGLUM10G14380.1 pep chromosome:ALNU02000000:10:18389529:18396199:1 gene:OGLUM10G14380 transcript:OGLUM10G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATTTSFLPSALSARKEGAVKDSAFLGVRLGDGLKLETSALGLRTKVVTVVILLISLDIVATKTETLLQRVSTSSVAIRAQASAAAVSSPTATPASPSGKQTLRKGTAVITGASSGLGLATAKALAETGKWHVVMGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVGNVRRLGMPVDVVVCNAAVYQPTAKQPSFTADGFEMSVGVNHLGHFLLARELLADLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQAATLPSQESRAGLAGGGNGGITHQLQTRQRGEMVCHRWTQS >OGLUM10G14380.2 pep chromosome:ALNU02000000:10:18389529:18393925:1 gene:OGLUM10G14380 transcript:OGLUM10G14380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQAATTTSFLPSALSARKEGAVKDSAFLGVRLGDGLKLETSALGLRTKRVSTSSVAIRAQASAAAVSSPTATPASPSGKQTLRKGTAVITGASSGLGLATAKALAETGKWHVVMGCRDFLKASRAAKAAGMEKGSYTIVHLDLASLDSVRQFVGNVRRLGMPVDVVVCNAAVYQPTAKQPSFTADGFEMSVGVNHLGHFLLARELLADLTSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLASGLDGVSSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHGETGVTFASLYPGCIATTGLFREHVPLFRLLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNNNSASFENQLSEEASDPEKAKKVWELSEKLVGLADHDQ >OGLUM10G14380.3 pep chromosome:ALNU02000000:10:18396062:18396437:1 gene:OGLUM10G14380 transcript:OGLUM10G14380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRAATLPSQESRAGLAGGGNGGITHQLQTRQRGEMVCHRRDGRRSYIRGGHARRTARPASMPSRQIGQSCFSSSPSPTTAAQIPPSILSSSSGQQTQRKETHRRRNPKKQPQLVRERRD >OGLUM10G14390.1 pep chromosome:ALNU02000000:10:18394279:18395909:-1 gene:OGLUM10G14390 transcript:OGLUM10G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYKMIDLYGQWIWPPLTIATDSSDLLVYILVNSDELMNQGFQWESLLPNDTFLIVASSDGAFEKMTMHDVCDLMLYVKLGVKQELGSFAVTQQNLADYVVDLFL >OGLUM10G14390.2 pep chromosome:ALNU02000000:10:18394279:18396303:-1 gene:OGLUM10G14390 transcript:OGLUM10G14390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRSSSVLSASTAWRPGAPCGVRVLPGCSCAFHHDCVHRWHTISPRCLWIWPPLTIATDSSDLLVYILVNSDELMNQGFQWESLLPNDTFLIVASSDGAFEKMTMHDVCDLMLYVKLGVKQELGSFAVTQQNLADYVVDLFL >OGLUM10G14390.3 pep chromosome:ALNU02000000:10:18394279:18396063:-1 gene:OGLUM10G14390 transcript:OGLUM10G14390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLVLDEPVLLFTDLLVYILVNSDELMNQGFQWESLLPNDTFLIVASSDGAFEKMTMHDVCDLMLYVKLGVKQELGSFAVTQQNLADYVVDLFL >OGLUM10G14400.1 pep chromosome:ALNU02000000:10:18396540:18397356:1 gene:OGLUM10G14400 transcript:OGLUM10G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGVERQQQDWRRRGQAAADAWGRSEERGVAEKIANATLKIGFDQMPLSSHLADGDFMATSHRSRWRQVRRPSSAVDVPIPHALESALASVGVRIRRASCHDADGLARRRPHPPRRQVRRRQEPPHRVSASPVEHHSAKEEPFVVSVVVAGGASERKERNNGEELHRPPSSPSSSSAKEEPFVVSIVLFGCIGLGSRGYDAVDGSARVATTLSTAAPGGSTPPPSSHQGHDS >OGLUM10G14410.1 pep chromosome:ALNU02000000:10:18413631:18422660:1 gene:OGLUM10G14410 transcript:OGLUM10G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSFSDGGGGERGGGGGFSSSQDAFEFDGEEEDDLVLLGSSSQSSHPPAPSQESSSMWDFDEDPPPPPRRRRGRGGGGDYAEPATAAAAAATSLMEAEEYGEMMESVDEANFALDGLRATAPRRVRRASFLALLGICASAPRRRVLRAQGLVQQIIDAILVLNIDDPPCTIGAAALLFVLASDVQENHLLDSESCVHFLLKLLNPPVNLVDSKAPSIGSKLLGISKVQMLNGSNKDSDCISEEILSKVEEILLSCQEIKSLDKDDKKTTRPELCPKWLALLTMEKACLSAVSVEETSDTVSKVGGNFKETLRELGGLDSIFDVMMDCHSTLENLIKDTSTSALDLNEGTSLQSAALLLKCLKILENATFLSDDNKTHLLNMSRKLYPKRSSLSFVGVIISIIELLSALSILQNSSVVSSSTYPKSSKVSQQSCSADVMGGTSFNDGKRKNSKKKNLLSNQTRHSCLSSKSEVSHITISSGSDAGLSQKAFNCSPSISSNGASSGSLGERHSNGGALKLNIKKDRGNANPIRGSSGWISIRAHSSDGNSREMAKRRRLSENVITDSGGGDDPFAFDDVDQEPSNWELLGPKKKSPQKHQDKSGNGVLVASHEPDQPEDLNQSGTTSLFSAKDESSLLEDCLLASVKVLMNLANDNPSGCELIASCGGLNTMASLIMKHFPSFCFVVDNNYNTRDGASSCRDVNLDHELSSQNSKAHQVKIKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLSSARVPVDLSQNPQSEETQRDVIALLCSVFLASQGASEASGTISPDDEESLMQGAREAEMMIVEAYAALLLAFLSTESMKVRGAISSCLPNNSLKILVPALEKFVSFHLQLNMITEETHSAVTEVIEKCKLS >OGLUM10G14420.1 pep chromosome:ALNU02000000:10:18423829:18428172:1 gene:OGLUM10G14420 transcript:OGLUM10G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAATPSTAHAVVVGGGGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSDIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDADKLCSEARKVIADTLILNGYGVH >OGLUM10G14420.2 pep chromosome:ALNU02000000:10:18423829:18428172:1 gene:OGLUM10G14420 transcript:OGLUM10G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAATPSTAHAVVVGGGGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSDIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDADKLCSEARKVIADTLILNGYGVH >OGLUM10G14420.3 pep chromosome:ALNU02000000:10:18423829:18428172:1 gene:OGLUM10G14420 transcript:OGLUM10G14420.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAATPSTAHAVVVGGGGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSDIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDADKLCSEARKVIADTLILNGYGVH >OGLUM10G14420.4 pep chromosome:ALNU02000000:10:18423829:18428172:1 gene:OGLUM10G14420 transcript:OGLUM10G14420.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G30580) TAIR;Acc:AT4G30580] MGTLLRPRPLAHAAATPSTAHAVVVGGGGGRGRGVECQPHRVRRRPGPQVAVATASWRRRRETVVRSDFAAGGAATMGDSPQALSDIDVVSRVRGVCFYAVTAVAAIFLFVAMVVVHPLVLLFDRYRRRAQHYIAKIWATLTISMFYKLDVEGMENLPPNSSPAVYVANHQSFLDIYTLLTLGRCFKFISKTSIFMFPIIGWAMYLLGVIPLRRMDSRSQLDCLKRCVDLVKKGASVFFFPEGTRSKDGKLGAFKRGAFSVATKTGAPVIPITLLGTGKLMPSGMEGILNSGSVKLIIHHPIEGNDADKLCSEARKVIADTLILNGYGVH >OGLUM10G14430.1 pep chromosome:ALNU02000000:10:18452612:18453639:-1 gene:OGLUM10G14430 transcript:OGLUM10G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAHTLFVLLSLPCSFFSSSRPLAAAVTTAAAVARSCCEGGAAAVAPCSARGLLECIKLLGATGWCMQLNMAATSSRPAAALSLLRARGQCGSLLHPRCLRFSVAPVAAAKPEAVGTSGEAAAAPVEELAKSLQGVELFDLRGKVVPIVDLWKDMNFLSCFGRLIVFETIDDGCLHVCAVPFHLQKHKMFSFSTFAAPPPSQQLRAAAASGREKEKNEQSMGLAHITLCADVARADVAKTMVKTAPEGGGGLSVRY >OGLUM10G14440.1 pep chromosome:ALNU02000000:10:18461069:18466033:1 gene:OGLUM10G14440 transcript:OGLUM10G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGETLRAELSSRTPPFGLRLWIVIGISIWVVIFFILGFMCLWSIYRRKPKKSFDKIPVSQIPDVSKEIAVDEVREHAVVENFRVQESHAISVQEKHYEKDSGKMLAHLVRSKSSDADNLSQCSSVYQCDRAGSSYSGDEGSSGNARRHFSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKVVLGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKMLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYGRPANEVHLVEWLKMMVGTRRAEEVVDPDMEVKPTIRALKRALLVALRCVDPDSEKRPTMGHVVRMLEAEDVPSREVDRRSRRGHTANADTESKTSSSEFEISGDRRDSGPSARRLLDYQYEPLSLF >OGLUM10G14450.1 pep chromosome:ALNU02000000:10:18467235:18470341:1 gene:OGLUM10G14450 transcript:OGLUM10G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVGGCCAVLLAAALLFSSPATTYAYDSLDPNGNITIKWDVMQWTPDGYAAVVTLSNYQQFRHIQPPGWQLGWTWQQKEVIWSMYGAQATEQGDCSMSKEGSNVPHSCKKHPTVVDLLPGAPIDLQIANCCKAGSLSAFSQDPANSAASFQIIVGHSGNSNETVRVPKNFSLMAPGPGYTCSRAMIVKPSRFLSPDGRRATQALMTWNVICTYSQFLAQKVPSCCVSLSSFDNDKTVDCPTCSCGCRNEKSTTGKCVKKNAPDLQSIIHGPGRWTWQPLLQCTSHMCPVKINWHLMLKDKEHYRVKITVTNLNYRMNFTEWNLVVQYHPILDITQISGFNYKSIQVGKINDTTMLWGVKPYNDLLMQAGPLGNVQGELIVRKDFRASSTTNNNKGWAFPVRVYFNGDNCVMPPPDAYPVSITT >OGLUM10G14460.1 pep chromosome:ALNU02000000:10:18472239:18476414:1 gene:OGLUM10G14460 transcript:OGLUM10G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRAAGRGGRPLLGGGGGKRGGGGGGGGGGGKSSSYTTTVILAALLLASVALLLLVALGALSLPSGGGVGVGHAGIGLPRPRPRFRRSAAFESGLEMRGGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDASTGGSKDSRVRTSSGMFLGRGQDKIIRTIEKRISDYTFIPVENGEGLQVLHYEVGQKYEPHFDYFHDEFNTKNGGQRIATLLMYLSDVEEGGETIFPSSKANSSSSPFYNELSECAKKGLAVKPKMGDALLFWSMRPDGSLDATSLHGEIPILWLLTNSNLSMLLLKERKEKRSFLAHVEDFNFSIEKHYNFF >OGLUM10G14470.1 pep chromosome:ALNU02000000:10:18472638:18476815:1 gene:OGLUM10G14470 transcript:OGLUM10G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRAAGRGGRPLLGGGGGKRGGGGGGGGGGGKSSSYTTTVILAALLLASVALLLLVALGALSLPSGGGVGVGHAGIGLPRPRPRFRRSAAFESGLEMRGGEKGEPWTEVLSWEPRAFLYHNFLSKEECEYLISLAKPHMKKSTVVDASTGGSKDSRVRTSSGMFLGRGQDKIIRTIEKRISDYTFIPVENGEGLQVLHYEVGQKFININMNVENGRMTYILKRRK >OGLUM10G14480.1 pep chromosome:ALNU02000000:10:18477726:18480986:1 gene:OGLUM10G14480 transcript:OGLUM10G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGGGGNKKGRRDSPSPPLETPPRGELPSTSSSSSSRATKRHRVAGMADRYFPNDLPDFVAEAPNGGRGLLSLPYSSLSERLLRAALRIKDKVVEETWTRARRQVTDYTLYTGALGTALLLFKSFQVTGNRADLALAGDIVKECDAASRGLPFLTFICGRAGVCALGAVIAKHCNDQLLLTHYLSSFDEIIVTEKVPNELLYGRAGYLWACLFLNTHLGEKTIPHEHITSVAKDIIDEGRKLAKKGNCPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEKDDVKNTLLYMIRNRYPTGNYPSSEGSESDRLVHWCHGAPGVALTLAKAYQVFHDEHFKQTAAEAAEVVWNRGLLKRVGICHGVSGNAYVFLSLYRLTGNVEYLYRAKAFACFLLEKADQLIADGGHAWG >OGLUM10G14490.1 pep chromosome:ALNU02000000:10:18480741:18482316:-1 gene:OGLUM10G14490 transcript:OGLUM10G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRIEHSYLPIRGLKLHIAHIGKGEAATLLFVHGFPEVWYSWRHQMIAAAAAGFRAIALDFPGYGLSEPPADLTQASWQGLMNDLLAILDSLSIPKVFLVAKDFGVKPAYDLALCHPDHVCGIVSLGVPPLVESLSFSGLPEGFYIHRWREPGRAEADFGRFDTRRILRTIYILFSRSEIPVAKQGQEIMDLADESTPMPQWFTEEDLSAYTDLYEKSGLMTAIQIPYRTKAAKAEGANPRFEMPMFVIMGQKDYILKFPALKEYMSSEKLKEIAPDYGITYIPEGSHFVQEQFPDLVNQLVIDFVSKHA >OGLUM10G14500.1 pep chromosome:ALNU02000000:10:18485259:18487229:1 gene:OGLUM10G14500 transcript:OGLUM10G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQIEHLHLPIRGLNLHIAQVGKGEISLYELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAVAPDWRGYGLSGQPPEQEEATWDDLVADVLAILDALAVPGAFLVGKDFGAMPAYDFALRHPARTRGVACLGVPFSPAPASFDAMPEGFYVLRWREAGRAEADFGRHDVRRVVRTIYILFSGADIPVAKEGQEIMDLADASTPLPPWLTEADLDVYASLYENSGFRFPLQMPYRAVHRRPSRMDARFEVPVLMVMGEKDYAFKFPGFEAAVRGGAMERFAPELKIEFLPEGSHFAQEQLPEQVNRLLLGFFAEHPVAAD >OGLUM10G14510.1 pep chromosome:ALNU02000000:10:18487878:18495418:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPEAMATAEQQIEHVHLPVRGLTLHVAQAGKAMATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLVEDLLAILDALAVPKVSSFESYSCMQTAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLAEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >OGLUM10G14510.2 pep chromosome:ALNU02000000:10:18487878:18495418:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPEAMATAEQQIEHVHLPVRGLTLHVAQAGKAMATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLAEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >OGLUM10G14510.3 pep chromosome:ALNU02000000:10:18487878:18498962:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPEAMATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLVEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSMNTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVHSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >OGLUM10G14510.4 pep chromosome:ALNU02000000:10:18487878:18498962:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPEAMATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIEYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAEYDDLIEDLLAILDALAVPKAFLVAKDFGALVAYDFALCHPNRTCGVMGLGIPFGNDASSMNTLPEGLYIFRWAQPGRAEADFGRYNIKRVVRTIYILFSKSEIPMAKEDQEIMDLADLSTPLPEWFTEEDLDVHSSLYEKSGFRYPLQMPYRSLHKRKPIGDAKFQVPVFVVMGEKDYVYKFPGVESAMKDGTMERHAPDMKITYIPEGSHFVQEQFPDYVNELLLAFLKDHPVDK >OGLUM10G14510.5 pep chromosome:ALNU02000000:10:18487878:18495418:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSRPEAMATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLAEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >OGLUM10G14510.6 pep chromosome:ALNU02000000:10:18487878:18495418:1 gene:OGLUM10G14510 transcript:OGLUM10G14510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEQQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLIEDLLAILDALAVPKAFLVGKDFGAMPAYDFALRHPNRTCGVMCLGIPLGTAGLSFDTLPEGFYILRWAQPGRAEADFGKYDVKRVVRTIYILFSRSEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYENSGFRYPLQMPYRSLHQRKPIGDAKFQVPVFIVMGKKDYVFKFPGIESAMKDGTMEKHAPNIKITYIPEGGHFVQEQFPDYVNELLLGFLKDHPQIEHVHLPVRGLTLHVAQAGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEPEAAAYDDLVEDLLAILDALAVPKAFLVGKDFGAMPAYSFALCHPNRTCGVMCLGIPFGVNSSSLNTLAEGFYILRWAQPGRAEADFGKYDIRRVVRTIYILFSRNEIPIAKEDQEIMDLADLSTPLPEWFSEEDLDVYSSLYEKSGFRYPLQMPYRSMHQNKPIGDAKFQVPVFVVMGEKDYVFKIPGIESVMKDGSMEKHAPDLKITYIPEGSHFVQEQFPEFVNELLLSFLKDHPMAV >OGLUM10G14520.1 pep chromosome:ALNU02000000:10:18501604:18504839:-1 gene:OGLUM10G14520 transcript:OGLUM10G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVRAPDGRTHHVDLDPSTATLADLTASASRVCGGVPPEQLRLYLAHRRLLPAEPSPLLSSLRPPGDDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLVDLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKLQVARQLIQRGCEECPTNEDVWVEACRLASPDEAKAVIARGVKAIPNSVKLWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQAKKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVIKVIERSIKTLQREGLDIDREAWLKEAEAAERAGSVLTCQAIVKSTIGIGVDEEDRKRTWVADAEECKKRGSIETARAIYAHALSVFVSKKSIWLKAAQLEKSHGTKESLYNLLRKAVTYNPRAEVLWLMSAKEKWLAGDVPAARAILQEAYASLPNSEEIWLAAFKLEFENNEPERARILLSKARERGGTERVWMKSAIVERELGNVDEERKLLEEGLKLFPSFFKLWLMLGQMEDRLGHGSKAKEVYENALKHCPSCIPLWLSLANLEEKINGLSKSRAVLTMARKKNPATPELWLAAVRAELRHGNKKEADALLAKALQECPTSGILWAAAIEMVPRPQRKAKSSDAIKRCDHDPHVIAAVAKLFWHDRKVDKARSWLNRAVTLAPDIGDFWALYYKFELQHGNADTQKDVLQRCVAAEPKHGERWQAITKAVENSHLSIEALLKKAVLALGQEENPNAADP >OGLUM10G14530.1 pep chromosome:ALNU02000000:10:18506978:18515705:1 gene:OGLUM10G14530 transcript:OGLUM10G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSAGWPNILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKKQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMIGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNTRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >OGLUM10G14530.2 pep chromosome:ALNU02000000:10:18506976:18515705:1 gene:OGLUM10G14530 transcript:OGLUM10G14530.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MCIFSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSAGWPNILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIITETGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSLPPSLNPPLWAGFAPLASYLFSLQDYLVSEGAQTKKQAQVDNETTEVASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSVQPVVLHPIFGSPANFGGQPPTQTVWSTRVNKSIPPSEDLKNPQSYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFEQVDSYHVNVGSAIAPPAFSSSGCCLASVWHDTLKDRTILKIIRVLPPAILNAQTKVSSAVWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIALLDADFHCLPTIQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIGPDKMTVDPALLLSIQGYVDAVLDLASHFITRLRRYASFCRTLASHAVGASSGSGNSRNMVTSPTNSSPSPSTNQGNQGGVASTTGSSQMQEWVQGAIAKISNNTDGAANAAPNPISGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRIPANAQKSSDSSMQKQHLMNSKTEDNTLAVRSGLGAAKLEDGTTSRGQMIGAKGAEENPVGNKSARIGSGNAGQGYTSDEVKVLFLILVDLCKRTATLQHPLPSSQVGSSNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDLGPLDNTRQLKINGSTNRHLSDMEEDGDSSFGIQNLWPRKRRLSERDAAFGLKTSVGLGSFLGVMGSRRDVITAVWKTGLEGEWYKPGALAPNTSNELEAWWISRWTHACPMCGGTWVKVV >OGLUM10G14530.3 pep chromosome:ALNU02000000:10:18506978:18509532:1 gene:OGLUM10G14530 transcript:OGLUM10G14530.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:sensitive to freezing 6 [Source:Projected from Arabidopsis thaliana (AT4G04920) TAIR;Acc:AT4G04920] MRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPTKGPTNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPANSSTSSNLKTFEEKFLTQQPQSSASLVTMAFSKLSTT >OGLUM10G14540.1 pep chromosome:ALNU02000000:10:18518687:18524291:1 gene:OGLUM10G14540 transcript:OGLUM10G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIHIQKLLKLNRQTELQLVSTRLPARASPPDLPRSPAMASPYTGAQPPYPAGAHQPPPPPAQALLQQNHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGPDPSAADAAAFLQGLLHPLDSPATTVPGDFTFRDRFLLRDPVDALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPSQQWAATRLEADEHPSTVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQTKAEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >OGLUM10G14540.2 pep chromosome:ALNU02000000:10:18518687:18524386:1 gene:OGLUM10G14540 transcript:OGLUM10G14540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIHIQKLLKLNRQTELQLVSTRLPARASPPDLPRSPAMASPYTGAQPPYPAGAHQPPPPPAQALLQQNHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGPDPSAADAAAFLQGLLHPLDSPATTVPGDFTFRDRFLLRDPVDALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKDTTYLEACIENHTKSNLYMDQVDFEPSQQWAATRLEADEHPSTVKSIIGDLCKQPILIRAGGGIYNYLYQLRPSSGESGQTKAEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >OGLUM10G14540.3 pep chromosome:ALNU02000000:10:18518687:18524291:1 gene:OGLUM10G14540 transcript:OGLUM10G14540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIHIQKLLKLNRQTELQLVSTRLPARASPPDLPRSPAMASPYTGAQPPYPAGAHQPPPPPAQALLQQNHSLAFRVMRLSRPSLQPDQAAALRFDPRDVFLPEDALTGPDPSAADAAAFLQGLLHPLDSPATTVPGDFTFRDRFLLRDPVDALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVAIKAEIQTERQRILLLDTSKAPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDGDGERKYLPQFFKFTVSNPLSVRTKVRTIKQPILIRAGGGIYNYLYQLRPSSGESGQTKAEGSSILGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPVIFLERPFMVNLCLTNQSDKTVGPFEVFLAPSVLDEEKYVLVNGLQKLVLPLVEAFESINFDLSMVATQVGVQKISGITLYAVQEKKLYEPLSDIEIFVDAE >OGLUM10G14550.1 pep chromosome:ALNU02000000:10:18525208:18527284:-1 gene:OGLUM10G14550 transcript:OGLUM10G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:end binding protein 1C [Source:Projected from Arabidopsis thaliana (AT5G67270) TAIR;Acc:AT5G67270] MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGFMNSYNASERRESSKGGKETNRRTSVPSQAPAKSSSATHKAQASSHGAKKANGHAPNAPPLRSAKPSPANSAGPAYDEQITELKLLVDSLEKERDFYFSKLRDVEILCQSPEVEHLPIVNAIHKVLYAAEDDPSMMAEAQAMISQQQQQSEQPMLSPILEASEERPAKQEAHKRKSISDLELEEFGMASSSRQRLSDISDVQLCGSPLTSFT >OGLUM10G14560.1 pep chromosome:ALNU02000000:10:18547768:18553901:1 gene:OGLUM10G14560 transcript:OGLUM10G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVAARARARARAGGVPRSEGTIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYGILIGCCCSAGRLDLGFAALGHVVKKGFRVEPIIFNPLLKGLCADKRTDDAMDIVLRGMTELRCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKEGDPDKAYATYREMLDRRILPNVVTYSSIIAALCKGQAMDKAMEVHDRMVNNGVTPNCFTYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSLMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKIDEAMLVFSKMRQQGLKPNCVTYSTLINGYCKVTRMENALALFQEMVSNGVSPNFITYNIMLQGLFRTGRTATAKELYVQIIKSGKKDLIE >OGLUM10G14560.2 pep chromosome:ALNU02000000:10:18551451:18553901:1 gene:OGLUM10G14560 transcript:OGLUM10G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVAARARARARAGGVPRSEGTIQDRARVGSGGAEDALDVFDELLRRGIGAPIRSLNGALADVARDNPAAAVSRFNRMARAGASMVTPTVHTYGILIGCCCSAGRLDLGFAALGHVVKKGFRVEPIIFNPLLKGLCADKRTDDAMDIVLRGMTELRCVPNVFSHTIILKGLCHENRSQEALELLHMMADDGGGCLPNVVSYSTVIDGLLKEGDPDKAYATYREMLDRRILPNVVTYSSIIAALCKGQAMDKAMEVHDRMVNNGVTPNCFTYTSLVHGFCSSGQLTEAIKFLEKMCSNGVEPNVVTYSSLMDYLCKNGRCTEARKIFDSMVKRGLKPDITTYSSLLHGYAIEGALVEMHGLFDLMVQSDMQPDHYVFNTLIYASAKQGKIDEAMLVFSKMRQQGLKPNCVTYSTLINGYCKVTRMENALALFQEMSYKVTSKRGVTKIPLYDK >OGLUM10G14570.1 pep chromosome:ALNU02000000:10:18554235:18554600:1 gene:OGLUM10G14570 transcript:OGLUM10G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSQRCSPRKGEGNPRQRSKEITTPEDVAAAGPIAVHQLRTTTQTNGSTWHPPHRTDASPSVDFNEPPPLRAGPGLLRSTTRRLPRQIWPKENPGLGGIASAA >OGLUM10G14580.1 pep chromosome:ALNU02000000:10:18559479:18562428:1 gene:OGLUM10G14580 transcript:OGLUM10G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGREEAAAAAGYWRGPPWVALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTGSHKHKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTIMVLLSKPILALEFNYLEMHVDAPKIVIPHSKKKEVRV >OGLUM10G14580.2 pep chromosome:ALNU02000000:10:18559479:18562428:1 gene:OGLUM10G14580 transcript:OGLUM10G14580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGREEAAAAAGYWRGPPWVALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTGSHKHKWMGPAIRMSLPSFSSKPNMTCTVNFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTIMVLLSKPILALEFNYLEMHVDAPKIVIPHSKKKEVRV >OGLUM10G14580.3 pep chromosome:ALNU02000000:10:18559042:18562428:1 gene:OGLUM10G14580 transcript:OGLUM10G14580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGRALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTGSHKHKWMGPAIRMSLPSFSSKPNMTCTVNFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTIMVLLSKPILALEFNYLEMHVDAPKIVIPHSKKKEVRV >OGLUM10G14580.4 pep chromosome:ALNU02000000:10:18559479:18562595:1 gene:OGLUM10G14580 transcript:OGLUM10G14580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSGREEAAAAAGYWRGPPWVALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTGSHKHKWMGPAIRMSLPSFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTIMVLLSKPILALEFNYLEMHVDAPKIVIPHSKKKEVRYSST >OGLUM10G14580.5 pep chromosome:ALNU02000000:10:18559042:18562595:1 gene:OGLUM10G14580 transcript:OGLUM10G14580.5 gene_biotype:protein_coding transcript_biotype:protein_coding MANYGRALYQLHLVKAATARAFVPRELRLVEAFGYTLGGMFLARYDDSPAGKFDELVVIAGIVWNPPTSCAWAARVLVNSAEACRHGRKEVGLPSHVATFSQTEADALRNKPLVKSNSFLSLLGMRSTVSNQGNDREIEISETKGSCTRHLCNISVPLTGSHKHKWMGPAIRMSLPSFSSKPNMTCTVNFSGQIEDHPDLLKYSCQVECRVRPVRPAKIWRPRITEPQECPDGKISSKGSEVLAEPDAQKHTIMVLLSKPILALEFNYLEMHVDAPKIVIPHSKKKEVRYSST >OGLUM10G14590.1 pep chromosome:ALNU02000000:10:18563361:18564409:1 gene:OGLUM10G14590 transcript:OGLUM10G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRLRHGTPRTHPSRARSAGGPRSVGATPRSEGAVCGRGAEDARHVFDELLRQGSGASMYSLNGARSRRRRALHPRGRCVPLQPHGPSRRRQGGCVMRTEAKKLLSCST >OGLUM10G14590.2 pep chromosome:ALNU02000000:10:18563617:18564409:1 gene:OGLUM10G14590 transcript:OGLUM10G14590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGAGKVTPPTVHTYGILIGCCCRAGRLDLGFAALGNVVKKGFRVEAITINPLLKGLCADKRTNDAIDIVLCRMIELGCIPMGLCDENRSQEALELLHMIMADDGGGCRVCPVRPAKIRRPGSAAKALKYKLNRMSKNRTVLLVYISLSWLS >OGLUM10G14600.1 pep chromosome:ALNU02000000:10:18566773:18569523:-1 gene:OGLUM10G14600 transcript:OGLUM10G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGKWSKEEDDLIKNHMEKYGIGRSWQALSDALGLQRCGRSCRSRWLNYLRPGLKHGDFSPAEERIICKMYSKKGSSWSAIAAQLPGRTDLAVKNYWNSTLKKRFPAAAAARSTAAARRRHRPAASATTSSDDDDDVDVDDATPPGLALVVYSEGSTAAAAAAGELAPYSISSPAATADAAEEEEPIAAVPISTCILALPPPPPPPPPPPSDATGGEVSIPCFPFSPLPFIEPDLPELTWTTDLDDITATFDAAACRYPKRPHPITPDSPCLPKLPAIAGFDDVQSFLSCICLRYNTSPFLLARATAAPPAAAADRCLAGRRCLYSTTPPPPLSPLHWRCSRRDPELGADVSALLLRGRLGARQLFDELPHRVRWRRGYLRAAFAASVSNLNARDGHRGVKAEAMKSSAQ >OGLUM10G14610.1 pep chromosome:ALNU02000000:10:18574422:18579205:1 gene:OGLUM10G14610 transcript:OGLUM10G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAYKDSLKVLEADIQHANTLASEFPREYDGACLQMRLSYSPAAHIFLFLVQWTDCSLAGALGLLRILVYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDTEDKKQKAVCMERYRRRDEDERNILSEIDAEREEECGICMEMNSKVVLPNCTHNMCLRCYQDWNSRSQSCPFCRDNLKKTDPGDLWIYVEDQDVVDMETVSRENLRRLFMYINKLPLIVPDVIFSIYDSHIK >OGLUM10G14620.1 pep chromosome:ALNU02000000:10:18578345:18578982:-1 gene:OGLUM10G14620 transcript:OGLUM10G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03650) TAIR;Acc:AT1G03650] MAAAAAAARILELDPAHPRAARVIDDIVRMEKRIFPKHESLARTFHDELKRRNTALIYSAAAATIPTAGAAAASSASSSDDEEEVIGYAMYTCATSLCASITKLAVKESRRRQGHGEALLMAAVEGCRRRRVQRVSLHVDPARAAAVALYRKAGFQVDATVVGYYAPRRDAYRMYMDL >OGLUM10G14630.1 pep chromosome:ALNU02000000:10:18581609:18585370:1 gene:OGLUM10G14630 transcript:OGLUM10G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 [Source:Projected from Arabidopsis thaliana (AT1G07210) TAIR;Acc:AT1G07210] MASSALRRSLPRGSSLRRILPSSPPPPSPASAAAATFRRSFLSGGGDGVGESVEEFEKRMLGGLDDGLENRRGGSDWGWRGGFRNRGNSSSILAELGTGFDSLEDGLDEKLDEASRTFHVTEEYEDDDYDYRPDVTFRRGSTYNVKDLDLTRPAAAKNPPRPQFQTTTEEVLKKADFRNVRFLANFLTEAGIIIKRSQTRISAKAQRKVAREIKTARALGLLPFTTMGQRPFIYGRSVEVNLSEEEYGYDFVDRRAGEPDENADDAVPDEDVAPGVENA >OGLUM10G14640.1 pep chromosome:ALNU02000000:10:18588159:18596475:1 gene:OGLUM10G14640 transcript:OGLUM10G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S17 [Source:Projected from Arabidopsis thaliana (AT4G04950) UniProtKB/Swiss-Prot;Acc:Q9ZPH2] MRAHFPSGEPLPFYSLTPRPAAARPAAPRPRPHRRVSLRKGKKNPTSGPEAAQIPGGFPAHPPPRPFWNLPSPSHLVTGLKKKGKKKRKKKAEENPKPQGPPPRVVFSSLLLRRRLLLLLHLSSSPVREGRGGEGRGEGEMAAVREVGSKAELEAAAGGARAAAVHFWAAWCEASKQMDEVFAHLAIDFPHAVFLRVEAEEQPEISEAYGVTAVPYFVFLKEGKTVDTLEGANPASLANKVAKLAGPASVAESAVPASLSVAAGPAVLEKVQEMAQQNGASATSSAEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVEFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLQPQGSKNEEAVKAKPDTEKSGAVSEPALLTAAQKERLESLVNSSSVMAFIKGTPEEPKCGFSGKLVHILKQEKIPFSSFDILTDDEVRQGLKLLSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIIAKESLEDRLKALISSSPVMLFMKGTPDAPRCGFSSKVVNALKQAGVSFGAFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIVLELEKSGELKSTLSE >OGLUM10G14640.2 pep chromosome:ALNU02000000:10:18588159:18596475:1 gene:OGLUM10G14640 transcript:OGLUM10G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S17 [Source:Projected from Arabidopsis thaliana (AT4G04950) UniProtKB/Swiss-Prot;Acc:Q9ZPH2] MRAHFPSGEPLPFYSLTPRPAAARPAAPRPRPHRRVSLRKGKKNPTSGPEAAQIPGGFPAHPPPRPFWNLPSPSHLVTGLKKKGKKKRKKKAEENPKPQGPPPRVVFSSLLLRRRLLLLLHLSSSPVREGRGGEGRGEGEMAAVREVGSKAELEAAAGGARAAAVHFWAAWCEASKQMDEVFAHLAIDFPHAVFLRVEAEEQPEISEAYGVTAVPYFVFLKEGKTVDTLEGANPASLANKVAKLAGPASVAESAVPASLSVAAGPAVLEKVQEMAQQNGASATSSAEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVEFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLQPQGSKNEEAVKAKPDTEKSGAVSEPALLTAAQKERLESLVNSSSVMAFIKGTPEEPKCGFSGKLVHILKQEKIPFSSFDILTDDEVRQGLKLLSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIIAKESLEDRLKALISSSPVMLFMKGTPDAPRCGFSSKVVNALKQAGVSFGAFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIVLELEKSGELKSTLSE >OGLUM10G14640.3 pep chromosome:ALNU02000000:10:18588159:18596475:1 gene:OGLUM10G14640 transcript:OGLUM10G14640.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monothiol glutaredoxin-S17 [Source:Projected from Arabidopsis thaliana (AT4G04950) UniProtKB/Swiss-Prot;Acc:Q9ZPH2] MRAHFPSGEPLPFYSLTPRPAAARPAAPRPRPHRRVSLRKGKKNPTSGPEAAQIPGGFPAHPPPRPFWNLPSPSHLVTGLKKKGKKKRKKKAEENPKPQGPPPRVVFSSLLLRRRLLLLLHLSSSPVREGRGGEGRGEGEMAAVREVGSKAELEAAAGGARAAAVHFWAAWCEASKQMDEVFAHLAIDFPHAVFLRVEAEEQPEISEAYGVTAVPYFVFLKEGKTVDTLEGANPASLANKVAKLAGPASVAESAVPASLSVAAGPAVLEKVQEMAQQNGASATSSAEDALNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDVLKQEGVEFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKDVFKEHNIPLQPQGSKNEEAVKAKPDTEKSGAVSEPALLTAAQKERLESLVNSSSVMAFIKGTPEEPKCGFSGKLVHILKQEKIPFSSFDILTDDEVRQGLKLLSNWPSYPQLYINGELVGGSDIVMEMHKSGELKKVLSEKGIIAKESLEDRLKALISSSPVMLFMKGTPDAPRCGFSSKVVNALKQAGVSFGAFDILSDEEVRQGLKTYSNWPTFPQLYYKSELIGGCDIVLELEKSGELKSTLSE >OGLUM10G14650.1 pep chromosome:ALNU02000000:10:18596773:18616094:1 gene:OGLUM10G14650 transcript:OGLUM10G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVKAVTAALTLILASLASGAYVGHRLWQRRQQWWRRRASYLVGMEQELTSSGPYKDLKSMGSSNNSFKFAAVVLAVAQSRTTSPGSPSLDRSITLLTHTHTTTTTTLQHIRGVFLRGGGLLRRVAVEEAVSPSPPPPPPGKPPPPRSVAADERASPSPPPPRRKKDSLFRRVAAAADPRLPLSPVLEQWCLAEERPVAKPEVQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVANRLELITKVYGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEANCVEKAEELFEKMRGMGMASSYAYNVMMRLYLQNGQVEKVHSMHQAMEESGIVPDVSTSHTLVAVLREKKTLVAAYVVAEDIKAIENVLEKANSCNSMYMCRIGVLLKMNDMVGAEKAYEEWESKHVYHDSRLINLLLTAYCKQGLMEKAEALVDQFIKKGRTPFANTWYKLAGGYFKVGQVSKAADLTKKALASASNEWIPDLTNVLMSLNYFAEQKNVEAAEEMTSLLQRLVTPTRDIYHGLLKTYVNAGQPVSDLLDRMKKDGMEADEETQKILAGEKAYEEWESKHVYHDSRLINILLTAYCKEGLMEKAEALVDQFIKKGRTPFSNTWYKLAGGYFKVGQASKAADLTKKALASASNEWKPDLANVLMSINYFAEQKNVEAAEEMASLLQRLVPLTRDVYHGLLKTYVNAGEPASDLLDRMKKDGIEADEETDKILAGELTGTLKPSMTPGLRLASVYLANVVPVTAWAIVGRGQRR >OGLUM10G14650.2 pep chromosome:ALNU02000000:10:18615877:18624516:1 gene:OGLUM10G14650 transcript:OGLUM10G14650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHRRGLLLLLRGGGGGLLRRLSVAVEEAVSPSPLPPPRRQPQEDSVDDEETASPSPGKPQEGSVAAEERASPSPPPPRRKKDSLFRRVAAAADPRLPLSPVLEQWCLAEERPIAKPEIQSIIKYLCRRRRFSQALQLSMWMTERLHLHLSPGDVAYRLELITKVHGLDRAVEYFDSMPDQLKQQQCYGSLLKCYAEAKCVEKAEELFQKMRGMGMASSYAYNVMMRLYLQDGQVERVHSMHRTMEESGIVADVFTTDTLVAAYVVAEDIEAIEKVLEKADSCNDLMTWHSYATIGKVLMQSGMEERALQAFQESEKKIAKKSNRVAYGFLLTMYADLGMNSEVDRIWDVYKSKVPASACNSMYMCRISVLLKMNDIVGAEKAYEEWESKHVGQASKAADLTKKALASASNEWTPDLTNVLMSLNYFAEQKNVEAAEEMASLLQRLITPTRDIYHGLLKTYVNAGKPVSDLLDRMKKDGMEADEETEKILAGEVH >OGLUM10G14660.1 pep chromosome:ALNU02000000:10:18607797:18610698:-1 gene:OGLUM10G14660 transcript:OGLUM10G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGVKSNHHHVKRRQLLLRGVANPNNPTFCLPQAVRSPSPRRCGAAWFPFPCAASPPPAQLPPSLPPSLPPRASVPLPGQQYTRELLTPIGCGSLVCICPQPFPLLFCTTDHVLLCRYIYHDVQFYGMALFKLNLSDVCQGKSGIS >OGLUM10G14660.2 pep chromosome:ALNU02000000:10:18607797:18610698:-1 gene:OGLUM10G14660 transcript:OGLUM10G14660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGVKSNHHHVKRRQLLLRGVANPNNPTFCLPQAVRSPSPRRCGAAWFPFPCAASPPPAQLPPSLPPSLPPRASVPLPGQQYTRELLTPIGCGSLVCRYIYHDVQFYGMALFKLNLSDVCQGKSGIS >OGLUM10G14670.1 pep chromosome:ALNU02000000:10:18625511:18654944:1 gene:OGLUM10G14670 transcript:OGLUM10G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPSPFNMGQNASVIHVWPINIGPKQDCGMGLADLLLRIFSPFFTHHETLISGHSLLPPPKTLASAAARSPAATTMLLHRRGLLLHLRGGLLRRRRGLSVSAEETVSPSPPPPPPPPPPRLQGEDSLFRRVAGADPRIPLAPVLEQWWLAEERPVSKPELQSLVKYLRRRRRFSQALELSMWMTERRHLHLSPGDVAYRLELISKVHGLDKAVEYFDAVPNQLRELQCYGSLLRCYAEAERVEKAEELFENMRGMGMANSYAYNAMMNLYSQIGQVERVHSMYKAMEEGGIVPDIFTIDNLVSAYADVEDVEAIEKVLEKASCNNLMSWHSFAIVGKVFMKAGMQERALQAFQESEKRITARKDGRVAYGFLLTMYADLQMDSEVDRIWDVYRSKVLASACNTMYMCRISVLLKMNDIVGAEKAYEEWERTG >OGLUM10G14680.1 pep chromosome:ALNU02000000:10:18657004:18665306:1 gene:OGLUM10G14680 transcript:OGLUM10G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCSFYSPLQVVKAIEKAIPIPRVQPIALDGPAREELKAMEAQKVEIDRTAALQVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYTFFLRTKKEPSFEGFFESRFAAKQKRLMHARDFDLRRYDELRRACGLPVVRTPTSPCRPSSSSSLSSTQESHCHSYCHCQ >OGLUM10G14690.1 pep chromosome:ALNU02000000:10:18673332:18674696:-1 gene:OGLUM10G14690 transcript:OGLUM10G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRCPPDVVSYNTIIDGLFKEGDVDKAYITYHEMLDRRVSPDAVTYNSIIAALSKAQAMDRATEVLTVMVMPNCFTYNSIMHGYCSSGQSEKAIGIFRKMCSDGIEPDVVTYNSLMDYLCKNGKCTEARKIFDSMVKRGLKPDITTYGTLLHGYASKGALVEMHDLLALMVQNGMQLDHHVFNILICAYTKQEKVDEVVLVFSKMRQQGLTPNAVNYRTVIDGLCKLGRLDDAMLNFEQMIDKGLTPNVVVYTSLIHALCTYDKWEKAEELIFEILDQGINPNIVFFNTILDSLCKEGRVIESKKLFDLLGHIGVNPDVITYSTLIDGYCLAGKMDGAMKLLTGMVSVGLKPDSVTYSTLINGYCKINRMEDALALFKEMESNGVNPDIITYNIILHGLFRTRRTAAAKELYARITGSGTQLELSTYNIILMDFAKTNSLMMHFGCFRTYV >OGLUM10G14700.1 pep chromosome:ALNU02000000:10:18677673:18679112:1 gene:OGLUM10G14700 transcript:OGLUM10G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAIVVGMPTRCAPPAFMAGVKRQIPPLPSMAAMPPSLRAIQAKRKLEAVRRGVVPRAAGTSALAALVAAVEAVQGAAAGGAAEAARGAGNAMAWVIRKVHLESPDLAVGLLGLVASCLGTVMEAEMDRIKRKNVEPSASAAAAASNAAPDNDGGDTDQIEDADAEMPELVELDMETELWSRIGIMHSDDDTPVFVDDEDGLQEIIDIARVHRRKAAYERIIATAADVNSLILSNYAQLLYQFDKDLDRAEDYFKQAVAAEPVDGEAMRRYALFMWHARGDLAGAEDMFTRAIDEEPQSSQHRSSYAWFLWMTGGVETCLIDSGNDTE >OGLUM10G14710.1 pep chromosome:ALNU02000000:10:18679432:18681784:-1 gene:OGLUM10G14710 transcript:OGLUM10G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53490) TAIR;Acc:AT5G53490] MASTSSCLASPATAAAAPRLRVRVTPRAGRVVACSAGGGGGPEAAGLFAGGRKAVGGLACGVLAAWAVASSSSPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDSKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLQGAIFRNTVLSGSTFDDAKMQDVVFEDTIIGYIDLQKLCTNTSISADSRLELGCR >OGLUM10G14720.1 pep chromosome:ALNU02000000:10:18683364:18683642:1 gene:OGLUM10G14720 transcript:OGLUM10G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGGGGGGGGGILSYEKLEGYAIWVGASVASAFFASMESCSCIHVHTADDEGDDYDPEEAKDRPLMLSRPQALPEYYYDRSASSASFAKM >OGLUM10G14730.1 pep chromosome:ALNU02000000:10:18685550:18685819:-1 gene:OGLUM10G14730 transcript:OGLUM10G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLPPSIRRGMLVTFFLACFFLAELAGFSHGRRVMEDKEDDHSEASSEEQLYELPRTRGRPFVSAPSPAYEASDRPVPQGSNPLHNR >OGLUM10G14740.1 pep chromosome:ALNU02000000:10:18690416:18693118:-1 gene:OGLUM10G14740 transcript:OGLUM10G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BCD9] MASTTSATAAGGAFAAAKTRAGSSAAGGGACARVAAGGRRRSGVVVRCDAGVEAQAQAQAVAKAASVAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAISELTSLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKSECLSARMLLIGAGKMGKLVVKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLTEMYEAAAEADVVFTSTASETPLFTKEHAEALPAISDAMGGVRLFVDISVPRNVSACVSEVGHARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDALTKKMRRSIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKSQN >OGLUM10G14750.1 pep chromosome:ALNU02000000:10:18696324:18699803:-1 gene:OGLUM10G14750 transcript:OGLUM10G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVVASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVGTSMAFF >OGLUM10G14750.2 pep chromosome:ALNU02000000:10:18696324:18699803:-1 gene:OGLUM10G14750 transcript:OGLUM10G14750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATCSAACARPAVVVVASPAAARRRAASSVYLPGRPLRGGGVVRCSAGPVSGGMISKKGKICSSSSRVGIFFAWKNLTVAVAVAVAELWAAARSASPVAVIAAVAGAAVVYKVGSSLLAPPPPPARPREEPSEEAPPPPEPVQVGEITAEELLQYDGSDPEKPLLMAIKGQIYDVSQSRLFYGPGGPYALFAGKDASRALAKMSFEPQDLTDDISGLSLLELSALQDWEYKFSSKYVKVGTIKKVLVEQGGDSTADAIEEAAVDGEDSILTAKMSNQLLYEEEMEVGTSMAFF >OGLUM10G14760.1 pep chromosome:ALNU02000000:10:18703957:18705910:-1 gene:OGLUM10G14760 transcript:OGLUM10G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVSGIFAGPPPPPPRPRDEPEAEPLPPPVQLGEVSEEELRQYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMGKYVKVGTVKKTVPVEDGAPSTSPETTETAAAAEPEKAPATEEKPREVSSEEVKEKEDAVAAAAPDEGAKES >OGLUM10G14770.1 pep chromosome:ALNU02000000:10:18725813:18727107:-1 gene:OGLUM10G14770 transcript:OGLUM10G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48880) TAIR;Acc:AT3G48880] MGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWSTLDFGLLKSNYIQTRASPYIWVDDRSDKRLSRILRVAMSISYGNVSCLIFHYNLYMKDEHLHYISERSPHLKRLVMPAWNRITKFGICQAIQRWEELESLTMPTIGHPPYIMEEIARSCKNFAELKVMGSFDDLFASAIATHLPKLKVLSLRCSKVTMSALLWLLDNLANLEVLNISHCLLFEIVANGRRQVIHELDDQTLEKASRLREFHHCQSRSCLACQRMMADEGIMRWYRYEDWFWRRDEVSSLDLQDYGKLFDAECEALTAVE >OGLUM10G14780.1 pep chromosome:ALNU02000000:10:18734995:18742609:-1 gene:OGLUM10G14780 transcript:OGLUM10G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSAATTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >OGLUM10G14780.2 pep chromosome:ALNU02000000:10:18734995:18742609:-1 gene:OGLUM10G14780 transcript:OGLUM10G14780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSAATTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQEGCASEFLLATNRHIARHIPTENTKMTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >OGLUM10G14780.3 pep chromosome:ALNU02000000:10:18734995:18742609:-1 gene:OGLUM10G14780 transcript:OGLUM10G14780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGAASPPPSSAATTTTTTLLVPSSSVAMPPPRLAHLRRRSSSLLLLHHNHHHHRGGGSAPPHPLLRPPPPPQSHPFQLLTPRAAMASVAQPGVAAGSAEYEEVLGCISSLITQKVRADTGNRGNQWELMAKYLQILELEEPIARLKVVHVAGTKGKGSTCTFAESILRSCGFRTGLFTSPHLMDVRERFRLNGLDISEEKFIRYFWWCWNKLKDKTGGDIPMPAYFRFLALLAFKIFSDEQVKAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQPEEAMSVLKHRASELGVPLQVVQPLDPQQLDDQPLGLHGEHQYMNAGLAVALVNTWLQRQGHFNILHKKHSVTLPDQFIEGLSSACLQGRAQIVPDPEVLSKDSSSLIFYLDGAHSPESMEICAKWFSCVTRKDEQQPGPLDQLHIGTNSRKILLFNCMSVRDPQRLLPCLLATCAQNGLQFDHALFVPNQSQYNKLGSHASPPSERVQIDLSWQLSLQRVWEGLLHSNKGLNGSNSSTASSVFESLPLAIKWLRETAQQNQSTSYQEGCASEFLLATNRHIARHIPTENTKMTFSGTQDKCNACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKETGTFKKNFPSGTKANSEQAKIPSKLSSVFCGTQDKCTACKKTVYPLEKMTMEGECYHRTCFKCAHGGCLLTNASYASHNGILYCQNHFWQLFKKSGSYDNLLKPASAAAENTVESEVAVAEPAKEDPETEEAAKEEEEASPEQVAEAVVEDQEHS >OGLUM10G14790.1 pep chromosome:ALNU02000000:10:18749249:18750676:1 gene:OGLUM10G14790 transcript:OGLUM10G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTAAALKFTVRRKPAELVAPAGPTPRELKKLSDIDDQDGLRFHIPVIQFYRRSAAMGGRDPAPVIRAAVARALVSYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLEHFGGALQPPFPCLEELVFDVPGSSEVLGSPLLLFQVTRLACGGFILAVRLHHTMADAQGLVQFLGAVAEMARGGAAAAPSVAPVWGREMLEARSPPRPAFAHREYDEVPDTKGTIIPLDDMAHRSFFFGAREVAAVRSHLAPGIRERATTFEVLTGCLWRCRTAALAPNDDEVMRMICIVNARGGGKSGGGAGMIPEGYYGNAFAFPVAVATAGELRARPLGYAVELVRAAKGEVSVEYMRSVADLMVQRGRPHFTVVRAYLVSDVTKAGFGDLDFGWGKPAYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVVPMCLPGPAMDKFVEEMGKLMKPAAAATAATRQQPADMFAMIKSAL >OGLUM10G14800.1 pep chromosome:ALNU02000000:10:18750697:18751848:1 gene:OGLUM10G14800 transcript:OGLUM10G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAGRGVDAGDPHIEGLGNWAASKHDRANGEHLVSRAESQDVVGVDYLHHIKAVAGDAGGTALVAESGIRKLISFDAE >OGLUM10G14810.1 pep chromosome:ALNU02000000:10:18754004:18760590:1 gene:OGLUM10G14810 transcript:OGLUM10G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 [Source:Projected from Arabidopsis thaliana (AT4G00570) TAIR;Acc:AT4G00570] MWRHAARRSSAQIRRSGVMSSSSSPAAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFINSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGNGRQGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >OGLUM10G14810.2 pep chromosome:ALNU02000000:10:18754004:18760590:1 gene:OGLUM10G14810 transcript:OGLUM10G14810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent malic enzyme 2 [Source:Projected from Arabidopsis thaliana (AT4G00570) TAIR;Acc:AT4G00570] MWRHAARRSSAQIRRSGVMSSSSSPAAPAAAAGAAVPGPCIVHKRGTDILHDPWFNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYDRFSESTPSTPPNFRPRDHLISAALPNVACDALAACCVAVNSFRSLEHNTRGEPDTIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNTKLLEDKLYLGLRQPRLEGEEYLSVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLSDFTKQKIVVVGAGSAGIGVLNMAKQAMLRMPGINRSGEGHNQFWVLDKDGLITKSRKGLDPAVARYARGFGPEEVQDLHEGASLVEVVRKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAIFAMSNPTTKAECTPEDVFKYVGDNAVFASGSPFSNVTLGNGRQGYANQANNMYLFPGIGLGALLSGARHITDGMLQSAAECIRHITARVGAAVVRAAVDEDLAEGRCDVDARDLKSMTEADTVDFVARKMWYPIYSPLVNDK >OGLUM10G14820.1 pep chromosome:ALNU02000000:10:18758902:18764148:-1 gene:OGLUM10G14820 transcript:OGLUM10G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin10 [Source:Projected from Arabidopsis thaliana (AT1G63260) TAIR;Acc:AT1G63260] MGSSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGVIFLISLVGFLGAWKKIACLLWTVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVACCARRNTGESDSKYLSHALEVPSVYITAPLGQIFINSGTDDCGADTCGDVPDTAFFMVVC >OGLUM10G14820.2 pep chromosome:ALNU02000000:10:18758902:18764148:-1 gene:OGLUM10G14820 transcript:OGLUM10G14820.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetraspanin10 [Source:Projected from Arabidopsis thaliana (AT1G63260) TAIR;Acc:AT1G63260] MGSSTSAFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVMGLGGVIFLISLVGFLGAWKKIACLLWTVYYHKYRNWPFCLWGELNDTEKWTHLRSCLVKSDDCNGLSRRYKVPPLPHIFLLVTTDVLTIKSKVSPFPSISAMSILPVLFYSLQTLKQYKLADLTPMESGCCRPPAECGYPAVNASYFDLSYHPVSTNVDCKLYKNARSVLCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVACCARRNTGESDSKYLSHALEVPSVYITAPLGQIFINSGTDDCGADTCGDVPDTAFFMVVC >OGLUM10G14830.1 pep chromosome:ALNU02000000:10:18764400:18781106:1 gene:OGLUM10G14830 transcript:OGLUM10G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMTRGPGRLVGPRRQCLTVAPASRRCVLAASCRKGGKRIGDVVDVGDNGQGVEEREEEDEAFNHFHIARQSNFLGGSPLARYFAAASSAFTTSSPRRASVAARFSSAALCTFATPFISFSTCSAFFLSSDILFSVATVVRRASDEHERGMELAGWRLISPGWLGEDGMAAEASNQAKSDWILIHESDIGQRVRAGRMYEVAAVKNGNVFTVGAVKNGHVFVGRGEEQERHKREAAAAEEEAVPAAPPLLAWWSSCVGDTDATITGEAGTGNSAARRG >OGLUM10G14840.1 pep chromosome:ALNU02000000:10:18767267:18772797:-1 gene:OGLUM10G14840 transcript:OGLUM10G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPRARYPPGYTSGGGGGGGGGGGRGNGGGGFGGGGGGGGGNHGYYGRGPQPQPQQQHYHHQAQQLHQQQQHAQRNSSSQQQQWLRRDQATAAAASGEVAARTAAQLEAVDSSSEDWKAQLNLPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDPEKNAIQVVILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSIEQLIHFLPANRQLLMFSATFPVTVKDFKEKYLPRPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLQVHQMYIAPHQGFSYSGGKR >OGLUM10G14850.1 pep chromosome:ALNU02000000:10:18774448:18775821:-1 gene:OGLUM10G14850 transcript:OGLUM10G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPAPEPTKDIAEERAAVPAPEESKAMTVVDDAEKAAATGGSHERDALLTTVATEKRISLIKAWEENEKAKADNKAAKKLADIASWENSKVAEIEAEIKKYQEYLERKKAEQVEKLMNGVAKVHRAAEEKRAATEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >OGLUM10G14850.2 pep chromosome:ALNU02000000:10:18774448:18775821:-1 gene:OGLUM10G14850 transcript:OGLUM10G14850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAPPAPAPEPTKDIAEERAAVPAPEESKAMTVVDDAEKAAATGGSHERDALLTTVATEKRISLIKAWEENEKAKADNKSVILIVALLSLLAKAEAAKKLADIASWENSKVAEIEAEIKKYQEYLERKKAEQVEKLMNGVAKVHRAAEEKRAATEARRGEEVVKAEEAAAKYRAKGEPPKKLLFG >OGLUM10G14860.1 pep chromosome:ALNU02000000:10:18781120:18781743:1 gene:OGLUM10G14860 transcript:OGLUM10G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDGDGAYAEGGNLAGEAAAREERGEQVVDEVVHGAVVGLGLHAMEGVHGGQVVAQLGDLAADAAELLVFLGQQLTNIAYEVLSRVLHASISLAWLAGS >OGLUM10G14870.1 pep chromosome:ALNU02000000:10:18784191:18784460:1 gene:OGLUM10G14870 transcript:OGLUM10G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYISEEYVAKRRAEKRAAAAAAAARMAGGDGEDGKARGGGGGGDSRRTRWTAAWADGSEKGKGSPGHVVGAVASGREDDVILSYFSA >OGLUM10G14880.1 pep chromosome:ALNU02000000:10:18784951:18787143:-1 gene:OGLUM10G14880 transcript:OGLUM10G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAPAKAAHALAAAAAGMVLLWCVHFRGGLALSSPTNKGLIFNVHPVLMLIGFIILGSEAIMGYKIWPWGHDTNKMVHLLLHAIALLLGSVGIYAAFKFHNESGIANLYSLHSWVGLGTICLYGVQWIFGFVTFFPGASPSLRRAALPWHVRSGLLVYILALLAAELGFLEKLTFLEAGGLGRYSSEALLVNFTAVLVILLGSAVVMYVTAPMHNEHSHGYSAVRKP >OGLUM10G14890.1 pep chromosome:ALNU02000000:10:18795318:18797940:1 gene:OGLUM10G14890 transcript:OGLUM10G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRQGRSVRSTRRRIQPRAISWINDSNERAQLYAKAGGSCFTLATRLALANLDFNILLGDEIKLDDPDGPDPDRQPLGQCLCILLQSSSRLQSKVRLKSCIH >OGLUM10G14900.1 pep chromosome:ALNU02000000:10:18810526:18816057:1 gene:OGLUM10G14900 transcript:OGLUM10G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRGGGGGGGKAKAVFKLQFHATQVPEVGWEAMMVVVTPRDAGRPTARTESAQVADGACQWPAPVYEATKLPSSGKDKIYQFLVYDTGSTKAALLGEATLNLAEYADAFKPWIVTLPLSGSPGAQLHVTIQRVVGGGGGGGGGCGDDASENGGDVSPVVARTPPRKTLQSQLSRCEDEEAEKARAAAAAADAMSPMQDRLVINKPPGMRFSARRNMRGPVDHLHNSNSFDAISVSGSDGSSGRFTPKNNASMHSTFLQEGTNTLSPLRNTLTSSGDWSGSSAPDASTDGSTSNSGEAGLREAEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEVGSLRDERDALRRECEALRGMKKTIHDANGSGKRLSSGEDPWSQIEELKQELGHEKNLNGDLHLQLQKMQESNSELLLAVKDLDEMLEQKNKEISLLHEETLEDPQEAEYELALSNVHNAGHKIDISETSSVQEKEDELMLDALAKTTDGVATSELQNKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLSIISDLEANVESLENELQEQSKRLEADIQEVMRAKVEQEQRAIKAEESLRKARWNNATTAERLQEEFKMLSSQVSSAFSANEQLLMQARKEAAELQLQKGQLEELLQKAQEDLGSIQEQHRVKVQQLLTLVDFKSKETDRLVMELKSKSDEFQNQKRCNEAKLSVLSEEIDQLKAKIENLSNERDNLFEENEQKDKELAANCQKDMFLQDRDAEIALLNTELASIKDQVQTYLEEINTLKSSKNEKEEMIEKLQSEIRSLKFEYDNLKILMSTNDSEKHNLASQVLKLRRALESREDVKQNGVKSDEDNHHATSKRIKHDDGTTGSCNVLPSTNRHNANGDCNGHDRRDAAHDQSVKELEILKERNTALEEELKELHGRYSEISLKFAEVEGERQQLVMTVRALKNSLR >OGLUM10G14910.1 pep chromosome:ALNU02000000:10:18822538:18822840:-1 gene:OGLUM10G14910 transcript:OGLUM10G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVVVAAAAVAVVVAQAPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPMYASYINSTNARKMIAACGIPLPNCG >OGLUM10G14920.1 pep chromosome:ALNU02000000:10:18831662:18831964:-1 gene:OGLUM10G14920 transcript:OGLUM10G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVAVAAGAVAVVVAQAPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQQGCFCQYAKDPMYASYINSTNARKMIAACGIPLPNCG >OGLUM10G14930.1 pep chromosome:ALNU02000000:10:18835934:18836128:1 gene:OGLUM10G14930 transcript:OGLUM10G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAAMEMLLLVVVAAAAVAVVVAQAPPPPPQCDPGLLSPCAAPIFFGTAPSASCCSSLKAQ >OGLUM10G14940.1 pep chromosome:ALNU02000000:10:18841640:18863799:1 gene:OGLUM10G14940 transcript:OGLUM10G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRERPSVREVRQGGGRRHNNSLGEGAASATRGERGKDTGAVARGGEGGATRWGWAPPPPSLFDRERKGDWGRLEVADDRLWESMTGGAVKLVGIDGVGDDIAEEECQEKEREKPPSPPAAQPCAASQPPLSPDLAKGRPPPAAARRFRRAVARPPLPQDLAEGRPPPGVAVVGGGGRGRGRRGEGEGEKMRGEEEIRTRRTTGGVGVSQKAEWKEIAFIHLGPN >OGLUM10G14950.1 pep chromosome:ALNU02000000:10:18850059:18850340:-1 gene:OGLUM10G14950 transcript:OGLUM10G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWVAAMEMLLLVAATAVVVAAAQCDPEQLSACVSPIFYGTAPSESCCSNLRAQQKEGCLCQYAKDPTYASYVNNTNARKTIAACGIPIPSC >OGLUM10G14960.1 pep chromosome:ALNU02000000:10:18854625:18854900:-1 gene:OGLUM10G14960 transcript:OGLUM10G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKWAAIMAVLLLAAAWAAAPAAAQCNAGQLAICAGAIIGGSAPSASCCSNLRAQRGCFCQYARNPAYASYINSANARKTLTSCGIAIPRC >OGLUM10G14970.1 pep chromosome:ALNU02000000:10:18864323:18866430:1 gene:OGLUM10G14970 transcript:OGLUM10G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPAMLTTKHVPEDVGLRNVAAAEEEGGQGQMHRGEEKQHKPVLKKVKEKVKKIKNTIAGGGGGGHGGNSGGERASGSSSSSEEGEDDVAARRMGDVDQRGYQEDVEEDKPVAMESDPEVHGAPMYDSARIPSVQEVEGDGGAPRVRLGDLGGPVVEDPAAPRSTTRVPREGEDIGTTPVVRAFESMSVSDDPKHVGAAGKPDADVQNDPMPVSDAATAGEEWKDATPDSAAAGATPGATYTDKIKSAAAGTTEYGKKLATTVYEKVAGVGTVVAGKVQQVTQSAGTATPGVAGGAAGSQSQDDVDASTTLASGEPATGGQQQQDKGVTVTGYIADKLRPGDEDRALSEAISGAVQRRKDDMAQRVPAVAPAAPGDTIAKVREAPAQVLAKARDAVTSLTGGTRVSDTVQPTTTTEADGAEVEAAPVIRGEEIGETQRQPNVSMT >OGLUM10G14980.1 pep chromosome:ALNU02000000:10:18868232:18869755:1 gene:OGLUM10G14980 transcript:OGLUM10G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGARPPALRTLSARLWPRRRLCGVHAGSRSGGEGPGARESGGGDVRIGRGEEEEEGGLDGGRVPQEVLLRLPTPPGRAETDDDDGENLSPGAGSGSRRRFFEELRLKADRIVKILLQDGPGFNTRQALDEMRPRVSNALVREVLLKFVVSIDGVNRARYPRLAYKFFLWAEDQEGYRHGTSMYNLILKIFAECGELKAMWRLLEDMTDKGLPVSSRTFHLLVCTSGRAGLRRRLVERFIKSSNFNYRPFRNSFNAILHTLLTIEQYSLIEWVHQKMIAEGHSPDVLTYNVVMRAKYMLGKLDQFHKLLDEMGKNGLAPDLYTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPTVLHFTNLIDGLSRAGNLEACKYFFDEMVKKGCQPDVVCYTVMITGYVAAGEFDEAQKFFDDMLLRGQLPNVYTYNSMICGLCIVGEFDKACSLLKDMESRGCTPNFTVYSTLVSRLRNAGKDSEANNIIQYMTNKGHYLHLLSRFRRYRRC >OGLUM10G14990.1 pep chromosome:ALNU02000000:10:18871229:18872067:-1 gene:OGLUM10G14990 transcript:OGLUM10G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVSKCNSCLWFNSLIPSAVHAFVSSDDNSQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKDNKMTIIGEMDTVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >OGLUM10G15000.1 pep chromosome:ALNU02000000:10:18872826:18883653:1 gene:OGLUM10G15000 transcript:OGLUM10G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASQVSTLVGSGAVRLRSIEQTCDRPLDEKELERKLKKDQKAREKEEKRLKAKQKEAIRLQAQAASDETKKSDKKQKKKGTPDENPEDFVDPDTPAGQKKLLASQMAKQYNPAAVEKSWYSWWESSQYFVADATSSKPPFVIILPPPNVTGVLHIGHAITVAIEDAMIRWRRMSGYNALWVPGMDHAGIATQVVVEKRLMRDRNLSRHDLGRDKFLLEVLQWKDQHGGTILKQLRTLGASLDWSRECFTMDEKRSKAVTEAFIRLHKEGLIYRDNRIVNWDCSLRTAISDIEVDYCELTEETLLEVPGCSTLVQFGVIINFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKRLHGKHALHPFNGRKLKIICDSKLVDPSFGTGAVKITPAHDLDDFNTGKRHKLEFINIFTDDGNINENGGPQFEGMPRFTARAAIIDALKANGLYRGTENNKMRLGRCSRTKDIVEPMMKPQWFVDCSTMAKAALDAVKTKRIEIIPIQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDEEKDIGSYIDHWIIARNESDAILEAKQRYPGKNYKLDQDPDVLDTWFSSGLFPLSVLGWPDSTADLISSFYPTSVLETGLDILFFWVARMVMMGMLLDGDVPFQKVYLHPIIRDPHGRKMAKCLGNVIDPIDVINGISLEDLGKKLEHGNLDPSELEKAKEGQKKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAVRFAMNKLGDEYTPPAAIALCSMPPLCKWILSALNKAVGKTVSSMEACKFSEATSSIYSWWQYQLCDVFIEAVKPYFNQSQELESERGACRDTLWICLDTGLRLLHPFMPYITEELWQRLPQPKEACRKDSIMISEYPSVVQEWTNDQVENEMETVLDSVNKLRSLRPHTDIHERRPAFMLCRGVDIAAIIQCYQAQISTLASVSSLKILTEDDPTPPNCATNIVNKDLSVYLQLRGALNTEAEREKLRKKRDEIQKQHDTLSQKMNASGYREKAPQSKQDEDMKKIAALLEELEIIREAENKKAFPDLSVLGWYSIGTIVHATDMGIHHGDYTDMQIHQTNPK >OGLUM10G15010.1 pep chromosome:ALNU02000000:10:18886063:18891929:1 gene:OGLUM10G15010 transcript:OGLUM10G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPKQPDPEEATSPPATAVGTGVAHGTRPAQERRQWRCRRREGGELMDANGTAFYLLLNPAINFSQKDIPVTIYERVTNTTYKHYKHVLLKIVGVERISLDHADFVYPSPCVVFDVLAPPLGKEFSVLGCVAQPHHLARVGIALCSPFLNANKRCCRKNAFRTMLFETPSRFAMFRVSDVLFRYPEDIWSSFTDPRTAHQAVRTIGFIENIGCWFNGHIVPELIWGLNYALDEFVPQEKGNLSNECHFPLSKQLHEQLKAYGFSISPQLINREFITSFGYLNYLERTSKNISGDLHQKFDRFFCGLEMSEGVFVKVVADRLRSMEEVASTPGRREALSNAEFLLTVPKKKYNTLSRLKRMEAEVRGSGPWVFVAVFAVAFGVMEGLRIAMKRAN >OGLUM10G15020.1 pep chromosome:ALNU02000000:10:18892729:18897781:-1 gene:OGLUM10G15020 transcript:OGLUM10G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33400) TAIR;Acc:AT1G33400] MERLKSAVPAELRRAVGEGTAADLPSTTSRLLAFLEALPLFRQVIGELTDPELALCRKDKGRAAELKGKGNACFSKREFEQALGFYSQALRYFPISPDGTDASLIATLYVNRASTMHKLGLLEECLRDCDRAISVSPNYAKAWYRRGMVNASFRNYSSSIHDLEVALSMEVTSSGKSNIEQELKLILQKHQNVNEVGTSSSNCINADMPHTEQQPKVILECTSTPNKGRGMSSPNDISPASLIHAEDPLAVIIMKSCRDTHCHYCFSEAPADVVVCPSCTIPIYCSNRCQEKAIGQMSCNQNTHLESNNNVVDIAKLSVTSTKSKTPDSKQIAEHRHECGGACWAAVLPADIVLAGRIMAQYIEKQLLVGKRSTISGPNLDLVHHYDQDSSASKFESHIYATVLFLCLQSYYKSGVSWAEDSLSQLVLLICQIKVNSIAIVHMKSMDGGKALTKGFSGFSGDVMCSVEQVRVAQAIYMSGSFFNHSCRPNIHAYFHSRTLILRSTEYIKAGSPIELSYGPQVGEMDLPERQKSLRENYYFSCGCSSCSVLSLSDLVMNSFCCPQSNCLGAVSELIHHRHKENFVHVSIGESHVCTLSLPDVSKFDEDIVKVGKLFFKSDTMFNIDPGFCMSCRSQLDLSSAVAMSDRATSKINRLKELPSLDNVPEVLIAEALQSLERIEKLRHPYSKTLAQSHDTIAEAFAKVGDQEQARKHCEASIKILEKLYHPRHIIIAHELIKLVSIELSMGDGASAAAAFARADAIFSLYYGPDVERILPYVDVLRRTVSERSIDSC >OGLUM10G15030.1 pep chromosome:ALNU02000000:10:18898125:18900558:1 gene:OGLUM10G15030 transcript:OGLUM10G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGQHGRFHRVVVANILKNLCAYAKPDSDCQYSMQKFSVDNISMTDNLIGEDMEAFLGLVLQFSKLLCATDFIEAVNGNGIGLRNFVQKLKLILKQANSHRTEASVHPGIRRSAIEQVIWMAQLKPEPHCIDHFIDCEMRDDLVMAQQTARRAWQENFKLSSGGVSVLEI >OGLUM10G15040.1 pep chromosome:ALNU02000000:10:18906621:18910707:1 gene:OGLUM10G15040 transcript:OGLUM10G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEIGGALLPCVDNERGRRQRVNEIERCEKDERLGGDKIQPCELWHSLRCSIPPSRPPPTAMATSPPRPLHRLPSDGGARSRTGEHRQGGSSLKTPPPPSPPPRNERRAPQSTDGGRGKGEVTYEHTREAVRSLDQEKGLNAMELLKKKLEFACDIDNEGQKLMSNMEMIQAVLRGGEKMKFNDEQRLWFSDLKDAG >OGLUM10G15050.1 pep chromosome:ALNU02000000:10:18917719:18925857:1 gene:OGLUM10G15050 transcript:OGLUM10G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLNEYLYEVQRRKVIHLPHLRNHTLSSALNPSRLKFMSNMERKIKCIAGKIDDLKNKRLTFQVEVHDQTDQQHEGSMCNGSTSLPPISPCGRENDQERIVNMLLQRDLKPNIAVLPILGEAYIGKTTVAQLIINDKRVSRHFDVRTWAHVSPDFSIKRISASILESIYDKSHYDNLDTLQKHIQKRLRGKRFLLVLDDYWTENWHDWEELKRPFLKASAGSKVIVTTRSGAVAKLLGMDLTYQLKPLSSEDCWSLFRRCALGVEVKEYNSGDFLDRLKMEVLQKCNGVPFIAASLGHRLHQKDKSKWVAILQEEICDANPNYFIRARQLSYAQLHSHLKPCFAYCSIIPREFQFEKEWLIKHWMAQGFIQPDAVATGSSYFRTLFEQSFFQRELVHHSGERHRYSMSRMMHELALHVSTDECYILGSPGEVPEKVQHLTVLLDEFANQNMFETISQCKHLHTLLVTGGNAGYELSIPKNLLNSTLKKLRLLELDNIEITKLPKSIGNLIHLRCLMLQGSKIRKLPESICSLYNLQTLCLRNCYDLEKLPRRIKYLHKLRHIDLHLDDPSPDIHGLKDMPVDIGLLTDLQTLSRFVTSKRNILDNHSSIKELDKLDNLCGELLNSNLHVVKDAQEAAQAHLASKQFLQKMELSWKGNNKQAEQILEQLKPPSGIKELTISGYTGISCPIWLGSESYTNLVTLSLYHFKSCTVVPSLWLLPLLENLHIKGCDALVKFCGSSSANFQALKKLHFERMDSLKQWDGDERSAFPALTELVVDNCPMLEQPSHKLRSLTEITVEGSPKFPGLQNFPSLTSANIIASGEFIWGSWRSLSCLTSITLRKLPMEHIPPGLGRLRFLRHLEIIRCEQLVSMPEDWPPCNLTRFSVKHCPQLLQLPNGLQRLRELEDMEVVGCGKLTCLPEMRKLTSLERLEISECGSIQSLPSKGLEHVNDMEEAVHAHLASKKFLEKKLHLERLDMLHRWDGDNICSFPSLLELVVKKCQKLELVAHKLPSLTKITVEGSPNFCGLRNFPSLTHVNVTESGEWIWGSWSGLSSPISIILSKLPTVHLPSGPRWFHSSLQRLDISHCKNLECMPEDWPPCNLSHFSVRHCPQLHKLPSGIRHLRALEDLEIIDCGQLTCLPDLDRLTSLLWMEISNCGSIQFLPYLPSSMQFLSINNCPQLRLSCMKEGSLDQAKIKRIFSVWIDGAEVFSSADEPRFVIPAKLQKG >OGLUM10G15060.1 pep chromosome:ALNU02000000:10:18927164:18933724:1 gene:OGLUM10G15060 transcript:OGLUM10G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLLLPSCHTSGDAEGNPRHGRRPPRRKRFRRRLSSAGRARVLRWATPVCSQEPSTQICSLHGLTEATAQSWAKDYIGGGRRIEMPVAGVDEESTQSARIGDGFDGAMVERREGEREKVHGSWLSNKKAGEERRQPGWCWLWGKLSESGPRLLDN >OGLUM10G15070.1 pep chromosome:ALNU02000000:10:18946269:18949010:-1 gene:OGLUM10G15070 transcript:OGLUM10G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPTHTMSFKALGLTGGVITANPANVEYTLKTNFGNYPKGELAVSMLVDFLGHGIFNSDGEQWLWQQKAASYKFNKRSLRNFVVDTVRFEVVERLLPLLERAERDGRMLDVQDVLECFAFDNICHVAFDEDPACLARGKHGLAPERRERVVAGLARSDDFLSRFAASGEHSNESLQSEEASTPPRPPCKRPRHADHPVLPDDLVVGHILARVPAAAVVRLRAVCRAWRAALTSDHFVRDGHRRRPPGDRLLRAGGSATAAFYTCKLASEGSGSSAARELVTVGNLRAEDDLVVLTTKPCNGLTLLFQASSSEYYVCNLSTGEHVSLPPYAAAAKPDPYDDGAYVRSSTGLGFDPAAGEHKVVRLYEEEKERGQERCEMYSLVSGGGWRPSAGRVTPGVTRCLEGRSPVFLNGCFYWHMDTARLGAVEASILLGSPPVRVILSLSLATEQFGWIPTPEELAREVSHLAELDGSLCAVVDLGLVAEEYELWTWSGTAAPSTPSASWWRRCRISLTNLERPMRDELGLGLRVLPLCTSPDGKVLLATSRHKVYAYDAGSNRVDTVFSMHHWVDVPVEPALMLNIALHEESVVAVGGGRRRRGDVGRRLKMEVGKSGVVVGKRAGRLDRHPSDPKPEAFQMMKRMIGLAKIFKGHGPHFYYQKWTQDYKVLKKTADSGDEAGWTHNIGFPRWCLQTAGALSTATKGITARMQRRD >OGLUM10G15080.1 pep chromosome:ALNU02000000:10:18951803:18952549:1 gene:OGLUM10G15080 transcript:OGLUM10G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHGGLNILPQKRWNVYNFDNREKVRRDEAEAAREEQLQREAVRRRDSDLRLAALRRNRGLQSADAAPLPPRPPTDAAEQCPADPADPSPAADDSDGGHINLFSARGGGGGATDFSVLASADGGRGAAREREPPANPNPKKRKRKEEEVRAVGPDEEKYRLGYGLAGKGVAAPWYMSKPSASSSKERKDREVGEGSVGKKNGGKKSIEELREERRKREAKEKERERALLGIPSRKEKYSEWGRSSRL >OGLUM10G15090.1 pep chromosome:ALNU02000000:10:18952865:18957305:-1 gene:OGLUM10G15090 transcript:OGLUM10G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLHCNKLPHRLAVAEADFQQQPIGWLLGL >OGLUM10G15090.2 pep chromosome:ALNU02000000:10:18954616:18957305:-1 gene:OGLUM10G15090 transcript:OGLUM10G15090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCDLVDVDPPELQFPFELDKQISCPLRIANKTERTVAFKVKTTSPKKYCVRPNNGVVRPRSASVVVVTMQAQIVAPPDLQCKDKFLVQSVVVDDGLSAKDITSQMFLRDENNMVEEVKLKVSYVMPPEPAMEIAEESDIPKRILVPMQRILDNGRSGSELSSGNVSLRSAEMGTELGSPLGRFVRNEDMLKTASPVVETRVHAGPDEQYLELSALVAKLTEEKKSALEQNRKLREELELARRQASQHQGGFSLAFVLVIGLLSIILGCLVKK >OGLUM10G15100.1 pep chromosome:ALNU02000000:10:18964813:18965233:-1 gene:OGLUM10G15100 transcript:OGLUM10G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRGGSFPLDLSGHGLRGHAVAAAQGGGGVKPDRSIAGADVILVGFAAAVVVVVFWYIRITRKSSSGGGGGVEAARKLEILKEQPAVVVEVAA >OGLUM10G15110.1 pep chromosome:ALNU02000000:10:18970319:18978464:-1 gene:OGLUM10G15110 transcript:OGLUM10G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G35720) TAIR;Acc:AT2G35720] MESTPEPEDGRELYALLHLSPDASGEEIRRAYRQYAQIYHPDKYQDPQMKDVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLNKPEEIKEQLERLKRRKEEEKFLAHARPTGSIIANFSVPQYLDGYGIMRGMGMSSEVQLPVSKKNTVVVGGNLVVNGTDGTGAASAVLRHQLSSAASVEFMATAGLRSLISVQTFRQISPHSTATSGLALSLRDGSINLSNAWTRQLSDNIVGNIQLALGTDSSISVGWQKKDEKNSAAGDVKLGTNYFGASAHYTRYFSTKSHGRVAGRVGSTALDFEIGGGRRISEFSTVRMIYNIGIQGVSWRFELHRAGQKLVIPVLLSTDFNALLATSVFAIPSTLYFLLQTYFVKPYYLKREKQKELEKMESLSSQLTEARRAAKKAQKLLEPVSNRKKNRQLEDDGLVITKALYGNRKKVKESSESNELNDDVASQVLDVTIPLNFLVSEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGQKYKVMVDDYAALLIPQDIHQI >OGLUM10G15120.1 pep chromosome:ALNU02000000:10:18982746:18988556:1 gene:OGLUM10G15120 transcript:OGLUM10G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRALAAAAAAAVAVLVVVAARPAAATDPYAFFDWDVGYVTAAPLGVKQQAKLASIILLGVIGINGKFPGPTVNISTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGYGGVVVNNRDVIAVPFGRPDGDITIFVGDWYNKNHTDLRKMLDSGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETINVDPGKTYRIRVHNVGTSTSLNFRIQGHNMVLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYVVASARMVNDTVWRRVAGVAVLRYSNSRGRASGPLPDPPQDQFDKSFSMNQARSVRWNLSAGAARPNPQGSFRYSSINVTQAYLLRGTAPVTINGRRRAALNGLSFTPPETPLRLADAYGVRGVYSLDFPERPLRGAPRMGRSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTVQVYPGAWAAVLVSLDNVGVWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPDNALFCGQLHKQQTPHHKMGTSAAAASVVASRVAAAAMLLLAGAVMLSP >OGLUM10G15130.1 pep chromosome:ALNU02000000:10:18995208:18996620:1 gene:OGLUM10G15130 transcript:OGLUM10G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant protein of unknown function (DUF641) [Source:Projected from Arabidopsis thaliana (AT3G60680) TAIR;Acc:AT3G60680] MLQKFALAFKTKTIEFFAEEEEDEDADGGVSAAAAAAVGVGEGGVLAGQRVVVLKPDTIQSPNPSGGVGVGVVVGEAAAVEAALATASSFQAAYLHLQAAHAPFLPDAAAAADAAAVSHLRRLSEVKRLARDPGVGGGALTAHLEAQVRENQALLRSFDAVVNRLQAALDGKDAAAASLRRDHAELADGNARLGARLDRALAPPPGAGGDDALGAMLSAGVFDSVLRDALRVAHRFTRSLADLLRCAGWDLAAAAAAVYPGVAYSRPGHCRYALLSRVCLSMFDGFDSYQFGGSTDATTLEGIDLAIRRNDSLQQFIEHSDADPMELINSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGKLPVLGAAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQIGQGAEYSVVYMENIVRSKGFSGSKELGKMMRPKVGFTVVPGFRLGGTVIQCRVYLDCGKREGIIGE >OGLUM10G15140.1 pep chromosome:ALNU02000000:10:19004118:19007732:-1 gene:OGLUM10G15140 transcript:OGLUM10G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSSASSAAAAAFRPDVVQREQQVVEEKFPAAAAAMREMVLPPVAAVAADSEQEQLCYVHCHYCDTVLVVSVPSSSLFKTVTVRCGHCSSLLTVNMRGLLLPTTAAAAPPPPPPPPPPPPPPAAHFPHSLNLAPANPPHHHSLLDEISTANSPTQLLLEQHGLGGLMASAASCRNNNSPAAPPPPPTSQGKAAAKEPSPRTNTAVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPQDHQRKDGLLKEGLYAAAAAAAAAANMGVAPY >OGLUM10G15150.1 pep chromosome:ALNU02000000:10:19022155:19022589:1 gene:OGLUM10G15150 transcript:OGLUM10G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQAMLFSIHNRPSNIFSLTVMLHVLLGDEQQDTMRNGATRLELVAKELLLQFGWRSTYIIS >OGLUM10G15160.1 pep chromosome:ALNU02000000:10:19023442:19027718:1 gene:OGLUM10G15160 transcript:OGLUM10G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine aminopeptidase 1A [Source:Projected from Arabidopsis thaliana (AT2G45240) TAIR;Acc:AT2G45240] MEKGGAESSIACARCGKPASLQCPKCAQLKLPREGAAFCTQDCFKEAWSSHKSVHIKLDALTLQQTPEGWQYCLKKGRTRTSQLPRFDWTGPLRPYPISKMRVVPDKIEKPDWALDGTPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARIIKPGITTDEIDIVVHEETVARGLKEYDMLTLLSLVSRKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLDKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRVWHDRLWPDEWTAVTADGKRSAQFEHTLLVTETGVEVLTARLPSSPDVFPWLKP >OGLUM10G15170.1 pep chromosome:ALNU02000000:10:19028015:19036302:-1 gene:OGLUM10G15170 transcript:OGLUM10G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKAVLQQLAPHLLTVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVVEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >OGLUM10G15170.2 pep chromosome:ALNU02000000:10:19028015:19036302:-1 gene:OGLUM10G15170 transcript:OGLUM10G15170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVRDAAAYVCWAFGRAYTNFDMKAVLQQLAPHLLTVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVVEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >OGLUM10G15170.3 pep chromosome:ALNU02000000:10:19028015:19036302:-1 gene:OGLUM10G15170 transcript:OGLUM10G15170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLFWSNEKDVRIAARKAIDSSRHAKDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVVEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >OGLUM10G15170.4 pep chromosome:ALNU02000000:10:19028015:19036302:-1 gene:OGLUM10G15170 transcript:OGLUM10G15170.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G60740) TAIR;Acc:AT3G60740] MEETAAIRTSGIPVSVSSTASADGDASADPTASAAAAAATVGDDEHDSKEVVLRRYFIQEWEIVSDILRRIVDAGGVAEPADVQRIRSIMDKYQEEGQLLEPYLESIISPLMLLVRSKTMELGACTDELLDIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLEPAVALLEECHKMSSATALRQESTGEMETKCVVLLWLYILVLIPFDISSVDTSIATADHVDGPETVPLVTRILDICKDYLCSSGPMRRMSGLLLARLLTRPDMPKVFSSFMEWAQRILLSVTDDFVDQFRSIGIVEALASIFKIGNRKVLCDAVSGIWNDCSVVMKTNIASRSSLLRKFLVKLAQRVALISLPPRSPSWRYQSISSSLGANLSTSTDGTGTSSGSTKQVNIDQTDTSSLEEDMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGVGRITARLTPALSEEVLSSILQLFSPGEGDGSWHGGCLALAELARRGLLLPSSFPDVIPVIIKVNCRRAASAAFQENVGRQGNFPHGIDIVNAADYFALASRSNSYLNVAVFVAQYKEYLHPFAEELLCNKISHWERSLRELAAQALSMLVQYDMNYFAGYALEKLVPCTLSSDLCTRHGATLAAGEIALKLYQLGFTFTTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFIACISMSGISLNEKTKRSLLETLNENLRHPNAQIQCAAVDALKHFIPTYLVSSGEKTANGIITKYLTLLDDPNVAARRGAALALGTLPYEFLVLKWMPVISKLCSSCTIEDKPDDPDAEARVNSVRGLILVCETLTASVEHSSSFGDSMYSYIKDKVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCKRDNIAVKITPVAEHESKSIDIDTNAVNTRCQLFDSSIAQDLVAGIAKQAVEKIDKIREIAVKTLKRILYNEELFVPSIPYRELLEQIIPNSADLEWAVPAVSYPRFVKLLQVSCYSKPVLSGLVISTGGLQESLRKASTSALVDYLQDSDINTNDEGKNREYLLSCDLLWVVEHYQKCDRVVTPTLKTVETLLSKKVFLREGHCEFYSGLIKSLGPELKGSKDFAKLSAGLSILGYISSQSDGNGSTAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDSLIAAENMEKAQEVIAETCWEGDVEEARRKRSELNEMAGFGAATSQKPGNEQTRRKTEERNAASTDENKSYSSLVDFSGY >OGLUM10G15180.1 pep chromosome:ALNU02000000:10:19036478:19039785:1 gene:OGLUM10G15180 transcript:OGLUM10G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQRRPASGRPSGTDGSDFSYRMVVDSRYQRVADGKSRLGRLILVQALHQVAGGALLLLALSKGAEMNKFAVMSVAAGLLAIVLGEIGRRRTMAVLLRMYTSLSSIAVAFSVACIIRSELFFKITKQNTESITSHELLEVVRVALGVLLQLVVIATTTRLLQNMSPPRRAS >OGLUM10G15190.1 pep chromosome:ALNU02000000:10:19042369:19043019:-1 gene:OGLUM10G15190 transcript:OGLUM10G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSLLLLLVACFLVAGATAARLAPSTTGAAAMSSFVRTWCAGTEYPALCDATLAPYSAAVGTSPAKLAWAALTVTLSAARNATSAVRSIAARSSQSPHLPPVAAEAAGDCASELGDGVDALRRCVDTMARVAVGEESSSSSTAAARRKARFEVDNVRTWASAALTDDNMCMEGFKGEAAGGGGAREAVRGHIMGLLHLTANALGILNAMAKQI >OGLUM10G15200.1 pep chromosome:ALNU02000000:10:19050568:19051056:-1 gene:OGLUM10G15200 transcript:OGLUM10G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRAPATLLLHRLLSLCAMAAGLLLSPSPAAAASAEPTTADDIIPALSGDDRWWWPADAPTAAAAPAGGGTGYDDAIAAVADDAVVVVAAPAAATAHRFRPRYDSAVSPGAKRELEHEARCGPRVPVRRGFPWPEWKPNCRREHGVAGAGGLGRRPWDEP >OGLUM10G15210.1 pep chromosome:ALNU02000000:10:19051671:19059756:1 gene:OGLUM10G15210 transcript:OGLUM10G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, type 2 [Source:Projected from Arabidopsis thaliana (AT5G54090) TAIR;Acc:AT5G54090] MSRLNFTKPKLPNRNKPIGPISTFNRFPIGPHQTRASTGIPAHTPTIYPLLRQAQVESVNASARRRKNQPPSSSYPNATAPFPILPLPPSXXXXXXPPAARRRPPPPPPPMLRLSATTLSPLASHPPLSGLHLRPRCRRLVLRLRALPAPDASPSARSLRLLEWGKVCDAVASFAGTAHGRETTKTQLWEVEDVSYEQSRRLLEETGAAVRLIDSAGGGIDFSGLDTVMVESAIHGVSGGAVIKGQEAMAIVSLMLFVESLQVTIKAAMKQDEDSHERLISLTETILDADINKSLVKSIQDVIDDDGSSPELRRYREQVQVLESRLYQLMDKLVRNADNEASVSEVCIVNGRCCIKVTGDKSSPFDGLLLSSGTDAGSMVEPIVAVPLNDELQQARALVAKAELDALSKMTDKILLELDNIRILLQATVELDKVAARAKYSIAYDGTYPDLYLPNFVNGTVSTATGGSISTISSAHLSKKAWKLCMPNAYHPLLLQQHQENLHRAKKDVASATAEIRRRRIYGQDNVEEDQLASDLDLMKIRVSQMEKDRPVPVDFFIAEGTTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRARSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGELKTNDLFENACMEFDEDNLKPTFRILWGIPGRSNAINIAERLGLPSDIIESSRQLLGTAGAEINALIMDMENFKQQYQHHLQEAQYYVMQSKELHNNLEVAQKNIIDHTSAQRKRKARVISEYAVMARSIIRKKFQQFRESAIAKRVLEEEKAVQNDKPERLKDPEPTSTPAVKKAQNTNISMATTTEGEDNGIPEVGDLVYVPKLKNEATVVKIDSSKNEVQVQAGIMKLKLKFKDVKIQKRISR >OGLUM10G15220.1 pep chromosome:ALNU02000000:10:19065272:19068822:1 gene:OGLUM10G15220 transcript:OGLUM10G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQVGDGMDLS >OGLUM10G15220.2 pep chromosome:ALNU02000000:10:19066532:19068822:1 gene:OGLUM10G15220 transcript:OGLUM10G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGKSVIAEPSNVIYLSSILNTEGPIPSHKCDKNCQNEHVFGNMYRCKLTGTTHICDKNCNQRILYDNHSSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSNEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQGLPDHDPEDMKLWYYD >OGLUM10G15220.3 pep chromosome:ALNU02000000:10:19065272:19068819:1 gene:OGLUM10G15220 transcript:OGLUM10G15220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSRHFQVHGSYKSAPASPPPPLFLPPLPAIAPLECSARLAARLVLRGSTRRCESERERERTRSSSFRVPRSLFHPLLPPSIFACELLRASRALLIMTQKT >OGLUM10G15230.1 pep chromosome:ALNU02000000:10:19072224:19080241:1 gene:OGLUM10G15230 transcript:OGLUM10G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G46910) TAIR;Acc:AT2G46910] MALAAAPLLRLPISPPSPPPAQTPPPLLACNSVNGVRLRPQRSRQPRRAAAAAATASLAADTERRKHELLRAVQETGRGFAASPDQRASIEEAIVSVEELGAGEGSPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVVVENIKISEQLQALIAPAILPRSFFSLQILQFLQTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSAGNGGCSCRYDGEAAKIADEKASTFNEPSRARREVSVYGYRSAGFTTTTHK >OGLUM10G15230.2 pep chromosome:ALNU02000000:10:19072224:19079437:1 gene:OGLUM10G15230 transcript:OGLUM10G15230.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G46910) TAIR;Acc:AT2G46910] MALAAAPLLRLPISPPSPPPAQTPPPLLACNSVNGVRLRPQRSRQPRRAAAAAATASLAADTERRKHELLRAVQETGRGFAASPDQRASIEEAIVSVEELGAGEGSPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDRSDGGVVRNVVRWSIENLLEEQEGATLMVSAKFAVMSKRNIFLQFEEVVVENIKISEQLQALIAPAILPRSFFSLQILQFLQTFRAQVPVNGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLFFGLWKPEGSVPNND >OGLUM10G15240.1 pep chromosome:ALNU02000000:10:19104948:19105238:-1 gene:OGLUM10G15240 transcript:OGLUM10G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKVAAMDAKEAEEVMRRNAELEAAAAASAAREERLRRELEAALARLAVAEEGEERLCVQLGELEAEAMAQAVEYQQRVRELSDRLAFVDGILRP >OGLUM10G15250.1 pep chromosome:ALNU02000000:10:19111964:19113214:-1 gene:OGLUM10G15250 transcript:OGLUM10G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSCRLVMARALLLLILAALASASSMAAKKQGRQPRGCGGHTSTSPTPELELPPCSGHRGQAPAPVLGLLGRRGSPGAPPPPRSHPPSQTVLGYDEAVRAHRRSCHPGCSVHHHLKRAIAGRRGGGEGGEGAAVAEAGAAHKAALPGATTTSGEVSSTNVFVSAATTSGEVRTGNEFAASRRRSRFNQRLRATMNP >OGLUM10G15260.1 pep chromosome:ALNU02000000:10:19125764:19129707:1 gene:OGLUM10G15260 transcript:OGLUM10G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVMFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >OGLUM10G15270.1 pep chromosome:ALNU02000000:10:19133896:19136952:1 gene:OGLUM10G15270 transcript:OGLUM10G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAASRTPAADATTGDDVGDAVAEAAAAIAAASEAVFLGCAAMSPDMSSSMASTSPSKNWLARLHLHVVPASKKVSPEKATATAASLERLEECIGELESGSEKVFPYLRGWCYGTPTINTVTVYPRGTPAAARSASLPREHSHPVVARLDGGISALRSWSAAAARLSGVDGDGRCDGLALVEDVLAVLGELLWLPQAAAAIHRAGGGAACERALDGFLALADAYGTFESAVLALRQSAAELRAGARRGDGATVATALRAHRRTERELCRLAATMRHAVRRTPAEASRTANDADGEVVGIVAEAAAVTAAASEAIFLRCAAMSRDVPAMVQTAASHKWLAWLGVTRAAKKAASPALEKLEELEECIGEMESGSEKVFRRLLQTRVSLLNIHNPL >OGLUM10G15280.1 pep chromosome:ALNU02000000:10:19138307:19139520:-1 gene:OGLUM10G15280 transcript:OGLUM10G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT1G06440) TAIR;Acc:AT1G06440] MSLPARLLLARGKTTAAQHLLAVAASPAAAAHALHRLLAMSASRSLPLRAVFRVWRELALPDDFEVSVVADHPNLFHLAPNPAEPNTHILHLVADPATEEFTPAVDKTRPEKHAFKLQFPPGFRLTKEYRKKVKEWQQLPYISPYEVSIQKGVGSKRVSKMARKKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLIEPNDVSEARRKLVELMLLRRRGLGNANSNANMASRACAGAKEDTSDFQEEEI >OGLUM10G15290.1 pep chromosome:ALNU02000000:10:19142805:19147551:1 gene:OGLUM10G15290 transcript:OGLUM10G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTAMAKEYPASPKAQQLQESKKQRLTYILVVSALCVAFYVLGAWQNTTVPKPAASSAITKVGCDPAAAGQSSAVPSFGSASQESLDFEAHHQLSLDDTDAEAAVQPFPACPLNFSEYTPCEDRKRGRRFERAMLVYRERHCPGKDEEIRCLIPAPPKYRTPFKWPQSRDFAWFNNIPHKELSIEKAVQNWIQVDGQRFRFPGGGTMFPRGADAYIDDIGKLISLTDGKIRTAIDTGCGVASWGAYLLKRNILAMSFAPRDTHEAQVQFALERGVPAIIGVMGKQRLPYPSRSFDMAHCSRCLIPWHEFDGIYLAEVDRILRPGGYWILSGPPINWKTHYKGWERTKEDLKEEQDNIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKKYKTPHICKSDNPDAAWYKQMEACVTPLPEVSNQGEIAGGALERWPQRAFAVPPRVKRGMIPGIDASKFEEDKKLWEKRVAYYKRTLPIADGRYRNVMDMNANLGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITNILLEMDRILRPEGTAIIRDTVDVLTKVQAIAKRMRWESRILDHEDGPFNPEKVLVAVKTYWTADESEQH >OGLUM10G15300.1 pep chromosome:ALNU02000000:10:19152775:19153152:-1 gene:OGLUM10G15300 transcript:OGLUM10G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR-like auxin-responsive protein family [Source:Projected from Arabidopsis thaliana (AT5G53590) TAIR;Acc:AT5G53590] MHGKHQHQQQQQQQGGMVVVAPKGCVTVRVGAEGEEQRRFAVPLAHLKHPLFGALLEEAEREYGFAQRGAIAIPCRVDRFVHVEHLIVQDLHGAAASPLLDLDSSSHHHTQIHLHLPRFAGCFRA >OGLUM10G15310.1 pep chromosome:ALNU02000000:10:19160758:19167119:-1 gene:OGLUM10G15310 transcript:OGLUM10G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYARNVPVDGEGGGGVVTTTTTTTISVSASAAGEEVEVGRGGGGGGGRRSGRPSPAGTPRRRGATPARSSVAGSPWAGSPLPEGIAPSPAPSATTPRRFFRRPFPPPSPAKHIKASLARRLGQRSPATAQAQQAAKPPAEVPIPEHGGGGGAAAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQLLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYTEEDAKVIVEQILNVVSFCHLQGVVHRDLKPENFLFSTRDDHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDAPWSSISPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDECRPIPLDMLVFKLIKAYLRSTPFKRAALKALSRAITEDELIYIRAQYNLLEPSSTDGRLCIENFRMALLQNSTDAMKESRALDILNALEPLAYRRMDFEEFRAATISPYQLEALSRWEEIAGTAFEYFEQEGNRPITIEELAQVPTVSPKKQPQKKLPQMGAEGRKCRSVFYFCRTMVRRHFIVLRTINKCFQYPRLSLIPGNLEMLNTTGLAADHKKNKEPMLTIW >OGLUM10G15320.1 pep chromosome:ALNU02000000:10:19195096:19197247:1 gene:OGLUM10G15320 transcript:OGLUM10G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLLPHAASLFAVSMASLMIAAVLSIVRRPWPWKTAAISREAVLRLLGVRLGDVPTTVVRDGAVAVDALVRRADAFSDRPAGGGATSIVSGGRAHNINTVPHGPLWVALRRNLTSEAFHPVRGLARAAPHRARALAALVEDVSASAARSAGGGAGVVVPVRDCLYAALFALNVATCFGDGVDGELVGAMRAAQQEFLRFLPRARVFSTFQKAARLVYPDRWKQLLRHRRRQEEMYLPLIRAINEQRRTRGTPSPPPPTTYVDTLLYLEVPADDGRRRRKLSDGEMVGLVSEYLGAATGTVVAQLEWALANLVRRPDIQTRLRGEVEAAAGGEPCAYLRAVVMECLRRHPPVSSVQRHMVRDVMLGGAHVARGNVVSFAIEEIGRDNMIWTSPEEFSPERFMEGGEGEGVRLAIGSKQEATTKVKMMPFGAGRRTCPGMGYAILHLEYFLANLVTAFEWRRVPWEEEVDLTADYGFITTMQHPLRALVVPLSNDRLTVV >OGLUM10G15330.1 pep chromosome:ALNU02000000:10:19199625:19199937:-1 gene:OGLUM10G15330 transcript:OGLUM10G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGVRELDELGLWESWSSGVGAGREVEMAGLGFGRKVYYMGKAKSRHGRGVVPLLESVGVRLSSAKLIAPYVSAAGLPVLIDRCI >OGLUM10G15340.1 pep chromosome:ALNU02000000:10:19200469:19206711:1 gene:OGLUM10G15340 transcript:OGLUM10G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGHDNEPLLGSRWSIATY >OGLUM10G15340.2 pep chromosome:ALNU02000000:10:19200940:19206711:1 gene:OGLUM10G15340 transcript:OGLUM10G15340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAVGAAVAAVAVLLLLAPGAEPIGLWLPPPTGGGGRLGGAAAPGRYLTQEERWMDQTLDHFNPTVESRSPTTASSFGFSDHRQFKQRYYEFLDYYRAPKGPIFLYICGESSCNGIPNSYLAVMAKKFGAAVVSPEHRYYGKSSPFESLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLSVYNYTDFDKQIGESAGPECKAALQETTKLVDGQLQSGRNAVKQLFGASTLANDGDFLFLLADAAAIAFQYGNPDALCSPIVEAKKNGTDLVETFARYVKDYYIGTFGASVASYDQEYLKNTTPPPAESAYRLWWYQVCSEVAYFQVAPKNDSVRSAKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAGSKIVFANGSQDPWRHASKQKSSKELPSYLIECSNCGHCSDLSGCPQAPSHIEGDSSNCSSPEAVNKVRKQIVDHIDLWLSECQEQGHDNEPLLGSRWSIATY >OGLUM10G15350.1 pep chromosome:ALNU02000000:10:19206977:19207381:-1 gene:OGLUM10G15350 transcript:OGLUM10G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPPPSSDDDPLVPQFGRQIDSGVVAAAGGNLVALYAGPYRPASSSMGCYLVYDAAARSSSPPLSTVPGVPYSDSHSSPGRATVIAPAATGGGFMDGHSVLPPSTAEITITTWTLDLQDDHSTSTPNWTNREA >OGLUM10G15360.1 pep chromosome:ALNU02000000:10:19208665:19209007:-1 gene:OGLUM10G15360 transcript:OGLUM10G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPQRSRHQGCCHCPFKKDVIFTQRNSSRKERYPRQYPKGLQRPKASPPTNSETTTQALAARSLHHTVSAPPPPTDFKPTPPCPERELA >OGLUM10G15370.1 pep chromosome:ALNU02000000:10:19210683:19215186:1 gene:OGLUM10G15370 transcript:OGLUM10G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRPRVAFLHHHHHHHLLAVAVVLLLLARGAEPIAAGGGAAGRHLTTEERWMDQRLDHFSPTDHRQFKQRYYEFADYHAGGGGGGGPVFLRICGESSCNGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFESLTTENLRFLSSKQALFDLATFRQHYQEILNARYNRSSGFDNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQVGDSAGPECKAALQEVTRLVDEQLRLDSRSVKALFGAEKLKNDGDFLFFLADAAAIGFQYGSPDAVCSPLINAKKTGRSLVETYAQYVQDFFIRRWGTTVSSYDQEYLKNTTPDDTSSRLWWFQVCSEVAYFQVAPKNDSIRSTEINTGYHLDLCRNVFGDGVYPDVFMTNLYYGGTRIAGDPSNCSSPAAVSTVRKQIASHISLWLSQCQEPTRSGS >OGLUM10G15380.1 pep chromosome:ALNU02000000:10:19223327:19233316:1 gene:OGLUM10G15380 transcript:OGLUM10G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGTGPGAELLSPGEAEWPPELRLPPPPPPHPPPPPPLEPAPPSTPQLRGEASPPPPPPPPVGPPGAAVVAAAARKEASASAEGFDDSHFLGSIMGAPAHQHQHQHQQPPAVGPPVVVKRKRGRPPKNRDGAAPPPPPKPVKKREDDEDVVCFICFDGGNLVVCDKKGCTKVYHPACIKRDESFFRSRAKWTCGWHLCSTCEKAVQYMCYTCTYSLCKGCIKQKPVKFFGVRGNKGFCDTCYSTILLIESKDDRAKAKVDFDDKNNWEYLFKLYWLDLKGKHSLTLEELVNAKSCWTVRSTSARREKEDSSNDLYDANFDLDASSDGASRKRRRNSFSGKRGRKRQNNGAESLPKRVQNEGMTFIGDTQWASSELLEFIGHMRNGDISYISQFDVQILLLEYVKQKNLRDPRRKSQIICDARLANLFRKPRVGHFEMLKLLEMHFHAKETVNGDGQKGIDSDSAQIDSGGYSDMPSKLCSDKRRNIHKKIERESPANLEDYAAIDMHNINLIYLRRSLLEDLIDDNGTFSDKITGAFVRIRTPCVGQKQDIYRLVKVLGTHKVAERYSVGKKTTDHALEILNLDKKEEECKRLRQSMKCGLITRLKVGDIHEKAKIFQLLRVNDWLENEKQRLSHLRDRAKKLQLLGTPEERARIINEDPEVHVDPCMSPDYESAEELDVKKAVDSTSRNGSDLLFLGRKGIETNSLQNHRQNCSATSHHTTTSPPTEGMTHGQGEEASFASSEIVSGDMEPEKVWHYKDPSGSVQGPFTLLQLSKWAAYFPRDLKIWLTFESEQNSLLLTEVLSKQQKDFIQPSPEVDNNRSTWEGVGQDRVDSSLTGNNSSSPIGYNVIYSSRLPSPSADYRASAREGPNLPSGTLPFMTSQKTQKDPQMLHGQAQHQGDYSCTIPSSVVSYRPAGSHDEWPPRGNIGEWNNSQDSGGMWSPTTPHMSRSNLEHQPDRCITKKQLQNDSKRNTLAGSAENLNSQMDFGSQKVHIPTPQQPERDLATSVGTSRQSEFKTCHQEGSHWSSTADSITHDGLQLSLASAKPESCSAVNPIRDGDSSSASRVPNQSGAHVYSPPHPATTNLSKSEETMNQCKSCEPEASNKSCKPDASHAPVNQHPKPESDPVLPDTQDFERTHPSPSTEHDTKEPLKDQSRSTSVAPEGSGTKAHGQSSIAFISEASGPLSSKIVGLQPPKDTSFLVERDIKDGGSITQTEQQKEESTAFKKENVAVDPISDSEAIVSGVLESLTETYNLHEETALENFTPTSAEEEQPQCSTPIALSPWDETSDYQGEAVDSALWGVQDDQNNEMWSLSSPTPTLQPSGIGADTKGASCAIEEVIVAQGNSGVVEPSPALEKKRIEKVPSASIDRGVPEQVKPKSDAALSPEESRKPSGLQLSSTYLQGSTKSSGLPPSGTSLDGSIEPSGLLPSGTSLEWGTKISGVQSSASSLEGSKKAFVRQPSGSSLEGNTKASEKLQHGNHQVLRLLQGAQKHQVGSHHLARARNLSLIPHGVPAKAATRLRTNQQHQQLNTHQKPQGDKETTTQILLAGETLSETRAGSHPQAMRAAEAAILATTMTGTIRAANHGAVAQIIPGDQIIVKNMATVARRDHRRRGGNLKGGSVDTTRMATAGRALPASSSTVENALTFLYSPK >OGLUM10G15390.1 pep chromosome:ALNU02000000:10:19235680:19239965:-1 gene:OGLUM10G15390 transcript:OGLUM10G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLSQTQRYAAGALLALALRQAQIHQSVLLGSHGLDDAPAASAAAAAVPSLADPVDARHLWTHDSHGLLRPVLRFLEIDHKAWPGVETTAATSEPKHHIGAFLRKVFEDEDDGEAAAAERSEQELALAKAVEAMAMGLENDVVAADELIKATGSGGDGDEGWPWLASASSAGGSRTKDYRKMAVLYMLLSACVADVNMAEEGMGSPRIRRGYDARHRVALRLLATWLDVKWIKMEAIEIMVACSAMAAAREEEQSEGSESPRSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLIPLIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGCVREFEFNTIGENHNQGRLAVAILVTGFAFTEEDYMKPWEGWKTNLERYILQWESKHIIGVSTAIRDWLASRFAIELMREGAMQTVLSGIVSAFAWPAYLVSAADFIDSKWSIAIDRADKTGKMLAEVLLRGLQGSRPVTLIGFSLGARVVFKCLEELALLGNNEGLVERAVMIGAPVSDKEELWESTRKMVAGRFVNVYSTNDWILGITFRASLLSQGLAGIQAVNVPGVENVDASELIVGHSSYLTLVNQILDQLELNTYYPVFYPSTPKCGTPKSK >OGLUM10G15400.1 pep chromosome:ALNU02000000:10:19248205:19254456:-1 gene:OGLUM10G15400 transcript:OGLUM10G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMVKFTMEWLQDPLSLAIVVTVAVLIMRMQRRRAAPFPPGPKPLPIVGNMAMMDQLTHRGLAALAKEYGGLMHLRLGRLHAFAVSTPEYAREVLQAQDGAFSNRPATTAIAYLTYDRADMAFAHYGPFWRQMRKLCVVKLFSRRRAETWLAVRDESAALVRAVAASRGEAAVNLGELIFNLTKNVIFRAAFGTRDGEGHDEFIAILQEFSKLFGAFNIGDFIPWLSWADTNGINARLVAARAALDRFIDKIIDEHMERGKNPDDADADMVDDMLAFLAEAKPHAGKAAAAAAAAGDGADDLQNTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRVQEELAAVVGLGRDVAESDLDKLPFLRCVIKETLRLHPPIPILLHETAADCLVAGYSVPRGSRVMVNVWAIARDRAAWGPDADAFRPSRFAAGAAAEGLDFRGGCFEFLPFGSGRRSCPGMALGLYALELAVARLAHGFNWSLPDGMKPSELDMSDIFGLTAPRATRLSAVATPRLTCPLY >OGLUM10G15410.1 pep chromosome:ALNU02000000:10:19267069:19269208:-1 gene:OGLUM10G15410 transcript:OGLUM10G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAAAAVTLLRLPLARLSSHLRSLPPRPIPPPRLRVYTSHRLLSSLLAPSHVPAVSSLAEAVAAPDGEGVEAEEEEEEEEAEARPTTFVLPRLPRPKLSVKERKELASYAHGLGKRLKSQQVGKGGVTPSVVAAFNDNLESNELLKLKIHLNCPGEMPDVILQLEESTGSIAVDQIGRSVILYRPSTSKMKKREESARNRTRFVRSREPSEEQPRSSTSKRFIKSGGAFRPQQKRRPLASKESSYGRR >OGLUM10G15420.1 pep chromosome:ALNU02000000:10:19271756:19275238:-1 gene:OGLUM10G15420 transcript:OGLUM10G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEAEAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGAENTCTSGSTLSKAALCFAKARSLMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLRETSGNGDMISRLEAAESKLQELKSNMGVLGKEAVASMTAVEAQQQRLTLQRLIAMVESERSYHQRVLQILDQLEREMVSERQRIEGAPPPAVESSMPPPPSYEEINGVFMRNPTVAELVETVEFFLAEAIQSYRAESETELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRDRVLASKVAQVF >OGLUM10G15430.1 pep chromosome:ALNU02000000:10:19277135:19292514:-1 gene:OGLUM10G15430 transcript:OGLUM10G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRPSSSASSRRSSSPFSAGSRRPPTSSSSSAGSYLTGRLMPRSYSTASSVSSSSHFFGGGGGSGGGSRSTTPGRRGSSSSSLVGPVPSPPSPVPFPSAEELVIEDTSRSGDSISVTIRFRPLSEREIQRGDEISWYADGERLVRCEYNPATAYGYDRVFGPKTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSLIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGSYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYAARNRMIDEKSLIKKYQREISSLKQELDQLRRGLIGGASQEEIMILRQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDTSSHQRHNSVNEEDKVSTSQDSSMLVQNDSATKDSLSSASPDAVDEINQLRCASGDHSSIAGSGPDEMQGGITASDQMDLLIEQVKMLAGEIAFGTSSLKRLIEQSIEDPEGTKNQIDNLEREIREKRRHMRALEQKLMESGEASVANASMMDMQQTITKLTAQCSEKAFELELRSADNRVLQEQLQQKNVVINELQEKVLRLEQQLTTNTEASPEQCTEHELHDLKSKLQLKEAESEKLKYEHMKITEENRELVNQNSKLCEEVAYAKELASSAAVELKNLAEEVTKLSVQNAKQAKELLIAQELAHSRVPGRKGRSAGRGRDEVGTWSLDLEDMKMELQARKQREAALEAALAEKEHLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSINLADITNGTKENKADKNVAVVEKQLSDNTVKSLTAEEYRNPEFEPLLVRLKAKIQEMKEKETDSLGDKDGNSHVCKCASLARLPVQNALYAVQESQTG >OGLUM10G15440.1 pep chromosome:ALNU02000000:10:19303774:19309317:-1 gene:OGLUM10G15440 transcript:OGLUM10G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT4G10380) TAIR;Acc:AT4G10380] MAAPNEGGAAGMSSPVNGASAPATPGTPAPLFAGPRVDSLSYERKSMPRCKCLPAAVAEAWAPSAHGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAALWIYLIAPTLGAAAGAGVYTAVKLRDENGETPRPQRSFRR >OGLUM10G15440.2 pep chromosome:ALNU02000000:10:19304023:19309317:-1 gene:OGLUM10G15440 transcript:OGLUM10G15440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOD26-like intrinsic protein 5;1 [Source:Projected from Arabidopsis thaliana (AT4G10380) TAIR;Acc:AT4G10380] MAAPNEGGAAGMSSPVNGASAPATPGTPAPLFAGPRVDSLSYERKSMPRCKCLPAAVAEAWAPSAHGCVVEIPAPDVSLTRKLGAEFVGTFILIFFATAAPIVNQKYGGAISPFGNAACAGLAVTTIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVAVQVLGSICAGFALKGVFHPFLSGGVTVPDPTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAGVMVPTN >OGLUM10G15450.1 pep chromosome:ALNU02000000:10:19304661:19305122:1 gene:OGLUM10G15450 transcript:OGLUM10G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSPTARVSVATAVTTKRRLKVMMNSVKKAWAVEMVGSGTVTPPERKGWKTPLRAKPAQMEPSTWTATYAGTCSHGKWRSAAKAMVSDGLRWAPEMCPVDRMMVVTASPAHAAFPNGEIAPPYFWFTIGAAVAKKMRMNVPTNSAPSCTAV >OGLUM10G15460.1 pep chromosome:ALNU02000000:10:19321557:19322576:1 gene:OGLUM10G15460 transcript:OGLUM10G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLQYAMFCLLVVMCFGERLDEADVRAIATAQHDWIVYFATKMRVFAFCSMITKHLFRGRIKMALALRRRQKELFVPLINARRERKTRTQPTLPENGTTFEHSYVDTLLDLRLPEDGNRALTEKEMVSLCSEFLDAGTDTMSTALQWIMAELVKNPSIQSKLYEEIKATVSDDHDDITEEDTKKMPYLKAVILEGLRKHPPGHFVLAHKAAEDIEVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDMTGSKRIRMMPFGVGRRMCAGLNTAMLHLEYFVANMVRAFEWKEVAGDEVDFAEKAELTTVMAKPLRAQLVLRSVD >OGLUM10G15480.1 pep chromosome:ALNU02000000:10:19324139:19326213:-1 gene:OGLUM10G15480 transcript:OGLUM10G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEASMDKVVENAGKAIQDLCTRIDRILEAFRDTKSNYHCIGGQCPTNHVDSAKLGMGTTIECSMKGKNQLVDDDGKDMANDERTELIEVDTKFTSVNLCFRDPWLALNAIPSRISIGCLSHDLGVNSLSLVPSMLEVPYHCFVLGSVCRVSSPPVPLWRVAVPLYSDQVYSGSRPSPWPDPWLHSGSGSVVVFQPLQPWPPPLQAKSKGSIVERQLELWHDPQIKQDNKGVVVNLLQPRLSPDKWNESWFSCDNAWELAQSHCKFLLTEHMALIAQYEKNRFEQDLSLCMAGAKQYSPLVVRMALADHLQAPWDPGGSNLVTLLHVRKDRQQPPPRPLQIVFPIGLSGVKVWLLFALTLVQFLGSVTTYNAKFWRFSPDSVSIQGSKESNFRVAYLMCRSSDRQFASSNIALNIQTSSSCHVLHKFGQVLNSCQAPSSFQLKLTASCLLGKHSLRRKGIGSVPTDKRTHLQVLLHQLMYKKSYQMYETRAQVLKLTRPWNLGILLLNNSLMAIYSRSCCIDLGTSRFLRREECHVSGGLHGLLEKWAWPSSHTEGASNQEV >OGLUM10G15490.1 pep chromosome:ALNU02000000:10:19328110:19329702:1 gene:OGLUM10G15490 transcript:OGLUM10G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDTTWLLLLVALVLPLVVLLARRRRSGGGSRRIPPGPLAVPVLGSLLWLRHSSANLEPLLQRLIARYGPVVSLRVGSRLSIFVADRRVAHAALVGRGAALADRPPDVTHSLLGESRNTITRSGYGPVWRLLRRNLVVETTHPSRVRLFAPARSWVRRVLVDKLADAGAHPASPPRVLEVFRYAMFSLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLINSRRERKKQIQQSGDPAASSEKKDDNTTFNHSYVDTLLTIRLQDVDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDGDDHDEITEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDVEVGGYLIPKGATVNFMVAEMGRDEKEWEKPTEFIPERFMAGGGDGEDVDVTGSREIRMMPFGAGRRICAALSVAMLHLEYFVANMVKEFEWKEVAGDEVDFAERLEFTTVMAKPLRVRLIKRA >OGLUM10G15500.1 pep chromosome:ALNU02000000:10:19344667:19344978:1 gene:OGLUM10G15500 transcript:OGLUM10G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREVRMMPFGVGRRICAGLGVAMLHLEYFVANLVKEFEWKEVAGDEVDLTEKNEFTAVMAKPLRAQLVKRA >OGLUM10G15510.1 pep chromosome:ALNU02000000:10:19347981:19349788:1 gene:OGLUM10G15510 transcript:OGLUM10G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDASPWLLLLVAFLFPFVVLRSLRSDGKGGGRGGGCRVPPGPLAVPVLGNLLWLWHSPADLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRVAHAALVERGAALADRPPDEHSSANLEPLLRRLIARHGPVVSLRVGSRLSIFVADRRVAHAALVGRGAALADRPPDVTHSLLGESRNTITRSGYGPVWRLLRRNLVVETTHPSRVRLFAPARSWVRRVLVDKLADAGAHPASPPRVLEVFRYAMFSLLVLMCFGERLDEAAVRAIGAAQHDFLLYLGRKTSVFMFYPAITKHLFRGRVHLGLAVRRRQKELFMPLINSRRERKKQIQQSGDPAASSEKKDDNTTFNHSYVDTLLTIRLQDVDGDGDRALTDDEMVSLCSEFLSAGTDTTATALQWIMAELVKNPSIQSKLHDEIKSKTSDGDDHDEITEDDARNNLPYLKAVILEGLRKHPPMHLLLPHKAAEDVEVGGYLIPKGATVNFMVAEMGRDEKEWEKPTEFIPERFMAGGGDGEDVDVTGSREIRMMPFGAGRRICAALSVAMLHLEYFVANMVKEFEWKEVAGDEVDFAERLEFTTVMAKPLRVRLIKRA >OGLUM10G15520.1 pep chromosome:ALNU02000000:10:19352992:19357793:-1 gene:OGLUM10G15520 transcript:OGLUM10G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDEYVDLPVSDEEWEDGESEEEEEKVGSRKKAKVHAKQLKRLQEKDPEFYKYLEECDKELLEFDDDDFDDNEGSAEKSSSVPKEEPKEIIKPIAMQMVDSWCQGAEDGKIGSIRSILEAFRKACHYGEESGNNSAPKFSVMSGSVLDKVMHFVLKNMDRILRELLDAPSFGGKKETVSELMITKQWKRHGRLMRLYLVNALHMITELTDEQMVAFTVHRVRASAVFLAAFPALLRKYVKALLHTWSRGRGAMPLVSFLFLRDLCIQLGSECLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYNVDPQSAYQHAFVFIRQLAVILRGALTERGPKLTSFVVPISFCKTLKDKKQKESMKPTKKRMEKSYQKVYDWQYIFCLELWTSVVCGCSSEEDLRPLAYPLTQIIHGVACLVPSARYFPVRLRCVKMLNRIAEATGTFIPVSSLLLDMLEMKELGGKPDAVGKAVNLFSVKQNGRCTEARKIFDSMTKRGLKPDIATYRTLLQGYATKGALFEVHALLDLMVRNGIQPDRHVFNILICAYAKQEKVDEAMLVFSKMRQHGLNPNVVSYGTVIDVLCKSGSVDDAMLYFEQMIDEGLTPNIIVYTSLIHGLCTCDKWDKAVELILEMFDRGICLNTIFFNSIIDSHCKEGRVIESEKLFDLMVRIGVKPDIITYNTLIDGCCLAGKMDEAMKLLASMVSVGVKPDIVTYGTLINGYCRVSRMDDALALFKEMVSSGVSPNIITYNIILHGLFQTRRTAAAKELYDSITKSGTQLELSTYNIILHGLCKNNLTDEALRMFQNLCSTDLQLETRTFNIMIGALLKCGRMDEAKDLFAAHSANGLVPDVCTYSLMAENLIEQGSLEELDDLFLSMEENGCSADSRMLNSIVRKLLQRGDITRAGTYLFMIDEKHFSLEASTASFLLESSPIVWEQISRIS >OGLUM10G15530.1 pep chromosome:ALNU02000000:10:19358634:19359831:-1 gene:OGLUM10G15530 transcript:OGLUM10G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVTTLTRARTRTRGGGVPSAKGGTTQDLGRAGGSGTEGARHVLDELPLPGWGASIYSFNRTLTDVARDSPAAAVSLFNRMARAGAGKVTPTVHTYGILIGCCCRAGRLDLGFAALGNVVKKGFRVEAIAINPLLKGLCADKRTNDSMDIVLRRMIELGCIPNVISYNILLKGLCDENRSQEALELLHMMADDRGGGSPPDVVSYTTVIGGFFKEGDSDKAYITYHEMLDRRISPNVVTYNSIIAALCKAQAMDKAMEPAVRAPGEVLAGVRARGWSSPAGREPGEELAGVRAWGGARRPSACWGRC >OGLUM10G15540.1 pep chromosome:ALNU02000000:10:19359892:19360745:-1 gene:OGLUM10G15540 transcript:OGLUM10G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRLRAAPARAWLTCVVWAASTLLTTMFVWKVAALMLWPVVAVVWGLSVVTALDMRRWSALDDGEKRTTTLYADPHVSCIQKIEKSRGGRAEGTEERGTGAPVAGRWRRRRPNAFPSAALESPELRRRHADDRPWASRRASGWRRMRAARAAAAARPAHPNLGRSGPISASRSGDRSGPSIRAAQPIGRWVCITVLPRSVTYKPEYQ >OGLUM10G15550.1 pep chromosome:ALNU02000000:10:19361508:19361792:-1 gene:OGLUM10G15550 transcript:OGLUM10G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARQVSLDYGDVRAALEAPELRRQHADHRQWAAHAEAKAAYFAAEACLRAARARRAEGDVGVAIPRLRQAAATLKGSKPLKKAARRCTPPPSG >OGLUM10G15560.1 pep chromosome:ALNU02000000:10:19366440:19379690:1 gene:OGLUM10G15560 transcript:OGLUM10G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTLSPGRTARTKAAHARTASHHHHPALARLDGGVRELMSWTVTSRSGGEGSSGLALVEAVLAALGEVLQLPMAVAALHGGEAAAAARDRVLDDGFLVLADAYGTFESALLALRESVAGARRDWEEKQGVKGIRETQSRGLEEKSRGGRRGHSHQRKRPATVPENDTTFEHSYVDTLLDLRLPEDGDRALTDKAMNPSIQAKLYDEIKVTVGDDHEGVSEEDTQKMPYLKAVILEGLRKHPPATSRCRTRRRRTWTSAGTSSPRAPR >OGLUM10G15570.1 pep chromosome:ALNU02000000:10:19383794:19384171:1 gene:OGLUM10G15570 transcript:OGLUM10G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGGYLIPKGATVNFMVAEMGRDEREWENPMQFMPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLNTAMLHLEYFVANMVWEFEWREIAGEEVDFAEKLEFTTVMAKPLRAQLVRRSID >OGLUM10G15580.1 pep chromosome:ALNU02000000:10:19385440:19387074:-1 gene:OGLUM10G15580 transcript:OGLUM10G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:A0A0E0BCN7] MAAAVAASPAQSRKTETYTDNKRRDDVRGLNIAAGRAVAAAARTSLGPRGMDKMISSSSSGGGDQAAHEAVIITNDGATILSCMPLLQPAARMLADLFRSQDAAAGDGTTTVVVLAGSLLHRAQSLLSAGAHPTAAADALHLLAARAVGILHGMAIPVELSDRDALVKSASTALNSKVVSQYSTLLSPLAVDAALAVVDPAHPYLLDLRDIRVVKKLGGTVDDTELIRGLVLDKKASHVAGGPTRIGDAKIAVIQFQVSPPKTDIEHSVVVSDYAQMDRILREERNYILGMVKKFKASGCNVLLIQKSILRDSVTDLSLHYLAKAKIMVVKDVERDEIEFITKTLNCMPIASIEHLRVDKLGHAHLVEEISVGDGNNNKIVKITGIKNMGRTATVLVRGSNQMVIDEAQRSLHDAFCVIRCLVNKRFLIGGAPEIEMSMQLAAWAKELRGMESYCVREFAEALEVIPYTLAENAGLDPISIVTELRNRHAKGEKNAGINVRKGRITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >OGLUM10G15590.1 pep chromosome:ALNU02000000:10:19388975:19394526:1 gene:OGLUM10G15590 transcript:OGLUM10G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLAILAVAGVLLLPLLVFLRDVTSRRRRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLKLFDKYGPVVTLRIGWRLSIFVADRHLAHAALVGAGTKLADRPRTATSMLLGVSENIITRSNYGAMWRQLRRNLVSHTLQPSRVDQFAPARVWARRVLMGKLRETSGGGGGDAPNVTEAFQHAVFCLLVLMCFGERLDEPAVRAVEDAERAWLLYISKKTSVFFFLPWITKHLFRGRLDAGHALLRRQKELFVPLIEARREYKKLASQGDDHSYVDTLLDVKIPEEGNRSLTDGEIVTLCSEFPNAGTDTTSTGLQWIMAELVKNPAVQEKLYAEIKATCGGDGELLERSVRDKDNKMPYLNAVVMEGLRKHPPSHTLLPHKAAEDKDVGGFLIPKGATVNFMVAEIGRDEREWEKPMEFIPERFLAGGDGEGVDVTGSREIRMMPFGAGRRICAGLNVGVMHLEYFVGSMVMEFEWKEVAGDEVEFAEKLEFTTAMAKPLRPRLSPILRRIQIPDPIPSNSNPRQKVYFASLSCGPASSRPIPLXALPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEREWENPMQFIPERFLAGGDGEGVDITGSKRIRMMPFGVGRRMCAGINTAMLHLEYFVANMVREFEWKAVAGDEVDFAEKFEFTTVMAKPLRAQLWLMLLLAFLVALFILLSLRGGGERKCGGRGRVPPGPLAVPVLGNLLWLSHSSADLEPLLRRLVARYGPVVSLRVGSHLSIFVADRRVAHAALVARGAALADRPEVTRALLGENGNTITRGNYGPTWRLLRRNLVAETLHPSRARAAFAPARSWARRALVDG >OGLUM10G15600.1 pep chromosome:ALNU02000000:10:19394569:19400464:1 gene:OGLUM10G15600 transcript:OGLUM10G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLVLMCFGEWLDEAAVRAIGDAQHGWLLHYATKMKVFAFCPAVTKHIFRGRIQTSLALRRRQKELFMPLISARRERKNQLVERAVPEKETTTFEHSYADTLLDIKLPEDGGDRALTDDEMVRLCSEFLDAGTDTMSTTLQWIMAELVKNPTIQSKLHDEIKSKTSDDHDEITEDDTHKMPYLKAVILEGLRKHPPGHFALPHKAAEDMDVGGYLIPKGATVNFMPRALGHLDVAHGGLVEALAEAHEHEEAEHGVLERLHDMDTWHVTVAAILVLIPFLRLILSRRGGRGGGKRGRLPPGPPAVPLLGSTVWLTNSLADAEPLLRRLIARHGPVVSLRVASRLLVFVADRRLAHAALVEKGASLADRPAMASTRLLGESDNLISRAGYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRRVLVEKLRDENGDAAAPRAVVETFQYAMFCLLVLMCFGERLDEDAVRAIAVAQRDALLYLSSKMPVFAFFPAVTKHLFRGRLQKAHALRRRQMELFVPLINARREYKKRQGGADGEPKKETTFEHSYVDTLLDIKLPDDGNRPLTDDEMVNLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEIKSKTGGGGGGGQREVSEEDIHDMPYLKAVVLEGLRKHPPGHMVLPHRAAEDMEIGGYLIPKGATVNFMVAEMGRDEKEWEKPMEFMPERFLAGGDGEGVDVTGSREIRMMPFGVGRRICAGLGVAMLHVEYFVANMVSEFEWKEVAGDEVDFAEKIEFTTVMAKPLRARLVPRRA >OGLUM10G15610.1 pep chromosome:ALNU02000000:10:19396276:19397782:-1 gene:OGLUM10G15610 transcript:OGLUM10G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLVILAGVLLLPLLFLLRNAASSRRRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLTLFKKYGPVVTLRIGSRLSIFVADRHLAHAALIAAGAKLADRPQAATSTLLGVSDNIITRANYGAMWRLLRRNLVSQTLQQSRVDQFAPARVWVRRVLMEKLRGSGEAAPNVMEAFQYTMFCLLVLMCFGERLDEPAVRDIEVAERAWLLYISRRMSVFFFFPWITKHLFRG >OGLUM10G15620.1 pep chromosome:ALNU02000000:10:19400475:19402065:1 gene:OGLUM10G15620 transcript:OGLUM10G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLGPHLLGYGPRGEGHTRGAWPVAGEAGARPTTGRKNRRRAATSSSCHMSSDQPPQETLPFLFPGGSA >OGLUM10G15630.1 pep chromosome:ALNU02000000:10:19403299:19404873:-1 gene:OGLUM10G15630 transcript:OGLUM10G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWQLFVPAIVILLPLLRLILFRRGDDGRRGRLPPGPPAVPLLGSTVWLTNSLYDAEPVVQRLMSRHGPVVSLRFGSQLLVFVADRRLAHAALVESGASLADRPSQAASARLVGEGDTMISRASYGPVWRLLRRNLVADTLHPSRVHLFAPVRARVRRLLVDRLREEHGEAEAAAPRSVVETFQYAMSFLLVLMCFGEQLDEDAVRAIGAAERDTMLYMSSEMGIFNFFPAITRHLFRGRLQKAHALRRRKEELFVPLINSRREYKKNGGEPKKETTTFTHSYVDNLLDINLPEDGNRALTDDELVMLCSEFLVAGTDSTSAALQWIMAELVKNPSIQSKLYEEIKSKTGGGGGGGGGGHEVSEEDVHDMPYLKAVVLEGLRKHPPAHMLLPHKAAEDMDVGGYLIPKGTIVNFMVAEMGRDEKEWEKPMEFMPERFLRPCGDGERVDITGNKGIRMMPFGVGRRICPGLGIAMLHLEYFVANMVREFEWKEVAGDEVEFAEKREFNTVMAKPLRVRLVPRST >OGLUM10G15640.1 pep chromosome:ALNU02000000:10:19413826:19414404:-1 gene:OGLUM10G15640 transcript:OGLUM10G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVKNPAVQEKLYAEINATCGGDDELLERNVRDKDNKMPYLNAVVKEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEIGRDDREWENPMQFMPERFLDGGHGAGVDMHGTKGIKMMPFGVGRRICAGLNIAMLHLEYFVGSMVMEFEWKEVEGLEVEFAEKREFTTVMAKPLRPRLVPRRS >OGLUM10G15650.1 pep chromosome:ALNU02000000:10:19429798:19431345:1 gene:OGLUM10G15650 transcript:OGLUM10G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLYLILGAILAAAVVPFILFRGNGGKRGRRLPPGPPAVPFLGSVVLLTKALTDVEPELLLQRLIARYGPIVSLRMGTRVSVFVADRRLAHAALVEGGAALADRPGVPASRLLGENDNIITRAGYGPVWRLLRRNLVSETLHPSRARLFAPARYWVHRVIVDKLAASGQAPHDVVDTLQYAMFCLLVNMCFGERLDEATVRAVEDAQRDLLIYITSQMAVFAYFPAITKHLFRGRLEKIYALRRRQRELFMPLINARREYKKHGGGEKTTNKETTLEHSYVDTLLDIKLPEDGNRALTDDEIIKLCSEFLNAGTDTTSTALQWIMAELVKNPSIQSKLHDEITAKTGDDQPEVTEEDVHGMPYLRAVVLEGLRKHPPGHFVLPHRAAEDVEVGGYLIPKGATVNFMVAEIGRDEREWAKPMEFIPERFLPGGDGEGVDVTGSKGIRMMPFGVGRRICAGLSFAMHHLEYFVANMVREFEWKEVAGDEVEFAEKREFTTVMAKPLRARLVPRRTT >OGLUM10G15660.1 pep chromosome:ALNU02000000:10:19438562:19440973:1 gene:OGLUM10G15660 transcript:OGLUM10G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLWASSAANALKISCSGATRAAPAYSISRYFSTVLDGLKYSSSHEWVKNDGSVATIGITDHAQGHLGEVVFVELPEAGAKVSQGGAFGNVESVKATSDINSPISGEVVEVNDKLSETPGLINSSPYEDGWMIKVKPSSPSELDALLDPAKYTKHCEEEDAH >OGLUM10G15670.1 pep chromosome:ALNU02000000:10:19443564:19444774:-1 gene:OGLUM10G15670 transcript:OGLUM10G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRYRFLCCGCGGNMAASAAGDRGCDDEADCGGGSGGGGFGEEEEGGKGGVDGAARRLSWAQVEAMTGGFTSAVVGEGGFSTVYLARVAGALAAVKVHRSSERLHRVFRQELDALLRVRHPHIVRLLAFCEQQEEGVLVLEFAANGNLHERLHCGGKAAGTMPWARRASVALQVARALEYLHDRCEPAVVHGDVKASNVLLDAAMSAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGMVTKKSDVYSFGVLLLELLTGMEAFCAAEGRLLTAVLAPRLRPASGAACDARMLVDERLGSAYDAGEASAVASLAASCVGENPSLRPSMADVVRALEQGAHGSISAVARRSDGHGKL >OGLUM10G15680.1 pep chromosome:ALNU02000000:10:19452348:19458004:1 gene:OGLUM10G15680 transcript:OGLUM10G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron-transfer flavoprotein:ubiquinone oxidoreductase [Source:Projected from Arabidopsis thaliana (AT2G43400) TAIR;Acc:AT2G43400] MQRVLRAAAAGIGHASGHRAPRWGAAAAARWLSGGREAMSYDVVVVGAGPAGLAAAIRLKQLCRDADTDLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDTPIRVPVSSDKFWLLTKNKAWTLPSPFDNKGNYVISLSQMVRWMASKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGSKRETFQPGVELRGDYLENAGRMTLLAEGCRGSLSEKIIRNHKLRESGQGQHQTYALGIKEVWEIEEGKHKPGSVIHTVGWPLDSKTYGGSFMYHLDDRQLAIGLVVALNYQNPFMSPYDEFQKFKQHPAVRTILDGGTVLQYGARTLNEGGFQSIPNPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKTLVEGSSMELYLENLKKSWIWEELYRARNYRPAFEYGFIPGIALSALERYVFKGKSPFTLKHGIPDHEATDMASLHSPIQYPKPDGQISFDVPTSLYRSSTNHEHDQPPHLRLRDPTVPERVNLPLYAGPESRYCPARVYEYVTDEKGDQKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >OGLUM10G15690.1 pep chromosome:ALNU02000000:10:19458154:19458830:1 gene:OGLUM10G15690 transcript:OGLUM10G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRVFAPSISAATLDWKPVGGGDIHRPRRRRLASAAAIFHLLLSLQLQHALPLLSGCLNSTLQGFKPQRCNQLTMINSSIEVYMNSSNSSDSNHSQAGTNVLIHSGCAFCDPYGILQRIQYSAYSQDMCTCITVGSKQQTQRFSTQLLTTDTNCCWFQASEFRETRYFAFRSQVHNMLRKALINGINCSAIHPA >OGLUM10G15700.1 pep chromosome:ALNU02000000:10:19460683:19461501:-1 gene:OGLUM10G15700 transcript:OGLUM10G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSPSPSAEEPFRVFVGYDPREDEAYEVCRRSLLRHASIPVDVRPIRQPDLRAAGLYWRERGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADIAGLLACLPSSDPDHRLAVACVKHEYAPAEATKMDGAIQTVYPRKNWSSMVLYNCGHPKNVAALTPDAVSTQTGAFLHRFAWLDDDEIGEIPFAWNFLVGHNKVDPADPSTQPKAIHYTSGGPWFERYRNCDFAELWIKEADELKADKEKEKQKQQQIVMANGEKEKDEEGN >OGLUM10G15710.1 pep chromosome:ALNU02000000:10:19461707:19473306:1 gene:OGLUM10G15710 transcript:OGLUM10G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGSATWTHLSASVAVKDNGLTRRQLWGGARDRGNSGGEVCGLWTVGCVGDGSGRCGRGDVAWAARPHGTECGHRVESMARPARSGPRAACPVMRPSWTAMCVGEGCRVLHDSYQNSGISIDEAQLGMGSHGNVNYRRGGEEGLVMRPCATGGHKWGTGVARDNMGAMSLHVAYRAALEKVPGIAATLQAMSVAELAPEIVGQLFELCRRIPPSVQPSSNTASARATKGSKVNERAPCNLHIEIDPYGI >OGLUM10G15720.1 pep chromosome:ALNU02000000:10:19474468:19479249:-1 gene:OGLUM10G15720 transcript:OGLUM10G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYYLEREGLTHNMPMHGHFYTPLPLNQRHADTTNAADMTRGPMRMWAPHVSGVSVSPDVLIIPSPPFPSGNTRGGGGGGGGSSMQQQQPGGGGGGVQQFGAVAPEMSPFSPAGGGGGGRISMAEAASPISSRPPPAQQQFDELGVGGGGGGGGGFDAEALAAAAVGEEGASGGAGGNRWPRQETLALLKIRSDMDAAFRDATLKGPLWEEVSRKLAEEGYRRSAKKCKEKFENVHKYYKRTKESRAGRNDGKTYRFFTQLEALHGTAAGVVAAPSPVTSLAPPPATAVGVSGGVRAPAEPPPAVVMGNVMSFSTSNTEEYSDEEDSDDEGTEDMGGGGGDERGKRKRLSEGGAAAGGGGGGGGGGGSGKMMRFFEGLMKQVMERQEAMQQRFLEAIEKREQDRMIREEAWRRQEMARLAREQEILAQERAMAASRDAAVVSFIQKITGQTIPMPPIIAAPAITVMPPPAPSQPPQPPPPPSQPTPITSVAPAPPPPPPAAAAAASQPSPQATKSPLPATPQTQSSMDIVMTAAEAHDAGYDGSGGGGGQPSSSRWPKAEVHALIQLRSNLDNRYQEAGPKGPLWEEISAGMRRLGYSRSSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYRNKAALNSSSSTAAAAAPALPPPEHAEPAVTVAAPISQTPPPPPQPVTTTKNGNGTSSTNGEGGGGGSGGMQMQASNGSVVAGNKFFTGAAAKKPEDIMKEMMEQRPQQPAAANNAFNRTDGGGGGGGVDSDNMDEDNEDDYDDDDDDDDDDVDGNKMQYEIQFQHQHHHQQPPQHRHQQSVVRPNAAASAAAGGNPPGTAAPATAAAATTTTGSFLAMVQ >OGLUM10G15730.1 pep chromosome:ALNU02000000:10:19507922:19510249:-1 gene:OGLUM10G15730 transcript:OGLUM10G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF604) [Source:Projected from Arabidopsis thaliana (AT1G33250) TAIR;Acc:AT1G33250] MRKPHHAAQPPPPPPLPLPLPPRNCPLATAVLALLSVLLATALWVLLVLSPASHARGPAAGLSDAADAEAFAVAGGGGGGGGEGAEAPLSLGHIVFGIAGSAHLWPRRREYVRMWWDPAAMRGHVWLDAGAPAAPGPSASGEGSLLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGGGGARWVVLVDDDTVVSADNLVAVLGKYNWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSLPLATALARTLDVCIERYPKLYGSDDRLHACITELGVPLSREYGFHQWDIRGNAHGILAAHPIAPFISIHHLELVDPIYPGLNSLESLELFTKAMKTEPMSFLQRSICYDKRQKYTFAVSLGYVVQVYPYVLLPRELERSERTYIAYNRMSQRTEFDFDTKDIQKSLCKKPILFFLKDVWKDGNITRGSYIRASVRDDLKNKVFCFRSPPLPDIDEIQVSASPLSKRWHLVCSNIPNNISYLVSTFTLANCRTTDIGTKKVMQCA >OGLUM10G15740.1 pep chromosome:ALNU02000000:10:19515466:19525649:1 gene:OGLUM10G15740 transcript:OGLUM10G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTHDNRIEDEKSDLLGYEICSGKLTLDNKSKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >OGLUM10G15740.2 pep chromosome:ALNU02000000:10:19515466:19525649:1 gene:OGLUM10G15740 transcript:OGLUM10G15740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKSDHHEQNLTSPRGLIHKVLRRTSSRRSPTAADHNPSPVFLETSNSKFLKQKDIDDAIKDPEKAGTHDNRIEDEKSDLLGYEICSGKLTLDNKSKSASGEQSGSGSSSNCFDARLTTEALVWGSNILKLEDIVSVSYHSGLRHFTVHACPLEKRSSGLSCFMKPRKIQKDLRFLSTSPHEAFRWVNGFADQQCYVNLLPHPMASSKKHSSELIPFDNMFDPCVKCRSPPKILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVVKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVAVSVPIGIIPAGSDNSLVWTVLGVKDPISAAMSIVRGGFTPIDVFAVEWIQSGTIHFGTTVSYFGFVSDVLELSERYQKRFGPLRYFVAGFLKFLCLPKYSFELEYLPISDGGGGGEHKIVEGQDKVDASDLYDDVVRRSRVECLPRASSLSSIDSIMSTGIMSGGDLEVSSPHANNEPSELVRALDPKSKRLSLGRTSTFKEPEEVIHPQAHVSSTPNWRRSKSKSRTEKAWPGSGATNDANSSRGTTTHDKEDASSTISDPGPVWDSGPKWDDEPKWDNQPNWEPETPIDLPGPLDDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVIAPKAEYDDNCLDLLLVGGSGRLRLLKFLVLLQFGKHISLPNVEYLKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCRLIGRPAKNPVQ >OGLUM10G15750.1 pep chromosome:ALNU02000000:10:19525031:19525445:-1 gene:OGLUM10G15750 transcript:OGLUM10G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACRCSSRRSRLSLQLPPPCLLIVLVAAAAMVAAAATGARAAWVDYPSGVPCGETIPVEQCDPGDAAANSACMDVCHYGGCRRGGECVSLGFGRGRGCHCKC >OGLUM10G15760.1 pep chromosome:ALNU02000000:10:19539262:19545133:1 gene:OGLUM10G15760 transcript:OGLUM10G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRALSSVDRGRDGRLGLHPGSLHGGDPSCSIMICLGIKSEEALGRTDARLQSTTQARTIFPCGPWGPTIDHHSLAASRRQSTESTALCVYVFLPNRALHYVKNALARGGVGCGGDGEDGGRRSGAGGGGGGRARGRRSHPSPPVPCPCPLRQAWGSLDALVGRLRATFEEHGGYPEANRSVSTPSASTSARSAIARPKRPPTSSYSQAAAAATSPASPAASPTPPERSAEVGACVAIAITIGCAPLSPAAHHRGSYRTLARRR >OGLUM10G15770.1 pep chromosome:ALNU02000000:10:19554002:19560937:1 gene:OGLUM10G15770 transcript:OGLUM10G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53580) TAIR;Acc:AT5G53580] MALPVTTRAAPAMPFAPQPRTAGGGLLRRPSPPAAALRIAPPLFWPWQKVKVGPLSVSPMGFGTWAWGNQLLWGYQESMDTELLECFNLALKNGINLFDTADSYGTGKLNGQSERLLGKFIREYEGPMKSPDDVIIATKFAAYPWRLTSGQFVSACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSHLSSRGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYTTSNLPSGPRSVLFRQILPGLESLLTCLKRIAERKGKTMSQVAINWCICKGTIPIPGVKTVRHVEDNLGALGWRLSPAEVSELEAAAMESPKRMVQNIFQTA >OGLUM10G15780.1 pep chromosome:ALNU02000000:10:19557586:19560897:-1 gene:OGLUM10G15780 transcript:OGLUM10G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methionine gamma-lyase [Source:Projected from Arabidopsis thaliana (AT1G64660) TAIR;Acc:AT1G64660] MAHVMAASELVTATIKRPFQNDDDNSSNGNGNADGKPKARRREADPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPERGDLYIYSRHFNPTVLALGRQMAALEGTEAAYCTASGMSAISSVLMQLVGAGGHVVASRCLYGGTHALLSRFLPRASGVRATFVDADDEAAVRAAVRPGETRVVYVETMSNPTLAVADIPMLARVAHDAGAKLVVDNTFTPMVVSPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNAMMDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRAAEYASRMRRLGLRVAYPGLPDHPHHARLLAIANPGYGAGGMLCVDMGTEDRANRLMHHLQNTTRFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRARAGISPGLVRMSVGYNGTLEQRWAQFERALSLMQQQQQQHPDRDAAAKYCKIVEWHS >OGLUM10G15790.1 pep chromosome:ALNU02000000:10:19567095:19567562:1 gene:OGLUM10G15790 transcript:OGLUM10G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCKLKLVASEQGRLDARRQRRDGLDARPRRDGLDARQQRQARCATAAARQARCTTAATASMRDGGATALMSDGGSTASM >OGLUM10G15800.1 pep chromosome:ALNU02000000:10:19571537:19572103:-1 gene:OGLUM10G15800 transcript:OGLUM10G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF538 [Source:Projected from Arabidopsis thaliana (AT4G24130) TAIR;Acc:AT4G24130] MASVTTTVTTGTAAAAAAAAEVGKEREGAEVVSGAVECFKQSMELMRALGFPEGMMPLRGLEECGLVRETGFVWMRQKAPYEHYFRGTGTRVRYDVEVTAFVEEGRMKRMTGVRSKQLMLWVPIVEMSLDGADRVYFKSNVGIGRSFPASAFADETAAAAAFLKEADAAAAAAEKEAAATATAVTANE >OGLUM10G15810.1 pep chromosome:ALNU02000000:10:19573736:19577239:1 gene:OGLUM10G15810 transcript:OGLUM10G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ypt/Rab-GAP domain of gyp1p superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G41940) TAIR;Acc:AT5G41940] MWAWGLVERAAAGLLGPLGGAHGGGRWNTAVAVGVTAAAGLVLVVIVVSSRRGGLKSPWWRRRRKAALKPHEWVSLFTPEGKLKDGGVKLLKKVRSGGIEPSIRAQVWPFLLGVYSLGSSESERDAVKAQNRKGYLLLRNHCLRKSVYINEENKQSNEAAGAKHVECVSSEKGEDTVNPAGSEEVPDKSSVEEHLVGDDTVGPVVSEEVAEKYFVEDHLVSEEENPSANPGEEVQDDTSKTSPEKLTDGNHSSSSSSSSSSEEESESSGLTHVETSHMVVASVQQSLTEDEQESIPRYSNTGGNMENDSELSKAARPVKSVRTIEDFETWQRIIRLDAVRANDEWVSYSPSQAVVSREKAIESAKAVCLKDYEHLEPHRIRHAARLIPILEAYAIYDPEIGYCQGMSDLLAPLLAVLEDDIEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSLGKLRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVTTLHGRIE >OGLUM10G15820.1 pep chromosome:ALNU02000000:10:19574074:19576486:-1 gene:OGLUM10G15820 transcript:OGLUM10G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLVFDYPGYHVQLPANAHFIKPEIMTGFPHKTSKAPEGFNVIFQYGKYNGTNSVIANKMLFYTRFIRSFTPPSLSFPSGVNKLTHSWGLSAAFLRRRHHGDLSPPLQSKHPLATQRKEARKGTPHQAS >OGLUM10G15830.1 pep chromosome:ALNU02000000:10:19577754:19580123:-1 gene:OGLUM10G15830 transcript:OGLUM10G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQVNGGLGSGCAASQIPRGFRRRRESGACPDRVTPPHSAPLPPRPRAPRRLPLPPTTSQRCRAWAWAADMAGEKKVFGFEEVAGHNVTKDCWLIIAGKVYDVTSFMDEHPGGDEVLLAVTGKDATNDFEDIGHSESAREMMEKYLIGEIDASTIPVKRTHVTPQQAPGNPDKGDDMLIKILQFLVPILILGLAFAIRQYTKSE >OGLUM10G15840.1 pep chromosome:ALNU02000000:10:19580399:19586140:1 gene:OGLUM10G15840 transcript:OGLUM10G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPAQVRTARGGAADGVEVGVEEEEEPPRSATVKQEEANAVLGAEGSRPFAMRELKEDHEVAAGSGVKAASGERNGVGSADAEGSSYSQESMQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYISAIERNHDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPAREKQTIIKTAISKYGLAEDTMRSGKPGVSASEFYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLIAPPENSAIAPHKEWERSQFVLNHEELQQVNASDQPPSQSPGHVDSGRKLFRIVVADIVSVSACADLTLPPGAGLRIDTIHGPRFLVADNWETIDSWLDAIRLVYTIFARGRSDVLAGIITG >OGLUM10G15850.1 pep chromosome:ALNU02000000:10:19586606:19586977:-1 gene:OGLUM10G15850 transcript:OGLUM10G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEHHVVDVLVCKSTCCCDPHDCASRELTPARIHRTLGEALHQWRWKHQSRRLLRRRWSSELTPCHSALRAESARLALAHKRHVGDVVGGDSTGCCYRRHGPFRELTLAGVLGALALGGEFH >OGLUM10G15860.1 pep chromosome:ALNU02000000:10:19589467:19590507:-1 gene:OGLUM10G15860 transcript:OGLUM10G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGWQWLLPELLEEIAGRLVTEADHVHVHQVCAHWRASTALPSATRRPWLVAAIRPWRRMSPVGAYSVWHLDGVGRVDLRGASPPAGVPRCCGMPRGWLALTDDDELPTRAAGALGSHLRRGGRAPAAALRPPAVDGAREPAERATRRLAEDVLLASRGRVVEPHDAAEAELLHLQDRERRLPRREADLRRLAAAPRRLRPQLHRRRDDATGAAGVQVQWLPSFAEVYKPPAAEWTAPAERWQLEFGEKVTDLGGYSVFLGRGEGFAVHAQDFPLIRGNCVYYARNDILYSLMSCREFHVLRNIMRGKELAAAGPILGCLKRPFLKGKLF >OGLUM10G15870.1 pep chromosome:ALNU02000000:10:19598664:19608371:1 gene:OGLUM10G15870 transcript:OGLUM10G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNGSVKRTSSSGAAAAFTANPRDYQLMEEVGYGAHAVVYRALFVPRNDVVAVKCLDLDQLNNNIDEIQREAQIMSLIEHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKIAYPDGFEEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILVDNAGIVKLGDFGVSACMFDRGDRQRSRNTMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDRRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTMKGILTDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSMSEYQRGVSAWNFDVEDLKAQASLIRDDEPPEIKEDDDTARTIEVEKDSFSRNHLGKSSSTIENFFSGRTSTTAANSDGKGDFSFEAFDFGENNVDTKIMSNGYENARSENSSSPSTSKQDPESKYWRSTSGQKQQTSGTPAVHSGGVNSSTTEKGHGVERDATVQLASDKLRTETRRATNLSGPLSLPTRASANSLSAPIRSSGGYVDSLGDKSKRNVVEIKGRFSVTSENVDLAKVQEVPLSSLSRKSPQASPLKKSASVGDWLVNTKPMSNSHHVKELCNSSVSSSILIPHLENLVKQTMFQQVGSVNSEKERSLLVKISELQSRMITLTDELIAAKLKHVQLQQELNALYCREEIEDIRDDDNEET >OGLUM10G15880.1 pep chromosome:ALNU02000000:10:19609207:19611962:-1 gene:OGLUM10G15880 transcript:OGLUM10G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA excision repair protein ERCC-1 [Source:Projected from Arabidopsis thaliana (AT3G05210) UniProtKB/Swiss-Prot;Acc:Q9MA98] MDGQREEPQHAGRRRQPEPGKNLIKIPSYQEVFGSGASSSAAPPSYNPPPTTAASSSSSSSSFSQAFSFLKSTEFYSPPPPPPQTTTPSLRYHLLHPDYLYYRIRELQKNFKLRVILCHIDVEDVVKPLHEVTRTSLLHDCTLLCGWSLEECGRYLETIKVYENKSADSIREHMDNDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKRLHDTFHEPFKRVSSRPNIVVPDTPDREKASGQPSSTNDGAQDAVEKPDASVNKKSSNVRSTLTEAFAKYSEKIRNQNRDSTNVAGEGTSKSTMGDEKDQ >OGLUM10G15890.1 pep chromosome:ALNU02000000:10:19619184:19621581:-1 gene:OGLUM10G15890 transcript:OGLUM10G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPREGGGGGGGVVGLVAYAALAVVALRVVLSYKSVAHAVRRMWRWADEWAQAYQYYEVPRFGGGGGGEGVENPLFRKAAAYVAALPSLEDADAACVLSSACKTNDFSLQLGPGHTAHDAFLGARLAWTNAGPAGDGGGGRERLVLRVRRHERTRVLRPYLQHVESVADEMELRRRELRLYANTGGDGAPSPKWTSAPFTHPATLETVAMDPELKARVRADLESFLKGRAYYHRLGRAWRRSYLLYGPSGTGKSTFAAAMARFLGYDVYDIDMSRGGCDDLRALLLETTPRSLILVEDLDRYLRGGGDGETSAARTSRMLSFMDGLSSCCGEERVMVFTMSGDKDGVDPAILRPGRLDVHIHFTMCDFEGFKTLASNYLGLKDHKLYPQVEEGFHAAGARLSPAELGEIMLANRGSPSRALRTVINALQHVAPAPAPPQQQPRASSASRPPPRLTARWSGHLDEASAADASAANQSPGGGGGGGFGKDAPMREFKKLYGLIKIRSRKDGGVVPVDDTASANGRGSDVSADKDRFAANLDHHHWSRPSPAGRRQPSRLSPAIAFGQAMCASECGPVRSCFPLFHLTPMID >OGLUM10G15900.1 pep chromosome:ALNU02000000:10:19645374:19650315:1 gene:OGLUM10G15900 transcript:OGLUM10G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFYYLVFGGLAAVVAGLELGKSGKDRVATTPAFNAFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLVAIIAGLFANLLADNLGFGPVAPFDAAACFLAIGMAIILSSWSENYGDTSDNKDLIAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFSISAFTLFLPVVTNFLVPPSSVKGGGISFGGCLQLLGFCIFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCAIFLFMAAILQRRLMVVSDLHRSTKAVEMTGEDEPLNP >OGLUM10G15910.1 pep chromosome:ALNU02000000:10:19649487:19650074:-1 gene:OGLUM10G15910 transcript:OGLUM10G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPRLFPSGSNSSGDASGPGRRKYNPYHDLSTPYSYQTLYDLPTSPEFLFQEESAAQRRSWGENLTYYTGVGYLSGAVAGAALGLRDAAAGAEPGDTAKIRANRVLNSCGGGGRRLGNRLGVIGLMYAGMESAMVAARDRDDWVNSVAAGLGTGALFRAANGPRSAAVAGAIGGVLAGAAMAGKQLAKRYVPAI >OGLUM10G15920.1 pep chromosome:ALNU02000000:10:19652113:19653435:1 gene:OGLUM10G15920 transcript:OGLUM10G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQLASPRPRRVRRAGGGGSDWASLPADLMSSVLRRLAGDRERARFGAVCKGWGAAAARLPSRPWLVGSRADDWTGLSGATMSSFWLSLGQRGLVPFAVDVPAGSEYLSSSRGYLALSNPTGNPRVITLFNPVTGRRIPLPPIGFFKKWHDVATIVLSADPDTAEAWSAVAVGFPANCLAYYSSATNDWKPIRFNYSSGYAGVEHFRGRFYVAFKSEISVLEVDVATPAAIKIEIAHDDDDEDADVFDIDLNLDHETSNSDDDDDDDDDCADTLAGLGDEDYPLKCLVETHLVDCGGELLVVSMHDEVAYKKPSPESAVGRKPRSHDDERWVDVHRVEWLESGAARLVRMEDLSGYALFVGRNHAFALSPEEFPACQPNCIYSVEQQGHPDGLVRVVNFNDDTTEWACPDEDIFPDDDMRGSPTAGWARRGWVFPKYYS >OGLUM10G15930.1 pep chromosome:ALNU02000000:10:19658456:19659701:1 gene:OGLUM10G15930 transcript:OGLUM10G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSSLPADLLLAVFARLPCDADRARFRAVCAGWGAAAASWRPRPWLVGRGGGGGGLVPFAAAVPAGLEFLSSSSHGYLALSDPMATPKAVALVNPVTGRRIRLPPIGFFKRWHDVATVVLSADPGTADEWAAVAVGFPTNCLAYYSSAAGAWTPLGFSAAGYAGVEHYRGRFYVAFKSQLCVCDVEATVPAVIPLEQLTDDDDGGGGENVDTGRRVVETHLVECDGELLLVSVRDNLERNPEDATIFGDAGDDDDHDGSSSSSDSDGGGDGRVVEVLRVEWVAGGAVRLVRQEDLRSRALFLGRNRAFALSPAEFPACRANCVYLVDQQGHPDGRVRVFDMNADGRWEPEEAAIVARNYALRDETIYPDDGRRDAQSAGWARRGWFFPKY >OGLUM10G15940.1 pep chromosome:ALNU02000000:10:19660542:19661703:-1 gene:OGLUM10G15940 transcript:OGLUM10G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRRRGVRPPSLARHVRARAAPRLAPAITRRRRGRRRCKRVDDGEVSLRPERGGSPAPAADSLVSVSRGWVAMKHGESHLLRDPVSGDEVPLPSCGLRYELSKIILPTTARRRRCLRRVDSVRLLSQHQQILWPHTRRVVFCRAGDSEWTFLDKAIQAQRYRGLEFFRGRAYVLLSNLTVAVGDVVSRMLIATSLGLSRVHRWRHDELLEATCLVRCGDDLLFVQVSRQVVPRRSCFPLLAGGGHRRRRLRFTSRVYKLEFAADGSGVPVGFTKVESIGEYALFVSRRSHAFALPASGAASPASSRIASTTWSDYAARSSSALRGRLAVSSPCHWTIFLSPGLVSTAAAAGAQAAPPTMDNAVADDALVDN >OGLUM10G15950.1 pep chromosome:ALNU02000000:10:19663675:19686178:1 gene:OGLUM10G15950 transcript:OGLUM10G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGWSSLPADLLREVSGRLYSDADHLHIHQVCAHWRASTLPVSACRPWVVAGRALQRGLVPIGDYSLRLPGGGAERMDAGLRRAPPGLRHCCGASRGWLALADDARSPTRLALWEPLSGAEIPLPCLSPITRVSLSGDPLASPVWVAMASQLKGREGQKTLVCRRGDAAWTVLFERGTSEIDTVVFHRGKVYYIDILRNIVVCDLDAKCTQAFHACTPVSMLCSCDKFHPERGVHLVACDDEVLLVVVRWGGHPSLAEIYRPEWKGNHQLELGERVMDLVAGSGSALTVHITPPSLFLRARPPSQTLTHAEAAHVDGDLARQWGGGHGGERAEEFVGDEATCSLAAVEEILIQAEPAEDRHASTTGGAVANEATVLEVGLAVLGLHIPMARAKPWVARGGGLVESSGDVVGSEGTCGGCRGGRLLGGGWCGRRARDAHHQVDFSARPFRAAPDSTTVLADTILIAATSTSSSMYDSHMYIIRRRSTFRASKIMQARALSNPKIQSGGAEGGSPLVGVNVKNLVTGAISDLQEAVLFFAIRHESETELLGGQLELDADVHQSELHAHHVKGVFAAGDVQDKKYLQAIIVAGSVWFSGITSKHNMGR >OGLUM10G15960.1 pep chromosome:ALNU02000000:10:19685264:19688114:-1 gene:OGLUM10G15960 transcript:OGLUM10G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGDPPSKLDGSEKSPLQEIQSAKPAVILTASDDHASALAAAGVHASSLTTAGDLASALAAAGVHASSLTAAGDLASALAAAGLHAFSLTAAADLASALTAAGIHTSALTATDDLASALAAAGDLASSLAAAGDLASALAATGVHASDLAAEGVHASDLAPMGDHADVHGPAAGASTAHGSASKATSNHVSSSAGTAAIKPFKTNDGTAYYEVQPKIESSAEVKEYMEANFAYLMPELLLLIAYRLRGSRRDVQAMRSVCTQWRAAIPKTSGPWLVLSRLQEDLPRGSDKTVFVEIVASDGDGADPRLALLKCLCPPPTTSSCWGAAYGSLALRHDGTNDVFLYCMNCGRDTWIPPLSDGMTPMGIYFDEYPTHLRAIMSVGYPRLHFKRIDMDAAPRWDVYPGTGQYHMRSVGFSSGTHFVGATAHNMIVIDEVGGEPGHDFLILLAPVENDGFDIWHLNGHHIFVCSEKIYLCVLFRSGQDMSSVRASVFVLEHIDGGNAFVPTNDVGDFAIYLGTNQPLVLPAEDNSFIRRRNTIYVEDDDGTVRNARVLSWIHVGKSILLGLS >OGLUM10G15970.1 pep chromosome:ALNU02000000:10:19689126:19693082:-1 gene:OGLUM10G15970 transcript:OGLUM10G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G10790) TAIR;Acc:AT4G10790] MAETVDDKVSYFQAVTGISDHDLCTEILAAHNWDLQLAVSSITANPSAPAPAGTEGGGFGRAAPASASAAAAAAAGDRLVTLPFYVVSGGVGLIAGSIRLGAWVAGGVLSRSLSILGLAQGGGGGGDRLLELPPSAAEAADFVAEFEREFGAGRGPHFVAEGFADALQRAQREYKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIVLLRQIEGPKSPEEMITTLQGAVEECSASLVAARIDAEERLNNQRLREEQDAAYRAALEADQARERQRREEQEKREREAAEAERKRKEEEEAQERAAQEAAEKEAALARRRQEKAMALGAEPEKGPDVTRVLIRFPTGERKERRFNSSTTITSLYDYVDSLDCLKAEKYSLVSNFPRVTYGPEKLSQTLEEAGLHPQASLFIEIEQ >OGLUM10G15980.1 pep chromosome:ALNU02000000:10:19694395:19698313:-1 gene:OGLUM10G15980 transcript:OGLUM10G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT5G63830) TAIR;Acc:AT5G63830] MEREVVVSEDAAASSSSSSSAAAASFSLAETRVICRVCQKQFAQYTCPRCNARYCSLPCYKGHSVQCTESFMRENVMDELKQMQPEDESKKKMLDILKRFHLEEEDMDSEGEDESILSEELIQKVMSGDEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKKPSARSISLSPDGSQLIRQVSVEDTDTSDPMADPESSISEIPEGPESALPSLKQLTRAEPSPLLAVHLVDILYSYCFTLRLHNGDWRSDPFGASTVALSVSKVMGEDAKPETVSEALTACIEETCSPAYRHTGGFRFAIALVDDIISLLTLGGNALVCALCDFRRLIHIGERMLKAEKLGKAERSRSTQKLRAADRKLYFMTCWVHEQPNEAWSSLARLVEVQKASLEELDCGSQFQRAGRKNDAQSKVLIEEI >OGLUM10G15990.1 pep chromosome:ALNU02000000:10:19705049:19708681:1 gene:OGLUM10G15990 transcript:OGLUM10G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGEEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAAADADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQVLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNNLMTRGTLEQKACLDTLLALMVDSSENLMDFKEHEGLDKIGDLVKDTQRDDNVRLKCAEFLLLFSRSASEKGDATFVSCMQEDLKNIVGENCASFICSKIFFSSTLDSEVTEPELNIHAKHHNINVFKRQLLG >OGLUM10G15990.2 pep chromosome:ALNU02000000:10:19705049:19708784:1 gene:OGLUM10G15990 transcript:OGLUM10G15990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAAAGEEAAAAAGGRRPTASERRRMYRDLAQSLRCGLRDASAGFSFLRLRGLRALLRALRSAAAADADARLFRHSQALRDLQVVPVLFEHSLRRAQGDAVVTVGQVLGISPAVKLSNPATDSEVALALRVLEGCCLLCRDCAAAAHRYDAVKVLLNNLMTRGTLEQKACLDTLLALMVDSSENLMDFKEHEGLDKIGDLVKDTQRDDNVRCSCDLYVLKYIERFNGSGLAKKSLRPYSVWLELAGVMAQPPWINPARKQSRPIGKVAFG >OGLUM10G16000.1 pep chromosome:ALNU02000000:10:19717100:19721387:-1 gene:OGLUM10G16000 transcript:OGLUM10G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:trehalase 1 [Source:Projected from Arabidopsis thaliana (AT4G24040) TAIR;Acc:AT4G24040] MAPTAAVAGGGGEAEAEAEALLGLLQRVQSEALRAFGPNDFDPKLYVDLPLAADASAAAALASLPRAAPSRGEMEAYISRYFALAGSDLVAAADPPDFERDPPGFLPRVERAEARAWALEVHALWKDLTRRVAPAVAARPDRHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMYETAKDIVLNLVYLVEKYGFVLNGARSYYTNRSQPPLLSSMVLDIYMATGDMAFVRRVFPSLLKEHSFWMSEVHNVAVMDNHGRVHNLSRYQAMWNKPRPESATIDEEFASKLSTAAKEKFYHQVASTAETGWDFSSRWMRDSTDMTTLTTSCIIPVDLNTFILKMEQDIAFFAKLIGESTTSEIFSEASKARHNAIDSVLWNADMEQWLDYWLPTDGNCQGVYQWKSISQNRAIFASNFVPLWLNAQHSGLEQFVDEAKSVRVMRSLQKSGLLQPAGIATSLSNTGQQWDFPNGWAPLQHLIVEGLLRSGSGEARELAEDIATRWVRTNYDAYKATGAMHEKYDVVTCGKSGGGGEYKPQTGFGWSNGVILSFLDEFGWPQDKKIDC >OGLUM10G16010.1 pep chromosome:ALNU02000000:10:19723542:19724817:-1 gene:OGLUM10G16010 transcript:OGLUM10G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAAAVLPWGGGGSPAWIEVPEKSKSAFWELKRRKVHRYVIFKIDDRREEIVVEKTGAPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSPSVSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >OGLUM10G16020.1 pep chromosome:ALNU02000000:10:19727916:19733013:1 gene:OGLUM10G16020 transcript:OGLUM10G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAARRSLASRLSHHLTRRLHPATAPHLLASHSDDDPSPPPELPPFPHSPSPRHPRAGQALDRHLLPFSLHHLAGPRHRGFSSAAGEVDAAAGVLADAAAAAEAVPAPFPGEVAAAAADSFFPVAALQHVIDYIHTFTGLNWWACIALATVLIRSATVPLLVNQLKATQKLNAIRPEMEAIKEEMNAMDPKSAKEGKAKMTALFQKHGVSPFTPLKGLLIQGPIFMSFFFAIRNMIDKVPSMKGGGSLWFTDLTTPDPLYILPVLTALIFLVTVELNLQEGMEGNPMARKMKNFSRGMAVLTVPFTMSFAKGIFCYWITSNLFTLTYGFVIRRPAVRKFCNLPALEAQSASAKKQMFNLFGGSKALTTAESPVAITGGPQSSLEQPDAAALGYRVKNPEKKAKSRGKSRRRR >OGLUM10G16030.1 pep chromosome:ALNU02000000:10:19735156:19736913:1 gene:OGLUM10G16030 transcript:OGLUM10G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGRRCWGTRRRRRAGCTGGRRRRRGRTAGRRGGRPR >OGLUM10G16040.1 pep chromosome:ALNU02000000:10:19737433:19740487:1 gene:OGLUM10G16040 transcript:OGLUM10G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERLLWASTMSSGEKTRGMGAMGTLVGQLGELLSRAVMPPPPRVCGAPGGPPVTAPRVRLSDGRHLAYEESGVPKEAARYKIVFSHGFTGSRLDSLRASPEVAEELGVYMVAFDRAGYGESDPNPNRTVKSAALDMAELADALGLGDKFYVVGVSLGSHAVWGALRYIPERIAGAAMMAPVVNYWWPGFPAEDAAAAYGRQSYGDQWALRVSHHAPAILHWWMDQSWLPTSTVVDNTTFLPNKRDADIRRTLTADGTLQKKKEMATQQGINESYYRDMTVMFGKWEFDPMALPEPPCPVHIWQGDEDGLVPVALQRHVAGKLGWVSYHELPGTGHFLSAVPGLGDTVLRTLFG >OGLUM10G16050.1 pep chromosome:ALNU02000000:10:19742963:19751729:1 gene:OGLUM10G16050 transcript:OGLUM10G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGNNGSPPVALLVLMAAAMVAGWFVNAVRPPPPTPCGAEGGPPVTAARVRMRDGRFLAYAESGVRREAARFKVVYSHGFSGGRMDSPRASQALLEELGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPVGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLMALSTGLFQKKARMATQQGVQDSFYRDMAVMFGRWPEFEPAELEEPPFPVHLFQGDEDGVVPVQLQRHICRRLGWISYHELAGVGHFLSAVPGLGDRIVTTLLPLPPPAAA >OGLUM10G16050.2 pep chromosome:ALNU02000000:10:19743194:19745012:1 gene:OGLUM10G16050 transcript:OGLUM10G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASTGNLLQEVHAKLKINAADETIRNNGSPPVALLVLMAAAMVAGWFVNAVRPPPPTPCGAEGGPPVTAARVRMRDGRFLAYAESGVRREAARFKVVYSHGFSGGRMDSPRASQALLEELGVYMVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHR >OGLUM10G16050.3 pep chromosome:ALNU02000000:10:19744565:19751729:1 gene:OGLUM10G16050 transcript:OGLUM10G16050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFDRAGYGESDPDPRRSLRSAAMDIQDLADALQLGPKFHLICSSLGCHAAWASFKYIPHRLAGAAMMAPVINYRWPGLPRGLARQLYRRQPVGDQWSLRVAYYAPWLLHWWMNQTWLPTSTVISGSGSFPNALDEKNRLMALSTGLFQKKARMATQQGVQDSFYRDMAVMFGRWPEFEPAELEEPPFPVHLFQGDEDGVVPVQLQRHICRRLGWISYHELAGVGHFLSAVPGLGDRIVTTLLPLPPPAAA >OGLUM10G16060.1 pep chromosome:ALNU02000000:10:19749626:19753400:-1 gene:OGLUM10G16060 transcript:OGLUM10G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:XB3 ortholog 3 in Arabidopsis thaliana [Source:Projected from Arabidopsis thaliana (AT5G07270) TAIR;Acc:AT5G07270] MGNSLGCSASGERLVSAARDGDAVEARMLLELSPALARYSTFGGLNSPLHFAAAKGHLDIVTLLLEKGADVNVRNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGLVRCVRLLLADFVPSAPLEDGASSTVDGGECQTNSGSSPCSSLGLKFNESARLRYINKPADGGVTALHMAALNGAGSTPLHYAAGGGNAECCQLLLSKGASKLTLNCNGWLPIDVARMFGRRFLEPLLSPNSNSSIPAYQPSNYLALPLMSILNIAREFGLLHTVTSVDDSDLCAVCLERSCSVAAEGCCHEFCIKCALYLCSTSNTRVEFTGPPGSIPCPLCRNGIMSFTKLPSTPTEGLKSSSALTFCNPCMLNTRSVDSPATISKAEIRRNRVAAVSSELVCPLTCSPFPSSALPTCRCSDDDPCDAIETQDGSEVQSPQPSHCASMEMDKREQQDLDRTSCSGMFWSRRSCHREEQCNAEINA >OGLUM10G16070.1 pep chromosome:ALNU02000000:10:19758690:19761712:-1 gene:OGLUM10G16070 transcript:OGLUM10G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDQESSSSHRDAEASTSTSKNPGRTEEAGADILPKEMNEMTISDDKVDGHNDKESEGVIVNANGTETGQIIVTSIGGQNGKPKQVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLSNGRSLPPLFDFSAAELEGLPVELVHRIIPEHMRK >OGLUM10G16070.2 pep chromosome:ALNU02000000:10:19758808:19761712:-1 gene:OGLUM10G16070 transcript:OGLUM10G16070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDQESSSSHRDAEASTSTSKNPGRTEEAGADILPKEMNEMTISDDKVDGHNDKESEGVIVNANGTETGQIIVTSIGGQNGKPKQVSYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTERGEVYLNLVLEYVSETVYRVAKYYNRMNQRVPILHVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKTCLSNGRSLPPLFDFSAAGKNFLYLKS >OGLUM10G16080.1 pep chromosome:ALNU02000000:10:19770994:19771356:1 gene:OGLUM10G16080 transcript:OGLUM10G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLQRIRGGGAVLALILLLVLLVVVAPRRAEGHRPSPRAQQEYDVTSAAAAAGPCDAYLVFCSSPPLNASVVSISNLLNVTATTVTESNAVDPVVPIAVDPLVFAPVVGDLIPTCRLA >OGLUM10G16090.1 pep chromosome:ALNU02000000:10:19775460:19777891:1 gene:OGLUM10G16090 transcript:OGLUM10G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BCU3] MAAAAARYDVEKGGRKREGEEERCGSPAAVAQYPQREGEREWVPWLVPAILVANVVVFAVAMYVNNCPSHASRGGACVAGFLRRFSFQPLSENPLLGPSSATLQKMGALVWDKVVHEHQGWRLVTCIWLHAGVVHLLANMLSLVLIGLRLEQQFGYMRIGIIYLVSGIGGSVLSSLFIRNSISVGASGALFGLLGAMLSELFTNWTIYTNKAAALVTLLIVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVLPSSVKYTSKKYLAYQWILLAVASVLAVIGFAVGLSMLFRGVNANERCHWCHYLSCIPTSRWTCGN >OGLUM10G16100.1 pep chromosome:ALNU02000000:10:19779302:19782216:-1 gene:OGLUM10G16100 transcript:OGLUM10G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNAGAARRCGRATHVDLLTVVLAAMLCWASYTLSIWHNSRGAAGSSVLGLVVGATVCGDADEELDFEARHAADDAGLSVSSGPANSRARRALSSSGPAPAAAGTTVSRYRAPFPWPASRGVVWAGNSARGAKAAAANKWARVDGDMLRFTDAGAVRAYAYVVLRLVAAPVRAAVDVGAMHGGSWAAELMSRGVVTVSVAAPWGASDGAALVELALERGVPAVLAAAGGAPSRRLPFPAGAFDMAHCGRCLVPWHLHGGRFLMEIDRVLRPGGYWVHSGAPANGTHERAAIEAAAASMCWRSVADQNGVTVWQKPVGHVGCDAGENSPRFCAGQNKKFKWDSDVEPCITPIQEGAAPPREASAAEALRRDSETWTRRVARYKAVATQLGQKGRLRNLLDMNARRGGFAAALADDPVWVMSVVPATGGGDTDTDTLPAIYDRGLIGAYHDWCEPLPTPALSYDLLHADSLFTMYRDRCDMEDILLEMDRILRPGRAVIIRDDIAILARIKNFFTDRMRWDCQIFDGEDGSDDREKILFAAKTCCNDEDRDQEQ >OGLUM10G16110.1 pep chromosome:ALNU02000000:10:19792290:19794873:1 gene:OGLUM10G16110 transcript:OGLUM10G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGVSGLFGLGGDEGETSAAVGGAAAAGLGDLPELCAAEVLLRLDAPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMSFVEGGGGGDDGRQLRRRRWRPAGKKEIYARLARPVPFDGGSKEFWLEKNKGRVCMALSSKSLVITGIDDRRYWQHIPTAESRFHSVAYLQQIWWFEVVGEIDFSFPVGTYSLYFRIHLGKFYKRFGRRVCSTEHVHGWDKKPVRFQLSTSDGQHSLSQCSLGEPGSWVLYHAGDFVVSKPDQTIKLKFSMAQIDCTHTKGGLCVDSAFIYPKGFQQERMVRSQKCRC >OGLUM10G16120.1 pep chromosome:ALNU02000000:10:19796909:19803124:1 gene:OGLUM10G16120 transcript:OGLUM10G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRARASGSDPPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAESFILLASNSSYAYSEKHRVWIRTVANKFQCT >OGLUM10G16120.2 pep chromosome:ALNU02000000:10:19796909:19803124:1 gene:OGLUM10G16120 transcript:OGLUM10G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRARASGSDPPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAESFILLASNSSYAYSEKHRVWIRTVANKFQCT >OGLUM10G16120.3 pep chromosome:ALNU02000000:10:19796909:19803124:1 gene:OGLUM10G16120 transcript:OGLUM10G16120.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRARASGSDPPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAESFILLASNSSYAYSEKHRVWIRTVANKFQCT >OGLUM10G16120.4 pep chromosome:ALNU02000000:10:19796909:19803124:1 gene:OGLUM10G16120 transcript:OGLUM10G16120.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF2930) [Source:Projected from Arabidopsis thaliana (AT5G52110) TAIR;Acc:AT5G52110] MPLLARAPPPPPPLRHRGRLLPRFTSSSSPRRRRRPRAARVRARASGSDPPPQQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVLLNHLLSPSPTPAQLVGTLVFLLHLQLWSEALGLCLAAFSATLPYLGRFLEGAGAAERVPLPEGSRQVFAMSDSLSAAQKEDMAWASYVLLRNTNTTSVLCIRGYWDPPEDISKYAMIEWFKSQMQEAGIVDLREDLYFPTFSDTQLGKLLPQGILSVLAQPVLNNPDPTNSEIKAESFILLASNSSYAYSEKHRVWIRTVANKFQCT >OGLUM10G16130.1 pep chromosome:ALNU02000000:10:19803273:19808578:1 gene:OGLUM10G16130 transcript:OGLUM10G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLKRSDPTSSQSSDPHHPLPRSDAAAAAGGGASILLGALAIGVASRRGRAAAAGGGGEGEERIGDHEVRCCSFDRGMSLHCCPAAGDPPAPAGTAEELLERARSLVPAALDAARAATGFGGRWKAIAARLERVPPCLSDLSSHPCFSKNSLCRELLQSVAATLAEAAELGARCREPPRAGKLQMQSDLDALAGKLDLNLRDCALLIKTGVLSDATVPPVAPAAEAAAAGAAQTDVRELLARLQIGHAEAKHRAVDGLLDALREDEKSVLSALGRGNVAALVQLLTATAPKIREKAATVLCLLAESGSCECLLVSEGALPPLIRLVESGSLVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDICQTGDSISQSAAAGALKNLSAVPEVRQALAEEGIVRVMVNLLDCGVVLGCKEYAAECLQSLTSSNDGLRRAVVSEGGLRSLLAYLDGPLPQESAVGALRNLVSSAISPDSLVSLGVLPRLVHVLREGSVGAQQAAAAAICRVSSSSEMKRLVGEHGCMPLLVRLLEAKSNGAREVAAQAVASLMSCPANARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLTLSASKRCKKLMISHGAIGYLKKLSEMDVAGAKKLLEKLERGKLRNLFSRK >OGLUM10G16140.1 pep chromosome:ALNU02000000:10:19819580:19819765:-1 gene:OGLUM10G16140 transcript:OGLUM10G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMELGILLIPLTLVFVPCRRIVLFLKRLQEFHRSITHPSFTSADMLSRFSSFNSMALML >OGLUM10G16150.1 pep chromosome:ALNU02000000:10:19825407:19825714:-1 gene:OGLUM10G16150 transcript:OGLUM10G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVELGVWLIPLTLFFVPCRRIVLLLKQLQGFHRSMTRPRRRASADMLSRFSGLNDNMSETQMAFGHGAGLLLMLIQLVL >OGLUM10G16160.1 pep chromosome:ALNU02000000:10:19829569:19841484:1 gene:OGLUM10G16160 transcript:OGLUM10G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGESLDLPVVDLASSDLGAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFGESSKFFEQPLGEKMALLRNRNYLGYTPLDADKLDASSKFKENFPYWKETMKLYHETALATGKRILSLIALCLNLDAEFLDCPVAFLRLLHYPAKESDDGNYGASAHSDYGILTLVATDGTPGLQICREKDRCPQLWEDVHHVEGALIVNIGDLLQRWTNCVFRSTLHRVIAVGKERYSACVECGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEAFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRGTALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICREKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEACPPRFPPIKSGDYLEERLSSTYK >OGLUM10G16160.2 pep chromosome:ALNU02000000:10:19829569:19839121:1 gene:OGLUM10G16160 transcript:OGLUM10G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAGESLDLPVVDLASSDLGAAAKSVRKACVEYGFFYVVNHGAEGLVEKVFGESSKFFEQPLGEKMALLRNRNYLGYTPLDADKLDASSKFKENFPYWKETMKLYHETALATGKRILSLIALCLNLDAEFLDCPVAFLRLLHYPAKESDDGNYGASAHSDYGILTLVATDGTPGLQICREKDRCPQLWEDVHHVEGALIVNIGDLLQRWTNCVFRSTLHRVIAVGKERYSACVECGFFYVVNHGIEEGLLEKVFAESRRFFEQPLEEKMALLRNSSHLGYTPPYTEKLDASSKFRGDLSEAFKIGPIGDEGFQNDANQWPSEERLPCWKETMKLYRGTALATGKRILSLVALSLNLDAEFFDCPLAFLRLLHYPGEINESDDGNYGASAHSDYGILTLLATDGIPGLQICREKDRHPQLWEDVHHIDGSTLHRVVAVGKERYSVAFFLDPDPDLVVQCLESCCSEACPPRFPPIKSGDYLEERLSSTYK >OGLUM10G16170.1 pep chromosome:ALNU02000000:10:19843946:19848430:1 gene:OGLUM10G16170 transcript:OGLUM10G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVINHGVEEGLLKRLFAESSKFFELPMEEKIALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFSCPSAFLRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLESCCSESCPPRFSPIKSGDYLKERLSATYK >OGLUM10G16170.2 pep chromosome:ALNU02000000:10:19843946:19848430:1 gene:OGLUM10G16170 transcript:OGLUM10G16170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGNRLDLPVVDLASSDPRAAAESIRKACVESGFFYVINHGVEEGLLKRLFAESSKFFELPMEEKIALRRNSNHRGYTPPYAEKLDPSSKFEGDLKESFYIGPIGDEGLQNDANQWPSEERLPSWRETIKMYHASALATGKRILSLIALSLNLDAEFFENIGAFSCPSAFLRLLHYPGEVDDSDDGNYGASAHSDYGMITLLATDGTPGLQICREKDRNPQLWEDVHHIDGSTVHRVVAVGKERYSAAFFLDPNPDLVVQCLESCCSESCPPRFSPIKSGDYLKERLSATYK >OGLUM10G16180.1 pep chromosome:ALNU02000000:10:19855979:19857604:1 gene:OGLUM10G16180 transcript:OGLUM10G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0BCV7] MCEALVDRQVLPPCGCNGGGGDVVVVVVPKTSAAPVLEDRPKTSAAAVSKGGEAASILRLSLPMIMTGLILYIRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPVCGQAVGAGNLPLVGATMQRMVLLLLAVSVPVAFLWAWMEPLLLMCGQDAAIAAAAQRYILFCLPDLLFLSLLHPLRIYLRVQSINLPLTACAALAVASHLPINHLLVSVLGLGIEGVALASAWANLNLVIFLLAFVYVSGVHRDTGGFSLPRKMFKDVDGWVRLVRLAAESCASVCLEWWWYEIMILLCGLLANPRATVASMGILIQTTSLLYIFPSSLSFGVSTRVSNELGANRPSAARAAARAGLALSAVQGLASLAFAVSVRGAWARMFTPDADILALTASVLPILGLCELGNCPQTTGCGVLRGSARPRDGAHINLGAFYGVGTPVAVGLAFWAGMDFRGLWLGLLAAQAACVAVMLFVIQRTDWDVQAKLAQVLAGAAANDDGGHGVNEAGGDDAVAHVKVAAPHGDEDSSLLITVST >OGLUM10G16190.1 pep chromosome:ALNU02000000:10:19882273:19883502:-1 gene:OGLUM10G16190 transcript:OGLUM10G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTSSSLHLHKHLLLPKTNPSSSYSRPPPPSFVAAAAKINGVNGHSSKKSPNGKAQINGDGKKGVNGSGRKKAAAQHINGNDRIHLSVSTGGAGGQDGFGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALAAVDGGAVDRAILPVESTMEGTALRNYDLLLRHDLVVVQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSKPPSPVTLPMDADAKTSMVVAHRGGSMMVVLKVLSAFSSRNINLTKLEVINNNDGGGGGGAAASAGHPVMILDTSARGAPTLRAFPHVLYVDCEGASHDPRVLDAIKEIERFAVFVRVLGCYAADSNVYDLQ >OGLUM10G16200.1 pep chromosome:ALNU02000000:10:19893486:19894145:1 gene:OGLUM10G16200 transcript:OGLUM10G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRAAAAAQQRQGRRQSAAAAAACGIRRARAETRHPVYRGVRFRAGKWEEDDSRRQLEDGGGGVVDEDDVLEMPRLMVSMAEGLMISPPPVMLGLQADGGGIMDEGGGVVSLWDHS >OGLUM10G16210.1 pep chromosome:ALNU02000000:10:19899968:19903597:1 gene:OGLUM10G16210 transcript:OGLUM10G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSKPLRLKDLLELDCESCSAAGFRCYPRRLCVAGGAAEAAAAPMRHRLVADRSSSAMRRPKLSSLSKSLSRRLRGGFWRRREEEDEEAAAAAAAPPATASSTAPAVASCCSSSSDSETSESSNSTGGRKSRSHSDYSEISSASSDDSLHAAGEPSTTGADHEVMKRESKEEEEADDKEQLSPVGVMDFPFDEDDDDAAAVDEDERVAAGACSFSFSDSLAQLQRRKIQLQPKIRRLGSMAELSGVDLEARFAASESDRLAGVVPVQHQCITDDVAAAPPRHDDHRNDGVSQKDPDDDEDSLLDLLADTVSVGVVDDVTERLLLDFFVEAKCSSRNIELHAPTSLLRERRRRENGETMGLAKAWLEGTGAPWTLNDVLYHGEDVMAEMERSRRWMHAGEEEREAGVVVAAMAMDELLHELVSDLIALPK >OGLUM10G16220.1 pep chromosome:ALNU02000000:10:19904325:19906604:-1 gene:OGLUM10G16220 transcript:OGLUM10G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGRARWVALLVGLAAAAVVATVGASEGDADPLYRACVDECEKTGSLRETSVRHCQVPTDDHPADKSWYAHEPLYLQWKEWNCKSECRYHCMMERESEREQLGLGSVKYHGKWPMKRASVFQEPISAALSALSLLVQFNGWLSFVLLLSYKLPLRPETQMTYYEYTGLWHIYGLLAMNAWFWRAIYHSCDTVWTEKLYYSSFAAFIGYSLILAILRTLNLKDEASRVMVAAPILAFTTTHILYLNFYELDKGLNTKVCTAASLAQFLLWAVWAVMTKHPSCFKILFVIIGNVFSIVLETYDIPPRWGYVDGRVFCVAISIPLTYLWWKFAKEDAEMRTSAIIKKTR >OGLUM10G16230.1 pep chromosome:ALNU02000000:10:19913697:19914020:1 gene:OGLUM10G16230 transcript:OGLUM10G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESRRRRRRAAAEAVAWCLALGVVALLLVGSVEKEEAVVVVRGARLAAARPCEEIYVVEEGETLHSISDRCGDPYILEQNPHVHDPDDVFPGLVIKITPRPGRRN >OGLUM10G16240.1 pep chromosome:ALNU02000000:10:19916533:19922149:1 gene:OGLUM10G16240 transcript:OGLUM10G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGRASSSAPVLAAAAAVLLSLCLAALSEEQEQLENLRFVRHAQDAPLVSSYNYIVIGGGTAGCPLAATLSEHSRVLLLERGGLPYANMSSEQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNEYVRAAGWDARLVNSSYRWVERSLVFRPDVPPWQAALRDALLEVGVTPDNGFTFDHVTGTKIGGTIFDNSGQRHTAADFLRHARPRGLTVLLYATVSRILFKSQDGVPYPVAYGVVFSDPLGVQHRVYLRDGDKNEVIVSAGTLGSPQLLMLSGVGPQAHLEAHGIEVIVDQPMVGQGVADNPMNSVFIPSPVPVELSLVQVVGITRSGSFIEGVSGSEFGMPVSDGALRWARSFGMLSPQTGQLGTLPPKQRTPEALQRAAEAMMRLDRRAFRGGFILEKILGPVSSGHVELRTTDPRANPSVTFNYFREAEDLERCVHGIETIERVIQSRAFSNFTYANASVESIFTDSANFPVNLLPRHVNDSRSPEQYCMDTVMTIWHYHGGCHVGAVVDDDYRVFGVQGLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQSERWKK >OGLUM10G16250.1 pep chromosome:ALNU02000000:10:19919562:19925419:-1 gene:OGLUM10G16250 transcript:OGLUM10G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNHGGGGYPYPPQQYPYPYGQYPYQYPPPQQQPPPPSAYLSPSRSFHGYPSAPPPQPQPQPYAHHSAPLQPYPPPPQHHAYPPPQPHPPSPYVYDPYHAPAAAYPSYPSPNPSPSISPSSSFHHHPEPPSPSPSAPSYPSIADGLANMHVSDRHDYPPPPSPAAVPAASSPSVLPPSASFPGGGSSHGGGGMQMVPYGPPAGGSQHGGMQMVAYGSPAGGSQHGSVRPSLKVVLLHGTLDVWVYDARNLPNKDLFSKRVGDLLGPRLIGAVGSKMSSANMTSDPYVTIQVSYATVARTYVVPNNENPVWTQNFLVPVGHDAAEVEFVVKDNDVFGAQLIGTVSIPAEKLLFGERINGIYDVLESNGKPCAQGAVLRLSIQYIPVAQLKMYHHGVIAGPDSLGVPNTYFPMRRGNRVTLYQDAHVPDGCLPDFFLDHGMRYQHGQCWRDIYDAICQARRLIYIVGWSVFHTIHLIREGVEKMPSLGELLKMKSQEGVRVLLLVWDDPTSRSILGIKTDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNHKRKIIAFVGGLDLCGGRYDTPSHPLFRSLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKASKRHGIKKLGKSYDDALLSIERIPDFISINDAIYFSDNDPETWHVQVFRSIDSNSAKGFPKDPREATRKNLVCGKNVLIDMSIHTAYVNAIRGAQHFIYIENQYFIGSSFNWDSNKDIGANNLIPIEIALKIANKIKAKERFSAYIVIPMWPEGNPTGAPTQRILYWQHKTMQMMYETIYRALKEEGLDDLYEPQDYLNFFCLGNREVADSPSTSNSTSTPQEQARKHRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWASKVSAPRGQIYGYRMSLWAEHIGVVEEGFNYPETMECMRRVRQIGEQNWERFVDNEVTEMRGHLMKYPVSVDRKGKVKPLPGCTSFPDMGGNICGSFRAIQENLTI >OGLUM10G16260.1 pep chromosome:ALNU02000000:10:19927595:19928208:-1 gene:OGLUM10G16260 transcript:OGLUM10G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLMKAFRQSNLRMLMTDAKMPSIILTGDPRSSLPIRRLSQAIIVCTVQCRQIVPGRIDQQREVIKPSGKGYQWKGDRSPRSR >OGLUM10G16270.1 pep chromosome:ALNU02000000:10:19929645:19931924:-1 gene:OGLUM10G16270 transcript:OGLUM10G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSSMRWWCLAVVLLLSTPLLAAGYLQERKNYIVHLEPRDEAAAAAGDASVEEWHRSFLPQVAKLDSDSDGADGGPRIVYSYSDVFTGFAARLTDEEAEAVRATAGCLRLYPEEFLPLATTRSPGFLGLHLGNEAFWSHSGFGRGVVIGILDTGILPSHPSFGDDGLQPPPKNWKGTCEFKAIAGGGCNNKIIGARAFGSAAVNSSAPPVDDAGHGTHTASTAAGNFVENANVRGNADGTASGMAPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGASSGTQFNYDPIAIAGFKAMERGIVVSCAAGNSGPDPGTVGNGAPWMLTVAAGTMDRAIRTTVRLGNGDEFDGESLFQPGNNSAANPLPLVYPGADGSDTSRDCSVLRGAEVTGKVVLCESRGLNGRIEAGQTVAAYGGAGIIVMNRAAEGYTTFADAHVLPASHVSFDAGTKIAAYVNSTDNPTASIAFKGTVIGSSPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGVGLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGVPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGIGDDGVKEIAHRPVTCSDVKTITEAELNYPSLVVNLLAQPITVNRTVTNVGKPSSVYTAVVDMPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGQPNVAGAEGNLKWVSDEHIVRSPIIIPATAA >OGLUM10G16280.1 pep chromosome:ALNU02000000:10:19934807:19938564:-1 gene:OGLUM10G16280 transcript:OGLUM10G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAERGGDSCYSPAAVMATAGALALVAICSYLAVTSNKQKRRRRPPVVGTVFHQLYNVRRIHDYHTALSREHTTFRMLVPAGGDQIYTCDPAVVEHILKTNFANYGKGPFNHGNAKDLFGDGIFAIDGEKWKQQRKIASYDFSTRALRDFSCAVFKRNAAKLAGIVSNHAASNQSMDFQGLILRATMDSIFTIAFGTDLNTLDGSGEGSRFAAAFDDASEFTMLRYISPLWKLARLLNVGVEAMLKERIKVVDEFVYRLIRARSDELSNSHDSGSRQDILSRFLQATTSDSGVDYKYLRDIILNIVIAGKDTTAGALAWFLYMVCKHPEVQEKICHEAMVATSAGDTASVDEFLQSLTDQALNNMHYLHAALTETLRLYPSVPMENKQCFSDDVLPNGFNVSKGDIVFFIPYAMGRMESLWGKDAEYFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRFFVLKLRDEKEIVSYRTTLTLAIDQGLHLTATAR >OGLUM10G16290.1 pep chromosome:ALNU02000000:10:19960973:19964569:-1 gene:OGLUM10G16290 transcript:OGLUM10G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDGGVNSSSNSPAAAVGLVLVVAICTYLAVVATRKQKRRRRRRPAVVGTAFHQLYHVRRVHDYHTALSREHMTFRMLVPTGREQIYTCDPAVVEHILRTNFANYGKGSFNHGNMSDLFGDGIFAVDGDKWKQQRKIASYDFTTRALRDFSGDVFKRNAAKLAGVVSSHAASNQSMDFQGFLMRATMDSIFTIAFGQDLNTLDGSGEGRRFAAAFDDASEFTMLRYLNPFWKLSRLLNVGAEAMLKERIKVVDGFVYKLIRDRSDELSNTKAHDTDSRQDILTRFIQATTSDSGTVDYKYLRDIILNIVIAGKDTTAGSLAWFLFMMCKHPEVQEKICHEAMEATNAGEAASIDEFSQSLTDEALNKMHYLHAALTETLRLYPAVPLDNKQCFSDDVLPNGFNVSKGDIVFYIPYAMGRMESLWGKDAESFRPERWLDENGVFQQESPFKFTAFQAGPRICLGKDFAYRQMKIFAAVLLRFFVLKLRDEKEIISYRTMITLSVDQGLHLTAMAR >OGLUM10G16300.1 pep chromosome:ALNU02000000:10:19971216:19974771:-1 gene:OGLUM10G16300 transcript:OGLUM10G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQAYRAHDELPYMGMDGDSSYSPALAAVAGAVALVAFCSYYLAVTRATGDGEARRRRRRHPPVVGTVFHQLYHVRRLHDYYTALCREHTTFRLLATPGRRNIYTCDPAVVEHILRTNFPSYGKGPLNSEILNDLFGEGIFAVDGEKWKTQRKIASYDFTTRALRDFSSDVFKRNAAKLAGVVSNHAASNQSMDFKGLLTRATMDSIFTIAFGQDLNTLDGSGEGRRFAKAFDDAGEYLLLRYLNPFWKLARLLNVGAEATLKERIKVVDEFVYKLIRARSDELSNTMAQDHRSRDDLLSRFIQATTSDSGTVDYKYLRDIVLNIVIAAKDSTSGSLAWFLYMACKRPEVQEKIFDEVMEATNAGDSASIDEFLTSLTDQALNKMHYLHAALTETLRLYPSVPLENKQCFSDDVLPNGFSVSKGDGVFYMPYAMGRMEFLWGKDAEAFRPERWLDEHGVFQQESPFKFTAFQAGPRICIGKDFAYRQMKIFAAVLIRFFVFKLRDKKDNVSYRTAITLAIDQDLHLTATAR >OGLUM10G16310.1 pep chromosome:ALNU02000000:10:19979107:19979615:1 gene:OGLUM10G16310 transcript:OGLUM10G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding METELDTSLSASGMTLLTEWVSYRANLASSRPLTPGIFSDRLLERPMTKGGENFGAFWSTRTWGGDLLRL >OGLUM10G16320.1 pep chromosome:ALNU02000000:10:19980174:19981004:-1 gene:OGLUM10G16320 transcript:OGLUM10G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNSSSGEPPPAVRVLGGWASPFTNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKFPVLLHHSKPLPESLVIVEYIDEVWPASNGGAPAILPRDPHGRAVERFWARYVDDKILPGLRVLRGSVAGDKDQTAGEMSTTLQRLEEAFVKCSQGKEYFGGDSIGYLDIALGSFLGWIKAVEKIAGVELLNETKLPILAVWADRFCAHPAVVDVVPDADKLVEFTVQYGAVLNTVNVLPK >OGLUM10G16330.1 pep chromosome:ALNU02000000:10:19981062:19983478:-1 gene:OGLUM10G16330 transcript:OGLUM10G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTSGSAEPAAAVRVLGSWTSPFVMRVVVALKLKGVEEYELLQETRGKKSELLLRSNPVHKKIPVLLHHGKPLAESLIIVEYIDEVWPASDGAPAILPRDPYCRAVERFWAQYIDDKFPRGTRVLRGTVAGDKDGVVVEMSTALKHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIKAVEKFAGVELLDEAKVPNLAAWADRFCAHPAVVDAMPDADKLVEFAVKHAASMKALDAPNSLLTEPRRALKQ >OGLUM10G16340.1 pep chromosome:ALNU02000000:10:19986286:19987513:1 gene:OGLUM10G16340 transcript:OGLUM10G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDELFGAWILVFRGKTEEEKAEGVKQTFAVAEKLEGALSECCKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAAKEAMPDIGRLVELEKMRQAQAQAAVY >OGLUM10G16350.1 pep chromosome:ALNU02000000:10:19992018:19992323:-1 gene:OGLUM10G16350 transcript:OGLUM10G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWRAVKGNPATRTLFIHGLGWSIEVDDLHSVFFCFGLVIFRSHRSTFHALYRPHDKKGKGERSRERSPLPVPFRSASLLRPLHPTSRSTPSATHMPEK >OGLUM10G16360.1 pep chromosome:ALNU02000000:10:19995588:19997494:-1 gene:OGLUM10G16360 transcript:OGLUM10G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEVWADKGTAVRVRAPPLLHLCTQLLPHPTAAGKLLLSSLNGMQPPHCTKKKQIAKMSSTNSSGDPAAVRVVGGWASPFVNRVVVALKLKGVEHEMLQETVGKKSELLLRSNPVHKKIPVLLHHGKPIAESLIIVEYIDEVWPASNGTPSILPRDPYGRAVERFWAKYIDDRIPPGIRVLRGSVEEDKDKAAGEMSTALQHLEEAFVKCSQGKQYFGGDNIGYLDIALGSFLGWIRAVEKIAGVELLNETKVPNLAAWADRFCAHPAVVDVVPDADRLVEFTVQHAALLRAVNVPK >OGLUM10G16370.1 pep chromosome:ALNU02000000:10:20000057:20011686:1 gene:OGLUM10G16370 transcript:OGLUM10G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAILTFDRHGYDYVEEDFKNKSDVLLSSNPVRKKVPVLIHKGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAVARFWAAYIDEKLFSAWILVFRSKTEEEKAEAVKQTFAVVEKLEGALSECSKGKPFFGGDTVGYVDVVLGGFVAWVHAIEEVFGLNQFDAAKTPLLAAWLERFDELDAVKEVMPDIGRLVELAKMRQAQAAAAAAAAAAMAGGGDDLKMLGVYVSPFPLRVKLALSFKGLSFEYVEEDLHNKSDLLVSSNPVHKRTPVLIHNGKPISESMVIVQYLDEAFPGAGAALLPSDPLDRAVARFWASYIDDKLFSAWKMVFKGKTEEEKAEGRKQTFAVAETLEGALRECSKGKPFFGGDAVGYVDVALGGFVPWVHAMEELFGLKQFDAAKTPLLAAWLERVGELEAYKAVMPDAGMMIEILYQNITKKQSSGLFVETADFRAQANIIWA >OGLUM10G16380.1 pep chromosome:ALNU02000000:10:20011807:20012157:-1 gene:OGLUM10G16380 transcript:OGLUM10G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPATVPAARTRQDHSAVARGGGAAGGRAASPAEPFTVPYLARSLPHRLHRRGALHFLRLFPRVFDLRDPLPLSLSVTEPAAELLAVATSLAAVAAGSDEWWGYDNGEGCTSGAI >OGLUM10G16390.1 pep chromosome:ALNU02000000:10:20016201:20020901:-1 gene:OGLUM10G16390 transcript:OGLUM10G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVLGVASLRLRSLRLRGGIDALDFAALPALTELDLNDNYLVGAIPASISRLRSLASLDLGSNWFDGSIPPQFGDLSGLVDLRLYNNNLVGAIPHQLSRLPKIAHVDLGANYLTGIDFRKFSPMPTMTFLSLFLNSLNGSFPEFVIRSGNLTFLDLSHNNFSGSIPDMLPEKLPNLMYLNLSFNAFSGQIPASIGRLTKLLDLRIDSNNLTGGVPVFLGSMSQLKVLDLGFNPLGGTIPPVLGQLQMLQQLSIMNAELVSTLPPELGNLKNLTVMELSMNQLSGGLPPEFAGMQAMRVFSISTNNLTGEIPPALFTSWPELISFQVQNNLFTGKIPPELGKAGKLIVLFMFGNRLSGSIPAALGSLTSLEDLDLSDNDLTGGIPPELGHLSHLTFLKLSHNSISGPIPGNMGNNFKMQGVDHSSGNSSNSRSGSDFCQLLSLKILYLSNNKLTGKLPDCWWNLQNLQFIDLSNNAFSGEIPTVQTNYNCSLESVHLADNGFTGVFPSSLEMCKALITLDIGNNRFFGGIPPWIGKGLLSLKFLSLKSNNFTGEIPSELSNLSQLQLLDISNNGLTGLIPKSFGNLTSMKNPNTLSAQETLEWSSYINWLLYSDGIDTIWKGQEQFFEKTIELLTGINLSGNSLSQCIPDELTTLQGLLFLNLSRNHLSCGIPKNIGNMKNLEFLDLSLNELSGAIPPSLADISTLDILNLSNNHLSGKIPTGNQLQTLSDPSIYHNNYGLCGFPLNISCTSSSLASDERFCRKCEDQYLSYCVMAGVVFGFWVWFGMFFFSGTLRYAVFGFVDCIQCKVMQKVYCINQFLSRGTTA >OGLUM10G16400.1 pep chromosome:ALNU02000000:10:20021102:20022739:1 gene:OGLUM10G16400 transcript:OGLUM10G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPYVLRVKFALSLKGLSYEYVEEDLMNKSDLLLSSNPVNKKVPVLIHNGKPVCESQVILQYLDEAFPGAGATLLPADPHERAVARFWAAFNDDTLVEASQAASWGKTEEERAEGEKKVVEALEKMEVGLRECSKGKPFFGGDTVGYLDVVLGGFLAWVRATDVMRGVKRFDPATTPLLAAWAERFVELDAAKAVMPDMDKMIEFGKVLQARAAAATN >OGLUM10G16410.1 pep chromosome:ALNU02000000:10:20023819:20025040:1 gene:OGLUM10G16410 transcript:OGLUM10G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWTSPFALRVKLALSFKGLSYEYAEEDLSNKSELLLSSNPVHKKVPVLIHNGKPICESQVIVQYIDEAFPGAGVPLLPSDPYERAVARFWAAYIDDKAAFEECSKGKPFFGGDAVGYLDVTLGALVSRVHAGEALYGMRLFDATRSPLLDAWVDRFAALDAAMAVLPDTGTLAEYAKKRQAERAAAAAANN >OGLUM10G16420.1 pep chromosome:ALNU02000000:10:20026869:20028303:1 gene:OGLUM10G16420 transcript:OGLUM10G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGDELMLLGKWPSPFVTRVELALGLKGLSYEYVKQDLVNKSELLLASNPVHKKIPVLIHNGKPVCESSIIVQYIDEAFPDAGAGAALLPADPYERAVARFWVAYVDDKFVPAWVATFRGKTEEEKAEGMKQLLAAVETLEGALKDCSKGKPFFGGDTVGIVDVALGGLISWVKATELLAGSKIFDEEKAPLLAAWAQRFGELDVAEKVLPDVDGVVEFAKMRLAEAAAAAAAASKN >OGLUM10G16430.1 pep chromosome:ALNU02000000:10:20027498:20030955:-1 gene:OGLUM10G16430 transcript:OGLUM10G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLVAAAAHSACFIWAYSASLPVSGNTALAASSAAKRSSHASRSGLRLASNSLIPCRASPTRTNPIMAPSVTSTYPTASPPKKGFPLEHSLNAASSVSTAASSCFIPSAFSSSVLPLNVATHAGTNCTHAPPNTSSHQ >OGLUM10G16440.1 pep chromosome:ALNU02000000:10:20030149:20030947:1 gene:OGLUM10G16440 transcript:OGLUM10G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDELKLLGMWASPFALRAKLALSFKGLSYDYVEEDFKNKSELLLSSNPVHKKVPVLIHNGKPVCESQVIVQYIDEAFPDAGVPLLPSDPYDRAVARFWAAYIDDKLLKSWLQASMCKTEQEKAAAMKETFAAVGNLEAAFKECSKGKPFFGGDAVGYVDVTLGAMIGFVRVGEALHGMRLFDASRSPLLDAWLDRFAALDAAKAVLPDTGRLAEYAQMKQAEWAAAATN >OGLUM10G16450.1 pep chromosome:ALNU02000000:10:20035289:20035453:-1 gene:OGLUM10G16450 transcript:OGLUM10G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSRRTPCMRWEGGSEGRRGGGEGKGEADATVGGGAAAWSVNKIRYLAVYNK >OGLUM10G16460.1 pep chromosome:ALNU02000000:10:20037983:20068752:1 gene:OGLUM10G16460 transcript:OGLUM10G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFEGELKQLGTWASPYVSRVKLALHLKGLSYEYVVEEDHFNNKSELLLSSNPVHKKLVPSWKQAFSGKTGEEKAEGMRHMLAAVDALEAAMEEWSYKGKPFFGGGDAVGFLDVALGGLLSWLHGTEELCGAKILDAAKTPLLSAWARRFGEMDAAKEALPDVCKLVEFAKMRRVQLEAAMAATTEMAAEDELKLLGFWASPYVCRVKLALHLKGLIYDYVEEDVFTNKSELLLSCNPVHAKVPVLIHNGKPICESQVIVQYIDEVFPDAGVTLLPADPHDRAAARFWAAYIDDKMAGGGDELKLLATWFSPFASRVKFVFHLKGLSYENIEEDLKNKSELLLKSNPVIKKVPVLLHNGKPLCESMVIVEYLDETFAAVGPSVVPADPYERAVARFWVSYIDNKLVAPWFQVFRGKTKEEKAEGLKQMFEATAVMEVAFRECSKGRPFFGGDAVGIVDVALGSQLGWLRASETLSGIKLFDPAKTPLLLAWAERFLALDAAKASMPESGRLLAYAKMRQAETDAANASKVKTEEERDELMKQTLAAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGLVSWVHASDKLSGAKLFDAAKAPLLAAWLGRFGELDAAKAVLQDVDKVVEYAKKFQPRDSGTAADRQAEMAGGGGAGELKLLGHWASAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEAFSGAGDSLLPADPHERAVARFWSAYIEDKLVAPWEKVFRAKTEEERAAWMKQMFVAVEALEGGLKECSKGKGCFFGGDSVGYVDVVLGGGVSFVHANDVITGGKLFDAAKTPLLAEWLGRFGELDAAKAVLQDVDRAVEYTNVLYARNAATTAANN >OGLUM10G16470.1 pep chromosome:ALNU02000000:10:20070504:20074182:1 gene:OGLUM10G16470 transcript:OGLUM10G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVWIRLLNIFTSCCPDPPPAAATGSTLGRHQIHSWLPLTLPPPPNLLWEGGEKGQREPPPPDPPVAAADVATTAGSAVGGKREGTEGAAAAGSARSLHHRCRIHLRLLITAPLAPDLPCEGDERERREPPPLDPPAPATTAASTSARSPMAAGGGGGDELKLLGLWASPYVLRAKFVLSFKGLSYENVEEDLHNKSELLLSSNPVHKKVPVLIHNGKPICESQIIVEYVDEAFPDAGVSLLPSDPYDRAVARFWAAYINDKFMPAWQKASLGLTEEEKAEAVKQMLAAIENLETAFKELSKGKPFFGEDTAGYLDVTLGAVVGWARAGEVLFGRKLFDATRSPLLAAWMERFVALDAVKAVLPDNAELIEYGKMRMAHYAKLAAALAAANKK >OGLUM10G16470.2 pep chromosome:ALNU02000000:10:20069083:20071648:1 gene:OGLUM10G16470 transcript:OGLUM10G16470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDELKLLGHWSSAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEVFSGAGDSILPADPYERAVARFWAAYIDDKLLAPWKKVFRAKTEEERAAWMKQMFVAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGAVSFVHANDMITGGKLFDAARTPLLAAWLERFGELDAAKAVLQDVDRAVEHTKVRYAPNAATAAND >OGLUM10G16470.3 pep chromosome:ALNU02000000:10:20069083:20071739:1 gene:OGLUM10G16470 transcript:OGLUM10G16470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHDELKLLGHWSSAYVTRVKLALHLKGVSYEYVEEDLRNKSDLLLASNPVHKTVPVLIHNGNPIRESQIIVQYIDEVFSGAGDSILPADPYERAVARFWAAYIDDKLLAPWKKVFRAKTEEERAAWMKQMFVAVDVLEGGLKECSKGKGCFFGGDSVGYVDVVLGGAVSFVHANDMITGGKLFDAARTPLLAAWLERFGELDAAKAVLQDVDRAVEHTKVRYAPNAATAAND >OGLUM10G16480.1 pep chromosome:ALNU02000000:10:20076211:20076982:1 gene:OGLUM10G16480 transcript:OGLUM10G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNNHELKLLGTWPSPFVVRVRLALGLKGLSYEYVEQDIRDKSELLVVSNPVHKKVPVLIHGGKPVCESQIIVQYIDEAFPGAGASLLPSDPHERAVARFWATYIDDEFATKFRAMGEAKEEEEKDEAAAQVFAALETLEEAMKGKVFFGGDSAGYVDVALGGFLGWIKAAEALAGVAFLDGARTPLLAAWAARFSALEAAKEAIPSVERLREFHVAMHAAAATVAGN >OGLUM10G16490.1 pep chromosome:ALNU02000000:10:20078778:20079831:-1 gene:OGLUM10G16490 transcript:OGLUM10G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGLVMSPFAIRVCIALKLKGVSYEYIEEDLANKSELLLSSNPVHKKIPVLIHGGKPICESLVIVYYVDEAWAPSPTSPSILPADPYDRAVARFWAAYVDDKMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDSVGYVDLALGCNLHFLEAIRRLHGVALVDAGKTPLLAAWAERFVQVEAAKGVVPDADDAVEFARKIQARVAAAAASTAANYTDIQC >OGLUM10G16490.2 pep chromosome:ALNU02000000:10:20078969:20088849:-1 gene:OGLUM10G16490 transcript:OGLUM10G16490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYNTVVQPGGNQRKRRGKAMAGKDDDVKVLGLVMSPFAIRVCIALKLKGVSYEYIEEDLANKSELLLSSNPVHKKIPVLIHGGKPICESLVIVYYVDEAWAPSPTSPSILPADPYDRAVARFWAAYVDDKMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDSVGYVDLALGCNLHFLEAIRRLHGVALVDAGKTPLLAAWAERFVQVEAAKGVVPDADDAVEFARKIQARVAAAAASTAAK >OGLUM10G16490.3 pep chromosome:ALNU02000000:10:20078780:20089671:-1 gene:OGLUM10G16490 transcript:OGLUM10G16490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALNIKGVSYEYVEEDIFNKSELLLTSNPVHKKVPVLIHGGKPISESLVIVQYVDEVWAAAPSVLPADPYDRAVARFWAAYIDNNMVPGMVGVLRAATEEERAAKADETLAAMAQLEKAFAEVAAKNGKPFFGGDSVGYVDLALGCNLHFLEAIRRLHGVALVDAGKTPLLAAWAERFVQVEAAKGVVPDADDAVEFARKIQARVAAAAASTAANYTDIQC >OGLUM10G16490.4 pep chromosome:ALNU02000000:10:20088890:20089671:-1 gene:OGLUM10G16490 transcript:OGLUM10G16490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDDDVKVLGVVVSPFAIRVRIALNIKGVSYEYVEEDIFNKSELLLTSNPVHKKVPVLIHGGKPISESLVIVQYVDEVWAAAPSVLPADPYDRAVARFWAAYIDNNMFPGMAGVLFATTEEERAAKAEETLAALAQLEKAFAECAGGKAFFGGDTIGYVDLALGSNLHWFEALRRLFGVALLDAGKTPLLAAWAERFVEAEAAKGVVPDAAVAVELGKKLQARAAAASTAA >OGLUM10G16500.1 pep chromosome:ALNU02000000:10:20089454:20089822:1 gene:OGLUM10G16500 transcript:OGLUM10G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSEMGLPPWMSTGTFLCTGLEVRRSSLLLKMSSSTIGHPFWAFLCRHKLKTTGRSMKELQQA >OGLUM10G16510.1 pep chromosome:ALNU02000000:10:20096058:20096789:1 gene:OGLUM10G16510 transcript:OGLUM10G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELKLLGSLSGVSPYVIRAQMALAVKGLAHDYLPEDLTRKSKLLLDSNPVHKSVPVLIHNGKPVCDSLVIVEYVDEAFPGGGAALLPADPYHRAVTRFWAAFIDSKVFPPCLAILKTAAAEAEEEKAAKVKETVEALQLVEGAFGECSKRKPFFGGDAVGYLDVVLGCYLCWFEGVNEIAGGVSPPLLDASRTPQLAAWAARFRSAADAVGCSVPRVDKVEAYLNNVLKPKWSAAAAASSH >OGLUM10G16520.1 pep chromosome:ALNU02000000:10:20098012:20098743:1 gene:OGLUM10G16520 transcript:OGLUM10G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGPGGGDELKLLGVWDSPYVNRVQIVLNLKGLSYEYVEEDLMNKSDLLLGSNPVHKKVPVLIHNGKPIAESRVIVEYLDEAFAAGAGGSTGASVLPSDPYERAVARFWAAYVDDKLGSPWYTILFARERGEKVEAAARAISALETVEAGAFRDRSSEGKTTNAAAAPFFGGDSIGFVDVVLGSYLGWFRVIEKMIGVRIMDAARTPRLAAWAERFEAADAVRGVLPDDVDKVIDFLQAFVH >OGLUM10G16530.1 pep chromosome:ALNU02000000:10:20099567:20100994:1 gene:OGLUM10G16530 transcript:OGLUM10G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAALARRSRRSACSSHMPPRYRSPSGANTEMKRREKRKLKKIADPILGSKNALIPIKLARFIGTSLSYPAI >OGLUM10G16540.1 pep chromosome:ALNU02000000:10:20113010:20113554:1 gene:OGLUM10G16540 transcript:OGLUM10G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATKADTGRRLSRTTGGGMDRKLQMFQRFSKLLLSTTTQHRLAASRRGRLYGIHRLEYKLELSSPARCHDDADAAVKPQEAMNVDS >OGLUM10G16550.1 pep chromosome:ALNU02000000:10:20114502:20115209:1 gene:OGLUM10G16550 transcript:OGLUM10G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGELKLLGMWTSAFVLRVRFVLNLKSLPYEFVEENLGDKSDLLLASNPVNKTVPVLLHAGRPVNESQVILQYIDEAWPDRAPAVLPPDPYERAVARFWAAYVDDKVRLAWLGILFRSETEEERAAAVAQADAALETLEGALRECSGGKPFFGGDGVGLVDVVLGGYLGWFTAIEKLIGRRMIDPARTPALAAWEDRFRATDAARGVLPDDADKMLEFRQTALALGASKKITL >OGLUM10G16560.1 pep chromosome:ALNU02000000:10:20115587:20116499:1 gene:OGLUM10G16560 transcript:OGLUM10G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSLLLTSFFRDERPEAIRLQTAAATCGRGDARTLTSHLGLGHDREIATNKRSLAVARDRMATKTKRAHRERKAVEGTAGEVEARWGEADIEDDATGEVEVGLAEADTEDGAARGIEDEGEKKGHWNGHARGN >OGLUM10G16570.1 pep chromosome:ALNU02000000:10:20124715:20126271:1 gene:OGLUM10G16570 transcript:OGLUM10G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSTDPSGSIESFHGLVHLRTAAPLLAAAVALYMLIEQLSYHRKKGSMPGPPLVVPFLGSAAHLIRDPVGFWDVQAALARKSGAGLAADFLFGRFTVFIRDSELSHRVFANVRADAFHVVSHPFGKKLFGEHNLVYLVGEEHKDLRRRIAPNFTPRALSTYAVIQQRVIISHLRRWLDRSASNGGKAEPIRVPCRDMNLETSQTVFVGPYLTEKARERFDRDYNLFNVGFITLPVDLPGFAFRRARLAGARLMHTLGDCARQSRQRMLGGGEPECLLDYLMQETVREIDEATAAGLPLPPHTSDVEVGALLFGFLFAAQDASTSSLCWAVSALDSHPNVLARVRAEVAALWSPESGEPITAEMMSAMKYTQAVAREVVRYHPPATLVPHIAVEAFQLTAQYTIPKGTMVFPSVYESSFQGFQDADAFDPDRFFSEARREDVVYKRNFLAFGAGSHQCVGQHYALNQLVLFVALFASLVDFRRERTEGCDVPVYMPTMVPRDGCVVYLKQRRVKLPSF >OGLUM10G16580.1 pep chromosome:ALNU02000000:10:20128208:20132495:-1 gene:OGLUM10G16580 transcript:OGLUM10G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:translocase 11 [Source:Projected from Arabidopsis thaliana (AT4G18270) TAIR;Acc:AT4G18270] MASPPSAPSSSAAAAARAAPHRPRLRSRRSLRPPPQPQPRVLPSSVACRSSALRDFQFRNISTRPTSRRDVLPVASAFDEDLGDFSLVHADGDDPLEVVVYSSESDWSDEEVVLNPTSDVELPLKSKSRFEGVEGAMTVAAHRFATIEKGHRDSRTQQGLMNNVGLIAFLAILLLFVDWCSWRIVRLPLDSFYLTRPFLISSVLSALAGFLFAPVADNMKIHHFRRRGKLMSPSYRKPTPAMGGLFFVPIGIFVARRQVGSSTGVNGAAIITLIFAMVGFLDDISNLVMDRNRKIPHWMRLFVQIVAGIYLSIWLSSTNISTPYNTKFLVPLPPPLGLAFIGKIYLVLAAICSLSMGTGVTLVDHLDGLAGGVAALALAGLSVASLPICSEMSVFGASMSGACTGFLFHNRYRASIVMGRVGSFALGGAIATIAACSGMFIPMFIACSIFLLELLLVIFQVPFQMAKKHFLGTNRYPLRILPSYYFLKSWGIKEPYIVAGAYIISCLLTAFAGYLGLVSA >OGLUM10G16590.1 pep chromosome:ALNU02000000:10:20135517:20139946:1 gene:OGLUM10G16590 transcript:OGLUM10G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding METISNIFHNDPLPPLGARANQSIKLRKFIISPYDSRYRTWETFLLVLVVYSAWICPFELAYLRNLSWKVSLVDNIIDSFFAIDIILTFFLAYLDQKSYLLVDDPKRIVARYFSSWFLFDVCSTIPYQLLGQIFKKHENGLAYRLLSMLRLWRLRRLSELFARLEKDIRLNYYWIRCTKLISVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSQSLWVRYVTAIYWSITTLTTTGYGDLHAENQREMLFSICYMLFNLGLTAYLIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPGHIKDEMLSHICLRYKTEGLKQKETLDSLPKGIRSSIACNLFLPVIEKVYLFHGVSFTCMIQLVTEMEAEYYPPREVVILQNEAPRDVYILVSGAVEERVEIDGTEKVQEVLCDGEIFGEIGVICSIPQPCAFHTIKVSQLLRLNTAVLKNIIKENSNDRRVILNNLSQKMNQDHRFSTEVMEKSLQMMHQHFGEYNRCSALNQDNEKNELKANNGHSMALEWKRVTIHMYSQRNKRPEAPLAKVINLPGSLDKLFAIACQKFNNYRITKLVNPEFAEIDDITVIRDGDHLFFMEI >OGLUM10G16600.1 pep chromosome:ALNU02000000:10:20136422:20140345:-1 gene:OGLUM10G16600 transcript:OGLUM10G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPNGTPRWQRYSAVLAASYFANPQSRNINIIKIAIGFLEVIGRREMRACKLTEISLVHLIQ >OGLUM10G16610.1 pep chromosome:ALNU02000000:10:20145544:20146044:1 gene:OGLUM10G16610 transcript:OGLUM10G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQAIEAHREGAEVYHGAALCAEKAVELLAEIHMPLGLLPLAEMEEVGYNRATGFVWLRQKKAITHTFKQISRQVSYAAEVTAFVEDRRMKRVTGAKTKELLIWVSLSDMFIDKDDASKITFKTPTGLGRTYPVDAFAKEDDGKGKVPAAAAANGKETAVNGKAK >OGLUM10G16620.1 pep chromosome:ALNU02000000:10:20147929:20149536:1 gene:OGLUM10G16620 transcript:OGLUM10G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSGLMLLLLAAAAVASAAVPPSCERIECPSYEVVDSANGFEIRRYSDAMWASTAPIEDISFVAATRTGFLQLFNYIQGKNAYNETIEMTAPVLTQVAPSDGPFCVSSFVVSFYVPAKNQPDPPAAEGLHVQRWAGARYAAVRRFGGFVADSDVGEQAALLDASLQGTRWAAAVSDGRRADPTSSYTVAQYNSPFEFSGRVNEIWMLFDAKDASDM >OGLUM10G16630.1 pep chromosome:ALNU02000000:10:20149724:20152571:1 gene:OGLUM10G16630 transcript:OGLUM10G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASAASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSDYKTVLEEINKLCRNLSASPYAKPALRYQNGRRNSFAGNLSTVERRSFFNHTDSAVEIPCGFFKEFPVRESDRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTK >OGLUM10G16630.2 pep chromosome:ALNU02000000:10:20150108:20152571:1 gene:OGLUM10G16630 transcript:OGLUM10G16630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MGWVRMRVRVRSPPVMQSKLLCLSLLYLLTTLPLALYVSFSDPASAASRCLVFLPFRSSAPSSAASAALFEYPREYGEHKHAIPATRALCSDPAVFSGRSLSVREGHYKTVLEEINKLCRNLSASPYAKPALRYQNGRRNSFAGNLSTVERRSFFNHTDSAVEIPCGFFKEFPVRESGDFFGQFAFFIEEKILVAHRLAMEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTK >OGLUM10G16630.3 pep chromosome:ALNU02000000:10:20149724:20152571:1 gene:OGLUM10G16630 transcript:OGLUM10G16630.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF616) [Source:Projected from Arabidopsis thaliana (AT5G46220) TAIR;Acc:AT5G46220] MEKCNGVVVASAIFNDHDKIRQPKGLGSETLRTVCFFMFIDDATHRVLASHNILAGERGEAGTVGAWRVARLVAGAGGDHRLPYENPAMNGVIVKYLLHRLFPNARFSVWVDAKMQLTVDPLLLVHSFVAGKGADMAVSKHPFNLHTMEEAIATARWRKWGDVDAIRAQMETYCRNGLQPWSPIKLPYPSDVPDTAIIIRRHGLASDLFSCLLFNELEAFNPRDQLAFAYVRDQMSPKVIMNMFDVEVFEHIAVEYRHNLKRGNGGAGGKQGITRMASSGDIAGSSCERYLLKMWGETTK >OGLUM10G16640.1 pep chromosome:ALNU02000000:10:20152508:20153709:-1 gene:OGLUM10G16640 transcript:OGLUM10G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLICALDCTATPGARGAPAPVAPRRRVARVRLAPRCQWRPRTARAQAAATQPDPEHQAPANGGPSAFPTTALKVGAGVALALALGGASWRARGGSAGPVLVQPAAVCSLNVVTDSASRATAERSAAAAATMRTSVDALSDSLFRREDAPRDRATLMDLVFEQVTKEQIGDRGKLTSLLQKEWSASRDSERKLDLGLLLTDVLINQREWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVETMLSPETANSDDIEKMSKNAMDAWKEFKTKYEHAKGSTDSST >OGLUM10G16650.1 pep chromosome:ALNU02000000:10:20155147:20155425:-1 gene:OGLUM10G16650 transcript:OGLUM10G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSIHSLAAVAVLRRHRRRAHPPPPSSLSPAAPIPHHRRARPPLPPPSPRSSYAAAVAILVYKGWLQGATARVEVLLPQQDAQLYLVGIT >OGLUM10G16660.1 pep chromosome:ALNU02000000:10:20157960:20158169:-1 gene:OGLUM10G16660 transcript:OGLUM10G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAVGDDSRGVVTAAAAAVGDGGGGRGCGGCRVNWRRRRRKKWRRAASCSVLEDDGAAAVCGDGGWRR >OGLUM10G16670.1 pep chromosome:ALNU02000000:10:20162913:20165312:-1 gene:OGLUM10G16670 transcript:OGLUM10G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPRAAAAAVLPVLLPLLLLLLNWAAAPVGAATAAETRALLEFKAAVTADPGAVLANWTLGGDPCRDFGGVSCYPASGAVQRLRLHGEGLEGVLSPSLARLPALESVSLFGNRLSGVIPASFVGLAATLHKLNLSGNALSGEIPAFLGTFPMLRLLDLSYNAFSGEIPATLFGECPRLRYVSLAHNALTGRVPPGIGNCVRLAGFDFSYNNLDGELPDKLCAPPEMSYISVRSNSLSGAIDGKLDGCRSLDLFDVGSNSFSGAVPFGLLALVNITYFNVSSNNFAGEIPSIPTCGDRFAYLDASRNKLTGSVPETMANCRNLMLLNLGANGQGLTGGIPAALSQLKNLNFLDLSENALTGVIPPELGDLSNLAHFNVSFNNLTGSIPSSPLLQQFGPTAFMGNPFLCGPPLDHACPGRNARRLGVPVIVAIVIAAAILVGICIVSAMNIKAYKNKRRREQQQHDDEEEILVSDSAAIVSPGSTAITGKLVLFRKNSSASRYEDWEAGTKAVLDRNCLVGVGSVGAVYRASFESGASIAVKKLETLGRIASQEEFEREMGRLRGLTHPNLVTFHGYYWSPSTQLLLSEFVDNGSTLYDHLHGSRRRAAPASTGGGLSWERRFRIAVATARALAYLHHDCKPQVLHLNIKSRNILLDNEHEAKLSDFGLAKLLPEPSNLPGYVAPELASSSMSSRHGGDKCDVFSFGVVLLEMVTGRKPVSSRHGRQGTVLVVVLRDYVREMVESGTVSGCFDLSMRRFVEAELVQVLKLGLVCTSESPSRRPSMAEVVQFLESIRGSS >OGLUM10G16680.1 pep chromosome:ALNU02000000:10:20171426:20173675:-1 gene:OGLUM10G16680 transcript:OGLUM10G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTSANELMMHRHVQAAPYAAAPQQQGGKQRAPGLPPTPPPPPAAASSHSSHGDVCMDETARGGLLPPRKAHRRSRSDVPFGYFQPLPPPLPKMEAGGGWALPGCGGAGDVDLLNAYMSLEGMGGADGLNNSDGDSRGSSMRTNGADSSENESEDYVGADSQALLWGGAGGGEAAGKKRRNNAAGETAARHARSLSMDSLMGKLSFAANGEPAKFSLEFGSGEFTPAEMKRIMADEKLAEMALADPKRVKRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSSGMATQNNELKFRLQSMEQQAQLRDALNEALTAEVQRLKLAANEVGDTSSSSNLAHQIQLRCQNQMLDLHKQQQQQVEQIPFYQLEQPEQQNGTARNHESK >OGLUM10G16690.1 pep chromosome:ALNU02000000:10:20186236:20188372:1 gene:OGLUM10G16690 transcript:OGLUM10G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQGYEEQSEWYFFSYKDRKYPTGTRTNRATMTGFWKATGRDKAVRERSRLIGMRKTLVFYKGRAPNGHKTDWIEEGWVVCRAFKKRTMQPPRSSIGAWEASYSYHDPAIFVGGGEHFKQETAAELDGVAAAAGANAFLRYSTRLAELPQLESPPLPSQGSQAASAVVDGEEDNADSSRRPGGGGGAAAAVTTDWRAFDKFVASQLSPEEQHTCRATDDDDMAALLLLDGGGQEDDAGRWLGSAGLLSAVAADATTDCGLGTSCVPGDIN >OGLUM10G16700.1 pep chromosome:ALNU02000000:10:20190750:20201023:1 gene:OGLUM10G16700 transcript:OGLUM10G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) TAIR;Acc:AT4G16310] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRRAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLEGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESAKAHEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGASHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAVTDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPGKKPTEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDVDWETLMQEQGLFSNLSAALVDHPLKSKDKIKISEVLDNGDDSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTNHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDAQDLLPPLKSEELIFKEKNQGVLTEGRDESALPSNSDIHSKSDLDGFILKVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTRSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESSKPRSKDLLSGKPIVRAPNQVSFNPKVASKNARSAGNHSPHTAIKKPENKAAKLEAMTATRSDGSSLRSQKQHHALEPKVDNGLAMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDGRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKIQTFVDKNSADTSDYPKFPTAWQGTDYPNSMARISLH >OGLUM10G16700.2 pep chromosome:ALNU02000000:10:20190750:20199513:1 gene:OGLUM10G16700 transcript:OGLUM10G16700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:LSD1-like 3 [Source:Projected from Arabidopsis thaliana (AT4G16310) TAIR;Acc:AT4G16310] MPDIRSAPGRGKSDEDERRPIGSLFKLKRKRRAPGSAEAKGDSNPSVESEAPDGVVPGEMDDTLAIIKRKLRKPKKGKEGGDAVVVGSGAEGELLVEEEDVQGGVNVGDGVAEDKSNLEGVKVEVDEVIGGELKDSGGLGLEDSLSTLFKRSGRKSRQVSVKEEEGVEVAGSHGEEILEKGSGLVSDRVAKGTKRRRRRTKEEMKNAAAKSESAKAHEGSPNRKVGTSLPRKAKAEAKVKISNSNRRSKKSDEKPKASDDVLCHRSLGETIEQDAETRTVLDDGSRNSSDGASHRIEVSACLSNQPCLKPCSGELAEEVSLSAANAVTDGVSNEHTYSETLLKERNDDAGCSHGKPPTLAIKSIPGKKPTEMPKKPVRQKDQLLSTDVDNKCVVGSGDTKDVNIENQPAFGIPESHVTGKGLHPHKMATSVKELDVVDVVAPTDFEDMENASKSKRVTRSSRKRKHGDMAYEGDVDWETLMQEQGLFSNLSAALVDHPLKSKDKIKISEVLDNGDDSGVAAVRAGLKAKAVTPIEKIKFKDILKRRGGLQEYLECRNMILSRWCKDVKHILDLAECGVSDVCLDDESPRQTLIRDVYLFLDQNGYINAGIASDKVKTNHESPPEDVEVSKLNESHERKSVSIQDCIVTEAVQDKKAVVKQTDCVLTEASNEESSSAAIHCDAQDLLPPLKSEELIFKEKNQGVLTEGRDESALPSNSDIHSKSDLDGFILKVEGGSLHQAEAADIEHSENKHEASDRVESGGYGKKIIVVGAGPAGLTAARHLQRQGFSVTVLEARNRIGGRVYTDRVSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGDKVPDDLDTDLESEYNGLLDEMAQLFAQNGESAVGLSLEDGLEYALRKNRVTRSEQDDQLRNVSSAGAVDISESASTEKEIAHCGKEDKTDVLSPLERRVMNWHFAHLEYGCAAMLKSVSLPYWNQDDVYGGFGGAHCMIKGGYDTVLESLAKGLDVQLNHVVTEVLYGSEELGASGNSRKFVKISTSNGNEFVGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSIDRLGFGLLNKIVLEFPEVFWDDNVDYFGATAEQTDLRGQCFMFWNLKKTVGAPVLIALLVGKAAIDGQSISSDDHVKNAIVVLRKLFKDASVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVSDCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVHSGKDYVAEVEALQTYQMQSDSERNEVKDMSNKLDACELSTALCKTSSDASYPLFSKETLLQEMFFSAKTTSGRLHLAKELLKLPPDVLKSFAGSKEGLSTLNSWILDSLGKNATQLLRHCVRLLLLVSTDLLAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWVEVFRKEKASNGGLKLLRRMPSTESSKPRSKDLLSGKPIVRAPNQVSFNPKVASKNARSAGNHSPHTAIKKPENKAAKLEAMTATRSDGSSLRSQKQHHALEPKVDNGLAMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEINAPRELPKIPSFHTFAMRDHYLDESDTRKKVLSDNLVRLECISEIDSRNDKAKNPSVDHANCADVDSSKMTGDNCTQRSYSNENACLINIRDHSTDSGAVDGRFTRAWVDTDTIFIDGVKDPLAIERWQQQAMEADKEFYSRIRIPDEEDSSSQKQTCRSSASQVAESKPASDGQSRGVEHLRQGLINFISTVLMPLYRNKKVDREGYKGIMRKAVTKIIETCTEGEKMMTVHEFLDSKRKNKIQTFVDKLVERHCHMNRPPNS >OGLUM10G16710.1 pep chromosome:ALNU02000000:10:20203270:20206449:-1 gene:OGLUM10G16710 transcript:OGLUM10G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARSALASAGRAANEAVSFVVFMVLDVVEVLLCVVYKVADYMLEGAWRPCYCSSSSTAAGAAASGKIVVSERGGSKVVSMLSSTKLHLEDISDTLYTRPSVLAGAASATTRSPSSRRGGGGVRAPPATTVTVHSAIVQMLRGKIGADGDGKQHKPYPSPRWSDCHCTNCNPADAGRLFVHVEAPPGGAATEEDVLFIHGFISSSGFWTETVLPNVSPEARARRRLFAVDLLGFGRSPKPADSLYTLREHVEMIERSVIERHGVKSFHIVAHSLGSILALALAVKYPAAVRSLTLVAPPYFPVPRGEVGTQYVLRTVAPRRVWPPIAFGASVACWYEHLSRTVSIVLCKHHRLWELAFRVFTLYRVRTYLMDGFFCHTHIASWHTLHNIICGSAGKIDKCLEIVRDQLTCDVTIYHGRDDELLPVQCSYAVKAKIPRARVKVVDGKDHVTIVVRRQKELAMELEEIWNRKR >OGLUM10G16720.1 pep chromosome:ALNU02000000:10:20212648:20213783:-1 gene:OGLUM10G16720 transcript:OGLUM10G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLNVHDKAEKQKAMKAVSALIGIDELSMDMASQKMTVIGMVDPVNVVSKLRKSWAATIESVGPAKEPEKKEEKKDGGGDGKKDGGGDGKKEGEAGDKKDGDAAKKDGDKDGEAKKEDGDKKPAAPTEQQLFAELMNQYYHRPAAYGYNPYMSVPPHYVVQSMEENPNSCAIC >OGLUM10G16730.1 pep chromosome:ALNU02000000:10:20224689:20225480:1 gene:OGLUM10G16730 transcript:OGLUM10G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMTLRRGGAGAGIRIKKKARGFMCGGCGGSKAVSVSDGSDKQSPMATPPPNTSSTTTTTTTGSAGNKTTAAAGSSSFSPSYDYDYVDTDADTSVGSTPSVAALLRQLGELERSVRSLQGAVAEGRGAKNDGRGGGRRHRRTVSDGGGGGSGRVEESVAVVKESADPLFDFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHVILRAFAEIWEEVFAGYERTPDFLVSSRHRRPTKKKLPASYAAADDDDDDSWNAA >OGLUM10G16740.1 pep chromosome:ALNU02000000:10:20227807:20230986:-1 gene:OGLUM10G16740 transcript:OGLUM10G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMKRRRRRRRRIQADGSSALDMGRKDSRSRSGSAKRRGPHLTLDRLPQIIALLPMQDAARAACASRELLQSCRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHEIACLKIPCTLQLLNFLRTMQRAADNTSNSCPNVETLFLMSANEAGIFYPLIFQPQGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVEESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTQSYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIPVVPPDEIISRLSKVESELLKSP >OGLUM10G16740.2 pep chromosome:ALNU02000000:10:20228601:20230986:-1 gene:OGLUM10G16740 transcript:OGLUM10G16740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLARIMSMKRRRRRRRRIQADGSSALDMGRKDSRSRSGSAKRRGPHLTLDRLPQIIALLPMQDAARAACASRELLQSCRHYPELKFSAKTLAMDGQHNCIQGQMDSYIKRIDIALQNCTGGWVKRFRFELQFFHELSAHHINHWLDAAIPGIEELTLELPRDDKMKYKFPCKLLFEKGCSIQSLCLYSCAFHPDQGACSFRNLKRVYFSLVHITTEELWIFLYNSLSLEHLELGFCHEIACLKIPCTLQLLNFLRVRQCNVLQIIVSDAPNLSTFHYEGPLIQLSLGDSLQLKHVNISIYPWFNLFEYAHKQLPTVARTLKLCS >OGLUM10G16740.3 pep chromosome:ALNU02000000:10:20227807:20228601:-1 gene:OGLUM10G16740 transcript:OGLUM10G16740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSANEAGIFYPLIFQPQGRFLHLKYLELVIVGPRNYGFGYQYTYLVTFLKASPVLETFILHVEESAKEPYPLVINPKYLKNHLLPEHCHQSIKHVTVTGFCHTQELVELIFYILENATSLQCLTLDNRIRGFEKDLVACITQDAGTQSYPKSYWDAYCSYVAIRKYIIGRVPSSVELKIPVVPPDEIISRLSKVESELLKSP >OGLUM10G16750.1 pep chromosome:ALNU02000000:10:20230343:20230537:1 gene:OGLUM10G16750 transcript:OGLUM10G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIRHLPVPITTATVGPSTSRPSIPPQIQNPNPTGSNVDRRCHRHIIDLHDHQFLSNSSPDP >OGLUM10G16760.1 pep chromosome:ALNU02000000:10:20233455:20239228:1 gene:OGLUM10G16760 transcript:OGLUM10G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDDEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRSRDNSGFHVARERVAGGGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGLFLRGAPRRATATGQLARASSSPSSDPSFSGSQLADSVPKAIPSADVPKATRMVSSGSHDGTATPRPRSSAPYRLSSPTPDAIGTPTMNMIRRRMRSNTGCGSSSSSSSTSPPLLTSSASSTICRCSCSFSCRRIRCFSLRLFFLEGDLAVVVLSVSVTAEEPMAPPLPAACSRRSGARRRWGSAT >OGLUM10G16760.2 pep chromosome:ALNU02000000:10:20233455:20239228:1 gene:OGLUM10G16760 transcript:OGLUM10G16760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDDEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRSRDNSGFHVARERVAGGGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >OGLUM10G16760.3 pep chromosome:ALNU02000000:10:20233455:20239674:1 gene:OGLUM10G16760 transcript:OGLUM10G16760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGPAHSPPPAAAAVAVAGGGGGEPLVVTLNCLEDPSMEQEVLAGAAAVEHAPLSALSSGRVEAAAAVLLTSLAFLPRAAQRRLRPWQLILCLGSPDRAADAAVAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSYSAPPAGWLGSVQPLCRGMRRCRGLVLGIVGVNAAARCLATRSLAFSMSVLYFDPLHEANGKTKRPSILFPSAARRMDTLNDLLTASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWIEIREKALAILQSFFYDGVVPNNALSDDDEEITEAGCEDDQLAKQAKEQVCDGGQQTDESQLTLECDKRRAISHSEEPQASGQSQNRENVVPRSEGRRSRSGKKGKKRPARRKSQQKRDELLSTLEGGSNYSSRMDDDTVTSGKDQVLSSSSRFASPEDCKTKLRSSAEFPMEIISENKLTAGLSIKPLERLKDGFVVALRSRDNSGFHVARERVAGGGWYLDVVSKATKRDPAAQFLITFRNKDTMGLRSFVAGGKLLQVNKTMELVFASYSFDVWESWTLEGSLLDCCKLVNRKIPSVVLEVYIEILAAVSEEDGVTRWLD >OGLUM10G16770.1 pep chromosome:ALNU02000000:10:20238129:20238794:-1 gene:OGLUM10G16770 transcript:OGLUM10G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLHQTPLQPPQTTTRPHPWTHTSTTNLSPPHVALPQRRRAPLRRLQAAGSGGAIGSSAVTDTESTTTAKSPSKKKSRKEKQRMRRQEKEQEQRQMVLEALDVKSGGEVDEDDDELPQPVFDRILRRIMFMVGVPMASGVGLLNLYGALERGRGVAVPSWLPLLTILVAFGTSALGIAFGTLSASWDPEKEGSLLGLEEARANWPVLWEEEIEKAKKKK >OGLUM10G16780.1 pep chromosome:ALNU02000000:10:20239798:20242448:-1 gene:OGLUM10G16780 transcript:OGLUM10G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRVKPPPSRPVVAKSPPRRQPHPPPPLPRHALHRQHEREGEATKSVWSVGFINARLSQRTPVLGLRLWVLVAAGAAVAVVLALLIVVCLCRRCRRRRCSRLAPAPPHHGRSNRSLKQQQSMASDKDIEEAARWPPPPSFQPPIEVIKAEQTAPLIMVEAARTSGETATSSGGSTRGWSTESGGSDAAEPEASRRGWGRRYTRRELEEATNRFAAENVLGEGGYGVVYKGILRDNTAVAIKNLHNNRGQAEKDFKVEVATIGRVRHKNLVSLLGYCSEGACRLLVYEYMENSNLDKWLHHGDDEISPLTWDMRMHILLGTARGLAYLHEGLEPKIVHRDVKSSNILLDRHWNARVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYARTGMLNERSDVYSFGVLIMEIISGRTPVDYTRPAPEVNLVEWLKRMVAERRVEEVVDPRLPETPPPKVLKRAVLAALRCVDPDGGQRPTMGHVVHMLEDDLKFRDELQLARDLSPHASDSYEYEL >OGLUM10G16790.1 pep chromosome:ALNU02000000:10:20245419:20246429:1 gene:OGLUM10G16790 transcript:OGLUM10G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G52810) TAIR;Acc:AT5G52810] MAAPPPPANQFVYIDAAALHSVLPFPSLISHLGAGLPAFAAGIHCPHRVSFPLPTAPSASLLLMPSWSAHPSLPYLALKAVTSFPANSPRLPSVHAAVSLFDSASGVPLASLDGSALTLLRTAAVSALAASLLASPTRPPSTLALAGAGALAPYLAEAHLSALPSISRILIWNRTKAKSAALAARLRDAHPGVAVEEADSMDEAVSAADVVSCATGSQEPIVHGELLKPGAHLDLVGSFTPAMRECDDEALRRGRVFIDFEAAMQEAGELVGALQRGVLRREDVAGTLAELAAGSVAGRRCDDEITVFKSVGTAVVDLLAAQLAYETYIATTTKKT >OGLUM10G16800.1 pep chromosome:ALNU02000000:10:20252208:20254663:1 gene:OGLUM10G16800 transcript:OGLUM10G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAKAHSPPASSPARVCPLVNNSRFARPRARRAPRVPHSYMAVARLVVITPAVLLGRTARVSPSAVPRLRPIVAGRRAVAAPTRAVLGDGAGVGGEEDAVVAVVEEDAVARRAARKRSERRTYLVAAVMSSLGFTSMAAAAVYYRFAWQMEAGGGDVPATEMVGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIANAAPAISLLAYGLLNRGLLPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHTDKFEGVPYGLFLGPKELEEVGGTEELEKEIKKRIKRKEAMDAIR >OGLUM10G16810.1 pep chromosome:ALNU02000000:10:20261206:20265256:1 gene:OGLUM10G16810 transcript:OGLUM10G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BD32] MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEEHIKELIWRESLAFNPDPPY >OGLUM10G16810.2 pep chromosome:ALNU02000000:10:20261206:20265256:1 gene:OGLUM10G16810 transcript:OGLUM10G16810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BD32] MDSSSGGAGGGGGAQIKGMGTHGGRYVLYNVYGNFFEVSSKYAPPIRPIGRGAYGIVCAAVNSENGEEVAIKKIGNAFDNHIDAKRTLREIKLLRHMDHENIIAIKDIIRPPRRDNFNDVYIVSELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIADFGLARTTTETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDSSLGFLRSDNARRYMKQLPQYPRQDFRLRFRNMSAGAVDLLEKMLVFDPSRRITEQFINAGI >OGLUM10G16820.1 pep chromosome:ALNU02000000:10:20265368:20266206:-1 gene:OGLUM10G16820 transcript:OGLUM10G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESEDEPQTRDAGVEREPVARNQDARPSRSRMTGLRDRVGTMAPMHGSDEALGIASRHGGTAERRHGTACGSVALTCPTGPARYRILGLRDRLGHASWFRTTGSGSAPSSPVCGSSSLPRNRSADWVYFYKLITARYINAQYEKIKYIFKFLSYKEYLLWGCLDPEV >OGLUM10G16830.1 pep chromosome:ALNU02000000:10:20269300:20271336:1 gene:OGLUM10G16830 transcript:OGLUM10G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASIAALRHLAAVLSILAHCFLLSSADVDFIYNGFRNAANLSLDGSATVLRGGALQLTNDSNNIMGHAFFDSPVQMVSDAAVVSFSTAFVFDIVTNGSVSGHGLAFVVAASKVLPGATAEQYLGLLGKSNMGDPSNHVFAVEFDTVQANGLLNETNGNHVGVDLNSLVSNVSEPAAYFTDGGGGGGGGKRNLTLESAQPIQAWVDYDGSAKILNVTIAPVASTVPTRPRRPLISHAVDLLPIFKQEMYVGFSSSTGKLASSHYVLAWSFRTGGGAARPIDLSRLPSVPKKPAPPPSASVVVKIVALTCAATVTVIVAAIGVALWLRRRAALADTLEEWELDHPHRLPYRELYMATKGFKNSELLGAGGFGEVYRGVLRRSGDVVAVKRISSNGRQGMREFVAEVASLGRMRHRNLVELRGWCKRGHDLLLVYEFMPNGSLDALLFGGAPATATATALTWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADASAARLGDFGLARLYEHGGDPATTRVVGTLGYMAPELTVTGKATTATDVFAYGALLLEAACGRRPIDPATGVNLLRWVREHGARGELVHAVDERLDGRYDKEEARLVLWLGLACSQARPEARPSMRQVCQYLDGEEDVPEEAVLVFSDVDSIDFGSLTSLTWSSCATMSVGSLNGGR >OGLUM10G16840.1 pep chromosome:ALNU02000000:10:20273498:20278297:1 gene:OGLUM10G16840 transcript:OGLUM10G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRETVHRVLRGRLLERLREERRETQLKRRSCRPGPHFASDPSPRDSLPPPPPASNQPTTTRSLADRHRRPNHPSPPPPEKEEREGAAGSGEEARCAVDEMKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAVQVYGEEEWSFGFCENGSGVFSCPIGKNPMYTYRECIVLGETECSIATVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLAVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQNPQPESPSNQSRNGPTFQGTWFKNIISNGAKPSSSESTSSHDTGTGGDESSLQNQKPSEQSTRL >OGLUM10G16850.1 pep chromosome:ALNU02000000:10:20279532:20283381:-1 gene:OGLUM10G16850 transcript:OGLUM10G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVAPTSGSSGPRVN >OGLUM10G16850.2 pep chromosome:ALNU02000000:10:20279611:20283381:-1 gene:OGLUM10G16850 transcript:OGLUM10G16850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGMDRRHDNFAMAIIIICSILVTLRSRNVIACIACRANIWKLRYLIYLFVGNTIYISFYSFMFLLESLLSFYITITLSLCQQTEKTLVMAVGISRTGIGILAPLLFFATAITF >OGLUM10G16850.3 pep chromosome:ALNU02000000:10:20279937:20283381:-1 gene:OGLUM10G16850 transcript:OGLUM10G16850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYPNYTSYDPVLTGKCHVNFSALSYMMDKTASDCSIPLAPLVADVICCPQVNSLMNIFQATYGAGNNTLVLNQASANACFSDVMSILASKGANTNIPELCTLRPSNLTDASCPVKDISTFEKIVNVSKLLDACSDVDPLKECCRPVCQPAIVEAAVHISSGGANMFGSSSISGSDAGINVVSDCKGVVHSWLSMKLSSEESNTAFRVLSGCKVNKVCPLEFDDPSSVVKACGKASSSTPSCCGALHSYIATRQKQIFVTNLQAINCATMFGSMLQKAGVSNDIYELCDIDLKDFSLQGCLLRSLPTDIVFDNATGISFTCDLSDNIAAPWPSSSSVQSLSLCAPGKVTFQV >OGLUM10G16860.1 pep chromosome:ALNU02000000:10:20286586:20287496:-1 gene:OGLUM10G16860 transcript:OGLUM10G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPSRSDSFSHGWLRRKARPAAAAASFERLVGGEGDAVDVEGDLGHSFNGSVVSFIDMDPAELFSMRWTSLTAAAEEEEDHDDDDFDFGMPCVAGAQCSSPLLVGAGRALSDGHHHHLLLPCEPGVVVARDRTASYADAPSPSPSLPLRVLSPRSAKGSLAAASSSASPARRSTSSSYASAAEYWCHGNADTAVRDAILYCKKSIGQDM >OGLUM10G16870.1 pep chromosome:ALNU02000000:10:20291072:20295129:-1 gene:OGLUM10G16870 transcript:OGLUM10G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVLLLFLVVGLMPVSNGQTTPFSPLFSVYLACGAGGNVVVTSDSPQRTFVPDDGELSGKSARFSNPDASPPSPLYAAARAGTSGFSYRLSYAAAAAPDGNTTLVLRLHFFPFASQSGDLLSARFSVSAMGRYVLLPSSFSPPRAGVVREFLLPSDGSGEFDVTFTPEAGGLAFVNAIELFPAPQELLWKFPLTAVNTDVSPSHQALETLYRLNVGGPTVTPTNDTMWRTWLPDDSYLSPATVSAVASIQGLINFDPTQGYTRMVAPDAVYESQRTTNSSTSNVTWTFAADGNSSYVVRLHFCAFEELSSVIGEGVDFNVYLMQAMGTRELKAKDYATLSMPIQAFYVDYVTVVPTGGENLTVSIGRAASSDSKKAILNGLEIMKLRAVDMTPASSSGKTSKVVVVAVIAAVLGAAVLAGVALCVLLVRRRQRRATLPVPEEEEKESVGTPWSPFTPDGEGSFGSAVVTPRRMNMKLHIPLAEIMVATGDFDDANILGVGGFGNVYRGVLRDGTRVAVKRAKRASRQGFPEFQTEILVLSSIRHRHLVSLIGYCNERSEMILVYELMAHGTLRSHLYGSDAAAPPPPPLSWKQRLEICIGAAKGLHYLHTGHSDNIIHRDVKSTNILLGDGFVAKVADFGLSRVGPSTGQTHVSTAVKGSFGYLDPEYFKTRQLTDRSDVYSFGVVLFEVLCARPAIDQSLPPDEINLAEWAMQWSRRGRFDKIVDPAVAGDASTNSLRKFAETAGRCLADYGEQRPSMGDVVWNLEYCLQLQESQPSTETALDLDDSGAHLPRDIVVARRVAPLAPDASADAAGDDMSWSETASFTATGNVFSQIMSRDDRSRAPRTSYLLGLMDQKGFDGDRSESRLYVGNLDFRVSESDIIKMFSPFGKIIAEDFLWHTRGPKRGEPRGYAFVQYTTKEEAQLAKEKMNGRLVCGRPVVVHLASEKCFVDSGNSHRAMKDKKLAGGSGSKSVQTDRAAKIAAIKHKLKSLEDEGCSTKRPRFKSDDLPGSGKQSDKKC >OGLUM10G16880.1 pep chromosome:ALNU02000000:10:20297625:20299423:-1 gene:OGLUM10G16880 transcript:OGLUM10G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGGAGEKPPASNGVHGSGKARFTLLYGLLLYVVMPVLFLYMLVAAASPFYNPRCSPESNAAMARFVVAMPNASSVNGSSPSSSPPPTPVRPMRSADEAPTGLRHIAFGIGASSALWKSRKEYIKLWWRPGRMRGFVWMDRPVEEFYSKSSRTGLPPIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPTTPSRAGALRKLFDGPVRLDSAAVAQQSVCYDREHHWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPRVYYMRDSRMDRRRNVTVTEYDRHRGKQPDCRWRIPDPAALVDHIVVLKKPDPDLWKRSPRRNCCLVVSSPTKAGKNRTMTIEVGVCREGEFAKL >OGLUM10G16890.1 pep chromosome:ALNU02000000:10:20302952:20309286:-1 gene:OGLUM10G16890 transcript:OGLUM10G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDPSLGYADYFAAEVDGAGATELYGLQQHQQGVGVAEMFGVRGLMPAAHAHEQSKGVGALVVGGGGVDDGGATTLPTVHFGGLGELHHHQHRQSQAPLSLSLHRPEAAATSLLMQQQQQHLHHQPSPPAGAASTWQLQQGAWHLRGSRFLLPTQQLLQEFCSLPVKSTTSPSSASKATKPPQEEAAGGGGSSSWTAPTQIQSMDAAELQRLKGKLYTMLEEVDRRYRRYCEQMRALAASFEAVAGERAAAAYTRLASRTISRHFRSLRDGVVAQLQAVRKQLGEKDTAVPGMTKGETPRLRVLDQYPSDVDKHILARQTGLSRSQVANWFINARVRLWKPMVEEMYAEEMKDEEGSGQSTQASNPQNPNPSSYTSEVRGGGGGGEDRGEQKPSRAQLLHDAGSLASVVSIGHGGAGRTMVDHHHHQSLNFGMMDQLDFDAYEAAGGGQGFGAGGGVSLTLGLQQQHADPHDGVNVAFAAAAAPPNSSGVAAEYLFMGGGGGEHQQQLPQAAQFGAVMEGDAASHYRGLSATAAGFHLLHDLAG >OGLUM10G16900.1 pep chromosome:ALNU02000000:10:20325919:20326775:1 gene:OGLUM10G16900 transcript:OGLUM10G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAAARGEGHDDGYFPILEVRILDEAWLRGGLWWRRGIRGEQGLAMAMAQQPGNVNVAGFLQALRRLRNRVIMMLWMRMLLRQLVVRWWLRVHFRRFMWVWHLRVLRARVRLFLWRIRHDHLVYILDTIMVLAYVVFKINASFIGYIEFVKLNCSSASLVGDE >OGLUM10G16910.1 pep chromosome:ALNU02000000:10:20350957:20353671:1 gene:OGLUM10G16910 transcript:OGLUM10G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLIVAISVFFFKLGVYRDRKDFTFEAKREGKGAHRLDVDPVDEQAAALAAAGAVRDGEVRGGERNRQQEHGLRALPLRCRRDAAAREHGGGSLGQHVGHRPRHARRHHPQISERAAVIGHVYGNR >OGLUM10G16920.1 pep chromosome:ALNU02000000:10:20351265:20352439:-1 gene:OGLUM10G16920 transcript:OGLUM10G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLPVALAMAQKHGGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSVAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEAVRLKGGHQTPKGLKQCSV >OGLUM10G16920.2 pep chromosome:ALNU02000000:10:20351670:20352439:-1 gene:OGLUM10G16920 transcript:OGLUM10G16920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLLLPVALAMAQKHGGGGGERVWARPWRWAKTAFFVVAMIASLLLVCAPPVLVVILDLALPPALLSARLRGGGGGDDASFVAAVVAQARAFDFRSSLVDLLAVSAARALLILGAYMACGGGGAAYLWVVATSVAGSVSYVLAKAAAAVLPRRGVAPAPEGKGPEPMLLLSVALAAAHLAVAYRTSCRERRRLLVYRIDVEAVSTLPLSLCFKSEIFSITIHA >OGLUM10G16930.1 pep chromosome:ALNU02000000:10:20356499:20358966:1 gene:OGLUM10G16930 transcript:OGLUM10G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIVLSLPSCSSDSSKGGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPGPPYVGYAPQAQPAYYR >OGLUM10G16930.2 pep chromosome:ALNU02000000:10:20356499:20358966:1 gene:OGLUM10G16930 transcript:OGLUM10G16930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQAYLDKAQLRQSYRNVWHTDLTNAITADFTCCCLSLWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESNCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQFACICSLVACIVGSEELSEASQLISCISNMVYWTVCSCMQTQHKVEMDKRDGKFGPMTVPPMQQMSRIDQPGPPYVGYAPQAQPAYYR >OGLUM10G16940.1 pep chromosome:ALNU02000000:10:20359912:20361150:1 gene:OGLUM10G16940 transcript:OGLUM10G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSTTATTAILAAVIISLAGAATTVDARFRAMQWTPAHATFYGDETASETMGGACGYGNLYASGYGTDTAALSTTLFKDGYGCGTCYQMRCVGTASCYRGSPAITVTATNLCPPNWAEDPDRGAGGWCNPPRAHFDLSKPAFMRMADWRAGIVPVMYRRVPCARAGGLRFALQGNPYWLLAYVMNVAGAGDVGDMWVKAGGGGGGGGWVRMSHNWGASYQAFAQLGGQALSFKVTSYTTGQTILAAGVTPASWCFGLTYQARVNFS >OGLUM10G16950.1 pep chromosome:ALNU02000000:10:20361292:20364835:-1 gene:OGLUM10G16950 transcript:OGLUM10G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGSANLMIWHCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKHLHSIMAILEYIINVVVKPRCST >OGLUM10G16950.2 pep chromosome:ALNU02000000:10:20361943:20364835:-1 gene:OGLUM10G16950 transcript:OGLUM10G16950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETMADGSANLMIWHCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHIFIQDKPEYKRRVRVQAKQYPALL >OGLUM10G16960.1 pep chromosome:ALNU02000000:10:20382154:20394103:1 gene:OGLUM10G16960 transcript:OGLUM10G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKTELLEQQIAKLKEKERTLLKDNENLRGKHRNLEAAALVANHMTTTTTAAAWPRDVPMTSSSAGAADAMDVETELYIGLPGTER >OGLUM10G16960.2 pep chromosome:ALNU02000000:10:20382154:20394103:1 gene:OGLUM10G16960 transcript:OGLUM10G16960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRTELKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGRLYEFASAPSLQKTIDRYKAYTKDHVNNKTIQQDIQQVKDDTLGLAKKLEALDESRRKILGENLEGCSIEELRGLEMKLEKSLHNIRLKKVYISYFQYS >OGLUM10G16970.1 pep chromosome:ALNU02000000:10:20406896:20407442:1 gene:OGLUM10G16970 transcript:OGLUM10G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGVDEAAAVAEARRLQDMIREASLSEVAVGADERWWMRRWWRPNALVINIGDQLQVTIPLR >OGLUM10G16980.1 pep chromosome:ALNU02000000:10:20407507:20414479:1 gene:OGLUM10G16980 transcript:OGLUM10G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAEQQHQLLSTAVHDTMPGKYVRPESQRPRLDLVVSDARIPVVDLASPDRAAVVSAVGDACRTHGFFQVVNHGIDAALIASVMEVGREFFRLPAEEKAKLYSDDPAKKIRLSTSFNVRKETVHNWRDYLRLHCYPLHQFVPDWPSNPPSFKEIIGTYCTEVRELGFRLYEAISESLGLEGGYMRETLGEQEQHMAVNYYPQCPEPELTYGLPAHTDPNALTILLMDDLVAGLQVLNDGKWIAVNPQPGALVINIGDQLQALSNGKYRSVWHRAVVNSDRERMSVASFLCPCNSVELGPAKKLITDDSPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRT >OGLUM10G17000.1 pep chromosome:ALNU02000000:10:20419699:20421197:-1 gene:OGLUM10G17000 transcript:OGLUM10G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLVHGHPVAIDVHCPPARDQNSLVTAITVDPPVPRLIPWLRHVFHTHLGHPMVRFAGGGPGHILAVFLRQDDQTCALRASPIFVDGRTLHIFPHDQGENSFTFFYRFMVCLTLEKFPVNLWDRRGVAASVSGFANLVNIDHACMHGHDYAAIFVMVKVEELCHIPHHIAFYKANLFGVYADVFVNEIWDIDGSMPPPSPPPRPPRPARGRRGARGSGGQNRVWRARDHAQPAPALAGAGASGSSSRTLSRPDGAPAAAALGAAAFLATAPKLPAITYPVRTPTVTVTFEQASFHVQVHLSLRRSAEAWIMVSQVDDLDYPTFPCFNLTTECCNPAETTRSLLFSLLPLAGASPLLLAIDCRNFSRKICPTLTTLIDASPLSLAPLAEQPLRQPISLSTICAHMRSNPPILAPPICTLPPAAATRFVCLPPLPVGVRLPCRLCRCHSGAVPWTC >OGLUM10G17010.1 pep chromosome:ALNU02000000:10:20424140:20426210:1 gene:OGLUM10G17010 transcript:OGLUM10G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVATTTPAAAAAAAAEVKPAKRSVGLGLPALPPLLPGLASHGQPRVASFCKRLARNVVAMAAGEAPAAPLAANAEITEFINALKQEWDRIEDKYAVTTLAVAASLGMWSAGGVVSAIDRLPIVPGLMEAVGIGYSGWFAYRNLLFKPDREAFFAKVREVYEDIISG >OGLUM10G17040.1 pep chromosome:ALNU02000000:10:20448475:20449434:-1 gene:OGLUM10G17040 transcript:OGLUM10G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTPISPLTRVAGGEEDSERGAAAAAAWAVVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPLDAAAGAGGGGGGGGGGGGGKGLVLSFEDRTGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVSFGRGLGDAARGRLFIDFRRRRHDAGSFMFPPTAAPPSHSHHHHQRHHPPLPSVPLCPWRDYTTAYGGGYGYGYGYGYGGGSTPASSRHVLFLRPQVPAAVVLKSVPVHVAAASAVQEAATTTRPKRVRLFGVNLDCPAAMDDDDDIAGAASRTAASSLLQLPSPSSSTSSSTAGKKICSLDLGL >OGLUM10G17050.1 pep chromosome:ALNU02000000:10:20459926:20464702:-1 gene:OGLUM10G17050 transcript:OGLUM10G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKQMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEVHRYDIFPPKWEHMLKENFFHPGK >OGLUM10G17050.2 pep chromosome:ALNU02000000:10:20459926:20464702:-1 gene:OGLUM10G17050 transcript:OGLUM10G17050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKQMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEGSFPMNIGWPLSTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >OGLUM10G17050.3 pep chromosome:ALNU02000000:10:20459926:20464702:-1 gene:OGLUM10G17050 transcript:OGLUM10G17050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKQMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKVQNMSCSRNNLWMAMPEHSLVENDSELKTSISQAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEGSFPMNIGWPLSTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >OGLUM10G17050.4 pep chromosome:ALNU02000000:10:20459926:20464702:-1 gene:OGLUM10G17050 transcript:OGLUM10G17050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHGDRHRGSPPPYPAAAAHSSLSPSAAPFTVDCPRPAADPRVRNPNPPGLDLPTAPSLYATAAAGDWGSSSWMEPPASYMAPSTAAATPPPPAYKGEAPETAPYGIFPGTCQIGNFMVTRPLRSESSQLTSAKGPGTWLGSSEVLPSGVGPSVFSPQQNTFVHKSEDAEPYPTQRGLLQYPPQYPAYDKYMTQLSSCSTNVPPPVMWTPPANSSEVVEQMFPVMNKNTGESSSSFSSYMNPCRINLDYFDCMWNEQKDLGHQTTDKHHGKWSSSASNAGDHLLNSLGADHRAARCFGNGRPIQESSEMKYDRGSFNSKVSPSEVGYVQSREFSSELPEVNNPTVDSPCWKGAPIAYPPSFGIMKNTDNPHSVNGVGGYQIEQSPEWSLKYSELFSKHQEVSASESVKSDALKTFKLPETRKNTEDNKEVLPVCIGVHNGIGNNASYFPEEQNSRRQKCYDSTGDCKNMIAANQQENLSVSKAKLLGEDSSNHIGSITEESINKGPSPLGSAPRALVENLSESLHVNVCSQAAGAEECTQAQICAKGGQQPRYYSDSGGSMLKTSSESRSKSRAELLKQMHDLSAMLLSTCNSGPLQGYEEELLQLVIQNLRDASSCISKAVAKLPEDKTLDDIDVSQLSIYKNLWVEAEASACKLKYELQLTRAKLAAMENHNNTQVPVDLSKGNKIFISTIPNSKPQNSTAYPANLQCQGADSCDGQPPAVNRSIIDGVDAEVIERLKFLQSNLKDCRAFCQNNCEEQEEASKKPCAIEDAVMARLRVLNSCPDNIASLKQENNNHHQLDTSTNRADNIDDAVMSRLRILKSRPDNVNPLGQESSKHEPDAATGTNNFIDNAVMSRLRILKSRPDNANSLGQESSKHEPDASTGTNDLIDNAVMSRLRILKCRDDNINSLDDAIKQHVEACTDQPNWDEDGVVAKIQAPNGDTASTADGFQNILHSNNFVRHSEGKDSVSGLDSPGDATCSDEDNGCKAPSDEVNDKTAVQSEGSFPMNIGWPLSTMDSHICTAGSQETPLISSSVHRYDIFPPKWEHMLKENFFHPGK >OGLUM10G17060.1 pep chromosome:ALNU02000000:10:20465204:20465875:1 gene:OGLUM10G17060 transcript:OGLUM10G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVSDVFLSFFCCCCYPPGGHRGVGAHNDTALRRRRGGAGRSSSRPPVSLQTVELKVRMCCEGCERVVRSALANLRGVDSVEVDVAMEKVRVTGYVDRGRVLREVRRSGKKAEFWPSGGTPRRFTSEKEYFRDGEAYRGSYNYHRRGYGDGDRHGRMREPARGADAVSNMFNDDDVSAACAIM >OGLUM10G17070.1 pep chromosome:ALNU02000000:10:20466312:20473459:1 gene:OGLUM10G17070 transcript:OGLUM10G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLEVAADAGSSAAAAAANGAVDWWRDVNESPVWQDRIFHVLAALYGFVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSIVFVLRRNVQLIHPEILQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINAVIYAIQIILWMVLWWKPVRVMIILSKMFFAGVSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLIRCVMMCLNAFDKAADLDVLNHPILNFFYYLLVEILPSALVLFILRKLPPKRGITQYHPIH >OGLUM10G17080.1 pep chromosome:ALNU02000000:10:20471093:20478078:-1 gene:OGLUM10G17080 transcript:OGLUM10G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGGGGWHQAAMKAGAGKGSSSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVVKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYTSVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGAHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFEKCSDLQEQNEMGTCGNSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKNYATGHCKYCGSETHDKIKDDLCNFKLNDSQLDAVASCISASECCHNSSVGLIWGPPGTGKTTTVSVMLHMLLMKEQRILACAPTNMAVLQVASRLIELIQDFSSSHCYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKACVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMIFAKSLLDKLQQLLCADDVSDELLFTIFKPSDEPIDSFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYVKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHEIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSDYPCRHNSSQIRPNTYEAGAPELQSMNKHREFSSHPQQAPYRTFGGRGRGRPPYHGRGRGGWYERTNNHLMEEPHQVQNATCNMPATMQQGMKRNWCEAEASDSPQQVNAKIRSESADRPHYYDQHRGYGTASHQLPAIKPEDVSEQQCEMKTDSYKAEASESPNGSTRVRPESVQQPYCQAQGDSSGAASQEPVVPEQRGMSGDLCEAVCNQSNTSGSPNRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGEAAEPGQGNADNKAESAEADS >OGLUM10G17080.2 pep chromosome:ALNU02000000:10:20471093:20478078:-1 gene:OGLUM10G17080 transcript:OGLUM10G17080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGGGDWGREADPAEPSSSHAFDGGGGGWHQAAMKAGAGKGSSSSSSSGDSLWQWRSQGLSEVVLSWSVDQILNKDLLRDKVVKIPETFSSMEQYMTSFFGPLLEEVRGDMCSSMEDISKAPYTSVLSVNAMRKGKGSYEIKLDKWRGVSHGCAIEGYKPKAADLLLISETRPANQSDILKQSKSCVIVWVGKVQGNKMTVKASRRMETGAHGDERQQMGMNRYDKLYAEGFDKSWEMLDQEAVAPESSNSFMHENGRKEHSKVRKCFEKCSDLQEQNEMGTCGNSSKRWSFCATYLTNMITYDRVWVVLRRGLTMDSKIVLSMFGKKNYEQRILACAPTNMAVLQVASRLIELIQDFSSSHCYSFGDIVLFGNKDRLHIGKELSKVYLDDRVHKLLRYFKREDGWKACVDSVMKFLMNCISRYQMSLDIQQASSDGCNLTFKKYFTSKFSTLVKELATCIDTFFDHLPTDSLGRNLDRMIFAKSLLDKLQQLLCADDVSDELLFTIFKPSDEPIDSFDSHDQTDDATVDLHDHDISLDDPLEIKSLCIKTLMDLSKMRLPCEDNESSIRDLCLKRAKLVFCTASSSFELFRLQNVMPISILVIDEAAQLKECEALVPLLLPGIEHVLLIGDENQLSSLVKSKIAKDADFGRSLYERLCTMGYRKHLLEVQYRMHPGINKFPNANFYDNRISDGPSVQQEDYVKSYLPGPIYGAYSFIHIENDMEMLDELGQSSKNMVEVAVATNIVERLAKECSEKRQRTSLGVISPYTAQVIALQERLGKQFKNHEFLSVTVKSIDGFQGGEEDIILISTVRSNKNGKVGFLSDAGRINVALTRAKYCLWILGNGATLLASNSIWAELVHDSKRRGCFFNALDDKNLAEIIMHATKEGEQRNQREQRSAHNANRWSSGSSRHEIIAAGNSRPMRWSHFPGSGNTRRSNGHDSRLNVCHTKEDMHRTHFQQRKSYSGDYSQVAPPNQYWHNGNRPSRGSYGYLEVFREHPNHHSGQDSRTRSYHETMCSTPQTGNGRFPYSGSIQREKSQRQTSILGERQPLGGDGNKGFQDGTSDYPCRHNSSQIRPNTYEAGAPELQSMNKHREFSSHPQQAPYRTFGGRGRGRPPYHGRGRGGWYERTNNHLMEEPHQVQNATCNMPATMQQGMKRNWCEAEASDSPQQVNAKIRSESADRPHYYDQHRGYGTASHQLPAIKPEDVSEQQCEMKTDSYKAEASESPNGSTRVRPESVQQPYCQAQGDSSGAASQEPVVPEQRGMSGDLCEAVCNQSNTSGSPNRGSTEVTLEGAEQPHCQAQPDGSGVASNEAPVPEQRWTEGDLGEAAEPGQGNADNKAESAEADS >OGLUM10G17090.1 pep chromosome:ALNU02000000:10:20485339:20486022:1 gene:OGLUM10G17090 transcript:OGLUM10G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSGIVGLGRTPWSLVTQTGVAAFSYCLAPHDAGKNSALFLGSSAKLAGAGKAASTPFVNISGNGNDLSNYYKVQLEGLKAGDAMIPLPPSGSTVLLDTFSPISFLVDGAYQAVKKAVTAAVGAPPMATPVEPFDLCFPKSGASGAAPDLVFTFRGGAAMTVPATNYLLDYKNGTVCLAMLSSARLNSTTELSLLGSLQQENIHFLFDLDKETLSFEPADCTKLS >OGLUM10G17100.1 pep chromosome:ALNU02000000:10:20499401:20500084:1 gene:OGLUM10G17100 transcript:OGLUM10G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSGFIGLGRTPWSLVAQMKLTRFSYCLAPHDTGKNSRLFLGASAKLAGGGAWTPFVKTSPNDGMSQYYPIELEEIKAGDATITMPQGRNTVLVQTAVVRVSLLVDSVYQEFKKAVMASVGAAPTATPVGAPFEVCFPKAGVKGAPDLVFTFQAGAALTVPPANYLFDVGNDTVCLSVMSIALLNITELDGLNILGSFQQENVHLLFDLDKDMLSFEPADCSSLS >OGLUM10G17110.1 pep chromosome:ALNU02000000:10:20521212:20522453:1 gene:OGLUM10G17110 transcript:OGLUM10G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLVTTLLVLCLIPPTMCSLAAAHDDLRRGLEQATRGRLLADATPAGGAAVVPIRWSPPYYVANFTIGTPPQPASAIVDVAGELVWTQCSACRRCFKQDLPVFVPNASSTFKPEPCGTAVCESIPTRSCSGDVCSYKGPPTQLGGNTSGFAATDTFAIGTATARLAFGCVVASDIDTMDGPSGFIGLGRTPWSLVAQMKLTRFSYCLSPRNTRKSSRLFLGSSAKLAGSESTSTAPFIKTSPDDDGSNYYLLSLDAIRAGNTTIATAQSGGILVMHTVSPFSLLVDSAYKAFKKAVTEAVGGAAAPPMATPPQPFDLCFKKAAGFSRATAPDLVFTFQGAAKLTVPPAKYLIDVGEEKDTACAAILSMAWLNRTGLEGVSVLGSLQQEDVHFLYDLKKETLSFEPTDCSSLP >OGLUM10G17120.1 pep chromosome:ALNU02000000:10:20533631:20534599:1 gene:OGLUM10G17120 transcript:OGLUM10G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRCFKQDLPLFVPNASSTFRPEPCGTDACKSIPTSNCSSNMCTYEGTINSKLGGHTLGIVATDTFAIGTATASLGFGCVVASGIDTMGGPSGLIGLGRAPSSLVSQMNITKFSYCLTPHDSGKNSRLLLGSSAKLAGGGNSTTTPFVKTSPGDDMSQYYPIQLDGIKAGDAAIALPPSGNTVLVQTLAPMSFLVDSAYQALKKEVTKAVGAAPTATPLQPFDLCFPKAGLSNASAPDLVFTFQQGAAALTVPPPKYLIDVGEEKGTVCMAILSTSWLNTTALDENLNILGSLQQENTHFLLDLEKKTLSFEPADCSSLIS >OGLUM10G17130.1 pep chromosome:ALNU02000000:10:20548750:20549928:1 gene:OGLUM10G17130 transcript:OGLUM10G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSTLLLMCLIPLTRAHELRRGLELADDATTALPGGVTVPVHFSQAFYVVNLTIGTPPQPVSAIIDIGGELVWTQCAQHCRRCFKQDLPLFDTNASSTFRPEPCGAAVCESIPTRSCAGDGGGACGYEASTSFGRTVGRIGTDAVAIGTAATARLAFGCAVASEMDTMTNLSLAAQMNAAAFSYCLAPLDTGKSSALFLGASAKLAGAGKGAGTTPFVKTSTPPNSGLSRSYLLRLEAIRAGNATIAMPQSGNTIMVSTATPVTALVDSVYRDLRKANYDLCFPKASASGGAPDLVLAFQGGAEMTVPVSSYLFDAGNDTACVAILGSPALGGVSILGSLQQVNIHLLFDLDKETLSFEPADCSALS >OGLUM10G17140.1 pep chromosome:ALNU02000000:10:20581520:20596753:1 gene:OGLUM10G17140 transcript:OGLUM10G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLAPPMLLLVVCLISVTTCAAAHGLRRGLEQGMRGGRILADATAAPPGGAVVPLHWSGARYVANFTIGTPPQPVSGIVDLSGELIWTQCATCSGCFKQDMPLFDPTASNTYRAEPCGSPLCKSIPTRNCSGDGECGYEAPSMFGDTFGIASTDAIAIGNAEGRLAFGCVVASDGSIDGAMDGPSGFVGLGTTPWSLVGQSNVTAFSYCLAPHGPGKKSALFLGASAKLAGAGKSNPPTPLLGQHASNTSDDGSDPYYTVQLEGIKAGDVAVAAASSGGGAITNAAVSGVPDLVFTFQGGATLTAPPSKYLLGDGNGNGTVCLSILSSTRLDSADDGVSILGSLLQENQHKDMFDKDTLHSETPMHWCMDSQTKNFHKSRITLPYSRASKDSDLISAVLDNQNPLNHGQTKASLSTIIVARGSTFVARRNFPFKKTRKKNMLVATLVLVMCSAACSLARAHGGGLRRGVERANMRGRLLADAAAAGGGGGVVPIYWSQPLYMANLTIGTPPQPASAIIHLAGEFVWTQCSPCRRCFKQDLPLFNRSASSTYRPEPCGTALCESVPASTCSGDGVCSYEVETMFGDTSGIGGTDTFAIGTATASLAFGCAMDSNIKQLLGASGVVGLGRTPWSLVGQMNATAFSYCLAPHGAAGKKSALLLGASAKLAGGKSAATTPLVNTSDDSSDYMIHLEGIKFGDVIIAPPPNGSVVLVDTIFGGSFLVDAAFQAIKKAVTVAVGAAPMATPTKPFDLCFPKAAAAAGANSSLPLPDVVLTFQGAAALTVPPSKYMYDAGNGTVCLAMMSSAMLNLTTELSILGRLHQEDIHFLFDLEKETLSFEPADCSSLS >OGLUM10G17150.1 pep chromosome:ALNU02000000:10:20599227:20601066:1 gene:OGLUM10G17150 transcript:OGLUM10G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPVATLFVLCFISVTACSLSEQATRGRLLAGVDATPPAAGGAVAVPIYLSSQGLYVANFTIGTPPQPVSAVVDLTGELVWTQRTPCQPCFEQDLPLFDPTKSSTFRGLPCGSHLCESIPESSRNCTSDVCIYEAPTKAGDTGGMAGTDTFAIGAAKETLGFGCVVMTDKRLKTIGGPSGIVGLGRTPWSLVTQMNVTAFSYCLAGKSSGALFLGATAKQLAGGKNSSTPFVIKTSASSSDNGSNPYYMVKLAGIKAGGAPLQAASSSGSTVLLDTVSRASYLADGAYKALKKALTAAVGVQPVASPPKPYDLCFPKAVAGDAPELVFTFDGGAALTVPPANYLLASGNGTVCLTIGSSASLNLTGDFVKIFNHAILNG >OGLUM10G17160.1 pep chromosome:ALNU02000000:10:20604139:20605194:-1 gene:OGLUM10G17160 transcript:OGLUM10G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSLPHLLLAASFHPHPISSSSSSSAASSSCVPLLHRVSWADAGGESGVGRAWAWPPSLASTCGLARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRATVPARGISVDFVWAPFESNLTRLLHEDLRLAPRTPDVLVLGSGLWHMLHVTDAARYGDALASVVDAAKSLRSPLPVPPPHMFWLGLPLLVNHMLNTDAKKVHMNDTMLQAYDLEVEQRGLLQRDGGPFLLLDVGKLSRGCGQQCTADGMHYDGDVYDAVLHIMLNALVIESQQRI >OGLUM10G17170.1 pep chromosome:ALNU02000000:10:20606984:20607295:-1 gene:OGLUM10G17170 transcript:OGLUM10G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0B3C1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OGLUM10G17180.1 pep chromosome:ALNU02000000:10:20614586:20619512:1 gene:OGLUM10G17180 transcript:OGLUM10G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPLINVSIIRDRQILAVDASSPLPHEALMTWRDQPPSPPPAGGIKRGSPATSVSSPLGRQGIRLRSLCRSPRLSLPPLLLRSRLKRLQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWADTQSGIYEKVLDGRIDFKSNRWPRISDSAKDLIKKMLCPYPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >OGLUM10G17180.2 pep chromosome:ALNU02000000:10:20613961:20619512:1 gene:OGLUM10G17180 transcript:OGLUM10G17180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWADTQSGIYEKVLDGRIDFKSNRWPRISDSAKDLIKKMLCPYPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >OGLUM10G17180.3 pep chromosome:ALNU02000000:10:20615341:20619512:1 gene:OGLUM10G17180 transcript:OGLUM10G17180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIFVGMGNSCQNGTYGNNYQNSNRFQNDRFASRYVDGNDTEDCYSGSSRASLAGALRQGLNLKSPVLGYKTPNVRELYTLGRELGQGQFGKTYLCTEISTGCQYACKTILKSNLRCVSDIEDVRREIQIMHHLSGQKNIVTIKDAYEDEQAVHIVMELCAGGELFSKIQKRGHYSERKAAELIKIIVGIIETCHSHGVMHRDLKPENFLLLDADDEFSVKAIDFGLSVFFRPGQVFREVVGSPYYIAPEVLEKRYGPEADIWTAGVILYVLLTGVPPFWADTQSGIYEKVLDGRIDFKSNRWPRISDSAKDLIKKMLCPYPSERLKAHEVLKHPWICDNGVATDRALDPSVLPRLKQFSAMNRLKKLSLQIIAERLSEEEIVGLREMFKAMDTKNRSVVTFGELKGLKRYSSVFKDTEINDLMEAADDTTSTINWEEFIAAAVSLNKIEREKHLMAAFTYFDKDGSGFITVDKLQKACMERNMEDTFLEEMILEVDQNNDGQIDYAEFVTMMQSNNFGLGWQTVESSLNVALREAPQVY >OGLUM10G17180.4 pep chromosome:ALNU02000000:10:20614586:20615455:1 gene:OGLUM10G17180 transcript:OGLUM10G17180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQPLINVSIIRDRQILAVDASSPLPHEALMTWRDQPPSPPPAGGIKRGSPATSVSSPLGRQGIRLRSLCRSPRLSLPPLLLRSRLKRLQTFPDPCEVL >OGLUM10G17190.1 pep chromosome:ALNU02000000:10:20624137:20626602:1 gene:OGLUM10G17190 transcript:OGLUM10G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAMASSGLDLGEYLDRPDAIHRRAASVAIVRSGGGDGPRIVDGGRDDRRARSSRRLSLSSWRRPASRTPAGGVTSAAAEVGGKTRAAIWEWKPVRALSRIGKRRCGCLLSVEVAGVRGVPASMDGLRLAVTVRKAETRDGAVQTMPVTVRGGCADFDETLFVRCNIYFAGGAGTGKPLKLEPRRFVVSVVPAEAPGVRLGAHAVDVSSLVLDSLQKSSEGRRVRWFDTAVALSGKATGGELLLKLGFQLMDDAGLCLYTQAATEKVDVVDDVSPARARAHNKNSFSVARTSGPKLSASDAAISPSMRAYKQLIDRLSVDEHGDPVTSLIPRKLADDELSGYGLPEYEVVDKGVETVKEVVHYHAHRDVLKELDSIAEQIEAIEALMTNGGKKSPSPKKVDQQQCLDADEEMVTVEFLRKLEVVDDKGRKLKQPMTPRSESEKKAAAAPPVVPDLGPGLGTAVQTRDGGFLVSTNPFDLPLEKGDAPPKLAMQVSRPFVLPSSMAATGFDVLQKMAAAGGADEVRDKVARLGGMDNLTGKTPEQVGFEGIAEAVIGGRRTEGASSSAARSVRLVRKLAAAVSDGRSERVATGIWTAADDPETLEEVIAFSLQKLEAMAVDALMIQAEMADEDAPFEVAPAAGDATTVFDALVSPDEWSESRGSDGRVTVVAAIQLRDPSRRYEAVGAPMVAVVQSARLLGAAGNSGGRFKVRSLHVGGVQLRCPVGGGAGGGRASWGAERQKLTAMQWALAHGPARAAGRRARTPTTTTTPSSQARQRPDVVWSLSSRVLAGMWLKTVRNPDVRVGATAAGGGGGGGGN >OGLUM10G17200.1 pep chromosome:ALNU02000000:10:20626623:20628995:1 gene:OGLUM10G17200 transcript:OGLUM10G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYTICSDPSSTVFTLIQRAVSFVFAPGNAIAKGHDRLQEATIGEEQSRNKSGSQSTDNSSLVSSWRSQQMPS >OGLUM10G17210.1 pep chromosome:ALNU02000000:10:20635574:20640817:1 gene:OGLUM10G17210 transcript:OGLUM10G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLIGATIITTFSGAVADSFGRRPMLIASAVLYFVSGLVMLWAPNVYVLLLARLIDGFGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLMPQPDWRIMLGVLSIPSLIYFALTIFYLPESPRWLVSKGRMAEAKRVLQGLRGREDVSGEMALLVEGLGVGKDTKIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVHGQSALGSALGLISRHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKGDWDAESQREGEDYGSDHGGDDIEDSLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSSLMQGGEAVSSMGIGGGWQLAWKWTEREGADGEKEGGFQRIYLHEEGVTSDRRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELMEQRLAGPAMVHPSQAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVGVLLANIGLSSSSASILISGLTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALAILILVNILDVGTMVHASLSTVSVILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILAFLFVFMKVPETKGMPLEVITEFFSVGAKQAKED >OGLUM10G17220.1 pep chromosome:ALNU02000000:10:20643258:20644025:-1 gene:OGLUM10G17220 transcript:OGLUM10G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPPTPTRRLLQSVGGGSNPNRIPGIPPADPPSGGVSSDVVVILAALLCALICVVGLAAVARCARNRRNSGGAGSASPSHSPAHAGHFGGGGSGGGGVTTTTTTTTTAATTAAKGLKKKALKALPKLAYADAVAAAAAARGTVVGEEEEGKVEVLAECAICLSEFGEREEVRVMPQCGHGFHVACVDTWLRSNSSCPSCRRPIVLDDPSPPKRCRKCEAIVLEAVVAASSSSSSAATATGSGGGGGGGGGRFLP >OGLUM10G17230.1 pep chromosome:ALNU02000000:10:20651216:20654987:1 gene:OGLUM10G17230 transcript:OGLUM10G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTPHTSRRLPPRVPLPSHYKRRRSRMAEDANAGRKSSTHSAQSPELRGAASLESQERSDREIHEDASVHQQAIDEPQPLYNIPITRGEGTLITELRKVTEELRVSYYADTDEETREQLKQFWDDEFNAISSGETTIERDLKWMRKEVIEAFETYSEANVVDYELEDLSCQCLIFDDCGQPYHHYNFTMKSKRPDSDLESSHCHGCRNSGIDLKHPSNGGYEEGNADSGFSXEVEVVVMAAAWGRLGRLMMTAKLSVLPKLSGRTEGEGRGHLVSSVAASWWRVLYFLPLHPLRVHVFCISKVFLIFFLFSLQIQYRHRRSQHVYTHLYTHTCVDW >OGLUM10G17240.1 pep chromosome:ALNU02000000:10:20656223:20658156:1 gene:OGLUM10G17240 transcript:OGLUM10G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLPPSPVISAGAGSVFEMVEAFGVSHYDLPVRDLRDVLDGIMAVTTDPEVLYDHKPCSWACVQQTEGDGQPLAVVAELLFLHFLLQIVVTCFFHKTGTR >OGLUM10G17250.1 pep chromosome:ALNU02000000:10:20657476:20659545:-1 gene:OGLUM10G17250 transcript:OGLUM10G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G25060) TAIR;Acc:AT3G25060] MTNPSFRLPVFLDDPRRLRRLLSSSAAAAASRTLARLHALLIVSSSATHTLISSLAAAYARAGDLAAAESTLTATAAAPSSIAAWNAIIAAHSRRGSPASALRVFRALPPAARPDSTTFTLALSACARLGDLRGGESVRDRAFDAGYRDDVFVCSSLLHLYARWGAMGDAVKVFDRMPRRDRVTWSTMVAGFVSAGQPLDAIQMYRRMREDGVKGDEVVMIGVIQACTAARNVRMGASVHGHLLRHGMRMDVVTATSLVDMYAKNGLLDVACRVFGLMVNRNDVSWSAMISGFAQNGQSDEALRLFRNMQASGIQPDSGALVSALLACSNIGFLKLGRSVHGFILRRFEFSCILGTAAIDMYSKCGSLASAQMLFNMISDRDLILWNAMIACCGAHGRGQDALTLFQEMNETGMRPDHATFASLLSALSHSGLVEEGKLWFDRMVNDFKITPAEKHYVCLVDLLARSGLVEEAGDLLTSMKAEPTVAIWVALLSGCLNNKKLELGESIADNILELQPDDVGFLALVSNLYAATKKWDKVRQVRKLMKDSGSKKMPGCSSIEICGTRHAFVMEDQSHPQREEIVSKVAKLDLEMRKMGYIPRTEFVYHDLEEEVKEQQLSYHSERLAIAFGLLNTGPGTRLVIIKNLRVCGDCHDAIKYISKIADREIVVRDAKRFHHFKDGACSCRDYW >OGLUM10G17260.1 pep chromosome:ALNU02000000:10:20669791:20674201:-1 gene:OGLUM10G17260 transcript:OGLUM10G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BD88] MSGSGGGGGGGAGGDGARALDQTPTWAVAAVCAVIVAASILLEGLLHHLGQWFSKRRKKALFDALEKVKSELMTLGFISLLLSVTGRYISRICIPVGAADTMLPCSLRRSSSEQEVPGGGHGRRHLSGDPTNFKCAKGMVSLVSADGLHQLHIFVFFLAVFHVAFSAITMSLGRAKTRIWKEWEKETCSLTYEFSYDPSKFRLTHQTSFVRQHASCWSKSTILLYFVSFFRQFFRSVRRTDYLTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISAPLWASALAIMLFNVHGWHNLFWFSTIPLVVTLAVGTKLQAIIAMMAVEIKERHTVIQGMPVVKLSDEHFWFGKPRLVLHLIHFASFQYEFGLRSCFHDNFELIIARVCLGVVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAAVVKKKQQKGSSHEPGSETPGTETTTTTTATEESQRERDAAAVPVRHLHRYKTIAHVGATGTLSDSDCSDTDTPFASPTRLLIPPTKQRSLDAGRAEVRVDVDVDSTPTPTPPERHDSFSFPRLPAHNLQQK >OGLUM10G17270.1 pep chromosome:ALNU02000000:10:20683828:20685646:1 gene:OGLUM10G17270 transcript:OGLUM10G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGILHPSNGGYEEGNADSGFSFDDEFNAISEFSFDDEFNAISEETSKEKGIEWMREEVIEAFETYSDANVIVMDAGILVLI >OGLUM10G17280.1 pep chromosome:ALNU02000000:10:20688386:20693257:1 gene:OGLUM10G17280 transcript:OGLUM10G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVEPETPPGSSSGGSTPVGGKPPRHHLTSIRHCASSARIAAATAEFDLGAGTLSLISPTDIRPGFLPVFRSGSCADIGTKSYMEDEHVCVDNLIEHLGVRTPVIPAPGAFYGVFDGHGGTDAACFVRKNLLRFIIEDGHFPSSIEKAIRSAFVRADHAIADSHSLDRNSGTTALTALIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPSCRSEKIRIENLGGTVFDGYLNGQLSVARAIGDWHVKGSKGSISPLTAEPEFQEVRLTEEDEFLIIGCDGLWDVMTSQCAVTMVRKELMTHNDPERCSQELVQEALRRNSCDNLTVVVVCFSSDPPPQIEVPRFRVRRSISMEGLHMLKGALDSNA >OGLUM10G17290.1 pep chromosome:ALNU02000000:10:20695049:20699174:-1 gene:OGLUM10G17290 transcript:OGLUM10G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRGTMVRRERIEGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQHKATPKRVLQLMGVGGLTISHVKSHLQAFSRWMIRSKHLQEACKFGQICSCRIIIMSAMDPIADATPHQNMQRAHCCCYTTTSSSNSCKGQMRWRRDEQRRAPKQAFSEAKEYVRGTCPPASLSQLPTTRPWRTAHHLPPQTEHKATPPPENGAIRHGRKARRTTAAAEEEERDGDGDELSLSLTLDSGLSCRSSAGAGAYCCSEGSSSNWLISSPSSTTSPVAGGCSRRSTPAMLSSVVSLDLSL >OGLUM10G17300.1 pep chromosome:ALNU02000000:10:20725551:20725796:1 gene:OGLUM10G17300 transcript:OGLUM10G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin-related protein 3 [Source:Projected from Arabidopsis thaliana (AT1G11980) TAIR;Acc:AT1G11980] MSMITVKVKTLTGKEVEVSIEATETVARIKEQVEAAEGIPPPQQTLIYGGRQLADDMTAEMCDLRHGSELHLVLALRGGLL >OGLUM10G17310.1 pep chromosome:ALNU02000000:10:20729019:20752446:1 gene:OGLUM10G17310 transcript:OGLUM10G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVCRLFACVSRLRCRRWWCNLVLIHNHISKLGNRGDSIGFWEPRFSGCCRRPLARRGPVAEEARKVKCRRLLAAVVGPSPAHRLCAPAVAADARGRRCGDAPPSLARRRCAPGTPPSADEERGEERTSGVMQRSSVMRVNRDGWQGKRRGCGRGLGPSCPRPSSAPVREHGKKGNFVSFKHKEATSSLLFVKIKEEARTWTMAGAKHLRDLLLLHELCFSLKQGE >OGLUM10G17320.1 pep chromosome:ALNU02000000:10:20730865:20734255:-1 gene:OGLUM10G17320 transcript:OGLUM10G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BD94] MKVQTSSPCLLLLLGSLALVTLTLCGPAASARPETGSLDASATAAMELQELDRVMSLPGQPAYSPEFRQYSGYVTTDEYLGKALFYWFLEATDKPDEKPLVLWLNGGPGCSSIGFGQAQELGPFLVKKDVAELELNPYAWNQAYGSYTFLIRWFQRFPQHKMKEFYIAGESYAGHYVPQLANVIVDQNKIAPKENYINLKGIMIGNAYMDGDTDLLGIVDSAWHHALISDKLYSDFQKFCNFSLVDLSKECNAAIDQFNALYSIIDIYSLYTPRCELGYPNFNSSFAAQIGRTSSRIPMGYDPCSQTYATEYFNRKDVQKALHANIPGAYSLCHNSINRAWNDSDMTVLPIVKKLTQSGLRIWIYSGDTDARIPTTSTRYTLKKLGLPIKEDWSPWFHHKQITH >OGLUM10G17330.1 pep chromosome:ALNU02000000:10:20752871:20754226:1 gene:OGLUM10G17330 transcript:OGLUM10G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAWTNLPGEIMELIADKAGDALTGRALSRSVCRSWRAAVPETPRLLLPAAAARGAGDEYALVFPLSRGWSVVVDVRDTSCRLSHLATGATAPLPRLNAVRATAGSRVVHLRFHLARGDDWHRPMRRRWEVRMNPAWKRSFRLPDTEHAGTGDEQFRIKIKFLWYSMFLESDLQFSHLLRFAVHVPAAASTDGMLIMMYHPVQGDTGMVFCRPGDAAWTKLDNPIDDDKHVYNLVEFAYLDGKVFAMDRGGTTAVIDAATLEVLDLVDAPPGTRNVSNKLLGTANGDDTVMSLDYLHLVALPSKLLVVRVRINKSSSEPEGFDVFELGRQDHRDGEGKLAWREVAGDDVGGNYDLFLDDHHATFGGGGGGDSGSRIYYVHDGKEAYCYSKRHGELECVYSSPEGSEEQCSTMPSWFVP >OGLUM10G17340.1 pep chromosome:ALNU02000000:10:20756174:20756859:1 gene:OGLUM10G17340 transcript:OGLUM10G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDDKCGCAVPCPGGTGCRYGDLVALGILATVKPTEQFKCASSARSGGGDHTTCSCGDHCGCNPCRCGRESQPTGRENRRAGCSCGDSCTCASCGSTTTTAPAATT >OGLUM10G17350.1 pep chromosome:ALNU02000000:10:20756687:20762047:-1 gene:OGLUM10G17350 transcript:OGLUM10G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGEAGGGDGGESSPAAAAAAAVAGAAALHIRCANGSKFTVRADLDATVGAFKEVVAGSCDVPAAQQRLIYKGRILKDEQTLESYGVETDHTIHMVRGAGPPAGSAAPAAASPQASAAPSSGPTDGLGSLFPGLGGTGTAGTRPSGLFGSGFPELDQMQQQLSQNPNLMREIMNMPMMQNLMNNPDLIRNMIMNNPQMRDIIDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTAPNPFSALLGNQGSNQPRDPATNAPNTGSESTTGTPAPNTNPLPNPWSSNAGGAQGATRAGSTGNARTGATGGLGGLGSADLSSLFGGLAGNTGTGATGGLGGLGSADLGSLLGGSPDSSSLSQILQNPVMMQMMQNIMSDPQSMNQLLNFNPNTRNLMESNTQLREMFQNPEFIRQLTSPETMQQLLSFQQTLLSQLGQNQPRQDGSQGGNATGMRGNVSLDTLMGMLSGLGAGGGIGVPNTSNVPPEELYATQLTQLREMGFIDTAENIQALVATAGNVNAAVELAKAYQTLPITSPTPLFCKRFFSPSKFKRSVCLQSQEKIKQRNPTPTINFSIHGRHAFVFTVLYLTIWVDVHTYEIIGSYRIEYGSTFAIVGSQSSRLHTVSQLRTLHPSHITMSVACVMRESSQN >OGLUM10G17360.1 pep chromosome:ALNU02000000:10:20767122:20769753:1 gene:OGLUM10G17360 transcript:OGLUM10G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRCCSSMASASVVLFFVVVGMSASMVSGCDRCVRRSKAGFRDSSIALNAGSCGYGSLAASFNGGHLAAASPALFRGGVGCGACFQVRCKDGKLCSTAGAKVVVTDEARSTNRTDLVLTAAAYAAMARPGMAAQLRTRRAVDVEYKRVPCEYAAGRNLSIRVEEKSRPPRELSIRFLYQGGQTDIVAVDVATVGSSNWKFMTRDYGPAWSTAQAPAGPLQFRVVVTGGYDGKWVWADGEVLPRRWTAGRVYDAGVQIADVAQEGCYPCDTQEWK >OGLUM10G17370.1 pep chromosome:ALNU02000000:10:20782576:20783778:1 gene:OGLUM10G17370 transcript:OGLUM10G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVTDLLRRRRTVSFEDYCNEEEEERKAAMARVRGLEECVAAAESGCEEVYRALVNARRLPY >OGLUM10G17380.1 pep chromosome:ALNU02000000:10:20783885:20808971:1 gene:OGLUM10G17380 transcript:OGLUM10G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSLNNSARTCERNIREIGCNGTRVVGFTRPSSQATPSQKILIPDQPTIPSRPKVWWRRHGEFIHSYQQVPTIGTKSPINHQEKDGQNSARRCVVFAVVTIVADVLRVANDWLESNVFHCTSSAHAPTRAGSASRPFIKLHLPPPHPHPVTPPPPPPQQHHHRTHKKREASRSMAVGFRRTLSTLTSPKAVAPSFLLDCARPKKLSYARVRSTSLPVRLHPLVAGLHDAARSLLKWTDAPAQTGPAWVADGADRAGKVLAGLADLLHHPQAQDALRRPWTEQLLDDLLLLADLHGCFRESLNNLPRDVRTWNN >OGLUM10G17390.1 pep chromosome:ALNU02000000:10:20809674:20815045:1 gene:OGLUM10G17390 transcript:OGLUM10G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNQTKTKPVEPGGGRAREKEQVTKPASSSPLSSPTRTSAQTQPTHHHPRTRRGRSEYFSKLLSSHCMGCCGSSLRVGSHAPEKPPRRARPPPPPHHPRRPSFTLNAHQAAASSSAASAAPAPAFAEFSLAELREATGGFAAANIVSESGEKAPNLVYRGRLQGAGGGGRAIAVKKFGKLAWPDPKQFAEEARGVGKLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENKAIEWAMRLRVAYNIAEALEYCSNEERPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVVFSFGTILIDLLSGKRIPPTHALDMIRSRSIQAIMETNLEGKYSIEEATTLVDLASKCLQYEPRDRPDIKKLVSILQPLQTKSEVPSYVMLGVPKPEEVPKAPPAPQHPLSPMGEACSRMDLTAIHQILVSTHYRDDEGTNELSFQEWTQQMRDMLDARKRGDFAFRDKNFKQAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDSLDMLNEASQLEEKRQKSIKGP >OGLUM10G17400.1 pep chromosome:ALNU02000000:10:20815814:20817911:1 gene:OGLUM10G17400 transcript:OGLUM10G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTRFVQIAACAAAALLAVAASGAAAQGVGSVITQAVFNSMLPNRDNSQCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGQSNYQAAGNALGLDLVGNPDLVSTDAVVSFKTAIWFWMTAQGNKPSCHDVILGRWTPSAADTAAGRVPGYGVITNIINGGIECGVGQNDANVDRIGYYKRYCDMLGAGYGSNLDCYNQRNFAS >OGLUM10G17410.1 pep chromosome:ALNU02000000:10:20818666:20826990:1 gene:OGLUM10G17410 transcript:OGLUM10G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQVKVVARSNSFSIYSLCVRVRSPAHQSWATQRELDSVKAQREDLVKAQVTNLRVLFPSRGEGKDPFMMAGCRRMAESAARIATNHALAMVKSHYPWVDMAAVEEGYAADYSEEDVGWRLEEVAYAAAALNMWGNVWQRLKLVNTLAFKFAVSPADALMLQVRKALPPKCLGISPGFEMCARHGGRRLQVSCVAIQRETRTVKPMKPRSSVNS >OGLUM10G17420.1 pep chromosome:ALNU02000000:10:20841038:20844136:1 gene:OGLUM10G17420 transcript:OGLUM10G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRRRFVQLAACAVAVLLAVAASGAAAQGVGSVITEAVFNSMLPNRDNSLCPARGFYTYDAFIAAANSFPAFGTSGGSAELIRRELAAFFGQTSHETTGGTRGSSDQFQWGYCFKEEINKATSPPYYGRGPIQLTGFFIINTYMFLNFATSLAVYYPYSINYESHAIELPSRRERAGAGPGRRPDLVSTNAVVSFKTAIWFWMTGQYNKPSSHDVILGRWTPSAADTAAGRVPEYGVITNIINGRFECDVGQNDANVDRIGYYKRYCDMLGADPGSKPRLLQPARLR >OGLUM10G17430.1 pep chromosome:ALNU02000000:10:20845654:20848359:1 gene:OGLUM10G17430 transcript:OGLUM10G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSNRITLSLPKISRAWTSEQQLAEITANKPSARIDRRACAARHGVVVVVAARRREGGAGGARRRRAVQPRGVLAGADAARVLVRRSRVGAAARAPRAGGERARRGGAAPGAGGPGLRRRRRVAVHRLRRRVGAQGERLVRGRRGLGAHRRPPPRRRPHRRRRPRRRRRRHRATEGEPGQGGGAADRRGGGRQVRPDRRRRRRRRRHRLLHRRVAQAQPRGVHGGRARGAPPRAADELRPVDAADHRARPRPLLRQRRRRLAGPGLPRLLRDRHEEVLEIPHQRRQGRHRRQVHRRPAGLPRQHPLRRRGPLLDRHLRREDAAVGRADEVAVREEAGVHGGQVRRGGAPQPEERRRHERDARRRARVDVQRPRTRPHHRLAQGRRLPLLRLADQTVPQQDRPRQIAS >OGLUM10G17450.1 pep chromosome:ALNU02000000:10:20855652:20858240:1 gene:OGLUM10G17450 transcript:OGLUM10G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWQHHCARSSRVVPESGKPGVTSRPSRFDYISSSASSSSTIAAIVSPSSSLARLRAPLVHDALLCVHDHSTAPHALLVVQLSRHQLPDFGYIDHGSLGSFALATSTTAQRTIIRIEHSCRFFSPVQVSTLLTLGLRGDVRIYGVLEDGGLVLGEAELLAVSVAGVLVVAAANSGGSGRWHTTLAAEAIAPLLSAAAAAPPLPAKSAALSSSSSHLMATSRKPYDAMVPHVAAPNRPMDRPESAAASTSEESESASASHRAIWLDSVSISVRIPIPPRVATAAAATGFLAKPPPPLAPPVMSSSSSSSSQGGGRRRTMSRALIEQHRPWDVVDNMALIIIDQTYAAALGIPGRREMGDGSVEVSSAVDADDPDSPVLNINASATRCCVAFDTPRRDAVPYKKFTSPKRKTRPDHRLARTIVSVSPATLYLSHRSDCAGGDSDSKDYWSCAEVAPDVAAGGALAILDTIMLRLEAAIHLEENILVNAMEFNCGTSSVLEVVAETRNALEEMRREMDLPAMMQRRLHKRRHVVVGDAAAAAAAAADHDDESAEKVFKKFRTMRCR >OGLUM10G17460.1 pep chromosome:ALNU02000000:10:20860356:20861472:-1 gene:OGLUM10G17460 transcript:OGLUM10G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAVKVFGSPSSAEVARVLACLFEKDVEFQLIRVDSFRGSKRMPQYLKLQPHGEALTFEDGNVTLVESRKIIRHIADKYKNQGNPDLIGMGALERSSIEQWLQTEAQSFDVPSADVVYSLAYLPAATTQPNKGAAAADGGRCEEEKNDDGGRDRQYSSQRQGGAGAGGGRDGQMAAAHRQKVEEMKQLFEKSSKELSKVLDIYEQRLEEAEYLAGDKFTLADLSHLPNADRLAADPRTLRMLQSRRNVSRWWADVSGRESWKQVKSLNRPPSAEAPF >OGLUM10G17470.1 pep chromosome:ALNU02000000:10:20873581:20875636:-1 gene:OGLUM10G17470 transcript:OGLUM10G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMDDDGSSSLLEELMAPLRRGTPTTTPEDLWLQAYPMMMSPMCGDGVMLGDLLVGGGNARNTLASPPPPSFPLPVPLTTTTPCPPLHEVSFEFDSIDCLGEVCNPYKRSGGAVRATAAAQVMVAAMDPRREAASSAVAVAAVEEEERCKARRGAGGGGDSGELAPMFVFGGGGGGGAAASVRPRSCRPPQPGAPSKNLMAERRRRKRLNDRLSMLRSVVPRISKMDRTSILGDTIGYVKELMDRIKNLQVEAATGDSSSSSTENLSMLKLNTLKPPPRENGSTRIEMACAAIPELLPSTLAALEALGVEIEQCVISCFDDFAMQASCLQDDKKREMTRDTEEIKQTLFRSAGYGDGCLI >OGLUM10G17480.1 pep chromosome:ALNU02000000:10:20882095:20885381:1 gene:OGLUM10G17480 transcript:OGLUM10G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPWKHPTTARHGAADLCLREVGLLLPRRFARRAAASEDLVMRLQVHRKLNSHTGCVNTVGFNADGDTLISGSDDQMVMLWDWDTGAIKLQFHSGHSNNVFQARFMPYTNDQTIVTCAADGEVRLAKIGDGGDVPTTLLGDHDGRAHKLAIEPGSPYIFYSCGEDGLVQHFDLRTNTATKLFQCRNSLSKSGHSSNIHLNAITIDPRNPNLLAVGGSNCYTRVYDIRKYKWDGSSDYPSDCYCPPHLIGNRFVGITGLAFSHQSELLISYNNENIYLFPKNGGLGPDPKSSVKIEANKGSKSTIVSSGEDVDRPAPLMYAGHRNCETVKGVTFIGPNHEYVASGSDCGRLFIWRKKDGKFLRAMEGDECVVNCIEPHPHTMTIASSGIDNDVKIWTPTATERAPVVNIEELKPRKRRTKLWHFSLPEELIFHVLASRRRRQAAGDDDSSEDLEDSTGLLNLVLRAAERDVLSDEDEESSEDSGDCSLN >OGLUM10G17490.1 pep chromosome:ALNU02000000:10:20900054:20904971:1 gene:OGLUM10G17490 transcript:OGLUM10G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASPSSSSSSPVAPEVVQWAGGADGFGSAAIGFACRVLCAVATCVFAAVGSVVGAVTGSAIGLATESGMLRGAGIGAISGAVFSIEVAESSRDLWHSSDSAVWCLVYMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGTKGLPADTLRRLPAIKITGDNAVDSAGEPICCSVCLQSQKWKTGPEFSCDEAADNARHGAVVHERVYNDLTMVLKNHPLDESTET >OGLUM10G17500.1 pep chromosome:ALNU02000000:10:20910047:20913360:1 gene:OGLUM10G17500 transcript:OGLUM10G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMEKKPRLSQATGAASSREATATTRAPRRKRQAQHRCMSSSSRGDGAAGSRTESACGGNFPAKSRKKKGGTPEASDAAAAAPARTLGRSVGSATAALPFSRGGRGVGFSFLLECRED >OGLUM10G17510.1 pep chromosome:ALNU02000000:10:20920681:20925644:1 gene:OGLUM10G17510 transcript:OGLUM10G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAMEYLRSCWGPASSPAGRPRKGSDAAGRQDGLLWYKDAGQLVAGEFSMAVVQANNLLEDHSQVESGPLSTTDPNLQGTLVGVYDGHGGPETARYINDHLFNHLRGFASEHKCMSADVIRKAFRATEEGFFSVVSSQWSMRPQLAAVGSCCLVGVICAGNLYIANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQAAHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHSKFRLQETFRRPLLSSEPAIVVHQLQTTDQFIIFASDGLWEHISNQEAVDLVQHNPRNGIARRLVKAAMQQAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFFDSNAITTANWSRPSVSLRGGGVTLPANSLAPFSVPT >OGLUM10G17520.1 pep chromosome:ALNU02000000:10:20926508:20929173:-1 gene:OGLUM10G17520 transcript:OGLUM10G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSGGRKLPFFASNASTSSSTKRTRSARRLPSLTRPRASSSPSPASPSPPPPSASHPAPPSPPLAVSPAGAGKVGKKKAGARLWMRLDRWGVSETLHLDKGSIIRRAGLPPRDLRILGPVFSDSSSILAREKAMVINLEFIRAIVTADEILLLDPLTIDVIPFVEQLTHHLPLKNLVCGNGQPGGDDHGEKHDDSPGDQVPRLNEATGAEHELPFEFQVLELALETVCSSFDVNVSGLERRATPVLEELTKNVSTRNLDRVRTLKSDLTRLLAHVQKVRDEIEHLLDDNEDMAHLYLTRKQLQNQQVEALISSAASNSIVPGGTSLSRLNNSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAVNTFIAGAFAMNIQSKLYSIDDGSFFWPFVGGTSSGCFMICIVLLWYARWKKLLGDPIILRQQYVFFAER >OGLUM10G17530.1 pep chromosome:ALNU02000000:10:20929408:20932234:1 gene:OGLUM10G17530 transcript:OGLUM10G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAATPVLLLLRRSATILLPRSRSPRASACVLAPQRRRGRRWRLLRSSPPPEGVPGELMEEEEDSKFVPLNAEDPMYGPPALLLIGFEEGETNKVQEFLRELDGEFLKVIHCTEEMTKQTLWDAMHTEQPSIEAVKIAKSMPRICIFSGLTGEEMMMFINSYPETGLEPAAFAALVPNSADKILGEVIEEIMGDHEMLWMFDDSRAQPLEIYKVRSAKLASKQ >OGLUM10G17540.1 pep chromosome:ALNU02000000:10:20935932:20942925:1 gene:OGLUM10G17540 transcript:OGLUM10G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYATAAEAEAALGRAMTAAESLWFRYSAGIPDYVLFWHNILFLFVVFTLAPLPVALLELRAPAAVGPFKLQPKVRLSREEFFRCYRDVMRLFFLVIGPLQLVSYPTVKMVGIHTGLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYEKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPALAPGHMITFWLWIVLRQMEAIETHSGFDFPFNLTKYIPFYGGAEYHDYHHYVGRQSQSNFASVFTYCDYLYGTDKGYRYHKAYQAKMKALGQTEGEKADSNGLSYEKLD >OGLUM10G17550.1 pep chromosome:ALNU02000000:10:20939591:20943083:-1 gene:OGLUM10G17550 transcript:OGLUM10G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVGCSNADLSSHGPNPNPAIPNTYDHKTHVLSLRWSSGSDASSSSSSSRPRHLARHASPPLPIPIHSATPLAVAALRRRAPREQQPSPLRGYRSPPPKDRRIRTERTSYRDAPYRRDSRRGPSRFPNDLCNNCKRPGHFARDCPNVALCHACGLPGHIAAECSSKDLCWNCKEPGHMANSCPNEGICRNCGKSGHIARECSAPPMLPGVGLLPFVVARLLPSVVDTVMWSAVLAIRLVI >OGLUM10G17550.2 pep chromosome:ALNU02000000:10:20939472:20941911:-1 gene:OGLUM10G17550 transcript:OGLUM10G17550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTGETAAVVLADFLMICATIVSVQDILLEIVQMWLFAMHVGFQGTLQQSVLPKISAGTAKNLATWLTAAQMKGYAATVASRVTLQENAVLHQCCQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >OGLUM10G17550.3 pep chromosome:ALNU02000000:10:20939470:20943036:-1 gene:OGLUM10G17550 transcript:OGLUM10G17550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MICATIVSVQDILLEIVQMWLFAMHVGFQGTLQQSVLPKISAGTAKNLATWLTAAQMKGYAATVASRVTLQENAVLHQCCQRGGPPPFRGGAPPPFRGGYSDVVCRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSGRLMDRFPPRRF >OGLUM10G17560.1 pep chromosome:ALNU02000000:10:20943630:20947549:1 gene:OGLUM10G17560 transcript:OGLUM10G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGCSNGEAAAPAATWTPPYCTVVAADMSDFCYLSCPRCERALPDHADACAACTGRGDGGGPVPARVYRLRASVATHDRVVPVVLFDRAARVLVGCPADEVARFFAAHAGAARAAEEALVGEVCRVAMRAFAKGAAERFRAVSVVPLRDGFRPLIDTLRELYCTADPTPATSPPPRLEWTITLDFDVTEERLRNEFSSNSEVPAIALIADFTCFHLHKFKASRISCRINLPILNSQLNTSCVRGCLELRAAQRCKRHEADQSHSDAPRTLCTVQHPLINRSIQHRRLCGALNLMIDPPVLLVTMKTMCQRETMQNCISMLDQFSSASRILSMKRVSGGNILFSLYASSVQFHPNLPVFVST >OGLUM10G17560.2 pep chromosome:ALNU02000000:10:20943630:20947549:1 gene:OGLUM10G17560 transcript:OGLUM10G17560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGCSNGEAAAPAATWTPPYCTVVAADMSDFCYLSCPRCERALPDHADACAACTGRGDGGGPVPARVYRLRASVATHDRVVPVVLFDRAARVLVGCPADEVARFFAAHAGAARAAEEALVGEVCRVAMRAFAKGAAERFRAVSVVPLRDGFRPLIDTLRELYCTADPTPATSPPPRLELRAAQRCKRHEADQSHSDAPRTLCTVQHPLINRSIQHRRLCGALNLMIDPPVLLVTMKTMCQRETMQNCISMLDQFSSASRILSMKRVSGGNILFSLYASSVQFHPNLPVFVST >OGLUM10G17570.1 pep chromosome:ALNU02000000:10:20947569:20950113:1 gene:OGLUM10G17570 transcript:OGLUM10G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDC1] MHALVAIHHPINDSLQQVRDSSQRRRETSSPSFIHITITDKMARPGSGNIPGSACIPLLILMLLLLLLLLLLLHPSEAQPSPGYYPSKMFRSMAFYEGYSTLWGPQHQTLSQDQKSLTLWMDRSSGSGFKSTRSYRNGYFGASIRVQPGYTAGVNTAFYLSNTEQYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGNIVGREMRFHLWFDPTAGFHHYAILWNPDQILFLVDDVPIRRYEKKVEGTFPEREMWAYGSIWDASDWATDGGRYRADYRYQPFVSRFADLKVGGCATAAPPACSPVPASSGGGSAALSPQQEAAMAWAQRNAMVYYYCQDYSRDHTFYPEC >OGLUM10G17580.1 pep chromosome:ALNU02000000:10:20950672:20954877:-1 gene:OGLUM10G17580 transcript:OGLUM10G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAGDEEGEQGLRLGGVGDGADGEEEVARGVVAPQVLELSSSSSGEEGGSWEEEESQGSVEVTRGGGGDREARVSESRDSAEVNGGEAESQSLPGCPICMNAWTADGAHRVSCIPCGHVYGRSCLERWLLQCRKKPATCPQCGRRFKLNNIINLYAPEISVPNNDLEKQVLSLREKNESLEKQNQELVQEINEHKRQIILQQNFINESSLKRKKMADQSSHGTTDADSVASLTADDGHSSPCSFVLQNEFFLDGARVMGIDASSQIILTSGRAPGIGAEHVLTKLSMSRQGLQKIHLPSDTKAIRDICILPGGHVVFASLGKKLSLLSMTTDSVVLHYDLPAPGWSCSGDQNSPNHIYAGLQNGMLLTFDIRQTVAPLHSMMGLSTHPVHTIHSVVDGGGSRKVISASSIGPCIWDVDGSRNRPDLLNGMENHGVCISLACNPPSSDLLVASFRPKVELSDDGTSQAGKSQSPTPSASGKLGCHALIRRTSNTSFARDQICRGNVSGLRMSKSAIIPCTGRSNQQHLFAYGDESLRGVRTWRLPSLQTFADLRPHRQPILDLRFAESSSTGERYLGCLSEDRLQVFRVR >OGLUM10G17590.1 pep chromosome:ALNU02000000:10:20955182:20958253:1 gene:OGLUM10G17590 transcript:OGLUM10G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRFLISAPFSRRRGERKAEAGRMARSVSYVSAAKLLAMARGNPRVAIIDVRDEERSYQAHIGGSHHFSSRSFAARLPELARATGDKDTVVFHCALSKVRGPSCAKMFSDYLSETKEESGTKNIMVLERGFNGWELSGQPVCRCTDAPCKGTCSPEEPEL >OGLUM10G17600.1 pep chromosome:ALNU02000000:10:20959172:20959969:1 gene:OGLUM10G17600 transcript:OGLUM10G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein G1P-related 1 [Source:Projected from Arabidopsis thaliana (AT3G51790) TAIR;Acc:AT3G51790] MASSRLLFSRRLLPALLHTPSPVPIPRAAAAGEVGGTPVASFLRRPARFFSSAARRGPARPRATDIGARARQLQSRRLWTYALTFGCAAGFVVTVLATFQDQLVFYLTPTDALARYATDRSKSRVRLGGLVLEGSVAHPSASSSEIEFVVTDLITDVLVRYEGALPDLFREGHSVVVEGFLKPFTDDLRRDTAGRRVSDKARDCECFFSATEVLAKHDEKYMPKEVGEALERNKKKLEEEAAAAAAASQESATAAVALDGAKSSS >OGLUM10G17610.1 pep chromosome:ALNU02000000:10:20963597:20965260:1 gene:OGLUM10G17610 transcript:OGLUM10G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen and extensin family protein [Source:Projected from Arabidopsis thaliana (AT3G09925) TAIR;Acc:AT3G09925] MAWRRTLLALVAVAAAATRADAWHNYGAAKFTVTGSVLCQDCTKSWNAYAYNAKPIPGSMVGITCLDKETGRTVYHGTDKTDDKGMFNIEVPYTVGSAHLHPSACLVRLASSGDHGCAVFTNFNGGKTGERPCRPSHVYPGRVTYSAGPFYFTLSQCDVKDGATY >OGLUM10G17620.1 pep chromosome:ALNU02000000:10:20966012:20974133:1 gene:OGLUM10G17620 transcript:OGLUM10G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04480) TAIR;Acc:AT5G04480] MWRRGSHSDAAYHLPTAAAAGGGGWAASSSAGGGGAASRRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGGKAEEGVEGLPRPGGGGGGGVMRFSASSVALREFHGESRLEAARSERRWWPGLAPVRLALFVGTMNINAQSLMVATLAKSLKNLGYEVEVLAFADGKANDILENICHVNVVSPPSLKYIDWSKYNAVLLSSLEGKMEPFQFLPVVWLVHEDALGQFLRNPELHQSIPNHIEDWRTHFNACTYVVFPDSYLPLLHSALDTGNFLVISGPPVDILATKRYSSSHTQESARNQYGSKEDDVVVLVVGSYLFFDELPWDFATVLRASAPHIMDMAKTKNLGVQFIFFCGNDTDAYNSAFQELASHMGFPVGSVKHFSLTHDIRNLLVFADIVLYGSSRQEPVFPPLLLRSMASEIPIIVPNLTVITKYITDGTHGFLFNADDPSTMVSAFAQILGEKRLSARAFSVALEGKLLSKNMLAYDCITAHVMLLESVLHYPSYAKLPSPVSKLQERTWLWDLFETKAALENSSSDDDSQLLTRIIDNLVDESHESNQTTYSDSNDTSLYNYPSLSDWNDLNEIDERVERPLLSWDEVYKNARKSERLKPEGNERDEGELERTGQPVCIYEIYSGEGAWPFLHHGSLYRGITLSKGGRRPRSDDVDAVTHLSVLDNPYYSDRLCEFGAMFAVANRIDAVHKLPWIGFQSWQAAGRKVSLSEKAEETLEETMAGENNEDVIYYWAPMDMDQTSNFWSMCDWLNAGRCRTLFEDAFRTMYGLSDGITALPPMPNDGDYWSTLHSWVMPTPSFLKFIMFSRMFVDYLHSLNVNGTDPASCLLGASQLEKRHCYCRILEVLVNVWAYHSGKKMAYLNPVTGDIREQHPLDDRNEMWVKFFNFTLLKSMDEDLAEEADDGMHAGDDQWLWPLTGQVFWPGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >OGLUM10G17630.1 pep chromosome:ALNU02000000:10:20978947:20990473:1 gene:OGLUM10G17630 transcript:OGLUM10G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Protein of unknown function DUF2451, C-terminal (InterPro:IPR019514), Vacuolar protein sorting-associated protein 54 (InterPro:IPR019515); Has 316 Blast hits to 252 proteins in 92 species: Archae - 0; Bacteria - 2; Metazo /.../0; Fungi - 2; Plants - 68; Viruses - 0; Other Eukaryotes - 44 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G27900) TAIR;Acc:AT2G27900] MGASGSHHHLSTRLVCSSGRPLPSPLSPPPLSSPPLYAHRRDREGKKSPARPTPRHETNHLSSPLFLSLLPPRDPTGRRGHRRRRRRARASPSLPPPPGSGSFHASSSSSASSPPSLFGGGDGGGGGGSELFGSAPGPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLLPPTPPPPASRPEVPARAAAAAAAARAIAGLPPHEKISLPSNSEDLVSIYGSNPQGHAVDELEEVFFQEEFDPIKYILQSIPAEGDSSYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHVSSSKNEVSRDLVVNVKSKKKQALLDVLPILTELRNAQDMQMELESFVEKENYFQAFQLLPEYLQILENYSGLSSVQEMGRGIEVIDAYALMGDIGGMAEKMQSFFLQEVLSQTHYVLKEMLEEEVGNNTQRNRFTYSDLCAQVPEPKLRPCLLRTFQSLFSLMCSYYTIMSFCPGVKSIESEGENSLTGRNNTSQSADESLGDSGRGHAAEMSDRTSSSDVSNPDTSTSGTDSPFYQLRTDAAKLVAHAFERGRRNLWQLATSRLSVLLSSSVVFSTSTYQFLKNYEDLTIFILAGEAFCGFEASEFRQKLKGICLNYSVAFHRQNIYALKMVLERESWTIMSAEASRIISLAGLTGDGAALISPTSRISTLPIHGSTMADTGKEKNGFAAWTKIENPFFYKVENGTTESPKSNMMFNSVDNSSAHGSTNNGNGNKAPLDEENEDLLADFIDEDSQLPSRLAKTKIVKGNSSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGICQLFGIFYHYIYETFGNQDRGQSGKSLPDHQSFRLRVALSKITQDSDQWIKPQSISYSPSSPVSMDVMPTAPPSSMFTSYGLKERCAAAETISLVARVLNRSRAHLHSVLSQSNTSILEEFFGTMVDSVPDLAEHIHRTSARMLLHINGYPDKIANAKWEVKELGMEHNGYVDLLLGEFKHYKTRLDHGGISKEVLINGLLHIVSANVRPKLQIVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETIERIEAGP >OGLUM10G17640.1 pep chromosome:ALNU02000000:10:20991600:20991971:-1 gene:OGLUM10G17640 transcript:OGLUM10G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASPEFYKPAPVFSPCSSPLRLLQQQQGDDHQEEYGNYSSGACCRTPTGGESNLKAPGTCPPAPRKPRAPAAPCRKRLFEVEVFSLRLEELERLFWRPPPPPPTTQPQQQPQKRRRVAKLGS >OGLUM10G17650.1 pep chromosome:ALNU02000000:10:21008443:21014079:1 gene:OGLUM10G17650 transcript:OGLUM10G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPSTTTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >OGLUM10G17650.2 pep chromosome:ALNU02000000:10:21008443:21014587:1 gene:OGLUM10G17650 transcript:OGLUM10G17650.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPSTTTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNGLYMNVSLRKVDREPDFALSGSR >OGLUM10G17650.3 pep chromosome:ALNU02000000:10:21008443:21013477:1 gene:OGLUM10G17650 transcript:OGLUM10G17650.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPSTTTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLQGRLPRYEDLKELKYLMRCINESMRLYPHPPVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNWVQMNGRKQLQSRKAKDIYVVCLYIPENLVRNARSIA >OGLUM10G17650.4 pep chromosome:ALNU02000000:10:21008443:21013477:1 gene:OGLUM10G17650 transcript:OGLUM10G17650.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G53130) TAIR;Acc:AT3G53130] MAAAAAAVPCVPFLCPPPPPLVSPRLRRGHVRLRLRPPRSSGGGGGGGAGGDEPSTTTSWVSPDWLTALSRSVATRLGGGDDSGIPVASAKLDDVRDLLGGALFLPLFKWFREEGPVYRLAAGPRDLVVVSDPAVARHVLRGYGSRYEKGLVAEVSEFLFGSGFAIAEGALWTVRRRSVVPSLHKRFLSVMVDRVFCKCAERLVEKLETSALSGKPVNMEARFSQMTLDVIGLSLFNYNFDSLTSDSPVIDAVYTALKEAELRSTDLLPYWKIDLLCKIVPRQIKAEKAVNIIRNTVEDLITKCKKIVDAENEQIEGEEYVNEADPSILRFLLASREEVTSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDPAALRRAQAEVDRVLIRRAIVDDVLPGNYKIKAGQDIMISVYNIHRSPEVWDRADDFIPERFDLEGPVPNETNTEYRFIPFSGGPRKCVGDQFALLEAIVALAVVLQKMDIELVPDQKINMTTGATIHTTNWVQMNGRKQLQSRKAKDIYVVCLYIPENLVRNARSIA >OGLUM10G17660.1 pep chromosome:ALNU02000000:10:21014313:21018338:-1 gene:OGLUM10G17660 transcript:OGLUM10G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTELDRRGSSDRLRERVKFRFSGFRAVQRCIVERFVGDQSIRGIAMNVPVVSDRLMLSIVAVDTGKTIAKSTKAAALSGACQWPDSILESIWFSQDQVSEEFQECQCRFVVSMGSTNSGILGEVFLNLTNYLSSLESTAISLPLKRCDSGTILQLNIQCLGAESKTSRTNDDTECTSDGFDSMLNRTTHSLSGNDLGGSYQDEAGNRDASLSASRSYSGDSTTDRTNMPPSDNLNDELNTQRHNFASPDAIHVSADHVDEASRSNNSSFSSQTPSRNMLQGNNAQPSASDLSQLSSGVSHASKDVLENAEETIDELRGEAKIKXVATEPPAPAANFPSLCEAAAGTAGDKSKRKKGAVSRRTWSGRGPVSEEEELQSLLRIMARSTPKRSRPVRRRALGRASYCSLSTAATAHLAVDAAAATHLIALDTEWGRGAAAGSVLPSLPTHSVARRPRSTAHHSHHSGTHSLMPPPSPRLPSALVKGRERERNKGERRKKDSEREDDMWDPHVSGSHNFFV >OGLUM10G17670.1 pep chromosome:ALNU02000000:10:21026660:21027127:1 gene:OGLUM10G17670 transcript:OGLUM10G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIRERVPAVLLLRPAAAAAALPVLPYSAAAAAAGAKKGPLVEDCPVCLEAFAGDDGVKVVPACGHVFHAACIDQWLAVRNSCPVCRCAVVCYYADRARDTAVVVDDDDDDQEVVLERVVAMIEAIREEQREEEAAARRAPATGGGGGEGLMTS >OGLUM10G17680.1 pep chromosome:ALNU02000000:10:21030766:21033596:-1 gene:OGLUM10G17680 transcript:OGLUM10G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFCKTLESLEAKLSSLQEDISLKEQSLLSELENIFQEHKEHEERIDRVHLLLNKIEKEKTVELSNLEREVISLTAQLSSTEEERESSTLDTIREVSILRADKAKLEANLEDVNAQMIHYESQLEDLRESKTKIKDLVDSLNASKQNEEMLTTDVDNMRRSIEAARSNEDKLRKTLCELELKSKSSDYEKQQIIEEISVLKIQVHKIAGLQDEVLTLQSSLDEAKFEKGKLEGLIQSLSEECEELKAQKGMLTDKVSCMQDTLNAANEGKQIEISAQTKLVMLGDEPPVKETSDVLEAELKSELSIIRGANSEYQQKIHSLQKENEDLTRRNQLMEKELDLKTSQNKDENTNKQGNDANENGDSPVNEVPELQSKIQLLETRLAEALEENKLYRGQLKSPMPEGKSASKDGKENDDDKISQLESELKDMQERLLNVSLQYAEVEAQREELVMELKTANAKKGRWF >OGLUM10G17690.1 pep chromosome:ALNU02000000:10:21046735:21047397:-1 gene:OGLUM10G17690 transcript:OGLUM10G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRRRGIAEGGHATRAAVVRCIVAAILAAIVVAGLVALVFWLVVRPKPIEYTVTSAAVRHLNVTPLHSGPGCSGPAVNATFYLALAIDNPNRRISMRYEHGVALRVLYGGSELELAAGYIVPGFHQPHRNETTVRAVARSAPCPVPVPVPDLFAWELEHDLAAGELSVDVEVSTGVRFIVGGVASRYYQVNVTCSPVNISLSPSAARSFNSVPCDVEIS >OGLUM10G17700.1 pep chromosome:ALNU02000000:10:21055664:21058225:1 gene:OGLUM10G17700 transcript:OGLUM10G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASLPKVVMGSVAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISADDAYASIDLPILGLLFATMVVGGYLKNAGMFRHLGRLLAWRSQGGRDLMCRVCVVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALATSANIGSSATPIGNPQNLVIAFNSKISFISFLLGILPAMLAGMGINMLMLLCMYWKELDGGACSPDEVAAGKQMEAIEEGRRTALNNKKKDDGDAATPASPEDDDGGDAESMMSENISTKHRWFMQCSEHRRKLFLKSFAYVVTVGMLVAYMLGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFVTVSGFNKTGLPGAIWNVMAPYSKINHVTGVTVLSVIILLLSNLASNVPTVLLMGDEVAAAAATISPAAVTRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRATRNAYDLTFWNHVIFGLPSTLVVTAIGIPLIGKINI >OGLUM10G17710.1 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLSQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPVLIPQKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGEGDGVNISANAINPGVVETKMLTHNNIANALVFPIGRIGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.2 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEERDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPVLIPQKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.3 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLSQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPVLIPQKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.4 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLSQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPVLIPQKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.5 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPEKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.6 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEERDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPGARRVHDCDLLGRAHVELDVRLVRTERVARLDPVLIPQKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.7 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEERDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPEKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.8 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLSQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPEKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKGDGVNISANAIHPGFVGTNLFKNWTMANVTGISGKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17710.9 pep chromosome:ALNU02000000:10:21062826:21090819:-1 gene:OGLUM10G17710 transcript:OGLUM10G17710.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTATTGLASSTSEESQETTIPLRGSFAGDVGRRRGSGCADDEVSGLSQETTIPLRGSFAGDVGRRRGSGCADDEVSGLTEEEAARSGFGCRCPCSPEKPAPIGLPVCSAVSASQVANTISQSSTPPMWFFNFNRNGPSGFSGASTAEEVTAGVDARGLVAVITGASSGIGLETARVLALRGVRVVMAVRNVAAGHKASEAIRAEIPGAIVHVLEMDLSSMDSVRRFASEFDSLNLPLNILINNAGILSKDCIRSIDGLELHFATNHIGHFLLTNLLLENMKSTSRTTSVEGRIINVSSSGHILTYPEGICFDNVKDLSRFSTYMAYGQSKLANILHSTELARILKYFSNCNLETPSSQASNAELAKKLWEFSSNIVSVISEKTRPRRLKKARHTSRRFPPFGSTTRTVSGLSFPAMAMATTKGARDGRESSRSWNLEVLGTVDGEAIGRTEEEARRCAGLRLQSLANTSTPLFPELDVRQLGTESAMCWFNRKGPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVMALRGVRVVMAVRNVASGHRASEAIRAEIPGAGIHVLEMDLSSMDSVRRFATEFEALNLPLNILINNAGIMTRNCTRSIDGLELQFATNHIGHFLLTNLLLENMKRTSSETGVEGRIVNVSSSAHFVTYPKGICFDKVKEPSRFISLIAYGQSKLANILHSTELSRVLKNNRETVEYEQEDGVNISANAVHPGVVTTNLFRHRTIINALVKSIGRFIHKTVEQGAATTCYVALHSQVTGISGKYFSNCNLDTPSSQASNAELANKLWEFSSKIAHLTSLWPSGFSGASTAEEVTAGIDARGLVAVITGASSGIGLETARVLALRGVHVIMAVRNVAAGRNASEAIRAEIPGAIVHVLEMDLSSMDSVRRIFMAVESIMIVSTLTANILLCFQTSCDDSNNAGIKAWGCTRSVDGLELHFATNYIGHFLLTNLLMENMKSTSSERGVEGRIRRRSRRWRRSQTSGSGRGRAVAVAEVAKDAVALEDEVAVVDERPWSRTRRGEDADEAAALAEMADGTVTPFTRPRWDEFVREIFTDRFSGIFAYPQSKLASILHSTELARILKGAATTCYVALHPQVKGISGKYFSNCNLDSPSSHASNAELAKKLWEFSSKVVS >OGLUM10G17720.1 pep chromosome:ALNU02000000:10:21091603:21092436:-1 gene:OGLUM10G17720 transcript:OGLUM10G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPTCGGGITLPSTGAPLPPLHPTPTSPTARPHHHYYLFSIKQLNSFGAAAVLAFSTTVPLSDIAFALLVIPYLVVLSVLAFPQRPGKPNPGAPVFLGRGRFLLRAHDALGFLVGAALPALYILDGLRSGDTAGVAAASPHAFLLAAQIFTEGLAAAWPRRFSLPVRASVVVMYSARRMFAASEWLRQEMGKRDQFGGGVGGAPAVARRRVVAGRVLAVANLAYWGINLFAFLLPFYLPKALNRYYCGSDSKEDDATAAAGDIDADDAKKKDS >OGLUM10G17730.1 pep chromosome:ALNU02000000:10:21093314:21106734:-1 gene:OGLUM10G17730 transcript:OGLUM10G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDVRKVKAEKEVFFFTKIDEGLLHLIEIYGVTKLVMGATSDRHYKSFTLSVLIDCDKNMTIFVAQKNESTTITNSNKCDAKSTFILQYMVYLQREANMCQAKRLGCMYINEMELRKETEAKLSQEKEESESLKHATMVLQNDLDWLKYQLNEKANRLQELNQQKHLLEHRISESDSVATYLEESMKVTESRVQSLKLEYSKMKRERDDAVKEARSMRIEQELTNSCAYGAISSEFSLMELEQATQNFSNALNIGQGGFGSVYKGSLRNTTVAIKMLSTDSLHGQSQFHQEVAILSRVRHPNLVTLIGACTEASALVYELLSNGSLEDRLNCVDNTPPLTWQVRIQIITEICSALIFLHKHRPHPVVHGDLKPGNILLDANLQSKLSDFGISRLLLESSVTGSDAHYTSRPMGTPAYMDPEFFATGELTPQSDTYSFGVTIMRLLTGRAPLRLIRTVREALNDDDLQSVLDHSAGDWPLVHVEQLTHIALQCTELSKQRRPDLEHDVWEVIEPMKKEAHSPLSQSFRSICSAIETATPSYFLCPISQVLQVRKVIMRDPQMAADGFTYEADVIRDWLDKGHDRFLSHALPKRSQPGLFLWDRAEAAAREEGEGEEEVRRLRAAAAERFLAAAKLDPNDGVPFRFLGHHYARAGDAQRAAKCYQRAATLNPDDAEAGEAVCDLLDLEGKESLEIALCKEAAGKSPRAFWAFRRLGYLQALGLAYHRLGMFTAAVKSYGRAVELDGSKVFALIESGNIQLMLGYFRKGVEQFHSALEMAPQNHSAYFGLASALLAWARQCVMTGAFGWAASLLKLALARCFPWDDGNIKGGMDDGTFRTTVLEWRNTCLLAANGAKFSYQRALHLTPWEANIHNDTAICLDLIYTIEENNSLDPITWELPEKMSLGGLILEPVNKDFWVTLGSVSSNQALKQHSFIRALHLDMSLSEAWAYLGKLPEFQIGLGTIAARSGELLSPQVLMAVRQAVQRAPHYPESHNINGLVSEVRSDFQSAIASYRRAKFALDMMRNSKADCRCHIADISVNLARSLCKAGLATEAVRECEELKRQGFLNDDGLQIYALSLWKLGRHDEALSVSRNLAENLSSMKQESATAALGFICTLTYNISGKDSAAAVIHKLPGQLNYSTQLKFIISALDALQPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGNAIAGESDKFLRVDGGLSYLKKVLRMYPDCSLVRNQLGSLLLSSEDWMASHKAVRVTSLSQGYTSDRGLRSPHQIQACAAVSCYATCTSYPKFSFPTCEDQYLSGYNAICRLQRWVHLEPWNQDARRLLVLTLFQKAREEKYPKHICTILKRLILQVLSSGSNSQDNKVVQYGNYLLLLVASEVSLQSGDHGNCIAQATEALGVTSSSVDSFFAHLQLCRAYVIQGNLLNSRSEYMKCLQNRTDTEIGWVVLKQLASICSLEGTPDEIEIHLRGCVERKGSNASKWTSLFYLACAQCSVWNEDFASAEKAIAQACAEGDPDSCVLFLNGAICMDIAWRFAAPQFIARAASSLRKAQQKSLASLPIVSLLLAQAEGSLGSKAKWEKNLRLEWFSWPPELRPAELYFQMHLLATQSNAATSQQNQLVETMQTPEKWLLRAIHLNPSCSRYWTALMQLVYV >OGLUM10G17740.1 pep chromosome:ALNU02000000:10:21107476:21107958:1 gene:OGLUM10G17740 transcript:OGLUM10G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATVDELSEAYQEFVAAAAAVVEARGQSGGEKNAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGASSSSSAALAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAAGPGGGGGAAAAASGAAGQHGHGGVDTRFPEDGAQ >OGLUM10G17750.1 pep chromosome:ALNU02000000:10:21111087:21113230:1 gene:OGLUM10G17750 transcript:OGLUM10G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNIVLAVAVVAALVGGGSCGPPKVPPGPNITTNYNAPWLPARATWYGQPYGSGPADNGGACGIKNVNLPPYNGMISCGNVPIFKDGRGCGSCYEVKCEQPAACSKQPVTVFITDMNYEPISAYHFDFSGKAFGAMACPGKETELRKAGIIDMQFRRVRCKYPSGQKVTFHVEKGSNPNYLAVLVKFVADDGDVIQMDLQEAGLPAWRPMKLSWGAIWRMDTATPLKAPFSIRVTTESGKSLIAKDVIPVNWMPDAVYVSNVQFC >OGLUM10G17760.1 pep chromosome:ALNU02000000:10:21114324:21119120:-1 gene:OGLUM10G17760 transcript:OGLUM10G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGDADAWETASARSGVSSSSSGRATAAAAAAAPENKVFVALPAQHKSGRSTLAWALRHVADLAPAAIGGGGEVVVVAAHVHSPAQMIPMSMGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKCEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVMVKANPSCKIWFVCKEHLIYTRDFVAPISPNSQSPDTIRGSMSNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRVSLQLHSRSSLQESLSRLNMEGTSVDSWDSFRRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGFDFPNSALHHEQESDSITWCCIQGDAGSNANLFDKLEEAFAEAEKYRKQTYDESLRRQKTEEELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVEIQLLKEEMDALKHNRDDLSSKLFEVSEQKVTLEQQAVEYGSIIIDLKDTVAASQVLIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFSDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACENNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >OGLUM10G17760.2 pep chromosome:ALNU02000000:10:21114324:21119120:-1 gene:OGLUM10G17760 transcript:OGLUM10G17760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGGDADAWETASARSGVSSSSSGRATAAAAAAAPENKVFVALPAQHKSGRSTLAWALRHVADLAPAAIGGGGEVVVVAAHVHSPAQMIPMSMGGKFHASKLRPEQVSTYRKYEREQVEKNLDEYLEQCTKMKVKCEKIVIENEDIANGITELILLHGVSKLVMGAAADKQYSRKMKLPKSKTALSVMVKANPSCKIWFVCKEHLIYTRDFVAPISPNSQSPDTIRGSMSNLAARGGTTNQYANNAVNGYVQRSMSEMVVPASSRVSLQLHSRSSLQESLSRLNMEGTSVDSWDSFRRGSFPSSYRASSTVTEEVLSDSSSSGIPRDGISTLAGFDFPNSALHHEQGDAGSNANLFDKLEEAFAEAEKYRKQTYDESLRRQKTEEELISYHQKARKSEDLFLNEAKQRKEVEETLAKANVEIQLLKEEMDALKHNRDDLSSKLFEVSEQKVTLEQQAVEYGSIIIDLKDTVAASQVLIDSMQMEFEQLKHERDNALKHAEELHREKQNMVSSSDLEWSTEFSLLELQQATQNFSDAMKIGEGGFGCVYRGQLRNTTVAIKMLRSQNLQGQSQFQQEVAVLSRVRHPNLVTLVGYCSEASGLVYEFLPNGSLEDHLACENNTSPLTWQIRTRIIGEICSALIFLHSDKPHAVIHGDLKPANILLDANLVSKLGDFGISRLLNRSSTVSTSFYQTTNPRGTFAYMDPEFLTTGELTARSDIYSFGIIILRLVTGKPALGIAREVEVALDKGELELLVDRSAGDWPFVQAEKLMLLGLQCAELSRRKRPDRMNHVWSVVEPLVKSASLPVEPESIGHWVNKNRTPFYFICPISQEVMRDPHIAADGFSYEEEAIKGWLGSGHNTSPMTKSTLEHLQLIPNLALRSAIEEFMQQKQQQIPS >OGLUM10G17770.1 pep chromosome:ALNU02000000:10:21120318:21128414:-1 gene:OGLUM10G17770 transcript:OGLUM10G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRTAAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVSLFRRTERERVNKLLDEYVHQCWKMKVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRKMDKPKSKIATEIMQRADASCQIWDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSRAPQVRPHPIRGLIQPKRRVVLVPLRPDHHPLSSPFPDPATAPREANSPLRSLSPLGFCSLSRGMESGGVDGERPLLREAGDLGGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMAESIREYVTEAVLADHIQEQPDESKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMATEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >OGLUM10G17770.2 pep chromosome:ALNU02000000:10:21120318:21128414:-1 gene:OGLUM10G17770 transcript:OGLUM10G17770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRTAAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRKMDKPKSKIATEIMQRADASCQIWDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSRAPQVRPHPIRGLIQPKRRVVLVPLRPDHHPLSSPFPDPATAPREANSPLRSLSPLGFCSLSRGMESGGVDGERPLLREAGDLGGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMAESIREYVTEAVLADHIQEQPDESKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMATEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >OGLUM10G17770.3 pep chromosome:ALNU02000000:10:21120318:21128414:-1 gene:OGLUM10G17770 transcript:OGLUM10G17770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATRTAAAAAVEKVFVALPAEKGKTTLSWALGHFRGSGAKLVVTHVHVPPQTIPVMGVQFHVSNVSPEQVKCEKLVIEKEDVVAGLLELIASHGITKLVIAAAADKHYSRISDSVLHGRDKKVETTQSVTPLSQLFKIDTPPSPDIGQTILQPSAEQEQNDNEMELGFSDELNDARVAAENLMERALSESLRRQRADEQVVSSLQKVKQFEELYLEEVKRRKELEGALVKANLELTRLKQEMDIPRNHQSTILGDRQEVITDKFILRQQTVDMKSDFGATGQLIKPQQEYLQLHPDHDNGVRQPETLLHRRSLTAFSPASTVPSQFDKDSIPSHFICPISQEIMREPCIAADGFTYEAEAIINWFDEGHEVSPMTKQPLVHRDLIPNFALRSRAPQVRPHPIRGLIQPKRRVVLVPLRPDHHPLSSPFPDPATAPREANSPLRSLSPLGFCSLSRGMESGGVDGERPLLREAGDLGGEDGGAGGVPEEGDAKGDVMAAAAAQGGDGGEGVEAVDGDGSNEEEEVVQTPDVKQTPGAAAAAAWREAAWEEADGEEFVDVLEGDVDEKREDGSALENGEDLVASRSLAVEGDEEGYGSCADIGIEEDAEGDASRERLEEEAMAESIREYVTEAVLADHIQEQPDESKSESEHVKVAQHKSQIAKSREEGPKQSGGEPSVVVEELNGSSSSDDESKATSAPPARSISGAAGRSNGPSLPSRPAGLGASTSLSQPSARPVLYRLGLAEQLRRNTGQGVFSFDRAQDMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDARLETNAFDTSTRKVQEVVGAVEGIKVKVIDTPGLSCSSSDQHHNQKILNSVKRLISRNPPDIVLYFDRLDMQTRDYGDVPLLQTITRVFGASIWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDVRLMNPVSLVENHSACRTNRAGQRVLPNGHVWKPQLLLLCFASKVLAEANALLKLQDNPAGKPRMRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDIEDDLADDSDSDDGSDYDDLPPFKRLTKAQLAKLNHAQRKAYLEELDYREKLFYKKQLKEERMRRKIMKKMATEASARTDDFSNSNLEDDGSAPTNVAVPMPDMVLPSSFDSDHPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSVSGQLSKDKKDCSLQMEVASSLKHGEGKTTSLGLDLQSVGKDMAYTLRGESRFKNFRRNNTAAGISATLLGDSVSAGVKVEDKLVVNKQLRVLVSGGAMSGRGDVAYGGRLEATLKDKDYPIGRMLSTIALSVVDWHGDLAVGCNIQSQIPAGRASNLIGHANLSNKGTGQVGIRLNSSEHLEIALIALVPIYQNIKKLLQS >OGLUM10G17780.1 pep chromosome:ALNU02000000:10:21128779:21132697:-1 gene:OGLUM10G17780 transcript:OGLUM10G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acid-amino acid ligases;ligases;ATP binding;ATP binding;ligases [Source:Projected from Arabidopsis thaliana (AT1G63680) TAIR;Acc:AT1G63680] MATAPLAFHLPFPFPSASRPPPRLLPPSRRPPAARLAATRRFRPPTADDEPPEAAEDSSHGLNRYDQLTRHVERARRRQQAEQPEITPDHPLFSSPPSSGEAGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSAPAPSPSQPEEEDGLADELSPEEVIDLDEIRRLQGLSVVSLADEEDEEANGGGGGVDYGDDGVPLDDDGEVFDVADEVGIEGARVRYPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQRDASLVAAGDLYVCVGEEGLAGLTEADKRGAVAVVADQTVDIEGTLACRALVIVDDITAALRVLPACLYRRPSKDMAVIGVAGTDGVTTTAHLVRAMYEAMGVRTGMVGVLGAYAFGNNKLDAQPDASGDPIAVQRLMATMLYNGAEAAVLEAATDGMPSSGVDSEIDYDIAVLTNVRHAGDEAGMTYEEYMNSMASLFSRMVDPERHRKVVNIDDPSAPFFAAQGGQDVPVVTYSFENKKADVHTLKYQLSLFETEVLVQTPHGILEISSGLLGRDNIYNILASVAVGVAVGAPLEDIVKGIEEVDAIPGRCELIDEEQAFGVIVDHARTPESLSRLLDGVKELGPRRIVTVIGCCGERERGKRPVMTKVAAEKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKHGTNDYYPPLPNGHRIFLHDIRRVAVRAAVAMGEQGDVVEFFDDREECREALQYVDQLHRAGIDTSEFPWRCYPKATDSDVFNIAGLLCLLKEQHFRLSLFATPNSEIT >OGLUM10G17790.1 pep chromosome:ALNU02000000:10:21134527:21144961:-1 gene:OGLUM10G17790 transcript:OGLUM10G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKVHGLPFHDQYFLKLGEKAQKECPPNMQCKDKFLIQSTKLVATSTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMSFKLGSDDLTVGPVHLRTLYCSWLPPCRWISKYCFLYSKIAIQFLEIKGWIFTLNKAKGVAMGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >OGLUM10G17790.2 pep chromosome:ALNU02000000:10:21134527:21144961:-1 gene:OGLUM10G17790 transcript:OGLUM10G17790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTLISIYPEDLTFLFELDKRCYCNLKVVNNSEHHAAFKAQKECPPNMQCKDKFLIQSTKLVATSTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSFDDSSITSLGSMSFKLGSDDLTVGPVHLRTLYCSWLPPCRWISKYCFLYSKIAIQFLEIKGWIFTLNKAKGVAMGASGTLISIYPEDLTFLFELDKPCYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPNTFNKEVDKVIEEMKLKVVYTVPSGSSDDSGITSLGSRSFKLGSDDLTMLKNASIEEIQTIQRLKDERDTTLQQNQQMQRELDVIRRRRSRKSDAGFSLTFAAFAGLIGVLIGLLMSLIFPPPQAAA >OGLUM10G17800.1 pep chromosome:ALNU02000000:10:21148619:21154092:1 gene:OGLUM10G17800 transcript:OGLUM10G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDF9] MEYGAATRGALLAAAPLAGARRSRLPLSPPPSPPSIQIQNRLYSMSSLPLKARGVRRCEASLASDYTKASEVADLDWENLGFGIVQTDYMYITKCGQDGNFSEGEMIPFGPIALNPSSGVLNYGQGLFEGLKAYRTTDDSILLFRPEENALRMRTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGSVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAVKGTILPGITRKSIIDVALSKGFQVEERLVSVDELLEADEVFCTGTAVVVVEYAGNKGVGVVSQQLYTSLTSLQMGQAEDWLGWTVQLS >OGLUM10G17810.1 pep chromosome:ALNU02000000:10:21154628:21171423:1 gene:OGLUM10G17810 transcript:OGLUM10G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLSPFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVIVLDVESGTWHFSIPLLLTVWVATRISVSRQVFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAECPLNIVTPPLPNPDPAGAAGRRCRRSEGLRIMYLPSDRAPAAASPHPQPSTSAAAPLAGSSSRKPAASTQIVLNYSGEEDPGLQLLDNYDPTRPSRFKNAQELRDAFVAACQRDAKPFAEKWRLPEDLERLRRQDHCKMLKIALRVYAKQKNIPANWVKWQDYGDYGDSDDTLTLFFAEVDINCKEEKDVFLCCPLEANDNGQCFGSQRWELELKHPTCAKYLGGHSDVCYLPAEAMYSPQIPSDGNPDDDAEGALATRPHRRPSTASSAATSLAVFAVAKLIDRVTSTALVDPRLALALALALAICQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVYAQFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGYCFGCRVQHIKLRRPTSADYLGGHKDICSEYIDVENEQSRSISMDISEDEFNKLRDAFVAASKRHMRPLTLPKEMEVLKGKRDVKIAKIALHAYAKQNNIPVNALVAKSVAWNLGIPLLLTISVAIGISVLYSQI >OGLUM10G17810.2 pep chromosome:ALNU02000000:10:21154628:21171423:1 gene:OGLUM10G17810 transcript:OGLUM10G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLSPFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVIVLDVESGTWHFSIPLLLTVWVATRISVSRQVFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAECPLNIVTPPLPNPDPAGAAGRRCRRSEGLRIMYLPSDRAPAAASPHPQPSTSAAAPLAGSSSRKPAASTQIVLNYSGEEDPGLQLLDNYDPTRPSRFKNAQELRDAFVAACQRDAKPFAEKWRLPEDLERLRRQDHCKMLKIALRVYAKQKNIPANWVKWQDYGDYGDSDDTLTLFFAEVDINCKEEKDVFLCCPLEANDNGQCFGSQRWELELKHPTCAKYLGGHSDVCYLPAEAMYSPQIPSDGNPDDDAEGALATRPHRRPSTASSAATSLAVFAVAKLIDRVTSTALVDPRLALALALALAICQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVYAQFNFLVINDSDGTRTLFFAEVDFLNCKEEKDVYLCCPLEENDNGYCFGCRVQHIKLRRPTSADYLGGHKDICSEYIDVEWGVFPPERAISIDLDGYQVNALVAKSVAWNLGIPLLLTISVAIGISVLYSQI >OGLUM10G17810.3 pep chromosome:ALNU02000000:10:21154628:21171423:1 gene:OGLUM10G17810 transcript:OGLUM10G17810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPQPPSDGNPDDGALARPRRQLSTASAADSLAVFALAKLIDDRATHQADPQLALALAFAICARFQERSITFDSSSYHKLGDDSGAFTTKNDDDFSGSYFAGLQTWDDPTGPSDEYTFKELQDEFIAACKNCNLPWAYKMPMPEHLERLREQNECKMLEIPLHLSPFTERRKVYGHFNFLVKDSDGTHTLFFAEVDLNCKEEKDVIVLDVESGTWHFSIPLLLTVWVATRISVSRQVFVFRQQLAPSVGSLAKVNAAEEKIDKKEGGSAECPLNIVTPPLPNPDPAGAAGRRCRRSEGLRIMYLPSDRAPAAASPHPQPSTSAAAPLAGSSSRKPAASTQIVLNYSGEEDPGLQLLDNYDPTRPSRFKNAQELRDAFVAACQRDAKPFAEKWRLPEDLERLRRQDHCKMLKIALRVYAKQKNIPANWVKWQDYGDYGDSDDTLTLFFAEVDINCKEEKDVFLCCPLEANDNGQCFGSQRWELELKHPTCAKYLGGHSDVCYLPAEAMYSPQIPSDGNPDDDAEGALATRPHRRPSTASSAATSLAVFAVAKLIDRVTSTALVDPRLALALALALAICQRIQERSVTVYVTLDSSCRRKHCDFSEGDFAGLQIEDDPTPRLLSRYISDTGLYVTLMATYKSGVFPSSYEILFPEQQEEACKMVEIALHAYAKQKDMPPAKLEIMKVKERSLFEECGKVNALVAKSVAWNLGIPLLLTISVAIGISVLYSQI >OGLUM10G17820.1 pep chromosome:ALNU02000000:10:21172491:21174458:1 gene:OGLUM10G17820 transcript:OGLUM10G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKIRQEAEINTSSEENIRHSSARFDPLLALPFSVQSEQAILMDLNSVPGSDSDTSIPKIDDDSGGEYVGLQPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRVYAKQKNMPPAELEIMELKEYTLFDEHGKVYAHYNFVVKDSDGTLTLFFAEVIVLGAKVGSWNLGIPLVLNIWVATVMSAFHTLSGKKNT >OGLUM10G17820.2 pep chromosome:ALNU02000000:10:21172491:21174940:1 gene:OGLUM10G17820 transcript:OGLUM10G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKIRQEAEINTSSEENIRHSSARFDPLLALPFSVQSEQAILMDLNSVPGSDSDTSIPKIDDDSGGEYVGLQPLDNRDSTRSSPYKNDQELADAFIAACERYAKPFAEKWRLPEDLEKRKRQDECKMLKIPLRVYAKQKNMPPAELEIMELKEYTLFDEHGKVYAHYNFVVKDSDGTLTLFFAEVIVLGAKVGSWNLGIPLVLNIWVATVMSAFHT >OGLUM10G17830.1 pep chromosome:ALNU02000000:10:21181698:21187095:1 gene:OGLUM10G17830 transcript:OGLUM10G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVKNSSDFVETDDDDDNKEECASQKRTVKKLMEDELGKVNLLKKIPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYRCHGECPHGDCKNKSDLCPSLKSLIHNKLNDLNNPHATHGNEQSPESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRKQKPERTNRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQAPEFSGRESSKFSIKEVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVVEDKDPRHLTEGSLPDKAASNFKNGIKPSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNTSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHRGKCHNAFSPEEPEVCLVKAVDVEEPAQQRSQLHDNSESNAYSTSVAVDDQVAVLEECGIKEDTQEGIIYATDEVDTVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEPVRMFMSSPESMVEKLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMKKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFD >OGLUM10G17830.2 pep chromosome:ALNU02000000:10:21181698:21187224:1 gene:OGLUM10G17830 transcript:OGLUM10G17830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSHKRSTEQEENNVGCVWGLMRMLYFRRDAKFLLDTKQVSRRHTFRELADGRHSVKNSSDFVETDDDDDNKEECASQKRTVKKLMEDELGKVNLLKKIPSNEIQRGLPDLGYDVSLDGGSEHTNKPVAALNQHTDIFASYLSGSVYSQGSKSLNHSEEYDLESVLANFLGEIYRCHGECPHGDCKNKSDLCPSLKSLIHNKLNDLNNPHATHGNEQSPESKGEGLLGENSRSNSRAAQFKEFKDAVEILSSNNELFLKLLQKPNSHILDNIRKYQNSRLTTKLEPDKSLGRSSILEEKRGSNHELATKAQGKETKHVFFWRKDRSDRKQKPERTNRPQPVSKIVILKPNQGRRIDETETTSSRYLHQQPCTSQAPEFSGRESSKFSIKEVRRRFKIVTGDSKREKNAIPAENLPGDSHQLKDSVVEDKDPRHLTEGSLPDKAASNFKNGIKPSASSKQKQQNDSQSEISDHTTGASIFYEKAKKHLADMLKNTSQSASYPTAQVSKSLEGMLSQPHYNVSPPRSDHRGKCHNAFSPEEPEVCLVKAVDVEEPAQQRSQLHDNSESNAYSTSVAVDDQVAVLEECGIKEDTQEGIIYATDEVDTVPVEGVGKLDCSKTICNIQCIPAEQYTDSPLPEILEGTEGKEPVRMFMSSPESMVEKLEQQDPKTPEPKSSPKLPDGCPEQSNEKKEQPSPVSVLDSFDEDDSSPECKTMKKYELHEVSCGTLYFPDNESGVKVFWEDKNARLDYIMLVLELSELCAEQNLEVWYLEDELISPCMFEELQNQGDRIDDMKILFDCICEALTEIQERYFRLSSWLSFVKHDIRTPPVGEKLISEVDKYVDGYLKCSFPSTLEQIIKRDLEVQAWMDIRSKTEGIVVEIWEFVLDELIDEAVFD >OGLUM10G17840.1 pep chromosome:ALNU02000000:10:21190495:21204337:1 gene:OGLUM10G17840 transcript:OGLUM10G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLENKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKATVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLFERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGMTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSDCIRQNGGANPDGDHSLVASAVSAIVDNAGHAVAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFALPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNSKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRFVFAGDLIKHMGVISEHIKATVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLHALLGNSQPSWLKTKPSSKPAVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >OGLUM10G17840.2 pep chromosome:ALNU02000000:10:21190495:21204337:1 gene:OGLUM10G17840 transcript:OGLUM10G17840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYTGAGHNAGFGGGVPGRGVVAGGREKGRLEPSSFHGANYPANLRRQPQVAPYKLKLGAPDFYPQTLSCAEETLTKEYVQSGYKDTVEGIEEAREIVLSQIPYLSKPDIATKCKEALKKRFRAINESRAQKRKAGQVYGVPLSGSLLTKPGMYPEQMHSNEDTRRKWIEALVQPNRRLWSLAEQVPRGFRRKSLFNYLIRYNVPLLRASWLVKVTYLNQVQTSSNNVSSAAPDSLRSQHWTKDVIEYLQLLLDELCSKNGFFGLPSSQEQSLPCLVAGDSPIKLKTGASPASADVEEPSLHFKWSYMIRIVQCHLMEQLLVPSLLIEWVFNQLQERDSTEVLELLLPIVLSLVDTITLSQTYIHMLVEILIQRLSDASPGSLSVKNNPKRSSITSALVELLQYLILAVPDTFVSLDCFPLPSVVAPDVYGKGALLKIAGGGKIASSRRQNASRHLSCGYAICSVQRRASDLSLVANPNLQVRGAANVVQALDKALVTGNLTAAYTSVFNYLSDTLMEETWIKEVSPCLRSSLMWMGAVELSLVCSVFFICEWATCTFRDCRTSQCQNVKFSGSKDFSQVYMAVSLLKDKMNEINNLSSSKSSSQLAMKDHLKSGTLNHSSIKVTAMETASGFRDSTGSIDENNKKDIFSSPGPLHDIIVCWLDQHEISDASGFKSVDVFMTELIRSGIFYPQTYVRQLIVSGITIWNDSLFDLENKTRHYKILKHLPGFCLFNILEEAKIAEDQVLYEIVSTYSSERRLVLSELSSGLATDANVEGRVPLSSCLQKQPDLLMDSTDDNHGRVAVQVEEVKLMISGREETKKTKKGQTDLVDSEEDVGHAKTGCKDSSRTKRQKLDKNVFPFQGSPLVQSDEEDFWWVRKEQKQELFTVETIHQSIEQTSGGKATVVQKTQNIAQLAAARIDGSQGASTSHVCDNNLSCPHHKPGTNSDILKDADHMSMLTLAEVGKSLKRLRLFERRSISIWLLKSIKQLIEGDEVKHSKANNSISASTVQHSGKIASGWRFGEDELLSVLYIMDTCCDLLSSVRLLIWLLSKIYIGMTTSGQVGRGVMHPKHKENQVFQVAEAFLFSSLLRYENILIAMDLLPEVLSVSMNRTVHKSGERQSTSVAFAYARYFLRKYRDVTSVARWERNFRSTSDKRLLAELDSGKSITGDSIISGISSGEELDGHVHKKLNGKAGVLPSMKEIVQRQTDEFLRNLRENNATAPKNPSFSETEDSYQTAHDIVLGLADCIRQNGGANPDGDHSLVASAVSAIVDNAGHAVAKHLDISGGNNPGVTSINSLNLIQHILDIHINSLALLRETLGDRFSRIFEISLAVEASSAVAASFALPKAHRSQQSSETHDESGNHANEVPSNPSKCFNVKAVKVSAAVSALVVGAIIYGVVSLERMMVVLRLKEGLDILQFLRISKASTNGVTHSIGNFKIDSSTEVLVHWFKILIGNCKTVYNGVIAEILGDSYVLAFSRLQRTLPLGIVLPPAYSIFAMVLWRPYLYDTSTSNHEDIQLYQSLLGAISDITRHQPFRDVCFRNMHLFYDLLAADVGDSEFAAIVELRSPDECLKALSPLRARVFLNALLDCEIPVTMRDDGTYALEPGCAEASTKNDVKFPERLIEILNVLQPAKFHWQWVELRLLLDEQSLIEKPKNVPYVKALRSLSPNAENFTLSEREKGLTEVILSRLLVRPDAAPLYSELIHLLGKLQESFVMGIKWFLQGQDVLLGNNSVRQQLVNLTQRKGFPMKTQFWKPWGWSKLVGYANANKSSKRKLEVTSIEEREVDGLIDSRKSSNSKSLNVGTSPEGHGSTQKYFTQEALAELVLPCIDRSSSEFRFVFAGDLIKHMGVISEHIKATVWNGINKLNSSNPSGNEGLSKPNGRKGIFSGSPNIRKHSPVPNDSTTPSASALRSSIWLRLQFIIRLLPVIIADSNMRQTLASSLLSLVGTRVVYEDADSLEPYMDDVLLDCPSESLFDRLLCVLHALLGNSQPSWLKTKPSSKPAVKFLRDLSAIDKEVTKSLQCALDRMELPATIRRRIQVAMPILPTSRLSSITCGPPLLSSAALSPFQCSTSTAGPHQQFPLNWIPTNLSSRCKAALPSQDPNMEIDPWTLLEDGTSCPNTNSGSNSANGVTGDHANLKACSFLKDSVRVRRTDLTYIGSLDEDS >OGLUM10G17850.1 pep chromosome:ALNU02000000:10:21205473:21209591:1 gene:OGLUM10G17850 transcript:OGLUM10G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLKFPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLREEDRKASSITLLNWILLVSRVVWDKPGNLCLRQRRRLSARPASITFLSCSSSSATSSLTAPHRDLPWLAPALRRHTYIAASTLSAIASASCSDDMSRQSSRYSGENFSAPSIALYTPSPTLAFPLCQEHDDEQDEEEDDDDKYYCWWYRVVWIRWHMSFFTLKYGWRSSLRLDSH >OGLUM10G17850.2 pep chromosome:ALNU02000000:10:21205832:21209591:1 gene:OGLUM10G17850 transcript:OGLUM10G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLNPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLREEDRKASSITLLNWILLVSRVVWDKPGNLCLRQRRRLSARPASITFLSCSSSSATSSLTAPHRDLPWLAPALRRHTYIAASTLSAIASASCSDDMSRQSSRYSGENFSAPSIALYTPSPTLAFPLCQEHDDEQDEEEDDDDKYYCWWYRVVWIRWHMSFFTLKYGWRSSLRLDSH >OGLUM10G17850.3 pep chromosome:ALNU02000000:10:21205784:21209591:1 gene:OGLUM10G17850 transcript:OGLUM10G17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPSETQHGLDLKFPPLPDDDKPQLMEVEVELPTAHSVNLSSSPSSRTITSCGNLDEGFHGHVNFIAKGDCKNSEELFFAEVVTMAPEFIIQEVFQVFPLTNNTAISVEMSLSIQKMEHCMRVAMLLREEDRKASSITLLNWILLVSRVVWDKPGNLCLRQRRRLSARPASITFLSCSSSSATSSLTAPHRDLPWLAPALRRHTYIAASTLSAIASASCSDDMSRQSSRYSGENFSAPSIALYTPSPTLAFPLCQEHDDEQDEEEDDDDKYYCWWYRVVWIRWHMSFFTLKYGWRSSLRLDSH >OGLUM10G17860.1 pep chromosome:ALNU02000000:10:21208562:21211187:-1 gene:OGLUM10G17860 transcript:OGLUM10G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVSVSDESSEANGGGCCSSSTTPSMDAVNLSRTFSDVSSFSEEHGGSGSSVDHSGPFEPPSAAAVSRLIGRRSPAAAASALSLSRLSMKPRADVLDRRSTDDELELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYANAATVFGSCHKLEPLPAGKKTMWRREMDCLLSVCDYIVEFYPSSQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLIEILESFQKAEFWYADAGTRSFGSATSSSTMSSSSFRRSTHRNEDRWWLPVPRVPDGGISGKARKELQQKRDCATQIHKAAVAINTGVLGDMEVPDSFMAILPKSGKASVGDGVYRAMLGAEKFSPEYLLDCLDMSSEHEALAMADRVEAAMYVWRRKAGASHGRSRWGAVKELVADDEEQDKNVMLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDIGQAILESYSRVLESLAFNIVSWIDDVLFADKTVRKQ >OGLUM10G17870.1 pep chromosome:ALNU02000000:10:21216363:21217502:1 gene:OGLUM10G17870 transcript:OGLUM10G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARNPRNPRRRGAILAAVAPFLAFADYLRLRLVDRAWRLYCRRVGHAPPPFPWLMLPERESPPGAGAGAGAVARRVFYDVPGGRSYGYRVPSRDMHRCVATGHGWVVMVAVDAPRRVMLLNPITGDQRIVAWPFARWNARFHAVLTSSPAAGEAGCFLVVVADRLLAFCRPGADFQGWETLRAPGFRHHAALSDVVAVGATVYLVDERRRLWRADLADENPKVQRRDTGFALPSLELRRHYLVESLGHVLLVLSDERHNRVALYKLNWDARAWLPIAACPGERVLLLGRGCSAAVPPSSAAGRGPGTVLFAHQPSTLPDVDVAARGQAWFWSESRVGAAPGDLLVLKKTVPHRHGEFPADGDSFWFFPAVDPDENAR >OGLUM10G17880.1 pep chromosome:ALNU02000000:10:21217867:21222754:-1 gene:OGLUM10G17880 transcript:OGLUM10G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAARRVRRRRRDAGGCRGEAGVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPGMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTVKCLSDAGFFLDVDDITGNNTVEPFFRSLVALQGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIAILQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >OGLUM10G17880.2 pep chromosome:ALNU02000000:10:21217867:21222774:-1 gene:OGLUM10G17880 transcript:OGLUM10G17880.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAQRHWPWRLAVFVAAVVTPVAAEERLVVGMTLLQAATSTGAGEEFFLFFFSVCDFLVFDRLHCTAANRRRVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPGMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIAILQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >OGLUM10G17880.3 pep chromosome:ALNU02000000:10:21217867:21222754:-1 gene:OGLUM10G17880 transcript:OGLUM10G17880.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase family protein [Source:Projected from Arabidopsis thaliana (AT5G23870) TAIR;Acc:AT5G23870] MAARRVRRRRRDAGGCRGEAGVCLDGSPPAYHLHRGSGGGAGGWVLQFEGGGWCNDAPSCAERAGTRRGSTRSMDSLEVFSGLLSNDPGMNPDFYNWNRVKLRYCDGGSFAGDSELRNGSSVLYFRGQRIWDAIISDLLPKGLAKAQKVLLSGCSAGGLATFFHCDDLKGRLGDAVTGAEKNLNKDCLSSTDYPYQCFFPQYILPYIRTPYFILNSAYDVYQFHHNFVPPSCDPKGQWSHCKSDPGACSTSQIAILQGLRNAMLTALKPFQNEQGVGMFINSCFAHCQSELQETWLAPNSPRLHNKTIAELVGEWYFERGPGIEIDCAYPCDSTCHNIIPSNQNGDSGIHNGGPCMNIPPKFYSISLQMILYLSILHIAEQFIISYDI >OGLUM10G17890.1 pep chromosome:ALNU02000000:10:21229062:21237839:1 gene:OGLUM10G17890 transcript:OGLUM10G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKVEAKSPRGGGGWQGEASIERAVGASSFNDAPWCTPNPPELRRSGDGGAKKAAAVEDVGVALELAEDGLKELAVSPAVDTLGLMKASSRRFLSGKEGASFLLVSKGTKRLFGYCRELQATGLRFLQVIESSKAEREREAKVERRFWRWMMIGGRRRATSGDWRVEPTWVERRYDFFRLEVDEQHSEFQIVQVASNHQDGDYFFSCLELKIFSTPHWSITPSRQQQAQLRKRRVETKKKSVLATAPNASCILTPLAQEGERFFSSWEMLFLAGCVLEVATNMEFIVVAFYGSKIRDDATAPTFSFTDESIISTFLLLSISFCIPASSLLPQFLLVLSMGNRSRQRVAKEAMQSINEEADSPSREVQPGRHHMLKCPDGKPELHLEQIPNFHCKSLPSSRREAYQDDSIMHKRGSMYQSSSDVSRLRKLQEGRRKIDSALSRDSFMSFEIVDISSQPSTSGPYLSRQQSRSCKPSSSIDASSKAQQATREFLSLSLRELPDEHSRLGRPRKDCNLLKDCAGDGFLEISLDEDTSKSVHTRQIEGTCSKDARSNCQHSVDVYSDGSKHGEGDLVNKLPKSLSTKVGVFDATCPPESTHGANSTTKARSSPFKKILDPIMKSKSLRNPSLMEKEDAKHSSLLVEGKGRVLRKSLLSGISRTEQSLTPNCQQSKEAQVLTVTSSPTHLHAVLKLDPTNDSFGFEFCTKGPEESIYANIWKAGNELNWIYTFHSTGKRTSTVGKTPKDRRGCLPPIVGQMHVSSYLYSEVGQNGVLNNSAISEFVLYDIAHARRSSAVERIQCTDSSKPKFCSAVNNSISRGSLERNNLMERQNNTRNNSDASTSSLWSREDLHPHLEVAAIVIQVPFHKTQSKELKDGSSSGTIKVAAAGGAHGLPRDDESSPSPLLDRLKTGGGCDCGGWDMSCPIVVLDNAYDSHWVDSVMTESKHPMELPFQGNKEALPAISMKAVGNGHFSVDFHARLSALQAFSVCICLLHCSEVSSAIGIEKFKHKLYSSSLKMLLKDEVKQLIESVTTKENKKKKTKRRKEKTPPSIVLDPPFSPMGRV >OGLUM10G17900.1 pep chromosome:ALNU02000000:10:21242992:21244306:1 gene:OGLUM10G17900 transcript:OGLUM10G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAQAGQAGSLTSAVSGDVDRRRATAVRVAVGTAVGIECMRVGRALHWPRSRPAAAVGWLHRSPSEETQYDRELRDSYPARRIPDSQLDLAFRES >OGLUM10G17910.1 pep chromosome:ALNU02000000:10:21245392:21248256:1 gene:OGLUM10G17910 transcript:OGLUM10G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTRRCMDIYIFFCLTRAVTSPSLWSCFRLFSSPFLVHPLSVNCRESSPFFFRFFIYRNKKNPQYLLHSWIHLNLNQLANLQPFDQFTSSSSSSFSFISPDLVLVFLLVLLVLHRRKIHCLLLNLFFLRGEHFLLWFVFSWVCQAQVRPSMAIASRIQKRVLASFAAAAAAKLPEAAVAAAGGAAEAVEEVASSVQGQVQAQGAQVLEFGDTERLFAGERSTSLVRTLSVLQALSVGPLVDVATAALRSPAVAGSAAGRAAARATAYQHFCAGETAEEAAAAVRRLWRGGMGGILDYGIEDAEDGPACDRNAAGFLAAIDVAAALPPGSASVCIKITALCPVALLEKASDLLRWQQKHPATKLPWKVHGFPVLCVSSPLYLTAAEPPALEAEEERELEMAHGRLLAIGERCAEYDIPLLVDAEYATVQPAIDYFTFAGALAFNGGGRPIVHGTVQAYLRDARDRLEAMARAAQGERVCLALKLVRGAYLAREARLAASLGVPSPVHRSIQDTHDCYNGCAAFLLDRVRRGAAAVTLATHNVESGQLAAARALELGIGGGGDRGLQFAQLMGMADGLSLGLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSSSSFDRQLLRKELVRRFKAAMLGRE >OGLUM10G17920.1 pep chromosome:ALNU02000000:10:21249506:21250246:1 gene:OGLUM10G17920 transcript:OGLUM10G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVARMAAAVVPLNRRPIRGPAIHSRPRSSPCTSAPGTSGAGMRSCGGAPGRCAAMSGLTSNRWGPGSPASSLCAAAPNLAQPRRSTAHLVTRVCATSRTRVVVVTFTTFPSSLKRYSTDPVLVRPDDHARWEQGAEVAAATVVVVLVEVAPPHRDNDTVFFPDNLVAVLNKYDHAEMYYVGAPSESVEQDVMHSYGMAFGGGGFAISYPAE >OGLUM10G17930.1 pep chromosome:ALNU02000000:10:21250252:21250500:1 gene:OGLUM10G17930 transcript:OGLUM10G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARDHGSTPLRSSPPPTGTPRLSRVSEARGEDGEEVDERRPEVEHPRGRACATTTSTNDASPARRCTERSVDGSGLLGRV >OGLUM10G17940.1 pep chromosome:ALNU02000000:10:21250512:21258509:1 gene:OGLUM10G17940 transcript:OGLUM10G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILGRGRTIPIRGRYLCNVKMVAWRGRTMLRDFSKVRVQETEEKQGLGIGYWVDALQQKLHFSIPLVREGDGSIEVVADNNGWSFMGEDVVARSGISRKSRM >OGLUM10G17950.1 pep chromosome:ALNU02000000:10:21254995:21265070:-1 gene:OGLUM10G17950 transcript:OGLUM10G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGGSAREGHAGTLLQHHLIHGVGLAAAGKLVSSSSAAAAAAAGAGGFWEPRSVLDHRHSPSPSPPTSASTLSSPLADVAALAGANAKNVSVSPPPPGWVTGGGGGGGEEVVAAKEEWVHQLTPLDMGLGAGEGWDAAGNVLSDAAAAATSGMAPDNTFLRWIIGGGEDASAAMAGVMDPPVLELDHGGGGGAAPAAFGPFAPPPAMEDTKPVVPFAAGHPPPNFLLQHHHHHPQPHAAFFGAHHPSFDAAPPPSKRHHPMAAAPAPKLPPFPAGGFVPALKPKAEAANDEAAAAVEQLAEAAKLAEAGDAFGAREILARLNYRLPAAPTAGTPLLRSAFYFKEALRLALSPTGDAPAPSASTPYDVVVKLGAYKAFSEVSPVLQFAHLTCVQAVLDELGGAGCIHVLDFDIGMGEQWASLMQELAQRRPAAALKVTALVSPASHHPLELQLIHENLSGFAAELGVFFHFTVFNIDTLDPAELLAIATAGDAVAVHLPVGPAHAAATPAVLRLVKRLGAKVVVSVDRGCDRSDLPFAAHLFHSFHSAVYLLESIDAVGTDPDTASKIERYLIHPAIEQCVVARHRAASAMDKAPPPPWRAAFAAAGFAPVQATTFAESQAESLLSKVHVRGFRVEKRAGSLCLYWQRGELVSVSAWRCRVPCRNGVVLLLPLPVLEMQRKGGNHGVKRPCFTEMAAKHLRVRTGTSRTAAATLDGYTTLTWDSAPEWPWHRMHKPDRLRWAHWRVTGEPQCAAGAVAIGQASGHTGVRDTAGANWQETCIVVTEMDVKLADKFRKKWYHSSKLQSKNVVCAGDSQSAQGQEFTLFPPPTSAHFSRSLVSHLQPLKYHESIAERRCTVGLIKQDLKVQNQGGESEASRHKGLQISFRQVQISLRKPNRKCKHSGQTVKLINENCYYLSTKRNNLKMKEVNYRCYIYAEELHPLKVMFRNTQAKICQIDVQKS >OGLUM10G17960.1 pep chromosome:ALNU02000000:10:21276415:21276791:-1 gene:OGLUM10G17960 transcript:OGLUM10G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGMLDLLLKTLEDIEKRRTEAKERNRADLNEFMAAVEARLPQVEKRVGDLHSSLGDLSAKVEQLESAMLRQAKAEKAVGDIKEEPTAASPSPTPSISRSTRRG >OGLUM10G17970.1 pep chromosome:ALNU02000000:10:21278940:21282525:1 gene:OGLUM10G17970 transcript:OGLUM10G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRVLGGQGAAARAAASALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAATLNDKASKIPYMKSPQELICSLKVSADSRVTIHVKQLASSVFQIKH >OGLUM10G17970.2 pep chromosome:ALNU02000000:10:21278940:21282438:1 gene:OGLUM10G17970 transcript:OGLUM10G17970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLTAAGSRVLGGQGAAARAAASALRHRAGMGLPVGRHIVPDKPLPTNDELVWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGLAATLNDKASKIPYTPKVYPFDNLREELGDRP >OGLUM10G17980.1 pep chromosome:ALNU02000000:10:21282856:21283344:-1 gene:OGLUM10G17980 transcript:OGLUM10G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAHKNSSRNKLAVAAIVALMSLLVFAAAPSEACGGGCNGGACRSRCAKPTPAPARRAAGAKCPFDALKLAACADVLGGGGGGGGLLNLGHLLGNSSPSSSGEQCCGLLAGLADVDAAVCLCTALRANVLGLVGVELPVQLSVLVNRCSRKLPNGFQCSSN >OGLUM10G17990.1 pep chromosome:ALNU02000000:10:21285518:21285940:-1 gene:OGLUM10G17990 transcript:OGLUM10G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKVAPVLALSLLLLAVAAHGCEPHCSGGGGGGAPAVVIPTPTVVVPLPSYDRHGHCPIDALKLRVCANVLNGALGVNVGHGPYDCCPLLAGLADADAAVCLCTAVKANVLGVNLNVPVELKLILNKCGKTCPSDFTC >OGLUM10G18000.1 pep chromosome:ALNU02000000:10:21289731:21290159:-1 gene:OGLUM10G18000 transcript:OGLUM10G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIAPLLALTILLFAAAAHGCAPYCPGGAPPVIPTPPVVVPTPPSHHHHGGHGHGRCPIDALKLGVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAIKANVLGIINLNIPVDLSLILNNCGKICPSDFTC >OGLUM10G18010.1 pep chromosome:ALNU02000000:10:21292365:21292823:1 gene:OGLUM10G18010 transcript:OGLUM10G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEKKAITGSAATAAAPPPAAAQVIAGAAKYVVADEQKKKKVRMPDNYVASILTLKRNPRRSPEYMESLSPEEREGEVEDAELGDEFEAFQEEVRRAVENDGCYMVGESYFAETAAIQAAMEEEWAKIDMSRVIFGDWDYDDPESVQYL >OGLUM10G18020.1 pep chromosome:ALNU02000000:10:21293623:21293982:-1 gene:OGLUM10G18020 transcript:OGLUM10G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKVVAPLLAFTLLILAVAARGCEPNCSGGPVIPTPTTPSYDRHGHCPIDALKLRV >OGLUM10G18030.1 pep chromosome:ALNU02000000:10:21296951:21297376:-1 gene:OGLUM10G18030 transcript:OGLUM10G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVVAPLLALTLLLFAVAAHGCEPNCSGGGGGGAPAVVIPTPTVVVPLPSYDRHGHCPIDALKLRVCANVLNGLVGVKIGAGPNECCSLLQGIADLDAAVCLCTAVKANVLGINLNLPVDLSLILNKCSKIYPSGFTC >OGLUM10G18040.1 pep chromosome:ALNU02000000:10:21300242:21300631:-1 gene:OGLUM10G18040 transcript:OGLUM10G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITKVAPLLALSLLLFAVAAVHGCEPYCGHGGPVIPTPPSYHRHGRCPIDALKLRVCANVLNGLVGVKIGAGPDDCCPLLSGLADLDAAVCLCTAIKANVLGMKLNLAVDLSLILNKCGKICPSDFTC >OGLUM10G18050.1 pep chromosome:ALNU02000000:10:21304359:21306841:1 gene:OGLUM10G18050 transcript:OGLUM10G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEKVFVALPAEAKSGRSTLSWALGHFRATVIVVTHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLRMKMKCEKLVIENENVVDGLVELINLHGVTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKIWFVCKERLICTSGVEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEIKEACKAADNLMMRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEEALLRASEEIAQLKQERDLPKNDQNTTMEEQKEVISDNLILEASGQIIKPLQEYLDHDENCVREPETLLIQRKLAASFSPSSVMQSPFDEDCCIPSYLICPILQEVMREPCIASDGFTYETDAIRSWLDGGRRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSFS >OGLUM10G18050.2 pep chromosome:ALNU02000000:10:21304359:21306647:1 gene:OGLUM10G18050 transcript:OGLUM10G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEKVFVALPAEAKSGRSTLSWALGHFRATVIVVTHVHVPPQMIPVMGVKFHASKLNPEQVSLFRMAERDKVDKQLDHYVNQCLRMKMKCEKLVIENENVVDGLVELINLHGVTKLVISAAPDRNYSRKMDKPASRTATEIMQRADPSCKIWFVCKERLICTSGVEVEIAPGHTPFIPDTGHDALQLTLHQEQDDNNESELGFYDEIKEACKAADNLMMRALRESYRRQKADEEVVSSLQKAKEYEELYLEEVKKRKELEEALLRASEEIAQLKQERDLPKNDQNTTMEEQKEVISDNLILEASGQIIKPLQEYLDHDENCVREPETLLIQRKLAASFSPSSVMQSPFDEDCCIPSYLICPILQEVMREPCIASDGFTYETDAIRSWLDGGRRVSPITGQPIVHQQLIPNLSLRSVIQDHARRNQYSFS >OGLUM10G18060.1 pep chromosome:ALNU02000000:10:21312005:21312321:-1 gene:OGLUM10G18060 transcript:OGLUM10G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDELVAMMGLARELMATQCPDLVVAIGGEARVPDLVITDGGRWRREQGARSPVRVPCRWR >OGLUM10G18070.1 pep chromosome:ALNU02000000:10:21314183:21314584:-1 gene:OGLUM10G18070 transcript:OGLUM10G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAVASACTYCPEPPTPKPKPPAPRPPTPGGGAGSCPRDALKLHVCANVLGLVKAKIGAVAPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGLNLNIPIDLSLILNNCGKICPSDYQCA >OGLUM10G18080.1 pep chromosome:ALNU02000000:10:21317627:21318001:-1 gene:OGLUM10G18080 transcript:OGLUM10G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLAVAVAASACGTDCPPAPRPRPSTGSCPRDALKLRVCANVLGLVKAKVGAVAPYEPCCSLLDGLVDLDAAVCLCTAVKANVLGIKLDLPVDLSLILNNCGKICPSDFKCVH >OGLUM10G18090.1 pep chromosome:ALNU02000000:10:21320885:21321283:-1 gene:OGLUM10G18090 transcript:OGLUM10G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAALVLAVSLLVVAMAVACPDCPSPKPPAPRPKPPTPHYGGGSSCPRDALKLHVCANVLGLVKAKVGAVSPYEPCCSLLDGLVDLDAAVCLCTAIKANVLGIKLNLPIDLSLILNNCGKICPSDYQCVH >OGLUM10G18100.1 pep chromosome:ALNU02000000:10:21324774:21328571:-1 gene:OGLUM10G18100 transcript:OGLUM10G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent caseinolytic (Clp) protease/crotonase family protein [Source:Projected from Arabidopsis thaliana (AT4G31810) TAIR;Acc:AT4G31810] MPTLAAAAAAAARRAGGALRYAVLGGVRSLSSLQPSSSSSAAAAASEEVLVEGKASARAAVLNRPGHLNALTTTMGARLNKFYMSWEDNPDIGFVMMKGSGRAFCAGGDVVRLHQLISEGKLDECKDFFKTLYSFIYVLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYLALTGEKLNGVDMIALGLATHYSMSDRLNLVDERLATLLTDDPSVIDTSLTHYGDLVYPDKSSIVHRLEVIDKCFSLETVEEIVDAMEIEAARLNEDWSTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSINGISEKFSHEFREGVRARLVEKDLAPKWDPPALEYVSADMVDSYFAPLGEFEPELTLPTESREAFV >OGLUM10G18110.1 pep chromosome:ALNU02000000:10:21336636:21338812:-1 gene:OGLUM10G18110 transcript:OGLUM10G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphate phosphatase A [Source:Projected from Arabidopsis thaliana (AT5G51460) UniProtKB/Swiss-Prot;Acc:O64896] MDLKTSNSPVIADPLPKLALPSAVMTYTTPTSFPSTGLYLNTPKKKPLPGKIEEVRAAGWLDLMLASSPPRKRQTKDFANDVQADELDLLYRNWVVNHPSALTSFEDIVNLARGKRLALFLDYDGTLSPIVDNPENAVMSDEMRSAVKHVASLFPTAIISGRSRDKVFDFVKLTELYYAGSHGMDIMGPVRKSDSSGQHVECIRSTDSEGKEVNLFQPASEFLPMISEVYKKLSESIKDIDGARMEDNKFCVSVHYRNVAPHDYGEVHQRVTAVLKNYPCLRLTHGRKVLEVRPVIDWNKGKAVEFLLESLGLCGKEDVLPIYVGDDKTDEDAFKVLKANSIGFGILVSSVPKDTDAFYSVRDPAEVMEFLKKLASWKEEST >OGLUM10G18120.1 pep chromosome:ALNU02000000:10:21349456:21349719:-1 gene:OGLUM10G18120 transcript:OGLUM10G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSCSSGLIDWCACADDLCEGDAKNAHLMSLDGVAERLRLFKADLLDYGSVAAAITGCNDVFHVAYPVLLSAPNPGAHLSLFSAK >OGLUM10G18130.1 pep chromosome:ALNU02000000:10:21351170:21353072:1 gene:OGLUM10G18130 transcript:OGLUM10G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin family protein [Source:Projected from Arabidopsis thaliana (AT4G22580) TAIR;Acc:AT4G22580] MPNPLFFLLLLAVAAAATAAAGEGGATRKDPCAGRRIHIRRLPPRFNAHLLRHCDAGFPLADPSTPATSSPPCESLVNHGLGPRTHASSRSWYRTDTRLLEVFFHRRVAERGCLVADPALADAVYLPYYAGLDSLPYVLDPALLDSSAQHGAELAEFLARDRPQILARRHGHDHFLVLAGSAWDYSQPVRAAAAAAAAAEARLWGTTSLLRLPALGNLTFLTLESRAWPWQEHAIPHPTSFHPASLPRLRAWLARARRARRPALMLFSGGVSRPSRPNIRGSILAECANRTDACVVVDCSGGRCSHDPIRYMRPMLHARFCLQPPGDTPTRRSTFDAILAGCVPVFFEDAAARRQYGWHLPPERYDEFSVYIPKESVVFGGVKIAETLAAVGEGEVRRMRERALEMAPRVLYRRHGSTAELSETAKDAVDLAVDGALRRIRRRLTILCMMEGGKHQFSLGSDLFWTLGLKKWF >OGLUM10G18140.1 pep chromosome:ALNU02000000:10:21351721:21356281:-1 gene:OGLUM10G18140 transcript:OGLUM10G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDK4] MKPPQNDKLQYHTPPLPSKSQQKSEAKSRTHRLAMPSPSLRLAVVGAGAAGLVAARELRREGHSPVVFERAASVGGTWLYDAAPATSDPLAAGAAHSSLYASLRTNLPREVMGFLDFPFASSAAEAGGGGDTRRFPGHDEVLRYLEEFARRFDLYGLVRFGTEVVRVRRDGGGGGGRWAVTSRKIGEKGRREEEEEVYDAIVVCNGHYTEPRVAHIPGVEAWPGKQMHSHNYRVPEPFHDQVVIIIGASASAVDISRDLAGVAKEVHVADRSAPACTCKRQPGYDNMWLHSMIDHAQEDGCVVFQDGSSIKADVIMHCTGAITVDDNCVDPLYKHVFPPEVAPHLSFIGLPWKVIPFPLFELQSKWVAGVLSGRVKLPSREEMMEDVKAFHSKMEARGWPKRYAHNFSDCQFEYDDWLAEQCGHPPIEQWRKLMYAATSENKAARPESYRDEWDDDHLVAEAAEDFKKYFARTRLRILRSAPSTARSTASLAVSLSSAVLPCRRTLGAISSARSRILLTSPSPTAASVSAILTPPNTTDSFGMYTENSSYLSGGRCHPYCRLAAASSKNTGTQPARMASNVERRVGVSPGGWRQNLACSIGRMYRMGSCEHLPPEQSTTTHASVRLAHSARMEPRMLGLEGRDTPPEKSISAGRRARRARASHARSRGSDAGWNDVGCGIACSCHGHARDSSVRNVRLPSAGSRSSDVVPHSLASAAAAAAAAARTGCE >OGLUM10G18150.1 pep chromosome:ALNU02000000:10:21359803:21390871:1 gene:OGLUM10G18150 transcript:OGLUM10G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHVRSGLGSPDASSNSSKRKRGSCFAERNMDGRNSIRIKPDVGVGAREKCGGGGGHRYVIDLEKPATSDDDVEFVSYAGFGNRSQDRRYASAENCSTAGSSQLCVERNASRVSPGSVGSSDTPDCQSPIKPDNSESRHLLIDLNVPQEESLHVFYAPSQITCPTLVNSSSSHPGEFWNGSSNVYRKECGSGVGSSKGSSITVVAPSSAPDSSREVVAAYQFHDPKNLHGNIHARENSQHEHAVDKLCGSSSQYFLPQQRFSVSSCGRNDSSSALQKSGDNHVACQSGQPPLAVHTELQHDTSIVISSGEEKVLFDLNVPAESIDMESTITSNSFRDKLVKNDGSEETVTDHSFSKRNGVHAETSIEERTVGEHHISVSKDGNTTFFQESINNEIDKAQSSDLISVSSKHLIAETPHVDNIVCPELRESPDGASSPQETLIGNCDKMVCIAAETLVSIFSSSACTTDCPGTDSQTAAEDVNDEPQHSLDSYEEIVLNVEEIRDDGESIPVIPPDKDGPSCGIKLRRGRGLRNFLREIMPGLVSLSRHEICDDLHAIGYEPRKTRSRKTFGAQGSSSTRGRPPKHRPTARK >OGLUM10G18160.1 pep chromosome:ALNU02000000:10:21394514:21397472:-1 gene:OGLUM10G18160 transcript:OGLUM10G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAWVRSLSCRSYGVADAVVAPSPSKKLQSPPVSCAAAKDDVRNSVAFVRHSAKKPRREEERPRREPRPRPKKKKPKQVLAASVAFMPSSPAASSAAAAPVRSAFLTMAELPEGHSSRRVVELIFSSGWGAAAAAAAAPGPTVEAMFRVHSAARAEQETIP >OGLUM10G18170.1 pep chromosome:ALNU02000000:10:21403489:21409031:1 gene:OGLUM10G18170 transcript:OGLUM10G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAREMHPLCCLVADCALGGGGGGGGGEGGGGDCSPTKAAMGGEEEVVAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPPPEAAAAAAANGVRLIGVPRGGVGDQPIGFVPLKISSFSESKSDDKRFYIITPTKTLQLRTGSAKDRVAWIEALVSARSEYSLNGGVPCDQNEGSFSTEKLRNRLHAEGVGEATIKDCEQIIHSEFSQYHTQMKQRCEDYLNFIGSLPRELEVVNSGDASAIEKPQSELFKHDCSSSGKCSEYSNTESSDDAGKQEVGQLSDEDEFHFYDTRQSFSDTAASPDLKMRCSNSGSGAHKFGELLAIDKTNEYLLSSSKRRSELPVPIEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSNLLDRAYEYGSRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQLDPSGVLTLQFDDGETFQWSKVTTTINNLIIGRVYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGLVTDANGTKVAFLMGKWDESMSCIIGDDASKVNSRNANQSTGATLLWEKNVPPANPTRYNLSSFAITLNELTPGLKEKLPPTDSRLRPDQRHLENGEYEKANSEKLRLETRQRMARKMQESGWKPRWFQRDTEDGTFRYVGGYWEAREQRKWVGCNDIFGNNVSTLCTSASI >OGLUM10G18180.1 pep chromosome:ALNU02000000:10:21412405:21417269:-1 gene:OGLUM10G18180 transcript:OGLUM10G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLPETAAEGKALTDAWDYKGRPAGRAATGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADTYLGRYLTIAIFEAVQATGVMILTISTAAPGLRPPACGDPKGASAECVAADGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDESDVDGERKKMMRFFNWFYFFVSLGALLAVTVLVYVQDNVGRRWGYGICAAGILAGLAVFLSGTRRYRFKKLVGSPLTQVAAVTAAAWSKRSLPLPSDPDMLYDVDDAAAAGHDVKGKQRMPHSKECRFLDHAAIIDRSAAESPAAASKWRLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFAVAQAELMDRRLGGASGFLIPAGSLTVFLIASILLTVPFYDRLVVPVARRATANPHGLTPLQRVFVGLSLSIAGMAVAAAVERHRATASPSAAAAPTVFLLMPQFLLVGAGEAFTYMGQLDFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVHKVTGHGARGGGWLADNLDDGRLDYFYWLLAVISAINLVLFTVAARGYVYKEKRLADAGIELADEETIAVGH >OGLUM10G18190.1 pep chromosome:ALNU02000000:10:21430901:21433462:-1 gene:OGLUM10G18190 transcript:OGLUM10G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDK9] MPRTVAVVGAGAAGLVAARELLREGHAVTVFERSARVGGTWAYDPRSDPDPPCLDTAAPGAAAVHGSLYASLRTNLPRELMGFSGFALAGRVFAGDPRTFPGHREVLAFLDAFAVESGVAGRVRLRAEVVRVGPLAGHGERWTVAWRGEGGVEEEEEEVFDAVVVCNGHCTVPLVPKLRGIGNWQGKQMHSHNYRTPEPFQDQVQDAVSVTVSIVVVVGLGASGVDIAREISNVAKEVHIASRYTEDRLGKVDTFQNTWLHSEVDCIQDDGQVRFSEGSASIAADTFLYCTGYRYHFPFLDVEGVTVDGNRVGPLYKHVFPPKHAPNLSFVGLPVKTIMFQSFELESRWVARALSGRAELPGEEAMAAAVEEDYRRMDAAGKPKRHTHALMPDWLTVFRPLVAATIDERQVEYMDWVAAQVGEPPMEARRREIYEKALRCIWSLDDSYRDSWEEEEEEENR >OGLUM10G18200.1 pep chromosome:ALNU02000000:10:21438757:21439257:1 gene:OGLUM10G18200 transcript:OGLUM10G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRASASCALFLALNLLLFAITTACPSCGSGGGGHGHYGGGGSSGGGGGYGGGSGGYGGGGSGGGGYGGGGGSSTSGWYGKCPTDALKLGVCANVLDLIKAKAGVPATEPCCPLLNGLVDLEAAVCLCTAIKANVLGINLNLPIHLSLILNFCGKGVPTGFMCS >OGLUM10G18210.1 pep chromosome:ALNU02000000:10:21440729:21442636:-1 gene:OGLUM10G18210 transcript:OGLUM10G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGVGGGAARPLTASRRLLARSASTTASRAGGAGAFVYDGMRPAPLFSSTNFARSLRKAASFGGGGKKQYSADDDGAVAVKAAVPPRRALSSKENTVHELGTAAARGPWEPARRPRRSSSGGSSSPENAGSTRGSAVLRDMMTRRKEEPEKEEAAHRARMLAARLLQWRFANARMEKAMARATAAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLSLLASWDSLAKPHADAVDDLGAVLAAACTALPLADGAQGDMESLHEAMFACVGTVNDIEANADMFFATAGVTSSTLEELSTTIKQEVEGLQEAMKLARIVTSLQVQEVSLRANLIQIQAKQKVDMGASVPAIATSGWCF >OGLUM10G18220.1 pep chromosome:ALNU02000000:10:21450718:21454448:1 gene:OGLUM10G18220 transcript:OGLUM10G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWPPAAWRRWGVVVVVVAALMAVSATAAVEELGGRERGVVAAAAQGRWPRHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLARIGADADRVLIASADVPADWVRAMREEDGMRVVLVENMKNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQKTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEIGRANSDGADQGFLVGCYPDLLDRPMFHPPENGSKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEILMYAVIITITRLAKPGMTKLCYNRRPEKQNAMVQGLIKMSAIVAMLIAYAIPFFIIPRTVHPFMGWSMYLFGALALGVLVSNAFLLPLLAVLTPWLAIIGMFFVMAFPWYHGGIVRVLAIFGYAFCSAPFLWASLVRVMDSLQTMLEREPFFPRLGEPAQETEFSKLF >OGLUM10G18230.1 pep chromosome:ALNU02000000:10:21454144:21456126:-1 gene:OGLUM10G18230 transcript:OGLUM10G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12244) TAIR;Acc:AT1G12244] MAAAKPVEPLPLLRAGADTAAAAALRRFQLPPQPPRSVRANAIRASPPSNGAGSPDELPAALLPNAARRRDGCGFSLGVDLGEARTGVAVGRGITLPRPLTVLKLRGQKLELMLLDIAQQQEADELIVGLPVSADGSETPQSNKVRSVVGRLAVQAADRGLRVYLQDEHGTTIDALEFMISRGVKRSARDVKSDAYSAMMILERYFSSSGQGAKIVLPKQPQLQSKLLEKSRQDAQAYIAADTK >OGLUM10G18240.1 pep chromosome:ALNU02000000:10:21461827:21462906:-1 gene:OGLUM10G18240 transcript:OGLUM10G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHTCKLCFRRFHNGRALGGHMRSHVMAAAAAAAYCPSSPAMSLASTSSTEIEMDEKKEMTKKTEQEKTLTSYVLRENPKRSYKVSAGEFSGGGGGGGGGGESSVVQDGESDTESSPPRGGAGSFFAVSRRRSKRARRRRRAPDPEPASSVSDATTEEDVAMSLVMLSRDSWTRSRSEHETHHRGASSEAEQNNDNVVNVFDEEDEDARDVAGEDHDEELSYGGGEAAAARHRTSRFQCGACRKVFRSYQALGGHRASLKRGKGGGCVPPPRPAPASSAAAPAIHECPFCFRVFDSGQALGGHKRAHMPSGGARPSPSPSPAKCGESSGSIDLNMPATMEDDFELSAVYDAEFASARQ >OGLUM10G18250.1 pep chromosome:ALNU02000000:10:21492135:21499326:-1 gene:OGLUM10G18250 transcript:OGLUM10G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQGTQYPYKYLLPLLVLLLPVLASLLLFSELKVFELARMAACRMGSKVAAILAILSVLVVHGSCKGHPVNYNVSDASAYGSGWLPARATWYGAPTGAGPDDNGGACGFKNVNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCNKDPSCSGNIETVIITDMNYYPVARYHFDLSGTAFGAMAKPGLNDKLRHSGIIDIQFRRVPCNYPGLKINFHVEEGSNPVYFAVLVEYEDLDGDVVQVDLMESKSAITN >OGLUM10G18260.1 pep chromosome:ALNU02000000:10:21499553:21500798:-1 gene:OGLUM10G18260 transcript:OGLUM10G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASAKVVAMLLSVLATYGFAAGVVYTNDWLPAKATWYGQPNGAGPDDNGGACGFKNTNQYPFMSMTSCGNEPLFQDGKGCGACYQIRCTNNPSCSGQPRTVIITDMNYYPVARYHFDLSGTAFGAMARPGLNDQLRHAGIIDIQFRRVPCYHRGLYVNFHVEAGSNPVYLAVLVEFANKDGTVVQLDVMESLPSGKPTRVWTPMRRSWGSIWRLDANHRLQGPFSLRMVSESGQTVIAHQVIPANWRANTNYGSKVQFR >OGLUM10G18270.1 pep chromosome:ALNU02000000:10:21511893:21513286:-1 gene:OGLUM10G18270 transcript:OGLUM10G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISSKKAAVAALFSFLVVTCVAGARPGNFSASDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCVNHPACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGQNDQLRHAGIIDIQFKRVPCNFPGLKVTFHVEEGSNPVYFAVLVEYEDGDGDVVQVDLMEANSQSWTPMRESWGSIWRLDSNHRLTAPFSLRITNESGKQLVASQVIPANWAPMAVYRSFVQYSS >OGLUM10G18280.1 pep chromosome:ALNU02000000:10:21545735:21554037:1 gene:OGLUM10G18280 transcript:OGLUM10G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAAAAAATTASASHQPPSPVRTLAVCGGGAATRRHRIRCVRSHHCASRTPAGLVPLARRNPSRRGERGCYEATPWRLRAFWWRRRAPRALRLSRF >OGLUM10G18290.1 pep chromosome:ALNU02000000:10:21554107:21557201:1 gene:OGLUM10G18290 transcript:OGLUM10G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSSLAAAAVFLSLLAVGHCAAADFNATDADADFAGNGVDFNSSDAAVYWGPWTKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGKGCGSCYKIRCTKDQSCSGRSETVIITDMNYYPVAPFHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGSIWRLDTNHRLQAPFSIRIRNESGKTLVANNVIPANWRPNTFYRSFVQYS >OGLUM10G18300.1 pep chromosome:ALNU02000000:10:21559044:21562597:-1 gene:OGLUM10G18300 transcript:OGLUM10G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDPVGGGGIGGGGGGGHRVVSGGGGGFMPVAVPTSDQYYEVAELTWKKGNISSHGLLLNRPAPPKFPPHQQLQAAMGGGGGGGVVGDRETLEAVVGEAAARSSSSSHLAARARPVPAPWLGSVGVTDKASMLDEVIDYLKQLQAQVQVMSRMGSMMMPMGMAMPQLQMSVMAQMAQMAQIGLSMMNMGQAGGYAPMHMHTPPFLPVSWDAAASSSSAAAADRPPQPTGAATSDAFSAFLASQAAQQNAQQPNGMEAYNRMMAMYQKLNHQQQQQDQPSNSRQ >OGLUM10G18310.1 pep chromosome:ALNU02000000:10:21575791:21594803:-1 gene:OGLUM10G18310 transcript:OGLUM10G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEECVKFLKEIMSNTTYETAEGAVQQPQVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDQMGSVFAEPLNTSDALERYQQVSQKLDTLITKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAHKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLMNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVLTAFANAFHVLQPLRVPAWRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >OGLUM10G18310.2 pep chromosome:ALNU02000000:10:21575791:21594803:-1 gene:OGLUM10G18310 transcript:OGLUM10G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFNSAVAEDVRSLVQGVDDSTFDSTHRELCQLADCSPDGCSLLLQVCLDEVLLNADVAKSSRLKPELLSTVFKYCLDKPYFSTSFCEALKTVHVSDMFLVKLSNELNLSAGERVGVGLALSDSGNLGLITKGQKFSIAEIEEICANPAHVLTNDQIHDIVVFLHQTDGLSKHMDSFTNIISLLNVKEMPFYVPAPIKEGNARPTISSRHMELYTGSLDDDFDSLLSEIGKEISMADIITELGYGCTSDIAHCKEILSLFEPLDDMGISKLLGAVVCTRVGLGEAHNTYSTFMSAVGNSQPSDSSQFTAWNIDVLVDSINEIAPRTNWITVVENLDHEGFCIPDEAAFCLLMSIYSRACKDPFPLHAVCGSLWKNTEGQLSFLKHAVAAPTDTFTFKHCSRKMVFPEFANRMQGNQAWYCLDLLEVLCQLAELGYATMVRSMLDYPLIHCPDVLLLGVSHINTPYNLLQYEVLSCVFPMILKDTTYSSLMNSLWHVNPYLTLRGFVDSHSDANCLLRTVEICQDLTILSAVLDSTPFAFSIKLATVAFRQSHSNLEKWLVEKLTAQGETFLEECVKFLKEIMSNTTYETAEGAVQQPQVLQSHSGQLLSNQLVEELRRVEAVHESRNHGVVGRDAPTSEGGPDDIEAQANIYFHQMFSGQISVDAMVQMLARFKESTNKRELSIFNCMVSNLFEEYKFFPKYPDTQLKLAAVLMGSLIKHQLVAHLGLGIALRSVLDALRKSIDSKMFMFGTTALEQFMDRLIEWPQYCNHILQISHLRATHAELVAAIERVLAKISSSQNEPNVGSMLSADQHGSSSIGNMEASEASWQLINPTPTQLERSHQQRHQGFLGERSKGSTNIIQAKNILSSGQMPLASSPGDLAVNLKAATTPSSQASPHHSTTVSAPLQPTGFLRSRSSAPSGIRQPSFTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAREFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEMVKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIIEAYERGLMIAVIPFTSKVLFKNLSVDMKDVKPSSLLKDRIRQIEGNPDFSNKDVSASQAPVVAEVSSGVMPTINHVEPQPEINSTSRATSLPNMLSQYAAPLRLPTNNMVEDDKAALIMPEQLMAAIPRADIYFRINEKLSSFGSLQYSKIMDMALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMEADDSAVSRSAHLMVGTLAGSLAHVTSKEPLRVALSSHLRSLIQGITNNTESTEQIMLILVNDNLDLGCALIETVATRKAVEMIDGEIKQPFSQLRRQKELLGSAYYDAFPYTQGLKRVPDALRPKPTGHLSAAQRRVYEDFITVWHSQSSQNAGGSATATAMAVAPSNSSVPRVYSPNSALTDSSSFSSHFASASQTTELVHEESDRNAHLSSLSSKIGASDTSTQVIGTTNVASVFPPMVPNDLPVGEPTTTNKDLVTSAPLSPTTAVDQMGSVFAEPLNTSDALERYQQVSQKLDTLITKDGKDAEIQSVIAEVPDILLRCVSRDEAALAIAHKVFRSLYDNASNSNYVTWLLAALVAIRDVCKLVVKELTSWVIYSDEDKKFNIDIIIGLIRSELINLGDYNVHLAKIIDGGRNKAATEFAISLVQTLITQESISISEVYNVVDALSKLAIRPSSPESLQQLIEIARSFASVKDENIRQSRDKKVLSGRPLMNKEENNANDVAFTDAVGFQEKVAVSFSEWCNICDHPTMGDSAYTHYIVQLQQDGLLKGDDLTDRFYHILTELAVAHSVVSEQVVAPGGISQQPTQQLQISYFSIDSYSKLVALVVKYSSVDIGPSKGSLFNKILSVIVRIIQRDAEEKKVLTAFANAFHVLQPLRVPAWRSFMPKLLLCNAQKGWPFFQRLLVDLFKFMEPYLRNAELGQPIHLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIPPRIMSDVDGALKSKQMKTQVDEYLKRPDGSFLTDLKQKLLLPQNEANIAGTRYNVPLVNSLVLYVGMQAVQQLQLNKMNASASAQQMNQSQLDVQIETATELFRNLVMNSDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFSEANQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWARSFTRCAPEIEKLFESVARSCGGKGGDDGVGLPDGGH >OGLUM10G18320.1 pep chromosome:ALNU02000000:10:21624259:21651854:1 gene:OGLUM10G18320 transcript:OGLUM10G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRIIGICKELVRGRSSLDSSRFSIETVSGGSITNMLLSVSVEDGKGNKSSVTKRVSGSPNTLFRGASRCCHHYPHASPVVCLYPRASGSIAAVDQEAMASLMVGIGNGTTSTPMGPKPARLLWVPSSQSNVGLLRGLQYRDRCACSRPLAKKYILELLFASSPASVTAATMKECVLDEYSTKHRISIDRFLQLKIFVKLLAS >OGLUM10G18330.1 pep chromosome:ALNU02000000:10:21662538:21665271:1 gene:OGLUM10G18330 transcript:OGLUM10G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEWEMAMGVDLGMGMSTYHNASGGIAAAPMMGHHGGGGGGGGYSAAHHHHHHYYGMPHQAAMGDAMRVDDLLDLSNTPGAHDFFPASAAAAAAGDHGHHHHHHHIGGMGEPSGATPSATSSDHQTSMLSFADDFYIPTEDAAELEWLSKFVDDSYSDMPNYQSSAHAAMAAAAASAANNGGGSSAGQDSCLTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPSGAARPNGSGGGSRGKKSPGPAGAEVGMEAGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELLIIRGSHRDAAAAAAAAAAAAAAGSAAATGRPELMFRDYGVC >OGLUM10G18340.1 pep chromosome:ALNU02000000:10:21671977:21678370:1 gene:OGLUM10G18340 transcript:OGLUM10G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVRRHGLQVDGRRRRVDVEVHRPVLRRVEVEPPVLWGEGVAEDAERPVERHAVAVVAGVEAHLDVGRLVVVRLDEAHGDRPRPPRERALEHGTHGGRRQVADGGRRRDGVGQHDRRVRPRPPRLALEEREPADHAALRPRRERDGHGALHIIVPDAAARRHDLIERGVIEPAGDRQAEPRLVPPHGDLEVLVEGVRRVTPRLRHVPEPRQVPLQLREPRDMAPSPRVEQLLERVPRHAAVPVHHGEPEHHHHRHGGDQARRGSRAAT >OGLUM10G18350.1 pep chromosome:ALNU02000000:10:21675235:21676248:-1 gene:OGLUM10G18350 transcript:OGLUM10G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLGLPVVHGHGGVAWHSFKQLLDAGRGSHVTGLAELKRYLARFGYMAKPGRDTTDAFDEHLEVAVRRYQTRLSLPVTGRLDNATLDQIMSPRCGVGDDDVERPVSVALSPGAQGGVVSRFTFFKGEPRWTRSDPPIVLSYAVSPTATVGYLPPAAVRAVFQRAFARWARTIPVGFVETDDYEAADIKVGFYAGNHGDGVPFDGPLGILGHAFSPKNGRLHLDASEHWAVDFDVDATASAIDLESVATHEIGHVLGLGHSTSPRAVMYPSIKPREKKVRLTVDDVEGVQALYGSNPQFSLSSLSEQGTSSSSPRRLLAGSARLLCTVLVILVTQL >OGLUM10G18360.1 pep chromosome:ALNU02000000:10:21692467:21705285:-1 gene:OGLUM10G18360 transcript:OGLUM10G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPLETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKGLHRRPNPLSMAHGTAQTYHNPAVSPRAPLPAATGGGAPAGPAPDGLTNCAVPEVAPRRAPSTAARTPQSVRVGALEVDTVISGKPRICDMDMLCTNSLLNHGSDLPPSWLSKNMNIYLWGTSLWRIVRTAEDIVIIDFTKECGSLGSGYQSQEFFQACKKHSGKSKQEKTRLTMSLQVPNVQELALTCNRPDQQIPDRYIRPEAGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLRSACQHWGFFQLVNHGVPDDVISDVRRDLTEFFKLPLEAKEAYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEGLQIRKDGKWLTVEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >OGLUM10G18360.2 pep chromosome:ALNU02000000:10:21692467:21705285:-1 gene:OGLUM10G18360 transcript:OGLUM10G18360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPLETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKGLHRRPNPLSMAHGTAQTYHNPAVSPRAPLPAATGGGAPAGPAPDGLTNCAVPEVAPRRAPSTAARTPQSVRVGALEVDTVISGKPRICDMDMLCTNSLLNHGSDLPPSWLSKNMNIYLWGTSLWRIVRTAEDIVIIDFTKECGSLGSGYQSQEFFQACKKHSGKSKQEKTRLTMSLQVPNVQELALTCNRPDQQIPDRYIRPEAGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLRSACQHWGFFQLVNHGVPDDVISDVRRDLTEFFKLPLEAKEAYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPPCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTVEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >OGLUM10G18360.3 pep chromosome:ALNU02000000:10:21692467:21705285:-1 gene:OGLUM10G18360 transcript:OGLUM10G18360.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHARSTGSLPVANVQALAETCNDPDQQIPERYIRADANADEVISGDDCTAAIPTVDLSKLLDPLSSDEETAKLGSACQQWGFFQLINHGVSEDVIRDARKDIAEFFRLPLETKKAYSQLPSGIEGYGQAFVVSHEQKLDWADMFYLVLRPGESRNMALWPAHPPSFRNSIDRYSSETARVARCLLEFMAKDMGVRPGSLLERFQDQPQGIRMNYYPPCREAGKVVGLSPHTDAAGLTLLLQVNDVPGLQIRSPGGRWLAVGAPPDDGAFVVNVGDILEIMSNGKYRSVEHRAVVRPDRERVSAAVFHRPCQDAVVGPLPELVGEGGGDNARYTSMGYLDFMKRYYSAKLDGRNHLDGLRIKLSSSKGLHRRPNPLSMAHGTAQTYHNPAVSPRAPLPAATGGGAPAGPAPDGLTNCAVPEVAPRRAPSTAARTPQSVRVGALEVDTVISGKPRICDMDMLCTNSLLNHGSDLPPSWLSKNMNIYLWGTSLWRIVRTAEDIVIIDFTKECGSLGSGYQSQEFFQACKKIPDRYIRPEAGTEEVICGQGINTAIPVIDLAKLLNPQSSQEECAKLRSACQHWGFFQLVNHGVPDDVISDVRRDLTEFFKLPLEAKEAYAKPPDKYEGYGQHFVVSEKQKLDWGDLLHLRLRPTESRDLRFWPAHPSSFRNSMERYSLETAKVARCLLEFLAMDMGVDPESLLEVFRGQPQNMRVNYYPPCRQTGKVLGLSPHCDATSLTLLLHVNDVQGLQIRKDGKWLTVEALDGAFVVNVGDMLEILSNGRYRSVEHRAVVHPEKERISAAVFHQACRDATVGPLPELVTKDGGRPVYKSMAYEDFMKRFFSAKLDGRANVEGMKI >OGLUM10G18370.1 pep chromosome:ALNU02000000:10:21729126:21731840:1 gene:OGLUM10G18370 transcript:OGLUM10G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G02330) TAIR;Acc:AT3G02330] MGKCAARQRQWRWPLLHRSPRPTPPPPHGLHPPRRALAEHARMPAEQQQPPPVAPAKVTFSRVFQSCAQAGREALAAGRAAHARMVVSGFVPTAFVSNCLLQMYARCAGAACARRVFDAMPRRDTVSWNTMLTAYSHAGDISTAVALFDGMPDPDVVSWNALVSGYCQRGMFQESVDLFVEMARRGVSPDRTTFAVLLKSCSALEELSLGVQVHALAVKTGLEIDVRTGSALVDMYGKCRSLDDALCFFYGMPERNWVSWGAAIAGCVQNEQYVRGLELFIEMQRLGLGVSQPSYASAFRSCAAMSCLNTGRQLHAHAIKNKFSSDRVVGTAIVDVYAKANSLTDARRAFFGLPNHTVETCNAMMVGLVRAGLGVEAMGLFQFMIRSSIRFDVVSLSGVFSACAETKGYFQGQQVHCLAIKSGFDVDICVNNAVLDLYGKCKALMEAYLIFQGMKQKDSVSWNAIIAALEQNGHYDDTILHFNEMLRFGMKPDDFTYGSVLKACAALRSLEYGLMVHDKVIKSGLGSDAFVASTVVDMYCKCGIIDEAQKLHDRIGGQQVVSWNAILSGFSLNKESEEAQKFFSEMLDMGLKPDHFTFATVLDTCANLATIELGKQIHGQIIKQEMLDDEYISSTLVDMYAKCGDMPDSLLVFEKAEKRDFVSWNAMICGYALHGLGVEALRMFERMQKENVVPNHATFVAVLRACSHVGLFDDGCRYFHLMTTHYKLEPQLEHFACMVDILGRSKGPREAVKFINSMPFQADAVIWKTLLSICKIHQDVEIAELAASNVLLLDPDDSSVYILLSNVYAESGKWADVSRTRRLLKQGRLKKEPGCSWIEVQSEMHGFLVGDKAHPRSGELYEMLNDLIGEMKLSGYEPDSASFVEVDEEGSAPEHDDLLGVVGG >OGLUM10G18380.1 pep chromosome:ALNU02000000:10:21733943:21740318:1 gene:OGLUM10G18380 transcript:OGLUM10G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVADDLLRTSLWPDVSFRYVFIWTIFFQQASDIDSVVLYGPGVLAAAGVTPNTLLLGLNVVFGVAKASSILIAMALTARVRRRPLLLASTGGMTASLLVLGSVFAAFGGARDDAAVAAVAVAVVVAFACAFSVGIGPLAWVYSSEILPLRQRGQGASVGTAMNRVTWSP >OGLUM10G18380.2 pep chromosome:ALNU02000000:10:21733901:21738965:1 gene:OGLUM10G18380 transcript:OGLUM10G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSELASGLMYLSGMCSSGPLQVFASSCTLGTGREPMALAPAIEIPKFLQQVFSFLFLG >OGLUM10G18380.3 pep chromosome:ALNU02000000:10:21733894:21740316:1 gene:OGLUM10G18380 transcript:OGLUM10G18380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGDQARHAAGAHHRADAAVLPASLRHRLRGTVRPGRARRRRRDAQHPPPGPQRGVRRRQGELHPHRHGAHRPRAAPSSASRQHGRHDRLSPRARLGVRRVRRREGRRGGGRRRRRCGGGVRVRVLRRDRAVGVGVQLGDPAAAAARAGRQRRHRHEPRHVVTM >OGLUM10G18380.4 pep chromosome:ALNU02000000:10:21737737:21740316:1 gene:OGLUM10G18380 transcript:OGLUM10G18380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKIQHVCPVKFLVLGENEGLSIAFEAFFQQASDIDSVVLYGPGVLAAAGVTPNTLLLGLNVVFGVAKASSILIAMALTARVRRRPLLLASTGGMTASLLVLGSVFAAFGGARDDAAVAAVAVAVVVAFACAFSVGIGPLAWVYSSEILPLRQRGQGASVGTAMNRVTWSP >OGLUM10G18390.1 pep chromosome:ALNU02000000:10:21734025:21736076:-1 gene:OGLUM10G18390 transcript:OGLUM10G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKLGIAMAGARSVGSLPVPNVQALAEICNDPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLCPQSSEEECLKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINNVQGLQIKKDGKWFSIDAPNGALIANIGDTLEILSNGKFRSVEHRAVINPNKERISAALFHYPSENMVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKNRVEVLKLDQ >OGLUM10G18390.2 pep chromosome:ALNU02000000:10:21734025:21735650:-1 gene:OGLUM10G18390 transcript:OGLUM10G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARSVGSLPVPNVQALAEICNDPDEHIPERYIRPEASSEEVINNYQGDMAIPIIDLKKLLCPQSSEEECLKLRSACQYWGFFLLINHGVPDEVIANLKRDIVDFFSQPLDTKKEYTQLPNSLEGYGQSFVFSEDQKLDWADMLYLHVHPSDSRDLRFWPTSPASFRQSIDAYSSETKSLALCLFEFMAKAVGAKPESLLDLFEEQPRGLRMAYYPPCRQADKVMGLSPHSDAGGLTLLLEINNVQGLQIKKDGKWFSIDAPNGALIANIGDTLEILSNGKFRSVEHRAVINPNKERISAALFHYPSENMVISPLPEFVKDGKVKYRSISYLDFMKQIFTQQLDGKNRVEVLKLDQ >OGLUM10G18400.1 pep chromosome:ALNU02000000:10:21736823:21738404:-1 gene:OGLUM10G18400 transcript:OGLUM10G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARAIGSLPVPNVQELAKTCNGPDEHIPERYIRPEASSEEVISNYHGEAIPIIDLNKLLSPQSSEECVKLRSACQYWGFFQLINHGVPDEVIANLKRDIVDFFSQPLDAKKEYTQLPNSLEGYGQALVFSEDQKLDWADMLYLQVHPSDSRDLRFWPTSPASFRRSLDAYSSETKSLALCLFEFMAKAVGAKPESLLGIFEEQPRGLRMTYYPPCLQSDKVMGISPHSDVVGLTLLLQVNDVQGLQIKKDGKWLSVDAPNGAFIVNIGDTLEILSNGKFRSVEHRAVINPNKERISASLFHYPCENMVIRPLTEFVKDGKVNYRSISYLDFMTQFFTQQLDGKNRLEMLKLE >OGLUM10G18410.1 pep chromosome:ALNU02000000:10:21740346:21754231:1 gene:OGLUM10G18410 transcript:OGLUM10G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFYLYTAIAVRPRCPPSPEPVAAAQNWPGVELEQNEGVPLACFTLDKIALTIPCGLLVERCHVAVAGAGTWAVASAGRCHSIVVHWRVRWWCGARVLENVVRHVAHAHGTSFWPNAATRPRALRLEDSAPALLAVAESHRPRSDTSRAELHAHGFYMLMLSIASDEDEDTCRRRRWSNLGQRRRRHRTGSQPPWRGGRAGWTYGASAALSHFEKKLANVDSGRKMVKA >OGLUM10G18420.1 pep chromosome:ALNU02000000:10:21741039:21743885:-1 gene:OGLUM10G18420 transcript:OGLUM10G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEARTIGSISVPNVKELAGTCNGTDDEIPERYIRPEVSSDEVIKNNHGDMSIPIIDLDKLISPQSSQEECVKLISACQYWGFFQLINHGVPDEVIANLKNDLVEFFSQPLDAKKEYSQLPNNLEGYGQAFVVSDNQKLDWADMLYLQVCPTDSRDLRFWPNYPASFRHSIDAYSSETENIGLCLLQFMAKAVGVEPKSLLSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDPGGLTLLLQVNDVQGLQINKDGKWFSVNALNGALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLVISPLPDFVKDGKVKYKTISYQDLLTEYFTAELDGRNRLEKMKLEP >OGLUM10G18430.1 pep chromosome:ALNU02000000:10:21748293:21768853:-1 gene:OGLUM10G18430 transcript:OGLUM10G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWSAKAGRTVYLSSLEDLGGDGAPPPQRRNESPAAAAAANKGKKKKKGGAAGGRGGGSQPSSTSGKMASSIFDKLQVEFIDQDESVQVVADTIGSSGEVPERYVRHEIEANIVIIDNANGYSLPEEIAKLGSACEDWGYFHLVNHGVDAGLLQQIKADITEFFRLPLQEKAVAIPSNGLQGFGHHFFFPKEQKLDWADLLFLITRPVEERSNGFLAYKAFHIQLFKFMAINLGVDEEALLGVFKGHTQSVRINHYPHCRQADKVLGFSAHTEGVGLTLLLQVNDVQGLQIRKNGRWFAVKNLPGALVVNVGDILEILTNGKYKSIEHRAVINPDKEMITLAAFHKPPLSCTVGVGPLQELLMKGKAHYKMVDVVEFTKGYFTAKLEGRSYLERLKLGANDTVSSSSWYSSAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIENFRSNIIKFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTHPASFRQSLDQYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQSDKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDGKILSNGKFRSVEHRAVIYPNKERLSAALFNYARKDMMTSPLPEFVKDGKPKDELAMAEARTIGSISVPNVQELAGTCNGTDEQIPERYIRPEVSSDEVIKNNHGDMSIPIIDLDKLISPQSSQEECVKLISACQYWGFFQLINHGVPDEVTENLKNDLVEFFSQPLDAKKEYSQLPNSLEGYGQAFVVSDNQKLDWADMLYLQICPTESRDLRFWPNYPASFRHSIDAYSSATEHIGLSLMQFMAKAVGVEPKSLSSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDLDGLTLLLQVNDVQGLQINKDGKWFSVNALNDALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLAISPLPDFVKDGKVKYKTISYQDLLIEYFTAELDGRNRLEKLKLEP >OGLUM10G18430.2 pep chromosome:ALNU02000000:10:21748293:21768853:-1 gene:OGLUM10G18430 transcript:OGLUM10G18430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDWSAKAGRTVYLSSLEDLGGDGAPPPQRRNESPAAAAAANKGKKKKKGGAAGGRGDNGGRTMKLQRGVVHLKKEEIAKLGSACEDWGYFHLVNHGVDAGLLQQIKADITEFFRLPLQEKAVAIPSNGLQGFGHHFFFPKEQKLDWADLLFLITRPVEERSNGFLAYKAFHIQLFKFMAINLGVDEEALLGVFKGHTQSVRINHYPHCRQADKVLGFSAHTEGVGLTLLLQVNDVQGLQIRKNGRWFAVKNLPGALVVNVGDILEILTNGKYKSIEHRAVINPDKEMITLAAFHKPPLSCTVGVGPLQELLMKGKAHYKMVDVVEFTKGYFTAKLEGRSYLERLKLGANDTVSSSSWYSSAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIENFRSNIIKFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQVHPTDSRDLRFWPTHPASFRQSLDQYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQSDKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDGKILSNGKFRSVEHRAVIYPNKERLSAALFNYARKDMMTSPLPEFVKDGKPKDELAMAEARTIGSISVPNVQELAGTCNGTDEQIPERYIRPEVSSDEVIKNNHGDMSIPIIDLDKLISPQSSQEECVKLISACQYWGFFQLINHGVPDEVTENLKNDLVEFFSQPLDAKKEYSQLPNSLEGYGQAFVVSDNQKLDWADMLYLQICPTESRDLRFWPNYPASFRHSIDAYSSATEHIGLSLMQFMAKAVGVEPKSLSSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDLDGLTLLLQVNDVQGLQINKDGKWFSVNALNDALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLAISPLPDFVKDGKVKYKTISYQDLLIEYFTAELDGRNRLEKLKLEP >OGLUM10G18430.3 pep chromosome:ALNU02000000:10:21748293:21763319:-1 gene:OGLUM10G18430 transcript:OGLUM10G18430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRTIGSLPVPNVQALAGTCNGSDEQIPERYIRTEATCEEVISNYHGDMAIPIIDLNKLLSPQSSEEECVKLRSACQYWGFFQLINHGVPEEVIENFRSNIIKFFSLPLDAKKEYSQLPNSLEGYGQTFVFSEDQKLDWGDMLYLQGLEILAHSPCIFQVIYQKLCNLPSDKHPLPLVNQRSLKIRQSLDQYSSETKSLSLCLFEFLAKAVGAEPESLLGIFEEQPRGMRMNYYPPCRQSDKVIGLSPHTDVVGLTLLLQVNDVQGLQIKRDGKWFSVDALSGAFIVNIGDTLEILSNGKFKSVEHRAMIHPNKERISTALFHYPRDDLLLSPLPEFVKDGKILSNGKFRSVEHRAVIYPNKERLSAALFNYARKDMMTSPLPEFVKDGKPKDELAMAEARTIGSISVPNVQELAGTCNGTDEQIPERYIRPEVSSDEVIKNNHGDMSIPIIDLDKLISPQSSQEECVKLISACQYWGFFQLINHGVPDEVTENLKNDLVEFFSQPLDAKKEYSQLPNSLEGYGQAFVVSDNQKLDWADMLYLQICPTESRDLRFWPNYPASFRHSIDAYSSATEHIGLSLMQFMAKAVGVEPKSLSSVFEGQARGLRMNYYPPCLKADKVLGLSPHTDLDGLTLLLQVNDVQGLQINKDGKWFSVNALNDALIVNIGDTLEILSNGKFRSVEHRAVVHPSRERISAALFYYPCQDLAISPLPDFVKDGKVKYKTISYQDLLIEYFTAELDGRNRLEKLKLEP >OGLUM10G18440.1 pep chromosome:ALNU02000000:10:21762551:21770032:1 gene:OGLUM10G18440 transcript:OGLUM10G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKIMLWRLASDDSSKLVNEAFAHLNVEGFVGQKSIAPFLNWPCDEEQQICPVQLLLLWKEKVVAKPLQAIGWDRHGLLLQRESEEFRDVSLDLLQQPCIHPMLFSVSHSAAAQRRGFTGGESARLPRRLLSGGALAPASSSPSGRLTDDLVIEILRRLPIRSVCRFKCVCRSWRNLIADHEHRKKLPQTLSGFFYTTFNKECWPSLVYHFTNVSGKGCNDLFPLDSCNGLLLFRCFQSGPCDSDGNAPFHYAVCNPVTKKWVMLPKANWASDSSYLEDHPIACLGFDPAISSHFHVLEYLEGPDGCITAVGIYSSKTGLWNLHESGWNHGVGVSYGGPRGVFLNGFMHFVAVRNEIVAVDMEGKRWKIIPMPDSGGHGAPMIDRTQGHLCALNVDPLDIFNLSLWVLEDYNTDNWILKRTVSTLELFGGKKYEFDRGYQVIAVHPECNLIFFHYGLDNTLLAYEMDPKELRVVRNLGHHTCQPVLPYVPLFSVPLAHGH >OGLUM10G18440.2 pep chromosome:ALNU02000000:10:21762551:21772475:1 gene:OGLUM10G18440 transcript:OGLUM10G18440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKIMLWRLASDDSSKLVNEAFAHLNVEGFVGQKSIAPFLNWPCDEEQQICPVQLLLLWKEKVVAKPLQAIGWDRHGLLLQRESEEFRDVSLDLLQQPCIHPMLFSVSHSAAAQRRGFTGGESARLPRRLLSGGALAPASSSPSDKIMLWSLTSHDRSELVKQSIYSPECGRLCRPELCGPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCDVILDPLQQLPIHPMVHKLQVLHISEDY >OGLUM10G18440.3 pep chromosome:ALNU02000000:10:21770622:21771800:1 gene:OGLUM10G18440 transcript:OGLUM10G18440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIAKEGAFTSRTSPTLTTRAPGRFFTANHLPSFLIWSPCTSFTWRSSVMPTPSVCGERPSTLPELCGPVLHWACGEEEQIDPVQLLLLGEDEVVTEPLQAIGWDRHGHLLLQRKSEELCDVILDPLQQLPIHPMVHKLQVLHISEDY >OGLUM10G18440.4 pep chromosome:ALNU02000000:10:21768566:21771800:1 gene:OGLUM10G18440 transcript:OGLUM10G18440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKANWASDSSYLEDHPIACLGFDPAISSHFHVLEYLEGPDGCITAVGIYSSKTGLWNLHESGWNHGVGVSYGGPRGVFLNGFMHFVAVRNEIVAVDMEGKRWKIIPMPDSGGHGAPMIDRTQGHLCALNVDPLDIFNLSLWVLEDYNTDNWILKRTVSTLELFGGKKYEFDRGYQVIAVHPECNLIFFHYGLDNTLLAYEMDPKELRVVRNLGHHTCQPVLPYVPLFSVPLAHGH >OGLUM10G18450.1 pep chromosome:ALNU02000000:10:21770164:21772160:-1 gene:OGLUM10G18450 transcript:OGLUM10G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGFDKLKVEFIDQDKSVQVVADTIRSSGEIPERYARPEMEADPVIIDTDGYNLPVIDMSRLINPEFSEEEIAKLGSACEDWGFFQLVNHGVDGELLQRIKDDITEFFRLPLQEKMSVAIPPNGLQGFGHHFVFSKEQKLDWVDLLFLTTRPVEDRTTEFWPTKPPTFRDSLDKYSLEIANVSAKLFKFMAINLGVDEEALLAAFKPEQPQSVRINHYPPCSQANKVLGLSPHTDGVGMTLLLQVNDVQGLQIRKDGRWFAVKNLPGALVVNVGDVLEILTNGKYKSIEHRAVINPDKERITLAAFQSVPLSGTVGPLQELLMKGEARYKTVDGAEFTKGYFATKLEGRRYLESLKLGV >OGLUM10G18460.1 pep chromosome:ALNU02000000:10:21773699:21784962:-1 gene:OGLUM10G18460 transcript:OGLUM10G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLHSSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDRKSNYTLESVSERCIVSGRSSSPKKTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHDLQNLSCTSQHLGSSNLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPAMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVMEEKTIRGQISAVSGNVVHVVKSGHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPLLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPEDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSDKVLSHGGDRTLVTVSSENKNGLEHGTGEGSCTDATCGSPTVISCNESCPEEDGQGSNALLHHKQTEPPKDPKDHTASTSNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRSEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGTLLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTAVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYSSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPTSANETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITGIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEGIWGHLSTNSKGKLPAEVEEKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLELPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNDAAPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSMSAPSTGVFESSHTFAGSTIGSSNNMNLSVEKNSSIHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGDSSFSCL >OGLUM10G18460.2 pep chromosome:ALNU02000000:10:21773699:21784962:-1 gene:OGLUM10G18460 transcript:OGLUM10G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGRGAGDLHSVTRLPRSLPPPLASAFALSLCARHALLASVALRLDRRELGQTPMDYDDSDFQSQNFQLAGEDNNKFPAGLRQFPLPKLDIDDQLQSHLRFDNLIDSEGLFSGQGHGNSWIEVLSTGSSVVDFSSSAAESCSINRTNNVWSEATSTESVEMLLKSVGENEMTGNMDENAHHQISGMDSKTDPSNMPSKTSDSPTGNIIVPAENDKSQSTRSEMAEDPSRIQPQLEHIRPFSVDDKAEQAVGSTLSDRKSNYTLESVSERCIVSGRSSSPKKTSESCPDVGSYFEVVHDDDSLDNLNIQSDGVGSRKLNNEPFSDLAPLQNIYTTSSYHFEQDNQESGVGVTTQGSEICHTNENKDGLHDLQNLSCTSQHLGSSNLTSEVSNEALLSGSSDGLLEAITNPVKMLHRSDDTSKRASATLQSSFLQVEHASEGTKGSIDRSSEPAMKKFGASEEPNSAKSQGEPDLKNSSPHLVIPLPTISGEFIQSPKGKQLAHVAGVSEETKYDRVDDTNHSTSDDSKLAMLEQLQDSVDNLSSGVMEEKTIRGQISAVSGNVVHVVKSGHCEKVAVSTSTTDDKFESPGDIVPDNSSACLPDEKDPSITVNHEVSFKEGVVPALEDDPEKMSSMNHEEPLKEDDKSTLEVGEHNTTSPVSEPLLMGSTGSVNPNIDTICSSGTDAVAETPQCEEQATSSGSLTTNATQDKLGDHPDACPPKVLTTGPLMQPEDHEDLVAPSSVLGASSEKGEQNNGKVPLNGMDDSDKVLSHGGDRTLVTVSSENKNGLEHGTGEGSCTDATCGSPTVISCNESCPEEDGQGSNALLHHKQTEPPKDPKDHTASTSNSHVSKEGSSRNVKPTLTSEETHTAEDKSFSFEVGAPLNITEKAHAPAWSPLPRSEVAQSPEVTTGIPKPGNPSNHGSDESKNLAIVETSKEQLSGRKVVGSAEGSSVSSHIGHITKAKSTPLEQEQQHPTPDVNALGHQPFTDLQHVQLRAQIFVYGALIQGMPPAESYMVSAFGEPACGRKPPWGTLLQAALERYNSQRSSLTGLETPTSSHIGSRVTEKASRSTAVKTAPASKKGGKTVLPAHTAVPLHLPTLNMSPLGSSALSLPRGTHLDFGQAVSPVFPYSSQTRQPTSGVASWFPQSPGGRAAPWLVQPQNLIFDSSMKPPVPTSANETAKGASSKNISISQAVSPVAFPPNQAPSTISPLAVIPEEKQKASVSTSKRGATPQKSRKRKKAPASPEQPIIAPLLKTDIASVTPATQHTPGFTLSTHSPSNILASGLVSNTGLVTPVPNYQITGIKDAEQRIFSEQISGAIEQSMGQAKGAGVHAMDAVRHAEGIWGHLSTNSKGKLPAEVEEKLTSAAAAASAAVSVAKAAAEAAKMASEAALQAKMMAEEVLSSTYANSSQKHDAGEFKVSNNLASFSSLTPTSSWKTKDDISKGSIISVAREVARKRVEEAAAAAKRAENLDAILKAAELAAEAVFKAGTIIGMGEPLPFTLSELLEAGPDGYWKSDQVRNKKATKLELPTDFSKSGRKRGGKAKHDHAIQNLEPSSSGKGLQLDVVHSGNVAEDVPTIAPVNGNRNDAAPNIIWNGIEKGSAVEVLVHKGESGVAWFSAKVLDINNDSACISYDSHTEETGLRKEWVPLRQEGEKAPQIRLAHPATVSRLKGTRKRRRDTSGNYSWAIGDHVDVLIEDSWREGIISRNRDGDETKLTVQFSGTSDSLVVDAWNLRPSLVWNDGQWIEWSRGKTGDSPHEKRQRTKGNDHVPIGGAAAGPSMDTSTNAAAKPEEPKPLALSDRDMVFNIGKRVVESKTDGVAFKRPGLRKEGSRVVGVPKPGKKKKFMEVSKHYDADQADKISEGNASTRPVKHLVPNVPRPREGTSKVDQKGKRIGEMRSRVPKSTKSQDGATNIIPGKGPLSMSAPSTGVFESSHTFAGSTIGSSNNMNLSVEKNSSIHGVGLRSEDSSVSEPHIQAASAAPTSRKNLTTTDRAKRKHVPSMDNSNRTTNKTSEIPGKSADSTEPRRSNRRIQPTSRLLEGLQSSLIVSKVPGEKGPRTNYRSASSRGDSSFSCL >OGLUM10G18470.1 pep chromosome:ALNU02000000:10:21788529:21792251:-1 gene:OGLUM10G18470 transcript:OGLUM10G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MAQPAMEGTVQGGGGGGGGAQEPRGQYGGGGKNGGDASPRKHAAAAAAAAAALPRHRRSKSASSDRSLEPCKHAALHDQRCTQAAQATPSSHHQPDATTRKSHAAAAAAAEGSSIHHVLTRDRSRASASPNHRVSLENDIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSAYGASSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLAWARDNADARATQDAIQRLCADAGGGRRKAAQAVEWVPYNARFRYAFPRTMVDKPLF >OGLUM10G18470.2 pep chromosome:ALNU02000000:10:21788529:21792251:-1 gene:OGLUM10G18470 transcript:OGLUM10G18470.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function, DUF547 [Source:Projected from Arabidopsis thaliana (AT5G47380) TAIR;Acc:AT5G47380] MAQPAMEGTVQGGGGGGGGAQEPRGQYGGGGKNGGDASPRKHAAAAAAAAAALPRHRRSKSASSDRSLEPCKHAALHDQRCTQAAQATPSSHHQPDATTRKSHAAAAAAAEGSSIHHVLTRDRSRASASPNHRVSLENDIRQLQLNLHQERSIRIMLDRAIGRASSTLSPGHMHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQSAYGASSGQSSGLSSPAHTKSISSRTRRHPSIISSAFCSSKKLPLQPFQIMTSVSESGRSKSMLKTKIKHESFSSETLDIHPATFPPDPRKLPYSGSSSLTRTLKDHLYQCPSKISEEMVRCMASIYCLLRTECPENPEKVRSPFLSRSSTNVILPRRGNGEDTNLSNTKCTVEVSSISADKNHMPDVSYAITHYRLLVEQLERVDLSMSETNIKLAFWINVYNSLVMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCCRSPRIGRRRHNTDDSPSYLQWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLKVYTAKNISEELERAKREFLQASVVVRKSKKVFLPRLVERYAREAGLAGADELLAWARDNADARATQDAIQRLCADAGGGRRKAAQAVEWVPYNARFRYAFPRTMVDKPLF >OGLUM10G18480.1 pep chromosome:ALNU02000000:10:21794877:21797572:1 gene:OGLUM10G18480 transcript:OGLUM10G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIIQKQRAHRQFVNRTFGISLKIYQETNDRAKKRSCKPAIRRNFIPKTIYNKTIPKIAAKKRKSRCNLPPELPQLCSRELCGQAVAGAPVLTGGGDERDKVGVGGDGEREETPRGRRQWKWACQGRPIKQFTWAAQFGPLGPSIHRPRVRRRRRSRPCHPPPPHPALPVAAGRGRPPPPASDPPTVAALCSSYPSRTPCFPASGGYRLLTPPPSLESDHLPVPSQWLRRWCVAAAEVSLSTQGPLPPLAQPRIQSGSRDAEEHPVPVVEERGLCSSRRQPSWGTSCLLE >OGLUM10G18490.1 pep chromosome:ALNU02000000:10:21802709:21806037:1 gene:OGLUM10G18490 transcript:OGLUM10G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERAWKPREIADTFGIEMDEEEAAAAAIPPPQTPLEPMEYLSRSWSVSASEISKILFNGSKKSFAAKRLPEMTIPENSVVAASIVPSHLQHIDTRRNSISSHHLPIGRWFQHKEASRVKQSSKEKLRAEKAHVHAMVSVARVAAAVAAVTAATTSSDIQTSKMAAAMVSATELLASHCVEIAQHAGARHEQVACAIQSAVGVRSSGDLMTLTAAAATALRGAATMKQRVQREMRSNASVLPYEKGHSWSPDIWCKEGELLKRTRKGDLHKTRVSIYINKRSQVILKLKSKHIGGALSKKNKSVVFGVYNELPTWVEAGKHFTEERCCFGLSTAQGLVEFECENSTSKQRWVDDVKNLLRQVAAEEQVENKLGSVKLS >OGLUM10G18500.1 pep chromosome:ALNU02000000:10:21802863:21805648:-1 gene:OGLUM10G18500 transcript:OGLUM10G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQATCSWRAPACCAISTQCEANSSVADTMAAAILEVWISELVVAAVTAATAAATRATDTMAYEENRRKNKGWRELLIDYMLQMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAVSFLSYNAVIYASNAVKEI >OGLUM10G18500.2 pep chromosome:ALNU02000000:10:21802863:21805648:-1 gene:OGLUM10G18500 transcript:OGLUM10G18500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPCGKLVMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGGRHTQSTRRGVWSRHAEEGRKNKGDTRLTGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAVSFLSYNAVIYASNAVKEI >OGLUM10G18500.3 pep chromosome:ALNU02000000:10:21802863:21805648:-1 gene:OGLUM10G18500 transcript:OGLUM10G18500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFPCLNPCGKLVMAGNNGRSDHRVFRYSMGSNGVCGGGIAAAAASSSSISMPKVSAISLGFQALSMAILATRRLEGYEKAPSLLFGSKGRVLPIEPHKITLCQVLRMLTNDNDCHQAVSFLSYNAVIYASNAVKEI >OGLUM10G18510.1 pep chromosome:ALNU02000000:10:21808132:21809619:1 gene:OGLUM10G18510 transcript:OGLUM10G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMRNRFATRMLNLHRLVKSNLVAGLLRRRGHRPLDAPPPRIRVLIDGNEATVVDVDRLLLKPSSPSSATALFPPFFLLAVEAGGFVRGLVLLALYPALRLLTAEGARLKAMAMVCFLGLRRGEAARAGRAVLPRYFFREAADVEALMEAAASARKEVITVVAAASRLFPTVMVETFLKEYVGFDAVVGREVSFAGVMDDDDGDDMERFRDVVNKTPRNGAGPRPLIFHDGRLAFTPTPAAVLAMYVYLPLAVVLSVLRIAIFTLLPRGVSGAAAALAGVRLRVAGAPRPPAAGDAAGGRLYACNHRTLLDAVAVSGALGRPVSSVTYSLGRLSELLSPIPLLPLAREREEDRRRMASLLSHGDVVVCPEGTTCREPYLLRFSPLFAELADEVNPVAVRAAAGMFYGTSTSPSAKCFDSVFFLMNPSPEYGVHFLEPVATAGAGAGSSIEVANRVQRVIAGALGYEATTLTRKAKYLLLAGNEGGVATNRSKKK >OGLUM10G18520.1 pep chromosome:ALNU02000000:10:21811293:21814423:-1 gene:OGLUM10G18520 transcript:OGLUM10G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEEAGLGHPSRYVQLTRDQDAPADEDIRPGELNQPAHFPQLEQRRCCECGQQLPESYEAPADEPWTTGICGCAEDTESCWTGSFFPCVLFGHNVEALREDIPWTTPCTCHAVCVEGGIALAILTVIFPGIDPSTSILIGEGLVFSWWLFATYTGIFRQQLQRKYHLKDSPCDPCLVHCCLHWCANCQEHRERKGRLADNNANRNTIVNPPPMQEMSVVGNHPSITPENGAA >OGLUM10G18530.1 pep chromosome:ALNU02000000:10:21858057:21860334:-1 gene:OGLUM10G18530 transcript:OGLUM10G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASGAACGVCGGGVGECGCLLHQRRGGGGGGGGGGVRCGIAADLNRGFPAIFQGVGVEETAVEGDGGAQPAAGLQEFQFFGHDDHDSVAWLFNDPAPPGGTDHQLHRQTAPMAVGNGAAAAQQRQAFDAYAQYQPGHGLTFDVPLTRGEAAAAVLEASLGLGGGNPATSSSTIMSFCGSTFTDAASSIPKDHAAAAAVVANGSLSGGGGDPAMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGELDGATPPPPSSAAAGGYEPGRLDLGWFRS >OGLUM10G18540.1 pep chromosome:ALNU02000000:10:21868122:21871596:-1 gene:OGLUM10G18540 transcript:OGLUM10G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDR0] MVRQSRVTDGGSPWCRRFGKARARGNFRWASIVSGPWAFVGRDDAATRSPLPCAFRLGRRISTKPQAYFLLCSRLRLAFSTASSRMQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFNLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >OGLUM10G18540.2 pep chromosome:ALNU02000000:10:21868122:21871280:-1 gene:OGLUM10G18540 transcript:OGLUM10G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDR0] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFNLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDNLWPQSYPLLNMTTLWTSIWAVQADDLLIEDAH >OGLUM10G18540.3 pep chromosome:ALNU02000000:10:21868522:21871280:-1 gene:OGLUM10G18540 transcript:OGLUM10G18540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDR0] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFNLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVKSLKMTTSFVLGHMTYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OGLUM10G18540.4 pep chromosome:ALNU02000000:10:21868522:21871280:-1 gene:OGLUM10G18540 transcript:OGLUM10G18540.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDR0] MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFNLAGDNLVSKCPTWSWEAGDPSKRKPYLPPDKQFLVTRNVPCVRRAVSLEEEYDAAGAEVVLGDDEDGEGWLATHGVQASKPEEEEDIPSMDTLDIGKTEGINSIPSYFSAGKKAEEEEDIPDMDTYEDTGNDSVATAQPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKTVTIEDHPHLSAGKHASVHPCKHAAVMKKIIDVLMSRGVEPEVDKYLFIFLKFMASVIPTIEYDYTMDFDLGSTSR >OGLUM10G18550.1 pep chromosome:ALNU02000000:10:21871630:21877243:1 gene:OGLUM10G18550 transcript:OGLUM10G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPETTEAAALRGAILAASTAGAAGRAVSSVADYLRRHAGDHPRAFFADALPSLLFRVFVASPDSPSFIDLAAGDPALAELLASLLAPSGPLLAAVSAADRHALLRFVFPPERLPDWLRLALSSATAASSSSSSSDEVISPLLAGRVDSELHLSVFEYYLFWFAYYPISAATAKATGMAAARAPKIPPSISEQSLKSLGRIESWMSTLGSSAGRNLGQKLESSLYLKLLYSYLKEFVPSGCVPPRNMGGTLLHRTVSDGIDAAESFRRAEFFVHTLIQFWLVGDDFSPLPVQTCRAYGLPLLSLQSHANATLVERPPAPGLGDAVKLFVMYMNRINASVDIDALNVFEGISSWREACNSPVGYWNPLIQRPLYRFLLRTFLFCPMGVEIKNVAQVFSAWIVYMEPWKAQKDDLDAYDLPPPGCRNVHRVTEGKRQVSEAVYSPEWENFVLSNYLFYSSLVVHFLGFAHKFIHSDVSSVLQMVSKVLEVLASSTELLGLIYSVDATYHHRFFGSASCYLDHVLKYVPSIREQLQDWEYGLSESDADGSFLHERRNFNLRLFSFDEEGAYNLLQLLLLRAESEIQRLPGDAMQSLQTLDLIKSQMKKIFREHIESSQPMNLVERECSQHHGRGEVFAPKHPRPWKHSLANVNWMTRPISDSEVAWLARLLIRFSAWLNEILRLDRDDSDAIPTGPTNIKFDGNELNGVGGPKDAARMVFIGACSLLVLVGQSILHFMRTHSIRINLRILASKKLLTAVMLYALFTVARNALS >OGLUM10G18560.1 pep chromosome:ALNU02000000:10:21879111:21891203:1 gene:OGLUM10G18560 transcript:OGLUM10G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIRIYNNVNIHNISVVNVKEVDLLIAVASVRYLTEKDRKVPKQCPNPAKGRAGSDQKCCLMIIKHEYHD >OGLUM10G18570.1 pep chromosome:ALNU02000000:10:21887692:21888561:-1 gene:OGLUM10G18570 transcript:OGLUM10G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKELQETSSSSSSSAASTSSCSSAVTDAWSSPARPNAVAGGKRKKEVVGEADEAAGGGAGEEEEEEAEAAAAGKSSAATKKRKRSSDGKHPVYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTADMAARAHDVAALAIKGRAAHLNFPDLAGVLPRAASASPKDVQAAAALAAAFTTSPSSSPSSSSSADDVAPCVVHADADEQPAAAAKNDDDDGSTTAPVAAAAAAAVADEQQLFDLPDLLFDIQDGPFGFPAMWAPLADVDEVNAELRLEEPLLWDLGVTDA >OGLUM10G18580.1 pep chromosome:ALNU02000000:10:21892844:21897145:1 gene:OGLUM10G18580 transcript:OGLUM10G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQWDALVDAALARLASRSLLRATRPIALAPPPAPPETFPCPGPWDRAAVEIRLDRATLHQWLAEGGEGSGQEEKVDEKLILFSGNDYMGLSSHPAIRHAAVKAAEEYGMGPRGSALICGYTTYHKMVEESLAELKKKEDCLLCPTGFSANMAVMTALGNISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLVERQQEVVSFVYKHCDMSHLELLLLFSMDGDFAPLPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAPELFECENEIDISVGTLSKAAGCQGGFIACSTRWKRLIQSRGRSFIFSTALPVPVVASVYAALYVSREERWRRSVIWRHVQYFASLTKLNITSPIISIIVGSEKAALRAGRDMLRSGFHVTPIRPPTVPPNSCRLRITLSASHSSDDIKRLVDALSPWLPHKHDIQIYAVASKL >OGLUM10G18580.2 pep chromosome:ALNU02000000:10:21892382:21893041:1 gene:OGLUM10G18580 transcript:OGLUM10G18580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGNIGTRQWPVESISFEATKTKASANRVKNRPKTSTLRPISKLVRPSKPTATLEIRAT >OGLUM10G18590.1 pep chromosome:ALNU02000000:10:21900917:21902020:-1 gene:OGLUM10G18590 transcript:OGLUM10G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAVALMMFHTMERELFRRLVGEHGQQPGPMRWVIALWLWLESVGHHDFVRRVAVLPAPVVLRFVDEALACLARLPRRRGGAGGAERRLAALAAAGDADPALRFLPCTNALLAEPVEGLAYFDAHRDEVMEGVSDVYRNVCRVIFDDGVAAAVAAADDDDDSEAAAFLPRDVLDALDGTPPPPPPPPPMYHQYHHHAVHMAPMLPPPPPVAALNPMASPWFPVQQQEQPPPPHQQHGYIPLPEDYRSLFITFSRGYPIRQDDIINFFNSLYGPCVESVMVEKAAAGQLPVYGRVVLRCPSMIPVVLDGQQTAKYMIKGRHLWARIYVPSSKPN >OGLUM10G18600.1 pep chromosome:ALNU02000000:10:21905363:21908470:1 gene:OGLUM10G18600 transcript:OGLUM10G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWGNALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHVDLEARRTSGSVVLLPAMPAADS >OGLUM10G18600.2 pep chromosome:ALNU02000000:10:21905363:21908470:1 gene:OGLUM10G18600 transcript:OGLUM10G18600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWGNALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHVDLEARRTSGSVVLLPAMPAADS >OGLUM10G18600.3 pep chromosome:ALNU02000000:10:21905366:21908470:1 gene:OGLUM10G18600 transcript:OGLUM10G18600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGGRARAPKMATMAAGVALHLHLQGDAAGRRISGEAAQVLLLGLLLLLLVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWGNALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHVDLEARRTSGSVVLLPAMPAADS >OGLUM10G18600.4 pep chromosome:ALNU02000000:10:21905363:21908470:1 gene:OGLUM10G18600 transcript:OGLUM10G18600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKAIRVHKIGGPEVLTWEEVEIGEPSEGEIRIKNKAIGVNYVDIYYRTGLHQEPLPFVPGKEAVGVVSAVGPGVTGIEVGDVVGYADTPMGTYTEEQIIPATLAIPIPPSVDHITAASVLLKGMTTYVLVKQAFKIQAGHTVLVHAAAGGVGSLLCQWGNALGATVIGTVSTQEKAIQAAEDGCHHVIIYTEEDFVAQVAEITSRKGVHVVYDAVGKDTFKGSMECLMPRGCMISYGQCSGRPDPVPVSDLASKSLILGRPGMRHYTATRDELLHAAGEVFAGVAAGVLRVRVNHVYPLHEAARAHVDLEARRTSGSVVLLPAMPAADS >OGLUM10G18610.1 pep chromosome:ALNU02000000:10:21910711:21912591:-1 gene:OGLUM10G18610 transcript:OGLUM10G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G17430) TAIR;Acc:AT4G17430] MAHAVDSGQTGGPWGGAALLTDPIRFAGVGGGGGEMDLPASRGRWRKRSARSHAPLLVAVLVLLIPASLLLSSAYSSLLRSILPFSGFGGGGGGGGRRCGRSPELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVADASDLRAAVWDHSMQLLRERRYVSMGDIIDLSPIKATVRTIDFRVFVSLWCGVDMRKTCFSGLCCAVSGGGSLPGDYDRCRSMLSGLGGSENGCVYPVQDDCRTTVWTYQENNDGALDSFQPDEDLKKRKKISYVRRRKDMYKALGPGSEAEDASLLAFGTLFSGPYKGSESYFDIHESPKDRRLQTILEKVEFLPFAPEIIAAGKEFARKKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVALEMKKTQGSNPIHMFMMTDLPPANWSKTYLADIAKDGRYKLHTLKESDELVAQTAERLMAAEHGVRSGFIPKNIANTRKDCDPVQLPEILLYVEESVCSCASLGFVGTAGSTIAGSIETMRKNNVCQL >OGLUM10G18620.1 pep chromosome:ALNU02000000:10:21912877:21916452:1 gene:OGLUM10G18620 transcript:OGLUM10G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAFVESGGGGGGGGYGGRVTAYVVLTCVVAGSGGILFGYDLGISGGVTSMDSFLKRFFPDVYQKKQDTRVSHYCAFDSELLTVFTSSLYIAGLVATLFASSVTRRYGRRTSMLIGGTVFIAGSVFGGAAVNVFMLLMNRILLGIGLGFTNQSIPLYLSEMAPPRYRGAINNGFELCISLGILFANVLNYCVVKITAGWGWRISLSMAAVPAAFLTIGAVFLPETPSFIIEHDGDTDKARILLQRLRGTTSVQKELDDLVAASNLSRTVQYPFRNIFKRKYRPQLVIALLVPFFNQLTGINVMNFYAPVMFRTIGLKESASLLSSVVNRLCATFANIMAMIVVDRFGRRKLFLVGGIQMILSQLAVGAILAAEFKDYGSMDREYAYLVLITMCVFVAGFAWSWGPLTFLVPTEICPLEIRSAGQSIVVAVVFLMTFVIGQTFLAVLCRIKSGTFFFFAGWICLMTVFVYFFLPETKKLPMEQMEQVWRKHWFWKKIVGEEEEKQAEKTALPSM >OGLUM10G18630.1 pep chromosome:ALNU02000000:10:21917347:21925129:-1 gene:OGLUM10G18630 transcript:OGLUM10G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFVRGWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >OGLUM10G18630.2 pep chromosome:ALNU02000000:10:21922164:21925288:-1 gene:OGLUM10G18630 transcript:OGLUM10G18630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMGVFVRGWRLVLRAFAGGEDGGAALGERGDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGTRTVGHTLSCTNVIRVG >OGLUM10G18630.3 pep chromosome:ALNU02000000:10:21922164:21925288:-1 gene:OGLUM10G18630 transcript:OGLUM10G18630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTPGRRPPAAGSAPQRNPTGGRVMDASSGISDLGLWKMDLSDDVYQLLSEVKHMNLMMETVATAHSEYANMEWLPLPAGTRTVGHTLSCTNVIRVG >OGLUM10G18630.4 pep chromosome:ALNU02000000:10:21917349:21920188:-1 gene:OGLUM10G18630 transcript:OGLUM10G18630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSHNGHNSRTCPNRGVKIFGSLLATCVPAGHILCAMFLIKMTLTGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMTRRKRRSSLFDMVPDESMDLPPLPGGQEPETQVLNQPALPPPREEEEVDSMESDTSAVAESSSASAIMPDNLQSTYPVIVPAYFSPFLQFSVPFWQNQKDEDGPVQETHEIVKPVPVHSKSPINVDELVGMSKLSIGESNQETVSTSLSLNLVGGQNRQSAFHANPPTRAQA >OGLUM10G18640.1 pep chromosome:ALNU02000000:10:21925533:21930354:1 gene:OGLUM10G18640 transcript:OGLUM10G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQDKVENNDPTVTVGLAVSSSKSSKYAVQWAVKNFCTNGMVRFVLIHVLQRITTVPTPMGNYIPIDKVRADIASAYEKEVECKARTMLLFYKNMCDEKAKAEVLVVKGEDVAETISNVVSMYEIHKLVVGDSSQGNFIRKSKGTRTSSQICRSVPSFCAVYVVSKGGLSAVYSPGFEGHKSSELFLSSDSSKTEIHSDDKPSLSDATPSRSFRSNLTWENLESLSSADHDRPRSLHEYLTESTSASVGDNNSNSPCASGQTPRPSNVLISDKAPMTSSPLQELMLSEDMDDVNSELEKLRLELRHIKGVCKLVQDESISASQHVTDLAAKRAEEEARLSEVYSRINRVNEQAHQEKEQRNALEAQCRHVRDLARKEALQKQILQLRTSKEADKMQRLEKLLELDGMSYSTFTWEDIESATSSFSEALKIGSGSNGTVYKGNLRQTSVAIKVLTSDDSHRIKHFKQELEVLGKIRHPHLLLLIGACLDRPCLVYEYMENGSLEDRLQLKGGTAPLPWYQRLRIAWEIALALVYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDVGLSTLLPLGDALSTTRTIFKDTDLVGTLFYMDPEYQRTGQVSTKSDTYALGMVLLQLLTGKPPVGLADLVEQAVENGHLVDILDKSAGKWPAQEAHELAQLGLSCLEMRSKHRPDLKCKVLVELERLKKIASAVSDPVRPVISGPPSHFICPILKRIMQDPCIASDGYSYDRVAIEMWLCENDMSPITKSRLPNKDLVPNHALLCAITSWKAEARD >OGLUM10G18650.1 pep chromosome:ALNU02000000:10:21932348:21933080:-1 gene:OGLUM10G18650 transcript:OGLUM10G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGACLTTDNLQRRGWQMASICHLCANDGESCSHIFHDSDHLCATIVEEWEAWKAAGLLCEIEARSPISIGIS >OGLUM10G18660.1 pep chromosome:ALNU02000000:10:21939524:21941241:1 gene:OGLUM10G18660 transcript:OGLUM10G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMVHGRRDEQYGGLGLGLGLGLSLGVAGGAADDEQPPPRRGAAPPPQQQLCGWNGGGLFSSSSSDHRGRSAMMACHDVIEMPFLRGIDVNRAPAAETTTTTARGPSCREEDEEPGASSPNSTLSSLSGKRGAPSAATAAAAASDDEDSGGGSRKKLRLSKDQAAVLEDTFKEHNTLNPKQKAALARQLNLKPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTDENRRLHRELQELRALKLATAAAAPHHLYGARVPPPTTLTMCPSCERVASAATTTRNNSGAAPARPVPTRPWPPAAAQRSSA >OGLUM10G18670.1 pep chromosome:ALNU02000000:10:21948566:21951909:-1 gene:OGLUM10G18670 transcript:OGLUM10G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dual specificity protein phosphatase DSP8 [Source:Projected from Arabidopsis thaliana (AT2G35680) UniProtKB/Swiss-Prot;Acc:Q9ZQP1] MRIEELPGDSGGGGGDGGGGGGGGALLQLRRGAAAAAVEEEGGVVMRVAFDAKRAAVGVGARMLFYPTLVYNVVRNRFEPHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVCGVVTLNESYERLVPRCLYEAHGIENLVLPTRDYLYAPSFENLCRAADFIHRNALCGKLTYVHCKAGRGRSTTVVLCYLVQYKQMTPAEAYEHVRLRRPRVLLASAQRQAVEQFYQLRVKKSGKSICLDSPIMKPPLFLATRNLIAFDEKTFVMVSKSDLEGYDADTLAVNVGSGLWEISLVYRVQFASQAAFAGFSYLWVRCSAPRKNKEALPVPESNNSVGSESCSLEAEQLAKPHPCLLQGVMVNP >OGLUM10G18680.1 pep chromosome:ALNU02000000:10:21954290:21955768:-1 gene:OGLUM10G18680 transcript:OGLUM10G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAQASLLQSFPFRAAVFAACVLLLPLVPSPQAPAAGGDGGGGGGGRGEAFLAKVWELLHLLVVGIAVSYGLFSRRNDAGRRGDEKDAAAQAKADAAGYVSQMIHDSLVFDDGGGDVALDSPGGNRVRSWSAMHHPDEPVVVVATGGAGGGRNHAVEAAQQAPPLSLPVRTLKPQGESSSSAGYGDGGEPWAARPRRISQDTPGGGGGGHETVLPSPIPWRSRSGRFDASAPSPPSPSPKRLSPASSLSKETLAKASEDYSSRRRSPYKSSPPAPPPPPPPFLVHGYHPPAAERRTAAKSFKEELQEQTSHSFTTSEFSRSSSNSSSAKPRISIDSSSSSSSYYPVAKSVRTIRGGRESLQSQSQEQPDVAVAGDAPALLHGSDSDDPYGGYRAYQSIPRFQYERGSSDPILGNVTVSSESSDDDDSDVDGDGELSTRGNSPRRESSPEVDENEVDKKAEEFIARFREQIRLQRIESIKKSAGPRGVKHGK >OGLUM10G18690.1 pep chromosome:ALNU02000000:10:21962191:21963683:-1 gene:OGLUM10G18690 transcript:OGLUM10G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHEEAAERKPSPPVIFRLFGVEVRGGGGGVDEEEYEEEEVEGGLFIKKSSSMPNLTSIDPLPVPADGGKRRASDDSELASGQQKRRRRKVLERKKGVPWTEEEHKKFLEGLRQLGKGDWRGISKNFVTSRTATQVASHAQKYFLRQTNPGKKKRRASLFDVVAECSDDQLPSPQSVGTKPPTQDIIHTDRGDVPILSYPVARGFRGDSVQVDELTEYVKRLKAAEDMSLSMISGLEMASSSISSLELSIAPPHCAIEAAIKVL >OGLUM10G18700.1 pep chromosome:ALNU02000000:10:21967926:21969530:1 gene:OGLUM10G18700 transcript:OGLUM10G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAVTATPRHARPSPLNPNARAAAAAAAAAPPNAVSTTRTHLANLDRLLVRPPPLPLPLQNKGAPPADDLGDGGGAATPVDRSGRCGLLNALNLSTFLPFVRKPAVDEMSPRSLAHLQRLLTLSPRPSPKGSIAGEWRRYHGEGGWDGLLDPLDQNLRREVLRYGDFVQAAYTAFHSMPSSSAAAASQHSQHRTLVLPDRSYRPTRSLFATSSLSIPAWARRRSAPGWLTQRSSFVGYVAVCDNEGEVQRMGRRDIAIVLRGTATCPEWAENLRAGLVPVDDDDDDDVGSPQNAPKVAKGFLSLYKTAGDHVPSLSDAIVDEVRRLVEVYEGEELSITVVGHSLGASLAVLAADELSACLSADAAEHCRRPSPIAVVSFGGPKTGNRAFADRLQNGRGVNVLRVVNAGDVVTRVPAPAMAREGEGHVHAGAELRLDSRDSPCLRPDAGPACCHDLEAYLHLLDGFAGSGRPFRADASRSVARLLTYQRPNVRRAYVERARVLGFEPATPRTATANGAGGGAEGHYGYLASPT >OGLUM10G18710.1 pep chromosome:ALNU02000000:10:21972962:21980582:1 gene:OGLUM10G18710 transcript:OGLUM10G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRSLHAKPPRSHGHHARSRSQLPTAISAPNQDFQFQFQLLPKVFQFHMDVGGGGGGGGEGKSSEKKVLAQLEQVRLSIASSEDEEDGDAPPRSSFSGASHPPEPVDEMDTVFVAVDGRDKAAKPVIVWDASPPQSGAASPHSSIDSSGAAATVTSIAPSCTVTSLSAKTSVSSSAASDGSGWSNSTAGAGSAAGGGSGGKPHKGGDPRWKAILAARARDGPIAMGNFRLLRRLGCGDIGTVYLSELSNVAVGGGGGAARAWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVMSSSLGSDPKRGNNAQSCAAQPAACIQPTCFMPKLFGKKPKSSQPRQRYHQQQQQLAAAALPEVVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGVFLHELMYGRTPFKGQTNRATLFNVVGQQLRFPDHPPTSNAGRDLIRGLLAKEPQGRLGVKRGAAEIKQHPFFDGVNWALIRCSTPPGVPRAVEPVVVAASAVPATAKPPPVDTVEMTIHSNCNSSKRMAGPPEVESGGKYLDFEFF >OGLUM10G18720.1 pep chromosome:ALNU02000000:10:21979150:21980064:-1 gene:OGLUM10G18720 transcript:OGLUM10G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSPRNLPPPRPNHGALPLALSITSPPYLLPRRHASSSASSRHPMIGMEVEVEDDMVEWYRCGGGGAAAAVEVEVRELRVELEVERQMRRKAEAVSEVLAAELEEERRRRGAAEAECRRMRGEVGEMRAEVERALEEVDDERRMLRVAELWREERVQMKLADAKAAMEEALREIAAASAATAIADDDNSSSGGGGGGGSPTTTGKSSPTSQQISQATTSGGQPQLLHRREVAGGGENPHIARGIKGFVEFPRAVRVRPPREERVDLVSNLECQRAQLRALSRHRNPPAGVGLADAASHNLVL >OGLUM10G18730.1 pep chromosome:ALNU02000000:10:21981566:21982167:1 gene:OGLUM10G18730 transcript:OGLUM10G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSLSPSRSRSAPARRSSPPPAGRSPRSLRRQRFPRMDTGCCSVVATIARHSVRCCTVTNAFSCFSATAELQRQE >OGLUM10G18740.1 pep chromosome:ALNU02000000:10:21982224:21982667:-1 gene:OGLUM10G18740 transcript:OGLUM10G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGGAASLGRRFSYCLVPHSVNTSSALNFGALANVTEPSVASTPLVAGDVDTYYTVVLDSVEVGNKTVASAASSRIIADSGTLTFLDPALMGPLVDELSRRITLPPVQSPDGLLQLCYEVAGREVEARERITNHIDKHLQKSILFR >OGLUM10G18750.1 pep chromosome:ALNU02000000:10:21985600:21989194:-1 gene:OGLUM10G18750 transcript:OGLUM10G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTASKVEQEDTVRRCKERRRHMKEAVASRQQLASAHADYLRSLRLTAAALSRFAQGHPSLAVSHHTAPVLLTTAAPALAPTPTPPPPSSTASSSLPPPTPLLPKHQQAPPPPPPTQSHQPPPPVAVRAPRGGPRRLKVPHILSDSSVASPARSSFRKPVVGTPSSSSAWDWENFYPPSPPDSEFFDRRKADLEEANRLRELEEEEKARGYLHPHHLKEEDEVDDDDDEREEEMHCGGWEDDDDHYASTTTSETRSEEGEMGNRSECGFAARSEYGGTAPSEYAAAPLPLPLRRRDERSEAGDSSSTVTAAAEMRMVIRHRTLAEIVAAIEEYFVKAAEAGNGVSELLEASRAQLDRNFRQLKKTVYHSNSLLSSLSSTWTSKPPLAVRYKLDTNALEMESMEGKSHGSTLERLLAWEKKLYQEVKARESVKIEHEKKLSTLQSLEYRGRDSTKLDKTKASINKLQSLIIVTSQAATTTSSAIVRVRDNELAPQLVELCFALLRMWRSMNHFHEIQNEIVQQVRGLVDNSMAESTSDLHRLATRDLEAAVSAWHSNFNRLIKYQRDYIRALYGWLKLTLFQVDSNIPQEAYTSLISRELTTFCDEWKQALDRLPDASASEAIKSFVNVVHVIYTKQAEEMKIKKRTETYSKELEKKTNSLRAIEKKYYQSYSMVGLGLPGSGRDGIESHSFDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGMFQAIAGFSGTVVEALDVVCRRAGSVR >OGLUM10G18760.1 pep chromosome:ALNU02000000:10:22000183:22001743:1 gene:OGLUM10G18760 transcript:OGLUM10G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFDD >OGLUM10G18770.1 pep chromosome:ALNU02000000:10:22002966:22009054:1 gene:OGLUM10G18770 transcript:OGLUM10G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYALRGAALVGVLLLVVASPALVPVASAVPFIVLHGIGDQCENGGMASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQADTVCKKVKKMKELRKGYSIVGLSQGNLIGRAVIEYCDGGPPVKNFISIGGPHAGTASVPLCGSGIVCVLIDALIKLEIYSNYVQAHLAPSGYLKIPTDMTDYLKGCKFLPKLNNEIPSERNATYKQRFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFSPVQPPQKTKLYTEDWIGLKALDEAGRVKFVSVPGGHLSISRSDTKKYIVPYLKPDGSSRLGIRRILSD >OGLUM10G18780.1 pep chromosome:ALNU02000000:10:22018992:22034808:-1 gene:OGLUM10G18780 transcript:OGLUM10G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACRTWRDLGASPCLWSALDLRAHRCDAEVASSLSSRCGSLRRLRLRGHEAAAAASGLRARGLREVVADGCRGLTDATLAVLAARHEALESLQIGPDPLERISSDALRQVAFCCSRLRRLRLSGLRDADADAIGALARYCPLLEDVAFLDCGSVDEAAIAGILSLRFLSVAGCHNLKWATASTSWAQLPSLVAVDVSRTDVSPSAISRLISHSKTLKLICTLNCKSVEEEQAHNPGAFSNSKGKLVLTITSHIFKSVVSLFPDKVVKENEVFNECNWKGKDNALGDMMSWLEWILSQTLLRIAESNPQGMDDFWLQQGADMLLSLVKSSQEDVQERAATTLATFVVIDDESANVDAARSEAVMRVGGIPMLLDLARCSRESAQSEAAKAIANLSVNAKVAKAVADEGGITILTNLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAAAGGIKALVDLILRWPAGTDGVLERAAGALANLAADDKCSMEVAKAGGVHALVMLARSCKLEGVLEQAARALANLAAHGDNNNNNAAVGQEAGALEALVQLTSSQNEGVRQEAAGALWNLSFDDRNREGIAAAGGVEALVSLAQECLNASEGLQERAAGALWGLSVSEANSMAIGQEGGVAPLLTLAQSDVEDVHETAAGALWNLAFYSGNALRIVEEGGVPILVRLCSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSEGSSKSVNVEGARRMALKHIQTFVLTFSDPQVFTTASTSSASAALSQIADAVFIQEAGHLRCSGAEIARFVAMLRNPASILRACAAFALLQVGTQCTMRVSCRKQGQLESCAQQQQPRPLLSKPKSLQELSSEIWNTIRQGLQHESTAVVQLFSCVDKINFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNVPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >OGLUM10G18780.2 pep chromosome:ALNU02000000:10:22018992:22040670:-1 gene:OGLUM10G18780 transcript:OGLUM10G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGPCCFSPDGRYLAVAVDYRLVVRDVVSLKVVQLFSCVDKINFLDWAPDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACIHVQWPKHASRGVSFTKDGKFAAICTRRDCKDYVNLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKTVAWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFSHAASIRNPTNAAIFKEVDDPWQLDMSELCLSEGFSRNMQGNGAENGTEGGSRVKYAVMDAPITLPSQKPVTDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLDLAAVLVQKDPIRAAAWDPNCPRLVFCTESPHLYMWTPSGACCVNVPLPNFRVVDLKWNSDGTCLLLKDRDSFCCAAIVSPLPEEEEADQSDVTSEDE >OGLUM10G18790.1 pep chromosome:ALNU02000000:10:22040867:22052321:1 gene:OGLUM10G18790 transcript:OGLUM10G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G16970) TAIR;Acc:AT4G16970] MDSEAAGSSEIERAWHLLTVVIRLGRPAAASDVARFATADDVERLCRIPGSPLRLSGGVVAASETAFVAFLRYVGLDVPPPRVSPRAPDDVMRWLRRRVPVTYERKRKASDAGRFVARKRLLAAPDADLPEHELRQSQQLIVQSCAPVATGEVHQEATQELQDRLPSLNIFTAQRSFEVSIGSNVFSDIEISMPSLPSKIDQFIGGNDGSVLVSMASALVPKEVTDMSGCINIFHATVDRESTRIGEPEGSASLCCSRVEDREELEKESTLLTMAVGLAVGKKNGIEQDLNLRPSSPRNCSTKATDDMETFDVISKEAEALQYCSPNAQYPQKILTCGQDSDALVVNAHVAIHENKIEDITFQPPEGTKTEAIVHEMVHETMGSLCQPSSNTKVEHAVLPLQAPTYGCISNENLNIAAENRASTHQNHVEPSTQNEVAVRLSKKEQDRKIMKQRDKGKKKEALPKEDKDQVAAKVQKGHTEPKPLPNFKNFEIEEEEGSGGYGTVYRARRKSDGRLFAIKCPHANAHSHHVYNEQKMLERFGGKNFVIKYECSLRSGDLECFVLEHVEHDRPENLRKEIGLFDLRWYGFCLFKALASLHKQGIVHRDVKPGNFLFSRKLAKGYLIDFNLANDLHQKFFRNSKSETISRGKDTISQPALKSTPVVQAKEPVADSKQLLGSKRKRSNRSPVGSAPKNDNKSRHGIQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHDAMQSPDKNTSTAPVSQRKRVAAPLGNVDQKLFILTPMPLCSGGSAIAGSGMLNSKGNGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYFIIGKSPFGGDPEQNIKEIAKLRGSEELWEVAKLHNCESSYPSDLFDAKSLRSVDLREWCAANTRRPEFFKSIPDSLFDLVDKCLSVNPRCRITSEDALMHDFFAPCHDLIRQHRLARRPAPSNNLPCLPQDKSVKANESKRSSSTVPTTVNSVS >OGLUM10G18800.1 pep chromosome:ALNU02000000:10:22053237:22058878:1 gene:OGLUM10G18800 transcript:OGLUM10G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEKRPRREGKRSNHTRHQGTNQPRVEASGPRRHKSRSGKEKPLSMPPLLASTSPLLLASRLRGGGGCGCGGAPLLHRTRRGFLAPSTTTTQTTRTSFAAMSWLGKLGLGGLGGSPRASAASAALAQGPDEDRPAAGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHDPTYEDVCTGATYHNEVVRVHYDVSACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLAKGGRFGFRQSAEKGCNDPIRCYG >OGLUM10G18810.1 pep chromosome:ALNU02000000:10:22056889:22059065:-1 gene:OGLUM10G18810 transcript:OGLUM10G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDU7] MALEQTFIMIKPDGVQRGLIGEVIGRFEKKGFYLKAMKLINVEKSFAEKHYADLSSKPFFGGLVEYIVSGPVVAMVWEGKQVVSTGRKLVGATNPLAAEPGTIRGDFAVDIGRNVIHGSDSVENARKEIALWFPEGIAEWRSNQHPWIYEV >OGLUM10G18820.1 pep chromosome:ALNU02000000:10:22060576:22061214:-1 gene:OGLUM10G18820 transcript:OGLUM10G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDU8] MGAAGAGAGAIRRAACAAADRACAAARGARRALARFAPRPSAFGAAADAEAAAVRAVRNLRTFRFHYAALQWALLLASLAPRHRASMLFLMAASKGLLLYGGLLRVFPNSALLRRLLDRRLVALVFLALVLADLAAAGAIANLLAALAVGVPVIVLHASFRVRDDLEGPSLPSPAAENGEEETAAVVEKKEDGDVEAGPTRRSMAAAPRSPK >OGLUM10G18830.1 pep chromosome:ALNU02000000:10:22067218:22069777:1 gene:OGLUM10G18830 transcript:OGLUM10G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEVAEAVPRVVAILSSLLQRVAERNDAAAAAAAVGEEAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >OGLUM10G18830.2 pep chromosome:ALNU02000000:10:22067218:22069777:1 gene:OGLUM10G18830 transcript:OGLUM10G18830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEVAEAVPRVVAILSSLLQRVAERNDAAAAAAAVGEEAAAVSAFQGLTKPAISIGGYLERIFRFANCSPSCYVVAYIYLDRFLRRRPALAVDSFNVHRLLITSVLTAVKFVDDICYNNAYFARVGGISLMEMNYLEVDFLFGIAFDLNVTPAAFASYCAVLQSEMAYLEQPPAVDLPRLHCCPSDQDDAGCHHKQQQQQQQQQHQLAV >OGLUM10G18840.1 pep chromosome:ALNU02000000:10:22073132:22076812:1 gene:OGLUM10G18840 transcript:OGLUM10G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding KH domain-containing protein [Source:Projected from Arabidopsis thaliana (AT4G26000) TAIR;Acc:AT4G26000] MADPAAAAAAAEFGDPDSPPAPAAEEAEAAAAAGEEAVPAAEAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRVLEGPVGATERIVLVSGKEDPALELPPAMDALMRVFKRVSGITDGAAEGTQAATAPGVCAARLLVPGAQAINLIGKQGASIKAIQEGTGATIRVISIDERERPFYVIEDERIVEIQGETEKVLKALQAVSNHLRKFLVDHSVLPLFEKTNATVTQDRSTDAWTDISHPSIVSAQINQPPPVVDEYILPMKRDPLFLEREPLIDHNIHRSGVSLYGRDPALSTLRTSGIHGGGPGGPLLSQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEMKGTSSQVQAAYQLIQDSLAAHRDSVRSSYAGLDPVYRPSYSQYGSSTYPSSSLPSYSSMDGGGYSSSGLGGYGSSYRY >OGLUM10G18850.1 pep chromosome:ALNU02000000:10:22078052:22079643:-1 gene:OGLUM10G18850 transcript:OGLUM10G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEAVPAPDPNDARQRFLLELEFIQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKEVAHRQQYFFWKNYRNNRLKHILPRPPPEPTPAPAPAPAPATVPPAAPVPSTVVPPVAAPSSSLPPMSAAGASAMSPMQFAGTPGTNIPKNDMRNVMGGQGGRKRKKDG >OGLUM10G18860.1 pep chromosome:ALNU02000000:10:22083091:22084101:1 gene:OGLUM10G18860 transcript:OGLUM10G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSPPPNPDALSSPDLPPLAAPAAAAAAAAAAVSSGGAGGSGRRLPPPCWTHEETLALIEAYRDRWEGLRKGNLRASDWDDVAGAVTARCGRFPTATHKSGVQCRHKIEKLRKRYRAERARAAGRSKGPKWPFFPLLHDLAGGGAPDPSPNPIIKIKSKGPAAAAASPSPASPSPVSSPSSEEDEEEEAAADAGRSRSLHGLISNGGRGSGLRFTIPKASRSKPVAQREQPTAIKVEKSEEDAEAEAMAEVASALRAVGDKFLRMEERRLEISLQIEKERMESEMKRTQTLLDAQQLFVEAFLGKQQQHHHHHKKAKVISAAAAAATAAMDED >OGLUM10G18870.1 pep chromosome:ALNU02000000:10:22087530:22087940:-1 gene:OGLUM10G18870 transcript:OGLUM10G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDV4] MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFLKLVNFTHLMPTRYTLDVDLKEVAAGPDALATRDKKVAACKSAKARLEDRFKTGKNRWFFTKLRF >OGLUM10G18880.1 pep chromosome:ALNU02000000:10:22088632:22092114:-1 gene:OGLUM10G18880 transcript:OGLUM10G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BDV5] MAALTVGHAAIVHATTRLEDARSTGPRRRRRGMITVRAAAAAATSGWEPGSWRARPARQIPEYPDAAALEGAERELASFPPLVFAGEARKLEERLGDAAMGRAFLLQGGDCAESFKEFAANNIRDTFRLMLQMAVVLTFGGQMPTIKVGRMAGQFAKPRSNPTETIDGVTLPSYRGDIINSDGFDEKSRAPDPERLIRAYSQSASTLNLLRGFAHGGYADLQRVTQWNLDFLRDSTQGDRYMELSERVHDAIGFMVAAGLTPQHPIMTTAEFWTSHECLHLPYEQALTRVDSISGLYYDCSAHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSHKLEPSELVKLCEILNPHNKPGRLTIITRMGAENTRVKLPHMIRAVRQAGLIVTWCELRAFFDVHEQEGSYPGGIHLEMTGQNVTECIGGSKTVTLDDLSSRYRTHCDPRLNASQSLELAFAIADRLRKKRDRAWNRLVYRAVA >OGLUM10G18890.1 pep chromosome:ALNU02000000:10:22096855:22099311:-1 gene:OGLUM10G18890 transcript:OGLUM10G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERYEALKELGAGNFGVARLVRDKRSKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVCLTPTHLAIVMEYAAGGELFEQICTAGRFSEDEARYFFQQLISGVSYCHSLEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPGDPRNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVADPSKRITIPEIKKHTWFLKNLPKEISEREKADYKDTDAAPPTQAVEEIMRIIQEAKVPGDMAAADPALLAELAELKSDDEEEAADEYDTY >OGLUM10G18900.1 pep chromosome:ALNU02000000:10:22100526:22110077:1 gene:OGLUM10G18900 transcript:OGLUM10G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSARPARTWARCGSSFPKEVGGKRGATDSGPTYRVGSRTRRRHSPSRLDTPPTPTRRAPNPPAPNPDLLPCSALRSAAAAERAPPGECAGVWWWVCKGGGVGDARSAAAAVEGVCVLGYSGGSGLGGVRVGMGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNKRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDNTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >OGLUM10G18900.2 pep chromosome:ALNU02000000:10:22100551:22110077:1 gene:OGLUM10G18900 transcript:OGLUM10G18900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAYRDRGFGGAAAEMDRKRIKDVLEKHLDRSSPSTSRGAAVAKERDRLAAAGGKLPAPLGKAGKVSDGAEEFETDSEDSDVSGSEGEDTSWISWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYILTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEDLHYPRSKYQGNIDGAYFGTTFPHLFLMTYPHLKPQKPSQQYVPKVFGFKLHKQS >OGLUM10G18900.3 pep chromosome:ALNU02000000:10:22100526:22104706:1 gene:OGLUM10G18900 transcript:OGLUM10G18900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKSARPARTWARCGSSFPKEVGGKRGATDSGPTYRVGSRTRRRHSPSRLDTPPTPTRRAPNPPAPNPDLLPCSALRSAAAAERAPPGECAGVWWWVCKGGGVGDARSAAAAVEGVCVLGYSGGSGLGGVRVGMGCFQSTARRPRPGYEDPVGLASETAFSVSEVEALFELFKSISGSVIDDGLINKEEFQLALFKNKRKENLFANRIFDLFDVKKRGVIDFGDFVRALNVFHPNIPMEEKIDFSFKLYDMDNTGFIERKEVKQMLIALLGESEMRLSDEIIETILDKTFSDADTNQDGRIDRTEWENFVSRNPSLLKIMTLPYLKDITTTFPSFVFNSEVDDLVT >OGLUM10G18910.1 pep chromosome:ALNU02000000:10:22112534:22124099:1 gene:OGLUM10G18910 transcript:OGLUM10G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGHGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGVLDTLSTQNIIPGSPIAVNHSKVLNAVQEARRAAERAYDVPRVDDKVNRAVASANKAANAARVAAVKAAQKRIPNNGNDLPLSVLPHGAPCIRCAICGAVTHVAAAAPPPAHGDPARGAAGPGAVAPQHQAPGWGPPPPPAHGRKRAVICGISYKFSRHELKGCINDAKCMRHLLTTRFHFPDDSIIMLTEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGMDETLCPLDFETQGMIVDDEINTALVRPLTPGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGECISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILTSMRSTIRSTGDSMGSGGGAVTSLITMLLTGGSVSSGGLKQDPQLTANEPFDVYAKPFSL >OGLUM10G18920.1 pep chromosome:ALNU02000000:10:22120663:22123553:-1 gene:OGLUM10G18920 transcript:OGLUM10G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast beta-amylase [Source:Projected from Arabidopsis thaliana (AT4G17090) TAIR;Acc:AT4G17090] MALTLRSSTSFLSPLDPSSKREDAPPCCVVPMPAPGSSGRLRLARAAPVEHATMEEMASPEAATLLHHAGGGGQGQRRGKGTAVYVMLPLETVXXXXXXXDVWWGVVEREGPRRYDWEGYGELVRMVERAGLRLQMVMSFHQCGGNVGDSCNIPLPGWVLEEMKSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQEIQVGLGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLQQAAAAAGHEEWGRGGPHDAGEYKQFPEETGFFRRDGTWCTEYGDFFLGWYSGMLLEHGDRVLAAAEAVFRGTGAALSAKVAGIHWHYRTRSHAAELTAGYYNTRRRDGYAPVAAMLARRGAVLNFTCMEMRDEQQPEHAGCSPEQLVRQVRSAARAARVGLAGENALERYDEAAFAQVVATAASAGLGAFTYLRMNKKLFDGDNWRQFVSFVRAMADGGERAALPSCDTEQSDLYVGFLEKRAAPEAEAAAAAAVV >OGLUM10G18930.1 pep chromosome:ALNU02000000:10:22129681:22161199:-1 gene:OGLUM10G18930 transcript:OGLUM10G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAFLLQKYLGNYVRGLSKEALKISVWRVTMSIMRSSDWEVLCRSKVSCQAVLVSAPQQFIQVLFGDCESTHLDAVTRHYKSIALRVATVIQNLGNLSVWTIYFCLSLTVMAWKPGMWCMAKNMQGDVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEMKLLESQQQLKSELNSSWLGSFISTVIGNIKLSISNIHIRYEDTESNPGHPFAAGLALSKLSAVTVDDLGKETFATGGDLDRVKKSVELESLALYFDSDSSPWSVDKSWEDLLPSEWSQIFEFRKQDSSNPPSKTHTYILRPISGKAKYTKIQLDEAKKTGQALQNAAVDLDDVTLSLSKDGYRDVLKMADNFSSFNQRLKYAHYRPSLSVKSDPRSWWKYAYKVVVHETKKASGNLSWEQLLKNARLRKTYVSVYASLLKSDMSRLVVDDNEDIKKLDRELDIEVILQWRMLAHKFVEQSAETHQYAQQNKQQSWWSFGWTGSSKDEGDSKSFSDEDWERLNRIIGYKENDDYIPVQQDMKLMQFYFEIRMKHNASKLIIDNSEYLADLSCEDFCCNLKMYPEAKIFDLKLGSYKLLSPYGLLAESASVTDSLIGIFSYKPFDEQLDWSFTAKASPCYITYLKDSIDQIVAFFKSSPTISQTLAIETAAAVQMTLDEVKRTAQQQMSRVLKDQSRFSLNLDIAAPKITVPTKFRPDDIHETKLLLDLGNLILRTEEIWDSRASEEQDMYLNFNLVLSDVSAFLVDGDYHWNERSNEVNLLSVIDKCGIALKLQQIQLESALYPSTRMAVRVPSLGFHFSPARYHRLMEIFKIFQDNDSDKNSSDLAHLWDQADFEGCLRNKQVHQVPMELTSGVQNILALHDSGQILEDTGALIMLFDNEEARRIWQNRLQGAIYRASGSAALSSFPEVAFPSETHSFKGSFQDVSIEKLFVAGILDELKICFSCGYEVELSMKGGNLLIGTILRSLEIEDQYNYPGSPVPRYLARSFINSVQTNEVPTPSRKNSAGPRGTALKKSDSEERFFEASDDFDEFETPMLHERSISDYFSTQNFLPTSLPSLQPPAFSRIPGLLPDIELQSAGFTSGDITFESFVKAQIVIYDQQSPQYNNLDNRVVISVATLTFFCHRPTVIAIMEFMNAINLANVPDADKNKDTTSDPVEHNMAEEPKSDLEAGPVIKRLLAKGKSRTVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIEAALGNLKISDDSLSSNHPYFWVCDMRNPGGSSFVEIDFSSYNVDDEDYSGYDYSLSAQLSEVRIVYLNRFVQEIINYFMGLVPKSSDSVVKLKDNVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETNSSDFLELDVLYIKIQNKFQWIGGDKNEMSAVHLEILTVTVKDINLTIGMNMVCGETIIQDVEGLSFEIHRSLRDLMHQLPAVEASIKVDVLKAVLSNREYEIISECALSNFSETPHVVPALDDPRYGTTTAESHVSSSSSSESIQDLSQDAETWITNKISVSINLVELSLHSGSTRDSPMASMQASGAWLLYKSNTREESFLFATLKGFSVFDDREGTKDELRLAIGKSATVRDTSSADGYDNPNELDSGERRIQKDLGLEPIPSMLIFDAILRKSSSTVSVCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMTSPLVFSDQIYYQERSTMSISPQKPLIVDNEKFDYYIYDGKGGKIYLRDREGKILSGPSAERFIHVLCGKGLQFRNVTIVNGEYLDSCISLGSDCWYSASENDRVYLVSENEGLLSTHSEEITEDVVKNISANRSTEFIIEIQAIGPELTFYSTSRSSGENLALSTKVIHARTDAFCRLIMKGDSMEMSGNILGLKMESNGIRVIEPFDMSVKYSNASGKTNLHLLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLMPQVLRRLILFSRWNDSPTKGVLALNTNIVRVKRPLSYKLVWRSGPPQTNELQHSEKDLKNKPSNVDRFCSVWLPVAPVGYVALGCVSSTGTAEPPLSSVFCLSASLVSSCGLRDCIPLSGNANMSFWRVDNAFGSFLPGDPAHMRVDGNAYDLRHMLFNDADSSKTSSIGQDSHNDASQIERSALTSGRLFEAVASFKLIWSNNGMSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRNSGDDTFLRAPEGYQLVGRIKKHRGTEGVSFWFPQAPPGFVALGCVASKSSPAKEDLHFLRCIRSDMVKGGQFSEESMWDSSGARTSESFSLWTVDNDVGTFLVRSGFRKPPRRLALKLAGPPTSSSSDSIIIDAEIKSFSAVSFDDYGGMMVPLFGISFNSVGFSYHGGPQHLNATVGLSFAARSYNDKYNSWEPFIEPTDGFLRYQYDMNTPGSPGQLRIASTRDLNMNISVSNTNMLSQAYASWNNISLGNELYRMETSSTSERSILDVHERRSYYVIPQNKLGQDIYIRTTEYRSSDVTLLSSGDDRSIKVPASRDLLNSHLKGRSVRLYRLMVTAIIANAEIKVGEGLATGEYMVALRIYSEDCIASDVQQQSARTCAAAGDQSSQNIRKVEWNEMFFFKVENEDNYVLEFVVLDAGGGQPVGIYSTPLKQVVQKLPSASGSNYAKFDLTLGGLTSTKTVEHESVKSSGKIRFAVLISGRASTKQGCRANQARSKAGYIQISPSKEGPWTNMKLNYAVPAACWRFGNCVIASEATVKEGNRYISMRSLVSITNTTDFIVDLRLKGRYSQSSQSDGQGENSNKDDHISVGLLEPGSTAPIPLSGISNPVVLYTLQLRPTNHHELVQYSWSDVQERRSQTEYRNEEILDICVSDLYESENLLFCAQSDGTSSTSQGLWFCLSIEAKEIGKDVHTNPIYDWSIIIRSPLSLSYYLPISAHYVLSASHLDEEDTSCSQGTLNPGEVVRVQNVDPRNPLYLSLVPHGGWTSHEPVPISHPTVAPSKFINLRSSLSERIVQIVLEQSSDKDYLMARAIRIYVPYWISFARLPPINLQLIDISGRKDKRRFLARPRSERSDKILYEINHEELVEGYTIASGLNFKGLGLSASACRHGSGQFGLLKELSPLGDMDGAVDISAYDDDGKCTHILLCSKPSSYQAVPTKVIYVRPYITFTNRAGQDFYIKLSAEDEPKVLHAHDWRVSFMHSEGGTEKLQLRFGYANHCYLKMCGCMVRLVDTDWCQPLDIVKEDTIVIVMRKQDGTQKFIKAEIRGYEEGSRFLIVFRLGPSDGPIRIENRTSSTTISARQSGLGEDSWIQVKPLSTKKYSWDDPYGHTTFDVSIQQGDVTFFQCVDLENPDECSAGFREHHLKLSIVETADVKILKFADYPRRQEGGYRSDLGDHQASPVMQNDTDTGAGLLELIVELGVVGVSLIDHKPRELLYLHLQKLFISYMTGYNSGTTSRFKLIIGHMQLDNQLPLSIMPVALATESMPDSNHPVFKANIAVTDQTWRLNIHEPIIWALVDFYSNLRFVSTNNISTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGIWSPVLSAVGNALKIQVHLRKVMHRSRYMRKSSIIPAITNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLVQGTEAFAQGLAFGVSGVLRKPVESARQYGAIGIAHGLGRAVVGFIVQPLSGAFDFFSLTVDGISASFMRCVDILNNKHVPQRIRDPRAIHRDGIIREYDKVQAAGQCVDLDKMDKKPSKILWDVPWEDVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEEHEPQALLLCSSIRKMWRSHQADMKVVPLKVPGGQHDVYFAFDEDMREFHSFARPLLSPRGAASNVEERLINDTVNFQNMWSSEQEIRSRCKLLSKQVADDGRVFSIWRPLCPSGYVSIGDIAHVGIHPPHVAAVYKNVGGNFALPLGYDLVWRNCGEDYRNPVSIWFPRPPEGYIALGCVAVSAFEEPPLDSAFCVSERFAEDAVYEEQIVWASSDAYPWGCYVYQVQSKSLQFMAMRRPKEECELKPKKVSESYAQQALERS >OGLUM10G18940.1 pep chromosome:ALNU02000000:10:22169060:22170598:-1 gene:OGLUM10G18940 transcript:OGLUM10G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEERRGEERRGGPVRSRCPQLSPTTTAPGVPPHTLGARLDSFLLFLPPFFFFPHLACNCRGPMAVQAHYHHHHHHQQQHQPPPLFLARGVVVSPETTRPAGMEYHYQQHQQPPLFLDFSHGDGDGGNSRKRPREADAAAAMVAAPPPQMLSLQPQAQAQGHKVVSLAQLHNKRPPAATGLRLDFDDGGSEHVSTTTTSSASSLLSDELATQFDRCKNEMARMFQDHTERLRRALGEVRRRHYRSLLGAAEAAAARRMREKEAEASNAARRGAELEEHVARLRAEAAAWQAKALADQSTAAALHAQLQQAAAAAAQARGSKSPEDDDNINPNAAAADDAESGFVDPDRVEEVTPPPPPSRPCRTCRARPSSVVLLPCRHLCVCEACEPAVSTAIAAACPTCRGAVTGTVQVFIS >OGLUM10G18950.1 pep chromosome:ALNU02000000:10:22184167:22191425:1 gene:OGLUM10G18950 transcript:OGLUM10G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone mono-ubiquitination 2 [Source:Projected from Arabidopsis thaliana (AT1G55250) TAIR;Acc:AT1G55250] MAKWPKGPSLAPYKAAHLSVAHSSSTLSRVKEKEKSPVSFSSSPPLRSLRRRQDEQGRAGRRSPSGEQRRGGDPALQYENQKLVQLLEAQKSKMRALEGKFKELRDEQCSYDNTLIFLNKMWNQLIDDLVLLGVRAGGDLNGLQALDHEEMSEESLESCPSEEIFLFRLLNSRNFRNNDDSSLSKLVEEALALRYSTTVTLMKSLQEAFAVQQARSESLSLALNGQNSSEDVIVALENHNDYLKEVVDNLRQAVSIINRKHEKYLDEIEAFKNNQSRELHEVKCLSGELEESMAELEESRRKLAVLQLQTGGGSLMNTSAPNGVNGSVSTDKSSDKGMGWRDLKDAVEEAKTLAANRLFELHETQEDNLILSKQLEDIQDQLKDENYIVTSKPYTILSDQLHHLNAEIERYRGLVEVLQNEKDQLMQKEEEMLAKAESVDAVQQSITTYKAKIEDLEHEIQKLMAEKNDLEIKAEEALQDSGKKDFKDEIHVMAASLSKEMELLDNQMNRSKDAASEALALREEADYLRTLLAKKIDEQKEISDRYNTQVTEIKSLKALIETLDQEKQELQFIVDMLGKECSESRAISEIEESENRARKQAEYLRKCLEEHNLELRVKAANEAETACQQRLSIAEVELEDLRAKVDASERDVMKLKESIRIKEAEVDGHISEIETIGQAYEDMQTQNQHLLQQVADRDDFNIKLVSDSVKMKQAYGSLLAEKNMLQKQLQHVNSSLESSKLKITSGEEQMKTYVAQAMKSSSENRHLAISLERTMLEVSDAEKELKWLRSATGSAEKEYEINQKKIAELKMELERERNERRKLEEEYEEVKNEVSELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQSDVREVKI >OGLUM10G18960.1 pep chromosome:ALNU02000000:10:22197444:22206344:1 gene:OGLUM10G18960 transcript:OGLUM10G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKAPTSIPCELPLALDPSVSARPPDRPVASQSSARPPFPAASAAAAAPAAAPAVRSLVANSSGMDTRFDEDERTTAATRRRQSPSPSFAPSTSTATAVGLTRYGAVKSWGPRRELETGETSRCMEVLLLENPIDATKPSPLHVDEDKQGEVIGGTQQNAPESCVTERAQLNLKSRSSAVGQSVGSPLKERILAPSTAMKRATENKYLANLKTDIVEKALKYDRKEKMKEVIHEADDIPEEGFVLEPTGHGNGKQFRLPHSVYDVLHTYQKEGIKWLWTLHLKKLGGALCDEMGMGKTRQVAAFLRGLLQADIIHNTMIICLVTVIETWRKELNIVGVLVIKVFRYDRRTDCIALKSIATDGGVLITTFEAVRDHIHRILETGGTAWDYIVIDEAHRMKNDRTKLFDSLCRIDCTHRILMTGTLIQNNLTEFYALMNFCCPNLLGESGQFHENFSMPIERARYRGASAQLIKESIEASERLKKLVSPFVLRRTKEMLKNSASKLGTKHELTVWLKISAAQEYLYTNLIMSNVLGDEPGTPLAASQVARSICNHPVMVIGSDFEQRGESEEKKDALTDIIRKRLLAVSNIEDIEVGDYSLSSKVAFTLYLVDRLIKEDHKILLFSQSPRTLTMIEEFQQTNRWSLFLITTNVGGVGITLTKATRVIVFDPAKSPSNDTQSVDRAHRLGQDKDVIVYRLVTCGTIEEHTYRQQVIKGEKATAVMKENQSRREITKPTRRVLSMPPEGFGVSKTLIELLAIHGGAFDPSLDESEIRQVRGHESVVGVSNHLHLFSQRESDPVTNEKHKYTAGPSRKRSELSMPVDTEILKSIRSTFIEIPIQQVGCFVGEKGGNIMKLENISGAKIHRLGVDSYTHMQLYKISGTPHQISKAENLVKEFRQEMDSMVEEEISVPMEKVGLVIGSGGATIKKIQSISGASVKNLHPRSESGTTGRFVIRGSQNQTQEYSGV >OGLUM10G18970.1 pep chromosome:ALNU02000000:10:22216773:22218877:1 gene:OGLUM10G18970 transcript:OGLUM10G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLGGRRRRTAASESGGGWMKGCTSVRARDTRSRNRKRKRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAFPANEFHLVCHLAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSMDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRTLHGGLLWFSLQDEAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWERRYCIYVSRLCHPMGLLPDGGILLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLVRITAAA >OGLUM10G18970.2 pep chromosome:ALNU02000000:10:22216155:22216946:1 gene:OGLUM10G18970 transcript:OGLUM10G18970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILTTRQVARALLVISDYFSRLRALSSLWLARPRDARHGMAERASHRPRPRRHGQRNRA >OGLUM10G18970.3 pep chromosome:ALNU02000000:10:22217620:22218877:1 gene:OGLUM10G18970 transcript:OGLUM10G18970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKTRSRNRKRKRTVVPPAAATVPDLLPEEIVVEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASKQEPSILISPHTLLGRCDIQPPVGGLGDWPNNFSTQISFYQWQRGASIARFMDATAFPANEFHLVCHLAHCDGLVLAPTDTNLYLFNPATRDTITLPDGHGDNHHHHGTEMEACYAAGLGLDPVTRKYKVVRAFYRSMDPIRMGMEVFTVGETGAGCGWRETVTDPPYPVSRWLTAFTVNGGYLFWYMDRTLHGGLLWFSLQDEAFGVTLLPHSLDPALDDKVRPDVLHGELCVLHANSDTMTVTIWTTNSPSFDDWERRYCIYVSRLCHPMGLLPDGGILLWAKHTIHRYDLWSDELTAVCELGGIRYQGGRPPRWKNLFNFSVMPYTESLVRITAAA >OGLUM10G18970.4 pep chromosome:ALNU02000000:10:22216155:22217136:1 gene:OGLUM10G18970 transcript:OGLUM10G18970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MHRILTTRQVARALLVISDYFSRLRALSSLWLARPRDARHGMAERASHRPRPRRHGQEEPPEPSPSPQDPTNLPVDPVLCRDGSIRRSPPPYCCI >OGLUM10G18970.5 pep chromosome:ALNU02000000:10:22215454:22216709:1 gene:OGLUM10G18970 transcript:OGLUM10G18970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MERVDREAVGASNDFDLLNQMDSWLYRCLESLFYRDCWRCSNNPKMRSRIMWMSHAERFFMWLGGFCCSELLKVLESHLEPLTNQQLMGICNLQQSSQQAEDALSQGMEALQQTLGDTLALAAATMVVGGVGADNVTNYMGQMAIAMAMLTTLENFLKLRSNINGELNCLLPWRDAMIFLCRLIC >OGLUM10G18980.1 pep chromosome:ALNU02000000:10:22226720:22227784:1 gene:OGLUM10G18980 transcript:OGLUM10G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVPVVADDGGGGHGAKGEESVRGSVAGISPSILIIAVIVVVMLLASVSIHYFIRHLCRRSSASAAASSSAPVLPVVVRPASSAAVGEQVVGKASAERAAEMERLISRLPLFTLASSLAALPKSSRDCAVCQSAFRDDDELRLLPACRHAFHSRCVDPWLRANPSCPLCRASIALPHPPLPDLLRVELGSVSSRRSNPNSAAAVAAAPPEGAAVRAYPLPTLPNSEYLVEEELEVVIKQPAAAAAPRTGEPSQHPPPAAQAERGQPSSVTPTASFSSARSQERWSNRWSSRWSSRWSSGRWSSRYDAGTVTAAATAEWWWDMDGGAAPAARRREVEEQGNAFHGFMRWLTGAY >OGLUM10G18990.1 pep chromosome:ALNU02000000:10:22247843:22251424:1 gene:OGLUM10G18990 transcript:OGLUM10G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLLAAAASPFLLHGAAAASGSRRPLKSVGGVLLPKSAVKFERYLMGEILSVGADVNEVEAGKKVLFSDINAYEINET >OGLUM10G19010.1 pep chromosome:ALNU02000000:10:22267230:22269641:1 gene:OGLUM10G19010 transcript:OGLUM10G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G43822) TAIR;Acc:AT5G43822] MRGLIPNTRRRRRRRRYLLRRAGEGAAPLPPFGARTLLAAVRLPFPPLPRAAADSLLRLAAAGPYGRGWDWMEASVRRVQQRVRKAREEMDRWDDLNSRLLSQFANATAIVARLPVLEEVKNYGVLRCVPSIREDLLGKQMESLEIIFVSMRETVEEFNSIARSLHKALRDTNQMVRGGSALSAKQMQLQVGILPTIADCLDGLRTLCEMHQFEYALKSSVISSLTWTSSSSDIAAMRQLLVDQPNIPKDEVQAIFDIIFADEIC >OGLUM10G19020.1 pep chromosome:ALNU02000000:10:22272387:22275711:-1 gene:OGLUM10G19020 transcript:OGLUM10G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:camphor resistance CrcB family protein [Source:Projected from Arabidopsis thaliana (AT2G41705) TAIR;Acc:AT2G41705] MAIGGHKSDPWRWLRKRRGEEGDACLAAPPAVTHQAHGGDGRHRRRRRVSYLRRLWYERRAGNRMASSSARSNTSERNRVDYARSASMDSAGHSLGARSGSILSRRSSRQGSRGSISLSREMGDSILSSMRHSLQSADQLLGDVDGSVLAQVIDSGDRGLAFENDVDEEEENNVEHHQGVPLPDDTSMRIHGRSSQGTSVVAPVSVMKPKDTNVNGPGSSSIKVEPYKLSWMQDYASYLIHLAVFGFLGVFTRYGLQKLFGPGCLALTSDQSPLYLDLPSNMLGSFLMGWFGIIFKADIRHISDHLIVGITTGYMGSLTTFSGWNQKMVGLSSKGHWVYAVAGIVLGMFIVNESITVGAETGERLRGWILKCIREKSSIGSKCEWEHWRVDTRTKHYALLGVMVILMSLIWILSIVLAIVKVHSLGHGAILWLGCSVAPPGVWLRWYLARLNGGGIGISKRRHLKWLPVGTLAANVLAAAIMAALAVTAKAENTRRLTTVLNGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAAATFILSFVLGTLIYSVPVWVEHY >OGLUM10G19030.1 pep chromosome:ALNU02000000:10:22276873:22277917:-1 gene:OGLUM10G19030 transcript:OGLUM10G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKMLNVRIWSLPCFEQEGMVWIWSGDGTPESTIPSLLPPSGFTIHAEMVMELPVEHGLLLGNLLDIAHAPFAHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLNEDLRLVLGQHERMINGANVWNWPVSYDKLGIRYRLWRDATERGAE >OGLUM10G19040.1 pep chromosome:ALNU02000000:10:22279759:22285355:1 gene:OGLUM10G19040 transcript:OGLUM10G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRGGADGYCDLRDVRLELDPGKVRGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPGSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHLDGDLVAETHLHSLYNKPDYQDDANQINLLGSEDKLEGYASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMGDIQSINNCEGFGHSGKANGRLQTHDPSSVVCFHPSKFSKIEDDVQKTSSQNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCAHHRNQILMSKQLLQEGADIWSIISKNNERALWSSAVPEIKAKFLEIVHPSNRGLLEQDFEVLRGISGCGDDIGRDEFDKLWSWLYPVAVALSKDKINRLWDFTAHRWIEGLITLQETENALRSSQDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >OGLUM10G19040.2 pep chromosome:ALNU02000000:10:22279759:22285355:1 gene:OGLUM10G19040 transcript:OGLUM10G19040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPGRGGADGYCDLRDVRLELDPGKVRGGGGFTVCFWLYLSSSARPSSVILHQVAEGGGDKVPFLALGEGNKLILFPLLGFHREAPTPGSSYPWTDITNLTEVNECPLDNWFHVGCEVTENIMRLHLDGDLVAETHLHSLYNKPDYQDDANQINLLGSEDKLEGYASCRRNFILEVILVDAFGEAAKDREIVASLVYADNGALVEKSRDDSEPPLLISCDGIEYPAVSRPLPIIRGRALFKLKISQLSSKCDNKLFRIFFSTLGMKRYPFLEAYSKPIRCISRNRTSRPLGSAKRIGSASMGDIQSINNCEGFGHSGKANGRLQTHDPSSVNKHAKKMVLDKGAQDVMVSDSTASDYDSMDAGSSWSLSDGDDVESFSDAEIFRYCLDGTHERSKFLRAAAPSVNEDDLIKLANQVSLYSGCAHHRNQILMSKQLLQEGADIWSIISKNNERALWSSAVPEIKAKFLEIVHPSNRGLLEQDFEVLRGISGCGDDIGRDEFDKLWSWLYPVAVALSKDKINRLWDFTAHRWIEGLITLQETENALRSSQDRLMKPGTFVLRFPTTRSWPHPDAGSLVVTYVGSDNSIHHRLLSLDVRVDRLPSSMQS >OGLUM10G19040.3 pep chromosome:ALNU02000000:10:22284815:22285461:1 gene:OGLUM10G19040 transcript:OGLUM10G19040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHNRYRIPSLSYDTGQFQTFAPLIIRSCCPSTSRFEMPMVDNTMHGGRNSMSIGYGSQYPWSPDEGVKNFTKLGNEHSISMMISEDISILLSIF >OGLUM10G19050.1 pep chromosome:ALNU02000000:10:22284670:22287828:-1 gene:OGLUM10G19050 transcript:OGLUM10G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRCCSRKTMVSRFCDSSDSYLFLGNVQTPYLKNCCIEGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRRELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSVSRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQNEDEDDDGQN >OGLUM10G19050.2 pep chromosome:ALNU02000000:10:22284670:22287828:-1 gene:OGLUM10G19050 transcript:OGLUM10G19050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVASLSLLPHLLIKPSFRCCSRKGVGRYGGIKVYAVLGDDGADYAKNNAWEALFHVDDPGPRVPIAKGKFLDVNQALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRRELAELQEELAKAHNQVHLSETRVSSALDKLAQMETLVNDRLLQDGGSSASTAECTSLAPSTSSVSRVVNKKPPRRSLNVSGPVQPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVMNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKMLNVRIRSLPCFEQEGMVWIWPGNDPPKSTIPSLLPPSGFTIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTKQCSTHLHQLHICLPSSRNKTRLLYRMSLDFAPWIKHVPFMHILWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAIERGVDRLPFSNQNEDEDDDGQN >OGLUM10G19060.1 pep chromosome:ALNU02000000:10:22288672:22297467:1 gene:OGLUM10G19060 transcript:OGLUM10G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MMSSSSVGPTAIRCHRRTHALRAVIHVALEGYQQCKCNATQSIPARKCDEISPNSRRNR >OGLUM10G19060.2 pep chromosome:ALNU02000000:10:22292384:22297470:1 gene:OGLUM10G19060 transcript:OGLUM10G19060.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MTTTLTLKGSAFPTKTTLCSCSCKSQKEKQKKRVTMHCGNWTGSCVVIVIGPPNVAIFRTERKPQFNAIHVGYNFTRRCGD >OGLUM10G19060.3 pep chromosome:ALNU02000000:10:22292384:22297066:1 gene:OGLUM10G19060 transcript:OGLUM10G19060.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MTTTLTLKGSAFPTKTTLCSCSCKSQKEKQKKRVTMHCGNWTGSCVVIVIGPPNVAIFRTERKPQFNAIHREYLLFY >OGLUM10G19060.4 pep chromosome:ALNU02000000:10:22292384:22297467:1 gene:OGLUM10G19060 transcript:OGLUM10G19060.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MTTTLTLKGSAFPTKTTLCSCSCKSQKEKQKKRVTMHCGNWTGSCVVIVIGPPNVAIFRTERKPQFNAIHVGYNFTRRCGD >OGLUM10G19060.5 pep chromosome:ALNU02000000:10:22290174:22297470:1 gene:OGLUM10G19060 transcript:OGLUM10G19060.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron maturase, type II family protein [Source:Projected from Arabidopsis thaliana (AT5G46920) TAIR;Acc:AT5G46920] MPPPPPRRALLAPLLRLRAFSSLAHHPPLPPPPRRHQFVAADAITGRGGGGNPLDPAQLLRDDPVAITASLWVSSFRAQPTAAAPPPPSLSPFLSRLELWVLAYQKAYADETGSYLPRSSIPASTLSSLLALRNAVLDARFRFGNRLTPFLRSPRAAAAAAQDPATLSKRKLRALLTTPGPAPFQDRVVQELLLLLLEPVYEARFSPKSFAFRPGRSPHAAIRTIRRSFAPYLWYIKGDLSPLLHSPDPALVVGALIRDVRDKKVVDLVRSALLTPPVTARPGDEDAAKKKKKRKYQKKKVLPEGEPKPDPYWLQTFFGFAPEEALTQPDWGHCGVLSPLLANVCLDELDKWMEEKIKELYRPSKSDVVGGEDGVEQGNTSWPEFVPTSGPDKTRKVDYIRFGGHFLIGVRGPRADAAVLRKQLVEFCDQRFRIKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLEFLKGDREPDPQPCFRMFHATQAHTNAQMNKLLLTMAEWYRYADNRKKVVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIASRNLSRPLKDKKGQSPEYHNLLRMGLVDSIDGLQYTRMSMVPDPDYTPLPSGWRPDHEKILLEYIKLTDQQTLDEQRSCIREEGLITPQDYISMLVWSYKKNAVLLPSFKENDHKVSTEDLDSDTDELGDEEIGNLDHIDSPKKSD >OGLUM10G19070.1 pep chromosome:ALNU02000000:10:22300015:22300872:-1 gene:OGLUM10G19070 transcript:OGLUM10G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKLHPYANAVGVCAPCLRDRLIDLAAQRDAAADAAEADDHHHSSSSRVSSSLFARSVSPYAAARRSDACAYASSSSSSAAAAHHHHHHHPNLLFFRTPQVGPARAAGGGDEPEPRGKKVARRRSFLAAIFGGGGGRHARDDDIGAKDPPRRSTSWLSSIVRRKRRPDAAAAAAAESLPPPMDEAPDSPGGSTTSSWWFPSPSPARQHRRRHTGLGASGDGISGFAVCLSPLVRPSSGGGGRRRCQPPDPSPLGDIHRRNLSAGGAASFGRNTSRKLADMGRFR >OGLUM10G19080.1 pep chromosome:ALNU02000000:10:22305172:22305441:-1 gene:OGLUM10G19080 transcript:OGLUM10G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQARKEAAAAAQDMLKLDAAWMRKPAASQSRKGVAAPMRKAQGGARAASMVPEQSSSGLAARVPEHRSSGYGAASPPSSFTDCSCFF >OGLUM10G19090.1 pep chromosome:ALNU02000000:10:22307370:22312620:-1 gene:OGLUM10G19090 transcript:OGLUM10G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMENVIVLVNRIQRACTVLGDHGGGDGTASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGVQEYAEFLHMPKRRFNDFALVRKEIQDETDRLTGKTKQISPVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNIDMIIARRKEQEFFASSPEYSHLSSRMGSEYLAKLLSQHLEAVIRARIPSITSLINKTIDELESEMDHIGRPIASDAGAQLYLVLELCRAFEKIFREHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRYLSLQNVKRVISEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHYVLKELVRKSIGETQELKRFPTLQAELAAACFHALERFREDGRKTTVRLVDMESAYLTVEFFRKLPQEVDKTGTGNPSTPSVDRYADAHFRRIASNVSSYIGMVSDTLKNTIPKAVVHCQVREAKRSLLNYFYTQVGRKDAKQLAQLLDEDPALMERRQQCFKRLELYKSARDEIDAVSWSR >OGLUM10G19100.1 pep chromosome:ALNU02000000:10:22313078:22321265:1 gene:OGLUM10G19100 transcript:OGLUM10G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNVFQNSSPGFQILWNGSLRPRILAASADNMGLSNGRRRFKDPHHATKDDTMNMKKRSKKRKRTVGPAAAAATFPELPEEIVMEILARLPVKSLLRFKSVCRGWRAIISEPSFIRTQLQCSASRRQQEPSILISPHFRHDRPTKFSTHISFYQWEQGASSLARIMDAKDFPVGHKFRLISHYTHCDGLVLAPTLTKLYLFNPATREAITAGAGSFYRSPSIDPPVSMGMEVLTVGEPGARWRETAVDPPHPITRWRTALAVNGGYLFWYMDRRRYPDDAPRGLLRFSLRDEAFAVTRLPESMDPTLDENVLPDVLQGELCVVQALPDKAGVLIWTMSSSSMDNDDVHLDDGPWELRYCICVNALCHPLGVLPDGGGILLWANRSVHRYDFSARKLAGVVCNLDRIRYQGGRPARWKSVVDFTLMPYTESLIRLCDPF >OGLUM10G19110.1 pep chromosome:ALNU02000000:10:22321563:22322345:-1 gene:OGLUM10G19110 transcript:OGLUM10G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSNLGGEGPAGLIAERVLANDVADYIRFRAVCRLWRLRSVDPLSRALDCRFLPRRWIMLDKAAPPRCRRFLNLSTGECIRTDLPELESHTLVALAPGGLLLLLHQRTLLLRLLNPLTRHLTDLPPVTALLTPEQLRSWHSDGGLEDDPLLARGVGLASATTVALFLCRPKLIAVAKPGDECWAVVVADKNRPYIDSALPFAGRFYCAIGGSVMVLDSSPSSDQIMEGGRGWSPPLSRASPCISPGCQELFTLWTMVGS >OGLUM10G19120.1 pep chromosome:ALNU02000000:10:22327722:22334063:1 gene:OGLUM10G19120 transcript:OGLUM10G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLVLIIIMELLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >OGLUM10G19120.2 pep chromosome:ALNU02000000:10:22327722:22334063:1 gene:OGLUM10G19120 transcript:OGLUM10G19120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMTTCPAGIITDKQNEDQYTSGKQFSWFMQLLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >OGLUM10G19120.3 pep chromosome:ALNU02000000:10:22327722:22334063:1 gene:OGLUM10G19120 transcript:OGLUM10G19120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQALLPQPQSHRAALREDGHRPQLQPSSVLVLIIIMELLVLLLIPLLTSALSFSTAAPLRPGKSIQEAQHHSITVAVRVSSSAGERLSRPAFTAEVTRDLSAPADSADSAQTVATSAAAEASTVLSFLSAPAPPELLLSSQADTTPAIAAASWATETAAWACAVCSRSSSRLFLLRLPAMGMLSIKYPLRIALMCPMFSCSFSVETKSFRQFTSLCQIRQSMMALS >OGLUM10G19120.4 pep chromosome:ALNU02000000:10:22321923:22334063:1 gene:OGLUM10G19120 transcript:OGLUM10G19120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSQLLRREQRGDRREVGEVAGEGVEQAEEEGALVEQQQEAPRGERDQGVALELREIRTDALAGGEVEEAAAAGGRRLVEHDPPPGEEAAVQGAGEGIHRAQPPQPAHGAEADVVGDVVGEDALGDEAGRPLPAKPRARRRRRRRGHGAGLRRVRLVDLVHHPRGGGGRRSRRRVTRLWGLDSTRRRRANVAAGEAVAARILRAYLDTKGDGPVPLTAKPPLPPELPLQDSNPHHGIDCNCVRTNGIREQNKQQQIVLTTQVVKMILKIDDIITPSDC >OGLUM10G19130.1 pep chromosome:ALNU02000000:10:22323263:22327649:-1 gene:OGLUM10G19130 transcript:OGLUM10G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWLVPAIITRLAREFSSIIHRQGDSGPYTDSSSGGFRLYNWAVGGYGAFHKRSGARDAFHPKMPFTVMSNERPQIDVRKAVIQCKEESRCSKCCHPADMPIIPEQAMEFLSRTWSPSSSDFFQTLRTSSEHRQPEKAIKDEEGNEEKDEERHLSTVNFSGGKSQFFNQTWRKKRKEELRLHAAQARAAVSVAQLAAAIAGIVSVCELRPDNLKYVEAADSKKMGTVLASAAALVATVCAEAAELAGTNRSGVTSAVKTGVESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNSVGTSTTSIHKDTTLRVRLPCGSVRLRKVAVFPQCDRVVLRLGKKHLHGAFSTYKNYEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKVWKNAIEGILSDQNVKHAKL >OGLUM10G19130.2 pep chromosome:ALNU02000000:10:22323263:22327649:-1 gene:OGLUM10G19130 transcript:OGLUM10G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWLVPAIITRLAREFSSIIHRQGDSGPYTDSSSGGFRLYNWAERPQIDVRKAVIQCKEESRCSKCCHPADMPIIPEQAMEFLSRTWSPSSSDFFQTLRTSSEHRQPEKAIKDEEGNEEKDEERHLSTVNFSGGKSQFFNQTWRKKRKEELRLHAAQARAAVSVAQLAAAIAGIVSVCELRPDNLKYVEAADSKKMGTVLASAAALVATVCAEAAELAGTNRSGVTSAVKTGVESCSSAELLTLTATAATCLRGAAALKLRADVRGIGSNNSVGTSTTSIHKDTTLRVRLPCGSVRLRKVAVFPQCDRVVLRLGKKHLHGAFSTYKNYEVLDVSSNGGDVVVDGMVLFPLVLRTAAGVVQLLLDSQMHCKVWKNAIEGILSDQNVKHAKL >OGLUM10G19140.1 pep chromosome:ALNU02000000:10:22332296:22335283:-1 gene:OGLUM10G19140 transcript:OGLUM10G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKKGVEFGVASLQRCHSLSCQMAESTQIDERKAGNRCSKEKSRRPKCCHPAEMPVIPEQAMEFLSRTWSPSSSDLFQILSPSSLGTSPVNRQEDEVIGDEDVEAHGDTVRFDGGRSQVFNQTWLNMGHMRAILRGYLMDSIPIAGSRRRKRRDELRLHTAQAHAAVSVAQLAAAIAGVVSACELRSSSGGAGADRKLSTVLASAAALVATVCAESAESAGADRSRVTSAVKAGLDSRSPAELLTLTATAATCLRGAAVLKLRADVSRGISSSTSNSMMMMSTNTASIQKGTILRVCLPCGRLQLRTVAVFPECGTVALRLGKKRLHGAFTTYQHYEVLAVSGGGDAVVDCRKFFPVALSTAAGTVQLLLDNQMHCKVWKASIESMLSGRKLKHTKC >OGLUM10G19150.1 pep chromosome:ALNU02000000:10:22340303:22341957:-1 gene:OGLUM10G19150 transcript:OGLUM10G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFAPSIPLHVAAATAVASQSQGRRGRIKTTSACCWRRAAVPVNMAAVTSSTLSKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQVGFNNGVPISEEFYSANISGGHNDDLARVLFPDLDHDKAMKFMDDKEALYRKLAPEQLVAVEGLHDLCRWIEDHKLKRAAVTNAPRSNAELMLSLLGLTEFFPVLVIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAANVPVVGLTTRNPEKVLQDAGASLLIKDFQDPKLLSILEEIKPTVAAVEQI >OGLUM10G19160.1 pep chromosome:ALNU02000000:10:22346535:22352874:1 gene:OGLUM10G19160 transcript:OGLUM10G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQSLVSTVGQLLGEEYRQLSGVRGEVAELRDDLATMNALFRMQSEADDGAAVDHFIREWMKQVRELAYDAEDCVGLYWLRISHQLVGINGQADILVEVLIKQQLVGEQHTKVLSIVGFGGVGKTTLGREVCRLLETEFPYQAVVSVSQAFDPTRRDLMELLKSVLRQVVEVKAKNEILLNGEGKETLRSKADKQPNHDDAGKVKKFLDRIDEWEYDKLVKNLRTYLTDKRYLIMVDDIWTIRAWETIQSALWDDNRGRRIIVTTRIEDVAKACSPAIGGHYIHHMQPLEVEDSKKLFLSRTFVNKECPEELEDVMENILKRCGGLPLAIVSIASVLAGYTSPGSKDKWESIYKSIGSHMESNPTLEGMRQILALSYNHLRHELKGCMMYFSIFPEDYEVNKDRLLWRWIAEGLVTEKRGSSLMEVAESYLDDLVNRNMIQLRDEFKYYWKAKMYRVHDMFLELMVSKSLESNFASLLGGQYATMPYDRIRRLSIQGDDARLEEAEQPRKNMAGVDDGILDLEHVRSLSMFQHTGKKLLDQLGKFRLLRVLDLEGFKGALTKDHMGYICRLYLLRFLSLKGISLNGTEVEEIPSEIGKLEHLQTLDVRETSVRGLPDTVTKLYKLERLQISYNGDANLMWKLPLELKKMKMLREVGFAVLGNHLQVAQDVGELDHLQEMVVYVDNITFDNEVLTTFAGSLSKLYSLRRLIIGDVGYGNTLNFLNRLSSPPPLLQYLMIAGGIDRLPSWIMSLTCLGQFNMSWGKVAGDQLFDVLCELPSLTTVCIHNYCYEGEVLVARTRHRFPELITLRVASGSNLPNVIRFENGTMPKLEYLLVNFTDNNEKKIIGIRHLTSLSEQVG >OGLUM10G19170.1 pep chromosome:ALNU02000000:10:22354446:22354997:-1 gene:OGLUM10G19170 transcript:OGLUM10G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVSAKKHHAGAGFTLGCGCKDAKSVSFSAPAAETPSTTATRCRSAGMNPSGSTTTDTLTMTSASSSFLWERSVVEFDHDDGGDCGPESFSGLLRELSEQSVASWGRKSHHQNHDKKHSLPSSSPFPSQEDRKEKNGGNGDATDKPGDCRDGDDGVGVGLDGSVAVVKQSDDPLGDFRQSE >OGLUM10G19180.1 pep chromosome:ALNU02000000:10:22357853:22365329:1 gene:OGLUM10G19180 transcript:OGLUM10G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFARWHPPPAAAAAAAAAAGRVSLRPAYGRRSRAATVSPRAFGGGADFDGFVRRAWRGANAGAERLAFEVRQTAQRLDGRFSISRRLAEAARAARARAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELTDFMATPIGRAFTTIFFIWFALSGWLFRVFIFGTFVLPFAAPLLLGTFGNRVAIEGTCPACKRRFVGYRNQVIRCMNCQNIVWQPNNRSSGRASSSRSSGPDVIDVEFEEK >OGLUM10G19190.1 pep chromosome:ALNU02000000:10:22362380:22367697:-1 gene:OGLUM10G19190 transcript:OGLUM10G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:A0A0E0BE02] MALEISMEGIEARARELGVDLSTVDLDSVTLPAGEDFGILSDDEDLLRIDDPMELEMGFANVVVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKEGGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMFDDFDKYMKVPDEWMPAEIKPYTPGENLQKWLADEKARDQFVIRAGTFTEVYWNDARQKMPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGDDKFERLMRFAHAQVKLIDFSPGEKYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFSASGNISVSGVSWPIFRWGGGKDDKYFARLGKNVISVYETETFSLLDKKSLKVENVVDFCWSPTDPIIALFVPELGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNSGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDGPKPDISFYSMKTSNNISRVSKLTTLKGKQANALFWSPGGRFIVFAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLASAVTSVHEMENGFQIWSFSGKQLYKVSKDHFFQFLWRPRPPSLLTPEKEDEIAKNLRKYSKKYEQEDQDAFNQLSEQERKRRKQLQEEWGGWVAKWKQLHEEERPYRMELRDGEASDDEEEYDTKEVEIEEEASRRCKMQSDAAARSPRQTVRVGGRRPTADPSRGSSEAYGFVGSIAAVAAAAAYLAWAYLPEPWLRFLGVTYYPARHWALAVPSLLLVAAAQGMVLYMASNFLLAPAPTCLATISDEFAREPAACGTATREEKPIEAISDIGIDKINNLMFGNASSN >OGLUM10G19200.1 pep chromosome:ALNU02000000:10:22371770:22376143:1 gene:OGLUM10G19200 transcript:OGLUM10G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MRGSRMNPGDRRTRSTMSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAETEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQARKRSKEDLEAEQNVIEKIAEMLCWDKIHEKGDTVIWRKKADSNECHNKDGRPSKMCKIQDADDVWYKKMEGCITPFLEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVSTYKRINKLIGSSRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >OGLUM10G19200.2 pep chromosome:ALNU02000000:10:22371770:22376143:1 gene:OGLUM10G19200 transcript:OGLUM10G19200.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G18030) TAIR;Acc:AT4G18030] MRGSRMNPGDRRTRSTMSIVIVMSLCCFFYILGAWQKSGTGRGDSIALRVTKETDCTILPNLHFETHHSLGGVNPLVMTDKVFEPCHIRYSDYTPCQDQNRAMTFPRENMNYRERHCPAETEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKNLTVEKAVQNWVQFEGDVFRFPGGGTMFPNGANAYIDELASVIPFTDGTIRTALDTGCGVASWGAYLMDRNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSGSFDMAHCSRCLISWKSNDAMYMFEVDRVLRPGGYWILSGPPINWKTNHQARKRSKEDLEAEQNVIEKIAEMLCWDKIHEKGDTVIWRKKADSNECHNKDGRPSKMCKIQDADDVWYKKMEGCITPFLEEAQLRKFPERLFAAPPRILQGRTPGVTEEIFEEDNKLWKKYVSTYKRINKLIGSSRYRNIMDMNAGLGSFAAIIDSPISWVMNVVPTISEKNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYQNKCNMEDILLEMDRILRPEGAVILRDNVEVLNKVRRTVMGMRWKSKLLDHEDGPHIPEKILVSVKKYWVGNEEENSS >OGLUM10G19210.1 pep chromosome:ALNU02000000:10:22378183:22379567:1 gene:OGLUM10G19210 transcript:OGLUM10G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQSRSPCSSSSAAAVMSLCKVLLMVLALICTLETVSVEGGRVAAAALVGGGGPLNPLIPAEPYIGRGGDPYRGRGRGCTVPYGCYGGPPAAKP >OGLUM10G19220.1 pep chromosome:ALNU02000000:10:22381135:22383220:1 gene:OGLUM10G19220 transcript:OGLUM10G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQRGSSSAVSIWKALLILLALICALRPAQGGRGVSVGYPGPRSNKASSYYWGGGGCSSIDECDAPPAPGEEALP >OGLUM10G19230.1 pep chromosome:ALNU02000000:10:22388731:22389054:1 gene:OGLUM10G19230 transcript:OGLUM10G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTPSYTPPPRGRAAASGELGALTALSSSTITAHPPRGAAAGELGILSGHGAFYSTRTHLSSQSHHYRGFLRRWHLPSALRTWPLLVVAR >OGLUM10G19240.1 pep chromosome:ALNU02000000:10:22404988:22406026:1 gene:OGLUM10G19240 transcript:OGLUM10G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHGSSSFGGALSLCKVILMVLALICTLHTASVQGGRAAAAIGGGGKGSVLDPTYTPPTPPGGSYGGRGCRGPYRCSPGAGSP >OGLUM10G19250.1 pep chromosome:ALNU02000000:10:22410416:22421139:1 gene:OGLUM10G19250 transcript:OGLUM10G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRTMPSMTLSIDLCMNRQLVVLVWTCHQLHQATPASADIPTNAPLQAHHDNLHMPLL >OGLUM10G19260.1 pep chromosome:ALNU02000000:10:22421153:22423353:1 gene:OGLUM10G19260 transcript:OGLUM10G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BE10] MAMRPHYMNCHRGCGDLISPAARMTEQQQPVSMASPPPSRELADNPCRQEIEGIPTMAGLHGDDEPQAKWITVRLFGGGDRDDDQAKLLVAEDDAYVAGFANRTGHWHTFRGGRCYPALPATACTELPFGGSYRDLIGGVANLRAVPVGR >OGLUM10G19260.2 pep chromosome:ALNU02000000:10:22422942:22425248:1 gene:OGLUM10G19260 transcript:OGLUM10G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BE10] MEVLSRYDPAATTAAADAKMALAKFMVMVTEAARLKPVRRAVVERWEQVSYLSADEVRDVPYYGKMSLMILEWKRTGRWGELGPWANVDRARCPRPAGCEDEDDADADAGAKSAVHSQSKREGINLAHISVQIQRHV >OGLUM10G19270.1 pep chromosome:ALNU02000000:10:22424285:22425761:-1 gene:OGLUM10G19270 transcript:OGLUM10G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREAQGLRRRRSMGGRFRAEIQIDPGVAIPRGPDAGFAAAVREPLVKLQRPKFEFEQWDWDYISWPHDRLDANLQMRDSDPEATFEADRKASDEFLRRSTLQLNKCERDRRKPEQQDMELEDQDMFVASLLHVDDEPTGCRSSSEPWRTAGQRSAITPHMGAAY >OGLUM10G19290.1 pep chromosome:ALNU02000000:10:22427582:22431871:1 gene:OGLUM10G19290 transcript:OGLUM10G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARGQKAVAALPHLVKSLRSEPVSNAPRLRHLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFNINKVKYEGSLLIVENKIMTWAPKSFAEITAERHIQPISPELRKFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPCGVTS >OGLUM10G19300.1 pep chromosome:ALNU02000000:10:22431727:22431882:-1 gene:OGLUM10G19300 transcript:OGLUM10G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVQNSANRTSTNDAQGGMQYYHMREKDLLAETTGDARSDPNANMTDNTE >OGLUM10G19310.1 pep chromosome:ALNU02000000:10:22432191:22439561:1 gene:OGLUM10G19310 transcript:OGLUM10G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidial pyruvate kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G32440) TAIR;Acc:AT1G32440] MAAAAAEIVGSAAARMAAPAVRPAPPAAAAAAPPQPRRAVAARSLRTSTSDRVAADLALGSNGSLSAQSIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLSAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >OGLUM10G19310.2 pep chromosome:ALNU02000000:10:22432191:22438392:1 gene:OGLUM10G19310 transcript:OGLUM10G19310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastidial pyruvate kinase 3 [Source:Projected from Arabidopsis thaliana (AT1G32440) TAIR;Acc:AT1G32440] MAAAAAEIVGSAAARMAAPAVRPAPPAAAAAAPPQPRRAVAARSLRTSTSDRVAADLALGSNGSLSAQSIAENTADATSQVVSANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARMNMSHGDHQSHQKVIDLVKEYNAKNTDGNVIAIMLDTKGPEVRSGDVPEPIMLEEGQEFNFTIKRGVSTKDTVSVNYDDFINDVEVGDILLVDGGMMSLAVKSKTADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDAKVIHELKDYLKSANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEEVPLLQEEIVRTCRSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGSDAIMLSGETAHGKFPLKAVKVMHTVAQRTESSLYNPTTSPSLVAHPQALLNEEFSQSQLSKMFGSHATMMANTLCTPIIVFTRTGSMAVLLSHYRPSSTIFAFTNEERVKQRLALYQGVVPIYMKFSDDAEETFSRAISSLLSAQFVKEGDYVTLVQSGVKSIWREESTHHIQVRKVQG >OGLUM10G19320.1 pep chromosome:ALNU02000000:10:22441305:22445700:1 gene:OGLUM10G19320 transcript:OGLUM10G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BR-signaling kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G46570) TAIR;Acc:AT5G46570] MGCFLSKPAGAGPLPPNDAAALPADNPADPEAAAANGGADSAAADGGGDDKDAAKRAVPVFREFGLAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRLSWPDPQQFLAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYYIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVWPLQKQKEVASHVLMGITKATAVLPTILSPLGKACSGMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQEMLNTKKFGDIAFRDKDFKTAIDYYSKLVGMMSVPSATVFARRSFSYLMNGQSELALRDAMQAQVCMPEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRG >OGLUM10G19330.1 pep chromosome:ALNU02000000:10:22456611:22459224:1 gene:OGLUM10G19330 transcript:OGLUM10G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMTLPPGFRFHPTDDELVGYYLKRKVDSLKIELEVIPVIDLYKFEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATSTGYWKATGKDRKIACAGEVFGLRKTLVFYKGRAPGGERTDWVMHEYRLCQDLAHGVSNFIGAYALCRVIKRHEAGLHGEPPAAKAKGMISKVSSSSSLVTVEHQLSSRGNASPSFTPANNGSPLVDEMFVGGGGGDPFQLLPSCVPYHGGDACGFDLPPLCMPQTQDPFFSDAGFTQAAPPLYGDVMGSVSEHELKWDTLGGYSGGGGGELWNAAAAPLLCRQASDGDDLTAWFTAADDNMSVF >OGLUM10G19340.1 pep chromosome:ALNU02000000:10:22465664:22472835:1 gene:OGLUM10G19340 transcript:OGLUM10G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >OGLUM10G19340.2 pep chromosome:ALNU02000000:10:22465664:22472835:1 gene:OGLUM10G19340 transcript:OGLUM10G19340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >OGLUM10G19340.3 pep chromosome:ALNU02000000:10:22465664:22472835:1 gene:OGLUM10G19340 transcript:OGLUM10G19340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >OGLUM10G19340.4 pep chromosome:ALNU02000000:10:22465664:22472835:1 gene:OGLUM10G19340 transcript:OGLUM10G19340.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >OGLUM10G19340.5 pep chromosome:ALNU02000000:10:22465664:22470619:1 gene:OGLUM10G19340 transcript:OGLUM10G19340.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G10650) TAIR;Acc:AT4G10650] MAAATEAFSRRLSDAVRGLSGAWYGRHMAAADRAIRSRLPLVDLVLEVRDARVPAASAFAPLRRRSPEPDRRRLVVLNKADLADPSQTEKWMAYMKQTSCSCVALNSHSREGIKELLNAVRAKIREIKLGESDCTGTVLLVGIPNVGKSAIVNAMHRIGRIGAAEKGKLKHAIVSSHPGETKDISGYKVASHPNIYVLDTPGVLSPVFFNDESGPLLALTGAIKDSMMQEFEIAQFLLAILNSRETYKKWENMNQAGDMSSFSHAMSSSSHHNKRQYASDHTQDFVVKAVRQVLFDSISSFKGYLENENELKSLIECQFIALQEAFRVSADLSEDVRKLVAMKLLNLYRTGRLGRYTLDCAPDVRKEIVS >OGLUM10G19350.1 pep chromosome:ALNU02000000:10:22468099:22470262:-1 gene:OGLUM10G19350 transcript:OGLUM10G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAPDPSNNKNSSSILHQQVKKISRIPFSWGAHAWGIGREDPRRAIHALKVGTALTLVSLLYILEPLFKGVGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTILAGSLAFIIELVAVRSGKVFRALFVGSSVFLIGFAATYLRFFPSIKKNYDYGVVIFLLTFNLITVSSFRQEDVVPLARDRLSTIAIGCAICLFMSLFVLPNWSGEDLHSSTVRKFEGLARSIEACVTEYFQDQDKDDKILDKQASRASIHIGYRAVLDSKSSDETLAHYASWEPRHSMQCYSYPWQKYVKIGSVLRHFAYTVAALHGCLESEIQTPPSVRSLFRNPCTRVAREVVKVLQELAVSIRDHHRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKHACANSHVLMELNSSKHTATRTTLPSFKTDGTSLLERRNTKADQPSERNERGTLRPTLSKIAMTSLEFSEALPFAAFASLLVEMVVRLEMVIEEVKELERAANFREFTGHDYLTIDLTSNDKMRNPNGVPLDSHTISTAAE >OGLUM10G19360.1 pep chromosome:ALNU02000000:10:22476299:22480851:1 gene:OGLUM10G19360 transcript:OGLUM10G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLQEARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >OGLUM10G19360.2 pep chromosome:ALNU02000000:10:22476299:22480851:1 gene:OGLUM10G19360 transcript:OGLUM10G19360.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAEAVEELTRLYRELPPRPAVEEVEAAEAVLASADAEEAARLDEVAREEASASASSSAAAPGRADGELLAVLQEARRNAVRLRALQQRKEAAYVVELERRFKVFDDLIQRASRVVSSSSDAAEAGGGTTGDGYVGVGADSVDLEMELRKKEAAVAAAAAVAEMERGSKGLAALGLESKPISSLRRDVSAGTDMEKLSLIQVASLIESSAKKGITELSLRGKLVDQIEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHSNQLINLPDAFGELSNLIDLDLHANQLKSLPSSFGNLTSLANLDLSSNMLKALPDCLGKLANLRRLIVETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTVGSLSRLRELDVSFNEVEVIPENICFATSLVKLNLSRNFADLRALPKSIGNLEMLEELDISSNQIRVLPDSFRCLSRLRVFHADETPLEFPPREVVKLGAQAVVKYMNDLNAARGTNQKKTDRGSFWTWLFSLFGCCKKNQEVGLPV >OGLUM10G19370.1 pep chromosome:ALNU02000000:10:22484898:22492361:-1 gene:OGLUM10G19370 transcript:OGLUM10G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEDKLTARKRPVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALTDSNMDCGSSQTCFARSETKNSSFVRVDKGLRTKAKGGENDDEFSATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPIVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCAEESTGKKRSDVHAMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTHAFGNAQNNLAHQPCEKASSNKTVERSLGGKSDPSVLGGERHSMMTSCGTSNRDKIKAGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNNSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCATPTAERLDVKNKNSGIMGNRKKLQVVTSSLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTPGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICKGSSSSKQKQSVHSSQNEQPRILKPVKPTILLERGASFNLQKPNISSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKPGIVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESDGNISCKSAAAIQAAKDLLPESPQGCLVAQNPYSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQSYIWQGTFEVSRPGSSPEMYDGFQAHLSTCASLKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLTANICGIELLIFTSDKLPERTQPVSVQTEMENRGASVNHETLLRSNHEAERLNLCEIHFPETAGTGKILLGTPTAVPYGVHVHTSSKREFLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANTQACVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTCSFGDGQLTSKCLSKIPLPADQHTSLDDVQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >OGLUM10G19370.2 pep chromosome:ALNU02000000:10:22484898:22492361:-1 gene:OGLUM10G19370 transcript:OGLUM10G19370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPQERTVRDLYDRTRHKDLALPEESVEGRGSGRRVEGPGHREVVAKMEDKLTARKRPVPLDSPNVKTESGTCNVCCAPCSSCLHRNIALTDSNMDCGSSQTCFARSETKNSSFVRVDKGLRTKAKGGENDDEFSATSSPASYSENGENKVIARSSVAADSEVDKPAKRRRLVNHGSRSPIVECHDDSNSCVTGVSAASKLLLDKKKDKLSTSASSRDLTVNYKDNGINRLRNYCAEESTGKKRSDVHAMHRSSSDRSLPAESPFATKRLLRTQSSLSASHGLSPKRPTHAFGNAQNNLAHQPCEKASSNKTVERSLGGKSDPSVLGGERHSMMTSCGTSNRDKIKAGSLTKNLENGTSCSRNGSLEHADIQSNDAVNRNDNDKQERNQGCSMDTGSGRKLNTQNDVMTDSGNSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHTYCMRVKLEKVPDGEWLCEECCLKEDENQTRSNGGTSRNKVLDGKNQNSESTNNSKTLKVVVTDLDSQQITCGTPVNDPLAGSNQKLHLASSDLEARQVKCATPTAERLDVKNKNSGIMGNRKKLQVVTSSLEARQSSCRTPTSGSLDKKNQSLDKRSQSSEVLLKRKKLRVATDMESPLSNDGVRSPPKSCKRYAENTLSSTPRLLKADSPRNHDVFSRENSFKSSNKGSIKSPDNAPMRSQAVNSSVTLPRSYSLGNLANVKTPGPSPRGLLSKQPSFNNSSNEPKVKQLAEPVLSKLKPSKHSPRDPRESIRKVMKSGPLKHEASICKGSSSSKQKQSVHSSQNEQPRILKPVKPTILLERGASFNLQKPNISSSPRPDSSIKSGDPRNDQDSPRPGPSILKSSKKPGIVENKHSSILSKSDKQGITSTGIVCSKDTCVVKASDPLIPMDKIKNDSTDGACESPLILVNNDNEMSTKPEVLSIPRASKTCGSDFQDIAPTSSSEDLPPEEVQYEQKVVESDGNISCKSAAAIQAAKDLLPESPQGCLVAQNPYSPDTKSNDLNLKQQALVDQSSTVGSSLGALVIPEQSYIWQGTFEVSRPGSSPEMYDGFQAHLSTCASLKVLEIVKQLPQRIQLVEVPRHSSWPLQFKEVKPNEDNIALYFFAKDVESYERAYGKLLENMLAGDLSLTANICGIELLIFTSDKLPERTQRWNGLLFFWGALYARKASSSTELLIKGMNHSPLEQINGPVNQLVCSPKMPQSLGIDLNECPVDELYDPAVSVQTEMENRGASVNHETLLRSNHEAERLNLCEIHFPETAGTGKILLGTPTAVPYGVHVHTSSKREFLNIKPEYPSDIIGSEGTAGRDNMEEEESFTKNGVPCFTKQHTGATTRSVSDEILANTQACVSFQEVSPQHSVRPKLSDDPSDSVLKDFVLPDSSSIYKRQKTSEGKYSTCSFGDGQLTSKCLSKIPLPADQHTSLDDVQYIGRVPADPCSPTKPILDHVIHVLSSDDEDSPEPRNNLNKTSLKEEEGPSPLLSLSLSMASKKHNLTGSDTGDDGPLSLSLGLPGVVTSNQALEMKQFLPEKPGMNTSLLL >OGLUM10G19380.1 pep chromosome:ALNU02000000:10:22507169:22513383:-1 gene:OGLUM10G19380 transcript:OGLUM10G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPADSDQVLVEVNGVTRTLLMNRPKQLNALSSAMITGFLRCFTAYEEDEGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYNKPQVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAPEMLSCGLATHFVPSDRLALLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKRTVEEIMPHFRLTSEADLLTPSNTRAFCSFHIIQEIRVTTMSNGSSNLTMSFEIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDKDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >OGLUM10G19390.1 pep chromosome:ALNU02000000:10:22515323:22518183:-1 gene:OGLUM10G19390 transcript:OGLUM10G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >OGLUM10G19390.2 pep chromosome:ALNU02000000:10:22515323:22518183:-1 gene:OGLUM10G19390 transcript:OGLUM10G19390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGELMRKKRGRPRKYAPDGSMALALAPISSASGGAAPPPPPPGHQPHGFSISSPASDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEVNPDLKTKRLSFLPSFLLDPSVQPAIAESAAAVQQVDVASKIMAFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPVETRKVEPMSAPPQMATYVPAPVASPPSEGTSSGSSDDSGSPINHSGMPYNHSGQQQQHQQHQHMPPAYASGGWSLSAHHQNRHDSDMKMMSN >OGLUM10G19400.1 pep chromosome:ALNU02000000:10:22523436:22531059:1 gene:OGLUM10G19400 transcript:OGLUM10G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASSSRLLHRFSSSSLPRPCRRRVPRPRGHSRFAVRWEHRAAAVVVLLPRALLGGGFQDADAAESDEEEEEDAHGVLRPLDADDVNLDLHAPPSGPERWDVLGLGQAMVDFSGMVDDEFLHRLGIQKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALSRLGSSRSTNYPELRIAMAGSVGSDPLGSFYRAKLRRANLHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLVSLVSKSNVVIVEGYLFELPHTIEAIKQACEDAHKNGSLIAVTASDVSCIKRCYNDFWDIVTNYADILFANANEARAFCELSSTDSPMSAARYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCVPIDTCGAGDAYASGILYGILRGSSDLKSIGLLASRVAAIVVAQQDSGGCLAFSDELHRRVAAPCQDRMVVATEEMAVYCFDALVSHYSGDQPPPPAFEEGIHPLFVTWKKATNGSEPRLRGCIGTLEARQIVTGFKEYALTSALRDRRFPPIQSKELPYLECTVSILTKYETALNHLDWEVGKHGLIIEFTDPDYNMRRSATYLPEVAAHEGWTHLETIDSLMRKAGYNGTITDSLRKKLRVTRYQSTLYTMHYGEYAAYVKKNRGAAPEINGAPIINGFKPGH >OGLUM10G19410.1 pep chromosome:ALNU02000000:10:22530588:22531369:-1 gene:OGLUM10G19410 transcript:OGLUM10G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIEEEIDAESDDDCCEIDPDEFARKVQLKVSDEVILVAAKGQIKVDANQPEGFGNLLDLSDSDNNLQPHHEYAAGDRMDHPYEIDEDKTTLEKLVDGEDKYRADDLQVDEGDRCREEVIPVKISVKSEPEEHGVIGEEDAYDLLPEINGFSEQLFPGERRVFDEEDDDDVVVIGRDSL >OGLUM10G19420.1 pep chromosome:ALNU02000000:10:22532027:22533762:-1 gene:OGLUM10G19420 transcript:OGLUM10G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPKTRGRRSAPAPPPPPTPPPPPAPPANVIDLTSSPDASTTKGGGSGNRTKRAPPSLLDIELDGIEMWTPGQKRRLDEDCCILSADPLSPDVVAATAAAAANDDVAVVAERGKVACRDYPHPRSACAKFPFGTTPHDDHCEQCFCYVCDVPAPCSSWKGEKGHCHASDKDKKWKVKRTARQKRTQVVK >OGLUM10G19430.1 pep chromosome:ALNU02000000:10:22539214:22539852:1 gene:OGLUM10G19430 transcript:OGLUM10G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEEVPPPPAGLGLTAEEYAQVRATVEAHHRYAVGPGQCSSLLAQRIHAPPAAVWAVVRRFDCPQVYKHFIRSCVLRPDPHHDDNGNDLRPGRLREVSVISGLPASTSTERLDLLDDAHRVFGFTITGGEHRLRNYRSVTTVSQLDEICTLVLESYIVDVPDGNTEDDTRLFADTVIRLNLQKLKSVSEANANAAAAAAAPPPPPPAAAE >OGLUM10G19440.1 pep chromosome:ALNU02000000:10:22544678:22547057:-1 gene:OGLUM10G19440 transcript:OGLUM10G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGEEMGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >OGLUM10G19440.2 pep chromosome:ALNU02000000:10:22547423:22553884:-1 gene:OGLUM10G19440 transcript:OGLUM10G19440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEEDEGGEGNQHADMIDQIMGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAEAAAASGVALPKGPIDVAKHVVREAGMKGLFKGLVPTMGREVPGNAVMFGVYEGTKQYLAGGQDTSNLGRGSLILSGGLAGAVFWLSVYPTDVVKSVIQVDDYKKPRYSGSVDAFKKILAADGVKGLYKGFGPAMARSVPANAATFLAYEITRSALG >OGLUM10G19440.3 pep chromosome:ALNU02000000:10:22544678:22553884:-1 gene:OGLUM10G19440 transcript:OGLUM10G19440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGSAAPAAGSGMFVPTQTVGTVLCCICGVAMQPNPANMCARCIRARVDITEGVPRHAAVVYCPDCTSYLQPPRSWLRAGPESPELMQILLRRLNRPLARLRVSLSAAEFVFSEPHSKRLRLKLRLRREVFNAVVLEQTHPVEFTVHDRLCDACARAQSNPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLALRVAAAPGGLDFFFGSRSHAARLVDFLATVAPVQTQTAKQLVSHDTKSNVYNYKHTFSVEICPICREDLIALSPQVSRDLGGLGPIVLCIKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEHESPEITIDGSRYQLAYAQVARVSDFGKNDTMFTVRTHLGHLLNPGDLALGYDLYGANLNDDDMDTAMMRHSLPEVILVKKSFAKRPRTRRWKLKRLPMEEDAVNKAKGELEKRADEYEEFLRDLEHNSDIRFQIDLYKDEDYRSEMASTIGDDVPTVPIEELIEDLSLGDDEEEDEGGEGNQHADMIDQIMGDVVKDLVAGTVGGAANLIVGHPFDTIKVKLQSQPTPAPGQFPKYAGAVDAVKQTIAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEALLRSEPGQPLTVNQQVVAGAGAGVAVSFLACPTELIKCSALASAAPAAAAAAASPVGGGAATATATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >OGLUM10G19440.4 pep chromosome:ALNU02000000:10:22544678:22546383:-1 gene:OGLUM10G19440 transcript:OGLUM10G19440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVAKDLTAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGQPPKFAGAMDAVKQTLAAEGPRGLYKGMGAPLATVAAFNALLFTVRGQMEAVLRSEPGAPLTVSQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASAAPAAAAAAASPVGGGAATATATATASVAAVKYGGPVDVAKQVLRSEGGVGGLFKGLGPTLAREVPGNALMFGVYEAIKQYLAGGQDTSSLGRGSLVVAGGLAGASFWGSVYPTDVVKSVIQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMARSVPANAACFLAYEVTRSALG >OGLUM10G19450.1 pep chromosome:ALNU02000000:10:22545647:22546761:1 gene:OGLUM10G19450 transcript:OGLUM10G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGVDMTDSSGEKKTNLHLMSSVGQARNETATPAPAPATTCWLTVSGAPGSERSTASIWPLTVKRRALKAATVASGAPIPLYRPLGPSAASVCLTASMAPANLGGCPGGGVGWLCSFTLMVSKGCPTTSCAAPPTVPAVRIQRKKYHHTGIQILRQIR >OGLUM10G19460.1 pep chromosome:ALNU02000000:10:22569120:22569887:-1 gene:OGLUM10G19460 transcript:OGLUM10G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSVTDSLARTRFGPSAPQDLFHHSPPKSWAFFFSQGHVERVTWSSDDTLMLYQYCVEEVEASGELSEESYRLIQQKMCDQGATYDVKNIKEKINRSRDSQDYNLRCRHHLDLLDILFDQVKPFKSNISFLKFCAFRLINSCCLS >OGLUM10G19470.1 pep chromosome:ALNU02000000:10:22573132:22573725:1 gene:OGLUM10G19470 transcript:OGLUM10G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPARRLLLLNHEPTTTPASSSSSSRQSFPTLLPVFILFVLLLCFLSIFLVRDLLHFLSLCLRRRRLLLRHGEDHDSSSVSMQSSASPINAQAPRKPPGLDPAILASFPTLRFKASAAAPECAVCLSDFAAGDALRLLTVCRHAFHTPCIDSWLRAHTTCPVCRSDLDAAPAPAPRHEDPAAVAVDVECDRRGGAG >OGLUM10G19480.1 pep chromosome:ALNU02000000:10:22574458:22578946:-1 gene:OGLUM10G19480 transcript:OGLUM10G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQSGTRWDTAIAIGKRKRVIELPDRMAFVETGGGGRGSRRSERLTAKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEVNLADIHGYEKHVITTLLNESIPSPNSICSHANCRVIVVHDADKLSSDLQHYIGWFLGRYVGCNKIMFCCSDASNLEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKANYAFLEGHAILTGWEEEISNVAKKILEEPSPKQLYVIRGKIRKLIEHNVSPYFIFSNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGVL >OGLUM10G19480.2 pep chromosome:ALNU02000000:10:22574458:22578946:-1 gene:OGLUM10G19480 transcript:OGLUM10G19480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQSGTRWDTAIAIGKRKRVIELPDRMAFVETGGGGRGSRRSERLTAKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKAKSTIIGFLKAINNPSQLQLCLPRRPCHFDRMGRGNLQCSQKNLGGTKPKTNLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGVL >OGLUM10G19480.3 pep chromosome:ALNU02000000:10:22574458:22578946:-1 gene:OGLUM10G19480 transcript:OGLUM10G19480.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASEAPQGEVGHQSGTRWDTAIAIGKRKRVIELPDRMAFVETGGGGRGSRRSERLTAKEGRPKSSLNMQPEAAQITKWANRMEMESLGRDQMAMSHAAATATTLRAAHMAPPATTLSDALDHDRRRDARRYRTFLPPSQLVLGLHRLGFACIPRSGAPLTRPRTAPSSVPHQPPPRREAPQPQVANAAVAERRPLREREEAAAKRIHDHHTPAESKAEAASEPQVSAGDHSTQSSDHSASAVAVGRGGSIWVRVLPKSVAMVDSPQTSEESTPTAEDKYIWADKYRPNFLNDFICNKDAALELYNQVTAQECNHIIFEGPTSVGKRSMVSALIRDAFATDNLKIEEQTKRFELKGEIAKHIDIRVKISSHHVEAVRHLCKVVTLKPPSSDEIIKVLEYIAVQESIDLPRDIARRITMSSGNNLRQAIRSFEATWKAKSTIIGFLKAINNPSQLQLCLPRRPCHFDRMGRGNLQCSQKNLGGTKPKTVGYPFHVFFPHNSVYLSVFNLKKENIQMLLLRLVQANLVAELKRDRDEEFQNSIDQLASELNHIKDCARQKEQCESGDTGLEIRNINIEGFAKEGHDQRETIQCFIKIEEFTVRFMGFYRSLKAKNMNRGGVL >OGLUM10G19490.1 pep chromosome:ALNU02000000:10:22584998:22586307:-1 gene:OGLUM10G19490 transcript:OGLUM10G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAAAAALCSLCLAHNHRDHHTIQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGAVANICEVCSRSLLDNFRFCSLGCKVVGCSPHAATAAATATATAARRKRLRHAHAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >OGLUM10G19490.2 pep chromosome:ALNU02000000:10:22584998:22586307:-1 gene:OGLUM10G19490 transcript:OGLUM10G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDHESPFKELRLKNRRIMGGGGPEPEEEEAVAHGEQWPRWLSPLLSASFFSQCKVHADSHRSGECNMFCLDCAAAAALCSLCLAHNHRDHHTIQVLTISLFPMHCSLPLPNQTYLTMAVAALWLQIRRSSYHDVIRVSDIQRFMDIGGVQTYVINSARVVFLNERPQHKAGKGAVANICEVCSRSLLDNFRFCSLGCKVVGCSPHAATAAATATATAARRKRLRHAHAMASTSDSDNSTSPAKRSFTPSTPPPPPTLPPKRRKGIPHRAPFGSLIVEY >OGLUM10G19500.1 pep chromosome:ALNU02000000:10:22592125:22595590:-1 gene:OGLUM10G19500 transcript:OGLUM10G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSSSSAAADKNTVFRKLRAKSDNKMCFDCNAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKDVAKNSTEDGNNSWPSSPVAASQPTNQADAIPDLKLAEASKDVANEKTEPEVIRSPRAPTHSFKKPIVAKKPGNKTGGLGARKLTSKPNESLYEQKPEELAPALPPVTENSTARSKSHTSRFEYVENTPSAGSNSEENQVIGHVAPPKSSNFFGEFGMDSGYHKKSAPGPSKVQIEESSEARQKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSAISSADLFGHPTNSSNVDLSASDLINRLSFQASQDLSSIKNMAGETGKKLTSLASNIMSDLQDRIL >OGLUM10G19510.1 pep chromosome:ALNU02000000:10:22624329:22626428:1 gene:OGLUM10G19510 transcript:OGLUM10G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLWTDDNASMMEAFMASADLPAFPWGAASTPPPPPPPPHHHHQQQQQQVLPPPAAAPAAAAFNQDTLQQRLQSIIEGSRETWTYAIFWQSSIDVSTGASLLGWGDGYYKGCDDDKRKQRSSTPAAAAEQEHRKRVLRELNSLIAGAGAAPDEAVEEEVTDTEWFFLVSMTQSFPNGLGLPGQALFAAQPTWIATGLSSAPCDRARQAYTFGLRTMVCLPLATGVLELGSTDVIFQTGDSIPRIRALFNLSAAAASSWPPHPDAASADPSVLWLADAPPMDMKDSISAADISVSKPPPPPPHQIQHFENGSTSTLTENPSPSVHAPTPSQPAAPPQRQQQQQQSSQAQQGPFRRELNFSDFASNGGAAAPPFFKPETGEILNFGNDSSSGRRNPSPAPPAATASLTTAPGSLFSQHTPTLTAAANDAKSNNQKRSMEATSRASNTNNHPAATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLEASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTALETDKETLQSQMESLKKERDARPPAPSGGGGDGGARCHAVEIEAKILGLEAMIRVQCHKRNHPAARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQDQLNAALYTRIAEPGTAAR >OGLUM10G19520.1 pep chromosome:ALNU02000000:10:22628597:22643072:-1 gene:OGLUM10G19520 transcript:OGLUM10G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASRHAASAPPPAPDASSPSSPRASSASSSSSAAAEEPEYLARYFVVKHSWRGRYRRILCIASSGLVTLDPATLGVTNSYDASYGFDRAAPEGNATEFTLTLRTDARGKFKALRFSSPLRAGILTELHRLRPVHPILDFPVLHLRRRTHEWAPFKLKVTSVGIELLEGHSGDLRWCLDFRDMDSPAIILLGDSYGKRTAEGGGFVLCPLYGRKSKAFMAASGSTNTLIISYLTKTANSMVGVSLHVDNSQSMTATDFIAKRANEAVGAAETRHGEWSVTRLRPAAHGTASIESLSLGIGPRGGLGDHGDSVSRQLVLTNTSLVERRPENYEAIIVRPLSTVSALVRFAEEPQMFAFEFNDGCPIHVYASTSRDSLLATVLDVLQNQSQCAIPVLPRLTMPGHRIDPPCGVVRVHISHHTVDMEAASMHIKHLATVAKEAVVSSDTVPGAKIRLWRRIREFNACIPYTGVPVNIEVPEVVLMALISLLPATPQNLPADAPPLPPPSPKAAATIMGFVACLRRLLTSRSVSAHVMAFPVAVGRIMGLLRNGSEGVAAEAAGLVAMLIGGGPGDTSMLTDTRGESHATYMHAKSVLFSQPVYVPILVNRLRPLSVSPLLSLSIVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETVSVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFYPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQNQYDEVPLSRRQKRILQQRRSRGGKSMAVPEQGMPSNNNEGDFFGHTNVGPFGADVHQRHANQYPTAYTPSPGISIDPSQAVPHGFVPEAFYENNHQIGAPQLDSHAYLVDSNGNGDLANSAHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELREALQAEVHSLDVEKERTDDIVPGSSVTEDASDSETLPRISWNYVEFSVSYPSLSKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDLGRLDGFGGGGGSSVRELCSRAMAIVYEQHNKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIAATAFMEPLKEWMYIDKEGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQAMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIIWTHKMRAEHLIRQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLAMWREELTRRPMDLSEEDACKILEISLDDLVLGENGSSKQSSELSSGNLTNNIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQGLQGPQVWRLLLLLRAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSERAPLLIAASELIWLTCASSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTPANEPAARIVTNIMHTFAVLSQFESGRAEILKFGGLVEDIVHSTELEFVPSAVDAALQTAANISVSSELQSALLAAGFLWYVLPLLLQYDSTAEENATSEAHGVGARVQIAKNLHAVHATQALSRLCGLGGDGISPSNQSAFDALRALLTPKLADMLRNHPPKELLSNLNANLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESHCFAYQALSKELNVGNVYLRVYNNQPDYEISDQEGFCIALLKFIAELVQKWNSLSLDENMMHQCGTAIETSITENGDISGSTNEGKEEDSLEKHNRGVTDGDSEVIMNLRSGLTSLQNLLTSNPGLAAVFASKEWLTPLFECLALPVPPESNIPQICLSVLSLLTKHAPCLEAMVAERTSLILLFQILHCNRPCRDGALTVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIRDGPGEAVVSCLEQTTETPELVWTPAMAASLSAQLSTMATDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVSAMAYEGRRDTMASGQATSRLQAEPSDQENSADSTVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCAKVREILNSSDVWSAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSTAPALPSAPANPSGRHSYQHS >OGLUM10G19530.1 pep chromosome:ALNU02000000:10:22645945:22646616:-1 gene:OGLUM10G19530 transcript:OGLUM10G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTSPRLPLPATNTAPPFKVHRGSRLIHKQPPATASSSSSSNSSTSSASGLTTTTKNKNNAATAAAAHRPPSRQQHKQPVIIYTHSPKVIRTSPRDFMSIVQRLTGLDSARTAASHSHHDSSSSSSSSADSCTNTSHHHAHAPPPPSSHSHSYVVVDPPPPPLPTTHHFIPPEIPLLARAPASDLPPALCAYAAPFVPVMSSPAATATATVFSAPDVPFPDH >OGLUM10G19540.1 pep chromosome:ALNU02000000:10:22665488:22669924:-1 gene:OGLUM10G19540 transcript:OGLUM10G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQVLSSMAAMAGASSSADALFASPLIPNPALAGFMSSSAAMPFHHFSNAAATLIPPHDTAIYVRAVQKEEGLMGGLHVAKDEEMDLEMDMELSGGSGSAHLDGLLSFADVDDDHKPQHSGHDQLPDAAQPSGAAGGNAKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVILRAENENLKSDNFRLQAAIRNVVCPNCGHAAVLADMSYEEQQLRIENARLKDELDRLACIATRYGGGGGRQPVLSTSALSCISAPPPVLMPPLDLDMNVYSRHFAEQAPVMGCGDLIPPPVVPQHDGAAAYMGAMMAPVQEQDKQLVVDLAATAADQLARMCRAGEPLWVRQRGAEVMAVEEHARMFSWPVDGAKQGDGGAVARAEGTRDNAVVIMNSINLVDAFLDANKWMELFPSIVCKARTIQIINHGAVSGHLGSGTLLLMQAEVQFLSPLVAAREVVFFRYCVHNADEGSWAIVDFPAEGFEEGLLQASVVRCRRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLQPVFRDYVASGAAFGATRWLSILQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGTQSWTALSDSTQDTIRVTTRKNTEPGQPSGVILTAVSTSWLPFTHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATSPSPAAAPTISSSTTTTTGNGNGETSSTPPRNSSSNNNNADELLPPNGCLLTVGMQVLASAVPSAKLNLSSVTAINSHVCNAIHQITAALKGSAGGAGGEPASDQ >OGLUM10G19550.1 pep chromosome:ALNU02000000:10:22674454:22679662:1 gene:OGLUM10G19550 transcript:OGLUM10G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MAMPPPLFAAASLLLPSPTIHSSTGSRRPFRLPLRSSRRPPVAAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAPPPPPPPAPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEATNPTPEPVQATHLLAGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNASTISSPFASFSFSATASFDVQSPSRIEVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEGSPLLDQL >OGLUM10G19550.2 pep chromosome:ALNU02000000:10:22674454:22676650:1 gene:OGLUM10G19550 transcript:OGLUM10G19550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MAMPPPLFAAASLLLPSPTIHSSTGSRRPFRLPLRSSRRPPVAAAAASGVPDEWGDRSPSAPEPPSQPDPPIDDDEWGRDDPSASGNSRPVPVTDEWGEPGVPEPQSTSAADPPTNDDEWGGDPAPPPPPPPAPEEDNEEERREELKRCLVDTVYGSDLGFRASSEVRGEVLELVTQLEATNPTPEPVQATHLLAGNWILIYTAYSELLPILAVGAAPLFKVDEISQEIDTNSMTIVNASTISSPFASFSFSATASFDVQSPSRIEDFYTNLGAPEA >OGLUM10G19550.3 pep chromosome:ALNU02000000:10:22676721:22680471:1 gene:OGLUM10G19550 transcript:OGLUM10G19550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid-lipid associated protein PAP / fibrillin family protein [Source:Projected from Arabidopsis thaliana (AT2G35490) TAIR;Acc:AT2G35490] MLMVIPMKVQFKEGSFQPPKISSSVDLPAEVDIFGQKISLGPVQQVLNPLQQAFASIAGSISGQPPLKLPIPGNNRARSWLLTTYLDKDLRISRGDGGLFILVKEDALQIKSPQYSFRASET >OGLUM10G19560.1 pep chromosome:ALNU02000000:10:22676974:22687155:-1 gene:OGLUM10G19560 transcript:OGLUM10G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79190) TAIR;Acc:AT1G79190] MEMEAASAAAAAASDETLAAIFAQLKPHTVTLLDLIRTRTPASKSAAASSLRAMASFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQASRGHHGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLNDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEDVTADGSKTSADRRELHVKRTKKWLEETANNVDKLLSVTFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSLFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQHNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIAKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGEGVRCDIDPSSVREVFKSMQDTVLTWNLRATLFDKNEETSITSGDPEVLV >OGLUM10G19560.2 pep chromosome:ALNU02000000:10:22676974:22687155:-1 gene:OGLUM10G19560 transcript:OGLUM10G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79190) TAIR;Acc:AT1G79190] MEMEAASAAAAAASDETLAAIFAQLKPHTVTLLDLIRTRTPASKSAAASSLRAMASFLRSAPAPALQLCFDYTVFPLLLLLDAAVQCRKEANASGELDISDAIAEGGLACLEVLLTKCRLTSVNQMVALLKKLTFGAMLSPSEASEEFRQGIIRCFRAMILQLHPCLDRSCSCKQATALSTALSFTSLEVGTIVTPKYSAQPEECLLAFLQSQNASAAVGHWLSLLLQSSELEASRGHHGSADVRKESLITLRVLIGKVGSADALAFFLPGLVSRLGKVLYTSKNMISGAAGSALSIEQAVLGLTEALIVVLNDKENLSELDISSVENVALCSGGNSSSEHVLQMLRQLPAKTLSKQIGSGEATEDVTADGSKTSADRRELHVKRTKKWLEETANNVDKLLSVTFPHLSIHSSEKVRRSVVNGIRVLLSSCSYTLRKSKMLLVECLCILACDDAASVSEAAQDSLDYLFIEGERVLTEDDVSDIFTRFVEKLPQMVLGSEETTAISHARRLLALTYYAGPQFLANYLHRSPVVAARLFDCLGLCISQSSQFSGSMDKLIVSKPLSVGYLFSVAELKSGAYPKDENYGFQHAMPASTATKISVIHDNGLPNTTHSSVDYELPHVPPWFVHVNSQKLYFALAGIVRLVGLSAVSGEETSASLSLFVDILLDQFRRLSTELRSGGQRWYMKSDAGQTLRQASSAVCMLNELIYGLSDRSLSICLQIFNKNSAQMIGAPGQNDQLTAFGQHNGGTNRNIWKISEQMGTKNHIIHCIGSILHEYMAPEVWDLPTEPDSELSLTELNIPLYFFRDTAALHQVMIEGIGVFGVVLGQDFASSGFMHSSLYLLLRKLISSSVQIRIASDAVLRALAAAGGYCSVGQFVVANADYIVDSLCRQLRHLDLNPHVPDILASMLCYIGASRDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEVAKASRHESVSLPDEVESFFMKVRSEGEAIQSLIAKRRDTCAMPERMDVDAQPDFMGLEYWEDLLCKLNEMRRYRRIVGSLVGSCVVASTPLLSSTKEAACLVALDIVENAIISIAKVEEAYKCESRCKAVIEETIQLLSVDELHDDMDAAEDVDENRLLPAVNKLWPYLSVVRKCTEVLSKVIQISGGDFFVRRFHKDGSVIWRLLTLSPFQRKRMALMDEKAIILPYRNTSLTSEEPMAEISSQKIQIAVLDMLAEISSNKRSAIALGSVLKKVCGLVVGIAYSGLIGLREAAIRALTGIASIDSDLVWLLMADVYYSLNQRDIPLPPKQDLVELSDLLPPPMSSREYLFVLYGGEGVRCDIDPSSVREVFKSMQDTVLTWNLRATLFDKNEETSITSGDPEVLV >OGLUM10G19570.1 pep chromosome:ALNU02000000:10:22689844:22691160:1 gene:OGLUM10G19570 transcript:OGLUM10G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANSFLPCIHPQPKGVTCKSYPLGSIFFPLQTGPLQQMQKATPSRSPGRQGRGTHTSPIPFPSAAAAAAATTQCSASPWDRQAASPASSRAARRRGRRRRRRRRPGRRGGRRRTGPSTSSPCSRSSASSSSSFSPTTRLLPPSPSPPRKRRRPSPAAAASTAG >OGLUM10G19580.1 pep chromosome:ALNU02000000:10:22691812:22696481:1 gene:OGLUM10G19580 transcript:OGLUM10G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKAKVEFDESPPDDFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRDRLRWCYRIEGVNHHQKCRHLVDQYLEATRGVGWGKDARPPELHDPKKVVEADE >OGLUM10G19590.1 pep chromosome:ALNU02000000:10:22692199:22693922:-1 gene:OGLUM10G19590 transcript:OGLUM10G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQKEVRSLDDDSWMFAAPRSRINLVSMSGGYLRKQQEKLADLGKPLQVYKSRILPGICLKGIKELSLSVDSMEESTVKEGLAIALQLYSIMVPVLADTI >OGLUM10G19600.1 pep chromosome:ALNU02000000:10:22699431:22700459:-1 gene:OGLUM10G19600 transcript:OGLUM10G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BE61] MAGDEPLPGDGQRRRYLIGYALAPKKQQSFIQPSLVSRAAGRGMDLVPVDPSRPLPEQGPFHLLIHKLYGEEWRGQLDAFSAAHPAVPVVDPPHAIDRLHNRISMLQVVSELDVPLHAHHHHTFGIPSQVVVYDAAALSDSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLRKLRPPLVLQEFVNHGGVIFKVYVVGAHVTCVKRRSLPDVSSDVLQDASAEGSLSFSQVSNLPNERTAQEYYDDMRLEDAIMPPTAFINDIAAALRRALGLHLFNFDMIRDARAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDTPNLNPNPNDEDVK >OGLUM10G19610.1 pep chromosome:ALNU02000000:10:22708861:22709650:-1 gene:OGLUM10G19610 transcript:OGLUM10G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G17940) TAIR;Acc:AT4G17940] MEATGTRLTRSASLSPRLRRSPTALCVSPRSMHHHHQQQQQSLRRATSDADIARSAPATASSPLPLLLRDILEEDVVVVVDGAGRGKGNDDDDKTSGRGGGGGGHMDMGEYYRRVLRVEPENPLVLRNYGRYLQEVEGDLGGAEECYARALLASPDDGDLLSLYGQLLWETSQDKDRAAAYLERAVQAAPDDCYVLGSYASFLWDAEEDEDDEAEDEMKPPLVPASC >OGLUM10G19620.1 pep chromosome:ALNU02000000:10:22720208:22726888:1 gene:OGLUM10G19620 transcript:OGLUM10G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPAQTNAMQVNKMEIEQEVQEKRPGTQQAATHELAGDGHKAKERERQKEKKDEIQIEGKNIVIIFEKMKS >OGLUM10G19630.1 pep chromosome:ALNU02000000:10:22729422:22733943:1 gene:OGLUM10G19630 transcript:OGLUM10G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRESNEEEKKSVCVMDASGPLGHALVARLLRRGYTVHAATYPHHHHHPEEEYQQHPRLKLFRADPLDYHAIADAVHGCSGLFAIFNTPSSSQSQSHSCFLDEEEGMVEAEVRAAHNILEACAQTDTMERVVFNSSVTAVVWRPQPEEDDDAALQLDENTWSDLTFCRRFKAPIQVADYSLLMNCVRKKKNCTLWHALAKTLSERTAWALAMDRGVDMVAINAGLLTGPGLTAGHPYLKGAPDMYDHGVLVTVDVDFLADAHIAAYECPTAYGRYLCFNNAICRPEDAAKLAQMLISSAAAPLPPAPPSDELKVIPQRIHTKKLNKLMLDFTSGVYGDIN >OGLUM10G19640.1 pep chromosome:ALNU02000000:10:22733723:22737362:-1 gene:OGLUM10G19640 transcript:OGLUM10G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endoplasmic reticulum, plasma membrane; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: NERD (InterPro: /.../528); Has 69 Blast hits to 69 proteins in 27 species: Archae - 0; Bacteria - 18; Metazoa - 8; Fungi - 0; Plants - 36; Viruses - 0; Other Eukaryotes - 7 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G65020) TAIR;Acc:AT1G65020] MWVEILCGLLAYKIIRRVFFADSDDPAHLADLDSAHSDLCFALASRLEKLYSARCFVGLRIPDPDAGERQHVDLVLLTNREVMVVAIHNISGFVEVDKDGNWTCPSDKKNKHGVIPNPVLQVNRLAANLQSYLEKRGAKLPDGHITGKIVLPNPNCRPSYAITLQPEVILYDQWKDLKADSKGGLSTWIKGAFSGSKGDMQDSLLQNLHSILSTSPMWDRLEIKGDRNILGEFIEFKGRHDDIQALKCLKRSKVCRFIVQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEILFQPLHSKKVKKFKLSSVASVTLSAYLAMYNMYI >OGLUM10G19650.1 pep chromosome:ALNU02000000:10:22738643:22741782:1 gene:OGLUM10G19650 transcript:OGLUM10G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQASKPKASSSVPRVARLQQVRPSSAASTSNNAHANANANALLDKSSMDIPKPERRSFKASRATTPDRMQKARGANARPAAASAAEQLLQAQLNAVQEDLKNAREHLAVIDRDKAQLLHDLSLARRLADDAHAAQSAAEEALELERFKSIEREQLAIDMAQAQTKERDWNARCHAIDLRRAELAAELDRVKDELSLAVQATNTARQIADANADKAATVAAEVARLQSELETKAEAATAIVTKLESEASGLRAELQNAEASRKEEVGRAEQLLHGLKVDIAYAKRAEADANLAAQEWKTKAESLQARLHELSSLNKSNEDSLQSLTSSFDECKSMLQHEQSQVVQLKEKVSSLEKEAREYKECFLETNRRLDVATKESHQLQATIDRLTSQHKLLNEAHQQVVTNEKTVNSQISLLSQDKIRIEQELDGARDERDKAKKAVEDLAAALREVSSEAREAKERVLAKQTELDSAQLQISELKAEMKNAQDRYQLMLDESKSEVECISKTVEKLGSEAKISNDEWASKEAGFVEMIRRSEEEMSSIKSEMSSLMVSLGAAEKQVQELKAERTQLLDKLKQSELTNSEGSSISSTGVQQTADESESTVGLKDLVSRKEKEVLALNDEVTDLRLRETAALAKANELSKLLAEATAKKAEEEEAAKGTEKSKVLLMKLEMDKLLGSLKAAEQEANAAKDDKAQLQAKLRLLESKMTEANLTAEEEKISSLRLKETLAEKEEELLSIAREYDGLRTREAAAQAKIDELSSLVAEASTARKLAGEYSPNGVAAIRSPEKQHNMFRKMICSPMDNVRDDVNSSNRRTQEDEIKHVEVETVIMKQQQQQQVIVKHGKEEASAMEVKTLENSKIIEDDISKHRDDDDNESSDDEEIESQGDDAAVEQMNGLLIHGPTSSFNKEQHNQHKKKKALLKKFGSLLKKKAHFTKLNSHAKLVS >OGLUM10G19660.1 pep chromosome:ALNU02000000:10:22742310:22745260:-1 gene:OGLUM10G19660 transcript:OGLUM10G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVHKPASIRAGGAPAGSLAAAPLQEDRSGGRRTTTHVSASVSFPVAQIYRYDNDDDDDDDGKDKLIIDF >OGLUM10G19670.1 pep chromosome:ALNU02000000:10:22745372:22746265:-1 gene:OGLUM10G19670 transcript:OGLUM10G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSSSSSSNSNPHLGVNKLGRNIRKATPPPPQPQPPSRPPQPQPQVYNISKNQFRDIVQQLTAGTPSPPPPPQPQHQHHPHRPLPPQHQQPKPPSMRLQKIRPPPISTPVARPPPVHNHQIPNPNHNPAFHRPPPPQPMPMPMPGPPVWADSPVTAYMRILENSLFSATPPGAAAAAAAAAAAAAATGQQAPPHHPHPPPPPPVPSPGILPSPSGFLNLLSPTPRSPYPLLSPGFQHPGPLTPNFPALSPLPGTGILGPGPMAPPSPGLWFPQSPSGLLSPSGFLPILSPRWREM >OGLUM10G19680.1 pep chromosome:ALNU02000000:10:22749547:22751372:-1 gene:OGLUM10G19680 transcript:OGLUM10G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLSSRRITRSMAKASAAAPDAMSTAHPPRPALHDITNDSPIVGLAASGLRTPASTAAKARPTRASRRTPGSGEALLRGQVKTLLQKVHHDASSSSSCAAAAPPSPNILRIHAPIHALLGLARSPAHLLAPTPANTPHLTAAAPHAFTMTVPCVLEEEELLSKLQVIADALPPPPAQAEENHLGECNRALVFDDSPGKSDLSNAASVVSSSSLSFQDSSSTDRSPDDDSSPAWSIQVNASSEKGDEDTFTDQDPEEEEEEWLTEDDDDDDECFDDLCEGMSKMSVFDDEEEEEDKKAGLPAFQGKHTRFIYDSDGEMEREDVAHVPVENCTMVLRGLPVPEGKHLRFHEVEEDEE >OGLUM10G19690.1 pep chromosome:ALNU02000000:10:22752590:22755894:-1 gene:OGLUM10G19690 transcript:OGLUM10G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BE70] MTTSSWSGLLVISCMLLMSWAAAAVDMSPVRFDAAYMPLFGGDNLVPSPDARTVLLKLDRFTGSGFVSKSAYHHGFFSASIKLPHDYTAGVVVAFYLSNGDVFPGQHDELDFELLGNRRGHAWHVQTNMYGNGSTGRGREERYLLPFDPTAAPHSYAIAWTPAAVIFYIDAIPIRELVRCSSGDYPAKPMSVYATIWDGSAWATDGGRHKVDYAYAPFTAVFSDLVVTGGTDDDHCAAMGLMTSEVAVMTPAKRGSMRRFRSRHLTYSACYDTVRYNGTGVVFPECDGLEQDNFHAWGESKRVINSRSSSSATYATGSGVRID >OGLUM10G19700.1 pep chromosome:ALNU02000000:10:22766833:22767347:1 gene:OGLUM10G19700 transcript:OGLUM10G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKKKNGTERDPPVSLYTSSSCSPPLLQAPFACGDGGPTVAVRGDDNGAAVSLAEAVGVAQRAADGAAASWGRRWRGGGLGTTKARRWARPHGYGEREDGVREERWWGLVCLDG >OGLUM10G19710.1 pep chromosome:ALNU02000000:10:22768492:22773835:1 gene:OGLUM10G19710 transcript:OGLUM10G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHPYLPPLPWRAETPMQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPAKCGVRLHANNGTALPSGTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAISDWFPLGSVASRRYALLNRTPLLAHEELLCRSAVLLSHKLLNSDPKSLNKSEHPHSQRCLKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEANLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPILAEAIVCANQADQLYSTTKQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSESEIFRVKRRSGVSVKPASDAKTSNLRLKKVRPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEVRPKRLKIRLPSSSSTNRVVEQGSSGQRFTRDDKSLGCWPAI >OGLUM10G19710.2 pep chromosome:ALNU02000000:10:22768901:22773835:1 gene:OGLUM10G19710 transcript:OGLUM10G19710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKKTPMQQVEGRNCLPAEVRIGLETLKRRRLERMRLTAQNNAGDGPPVPARSGGDALRTPAKCGVRLHANNGTALPSGTTQNKDPFAKRRVDKFDMSSLEWIDKIEECPVYYPTKEEFEDPIGYIQKIAPVASKYGICKIVSPVSASVPAGVVLMKEQPGFKFMTRVQPLRLAKWAEDDTVTFFMSERKYTFRDYEKMANKVFAKKYSSASCLPAKYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLSNSVLRLLQTPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFEKVASQFVYNKDILVGEGEDAAFDVLLGKTTMFPPNVLLDHNVPVYKAVQKPGEFVITFPRSYHAGFSHGFNCGEAVNFAISDWFPLGSVASRRYALLNRTPLLAHEELLCRSAVLLSHKLLNSDPKSLNKSEHPHSQRCLKSCFVQLMRFQRNTRGLLAKMGSQIHYKPKTYPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQELRSCPCKSNRVVYVREDIQELEALSRKFEKDICLDKEISGFDSYKQAEKNEPFFEITRNLRNTEANLIEDAFSGATAADAAKSSPATSTLTSFAQHDVPILAEAIVCANQADQLYSTTKQTISSPLVKGTDAVGANSSSMADANNGTGSCNASAVEYSGNSDSESEIFRVKRRSGVSVKPASDAKTSNLRLKKVRPEIQQHNKRPEDYGHCSVPSGRMSMKNLNSSSSCGEEHWRMKRRQLETQQDESSYSAKQKSYSYPSTSYSFRGEFVEMSRDAAAEVRPKRLKIRLPSSSSTNRVVEQGSSGQRFTRDDKSLGCWPAI >OGLUM10G19720.1 pep chromosome:ALNU02000000:10:22774977:22782371:1 gene:OGLUM10G19720 transcript:OGLUM10G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:shikimate kinase like 2 [Source:Projected from Arabidopsis thaliana (AT2G35500) TAIR;Acc:AT2G35500] MLASTCFSAPPPSSSSPSIPTHLATLCCCFRPPARPPWPRSLLLLGAFPPPTRPLPRASFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLLRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDKWLASEGVDSVAEAECVVLESLSSHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >OGLUM10G19720.2 pep chromosome:ALNU02000000:10:22774977:22782371:1 gene:OGLUM10G19720 transcript:OGLUM10G19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:shikimate kinase like 2 [Source:Projected from Arabidopsis thaliana (AT2G35500) TAIR;Acc:AT2G35500] MLASTCFSAPPPSSSSPSIPTHLATLCCCFRPPARPPWPRSLLLLGAFPPPTRPLPRASFTDGGGEVELRLDIGKLGIENSRDVFVDVDDTSLLLRAKSDGTLRTLINVKQLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDSTDINEAVAKEIAEGIGHVRTVVATLGGKQGAASRFDKWQYLHAGFTVWLSVSDASDEASAKEEARRSVSSGNVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYMRLGCRGDWPNIEPPGWDPDSDAPPTNI >OGLUM10G19730.1 pep chromosome:ALNU02000000:10:22778292:22781169:-1 gene:OGLUM10G19730 transcript:OGLUM10G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADACNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQTSSTVGAAAACSNSDKSNNKHPLLHKQDMNLQASPALGGHYEAPMLGHCEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPNTWAKMMAKP >OGLUM10G19730.2 pep chromosome:ALNU02000000:10:22778292:22781169:-1 gene:OGLUM10G19730 transcript:OGLUM10G19730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADACNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVRRYLSMGLDNIAIVSQLLFSYRQVPLLEQQQHAVIQTVTESTIDLCLLLRLDFKLTVDMNLQASPALGGHYEAPMLGHCEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPNTWAKMMAKP >OGLUM10G19730.3 pep chromosome:ALNU02000000:10:22778292:22781169:-1 gene:OGLUM10G19730 transcript:OGLUM10G19730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNEKVLDKCGGNISSLKRKRDNPAARCADACNTSKLHKHPADNSVVRFYVDEGHKAKIKCHFNMQIIQSYQNFMTSALPKRILLRQGGEWKDFPKQIVKLAHSDFRTKKTITEGEHQTHLFLLDFVHMTFIDSKTGLQRPIAWIDENGKQYFPEFFIEDKTLYRKKELGNGNNVYIIVEPNGTQEMNDHFGTSESSAESSNFESSTDDVSSPKRAKAERSVAGNKTGGVKETIGENEPHALLPIPCRSLPQDKLGDHSRVQLAISAVQKLLLQGLGTVLGSKDIVGIYRTPAVDNHKEFRYNLFKKQAEHTKCKRGNANVRYAWLACSKDAVDEMMLNGVMHFEKTVKCPDYGIGTILAPANCSNTCVNYSDVDENGIVHMMLCRVVMGNVEIVHHGSKQHRPSNEYFDSGVDDIKNPQHYIVWDMNVNSHIYSEFVVTIKLPSRVKDSPATEEDCHNLSEVSSLILSSGSPDSVSQDMNLQASPALGGHYEAPMLGHCEAPMLGDKVERAPSTPWMPFSMLFAAISTKVSAENMDMVNSCYEEFKSKKISRVDLVKKLRHIVGDRMLISTIMRLQDKLPPMSRHEAPNTWAKMMAKP >OGLUM10G19740.1 pep chromosome:ALNU02000000:10:22794561:22800696:1 gene:OGLUM10G19740 transcript:OGLUM10G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLETKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDLEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGAASSDSAISLSQPWQ >OGLUM10G19740.2 pep chromosome:ALNU02000000:10:22794561:22800696:1 gene:OGLUM10G19740 transcript:OGLUM10G19740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLETKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDLEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGAASSDSAISLSQPWQ >OGLUM10G19740.3 pep chromosome:ALNU02000000:10:22794561:22800696:1 gene:OGLUM10G19740 transcript:OGLUM10G19740.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phospholipid/glycerol acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G32200) TAIR;Acc:AT1G32200] MQAPPLASSPSPAWTAILPAPARLCCSRRGALRLETKAAWRPAARGPRVPAKGAVLASEVVGPSPLLDARNEQELILHIRKEVEKGKLPADVAANLEELYYNYKDAVMQSRDPNAHDIVLSNMVALFDCVLLDVENPFTFPPYHKAVREPFDYYMFGQNYIRPLVDYRNSYVGNISIFQDLEQKLQQGHNVVLMSNHQTEADPAIIALLLERSNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDFPELVDMKRRANTRSLKEMALLLRGGSQIIWIAPSGGRDRPDPLTGEWHPAPFDASAVDNMRRLLEHSGVPGHIYPLSLLCYEVMPPPQKVEKEIGEQRVISFHGVGLSVTEEIKYSDITVHTQNVDECREKFSESLYNSVVDQYNALKSAIFRGRGAASSDSAISLSQPWQ >OGLUM10G19750.1 pep chromosome:ALNU02000000:10:22802207:22805757:1 gene:OGLUM10G19750 transcript:OGLUM10G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNLMDKVNALGERLKVSGAEVSRKMSAGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTGNVNSIELIRAIKRRIMLKNPRVQYLALVLLETVVKNCEKAFSEIAAERVLDEMVKLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLRSRGIRFPGRDDESLAPIFTPPRSAPSAEPYSAAAQEGYQEIPDESFAPVHVVPAVQVNEAFEVARNSVELLSTVLSSSPQKEALKDDLTSTLVQQCQQCQRTIQRIVETAGDNEAQLFEALSVHDELEKVLSKYKELKEPVVAEPEAEPAMIPVTVEPENSPRTKDGPVGKRAGSGADELLQDLDDMIFGKKGGTSSQQDRKEQKDDFISF >OGLUM10G19760.1 pep chromosome:ALNU02000000:10:22806728:22810558:1 gene:OGLUM10G19760 transcript:OGLUM10G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGILKNGGKPPTAPSSAAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDPAAGDEQQYVSSSLFTGGFNSVTRAHVMEKQASSARATVSACMVQGCGSKIMRNGRGADILPCECDFKICVDCFTDAVKGGGGVCPGCKEPYKHAEWEEVVSASNHDAINRALSLPHGHGHGPKMERRLSLVKQNGGAPGEFDHNRWLFETKGTYGYGNAIWPEDDGVAGHPKELMSKPWRPLTRKLRIQAAVISPYRLLVLIRLVALGLFLMWRIKHQNEDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKDKFETPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVDKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHEIEPRNPDSYFNLKRDPFKNKVKGDFVKDRRRVKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEQQLEPIKIPKATWMADGTHWPGTWLQASPEHARGDHAGIIQVMLKPPSPSPSSSSGGDMEKRVDLSGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKAFREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHTTPWSCCLPRRRRTRSQPQPQEEEEETMALRMDMDGAMNMASFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPRETLDASIVAEAISVVSCWYEEKTEWGTRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTHRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSVFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQLGDDVDDEFAELYAVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSAQANSQLGGSFSFP >OGLUM10G19770.1 pep chromosome:ALNU02000000:10:22810999:22813851:-1 gene:OGLUM10G19770 transcript:OGLUM10G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERLLNYMPPAIMLVLAPDPPFSDEIFKTLLKQTVHIFQEDLAIGKCAALRVRVFARDAAKACYMAVTSGNEKKDILTSLEEGLLDFDVKAAEKPQTVVISRYCRFYTGTKPAPLRSTNSRIGEEDGEGVNGGEEGPTREAPPTTDYPICHQRPPPVLLLGHTEHCRVDPHLCRLDPPLPR >OGLUM10G19770.2 pep chromosome:ALNU02000000:10:22810999:22813851:-1 gene:OGLUM10G19770 transcript:OGLUM10G19770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATERLLNYMPPAIMLVLAPDPPFSDEIFKTLLKQTVHIFQEDLAIGKCAALRVRVFARDAAKACYMAVTSGNEKKDILTSLEEGLLDFDVKGTKPAPLRSTNSRIGEEDGEGVNGGEEGPTREAPPTTDYPICHQRPPPVLLLGHTEHCRVDPHLCRLDPPLPR >OGLUM10G19780.1 pep chromosome:ALNU02000000:10:22813995:22815890:-1 gene:OGLUM10G19780 transcript:OGLUM10G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHATRTRTPRLSAAAAAAAFFTTRPRSHPPPPPPRLSPRLVDATVSRCPSDALAITFFLWCARCPAYFHPPSSFDRLLPAAARLASRLRTAPAILHQLRAFGCPIRPHTFLLLLRLYWRGGIYPLVLQLFDQMPLWGFHPNAFARNVVLDVLLRTRHHHSALCFLRDNPSPNYLTYAILLTHLCRSGNWPGVRACFLAMLHQGFLPSAASLNAVFACCTKLAATSELLQLLGFTLVSGYQLTSAMWTCLIARLCREGKLDEAIRMLAKMLASGSPPTVVTYTPIVRALYRAGRHDIATELFASMSSTNCSPDLVLHNVLMDCMTKDKRYDAALGVYLNLHESQMKPDAYTLSTLVRALHLSRNVSLLPRLFLDSADIPYDLVACNSVLNALCKSGFPSQAVQFFINMIKCDIRPDSYSYVGLLDSLCQLGRIDHAINVYHSIVSSDPDSDAYVHAAILCGLVKKGHNRMALMILNEAVRQNYALDAVCYTVVLHGLLQAHLIEEACMLFDKMKRSGMASNTCTYNIMLRGLCRTRDTHALKWFLREMECSDVEMDSISYNILVVFLIKLQHISSATALVREMVNLGMELSAKTSSLISQSMGHEYVLEDANIAENDHSDSTNDLLACSAS >OGLUM10G19790.1 pep chromosome:ALNU02000000:10:22818282:22819472:-1 gene:OGLUM10G19790 transcript:OGLUM10G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29790) TAIR;Acc:AT1G29790] MADSHLDGVPAMRKTTASSSSSLNIAFLLSMVATNLLSLYHLSTRASTAPPLLLPAAHQAQAQDEQLLRQLTAIRATVSQLNHLRSSTPPPPPPPPELLLYSRLAPLASACSAHPDLLHRFMSYTPFSPCPDDALSLAEPLLLRGCHPLPRRRCFSSSSISSSHNLNNLPTDPFSPLPDSAVRWPPGAKCTSFSCLPPSLGFDLARTEAARFLRAEGPLDLTVPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKRAANATVLTTTMDLGAPYSEAAAARGVVPLHAPLQQRFPVGDATMDLVRAGHAVNRWIPEAALEFLWYDADRLLRPRGLLWVDHFWCRRPDLAAVYQPMLRRLGYKTLKWAVADKTTPTPTAPPGAKHDHVYLTALLQKP >OGLUM10G19800.1 pep chromosome:ALNU02000000:10:22821176:22826248:-1 gene:OGLUM10G19800 transcript:OGLUM10G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSHSRKRTRAPSNSNSKPTPQTQPPPPELTDRPVRVYADGIFDLFHFGHARALEQAKLLFPNTYLLVGCCNDELTNRYKGKTVMTQDERYESLRHCKWVDEVIPDAPWVLTQEFIDKHQIDYVAHDALPYADTSGAANDVYEFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYTRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGINHEEWLANADRWVAGFLEKFEEHCHNMETAIKGRIQEKLRRQTSRGIIGGLMQQPVAASPALIRSSIYLSIYHIRVLVRNMAQLVVSLGILVAADKLVEQAFAAASIKFPSALFAMFCVFALLLLLPPSLSNGFMAFFDPATVFIHRWLPLFFVPSLVVLPLAVRDVSPASALKILFITFGGWFASLVVAGYTALSVRRIVKTQLIPAEPMKRPSPFGPLEFWAWAAVFVASFAVAYVSPTALGSTATTCLPFLLASTVFGYILGSRLPSGVKKVLHPIICCALSADLAAVAYGYLSRSGVDAVLGDYLTESPSNPGAGDILMGFLGSVIISFAFSMFKQRKLVRRHAAEIFTSIAVASTFSLYSTAILGRVVELEPILTISILPRCITVALALRVVSLFEGLSLLDLY >OGLUM10G19810.1 pep chromosome:ALNU02000000:10:22827498:22830863:-1 gene:OGLUM10G19810 transcript:OGLUM10G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDAAATAHPSLSFYSAATGLYSSLHPPLPLPSDPSLSLVPHLFSHLPLHHHSLLVDAPTAATLSCADFRRLVSSLAAGLRRRLHIARGSLVLLLLPNSLTFPVAFLAVLATGAIATTMNPSSAPAEIAARLRDTAPSLVLASTHNAAKLPPLAAPLVLVPDTFQLHHDDQFDFFFHALLETDPETPVEVGVGVGQDDAAAVLYSSGTSGRSKGVVVTHRNLIAMVELFVRFEASQYTRPARDNVYLAALPMFHVYGLSLFAVGLLSLGCTVVVMRRFNVDDAVKAIRKYKVTHLPLVPPIMSALLRANPPLELDSLLQVSSGAAPLNHTLIHHFLHAFPHVDFIQGYGMTESTAVGTRGFNTCKHKKYASVGLLAPNMHAKIVHLESGSCLPPGSYGELWLHGPAIMKEFCFVTGYLNDDDDAFTRKDGWLRTGDIAYFDSDGYLFIVGRLKDTIKYKGFQIAPADLEAVLIRHPEIVDVAVTSDEDEEAGEIPVAFVVRKSGSTLSCTHVMEYVAKQVASYKRVRKVIFVEAIPKSAAGKVLRRLLKDSLVDASSGRSRL >OGLUM10G19820.1 pep chromosome:ALNU02000000:10:22832588:22837574:-1 gene:OGLUM10G19820 transcript:OGLUM10G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:early-responsive to dehydration stress protein (ERD4) [Source:Projected from Arabidopsis thaliana (AT1G32090) TAIR;Acc:AT1G32090] MATLPDLGVSAFINILGAFVFLLIFAALRLQPINDRVYFPKLYLTGQRRHHPHPHGFVNLDLCSYLRFLAWVPGALRMSQPDLIHHAGLDSAVYLRIYTLGLKIFLPIMTVALLVLIPVNVSGGTLLNLRKEIVFSDIDKLSISNVNPGSNRFFIHLLMAYVFTFWTCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPHVSSHSTSETVDEFFRRNHPDHYLGQQAVYNANRYAKLVKKKERLQNWLDYYQLKFERHPGKRPIGRTGCLGFCGREVDQIDYYRARISELDKKLASERQRVLNDPKAVMPVAFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRKFLISIAVFALVFFYMIPIAFVQSLANLEGIEKVAPFLRPVIDAPVVKSFLQGFLPGLALKIFLYILPTVLMIMSKVEGYVSLSSLERRAASKYYYFMLVNVFLGSIIAGTAFEQLNAFFHQPPSQIPRTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLAENLPSLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHVTLFGLMSTMKAAYSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNLERTSEPNLNLKSYLQNAYLHPIFHMFEQQQQQQQEQQREEKVEVRIDKAQQHHHRQVEKEEEEEEESKSSQATTHYYHHHHEQTTTTTHHHYHQHEHMSHYHMGPSDTADSPSPPHFVYHYGVDP >OGLUM10G19830.1 pep chromosome:ALNU02000000:10:22839871:22843812:-1 gene:OGLUM10G19830 transcript:OGLUM10G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVLEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYIGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >OGLUM10G19830.2 pep chromosome:ALNU02000000:10:22839871:22843812:-1 gene:OGLUM10G19830 transcript:OGLUM10G19830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDPLSNSTTNNKRAEGIQLQHGDCESESTAPLLLAPHESYRLSAAILPWVPLLLFLLPPLLPWSAGTFFCSFLFPALGGLLYGYDIGATSGATISLKSSTFSGTTWYNLSSLQTGLVVSGSLYGALIGSILAFNIADFLGRRRELILSSVSYLIGALLTAAAPNFPIMVVGRFFYGIGIGLAMHAAPMYIAETAPSQIRGMLISLKEFFIVLGMLLGYIAGSLFVEVVSGWRYMYATSTPLCLIMGIGMCWLPASPRWLLLCAIQGKRNIMESKENATRCLCRLRGQASPDLVLEQVDLILDELSYVDQERQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATILQSAGFSGASDATRVSVLLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGIAVSLFLLSSYYTLLKDAPYVAVIALLLYIGCYQLSFGPIGWLMISEVFPLRLRGRGLSIAVLVNFASNALVTFAFSPLEDLIGTGILFSAFGVIAVASLVFIFFIVPETKGLTLEEIEASL >OGLUM10G19840.1 pep chromosome:ALNU02000000:10:22844833:22847423:-1 gene:OGLUM10G19840 transcript:OGLUM10G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT3G03100) TAIR;Acc:AT3G03100] MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATVVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPPEWHGWLHHITDSTGDEATVNSPPGRLPRLNAIEPFSLVPGSKLQACLAVSHSMKVATGGPRVEHHPGFQKLLALPLLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >OGLUM10G19840.2 pep chromosome:ALNU02000000:10:22844833:22847423:-1 gene:OGLUM10G19840 transcript:OGLUM10G19840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit [Source:Projected from Arabidopsis thaliana (AT3G03100) TAIR;Acc:AT3G03100] MAAVVRGVLNGIREKGLSNFLRHARDEGYFKCLLDGNLLQTKIHNIGATVVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQLLEQNAKAYIVDHKENFSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEEAT >OGLUM10G19850.1 pep chromosome:ALNU02000000:10:22851288:22853661:1 gene:OGLUM10G19850 transcript:OGLUM10G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSLFWQSSADQGDLSDVVRASLQLQTAPRHQAASPPYVHLLGGGGGGGGEDQLAAVSQHAEQQQQSMVDASAACDLLHALLPPPPVVQVQQQGASRTRTTIEEDTTGDGEELFAGAHYVVPPIKRRKSQTKKVVCIPAGASGGGGGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRADPTMLVVTYTSDHNHPWPTHRNALAGSTRPSSSNSSNIRLQDSTPVHHQSQTGHDRLTTTHLKQEDVIISPSLLQPDHHQLCTIIDTKHHLLFHQDYPHSFGFFD >OGLUM10G19860.1 pep chromosome:ALNU02000000:10:22856553:22858137:-1 gene:OGLUM10G19860 transcript:OGLUM10G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35 [Source:Projected from Arabidopsis thaliana (AT5G45590) TAIR;Acc:AT5G45590] MRRWCAAAGLGLGRRLLSSSVSAPAHCPLPAHLIPSPRPLPFSSRYHLLTPPLGLHPSSPPPMQWQTQQVRHFAAKDRSRAPRTPTTSKVKKYKIKPPSSMKFRFRVMNDGQVRRWRAGKRHNAHLKSKEAKRRLRKPALVHLAYAKVIKKLNFCS >OGLUM10G19870.1 pep chromosome:ALNU02000000:10:22858983:22862169:1 gene:OGLUM10G19870 transcript:OGLUM10G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMESGDAQLPLLHHQASASNQHYTKPPFNWKAPALILAFEFLESIAYAGISLNLVVYLGTVLHGTTASNAANVDTWNGTTFLTPVLGAFLADTYWGKYKTIAISTVFYLIGLLVITASAVIPSLQPAPCNGSSCPPATGFQYFVFFTALYLISVGTGGVKSALLPFGADQYNDSNLEESKKKQSFFSLFFIAINLGVFISGTVVVWIQQNVAWSLGFGISSICLVVATVAFLAGTPVYKVQLPSGSPLKSVVMVFVASFKKRKLEVPADSALLYEGDDADLSNGQSVKLAYTDGFRWLDKAAVVFEEVEEINKDEGGGGGWLQCSVTQVEEVKILLRMLPIWVTSVLYAASLGQTATTFVQQGNAMNTKIGSFSVPAASLNSAEVIFMMIWVVFQDTVVVPIARRYTGNPAGLTQLQRMGVGRLLAVPALAVAAVLETWRLRSVRDGGNLSIVWQLPQFVILACSDVFCGIAQLEFFYSEAPVSMRSLCSAFSFLALSLGYYVNSLVVSIVAVVTTTSNKGWLPADLNDGHLDYYFWLWTGISAINFVVYAAFAKNYTVKRLAVPHSH >OGLUM10G19880.1 pep chromosome:ALNU02000000:10:22874342:22878316:1 gene:OGLUM10G19880 transcript:OGLUM10G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNNMMGSPPGRSRGRDEKKKKRWKWKLGPATILGFELLESIAFSGVALNLVVYLATVLHGTLAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASLLFYLAGLVLLTVSAAVPSLRPAPCTGVPCSPATGTQFSVFFLALYLTSIGTGGVKSALLPFGAEQYERDDHDTDQEGAPAPEKTKQSFFSWFFGAINLGIFVAGTLVSWVEQNVSWALGFGIATLCLLIASAAFLAATPCYRVRLPTGDTPIKAILRVLVAAFRNRTRTLPPDADGDGLYEVDDDKNKNGGDDEKLAHTEGLRWLDKAAVRVDGGGVWEVCTVSEVERVKVLARIVPIWVTCVLYAASLGQMTTTFIQQGMAMDTRVFGGRFRVPVASLVSVEVVFMLLWVLLHDVVVMPVARRWWRSGGLTQLQRMGVGRVLVVVAMATAALVERRRLRGEKRSMSILWQVPQFVVLAGSDVFSGIAQLEFFYGEAPGSMRSICSAFSFLALSLGFYVNSLVVTIVAAVTKRSDGSGGWLAPDLDTAHLDYYFWLWALISLANLALYLLLAARYKSKKPSPPHSPSHP >OGLUM10G19890.1 pep chromosome:ALNU02000000:10:22878542:22888710:1 gene:OGLUM10G19890 transcript:OGLUM10G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRIWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSS >OGLUM10G19900.1 pep chromosome:ALNU02000000:10:22885533:22889671:-1 gene:OGLUM10G19900 transcript:OGLUM10G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-dependent kinase E;1 [Source:Projected from Arabidopsis thaliana (AT5G63610) TAIR;Acc:AT5G63610] MGDGRVGGGTNRPAWLQQYELVGKIGEGTYGLVFLARLKQSHPHAAAGVGRRGSPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNLPINPYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKPLSDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKATPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPCWQNDQQHIQGHKYENTGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHDALLPSQAGEKIVQYPVRPVDTTTDFEGTTSLQPTQAPSGNAAPGNQSVVPRPIPRQMQQPMVGMSRMGGTNMAAFGAAPQGGIAGMNPGNIPMQRGAGAQSHPHQLRRKADQGMGMQNPGYPTQQKRRF >OGLUM10G19910.1 pep chromosome:ALNU02000000:10:22895165:22900070:1 gene:OGLUM10G19910 transcript:OGLUM10G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVCPPAELGFGAEYYSVVNGVCSRAGSYFGGRPVLTQAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGTPAHLVFLTFCLLTNVIVTAMLLLGGSAVVNALTGVNVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHAVLVVFVFLVYTSSSKLGSPRVVYDRLMAVASAARDCSADLSRNGQACGPVAGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPLTAAEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYLNPGASGKQILRVSRAVVLGFGCFMGVLAVVLNVAGVSLGWMYLAMGVIVGSAVIPIALLLLWSKANAVGAMGGAVSGCALGVAVWLTVAKVQYGRVNLDTTGRNAPMLAGNLVSILVGGAVHAACSLLRPQHYDWGTSREMITTVESVHAALDDELKEERLVHAKRWIVRWGLVFTAVIVVAWPALSLPARRYSLGYFTLWAAVAIAWGTVGSVVIILLPVAESWTTITKVCAGMFTNDAVYDRLDDVNLRLRAIMGAMPEAEKRYRQLHETEMHPAGTHPANDDDDDNNNDQMMHS >OGLUM10G19920.1 pep chromosome:ALNU02000000:10:22898379:22901162:-1 gene:OGLUM10G19920 transcript:OGLUM10G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVASSMAGSEDLSGLAASLGELHVESSASKDSEISQPGNSVDDDAIADDDVWDDVSDSPGHGSTLDREWVHRQNQFHKMGYRDGIAEGQKDIAQEGFNVGFGQSVHVGYKWGLVRGITSALASLPDSLKEKLLPNVQCRGQLQELNNSVQEISAEDALQMFHESILQSSHSSEEPDATLKRATDSSRLQSLSKDLVILLHECPDVKVSEELRGESLVYDSCIVTLHLLVPGSVLTFLSIEKFDSSCQQVARFYYMWLVRLVHQAQHLFSTCTRLHARISILISCSQQVWHRLIVNTLAQRLADCCHISNSDFWFPASSGPSLS >OGLUM10G19930.1 pep chromosome:ALNU02000000:10:22902881:22903475:-1 gene:OGLUM10G19930 transcript:OGLUM10G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCRRHRTPSPTPPASPRTCRSMSPPRCRSTSTSSTARACSTPCSPTRAGASAPATSGESRCCRCIRSGSYVGWSAATHRRASTLASAGRSTPIEECATKTDGR >OGLUM10G19940.1 pep chromosome:ALNU02000000:10:22904100:22907416:1 gene:OGLUM10G19940 transcript:OGLUM10G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein [Source:Projected from Arabidopsis thaliana (AT4G19150) TAIR;Acc:AT4G19150] MGRQPGQQLRQSSSPSFVRSNSHPSSSLIRSGRSVEMGRRHGGGGGGRGRGGRGRGGGEEDDLHLHKAARSGDLAAAESLCEANPLALNSRDRLSRTPLHLAAWAGHVELVKCLCKHKADVGAAAMDDTAAIHFASQKGHVEVVRELLASGASVKAKNRKGFTALHFASQNSHLELVKYLVKKGADIAAKTKGGQTALHVAEKDDVRDFLKECEQSLKKGGELPSEKKDDSVSTIAEKPDDDKSSGETTKDEDEAGFGEKRKSDGIAAALRSPELKKAKVSLGHLISANDMEEEEEAD >OGLUM10G19950.1 pep chromosome:ALNU02000000:10:22907679:22912758:1 gene:OGLUM10G19950 transcript:OGLUM10G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLP protease P4 [Source:Projected from Arabidopsis thaliana (AT5G45390) TAIR;Acc:AT5G45390] MSAASASATASLSAAAAVAVAAAALRPTQQRRLRVVAPPRPLRLTSLNPPRAASAPSTPLWEAGVRAESSDSPGAGAGGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAVDPNSDIRLFVNSPGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILTNKRNVIRLISGFTGRTPEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSVIPLEPVPERVKPKYNYEELYKDPQKFLTPDVPDDEIY >OGLUM10G19960.1 pep chromosome:ALNU02000000:10:22910251:22912776:-1 gene:OGLUM10G19960 transcript:OGLUM10G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFFRRASSDPLCLEDNSVQHGIERCPFLRNINEPTSFSFSSVNFPVPARGDKGPIFEDGPNFDMAFRVFHGQDGVVPLSQGSFERFEKPMPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNSNQKKPNNLPQNGGQPNNHEALSNEWLEMGQCPLAKSYRALSGVVPLVAKMMTPPAGMKLRCPPAVVAARAALSRTAFAKGLRPQPLPTKILVMALLGMAANVPLGIWREHTEKFSVQWFAAVHAAVPFIGMLRKSVLMPKTAMALTIAASILGQTIGSRAERIRLKRAAKVAAGSQGDASTRMSLKTGRYTDDVQFWDPLALRVESTIGAGTPVLVPTFH >OGLUM10G19970.1 pep chromosome:ALNU02000000:10:22913605:22926815:-1 gene:OGLUM10G19970 transcript:OGLUM10G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGALGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELSEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEADLASVTDTPESSVHFLALLAGPFYPILQLTNESSADSDALKSSLASTPTASKVLQELLEPDPLLDDASDQVLFTDYSSLFGDEFSLSENRFDASILNILDIAAVEEGILHILYAASSQPLLCCKLAEKGSDMWSVLPLVQALLPALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQIATMSMSSSVLHPLLRACAGYLSSYLSSHAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKVHSFFLLKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPREDILEQCNSLFAPEELEQSELTKTLEEKKHDKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRVKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRESTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWVDFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGMHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGGMIYSSIKQEVGTEISTDLSQKHWESALQGLAETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVMSSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDSEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGADQFSYAIEQGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSIPGCFGDMEKDLCRALCQLRSESDAKTVVKELLMESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSTSTSSSRQVVVERRERREGKWERKHS >OGLUM10G19970.2 pep chromosome:ALNU02000000:10:22913605:22926815:-1 gene:OGLUM10G19970 transcript:OGLUM10G19970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGALGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELSEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEADLASVTDTPESSVHFLALLAGPFYPILQLTNESSADSDALKSSLASTPTASKVLQELLEPDPLLDDASDQVLFTDYSSLFGDEFSLSENRFDASILNILDIAAVEEGILHILYAASSQPLLCCKLAEKGSDMWSVLPLVQALLPALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQIATMSMSSSVLHPLLRACAGYLSSYLSSHAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKDVKHKLLFILEMLDPFIDPSVSVMTDAMAFGDVSVVHLEKQASACNISLNIIRTAVKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPREDILEQCNSLFAPEELEQSELTKTLEEKKHDKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRVKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRESTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWVDFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGMHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGGMIYSSIKQEVGTEISTDLSQKHWESALQGLAETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVMSSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDSEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGADQFSYAIEQGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSIPGCFGDMEKDLCRALCQLRSESDAKTVVKELLMESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSTSTSSSRQVVVERRERREGKWERKHS >OGLUM10G19970.3 pep chromosome:ALNU02000000:10:22913605:22926815:-1 gene:OGLUM10G19970 transcript:OGLUM10G19970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEREASSEWGDGVGALGFRVKASSREPAAQKAANVLEPDLRSHWSTATNTKEWILLELSEPCLLSHIRIYNKSVLEWEITAGLRYNKPDTFVKVRPRCEAPKRDILYPANHTPCRYVRISCMRGNPIAIFFIQLIGIPIPGLEPDLQPLVNYLLPHITAHKQSSQNIHLQLLKDIASRLPPFLPQIEADLASVTDTPESSVHFLALLAGPFYPILQLTNESSADSDALKSSLASTPTASKVLQELLEPDPLLDDASDQVLFTDYSSLFGDEFSLSENRFDASILNILDIAAVEEGILHILYAASSQPLLCCKLAEKGSDMWSVLPLVQALLPALRPPLSPGSTEQIDGCLSQWNHPNVHKALSQIATMSMSSSVLHPLLRACAGYLSSYLSSHAKAACVLLDLCRGPLSPWVPMITAKVDLAIELLEDLLGIIQGVGQSLTRSRAALKYIALAISGHMDDVLTEYKVHSFFLLKDVKHKLLFILEMLDPFIDPSVSVMTDAMAFGDVSVVHLEKQASACNISLNIIRTAVKRPAVLPSLELEWRRGAVATSVILSTLDPHMPLPPDIDLCKSSMPEIDQISLIVPNCPPHSCSAEDADGRDTSETTPREDILEQCNSLFAPEELEQSELTKTLEEKKHDKISTDLDQNFPEDTKSNGKLPAGLFQLDNIFAADYYDAHADYLQLVNYQDCELRALEFQRLALNLCTQQEPTVEGHNAGIDAFLLAAECYVNPLFLLDFHSNSESLDEIERIHAELIQGNCFSEAKHLRAKDIDLMKIYNLENKRDKAVLDLLMQAARYDFEYQGKIPDGKPFPDDVEDGKQYIEISPEARHLADAVTLVRKNQAMLCHFIMKQFERKGHSPNEILLQSLLFLLHSATDLFCPPENVIDIILKSAEDLNGKLVCLYNSVNARNNKLDRVKLHYLRRRWALLQKLVLASSGSDNTRELVSIKRDGFRFKSLVPPSAWIHKISDFSRSSSPLPRFFGWMAVSRYAKEYLNEQLFLASDFSQLTSLLSIFTDELSLMGGVTTQKAKSAKIEQSGCNNYVLLKKEPLLSDQPSMRLFQILLPELHFFFPSMSKKFDAFGQSILEAVGLQLKCLPKSAVHDVLCWFSEMCLWPYLGNIREHLAFANGVNSLKGNIAAKAKAVVFYLLESIVAEHLEVIVPEMPRMVHILVSLCRASYTDVAFLDSVLCLMKPMISHFLRESTDNGNVSGDITECSDFELLCFEELFETIQFGKQSEDTPGNKNQVPFLIFILGSLFPDLSFKRRIEILGSLLVWVDFGSSDPSSLLCSYLQGFQAFIDGCETILVQNIELFGMHVLSERNQSTEFANSASPDDTMDNKKAQASVAQVQRSTEYHENGENSKGVDSPHTVCIKEFCGALERLVSNLAPSIEGSWKWHLQLASRLSLSIAKCLLYAKCLKSIAEGGMIYSSIKQEVGTEISTDLSQKHWESALQGLAETILVNQKKQCWQVASVMLDYMIKLPNILAWDNVLNVMSSAMKHLCSHAPRISWRLQTEIWLSILVSYGIEGLKNSENSLIDLFCTLLSHAEPEQRSVALQQLGRIIMSTTKVDSEYTTYKQNSLSSGSTVTSLLVTHTWDRVAALAFYDSSMLLRKHALALLTEYIPFVDRNHLQSFLGSSNSILNGADQFSYAIEQGYLTRMSLLLLSRACLYSAPEDIALIPECVWRKLENMQTSIPGCFGDMEKDLCRALCQLRSESDAKTVVKELLMESTAKPVETDFKGIRESILQVLSSLSSVESYFEFFSTRSDQEYQELEEAEIELEIVKNEKALHSFIVHPQDTMIPDMSSYYKDGNEVNKQLQQIQEDIRSLERSKLREEIIARRQKKLLIRHTREKYLEETSSREMELLQELDRERAHEMEREIERQRQLDLERVKSRELQFNLDMEREKQTQRELQRELDQVELGRSSRREFSANTNSRSRERYRERDNGRGQQEGRSRGGGVEAGGSATRSFSGNLPTILQQPRERTTSDERTSTGGNYYEENAEGSGDASSVGDPESAAALEAGTRHGPRGGSKSSSSTSTSSSRQVVVERRERREGKWERKHS >OGLUM10G19980.1 pep chromosome:ALNU02000000:10:22931238:22933421:-1 gene:OGLUM10G19980 transcript:OGLUM10G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSKEAKPERAAGGRSRAVPVALLLVVLCAFSFYLGGIYSTGRSLLDVNGIVVKGASSSSSASAVAIQKDTNTKAVVVFPECPADYQDYTPCTDPKIQQAGRQQSDSDEMYLIDLQRWRKYGNYRLSFMERHCPPAVERKECLVPPPQGYKAPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLRKEGDKFIFPGGGTMFPNGVGAYADLMAELIPGMRDGTVRTALDTGCGVASWGGDLLGRGRGILTLSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSAAFDMAHCSRCLIPWTEFGGLYLLEVHRVLRPGGFWALSGPPVNYENRWHGWNTTAAAQKADLDRLKKTLASMCFKPYSKKGDIAVWQKSTDPACYDKLTPVSSPPKCDDSVDPDAAWYVPMRSCLTSPSSTSSRYKKLALDATPKWPQRLAVAPERIATVPGSSAAAFKHDDGKWKLRTKHYKALLPALGSDKIRNVMDMNTVYGGFAASLIKDPVWVMNVVSSYGPNSLGVVFDRGLIGTNHDWCEAFSTYPRTCEMKFVLLEMDRILRPTGYAIIRENAYFLDSVATIAKGMRWNCDKHDTEYKADKEKVLICQKKLWSGKNTQH >OGLUM10G19990.1 pep chromosome:ALNU02000000:10:22938028:22946079:-1 gene:OGLUM10G19990 transcript:OGLUM10G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLTIPVETGSIHPEKVQRGEKDAADQMPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >OGLUM10G19990.2 pep chromosome:ALNU02000000:10:22938028:22946079:-1 gene:OGLUM10G19990 transcript:OGLUM10G19990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLTIPVETGSIHPEKVQRGEKDAADQMPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPANFLLLGRQGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKDDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >OGLUM10G19990.3 pep chromosome:ALNU02000000:10:22938028:22946079:-1 gene:OGLUM10G19990 transcript:OGLUM10G19990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAGLTIPVETGSIHPEKVQRGEKDAADQMPPQAPSPAPAPSGSVGGAAWRHLLLLLTGLPLALAALAFALQWRGGGVDDPTARWPPHAFPGMGMTDPTPPSLPSSACGEVLIASSGPSFPYLRGWSFPFDSDSDAALHPKVCVQTSTSAGLDQILPWLFYHKVVGVAQFLLFVEGRAAKPQVAGVLESIPGVKVVYRTQELEEQQARSRIWNETWLAGFFYKPCNYELFVKQSLNMEMAIVMARDAAMDWIIHLDTDELLYPGGGAEYSVRHLLADVPGDVDMVIFPNYESSVERDDIKDPFSEVSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYAKSPKYNERVVWNDKQLNLKLLRKGVLTRIYTPMAIVQGLRESGVFTSSIAAAQSLVNDKVSLKENNVQNKNHTKLEKVSRKFIRANSQASARKILHAVEPASHDSNVSAVPPLSPPSLDNHLLELS >OGLUM10G20000.1 pep chromosome:ALNU02000000:10:22946997:22947702:1 gene:OGLUM10G20000 transcript:OGLUM10G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLVMSCVACLDDEDMGAGGGVEEAWATSRWRPSNADEVRHLMVRESMNGVVGNPRVDRECPVP >OGLUM10G20010.1 pep chromosome:ALNU02000000:10:22947764:22950962:1 gene:OGLUM10G20010 transcript:OGLUM10G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLPVAPLPRAGGSAVAPPLSLSLYLRPRAKAAWRAAVRDGPGSNGRLANPRRQRLSFHEQRRAAEEEQWEEDMGLVAHDYSSSDPGGEEDEDDDWNTDEKAPPLESHDENDDDDSSGDLPPRKKQKNATDVALLSGTNKERFTYA >OGLUM10G20020.1 pep chromosome:ALNU02000000:10:22952628:22956341:1 gene:OGLUM10G20020 transcript:OGLUM10G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSRAWRGALLLYWEGQLYPVPDSRQAIFNDAATLKLTEKTVLFRFFELVRAHIAAAAAAADEGEEASDKKISDQDLDLPFVEYLKKHQLPPKLRAVVLYAIAMADYDQDTDCCEKLLATREGIQTIALYSSSIGRFANAQGAFIYPMYGHGELPQAFCRCAAVNGALYVLRMPISALLMDEERKNCLGARLTSGQDILCQQLILDPSYKVPILDAPSDGSDSNLLRKVARGICIISKSVKQDSSNLLIVFPPKSLEEQQIAAIRGLQLSSNLAVCPPGLFMVYLSTPCADTSTGKQYIKKAIDALFAPQASDSLEGHLEETSENNEDLRPTVIWSCVYVQEITEGTSSSLLSCPTPDEHLDYRSILDSSKKLFADICPNEEFLPKKSAAVYADDDSDSAE >OGLUM10G20030.1 pep chromosome:ALNU02000000:10:22959223:22959885:-1 gene:OGLUM10G20030 transcript:OGLUM10G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSNVEACVLVGGCVLAVDMLTVAHEASERTAIPLQSNLIVATSFMEPLKEWMYIDKDGKQVGPLEKDAIRRLWSKKSIDWTTKCWASGVSDWKRLRDIWELRWALAVKVPVLTPSQIGDAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLRYVAQVLLSSKFAFPIMWGLVCV >OGLUM11G00010.1 pep chromosome:ALNU02000000:11:1543:2543:-1 gene:OGLUM11G00010 transcript:OGLUM11G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGGDGGGYDYSEDWVVNSRGMRLFTCAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYAVYGIDYXPHGSTKKQWYLSSVKGPPCISLPRNLGATVGSSPLFLSSPSPLLSSSPPRYPFSSSVDAKEAGGGGGEGKGGVEGEGDACVVSARAAVEGGGGNGYMRIISKEATLRVITSMMPE >OGLUM11G00020.1 pep chromosome:ALNU02000000:11:11012:11743:-1 gene:OGLUM11G00020 transcript:OGLUM11G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGDRSEVAKRACSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKVAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQVLRAAATAAVVPKYRMLYRRHGATLRLTPGDVNAIIAALFGGIIATPSSC >OGLUM11G00030.1 pep chromosome:ALNU02000000:11:13023:14130:-1 gene:OGLUM11G00030 transcript:OGLUM11G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIAEEMRPHPMVVSVLKVMTSIIPTWRVVPTNDVIDLAYRMQGKRDEIRRNPLCYKGRPRLKTAYELLRVSILIESTILPHVSLPFLILHGAADRVTDPSVSDLLYRSASTTDKTFHLYTGMWHALTSGELPHNIDAVFRDIIDWLHHRTSPTSASHNSSTSSEAERKAKHDDTTHDCGKQTS >OGLUM11G00040.1 pep chromosome:ALNU02000000:11:16286:18576:-1 gene:OGLUM11G00040 transcript:OGLUM11G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEC1] MKPKPFKEEFTLEERAKESASMIASYPARIPIESGLRVIVERFSRSSLPEMEKRKLHLSPGIALFVFVNNTLPQTGN >OGLUM11G00050.1 pep chromosome:ALNU02000000:11:18909:21280:1 gene:OGLUM11G00050 transcript:OGLUM11G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSLLDITSAAPVQVQRPLPAAPTPAPPPAPPTRSAAAPYHAITFQAPPTAYVHPTPAANVETGTKLYISNLDYAVSNEDIKELFSEVGDVKRYSINYDRSGRSKGTAEVVFSRKSDALAAVKRYNNVQLDGKPMKLELIGINIEPPPPAIFGFAAPAGYFDFPPKSLVCQEDSEKNMSSAEIFSGPAGVEEDGLGAGADLVGVVGVMWVVGEGGETVEVGRFLLKTWMLTWTSTMRKECK >OGLUM11G00050.2 pep chromosome:ALNU02000000:11:18909:23723:1 gene:OGLUM11G00050 transcript:OGLUM11G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRDRERLCVEVEQLRHPAKAPRHLVVAARRERRRPREMGLAGSLCHASLQQGHLLLHRLHLHFGRRLSSPTEAAFECGEAAVELCRGLGGRPGGGLEDEVGHDDAGEAYEGLHQACDGEGHGRLVVAAANDDDARHRSCYTPSASGTVGTTALEEAGSEDEPGEQGEEAQLHEIDGKAGQRAIYGSEGGAVSTRNGESPGPLAAGGHGGGHDEPRPEAVVAAEEQAEQDGEAQREAALGRGHQPPHPHGASCCLPRALVFLLPRLLRLLFIVVFCCCCWDHLHLGGSSV >OGLUM11G00050.3 pep chromosome:ALNU02000000:11:18909:23723:1 gene:OGLUM11G00050 transcript:OGLUM11G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRDRERLCVEVEQLRHPAKAPRHLVVAARRERRRPREMGLAGSLCHASLQQGHLLLHRLHLHFGRRLSSPTEAAFECGEAAVELCRGLGGRPGGGLEDEVGHDDAGEAYEGLHQACDGEGHGRLVVAAANDDDARHRSCYTPSASGTVGTTALEEAGSEDEPGEQGEEAQLHEIDGKAGQRAIYGSEGGAVSTRNGESPGPLAAGGHGGGHDEPRPEAVVAAEEQAEQDGEAQREAALGRGHQPPHPHGASCCLPRALVFLLPRLLRLLFIVVFCCCCWDHLHLGGSSV >OGLUM11G00060.1 pep chromosome:ALNU02000000:11:21668:24649:-1 gene:OGLUM11G00060 transcript:OGLUM11G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSAATTGGAVHKPIIMPPESERQINNLPDVLQPRRRWRSSLATGFRSALACTIVGVASIYAPLVIRRHLTFPAFSYVVTVIVVTDATLGSSLRGALSAVHATAMGAVPSVLPLWLAHRTGAGESVLATTAVVALSTFAVAVAGSAGAVAKRIALGQIIIIYVARFREERMRSEAVLLHPANVVACTALGVVAALLGVLLPCPRLATRDATVKRLAYLEVAAERVRLLADAFQLHLHAGDDEERASSCRCRRRRRQCVAACIMSQADRAASAGALLLRRISSAQGDLQWERMPALLKRWCSSRWDDDDEQACARLHELIEMPLRGMEMACTHMLQQPCWPNTNTISSSCTTPTWLQHATDHVRLALLTKRIPSCSNTGTGSMEMAKLAPVSVGALEQQQLAPFLFLLCLDLLLQGSHPAPQRPPKLLLSVSAHSDAAASQVKVIPAATTKDDDEEQPEQTRKKKHQCPRQTTRSTMRMRRLVAAAKCSFSLGLAVLLGLLFSSDHGFWSGLIVATTMATGREWTWALAIARAHGTALGSVYGALACLAIDLMELRFLALLPWLILTAGFLKRSRAYGPAGAGGVAAAVSGIIIVGRRYDEPPMAFTVARLVETFIGLACIIVADLVFQPAARPSTKATAQLDRCLAALKGCFSRGRQTTTKVKVKAVQEQVALLERCVAEAAGEPHFPWSPPFPASCYHKVAGSLGRMAQLLYLYTQALAVAVIHPTPIPAADEDATQRFHCLVSASLERSADLLLRLSRISSSSSSSRDEEDLEAGIRVSSGSDTCCCDDEDAPEMLVRSFLSQQQQQDQGVALALASIGFCMGEMAKEALQLEAYMLDLILLAH >OGLUM11G00070.1 pep chromosome:ALNU02000000:11:39171:40155:-1 gene:OGLUM11G00070 transcript:OGLUM11G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGGDGGGYDYSEDWVVNSRGMRLFTSAWIPKESSRGVVCLCHGYAVECSVTMRGTAERLARAGYASTKKRWYLSSVKGPPCISLPRNLGATDKCDFCHRGTDQFSSSVNAKEVGGGGGEGKGGVEGEGDACVVSARAAVEGGGGNGYMRIITKEATLRVITSMMLE >OGLUM11G00080.1 pep chromosome:ALNU02000000:11:49471:50202:-1 gene:OGLUM11G00080 transcript:OGLUM11G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGDRSEVAKRACSALFKAGEAARGALANLEVAIEKEPSKATVAGGGVHPLTRYVMNYLVFLADYEGALDRINQQQGSPERSWSIGWLVQVLMRKIEAKAGSYREAALRHLFMANNTHYVARKVAIIPSLGDDDGEAQDAARRHVEAYVRAAWGKVLKAIAAADGVEVEEAVMQAVAKQEKWVAADEEMGQVLRAAATAAVVPKYRMLYRRHGATLRLTPGDVNAIIAALFGGIIATPSSC >OGLUM11G00090.1 pep chromosome:ALNU02000000:11:55321:56159:-1 gene:OGLUM11G00090 transcript:OGLUM11G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKIAEEMRPHPVVVSMLKVMISIIPTWRVVPTNDVIDLAYRMQGKRDEIRRNPLCYKGRPRLKTAYELLRVSILIESTILPQVSLPFLILHGAADRVTDPSVSDLLYRSAATTDKTFNLYTGMWHALTSGELPHNIDAVFRDIIDWLHHRTSPTSASHDSSTSSEAERKAKHDDTTHDCGKQTS >OGLUM11G00100.1 pep chromosome:ALNU02000000:11:62299:62901:1 gene:OGLUM11G00100 transcript:OGLUM11G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQAEWPVVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >OGLUM11G00110.1 pep chromosome:ALNU02000000:11:82832:83488:1 gene:OGLUM11G00110 transcript:OGLUM11G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQRSTPPASVLTSPTSMPSLLALSVTFLGALPSSFFLPVLLAQGTWVWESNDDTLVIESAFSCFSVAGSSSQGLCGWFVLIASKFLMVFLVNLTNYLNCRSYVPSEKNMGTI >OGLUM11G00120.1 pep chromosome:ALNU02000000:11:87445:91169:1 gene:OGLUM11G00120 transcript:OGLUM11G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVKPLGSGDTGSVHLVELLNTGEYFAMKAMDKSIMLNRNKVHRATAERQILDLLDHPFLPTLYASFQTKTHICLITDYCPGGELFVLLDNQPLKVLHEDAVRFYAAEVVVALEYLHCQGILLYEMLYGYTPFRGKTRQRTFANILHKDIRFPASISAPPKLEIPLFSKDDMEKKGLVTDNRTDMF >OGLUM11G00130.1 pep chromosome:ALNU02000000:11:92459:95589:1 gene:OGLUM11G00130 transcript:OGLUM11G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITGASRFEYQDNSNWGGIVTISY >OGLUM11G00130.2 pep chromosome:ALNU02000000:11:92653:95589:1 gene:OGLUM11G00130 transcript:OGLUM11G00130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITGASRFEYQDNSNWGGIVTISY >OGLUM11G00130.3 pep chromosome:ALNU02000000:11:92851:95589:1 gene:OGLUM11G00130 transcript:OGLUM11G00130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSDDGDRTCPLCAEDMDITDQQLKPCKCGYEVSSLPFPPLPTTLLSTPPSIHLPFASPLQICVWCWHHIIDMAEKEDTEGRCPACRTRYDKDRIVKMAATCDRTVVEKNVDKKQKTQKVKSKAAVTVEAKKHLASVRVIQRNLVYIIGLPANLCNESILERREYFGQYGKVLKVSVSRPTGAPSQQAPTNNSISVYITYAKEEEAIRCIQAVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRSRVPQMASSVSQRRAGTVLPPPAEDFSYSAVVAAKHPIKNGITGASRFEYQDNSNWGGIVTISY >OGLUM11G00140.1 pep chromosome:ALNU02000000:11:95691:97682:1 gene:OGLUM11G00140 transcript:OGLUM11G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGRDSLSKTLKPYKPGIAKETQAVTSLESSLDIDFSTIPSAWNDDDVTSDGMSKGSDEKQVVNDNGKFECSVSSKPAESGHLTSKSTTSPKKDIAVNSTRQSPLNCVSSPSISKSEVKDGDGDYQVTNMASKTSTSVIRKDQSNQAAIDTATEDTRSESTDIDRLSVGVSSVTLSRKDGVQSIAENQQPDAILSTSVVVPFSQNLKLADSNDSTCQPSSDKHHDWCSDIQSSVSPQLNDIESYAVATDKSHGFRMQPELHGLYRSI >OGLUM11G00150.1 pep chromosome:ALNU02000000:11:100134:100527:1 gene:OGLUM11G00150 transcript:OGLUM11G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTRRGNPRDGERTKNKGPFELEDCHKIFGGLDFFPVSCRITIEGLHLHKTIVPVGRLDPTVATQPREPQQRRDGCKAAGPTTTFLQTLDNELMLGVDVVLFSFTAEPCLSPTRRLGRGGRQDWKGKG >OGLUM11G00160.1 pep chromosome:ALNU02000000:11:101136:102851:1 gene:OGLUM11G00160 transcript:OGLUM11G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTFGHIPKSSFVGCDSGLQIFSIKLLLRNTSTTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLIDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVNSGYLPGPTSHCIGKRNGKMPIDDDGFIQLSRRAVSVELAGQLIVQVLAFNSQQQQQVVDNDNDNKKDEIVAKHEIIFDPKEASLSVETCELQLGGGGGGGGGPCKLQISVAWSLVDRLPPGGPFRSLPFQISIMLASISIQTIIYIFETHIVPINLIKKTG >OGLUM11G00170.1 pep chromosome:ALNU02000000:11:104752:105075:-1 gene:OGLUM11G00170 transcript:OGLUM11G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit protein [Source:Projected from Arabidopsis thaliana (AT5G60460) TAIR;Acc:AT5G60460] MARSSSQSQSSVGAAAGAARPATVGPRGTAAAAAGMRRRRASTAGGSGGFSGGGGSNMLRFYTDEAPGLRLSPTMVLVMSLCFIGFVTALHVFGKLYRSRTAASASA >OGLUM11G00180.1 pep chromosome:ALNU02000000:11:106510:107850:1 gene:OGLUM11G00180 transcript:OGLUM11G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEFSNILRKNLGSECMLEIDVGAMEQILAAAWKSEDRKPVPTWLEQVFARSLDELKLKCFQ >OGLUM11G00190.1 pep chromosome:ALNU02000000:11:119214:121126:-1 gene:OGLUM11G00190 transcript:OGLUM11G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEAVSTLPALFTSAR >OGLUM11G00200.1 pep chromosome:ALNU02000000:11:127772:129731:1 gene:OGLUM11G00200 transcript:OGLUM11G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAAAAGGAAAAGGLMPPATTVAAFSNPAESLMDSFVPFGGFLCDNYEENSLTANSCPQALRCQQCNDKYEQEVATIISASGITDEDHHQGGLPSLLQNGSMMGPNNGFDPVKCRLEMIGWY >OGLUM11G00210.1 pep chromosome:ALNU02000000:11:142008:145218:-1 gene:OGLUM11G00210 transcript:OGLUM11G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELNDGKPRKIKNARPYSFTLEEDTTSYGTYVRGGIVTQVKPPKVLKFKTLKDAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRNDLRRFPIAGSSDDVQRLIDFAISINESLGDSKLEELDKKLLHHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPAELKPENTRYDAQISVFGSNLQKKLEQAKIFMVGSGALGCEFLKNLALMGISCNQNGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATVARTAGDAQARDQLERVIECLEREKCETFQDCITWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPL >OGLUM11G00220.1 pep chromosome:ALNU02000000:11:148992:151602:1 gene:OGLUM11G00220 transcript:OGLUM11G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSPHPPLPPQ >OGLUM11G00230.1 pep chromosome:ALNU02000000:11:165914:168372:1 gene:OGLUM11G00230 transcript:OGLUM11G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNESLNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRADVALELAWMNNMVDFAFPYLLQFIREYTSKVDELVKDRIESQNEVRAKEKEEKDLVAQ >OGLUM11G00240.1 pep chromosome:ALNU02000000:11:170465:170917:-1 gene:OGLUM11G00240 transcript:OGLUM11G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSSVFAAFDKDGDGKISTSELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQMQEPAEESLRCLREAFDMYAEEEETAVITPASLRRMLRRLGSEHQRLEMEDCRAMICRFDLNGDGVLSFDEFRVMMLMA >OGLUM11G00250.1 pep chromosome:ALNU02000000:11:175513:179344:1 gene:OGLUM11G00250 transcript:OGLUM11G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKLSKCISVHANATQHIPTDASPPCAHILSLYMCLLDAIHAFFIRALAALPLPLPLPAADSTLRRGRLLRALVVSGHCYGPLDPVSNIILNAIWYAAAYPLPPLPRHQGDGDDEIDDELPQDISDTHAMARMASRSLNGLITLLRAITTTTTGAPLSKHEAVEYLWSTQCDLTDKLQQQHTVTMTKKKNTYAAAAEASKHPQHTALGSFLLSLSGDGEKLDWLRHLLRSITDGSGRTKVQA >OGLUM11G00260.1 pep chromosome:ALNU02000000:11:178147:179041:-1 gene:OGLUM11G00260 transcript:OGLUM11G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVPLWTTVSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGTGWDRGGRRRKWQWVVATWWQWWPARSSCGWGEPASTAATPSPPTPTRRWRILAWICCDVAVRGRPSVVGAVQGMITGLVCITPAAGLVQGWAALLMGVASGTLPCYTMNAAMSFKVDDTLGILHTHAVSGVLGGVLTGVFAHPTLCDMFLPVTGSRGLVCGVRAGGVQVLKQVAAALFVAAWNVAATSIILLLVRAFVPLRMTEEELLAGDIAIHGEQAYTNFSSGTNCSLSHETIEVGNS >OGLUM11G00270.1 pep chromosome:ALNU02000000:11:179212:179553:-1 gene:OGLUM11G00270 transcript:OGLUM11G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSATLVALQGFPGLALFFAGAVPRKWALTSAFMALYAMAWLNKGDNALRHARRPAGLPRSRPLLRRRRPPQVGAHLRIHGALRHGRHNMAFGRRLLPFVGRPAPALAQD >OGLUM11G00280.1 pep chromosome:ALNU02000000:11:179573:182963:-1 gene:OGLUM11G00280 transcript:OGLUM11G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEF0] MPKSKRNRPVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAGWHIYAANMLHLLKIAEIAMASVAVP >OGLUM11G00280.2 pep chromosome:ALNU02000000:11:179573:182963:-1 gene:OGLUM11G00280 transcript:OGLUM11G00280.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEF0] MPKSKRNRPVISTKALICAPDSSAVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAGWHIYAANMLHLLKIAEIAMASVAVP >OGLUM11G00280.3 pep chromosome:ALNU02000000:11:179573:190713:-1 gene:OGLUM11G00280 transcript:OGLUM11G00280.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEF0] MSWSVYLIVDETLKYSLYARIFLAGKKVMQIALGRDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAGWHIYAANMLHLLKIAEIAMASVAVP >OGLUM11G00280.4 pep chromosome:ALNU02000000:11:182976:190713:-1 gene:OGLUM11G00280 transcript:OGLUM11G00280.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEF0] MSWSVYLIVDETLKYSLYARIFLAGKKVMQIALGRDVHPLLLNPRATTHRATSPPPPPAATAPTTRTS >OGLUM11G00290.1 pep chromosome:ALNU02000000:11:190792:191315:-1 gene:OGLUM11G00290 transcript:OGLUM11G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSKSNRPGNCPLSTSSSIFCRSNSSAITLSKTKKKPGLERKGKVVADIKDVVNNYANAYVFTYDNMRN >OGLUM11G00300.1 pep chromosome:ALNU02000000:11:192504:196050:-1 gene:OGLUM11G00300 transcript:OGLUM11G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQEIDLRVALPLGVLNGALNAGVAAGIIHPLARGSTGSISPLSEQFYLGGNRSLMCRLGGPSSLLGFKKRGLGTDLXPEVVEFYQSLMKREAKKDTTSLGSTTSSAFDVRSNMIGEIENRSTFLLAVKADVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTEDPKLACEEALKKMYSLLEKVEQSVYALLRTRDMATSRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMKRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMSTQTSAPQISDV >OGLUM11G00310.1 pep chromosome:ALNU02000000:11:197204:200825:-1 gene:OGLUM11G00310 transcript:OGLUM11G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVITFGVTTLAAAIVESLPISTRLDDNLTVPLASVLLGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDSLPVSDEPREHCASSALQPGTVMRARSHACQMAKQGKEKTKLATLNMGRKKKRRKRLKQSAA >OGLUM11G00310.2 pep chromosome:ALNU02000000:11:199245:200825:-1 gene:OGLUM11G00310 transcript:OGLUM11G00310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASLVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVITFGVTTLAAAIVESLPISTRLDDNLTVPLASVLLGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDRF >OGLUM11G00310.3 pep chromosome:ALNU02000000:11:199245:200825:-1 gene:OGLUM11G00310 transcript:OGLUM11G00310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWILESPLLRDAGAAVLTGATALAVLRFWEEVGNRALLDQVTLIGLGIVKDDGVINSMTRNGDRRELLKGPLYYACAITLATVIFWRTSPISIAVICNLCAGDGVADIAGRRFGHVKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWSMVITFGVTTLAAAIVESLPISTRLDDNLTVPLASVLLGVLVFYYTGARNLCCMSADSSDISALVQNQMVLDRF >OGLUM11G00320.1 pep chromosome:ALNU02000000:11:207297:208956:-1 gene:OGLUM11G00320 transcript:OGLUM11G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGKQEEEEDGGGGAPAAAANNYGSSTTSSTTEEEGSGESRRRTSSSSSVRPYVRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGDSWRSDDHLPMQGGGHGGQAYNLGHLSLPALHHRSITAGSGTIFQSRFGNSWSPWRCHGSYWLPAGHHLLVGSKPYYPPAAEAEAPFRRSSARYVARANTSNHPDFVQGSSSSPDDNIMNHQRPVLKEMICSEGSNHQEGPLNLDLSLDICPRGEKRKRECSWRKQEEDHDHATVAIGADQEAESCATGLSLSLF >OGLUM11G00330.1 pep chromosome:ALNU02000000:11:210539:214645:-1 gene:OGLUM11G00330 transcript:OGLUM11G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVVAAGSGYLYRVQSLFGEALPRPPPDRGRPSPSRAPCGSHVVGGCRSRAGKHVRDLRLVKEDGGGAALDGTGGGKRAEPDARVLAQLSDLRHPLAPTPHAAARSNGRRASSVESFCADCSRRERLAKH >OGLUM11G00340.1 pep chromosome:ALNU02000000:11:210797:213712:1 gene:OGLUM11G00340 transcript:OGLUM11G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKLSELTTRRHTWNIKVKVMRLWDSVNSATDELLSLDMILMDEQFKNPKNIVQFAMTLKSLSCTTQRSNKSKKQLKVFLSITLILLALTHYKTVQIKTNIYQLIFNPSNVADVIGLLTRMKPIETRMTRKNTPNPRLAEIREIELLLLGGDKIRLTLWGQLARFFSEDVIGNQTVVIVTSTTVQEYIGLSLRSSSATRIYTNLHIRETWTLIDRQFSEETIPKMMEVDKSTQGTREEQMFYNRKTLKDITEMRHGNPGSQEFVYRLRLQISDHTTSTSCTIFDDVAQSMLETPVSSLLNLLDGKNDKIPNIIQQLCGKQLIFKFKLSEQNLTEGTPNYVVKRTFVPDYMLEKQYLINKAEEELMDDDVDNILKQDRETDQQQELEDSDQEIMSEDELTSSDKPPSCKQIRRRTYIIEDDSEEESNETSMIKCVDAKVRGKNAKGAHAKPTKAEIRNDNYSHEINKSVVQESIKAGKRQAKTINGIGKESSVEKRKKTCVQPDNIACNTRPQRTRKMNSKYTDSA >OGLUM11G00350.1 pep chromosome:ALNU02000000:11:216602:221706:-1 gene:OGLUM11G00350 transcript:OGLUM11G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHVNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKAPTANAESSDQPSDNQNNPLVVTQLKLANIEKRAPREEEPESRSDSYTYVFPKDKTETKLRR >OGLUM11G00350.2 pep chromosome:ALNU02000000:11:219118:221706:-1 gene:OGLUM11G00350 transcript:OGLUM11G00350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYMGELFRLMLLKNQVIALKSKEEEAAIKDAEVQRKLKKLKELEVEVVELRRKNKELLYEKRDLIVKLDAAQGKITESDVVSHAREEINKLRHVNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFGKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGVGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKAPTANAESSDQPSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATANTASALPPPSLYNSSFIWSVAKRIWELSASEAIKSPSFFLAFASSLPTFFTFVLLQERKAAMTFARDRFMSPKSDFSPELDQESLITCAESGSLSYRIS >OGLUM11G00360.1 pep chromosome:ALNU02000000:11:225646:227429:-1 gene:OGLUM11G00360 transcript:OGLUM11G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPGGYATAARTAGDAQARDQLERVIECLEREKCETFQDCVTWARLKFEDYFSNRVKQLTYTFPEDAMTSSGAPFWSAPKRFPRPLEFSTSDPSQLNFILAAAILRAETFGIPIPDWVKNPAKMAEAVDKVIVPDFQPKQGVKIVTDEKATSLSSASVDDAAVIEELIAKLEAISKTLQPGFQMKPIQFEKDDDTNYHMDVIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLGGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMAWTVWDRWTITGNITLRELLDWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPPYRRHLDVVVACEDDDDNDVDIPLVSIYFR >OGLUM11G00370.1 pep chromosome:ALNU02000000:11:234158:234721:-1 gene:OGLUM11G00370 transcript:OGLUM11G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEG4] MELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >OGLUM11G00380.1 pep chromosome:ALNU02000000:11:252747:253930:-1 gene:OGLUM11G00380 transcript:OGLUM11G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEG5] MLPPRVAPAAAAAAPTYLAAAASTPASVWLPVPRGAGPGAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLIKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYGELLSACPIYVFYSMVYVLMLLLTVC >OGLUM11G00390.1 pep chromosome:ALNU02000000:11:254896:256485:1 gene:OGLUM11G00390 transcript:OGLUM11G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLSACGQDCGAINHVHISPSFVCSAIYT >OGLUM11G00400.1 pep chromosome:ALNU02000000:11:256565:262825:-1 gene:OGLUM11G00400 transcript:OGLUM11G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPALGEMGSASPATRVLIDASVNVAFLLLLAFFFIISPRGGTLPEVVSSSKEGGIRGRRDGGDVFQVESYGERCEHVAEEDGLDRRRFPRGYVDYLYLFDCVFGAPDALSTIASFASGGGEGEATAVGLNGVLGSAMLVSSAVLGIIGVHLGARGDAIDRVNFYCDASFLLVSLVAVAVVLAAGEVTIWGALAFTSLYVVYVVAVAFTHGRSPSKGHDAEADHSADAFFELCNVAETKFYGDQEPLLPETTPPVGLPAERESAHVRKLAIRSQLTCSTSLNL >OGLUM11G00410.1 pep chromosome:ALNU02000000:11:268818:272765:1 gene:OGLUM11G00410 transcript:OGLUM11G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTEQQQQQGRRRGAGWQRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLATATSKMTVLQSPLGLRTILTSLVAFFIVVSSVSLLFDRGQDAQAQLAVEQHQHQEVLLKQKPASAAVGEQKSVVVDQSSLRSQEAQVQWTSELQDVATDSGDGEEECNWSLGRWVYDNSSRPLYSGLKCSFIFDEVACDKYGRNDTKYQHWRWQPHGCNLPRFNATKFLEKLRNKRLVFVGDSVNRNQWVSMVCMVEHFIPDGRKMRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIQKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIEDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYLMHK >OGLUM11G00420.1 pep chromosome:ALNU02000000:11:281274:285535:1 gene:OGLUM11G00420 transcript:OGLUM11G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLALPLIIPASSSCSHVDTHDPEPTVQLNHDDSRKPLLLNSDHSTESNAMMQKTVEHPMQDCCLGTVLEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLRRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNTLVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNRNGMVDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAAGGQQVVNTLAKFRLDRTP >OGLUM11G00430.1 pep chromosome:ALNU02000000:11:281370:287105:-1 gene:OGLUM11G00430 transcript:OGLUM11G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGLARAEWGARVKKYLCIRLHQGHTGKDMRFLGWYLKIAVGGAAIGASMELFMIHTGFYEKSRMRAYWRAYAARPEAAERRRTAGRGRWMRARPDDQPSALPRSEAVAR >OGLUM11G00440.1 pep chromosome:ALNU02000000:11:287171:295184:1 gene:OGLUM11G00440 transcript:OGLUM11G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT2G38330) TAIR;Acc:AT2G38330] MAATSPPMTSVAAAALVLTPSPALNRISFPFSRRHCRSAAPPPRWRPTRCRGKPGVTEVVAEKETSPDGEEEEVRGRGWFMVDEIGMDILTIALPAVLALAANPITALVDTAFVGHVGSTELAAVGVSISIFNLVCKLLNVPLLNVTTSFVAEQQAVDAAERNEISIPQEKASEQRRFLPAVSTSLALAAGIGLMETVALILGSGTLMDIVGIPVDSAMRVPAEQFLTLRAYGAPPVIVALAAQGTFRGFMDTKTPLFAVGVGSLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNNKIVLLSWNIIGGDVVRYLKSGALLIARTIAVVLTFTLSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARVVLYRVLQIGGITGVALATILFLGFGYLSLLFTDDPAVLDVAQTGVWFVTVSQPINAVAFVADGLYYGVSDFAFAAYSTLFAGAVSSAVLLVAAPKFGLGGIWAGLALFMSLRAIAGLWRLGSKDHKDTQHEQESYGPIVSGGPISSKDRAGVGGRAAEAQPSRKNIRKERLRPEGDDDEGIKGFGIGIGEGKMPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >OGLUM11G00450.1 pep chromosome:ALNU02000000:11:296416:297747:1 gene:OGLUM11G00450 transcript:OGLUM11G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEH2] MAAASPPLLPTTVLPANTTATATVSPAPTSVSSADANPAATRAFLARLLDSVKRALSGARPWLELIDRSALSRPESLSDAGARLRKNLAYFRVNYAAIVALSLAATLLAHPFSLAALLALLAAWCFLYLLRPSDAPPLAAFGRTFSDRETLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRELVLTMGERERSRELMRPWFGYMFSPSLLANALHKGIA >OGLUM11G00460.1 pep chromosome:ALNU02000000:11:298951:302263:1 gene:OGLUM11G00460 transcript:OGLUM11G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRRRTPPAPAAKQPAMLMLGPFPAHHRCTLFLLLTVTLLPSLAAAAAAHHHHVHAAGDGVVISQADYQGLQAIKHDLSDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPSSLANSTKLMRLNLSHNTISGDIPPELAASPSLVFLSLSHNKLSGHIPDTFAGSKAPSSSSLKESITGTYNLAVLELSHNSLDGPIPESLSGLQKLQVVDLAGNRLNGTIPNKLGSLADLKTLDLSGNALTGEIPASLSNLTTSLQAFNVSNNNLSGAVPASLAQKFGPSAFAGNIQLCGYSASVPCPTSPSPSPSAPASPAQSREATGRHRKFTTKELALIIAGIVVGILLFLALCCMLLCFLTKKRSGSGGKQTTSSKAAGGGAGAAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSEEGGAGHVAAASAGNE >OGLUM11G00470.1 pep chromosome:ALNU02000000:11:302678:306878:-1 gene:OGLUM11G00470 transcript:OGLUM11G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTLQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVDEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIXLFSVLTSPLKPMMLSDPTTDCRPNWSGCIVHSGSSISSHSSWSPAIGDGPIQVYGFMAVRDHMDCLRNYIFNRGRDKPFVVSLSDPFILLSGPKRGIGMETPSLLEYDIRIKRGDGEDDDLQLIDGAATISETELPPPYAQDYTRRIAGDYGAVNISLALLHNAIEATMHIQITEVRGSGGFDMSMACRVSQIPNEIKLFESVAIAKPCQLNKRFVLAIVKRGILVLDLKVKRSGASEEEEPVRMLRGLKAKAHGQVILPMIFDCATILVSVHWSNLPAPISYCHHTGFKYYNELVVPWSIALNLTYPETVTPYNFQGAKYIIWGDAQRLDLCYVKKRTDQYLELGYNVERHLDDGDFVLFNRQPSLHKMYIMGHRIKIMPYSTFHLYLSATSPYNANFDGDEMNMHVPQSFDGDEMNMHE >OGLUM11G00480.1 pep chromosome:ALNU02000000:11:307564:321375:-1 gene:OGLUM11G00480 transcript:OGLUM11G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYRSHLWGFTANEKEAYSGENDERQQILQLIIEEKQPEILELPGGGIQVHQEIDAAVAELIEQEALDELEQLVKAQPEAAADQQNPVEPHQDEDDKLSLDNLLEQHRWEGALRRLTRMVRNGEAINGPRDTPLGEDEATTHMENTCHAIHDYLKLYFPAYRPQIGRKVRGHQVSRVWELGERLGKDGGRCLACHKRVKGFNVTKLQNHLQGSVKRQGIQCPAINNYILSRLERILKEMNEDQ >OGLUM11G00490.1 pep chromosome:ALNU02000000:11:336308:338393:1 gene:OGLUM11G00490 transcript:OGLUM11G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLPNDGLRKRGYIHNLPIENRSPVLPIPPKTISEAFPSTKMWWPSWDPRRQFNCLQTCVASAKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWVGKNKVATLEPDEMEFLLGYPRNHTRGVSRTARYRALGNSFQVDTVAYHLSVLRDIFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNMTLLRSWWDQTQTDTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLEGKHSALFYHYYRIKILSGAKGKLFRHVRKALLMKQSSSLTLKTEQDPSNNSDKDSLDK >OGLUM11G00490.2 pep chromosome:ALNU02000000:11:336308:338393:1 gene:OGLUM11G00490 transcript:OGLUM11G00490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLPNDGLRGVSRTARYRALGNSFQVDTVAYHLSVLRDIFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNMTLLRSWWDQTQTDTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLEGKHSALFYHYYRIKILSGAKGKLFRHVRKALLMKQSSSLTLKTEQDPSNNSDKDSLDK >OGLUM11G00490.3 pep chromosome:ALNU02000000:11:336349:338393:1 gene:OGLUM11G00490 transcript:OGLUM11G00490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSLPNDGLRSVHRNLPDQALGPPFFYYENVALAPKGVWTTISRFLYDIYPEFVDSKYFCAAARKRGYIHNLPIENRSPVLPIPPKTISEAFPSTKMWWPSWDPRRQFNCLQTCVASAKHTERIRCALGRFGDALPPAVQKSVLEECRKWNLVWVGKNKVATLEPDEMEFLLGYPRNHTRGVSRTARYRALGNSFQVDTVAYHLSVLRDIFPNGMNVLSLFSGIGGAEVALHRLGIRMKTVVSVEISEVNMTLLRSWWDQTQTDTLIEIADVQNLTAERIELFIRRFGGFDLVIGGSPCNNLAGSNRYHRDGLEGKHSALFYHYYRIKILSGAKGKLFRHVRKALLMKQSSSLTLKTEQDPSNNSDKDSLDK >OGLUM11G00500.1 pep chromosome:ALNU02000000:11:341529:344404:1 gene:OGLUM11G00500 transcript:OGLUM11G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIGSDPSVGNSSHSACDPQILEEEDEEEDVNWDEDDTVDNFDRATYSDGSGDEDFLQEMSEKDEKIKSLVSMGFPEDEVMRAITRCGIPLLKLLL >OGLUM11G00510.1 pep chromosome:ALNU02000000:11:345414:349767:1 gene:OGLUM11G00510 transcript:OGLUM11G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEI0] MGSSGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSHNIMTVNGQFPGPTLEINEGDSLIINLINRGRYNMTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTSYPFDVQPTRELAPILLGEWWDMNPVDVVRAATRTGAAPNISDALTVNAQPGDLYSCSSHDTALFPVTSGETNLLRFINAALNTELFVSLAGHNMTVVAADASYTRPYTTSLLLLAPGQTTDVLVTFDQPPGRYYLAAHAYASAQGVPFDNTTTTAIFDYGAANNASSTAIAMPTLPAYNDTAAATAFTTSLRGLRKAELPSRVDESLFLTVGVGLFNCTNTTAQQCGGPNGTRFAASINNVSFVLPSSTSILQAHHHGAPGGVFTADFPANPPVQFDYTAQNVSRALWQPVAGTKVYKLKYGSAVQVVLQGTNIFAGENHPIHLHGYDFYILAEGLGNFDAGADTGKFNVEDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVDDGVGELQSLEAPPPDLPLC >OGLUM11G00520.1 pep chromosome:ALNU02000000:11:352242:352658:1 gene:OGLUM11G00520 transcript:OGLUM11G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVAAAPETSRRGSRTVAVAPEPYRTDGIEDGDGDSSRDLPPQMASRTAVAVAPETSHHGSRTEAATAALEPSGRRWRRGRWRRLPSPPMWMTPEPSRVDGVEDGGSGSSIDLSLQMALRTVAVAPEPSGGSRG >OGLUM11G00530.1 pep chromosome:ALNU02000000:11:354036:357161:-1 gene:OGLUM11G00530 transcript:OGLUM11G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKLVRHDDIVEHVSSILKSNGIVNSGESIDTGKLECYQHDGGMSIGKPDSQRVGYGETIEADKSSSDTGEILGKQPPKGLSIKEVRNMFFPYWKSVLSRRLQLKIVPSCQPSRKDLLSAEASRKGTKSIDHPCY >OGLUM11G00530.2 pep chromosome:ALNU02000000:11:354823:357161:-1 gene:OGLUM11G00530 transcript:OGLUM11G00530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSKLVRHDDIVEHVSSILKSNGIVNSGESIDTGKLECYQHDGGMSIGKPDSQRVGYGETIEADKSSSDTGECARLKLTQ >OGLUM11G00540.1 pep chromosome:ALNU02000000:11:358467:360380:-1 gene:OGLUM11G00540 transcript:OGLUM11G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVLQQSPPSGSEAEEYESWTLKQKLEDLINCDPIHGIMPKNPKYKAFFEEKFERSMVAHHLSDAYEFNA >OGLUM11G00550.1 pep chromosome:ALNU02000000:11:365481:369324:1 gene:OGLUM11G00550 transcript:OGLUM11G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGRGANTVTQLVTSPHPDRGAAGALSSSHLPLFRFFLPSSPSPHAPRQTPTIITTFPSLLLVAVNLAMASPMPTPTHRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHATESRHRRVLCPFRPDLLPAALARYPALSRLDLSLCPRLPDAALAALPAAPSVSAVDLSRSRGFGAAGLAALVAACPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRTTDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQTLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKNLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGVTHIAQGCPMLESINLSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLVGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >OGLUM11G00560.1 pep chromosome:ALNU02000000:11:370385:373552:1 gene:OGLUM11G00560 transcript:OGLUM11G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C family protein [Source:Projected from Arabidopsis thaliana (AT5G01700) TAIR;Acc:AT5G01700] MGICCSKGKEELEEEGFPWKHDAFFHDQLWSAGVSMHTKQGWKGANQDAMTTCQDFAGHKGQIFCGVFDGHGPLGREVARHVRDVLPVKLSSSLALKTEQDPSSNTDKETLEKSDCTSLSDTNNEKQLLSTWKNIFVKTFEDVDEDLRQHSGIDCICSGTTAVTVVRQGDHLIIANLGDSRAVLCTRDSKDRPIPVQLTTDLKPNLPSEAERILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSAKDDFLVLATDGIWDVLSNKEVIKIVSSATDHSKAAKQLVERAVRAWRRKFPTSMVDDCAVVCLFLKPSPSSSESTPGDAKPPQAVSFTGSFRKVLGGGGGEAEEGTNVWRALEGVARVNSVVRLPRMGAVLSWRRRSTSLEEDDEARID >OGLUM11G00570.1 pep chromosome:ALNU02000000:11:390192:399948:1 gene:OGLUM11G00570 transcript:OGLUM11G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILRCFKGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPPVPLQQQPLDPHGITPSTVGVAALAHDLLNFESTSMKLLEAYKNTTPPPKTPANAAQLIARALNMIQRADLEGVLEFYNFPIPSLPTASSNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARWEREARAANRGLWASGNPEKPWDWRRDQRNARQDAIQVY >OGLUM11G00580.1 pep chromosome:ALNU02000000:11:400985:404241:-1 gene:OGLUM11G00580 transcript:OGLUM11G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLLPETANTSPAPNGATAGIRVENCYVFKSRLQEYAQKAGLQTPEYHTSKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVAHNSTQQETGLCNTGSQQLNLREMHREADHHHRLLATLARHRRLAAAATLFSSTLRTARALNSLLAAICSSPAFLRFAPKVLLLAAPSVSPDATTFHILTSTLCQAHRPAAAADLLCCMPSLLLDPDLASCRAVLSSLCQYASAQDAVAFLDKMCHWGISPSRSDYHAVFDALLQEGKVAEAYEVMKNKMGSNRVAPALAYFKLTMQAFSKCLEFDSVEEVFDEMLLRGMVPDVDVYSVYISALCRKGDLARARQMMTCMEHAGCPPDVRTFGVVVAGCMSAGDMGTVRELVQEAIRRGLQWDPPALSELIGLLQAARDWAVQESSSGVCTEDELCHSILYLHQXKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLVSRITHREIIVRDNNRFHCFRDGSCSCNDYW >OGLUM11G00590.1 pep chromosome:ALNU02000000:11:404129:409902:1 gene:OGLUM11G00590 transcript:OGLUM11G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSDGGMFPWTHRRHLLLPLLQSHSPLHLLHYCTSSTSISRDPFAIASMASSSSSSHLHAHALKLGTLAHTFNMNHLLIYYARRGLLDSALKVFDEMPQRNLVSWTAMVSASTGNGAPHLGFRFFVSMIRSGFCPNEFSLATMLTACHSMVAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVLNGFGHHAIRTVLVMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMISGFAHDEDDKAVFGCLIDMSRTGCKPNEVTFSVLLRLSGAKENESLGLQIVALAYRHGYTDNVLVANAVINMLSRCGLLNRAYGFFCSLTSTNIVTWNEMIAAQGARDHEQIHATILKQGFASCQFVSTSLIKANVAAFGSVQISLKIIEDAGKMELVSWGVVISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSKHFCIASAVVDAYAKCGEITYAESAFTVVSSGTDDAILYNTMLTAYANHGLIHEALNLYEEMTKAKLSPTPATFVAILSACSHLGLVEQGKLVFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVIDAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSDGAYISLSNVYADDGEWQSAEETRRRMVQNHVQKLQGYSRIEM >OGLUM11G00610.1 pep chromosome:ALNU02000000:11:410391:412392:-1 gene:OGLUM11G00610 transcript:OGLUM11G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRFGLPAHRWSMCGGRATAADYGKWLCCAPCALAQEVRTANLYDVEEDVLYAKGGEEEEEAAMAPLEREGCIVAVDAPPLPMRVEEKDYVVNRLPPLTVKLLHARLLRLDLLAGLSSLLLRALTSSALHLHALRVHCLLPNPSHLTIPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLSLYAKCGLLHRAQRVFDEMPHPSTVPWTALITAYMDAGDLREAVHVARNAFANGMRPDSFTAVRVLTACARIADLATGETVWRAAEQEGVAQSVFVATAAVDLYVKCGEMAKAREVFDKMRHKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGMKPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTVEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHXFQFKKRQLE >OGLUM11G00620.1 pep chromosome:ALNU02000000:11:412352:414505:1 gene:OGLUM11G00620 transcript:OGLUM11G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRCAGSPKRLRICARQNPPYVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDYDYSNWF >OGLUM11G00630.1 pep chromosome:ALNU02000000:11:414824:418477:-1 gene:OGLUM11G00630 transcript:OGLUM11G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAGPAQIGGGPDFSQPSPNRRKTRKVWTPEESGAAGRGFSTASEKRRFFPLFVPNPNQIPRERSRSSRPTIESSGAQGKERIGIASKPSLHLLDCEPTMEDLPSNLGQPSTSASSVDASYSANRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPISCEKASLVXVGAYRGFMPSRTRAFLVIHRGPLEPHVRCPYCGARVWSMTAAGLARLSSSSSSDGERSADSDSNHSDDESFAAADVCKAGLATPGS >OGLUM11G00630.2 pep chromosome:ALNU02000000:11:414824:418477:-1 gene:OGLUM11G00630 transcript:OGLUM11G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAGPAQIGGGPDFSQPSPNRRKTRKVWTPEESGAAGRGFSTASEKRRFFPLFVPNPNQIPRERSRSSRPTIESSGAQGKERIGIASKPSLHLLDCEPTMEDLPSNLGQPSTSASSLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISDEGQELATLPPASTAGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPISCEKASLVXVGAYRGFMPSRTRAFLVIHRGPLEPHVRCPYCGARVWSMTAAGLARLSSSSSSDGERSADSDSNHSDDESFAAADVCKAGLATPGS >OGLUM11G00640.1 pep chromosome:ALNU02000000:11:419040:422264:-1 gene:OGLUM11G00640 transcript:OGLUM11G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATEAEAEERDKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRIKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEVTLEEMLTLHKFGGVGKETGMKLMNHYKKYAIFMMLSKITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMESTSSAPYPLLQVDDGDDVCYDDDDPYDTDDSNAEDNPLYDYPAELSEDEDDDSNSENPFSDLDGSDPEYEKEEVEEERDEDGR >OGLUM11G00650.1 pep chromosome:ALNU02000000:11:422442:444519:1 gene:OGLUM11G00650 transcript:OGLUM11G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVVSASGFPYPFPSPLSKTLANPSSSTSLLLAASLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEGDIAMVAAQSFVSTQDSASDTVVMRHVNLN >OGLUM11G00660.1 pep chromosome:ALNU02000000:11:444395:454191:-1 gene:OGLUM11G00660 transcript:OGLUM11G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKKTTRALVRAHVRLVPSAAAALPIKSPSGTTPQRRGFDLPQKGSYPIAIAPSSANTTGNGPTIVFRTAPARPHEEVSILEHVERWMGSTVARAYYHYKDMPRLGDLDADLLVYIQLYFI >OGLUM11G00670.1 pep chromosome:ALNU02000000:11:455575:455763:-1 gene:OGLUM11G00670 transcript:OGLUM11G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKKRGIRDWIGDSQRKRNHKPGRLFFLLSLSSHPPRLRLRSRETDGGFGMRPRRRGGEK >OGLUM11G00680.1 pep chromosome:ALNU02000000:11:465123:466044:-1 gene:OGLUM11G00680 transcript:OGLUM11G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATSCSTTGDTASTSSTPWGTSTSAPRSPPPAPRGSPTRSALILVDAVEGVHIQTHAALRQAFLERLRPCLVLNKLDRLISELHLTPAEAGAGGGAASASAAAEGERQRAAARGWSKRRGGVSGRARLWRRQAPMAAAPGRPWPRPRRRREAVVKIPARLAPEQAAPLLCAGVTVYSPLKHFGLMLPGLRGGILGLGGVGLERNDVHYRFVVDSNISSNVDSNISSNAGSNIGSWRRVSATTATAGIGYCKSNHLQNHFVNKFQITKPIIFNAQLFITK >OGLUM11G00690.1 pep chromosome:ALNU02000000:11:470264:473361:1 gene:OGLUM11G00690 transcript:OGLUM11G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQERRRKKIDLGSWSRPSSSPIRSPSTRTAAASHPDPERLGRADSGDGGSLPTSPAAASPSRSSPSTDPHRWSLLPLLPQHLPHRRSPLHLVPGGRLANRSSTTSPTTRAATNTAAAGGDICEDNKLPTATTCCCHCCCAWVKNTDGFAYLLRWRMTRARPASFSRGRLGAKP >OGLUM11G00700.1 pep chromosome:ALNU02000000:11:470751:471820:-1 gene:OGLUM11G00700 transcript:OGLUM11G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLLLPIAGLFLLLLVPISRLSGAAIEAAVTAAGGGSGKLVVLADVAAGGSGVGGRSCGRGGRAGAVGKAAAGDEVQGRPAVGKVLRQERQERPAMGISRGRRSGWGSRGRGGGKRAAVPAVGPAEALGIGMGRRSRTCRRGTNRGRGRLKG >OGLUM11G00710.1 pep chromosome:ALNU02000000:11:475401:476509:-1 gene:OGLUM11G00710 transcript:OGLUM11G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAGFRPWWDAFTTNVKNQGTLVIDKLKRVVHQDESESRDEEKLKELIRNIEEESRKRFERWCRAELAKECWREHLLGEASRSRPSPSMSTPTSLHRPPPPPITAAARSFLRSIASARLAAYGCEVLEKIKREQLVEFESVYGLHDYFDTTGTHDDASSSADHCVGPILPQLQSDRSARFAAWAHSSWALAAAAAAAAVDDDDEGKWMKPCIGPVLACDCEPEYFDSDPAPPTPPTIARPPRPLPPASPPPPSTATPPPSPASPPPPSTATPPSPSPTPTTTRASPPPPPIPTATVRPPPPLPRATVTPTPTTPPSTATTTPTPTWVWVGGVVLSAGVAALAIKLAYAYLGGGRND >OGLUM11G00720.1 pep chromosome:ALNU02000000:11:479348:480873:1 gene:OGLUM11G00720 transcript:OGLUM11G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIREAIDHYGFVALAMRVKFAELDRLPNMTVFPLDDQAIFVGEGHDDYVSARGPLPRRSRAPPHPRRHPAGRWEHRAVRAPPPLPPRLLLICGIWRGSFGGATRAPLVVQGRHGLPDIPPLLDTRHGRGEAEAAEAQHALLHLDAALRPRRRQGVEGPRRRRWRGLRRGSDPATAMLAAQDSPHLAPLPAGQVCHNPQLAIVDPRPARRRTFLGVHPRRLCHPRAYYDGGWVFIAFGHTPTPWLDYALLNGEPNLGMALVAATLSSPPSTTLHPCPLLPYPPKTSAIRVSYNADDFPATEFNVAVGKWLSAVKFLPEQVPSNYSPPAWLLPRYTAALTNKT >OGLUM11G00730.1 pep chromosome:ALNU02000000:11:491457:494892:1 gene:OGLUM11G00730 transcript:OGLUM11G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDQKKATTALEEKLELLRDVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAETSVDEQMVRHAVLQAIKKCIDGSSI >OGLUM11G00740.1 pep chromosome:ALNU02000000:11:495130:496728:-1 gene:OGLUM11G00740 transcript:OGLUM11G00740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRLPRNAICAACYEGAKAIIAFFNDDDDEHADADQGSVKPSRLTKLNSTVKGLRDAWEEVKQMRCREEETKQRASFLQEGFAAAWKDGIHTDIAIRPGTGPPIQAHKAILATRSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCAEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALKERAMGTVVEHAEEVVFSPEYEEFAVRNAALCVQITRALLANKTLPAKTP >OGLUM11G00750.1 pep chromosome:ALNU02000000:11:499683:500442:-1 gene:OGLUM11G00750 transcript:OGLUM11G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEADALAREEKRRNHQRNGGPQEAGGPATGFSESSKIIKKMERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >OGLUM11G00750.2 pep chromosome:ALNU02000000:11:499683:500100:-1 gene:OGLUM11G00750 transcript:OGLUM11G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSSNTNNSSSLDYDFYSQLPPATTDDGQENERWSW >OGLUM11G00760.1 pep chromosome:ALNU02000000:11:502289:504905:1 gene:OGLUM11G00760 transcript:OGLUM11G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLILPSTPTNPTTGRPRPLPSDTTAAASTCSASPSHTPVSKPSSAAAAEERSLVKSAISTVYTRRNTAQKRRTNDNTPFPAGTSSCPPPATLARKPLWLRTKSTRMENTSSGKHMLPEDFVKKQRTYFEEVDAFELPEEEASETDLE >OGLUM11G00770.1 pep chromosome:ALNU02000000:11:508787:513415:-1 gene:OGLUM11G00770 transcript:OGLUM11G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKNTFQDDYSIRKGTLLPSLKIILFHTGTHRLRLIWWYLSQAASKD >OGLUM11G00770.2 pep chromosome:ALNU02000000:11:512624:513415:-1 gene:OGLUM11G00770 transcript:OGLUM11G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLHIMQRHHHQSLHQRNKTERAKVDAVKSAARVADLLVATVDGGVQELYINERRIEFEARALLATIARYKKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM11G00790.1 pep chromosome:ALNU02000000:11:529946:530823:1 gene:OGLUM11G00790 transcript:OGLUM11G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFTGQPNPPVLSRVPDSCNVALMVHDKIDSKVTDDSVSQFKLTKLLLVLRVVFSETAEPVLQ >OGLUM11G00800.1 pep chromosome:ALNU02000000:11:531405:537923:-1 gene:OGLUM11G00800 transcript:OGLUM11G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRSRWWVSPGSRSRAKSGRNPHHHHHHHRPVSFLLASLSRIVALVHQHPANMAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVCALREA >OGLUM11G00800.2 pep chromosome:ALNU02000000:11:531206:534901:-1 gene:OGLUM11G00800 transcript:OGLUM11G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERMKRF >OGLUM11G00800.3 pep chromosome:ALNU02000000:11:531405:534901:-1 gene:OGLUM11G00800 transcript:OGLUM11G00800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSSRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVCALREA >OGLUM11G00810.1 pep chromosome:ALNU02000000:11:538883:544898:1 gene:OGLUM11G00810 transcript:OGLUM11G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEL4] MTTTTTRSHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQSSEDASNKPPGWGNHSWFYILVRISLFLWVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKRICTDDTHSSMELSSTIAEQSQNTEADDEMSSLVTSQGSPSQVSESHKTKPEIFVMFEGFWLIARSSYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQKCSHGTF >OGLUM11G00810.2 pep chromosome:ALNU02000000:11:538883:544898:1 gene:OGLUM11G00810 transcript:OGLUM11G00810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEL4] MTTTTTRSHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRVLQFVPSCLFCSLVCLYPWAFPFYLPDASNKPPGWGNHSWFYILVRISLFLWVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKRICTDDTHSSMELSSTIAEQSQNTEADDEMSSLVTSQGSPSQVSESHKTKPEIFVMFEGFWLIARSSYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKKCSHGTF >OGLUM11G00810.3 pep chromosome:ALNU02000000:11:538883:544898:1 gene:OGLUM11G00810 transcript:OGLUM11G00810.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEL4] MTTTTTRSHRLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFVVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPSISQVSLLNLIAISSTWARVIDVMDNESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPFLLLFSSLLMELAALSSKRICTDDTHSSMELSSTIAEQSQNTEADDEMSSLVTSQGSPSQVSESHKTKPEIFVMFEGFWLIARSSYLMYISLFLWLSAVVSSFFYFQKVTIVATTISSPTARRRTFALINSFIAVFILAGQLTLTGRILTVAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQKCSHGTF >OGLUM11G00820.1 pep chromosome:ALNU02000000:11:559637:564952:1 gene:OGLUM11G00820 transcript:OGLUM11G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFWREVLRFGSSGDGDGLGRDGKRLAKEGENGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDGTRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVHRPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPAAAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHVPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHTKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSSTGAHVGGGFFSTNPDVQSVWSFNQKETT >OGLUM11G00820.2 pep chromosome:ALNU02000000:11:559638:565071:1 gene:OGLUM11G00820 transcript:OGLUM11G00820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFWREVLRFGSSGDGDGLGRDGKRLAKEGENGAKAEESRVEKAKRKAEEKRLARLEKEMLEEEERKQREEMAKLVEERRRLRDEKAEAEERSKGATPVGEKDPRKEVERRRQERRRKDEKDKGSSKSNSDCEDIERRVTREGERKRDSDRRNEPEKRDGTRVGAEGHKPYNFDANNQGSKTVQSKAKYFGRMTGGLLSSSRGFGGGSFFGRSAQTSAPQVNKVTKPLVTVTDQSNVVKRDAQPPATAKSATAGGTTNSWTNVHRPVSPNVQSQPTGLKKSWHQLFSRSASVSPCPDVPAAAREMNGQPEPYGAQISNAQIFLSQYPPLDSNPSSSRCMQFPGFPPVNGAPANMSLSHFPAGHVPYYCEPEPTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDLDSGFISSDITKETHTKPSPIESPLSRSRTFEDNPIRHSTGKGPNGSILPEASNEQGGTWQMWGTPLVQESLGLRGPQTEWLLPNANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSKEAVVLNGPQEASKICSSTGAHVGGGFFSTNPDVQSVWSFNQKETT >OGLUM11G00830.1 pep chromosome:ALNU02000000:11:567056:570333:1 gene:OGLUM11G00830 transcript:OGLUM11G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCGPREADRVPFDDISSHPLLGRVNPINKFFFFFLFPCQIPSPFSSLSRCDLRIHLLLPPLASYLLLQRTAPRRHLVLVSLFFIFSHLPLRRFVITSLIFLRKRRRGEERRGW >OGLUM11G00840.1 pep chromosome:ALNU02000000:11:570168:575206:-1 gene:OGLUM11G00840 transcript:OGLUM11G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPIQGKFAVLTGLQMVGPCGKDGDDQGGVRMIKHKSISMGRRRYLNLLVHNNHDDLYSLRRIPANRLFYPSARAAEAAAMAKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDLVTLLGDDETKILTADNHGHTVLFDAASYSVVHFPNLNCSKGYDAMAVSINQEPDCLYVLNLRHHPTTSNHCFEVLSYGDPHMAFSTAAALHNDHPNYNYLLHNTIYVSSKLCGTHAFDTVSRQWRPISSLWSMPFLGKAQYVPELKLWFGLSCHHPHSLCACDLTNIAQGQLHTWLDLDIPASWSPIRLDLISLGSGRFCVAKMFSSMMQDDEIDMEFAVLTGLQMVPPRGTKDDQQAPWMVKHKSICYPFGYYNIKRVF >OGLUM11G00850.1 pep chromosome:ALNU02000000:11:576341:577381:-1 gene:OGLUM11G00850 transcript:OGLUM11G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMPILPSDNEQAKLALQLLGDILSCSDKAISMLELGGDTKKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKRISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIADPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >OGLUM11G00850.2 pep chromosome:ALNU02000000:11:576339:577381:-1 gene:OGLUM11G00850 transcript:OGLUM11G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMPILPSDNEQAKLALQLLGDILSCSDKAISMLELGGDTKKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKRISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIADPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDERFENLCMVQNMPEYLIDFELERAFEFIVNSPLGSEHWTFDDSIRCEHSPICIWG >OGLUM11G00860.1 pep chromosome:ALNU02000000:11:579340:582110:1 gene:OGLUM11G00860 transcript:OGLUM11G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNKTSAVSSSAAGIMSRSLLQSSTTTDDEHVTEMLLHWLLLPACTAAACRSLLLLGLWQWLSVLICDASTTT >OGLUM11G00870.1 pep chromosome:ALNU02000000:11:581511:582743:-1 gene:OGLUM11G00870 transcript:OGLUM11G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSNKRSLVADQWHPSSVCCDHRAALREIAKGQSLVTQLRAIVLPALHSDERCDLAAQMLEGILDCSRKAISQLQLLLSSPHDDDHHHHVDDKRRVRKIISSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHIKNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPIMYTVVYYGQHTCCKGPAALADDHVVVEASQISTDSHCQSPSSSSDLQAAAVHAGNSSQCSNISVTCSSSVVVEDCNKLLDMMPAADELTADVLLFDMTAYAPLDLDINWEMDTNALWV >OGLUM11G00880.1 pep chromosome:ALNU02000000:11:587052:589303:-1 gene:OGLUM11G00880 transcript:OGLUM11G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTPTTPASHSTTALAGDGECCLPPGLTTTTTVSGGGRRRGRKRVNRDNDNVKLLLQADDDQEAVIADHGDANAKPLPNFTKTRRRKQQATTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRTTNNHQQPAAAESPAATSSSSSNMVMTSSETGNWSGQHGAYACRQMIAADEEYCCWDTPATTTTTSGSNGGNSTCAEDIELLSRPIRSPMHIAAEGNWMDDLLLVTDGLVDISNSSITHFLT >OGLUM11G00890.1 pep chromosome:ALNU02000000:11:595652:606654:-1 gene:OGLUM11G00890 transcript:OGLUM11G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTILRAKNRVAPAVNANAESGEHNEFSNNSTGGSQNNKLICTDGQKIPPSSDAQPGVLQEKITFASEEKTMSSSNSIDSSEHVNIECSLLDGDASNHDVRTEGINDEDKVTVSNSTLDSVRKVENVETDGNEKGSFTDDGSISNEVATTQRMVHMDGAGSDNNFTEVQSAAEGKCALSDANLDSQEIVAICQPDNISVGAKERVQPYEGFNVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNEGPRTDPHGRSNRFKKIDRLERLRKMDDLRDQLNRLSSQKGLENRYKNKGPGLLQEQISYRHLEQHPCGFDGDSILDSDIIDSYYDQGNPPRYPPPDPFSPTHSHYHCGHGQPHIPYNCSAWEFNSYYQSSYAGSTVLEHESLRSSYKEQKRAVRKSILRSLSGASPFTICNGCFNLVQVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFEDGYDSFESMVPVSNRVSRRKNL >OGLUM11G00900.1 pep chromosome:ALNU02000000:11:613758:618141:-1 gene:OGLUM11G00900 transcript:OGLUM11G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASDSPASFPFSTAKLAASPRFCNPISRRIFSDVAGDLTVSVDGQSFLLHKFPLVSRCGRIRRMVAESKDPDLSKLELVNVPGGALAFELAAKFCYGSNFEINTVNVAHLRCIAEYLEMTEEYQEENLIVRTETYLNEIVVKNLDKSLEVLCACDGLDPTVEDVGLVDMCVDAIAINASKEQLVSGLAHLECDVGSGKLRMHCQDWWVEDLSALRIDYYQRVIVAMRRTGVRPESIGTSIVHYAQTALKGIERRHVWDSGPLVGDNQRVIVETLIDLLATEKITSVTLSFLFGMLRMAIEVDAGLDYRIEVEKRIGLQLEMASLDDLLIPATQTSDSMFDVDTVHRILVNFLQRIEEDDSGNLSPCGYESDDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKVCKLIDCQKLSQDASSHAAQNDRLPIQTVVRVLYFEQLRLKSTVSSTTPHTTSLGGDGCGGSLSQRMMMTGGSGVASS >OGLUM11G00910.1 pep chromosome:ALNU02000000:11:621602:622921:1 gene:OGLUM11G00910 transcript:OGLUM11G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPCPLNRGWSYPLQALLHVQLGIETKGNTKHAFPSKETRDPTVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGADDPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLDPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >OGLUM11G00920.1 pep chromosome:ALNU02000000:11:623252:627061:-1 gene:OGLUM11G00920 transcript:OGLUM11G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01930) TAIR;Acc:AT5G01930] MEAHMNARAMGMCPIPPSRSAATPRLHLHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRVSYHGASLAWSKCASLVVLGPSIFRPKSRPCCPDLSGGDSVTGGPPGCCCSTPPDPPAGAWISWPASASSTCSSXKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDIWLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >OGLUM11G00920.2 pep chromosome:ALNU02000000:11:623252:627061:-1 gene:OGLUM11G00920 transcript:OGLUM11G00920.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G01930) TAIR;Acc:AT5G01930] MEAHMNARAMGMCPIPPSRSAATPRLHLHHLLLLLALASDMEDAHHHHWTMVERRGTQLWASGRPFIIHGFNTYWLMSFAADQATRLRVTAAIAEAGLNVCCTWAFSDGGYRALQTAPFHYDEDVFRAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGPSIPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDIWLPHSIKENHLQFVDKWMQQHIDDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTIYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAVIFAKSPSTLSLLANHLRCLEC >OGLUM11G00930.1 pep chromosome:ALNU02000000:11:640374:642039:-1 gene:OGLUM11G00930 transcript:OGLUM11G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIADDVFPLHKAVMVPKCGYIRKAVAAARGGATATVDLDLSALPGAADAFDKVARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLAGRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTVELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCHLLHVAITIGASAKTCRDLELRVAAVLDQATAGDLLTVALDGAGERVQNVDAVRRIITAFVERDSAASSGGGANGRNRRASLSGAGALQGGGGAMQTVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALDEMEREKVCGVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGGNGGGGGWDAYGNGV >OGLUM11G00940.1 pep chromosome:ALNU02000000:11:644118:644354:-1 gene:OGLUM11G00940 transcript:OGLUM11G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGMRDGRGGGEHGRALLASISRGIGRIAMLGGAQGGAERRKTKKSSHSQSQWSSDGGGKMSNRRRHKASSVTYAAS >OGLUM11G00950.1 pep chromosome:ALNU02000000:11:660705:661626:1 gene:OGLUM11G00950 transcript:OGLUM11G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTTPTAIFHPLELPLERPVGVGMGGVMLPEGTGPGGIALEFTTIEKCPRRYVEHTLLASKSRNCXLSGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEYGDVYDLTFGLGADRPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTANDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSL >OGLUM11G00960.1 pep chromosome:ALNU02000000:11:665221:677364:1 gene:OGLUM11G00960 transcript:OGLUM11G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRRISIQLDNDSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDEIVNTMTQHQQQSHDIHLSGLSEDESEDGVWNLSVSVRQRANVTNLLDDMEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIISASHQINVLEIHVLSADMSFLQMMQSMKGPSKPQPILALFYLCNILWLRAVKIRKKLRRQGIRGPKPTFLYGNTKEIKRIRQELKFSQKQGTNNFISTLFPHFLLWRETYGPVFLYSTGAMEILQVSHPDMVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKAMIQLIEDATVTVLEAWEDMIDDVGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDAFVGLSALWKYLPTKSNQEIQMLDEQVRLLILDVAKEQHHYQDSHNNLLNAIIDGAQDCRSAAEAEDFIVGNCKTIYFGGHESTAATAIWCLMLLSTHSEWQERARAEAMEGMVNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQTTMAKQSMLIGVPGSRYLPTRSNREIWNLDSSIRTLILNISNKYEHVSSTSVNNDLLHAIIQGSKDGPFASCTPEDFIVDICKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVEFLDICQGRPLDFDILRKLKTLTMVIQETLRLYPPASFVVREALNDMKLGGIDIPKGTNIWIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKFEFKLSPNYVHCPAFRLTIEPGKGVPLIFREL >OGLUM11G00970.1 pep chromosome:ALNU02000000:11:675732:679070:-1 gene:OGLUM11G00970 transcript:OGLUM11G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRKQGPIAADDRRSSYPHYTSIKSMNEPKLGLWETLARKAKGILDEDGVAHKSDEYTKEKTPRKFDSSTGAQESQSRWSFENHSKTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYVPNLAFDTLRPPNLSNDQAETAAQETQLKASRNVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDGDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSNVSKPNALKPILISRSAFVTRQSC >OGLUM11G00980.1 pep chromosome:ALNU02000000:11:681150:686293:1 gene:OGLUM11G00980 transcript:OGLUM11G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQKVPLPCYLQSPPSAPHPRHSAAFSRSLRPCRPNGPPPAFASAEFPGSVPDIAQMPPRRCHRSVAGIDQDDLLDPDALADPDSSFYEINGIRVHHKVCTHEDSSDQSADSAITNADQNQVGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVIATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKEYGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIVSIVRSLYCKAVVAVLRSSVGVRLPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNNEQEQVLQAAV >OGLUM11G00990.1 pep chromosome:ALNU02000000:11:695102:695311:1 gene:OGLUM11G00990 transcript:OGLUM11G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPFGHGPRTCIGQNLAMAELKVVLARLLTKLAFSPSPRYRHSPAFRLTIEPGFGLPLMVTKLKAPMS >OGLUM11G01000.1 pep chromosome:ALNU02000000:11:695654:698400:1 gene:OGLUM11G01000 transcript:OGLUM11G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFTYVYIILWLRPERLRQKLRRQGVRGPKPSFLFGNIPEMRRIQQLAKSAHEQEAGSTDMFSSNYVATLFPYFLHWSRVYGSIYLYSTGSIQVLNVTDPNIVKELANCKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKKFLH >OGLUM11G01010.1 pep chromosome:ALNU02000000:11:700416:703852:1 gene:OGLUM11G01010 transcript:OGLUM11G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRCAVVRAAHTNPWRHRVSRCSGRPATRSAPSILWRRRRPRSPCPWSVEKEEEVVLVHASMGNCFGGGRPHPNRGRCRPNQSRAPIQSCCEERLSPHYSQMDQGVDKNVTDNSLVSNCDFPVVKKLEKCVDEEVSVQSSFENKDTRSLGMVCDHENNKSGVAEVITPDKEAIESSSSMNVADEDPLYGCQTPRESIFDPFAPGPEELACAPKKNVIKSPELPPRRQLSFDSGDYPVKRLSFEFDDAEEDDQFLERICKMFIDLIVSNQALETIGKDLIGSNSPGSCETPSSEPLLTGIADTCLDAPLRRPLKAVQLSPSICRKLDFDSVSPRFMMWIV >OGLUM11G01020.1 pep chromosome:ALNU02000000:11:704686:705393:-1 gene:OGLUM11G01020 transcript:OGLUM11G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTAAAAGNGSGSILPTHTIAATAPPFRTHKDADLESRRRRRRRRCLCCCLLVTLVVLLVLAISLLVLFLTVLRVRDPTTRLVSTRLIGLSPRLSFPAMSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVTDVMGGSVAVEASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >OGLUM11G01030.1 pep chromosome:ALNU02000000:11:707444:710273:-1 gene:OGLUM11G01030 transcript:OGLUM11G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQVTAVVPALTASTADSLWPSNGFLLQLSDGLHSTYEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESIIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPSVVEAPRTTISKRQSHGTSAKVQSKVLPTSTASCAWNKTQGVNEMAELATTLCREMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >OGLUM11G01040.1 pep chromosome:ALNU02000000:11:714613:715278:-1 gene:OGLUM11G01040 transcript:OGLUM11G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPQPPCISSIVSQQQQQEEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDATSSRRADLVLMDAAGKPLLTIRRKRLSLYDSWIIYDGDGAATATATPLLSVRRHRRVGLRASKAIAHVTPLSSSLPLPEAYVVEGSYGRRSCAVRDARGDAVAEVRRKESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMFRGGSSLLRRTCSA >OGLUM11G01050.1 pep chromosome:ALNU02000000:11:718511:723399:1 gene:OGLUM11G01050 transcript:OGLUM11G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRWAVKMQAHLNFRPITTLHCFPPPSIRPPTRRQLMLPVLSAVLRRAPTPFSLLPLALAPPPPPPPTLLRRRPLLLPRAISSSTSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNEISDLWVTAKEVGIRLEQYHKASSLTFAIQIDVKERELKEKLDLDIERKDRTMEEMAHEANEYRGPPADTVHDNDHSHDDRDIDACPDDEGEHVVAEADRSGHHAGVLPRDPRRLLAVAATDLAAPHGEAAVDSEAGAGRSIRAELFLMEKTCCCDTEGELVLSKPSSSCAL >OGLUM11G01060.1 pep chromosome:ALNU02000000:11:722321:724811:-1 gene:OGLUM11G01060 transcript:OGLUM11G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQIHPSRGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLYNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSSDEAEVFMSTRTSGDSQLPDASPSPSFRIDGCFSMRSCKIRGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRAGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRSNENLLLMEMKHAYYLGVYIPANICNNVLNTTIASKQQRQPVVGMQAKQTRSHDYDRSLLGVDYLVHRQQSQPTSEGLQIAYRYQILRSGATVTSHFRTQPAKLPIKLFFTTCQR >OGLUM11G01070.1 pep chromosome:ALNU02000000:11:725413:726219:1 gene:OGLUM11G01070 transcript:OGLUM11G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVAGSKYRFGPHEIDERQVFRTSPLSFAIVNIRPTRPEKKLLLLFINEMCLCFKSKKGKKERKKDSALNYISNVRYRFIEKWQWSTSQCSLVFCLPKGYCAFVLSVSRQLILPALPC >OGLUM11G01080.1 pep chromosome:ALNU02000000:11:735469:738602:-1 gene:OGLUM11G01080 transcript:OGLUM11G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARRPGDARRSRGGRRGARRRGLVGGGAGDGTQRGRRAGGSRGGTGSRRGGRARPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVVSDDEITANKGPPVTPLHERLIMVRAVKWVHDVIPDAPYAITEDFMNKLFNEYNIDYIIHGDDPCLLPDGTDAYALAKKGPGPDSRIVYIDGAFDLFHAGHVEVNAMSNVCLSM >OGLUM11G01090.1 pep chromosome:ALNU02000000:11:740498:740963:-1 gene:OGLUM11G01090 transcript:OGLUM11G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVFLIFSSSFLQAAQGPDKKMVMKYDVPVKRLMYRPAAIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQP >OGLUM11G01100.1 pep chromosome:ALNU02000000:11:743570:747691:-1 gene:OGLUM11G01100 transcript:OGLUM11G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITRSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGLPSYRVNRRLTTNSVHCRALPNPKCSPAVPACRVR >OGLUM11G01110.1 pep chromosome:ALNU02000000:11:750830:751204:1 gene:OGLUM11G01110 transcript:OGLUM11G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAASHLLRRAAHPPPSPPTATGAACALRHARLFSPPPHPLPPTSRPAEADGEVTAAEARRLVPLVCVEALKRRLRDGREDVVGYGELLDACVEAGAARTRRDAEALARAMDXGFAVFKSLQ >OGLUM11G01120.1 pep chromosome:ALNU02000000:11:751520:751754:-1 gene:OGLUM11G01120 transcript:OGLUM11G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIN >OGLUM11G01130.1 pep chromosome:ALNU02000000:11:771987:773124:-1 gene:OGLUM11G01130 transcript:OGLUM11G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLCMHSSKIEHDIYATPAKALLTAIIDFRYRLTDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYDSKSFVNGE >OGLUM11G01140.1 pep chromosome:ALNU02000000:11:780425:781141:-1 gene:OGLUM11G01140 transcript:OGLUM11G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGKAPEESSMQIPTTGQNMTLANEEVAALSLAVPDSVAAISEEEFTTTLTDAGEMAPAGCASLSEASTGASTLEGAVTVSLAAPVVMDDAAQEIESDKVGLVAADAPTLSVNATQVLPFSSTGTMDAAPMVNQEVTEVTTSQDSTILDVGTAGVNTVIELAADGAQLDAARTIIDEAKVQAEAGGKHPSQEAKHRRIDPTPTRQSERQKAMANVDAPMANRAEFLKKIHNLEVRKW >OGLUM11G01150.1 pep chromosome:ALNU02000000:11:784642:785403:1 gene:OGLUM11G01150 transcript:OGLUM11G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNLYVGCAHPWQWGPWLCRPIPCLQKNRPKRRAWAREGRSHGPRPRVGGAGAPCVASTSPQIRRHRYSSPPTVVKKEGGXXXKEGRGRGTAARSGEPATAKLATPSASSSAPSWGEEDGGVEPSAATAAQRCRQIHHHRYSTPPPDPPPLPLPATTRARAPPMGSSRRRGEGRSRLAAERGGEKPSRTGEGRGEAGELRRRGEGSRRRGGVGVGGGGEGDWRSGSVACEKGNGREKGESKKEIGWKRGGRG >OGLUM11G01160.1 pep chromosome:ALNU02000000:11:795353:797025:1 gene:OGLUM11G01160 transcript:OGLUM11G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNSFDMEKYLELQKHCKCPLEGHYTHGPKFHDL >OGLUM11G01170.1 pep chromosome:ALNU02000000:11:802312:803844:-1 gene:OGLUM11G01170 transcript:OGLUM11G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKKECFLQKKASAEVEKAKDYTKAKNKSAAIQCLKKKKLYETQIEQLANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELDHELPEPPQTTRMEPSARVTTSSQPANDLAELTKLQAEMAL >OGLUM11G01180.1 pep chromosome:ALNU02000000:11:807308:811180:1 gene:OGLUM11G01180 transcript:OGLUM11G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARLVSSCLGLYAPLPNPSPAAARLHGRVAAAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPRVRLTGLGASMEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQAALLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >OGLUM11G01190.1 pep chromosome:ALNU02000000:11:813068:814716:1 gene:OGLUM11G01190 transcript:OGLUM11G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAVLLMVAAMVAAVLKVAAAIGVAGVFGMPLSDGTSIELLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITSMVSPFLGMVVKPVRRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFVGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYASMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHPAIQPLNCNLLAVHGGHPRGPWPRRSVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLASKDFQTTASVLVLQAYGRPAAVVGAGAGAMSVDFGGDIVAMAERTASGRRPWARPGV >OGLUM11G01200.1 pep chromosome:ALNU02000000:11:815811:816445:1 gene:OGLUM11G01200 transcript:OGLUM11G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTADPAVEGGRWGARLHPMRTRRAVGDTGGDTEEDVVREVGRSTNQTSIITSLYFAIHANERNQSGVATFTFVTGYHEI >OGLUM11G01210.1 pep chromosome:ALNU02000000:11:819399:829274:1 gene:OGLUM11G01210 transcript:OGLUM11G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDDVVMTTFPNEEETRVHQVIKRCRCPNPNAEEAAAAAKKMKKMVKVKERMTREEVERLLSFVPRTFPLRDRKPRCDDPEINQFEDILAHTVLLLNSNTQIILQDQARAREELRTKGYVDRWDQHRSLAQLVSINSQIDSNPTSSKNRPRSDDDDRLLKRAVLQGDAVRGEEEKEAKRMKETTAVVPEEQVEHLLSFVTMEPIPLPVVSADSDDNPNSLRNRMDRLLIRAINHVNTNSQVIRQMQANAREDLRTKGYIDTKDSPDEEAAGSGFEANGYSVLILARHEMKRCSSSKEATPSEEKEAKRTRKEVVKKRMAMERVQHLLSMAPRAPVPLPVIRDDSPELKEIDQALTNIVQALNASSQLIRQMQANALHQLRTKGYVDSDDL >OGLUM11G01210.2 pep chromosome:ALNU02000000:11:819399:829274:1 gene:OGLUM11G01210 transcript:OGLUM11G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGDDVVMTTFPNEEETRVHQVIKRCRCPNPNAEEAAAAAKKMKKMVKVKERMTREEVERLLSFVPRTFPLRDRKPRCDDPEINQFEDILAHTVLLLNSNTQIILQDQARAREELRTKGYVDRWDQHRSLAQLVSINSQIDSNPTSSKNRPRSDDDDRLLKRAVLQGDAVRGEEEKEAKRMKETTAVVPEEQVEHLLSFVTMEPIPLPVVSADSDDNPNSLRNRMDRLLIRAINHVNTNSQVIRQMQANAREDLRTKGYIDTKDSPDEEAAGSGFEANGELQLTKVSEICIILSDWLFCVDPVLGGYMARHEMKRCSSSKEATPSEEKEAKRTRKEVVKKRMAMERVQHLLSMAPRAPVPLPVIRDDSPELKEIDQALTNIVQALNASSQLIRQMQANALHQLRTKGYVDSDDL >OGLUM11G01220.1 pep chromosome:ALNU02000000:11:831314:831688:1 gene:OGLUM11G01220 transcript:OGLUM11G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGNGVARHELNRCFKAMSPLEEKEAKRMKVVMEKKMVPEEQVEHLLSFMTMEPIFLPVVSADSDDNYPNSLRNEMDRLLIRAINRIKTTSQVIRQMLANAREDLRTKGHVQALVADFPNFN >OGLUM11G01230.1 pep chromosome:ALNU02000000:11:843218:845331:-1 gene:OGLUM11G01230 transcript:OGLUM11G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEEEVPAAKKMKMTTEDEEEVLLAYRPRKEEDGKKMKKVVRRLGKEEVERLLSLKLAVPTLSEEVVKPMPDDDEDDVWQKELLKV >OGLUM11G01240.1 pep chromosome:ALNU02000000:11:845047:849968:1 gene:OGLUM11G01240 transcript:OGLUM11G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIGAGAWSGGGCGDGGGGGGARLGEGRRRCAQPKESRELGRQRGQAADARAHDDIFHLERAGGFDGMELNRMQTEIPTMDHQELEEGAEKVKLLGIWSSPYVKVMWALSIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDXSPFCFALDVVCLFLSNEIEISCPLTPLSFVVSLILIFIVPLKWLVYLG >OGLUM11G01250.1 pep chromosome:ALNU02000000:11:846206:847936:-1 gene:OGLUM11G01250 transcript:OGLUM11G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNFSVDTSNKVSNNRGYQSRELKPSLVKDDVAIIERIQNSTKELRQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKQALVSLEKEEQWHRIVQVIKWMLSKGQGKTIRTYEQLVCALEKDNRADEACRIWEFKIAHDLQSVPWRFCRLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDTYEMLGLVEEKKELLEKYKELFDKPSGNDRKKGRQFKKAEKNMKTG >OGLUM11G01260.1 pep chromosome:ALNU02000000:11:856464:857369:-1 gene:OGLUM11G01260 transcript:OGLUM11G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BER5] MKHWFDRNMYLEATVHVTDIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQTKTEYISTFGHSHFLLPDLLPNLNRVIVLDDDLIVQKDLSSLWNLNMGGRVVGAIQFCEVKLGQLKAYTEERNFDTDSCVWLSGLNVVEVKKWRDLHITSRYSQLLQKLQKDGVISFPLQVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSRIDIKKSATLHYNGVMKPWLDLGIHDYKDYWRKYMTSGEIFMTECNIH >OGLUM11G01270.1 pep chromosome:ALNU02000000:11:857572:858195:-1 gene:OGLUM11G01270 transcript:OGLUM11G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEAVGGGMGAAVGLVSLSFLSGRRPVVEAGLGMREAAGVRLGTGWLRAHYGGGEVNGELHDLSSAAPIVPTFPSQATRGTSKKRSEREIEEEEVQGSPPPASRASSVHLRLSFSRKAKGEKKASATGFEGSGSLRLRLHRRPPELLSPALSSFSPRGMKTPSHHRQQWSSALPATPPPPAPFSVHSSANHRRRR >OGLUM11G01280.1 pep chromosome:ALNU02000000:11:858236:861009:-1 gene:OGLUM11G01280 transcript:OGLUM11G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHSLPPSPPPKRRRTALAAAVPALVICSILLPLVFLLGLHRPGHGSEERAAVVISTEMGFSKHKHLDGRMKHKLLKDVSRKKIPGSDGILGEKSGSRSKSKSVSTKSKEKLKGVFSLVQLKNETRKNKARMGGGWTEEAGEVGLRMGAVASGGGKEAALGIGAAAGGGGRARDRGGG >OGLUM11G01290.1 pep chromosome:ALNU02000000:11:867659:868132:-1 gene:OGLUM11G01290 transcript:OGLUM11G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELDGESTCADPCEKKRLIPSCFEWDTVSATKKMKTDEDLEVLLAYPSLGEGKKKKKKKKKKKKVVKRLGKEEVERLLSYTAAVSTVSKKVLGSETVPARHKEILLQAVDSIKNPAVTIRQRQDVICVQFEAKGYVHVLAEASDDSDTGQEMHPL >OGLUM11G01300.1 pep chromosome:ALNU02000000:11:870703:891850:1 gene:OGLUM11G01300 transcript:OGLUM11G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVSEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNDKQYDATAAPQGAETTSERRSSEVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVTEKTCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEALAMAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKANHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEMPNGQDPYITKEYLKGRDYNIYYEATAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFINSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEATTGPRLIGDEKANQILEAVDPGLSSYAGRPQEAAKSIMPLLDRANHAVPTWLATAGLRLIGDDKANQILEAVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGIAANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDEVVKALNLDAPCETNNCSFNGVWNGGSGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >OGLUM11G01300.2 pep chromosome:ALNU02000000:11:870703:891850:1 gene:OGLUM11G01300 transcript:OGLUM11G01300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVSEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNDKQYDATAAPQGAETTSERRSSEVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVTEKTCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEALAMAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKANHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEMPNGQDPYITKEYLKGRDYNIYVHSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFINSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEATTGPRLIGDEKANQILEAVDPGLSSYAGRPQEAAKSIMPLLDRANHAVPTWLATAGLRLIGDDKANQILEAVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGIAANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDEVVKALNLDAPCETNNCSFNGVWNGGSGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >OGLUM11G01300.3 pep chromosome:ALNU02000000:11:870703:891850:1 gene:OGLUM11G01300 transcript:OGLUM11G01300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVSEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNDKQYDATAAPQGAETTSERRSSEVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVTEKTCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEALAMAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKANHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEMPNGQDPYITKEYLKGRDYNIYVHSYLHYGAQASRVEILKRKNGPFSNCMLRGFKGKFTYNGEQYEATAAPQGADYHKCRQDVVKALNLDSPCETKNCSFNGVWNGGGGVGQDEIYVTSSFYYIASGVDPGLSSYAGRPQEAAKSIMPLLDRANHAVPTWLATAGLRLIGDDKANQILEAVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGIAANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDEVVKALNLDAPCETNNCSFNGVWNGGSGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >OGLUM11G01300.4 pep chromosome:ALNU02000000:11:870703:891850:1 gene:OGLUM11G01300 transcript:OGLUM11G01300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVSEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNDKQYDATAAPQGAETTSERRSSEVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVTEKTCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEALAMAAHHVMSIAAAAVAMLLLMASSPAVAGTAVLGRKGGAMTDDDVVGGQAATGPGKYAVILDAGSTGTRVHVFRFDRKMDLLKIGDDIEVFAKVDPGLSSYAGRPREAANSIQPLLDKANHVVPNWLMKKTPLKLGATAGLRLIGDEKANQILEAVRDVVHTKSKFQYNPNWINVLTGSQEGSYMWVALNYLLDRLGEDYSKTVGVIDLGGGSVQMAYAVSSSIAANAPEMPNGQDPYITKEYLKGRDYNIYVHSFNGVWNGGGGVGQDEIYVTSSFYYIASGIGFINSEAPSAKSTPAAYKAASEKVCILSIEEAKAAYPIARDHAYLCMDLIYQYTLLVDGFGLEATKEITLVEKVKHGEYYIEAAWPLGTAIEATAGLRLIGDDKANQILEAVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGIAANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDEVVKALNLDAPCETNNCSFNGVWNGGSGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >OGLUM11G01300.5 pep chromosome:ALNU02000000:11:870703:891850:1 gene:OGLUM11G01300 transcript:OGLUM11G01300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWVALNYLLDKLGGDYSKTVGVVDLGGGSVQMAYAISSNTAANAPKVSEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAQILERKDGPFSNCMLRGFSGNFTYNDKQYDATAAPQGAETTSERRSSEVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVTEKTCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVDPGLSSYAGRPQEAAKSIMPLLDRANHAVPTWLVNKTPLELGATAGLRLIGDDKANQILEAVRDVVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGIAANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDEVVKALNLDAPCETNNCSFNGVWNGGSGAGQDELYVATSFYYMASDIGFIDSEAPSAKSTPAAYKVAAEKVCSLSVEEAKAAYPRARDHAYLCMDLVYQYTLLVDGFGLEATKEMTLVEKVKHGEYYIEAAWPLGTAIEAVSPKKKHQET >OGLUM11G01310.1 pep chromosome:ALNU02000000:11:898933:901435:1 gene:OGLUM11G01310 transcript:OGLUM11G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANSTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVEQLEDIHDNNSSEAPAPADMNNQQSDFQPMTAMMSMSKSCSLTDLLNTIDCAALSQFLLDGSSDAIAEPPAPPSPLIYTTPHPNYQTLNYNINSNSSMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSNDFVHAVVKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNNHLQMQ >OGLUM11G01310.2 pep chromosome:ALNU02000000:11:898933:900977:1 gene:OGLUM11G01310 transcript:OGLUM11G01310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANSTKQRRASSMTMRKRVKVCTLLIIVYCICDIVHQLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVEQLEDIHDNNSSEAPAPADMNNQQSDFQPMTAMMSMSKSCSLTDLLNTIDCAALSQFLLDGSSDAIAEPPAPPSPLIYTTPHPNYQTLNYNINSNSSMPHAFESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSNDFVHAVVKKPQLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNLLSHPFPLNNHLQMQ >OGLUM11G01320.1 pep chromosome:ALNU02000000:11:902694:905735:1 gene:OGLUM11G01320 transcript:OGLUM11G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKQPNAQTFLTSSRSQLTATSHRRLLARPPPPPPRIEPPLSLPGRATTIASYPHRVATTASSQRRAAAAAAAALWLGRHHHLLSTLSRCRLTGMPSSPPHSHRVAAAASKLPARAAFKPPLGRHLTPSLPLPPSLLTSATAAVPSPCVGHLLLVGGRGLRRGATC >OGLUM11G01330.1 pep chromosome:ALNU02000000:11:909980:911177:1 gene:OGLUM11G01330 transcript:OGLUM11G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPVKSELGSLFLPPGFRFHPTDAEVILNYLLEKFINPSFTSLPIHEVDLNKCEPWDLPTARMGNNEWYFSRKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAIYEGSSKNNKQLVGMKKTLVFYMGRAPKGTRTNWVMHEFRLHANLHNHYPNLRLNPNEWVVCKVFHKKQGDEAINNQQQQPAVDQADDDDIFQLDDIFAYPSIYDFSNSSANILSAPPNNNAVHSSVSAGTTMTSTTTASSFQHQPNCYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQQQQQAAMVKDLEDIIAVPDYGTLLPSSNKGSSIRSAMAGVSQQNPLGVPQYKIENYGDHYISRE >OGLUM11G01340.1 pep chromosome:ALNU02000000:11:919982:922651:1 gene:OGLUM11G01340 transcript:OGLUM11G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETSTSLVKLEQDGSLFLPPGFRFHPTDAEVILSYLLQKFLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFNLQPTSYGGSSNNKNNKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHNDNPNLRLNPKDEWVVCKVFHKKGDDREAINKQQAQAAAVDQYSAGTPNNGSSVEAGDDDDDLFQLDSIIDPSIYFSNSSAANILSAPPNMSNSVVAANYGASTTTTGTASAGSFQQQPNYCSLINKSISSSNVSSWNNMPPPPPVAGGGVHGIGSSYSLQHQAAMVKALRDVIRLPNPLGMPQYKLDDAYLWDSS >OGLUM11G01350.1 pep chromosome:ALNU02000000:11:925523:930151:-1 gene:OGLUM11G01350 transcript:OGLUM11G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHSSQHRSQLAPSSTTTNNNISPRRGGREPARVRNPQSGFPASLRAKMAAAWAAVVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDEAKEIVHYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKDKQADSTLVDTLKNSFASSEFSMAFPYIAMSDDDKLEKSLLSGFAENCNNGFGDNHITYTDTCSVSEDPNKHHNMDSIHGLVASQTKKNPSGQTDLIVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPFGLLENPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPES >OGLUM11G01360.1 pep chromosome:ALNU02000000:11:930634:933677:1 gene:OGLUM11G01360 transcript:OGLUM11G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVATPSLLFSSPTPRRPSSCLPPPPPCSSSSSSYASHGFKLLQPQLLFINRLTSRNSNGSGRRSISILSLRCSSRDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMIVDVSSDTNGAEQEAETAQVSAATQQTN >OGLUM11G01370.1 pep chromosome:ALNU02000000:11:937597:939991:-1 gene:OGLUM11G01370 transcript:OGLUM11G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFFVFQPQIRQALDQRGEPDQKKKVMLKCLIVEIRKRKGTAILDNMSQETVLVSQEDRISQQQXIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OGLUM11G01380.1 pep chromosome:ALNU02000000:11:941735:944971:-1 gene:OGLUM11G01380 transcript:OGLUM11G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNNGHGIFESLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAAANSTSAASNRHPIFADATFPSAAGSHTVSSNSDVNQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDGGYYDDVKPAVDVVGNPLLSSFDCMSGADLDCCFDVHQQQPASSFMEYGGYGGGYGDESKQQLMNQAAAPLSSIEKWLFDEAAAAEQVADLMDLSDGCCSVPMMF >OGLUM11G01390.1 pep chromosome:ALNU02000000:11:948838:949080:1 gene:OGLUM11G01390 transcript:OGLUM11G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAYPVQLLHRQATAATGGGQWHNLGAAYAAVRFLRPQGRSLVLYAGPDGGAQQRIVFAYPILPGDAFERMDGETXTS >OGLUM11G01400.1 pep chromosome:ALNU02000000:11:963697:964161:-1 gene:OGLUM11G01400 transcript:OGLUM11G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARXGEKERRLGRQRERERERERERKREREKWAHEQREAK >OGLUM11G01410.1 pep chromosome:ALNU02000000:11:975886:976264:-1 gene:OGLUM11G01410 transcript:OGLUM11G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAWLRWHSLYRTTLLFSFLPAAAAAAAAVLPFAYGLAADRYHTSPPASVDVRWRGEEEKGRKKRGMEKLWYHGFGWYVLIWRRREEMSKSLSFSLFFLFFERRFLFFILSC >OGLUM11G01420.1 pep chromosome:ALNU02000000:11:976288:978993:-1 gene:OGLUM11G01420 transcript:OGLUM11G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEQHCGGGGGDKEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDPRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQNLAEPVGELQKAHEQFIRRMELYKRRKSRVQQERMPNKVQSIATSKNEVEGQSRSCTEPKSNPVQRSGSGSNPHLGFPHPLGRPLYRGTSGDTKSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQKMVQHRHFNKENTQVNQKASGFEIFVDENEPHGNGRNAMSHKSTVCPPKPSRDSRQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTMQLTDDNTSLCSRQTDSKIRCDDLHPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKET >OGLUM11G01430.1 pep chromosome:ALNU02000000:11:979662:983305:-1 gene:OGLUM11G01430 transcript:OGLUM11G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BET7] MAAPVRSVLPVVLLGRGGVGRHLLRHILSCRPLHANQGVAIRVQGVVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNPEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDRGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLCYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >OGLUM11G01440.1 pep chromosome:ALNU02000000:11:983423:1000345:-1 gene:OGLUM11G01440 transcript:OGLUM11G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0BET8] MEKPSDDEKLTVPLLEPKPATNKHHEDDDAEEEEVGSVRRRLVEENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWLVLFCCAVILLPIYIFTTPLLIALGQDPEISAIAGTISLWYIPVMFSYIWAFTLQMYLQAQSKNMIVTYLAFLNLGIHLFLSWLLTVKFQLGLAGVMGSMVISFWIPVFGQLAFVFFGGCPLTWIGFSSSAFTDLGAIMKLSLSSGVMLCLELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVAGLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGAILGYVLGFQAKGIWIGMLLGTLVQTLVLLCITLRTDWKKQLASRVAMERTTEDDERLTDPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGATVVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLHLFLSWLMVVKFHLGLAGVMGSTVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTNLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMIAIGFLAATGVRVANELGAGSARRANLAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSSWQSVVAYVNVASYYLIGIPIGAILGYALGFEVKVEIARERLNRCIGTLANA >OGLUM11G01450.1 pep chromosome:ALNU02000000:11:1014757:1015122:-1 gene:OGLUM11G01450 transcript:OGLUM11G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDEHDDCRTVPLLEPKHAHGEGSNNKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQAFIGHIGATELAGYALVSTVLMRFSGGILVTILSTHYTSYYLITFCV >OGLUM11G01460.1 pep chromosome:ALNU02000000:11:1022372:1023316:1 gene:OGLUM11G01460 transcript:OGLUM11G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENLIYFARNYPDSFHSLLHKADGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDVQWLTRQASYMEFNEVLKSMRIQLEQELTVGSISCVQEMPSFRLLKR >OGLUM11G01470.1 pep chromosome:ALNU02000000:11:1027218:1028020:-1 gene:OGLUM11G01470 transcript:OGLUM11G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAPLGCAPRVMWEGLHVVDGRSCVEEANELVQGYNARVAARLAALRPRLAGADVVFCDIYKGIMDIITHPARYGFDETRKACGGLGPFGGTVGCMTKEMVCPTPQRHVWWDLYSPTEVVTSLLANWSWSAPSHSNTTICRPITLEMLTGHISFAITQNCVSDIKIMCYHKLVIYIAVGFFNGYSHIQNKHTQKSNIRWDIQIRPHPKLSNYRCSTVMQVKINPVIHIFLDNASCT >OGLUM11G01480.1 pep chromosome:ALNU02000000:11:1035196:1037700:-1 gene:OGLUM11G01480 transcript:OGLUM11G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSSPDPASSSPSASSSPSSPSSSSSEDSSSPMSMPCKRRARPRTDKSTGKAKRPKKESKEVVDPSSNGGGGKRSSIYRGVTRHRWTGRFEAHLWDKNCSTSLQNKKKGRQGAYDSEEAAARAYDLAALKYWGPETVLNFPLEEYEKERSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTFGCYFEQ >OGLUM11G01490.1 pep chromosome:ALNU02000000:11:1040662:1043658:-1 gene:OGLUM11G01490 transcript:OGLUM11G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPAADDRPPARPRKAPPPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDDSASPPPPQPQVDTEAAGCRGGEARRERGREVARNGSCAGGSGRARSVSLAPRGRGADSSPSWGNGDGGGGRRASRAPSVAVDLQPYRGHLAKQSFKCPSATELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTTPNFTEAHKRRPRRKSSVELLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKSRNTSFNKGGSSIAEIQYPTDRHWHNEESDNQTQCSVSLTGSDVSGGRTFSHTMMTPVSRTTNNSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREISSSYCDDDYVFQKMNADLLMDIVTFKNRVNFGGLLICNIRRY >OGLUM11G01490.2 pep chromosome:ALNU02000000:11:1040662:1043658:-1 gene:OGLUM11G01490 transcript:OGLUM11G01490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPAADDRPPARPRKAPPPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDDSASPPPPQPQVDTEAAGCRGGEARRERGREVARNGSCAGGSGRARSVSLAPRGRGADSSPSWGNGDGGGGRRASRAPSVAVDLQPYRGDEVIWQSNHSNVPVQQVIEIPPEFDPDSSEFVSDISDYTTEFKKEEILHIPFEFDLDRADLAPDIEHHSIELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTTPNFTEAHKRRPRRKSSVELLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKSRNTSFNKGGSSIAEIQYPTDRHWHNEESDNQTQCSVSLTGSDVSGGRTFSHTMMTPVSRTTNNSSDDLDGFDTPKSRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREISSSYCDDDYVFQKMNADLLMDIVTFKNRVNFGGLLICNIRRY >OGLUM11G01500.1 pep chromosome:ALNU02000000:11:1048735:1049058:1 gene:OGLUM11G01500 transcript:OGLUM11G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKALHRVFAAIFLLHLLLSVTAAASPTIGTDLLRDGNNNAVAAAAARSSRRLLLQQQQPTAAAPAAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >OGLUM11G01510.1 pep chromosome:ALNU02000000:11:1050311:1057548:-1 gene:OGLUM11G01510 transcript:OGLUM11G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKADAKAEAKAETIGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNGNSSNPSSNPSSVSKAVRKDSGTVTKKLTIGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLERMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALSEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPHYQPLRPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHVSDMGAPPFFGQVPQVQPQIGATAALGGSQPPTQANQATGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPLLPNIMSAGLPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >OGLUM11G01520.1 pep chromosome:ALNU02000000:11:1058811:1059740:-1 gene:OGLUM11G01520 transcript:OGLUM11G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHSAASLHAARLLPQQRTPTAPRTLLPAGGGLLLRRPHPPLHQQRRSRSSSRPDLRCRRRLLTARGDYDFYENYADEEGDEEDSSEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQVYIFIVSFPHLTMHSKLVITPVKFVIRHDRAQTQNHRGAMMELGEGLCKLRKLRLDDDTTSPFSRFQEEVAAALNFIYRTQKELAACTDDLCLTMDGSTTSYQLLGNFAAGQKLYRLETTTSADGDGVPTIIFSASSRLVRVKLPTLSATEHHLAALQCTSEYI >OGLUM11G01530.1 pep chromosome:ALNU02000000:11:1060759:1063035:1 gene:OGLUM11G01530 transcript:OGLUM11G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRQLFNDTLLKINKELTYLQFELRAGINQYDGTVHYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEASCCPNEECNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRALRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >OGLUM11G01540.1 pep chromosome:ALNU02000000:11:1065049:1066109:-1 gene:OGLUM11G01540 transcript:OGLUM11G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPMPAPPPPSSSPPPEHAAAATETTPLHPSFRGSPPPSPGTYIIQIPKDQVLRVPPPDRARRYKKLAARPARRRRLRHACCAAFCAALLLLLLAAAFVGAVYLVFRPRAPSFSVASLSIRGLDALAVSSLTPQIDAAVRADNGANKKTGIDYRGGGEM >OGLUM11G01550.1 pep chromosome:ALNU02000000:11:1069438:1072421:-1 gene:OGLUM11G01550 transcript:OGLUM11G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEDIVLRVMSKLTLKEVAQLSVVPTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGIPSVLPHVETLYVEVHVKTQMSGFTQSPLKFTQLKCLTLEITFERGSFDRNSVFQLAYLFVAAPFLEDLYLDMYCSLNRCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELAKYILRNALILEQMIIDPKGRYRLDGYFGRQEADEKLVPEDIDGVLTIL >OGLUM11G01560.1 pep chromosome:ALNU02000000:11:1076425:1080744:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFNMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRRGYDMKELKLGGARVLLKIHHTLQLAIIVHIQHPPPQDHLHHHPKKYSSCLWRPMKGGQIDASKFLQLIILLAVLPNVFSFHSYTTEQEQQVHHNSKVLQAEVQLTGRLEMKTARS >OGLUM11G01560.2 pep chromosome:ALNU02000000:11:1079703:1081260:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTCTRKRDASSIFCTASAACKSINLLSNPSYPPACYYCPYPTSSSSRSSSSSSEEVFKLSLETNEGWPVCKSINGCNSSSIGIMIDASKFLQLIILLAVLPNVFSFHSYTTVGKT >OGLUM11G01560.3 pep chromosome:ALNU02000000:11:1074977:1081260:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFNMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRRGYDMKELKLGGARVLLKVSWSTSK >OGLUM11G01560.4 pep chromosome:ALNU02000000:11:1072796:1081260:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPKRGIGNQVRVLIEFNMKIKNGETQDDDFQLIDGAIICSEFVLPDRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRRGYDMKELKLGGARVLLKVSWSTSK >OGLUM11G01560.5 pep chromosome:ALNU02000000:11:1072796:1077272:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELEQVEAEAEAEAEKNGRRAAGSQDGVNRALILDCSKHSDGSIYSGDDFWHRFYKVADTRETLKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMPGPKRGIVNQARVLIDFDMKIKNGETRDDDFQLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISRALFHEAVEATIQVSISQVHDNGLSLSLYSYIGQIPEKIRLFDGVISKPCDLDRFVVAVVENTPLFLIFKAVHRDGSDYDIPKYCPLVFKVDQGDGSYRVSEYCPFKARRRGYDMKELKLGGARVLLKVSWSTSK >OGLUM11G01560.6 pep chromosome:ALNU02000000:11:1072796:1075083:1 gene:OGLUM11G01560 transcript:OGLUM11G01560.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRAESELEQVEAEAEAEAEKNGRRAAGSQDGVNRALILDCSKHSDGSIYSGDDFWHRFYKVADTRETLKEAMMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDPLRNYIFNRTRDDPFIMGQDNGVDSDNSLIPMPGPKRGIVNQARVLIDFDMKIKNGETRDDDFQLIDGAIICSEFVLPNRVFTQRIEGDCGAVDISLALLHSAVEATIQVSISQVHGNGFSLSLYSYTSRIPEKIQLFDGVISKPCDLNRFVVAVVVNIPLILIFKIDKRDGSDHVPGCCAFKARTHGYEYDMQELKLGCTIILVKLSWVEDGEDEANERDRRERG >OGLUM11G01570.1 pep chromosome:ALNU02000000:11:1078602:1081045:-1 gene:OGLUM11G01570 transcript:OGLUM11G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARAVRPLLFKPTFYLRVKFKKIYMAFGANDIVLHIKRLMYSSVRIGYQFACDYPIVLAAGVLLLFLHRLCPSLFNFLLSSSPVFLLTALLLGALLSYGEPAVLVPGENQQTLSFKSKISITDCSIDKVETVAVEEHLDKTTTSNEVYVRERNFEGNIHDTHWEEKNGTYMTVDTALNEEIHTKDGTSDYDLQDTHREGKGITSVETDTVPCVAPSSFAYSGVTVETEDVGENSKKNDELQELGSINPESDNNKVQYQYQLGEFMSSCWEPVMRQEPQDACSDSESDLTESSPDASMTDIIPMLEELHPLIDLQTGHPSLVSRDNLNTSSDDDEDDLEEEDASTDENQLEGKIDDFANWKDVIDLNYLDMDNNSKLEGMMDLQRAKNILKFELDRRLMDLQAADAVQKMEEASRFRVQVPSISTGRQNPFDSSNGSDEIIELPHVPDSAPSCLLPRKNLFDLAVNQNMAHDSPLQETWTPLSYFSARRHRKHGNLYVRHSTSLNHNSFKLEKDEISENDAHNSQSDCDAKQEGNNSKLFGSLEAHIGEEIKILGAAISDVGVLEVNSGMDSGNQNADFSDDISLSPIQKSRQSTFEAKEAVHAGIEQLTSCSPYKVNNFEAHIVEADSIDEFNSLFKCRMEEVLVQSISESSISQPLTVKLEDELSEPLSPDSGTGTHFIDGSSVEDSDPQFAQLKDEALVSATSNATCRNESIEEKSSEALLAGNEDYSELPNELLKSGDPQFADSSEIQMQVIEATGH >OGLUM11G01580.1 pep chromosome:ALNU02000000:11:1084300:1088034:-1 gene:OGLUM11G01580 transcript:OGLUM11G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTGAIGSLLPKLVEVLKEEYDLHKGVRKKIKHLSQELESMNAVLLKVGEVPPDQLDELVKLWAGDVRELSYDMEDVVDAFLVHIDGPEPLDTHMLRRFRKKMANFFNKCKHHHKIAGAIQDVNKKVEEVAARRDRYMVDNIIAKVTGPVTIDPRLQALYKKTTELVGIEKQSEKLVKILSLGDDVHASDEKMKIVSIVGFGGLGKTTLSKAVYDKHKLAFDCGAFVPVGQDPDMKKVLRDILIDFDYMNPNVMILDERQLINELRKLIQNKSILFMLSMRMVMNNCLRKSFGYDILGYKAKCIQRFLFVIDDIWDKKSWELIRCALQHSNCGGRVVVTTRIFEVATHIGDIYKMQPLSRDDSEILLYSRINDGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKSGEDWSNVYNSIGFGERGNDIVENTRRILSFSYYDLPSHLKACMLYLSLFREEYGIEKNLLIWKWIAEGFIQNEHATGIGLFELGEGYFNELINRSMIQPMELEDNGYVYGCRVHDMVLDLVCSLSSEEKFATILDSDDQQKQLMVGSNARRLAVHGRSVEEHNHPQLVNVGLEKVRSFSATQCGDINVVTSYFRVLRVLTLEDCSVTGEACGKHRLEHVGNLRHLRYLGIWNTRIDEFPKEVGDLKFLQTLNLSGTGIQQLPEAVGLLKQLLCLRINDSIAVPAGLIGNLTSLQELKIWPVDDVSTRQFVKELGKLRELRILRCTIHISDEGMERDLLESLANLHKIRTLCILGSALPSGITREACFVTPQRLGQLCLECFKFSGLPVWINSSLLLNLTHLDVSVHVVQEQDMETLGRLPELCYLKLSSDYTRLVSIRNGDLQRYLFRKLRFFVSPFLFARFDDSHGRENDGGICIAVAPSIMMPSLESLVFCVYVRFLKDMVEMQPGFDNLHMQLGFEKVASSSLQRVTATIQCEDATAAEVEEAKTALAHAADLHPNRPTLTTQMVNKHKMLSSDRELRV >OGLUM11G01590.1 pep chromosome:ALNU02000000:11:1088049:1100247:-1 gene:OGLUM11G01590 transcript:OGLUM11G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSQAGDGLPLLLLPSGGGNGAKRPRRRAAVERMRRMEAAPARWAQLDLAMLLKHRRTASSKVAAQSRHGPWAFLPVPCWALLQFHRAGPFGQHSPVTPPRLRRFRSRLAASSAATTSSSLLSGSAVASPSLQSAPRLSTYRPDDESGGRRQCPEYAEGVVDKICRYTINLLAAQSVRTNKTTN >OGLUM11G01600.1 pep chromosome:ALNU02000000:11:1093487:1094026:1 gene:OGLUM11G01600 transcript:OGLUM11G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLDSSTSSSPWLPPDLMPPPPPPPPAATLHRHFRGAAAAASTSRRIAKRRPRPSRRPPTTYISADPANFRHMVHQVTGADDLPPPPPPSLSPTTTELLRHAAPAGSPGAAGALMLPTLDTSAFLLGRRAEPTAAAAPCDVSVALVGGAGNSYSNNSSSSSSGNCGGGFPTLDSWDLL >OGLUM11G01610.1 pep chromosome:ALNU02000000:11:1100944:1101882:1 gene:OGLUM11G01610 transcript:OGLUM11G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRLPEQQRRRPLTIANLPEEILSEILLLLPPKSILQCRAVCKVWRDVTSDRAFLLPHHCRQPPQRLLTFIRDVGSRHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVFPPALQHDTVLGLYSHGHSSEYRVLYYREIGLGPEFYISIVGSGKERSIWPHSSSASLRKWLAKGKEETQFNKPFLFHGNLHWLPHLGGQNKIVVFDTLDEVFRWLHVPFKMHNTSSLLEIEGSLAMSNSHLDHQRWIFGFCKIISIWYGSTSIELNCQL >OGLUM11G01620.1 pep chromosome:ALNU02000000:11:1103020:1104903:1 gene:OGLUM11G01620 transcript:OGLUM11G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVSLPVAAQVHMGPATAAAAAAAAEAALARRAADPLPALRRRDALPLPLPARLFAQLHGLLLTAGLARHSPNFSLLLRLASPLLPVPHLLRLLLSSPLPPTTFLANSLLLASSSPGCLPSALSLYALLFLSSSSSPPLLRPNAFTYPPLFRAAPPALSLALATHSIKFLGAHAASCDRVLGAALLDVFARCGRIASCRKVFDRIANPDLPAWNALLSAYARLRARDVACASSAADAILEMFVRMLSLAIKPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLAVHGHGRAALSLFDRMHGEGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPSIEHYGCMVDMLSRAGRLNDAEKLIHGMPIVPNAAIYRSLIRACGIHGKLELGKKMIAELMRLEPDDSGNHVLISNFYATTNRWDDAKKARKEMKSMGIDKSPGSSFVDINGVLHEFLVGDKTHPASKEIYAMVEDIETRLSECGHRSSTSSALFDVEEEDKADALSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVRSCGDFW >OGLUM11G01630.1 pep chromosome:ALNU02000000:11:1110277:1111981:-1 gene:OGLUM11G01630 transcript:OGLUM11G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFSNSEASCLSNCAKRFLELKMLTMQRVSSPR >OGLUM11G01640.1 pep chromosome:ALNU02000000:11:1115529:1117706:-1 gene:OGLUM11G01640 transcript:OGLUM11G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASTLPLLLVHRSTPPTPRPTAPPLLHSRRLTLPSRLASLPATIAVVHPRKGVRLSKLHAASCCDSASAAGVTTGGGAGGGGAKGAMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVTINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQILCSTADAVAVADMWVQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >OGLUM11G01650.1 pep chromosome:ALNU02000000:11:1119710:1134882:1 gene:OGLUM11G01650 transcript:OGLUM11G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRESSFMSTIFFFLLLFSLGCKCIASELHLHATQTAVLKVDASPQLARQIPDTLFGIFFEEINHAGAGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETVELTVSLTSSDGSQNLASTTIPVSGASNWTKLEQKLVAQGTNRTSRLQITTNKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSSPLDHPADLYDFHVYTDSKTLFSMKNTFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFGESSGAIFHPVTITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVLTSSNVMDENSFSNPNKQSRCKSRLFLTLSPHSILRLPSPNSWQRCEAATQGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPADGVGICNPGFWGMNVEEGKAYNLVMHIRSLESVELTASLTCSNGSQNLASNSVRETNLSTWTKVELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSEIYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGNWLKNAFRWKETIGPWEERAGHYGDVWHYWTDDGLGYYELLQLAEDLGATPVWVLNIGMSHHDAVNGTMLAPFVKDAIDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYVALGNEDCAPFKLIYRENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFERTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVPPDLAAKTKDLS >OGLUM11G01660.1 pep chromosome:ALNU02000000:11:1134210:1135517:-1 gene:OGLUM11G01660 transcript:OGLUM11G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGAVTICEINRDLVAADAVSDDRAKDAYVDVLGMVFSPIPFQPDAIAATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKMIAVGCKGGICLWSASYPGXQMHISLEETGMDGLPSLSERYSQRLAR >OGLUM11G01670.1 pep chromosome:ALNU02000000:11:1135814:1136370:-1 gene:OGLUM11G01670 transcript:OGLUM11G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMLELERDVAKDLRLGELRHLVTMSMVQLDRRSSAGTEQLLGGGHQAKGVYYVIQIKSDLPNN >OGLUM11G01680.1 pep chromosome:ALNU02000000:11:1136495:1137454:-1 gene:OGLUM11G01680 transcript:OGLUM11G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNFEINKDQGGKKKGGHPQPPCLSRFPTPDPPLPSPSSAPPPISTSTAACAPPPSPPRLPPPPESGLPPPHRTVASVVDSLSPFSPSSPRDISI >OGLUM11G01690.1 pep chromosome:ALNU02000000:11:1137515:1142829:-1 gene:OGLUM11G01690 transcript:OGLUM11G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRFMLLLGACLLGCNLRHLEANPSSPTVTRGMPPNHAASWNREGQGGLAQSGGRVTQIDRGFTMVSSHGIFNAFFLFAVALVVASQAQHATNADSFMSGACKIFAGSSSGVISVTFCMDALGSDSRSLSASHYSDLAIIAIDLLTSNTMSTKAKIDNILKDDGNGLKPGDATTVCFQSSQAAYASVLQGQLGIFYNVQAGRFPEAMSALEKAANMVEEYEKGFGKSNVKSLLTTENHDSFELAKLGALLLNEEH >OGLUM11G01700.1 pep chromosome:ALNU02000000:11:1139340:1170798:1 gene:OGLUM11G01700 transcript:OGLUM11G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTICKCQAAELDMAQTAALEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRDTAKSTVTVLTSSNLLDENSFSEPNKVVPVTSELPNAAEQMQVLHLPYSIWFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFSQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGLQNLASVSIHGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLSEDLGAAPIWVFNVGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSHIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLTISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAVLAPYSFTSFDLALDQYSKLVAEM >OGLUM11G01700.2 pep chromosome:ALNU02000000:11:1139340:1170798:1 gene:OGLUM11G01700 transcript:OGLUM11G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTICKCQAAELDMAQTAALEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFSQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGLQNLASVSIHGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELRPQFLRFPGGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDVWNYWTDDGLGYYEFLQLSEDLGAAPIWVFNVGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSHIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLTISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAVLAPYSFTSFDLALDQYSKLVAEM >OGLUM11G01700.3 pep chromosome:ALNU02000000:11:1139340:1170798:1 gene:OGLUM11G01700 transcript:OGLUM11G01700.3 gene_biotype:protein_coding transcript_biotype:protein_coding MALTICKCQAAELDMAQTAALEVDASWNLSRKIPDTLFGLFFEEINHAGAGGIWAELVSNRDTAKSTVTVLTSSNLLDENSFSEPNKVVPVTSELPNAAEQMQVLHLPYSIWFEAGGPHTPSNIDPWSIIGDESSIYVTTDCSSCFSQNIVALRMEILCDNCPAGGVGIYNPGFWGMNIEEGKAYNLVMYIRSLESVELTASLTCSDGLQNLASVSIHGIDLSNWTKIELQLLAEGTCRTSRLELTSMKKGIIWFDQVSLMPSDTYKGHGFRKELLYMLLELRPQFLRFPGISHHDEVDTTIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCDKGFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSHIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLTGLEKNSDLVQMASYAPLFVNDNDRTWNPDAIVFNSWQQYGTPSYWMQTYFRESSGSVIHPITIGSSYSDSLAASAITWKDTHDIFLRIKIVNFGPNAVNLTISSRGLQAGVNTAKSTVTVLTSGNLLDENSFAEPNKVVPVKSELPDASEEMEAVLAPYSFTSFDLALDQYSKLVAEM >OGLUM11G01710.1 pep chromosome:ALNU02000000:11:1152351:1154222:-1 gene:OGLUM11G01710 transcript:OGLUM11G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKAQSGQQYAYRRKSGSRERTRHSSLQTRSRPRLFVFYFSQTPVLDSSFLQSFPETSAGVATPVSPDFFLYESLRHCTMASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >OGLUM11G01710.2 pep chromosome:ALNU02000000:11:1152349:1153777:-1 gene:OGLUM11G01710 transcript:OGLUM11G01710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >OGLUM11G01720.1 pep chromosome:ALNU02000000:11:1171147:1173882:-1 gene:OGLUM11G01720 transcript:OGLUM11G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHGLVAVYDVRRSPLVSVSLVAGAVAGVLHIPSYFARIDSLRGVSKVKR >OGLUM11G01730.1 pep chromosome:ALNU02000000:11:1181931:1184874:-1 gene:OGLUM11G01730 transcript:OGLUM11G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNVLVSSKIHGRSKSTTAKRNCIICGQRISKRRRTQHNFQKISRGQLNLQRTRPCLLNFQSLPEDIVLRIMSKLTLKEVAQLSVVPTNWRQAWTFHPNLYFGIKTVLGNNAKRKGTSSDLNCRISSANKFIKRVDAILEKHCGTMVNKFAVKFGLSNEHANHVNGWVAFAIASKARVIILDFSPDWKSHENNYDFPCHIFDKHNGSYLEALRLDSVALNPPLDFCGFANLKLLALDNVRLQHLEQLISKCHVLDWLSIQSCNQLHNLHVSEPLCRLQYLSIQGCHLQRMELHAPNLTTFEYDGSLALVTLNECSNIKASTIRLFDEKTLQNILTGISSVLPHVETLYVEVHVKTQMSGFTQSPLKFTQLKCLTLEITFERGSFDRNSVFQLAYLFVAAPFLEDLYLDMYCSLNKCPLDLDDIVDQPHYHLKMVCIFGFCGNTGQVELVKYILRNALILEHMIIDPKGRYRLQGCFGREEAEKKLVPEDMDGVLTIL >OGLUM11G01740.1 pep chromosome:ALNU02000000:11:1187813:1189908:1 gene:OGLUM11G01740 transcript:OGLUM11G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFIKMICYRLDNEKSLWLAEFVDFRVGDEFNYLQAKTLVKLAVSCLEEDRKKRPTMESIVEGLLSVDLARNINILKAAQQTKDALGIFLLSPLFAIDEE >OGLUM11G01750.1 pep chromosome:ALNU02000000:11:1190360:1191727:-1 gene:OGLUM11G01750 transcript:OGLUM11G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTHGDGHSLAIEEDIAGCSGSSSSEFLLNVSDMSSSSSNNQGKSIWFYFYGFLSAIFVIEVFLIAMGCWIFSNKGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVRQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSCKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSSSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGRRITEWVVDGKDGVETDVRSVVKMVVDKLDSKDESWIMDLIDDQFGGEFNHLQAQLVIKLAISCLEEDRNKRPSMKYIVQMLISAEDEAHAFT >OGLUM11G01760.1 pep chromosome:ALNU02000000:11:1192427:1210978:1 gene:OGLUM11G01760 transcript:OGLUM11G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDGPVVWWVSLMDEPIGYFHESAFAAPFIESFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCRRWPRPLSPRHQCRLWWPWWIRLRHIWDAVFQHGPYNCTIQRRWKKPVDSARTRQEGRTRDHKLDKLMIQLKNLRLALDLHELISQQRNGFASLQLLSRWRHEVGLNIEIGAFLKKYPHIFYIYVHPVKRNECCKVTPKMAELIAEEDAVIRENEPAIVKRLKKLLMLMKDGTLNMHALWLIRRELGLPDDYRAKEYTEKWLAESETKYVFPINLPAGFKIENGFREKLGNWQRLPYTKAYDKNDLHPIHNVGRLEKRIVGILHELLSLTVEKMIPLERLSQFRRPFGMEVNLRELILKHLGIFYISTKGSTQHVLVRESYSKGCLVDPNPVYNDVVALMKWTVHFGLLRNTIRKVFQREGALHHRLRAVLSLNYEQAAVEYSKEVRKRWDIIWKRQQMPTESSDHLSKTATMDAPFLSTSLAVLATLFLLALPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITNAYNITSAFTFSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDGKFPNVRYVQLLNTGNLVLKNSSGNIVWQSFDSPTDTLLPTQRILATTKLVSTTGLQVPSHYTFRFSDQSILSLIYDDTNVSGVYWPDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTVSWIAQPQTCMTHGLCGPYGICHYSPTARCSCPPGYKMRNPGNWTQGCMPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHLNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVEVFFFAFAWFFVLRKEMRSSQVWIAEEGYRVMTSYFRMYSYRELVKETERFKHELGWGGSGVVYKGILDDDRAVVIKNGALRAATTKALLLLVVAVFVVSLSRPFPCAAAARDSLLRGASIAVEDHATDVLFSPDGTFACGFYVVSPTVFTFSVWFARAADRAVVWSANRGRPVHGARSRLKLNGLRRALVLTDYDGEVVWNSTVSANATATAARARLHDSGNLAVEDASGNVLWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEMSSIYWPNPYYSYWQNSRKIYNFTREAFFDASGHFSSSDNATFDASDLAKNVAVRRRLTLDTDGNLRLYSLDEVTGTWSVSWMAFGNPCNIHGVCGANAVCLYSPAPVCVCAPGYALAEPSDWSRGCRPTFNSSDDGGRPRAMKMVPLPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMPEIHIHQWQADSDGHGFAAIQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFKPCQVSALDEGYRMVTNHFRTYSYAELQKGTRKFQSEIGRGGSGVVYKGVLDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCLEGIHRILVYEYIENGSLAKVLFQGRNSGMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDGSGPDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGMRMSDWVLDGKEGLEADVRSVVKMVVSKLESNMESWVADLMDDRMHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDKAHAFT >OGLUM11G01770.1 pep chromosome:ALNU02000000:11:1226043:1229085:-1 gene:OGLUM11G01770 transcript:OGLUM11G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRGGNPRARGREGGSQVAASAPEVALRCAEAEAGKAARGGGGRQRRRRTADLRRREREAEAEVSGTATRRREGERESAGEEGTGGAHPAFDAAQLLV >OGLUM11G01780.1 pep chromosome:ALNU02000000:11:1228773:1232645:1 gene:OGLUM11G01780 transcript:OGLUM11G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHRGAMMGGGGVSDGYEGSKRPRMIESNPYFAVTAGSPLDVSKRARMMEPAPPYFGAMGSSAAGGTSAFYQPYGANLPGAGANSAIQNFPGVRLRGLPFDCDDLDICKFFVGLDIVDCLLVHKNGRFTGEAFVVFPSAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTEENVHIAYRPDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRARQ >OGLUM11G01790.1 pep chromosome:ALNU02000000:11:1231724:1239145:-1 gene:OGLUM11G01790 transcript:OGLUM11G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASTSAVPPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNNEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKALTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPRPKAHNPKAGHLPSPRRRAFSRLLPLAHDGFQRLSEWPDSNSRPGTALSGLLTALREQPDPDTVLRMLNAVLAWNDFAPGPEVYEEIIRKLGAAGALDLMKVLVAEMRREGHQVKLGVVHSFLDCYARQQLFDDAVDLVLNQLDPLFGIQADTVVYNHLLNVLVEGSEMKLLELVYSEMGARGIKPDVVTFNTLMKALCRAHQVRTAVLMLEEMSSRGVAPDETTFTTLMQGFVEEGSIEAALRVKARMLEMGCSLTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >OGLUM11G01800.1 pep chromosome:ALNU02000000:11:1241379:1244693:1 gene:OGLUM11G01800 transcript:OGLUM11G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEY3] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMRRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEADEFLGSTTPSMEWSSGRTPRMRFRRAKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLLKKTHQSSKLNYTRLNRNYRYFFLFVATSTFLCIIVFIFSWVNVYYERGDDVGSIWKALRKETYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSVAANFVEVFFTKIPPPQNNFRSWVGEGALEAGFYTPFIALDLTDPREKIDLEMGNKDILVGGMQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWVQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDIAGKSNSSDRSSTQNLGDVN >OGLUM11G01810.1 pep chromosome:ALNU02000000:11:1241973:1251899:-1 gene:OGLUM11G01810 transcript:OGLUM11G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEEWIVHCDRKPENILLDKDLEPKITDFELSKLLNRDGSYAILTRIRGTRGYMAPDYGVILLPLVKVIGISEWVIYGIKNCEMDTRMVVRAACEKLEPNERRSIEDLVDHRLNGDSSRWIPSPSPKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDMEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFALRNLIRGVLPELHSIDAVQLDASVVESGGLWTPSGS >OGLUM11G01810.2 pep chromosome:ALNU02000000:11:1241973:1251899:-1 gene:OGLUM11G01810 transcript:OGLUM11G01810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEEWIVHCDRKPENILLDKDLEPKITDFELSKLLNRDGSYAILTRIRGTRGYMAPDYGVILLPLVKVIGISEWVIYGIKNCEMDTRMVVRAACEKLEPNERRSIEDLVDHRLNGDSSRWIPSPSPKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDMEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFALRNLIRGVLPELHSIDGVVEPRNSSASGGALVFLGTIPGSLADVMNRKKRSCNFEAVQLDASVVESGGLWTPSGS >OGLUM11G01810.3 pep chromosome:ALNU02000000:11:1242257:1251899:-1 gene:OGLUM11G01810 transcript:OGLUM11G01810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGDCANKCLDNCACVVFQYKEHMECYLKSVLFNGKTFPGLPGTVYIKVPADFDVPEFHVHQWQRGGGGLAIQEDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQMMGSNEERSIEDLVDYRLNGDFNHVQVKLMLEIAVSCLEEDRSKRPNMNSVVQALISVEEWIVHCDRKPENILLDKDLEPKITDFELSKLLNRDGSYAILTRIRGTRGYMAPDYGVILLPLVKVIGISEWVIYGIKNCEMDTRMVVRAACEKLEPNERRSIEDLVDHRLNGDSSRWIPSPSPKHFREFVASEFRRPTGTDADARARLRLAGDYAYLLASVHHHKDLLFSYNIAVDRSEEMKKILNKSAASVGLQLPDMEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFALRNLIRGVLPELHSIDGVVEPRNSSASGGALVFLGTIPGSLADVMNRKKRSCNFEGEIHMTVQW >OGLUM11G01820.1 pep chromosome:ALNU02000000:11:1247524:1247932:1 gene:OGLUM11G01820 transcript:OGLUM11G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAITILKDIWVTNSQKDIHIAAKQWRAQISIASVAHLQQQTRLKLLCQSGLTLQKNVAIRYTQGLTAQVTVFPCFRNLVKLLPWSMEK >OGLUM11G01830.1 pep chromosome:ALNU02000000:11:1257817:1262857:-1 gene:OGLUM11G01830 transcript:OGLUM11G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAACTKLPLLLLLVAVAASSPSSLTPLHAAVPAKGPRPGGSVTLHLDHRQVMVDNGIVQVTLSNPGGHITGVRYNGERNLLHYNGEANSAGYWDVVWNFPGSNSPRGMIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDKFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKVCQQQRYSCWDGICWPGLSWTTRIGNVRAGVYNLYAWVPGFLGDYMYTSQVTVTPGRSINLGDLVFEPPRSGPTLWEMGVPDRSAAEFFIPNPNPKYLNKIFITKDKYRQYGLWERYAELYPDGDLVFTIGENDISKDWFFAHVTRKQGDGYAPTTRQIRFRLEHVVADATYTLRVELAAAQMSRVQVVVNGWADEALATAAAFGDGNAIARHGVHGVQWSLDFAMKGYMLQEGDNVVLMTQTRALSPFFGVMYDYIRLEGPPSPSWRDPTTQV >OGLUM11G01840.1 pep chromosome:ALNU02000000:11:1257994:1258672:1 gene:OGLUM11G01840 transcript:OGLUM11G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVPRDRVPVAERRRRRQRLVRPAVHHHLHPRHLRRRQLDAERVGGVRHDNQSLEMSFSPIVKTRSPSGYSSAYLSHNPYCLYLSLVMKILLRYLGLGLGMKNSAALLSGTPISHSVGPDLGGSNTRSPRLMLRPV >OGLUM11G01850.1 pep chromosome:ALNU02000000:11:1269590:1275597:1 gene:OGLUM11G01850 transcript:OGLUM11G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEZ0] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTNIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNPLS >OGLUM11G01850.2 pep chromosome:ALNU02000000:11:1271367:1275597:1 gene:OGLUM11G01850 transcript:OGLUM11G01850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEZ0] MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTNIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQKKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYKNLSRTLKDVVWKSDDLQNPLS >OGLUM11G01860.1 pep chromosome:ALNU02000000:11:1275764:1303985:-1 gene:OGLUM11G01860 transcript:OGLUM11G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSTESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCVWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSVPILATYPFMTHLSGFRLGIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNEAFFPGDQMIFFILNGLPRLPVRAATSNLRPLFSSPLPPLSFSPSCPSSLPPMGRKIKVKKKKASSKKAEASSSRVPSAPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVSEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRSSVK >OGLUM11G01860.2 pep chromosome:ALNU02000000:11:1275764:1303985:-1 gene:OGLUM11G01860 transcript:OGLUM11G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSTESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCVWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSVPILATYPFMTHLSGFRLGIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNEAFFPGVNWERIKTAHFVWAGESVTTHDGPGLPRLPVRAATSNLRPLFSSPLPPLSFSPSCPSSLPPMGRKIKVKKKKASSKKAEASSSRVPSAPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVSEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRSSVK >OGLUM11G01860.3 pep chromosome:ALNU02000000:11:1275764:1303985:-1 gene:OGLUM11G01860 transcript:OGLUM11G01860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSTESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCVWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSVPILATYPFMTHLSGFRLGIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGAGALFSWAQERQNEAFFPGDQMIFFILNGLPRLPVRAATSNLRPLFSSPLPPLSFSPSCPSSLPPMGRKIKVKKKKASSKKAEASSSRVPSAPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVSEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRSSVK >OGLUM11G01860.4 pep chromosome:ALNU02000000:11:1275764:1303985:-1 gene:OGLUM11G01860 transcript:OGLUM11G01860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAMEQRKEEHKGIPYKEFLFVAITTLASSLPISSLFPFLYFMIRDLHIARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLISCIWLPETLHKHKGLETGVEAAEASTTQGSTESHKKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYRWVDKILGPINSTRIASVLSIPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTEVIGLMLTFKPFLASMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMIEDLHVAKKEQDIGLYAGFLDRIGRKPIIKFSILSVVIFNTLFGLSVKYWMAIATRFLLGALNGMLAPIKAYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNVFSEKSIFGRFPYFLPCLCISLIALVVLISCVWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSVPILATYPFMTHLSGFRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSRSSVSSLGPKNAKMKPSSQGLPRLPVRAATSNLRPLFSSPLPPLSFSPSCPSSLPPMGRKIKVKKKKASSKKAEASSSRVPSAPAKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERATWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAVSEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFVGHTASWSPTEADIFASCSADRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKAKAEYDGGTWKWRSSVK >OGLUM11G01870.1 pep chromosome:ALNU02000000:11:1282041:1289816:1 gene:OGLUM11G01870 transcript:OGLUM11G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKVHFYIYKDKFVYKEGRNMKTILSYFVFFLVILQISHRLVAGQNYEFQSGINHGFVNSRKNLYKHAIPRILTELGELASREDSTTADNNVDLTPKHQSLTASKMETIHVRAKAHINPDEELTTEDYPRPRPNHPSIALSNEEFTTEDYPRPRPNHPGVTLSNEQFTTEDYPRPRPNHP >OGLUM11G01880.1 pep chromosome:ALNU02000000:11:1315793:1320657:-1 gene:OGLUM11G01880 transcript:OGLUM11G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEEAAAPLLLPPAAAAEERCPGCVQEQRKLYQSRASSLSSTSWVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHIFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPEKDIKRIKELPLQQAYWDSPRKKSLLQNWPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAAAGASLLAYQLIFYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGTGLSFALYSAAMMKSALAITISTGISLLQNNAVLQEHRGTANGVSTTAMSFFKAIAPVGGGVLFSWAQKRQDAFFFPGDQVVFLMLNVVELIGLIFTFEPFMVLPAASDECS >OGLUM11G01890.1 pep chromosome:ALNU02000000:11:1321296:1323494:-1 gene:OGLUM11G01890 transcript:OGLUM11G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BEZ8] MAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLVITNVYDSGSVFSPEVLDLTEEDLMEKFASGVSMVASVSLAISYPTIAAAPHMFLNGYKNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAAVAAADSGAAAPAASKEEEKKEEPEEESDGDLGMSLFD >OGLUM11G01900.1 pep chromosome:ALNU02000000:11:1325186:1375681:-1 gene:OGLUM11G01900 transcript:OGLUM11G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGKERKGRRRQQRGEELRNSKQEEEEAEMAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMICIQYSLWTKYTLLDGDNYTISYRFIEWLTWTNKSTVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTSPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMSLLCSYPPMTYLSRPWLSIVVNIASMLKNNFVVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGILFSWAQKRQHEFFFPDTMLAMFFLSRTFFPAVLPFRNSVCLRTCTGRQAIKFLGSVSAQLLLFCFDFFLYIAMASTGNGAPGAGGNGGTPGGGGKGGAPGDNTNGGNTNASTSSAPFSGGEEADHGRRRQAEAPLLEKKGSTGAVGDGYCIERCGIDRRKAASSGIPYRSFLFVWIITLCTDRAKVYRVREIDTASEIGELMGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTALPISSLFPFLYFMIRDLHVAKRTEDIGFYAGFVGASFMFGRCLTSTIWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKIFSLWAESDRKYGGLSLSSEDVGQVLAITGASLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQQASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPQQHDTN >OGLUM11G01900.2 pep chromosome:ALNU02000000:11:1334082:1375681:-1 gene:OGLUM11G01900 transcript:OGLUM11G01900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGKERKGRRRQQRGEELRNSKQEEEEAEMAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMICIQYSLWTKYTLLDGDNYTISYRFIEWLTWTNKSTVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTSPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMSLLCSYPPMTYLSRPWLSIVVNIASMLKNNFVVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGILFSWAQKRQHEFFFPDTMLAMFFLSRTFFPAVLPFRNSVCLRTCTGRQAIKFLGSVSAQLLLFCFDFFLYIAMASTGNGAPGAGGNGGTPGGGGKGGAPGDNTNGGNTNASTSSAPFSGGEEADHGRRRQAEAPLLEKKGSTGAVGDGYCIERCGIDRRKAASSGIPYRSFLFVWIITLCTDRAKVYRVREIDTASEIGELMGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTVILNFAY >OGLUM11G01900.3 pep chromosome:ALNU02000000:11:1334082:1375681:-1 gene:OGLUM11G01900 transcript:OGLUM11G01900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGKERKGRRRQQRGEELRNSKQEEEEAEMAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMICIQYSLWTKYTLLDGDNYTISYRFIEWLTWTNKSTVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTSPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITDSFYVTSFYPPMTYLSRPWLSIVVNIASMLKNNFVVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGILFSWAQKRQHEFFFPDTMLAMFFLSRTFFPAVLPFRNSVCLRTCTGRQAIKFLGSVSAQLLLFCFDFFLYIAMASTGNGAPGAGGNGGTPGGGGKGGAPGDNTNGGNTNASTSSAPFSGGEEADHGRRRQAEAPLLEKKGSTGAVGDGYCIERCGIDRRKAASSGIPYRSFLFVWIITLCTDRAKVYRVREIDTASEIGELMGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTVILNFAY >OGLUM11G01900.4 pep chromosome:ALNU02000000:11:1334082:1375681:-1 gene:OGLUM11G01900 transcript:OGLUM11G01900.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRQGKERKGRRRQQRGEELRNSKQEEEEAEMAAGDAATPLLETAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMVSTSWAIGLIVGPAIGGYLAQPSEKYPILFPANSLFGRFPYFLPCLCISIFCFVILISCIWLPETLHKHATERNGDCKIGSLSTHLVDSEEFVKQHTSPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYTEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMSLLCSYPPMTYLSRPWLSIVVNIASMLKNNFVVTINTCSFILQNNSVPQHQRATANGLATTLMSFFKAFAPAGAGILFSWAQKRQHEFFFPDTMLAMFFLSRTFFPAVLPFRNSVCLRTCTGRQAIKFLGSVSAQLLLFCFDFFLYIAMASTGNGAPGAGGNGGTPGGGGKGGAPGDNTNGGNTNASTSSAPFSGGEEADHGRRRQAEAPLLEKKGSTGAVGDGYCIERCGIDRRKAASSGIPYRSFLFVWIITLCTDRAKVYRVREIDTASEIGELMGDHGRRRQAEAAAPLLEKKGSTGTGVGDGSCIEGCPGCVVDRRKAASSGIPYGSFLFVWIVTLCTVILNFAY >OGLUM11G01910.1 pep chromosome:ALNU02000000:11:1328592:1330831:1 gene:OGLUM11G01910 transcript:OGLUM11G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQAVGSLILLPTALLQRYQCHWPLG >OGLUM11G01920.1 pep chromosome:ALNU02000000:11:1357075:1359544:1 gene:OGLUM11G01920 transcript:OGLUM11G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BF04] MTLGVLIKLFFCALIGITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFSIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYAMLWGKSKQGNETNDVTDDDIEKSTHIYPGEQQHTTTDQAKESTLTSSAALHVQEL >OGLUM11G01930.1 pep chromosome:ALNU02000000:11:1375362:1377347:1 gene:OGLUM11G01930 transcript:OGLUM11G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEKQSSVGRWRCRWLVDVGRWRPSPAEFQAAAAALLPPHDLPAIHRFVREDDRKRALVSRLLQYSLVHHALGMPFHQIRINRTLEGKPYLQNKNANLPGFNFSTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFISNFTSYLTDHEWNCIVTAGSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLRIDGEEDRKWRFWLFKIDEMHLASIAKGHPEDAIDSFRRTLLSDVVIQEEELHTAIEIPEEAFTLLTVEQLIQLHD >OGLUM11G01940.1 pep chromosome:ALNU02000000:11:1382621:1384871:-1 gene:OGLUM11G01940 transcript:OGLUM11G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTAADTGGFTHLLSVVSSASISFITDCRPGLSIPNEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESVCPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSEQPNSSTQDLPNKHTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGVLEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKVDISTT >OGLUM11G01950.1 pep chromosome:ALNU02000000:11:1387942:1390567:-1 gene:OGLUM11G01950 transcript:OGLUM11G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0BF07] MITVVDLYHVLTAVVPLYVAMTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAGSGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVISLAGGGGGAAELQAEAEVGEDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGSNGKQGDEEKGGQSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAIGLRGVLLHIAIVQVSCKFRLLHQNASLTAKESIVTQQLSLNSQAALPQGIVPFVFAKEYNVHPNILSTAVIFGMLIALPITLVYYILLGL >OGLUM11G01960.1 pep chromosome:ALNU02000000:11:1393431:1398930:-1 gene:OGLUM11G01960 transcript:OGLUM11G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEQQPPSQPPPRTESKSADAEEKSEEEDSEGDEDLEELDDVDDEEESEEEEEEEELGLEGKAGNQVEDEFFKIKDLDKFMLKGEEAEYGGGAKQGKKKKTENWMEEDSDSEDEEYLDEDEDNEDEEDDDEHLDLEDFDDDEEEEGAVGDIMYKEFFEEADDQKVRKKGGSKKVQFKDEPEVDDKNDDGNDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKDV >OGLUM11G01960.2 pep chromosome:ALNU02000000:11:1394161:1398930:-1 gene:OGLUM11G01960 transcript:OGLUM11G01960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEQQPPSQPPPRTESKSADAEEKSEEEDSEGDEDLEELDDVDDEEESEEEEEEEELGLEGKAGNQVEDEFFKIKDLDKFMLKGEEAEYGGGAKQGKKKKTENWMEEDSDSEDEEYLDEDEDNEDEEDDDEHLDLEDFDDDEEEEGAVGDIMYKEFFEEADDQKVRKKGGSKKVQFKDEPEVDDKNDDGNDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGSHKEKPAKMQKD >OGLUM11G01960.3 pep chromosome:ALNU02000000:11:1393366:1398930:-1 gene:OGLUM11G01960 transcript:OGLUM11G01960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEQQPPSQPPPRTESKSADAEEKSEEEDSEGDEDLEELDDVDDEEESEEEEEEEELGLEGKAGNQVEDEFFKIKDLDKFMLKGEEAEYGGGAKQGKKKKTENWMEEDSDSEDEEYLDEDEDNEDEEDDDEHLDLEDFDDDEEEEGAVGDIMYKEFFEEADDQKVRKKGGSKKVQFKDEPEVDDKNDDGNDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKALRD >OGLUM11G01970.1 pep chromosome:ALNU02000000:11:1400697:1405158:1 gene:OGLUM11G01970 transcript:OGLUM11G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHLQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >OGLUM11G01970.2 pep chromosome:ALNU02000000:11:1401430:1405158:1 gene:OGLUM11G01970 transcript:OGLUM11G01970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPNACRASADDRSTSNYKHHNGGNNQTIFHSSSHSWHLQGQYTDSSCNGVDMEFRALPRKVLWELPRFVKIVEVGPRDGLQNEKSTVPASVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVLQGIRHVPDVRFPVLTPNLRGFEAALAAGAKEVAVFASASESFSKSNLNCTIKESLVRYRDVVTSAKKHGIRIRGYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPVDKLAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >OGLUM11G01980.1 pep chromosome:ALNU02000000:11:1405069:1429547:-1 gene:OGLUM11G01980 transcript:OGLUM11G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSSPAAAAAQTDAEREEALDRMLTRLALAEDARLAPLLARVLPYAITSLASPAASVRKLLVSSRYVNRLLWLRTLLGHVNADAREATSRLLGITSSALSSTAALDLLSELTSTFDQNRPSRFENYHGFLCAIGYITAGCLKESYITEEIVQKSIDILVKVVKSEGSALASTAMEALGHIGLRCLLPSINRNSSQAALLTILNEKLAKLLSENDTKAIQKILISLGHLSWNELSFAHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTTDVILETNFVSLSQATNYLTGDAPLLVSSNSSKGSDCEEAHAMAREEIIKRRKEERCAGTVWLVSLTMYCGQHPKILELLPQIQMNAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKSYAATLLRLLYSAVLEEKSSAAKRAFASSCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNATDILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEIISLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCSSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTSSSSAEQDENEGVSVSLDKVLNCVASSITVAFPQDIINQRKNILEIILNSLSPEEGWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTNLVEELFHSSAPKVVDVIRLVKIAQVHTAASECLLELSKLYRGFPLVDRKGAKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDATGLAMEMD >OGLUM11G01990.1 pep chromosome:ALNU02000000:11:1441048:1443786:-1 gene:OGLUM11G01990 transcript:OGLUM11G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKVFAYQAIGLLATRMPNLFSNKTDMAIRLFTALRLEEQSLRLTIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAVRWATTLYDMKHCPSRYICMLGASDVKLDIREMALTGLNLLNDERESSAIATDSNYPDIVDMPTASIATL >OGLUM11G02000.1 pep chromosome:ALNU02000000:11:1444724:1445713:1 gene:OGLUM11G02000 transcript:OGLUM11G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCAGGELFDRIIARGHYTERAAAALLRAIVGIVHTCHSMGVIHRDLKPENFLLLSKGDDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRKYGPEADIWSIGVMLYIFLAGVPPFWAESENAIFTAILRGQIDLASEPWPKISSGAKDLVRKMLNINPKERLTAFQVLNHPWIKEDGDAPDVPLDNVVLNRLKQFRAMNQFKKAALRIIAGCLSEEEIKGLKEMFKNIDKDNSGTITLEELKNGLAKQGTKFS >OGLUM11G02010.1 pep chromosome:ALNU02000000:11:1450506:1452182:-1 gene:OGLUM11G02010 transcript:OGLUM11G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMSKRGLSPNVQIYNSVIDALCKCRSATQAMVILKQMFASGRDPDIITFNTLITGLCHEGHVQKAEHFLREAIRRELNPNQFSYTPLIHGFCMRGELMTASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIIREKMTERQVFPDVNIYNVLISGLCKKHMLPAAKNILEEMLEKNVQPDVFVYATLIDGFIRSENLGDARKIFEFMEHKGVRPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSIWCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSMNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRVLQQYLAECKSLQRVEQKFANS >OGLUM11G02020.1 pep chromosome:ALNU02000000:11:1456641:1464410:-1 gene:OGLUM11G02020 transcript:OGLUM11G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRTLVVPPLPSRETEEAPLTVFDLVAPTYHVTVLFAFSPPNPTTSALLDALSATLSHFPLLTARIERRGGRRQVHHTVSAHVWKKITAVRGLDAGARTSVNVSVNGRARLGTAAVPNGFFGNLIITASSGATARELTTGTLADAAALVRAGIRAVDRRYFQSFIDFGALHVDGGSDEEEPLEPANVEDPGVLSPDVDSDSWLHLELHRLDLGRGGRLAGIMPAKVPEDGVVVVMPSLRKDGGVEVFVALWEKHAHELTNIAYTMD >OGLUM11G02030.1 pep chromosome:ALNU02000000:11:1464941:1468237:1 gene:OGLUM11G02030 transcript:OGLUM11G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLEAPLPDPYAAETFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPVRFHRTLQRLGIDPNSDARSIRDMLQEFYRVVYQGEVYWAGRVIRPRPSPVLGRRRRAADGDAPMQPPSKYARVRGVSRDVLLGLALTKACDARQEECAVCLSDFEEKDRLRTMPCSHSFHEICLFRWLSESCLCPLCRYALPKQQQALLRFESSVPVLEVAPLPLPPTLLHCGGSVFAHARAVVLGRDVFLIGRGATLRVDALTGAARACAPTLFPRKKFAAAAVGDRIYVAGGSARTAAVEEYDPEVDAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGTWARPRALPGGGCVVGACGVGDHLYVVASHAVELSFWRWSGATGRGGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMLRLKFCHAIFNSYAARIAARSCHYPAASSTSLQPQSPSIPFDLPTLLLRMHASISFALAPASSPDAASFAPAAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDDAYAGAQCAICLGEFVAGDALRRGPGCGHRFHAECAERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >OGLUM11G02040.1 pep chromosome:ALNU02000000:11:1471180:1472764:-1 gene:OGLUM11G02040 transcript:OGLUM11G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTVRPRIQAAGPAGRRWLRLAATLRPISPATAAVRYPLFPLACGRGGQVPAVTMAKEVDRFVELVVVRHGETSWNASRIVQGQMDPELNEIGKQQAVVATAAAAAGAAHGAGXSDEVLRDIVLSFLVAGRETTSSGLTWFFWLLSSRPDVVARIADEVRAVRKATGTRPGEPFGFDALREMHYLHAALTESMRLYPPVPTDPQSCAADDTLPDGTFVRAGWFVNYSAYAMGRLAAIWGEDCMEYRPERWLGDDGAFQPASPFLFTVFHAGPRMCLGKEMAYVQMKSIVANVLEELVVDVVKEVAGGGAPEHVFSISLRMKGGLPVKIRRKGECV >OGLUM11G02050.1 pep chromosome:ALNU02000000:11:1477888:1478958:1 gene:OGLUM11G02050 transcript:OGLUM11G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTTAPETDPSKTVVEEVTGWLRLYSDGTVERLTPPGAEPFTVIVPPYTEPRNGVTVHDVTTARGVDVRLYLPAEPPAAAPRPRRRRPLLLHLHGGGFCLSRPSWALYHNFYAPLAAKLDVAGIVSVFLPLAPEYRLPAAIDAGHAALLWLRDVACGDEGNLDPAVERLRDEADFSRVFLIGDSSGGNLVHLVAAHAAAKDDGAGADLHAVRLAGGVLLNPGFAREEKSRSELENPPSLFLTEEMVDKLLALGVPLGMNKDSPYTSPSLVAEAVARLHMPPMLLVVAEKDLLHDPQVEYGEAMARVGKTVETVVSRGAVAHVFYLNFFAVESDPLTAERTRELIDTIKTFIDRY >OGLUM11G02060.1 pep chromosome:ALNU02000000:11:1481489:1483354:1 gene:OGLUM11G02060 transcript:OGLUM11G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAATFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTAPARRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVDLDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVASGGSDTIAHPAVERLCGAADFSRVFLIGDSAGGVLVHNVAARASEAGAEALDPIRLAGGVQLHPGFILPEKSPSELENPPTPFMTQETVDKFVVLALPGAQLPPMLVMVAEEDMLRDAQTEYGEAMARAGKAVETVVSRGRGIGHVFYLNWFAVESDPVAAARARELVDAQYVEKMLTLGFRFNPSAEDLITFYLPRLIAGKPTKDTEKFICRADVYGSEPSDLAGKFAPVPRCEKGGRLFFTSCKRHKGSSTRKERTAGDGTWVRQNSKGVKNKAGVKVGETQNFRFKKDGSYTDWLMEEHHCCRQQAVAGDEEPVICRMYVSPRAPPDSAARQESAAFVQQQPAPQVSDPPCDKKKRDDVAEEAPAAA >OGLUM11G02070.1 pep chromosome:ALNU02000000:11:1483603:1486325:-1 gene:OGLUM11G02070 transcript:OGLUM11G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >OGLUM11G02080.1 pep chromosome:ALNU02000000:11:1488737:1489903:1 gene:OGLUM11G02080 transcript:OGLUM11G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGDNAAKAPAAAAGSNGKGTAASMDVVSSSSSSSPAPAPSVLKSVLLSYTYVSVWITLSFSVIVYNKYILDPKMYNWPFPISLTMIHMAFCASLAVVLVRVLRVVAMPASPPMTPSLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVMLQLAAVAAEATRLVLIQILLTSKGMSLNPITSLYYIAPCCLVFLTLPWYFVELPRLRAAAGAAARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAREAERRAASMATAKDGDAEAGARLLPEKDAGEQKN >OGLUM11G02090.1 pep chromosome:ALNU02000000:11:1490950:1499380:1 gene:OGLUM11G02090 transcript:OGLUM11G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYVSWAVYGLNLAGHIVHSPHLGGPSRPNKPEVFGLDADGLNRLAGGEATRLFPISAIGTTTLPQLPKLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDDNSLVDSCRWRRRRRSKLDIVAAVEDVMAAVAAAMAVVVAAPAVAGPVQNGREEMTTAVAATKAGAARTDLISIAYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLERGGRASNLSRGRRLRAVLLLDEPPPPGNHVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAAGERSYLV >OGLUM11G02090.2 pep chromosome:ALNU02000000:11:1491897:1499380:1 gene:OGLUM11G02090 transcript:OGLUM11G02090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYMLPRNFELFKMPRRTDNAASANSVEPDKSEECLEFDDEEEEVEEEEIEYEEIEEEIEEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKVVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKAVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDDNSLVDSCRWRRRRRSKLDIVAAVEDVMAAVAAAMAVVVAAPAVAGPVQNGREEMTTAVAATKAGAARTDLISIAYRQFTSVSHMEYEISGQESEVDSEVWLGWVAQRRVCSRLETRLPLPKLNTSCCLVTLERGGRASNLSRGRRLRAVLLLDEPPPPGNHVQGGAGPPLQIPVDRESTKHRTHGDDTAIRRSWVLAAGERSYLV >OGLUM11G02090.3 pep chromosome:ALNU02000000:11:1497128:1499380:1 gene:OGLUM11G02090 transcript:OGLUM11G02090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEIELSSQMMFPNPETPTSLDDFLPSIRTTCTHTHTCNPPGPSATAHTHTCYHTHTHVFSSDDDSCGGDKAKPKKGRKPLGNREAVRKYRQKKKAHIAHLEEEVKRLRAINQQLVKRLQGQAALEAEVVRLRSLLVDVRSRINGALGSYPFQAQCGVDNVLGCDGMAQCFAGKPELGERRSCTPSVVNCHISSDSGQNLVVPHALSPSDVIGSFMDTNLQQSAGDA >OGLUM11G02100.1 pep chromosome:ALNU02000000:11:1498701:1498904:-1 gene:OGLUM11G02100 transcript:OGLUM11G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKLVIALVLLVVCVVSSFEAVTAQYGGSSNNGAAATGPMAAGGSCSLAVAAAVLAVAAFVWN >OGLUM11G02110.1 pep chromosome:ALNU02000000:11:1499805:1501499:1 gene:OGLUM11G02110 transcript:OGLUM11G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDMDDDVFFCLYPDHPALLEAQQPFAQILSSSSGISGELNSAAMEDSAALMMQGSGNGRGRKGSKHGGDELEAEVGRASKLMATLEEEDDDDDGVGEMLEKMMLNGDEDEAFHGETNAPRVPAEKKGGKPAPRRRRRQGKGEVVDLRELLMSCAQAVASGNRRSAGELLEQIKRHSSPAGDATERLAHYFADGLEARLTGAASLERRLLASAERASAMELLEAYQVFMAACCFKWVAFTFANMAILRAAEGRSKLHIVDYGGQYHGLQWPSLLQRLAEREGGPPEVRMTLVGHPQPGFRPARRLERTGRRLSNGARAFGLPFKFRAVAVARWETVTAEDVVGVGGGVDPDEEAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTRFREALFFFSALFDMLGATTPEEGSHLRVVLERDVLRRAAVGVIAGEGAERVERPETYRRWQARNRRAGLRQVAVEGDVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >OGLUM11G02120.1 pep chromosome:ALNU02000000:11:1513015:1521977:-1 gene:OGLUM11G02120 transcript:OGLUM11G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIHGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPRLIAASFAGALQEIFCMDKPNQGVTTGDQAAAASPLAIRAAVSPAIRAAVAIRRRRPDAPPPLPAISPRHPRRRLSPPSAPPSPLTIRHRRPDAPPPLPAIRAASVAALSSLSTQPKEPKASLAPPAPPLATTGAARLFLLGE >OGLUM11G02120.2 pep chromosome:ALNU02000000:11:1517129:1521977:-1 gene:OGLUM11G02120 transcript:OGLUM11G02120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIHGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEVIELQLPSFFSDYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPRLIAASFAGALQEIFCMDKPNQGVTTGDQAAAASPLAIRAAVSPAIRAAVAIRRRRPDAPPPLPAISPRHPRRRLSPPSAPPSPLTIRHRRPDAPPPLPAIRAAVFPRASRRSPR >OGLUM11G02120.3 pep chromosome:ALNU02000000:11:1517129:1521977:-1 gene:OGLUM11G02120 transcript:OGLUM11G02120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCLPAQVSASKPSTSSSPGTGVLVGVPRERGSSVSKAAIHGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSVGDWFGNLLYSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLEDPIVGGSLLLTYTTGYVAPRLIAASFAGALQEIFCMDKPNQGVTTGDQAAAASPLAIRAAVSPAIRAAVAIRRRRPDAPPPLPAISPRHPRRRLSPPSAPPSPLTIRHRRPDAPPPLPAIRAAVFPRASRRSPR >OGLUM11G02120.4 pep chromosome:ALNU02000000:11:1508453:1513012:-1 gene:OGLUM11G02120 transcript:OGLUM11G02120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVQTPVEQKLSNGGGGVEAATMVFVYGTLKRGFPNHPLLAASGSPLVGAASTATPASLVVGPYSVPFLLPRPSSSSGSHLVSGELYAVSPRALVDLDALEGTHLGVYERRKVTVVVEGGSGEVVEAEAYFAHTSYTEALWLRCGGEAAEIGEYTMEHAAKDLDPQLQVALKGYLVARGVNSKLASSLHHHLVEKERTEDH >OGLUM11G02130.1 pep chromosome:ALNU02000000:11:1522319:1527431:-1 gene:OGLUM11G02130 transcript:OGLUM11G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRYSFDAVSEQNKQISHPYRDQEEGKAVVERGRGGSSCTMAADGDSNSPSPGGRGGTPDSK >OGLUM11G02140.1 pep chromosome:ALNU02000000:11:1526755:1532344:1 gene:OGLUM11G02140 transcript:OGLUM11G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLDRYLQEHFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLDRRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEFKLTEDIIKARFSINPDELALITSKHDSKALKMHGGVDGISKKVRSSFDHGICASDIDTRQNIYGVNRYAEKPSRSFWMFVWDAFQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGHNCHPIPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKVDHNSKIDPELIADLNMVRLPFEMDDELLPADGLGSTDTDNKCQQRQNQSRNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNESSKNCSGWGSALADSSWGNWNNSNNHHSSNNRASFNGINRNRYQDPSSISGRKRNSGGYIQQRNSRQRNQIEGYQGSRW >OGLUM11G02150.1 pep chromosome:ALNU02000000:11:1534333:1537326:1 gene:OGLUM11G02150 transcript:OGLUM11G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVSNKMQAQMRLHPAAAAEEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMVSKLGLFSSNETKDDISTANLKYLLVPYYLGEMTERVAQEDRVPVLKASQDHLKEFISICEALELISEDELEISRQKQPDTMANRRAQKVARFKRQKAAETKLLEIKERKERRRRSLRAAALSAPIEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERQAKDGNAFAREMLDERTKRAEAWHHNAANRAPYSKPADPITCATFAQDVIEEQVFHKHMSTNTSR >OGLUM11G02160.1 pep chromosome:ALNU02000000:11:1536975:1552436:-1 gene:OGLUM11G02160 transcript:OGLUM11G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BF36] MRTQEEGRKEAPARERGGETFPSAPSLIGLPCSRHPDGWYTFTNGVMSSMVIKEHLTARATDIFLTTFPKSSTTWLKALLYSTLHRGTDELVAHSPHQLVPFLESQVFANDRIPDLSSLPSPRLFMTHIPSQSLPDSVAASGCKNIYHRVL >OGLUM11G02170.1 pep chromosome:ALNU02000000:11:1555270:1559736:-1 gene:OGLUM11G02170 transcript:OGLUM11G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEANESIVQCFNCPKEFTSVFYSLQNWRSIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >OGLUM11G02180.1 pep chromosome:ALNU02000000:11:1572144:1576089:1 gene:OGLUM11G02180 transcript:OGLUM11G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQNVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWIAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKNVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSV >OGLUM11G02180.2 pep chromosome:ALNU02000000:11:1572144:1576089:1 gene:OGLUM11G02180 transcript:OGLUM11G02180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQNVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWIAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSV >OGLUM11G02180.3 pep chromosome:ALNU02000000:11:1572144:1576089:1 gene:OGLUM11G02180 transcript:OGLUM11G02180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRRSSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQNVDLVVHAAGPFQRENECTVLQAAIATKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKNVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVLKLVGFVDPFVRAIDGIAGERVSMRVDLDCSNGKNTIGLFSHRKLSV >OGLUM11G02190.1 pep chromosome:ALNU02000000:11:1575566:1576061:-1 gene:OGLUM11G02190 transcript:OGLUM11G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRRLAASALRRGGANDGGVLAAVRAEIAHELSSSPSSSPPSLQSQDIPDFSTVSDAPRGQEVLLRRRDASEEVLVSAVLAPLRFEGEEPLPRDALMKVFVSKPDVKPVMRFDCRAFAAEGDGGSADYDXCEASCDLGQKM >OGLUM11G02200.1 pep chromosome:ALNU02000000:11:1577714:1579166:1 gene:OGLUM11G02200 transcript:OGLUM11G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADWLGANDISFIFEPMSYMHRSAVXSQAHEHKHQPLIFGPASLVGGGLTSERERMAGQVFQPSYRLPTMSIEEAGLREMKMMEKWQERTAKMIQESNSAWHKDGSRSAQEDEDAEEEKARGWDDWKDDNPRGAGNKKLTPCG >OGLUM11G02210.1 pep chromosome:ALNU02000000:11:1579862:1582931:1 gene:OGLUM11G02210 transcript:OGLUM11G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSLYQLFVLGALMFGGESLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >OGLUM11G02220.1 pep chromosome:ALNU02000000:11:1582338:1583715:-1 gene:OGLUM11G02220 transcript:OGLUM11G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPSEELRDIVREADVYGSDPATLTEAHSAYGHDGNNLPAAITDEGIMVICKVYLSPRAKEATADEEERQETNVVPGPKRLREAEATGYDAPAPETPQPDVGCSYSGGGETSQATASMDYCCSTTTHTADDTANAAYYHGDADAIKPDAYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVEGEVEMDNFFNDLFRRLRRRR >OGLUM11G02230.1 pep chromosome:ALNU02000000:11:1594102:1598921:-1 gene:OGLUM11G02230 transcript:OGLUM11G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHGLWVQDQGVVDHLAQLVPLLHECASHVTEGSFEKADFSFKKIRMLTIADGPLQRLSTIIVDSLAHRLLSSIQGLPGALIDPSDYFEKSTLRAARHNFFKLNPYLSTGFVTNNWAIMEAMEDEKVDFQVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLADMQSLLSKKAESLGVSFHFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMLIMEQDADHNTLLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREVGLSVRGNYRAADYIQISHSGCSVQHMSGSSRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGESYENYLFLYIDLNLKIANSMYAGNTLTTVEVYRRPTAFY >OGLUM11G02240.1 pep chromosome:ALNU02000000:11:1620512:1621111:1 gene:OGLUM11G02240 transcript:OGLUM11G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSCSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSSSLAASSSDDSAATTPRSVLPASAAASSSGTKKPAAVAVTREDLEVALRRIVSSKEELAAMLAEAECAGELVLEEIAAAAADEGELKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMYGCA >OGLUM11G02250.1 pep chromosome:ALNU02000000:11:1621156:1623232:-1 gene:OGLUM11G02250 transcript:OGLUM11G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARKLLQSCGWAGPYEVRHDAGGHGFFFCWHKRPLYAVTAWRPAASRRGHTRSTKEISADNEQQMNDTYIQETID >OGLUM11G02260.1 pep chromosome:ALNU02000000:11:1624698:1630880:-1 gene:OGLUM11G02260 transcript:OGLUM11G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENKECGGCLLLCWSSRPLYSISAWRPAASRGAGSGSERSELINLYKRQRVLDLQLCGEERFERMVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEPSQSIFPINPTTAHFHQISLPIAVPRKPSNLKHNLVPVGVLNRD >OGLUM11G02270.1 pep chromosome:ALNU02000000:11:1627544:1628116:1 gene:OGLUM11G02270 transcript:OGLUM11G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPPLNWPMAGGGGGGSGGGGRGGAGGGGGAPATPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAHKYLICLFSESHFLRKEGSERKSVFSSK >OGLUM11G02280.1 pep chromosome:ALNU02000000:11:1630959:1631921:-1 gene:OGLUM11G02280 transcript:OGLUM11G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMEELVSDEAEELGMEFQFHGVVGQLEDLDFSNLRNVLEIKSGEALVVSCTLQLHRLLAADDDAMYSSRSAHLNQMASIAQLQHMAVNSCPSSSGGGSVQYKDDDPYRSPATPLTFVSPPVSTPHFQTPAALASFLSAVRALSPKILVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDAAAATTSAASRLWSPDERAQVERVVVG >OGLUM11G02290.1 pep chromosome:ALNU02000000:11:1632190:1632831:1 gene:OGLUM11G02290 transcript:OGLUM11G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDDVGESTDEFANPFDDTMEFAKPVYLVAVRDDDQAAAYSVLKIDAAAVAGNDEPRRVRAVAVLTTGTEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFQGTRLGYPKHKPVLISHGSEVYAISSTPRVKPSMDCEPWFESLSFKDGVPSKECGRWVSWHHLPPPPFFPCFLNPYEFRNPPEIFQSHPMLSLAHTF >OGLUM11G02300.1 pep chromosome:ALNU02000000:11:1634304:1634744:1 gene:OGLUM11G02300 transcript:OGLUM11G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVFLPLFQPLNFLLCDKHNTHSTCPSEYSETKARTELTHGDVAVVMAALGLSFNAEGNEVEDEALVLLEEKQASWEELEEAFSVFDGDGDGFISPLELQNVMRRLGLQHDAGHEECERMLKVFDRDGDGMINFDEFKVMMQGVV >OGLUM11G02310.1 pep chromosome:ALNU02000000:11:1635447:1635821:1 gene:OGLUM11G02310 transcript:OGLUM11G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTAGTGSSTKKIPSWMVTELPMLSTEANRLPQIPSWMIRKPLMLCMEAGRSGKAAAAATADAMEQSCSVCLKNFEKDDCIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTDEKPEAPRTMH >OGLUM11G02320.1 pep chromosome:ALNU02000000:11:1639835:1640853:-1 gene:OGLUM11G02320 transcript:OGLUM11G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTCLLAVLVSSLKKGAQVKVHILYRKWGTYATSTLATSAHEPSPYSLSLPALFVLLFLTTVGGGGVELLRGRRGCRAARVAEVVTGKESGDGGGACCPARKRRTSPELGRGQAQAHIRIRQPPPSRQASVPHPQAPLPPSNSTSPSSSSSTGELGYDRRGGPCPGSRRRSARKGRLGMCLSLVAATSPLLYRHRSPTPQAQECHHRCSRALGGRRIERKREEEMREEEEVAPWLWTEMWSKFLFAEWTSTSMPHW >OGLUM11G02330.1 pep chromosome:ALNU02000000:11:1641072:1641862:-1 gene:OGLUM11G02330 transcript:OGLUM11G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRSKHGKAATANSSVKLRVISMNNADHVPEPEPGVSNGHSPASASAWVGSAKRQSGQRRLTCSHSKMQLSWKAWQHGIFLISLSLSSSKASRHTEQSFSFASPGDVSSKAAMASLHAGTEPKRPLLYPSGTAAAALGLWKVGRQNKERGRGRCRGSLQPPVHVVGQQQSSSGRHAPATRTRMRATLGTNEQLADREFED >OGLUM11G02340.1 pep chromosome:ALNU02000000:11:1644735:1646106:-1 gene:OGLUM11G02340 transcript:OGLUM11G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPASSSQEDDIFSWVGIIMYLPTSDARQRKEITEEFFNYRSKTQTNLWDGYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPVTEVQHEK >OGLUM11G02350.1 pep chromosome:ALNU02000000:11:1656699:1656986:1 gene:OGLUM11G02350 transcript:OGLUM11G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLVVLAAAVAAAAALLVSLDPRSDDVPVLEIRERDVELITVDAGGAVGPESVAFDGDGEGPYTGVSDGRVLKWLPLERRWVEHSSAVIEPQL >OGLUM11G02360.1 pep chromosome:ALNU02000000:11:1658284:1660555:1 gene:OGLUM11G02360 transcript:OGLUM11G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STRICTOSIDINE SYNTHASE-LIKE 2 [Source:Projected from Arabidopsis thaliana (AT2G41290) UniProtKB/Swiss-Prot;Acc:Q9SLG8] MNTTAKLLALAVFAAAAILSLDSRSDVRQLEIRDGDVELIPLLDGAAGPESIVFGDAGDGPYTSVSDGRILKWLPPPERRWVEHSCSVPELLDSCRGSKDTKREQECGRPLGLKFNSKTGELYVADAYLGLRVVSPGENVSRPLVPKRTGSPFSFSNGVEIDHETGVIYFTETSTRFQRREFLNIVITGDNTGRLLKYDPKENKVEVLVDGLRFPNGLAMSIDGSYLLLAESTTGKILRYWIKTPKASTIEEVAQLPGFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRKLILKLPAQRIQRITSFLTGFGRQVIALRLSEDGKTIEAMSVHGDVRKLFKSISEVEEKDGNLWIGSVLSPFLGLYRI >OGLUM11G02370.1 pep chromosome:ALNU02000000:11:1663900:1666240:1 gene:OGLUM11G02370 transcript:OGLUM11G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHLSCCRIQRVLLMLPGAYSLWSQFLARFAANLPGILLSMRQDFLWSLHLFSPSAFLLSSYLQESFHMLMYIYKLNHTQTPL >OGLUM11G02380.1 pep chromosome:ALNU02000000:11:1667927:1673355:1 gene:OGLUM11G02380 transcript:OGLUM11G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM11G02380.2 pep chromosome:ALNU02000000:11:1667927:1673355:1 gene:OGLUM11G02380 transcript:OGLUM11G02380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM11G02380.3 pep chromosome:ALNU02000000:11:1667927:1673355:1 gene:OGLUM11G02380 transcript:OGLUM11G02380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGEEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFSRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM11G02390.1 pep chromosome:ALNU02000000:11:1673611:1675345:1 gene:OGLUM11G02390 transcript:OGLUM11G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKSIVMSEQQRAVFCLTLMAVTYGHSRQPADAELLQRLQRADQPPPIRGARVVENTEPNVLFAYTPHDGLLFNDDDGVADYFAADDDEAYSNGGFDAVPALSEAIVSLPEMAVGCGCGGGGEAREKECGVCLEGFEEGDKLRKMPCEHYFHESCVFKWLQGPSYVPHGVESAYIHINRDIEEDDDTYSDDGFCAVPASSDAIAALPVPETTVSETETREEEACAVCLEGFKEGDRVKKMPCSHDFHANCISEWLRVSRLCPHCHFALPAERDSEQKNPEEA >OGLUM11G02400.1 pep chromosome:ALNU02000000:11:1679302:1681280:-1 gene:OGLUM11G02400 transcript:OGLUM11G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHANIRDMKGKKQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >OGLUM11G02410.1 pep chromosome:ALNU02000000:11:1681478:1682417:1 gene:OGLUM11G02410 transcript:OGLUM11G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKPSMSLTYSRRRLSVHGPGSMLTSCLTVRLKVVKGRRLPKQCHLVPGSPSAKSSEAAGGWWNGGVLGQLSGWWFVEVGRRRGVGAVWWMPRTAICLCGGSELVDGDLQSRLQGPSPVVHRVGNDYVFGRRNLFGALSRMGEGGILDVVTTMVASFSEPRLCGIVVGLAAFGHA >OGLUM11G02420.1 pep chromosome:ALNU02000000:11:1683606:1687556:-1 gene:OGLUM11G02420 transcript:OGLUM11G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGELLLLSMAAFATAHPGERASIQAALGSLPRAPSSTLYYRFGSHGLQVLGENREPSASGGGGQAQATQQQQGTSSGVADNRREHAFDFGVGSSSSSSGRRHAMGAAPSVATGISGSKRVAREEGGHSCGFEFEGSDQGRHAARGQACGLGGLDQGPSGGGAHDEDARGYGFGDARCVGQRKDAAPTHQLRIGAGRDQGRSVRLRRDAREDGRGRGLEEGNRAGDGYVAASVQAHGDACVEDQGAGSSSVQQLPSSVDGSDGMIAFEVGKELTLHGSVVSSPWNELWLGKPLIFHDLDLVRAMKDQGGMPNERIDLSGKITSILLSHPGPVSYFRIDSSVINNGAQQKIEEWCDVLRKKNVETVVMANCQWPSHPIEFPLQSLNCSSLRTLHLCFFNIPDMYLDHVSSLAVIDLACCRISDENLFALVCQCVSLRELDIGMFSEGKVRIRSESLKFLQIWRSSVSHITIQWAPKLEKVIIGAAQGMESFSSRTSSSTWISILGAPMLREVWFNLSSQTISIDNVYLDVGHVPITSLRKLELIAFKERKGRHALLNFFRSCTELKELVLWREHKVYFEEECDVHSDDWSSALKDIACLKSHLQVLKLFDYGGGETEIAIASAVLEHGASIENLTIMSTTSNADDILSQAKQKLEKVESPRGLRFLLRGWGSSRVTDPAGAVAQDAELMESVGAGHRPDVVALLEILEAHRAALLCRLAHSQVVKIAAGECMFHGVRGGGGGGGILAKVAGLHTMHWRIPYHPTRDLLDEVALGICRGGCGIHACANASRSRG >OGLUM11G02430.1 pep chromosome:ALNU02000000:11:1683639:1684022:1 gene:OGLUM11G02430 transcript:OGLUM11G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTTTTDSECDFIKKIPSWMIRNSPMHCMEAGHFGKDAAAAAATADAMEHALPCSDLHHLRVGKTAEQSCSVCLKNFEEGDYIWSMPCSHTFHQLCILGDRSCRVCHPAAPPSTEEKPEAPRTVN >OGLUM11G02440.1 pep chromosome:ALNU02000000:11:1688799:1690858:-1 gene:OGLUM11G02440 transcript:OGLUM11G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEAHEIIRERLRSDIRRGRIELDADFLQQLVDQVRDHILQRQRRGTATAIAMDGVVEEDAYRNGGFGAVPASSKAMAELQEAMASDARERGCAVCLEDFEAGEKLTKMPFSHCFHATCILDWLRLSHRCPLCRFPMPTQDQSH >OGLUM11G02450.1 pep chromosome:ALNU02000000:11:1688850:1689239:1 gene:OGLUM11G02450 transcript:OGLUM11G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEPIQDAGRMEAMREGHLRQLLSRLEILQAHSAPAFPGVACHRLLQLGHGLARRGDSAEPTVPVRILLHYSIHGNGSGSATALTLKNMIANLINKLLQEVGVQFYAPPTNVRPQALANDLMSFFLR >OGLUM11G02460.1 pep chromosome:ALNU02000000:11:1691016:1694471:-1 gene:OGLUM11G02460 transcript:OGLUM11G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAANQHTCDGPQPSPPTHFLIVAYGIQSHINPAQNLAHRLARIDASSVMCTLSIHASAHRRMFSSLITSPDEETTDGIISYVPFSDGFDDISKLSILSGDERARSRCTSFESLSAIVSQLAARGRPVTCIVCTMAMPPVLDVARKNGIPLVVFWNQPATVLAAYYHYYHGYRELFASHASDPSYEVVLPGMQPLCIRSFPSFLVDVTNNKLSNFVVEGFQELFEFMDREKPKVLVNTLNVLEAATLTAVQPCLQEVFTIGHLVAGSAKERIHMFQRDNKNYMEWLDTHPERSVVYISFGSILTYSKRQVDEILHGMQECEWPFLWVVRKDGREEDLSYLVDNIDDHHNGMVIEWCDQLDVLSHPSVGCFVTQCGWNSTLEALELGVPMVAVPNWSDQPTIAYLVEKKWMVGTRVYRDDEGVIVGTELAKSVKIVMGDNEVATKIRERVNSFKHKIHEEAIRGETGQRSLQIFAKTIIESDKGTKIGMDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPATPLPTSTTVVVLHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSGPLIPNPVLLLFPSPGAADLASWCRSTPPAARANPTLLLLDGTWKQAKEMHAASLPFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKAPHKGCVSTMEAVARALRLLEPEGRGAEIEETMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRDARLE >OGLUM11G02470.1 pep chromosome:ALNU02000000:11:1699322:1699855:-1 gene:OGLUM11G02470 transcript:OGLUM11G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTRRVDSNRPPLRDANRIRVETMMRGRRYTLLHSPPEAQRYTRYHQLPHREMRQRRWLRDYLASVSEEMDRRRLQARAGIDDHRLESLHRPRLGETREEACAVCLQDFGEKDEELRMMPCSHSFHQRCIFGWLAIRDNCPVCRSKMSSYNDVLEELHAELEQWIQVLQLRFNNAN >OGLUM11G02480.1 pep chromosome:ALNU02000000:11:1702303:1704702:1 gene:OGLUM11G02480 transcript:OGLUM11G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAYADAAPPRRAPAPATSTVAKEAEFLWELRKYVLLLATLAATVTYTAGLDPPGGFWTDNVGELLAGDPVLQKTYPRRYKAFFYCNATAFVASLVIVNLLLVRFLCRRRWWLRALQAAMTLDMFGLMGAYAAGSSREAAMSAYILVLVILVCSYVSAHVLLYGLTTAQVSAPDAPERVERARKYLLIFATLAATVAYQAALSTPGGFWLGSLDNQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGATMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYIAIQAMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRGRVREKLKQRMPEWLKNLLELEKHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEGKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSVYVFVLVLAVLLCIAFQVALVVSGSLRRLVNSLLSKLGAPLEEDAGERLPHTAADEPRDLWDEKLPKYLLLLAALLVGRAWASGAAHGPARHGPVCWSGRAGPTDLARAWPCRARPPEWPTILLCIHIVYIFHKSF >OGLUM11G02490.1 pep chromosome:ALNU02000000:11:1704811:1711486:-1 gene:OGLUM11G02490 transcript:OGLUM11G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDYSSSPDNETTQTALEDEERRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLHSPRELTGKKKLTKHDHEALRFIELAENGTDPATIDASEELAKKLGCTYTYRTLFRTFNYKGMHVLHHSAKGAHVTLTHHLVEFLGIDVDKKDSTGRTILHIAVPRNSGGYFGHVLAKLEPSIDMKDNLGLTPLQLSILLGKYAAMQKLIDFGADIHIRSLYGGPIHAAVALDDEIALEALMRKSVNVDDIIDGGITPLACAAYNGSLQAGANVQISKPIGKAIVADLTSSESMVQILLDSGANADAIDEVMFEDPPIIAAAKRKQMNVVQLLLSSSTPIEGVDWSLNGIIAYTESVTFKAEDDVHTAARVTALRERMFNALENINYLLADICCKALRNDVSTTEWDRLRNLNLLYLSHSFHGQKPVLSSDAIVNMALVYQKQDKGKEVMCLKAALALNPQNERAESLLRTALTDLQEDTNTEAKMKDDQCT >OGLUM11G02490.2 pep chromosome:ALNU02000000:11:1704811:1711486:-1 gene:OGLUM11G02490 transcript:OGLUM11G02490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDYSSSPDNETTQTALEDEERRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLHSPRELTGKKKLTKHDHEALRFIELAENGTDPATIDASEELAKKLGCTYTYRTLFRTFNYKGMHVLHHSAKGAHVTLTHHLVEFLGIDVDKKDSTGRTILHIAVPRNSGGYFGHVLAKLEPSIDMKDNLGLTPLQLSILLGKYAAMQKLIDFGADIHIRSLYGGPIHAAVALDDEIALEALMRKSVNAGANVQISKPIGKAIVADLTSSESMVQILLDSGANADAIDEVMFEDPPIIAAAKRKQMNVVQLLLSSSTPIEGVDWSLNGIIAYTESVTTMSIQQQELLRCVSALRNDVSTTEWDRLRNLNLLYLSHSFHGQKPVLSSDAIVNMALVYQKQDKGKEVMCLKAALALNPQNERAESLLRTALTDLQEDTNTEAKMKDDQCT >OGLUM11G02490.3 pep chromosome:ALNU02000000:11:1704755:1711486:-1 gene:OGLUM11G02490 transcript:OGLUM11G02490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRPPEVGADQGRRSSRRNSMSSDIDSLVEGEDGGEESRNDRSWVRTPSCMRAPTLPKPGKKQGETISKGHKNYELMLNLQLGIRHAVGRQSAPASLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPGDYMLSICGDDALLELSSPGKSGSFFYFTNDDKYMIKTMKKAEVKVLLRMLPAYYKHVRSFDNTLVTKFFGLHCVKITGAIQKKVRFVIMGNLFCSNYSIHRRFDLKGSSHGRTTDKPIDQIDETTTLKDLDLNFIFRLEGSWYEDFCRQVDKDYSSSPDNETTQTALEDEERRKAPVKLGISMPSRVENVVKNPESESQLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIYRAFSEDLHSPRELTGKKKLTKHDHEALRFIELAENGTDPATIDASEELAKKLGCTYTYRTLFRTFNYKGMHVLHHSAKGAHVTLTHHLVEFLGIDVDKKDSTGRTILHIAVPRNSGGYFGHVLAKLEPSIDMKDNLGLTPLQLSILLGKYAAMQKLIDFGADIHIRSLYGGPIHAAVALDDEIALEALMRKSVNVDDIIDGGITPLACAAYNGSLQAGANVQISKPIGKAIVADLTSSESMVQILLDSGANADAIDEVMFEDPPIIAAAKRKQMNVVQLLLSSSTPIEGVDWSLNGIIAYTESVTFKAEDDVHTAARVTALRERMFNALENINYLLADICCKALRNDVSTTEWDRLRNLNLLYLSHSFHGQKPVLSSDAIVNMALVYQKQDKGKEVMCLKAALALNPQNERAESLLRYSLLS >OGLUM11G02500.1 pep chromosome:ALNU02000000:11:1728484:1728771:-1 gene:OGLUM11G02500 transcript:OGLUM11G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGDLIPPPVVPQQIAADDSVAYMGAIMAPVQEQDKQLVVDLVSTAVDQLARMCSTGEPLHRQRGAEMMAVEEHARMFRCEILMASMDYQGTEM >OGLUM11G02510.1 pep chromosome:ALNU02000000:11:1729552:1729773:1 gene:OGLUM11G02510 transcript:OGLUM11G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >OGLUM11G02520.1 pep chromosome:ALNU02000000:11:1732651:1734700:1 gene:OGLUM11G02520 transcript:OGLUM11G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMALAPPPPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSAGANGRLPKPSSGDDELVLTPAPRFAAERNDNAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKQQEARNDGLDAQATKDNISGNNNRDFEHYGVINTFTQLFMRILIPTNNADIHAPVGYYVFGFGYRDMDGTKVHKAWRSNYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGEYHCVTSCV >OGLUM11G02530.1 pep chromosome:ALNU02000000:11:1739732:1743003:-1 gene:OGLUM11G02530 transcript:OGLUM11G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGKTVSLLPSQKVLTWPGVEPVTKKPVWRPPEVAADQGMWRPPEVGADQGRRSSRRNNMSSDIDSLVEGEDGGEESRNDRSWVRTPSATHFSAERTMSLRGGGESAGSDSPCRREEAKSRTPRPQPGSAGERSAAASAEMSSRGGSKSCGGDSLQPGEAGEHGVAPSAAAVEISSEVAKVRGQAATPYSAAPFDESMLVQHSTGKAMGNREADVAAVGLGPKNSGLKICPARSLE >OGLUM11G02540.1 pep chromosome:ALNU02000000:11:1751250:1756294:1 gene:OGLUM11G02540 transcript:OGLUM11G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYYLNLDFDVSNTKPLPDYCFSEAWEVWEAHADQSDYEREQAVLRFYEEKEKEIKAAYSEALSDEDDEEKDGEICQLLGYEEEHGQVSAGMEDIPENHVKKGCTYVSPEYLAELTQKILLEINVNEDLGNGNTVVSSGVMEGDEDKKTVKTGKVLCHEMKHARNEGGQVFEGELEHKKARMAESSQNKGEDEETLRPEVWLRRLRRRRGGCNRVRAGIVSSPSEGSSASASHILYRVGPFDYQLAVACKSRTKAASNLAQ >OGLUM11G02540.2 pep chromosome:ALNU02000000:11:1751250:1754535:1 gene:OGLUM11G02540 transcript:OGLUM11G02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRYYLNLDFDVSNTKPLPDYCFSEAWEVWEAHADQSDYEREQAVLRFYEEKEKEIKAAYSEALSDEDDEEKDGEICQLLGYEEEHGQVSAGMEDIPENHVKKGCTYVSPEYLAELTQKILLEINVNEDLGNGNTVVSSGVMEGDEDKKTVKTGKVLCHEMKHARNEGGQVFEGELEHKKARMAESSQNKGEDEETLRPVGLTPDDI >OGLUM11G02540.3 pep chromosome:ALNU02000000:11:1755089:1756669:1 gene:OGLUM11G02540 transcript:OGLUM11G02540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRRGLLGGFLPRPVFPISLLWQEVWLRRLRRRRGGCNRVRAGIVSSPSEGSSASASHILYRVGPFDYQLAVACKSRTKAARPDEQFHQRIQMGERRPVASERT >OGLUM11G02550.1 pep chromosome:ALNU02000000:11:1760255:1779330:1 gene:OGLUM11G02550 transcript:OGLUM11G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVRFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPYQLANEVPAENGTAEKTIKLQ >OGLUM11G02550.2 pep chromosome:ALNU02000000:11:1760255:1779330:1 gene:OGLUM11G02550 transcript:OGLUM11G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNDDAPDLPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNNADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGVCQGTAFVDIPGGRYYPAASMYTLPDQPNCEVRFNFGPNFEFFPEDFGGRSVPQPMSDVPYRPYQLANEVPAENGTAEKTIKLQ >OGLUM11G02560.1 pep chromosome:ALNU02000000:11:1779267:1781906:-1 gene:OGLUM11G02560 transcript:OGLUM11G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTAHGPRPDTPLKKHVLKISPIRKFPHGVVASPARWEMKLSDTFRSAKIPRALPPKSGEAAAAAAASASCASGNPSAEAAKGKAASGWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHREMALSRLKIDLACTSFAS >OGLUM11G02560.2 pep chromosome:ALNU02000000:11:1780199:1781906:-1 gene:OGLUM11G02560 transcript:OGLUM11G02560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTAHGPRPDTPLKKHVLKISPIRKFPHGVVASPARWEMKLSDTFRSAKIPRALPPKSGEAAAAAAASASCASGNPSAEAAKGKAASGWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHREMALSRLKIDLACSHLKIKWHSS >OGLUM11G02570.1 pep chromosome:ALNU02000000:11:1783278:1784495:1 gene:OGLUM11G02570 transcript:OGLUM11G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G44810) TAIR;Acc:AT2G44810] MAASISGARTCYPSAAVARRGGGGGRCCSVVRSSLATSSPATCKPSGMMRIGRQWTELQGARDWDGLLNPLDGALRGELVRYGEFVRAAYASFDFDGGAPSSYGSCRFPTSSLLRRSGLPETGYRVTGILHAASTSAPGWLSCRSSYIGYVAVCDDEDEIERLGRRDVVIAFRGTATCGEWVDNFKSTLTHLPPRSGDGEAAPPMVESGFWRLFTTPGEAHGSLQQQVRGEVQRIVSEYGGEGMPPLSITVTGHSLGAALAVLTAYDITTNSPMQRHGGGDDDDCEAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDVVTKVPGFPVDGDDCGAGAREGDAPARRKPRLPRWLVSKMGWEYSDVGRELRLCSQGDTARNVVASHDLDLYLKLVAACTY >OGLUM11G02580.1 pep chromosome:ALNU02000000:11:1785120:1791014:-1 gene:OGLUM11G02580 transcript:OGLUM11G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKSVYQWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSVPVARADEDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >OGLUM11G02580.2 pep chromosome:ALNU02000000:11:1785120:1791014:-1 gene:OGLUM11G02580 transcript:OGLUM11G02580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPEAEDGKDTQSRSTKEDQAVSFRTAAAKEDGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGKNSLASGDYDEVARPGQTNGSALKHQFEKDMPPPPPPRNNNLSKNEKPSVPVARADEDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEEKPIYGPIPPSDPAQAWPQPNAYDAIQAQMVAAGYQGEWSGYQYGEQQMAYPEQYMQQSAQDCDVLADPNITQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDAREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDMPSGKKQRA >OGLUM11G02590.1 pep chromosome:ALNU02000000:11:1791718:1792218:1 gene:OGLUM11G02590 transcript:OGLUM11G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGRWWWWFTWSWRVVRRNQPPPSPSPPPSPPPADEDVLPFEEYPPPSSDEEEEEEINHPTPQTSNEAADRRHGLLPASRKAIQGLREVTAAGAGEDECAVCLQDFEAGDKLRMMPCCHTFHQRCIFDWLRLSCICPLCRHTLPTQNVEDDRLGRAAHTVAGSTG >OGLUM11G02600.1 pep chromosome:ALNU02000000:11:1792481:1797641:-1 gene:OGLUM11G02600 transcript:OGLUM11G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRNKDC >OGLUM11G02600.2 pep chromosome:ALNU02000000:11:1792481:1797641:-1 gene:OGLUM11G02600 transcript:OGLUM11G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKQYDEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLIKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRNKDC >OGLUM11G02610.1 pep chromosome:ALNU02000000:11:1797414:1799236:1 gene:OGLUM11G02610 transcript:OGLUM11G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGGSGGDGSAAAAAAEGSAIWKSELVPQLQLPPGYHFVPTDEELVDFYLRGKIEGRDPPRRFISEENIMRYDPQKLIEKYKGYGEDRWYFFMVREPSKTKKKDEPNRKVVVDGVEEGSWSATGSVVQIHSTKETNRKAIIGSKRVLTYKSARSAENDMWSMHEYVLAGKSQMGQYVLCAIQLKQTYEREEKAREEQKNDNKRNKKAARRKNMQQQPTACQAQDEQQETAPTPGEETIVDPDQFMDIAHSMHMMFGGVDQDAPPFMPSLIAPCNNDDGMLQLQPLQLQNPNPAMLYSNQLEPSYVGDQSMFTPCFCDRNCISCRQLQFYQQQQAEDGSVAFGEADLYQQHDRALGNTGVYPDNVWVDGNMADYAQRQIYNDQDNGGVLMQGPEDSATFPDNFLMLDEMAAGSDDASGFDYEVDQSMALVPHVADQTVDDIMSSLLN >OGLUM11G02620.1 pep chromosome:ALNU02000000:11:1805161:1814015:-1 gene:OGLUM11G02620 transcript:OGLUM11G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGMGREEEGNSPMGRRLRAVSAHLLPPASTPTTTTTTTTTGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTSTGGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRLIGHVGPPNPSCEIKLVDVPEMNYTSEDQPYPRGEICVRGTTIFFGYYKDEIQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >OGLUM11G02620.2 pep chromosome:ALNU02000000:11:1805161:1814015:-1 gene:OGLUM11G02620 transcript:OGLUM11G02620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNGMGREEEGNSPMGRRLRAVSAHLLPPASTPTTTTTTTTTGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRNAKTPLRLIDRFPDTPDIATLHDNFVYKWMTYGEASTNRTAIGSGLIYHGIPEGACIGLYFINRPEWIIVDHACAAYSFVSVPLYDTLGPDAVQFIVNHATVEAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTSTGGKMSSRPFRPPKPEDVATICYTSGTTGTPKGVVLSHRNLIANVAGSSVVIKYISYLPLAHIYERVNQIALLHYGVAIGFYQGDNLKLMDDLAALRPTVFSSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIMNGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRVCFGCLVIEGYGMTETSCVIATMDCDDRREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVEPEVLKAWAASEGIQYEDLRQLCADTRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKIKRPQAKAYFAKEIADMYAQLREAESTKSKL >OGLUM11G02630.1 pep chromosome:ALNU02000000:11:1817731:1823388:1 gene:OGLUM11G02630 transcript:OGLUM11G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDRNELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLRKTTTIKKRSGTGRAHKIKTFLAWSNPTEMLLEA >OGLUM11G02630.2 pep chromosome:ALNU02000000:11:1817731:1821191:1 gene:OGLUM11G02630 transcript:OGLUM11G02630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASADLLRREEEFYASLFDSAKGGDAVKSRGQMIERKIEVLEDMAAKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPVSAFCRTSVGEWDAFRSIDMDVEARLMQQMKQSSTKQKNHVDRNELVALNSWHHIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSGKDMLVLNVQDPFQRLLLHGVCEFYNVSSTTTTTVRDGKLRKTTTIKKRSGTGAPSRITLVSFLRMKKKSH >OGLUM11G02640.1 pep chromosome:ALNU02000000:11:1831711:1835846:-1 gene:OGLUM11G02640 transcript:OGLUM11G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSITYHLHPRPSTPRPFSFARRPRRRPSRRRSPPPGAAGGADGPRPLLFFRRKILPSIDSSRLRPLISIHPSTVYYPSSCCFPSASEWIQRSKEMLLLQEKKEEVRVCNEGETSESLISGNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALSSFNGEKRSFACTGFFIEWNECATILTSANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARNYDALLYCITFNVSINLLITANY >OGLUM11G02640.2 pep chromosome:ALNU02000000:11:1831711:1835928:-1 gene:OGLUM11G02640 transcript:OGLUM11G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWMGGYDLRRNLKRDERTDFVRMDSKKQRNASLARKKGGSNLHFKSNEGVFRSEFSGEVASNMSKSVVSLALLDGDTVLFVCSGMVVQRDGHVIKLLTSASLLKAFNDESQDHDNLKVEVHHKDAVVIGSLREYHLDHNMAAVVVENLPDLRPVPFNNVQKFVPHSMVVALGRDISGKLMATGGLLIGGSSGSYNPKLMSSTCKFSEVYEGGPLFDFDGDFLGMNLSLTTEGTLFVPGDRVLDQLVNWILHHEVKFAARLAALKALRSSFIYTFLNKDQYGDLESLGYPEPPISNDGMILANTFEEPFGNVCGKGVWSELSEDVASNICENIVALSSFNGEKRSFACTGFFIEWNECATILTSANLLRDPRDADKIIENLRIKVLLPNNRRTKGTVQHYNLRYNVALVSVKDYRVLQPVKIQPYRHYASELLAVGCIFKSGRLMASRGRQLPIGVTHDRKFLGYSQCTITKAGIGGPLLGFDGNFVGMNFYDEGVEGTPFLSWCEICEILKYFKTKGTVAEHRHGNPSDVLDWKIVGDDSVFPARNYDALLYCITFNVSINLLITANY >OGLUM11G02650.1 pep chromosome:ALNU02000000:11:1863156:1866114:1 gene:OGLUM11G02650 transcript:OGLUM11G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGKGQPKDGGGKGQPKDAGGKGQKGGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQIQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDDDYFDDPKMMKQMAMPPPNAGGGGDKKGGNNGGGAGNGGKKGGGGNEIPVQIKGNANNAAGGGKKDSGAKQNQGGGGKNGGGQPNNAKGGGGAPNGGGNHPAQGKKGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGSAGFPGMGQMGGGPMTMPMGHHPHMGAGAVQGMPPAAFYQGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQQMMMNGHGHHGHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >OGLUM11G02660.1 pep chromosome:ALNU02000000:11:1871387:1871960:-1 gene:OGLUM11G02660 transcript:OGLUM11G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPEQNHDTSHVSHDLPKVSSVKPDNTIHDTEEDHDTSQVSHDHPQVSYVKPTETMIAYMISKFHDTEKDRDTSQVSHDSTNVSSMKPVGTMIAAKILTVLMVLLSFTDFSCISPRFLDWAFSTCCPPIGVKFIDASFGVKFIDASFLS >OGLUM11G02670.1 pep chromosome:ALNU02000000:11:1887922:1897711:-1 gene:OGLUM11G02670 transcript:OGLUM11G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREISLAPTAVVEEPAAAPARVRWRRQLHLFSVAAEAHEVSVGERRERGGGADAGAHHREKEREHSMGQQQNGMCGGHPRQQTPCCSYKYTGKRYSLRPKNRQTLNFRANVLDDERCRKSGQPSARNSRRRMSTYPRVKMLAAESERSPDTGGNHLYSRLSGTGLNIPYL >OGLUM11G02680.1 pep chromosome:ALNU02000000:11:1916430:1919498:-1 gene:OGLUM11G02680 transcript:OGLUM11G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFSGAVVEVPAELVAAGSRTPSPKTRASELVGRFLAAAEPAVSLQLGDLGHLAYSHANQSLLRPRSFASKDDIFCLFEGVLDNLGRLSQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTSSLLVASDPEGKVPLFWGITADGSVAFSNDIDLLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVESATAILTALH >OGLUM11G02690.1 pep chromosome:ALNU02000000:11:1924417:1926348:-1 gene:OGLUM11G02690 transcript:OGLUM11G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKPPQRKDRPHRAIAASIAFRAATPQRRTDDAIRRAPASRSLPAFYHDDPQMNLIRDHDN >OGLUM11G02700.1 pep chromosome:ALNU02000000:11:1929290:1931461:-1 gene:OGLUM11G02700 transcript:OGLUM11G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDAEGKAVSTTELEVVNGIGRVVMDLPVTMEDRKQQHPTLCTMFSKVTKVWEWPVAFLLKLTIPSTLPTEWNKFYVCANICLCPLLLLYSFSSFIPFDSRIVFLLPQSRFPLWSVVLFVSISLALSHFIFEKEAPITENIASTTISFVMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVADVALAKSGQPTIAIAGCFAGPMFNMLVGLGTALVIQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLMVTWTRFRVPRFWGYCLMGLYILFTVVSIAIASSSG >OGLUM11G02710.1 pep chromosome:ALNU02000000:11:1936239:1940431:1 gene:OGLUM11G02710 transcript:OGLUM11G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRRDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >OGLUM11G02710.2 pep chromosome:ALNU02000000:11:1935834:1940431:1 gene:OGLUM11G02710 transcript:OGLUM11G02710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVAAAAAKRLAGRVTKRPVLEKARMAGLAVAVAAAAALVLLLCAASLRCSAAVGLALSAAPGKLWSGGVSIAAEAAAVEARAEGEEEEECDLFDGEWVWNDSYPLYHSTDCPFLDVGFRCSENGRPDASYSKWRWRPSRCDLPRFDARNMLEKLRNKRVVFVGDSIGRNQWESLLCMLSVAVPDKSSIFEVNGNPITKHMGFLIFKFRDYNCTVEYYRSPFIVLQGRAPPGAPGVVKYTIRVDAMDWLSDRGQWRDADVLILNTGHWWNYEKTIRSGTYFQEGDAVKMDMTVGDAYKRSIQTLFGWLHNEVNSSKTHVIFRTYAPVHFRGGDWKTGGNCHLETHPDVTPVKSLEQWADFLNPVNDVLGNSFRPKLLGLDILNVTQMTAQRRDGHVSVHLSPSGPVPLYRQDCSHWCLPGVPDTWNELVYNLLLKRQSMIGQNVPLVGTKTLKAGWRKLNKYNLTI >OGLUM11G02720.1 pep chromosome:ALNU02000000:11:1941171:1945347:1 gene:OGLUM11G02720 transcript:OGLUM11G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFA8] MAVVEEEEGSPPAPAAAADPASSGSSDNEITVEEASFVHTEPPQDGSVPPVVSSNMEVLHDKVKKQVIKEGHGKKPSKFATCFLHYRAWVQGSLHKFEDTWQEQHPIELVIGKEKKQMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLLYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCSIVLAEDENNVKALFRRGKARAELGQTESAREDFLKAKKQSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNSIVRFWQWLMSLIGYLIKLFKPKNE >OGLUM11G02730.1 pep chromosome:ALNU02000000:11:1946171:1951900:1 gene:OGLUM11G02730 transcript:OGLUM11G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKLADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAAAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEDWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNRAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVSAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >OGLUM11G02730.2 pep chromosome:ALNU02000000:11:1945559:1951900:1 gene:OGLUM11G02730 transcript:OGLUM11G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVAFPPPPAPFLDDDLDFGDFAFADPQPAAAAAFDAFGAYDDDWGDFVDSRLGSNPDGGSSAAAPAEKPPSWEKPRGPLPLSLFGADEDEEEEEGPAELPPTAADQRGASHASSNGSKLADLKDLIAGLYGSHPQPSSTDAAEVGTQEGSAAAAAEEEEEDGDGFEDDGWEFKAAAPSSSSDAVQDGGRQAHGDLTQDVPKSMSSDQEDWSLFTSVSENLNNVQTTDHVGTRESAGQSVKAFSYFPPNNAAILDLYKESEPIDAVHIMQCSSESVQSSSDMFSNTEMNSSFGTDENHSIKSASDRILIDFYHKLREESLTVISQYKKDLKESQKNSMLSDEKNEVMTETEREIQEICKELQDSSLAKGFCKDEHPSKDVCISELLNRAKEDHLKDFDKEYHLTEIIAMALEDMSSAVKLYKHSVSILRTLEIASKEEQCDYVSAWYSMLLSCAQELQHGAMIWQESCHANVGETVISQGAHYFIALGEIYRVAQILHISMLSFKPWVLADPGMLSKMLVCWNSCVNSWTSGLGMALTMVVDSKNLHAPVAKVLLESIININDIEVPNLQSFLPSDKMACKLTLLPTSLVPGMEVIIWDADHYFVKVANLWANQISSDPPQFSVSRVA >OGLUM11G02740.1 pep chromosome:ALNU02000000:11:1950916:1954586:-1 gene:OGLUM11G02740 transcript:OGLUM11G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFB1] MHSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRAVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKLAIKSTKKLCAVMLDTVGPELQVVNKSEAAISLEANGTVVLTPDQGQEASSELLPINFSGLAKALKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCVIKNSATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRRWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILQEVDGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >OGLUM11G02750.1 pep chromosome:ALNU02000000:11:1957662:1958457:-1 gene:OGLUM11G02750 transcript:OGLUM11G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTSRPHHLLRPLLRGFHATSQAMARPEPHEFSKPSDYLGSWEPAGDPREAWARLERLRKGYARDVRQLRRQYSYEMQLLEAERQRKAEARAEAARLANEERKATKAAAAQTRAAERRAFEKDFRQALMKERAEKLESWREKEKLKVQKKADHRELLRKKSSMWVAEDKLETTILDAIKNTTAL >OGLUM11G02760.1 pep chromosome:ALNU02000000:11:1959617:1967092:-1 gene:OGLUM11G02760 transcript:OGLUM11G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDRMLHASPAAAAAAPATADDARETEIAGGIASASAAVEDPPASAPPASEAAGEGVIAVEHERAAAHPVSETKMDVDEVGTADAEQAVAPAAGEVKAEVNGGSIPDKEQDAAACTPTQVKTEVYENGVQEQVHTVAAVASEVKMEGCEGGVVDQKPTTTPADGCQMKEEGECLVGRYISRSVAGHGRILLGKVASYDGSTGIYSVVFEDGQGEDLELAQLQSQLVGEENGAFGMKVSCRKRKLDLLVSSGGATEVKGPPITRQRVNESEVSTRPDESQESGSGSDASEDVESSSNSSNCTKELPVEHYPPVQVLELPPSSGDIAVPEEAISYLFSVYNFLRSFSVQLFLSPFGLDDFVSSVNCTTQNTLLDAVHVSLLRALRRHLETKSSEGLKLASNCLKYLDWTLLDSLTWPAFLLEYLYVMGIIKDLGGRRFGRSVLAIEYYKLPVTLKLRILQLLCDHVIDSEELKTELEEREGYSEEMEYEMDSSTFAEVGSRSVLTRGSKASACKKLDALQNLETAPNGNNPESASTHASQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGDWFCPECVVNKLGPTSSRIERGARGAQLFGIDMCGRSFLGCCNYLLVIGTSSDVEFCARYYNHCDVVKVVQILASSDAYTDICRRMTEYWSHLLDIFQNERSKISKEVGGSLMSQSNILSTATPVKANNGSVQATLKDGQDSKMAVLSQINAHQVMDNQFTLCSANNNEAFRQTPLAKTYVDNAYRNGAFGPSGTSSISHQSTSMVTVMPNRTQAQPAHGLIRPDLSCGSVIGNGMSRENIRSSISARADLISPPYKSKPPVQLITENMSGGKPAKFSSFRPQAYMNLYNHGNVAASAAANLAILKSDEGKAPTSHLTTNQRKKLAADCALQVKAFSSAALQFVWPSTEKKVMEVPRDRCGWCLACQSSAGGTKKACFLNMATANASKGSARILSGMRLIKNSDSHFPSIVTYLSHMEESLRGLLVGSLQDVQRRQQWYNQLKDASNCGNIIPLLLELESNIRGVAFSTSWLKLIDDWPVESPSASAGASRPAAYQKRGTGGRRGRKRSMASESAPVTDDDNSWKEVNWWSGGNVSKRILQRGALPILTIRKAARQGGKKRMFSVSYHEGSNFPRRTRQLAWRACVGLSQSSSQLALQVRYLDAHIRWKEFIPPDQIPSEGKSVDSDYSVLRNAVVCDKKIVDNKIRYALKFPNQKHLPVRVTKNILEAEDNQDGDGKFWFSENHIPLYLLREFEQKAGVSSLPTPGMLDSNCFANFYQRRVKSSIGDVFFYLLHKGDVYPCTSCKKDVSFRDIIKCSSCQGNCHKECTLRSVGSKEGNAASSLTCKLCLQKRSLMLTNYNTNASFILPQQKSNVHHAVAAPKIIFKVGSSHSAEPATKVEAPPIVKAEAQPVVKKETWPVVKMETQPTANVEAQPTAKVEAFPISNLATQNNAGAQVQPKTKSKKPKPEKPRKSKKTEEIKYFGLVWKKSTNDKNNNENSGEVFRANDVILKGKDGVGSSIKPTCCLCNKPYCPDFLYVRCERCKKWFHGDALQLEEEKIFELVSYRCCRCRRRAIPKCPHSDDYKKPEPEYSEQTVATSSQSTMLSSEENFAVADQDPLLASYGRVEPFGAQTMDADLSMNMMSFNPGNQKLSVRRGQNKNCEYVDQSSIHVDDYYIQNQPQGNANINFSHSNEFSLSEADGVDASELLGWDFSQGNTSAAPSDFAANCPWNDISCGSVAGDEYEPQTYFSFTELLEADDTQFDNTFGMSNSVQDDGDQQGIGFDEMAFMMEDGASNMPFPAIDSASDEVACDRCKNPQPPPDLKCAVCGLQMHRHCSPWEDGEQPSDSADWSCGACREWR >OGLUM11G02770.1 pep chromosome:ALNU02000000:11:1968694:1972313:-1 gene:OGLUM11G02770 transcript:OGLUM11G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKEVRPYDACVAWYVPGTCRRSAPGDDSPPQAATVGSNFANDHSVILHQAYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPPYQVSQNASSLSPAASLDAPDAAFVNCDQRCCT >OGLUM11G02770.2 pep chromosome:ALNU02000000:11:1969108:1972313:-1 gene:OGLUM11G02770 transcript:OGLUM11G02770.2 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKEVRPYDACVAWYVPGTCRRSAPGDDSPPQAATVGSNFANDHSVILHQAYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPPYQVSQNASSLSPVTELSQQHQ >OGLUM11G02770.3 pep chromosome:ALNU02000000:11:1970319:1972313:-1 gene:OGLUM11G02770 transcript:OGLUM11G02770.3 gene_biotype:protein_coding transcript_biotype:protein_coding METLPTRGRSNTKKVKASGGSTDEARQLEAKRLSLYSRRNHKRRTTQEITFFGLIWKRSKNDLRSSKLKADDVILRSRDGVGSPMKPTCFLCFKPYRSDLMYIRCESCRNWFHGDALELEEGRIAQLISYRCCRCRRRPLPKCPHSDFYYSKVPEPQPVSQENADDMLSSEEAAGADGDPPLDSSGGVEPTVEETVGANFSANMKSSVPGSVQETIYMDGSSHPTHPVSKGVAKEVRPYDACVAWYVPGTCRRSAPGDDSPPQAATVGSNFANDHSVILHQAYDGFRAIAAETGSLYEHLRQKDHQTSDDIMITLDKLQQIALHHMKDIACHQANNVVQPSDQSNSRAPVPDTDAAPP >OGLUM11G02780.1 pep chromosome:ALNU02000000:11:1982106:1985931:1 gene:OGLUM11G02780 transcript:OGLUM11G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEGIAVAGAGGGHEAGFGLFRAADVTMTEAQEAAKEYQSSPSSPSTSPTPSPPPVAASGHGGEAAATPTMWSLGGEKMPNEAAGDNGMQMSGHSEHASLSSGRRRGRPKGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGTISNVALSQPGSSGSTFTYEGRFEILQLTGSFTMAEEGGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMGLQQQPSAAPALPPPMAPPPVLTAAMPISQAAPGTNGCHAPQVSSMHPQAHTGVMEHSATASGAMNLNSSSSTGFTMVGWPVSSQSMGHRPSPDINVCLTPQE >OGLUM11G02790.1 pep chromosome:ALNU02000000:11:1988020:1988460:1 gene:OGLUM11G02790 transcript:OGLUM11G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMRVTHRDEEGKKVTEKVPIPETRRPDTARHFERKLEEQGFHRLERHPANGPARAGIGAPPPKSGRGGKFTWEGPDGPVDAQLQPAPPAVDPNDPNYDEGDGAGVDEEVAKEVVIGEVEVAKVAEARDGVDVVAPAPLLQQEQQ >OGLUM11G02800.1 pep chromosome:ALNU02000000:11:1990206:1993125:1 gene:OGLUM11G02800 transcript:OGLUM11G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRFRGLGFRGGGGGRRSHRGGAAARTPSRLASGISARRHRLRMCSTPPAGAGEVVRHPGADSLEMDRLHRRRHRRLKGEARGAFSLETSLKIHAISLHPGASIEHQLSYVFANCGCNSIFNVPIDISGVSMVTTFLAEVPLAKSLTGVLKICGQDWLLLVNCHIITSLMLFLSPSRFVTALSTLPTAKVTDFYDCDPANPGQPFAPFLFFKLSKKRQPVISFNPMIPLMLNLSGMKFDCVLQTMIQAKIFLLISIFGDE >OGLUM11G02810.1 pep chromosome:ALNU02000000:11:2002657:2003729:1 gene:OGLUM11G02810 transcript:OGLUM11G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTRGVSSSSAAAALALLLLFALCFFSFHSAAAARAVPRDEHQENGGVKAVAAVAADQLVLQLEGDTGNGDEVSELMGAAEEEAAACEEGKNNDECVQRRLLSDAHLDYIYTQHKNKP >OGLUM11G02820.1 pep chromosome:ALNU02000000:11:2009006:2009737:1 gene:OGLUM11G02820 transcript:OGLUM11G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEEQLATTPEMEMEMEYIPLDGYNSHYVRDDDPYRRYCELRMLAPPANEISGRLLEEADEDAAMSSSLWDDEFELTGGADADRFTPPGPRLPRPPTHHLNIFSSRLQRLASTRAPPPMPPPIDDGDFGVVFLTGGARRHVAVAAARVAPADTSCLGKSKYEEPRGGGETARGGADSTGCVICIAEFEVGDELSTIPCAHRHRFHDKCLAEWLKRSRSCPLCRHLLPAVVPANTRPNIHFL >OGLUM11G02830.1 pep chromosome:ALNU02000000:11:2020438:2021343:1 gene:OGLUM11G02830 transcript:OGLUM11G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAFENLVTLLEAYQRLGDSDDDDGDGGIDRPAAAANGDGGDGEGDGEEEDSDALSQYASFLLGNGDNGGGSGQGGAELGEVHNGDDDDGGSFAMGAVESHSYEDAIIVGSTDDAGSSLHHGDDELPLPLPPAELPPPGSAGNAPPAPLEAMTMSFLQEAAMRRRQGTTNGDGQTILIQRLGELLRAYRANAAGGANGGGAPRSGRQRPASAAAVAALEKRKHGGGGGGGAAAQCVICIEDYEVGDDISVMPCSYGHSFHHACLADWLARSRFCPLCRHKLPAADDDDQDDAPDGQAP >OGLUM11G02840.1 pep chromosome:ALNU02000000:11:2023377:2024900:1 gene:OGLUM11G02840 transcript:OGLUM11G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDKGDPAIAGVVAALCVASAVMVAVVDGAKGDGRVTQLSNGFTARHSPDAPAPFEPVLYAANGAFAFGFLRVGAASLDLAVVHLASSFPVWRATPARVWDWSRPATLTFDTGLVLAGGNDAAGVLWQTLNAIGDTVVLLNSSNLVVRRFAETRPAWQSFDNPSDTLVLDQNLTVSSPPLISGNRRFALRLAKTYMSLHMEFYGGRATPMYWQHTALEAQPENATQPPVYGCLDGRGFFGLYLQGSGEKVDVLSFDTFVQNLTGAFRRMTLEDDGNLRAYYWTDDAKAWTADYKAITAPCELPTSCGAYGLCVPGGGEAKCQCLTNSTATSPPCSAEETTDLCGDGDSDGGQVFDEVRLKRVSVAYKERLPFEANATAEQCEQACAGNCSCWGAVHSGASGYCYLLDFPVETMVYEADDRKVGYFKVRRPPRSSTRRGMSPGAKAVTAALSLILASLAVAGAYVGHRLWQRRQRRRRAGGMEQELTSSGPYKDLKSMGSSNSSFKS >OGLUM11G02850.1 pep chromosome:ALNU02000000:11:2057766:2070566:1 gene:OGLUM11G02850 transcript:OGLUM11G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGIIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSVEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTMETDEEF >OGLUM11G02850.2 pep chromosome:ALNU02000000:11:2057766:2068804:1 gene:OGLUM11G02850 transcript:OGLUM11G02850.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGIIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSVEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTMETDEEF >OGLUM11G02850.3 pep chromosome:ALNU02000000:11:2057766:2068804:1 gene:OGLUM11G02850 transcript:OGLUM11G02850.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGIIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGWCH >OGLUM11G02850.4 pep chromosome:ALNU02000000:11:2057766:2062890:1 gene:OGLUM11G02850 transcript:OGLUM11G02850.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoglycerate/bisphosphoglycerate mutase family protein [Source:Projected from Arabidopsis thaliana (AT5G22620) TAIR;Acc:AT5G22620] MLLLAPSPAAAAAAARRRKVGGSALRVRCSSVRELERSPNPRPGERLPPLREAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGESQAETSRLMLLSDSFDACFTSPLARSRRTAEIIWADRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGIIYRQWQKNAANFSIDGHYPVRELWDRAQNCWERILAHEGKSVLVVAHNAVNQALVASSLGLGTEYFRILLQSNCGASVLDFTPQAGGGPPAVCLNRLNQTPNSPVASGSSAGRKTSKRIILACQGATQNSAEIGVSGMGYAPLNMLGIIQSQKTAELLLDQKVNGILCSPQVAAFDTATTICEVQEAADCLGADCVPRYVEMKKLLELEIDDAFQTKQKSFGEIAQSGWLGSVEYKTLEGLWNQSKAAWQALLNELQDDTSERILVVVGHPGINLALICRCLDLTMDYMSSFHLDDGSISVIDFPDGPKGRGVVRCTNYTAHLGRWSIPITRTMETDEDIFLAGPAGWLPSTGACCLRLVHPVTTLIGVLYADPCGCRFPHPVRHLWTTRCSGHDCSSLCCSRQALGD >OGLUM11G02860.1 pep chromosome:ALNU02000000:11:2073278:2077484:-1 gene:OGLUM11G02860 transcript:OGLUM11G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVSTSMVLTLLGFCGSVLFIVFVCTRLACSLLRRHRRRRRARLPAASSHFLSSVYVVDHHRHLPPSGLDPATVAAFPTRAFLGAAPRGHASASSSSSSSDAAAQCVVCLADYEEKDAAAMVHHFIFPAAFATVWGLDCADMIQSTMGEVKHLCLVKFKEEVLVDDILQGMTKLVSEMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASSEDLTTYMSHERHQEFAGTFMAAIDKVVVVDFPVVAAKPAPPAAPAAAA >OGLUM11G02870.1 pep chromosome:ALNU02000000:11:2083005:2090972:1 gene:OGLUM11G02870 transcript:OGLUM11G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGGEPDPAADELQSLSFASSDRSRSRSASTVSTATTTSTTTTTTTTPPRLGAVALSDIRFLKRLGAGDIGSVYLAEVRGAATALVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLFGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSESAVRFYAAEVVAALEYVHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHSSSSSSSSCIIPSCIVPAVSCFQLFPGRGRHRRRRWRGRKKPSSGGGGNGGSSFPSGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGHDNEMTLANIVARALEFPREPPVSAAAKDLVTSLLAKDPARRLGATVGAAVIKRHPFFSGVNWALLRCATPPYVPPPFSVATATAANAAAANADMSDDDDSCPGTPVEYY >OGLUM11G02880.1 pep chromosome:ALNU02000000:11:2093524:2093757:1 gene:OGLUM11G02880 transcript:OGLUM11G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQIGKPWRFDGYGRRRRRWIGGGGDSSATADATFLDATVALHRRWRRRIVADCGQRPRCVAQHAE >OGLUM11G02900.1 pep chromosome:ALNU02000000:11:2105433:2106002:-1 gene:OGLUM11G02900 transcript:OGLUM11G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTTSREHGTVASAVAAVRAFHEQPVPRDQMPLFVGLGKGDCRAEDIVEKFGGHLWKVYDGGKWDWREAVGALSRAESAVEVDAASQRHRLIDLLKIVESRLGRHAVADAVRSWHAAAAVRPELPFTRNEGFVGRESELLDLEADLFGKRPMHLVEVEVFGGEPAFMDGKECQGSTKIML >OGLUM11G02910.1 pep chromosome:ALNU02000000:11:2108580:2109880:1 gene:OGLUM11G02910 transcript:OGLUM11G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLSAVALAAFLLLAVVVAAAAQPKPKPGKGGKPEKGETPGKGKPEERETPPGKGKPEEKEKPEKKKIKVKCQESRKLYPYCSAKMMECPDTCPTSCFVDCDACKPVCVCNVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDDGGAHRLYVGARKTAAWDDDVDRLEVIVDGEPVLLPEDAGATWTSAAVPALTVTRTKAANGVLVALDGRFKLRANAVPITAEDSRVHRYGVTGDDCLAHLDLAFKFDALTGDVHGVVGQTYRSDYVNKFDVRASMPTLGGDHSFATSGLFAADCAVSRFGHGGRAAAVAMAA >OGLUM11G02920.1 pep chromosome:ALNU02000000:11:2112221:2112682:-1 gene:OGLUM11G02920 transcript:OGLUM11G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPVRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGAAGASLQEID >OGLUM11G02930.1 pep chromosome:ALNU02000000:11:2121748:2123280:-1 gene:OGLUM11G02930 transcript:OGLUM11G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWGARCAGLAFFAFSVCLAALGVVLLVARRWPWCSCHVCRAYLTGSWAREFTNLGDWYAHLLRRSPTGTVHVHVLGCTVTANPANVEHMLRTRFDNFPKGRPFAALLGDLLGDGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVLDLQDVFRRFAFDNICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWRAKRFLNVGSERELRKAIKVVDELAAAMIRERQKLGVGSSHDLLSRFMASTGVDDAAADDKFLRDIVVSFLLAGRDTVSTALTTLFMLLSKNPEVAAAMRAEAEAGDGGETGAAITYEHLKGLHYTHAVLHENMRLFPPVQFDSKFCAAADVLPDGTYVGGDARVMYHPYAMGRMPHIWGADYAAFRPARWLTGPGASFVPANPYKYPVFQAGQRVCLGKELAVTEMKAASVAVVRAFDVEVVGENGRSGGAAAAPRFVPGLTASISGGLQVRVRRRVHT >OGLUM11G02940.1 pep chromosome:ALNU02000000:11:2142366:2145777:-1 gene:OGLUM11G02940 transcript:OGLUM11G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVGGDVRVLKPLMHLLLGLVMYWVAEEMTVPVLVDVTTRALCPGADIACLEAIYLTGLHQTVGGIFRAVGYTLMGQLADEYGRKSLLLLTASTSIIPYGVLACNKSKIAVYIFLILRTLSFMIGQGTITSLAVTYTADVVDPSKRAFAFGCITGILSASHALGNGFSRFLPERWIFQVSVALLISSVIYMKISLVETLQRASSGSFEHMSFSSLVVRLPLRRWESIKENINIIRRSETLSRITYISFFYELGMIGISDVLMYYLKSVFGFDKNQFSEILMVVGIGSIFSQILVLPVIINTVGEKGVLCVGILASVAYAVLYGLAWSYWVPYLTSSLGVIYVLVKPATYAIISGEVDSSDQGKAQGFISTVKSTAVLLAPLFMSPLTSYFISEQAPFNCKGFSFLVAGFFLAISLGISFMLKPEAKCTRVAVPDQLDHEAVQAPLLAQP >OGLUM11G02950.1 pep chromosome:ALNU02000000:11:2147075:2155018:-1 gene:OGLUM11G02950 transcript:OGLUM11G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFD6] MTCNYALLSQVVSSSGLSPGWPPMMMATAAMAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAGGGHPADELTVQIQTRHNIPSLRPPVRTLSGFRRRAGLRRRRAASAAIPIWTPMSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMIREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQERFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >OGLUM11G02950.2 pep chromosome:ALNU02000000:11:2147075:2154943:-1 gene:OGLUM11G02950 transcript:OGLUM11G02950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFD6] MATAAMAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAGGGHPADELTVQIQTRHNIPSLRPPVRTLSGFRRRAGLRRRRAASAAIPIWTPMSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMIREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQERFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >OGLUM11G02950.3 pep chromosome:ALNU02000000:11:2147075:2151483:-1 gene:OGLUM11G02950 transcript:OGLUM11G02950.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFD6] MSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMIREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQERFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >OGLUM11G02950.4 pep chromosome:ALNU02000000:11:2147075:2151483:-1 gene:OGLUM11G02950 transcript:OGLUM11G02950.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFD6] MSQVRSAPELDSRRRRRDSDDESGERDLDTRRHRRRSPSSESCSSSGDDDRSRRHRHDESSRRRQRDQSHRRDRGGHDERRRRSPQRRKEPTPPPPLLPEMIPGRTGGIYIPPFRMAQMIREVEDKSSAEYQRLSWDALKKSINGLVNKVNATNVKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRGNPNFAEDEKAYENLKRSILGAESSDDEEGSDDSSDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQERFCMINKVYQDNFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQKQKPASSESDSSDSGSGSDSYSSESESSSDESDRKRNKRRKKAYWYWSNSKRLDEPNMQDYFFSIFPRDHPKNTSFSTNFFTSIGLGCITMTMSEIT >OGLUM11G02950.5 pep chromosome:ALNU02000000:11:2152248:2155018:-1 gene:OGLUM11G02950 transcript:OGLUM11G02950.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFD6] MTCNYALLSQVVSSSGLSPGWPPMMMATAAMAASSCDRGDTRKKLQITVVFLVRTLLLACIIARGVLALIRVAFRVAVVAPARSLVAVAGAAFSAVNARCAWCLEQAALGRSCTGTVLGDAVVGAMASSWRLLLQGITSLVFLCARGADEYVRPPPSPLVLTAHGKPASHPQQVHISMVGEKNMRISWVTDDLNAPSVVEYGTSPGKYTASATGDHTTYRYFLYKSGAIHHATIGPLEASTTYHYRCGKAGDEFTLRTPPARLPVEFVVVGDLGQTKWTASTLSHIGGGGGDYDVLLLPGDLSYADTQQPLWDTFGRLVQPLASARPWMVTEGNHEIEALPVVGIAPFAAYNARWRMPREESGSPSNLYYSFDAAGGAAHVVMLGSYAEFEEGSPQRAWLERDLAGVDRRRTPWLLALVHAPWYNTNEAHQGEGERMRRAMESLLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMFIKGHKSAHLSEFREASFGHGRLRILNETSAVWTWHRNDDQFATVRDEVWLHSLAAGEPAATVASAGGGHPADEL >OGLUM11G02960.1 pep chromosome:ALNU02000000:11:2158279:2160077:-1 gene:OGLUM11G02960 transcript:OGLUM11G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRNLFLRFGVPCPLHRKEPFPRGANLKVSGPSSLSLLSSGVRLLIIFVVVGCPTPSDVFYAINRMRWLCLSRKKVLKDRRRGFGTIATLIHF >OGLUM11G02970.1 pep chromosome:ALNU02000000:11:2160809:2164784:1 gene:OGLUM11G02970 transcript:OGLUM11G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFE2] MLAITAPFSFHIYPQCPISDLARPAMAMAMTNTALAFFLLVAAASFLSLPPPSLAVTSPYVRPKPRATLSLLKDDDDGRKPEQVHISAVGSDKMRVTWITGGDAPATVEYGTTSGQYPFSATGSTNTYSYVLYHSGNIHDVVIGPLQPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVAGKLSCTACSTLRHIGGDDYDMLLLPGDLSYADLYQPRWDTYGRLVEPLASARPWMVTQGNHEVERIPLVEPHAFKAYNARWRMPFDAGASPSGSNLYYSFDVAGGAVHVIMLGSYADYAAGSAQHRWLRRDLAAVDRARAAFVVALVHAPWYNSNEAHRGEGDAMRAAMEELLRGARVDAVFAGHVHAYERFARVYGGKEDPCGAVHVTIGDGGNREGLAGSYVDPQPAASAFREASFGHGRLEVVNATHALWTWHRNDDDEAVFADQAWITSLASNPACNK >OGLUM11G02980.1 pep chromosome:ALNU02000000:11:2161682:2173756:-1 gene:OGLUM11G02980 transcript:OGLUM11G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPGEEAASPDTAGRGGGGTICGRARRRRCRQGGGATTTPRRCHSLHALDAGGRERETLATLTVVPPHRRFSSAYRPCLRSPILNVSLPKMTGDNTGRAIPELGCVATAAQRGIIFVLVVVSAPTGDGGRGTTGEAGRGNAGAAVEGAGAASECTEKGRRGSETDDDGAAAPALTTLAAAEAPASGCCWDDGEEAAAAAAVEGLFLSLSLARSALFSLSSSCAFFLSRSFSCIMHRFSRHGSEESNVHWAQVLAMVYICLNMNISNTNHRKGLVIMEQETAKH >OGLUM11G02990.1 pep chromosome:ALNU02000000:11:2165662:2168313:1 gene:OGLUM11G02990 transcript:OGLUM11G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARNYLNLDFDVSDTKPLPDYCFSEASEVWRAHSDRSDEEREQEQAVLRFYEEKEKELEAIDDCSEALGDVDCDDEEEEEDEGTCELCMNEGLEPSPRNHGEHLCPVYLEMVNQQILEEIKDQDLHNEDNNIFERFGVMVDWEDEDEDDDDEEFEEINKKFIQLLGHGNELAREIMDGAPAA >OGLUM11G03000.1 pep chromosome:ALNU02000000:11:2171559:2171831:1 gene:OGLUM11G03000 transcript:OGLUM11G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTNLYLENLCIMQENERLRKKAQLLDKENKALLAKLKLKNNPSTAAAAAASSPSSQQQPDAGASAAASVVKAGAAAPSSSYGGKKTK >OGLUM11G03010.1 pep chromosome:ALNU02000000:11:2176051:2176479:1 gene:OGLUM11G03010 transcript:OGLUM11G03010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDGVKGAAAVVFVDDDDDELFDIDIALLDRHYYHQRRRNDDDEAEDEDGGGGDALLANCLLPVSSVSKAVPVTATTTASSSSASTFLPAVRYGGSRSMLLFNGRGGGGRRLVRRGSNSSARFWLAARGLDAAMGNFQRY >OGLUM11G03020.1 pep chromosome:ALNU02000000:11:2178417:2179327:-1 gene:OGLUM11G03020 transcript:OGLUM11G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVGRVIGEVLDTFNPCMKMIVTYNSNKLVFNGHELYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVISYESPKPNIGIHRFIFVLFKQKRRQTVIVPSFRDHFNTRRFAEENDLGLPVAAVYFNAQRETAARRR >OGLUM11G03030.1 pep chromosome:ALNU02000000:11:2183243:2186166:-1 gene:OGLUM11G03030 transcript:OGLUM11G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKDLVDLDVAKAERNFLLEWVTKSPKNPDRTFIMLKNSPGA >OGLUM11G03030.2 pep chromosome:ALNU02000000:11:2183633:2186166:-1 gene:OGLUM11G03030 transcript:OGLUM11G03030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLKDLVDLDVAKAERKGVGSEACD >OGLUM11G03040.1 pep chromosome:ALNU02000000:11:2187941:2193521:-1 gene:OGLUM11G03040 transcript:OGLUM11G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASSSSGHPRQNPHVLGYGFHGAMPNSLPSANLFEQQGGANYFGELEEALMQQVATLRRTQQTATTTSTLHHGDTTPFSTTATAAATARPPPTLDIFPSWPMRSLHTPKEGSNVTADTTDSESSSKNNSNQNASSDQHGLVGDMAGQFDQIPQQEQHKKMATNSPTHSSKTGKALDPKTMRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDIHRARSQGLLLGAPGGNTSSGAAMFDVDYARWLEEDSRRMAELHGGLHAHLPDSDLRAIVDDTLTHYDHLFNLKGMAAKADVFHLITGMWATPAERCFLWMGGFRPSELLKTLTPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLDQLHQSLAETVAGGSPLDDPNVESFMGHMAIALGQLSNLEGFVIQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAHPSESQYSAF >OGLUM11G03050.1 pep chromosome:ALNU02000000:11:2193615:2196533:-1 gene:OGLUM11G03050 transcript:OGLUM11G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKAGEAGKALAPLREAVGNPGRRGGVGGPPAGDAPVHRRQPRRCAQIYQEAAGGARATALRNGQACLLSLLLVIEGKPQAAQKPDC >OGLUM11G03060.1 pep chromosome:ALNU02000000:11:2202747:2203112:-1 gene:OGLUM11G03060 transcript:OGLUM11G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLVIQDDRDKEIKIMGMDGGEILKLHRDAAVSDSDDPAADMAKAAAAAAAAAAADTAGGVVRVKLVISKQQLKKMLHKDGVSLDDMVSLMQREASEQEMISCRGWRPALKSIPEGSDC >OGLUM11G03070.1 pep chromosome:ALNU02000000:11:2209822:2210055:1 gene:OGLUM11G03070 transcript:OGLUM11G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLVSVLPSPLLSPTSLAVVEPHRILLAAVADVNTPSAAQVRPAEMRGEKKKKKKKKKKKKKKKKKERERGGVEKG >OGLUM11G03080.1 pep chromosome:ALNU02000000:11:2214144:2216227:1 gene:OGLUM11G03080 transcript:OGLUM11G03080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMAFEPDKVGTVTVNGKVYSFRRVHWHAPSEHTINGEKHPLELQMVHAAADGSLAVIAILYKYGAPDSFYFQLKRKLAELAADGCSFGEENAQVALGLVHLRSLQKRTGSYFRYAGSLTAPPCTEDVVWSVLGKIRQISQEQVALITALLPAGGARPTQPLNGRTVQFYNPPNSTISFKV >OGLUM11G03090.1 pep chromosome:ALNU02000000:11:2216869:2217585:-1 gene:OGLUM11G03090 transcript:OGLUM11G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARDLSGDPPESTRLRIGDDIAWSDVGGVYDRDDSLKENTNPKCILKNHLPGAHNGGSQRFSGNLKPTAAPIIGISGKLGQGGKNRHHPPAMFPKKVAVTGGGGRNPKAAVPEHEPTSPKVSCIGKVLSDRERARRGRRPAGRMVPAGGCCPGLGGLFRRSHSRKKNAVECVDQSPPPPPPWASRRGEPKEVEEAAAAAMAPGLGGMMRFASGRRAADWATEMEPDGRVARSGPL >OGLUM11G03100.1 pep chromosome:ALNU02000000:11:2226182:2231277:1 gene:OGLUM11G03100 transcript:OGLUM11G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEVALLRGPTNLASPTSSTLRYLANGDSDVSLPRRSSREEESPAGLQEEEEEERWSFLALLLELLRKSLLRCRTVQEGGGGGGECGMEIGWPTDVQHVAHVTFDRFHGFLGLPVELEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDIHCLSGLIKAWFRELPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLEWAVNLMADVVQEEQINKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKMLIQKTLKGRQESNLEDTSLPHKDPSDESGHHKPCLTLESLLEEESRRPSFVEEEPILNSPAHGTGYNPIEVNPVQGKTAASIAQTSEVQTIIEGSSSCSRPSLTDPPATADPVCAEAANSLQRKGSRSLNSRRTRKGKGQSGTSATSSAEKSKGTSIVSRINSKIERIEAWR >OGLUM11G03110.1 pep chromosome:ALNU02000000:11:2233290:2234085:1 gene:OGLUM11G03110 transcript:OGLUM11G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALKRLFEKPLPENPTLLEALSAWNHTHRKKLVDPASFTEIFGELHFQENPPVVDSLARAAARPSPSPPPPPPPRRTVSWLDITDAATADNDNDKSKDDSSLDALLKPPRPASGGATVKRSASFCLKSSTSLLLCTEGLGSESTVDADDMVKDGDGSGAVVDSGMDVDDDASDVAAAVAGDDAFGAGGFVLMEVVIPGKDLLRATREGGRLRLQFANAAAAAAAVGVIDDEEMHGQEAAACVGGDTFA >OGLUM11G03120.1 pep chromosome:ALNU02000000:11:2237046:2252925:1 gene:OGLUM11G03120 transcript:OGLUM11G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMVPSLVLYFKHTLRFLDYRMLIDQSQGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGAGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRVTRRKNTMTTMTASIVSRQCGCGFYDGPAAMKPRIVLVTLLTCYGFTNGDCYRLLFARVAHSHNSSASLSISIASHVLVMKPSSLANPLGHHRFFSQRRSRPSINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >OGLUM11G03120.2 pep chromosome:ALNU02000000:11:2237046:2252925:1 gene:OGLUM11G03120 transcript:OGLUM11G03120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMVPSLVLYFKHTLRFLDYRMLIDQSQGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAAFALRLTRISFLIWPALVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGAGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRVTRRKNTMTTMTASIVSRQCGCGFYDGPAAMKPRIVLVTLLTCYGFTNGDCYRLLFARVAHSHNSSASLSISIASHVLVMKPSSLANPLGHHRFFSQRRSRPSINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >OGLUM11G03120.3 pep chromosome:ALNU02000000:11:2237046:2252925:1 gene:OGLUM11G03120 transcript:OGLUM11G03120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAASLVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGAGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRVTRRKNTMTTMTASIVSRQCGCGFYDGPAAMKPRIVLVTLLTCYGFTNGDCYRLLFARVAHSHNSSASLSISIASHVLVMKPSSLANPLGHHRFFSQRRSRPSINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >OGLUM11G03120.4 pep chromosome:ALNU02000000:11:2237046:2252925:1 gene:OGLUM11G03120 transcript:OGLUM11G03120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKATSSTTLALSSPPTAAARRSPAKAIVASHHLRHSSVPALHLRAVPGPSFRALPSPGFPGWRRKRGSGLVVRAEMFGQLTTGLESAWNKLRGTDQLTKDNIAEPMRDIRRALLEADVSLPVVRSFIESVTEKAVGTDVIRGVKPEQQLVKLMGGEVSDLVFAKTAPTVILLAGLQGVGKTTVCAKLAYYLKKMVPSLVLYFKHTLRFLDYRMLIDQSQGKSCMLIAADVYRPAAIDQLTILGKQVGVPVYSEGTEAKPSQIAKNGIKEAKSKKTDVIIVDTAGRLQVDKAMMSELKEVKRAVNPTEVLLVVDAMTGQEAAFALRLTRISFLIWPALVSTFNVEIGITGAILTKLDGDSRGGAALSIKEVSGKPIKFVGRGERMEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQAIAQMGSFSRIIGMIPGMNKVTPAQIREAEKNLKFMESMINVMTPEERERPELLAESRERRIRVAKESGKNERQVSQLVAQLFRMRAQMQKMMGAMQGQDTPDMEGLMDSIKAEEQAAAGTGKRRRKYGNLRRRDLDAMRDVLGSRPKRLTTCLPPPPPPLRRNPKPARPPPMAPKKDKAPPPSSKPAKSGAGKQKKKKWSKGKQKEKVNNSVLFDKATYDKLLSEVPKYKQITPSVLSERLRINGSLARQAIKDLESRGAIRVVSVHSSQLIYTRATNA >OGLUM11G03120.5 pep chromosome:ALNU02000000:11:2237046:2246683:1 gene:OGLUM11G03120 transcript:OGLUM11G03120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEATALTLSSRPAAASRSPAKGTFASLHPRRRFSAHAVHLRAAQSASLRAPSPGARRRRRRGSGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIVEPMRDIRRALLEADVSLPVVRRFVSSISEKALGSDLIRGVRPEQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLAFYLKKLGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGTEAKPAQITKNAVEEAKRKNIDAIVMDTAGRLQIDKSMMVELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGRGERMEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEDAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVVGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRDRRIRVAEESGKSEQEVSQLVAQLFQMRAQMQKLMGVMTGQEALPGMGNLMESLNADEKAPPGTARRKRRHSKTRQRELDAVPSS >OGLUM11G03130.1 pep chromosome:ALNU02000000:11:2254597:2257634:1 gene:OGLUM11G03130 transcript:OGLUM11G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G25100) TAIR;Acc:AT2G25100] MAAAVAPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYQDTLATLKFADSKTLKEEQREELFESLKDNSSIGWEVDVICPKELSAKMLKRSKVNLNEISHNSAMGLVKRALDMGVLLAEVYIDTVGDAEKYRIKLTEKFPGIKFVVAKKADSLFPVVSGASIVAKVTRDRALRNWVFDETAQNMHMNTGSGYPGDPATKQWLEDHKHPIFGFPSLVRFSWGTCTPFFNDAIEVTWESDELEEDAGSNGSVKRQVKLSSLGFTGFKRKSEEIDSSGKGRCKFFQARKLELVRKFQ >OGLUM11G03140.1 pep chromosome:ALNU02000000:11:2259415:2261693:-1 gene:OGLUM11G03140 transcript:OGLUM11G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSWAWRQAAAVSRVEEDSDGPPPRKPEPRWTQYLSWWRWKSIGAGHPDVEVDGGGGSSALAVQGGEESRRWCTFACKWLWRTSKNNRTQEDEVNQIGRRPEQRPPLDALQKCVQSAIDDAKLDQEVVVLVPPASGVDERASSPWPDLLPELAGMVFCRLLSYRDRLRFQAVCRSWRLAAPRQHPLPPPLPWLSLDCATYQSLPDGEVHRLVPDPDDDELPGTVCRGSFDGWLLYHRPPEQPPPQPQRQPECFLRNPLSMAKIALPNHAPVGLCPGGCYDAMCFPKPEEFMRKIIVRSPDHVAAMTDYFILLHLPPKPPQLPYWSFTAATISIDDGGLFTSHHCWRDMALYHGKLYSISTGEELFVHEFSSSEAVSSPSSSTTTATQHRPRYCRGEVVINTAPPLDDDEQGYYWVRNLHLVESCTGDKPLLMVRWRWRLPAVYDYRHWCADELSKEIKLDVFEADMENRRWSEVEEIGDQAIFLGNTNSKAVPTLPDHGSSIFFLGSIVTDYCLDGIIDGIGDCTYGVYNMKNGTFRFDNPVSIKRDRVPLGLDDDGYPPKRWRPRWIADWFFPS >OGLUM11G03150.1 pep chromosome:ALNU02000000:11:2263320:2264729:-1 gene:OGLUM11G03150 transcript:OGLUM11G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAASNCGLQRRRRRRPSSDDRLEGELGRNLVGEVVAVPPAGAGDDDDGRGSPWSDLPPELAGLVFCRLLSHGDRRRFRAVCSDWRLAAREQVAVTTGPSSSSLQLPPSLPWLALDRRTYQSLPDGEVHRFADGPGIMVCRGSFDGWLLYHRNGYRDIRSSFLWNPFSGAVLDLPSRCDDAAGGEPMCFVNAIKRKIVVCSPDLVAAAVEYTSLIFHLPNKHSSWARTNPNICCHDIAFHHGKLYSINNNDELFVHEFFTTTAADRGGGSARVTASSDWAAVTDARPPREHLGNHGYHLRFTSYLVASLAGKLLLVRWSLPDELFSGEGGRLAFSLLSNLITVRVFEADMEARRWTEVTDIGDDQALFVSATCSRALRLPDNNGGGRHGFLRGNRVFIVGSDLGRRCGGGGGGGGIGCCCCSCGVYDMSNGRFSTVSLKRWRAGHEQRSRDTSVNETMRSEWFFPSEL >OGLUM11G03160.1 pep chromosome:ALNU02000000:11:2266351:2266866:1 gene:OGLUM11G03160 transcript:OGLUM11G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLLHFRPPKPTSANAVAAAATADGDLLELDVLWPASRAPGLLAALPEEDGKRRRKRGGSGSGSVAVRSAARPIPETAALTLVPSSSAAAMAKSAPVRIPSEAAAARRGVMWAAQAGGEDGEAAMVPPHEIVARRAAAHSSVLEGSGRTLKGRDLHRVRNAVLRRTGFLD >OGLUM11G03170.1 pep chromosome:ALNU02000000:11:2276759:2279322:1 gene:OGLUM11G03170 transcript:OGLUM11G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRSGGGGAISDPFATPGFRFYPTEEELLGFYLRHRLAGTRPDVERVIPVVDVYGYHPSQLAAVAGEASARDTEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSCVISSATNRVIGVKRTMVFYQGRAPTGTKTRWKMNEYKAVADDAAMLHPMAPPRLRNELGVCRVYISTGTLRSFDRRPLDNQAAPTQQQVMPSLTAAAAVNTNLAGAGAGAGVVFAGAQGDSSRDCSSSSGSRELAGGADGSEDDAIDWNSLISSATADDLGFNTVVGFDPSIVGSWPQV >OGLUM11G03180.1 pep chromosome:ALNU02000000:11:2286836:2289532:1 gene:OGLUM11G03180 transcript:OGLUM11G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQRQCRRRGVGVDVELDAAMALADMAGAAPGQPEASPPPHATQEAAAAAVAVAKGEQEDEAEMASTRLSLELGKVGIQSSSPCSSSSSVGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKVAIRTIKKAAPYQEQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRSAPGAGGSAAGAGQHASISDREKATAAAEARKRRKELTKLKQMHGGGGGSRPGGGGEHW >OGLUM11G03180.2 pep chromosome:ALNU02000000:11:2286836:2288894:1 gene:OGLUM11G03180 transcript:OGLUM11G03180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQRQCRRRGVGVDVELDAAMALADMAGAAPGQPEASPPPHATQEAAAAAVAVAKGEQEDEAEMASTRLSLELGKVGIQSSSPCSSSSSVGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSQNETMKKEKDVVMQEYLSLKETNKQLKEQAQSHLSLSLI >OGLUM11G03180.3 pep chromosome:ALNU02000000:11:2286836:2288894:1 gene:OGLUM11G03180 transcript:OGLUM11G03180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHSSSDGQRQCRRRGVGVDVELDAAMALADMAGAAPGQPEASPPPHATQEAAAAAVAVAKGEQEDEAEMASTRLSLELGKVGIQSSSPCSSSSSVGHPAMQPAAAATAAPGYGPRPRHMLTEAEKEAKRLRRVLANRESARQTILRRQEKDVVMQEYLSLKETNKQLKEQAQSHLSLSLI >OGLUM11G03180.4 pep chromosome:ALNU02000000:11:2288784:2289532:1 gene:OGLUM11G03180 transcript:OGLUM11G03180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHNVAIRTIKKAAPYQEQPTSSPGGGTAEEDTDDDPCSLTLAIDVDKRSAPGAGGSAAGAGQHASISDREKATAAAEARKRRKELTKLKQMHGGGGGSRPGGGGEHW >OGLUM11G03190.1 pep chromosome:ALNU02000000:11:2291472:2296936:1 gene:OGLUM11G03190 transcript:OGLUM11G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGSRVPLPFLGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >OGLUM11G03190.2 pep chromosome:ALNU02000000:11:2291472:2296936:1 gene:OGLUM11G03190 transcript:OGLUM11G03190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPPPQPQPTTTATTSANGGKVTPNLTMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPATTTIVSDAPDESLSAASIGSVSNAPMAGRAHPSSESVATPHVPLIIPSATPTHQIPPPLVGSSAPPLPLHDTNVHTARSANLVTPAFFTPPSSSSTSMVPPASSMMPTAPPLHPTSASAQRATYGTPLLQPFPPPTPPPSLTPSYNEGPIISRDKVKEALLRLVQNDQFIDLVYRELQNAHM >OGLUM11G03190.3 pep chromosome:ALNU02000000:11:2291472:2296936:1 gene:OGLUM11G03190 transcript:OGLUM11G03190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKPTNAAAPTPEQQRSTMPSRLAFHSFLALFPLTNSSYRCLAAASAPLPRRAPAMAAATAPSPSWPLTPPPSPSSTHRLTSLTCPYLSSQVTAASRATPPPSITVNRATVSPVSHFRSTATGRRLEAFMARPDAGSMSIQLSVSELGVIGDGAEKPSWTSASTRNGEPRNHADEVSVSTTRDMMQTPPWCRSTAETRRDGRDPGTGAGAADATAAAASGNGNASAKNARYPSPPPPPPQPSTSRTDGHRARQRPRHVSWSTASSRKLEHAHPTAASDAPSSRRSTSARTSVGRSSITVPTPPPSPPPHAGARSSSIAATLFFPSVYVSVTCAATWRNL >OGLUM11G03200.1 pep chromosome:ALNU02000000:11:2295517:2296485:-1 gene:OGLUM11G03200 transcript:OGLUM11G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELRAPACGGGDGGGVGTVIEDLPTDVLALVLRRLDGASLAAVGCACSSFRELAVDQETWRGLCLALWPSVRDVLGCGGGGGGDGYRAFFADAFPFPEAAAAVASAAPAPVPGSLPSRLVSAVDLHHGGVCIMSRVVETDTSSAWFLGSPFRVDALVQEGFSAPSPITPSSLTLSWILIDPASGRAMNASSRRPVAVDRKWLTGETVARFTVMLGGGVALDAAVTCDDRYGHVREVSLCVEDGEGGGVSGQDGLGAVAAAMAGARRGKGAEAAARQRYEEFVKGKRARKEWKARREGIVDLCCSGVGAAAFVGFLVMLTFR >OGLUM11G03210.1 pep chromosome:ALNU02000000:11:2321753:2327914:1 gene:OGLUM11G03210 transcript:OGLUM11G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKSFNEVDLVAVGVILLISVCICYSTKESSVVNMVLTAVHVAFILFIIVMGFWRGDTRNLTRPVDPAHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPVGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLAKVHPRTATPVNASAFLGVFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVAAAADDDADHRRAWPTLVFLAAFSLVALCFTLLWQFAPTGRARTGLLAACGAAAVATVGAFRALVAEARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAVLVYVLYSVHASYDAEEGGGAGAGAAALDGAKVQDEAADFKV >OGLUM11G03220.1 pep chromosome:ALNU02000000:11:2331389:2338925:-1 gene:OGLUM11G03220 transcript:OGLUM11G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWRGVNLGSWTMNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVGEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILTSGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGVKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAVISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >OGLUM11G03220.2 pep chromosome:ALNU02000000:11:2331387:2338339:-1 gene:OGLUM11G03220 transcript:OGLUM11G03220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVGEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILTSGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGVKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAVISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >OGLUM11G03220.3 pep chromosome:ALNU02000000:11:2331387:2338339:-1 gene:OGLUM11G03220 transcript:OGLUM11G03220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVGEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPRLGSTDRAQNQYLMFLDELNSKKQSEPHATPSVFWTIVSCHKSGILTSGFFALLKVLTLSSGPLLLKAFINVTLGKGTFKYEGIVLAVTIFFCKCCESLSQRQWYFRTRRLGLQVRSFLSAAIFKKQQKLSNLAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWTTSVQLCIALAILYNAVGLAMISSLVVIIITVICNAPLAKLQHKFQTKLMEAQDVRLKAMTESLVHMKVLKLYAWETHFKKVIEGLREVEYKWLSAFQLRRAYNGFLFWSSPVLVSAATFLTCYLLKIPLDASNVFTFVATLRLVQEPIRQIPDVIGVVIQAKVAFTRVVKFLDAPELNGQRRNKYRAGAEYPIALNSCSFSWDENPSKQTLRNINLAVKVGVKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGRIAYVSQNAWIQTGTVQDNILFGSSMDKQRYKETLVRCSLEKDLAMLTHGDCTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAVISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGFVGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >OGLUM11G03220.4 pep chromosome:ALNU02000000:11:2331387:2338339:-1 gene:OGLUM11G03220 transcript:OGLUM11G03220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCGSPICSKKDVVSCAFKEILDSSTCMNHLVVFGITALLTVALALHLLIKIPKSRASARQLAAFNSPLQLAAVVFNGCLGLLNLGLGLWMLRISFSQDSSISQSHWWLVILAQGFNLILTSFTFSVRTRFLGATFVRFWSVGLTICAAFICCCSVVYMVGEKEITFKASLDVLLLPGALILLLYAIRHSHDEEGYETNANALYKPLNTEKDHDTADSESHVTPFAKAGFFSVMSFWWLNPLMKMGYEKPLEDKDIPHGEIIQSAPYQDLLACCEEFQDLVNAHKDTIGVSDINNMPLHRAKEISTKETDDIHGSRYGESVKPSQADQLIKIEEREIGDTGLKPYTLYLRQNKGFLYASLAVISQIIFICGQISQNSWMAANVENPSVSTLRLIVVYIAIGVCSMIFLISRSLCIVVLGMQTSRSLFSQLLNSLFRAPMCFYDSTPLGRVLSRVSSDLSIADLDVPFFFMFSMNASLNAYSNLGVLAVVTWQVLFVSVPMIILAIRLQRYYLASAKELMRINGTTKSALANHLGESVSGAITIRAFEEEDRFFAKNLELVDRNAGPYFYNFAATEWLIQRLEMMSAAVLSFSAFVMAILPPGTFSPGMALSYGLSLNTSFVLSIQNQCNLANQIISVERVNQYMDIESEAAEVIEENRPAPDWPQVGKVELRDLKIKYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITTIGLHDLRSRLGIIPQDPTLFQGTVRYNLDPLGQFSDQQIWEVLDKCQLLETVQEKEHGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCTMVLAMSDGKMVEYDKPMKLMETEGSLFRDLVKEYWSYASNGNI >OGLUM11G03230.1 pep chromosome:ALNU02000000:11:2344120:2344530:-1 gene:OGLUM11G03230 transcript:OGLUM11G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09200) TAIR;Acc:AT1G09200] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OGLUM11G03240.1 pep chromosome:ALNU02000000:11:2350467:2351542:-1 gene:OGLUM11G03240 transcript:OGLUM11G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHPLFSQEQPQSWPWGVAMYANFHYHHHYEKEHMFEKPLTPSDVGKLNRLVIPKQHAERYFPLGAGDAADKGLILSFEDEAGAPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGSFGVGDRLFIGCRRRGDAAAAQTPAPPPAVRVAPAAQNAGEQQPWSPMCYSTSGGGSYPTSPANSYAYRRAADHDHGDMHHADESPRDTDSPSFSAGSAPSRRLRLFGVNLDCGPEPEADTTAAATMYGYMHQQSSYAAMSAVPRAIHKKIDYEI >OGLUM11G03250.1 pep chromosome:ALNU02000000:11:2353725:2357175:1 gene:OGLUM11G03250 transcript:OGLUM11G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNCVEYAAAAAELQQRCRSIRSPIAGLTQEDSEIDRSIHRRPAGRRRAVQSSWVLAVAVAG >OGLUM11G03260.1 pep chromosome:ALNU02000000:11:2359223:2364580:-1 gene:OGLUM11G03260 transcript:OGLUM11G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAMTIHLVASLLLLFLSSCCHAVAAGAGVRGRLPPTLATWRQYAAGGAAAAPPLVVQYETRYFTQRLDHFNELPASNGTFRQRYLVNGTFWGGAAAPVFVYAGNEGDVALFASNTGFMWEAAPRFRAMLVFVEHRYYGESLPFGGTRAAAFADASAAGYLTTAQALADFAELILSLKSNLTACKAPVVIFGGSYGGMLAAWMRMKYPHIVMGAVASSAPILGLNGLSDPYSFYNVVSNDFKSESKHCYDVLRNSWSEMYKALATDAGRARLNQTFNMCKGNVDDIPGLVEKALIYGSMMDYPTPSNFLTSLPAYPVREICRAIDKPTSGNDTVSRIKDAMTIYYNSTGGLACFPGAGAEDDDPYGMFPGWTWQACTEVIMTMSYGIGNATVFPPDPFNLTAYLAGCLATTGVPPRPHWIQSYFGGYDIRNVLKRSGSNIIFFNGLRDPWSAGGILKSISNSIIALVEPKGGHHVDLRFSTKEDPEWLKKVRRQEMRIIADWLKQYYSDEAIDSMMN >OGLUM11G03270.1 pep chromosome:ALNU02000000:11:2377561:2378586:1 gene:OGLUM11G03270 transcript:OGLUM11G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKGFTSIFSRLVVVTGGGDDERGGAAATTSPSPPPWPWPSCGNRPRTVSSRRDGGCSTSAAAAASAAAARRMAGEMYKTVNSVYFDYSAADGYSCFDDDDDDGRVVDDDDDGDSFSTTTASEEWSEAVIRSLGRTSTDRFFFDPGPPTNSILAAAAMPETKPVAAAAVFHDEEKEKLPEPPASLVEESVAVAVESEDPYSDFRSSMEEMVAAHGLRRWDALEELLVWYLRVNGKHNHALIVAAFVDLLVGLAAAATTTGTTPTTTTTTSSGRSTASTSTACDITTTTTITTSSATATMEPCPCGGGSSDDLEEEEEARVMISLGASSCSLAPSTFANS >OGLUM11G03280.1 pep chromosome:ALNU02000000:11:2378618:2384393:-1 gene:OGLUM11G03280 transcript:OGLUM11G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRMPRHQSMVIGEEIMRSMVNDMSIRSIRGMTEGVAFSPQSSSSRSAGAAARLHGRYLLD >OGLUM11G03290.1 pep chromosome:ALNU02000000:11:2384408:2385148:-1 gene:OGLUM11G03290 transcript:OGLUM11G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSMARRGGGGGGGGGRHQFPVGRRRRHVPVVDSGCGCRPRRPRLLSLASFIWPSSMSSACKQAAAAAVAVPARGGGGGSKSKSSPYYFRSSSSAASFSSSSAATTYSTASYYSSSRGGGGGAKKKKQEEEPPYLAPPKGKAAAKSPSRRKKKTAEEDDGGGGVGVAVEKESSDPRADFRESMVQMVVEMGLCHWDDLRSMLRRLLALNAPAHHAAILTAFAEVCAQLAAPSPPPPPYGGHHRRS >OGLUM11G03300.1 pep chromosome:ALNU02000000:11:2385471:2404846:-1 gene:OGLUM11G03300 transcript:OGLUM11G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHDSIPHKVKDRSSDLDILLEISGTKFDLDGPRELRVFETMNETDEKDLGDELAQHVAAGREDIIVLDNELDLGGIMPNWDDEILRPKGKEYKVMSRKIKKALPAERKELKGINIELCACKPASHTIEARTDEEQHQLLLRRGVAGSGHRGAMCDGDLSALQTRLPTIDCVASAAADATGLRTCSSTLLCN >OGLUM11G03310.1 pep chromosome:ALNU02000000:11:2401968:2407256:1 gene:OGLUM11G03310 transcript:OGLUM11G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFI9] MLGELISKVLLVLFGYAMPAFECFKTLETRPDDAHMLRFWCQYWIIVSMVIACESFVSWMPMYGEIKLAFFVYLWYPKTKGSDVVYDSFIRPTVMQYEPNIEQRLEHLRANSGQLIAFYIKNFADKGTAFFMDFLRYVVSERPEAAANSEPQRRSWSSWNPFASRRQEPSPPPSAPPRERRFSGADPDDEPPAIADVFRASLGGGGGGAMNRRPHNNNNN >OGLUM11G03320.1 pep chromosome:ALNU02000000:11:2405226:2405573:-1 gene:OGLUM11G03320 transcript:OGLUM11G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFACAYSYCYRSRLRQQYGLQEKPCGDRCVHWCYEPCALCHQPPALAHRALDEKPPRQSPSSALDHQKRLDLGDREPDEWLPQPSPASRYHIDELLPLTTKRMTGFGLPRSR >OGLUM11G03330.1 pep chromosome:ALNU02000000:11:2407988:2408533:-1 gene:OGLUM11G03330 transcript:OGLUM11G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAAVAAVVESASPSPSPARKRCRLGGPVVANGEGGAASNTTTSSEFQLRHWRPAAAGKRAGLGMRRRWAPPEIEIPGGGSGVGVGARGYTSLRDILSSPEYAASSKSSSPADGGSGGGGGGGGDVHMIRHPLVKHAAYAYLQLTPSARDAAGRRRRRSRGPLCRLLLGCLGFVGALFGR >OGLUM11G03340.1 pep chromosome:ALNU02000000:11:2409068:2427937:-1 gene:OGLUM11G03340 transcript:OGLUM11G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWSGRQPARSGTASGGELCGSRSGGNSGGYDNDIGLGSYGFRRPNLWDRWIHYPGSGRGGFAAIDLWSGVDCCGDHDDDDDDDDSGGLQPRHRRTATTTTTRQMEARLVYPEMEEGDGEEKLNG >OGLUM11G03350.1 pep chromosome:ALNU02000000:11:2416165:2419440:1 gene:OGLUM11G03350 transcript:OGLUM11G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGCAVQQALAAEAAGVVRQAVTLARRRGHAQVTPLHVASAMLSAAGLLRAACLQSHSHPLQCKALELCFNVALNRLPTAGPAAAAAIFHHHPHHPGGGGGHHPALSNALVAAFKRAQAHQRRGSVEGQPPPQPPPSPVVASKVELEQLIISILDDPSVSRVMREAGFSSSQVKANVEKAVVASLDHANAAGGGGGHAGSPNSGHGGRRKESSSSRARVDDDAMRVLDCMASGTKRCVVVVGGEGAAAAEAVVKAVMDRVSKAELHHRHERLKNLQFVPLSIASFHGAPREEVEAKAGDLRALVRSGCAAGKGVVLVLEDLAYAADAWAAASNTRRRAAAATGGQSYCPMEHAVMEVSSLVSGGGGGGERFWVLGFGSYQVYMKCRAAGQPPLEAVWELHPVVVPDGGLALSLTCSEASQATHQAAAPTAGWPFVNGAGEAAATTASPTIPLWLRRYQDPDHATPASCGTGLQIQDLWNPMRNGSAPHHTSELTLSFSSPSPSSISGYTSCYNNNNMMSSKPWQLEARQPWPIHGHEGQRMAMASYHDHHPLDTNPSLESNSVSNSSDGGETRRPKFIELNAENLKILCNALESRVPQHSNIVPDIASTVLQCRSGMKKIKLRHKEIIKASSTTWLLFQGRDVDGKKAMAQELAKLVFGSSTEFSSISFNELTSPYSDSSSGELTLKRQRSADSNEHSFAQRLCEIVSKNPHQVIVINDIEQLDQDSEISIKKAIANGRMRGCTGEEVDFEDAIIVLSYEEEFDSRSRASSSPRVKQRLMNNNDDEESSSTEKGDNSPQCFSLDLNACLEDEEEDEGFLLIDNGVGMHDIVDGVFFFGLMADF >OGLUM11G03360.1 pep chromosome:ALNU02000000:11:2427656:2428018:1 gene:OGLUM11G03360 transcript:OGLUM11G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLQSATVVVVIIIIMIAATVNATPEVDGGKSAPPGAGVVDPPIPKIGAAETVAPQADVVVVAATVATGSAAAELPTAGRSRSCRLSATPSRHRPFDETEREREDRIREERWMSVGDKG >OGLUM11G03370.1 pep chromosome:ALNU02000000:11:2432689:2438036:-1 gene:OGLUM11G03370 transcript:OGLUM11G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPSFYRWLVGKYPAIVSPANDDDDDVGSSSNGAAAPPVYHNLYLDMNGIIHPCFHPQDQECPPSPVPTTVEEVFHSMFDYMDRLIRIVRPTSLLYLAVDGVAPRAKMNQQRARRFKSAMAAKQADVEENILRDRFRAEGKKVLPRETSSSSEVSDPNVITPGTEFMDKLSDALKYYIRARLNSDPLWKDINVILSDANVPGEGEHKIMSFIRAQHGREGYDPNTRHCLYGLDADLIMLALASHEVHFSILREEVLHQNNQENTIPITPKTFTSQEAAKFKCRAWFPRITEARPEGKLPKKPYQFLNIWVLREYLELDMKIPNPVKTLDIERMIDDFVFICFLTGNDFIPHIPSLEIHEGAIDLLIEVYKTSFNKMGGYIVDTDKVKDKHAAYLKVSRLEKFFHELSLYEEKIFLKRCQLRENFQRKKERQAAENAWNERNSENVEENLDDQCVMVKSSQADGQVSDEQDITMNTLELRKNLKDILHNKQDLIKTGACKHDKIKLGSPGWKSRFFKEKFGAETKDEIAKLQNEMVQKYLEGLGWVLCYYFADVPSWSCSFALPGCYSKLMDCDESAIQAFYPSELDIDTDGKHYLWQGIAKLPFIEDKLLLSVTKTAEKDLAVHELRRNTVRQDKIFLRNSNALAKNEAFAQTSDCSLQKLPIDPATSEIGGWLSSDDDDFSNGFCGSPIENDLSISAKFFNPEAVKPATRLLQNVTVPYKTVTEADICARPLWHTHPYPKHPALSMHNVQQQRLQSSRPETPCWKPSTPPPPRREEIRSAGTGWLGRGRGGNIPVAVAASPAVAGETRQSWSSSRYGRGRGSGATAVGHGQMTTTRHQWSGGGGYGRSSGVDNGGGRGSYNLRPGGGGGGGGGGGYQWQQQQQTAWRPVGSPWGRGGGGGDGGNGLPRGR >OGLUM11G03380.1 pep chromosome:ALNU02000000:11:2440818:2457012:-1 gene:OGLUM11G03380 transcript:OGLUM11G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDCGNHGDDDIRQACRRLLTILFGLALIVAIIALIVYLVLRPTHPRFFLQDATLRQLDLSNSSTSGVLSTALQVTVASRNPNDRVGVYYDRLDVYASYKYQQITLAASLPPVYQGHGDVDVWSPSISNIIAIPLFKPKFDNYRQKRAPMALPNEWLGWPN >OGLUM11G03390.1 pep chromosome:ALNU02000000:11:2465160:2470710:1 gene:OGLUM11G03390 transcript:OGLUM11G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMVGNLHICDGYHGNSRGISYMDGIQTSDSSHHGIVENSPYRTPYDRYSEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPKLLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSVSVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHKGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >OGLUM11G03390.2 pep chromosome:ALNU02000000:11:2464073:2470710:1 gene:OGLUM11G03390 transcript:OGLUM11G03390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLPTLTKPTRTNLGFASRPTSTSPAASPTSPPPPPRAAPLRRKRCACLPPVSPAVTDRGGLGFGFRTSDSSHHGIVENSPYRTPYDRYSEGGQLGASWYFSRKEIEENSLSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPKLLKDVILISYEIIHKKDAAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSVSVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHKGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >OGLUM11G03390.3 pep chromosome:ALNU02000000:11:2464058:2470710:1 gene:OGLUM11G03390 transcript:OGLUM11G03390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYYALKGVYRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSVSVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHKGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >OGLUM11G03390.4 pep chromosome:ALNU02000000:11:2464073:2470710:1 gene:OGLUM11G03390 transcript:OGLUM11G03390.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYYALKGVYRLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPPSQGNDTEGSSVSVVNQRASGKAPGSSEEPPTHENHLAPRQSSTPGHKGYDHPHPEKQNSSQRVPQNDARDGTANSNEGPNMSSTMDAMKKIDKDKVKAALEKRRKSKGDVAKKVDIMDDDDLIERELEHGVELAAEDEKIKHERRQSWPHSAHREDHQGVARLTENTEEGELSIDSQEYRSPELDNRKRKDMHEHRNYDRGERDLKRLRS >OGLUM11G03400.1 pep chromosome:ALNU02000000:11:2478995:2483370:-1 gene:OGLUM11G03400 transcript:OGLUM11G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTIPKYDNKSLNNLILIXPPPPPHAYHHHHYPPPPPPHHHPYPPHPPPPTCLRRLLGLVVAAFLLLGAATFIVWLLLRPRVPAFSLASLTLSRVAYSAANSSLSASFDASLLADNPNSKLTVTYFSPLASVSLAPSSPIAVATLPPFAQPPRNTTTLAFRLEVDGAYVGPDDAAPLKGGGVGTMEVQVRLAAVAVFDRGGWRTRRRVMRVMCDGVPVAFRGKNGTEAAFTGPARRFIIAAADIEATALLVT >OGLUM11G03410.1 pep chromosome:ALNU02000000:11:2492946:2497518:-1 gene:OGLUM11G03410 transcript:OGLUM11G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAAARPQTAEALSKRASMLRDSLQRSQGNTDGMVTILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADGILSQFDLARRLQAEAAVLKGPHEDLESYLEAVDLLKGIVRFFSTNKNFKSSEGVLNHVNNLLAKSALKIEEEFRQLMTTYSKPIEPDRLFDCLPKSLRPTKDDPDADPGNAGHSEHPSKSLETAVYRTPTLIPPRILPLMNDIAQQLIQAGNQQSCYKIYRDTRGSALESSLRKLGVEKLSKEDVQKMQWEALEAKIGNWIHFMRIAVGILLRIGPYCVYSDLKTHILLTTQVKLLLAGERKICDQIFDGVNFNKDQCFAELTANSVVTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEIEVIFEGKSCSEMREASLGLAKRLAQTAQETFADFEEAVEKDASKTIVNDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFETGSETESQLAVVTMRIMQALQNNLDGKSKQYRDPALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSTGSSDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFVKRFGNLVENGKNPHKYVRYSPEMVEQLLGEFFEGQQWGEQKR >OGLUM11G03420.1 pep chromosome:ALNU02000000:11:2508618:2513350:1 gene:OGLUM11G03420 transcript:OGLUM11G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAWGVMRERAYAFDLVLTEVTMPTLSGIELLSRIVASDECKNIPVIMMSSQDSIGTVLRCMQKGAVDFLVKPVRKNELRNLWQHMNSQTNESENNAASNHLSANGGNGSKTGEHSDEESDAQSSGSKREVEIQSAEKLPEVVADGGAGSSREHKIQNGFIDGMNTKSHALKGNDDAPSGNACGDSELQVLSTEKNVRSKFLNGITSAKVAGQIMDNALRFADSSSLRSSDPGKDLLVVAQTTADRKCKSSALENNAVMENNLSENSKGTATGHAESCPSHFVEINLEKQHHLNGYTNHKLNEKDIFNHSNSSAFSRYGNKRIESSAQRPFPPSFRVVHQQPVYDKNPQSSRVLLSCEHNTRESTVQAQVPLDRSTEGAAILCSSSVREDAGTSSSSPRKDSLTHPSYGFIPVPIPVGAAIPYHYGAIMQPMYYPQGAFMHCDSAAINKTAIQHASCQSNYHENLGKPPQNDEHKQPEENHQLHHSRQILRESGEPVDLAKAHMERINQSASCSQDIRKGSGCTGSGETDANTNTVIALESGNESGVQNCSNNVLDGDRSRREAALLKFRMKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSAITTEAETD >OGLUM11G03430.1 pep chromosome:ALNU02000000:11:2513753:2514337:1 gene:OGLUM11G03430 transcript:OGLUM11G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGAVVGPAVMLAVSVIVLLSAACSGAGEGPDASLLCVSECGTCPTICTSPPPPPPPAPPSSLSPSTPSVLPPYSAPPPPYLTLLLPPPSPADESDMFPPPEAPTTTNPPPSPPPPPSSPPPPTTKSSSGSTASPPSSSSHFSSPPSPPSSPNPYYYFYLSGSGRRCGGGGAASVYTALILAALLPIATFLT >OGLUM11G03440.1 pep chromosome:ALNU02000000:11:2518559:2521812:-1 gene:OGLUM11G03440 transcript:OGLUM11G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSPPLPPPSFLGYLNHYSSGFPAPAYGMGGRGELVMTVSGGGSPENAVVWTTVAEPGHWFYVPPSGQYGGAIMINSAAVTGDATGITGTGIGTGVFIPERPRLRRMKHPINWVPLVPDRGGNGRKPELKTANASKGKGIQMSYAAAVKTAGPSHEAVRANQSKKGHKFSRQKKSAATAAVEAPAPEKKEEATATTVEDIPELALLPEEWVY >OGLUM11G03450.1 pep chromosome:ALNU02000000:11:2525887:2527217:1 gene:OGLUM11G03450 transcript:OGLUM11G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCDGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKMDPAAVARTVIPHSEYLTQAKVVTAAAVGVDDSVVLTSAGGAVGYDFLVVATGRECSRPQKREDRLQMFEHDKARIASAGSVLVVGGGPIGVELAAEIVMASPEKRVTLVHGGPRLLMVMGEKASAKALEWLRSKNVTVLLDQTVDLAAAAAGANTDDKVFTTSAGETVAADCHFVCTGRPVASGWLRESFLGEHVGGDGKVAVDEHLRVGGLRNVFAIGDITDVPEAKQGYLAQRHAMVVSRNLRLLVKAGGGDGGGSSKERKLHRYKASKAAITVTLGRRDALAELPFMTVIGHLPGVIKPRDYFIARTRRMMGLRTGARYDQSMFRI >OGLUM11G03460.1 pep chromosome:ALNU02000000:11:2529904:2530491:1 gene:OGLUM11G03460 transcript:OGLUM11G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHYAVLLRRAASLPSLPLVASLHAAALRRGAVLAPSLIHAYSACGDLASARNLFDELPPRDRTLSARTALASAMSAHGRCREVLDLFAGLAEEEMDDKAVTAVLAACARAGMVDEGRRVFATVRRRPALQHYTCMVEMLGRAGEVEEAERLVARMGARPDRVICAVLLAACRVHGRVDVAGRVHGLMRRYGIA >OGLUM11G03470.1 pep chromosome:ALNU02000000:11:2532841:2545701:1 gene:OGLUM11G03470 transcript:OGLUM11G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYGVDTRPAAAAAGGGGAGAGAAGEGALSFLSRGLREDLRLIRARAGELETFLTAPVPEPELLARLRRAYSSSAGTTRLDLSAIGKAFGTEIVXGARWGWEEVQEAEEWEPIRMVKARLREMERRRQWQATDMLHKVKLSLKSMSFVPEASEEVPPLDLGELLAYFLKQSGPLFDQLGIKRDVCDKLVESLCSKRKDHLAYNSFPASEPSAFSNDNAGDELDLRIASVVQSTGHNYEGGFWNDGHKYETADKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQDVTLVVPWLCKSDQELVYPNSMTFSSPQEQEAYMRSWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKVLRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAERESGQHSFSKGAYFLGKMVWAKGYRELIDLYAKHKSDLEGIKLDIYGNGEDSHEVQSAAMKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVAKVKEAMARDPQPLTPEQRYNLSWEAATQRFMEHSELDKVLSSSNRDCTTSTSGCGKSGDNKMEKSASLPNMSDMVDGGLAFAHYCFTGNELLRLSTGAIPGTLNYNKQHSLDLHLLPPQVQNPVYGW >OGLUM11G03480.1 pep chromosome:ALNU02000000:11:2535022:2535561:-1 gene:OGLUM11G03480 transcript:OGLUM11G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGCYCVREHHLEDDDAVGHRLLPPEILVEIGIIAAADHTAAVHARRDAVVEELAARLVGILGLTSPPSAGAGRLGEKRAAAATTTTSSGGATPPYGRSHVRRREQHLAAAGGGGGVIDGGMARYHAPGFGTTQWLAPPAWCGGGGGGTGVFLPRGEVYPTRTSNPPRKQVRNRRVC >OGLUM11G03490.1 pep chromosome:ALNU02000000:11:2536933:2551675:-1 gene:OGLUM11G03490 transcript:OGLUM11G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQICCHAGPPYKTVNPFRGGRALELIAAGRSEELIASEAVVGEGQPTIYHPPWRPAMGRWNWRRVVADGRAEAREHGGEQGGGMGRDEPLSGDLISELRLSSSLPSRDPFGPDRCHLFTAGLLLSRLSCLASSTHDRWEAREVGGWHDRQARGGAQGHRERRRTAQLTRSSWGARR >OGLUM11G03500.1 pep chromosome:ALNU02000000:11:2551924:2552615:-1 gene:OGLUM11G03500 transcript:OGLUM11G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIDVASLLNLSKCPAGQMTIVEVGSVGLIVDVINVVAKAEAQHNIVAILFYLSSNNPDASRSATNQGRAIAAGTVSALAALLSTDRDDLARDSIALLSRIAKKPSGIVAVLSQSGLVAHLAASL >OGLUM11G03510.1 pep chromosome:ALNU02000000:11:2552929:2563017:1 gene:OGLUM11G03510 transcript:OGLUM11G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGYCGHASCPAGSDPSEALPTDVGCAAAADTMTMCSLVNKVMASQVHVVGEGTVGRAAFTGNHQWIIHGTANDHGIPSEVAAEMSYQFRVGIQTIAIIPVLPRGVLQLGSTGVVLENKSFMTHAKKLCSQLNNRSSMVVSSSVKNSSSQQGRSRPLHGASNVQSTENRSKLFSQFPVTCEQYNHPDTMAVSGSTSLNACMNGSLLKIALLNGQAVREHIVYSKPDVRFIQQVYRDGQLGSNAQSIAMSSDLISSSLRSVQKQPLLMNNISQLEYGDSAETSADLRKNVLLKPPVCLDPFIHDRNINISHGITEVSNVINDHGNFDFLSGGARVVRANLCTSATSQVLDRRSHSVSGMLLHREPIVSCEVPQSSEFSTKMGSLERGSFQISSAPSSESDVQISNGLNTSISRENQLSVSNHICQDQKINGVNDLSATLSTERMNNMDGCKPPGLSLERTSPLFMEQSVENDLFDILGPQFHHLCHNAGADLVPWTDAKPESSDRDVPESSIHADSAPLFSSRDNELYSGIFSDTDQLLDAVISNVNPAGKQSSDDSASCKTSLTDIPATSYLCSKEMKQCGSSGVPSVLIKNESAQFIKQPCLAENAEDGCLSQNNGMHKSQIRLWIESGQSMKCESASASNSKGLDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELREMVPNGAKCSIDALLEKTVKHMLFLQSVTKHADKLKDSTESKILGSENGPVWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMICEDRGIFLEIADFIKGLGLTILRGAMEARKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDSSGAAENANNVNMPLGLVCQPVIPATGRIQ >OGLUM11G03520.1 pep chromosome:ALNU02000000:11:2559827:2565023:-1 gene:OGLUM11G03520 transcript:OGLUM11G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYYHGGAGTDIQSGTDGLQTLYLMNPSYAGYGDAAAAAAAPGAAANMMLLNSAVTSMTPVSFGHQPSPSPSSSSAAQHFVGIPLQAPPASGYNLWTPAAATGAGDMSPPTPQHQHQQAHGGGAAGVSAVLSLSSREAAPPVTVAAVVAAGDEGRYLQAVAQGAASQGQMVMSSKYLKAAQELLDEVVSVSKGVDDVKAAAAAKSPASVKKKEDSEGVSGGGTEDGGGAKSGGAPPPPEMSTAERQELQMKKGKLINMLDEVEQRYRQYHQQMQVVVASFEAVAGGGSARTYTALALRTISRQFRCLRDAIAGQVRAASRALGEAVDADGGCGRTVGSRLRYIDHQLRQQRALQQLGMMQSNAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGGGGAGDEGSKPGGSKGGGAGVNGGVVDSAAKMDSKAAHMESGGGVHPSLLELAGDHQAQAGFYDDDDEEDGGAAAALQQKLKKARTEEQQQAAFHVSDVATLHAHAAAAAAARHDEVSHRELLMKFMESGSAGAGAAARDHHHEHHGGVGYSLFAPAPYGQFATEQFAFAGHGGGGGGGGVSLTLGLPHGAEQTASFLMTSSNGSDGAGHVAAGGGGGGGYDMNMQSTKSFAAQLMRDFVA >OGLUM11G03530.1 pep chromosome:ALNU02000000:11:2565251:2567046:1 gene:OGLUM11G03530 transcript:OGLUM11G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQRRARLELLKLINQQFLSHTCTLSQRTRRAELKKILELRKKKAKAKAKAKKKPKKKKKNNKKAKKKKKKKKKKKKEEEEEESDVRQQKYTKVSCKGGCRSVGDEDIGVAEQQCTTDTASPSKISLS >OGLUM11G03540.1 pep chromosome:ALNU02000000:11:2594073:2601541:-1 gene:OGLUM11G03540 transcript:OGLUM11G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox-associated domain;Phox-like;Sorting nexin, C-terminal [Source:Projected from Arabidopsis thaliana (AT2G15900) TAIR;Acc:AT2G15900] MRRAMVGVDDLIEEAKVRTVWWALCVFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFHWRGQPVQKQTHLSQLSKRQLSAHDHRLSTVAPVSRWRRKVGSPSVEAAFESFIENILRDFVVDLWYSSITPDREAPELIRGLILHALGEISGRVKEMNLVDLLTRDMADLIGHHLDIFRKNQSQIGVDVMGTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVFQDIVGGIMALVLRPQDAQSPLVRCFSRELLTCLVLQPVMNFASPIYMNELIIYLINNKDTNSGRGNLDNSNSSVTVTNAHSAHKGSSQGCQVESRNLSQESIGLVPANSSGMRSLVTHDGDKSKMSKIEHGSAIQSRQPDWAVGLDAATKRRSQVLAPENLENMWAIGRNYQKKMVKFEHSRGKSSGIDNIPSAGAAGKELSPNFNERITSVDDKYMVNLMQGSNRNAQSTYVTGSHPLVSQEWQDTDEAKPNEGSQVDCSSTEKPCDVINTKAQLKRSNSTPDIEKRYLAKSNQTMVSSEIARKNQGDRGSFPVSHGEVVLYVPKIRCRVVGAYFEKLSSKSFAVYSIAVTDAENKAWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSIDDYLVHQRCILLDKYLQELLSIPNIAEQHEVWDFLSATSKNYSAGKSTSVMKTLAGRILVLSLLFMLTIMNVPIKYFPHSRYLFALAPTVNVDDAMDDIVRQFKGVSDGLKRAVGTSPTSAPSSHLAENQMSLSWNQEEIDNHNLHNRNLSGAHSLSDGDSNYEDPSSSVYSASHSDNELNNSRYGSNDIKLNEAYSGFDAQASQQIEKPTRAYSDSSNMSSLNTFEDPAGIPPEWTPTNVSVHLLNLVDKVFQLKRRGWIRLDSRRGTLWPNGVFFTRLDGYQGNAGPSQFDKHPSGSANQASGNRKDSASSFEQQLEASRNASEVKKLLLGGTPPTLVSIIGYKQYQRSARDIYYFLQSNVCVKQLAYAMIEQVLVSLFPELRQLIEDIHEKGRKEQASFTYQL >OGLUM11G03550.1 pep chromosome:ALNU02000000:11:2603814:2606910:1 gene:OGLUM11G03550 transcript:OGLUM11G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRATVVKPSADTEPPSLSLLYCLRLIREYHVQILLKAQFFILFIRLLSLVVSGGPGCMDGRMWLH >OGLUM11G03560.1 pep chromosome:ALNU02000000:11:2609720:2614369:-1 gene:OGLUM11G03560 transcript:OGLUM11G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLLLLAPHWPLLLLLVPALAVASFAVAVARIDRVSKVYETRIKEQLENAEFGFLFLEFIYFVIPLFVFTLIDDWAEIFFDCFTPLSLWLDALYTADVIAFHILFIGLTRHNTGKVLIEYGGLALQLVAVFVYVMLKVGDKKYVLSEERNKILTHSEAKTHNIGLLAFFMIAVLAAIIQIQFQFPFPENYSTLAKAIGIFGMFFQVKGENGFKNDAPHIRKLKITATLIKIMTLSYELWAQISQGYRQSVLTKPLCDMTIAALIVNCVLFAARYIGPKTIKEYFFPPSPPTETRKEYFTRTLALLHKDDFPSAVQRADEKHD >OGLUM11G03570.1 pep chromosome:ALNU02000000:11:2618694:2625547:1 gene:OGLUM11G03570 transcript:OGLUM11G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTTVVEETNNPAATAVKVEEKTAATTTTTQVDKKTTSGEEEKPKPVVTYRYICENIHRLDPNDPFFFTWGMRNETAYAMCLLSLLYAVLCLCLLLLPWQPIPTEGDGDHPLINSIWTFSLLALSYMFCWIISLSEAITKLVAFTSITYGILMAFAVAHLLGRVVVIAVLYTTGMFAHAIAEHRQHTGSDTAADALLTKKLTTEQMQREELRRLPFIVLGAYSLFVFGCTAWLVFTEMGSISTATVIIVLAEVSIGTCLISYLWSILLSVGLLHDTFVSHDTIVFKAGSYSIALYFLAFLLIAMFESKLLGLSVLLLIPMAMAGFLGYVVAVYSHYKSLRGMRINEIGGGGGMRLVSLAYAVLSLYLLLWRVPGDQPLTWVTDFSLLACTYMYFWIISLSETITKVAVFTGITCGILLSFAAAQLLGPVTGMAVMVLDMLCAAGVLGHAVAEHRHRIGSEEAAAALATWALTEEQTELEEGPYAFHAGVLIAAYLLFLLVVMAWLAVDDQRGGGGDTVTVATVVFEVSLVSLVVVFVSFIWTGFVSVFLLHEALLSQVDTCNALAYSALHMLAVAFLIIFVSTIVGLLAPMAMAGLLGYCIAVYSQYKSLKGKFNVENPEQMMVDVTKLKYDWSCDV >OGLUM11G03580.1 pep chromosome:ALNU02000000:11:2628584:2633734:1 gene:OGLUM11G03580 transcript:OGLUM11G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHQRSSSLPSGPHSSVAKVEEELQGLKAQISSPSVTVAAMCDGLRKLGDVYNSIEGIMCLPSNQVVLSLPQQKQMVEEELDRSLVLIDLCNSMQENLSELKMSILELQLVLKRGDHAAVQLKFESFVRMARKAQKPFKKTGSKATAECCNLVRIMAEAREMAVSLLDSTSGLLVKKIGALSSSKWSLVSKRFQKRTVVCDEEQLQALEHEIGDLENGAEFLFRRLIQTRLFSSLPFLTEEHILASNITSMASHQRSASLPSRLHSTESNVEEELHGLRSCISSPSATISTMCDGLRRLGEVYNSIEEIMFLPSNQSGLSLHQQRKMVEEELDRSLLLIDLCNSMQESLSEMKMSIHELQLLLKRGDSVAVHNKIESFVRLAKKAQKMPFKKTSIGAISESCKMLRLLGEAREMAVSVLESTYLLLPKQIAKNSASKWSLVSKRFQRRNVVVCEEELQGLEHSMGDLEDGAEFLFRRLIQLRVSLLNILSS >OGLUM11G03590.1 pep chromosome:ALNU02000000:11:2635153:2640267:-1 gene:OGLUM11G03590 transcript:OGLUM11G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKNVLCEVCGDVGWEELILHCNKCKNATRHQYCSDPVIYDGSLVEWLCDDCLPNGNEVGNLLDISNQKKSSQTELGFSITKETNVKMMKLTKGLWSWGHHRNRSFKARCDGSDSKTNHFASGNAFSSSEVVIGEMSKINDCEMEGRGKNEYSSHSALDHASRVEQHINIQNPMGIIKPSLNSIKRLNLSNEKDDRFSSSDHVEGSIPQVNHVERAHLMIEDGSNPTFTCVEHMDLVHKKQLLQPSSLERNSIGTSIPCSENMDVLHKMQLLKPSPLDKKYVDRSIPNSENMDVVLMRRSCTLNNSMGSCEKQVVTKVVPIEPSRQFDRACLEVSSNAHEIHEADDGSKGAQSIKNGKPKKQRRLILPYEEDKDAEPIQVDDMNRQSCGINGQVKKPVEIVASLGDINAGCGQDVCSQLALPTIAVKGQCGLSSTPFIPKYFCVQPIDEPNWTGIMKIGTNYIPVGAHFSNKACKKVCELSMSLPQIMKVTELPKLKAWPKSWEKASVPTAESIGLFFFSQNTRSNKEFDDLVKHVIDYDIILETDVSFAKLLVFPSVVLPAEYRVFQGKHYLWGVFKRSKDMAERDALVEQNCTTCLADEDVPEQNALDIVPCKALDQEMALVVSDIHHDNQPSLTTSQEVESEDSSDKGPSHPVINSPERPMYLILDTSCKVLKKWSCERMDTKSSML >OGLUM11G03600.1 pep chromosome:ALNU02000000:11:2648303:2651620:-1 gene:OGLUM11G03600 transcript:OGLUM11G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKPAAEDVADEQPEPPDEDPDVAEADPTGRYLRYREIIGSGSSKTVYKAFDAVDGIEVAWGKVEINERIMGSSKELQRLRTEIQLLKSLQHKHILKLYASWVDTNRRTVNIVTELFTSGNLREYRTKHKKVDMKAMRRWAKQILTGLEYLHSQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTRSIQGTIEFMAPELFGENYNELVDIYSFGMCMLEMVTCECPYSECKGFIQIYKKITEGVKPAALSKVKDAEVRGFIESCLASVSDRLPASELLKSPFLQSDDANHRSSNSVQEPVKFPENNFTKDEPIFVSLAPNNGTVNGKEQSFILVLQKSDFLLEGNMSTINPVMLFLRFPGPDGKFKNVQFPFDMEKDTSLSVSTEMVEQLELPEWNNPVLAELIDAFLLHILPSWKPCVKVGKMLPSSS >OGLUM11G03610.1 pep chromosome:ALNU02000000:11:2651784:2660772:-1 gene:OGLUM11G03610 transcript:OGLUM11G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGCEKASRLSLSLTCGSGTGRNCLAVAATLLACQLLRERVGPTGSRWVDTGAEDERMEYSETFPFGQRERDTGGPGPQFICNATAVSSSDEITSMQTQAIN >OGLUM11G03620.1 pep chromosome:ALNU02000000:11:2654560:2655513:1 gene:OGLUM11G03620 transcript:OGLUM11G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTTTSTRVEVAIKMSYLVVVLAIASLSPCRVDATSRSLLVTGGQAAAPAPVWSFGALPPLIEPAVVEPPTAVAPVHAVAVGEAPPPLKEESGSGHVKKSKHKDEERAPPPKKHHEKAPPKSKHHGRHAPPDQPELSPPAPPPESYTPDAPPAPEAASPHHGGGENPAWPRPGNNQWPPLPPFNQPPTPEWPHPGNKWPPLPPFHPPPTPAWPHPGGNKWPPLPPFPSHPPPTPAWPQPGNKWPPLPPFPSHPPPTPAWPHPGNQWPPLPPFPFHPPPMPAWPHPGNQWPPLPPFHGSDVPPATKKITKEEEVHE >OGLUM11G03630.1 pep chromosome:ALNU02000000:11:2661019:2665081:1 gene:OGLUM11G03630 transcript:OGLUM11G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFPPPAPSGGGPPRGHHRRAHSETFIRLPDADLLFDPDGEFGFSDLDFPSLSDDSPAASDPTPPPPPPALPQAAPRPPGGAHLRSLSLDAAFFDGLAFQGGGGGGGAGSGSSGGGAGHKRSGSMDGESSLFEGESAPPDYAKKAMPADRLAELALLDPKRAKRILANRQSAARSKERKIKYTGELERKVQTLQTEATTLSTQLTLLQHIPNFISFFILEFTYGQRDTSGLTAENRELKLRLQSMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNSFNGGLQQQQQMPAYFSQQQQMHYLSGHQGRPHHPNNPHNSLNGGQSMSGQTLSDSMDFM >OGLUM11G03640.1 pep chromosome:ALNU02000000:11:2666310:2672148:-1 gene:OGLUM11G03640 transcript:OGLUM11G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPEGVVVLSHPSRVVAGGRSRLCPFVGLVAWRSVTLSGGRSGASLLLGLCVGDVGVWVVVFFLFPGYDPLGL >OGLUM11G03650.1 pep chromosome:ALNU02000000:11:2675664:2677838:1 gene:OGLUM11G03650 transcript:OGLUM11G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSPLLSFPANEESGYFIWSSQAALHVDPCSDQQEDLDLLDTMVLDSNELHQHDAPDVDVSINCDDRIFGQESVNLAAIQEELLEEDSLSDLLLAGAEAVEAGDSILASVAFSRLDDFLSGIPENGAASSFDRLAYHFDQGLRSRMSSASTGCYQPEPLPSGNMLVHQIIQELSPFVKFAHFTTNQAILDAIIGDMDVHVVDLNIGEGIQWSSLMSDLARCGGKSFRLTAITTYADCHASTHDTVVRLLSEFADSLELPFQYNSICVHSEDELHAFFEDCKGSVIVSCDTTSMYYKSLSTLQSLLLVCVKKLQPKLVVTIEEDLVRIGRGVSPSSASFVEFFFEALHHFTTVFESMASCFIGSSYEPCLRLVEMELLGPRIQDFVVKYGSVRVEANASEVLEGFMACELSACNIAQARMLVGLFNRVFGVVFKKISLLMVYYISLGKNDLREPNKVIWSSLAAGCGSHGIVVLAFYAADKLLEFKPKGIETYIHPAVERAHFHKEDRMWQEYEN >OGLUM11G03660.1 pep chromosome:ALNU02000000:11:2681522:2687078:-1 gene:OGLUM11G03660 transcript:OGLUM11G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRSASRYAAYWAADALAGDDALDFSLTKALVGVSPDSLTGAPEAVRERVALRCLQEVVSLASDGDCEATPTAGGVLSVDASRSCEDSLLQLIREVGSSGSLEKVMLLPFSQDVQKLISIKRPTLPETSFELLREVYPEITPVVLPSPVEQNGNDQHDNVSHDLENTEKTGFNTDGAQLQQDDLANLVNESNTGNLQKDAMATPDFHQPCTSENRCFDQPQEDSINAVGVNIRSPKDSPTNVDRHIQVAAEPSLATSADLLGSNTGTISERDMIDDTTMVQSQSRGVKNPNTLNYNNGDEPLVVSIQSPKDSIHEGPTMQATVSPALDRSNDALPASTSEISQLPEFITVDDTVMNSEPHINKSHPNSPKHDTGDKANQDVDYGSVGIQKVAAFLSEGCNGTIQGEKSETKDPPENTVEHTQMFEQENSDKAQLEVSCSDRVNQDLQDDGNIMENNMVCGGLNVQTALESHTCSMVLHNKNLEANHLSKQSIGRNTTAVQKDCCSVPISQDVNDTRVKQASNKRTMGNTVVETSHVHSSDDSLSGFAATGLLSMADKIPFCTQDQDANGPVEGLSEQDLCIKCGKDGQLLKCSSCLLAAHDTCFGSLATLDDSGQLYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQHQQAAVGQRAANSEDHLNGCNNASKRKDNHQSEGNNLSHRDEDPTRQRKKQKTNATSDACAQEVVTEKVPVVQNSDVAPMNKQSVLQNNRKQAQVAEHEQPEENAEASGESGNDNSLHKTTHSSQNKCSPAVNQNVDADKENGLASSQQSEDSDEIEATSSNDSTKKSSPPWRKLRHRKAIYQDKDTAMPSNSKKVLGNHDQHMASPSRKRNYAYPPKRYSNPIAPAGRRTKLCWTEKEEITLREAMAKFTPRDNGPIPWVQILEHGRDVFHRTRLPSDLRVKWRNMKKKSGS >OGLUM11G03670.1 pep chromosome:ALNU02000000:11:2693212:2695054:1 gene:OGLUM11G03670 transcript:OGLUM11G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVVEEADGCHITNQGMLLQLKGLTEGFYLGYYMLDNIKFQPPEEESIKDENMASTGIKVVVIGRTEDVPKFGTTQPIRVKRLSEEDDRYYFKALAFGIMDPDEHPKLASLAMQLCHARGPGWNAENLIICKRGGQVAQKHLSSSGVHPDYLFERNTPVDFSRIAFVDGQVQGFIVYDVRVASPAEGQLPKLTS >OGLUM11G03680.1 pep chromosome:ALNU02000000:11:2697475:2738451:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPRQPYSTYLYIDNCMFGRRIEKEQVINFFLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLRTGELSLTSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRETEFCGKVPTVFANHPAAAAAAASGRRDAARAAAAAAEAESAGTRGNRRKTSEESIERKVVIDGGVRGLGFSSEATGFEVCSGHSPPRAPSSGEHGDSDFCCGKPLRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTARGMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEEKMAGEEGGWWCELAAAAEATRFKNPGSLCKIPS >OGLUM11G03680.2 pep chromosome:ALNU02000000:11:2711993:2738451:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRGRRWFDGGEHGGWISRRSLGFSSEAAGFSVRSGQSPPRPPLSTEMNGSFLGATIYGELLRANPNTQFWNRILLFLRELARKQLTSSGLHPEDLFERNIPLDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTALVSMEILISAVATLARPLRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTARGMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEEKMAGEEGGWWCELAAAAEATRFKNPGSLCKIPS >OGLUM11G03680.3 pep chromosome:ALNU02000000:11:2718275:2739964:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYSLARPLRRGYDTIIALAAWSIWKEHNNIVFNQKVRSWTARGMAEETMLWRLANPASKRKSTQKSDGSVIDRRWCGEEEKMAGEEGGWWCELAAAAEATRFKVNLSAGSSLRYNNITATTQARKMIWILLRMHSVVEEAEGRHITNQGMLLQLKGLIEGFYHGYHMLDKVTFQPPEEESIKDEVIHEINSSALITSNSAKRFRFADALRKHTPISFASRSTTNLKGVVEDLETKIADMREFVMLLGSYPRLPRQPYSTYLFMDKCMFGRRVEKEKVIDFLLCSDLPDTYVSILPIIGPHRIGKKTLVQHACQDDRVKSCFSHIFFFKEDNLKTGELSLNSKASQGKYLFVIEFIWDVDEAAWTKFQSFLQNMPSTEIKVVIIGRTEDVTKFGTTQPIRMKRLSEEEYWYYFKALCFGSMNPNEHPKLASLGMQLATEMNGSFLGANILGELLRANPNTQFWQSILLSLRGFVQKNLCCFGVHPEDLLERNTPVDFTRMAFLGAQVHGCLVYDLRVAGPAQSQLPKLTSREVLLGGNIPVEQKFDVLVWKSRIPPYCDYIATFEKQKPRCVVGKRNTIYH >OGLUM11G03680.4 pep chromosome:ALNU02000000:11:2697475:2712057:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPRQPYSTYLYIDNCMFGRRIEKEQVINFFLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLRTGELSLTSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKVVVIGTTEDILKFGTAQPIRLKRLSEEEYWYYFKALAFGSMDPDEHPKLASLGMQLATEMRGGFLGATVVGGLLRANPSTQFWQSMLLCIREVAQKHLSSFGVHPEDLLERNAPLDFTRVAFVGAQVQGCLVYDVRVAGPGSELPKLTSQDLKLGADIPAEDKFDVLVWKSRIPPYSNYIVTYEKQKRRETEFCGKVPTVFANHPAAAAAAASGRRDAARAAAAAAEAESAGTRGNRRKTSEESIERKVVIDGGVRGLGFSSEATGFEVCSGHSPPRAPPSLPFIPPVAGGCGGGEVFSTGRPRILIECWIKKKPA >OGLUM11G03680.5 pep chromosome:ALNU02000000:11:2737401:2743651:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNLRNDPPVLAPMVVASDLVSRFISYLVHKSEKQHTTTEQDLERLKSVLLRIYTIVEEAEARQIRNRGMILQLKGLMEGMFLGYYVLDSFQFQCVEEEGVDEDHQVSCKRLRFSTCTRSTSLLSLGTENTPVLKNVIESLETKICDVRELVVLLASCPRLPQQPYCTYLFMEKSLFGRHVEKEQVIDFILHDDQNLAVLPIIGPHRIGKRTLVHHACQDERVRGRFLNIVFFHGDDLGNISLMPSTKYLCIVEFSWDVDAEAWKIFRSSMKKAAISGSKVIIIGRTDEIAKWGTTPAIRLNRLSPEMYWYYFKALSFGSMNPDDHPKLASLGMQLATELQGSFLGANILGHILRGNPSAEIWSGFLMSLRRMSRKRLSIFEEHPPEKNHPSHTAEVAFMNYRGCMLYDLREAGHFQSDIPRLTPAGVELEGKIPCDLGFDVLVWRSQIPPFCNYIATFLQPKPRRIVRRNKNPLAVSITYQS >OGLUM11G03680.6 pep chromosome:ALNU02000000:11:2697475:2718121:1 gene:OGLUM11G03680 transcript:OGLUM11G03680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLVSAVASDLIGRFISSLAQNYNNHTCKEDDRRRLERILLRMHSVVEEAERRHITNQGMLLQLKGLIEGFYLGYYMLDKIKFQPPEEESIEDEVSHEIQSFALSACNSRKRFRFADAIRKRTPVAFGSKSKTNLKDVVDGLETKIADMREFVILLGSQTRLPRQPYSTYLYIDNCMFGRRIEKEQVINFFLCNDPHDPYVSILPIIGPQRIGKKTLVQHACLDERVRNCFSHIFFFKEDNLRTGELSLTSKASQGKYLFVIEFIWDVDEAAWTKFQSYLQNMPGTGIKLSTEMNGSFLGATIYGELLRANPNTQFWNRILLFLRELARKQLTSSGLHPEDLFERNIPLDMSRLAVVDGQVQCCLVYDLRVAGPAEGELPKLTSRDMLLGGDIPVEDKFDVLVWRSRIPPYCNYIVTYEKRKPRRMVRKRNMVYL >OGLUM11G03690.1 pep chromosome:ALNU02000000:11:2734388:2735578:-1 gene:OGLUM11G03690 transcript:OGLUM11G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPILRRELRISSLRLPLVSFPTSPRPSLVLRRRRREHGDRWSGAARTARFVGEYALRVENTATMTAGYIAGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVIMNPISRQNFIVKSAE >OGLUM11G03690.2 pep chromosome:ALNU02000000:11:2734388:2735578:-1 gene:OGLUM11G03690 transcript:OGLUM11G03690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPILRRELRISSLRLPLVSFPTSPRPSLVLRRRRREHGDRWSGAASNNDGWIHCRFPGSIPKTVSEKEWWLATDTKFQAWPRTAGPPVIMNPISRQNFIVKSAE >OGLUM11G03700.1 pep chromosome:ALNU02000000:11:2744527:2745001:1 gene:OGLUM11G03700 transcript:OGLUM11G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNGDAELTVAEEAKEEEATDDGGGGVSSQWLRAAVLGASDGLVSTAALMLGIGAARPADARAVLLVRVVVVVATASLALAAFGAAGARLGRAPGGQAGLRAVVGGLLAMAATYGVMKLFRTHGV >OGLUM11G03710.1 pep chromosome:ALNU02000000:11:2745612:2748907:-1 gene:OGLUM11G03710 transcript:OGLUM11G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 [Source:Projected from Arabidopsis thaliana (AT3G18770) TAIR;Acc:AT3G18770] MAAAAEPPMVEQVITEFFAKSLHIILESRSPYESSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLCRDSTSNTAAGSGKIIERWVIQYEARKSGGGNGNGSKNNGRKSRNSSAEDHSLYRATYQGSTVLLRSLHLLVRLLPAYSLFRELNSSGRIRPLNLSHKISSFVEPFTRAEDAEMKHYAFAPIETLFGRLSLSVSYVPVLEVVAASEPTSPMPPEIITDYVGSPTTDFLKKFNSLPSAGIAPACAAMTRRHSWSIEHGAGTSASPSPSPTKAQSRGSPQLGVPLHVSLKTCSHPQNASSSVQKKYTPFEEFYPSPPLSPSPSQSPSANYPKNPLFRYESAPVTIPTLKSGGGGGSGLPPSPCSKGKHQFSSHNDNLAHSPDHNSNVRKDLVRLGEFEKDMALQKVLSYSKYDLGYFHGLKLTRTSSKLFIMDELDEHELVFAWEDRDTIIDQLNRADISDREEQKNQDAGGSSTRSPAAAIGALVHLLKTASSLREGLQSDAAAVVPQEPSSVQKVVTEEHGSIASSSTPVTATDALEELKKYREVKESILNRGKTQVSGTNLGEKLTDGEP >OGLUM11G03720.1 pep chromosome:ALNU02000000:11:2749373:2754305:-1 gene:OGLUM11G03720 transcript:OGLUM11G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWIISLSETLGAILVFFRVSYVALVAAACTQLVGPITGVSVAYLDTFYVAGMVGYAVAEYRMRRGIEECPSAVAAMPPLISQEQERNREVNVFYAAFMFGLVSLVTVGRMAWLVFFSNGGGGRISSVLEELSVETCFVSFQWTAFVALPLSLVSFNALFCWGAICYVAWHVLGAILGALVGSVAIEVLFFWLAAVAMAGFFGYCLAVHARCKRKDRSRCVKIGKTTIHVTVQTDSNLLHEITSNGPHPIPSSSFSPPPCARNCARAHKPLSFLPPPPLSPELLRRLRRPALLLAGGAAPAPVPARPL >OGLUM11G03730.1 pep chromosome:ALNU02000000:11:2754345:2754701:-1 gene:OGLUM11G03730 transcript:OGLUM11G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDDEMSLADDTPTDMDIDGVEEDVVRKTVDAETPAMEILGDIAGGEEEEKVLSTESEKPAPPLSRRERITLLPPNHPYHYTCGLPNFVFFAMAMVSFLYAVIVPFLLWRAAADKPT >OGLUM11G03740.1 pep chromosome:ALNU02000000:11:2755441:2757814:1 gene:OGLUM11G03740 transcript:OGLUM11G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKNALLGYGRMGHVLAWADEEPVDPKKYLEERCKPQCVKPLYEYEKCVKRVEKDDTGHKHCTGQYFDYWSCIDKCVAPKLLEKLK >OGLUM11G03750.1 pep chromosome:ALNU02000000:11:2758096:2759513:-1 gene:OGLUM11G03750 transcript:OGLUM11G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVSRDDNYTVKEAQKQSIMALVIFKIFSFIILTFIKAKLVPTEDNVVIPRAFAAIGCLIQFVLMLSSPIGRWLDNNNFNDDEPTTRKINLSAALIRLITVSFDCWLFTVRGYWSSPLKKPLAGMTAANLVFTSIVVVCRYIGPNKLRNFCVDIWNWLRAVPEKLQGKWNSFIQWKTDNWTPFTNTVKVALQSFCTGCCTMFQTGWNALKNRVSQCIEDMWNILAGPGGMH >OGLUM11G03750.2 pep chromosome:ALNU02000000:11:2759513:2762856:-1 gene:OGLUM11G03750 transcript:OGLUM11G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAYLFLLVVAIIGVFAVLASLLDPIHGFYLRSIKEALEWTKFIFTWTSSLYFILPMYSVSREPRELGGGGSLEGVMMAGVFFSNATNWASTFYDCFTPLTLWLEGIYSGIVLLLFVLFIGNLDENWGRIMSFLGWPYRWLEYFAL >OGLUM11G03760.1 pep chromosome:ALNU02000000:11:2773191:2773754:-1 gene:OGLUM11G03760 transcript:OGLUM11G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDSYCVWVSSPSRPPRKSGSTGSITRWRRISELVIGRNHSDGKEKFRFLSALSSPAREHPKPKPTTKGATATKPRSSLTAAKRPLEDEDDDDDVGGPSVDVDGCGPLYALGLISSVIADGAGEHRRGGRTRRRNPHLRCPIPAATRRRGCRLPPGVEPRGGGVTPRCRRPLRRTSIALLLGKKGK >OGLUM11G03770.1 pep chromosome:ALNU02000000:11:2777714:2778535:-1 gene:OGLUM11G03770 transcript:OGLUM11G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTIIYAVIAPWMLWRRAADHVALMWTSSILACSYGALWTIALSERLTGAFLAIIFRVSYVALVAFASTHLIGTANGISIVYLDTFYVAGMLGYAVAEYRLRRGTEQCPSAILAKPPLDQEEEQERGDEEAGLCYMGFLFGSVSLCLVGRMAWLLFFPCGGKCLISYVIEELSFETSLLIYIWVIFVSLTQLEGALVCYNTLFCKMPICFGAWFVLGVLLGVPVSGAIEMLIFWIGTMALAGFFGYCLAVHAYCKRYHLCSWQDYFTFTFS >OGLUM11G03780.1 pep chromosome:ALNU02000000:11:2784232:2787001:1 gene:OGLUM11G03780 transcript:OGLUM11G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNLTPTLYEVARMQMWISKGEYDESGPAIVHRKCF >OGLUM11G03780.2 pep chromosome:ALNU02000000:11:2784231:2787001:1 gene:OGLUM11G03780 transcript:OGLUM11G03780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELEAAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQVNLTPTLYEVARMQMWISKGEYDESGPAIVHRKCF >OGLUM11G03790.1 pep chromosome:ALNU02000000:11:2811048:2816124:1 gene:OGLUM11G03790 transcript:OGLUM11G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPWSVGPACQRETSCSARPNISAEKEAEAEADCLLAGVQFSRRIPSREYLPLPIHCSRAVFARCRATGEREVARRQAAEGRQRSCGSRQGRLGGLQAVAAVGQRGSSRWRANKLYSCASSCTPVSILYVTNKKLKCLGDRFGEMEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHIHHSKNHGSDEEEHDMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMNISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTNIRGPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGSDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKRLQINSIQDRGELFLTMNAEVKSKCGGLASQMAM >OGLUM11G03790.2 pep chromosome:ALNU02000000:11:2811048:2816124:1 gene:OGLUM11G03790 transcript:OGLUM11G03790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPWSVGPACQRETSCSARPNISAEKEAEAEADCLLAGVQFSRRIPSLYSCASSCTPVSILYVTNKKLKCLGDRFGEMEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHIHHSKNHGSDEEEHDMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMNISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTNIRGPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGSDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKREFTLKLGELFLTMNAEVKSKCGGLASQMAM >OGLUM11G03790.3 pep chromosome:ALNU02000000:11:2813316:2816124:1 gene:OGLUM11G03790 transcript:OGLUM11G03790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNPPGNMTQGPSYGSLDLHGISKQMHPPNSGNQGFNQPQIPGNFTIPMDRVTEPDNISDGVQLGQHGKIAHHHHHHIHHSKNHGSDEEEHDMNEDAADGKDKKGSPWHRMKWTDSMVKLLITAVSYTGEDPGADLGGGRRNYSMMQKKGKWKAISKVMGERGCHVSPQQCEDKFNDLNKRYKRLTDILGRGTACNVVENHSLLDHMNISEKMKEDARKILNSKHLFYEEMCSYHNNNRISLPEDPALQQSLQLALRCKEDNDFMRHASGDAELDDDQSEDSDYEENEEEHRAVDTNIRGPSMHKRMWHVVDHGDVGFVTSCSNDGSGRSDPYDVLDINKPFPDGSDLALVQKDLALKAAEIQKHRLQIETKAVQLAKQRLKWEMFRKNKDLELEKLALENEQMMLQNKRLQINSIQDRGELFLTMNAEVKSKCGGLASQMAM >OGLUM11G03800.1 pep chromosome:ALNU02000000:11:2817263:2826254:1 gene:OGLUM11G03800 transcript:OGLUM11G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFQ1] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLKQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSSVLLDNGKFLLSAKRNCRATCTEYVISMNANNISRSTNTNIGKLRSNFLGTKFVIYDTHPSYNATSDSQSGKASRRFSNKGTAKYPCSTYNIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGSVPSQPDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSARFSNISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >OGLUM11G03800.2 pep chromosome:ALNU02000000:11:2817263:2826254:1 gene:OGLUM11G03800 transcript:OGLUM11G03800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFQ1] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLKQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSSVLLDNGKFLLSAKRNCRATCTEYVISMNANNISRSTNTNIGKLRSNFLGTKFVIYDTHPSYNATSDSQSGKASRRFSNKGTAKYPCSTYNIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGSVPSQPDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSARFSNISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >OGLUM11G03800.3 pep chromosome:ALNU02000000:11:2821792:2826254:1 gene:OGLUM11G03800 transcript:OGLUM11G03800.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFQ1] MSFRSIVRDVRDGFGSLSRRGFEVRILGHRRGKSHGAVHELHDPVPVIQSSCWASLPPELLRDIIERLEASEATWPSRKHVVACAGVCRTWREMCKEIVKNPELCGKITFPISLKQPGPRDGTMQCFIRRDKSTQTYYLYLSLGSSVLLDNGKFLLSAKRNCRATCTEYVISMNANNISRSTNTNIGKLRSNFLGTKFVIYDTHPSYNATSDSQSGKASRRFSNKGTAKYPCSTYNIANISYELNVFGTRGPRRMRCLMHSIPASSLEAGGSVPSQPDSILAHSLNESSFRSVSFSKSSVMDHSMHFSSARFSNISIGDGPRIGGRVLSDDEECKETPLILQNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVPPPPPEHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAISLSSFDTKLACE >OGLUM11G03810.1 pep chromosome:ALNU02000000:11:2844961:2846199:1 gene:OGLUM11G03810 transcript:OGLUM11G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDYQGSSSTHSHPSPFSSFGRSLLSLRRDSPAAAAGASPAMASGEEADLEAFQRHVAAHLADLRGGEDELLSIEWIRRLLEAFLLCQEEFRVVVALARRRGALSAAAEKMVGDFYERAVKALDVCNAARDGVDQVRRWERLAGIAASVLLAPGEIHEGQLRRARKALSDLSVLLIDDAAAAAGSGGVASFLSSHRNRSFGRARASPSRSATLASSSSSSHFRSLSWSVSRNWSAARQLQAIGSGLAAPRAHEGGLVAPVYSMGCLLHLAAWALVAAVPCPDRAAALQAHHLPAAPPRAAFPWAPPLLALQERLTEEGKRKDRRNSCGLLKEIHALEKCTQRLAEAIDAAPVPLSGEREAEVREAAAELAAVCAAMRAGLEPLERQVREVFHRIVRSRMEGLDSPMLNAD >OGLUM11G03820.1 pep chromosome:ALNU02000000:11:2847073:2847783:-1 gene:OGLUM11G03820 transcript:OGLUM11G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQGSHYNVVTNWRKCFRLQRIQDSDWMMMMETQYYIFRGLSFGVRDNYKSRTMKKIAQKTEILGSRVHLTKLNYKADMHLFSESGDKKIT >OGLUM11G03830.1 pep chromosome:ALNU02000000:11:2847886:2848174:-1 gene:OGLUM11G03830 transcript:OGLUM11G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPNGTQTQQQVRGDMHAPAATAGKSAKKQTNTSSSSQCNSTPQKGLPNDTAHIITPATHLHKVSVTATADDESLFIRMIYDSHEEQK >OGLUM11G03840.1 pep chromosome:ALNU02000000:11:2915565:2916112:1 gene:OGLUM11G03840 transcript:OGLUM11G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKCDQGADNCSTLRQILSMNKREYRGKTKGKIKVSKGNLVLTGPSFAISVYVGIDLINLHDGSQEEEDDDEEDNVGEIFCNTCTCTCDFTDYNSAIVETVITWYGPAEVTYVVLTNAFQ >OGLUM11G03850.1 pep chromosome:ALNU02000000:11:2929316:2930425:1 gene:OGLUM11G03850 transcript:OGLUM11G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHRSPSRSPLDDDDLLGEILLRLPPIPSSLARAAYVCSRWRRLVSDPALRRRLRAHHRDHLPLHGFSYHSDHSARFWPILERPDRIPAWRVAPTEKLLPGWQVLSCSHGLVLHKDREKFMVLDPVAGEQHAVPFPSSVEDTSGFVLGMVVPSRRSSSYRVVALFAGRSTSTRVAAYVYSSESGSWGDSDSPIATLVLPSKAKHRARHGTIVGSVIHWFLDGHKVLTFDLERQILAIIELPPEVVKDTDSFYEFRCQIIPALDDGVGEVRLAVLADPNMQFWERKKTGGDGSGAAYTWVLSSTVRLNFPSIESIRSDLKYQVLGFDDESNAIFIWVQNVLFMVYLRSMQSRKVLDHWPPADIFPYSSL >OGLUM11G03860.1 pep chromosome:ALNU02000000:11:2933002:2934219:1 gene:OGLUM11G03860 transcript:OGLUM11G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVGILFAVAAAAGCIAGWSALELLEHGDDDWIPTFPSSRLSLVVAISALSSCSPSPPPPADIAQTKIKPKAFDHAEAARPRVVRVWRRPCPREPWRRRAERIERHGSVESWSILGAVSGLTVMVAAYWGGTSERGRDDPLVACNDGGEGRANGVDALDAVEVGGVDGAASIRTHTSRLPISAGGSSATLRTLSGGPWWS >OGLUM11G03870.1 pep chromosome:ALNU02000000:11:2933416:2934181:-1 gene:OGLUM11G03870 transcript:OGLUM11G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPSTPPTSTASRASTPFALPSPPSLQATRGSSLPRSDVPPQYAATITVNPLTAPRMLQDSTLPWRSIRSARRRHGSRGHGRRHTRTTRGLAASACYAGGGGEGEQEDKAEMATTRLSLELGKVGIQSSSPCSSSSSADHPAMQPAAAATANKIPTRHMLLSWRPMTSVVAVSWFTVANTVSPTSPFLTTISTFACIPFHN >OGLUM11G03880.1 pep chromosome:ALNU02000000:11:2936338:2938747:-1 gene:OGLUM11G03880 transcript:OGLUM11G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFR1] MAPKFGKRGNTYVGRPPIIEVVTYNVSTTQQYSGFIYDLRHRLAKHQHIGRPVLAMGSHPKQPARWMYINLVGNDNDRATLAVRDDNLYIIGFKNQKGQWFEIGVPTKNMPLLNSSTFLGCDVRYRSLLNVPEGPSTPSEVVLRELMSVDLRRTRVFDAVHELSDYAHPQNGVVDVATKRHLARLAVVICESARMTPHYDTVNNGYESNAPVTLTELQVNYLWNWTCMSRILGGWPPPTLPWELTAETKIKCFCDALTIVQLLRNTPKWHVQHRITHQRKEPPCLRRPPPSDYGPRPHAQLPPPLAKRAPQALSEEEKRPSSTDDREESSMDGCIGRLLVEVLTVNPMYASDNFHRCTIAIYDGERGQILFKHQEGDPSVIRDFQGNLFLTGPRSAISAEGSFLIEVNPHTPTGGQSYISKSQAVGGTLFWDCYDDDNEYDKILTDNFSTGLGPLRVTYAVLSNAVEATVQVKLLPLAGGRATSGSVFGVITVRSQKFGVGSVLFSRSPQAKVQLASDSTVPLARSVVAVPLDFPVLIEAQLHAGNEYFQGKLEFAAVVCGKQQTKQILHALTPRAAGAGNTVLEITWSPDFPR >OGLUM11G03890.1 pep chromosome:ALNU02000000:11:2947056:2947655:1 gene:OGLUM11G03890 transcript:OGLUM11G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGPSFATSAYAPVIFTLCLHDGSQEEDNNENEVEIICNTCNGLFNNYNSTIIETVNTGYGPAEVSYAVLTNAVEGQVTVKLVCRDEHNDPTAITGVLGRIVARSKLLNVGCVLFYNDFGSIPHIGPDGLIPLARRALAVPAMMPLTIELDLRSSSGDEIVRAAVEFDPTTSDQHVERVIGMGGHEIQVTISWLDFPW >OGLUM11G03900.1 pep chromosome:ALNU02000000:11:2970328:2972397:1 gene:OGLUM11G03900 transcript:OGLUM11G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGYGDAPTADDLVAYDQLLGLRHTRHMAEVFAVRLPDSAGRRPAAPSASAAATTATTSSTAAAVRTTPPTHRPATPMGWGHLNRCLRELLA >OGLUM11G03910.1 pep chromosome:ALNU02000000:11:2974987:2977177:-1 gene:OGLUM11G03910 transcript:OGLUM11G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFR4] MAVRVENVEFNVRTGNYPEFMNNLRDRLAEHPHLDEVYAGCPVLAVQRCPKQPARWMYINLNLKGQWFHMGFSGMSKPILPEPSTFLGCDGSYPSLLGGRDEDDVKNMLVNDIDLRQVVLLDAVHKLSGYEQPPGPYGAADDDTKLDLVHLTVVFCEGARMALHYDAVNDGQISLNERQVDYLRNWWLMSRALLQDEKTPWPRRLSSETGINDDEQARRVVLLVLNTSTTVHVHGAAKRRRSDCLYFRTDPEAAAAGAADGSFLIEVDTNNGDGDTADGTLFWDCYDGQNEYNKTLTHTIGTTRGPVDVTYAVLSDAVEATVQLKLLLPVAGDDNTALAVYGDITARSHCLAVGSALFLRGSREDAVELAVAAAGGSAVVDVPLQRCVVAVPLDWPLEIDVQLYVVGTNSNGEEGIRYTCFQRLLLSSPGGEQQPQPVFHSGNTNLEVNITWSRDF >OGLUM11G03920.1 pep chromosome:ALNU02000000:11:2984972:2992825:-1 gene:OGLUM11G03920 transcript:OGLUM11G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDDERLGRALAAFGGGGGGVWELVDAALACGVVSTAAAVDDVVAEADGAAGQWRPAGAQRRSPPCGEWSSWSYAARSSPVGASPYPVAAAINESRRKRKESKNQNQQAKRRKLDGILWRTDHLPIPKKGN >OGLUM11G03930.1 pep chromosome:ALNU02000000:11:2997362:2999662:-1 gene:OGLUM11G03930 transcript:OGLUM11G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITYKALQETDIGRHVNGLRKHPSGEVRLLVKQLIRKWKEIVDDWVRLHNSSGDASNSIITDGNSPEKIQGKNQQSSQVSEFKYSPSPSRHNNSSSERVSNGIASIAATKHRASPAPAHHNARQINNTHHSTTSSAPARMVKEQKDSHLDLERLDSARKRLQENYQEAQNAKKQRTIQVMDINEIPKPKNRNAFIRKGNGGGF >OGLUM11G03940.1 pep chromosome:ALNU02000000:11:3007448:3007726:-1 gene:OGLUM11G03940 transcript:OGLUM11G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMDDVDFSPHQATPKESMNSKDDAKVQPSSGQTPNKGNYYMGHANAIMMMFQYIRDGCRRVESSMEYYKYKKVEEGHGEKRKVGEGYDPR >OGLUM11G03950.1 pep chromosome:ALNU02000000:11:3009761:3014762:1 gene:OGLUM11G03950 transcript:OGLUM11G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRHRLIGVASPAATELRRAFRSEAALEAIRAHSKDADGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLVLPFSSVEPFRAGDFEGAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRRSTLARIPHLGHCPSNLELNIDTTKSSARATFDYFSRNLAEIKSDSDMCEKLLDQEDEERVFNVLKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLLFEQQLDVCNLLSRGKSLAHDRFEAAGKLIHKPFRIHLGRGLHGECLAIRADGNSKLSHEIGLELSKMSTAAGLRPIGAVVFMQRGLLKICLRTTDSSTNTAEIAKAYGGGGKPSSSSFALRMDEFNAWISVNS >OGLUM11G03950.2 pep chromosome:ALNU02000000:11:3009761:3014762:1 gene:OGLUM11G03950 transcript:OGLUM11G03950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRRHRLIGVASPAATELRRAFRSEAALEAIRAHSKDADGPAHLALYNYPTFAGGYAALAADLFHRRLRRRLLVLPFSSVEPFRAGDFEGAGFQTCYLLDFIGPNNFALELSRFIPSVIAFDHRRSTLARIPHLGHCPSNLELNIDTTKSSARATFDYFSRNLAEIKSDSDMCEKLLDQEDEERVFNVLKYIEDADLRQWKLPNAKEFQTALRDERAKLNCITNPLLFEQSLAHDRFEAAGKLIHKPFRIHLGRGLHGECLAIRADGNSKLSHEIGLELSKMSTAAGLRPIGAVVFMQRGLLKICLRTTDSSTNTAEIAKAYGGGGKPSSSSFALRMDEFNAWISVNS >OGLUM11G03960.1 pep chromosome:ALNU02000000:11:3013946:3017844:-1 gene:OGLUM11G03960 transcript:OGLUM11G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRALLPRGSILLVLRPRVPSTPHRCFAIGAAAAVDGGETWRGQLRQGEGEGRSRAVKVSVWWDFQSCHLPQGANPCRVATSVTAALRDAGIRGRVDITAFGDAYMLPRAVQEALAATGVAFSHVPSSFVFQTHPNFVTHRSGKGGPDQLFMADLTYWIAQNPPPAHFFLISGNKGLANILHRLRMSNYNVLLACPSADSSVLCSAATIMWPWDALVKGLDFSPKHFNQPPDGISFSWYGHYRGPLDDLFLNSESDDSMAESEDSLAESRDSKAFQPHTKSVKPPILPKSVANGVRQVLYSFPEGISLPNLRAELRKNHVFMDKGLFGFKNFSSLLQAMPDVVKFIDPLPGERNQPAVVGVSKRSMEPAEQIYKGKSSAQSSGEFKRLVQTLNEKPPSSHVPSSSSDILSADRKKVLAVDAPSSQSDLLSRSQEKAPPVDLTTQPETPASCMEADVESVAGASAFTGEQITVDKKGLFERICVLWNDTEPVKPMLSPSQDDTHSKGSNDLLTQYANSNEHNSLLTRTLKIFSTTDNSDGDNVDSTSAISSSFSNMSANDHSDKLNVKENVGNMIIHSSRSVDTSNAEHKVGFIEKSKGIFSWAAKWWASGKPDTDDNLSSVHINDGTREESEKESAFVKTAATASEQQVGVELFMKPYFWDALQQYLSTPHGSDLVSKAKTREELAHGLQKQGHWPLKSLGGKHHHQLVDLLISEKQWIKESSSQTSPFRLALPQRRARSPLLSFFTNGRPSGQRKHVDDRSPTLSRTSVHVLPTKNGKGQASCKSNENQSKSDDFLEKELGPVSDSGKPYRQNDKAVRHHPPTCSDDEFSDDENHEVVQEAGRDAAQSSLFKIIDSWNTSKTICSSKKQHGIGGIVDCSRINRGNGGDNSITENAEKATSLSKHSYMTSDSDSDSDEEKLFNSVLGSLQNAKNSSLPG >OGLUM11G03970.1 pep chromosome:ALNU02000000:11:3019868:3030107:-1 gene:OGLUM11G03970 transcript:OGLUM11G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKESSSSSSSSYADFAKESRGVAWLLTFGANTRLLGPGMEKAETEQSKSYRIIRFKSKFPKPKFILVESSARKVEVVEAVDVNGHLVRRLHHGLPPTPPATRGRRSFAYPAPLHQRRRDRPPPTSPCRASAPSRGHPFTTFPSPYSCLPTMGRTARVKAQQPIREKVGWGAAAVVLAAVVAVSLAVFLAGWRHYRYRVPEGSPLTLLVRVTSHGGRAAGWFGKDLNNSRLDLFYWLLACIGIANLVFYVVVATRYSYKTVMAGGKVVDDKAGDIEWAAAAAAAY >OGLUM11G03980.1 pep chromosome:ALNU02000000:11:3020738:3025647:1 gene:OGLUM11G03980 transcript:OGLUM11G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAKARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSGKYSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLVRSASEANSTTLQHKLNGKHVARMPSCDGNIGHILRAVGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >OGLUM11G03980.2 pep chromosome:ALNU02000000:11:3020738:3025647:1 gene:OGLUM11G03980 transcript:OGLUM11G03980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAKARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQAVSDQVSFLWNAFLKFHRANKIKILDHLRNIWDADRKSEWSIWIVHSKVDMPHRYLCSLSGKYSPRHSLLRSSSSRKLHPDNSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >OGLUM11G03980.3 pep chromosome:ALNU02000000:11:3020738:3025647:1 gene:OGLUM11G03980 transcript:OGLUM11G03980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAKARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQANSSSRAELHRRSIAQMKINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >OGLUM11G03980.4 pep chromosome:ALNU02000000:11:3020738:3025647:1 gene:OGLUM11G03980 transcript:OGLUM11G03980.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRAKARRRGRERWEVADLAGVGGAERGEASPAAGRRRGGWEAVMETAHEVAIYIDRFHNLDLFQQGWYRLKISAAWEEDEYRAPVSPARVVQYEVPDIGSKGAFGLWKIDDVDNSFYTQPFQIKYSRQDIYLSVMVSFYIPNTEDEGPATSSVILKFELIYVPTLEDRTEIEDPSDIYVPVHEFRIPYKALLGLHAYFPVHFDAFHPVLVDLTMHIVYLKAGVTKSSQKVHREILLEEVMKMSAGIGKTLEDLDDADLTLGKHEPIDSSKAGLPKYSKGLYIPTKCIGHLTGVLHDLIERSDNVVRSTNDILLYTLSKEDLLELFQANSSSRAELHRRSIAQMKVGSQSMLKTETICITPKKRILTQIILQINARFIQDMQIYANPSEIPVVHIEQHVMVVPQHGSSKRLGHHLDLRLIRNQWILRDPGAECLLSQTNEDRTCGDFKEMGRRLSNEVVAFLKRKIDRYSRNGGCKDLKLSFVGHSIGNIIIRSALADPKMQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGVQCMHQLTFSDEQDPQNTFFYKLCKLNTLDNFKNIILVSSPQDGYVPYHSARIDSCPASSSDNSKKGQVFTEMLNNCLDQLRAPSSETRVFMRCDVSFDQSSHGRRRSLNTMIGRAAHIEFLENDLYARFIMWSFPDFFR >OGLUM11G03990.1 pep chromosome:ALNU02000000:11:3030269:3032347:1 gene:OGLUM11G03990 transcript:OGLUM11G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIPPQKSSSFSPATFREERLGRNLSLGAIKISEHAPAVRVKEEAEEGRGGVDNAGAGEGEGAAGEEAAPPEEAAEPDLAMLSAEVDAFLAGREGDAPTSISEVTLDKFASAVEQEMAQSEGDDDKWAVGENGEAAPLLAAIRRISALAAALTAVPEGSKFTIGVHRVTGVLHRAMAFVEDEFHTMLEDPRVAKAAQNGDTGSATGKSMRRGPSFNHAGGDPASDGGGGGGGGGDTPPPFPPETVDRLRAMADAMIAVGYMTECTQVFLVARRNALDASLQSLGYEKASIDDVVRMAWESLESDVATWIKAFHHTINVSLSAEHDLCARVFAGCDAAVGRAIFVDLARCAMLQMLNFTEAVAMTKRAAEKLFKVLDMYEAVRDAAPVIDAFIAACSTTDAAADEPDTTTDALTDIKTELASVRSRLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKYACEYKNTLEQVFHEHHRTDIDADDEGSDPFAAQLMEVMELLHDNLEAKSRLYKDPALCSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLTLLRDDGVITVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQSFSAGRQAEKYIKLSAEDLEAIIDELFDGNAVSMPRRRN >OGLUM11G04000.1 pep chromosome:ALNU02000000:11:3042942:3043477:-1 gene:OGLUM11G04000 transcript:OGLUM11G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHHHHLFHHKKDDEPATGVDSYGEGVYTSETVTTEVVAGGQDEYERYKKEEKQHKHKQHLGEAGALAAGAFALYEKHEAKKDPENAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKSAEESTGEKKHHLFG >OGLUM11G04010.1 pep chromosome:ALNU02000000:11:3056838:3057278:-1 gene:OGLUM11G04010 transcript:OGLUM11G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAVKLAVLLLLATAAAGGSSTTTVPPLKERLGAAFDGMVAAAAEGGGGGGGWMMECWSAVTKLGSCTNEIVLFFVNGESYLGPDCCVAIRTVTRRCWPAMLASIGFTAQEADILRGFCDAELAAPPPPSTNASSAAPAPAPASA >OGLUM11G04020.1 pep chromosome:ALNU02000000:11:3058983:3065309:1 gene:OGLUM11G04020 transcript:OGLUM11G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKPSKEDRRPAHELVCVDISPISVSALFVRDATPEWAEPEEVAASLPAPAIRPSSPSPAWGNRMASAQDPFYIVKDEIQESIEKIQDTLHQWKQTPENTGEHVHLTKEIIASCESIQWQVVELEKAISVAERDPAYYGLNEVEIGKRRNWTSTARNQVVSIRRYVEAGKQNSAFGHSVNPSELVRSKQHIAQDNDDFIASESDQQMLLIKRQDEELDELSASVQRIGGVGLTIHDELVGQKRVAMVLKKASLKGQIMMIAFLVRSSGGIVGQSPSHPSPAQRSKKALEAFIAEGHFRPTHQNPRRGGPLYKRCPRVYPAPPPQTPPPPLGAATPAPGRRRRRKMSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVNKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPIVVVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASVVRVIVHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >OGLUM11G04030.1 pep chromosome:ALNU02000000:11:3066056:3071668:1 gene:OGLUM11G04030 transcript:OGLUM11G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQRVEKPRNETPISENEIRITAQGRMRNYISYGMSLLEENGHDEIVIKAMGRAINKTVMVVELIKRRIGGLHQITSTESIDITDTWEPLEEGLLPLETTRHVSMIAITLSKKALDTLSPGYQPPIPAEEVRPAFDYEHEESFPANRGRGRGGGRRGRGRAMSNGPPAYDYGEEWEEEGDYYNYRGRGRGRFRGRGRGRGRGGYYGGGRRGGYGYDYGYGGRGDYYEDQGEYFEEPEDYPPPGRGRGRGRRGGGPGPFRGRGRGRGRF >OGLUM11G04040.1 pep chromosome:ALNU02000000:11:3072216:3073283:-1 gene:OGLUM11G04040 transcript:OGLUM11G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTVVAVPTVDPAAPTATRVAAASRAGSDGYDGGGGFRAEAAGGGARGEAVGAGWRQRRVETVQPAAGGGIGGDGRALPSSSAASGGIGDVQREEWRPLGGALPPPDPAGGRAPEPRHLTILRTTTECAVD >OGLUM11G04050.1 pep chromosome:ALNU02000000:11:3075990:3078207:-1 gene:OGLUM11G04050 transcript:OGLUM11G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGKVASPPGPRLPRLAGAGGEEEEEEAAAGMLSRHGQAREMSVMVSALARVVAGGGGGEAEEWWPPAYGAAPLPPSTSPASHEHAAAMAAGQYAPATSSAMASPREQASSPSSGDAAGGGGGGGRKRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEAAARAYDDAALRFRGCRAKLNFPEDAALLPPPPPPPAPAPTPTPPQSQGMVGVGEEYSEYARFLQGAGEPPHFLEQIMEDSPRPSTAAGASSSSSGQSSFPLFYSFAGHELGGNEANLARPPESGGAGGDGGRGSSPPATWPGYGWGAPPPWDPSR >OGLUM11G04060.1 pep chromosome:ALNU02000000:11:3082116:3084567:1 gene:OGLUM11G04060 transcript:OGLUM11G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G42440) TAIR;Acc:AT5G42440] MDGVLQALVVAVAASAAILFPCLAIAFFCRHRHRHRVVVKPRCRCLQPLSSAATLPVTAPSSRSCERVSSWSFYGGGGDAGDRSLKMLSLDDLAGATGGFSPDNIIGDGSFGFVYRAVLPDGARVAVKRLSADHASGGEGNREFRAELEVLGTLSHPNLARLLGFCAAGADRLLVYELLERGSLDAWLYGDATGAAGQLPWPARLRIARGVAAALAFLHHGNETAILHRDIKASNVLLDEGFEAKLADFGLARIAAGGAAESHLSTQAAGTAGYMAPELRAGVGASVKADVYSFGVLLMEMVTGRRPSWPVKINMKGKEVEMLKWARDKVDKGQALEILDRQMGIQWEGREADQDEMIAYLDVARRCTEESPKHRPSMEEVVEMLNKI >OGLUM11G04070.1 pep chromosome:ALNU02000000:11:3098481:3105613:1 gene:OGLUM11G04070 transcript:OGLUM11G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: vesicle-mediated transport, vesicle docking involved in exocytosis; LOCATED IN: cellular_component unknown; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 14 growth stages; CONTAINS InterP /.../AIN/s: Sec1-like protein (InterPro:IPR001619); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT2G42700) TAIR;Acc:AT2G42700] MGSVDLIAACLDSIRQIGDEIADALVYLDAGTLEAFQFIGAFPLLLELGARAICSLENASPLDAAAEWHSSFAHPARKIVVITSRLLSDAHRYILRCLGNHGTISHCTVLTAISEVGHSAYVDSPFGPDAFREYETLLTQDHEELLKKYEKSDEHKDTTFYTGKDFTSDGGRYSNWESGVHYGSNSESSPTKKDLFADESSQLETKGKRLSVTVTHFPMIFSPISSRTFVLPSEGTMAESCLSNHHEDSLSPGLPPISTGRSFDGDEIPPGVTLTAQFLYHLASKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTLDLLTPCFHGDSFLDRMLSSLTRKEGTSSYFVSKNPQTPSKHSEATVKRIPLDIKVPFEKVFSKEEPKSRTSMLPESIMSFVSGWNSAEVESEVTWLPDYADKAHDDKLSSQHGSLNGSFLSNYAGVCYLESLLDRGAKDGLMLIKKWLMEALQHEKLSFPSKGRQGAASVSELHSMVQMLSRDQLSLVRNRGVIQLALAAEMALQEPQSSRWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVESHKQANKTESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGLFSWEDERSLKDIVVDSILDRPSSVKLRFLVGLENELEAKARPKDGDKSNDSIEASKSTDDFDDQWDSWGDDDDNTDDQKDEAYGDMQLKLEVRDRVDQLFKFFHNLSSMRLRNQTLGEGLAALSRFETDIYSRKGLLYKLLLAVLARYDIPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSILIVFVVGGINALEVREVMKAISDSSRPDVELILGGTTLLTPDDMFELMLGSSSFS >OGLUM11G04080.1 pep chromosome:ALNU02000000:11:3109048:3110587:-1 gene:OGLUM11G04080 transcript:OGLUM11G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin transporter-like protein 3 [Source:Projected from Arabidopsis thaliana (AT1G77690) UniProtKB/Swiss-Prot;Acc:Q9CA25] MASEKVETIVAGNYVEMEREGAATAGDGVGGAAAASGRRRGKLAVSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGVAFQVFYGLMGSWTAYLISVLYVEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLLFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFVPSFHNYRVWSFLGLLMTSYTAWYLTVAAVVHGRVDGAAAAARAGPSKTMVLYFTGATNILYTFGGHAVTVEIMHAMWRPRRFKMIYLAATAYVLTLTLPSAAAMYWAFGDALLDHSNAFALLPRTPWRDAAVVLMLIHQFITFGFACTPLYFVWEKAIGVHGGAGVLRRAAARLPVVLPIWFLAVIFPFFGPINSTVGSFLVSFTVYIIPAMAHMATFAPAAARENAVEPPPRALGGWPGTFAANCFVVAWVLVVGFGFGGWASTVNFVRQVDTFGLFTKCYQCPPRH >OGLUM11G04090.1 pep chromosome:ALNU02000000:11:3117146:3117594:-1 gene:OGLUM11G04090 transcript:OGLUM11G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEEFGFAGDDGRITLTCDASVMEYVMCLISRDASEEVERAFLSSVAIFHSVGEWRAARDRMPRPAEVSLHAAVGGGTAEEVGVSVDAAVLEREACGAGLGGDGVLLVAEGEACDDVAVLEDGGGVAEDELDTDKL >OGLUM11G04100.1 pep chromosome:ALNU02000000:11:3122257:3124535:1 gene:OGLUM11G04100 transcript:OGLUM11G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAKEKDKGGKKMSRVGSWGSMAFYSSSSSSRSQPVSAAEGKNGGKKEKRRSSISRSITCAGSICSTKESSVSSRGRGRRRRSGGGGGGDGGGGGSTSSRSLMGPGYHGDSAAAVSASSSFNSVMTAATSATATTTTTTTSSSATSPPSALSSPLSSIGGSFRAMQIRKLSGCYLHCHSVLDPRTLAAVVFSCPDCDEVFVKPDSLELHRSTRHAVSELGADDTSRNIVEIIFQSSWLKKNAPVCRIERILKVQSSDKTIKRFEQHKEAVKEKARSAGDEAGRNPRCVADGNELLRFHCTTFACSLGLAGGTALCCASSVDGNGRITTMATSGRAHDTAEVSPDGEKRAMLVCRVVAGRVKKLHSSNSSEDHDCDSVSPCSEGVYSDLDELFVFSPRAILPCFVVIYSGY >OGLUM11G04110.1 pep chromosome:ALNU02000000:11:3130938:3132221:-1 gene:OGLUM11G04110 transcript:OGLUM11G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPSAAGAASPSNSSAASASDPTPSWWESVSQARSRILALSSILPAPADSDVAALADSDRPARALLRSSAAYAALSAALRSGGGADDPACHWLYDTLLSPDPDLRLAALAFLPLLSSLYLLRLPPALPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPLSSPSSKSPRRPQPPPIPPPAGNVVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYAKISQMPPASKVDACNAVAAWAGQYCKCRFELDEELEEEEADSLGSVSPLSSEAENGKALEEEMAKMRVNGDTNGRNCGEREGRVPLPWELLQPVMRVLGHCLLAPLNPTEVRDAAAEAVRVVYARACHELVPQAILASRSLIELDKSARKAAKEAAAAASGAIVSVGTAGSTASSSRPSSKPNTPGKQRKPDVLLLSK >OGLUM11G04120.1 pep chromosome:ALNU02000000:11:3132481:3134214:-1 gene:OGLUM11G04120 transcript:OGLUM11G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASPFLSLLLLLLLITIYIAHGAAGDAIGGDPWQEPEVAQQPAVVLVGEWQLLHQNTGVSAMHMQLLPGDYVLMFDRTDSGPSNISLDALSPCAAAATTALAAGGGGAVDCTAHSVLLDLRSNALRPYPLATNPWCSSAALLPNGTLLQTGGFSNGDRIARLFSPSTGWVDLPSFLAVRRWYATDILLADGRVLILGGRRQFNFEFFPHDDAPAPQPTLFPFLEETTDMDAEDNLYPFLHLLPDATVFVFANDRAVVFDPYNRAPLRRLPAIPGGVPRNYPSSGSSVLLPLRPDSPTHAEVLVCGGAPRGAYRLALRNGTFAPADRTCGRIAPTDANPVWAMEEMPLPRAMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTYPVLYKPDMQLGARFEVLAASTIPRMYHSSATLDTLGRVLVGGSNPHVGYVFDNVTYPTELSLEAFLPPYFDARLDGVRPRLVAAPAEVGYGEAAAVRFEVPGGAVSGGPEEVRVAAVAPAFATHSFGMNQRVVSLAVGTVAQLAAGLYEAQVAAPPSPSVAPPGYYLWFVLHAGVPSTAAWVRMRPLGAAT >OGLUM11G04130.1 pep chromosome:ALNU02000000:11:3137120:3144424:1 gene:OGLUM11G04130 transcript:OGLUM11G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFIGFAIPFLASLLFTKRKGEKKRGVPVDVGGEPGYAIRNHKFERPVETHWEGVSTLAELFEQSCEQYVYMPLLGTRKLISREMEAARDGRSFEKLHLGQYEWKSYADAFKTVCNFSSGLVRIGHQRDERVAIFADTRAEWQIALQACFRQSITVVTIYSSLGEGALCHSLNETEVTTVICGRKELKKLVDISGQLDTVKHVIYVNEEGVSSEVSLAQKCTSWRVESFEEVERLGLETPVEAKLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPALGKKDVYLAYLPLAHILELAAETVMSAVGASIGYGSPLTLTDTSNKIKKGTQGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGAAKKLFDIAYSRRLAAINGSWFGAWGLEKHLWDMLVFQKVRAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDNSVGRVGAPLPCSYIKLIDWAEGGYLTNDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDNIMIHADPFHNYCVALVVVAHSELKSWASQQGITYSDVSDLCEKQETVKEVLQCLAKAAKQARLEKFEIPAKVKLVPEPWTPESGLVTAALKLKREAIKKAYEDDLAALYS >OGLUM11G04140.1 pep chromosome:ALNU02000000:11:3142431:3144188:-1 gene:OGLUM11G04140 transcript:OGLUM11G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFU1] MSSVFSGDETAPFFGFLGAASALIFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OGLUM11G04150.1 pep chromosome:ALNU02000000:11:3146677:3157034:-1 gene:OGLUM11G04150 transcript:OGLUM11G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEGGIASPGEKPILNGASPNHSQSPKICSRITDNETQGTATAKSLNEKLVLETVSDDSSTQHCQSPQPDVFTNVKDEDMQDSVKSLSEKLASALLTSNAKDDLVKQHTKVAEEAVAGWEQAEAEVSTLKRLLEASTQKNASLDDQVNHLDDALKECVRQLRQAREEQEEKIRDAVAKKTQELDSHKSELQNHIYELKQQLEAAKLEAATVAVQHDLQDKLQVAEKENKGLKIELLTLAKDLKRLSLERDLSNEAAETASKQHLESVKKIARVEAECRKLRHLTRRTSLANDSRPAPNNACMESLTDSQSDSGERMLTVDSEMRNSDSWASALIAELDQFKNSSASSRDVVNNHVEIDLMDDFLEMEKLAALSEVERVSSSFGTETDSDQAVAIDKASKVETETLKSQVTDLQAKVEKLETEKRDLEMALAEARVQLDASCDALMAANNKLAELQMQFNLANESKVAALGQADQLDAERGSLALQLESKSIEVEKLQAVVASLEESTDKKELESQLESTSVELADLRKTVASLQEQIDAERTLSLQHKAYADMADADKKSLEAQLQSAHADIGKLRGSIETLESELQKEKTMYEELVVQMESMKIESEKKLGVESAKEALEARLLVVNSEIAKLHGTVNDLECDAAKEKAFSSELKMQLEAVEGIRKMLESEIESSHQETMKLQEKISLLEVRLKDQTALLVEFTAKAEDAAAGRKAMEGQLEGAKLEITKLTNRVSLLQGKIEQEKLLSEEYEAKCRKLEAQLSRDSREARLWRLANTNGDLKDKELSSAAGKLAECQKTIANLGRQLKSLTDLDSVTAEPEKLESGDALLDFREPDVEVPPAGFANGLYDLDLPKSNGSCLSPIPHVQSSSTHTQTSVFSGGLSSLGSYRSKTRNSAPKVYKPASEVNLGADSNEFYISPNVKAPRVAGLLVKIFAWVLEAPIIGSIVLYILKRDNLVNKLVSDAEIPEPPLFTAAHTWQDIPEQNVSLTKPDMSPAERVQEAVVCLPARLESVLADPPSPGFRRWTIRDFTSAYISGEITPVMVARRFLAAVKECSGPDLNMALFISCNPQDIIRQAEASTLRYQQGAPLSAMDGVLVAVKDEIDCLPYPTTGGTRWLQRMRPCVQDAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPYNTGKVAGGSSGGSAAVVCAGLCPVALGADGGGSVRMPAALCGVVGLKPTAGRFSKDGFVMLHIYVLFILQDQSLAKVAIAAMDVHCSDELTHLTWAISDLRLLPLNWTVGMPGILAATVEDALIAYAAIADQSQPSHLQANARTLYFLLHVWMFSETEFAEDLIFFVCLQPELNLPLLKAASSMPTIRLARYAKWFNDCSEDIRSCCYKAVHTLRTRYGWEVTADVTIPEIEEMRLAHYVTMGSECTASFDKYLKKLSKSEIGWDVRIALSAYGSFSSRAYLNSQRIRNRQMYFHDKIFETFDVIVTPMTGVTAHELQDNAGHTGELDYINGAALVRYSIAGNFLGLPAITLKVGYDREGLPVGLQFIGRPWSEATLLHLAYAMQEACGKNYRKPMVYYDLLNKNKY >OGLUM11G04160.1 pep chromosome:ALNU02000000:11:3150863:3153008:1 gene:OGLUM11G04160 transcript:OGLUM11G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIATDQQAKPCKECTGEVPDCPAPEPRRWRVSEHRFEASREADDGLLNALCRRHVRLRQAHILLGDVCNEATAAGAAVFSEEVMIIYLVDKIVSLEDVEHDGANDRRLKNPCEDLHQKPSNPWS >OGLUM11G04170.1 pep chromosome:ALNU02000000:11:3167474:3172495:-1 gene:OGLUM11G04170 transcript:OGLUM11G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGVALNRRTRSRPPSVASSQKSDDPAAAVAAISTAEATPSPSHAAGERTVKKLRLTKAVTIPEGTTVAEACQRMAARRVDAVLLTDANGLLSGIVTDKDIAKRVIAEGLRVEQTITSKIMTRTPVYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQVGDNLPDHSSVIETLRERMLKPSLSTIISENTKVAIVSPWDPVCVAARKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVTQNLSPELTHVEKVMTAHPECATLDTSILDALHIMHDGKFLHIPVVDGEGRVVACLDVLQITHAAISMVSLHMRIFSFLIPCFVLMVSVHLTSFCVKVEGGPETTNDVANTIMQKFWDSALALEPPDEEFDSRSEISLLMPSEAGDGRSSINPPVVGNSFVFKIEDQKGRMHRFACGSESLHELVSSVVQRLGIDGEKGTVQLLYDDDEGDRVLLTTDTDLTGAVLHAKSSGLKSLRLYTDESNSSSEVTKHSSEVTKHASEVTKHTSEVTKQPPELTSSHTSQLTPARYGLMADFSQM >OGLUM11G04180.1 pep chromosome:ALNU02000000:11:3175155:3177668:-1 gene:OGLUM11G04180 transcript:OGLUM11G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVRAVIESFFVMLKENIYVLIRIESLVALVTLIFLAMFIIDFYRCQTRSSILTTILESIDELSDQIVVYLIGAMQSARFENELFPVWAIVLVSLRTSLGYLSGYGISDRDRRFMELANVISMQGVLAGTGGLKYAGPLWSFWAILQLKSVYRFFAHGMANESLWHGRSSEFIPEYMRTFIPEDQETGVNHDDRNTSTMMPGKKYLICGESNKDITLKKPQYTINISNSSAQSLVTLGKIQEYNWKGMNNRDGDSKFKDLSMAFSLSRLLRCRLEDVTLNKDSINDMQHLIISEFIPDSRGQRQEEKVDEHQAVEAERTFRILELELAFVRDYFYTLYPLVFWEGLGSLCLSLLLSAATFAIAFWLAVGIRKVYQPPEGNLVLWVDGCNFDIIMTWVFMFCVMFKEIWEIVTYLVSNWTRLLVLCKYVQDQAWFVSERLTKHLVRSFFESKIGEPWHGRIDQYDFLQQITYKPTLWKLANVITLGKIKGKLDGKKTGEAIKIPQCVKLAILQAIRRIGLTSRPLPREIPSLRSSTIQFERYEWACLKLRTCSQVILVWHIATSLCEIKLAHDKKIDLTKPGFLCSAWSYMKKKLCCFSSYPYLIGENSGLSAGLKTNYHIAISLSRYCAYLQVFRSELLPDSFLVPEVLFVETLKHAREQLKDCNLKWCRYNKLMGIALQATPSSVDEKLKMNILQQGVTLAKDLIRMKDDEACWKILAEVWADLLVHIAPSWNASDHKNNLESGGEFITLIWALLWHCGIEKSSLWHKDEAFENNSQVPQESSTETSNVIPMDEPANEDGIESSEELKTRRFRRGREHRNGPKEWPIECKYTEAL >OGLUM11G04190.1 pep chromosome:ALNU02000000:11:3176630:3185331:1 gene:OGLUM11G04190 transcript:OGLUM11G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVRPATAATGSRTCASPSPCTSCCAVGVFVTHCIIAILVIRELWEIIVYVFSQWTNVLIICSYIRLRGRQGCWIQGLRLWMMEKVARIMFWLIGRGRSRRDRNIRQCNLVMSARTGSIARVTRVIFRTRKLRREVKMELFSSIKALLNSQEASASVAAGRTRSSQEELAQKKNELLRSYLGNAFADIEHLVGQIEHIQREIKGEGETHKILAWHIATSLCQIKLLEQEATGRWRDDLYNLTLPEGGGDGELADVWPHYVTAVTLSNYCAYLVRQALVPDNGLVAYKVLHEVRREAAQSMRGCSAMQGLPHQLILNSNRPRCNGDDDEEGIIDIGALLSEELVAAFGGGAEVELWKRLGKFWAGFLLHLSASTRAAKHQVHLRGSGELTTHLWVLLSHAGFLVPK >OGLUM11G04200.1 pep chromosome:ALNU02000000:11:3187956:3190471:-1 gene:OGLUM11G04200 transcript:OGLUM11G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFU7] MASATLLKSSFLPKKSEWGATRQAAAPKPVTVSMVVRAGAYDDELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGQYISGAILFEETLYQSTVDGKKIVDILTEQKIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAETFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVSDYTLKLLHRRIPPAVPGIMFLSGGQSEVEATQNLNAMNKGPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >OGLUM11G04210.1 pep chromosome:ALNU02000000:11:3192219:3193682:-1 gene:OGLUM11G04210 transcript:OGLUM11G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:embryo defective 2739 [Source:Projected from Arabidopsis thaliana (AT4G14590) TAIR;Acc:AT4G14590] MPPPPPPRLLAGGDHHPSPTSASSPEHPFLSAHLLLPSPSPSDLPSLHLPLALAFSFLADPSPLPRRLLVVLHAAGGRYPAFYHAFASALLSLPFPLLLPHPRNRLLLAASELARAAAPGFAPLLVSLLRRLPFPGDARLLDIFHEHASFLADEEPQLLASAVFAFLRLLAKNRLAPAPQCLECSDCGECKNAKNLAGCRENLVSFCASVLRDHTQVCELIGRDLVRCLHELVLVQEFHELWKDSMLGRAAEICRIGMPGWCTAMAVSPEMETQLLFMMNNVKWGNQKRYQLWFARKHLMVPGGEERIPDIVRFICCGYHPTNKVMQSGVIARWAVIGWLLTSCNKSYVQANAKVALFYDWLFFDEDKGNVMNIEPAMLLMVNSVSQYTDITNMLLEFLFLLVENYDVRRKEAIAECVRNAFAVLVKKGVVPSLDILTCCEKLSPMLRQKLVAFLSSASSEETEKTCGQPIDEASKAMDLNNRVCSN >OGLUM11G04220.1 pep chromosome:ALNU02000000:11:3194543:3198201:-1 gene:OGLUM11G04220 transcript:OGLUM11G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDPSGSGGDGNANAKAKLAPPPVTAAGGRPVSVLPHKTANVRDHYRIGKKLGQGQFGTTYLCVDKASGGEFACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAAQLIRTIVAVVEGCHSLGVMHRDLKPENFLFASAAEDAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPESDVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLCRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDDSGTITFDELKEGLKRVGSELTEHEIQALMEAADIDNSGTIDYGEFIAATLHMNKLEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDLHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGGAGRRTMRNSLQLNLGEILNPSNS >OGLUM11G04230.1 pep chromosome:ALNU02000000:11:3205798:3211293:-1 gene:OGLUM11G04230 transcript:OGLUM11G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAAEGEEAPIAAFAVSKGGVVLKNIFLNAPPSPLPVEEAARGRGGEEEDPPVMFGRHPECHVLVDHPSVSRFHLEVRSRRRQRRITVTDLSSVHGTWISGRRIPPNTPVELTAGDVLRLGGSRREYRLHWLSLREAFDMEDLLPPLLEEDKEELSTCQEASKQLEPDQKESADTETHQETSQQVVSEQIDFHANVIPSAPPIPEFADLFALEESSVPEFDDSREGRIEGNLIEENHVIYSVESSITQPMLATVEDAGRSVKSGEKDTSNARRSKLKSVKTLRIETGRSKERITPLSYSYQKEENQNENPICSQNCGIECEACMVLFNNSYVGEAEEKEKMNILDRIMMEENQEQTNHLQSKEFVHYVAPLNLDYETFSDNENCVLSVAKETEHNDFNSVNCISQDSVCENPQKISELLHSVSPLVFKGDDFTDSKILQLCASVHKELSGPILENPFMQDISDENTNSNKDTGHEGLTLLNLDATLTSNESFAQSKIFVAPEDSESEGTISENLFEISNMKGNEENEENSPWDKENITPFVSGDIIVERSQLRLKPTTISQELMDSISPLNLEHNDFSDDENSILSIGEQMNSNELIAKNLIPLTSVDANMQKSHAGFMPIAHLDFKDSILTDEETSVLSPEKYDTISPVRQGNLFPDKENVTPASRDLKPIIGRKVLGPRVDNSLSVECTSKRRIHRQEPNELSAKSKVCHAVDDDVFYSDKENLTPISSGGIKARRCLPKSLTVDADQDQEAFYSDKENLTPVSSASRKTKDLSENRARMESTITKKRVVDRLPFQTLLSNSPLRHTSSLDSTQVNPRAVDVAMKLEGELNNVPHKGQESEKTKEGMKVWTMVTDMECLLDDESRKSIMLLRGLKGTQLVIPMIVIRELECLKKRERLFRMLSKATSMLQWINECMEKESWWIHVQSSSEMLPVAPTPPATPTALCNNGEREISAGTFNPIALFSPRSFSDIVSPKTEDRVLDCALLFNKLKGNQNIVILSNSVTLKIKAMAEGFPCEGAKEFRETLVNPCSSRFMWAASAPRGSAWSCLDETTLEENYYNSHHGARRRIPRPMEPAKGLKLILLHNSHYGKATNFVENRPLAPMASW >OGLUM11G04240.1 pep chromosome:ALNU02000000:11:3215039:3215350:-1 gene:OGLUM11G04240 transcript:OGLUM11G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTARGSGANGHVQTNKFFIKPRSSPSGGPPKAPPSAPGFDDAGGMRKPSKEILEHDRKRQVELRLXWPRWRAVGGGVATLAASIFFLRICDGSKNF >OGLUM11G04250.1 pep chromosome:ALNU02000000:11:3220080:3225002:1 gene:OGLUM11G04250 transcript:OGLUM11G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTTIGRYLFVLMASSVIQIMCSSLYGNETDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVSCRVKTPHRVISLNLTNRVLIGQMSPTLGNLTFLKFLFLPANSFTGEIPQSLGNMHHLQIIYLSNNTLQGKIPNLANCSNLKVLWLNGNNLVGQIPADLPQRFQSLQLSINSLTGPIPVSVANITTLKRFSCLYNSIDGNIPDDFAKLPVLVYLHLGANKLAGQFPQAILNLSTLVELTLASNHLSGELPSNIGNSLPDLQKFQLGGNFFYGHIPNSLTNASKLNLIDISINSFTGVVPRSIGKLTKLSWLNLELNKFHAHSQKDLEFMNSLANCTELQMFSIYGNRFEGNVPNSFGNHSTQLQYIHMGLNQFSGLIPSGIANIPNLIALELGGNLFTNVIPDWLGGLKSLQTLSLFNNLFTGPIPPSLSNLSNLVELGLSTNQLDGYIPPSLGYLQVLEDFSISHNNINGRVPNEIFGIPTISLIWLSFNYLEGELPSEVGNAKQLMYLHLTSNELSGDIPSTLGNCESLVDIKLDQNVFTGNIPITLGNISSLRGLNLSHNNLSGTIPVSLGDLELLQQLDLSFNHLTGHVSTKGVFKNTTAIQIDGNQGLCGGIPELHLLACPVMPLNSTKHKHSVGLKVVIPLATTVSLAVTIVFALFFWREKQKRKSVSLPSFDSSFPKVSYHDLARATDGFSASNLIGRGRYGSVYKAQLFQGRNVVAVKVFSLETKGAQKSFIAECNALRNVRHRNLVPILTACSTIDSRGNDFKALVYKFMARGDLHELLYSTDDDQNTSTSNLITLFQRLSIIVDVADALEYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARLKIDSTASTSADSIFSIAIKGTIGYIAPECASGGGQVSTVADVYSFGIILLEIFLRKRPTDNMFKDGLDIAKYVEMNFPDRTLNIVDPELLDDKQLQEIPVTMKEKCIECLVSVLNTGLCCVKISPNERMAMQEVAARLHVIKEAYAKAISELGNRSAQLCTEKKLGRGWMEVRQWLGGRHRRWQERLGSSGAGAGAGEEGGRGGGRRAADQAREKVSAHLDEEEEAMGAPASVLVGRHPAWPKLTADRAGLIEYEKQNYGPIVSVVNDETVWKCHRSAHQL >OGLUM11G04260.1 pep chromosome:ALNU02000000:11:3225173:3225666:-1 gene:OGLUM11G04260 transcript:OGLUM11G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSISSVQVFDDAGAGTGRMAAEGGARAAAAAAGEDADELERGAAAGGTNGSVAVKQRKHRRDVAGGASRWKETRMTWQADVSEVTARCWRRRRRVLVVDLEFADVLEHHRPPWVAAYLRLGFHGPRLADLDEFD >OGLUM11G04270.1 pep chromosome:ALNU02000000:11:3225893:3228230:-1 gene:OGLUM11G04270 transcript:OGLUM11G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXQVELRLLLLRDALEEQGYTEGEIEERVEEARKEAEIEIEAAAAEEEGRGAGRQPLPAKGLVDDDDR >OGLUM11G04270.2 pep chromosome:ALNU02000000:11:3227116:3228230:-1 gene:OGLUM11G04270 transcript:OGLUM11G04270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRGREDIVNWRRGSVAVWRRSTRQVASYPPWLC >OGLUM11G04280.1 pep chromosome:ALNU02000000:11:3248536:3250137:1 gene:OGLUM11G04280 transcript:OGLUM11G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFV6] MAAATARARRPHVLCFLLLVMVMPCAISAADLASDGRGRLYQVAMDQAARALAEARAARRDDPRDGVARRGAAQAWADCDQLVAFAVGHLNRTVAAAARGVDGDDVAAWLSAARTTVGTCLDGFGELGASPGPEFAAALANVSRLVTDALAATALRRGTENGARAATNSGDGDGRMLPLDMARPGDADVVVAKDGTGHFCTVGEALKAAARRATNGGRRTVVYVKAGVYNENVEVWTTNLVLVGDGIGRTVITGSRSVRGGYTTFSSATFGTPRSSLSLLASCECECVTLTWMDVHEAVNADGFVACGVTFRNAAGAGSGQAVALRASGDRVAFYRCSFEGHQDTLYAHTLRQFYRECAVAGTVDFVFGNAAAVLQRCSIRVRRPPLPGQPAVVTAQGRVDRYERTGFAIHGGRVTAAARFGAPGAAASAPFEAYLGRPWKEFSRVVYMEAYMDATVGAAGWLAWDGTAFAQSTAFYGEYRNSGPGSGTEGRVRWGGYHVITDPGVAAEFTAGEMVNAGEWLGSTGVPFTPGL >OGLUM11G04290.1 pep chromosome:ALNU02000000:11:3250134:3285359:-1 gene:OGLUM11G04290 transcript:OGLUM11G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSANYQFSSGQRSKPFDLQVIRMSAESPAALTELDATAHRRCGRVGRHRRRSDEAEARRRGVEVREGDTVWASVKQGSKESSTSCPPPSITHRPPMDRAAASVGFSVKGIDGSNYGPHDVSYEEAELQRIINPNDHGSIKTVLGEXRGVEVREGDTVWASVKQGSKESSTSCPPPSISRRPPMDRAAASVGFSVKGIDGSNYGPHETKDMTGHAKRFHNMKAACCYRLMNRRGSWHTRCARLLLLLPQRRHAVLLLLSLSPWLVPAAWVCSGAISGRRHRPCRSIVSRIIPGAAPTIAVDDEMS >OGLUM11G04300.1 pep chromosome:ALNU02000000:11:3250843:3261988:1 gene:OGLUM11G04300 transcript:OGLUM11G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVITTGKIILIFLACTAHVVTCSSLYGNETDRVALLEFKQAVRLDPKQALMSWNDSIHFCNWEGILCSLRIPYRVTSLNLTNRGLVGQISPSLGNLTFLSILSLTENSFSGQIPASLGHLNHLQTLWLSNNTLQGVIPDFTNCSSMKALRLNGNNLVGKFPQLPHRLQSLQLSYNHLSGTIPASLANITRLNVLTCTYNNIQGDIPHEIGKLSSLQFLYVGANKLVGRFPQAILNLSTLIGLSLGFNNLTGEAPSNLGNCLPNLQLLELEDNCFQGQIPSSLINASKLYRLELASNNFTGVVPRSIGKLTKLSWLNLQSNKLQARNKQDWEFLDSLANCTELKAFSIASNHLEGHVPTSLGNLSVQLVQLFLSGNQLSGGFPSGIANLPNLIYIGLDNNQFTGAVPKWLGTLSNLQQILLHENMFTGFIPTSLSNLSVLGSLWLDYNKIGGPLPASLGNLQTLETLSISNNKLHGSVPMEIFRIPTIRLIDLSFNNFDGQLSARVGNAKQLMYLYLSSNNLSGDIPSSLGNCESLEGIKLGSNILSGSIPTSLGNIRSLKVLNLSHNNLSGSIHANLGKLWLLEQVDLSFNNLSGEIPTEGIFLNATAVHINGNEGLCGGALNLHLPTCYVMPLNSSRSERSILLYFVILFASLVSVIFIYLLLLWRGKQKKKCTSLTPFDSKFPKVSYNDLAKATEGFSASNIIGRGIYSHVYKGELFQGRDVVAVKVFSLETEGAEHSFITECNALRKVRHRNLVPILTVCSSLDTKGNDFRALVYKLIPQGDLYSLLHSTRDSENGFTSNIITFSQRLSIVVDIADALEYLHHNNQETVVHCDIKPSNILLDNDMKAYVGDFGLARLKADAAVPSVGDSNSTSMIAIKGTIGYVAPEYASGGQVSTAADVYSFGIVLLEVFLRKGPTDDMFKDGLDIAKFVSMNFPDKILDIVDPVLLQDELDCSKESPVAMKEIFSECLHSVLNIGLCCTKQSPYERMDMREVAAKLHGTRRHISEATRYMVLLETLHCYHILFF >OGLUM11G04300.2 pep chromosome:ALNU02000000:11:3250843:3256790:1 gene:OGLUM11G04300 transcript:OGLUM11G04300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVITTGKIILIFLACTAHVVTCSSLYGNETDRVALLEFKQAVRLDPKQALMSWNDSIHFCNWEGILCSLRIPYRVTSLNLTNRGLVGQISPSLGNLTFLSILSLTENSFSGQIPASLGHLNHLQTLWLSNNTLQGVIPDFTNCSSMKALRLNGNNLVGKFPQLPHRLQSLQLSYNHLSGTIPASLANITRLNVLTCTYNNIQGDIPHEIGKLSSLQFLYVGANKLVGRFPQAILNLSTLIGLSLGFNNLTGEAPSNLGNCLPNLQLLELEDNCFQGQIPSSLINASKLYRLELASNNFTGVVPRSIGKLTKLSWLNLQSNKLQARNKQDWEFLDSLANCTELKAFSIASNHLEGHVPTSLGNLSVQLVQLFLSGNQLSGGFPSGIANLPNLIYIGLDNNQFTGAVPKWLGTLSNLQQILLHENMFTGFIPTSLSNLSVLGSLWLDYNKIGGPLPASLGNLQTLETLSISNNKLHGSVPMEIFRIPTIRLIDLSFNNFDGQLSARVGNAKQLMYLYLSSNNLSGDIPSSLGNCESLEGIKLGSNILSGSIPTSLGNIRSLKVLNLSHNNLSGSIHANLGKLWLLEQVDLSFNNLSGEIPTEGIFLNATAVHINGNEGLCGGALNLHLPTCYVMPLNSSRSERSILLYFVILFASLVSVIFIYLLLLWRGKQKKKCTSLTPFDSKFPKVSYNDLAKATEGFSASNIIGRGIYSHVYKGELFQGRDVVAVKVFSLETEGAEHSFITECNALRKVRHRNLVPILTVCSSLDTKGNDFRALVYKLIPQGDLYSLLHSTRDSENGFTSNIITFSQRLSIVVDIADALEYLHHNNQETVVHCDIKPSNILLDNDMKAYVGDFGLARLKADAAVPSVGDSNSTSMIAIKGTIGYVAPEYASGGQVSTAADVYSFGIVLLEVFLRKGPTDDMFKDGLDIAKFVSMNFPDKILDIVDPVLLQDELDCSKESPVAMKEIFSECLHSVLNIGLCCTKQSPYERMDMREVAAKLHGTRRHISEATR >OGLUM11G04310.1 pep chromosome:ALNU02000000:11:3267062:3268084:1 gene:OGLUM11G04310 transcript:OGLUM11G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTIIRQYVFLLMASNVVQIMCTSLYGNETDRLSLLEFKKAISLDPQQALMSWNDSTYFCSWEGVLCRVKTPHRVISLNLTNQGLVGQISPLLGNLAFLKFLFLDTNSFTGEIPLSLGHLHHLRTIYLSNNTLEGAIPDFTNCSRLKVLCLNGNHLVGQLNNNFPPKLQVLTLAYNNLTGTIPSSFANITGLRKLDFTANNIKGNIPNEFSNFLMMEILLLGGNMLTGRFPQAILNLSTLTNLHLSFNHLSGELPSNFLYSLPNLQVLALDYNFFQGHIPSSLGNDSNIRVLDISSNNFTXYPIYNPIIPLCSLQLNLYNKILHDYILMKNYILLLSYI >OGLUM11G04320.1 pep chromosome:ALNU02000000:11:3270002:3275783:1 gene:OGLUM11G04320 transcript:OGLUM11G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATKMKQPTMATRLAAGCRLQAAPSRCSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVLCRKKTPLRVISLDLSNRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTKCSSLEILLLNGNHLAGQLNNSFPSQLQNLILAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEEISSGFFPSGIEHLSDLNFLGLDNNELTGSLPEWLGNLKKLQKLTLQNNNFTGFIPSSDSNLSQLAVLGLYSNKLEGHISSFVNLQMLQLLVISSNNLHGSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIVFDSNILSGGIPTSLGSIGGLTAIDFSHNNLTGSIPGSIGNLQFLEQLDLSFNHLKGEIPTKGIFKNATAFRIDGNQGLCGGPPELHLQACPIMALVSSKHKKSIILKVVIPIASIVSISMRISIVVDVSDALEYLHHNNQGTIVHCDLKPSNILLDDDMIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPTDDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDFCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >OGLUM11G04320.2 pep chromosome:ALNU02000000:11:3270002:3275783:1 gene:OGLUM11G04320 transcript:OGLUM11G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALTATKMKQPTMATRLAAGCRLQAAPSRCSSYGNETDKLSLLEFKKAISLDPQQALISWNDTNHFCSWEGVLCRKKTPLRVISLDLSNRGLVGQISPSLANLTFLKFLYLDTNSFTGEIPLSLGHLHHLQTLYLSNNTLEGRIPDFTKCSSLEILLLNGNHLAGQLNNSFPSQLQNLILAENNLTGTIPSSLANITGLRGLSFMSNNIKGNIPNEFSKFVMMELLAVSGNMLSGRFPQPILNLSTLTNLYLTLNHLSGEEISSGFFPSGIEHLSDLNFLGLDNNELTGSLPEWLGNLKKLQKLTLQNNNFTGFIPSSDSNLSQLAVLGLYSNKLEGHISSFVNLQMLQLLVISSNNLHGSIPKEIFSIPSIIAIDLSFNNLDGQLPTEIGNAKQLVSLGLSSNKLFGDIPNSLVSCESLEYIVFDSNILSGGIPTSLGSIGGLTAIDFSHNNLTGSIPGSIGNLQFLEQLDLSFNHLKGEIPTKGIFKNATAFRIDGNQGLCGGPPELHLQACPIMALVSSKHKKSIILKVVIPIASIVSISMRISIVVDVSDALEYLHHNNQGTIVHCDLKPSNILLDDDMIAHVADFGLARFKTGSSTPSLGDSSSTYSLAIKGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPTDDMFMDGLSIAKHVEMNFPDRILEIVDPQLQHELDFCQETPMAVKEKGIHCLRSVLNIGLCCTKTTPIERISMQEVAAKLHGIKDSYLRGN >OGLUM11G04330.1 pep chromosome:ALNU02000000:11:3282458:3286193:1 gene:OGLUM11G04330 transcript:OGLUM11G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWEFMNSLANCTRLQSFSMAYNRLEGHLPSSLSNFSAHLQRLHLGGNAISGSFPSGIEHLSNLIALSVGTNDFTGTLPEWLGNLKQLQMLSLYDNYFTGFIPSSLSNLSQLVALTLQFNKLDGQIPSLGNQLQMLQIFNVLYNNLHGVIPNAIFSLPSLIQVDLSYNNLHGQLPIDIGNAKQLVSLKLSSNKLSGDILNALGDCESLEVIRLDRNNFSGSIPISLGNISSLRVLNLSLNNLTGSIPVSLSNLQYLEKLNLSFNHLKGEIPAKGIFKNATAFQIDGNQGLCGGPPALHITTCPIVPLVSSKHNNLILLKVMIPLACMVSLATVISIIFIWRAKLKRESVSLPFSGSNFPRISYNALFKATEGFSTSSLIGRGRYGSVFVGKLFQENNVVAVKVFSLETRGAGKSFIAECNALRNVRHRNIVPILTACSSIDSKGNDFKALVYEFMSQGDLYNLLYTTRHDSNSSKLNHISLAQRTSIVLDVSSALEYLHHNNQGTIVHCDLNPSNILLDKNMIAHVGDFGLARFKIDSSSPSLGDSNLTSSLAIRGTIGYIAPECSEGGQVSTASDVFSFGVVLLELFIRRRPIDDMFKDGLSIAKHVEMNFPDRILEIVDPQLQHELDLCQETPMAVKEKGIHCLRSVLNIGLCCTNPTPSERISMQEAAAKLHGINDSYLRGN >OGLUM11G04340.1 pep chromosome:ALNU02000000:11:3299269:3311263:1 gene:OGLUM11G04340 transcript:OGLUM11G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIQTMCNSLYENGTDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLVGQISPALGNMTFLKFLSLSTNSFTGEIHLSLGHLHRLETLDLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNSNFPPRLQDLILASNNITGTIPSSLANITSLQRLSITDNNINGNIPHEFAGFPMLQILYADGNKLAGRFPRAILNISTIVGLAFSSNYLNGEIPSNLFDSLPEMQWFEVDYNFFQGGIPSSLANASKLKVFDISRNNFTGVIPCSIGKLTKVYWLNLEKNQLHARNKQDWEFMSCLANCTGLTDFSVSDNCLEGHSNQFYGHLPPSLGNHKMLQELTIGYNNIQGMIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNTLGNSESLEKIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLHFLEKLDLSFNHLKGEIPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSASNLIGKGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASASSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPGAEVSTYGDVFSFGVVLLEIFLRKKPTDDMFKDGLDIVKFVEVNFPDRLSQIVDPELLQESHVGTKERVLGCLNSVLNIGLCCTKTSPYERMDMREVAARLSKIKEAFLNGN >OGLUM11G04340.2 pep chromosome:ALNU02000000:11:3289164:3310856:1 gene:OGLUM11G04340 transcript:OGLUM11G04340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIIIGLCLLVIMASSVIQTMCNSLYENGTDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLVGQISPALGNMTFLKFLSLSTNSFTGEIHLSLGHLHRLETLDLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNSNFPPRLQDLILASNNITGTIPSSLANITSLQRLSITDNNINGNIPHEFAGFPMLQILYADGNKLAGRFPRAILNISTIVGLAFSSNYLNGEIPSNLFDSLPEMQWFEVDYNFFQGGIPSSLANASKLKVFDISRNNFTGVIPCSIGKLTKVYWLNLEKNQLHARNKQDWEFMSCLANCTGLTDFSVSDNCLEGHVPSSLGNLSVQLQQFLLGGNQLSGGFPSGFQYLRNLISISIDSNNFSGVLPEWLGSLQNLQLIGLYNNYFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELTIGYNNIQGMIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNTLGNSESLEKIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLHFLEKLDLSFNHLKGEIPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSASNLIGKGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASASSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPGNAHFQVVFLFPSKM >OGLUM11G04340.3 pep chromosome:ALNU02000000:11:3308197:3311263:1 gene:OGLUM11G04340 transcript:OGLUM11G04340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSLYENGTDRLSLLEFKKAISMDPQQALMSWNDSNYFCSWEGVLCRVKTPHRVISLNLTNRGLVGQISPALGNMTFLKFLSLSTNSFTGEIHLSLGHLHRLETLDLSNNTLQGDIPDFTNCSNLKSLWLSRNHLVGQFNSNFPPRLQDLILASNNITGTIPSSLANITSLQRLSITDNNINGNIPHEFAGFPMLQILYADGNKLAGRFPRAILNISTIVGLAFSSNYLNGEIPSNLFDSLPEMQWFEVDYNFFQGGIPSSLANASKLKVFDISRNNFTGVIPCSIGKLTKVYWLNLEKNQLHARNKQDWEFMSCLANCTGLTDFSVSDNCLEGHVPSSLGNLSVQLQQFLLGGNQLSGGFPSGFQYLRNLISISIDSNNFSGVLPEWLGSLQNLQLIGLYNNYFTGIIPSSLSNLSQLGYLYLQSNQFYGHLPPSLGNHKMLQELTIGYNNIQGMIPKEIFKIPSLLQIDLSFNNLDGSIPKEVGDAKQLMYLRLSSNKLSGDIPNTLGNSESLEKIMLDRNIFSGSIPTSLDNILSLKVLNLSQNNLSGSIPPSLGNLHFLEKLDLSFNHLKGEIPVKGIFKNASAIRIDGNEALCGGVPELHLHACSIIPFDSTKHKQSIVLKIVIPLASVLSLAMIISILLLLNRKQKRKSVDLPSFGRKFVRVSYNDLAKATEGFSASNLIGKGRYSSVYQGKFTDEKVVAVKVFNLETMGAQKSFITECNALRKLRHRNIVPILTACASASSNGNDFKALLYEFMPQGDLNKLLHSTGAEEFNGENHGNRITLAQRLSIIVDVADAIEYLHHNNQETIVHCDLKPSNILLDDDMIAHVGDFGLARFKIDFMGSNDSNSIYSTAIKGTIGYVAPGAEVSTYGDVFSFGVVLLEIFLRKKPTDDMFKDGLDIVKFVEVNFPDRLSQIVDPELLQESHVGTKERVLGCLNSVLNIGLCCTKTSPYERMDMREVAARLSKIKEAFLNGN >OGLUM11G04340.4 pep chromosome:ALNU02000000:11:3296897:3299240:1 gene:OGLUM11G04340 transcript:OGLUM11G04340.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNRLEGHLPSSLSNFSTHLQRLHLDGNAISGFLPSGIEHLLNLIDLSLGTNDFTGTLPEWLGNLKQLQMLGLYENYFTGFIPSSLSNLSQLVYLGLHFNKFDGHIPSLGNLQMLEVLNISNNNLHCIIPTEIFSIMSIVQIDLSFNNLHGKFPTDIGNAKQLISLELSSNKLSGDIPNALGNCESLEYIMLGINSFSGSIPISLGNISNLKVLNLSHNNLTWSIPASLSNLQYLEQLDLSFNHLNGEVPVEGIFKNATAFQMDGNRGLCGGLPELHLPACPTVLLVTSKNKNSVILKLVIPLACMVSLALAISIYFIGRGKQKKKSISFPSLGRKFPKVSFNDLSNATDRFSTANLIGRGRFGSVYQAKLFQDNIVVAVKVFNLETSGSQESFIAECNALRNLRHRNLVPIFTLCGSIDAEGNDFKALVYELMPRGDLHKLLYSTGDDGDASNLNHITLAQRISIIVDLSNALEYLHHNNQGTIIHCDLKPSNILLDDNMIAHVGDFGLAKFRTDSSTSFGDSNSIFSLAIKGTIGYIAPECAEGDQVSTASDVYSFGVVLLELFIRRQPIDAMFKDGLSIAKFTEINFPDRILEIVDPQLQQELDLCLEAPVEVKEKGIHCMLSVLNIGIHCTKPIPSERISMREAAAKLHIIKDAYLRGN >OGLUM11G04350.1 pep chromosome:ALNU02000000:11:3343472:3346889:1 gene:OGLUM11G04350 transcript:OGLUM11G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIEPGKFLLVFLVCSAHVVICSSSGNETDRLSLLEFKNAITLDPQQALMSWNDSNHVCSWEGVKCRVKAPHRVISLDLSGQGLVGSISPSLGNLTFLRYINLQENLIAGQIPLSLGHLHHLKDLYLSNNTLQGQIPDFANCSNLRTLSLNGNHLLGQVPTDARLPPNLYSLRISYNKLSGTIPPSLFNITTLTKLGIGCNQINGKIPREIGKSRVLQLFSASQNKLSGRFQQTILNISSLAIIDLAVNYLHGELPSSLGSSLSNLQWLGLANNLFGGHIPSFLANASELSMINLSRNNFTGMVPSSIGKLQELSTLNLELNQLQSSDKQGLEFMNGLSNCTNLRALSLANNQLEGEIASSVGNLSMKLQILYLGGNKLSGRFPAGIANLRSLSALSLELNHFTGPVPDCLGNLKNLQIVHLSQNNFTGFTPSSLSNSSLLEKALLDSNQLYGRIPRGLGSLKVLQILDISNNNLHGSIPREIFSIPTIREIWLSSNRLDGPLPIEIGNAKQLEYLVLSSNNLSGVIPDTLGNCGSMEEIKLDQNFLSGSIPTSFGNMESLQVLNMSHNLLSGSIPKSIGSLKYLEQLDLSFNNLEGEVPEIGIFNNTTAIWIAGNKDLCGGAAKLHLPVCSYRPPNSTKHLRSIVLKVVIPLTCIVSLAMGISVLLFWRKKHERKSMSLPSFGRNFPKVSFDDLSRATDGFSTSNLIGRGRYSFVYKGRLLQYGNMVAVKVFSLQTRGAQKSFIAECKTLRNVRHRNLVPILTACSSIDSQGNDFKALVYQFMSQGDLHMMLYSNQDDENGSASIQIAFAQRLSIVVDVADAMEYLHHNNQGTIAHCDLKPSNILLDDSLRAHVGDFGLARFKVDCTISSSGDSIISSAINGTIGYVAPEYATGGEVSTFGDVYSFGIVLFEIFLRKRPTHDMFKDGLNIATFVDMNFPDRISEVVDQELLEYQNSLSHDTLVDMKEKELECLRSVLNIGLCCTKPSPYERMDMREVAARLRKIKEAYLSSN >OGLUM11G04360.1 pep chromosome:ALNU02000000:11:3347862:3348376:1 gene:OGLUM11G04360 transcript:OGLUM11G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGTASSPQISPCTLASLSLSPRSRRAAPDTTGVAPTTDPRLREREREREREREERGNADDGRRRLEAPVLTAEEHEGDVVVVVVALGDDSDAIRPPRALPGLLCRRLAHHRRRCGGPDPPTTALNLVPSTGHGVRRRMPGRTPTGEREREGRR >OGLUM11G04370.1 pep chromosome:ALNU02000000:11:3395461:3398611:1 gene:OGLUM11G04370 transcript:OGLUM11G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTAGHFLLVFLASISHSVICSTSGNETDRLSLLEFKNAISVDPHQALISWNDSNHFCSWEGVSCSSKNPPRVTSIDLSNQNLAGNISLSLGNLTFLRNLSLATNRFTGQIPASLGHLSRLRSLYLSNNSLQGNIPSFANCSELRLLWLDHNALGGGIPRDLPLGLEELDVSLNSLVGTIPPTLGNITKLKMFSCSINGINGGIPGELAGLRGMEILGVGMNNLSGEFPEAILNMSELVVLGLTGNHFSGELPSGIGNSLRNLQQLKIGGNFFKGNLPSSLANASNLVKVDMGQNNFTGVVPASFGKLANLTWLSLTMNHLHAHTKQDWEFMDSLANCTQLQLFSIAVNRMEGQVPSSLGNFSVQLKYLYLGQNQLSGSFPSGIANLPNLILLGLDRNWFCATMAWRSENIAEVILVLQQFYRNLSKLIELNLASNQFVGNIPLSFGNFQFLTLITIAHNNLHGSLPKEIFQIPTITQVWFSINNLSGELLREVGNAKQLRNLQLSYNNMSGDIPDTLGNCENLEEALLNQNNFTGDIPSSFGKLISLKFLDLSHNKLSGSIPLSLGELGRLELVDLSFNRLTGQVPTKGIFKNSTAIQIDGNLALCGGALELHLPECPITPSNTTKRRLSVLLKVVIPLASTVTLAIVILVLFVWKGKQREKSISLPSSGREFPKVSYRDLARATNGFSTSNLIGRGRYSSVYQGQLFQDINVVAIKVFSLETRGAQKSFIAECNALRNVRHRNLVPILTACSSIDSSGNDFKALVYKFMPRGDLHQLLYSTHNDERSSDLCCISLAQRLRIAVDLSDALAYLHHSHQGTIIHCDLKPSNILLDENMIAHVGDFGLARFRTDSRTSFGNSNSTVNGTIGYVAPECAMGGLVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKYTEINIPDKMLQIVDPQLVQELDLSQEDPVCVDEIATHCLLSVLNIGLCCTKSSPSERISMQEVATKLHRIRESYLR >OGLUM11G04380.1 pep chromosome:ALNU02000000:11:3399500:3399775:1 gene:OGLUM11G04380 transcript:OGLUM11G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQLGDLMAAMEAIQKQNAAIQTSVGSIEEIKPMVAELVGWKPTVEKTVAELRDEMGELRSQVQQLAKNPVLSVKPALLGNISEQTIKKR >OGLUM11G04390.1 pep chromosome:ALNU02000000:11:3434481:3437402:1 gene:OGLUM11G04390 transcript:OGLUM11G04390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTAGHFLLVLLASISHSVICSTSGNETDRLSLLEFKNAISVDPHQALISWNGSNHFCSWEGVSCSSKNLPRVTSIDLSNQDLAGNISPSLENLTFLMHLSLVNNRLTRQIPSSLGRLRRLRSLYLSNNMLQGIIIPSFANCSGLRKLWLDHNELAGEFPGDLPLGLEELNLSFNNLIGTIPSKLGNLTALKKFRCAFNYIDGTVPGELAALRGMELLSITDNRLSGGFPEVILNMSALVALGLCYNNFSGELPSGIGSSLPSLEEITIGGNFFQGNLPSSLANASNLFWIDMIDNNFTGVVPTSIGKLAKLTRLNFQTNQFHARSKQDWEFMDSLANCTQLQFFSISRNQMEGHVPSSLGNFSVQLQYLYLGQNQLSGSFPSGIANLPNLILLGLDYNRFTGSVPQWLGGLKKLQMLSLTNNNFTGYVPSSLSNLTQLTSLILESNQFIGNIPSSFGNLQFLTTLTISRNNLHGSVPKEIFSIPTIAQLGLAFNNLSGELPAEVGNAKNLWNLQLSSNNLSGDIPDTLGNCETLQEVLLDENNFSGDIPTSFGKLIVLRLLGLSHNQLSGSIPASLGDLQLLQQIDLSFNHLTGQVPTKGIFKNSTAMRIDGNMGLCGGAPELHLPECPIRTSNKSKHKLSVVVKVVIPLAIIVALAIVILKLQYKKLLLTKRTNSGKNVLRINKRIISIETHLRTQT >OGLUM11G04400.1 pep chromosome:ALNU02000000:11:3450145:3450933:1 gene:OGLUM11G04400 transcript:OGLUM11G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGDLHKLLYSTRDDGDASNLNHTTLAQRINIVVDVSDALEYLHHNNQGTIIHCDLKPSNILLDDNMIAHVGDFGLARFRIHSSTSLVDSNLFSSFAIKGTIGYIAPECSEGGQVSTASDVFSFGVILLELFIRRRPTDDMFKDGLTIAKHVEVNFPDSILEIVDPQLQQELDLCQETPMAVKEKGVHCLRSVLNIGLCCTKPTPSKRISIQEASAKLHGIKDAYLREN >OGLUM11G04410.1 pep chromosome:ALNU02000000:11:3452273:3462868:1 gene:OGLUM11G04410 transcript:OGLUM11G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSVGELLLVFIACSCCAHVVVCSSLPGNETDRLSLLEFKKAISADPQQSLNSWNESTHFCSWEGVLCRAKAPLRVTSLNLTDCGLAGNISPSIANLTFLKSLSLGKNSFFGEIPASLGHLHRLHTLVLSYNKLQGRIPDLANCSNLRSLWLDRNNLVGKIPNLPPRLQELMLHVNNLSGTIPPSLGNITTLTKFGCAFNNVEGNIPTEFERLPGLQYLSVNTNKLAGWFQLAILNISTLVTLDLGANNLRREVPSNLGNSLPNLQYLILSDNFFYGHFPSSLINASKLNLIDMAENNFTGVIPRSIGKLAKLNVLSLQLNQFQAGTKKEWEFMDSLANCTELEVFSVARNRLQGQLPSSLSNISSQLQYLYLGQNQLSGGFPSGIAKFRNLIILGLDHNQFTGVVPEWLGTLQALQKLSLLDNNFIGFLPTSLSNLSQLSELFLGSNKFDGSIPLGLGDLQMLQVLSISNNNIQGRVPKEIFNLPTITEIDLSFNKLFGQLPTEIGNAKQLTSLELSSNKLFGDIPNTLSNCESLEDIRLDRNAFTGIIPTSLGNIRSLKVLNLSHNNLTGSIPVSLGNLQLLEQLDLSFNHLKGKVPTNGVFMNETAIQIDGNHGLCGGAMELHLPECSMTPNPTKSKQFMVLKIVIPTTSIILLAIAISIMLLKRRKHEGNSTSLPSFGRKFPKVPYNELAEATEGFSESNLIGKGRYGYVYRGNLFQGTNVVAIKVFNLETMGAQKSFIAECNALRNVRHRNLVPILTACSSIDPNGNDFKALVYEFMPMGDLYNLLYAPQCDSNLRHITLAQRIGIVADVADAMDYLHHNNQGTIVHCDLKPSNILLDDNMTAHVGDFGLARFNFGSTTASLGDTNSTSSAAIKGTIGYIAPECAGGGQVSTAADVYSFGVVLLEIFIRRRPTDDMFKDGLTIAKFTEINIPDKMLDIVDPQLAQELGLCEEAPMADEESGARCLLSVLNIGLCCTRLAPNERISMKEVASKMHGIRGAYLR >OGLUM11G04420.1 pep chromosome:ALNU02000000:11:3468652:3472064:-1 gene:OGLUM11G04420 transcript:OGLUM11G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNTGTSLLELILLRGNSIRQRRRDVSMKEVDANGKLPKIGQQATDARWQLTTEAVRAEAKRNQRRHVQDRLWKPT >OGLUM11G04420.2 pep chromosome:ALNU02000000:11:3468652:3472064:-1 gene:OGLUM11G04420 transcript:OGLUM11G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNTGTSLLELILLRGNRLAIIFLEVGSSIHIGSIRQRRRDVSMKEVDANGKLPKIGQQATDARWQLTTEAVRAEAKRNQRRHVQDRLWKPT >OGLUM11G04430.1 pep chromosome:ALNU02000000:11:3470547:3492316:1 gene:OGLUM11G04430 transcript:OGLUM11G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFQLHATHLDEGLFPRRAPCTVRTPAVRRPAPARERERAKERTKEEHGRVSEKLKKRSLFYSTRVQDEPVHVSLALKVGGLAGPGLINIRQNLIAMKITAVGQLILVLMACSSHAVICSTFGNGTDQLSLLEFKKAISLDPQQSLISWNDSTNYCSWEGVSCSLKNPGRVTSLNLTNRALVGHISPSLGNLTFLKYLALPKNALSGEIPPSLGHLRRLQYLYLSGNTLQGSIPSFANCSELKVLWVHRNNLTGQFPADWPPKLQHLQLSINNLTGTIPASLANITSLNVHSCVYNHIEGNIPNEFAKLPNLQTLYVGSNQLSGSFPQVLLNLSTLINLSLGLNHLSGEVPSNLGSALPNLEIFELPVNFFHGRIPSSLTNASNLYFLELSNNNFTGLVPRTIGELNKLQMLNLEWNQLQAHREQDWEFLQSLGNCTELQVFSMTGNRLQGHVPSSLGNLSDQLQELHLAESKLSGIANLQNLIIVALGANLFTGVLPEWLGTIKTLQKVSLGSNFFTGAIPSSFSNLSQLGELYLDSNQLVGHLPPSFGTLPILQVLIVSNNNLHGSIPKEIFRIPTIMHPSIMTLARQNNSHIFSFHQIIYLDYIPSTLGDSESLEDIELDHNVFSGSIPASLENIKTLKVLNLSYNNLSGSIPASLGNLQLVEQLDLSFNNLKGEVPTKGIFKNTTAIRVGGNPGLCGGSLELHLLTCSSTPLNSVKHKQFIFLKVALPIAIMTSLVIAISIMWFWNRKQNRQSMSSPSFGRKFPKVSYSDLVRATEGFSASNLIGRGRYGSVYQGKLFPERNLVAVKVFNLETRGAGKSFIAECNALKNVRHRNLITILTACSSIDSSGNDFKALVYEFMPRGDLHNLLYSTRDGNGSSNLSYVSLAQRLNIAVDVSDALAYLHHNHQGSIVHSDLKPSNILLDDNMTAHVGDFGLAAFKSDSAASSFGDSSLTSSFAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >OGLUM11G04430.2 pep chromosome:ALNU02000000:11:3483370:3492316:1 gene:OGLUM11G04430 transcript:OGLUM11G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMPIGLLLLVLIAWSSEAVICNSLNESEIDRRSLLEFKKGISMDPQKALMSWNDSTLLCNWEGVLCRVKTPRRVTSLNLTNRGLVGKISPSLGNLTFLKFLLLPTNSLTGEIPSSFGYLHRLQFLYLSNNTLQGMIPDLTNCSNLKAIWLDSNDLVGQIPNILPPHLQQLQLYNNNLTGTIPSYLANITSLKELIFVSNQIEGNIPNEFAKLPNLKVLYAGANKLEGKFPQAILNISTLTGLSLAYNNLSGELPSNLFTYLPNLQDLGLAANLFQGHIPNSLANASKLYMLDIALNYFTGIIPTSIGKLTELSWLNLEHNRLQARSKQDWEFMTSLANCSELNIFSMKDNLLEGHVPSSLGNLSVQLQHLLLGTNKLSGDFPFGIANLPGLTMLGLEDNKFTGIVPEWLGSLQNLQGIELANNFFTGVIPSSLANISMLEELFLESNQLYGYIPSSLGKLNVLSVLSMSNNSLHGSIPEEIFRIPTIRKISLSFNNLDAPLHDDIGNAKQLTYLQLSSNNITGYIPSTLGNCESLEDIELDHNVFSGSIPTTLGNIKTLKVLKLSNNNLTGSIPASLGNLQLLEQLDLSFNNLKGEVPTKGIFKNATAMRVDGNEGLCGGSLELHLLTCSNKPLDSVKHKQSILLKVVLPMTIMVSLVAAISIMWFCKRKHKRQSISSPSFGRKFPKVSYHDLVRATEGFSTSNLIGRGRYGSVYQGKLFEGRNVVAVKVFNLETRGAGKSFIAECNALKNVRHRNLVTILTACSSIDSAGNDFKALVYEFMPQGDLHNLLYSTRDGDGSSNLRNVSLAQRLSIAVDVSDALAYLHHNHQGTIVHSDIKPSNILLNDDMTAHVGDFGLARFKSDSATSSFVNSNSTSSIAIKGTIGYVAPECAEDGQVSTASDVYSFGIVLLEIFIRKKPTDDMFKDGLSIVKYTEINLPEMLQIVDPQLLQELHIWHETPTDVEKNEVNCLLSVLNIGLNCTRLVPSERMSMQEVASKLHGIRDEYLRGD >OGLUM11G04440.1 pep chromosome:ALNU02000000:11:3483526:3492156:-1 gene:OGLUM11G04440 transcript:OGLUM11G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0BFX9] MEKPCTLLVHFDKGSPSMANEIKADLEGSDVAAKVDAMKRAIMLLLNGETLPHLFITVVRYVLPSEDHTIQKLLLLYLEIVDKRDVASGKVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEPELLEPLVPSILANLDHRHHFIRRHALSAISAIYRLPHGDQLLPDAPEVVERALTGEQDASARRNAFLMLCACAQERAVAYLLTNAERVAEWPDLLQMAAVDLIRKVCRSPNRADKGRYIKIIISLLSAPNSAVVYESAGALVSLSSAPTAVRAAANTYCQLLSLQSDNNVKLIVLDRLHELRASHRDVMVDVVMDVLRALSSPNVDVRRKVLDLVLDLLTPRNVEEVVMYLKKEVVKTQAGDLEKGGEYRQMLVQAIHSCAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAISTIKQCLGDLPFYTVSEEGESTDASKPAQPVVNSVTVSSRRPVVLADGTYATQSAATETAISSPAVAPGSLSSTQNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKAEANKASTGALLIMVSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKVWLQSCRQSFTKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKAATGEFTKDADDANRLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTPPSALDGECGFIAANLYAKSVFGEDALVNISVEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGSSFQEKPRSKPFRNNAEELEAMQRSDTARRLHPAQDSFPIAKESAVIP >OGLUM11G04450.1 pep chromosome:ALNU02000000:11:3493825:3494109:1 gene:OGLUM11G04450 transcript:OGLUM11G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTENDSATYADSGKWQTGNPCLDFFFHVVVIHGARGQSSRRGLLLLRHRTSLHLPRARASLRLHLRGLRFPTRRAAAAAAAIAVEPMSLML >OGLUM11G04460.1 pep chromosome:ALNU02000000:11:3497366:3498706:-1 gene:OGLUM11G04460 transcript:OGLUM11G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREADDPSPSIPNWVVIEPEICRKDLTSYCRHGVTSAKAHASNGQFLRVSFELSAPPSVSRLFLHCPEEREMGSFDAVVAAHDDAVLFRLEIDFEGLCPLALYAVDYFVYTAGAHPSGGPELSLLPRTYPTNDEFYAAPEDSWVRTSPWRMRDAQSIGLLRTGKRGFVVAELRPYPSIADDEHDEPLAAELFMLRSNGESPKAAGEWEVKLLTARGGKAKRGDICWWQTHKVVPFGTYLCWVDLYRGVIFCNVNHEKPDLQYVQLPAEGAPHCSPDLYRTGFPQASRTVCIGNGNEMKFISVIRSNGMLSGASKPGSSFTITIWTLKQSYDVMEWVKDAAIGAHQLWAMEGYDRLLPHIAPQFPLLSMDNPEIIHFVLREKDTFDADTNMYLVTVNIVSNKVLSYEDNEANISEESDDTAAYSLFFNEPFFPSEFPKFLKKPTSM >OGLUM11G04470.1 pep chromosome:ALNU02000000:11:3508085:3509956:-1 gene:OGLUM11G04470 transcript:OGLUM11G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLTPATLRRVGCYDPWWALLERDVRRKHKKGSDDQGDRDDSSNPCSAAGGSCAETEASCRNSAGHVVRLSLCREAPPASSRMWYTSSPSHDEGGGPLVCVVAAHRDSVLLQMHYKNEARRGGEYGLDHFVYSAGDAAAGDPPSLSLLPIHWVCVLY >OGLUM11G04480.1 pep chromosome:ALNU02000000:11:3511652:3539922:-1 gene:OGLUM11G04480 transcript:OGLUM11G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHYAELEVEGDGEDSTSNSGGAKITEAASRSSYGHLVRVSLRLEAPPAASQLSFHCSPCSKHRVHGPSINVVAAHGDSVLVEMHYEKGENDEHFDYFVYNAGAAAAADDDGLPRPPPSLSLLPTYWVPLSEVEKTAYRPHQSAKAHQLREASTGLLVRRGGGGGGGGDELVVVELLNKRRRRRDTLEGAELVVLRSGEWSATPISPIVHDDGKGEELSYWKADMAVPVGDRWLCYVDLYRGVILCDDVFDDEAPLRRRPRYVSLPVEAPAGAFDEEHDRRGGNRRHCLLDTRTVCAIDGGATLKIDGDGDHTMPSWTMDAMIDATELWSLDAYAGAGIPPVIPEYPVISTVDADIICFLVTEPYNHKQGKPYFERTTWEMTMDTKNKTLLSVCTYDDDISQREPSYGHTYIPNNFATKPPPAIICEATTTITTEINGNNLSQSLPSAKHLQVSRMASPEEILVALEEIPELSRDDLLKAYSILCRDNGRLFRSLLGLPMSLRKKWLLIEIKACRDCPVCSACGGAHYPRWVMLEQRAVHDDGEGDFTGDDSRCSAADVKTNTEAACRSSDGHLVRVYFRRLAVPPAASRVCFRCSPPCGTGAGRERARGDKPFLCVVAAAGDSLLLQMTYNGQLDRFVYSAADPPTLTLLPTHARRQHWLDVKTTGLLRRRRRDGELVVAELTVKKGDTDDTPEDAELVVLRSGEWTVTRAPIIHDDGKAEEVSRWRTDMVVPVGDTHLCWVDLCRGVILLSASDLFDESRPRRLKYTSLPVEAPAKKFDDDDGGEYAINPRGYPERNRRVCVTGGGAALKFIDVSPRCCCGSPGATTLCHNSSGAFIIKTWTLMMNDDDDDTTSTTWAMDAMVDAAELWSLDAYAALPRLRPIYPIVSMDNPHIICFMVCKEHWESCFHCERTIWKIIFDMKSKKLVSIRYYDESQCQTWGVHYGDDYLSSMISDYFNSNGIYTSDDATTSIDDLIVTNYLPQSSPPCEQSSSHKGLKVVSSEGVQVSDEEIPDLGCDDLLKAYSILSHDIGQHRVEKLVPSALHAQPTAATLPNNSGGPSPAGGGGYPQWVILAEHVPLEDEDEGGDDPNSCFTADAASKVTDTETEAASRSSAGNHVGVSFLLEAPPAVSRLRFRCVPSGSRGGDRRFPSMRVVAVHRDSLLLRMQYRKGRAYDDDIGLDYFLYNAGAGAAVDPPRPPSLSLLPTYWETLQKEEDDDEEDKEDDGGEETYRGRGYRRWAAPKRWVRELGVKTTGILHRRGDGGDDDDDGDLVVVAELIAEEDGGTPEELLVLRSDGEWTLTRPPVVHDDGKAEEVSRWKSDLVVPIGDTMLCWVDLYRGIIVCDLFDEIPQLRYVSLPVDAPAAKFDNGRGDYSINPRMCPRQQRSFWVSDDGGELRFLDVSPRCCCGDLGATTCDNARNAFVISSWTLRMSEMRWVMDAMVDATELWSLDAYTGHGLPRVRPEYPLMIMDDPRLVFFVVQEEYQPEISFSDRGKWRVMFDMRSKKILSVSQYDESDTSWQPYSWLTYFPSKISSYFTSIGACSNVAKRPLIVTDKPAVSCIVSIFFAMTLADTDLDRFWASRYPRWVILDREAEYLHVNHPCSAAADAKTEAATLSSGGHTVRVSLDLRAPPAASRVCYPCSVPIDPALGPPYMSVAAAHGDSLLVLVVDDGSGDMAWTMDAMVDATELWSSHAYAGLPHAIPEHPIVSIDDPHLISFMVDERESEGRYCRIKETWMILFDTRSKTLLSAISCSHGRNFHPSKVSSYFTSSNGSCSNGGAMSEPAVIIDKAPTHDAIIGDSVRISCESSRAKHFRVSGSVASPDEIFAALEEIPELSRHDLLRAYSMLCHDNGRRFKSLLGLPMSRRKTWLLMEIQTCEDCAVCCGCMTDLQNA >OGLUM11G04490.1 pep chromosome:ALNU02000000:11:3559622:3562734:-1 gene:OGLUM11G04490 transcript:OGLUM11G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSSHVSIASEAEINLDLSRLLIDKPRLTLERKRSFDEQSWSELSHRQNDGFDSIMHSPAFPSGFDSPFSLGTLGDPHPLVNEAWEALRKSVVYFRGQPVGTIAAVDHASEEVLNYDQVKSESSACSYGFWKLKIVFMFLLYDVFVRDFFPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNRHRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTADTSLAESPECQNCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPDGEGKDFIEKIGQRLHALTYHMRNYFWLDFPHLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIISSLATPEQSVAIMDLIEERWEELVGEMPLKICYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >OGLUM11G04500.1 pep chromosome:ALNU02000000:11:3569102:3575023:1 gene:OGLUM11G04500 transcript:OGLUM11G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLRGEPLETGKRQSGHGSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSDKALQAIISEWIVKFDNKALDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OGLUM11G04500.2 pep chromosome:ALNU02000000:11:3569102:3575023:1 gene:OGLUM11G04500 transcript:OGLUM11G04500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSDKALQAIISEWIVKFDNKALDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OGLUM11G04500.3 pep chromosome:ALNU02000000:11:3569102:3575023:1 gene:OGLUM11G04500 transcript:OGLUM11G04500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLRGEPLETGKRQSGHGSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWSSANSRDKSQSAACPQCRTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSDKALQAIISEWIVKFDNKALDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OGLUM11G04500.4 pep chromosome:ALNU02000000:11:3569102:3575009:1 gene:OGLUM11G04500 transcript:OGLUM11G04500.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDGHLLYTFDITGLNDQDKTNIKIVLDIENAKCSICLNLWHDVVTVAPCLHNFWTAVQSVGRNHFLHNIEEAILQAFSSLQRSDEEIALLESYASVKTNIVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSDKALQAIISEWIVKFDNKALDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OGLUM11G04500.5 pep chromosome:ALNU02000000:11:3569102:3575023:1 gene:OGLUM11G04500 transcript:OGLUM11G04500.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGECSSSQPCASSSPDAAGGVWAKLVPSDSAFPEVELAEDDAVVCSRVTPDGGGEVVAWCEIRRGGGDGDASSATIRNLSSDAIIVDGRVIQQEAVDIKPGSEIVSGPQKDAFSSLQRSDEEIALLESYASVKTNIAWHAFIPFPWSYAGAMILRSTMGINICQREVLGKQKIQSRKRRLPRSNDEANHTNHADFLCPQCGAEFGGFRCSPGAPHLPCNGCGGMMPARPDTSLGCDRAFCGAYWCSQGVNSSQHNPICDQETFKMISQRHISSVPDTVHGGNQYEKDITERCIQQSDKALQAIISEWIVKFDNKALDRSRLQLNHVDAITSRTYVCNQCYSKFIDFLLYWFRVSMPRNLLPPDAANRESCWYGFMCRTQHHRPDHAKKLNHVCRPTRGNP >OGLUM11G04510.1 pep chromosome:ALNU02000000:11:3578305:3581308:-1 gene:OGLUM11G04510 transcript:OGLUM11G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDLRTLESWTKEKPEIEQPALQAVVGGGGLRAAAAAAEGGMEQQAAPSSSTSTSTNSSRSTSDHHAAAQGGANTMPAPASFMGSLAIVPAAAAPGGGGGQVQAAAAPVASSEKKAVVAAGAGAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSAASHSSSPRAAPFHHLQQQQQHDVAAMLGFHHHHHQLLPPPPPHQHPEPTPQDPGAGEFMRKRYREADDLFKDTSRQDPVDGATGEAEQKARAAAATAAPPPTAPSAMWAVGPNTTGATAAFWMQPAWAFPPGAGAAGNTVQAPLQFMSRSSFPTAMNVTMADNNNSSNNNLGMLAALNAGGGGRSGEHQHQHEGQSPAEMDHQRRANGGGGEAGGAASSQFRMIINQFAIVRGFTSPVIYLNHENPIKVAEN >OGLUM11G04520.1 pep chromosome:ALNU02000000:11:3597585:3603978:-1 gene:OGLUM11G04520 transcript:OGLUM11G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMMRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNGDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAHIILLISLTGD >OGLUM11G04520.2 pep chromosome:ALNU02000000:11:3597762:3603978:-1 gene:OGLUM11G04520 transcript:OGLUM11G04520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVAQITADLRSSDALRQSSALLQALQQCAAGRDVSAVARTVATEILAAPSSAVCKRLALDLLRALPLPPDLLDPLLLSSLASDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSSNPSLMAHATTWWGRLAELALDSADAVAAAAFEALARLFQELDARRMSRLAGDKLVDGEGALAVRAQWAADAINFIWSRRNMLIARSMVMPVERFRVTVYPLVHAAKMVASGAVNTLRRIAKPGDTTIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAATTAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRAKDDLNSITSKSLFREELVASLVESCFQLSLPLPEQNNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCNGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTESSTTTSNRIQDMQSVLICAQRLGTRNARAGQLLSKELEEYRASTSADFVTKHQSRYVLQIIKYVTSHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAILELWRPSPTQLSLLQTKGIGALHKELPKAYTLTGSSDPCYIEAYHLADPTDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFDGSVGSADYEGDYEESSQMMRQKRAFRAELGEPVVLRCQPYKIPLAELLLPYECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVVRASDESITREIESDLQGWMDDITDGAVEYMPEDEVKSAAAERLKISMERIALLKAAKPKVPPAKTKEEEEEEKKQNGDLDEFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >OGLUM11G04530.1 pep chromosome:ALNU02000000:11:3604107:3609537:1 gene:OGLUM11G04530 transcript:OGLUM11G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAYLKEMPSTPSIPSCTSGMRSVAARLLGAGTGTRPLSFVGSNGVGGRSASGSCHAGQSRALVNYDDDRGTYIIFNIADSLFIRDLNYHRPVKRICFSDMKPLCHAFDSEAKDGHDLIVGLFNVIEAAVEGTCRCTGVAWVPGHEGFFVVSNADGNLFVYDKSKDVNTDWTFPTVEDQSEMEISYAKSSKSNPVARWHICQGAINAISFSPDGTYLATVGRDGYLRVFDFAKEQLIFGGKSGEDDLVQVWSMHDRKMVAWGEGHKSWVSAVAFDSYWSPPKPYERKQNSMHRFASPKSDEAEEDPIYSFASPKSDETKENTNIMYRFTSIGQDAQLLLWDLTKDELNVSLTHASSCSESSSSGSCSASSSSGSSSTEDRDKEFPLGFLHPSPRLQKVPKLSPEVAHLVGVEPLFTLEFTSESVITASLGEFKACSVREIWVGNEEALVALLPVRCEMY >OGLUM11G04540.1 pep chromosome:ALNU02000000:11:3611076:3616401:1 gene:OGLUM11G04540 transcript:OGLUM11G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MAPPTAAAAAAAPPPRSPASPPRTPRRRCCRPASAALPPATSADEHGRRGPRRRPEPLLGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >OGLUM11G04540.2 pep chromosome:ALNU02000000:11:3611505:3616401:1 gene:OGLUM11G04540 transcript:OGLUM11G04540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:cleavage stimulating factor 64 [Source:Projected from Arabidopsis thaliana (AT1G71800) TAIR;Acc:AT1G71800] MKRVLLGCVGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNADRNREKGRGGPGMASSVDTQKQLAGTPVVGDTGLHQPVGLPSAIHAASVMAGILGGSQTANVQNGLPVQYGLGNDPLTHYLARMSKHQLYEIMSELKSLTSQNKDVANKLLQGIPQLSKALFQSQIMLGMVTPQMMQMAKSQQPSSSLAQSSSHISEPFPQPDAMIPSVPRPSASLPNPNVLQDPSAQLHNFPQYPHSSQPAGTIFPHGSQSGVGIHPPIVSQPLGASSSVPPLPLATSGSLISQVQPPFMPHHPRPPAMPAGMQQLPLTHPHVPQVPAIPDIAQKEMRFPEQANRSTEFAHHPKLRKLEDGTSTPGIVNNNPAVYPAPSQGMLPGGPSGSYNSAAVSFQQPENEVPQLTPDVESALLQQVLQLTPEQLSSLPVEQQQQVIQLQKMLSAGK >OGLUM11G04550.1 pep chromosome:ALNU02000000:11:3617045:3623651:-1 gene:OGLUM11G04550 transcript:OGLUM11G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT2G06990) TAIR;Acc:AT2G06990] MEEVENTSKRKAPESEHAAAVSGEHPPPPPPPDAAAKRRNLSRSCIHEVAVPKGYAAAKDEAVHGTLSSPAFHGEMAKAYPFQLDPFQSVSIACLERNESVLVSAHTSAGKTAIAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSQEFSDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICNLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDEDGQFREDNFLKLQDTFTKQSNQVDGRKGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTDEEKDNIEQVFSSAILCLSEEDRGLPAIELMLPLLKRGIAVHHSGLLPLIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIASGEYIQMSGRAGRRGKDIRGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYTILNLMSRVEGQFTAEHVIRNSFHQFQYEKALPEVVQKITSLENEATLLDSSGETDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPQSGTLPPALSASRGNNYIVDTLLHCSSSSNENGSRSKPLPPRPGEKGEMHVVPVPLPLLSGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLDPIKDMGLQEPELVELVHKLDDLEQKLRSHPLHKSDQSEQQLSWYQRKAELNHEIQMLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELIEQIRLRSELSTPMMQLQEAARKIAEVQKECKLEVNVEEYVESTCRPYLMDVIYCWSKGATFGEVIEMTDIFEGSIIRLARRLDEFLNQLKAAAQAVGEVNLENKFGAASDSLRRGIMFANSLYL >OGLUM11G04560.1 pep chromosome:ALNU02000000:11:3623875:3632118:1 gene:OGLUM11G04560 transcript:OGLUM11G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPCKSQLGPRGPDKWALRSRALPGRGILGSLRLGCFVSLVSLSHGGLPFLVSRTPPPPRHLRSPPHRSSHDAAGAFQVMPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGALRQRGAPAPAGSVYRSHLVLDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGKFAPAAMLLSMFLLLACSLLVFSCHASQAIICWAIQLHPGESEGCAFMQNNIPQAIPSLAQYAVLDVIYVGMMLKGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKTGSTGLHGLSAERRVCDDSRKQLSSFSDRHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >OGLUM11G04560.2 pep chromosome:ALNU02000000:11:3623875:3632118:1 gene:OGLUM11G04560 transcript:OGLUM11G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPCKSQLGPRGPDKWALRSRALPGRGILGSLRLGCFVSLVSLSHGGLPFLVSRTPPPPRHLRSPPHRSSHDAAGAFQVMPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGALRQRGAPAPAGSVYRSHLVLDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKVLPKLLELGSVLLFFLPLMLHHILATKVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLKGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKTGSTGLHGLSAERRVCDDSRKQLSSFSDRHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >OGLUM11G04560.3 pep chromosome:ALNU02000000:11:3623875:3632118:1 gene:OGLUM11G04560 transcript:OGLUM11G04560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPCKSQLGPRGPDKWALRSRALPGRGILGSLRLGCFVSLVSLSHGGLPFLVSRTPPPPRHLRSPPHRSSHDAAGAFQVMPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGALRQRGAPAPAGSVYRSHLVLDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKVLPKLLELGLAHSVPPNIQALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLKGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKTGSTGLHGLSAERRVCDDSRKQLSSFSDRHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >OGLUM11G04560.4 pep chromosome:ALNU02000000:11:3623875:3632118:1 gene:OGLUM11G04560 transcript:OGLUM11G04560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGPCKSQLGPRGPDKWALRSRALPGRGILGSLRLGCFVSLVSLSHGGLPFLVSRTPPPPRHLRSPPHRSSHDAAGAFQVMPVVVVVVVAVMRGDGGGLKGARGRQGRGAAVAGRWRRVAVILLALAYAASMLVVFLGGGAGGVAVAGALRQRGAPAPAGSVYRSHLVLDRLLPEMRASSASRPHPLMTPQNKKSGKRWAPCITKKLRRSELPPSNGFLIIEANGGLNQQRISICDAVAVASLLNATLVTPAFHLNSVWRDSSKFGDIFDEDHFIGSLRKYIRVVKELPEDAFVNFDHNISMIPNMRTKAFSSESYYLQKALRCFANYEALRFSEPIRMLGTNMVDRMIKMSSLTGGKYISVHLRFEEDMLAFSCCIYDGGWRESIEMENARERSWRGKFHRPGRVINPEANRRNGKCPLTPLEVGMMLKGMGFDNTTSLYVASGKIYNAEKYMTPLRQLFPLLQTKDTLASPEELAQFKTGSTGLHGLSAERRVCDDSRKQLSSFSDRHRRYLYGGNAKTIKPDKRKLVALFDNPNIRWDRFKRQMQDIHRHSESKGFGVRKPNGSISIYTLPMPDCMCQRAEP >OGLUM11G04570.1 pep chromosome:ALNU02000000:11:3633070:3635004:1 gene:OGLUM11G04570 transcript:OGLUM11G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMPVLSLLFVAAVAYVLPRGGDEENFAAAVRRLSPLVCAYLFLVTAAVWRDTATPSLLVRVSAFFLLADADSLVGPLAGEAPMLAATAYSAAAVGYAVAERRHHQASEASDAAAAAEATPAYESQAEQRHRETCKKFIALIVFFVEAMPAAITYLAWSLQPNENDAPPPQPTGHDDDDEPSPASIVVCVAATLSGPYLGVWALFVRSILLRGCFVAGDAMSQPRTAADASGLLVGRETCDACPAQIDENASVRLSHREGCFSVSGHCPSCHCPRQVSEP >OGLUM11G04580.1 pep chromosome:ALNU02000000:11:3637390:3639177:1 gene:OGLUM11G04580 transcript:OGLUM11G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLSILFVAAVAHLLPRGDGDEENFPAAVWRLSPVICGYLFLMTAAVWRKSATPTRLVGVTASLLLADAADSLVAPLRLPARLAMFAATIYSAAVLGHAVAELRHHAAGRRPPSDAAADATPVYESKAERDRREHGKEGILVAVVLVKVTVAASLVLTSWVVGKEAPAEGGGGGGMPTAADALCLAASVSGPYLAGWTLFVTSTLMRGSFISGDTMWIVMACLGASWLIVPAIAGAALHLFVAFIYGHWLFGIAMAGFLGYTIAVNDHYQELMRIISCDFTGANLGRRLTLPACSSDEKLAILVQLRSMKVPALDSLINTAASAFLAIAHLVIVLEINVPDP >OGLUM11G04590.1 pep chromosome:ALNU02000000:11:3640076:3641690:1 gene:OGLUM11G04590 transcript:OGLUM11G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLSLLFVAAAASLLPRGGGGFEKEEDYFAAAVWRLSPLIGGYLLVWTAAVSWSTAARAAVLVRVAFLLLLADATGAAGMFLGTVYTAAVLGYAVAERRRHHHSAIAEATPAYESEAERAHRESSKRCLLSLIVAGTAAFAGIVTMMMLPEFPPPAGLVVFDAAVFSGPYFLCLVSFVNGTLLRGELVADGAKSAMAVTGLGMWWVIFPVIAGVVLHSLVVALFVYWLTGLAMAGVLGYTLAVYDHYNELMCTIRSQPRTAADASGLLVGRETCDACPAQIDENASVRLSHQEGCFSVSGHCPSCRCSRGHGPLIRF >OGLUM11G04600.1 pep chromosome:ALNU02000000:11:3646889:3647491:1 gene:OGLUM11G04600 transcript:OGLUM11G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQTTTPPRKMDSAAYDAVPANSDDELDDDRRAAAAAATADAGGAVQGEQAGHGAGEEEVGGGDDCGTTCGLSSLSVLCVALGVWALCRAGGEPAAVVWALSSATCGALAGWVGVLAGIGALAGIFRVTYVALVAFTVAKHLSAAAGMAVIFFDAVATAAFFGYYFAARDIISCPAKSPPSTSPESASNSSPVQGDDLV >OGLUM11G04610.1 pep chromosome:ALNU02000000:11:3649482:3649853:-1 gene:OGLUM11G04610 transcript:OGLUM11G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGPATWRSAAVAICLLPVVLPLALLCLPLLCFAVTVVRFRRRRRLRMAARKGKGPGCCFVDGEERTSPEKEEGGGSRAALLLQYLEDQMELVGGGSGGEAEEGKKASAPIGDEHHELS >OGLUM11G04620.1 pep chromosome:ALNU02000000:11:3663361:3664080:1 gene:OGLUM11G04620 transcript:OGLUM11G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTHAPPPPQPPLPPAPAPLPLSHRRHRASSSSSCSLSTASSSSTASSPSPSPRGRTTNTSAAAATAATPTATSVVPFSWEHHPGIPKTSLHPSNSPPTPPLPLPPAPLRRAPAHPSSRHHHRRRHHHQRGGAIDAPYSDPFAAALAECTRERATTAIDDLFPAPPAPPPPPSSSRRWSLSAGGGGSGGVFGSLLDLYGCKSAMAVAEGAFVVRRPVAAARPSGPRRAAGQGRARAGR >OGLUM11G04630.1 pep chromosome:ALNU02000000:11:3663432:3663974:-1 gene:OGLUM11G04630 transcript:OGLUM11G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQPYRSRREPKTPPLPPPPAESDQRREEDGGGGGAGGAGKRSSMAVVARSLVHSASAAAKGSEYGASMAPPRWWWWRRRWWWRDDGCAGARRSGAGGRGSGGVGGELDGWREVLGMPGWCSHENGTTEVAVGVAAVAAAALVLVVRPRGDGEGEEAVEEEEAVEREQEEEEEARWRR >OGLUM11G04640.1 pep chromosome:ALNU02000000:11:3669216:3672683:-1 gene:OGLUM11G04640 transcript:OGLUM11G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-binding casette family G25 [Source:Projected from Arabidopsis thaliana (AT1G71960) TAIR;Acc:AT1G71960] MPPNGQDLHGGGRGGGVVVMPPPPSPATPPSPSPSPSPPPSKMDCFLSSVCTPLNLQFIDVAYRVKVSTTAAAAKGAPPGRISHAGGTGGGGAQEERTILKGITGEARPGEVLAAAAAEAVIAELGLAPCADTIVGNAFVRGVSGGERKRVSIGHELLVNPSLLVLDEPTSGLDSTAAARLVATLSSLARRGRTVVMSVHQPSTRVYRMFDSVLLLAEGTCLYFGAGRDAMDYFAAVGFSPAFHVNPADFMLDLANGFAQTEYDNCNAADGGNVKQSLISSYNRVLAPRVKASINAGDVLGGEQQPPPLAAESCSGRTSWSNQFAILLRRSLKERRHEVFTSLRLFQIIAPALVAGAMWWRSSPAAVGDRMGLLFFVSIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMAGDLPMELALPAAFTVIVYLMAGLNPSPAAFALTLAVILSYVLVAEGLGLAVGAVMMDAKRASTLVTVVMLAYLLTGGFYVHNVPGFMAWAKYTSFTYYCYRLLIAVQYSGRLARLLPPEEARGEASPAACVAALVAMFFAYRLLAYLALRRVRT >OGLUM11G04650.1 pep chromosome:ALNU02000000:11:3706529:3707083:-1 gene:OGLUM11G04650 transcript:OGLUM11G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRAINGVAEERIRLLAQVEAAEKHAAAAQERADAAEQRAVAMDETNAQLQVEQQSQRDELNSQRRTVEGQATDVERMVQQKLDEQMAIYFSRFASSNGVSSSRSPSDDH >OGLUM11G04660.1 pep chromosome:ALNU02000000:11:3716264:3716533:-1 gene:OGLUM11G04660 transcript:OGLUM11G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQADLPMSSDGSGRSVTYGLKSDGSTDRCLRRDGAAMGDFQRWRAADPAVVEPRRIQDRSWILPRLCNVGYYDDHDLRATSYDNGGIM >OGLUM11G04670.1 pep chromosome:ALNU02000000:11:3739935:3740946:-1 gene:OGLUM11G04670 transcript:OGLUM11G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG11] MAASPAFLVLAVLLCAAAAVARAADDGAAGMTKFKVYFHDVVGGTKPTAIRVAQAASTNGSSTFFGAVFAIDDPLTTDAASSSSEVGRAQGSYTFADQKTFGLLMNMNFVFTAGDHKGSTLAIVGRNEVLSAVREMSIVGGSGKFRMARGYVEARTVDSGANSGETIVEYTVFVKAA >OGLUM11G04680.1 pep chromosome:ALNU02000000:11:3756775:3763238:-1 gene:OGLUM11G04680 transcript:OGLUM11G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG12] MAASSSSFVFAAALLVLAAATAAQAQRETKLRVFWHDVVSGGPNSTVAQVAEAPTTNASATGFGAVVVIDDPLTDGPNLTASRLVGRAQGMYVAAGKDALSLMMAMNFVFAGDGPYNGSSLAILGANPAERAVREMPVVGGTGVFRFARGYCQATTRWFNATTGDATLLLQLARSAQAPAAAMAKASLQLLLLLCVGAVAWAADDGGGGGAGMTKIKVYWHDVVAGPNPTAIRVAQAASTNASSTYFGAVVAIDDPLTSSPAAAAAGEVVGRAQGTYMFADQRVIGLLMDMNFVFTAGDHNGSSLAIMGRNEVMSPVREMSIVGGSGKFRMARGYAEARTVDSGFKSGETIVEYTLFVKA >OGLUM11G04690.1 pep chromosome:ALNU02000000:11:3766717:3767511:-1 gene:OGLUM11G04690 transcript:OGLUM11G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSRKRSARSLQEDDQTSSATEAPAAVREDEERVAVAGMEAWRFGFSRFSWFPAFKFDPTDADIVASYLLPRALYGRGHAAVIQDDVSRCEPWTLMREHGHATSAHAFFVHDHESVGGGGGGGRRKVQRAVKNGGGVWRIQKGEVATLTIVRGGGGGGGELDVVYKRRNLSFHRRGESSSSGWVMHEYEITSPPLPATVLSRIRATPRAKDKKLCIKEEPSCSTSAAGDGDNNYGSGGDNNVSHCTCVRTVTAITFMATAGR >OGLUM11G04700.1 pep chromosome:ALNU02000000:11:3774673:3775209:-1 gene:OGLUM11G04700 transcript:OGLUM11G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG14] MAASSSFVLAAAALLLLAVTAAAEAAGQQKETRMRVYWHDVVTGPNSTVAKVAEAPTSRASATVFGTVYVIDDPLTDGPSLTAPSRLVGRAQGMYVSAGKETMSLLMAMSFVFAADGPYNGSSVAIFGPNPARPVREIPVVGGTGAFRFARGYCRATTYWYNAAGDATVQYDIHIRHD >OGLUM11G04710.1 pep chromosome:ALNU02000000:11:3781840:3781995:-1 gene:OGLUM11G04710 transcript:OGLUM11G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPLAPSDEGKAGEGAIGEGGDIIVDIRRDLKHCVKENDREDDKVEEL >OGLUM11G04720.1 pep chromosome:ALNU02000000:11:3795930:3796487:1 gene:OGLUM11G04720 transcript:OGLUM11G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG16] MEAKGVVVITLVVTLAAVARAARPEETGPGLARRGSASSPTTHLHFYFHDKVSKPSPTAVRVVDPVDPSSRSFFGMINVMDDPLTEGPEPESKPMGRAQGLYMGSDQAKLGFLQAMNLVFTDGTYNGSVVTVLGRNCPFDDVREMPVIGGTGAFRFARGYAQARTHTLDLKTGDAIVEYNVYVMH >OGLUM11G04730.1 pep chromosome:ALNU02000000:11:3797689:3800846:-1 gene:OGLUM11G04730 transcript:OGLUM11G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFCSVVGIVCGSPSSSGDEAFASHGEQQQATTTTTVQSSGKSSSSRRKTAPEASGEHKAGGEAPPPASKKTALLDKGKEKVSEMDTSVRRTSKGISGNPSEDSNKPVAKSPTPKTVIGSIRNYIATKKGRKIKILAFEVANTIAMGSNLMNFLSEENIRYLKRVVLQNQGVQSLISDDQSQLLALVGDEIRQQFKDFAASVARLGNMCRDPKWHNLDEHFSGLEYGPITQEYSHEKAASKMEDLMELVTKTKILFEALRRLGVSEKMYREAKQTGMPLETFQNAVNIEKEIVQSAKKKALWVKKIEKIVEELVYIVHYLPSEINCVFYKEHEEDRSVKANGSPQQTLGSADLQLNYARIVIAIQVLVSVASSVPQCAVDSLFHALPYRIRSVLLPRMRHGDFDDQRTETQIADEMTRRLEWLYPMAEFTIRLSQHTGMIRECLVSGSLSDRDQRKMLKVQTLYHADKMKTDGCIIDMVIDLHLLIKAARLRADAPHHSGPLDQPVSTSGSSTSSASTGISGSTSFGNISTTWSDIDEDFIAVID >OGLUM11G04740.1 pep chromosome:ALNU02000000:11:3811178:3815778:-1 gene:OGLUM11G04740 transcript:OGLUM11G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatase 1 [Source:Projected from Arabidopsis thaliana (AT1G71860) TAIR;Acc:AT1G71860] MLCISYKVCERLRVVHIWFYISQDIQTAFQTGKFTAAQNPANREKNRYSDVMPFDETRVRLKPSASDHPSSNEYINASLIETDDQGQSHTKFISTQGPLVKTFGDFWQMVYENQCPVIVMVTKFDGAKCDRYLPTNEGEERDYGKFSVKITKFKRDGVLELRGLEVQQNEHAVGQYTVKFAHDLCSMHSKYSVYDYDLFAWFPNSILQGIGRTGAYITIHNTIERILLGDMSALDLSKTVKKFRSQRPGMVQTEEQSVPWVSLTDCRPSTPSIL >OGLUM11G04750.1 pep chromosome:ALNU02000000:11:3821520:3824526:1 gene:OGLUM11G04750 transcript:OGLUM11G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLVNRRRRGEPAEGPDHVGLGARGGGDGDQPGLLVTENDNEWVGALLLKFEAPLPCGADTVSQLQIWPATGYS >OGLUM11G04760.1 pep chromosome:ALNU02000000:11:3828158:3840375:1 gene:OGLUM11G04760 transcript:OGLUM11G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD/DEAH box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G35530) TAIR;Acc:AT1G35530] MAAPPPPPPLHPAIIIDDDDDDFDWEAAVQEIDRRCALASSSAASESAPPPEPSAAAAAAGTRQLTLDRFVDSFTRRRQMAAMERGPPVPASPPAAAPILPSGARGPPVPGSPPAAAPVLPSGGWGRPSDRAGEGCSRRADEDVVPKPCAVALDHEAARTWIYPTNVQVREYQKKFVEKALFTNTLVALPTGLGKTFIAAVVMYNYFRWFPEGKIVFTAPTRPLVTQQIEACHNTVGIPQEWTIDLKGNLSPSKRSCFWKSKRVFFVTPQVLQNDIQSGICMVNQLVCLVIDEAHRASRNYAYCVVVRELEAARVPLRILALTATPGSKQPAIQNVINNLRISELVHCDESDPEVSRYIQRRTVEPLEVCMDSDKFIPVGDEAEQVNDKLLDVIRPHLVKLRSARVIDHRDASNWSPHQLRMLKDKFDQAPPPNIPLADKKEIGISFQALTLLYGIMKMLLSYGIKAAHQSIEAKYKEGSWKVLTRNNTFLEVKKTMENFLSQGILSPKVRTLVEVLLDHFRKNPKDSRVIIFAHYRECVKEILCSLRNIDGELVRPAAFIGQSSTGDQLKGQTQKMQQAILHKFRSGEYNILVATSIGEEGLDIMEVDLVVCFDANISALRMIQRMGRTGRKNEGRVDILFLVPHVYNPEVKFVELSIEKYIPCSKKSKVDVNVASPIFNKMSEDDGRLIARYFGACKEDFWKPSLVTFPSFQVSPCDIYRVPHSFRTTNMLIDAMQQLQDLSFSRTKCASPLEGPADVPVVMDEAPEGLFGADGSKEVIPQEYCGLEVLSGEAAWSKNVLVPSSPIKKYPVHSFFSGDYVAVDVSGYVSITFVPALLRTSEFHKDARNVNWHQKVQNKTTSVKLAADISRPTIEFDCLAGFAYSSKPILTDEFGLALHSPEYTERYGRTDDRHVRGTPPPKTLVSPKEICHKPCNSKPVSPGLSGQEDMELSPRLTYYIEEGIVPESPLLEVGHKHLETDSAANADFLQQKVDFSKSHSEGAKANELKSRNGPLNFKGKGQFFSEISRLAVSPGENALDQTQANKEERMRPSNVKIHSPAAHTPMANLLCDSFSDDWQLRSGGDTPGSVREAPKYKRLCKYADKIKRVSSMSLDDRYDIAAGGNHNFATKRNKRRAKMCLDTFIDDEAEVSEDADVSADEGNDHSEDNYEDSFINDQATPTGQFTQSVHRGENSGDMMAFYRRSLLTQTPIVLPSRYQDVPDNSASRSGSASASCSSENLHNSMETPQGIHQPHHTIGPSPLGDQQSFVARASSIKEQGETSLAHCESSTTLDCRKRKLSFQQAASIPVINLEPELAPAPAPQPSSHITTGVNNNFVWDDDDFFESLDLDAIEAQATELWRLKKEQSTQRSFGN >OGLUM11G04770.1 pep chromosome:ALNU02000000:11:3828784:3853094:-1 gene:OGLUM11G04770 transcript:OGLUM11G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYGEFGRLCFDCIEIKALEEIIVIPNENAIDGWKKDQPPKEKLPPTSIGGYSALVEMENTHLDKSKFALPRGPEQRRRA >OGLUM11G04780.1 pep chromosome:ALNU02000000:11:3854895:3855230:-1 gene:OGLUM11G04780 transcript:OGLUM11G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTVGGQTVYGAVDVDLVYSWGIPRKGREAKRRKGKRRDAAGSRQQQVAAGGVIIKVCQ >OGLUM11G04790.1 pep chromosome:ALNU02000000:11:3856843:3861720:1 gene:OGLUM11G04790 transcript:OGLUM11G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAYIKANGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGVDPQTHRPLNAAADHHQQQQLQEPRRFAAAPAGHHHHHPDHFAVLSNSPEACSHSSDEEPSSATPPPPPPPRHLGIDLNLSISLAPYQPQDQTSEPMKQEEDDEASATANGAGNAAMTTTATTAAVCLCLNRLGLHGGEVCSCGRGGAPSMQASTHMFRFITPLGGSHHNSSSTTMT >OGLUM11G04800.1 pep chromosome:ALNU02000000:11:3860216:3873808:-1 gene:OGLUM11G04800 transcript:OGLUM11G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTAATAGATRATGGFLGTSRRRPQSSRGDSAPGLRFWFAIGGVVDSRRVSSGIYISTAQMKHQCCDLNDGLEFRPKAHDWPCYYDLKLEEDKKEITTKTNS >OGLUM11G04810.1 pep chromosome:ALNU02000000:11:3876399:3883197:1 gene:OGLUM11G04810 transcript:OGLUM11G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG25] MHRRGGHHLHLLLAAAVVLLLTVAGLPLASASESDHKYKAEETVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPAHKWGGLGEVLGGNELIDSQVDIKFLKNVEKGPICTIELDDNKIQQFTDAIERSYWFELFIGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVSEESGWKLVHGDVFRPPRSLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >OGLUM11G04820.1 pep chromosome:ALNU02000000:11:3883952:3884203:1 gene:OGLUM11G04820 transcript:OGLUM11G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLTTGRSPWRRRKRGATATTARRRRTPTSLRALWRRIVPRTSTTTTTTAPRVRTRKPGLLSRAFRVLSCGGGRRSRAARRW >OGLUM11G04830.1 pep chromosome:ALNU02000000:11:3886162:3901754:1 gene:OGLUM11G04830 transcript:OGLUM11G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven transmembrane MLO family protein [Source:Projected from Arabidopsis thaliana (AT1G11000) TAIR;Acc:AT1G11000] MVEEGRSLAETPTWSVATVTTLMVAACFLVERGISRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTARWISEICVPSSLFTSRFYICSETDYEDLVVGGKRSTMEMNQTVVPNGLFGIQSQNVCSEGHEPFVSYEGLEQLHRFLFILGITHVLYTFVTVVLSMIKIYSWRKFETQACQLPTEQLQARRTKVMQRQSTFVFHHTSHPWSKNKILIWMLCFLRQFRRSIKKSDYMALRLGFITYHKLPHSYNFHKYMVRSMEDDYNGSVGISWPLWAYAIICIFVNIHGLNIYFWISFAPAILVLLVGTELQHVIAQLALEVVGATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFEMATFVWSLLELSAQSCFMKNHYMIVLRLTSGILVQFWCSYNTLPLNVIITQMGSKFKKSLVSESVRESLHSWCKRVKDKNRHNLASRSVCSLDTTYEETDHETATVGTLSRTVSATSLDEELTVATVEDNDDDEEMSRIEQEIDRSL >OGLUM11G04840.1 pep chromosome:ALNU02000000:11:3887540:3903434:-1 gene:OGLUM11G04840 transcript:OGLUM11G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAEAAHTNRSSSEDDDDDEGGDRERDGDRARGLQGGERERRRPPAEEAAASPRSRSRPGDDAGGGCRAGIACAAAPTPATSGSERIWGILAAPLLRSIMQDVAREGNANCHHTSSWARKPNLDDRFLDRNEFKLTTSCARKGGGDEVEVDGDGLGAHATAAAAAVCIASTTKDDLGLNNSYKSVQHMGDTKDKQEPMQLFKAFI >OGLUM11G04850.1 pep chromosome:ALNU02000000:11:3903147:3915326:1 gene:OGLUM11G04850 transcript:OGLUM11G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGGRRRSRSPPWSPRARSPSLSRSPPSSSSSSSEDERENCETQTETAWMELGFPNSGPRGVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYRLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKVKSNTSQLQTNNNEAHLLENRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKENDVLMKSFQSLLTCMVPFIVAFLLSMLSSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSIVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKFTRWIASYFSCCPGPE >OGLUM11G04850.2 pep chromosome:ALNU02000000:11:3903147:3915326:1 gene:OGLUM11G04850 transcript:OGLUM11G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGGRRRSRSPPWSPRARSPSLSRSPPSSSSSSSEDERENCETQTETAWMELGFPNSGPRGVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYRLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKNRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKENDVLMKSFQSLLTCMVPFIVAFLLSMLSSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSIVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKFTRWIASYFSCCPGPE >OGLUM11G04850.3 pep chromosome:ALNU02000000:11:3903147:3909005:1 gene:OGLUM11G04850 transcript:OGLUM11G04850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPALQPPPASSPGRERERGDAAASSAGGRRRSRSPPWSPRARSPSLSRSPPSSSSSSSEDERENCETQTETAWMELGFPNSGPRGVTEITYLLRSHELKISELESKEQIYCNSLVQLEERIASLEYYKEKLLTRLSWTEEANSTLRSDNSKLKNLAYRLKERVDELEKDNFMLGLKMNEFAQEMERFQMQVGSTNTQMQDNLDDMAKAFESKERHVTFTQQKLADSINHHEERIATLEQDISQCTQHVQSLQVKSNTSQLETNNNDHHSLENRIQVLESTERQNASRLVKMEESIIHQHERTIGVEQDLSANITQHGQQIQTLQNKVKSNTSQLQTNNNEAHLLENRIQVLESAERQNASRLVKMEDSIIHQHERIIGVEQDMSANITQHGQQVQTLQNKENDVLMKSFQSLLTCMVPFIVAFLLSMLSSQSDFGEYEKDLVIQVSLVCGLPGVMAMVFAHLASGPFWTSIVASLTLYFVIYSITLVYYAFIRLLPWSPWTRLQFYATVILLAIFLIVVLTMLIVWGSFVKKPKVQLLLFR >OGLUM11G04860.1 pep chromosome:ALNU02000000:11:3912777:3914660:-1 gene:OGLUM11G04860 transcript:OGLUM11G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKAGATLGEGSVRIGDMAVVVNQQGCQGEEGKEDIGKGLELANSEEQQERGELGSSRARVKICASHDHVNHRCPVLKESRPAAHAVGYSVEGLGFYHIPHQPLQRGKKGSKIALVKVVGGMITKEKVVSTLQKVVSAKWKWEPIEQGDDSYMVVFPSKVELQRAIAFGGADVKENGAPTGVEIEHDGSGDEGLEEGKEYELGRKGEDGVGNKEEKEDDMMIDGGGSGDVGRQGDPILGEEQGHGEADLEEKVQQGTVEILDMVMKNVLNEAAQKVMEEEGQQGEGEEEPAERVKGGEGDDGGGKGLEVTMEEKVTKAAHIKENNNDELSDLEEDEMHNVALGHLCGDLTDEVMDDAENHLSCDMHNGIRVYKRKKYHNKLKKQGVRIAKLYKKDVNHERDLLE >OGLUM11G04870.1 pep chromosome:ALNU02000000:11:3919349:3919825:1 gene:OGLUM11G04870 transcript:OGLUM11G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEAAGRGGAVPSAGSSRRGGSGGPTSQQRRLPLPHRWQRRSPFPTSGGAAPPPPPTVAGSCGVLFSAGSSTRGDSPSPTGGGAVPLSPPPPTVPGRDSALPPPDLVGGEAAAARCPCDDGGILPFRRRSVLVIL >OGLUM11G04880.1 pep chromosome:ALNU02000000:11:3927851:3928636:-1 gene:OGLUM11G04880 transcript:OGLUM11G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVLSSFAFELKDQERNQEEIERIEAVISVEMAAAAMDDSFRRAGAIPFKWEICPGTPKHARSASASAASAAVVSPVPPALAKVATRQLALPPCMTSPRASPSPYYHSPRLSSAAACRSAASASPCRSRYAGGGSAYRPRPTAFLDLAPRATTAPDLYGAAHEADHDEPAAAPAYGCFPLPLLRRKGSSKKRGGGGYSSGSGGSSSSGSFRSDGEPGGGLRRSASSSFSFARGGGNRIRLAAGARQQEEVEAASGSWFF >OGLUM11G04890.1 pep chromosome:ALNU02000000:11:3937378:3939251:-1 gene:OGLUM11G04890 transcript:OGLUM11G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHGTQEMECCFVVPSEKTPKHVLWLSPLDIVLANRGALTPLVHFYRRRHDAAGGGGGGGFFDVGRLKEALAKALVAFYPLAGRFRVGGDGRPEIDCNADGVFFAVARSELAVDDVLTDLKPSPELKRLFIPRTEPPSAVLAVQVTFLRWGGIVLGTAMHHAAVDGHSIFHFLQTWAAFCRDGDAAVVELPCHDRALLRARPRLAIHPDASSVFCPKLNLRPPSASGSGLISAKIFSISNDQIATLKRICGGGASTFSAVTALVWQCACVARRLPLCSQTLVRFPVNIRRRMRPPLPDRYFGNALVEVFAAAAVEDIVSGTLAAIAARIKGVIGRLNDDEMLRSAIDYNEMAGMPDRPDNGSLPETELRVVSWLGIPLYDAVDFGWGKPWAMSRAESLRGGFFYVMDGGAADGDGGDAAAVRVLMCMEAANVEEFERLLRAKFVYPRI >OGLUM11G04900.1 pep chromosome:ALNU02000000:11:3943005:3948641:-1 gene:OGLUM11G04900 transcript:OGLUM11G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein with WD40/YVTN repeat doamin [Source:Projected from Arabidopsis thaliana (AT3G52030) TAIR;Acc:AT3G52030] METSSSRGRSGSAGLKRRRGPGGGGGGSGSTAQALNDDTLRSVFSRLDDHFDLARCSAVCNSWNRVIDTAHLMRDLYYKRNPQARSSGSNTSIKSYFKELALDEHASSFSRGPAEVYQWIGHPNQATICRMKSGSILTGVGDKTLRLWSAESCKYMNEYIVPSSKMLVDFDFDENKIVGLTSSQLCIWRRSEPRSIFQSRGASFNRGLCMSYADPEVIIGCEDGRAFVYDMYSRSCSSIYRLHSSPLTCLTITDDQLIAAGSTFGNVAIADQTSGQKLGVLKSAFAPTAIRCLSFSTSGHLIFAGSSAGYAHCWDLRTLRPLWEKRVSPNVIYSAHHLPGDTATLAVGGIDGVLRLICQRTGETIRSFIVNADRPAASSSHQQVEKKSVRQVAPNARLDNIPTRLRPQITCLAVGMKKIVTTHGENYIRVWKFRPKSS >OGLUM11G04910.1 pep chromosome:ALNU02000000:11:3950864:3971299:-1 gene:OGLUM11G04910 transcript:OGLUM11G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHRQMQDIQLARCSSQHHGSEAAVTARGDSAGSLEMCPALYLAAYKGRAEERHGQCNISEVSAESNTVFHVAAEQGHDELIREVYLRFKESSLLSRRNSSQDTPLHCAARAGHAGAVTAIVQLLALDSILGCKNEAGDTALHLAARNGHGAAVEALVSAAAPELSSELNAAGVSPLYLAVMSKSVTAVKAIITTCSDASPVGPNKQNALHAAVFQSSEMVDLVLKWKPALSGQCDVKGSSPLHLASSDGDRSIVSAIVRAAPPSTAFLKDSDGLSAIHVAARMGHHHVVEELISAWPDAAELRDGRGRTFLHAAAEKGHAPVISLAVKNPMLCGIVNAQDKDGNTALHLAVAAAASKGLAALLSAGDNVRVNIMNNDGYTPFDLAANSSSFLSMISLVVTLSAYGAQSCPQRQDHLNQWRGKGTTDWIRKTSNSLAVVAVLVATVAFSATFNVPGGYGDDGKAVLQAKTAYKFFIVFDSIAMTTSVVAVILIVYGKASGSWKSFIFALHFMWVSMIGMIVAFWAALVAVMSRRTINIVVYEVIVNGIYLLVLFVVNWTKPASWMSIVKFMFSSLLPEGHHRRVARQYPFAGAYSRNYSVFVLTNILAYIHLRFRSTPNPNPRVLSLAPHDAMSLPFSSESASTALEKVVKAIADTVRDSFTTSASTDVDPGTALTIANHRQGNLLEVTAERNTVLHVAAEKGHGELIQELYHRFIRDNSLLSRRNSAMDTPLHCAARAGHAGTVTILVNLAQDCEENILGCQNAAGDTALHLAARHGHGATVEALVAARAKATELNKAGVSPLYLAVMSRSVPAVRAIVTTCSDASPVGPSSQNALHAAVFRSLEMVRLLLQWKPELASQVDCNGSTPLHFAASDGNGKIVHAILAIVPTGTVYMKDSDGLSALHVAARLGHANVVKQLIGICPDAVELRDGHGETFLHTAVREKRSSIVSLAIKKHKQVGGLLDAQDGDGNTPLHIAVVAGSPDIVNALLHKGKVQSDVLNDDGHSPLDLASTSTNLFNMVGFVVILVAFGAQGRPQRNDHLKPWSGRDIGKGIERTSDSLAVVAVLIATVAFAAGFNMPGSYGDDGTANLKGRFSFKWFMVLDTVAVAASVVAVILLVYGKASRSAGSWKSFVAALHFIWVSLISLILAFFAAFRAAMRTSRAVSIVFMVIYVCLIVLAVNVGTWVEPVTTMRIFWRFVWRSHRTAVKRQYPFAVAAVYNCLLLSVYLDALGERCRLPTSDINSERVEAYRYGFCEN >OGLUM11G04920.1 pep chromosome:ALNU02000000:11:3978159:3985586:1 gene:OGLUM11G04920 transcript:OGLUM11G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQGRKFKPKAEQFKTRNTEIDSEFRLVFLFEEKSSFSTLIHSLPNPDLPRTCFPRVDPVPTRPPHSPPPPPTTTPRTTTTMPRKASSTSDSRLKWRKRKRNPTASPSPSRRSSAAAAAADHSDDSDSAAVNEDDDSAVPEDADDETLAGAEDPVLDLREAEVLPSAEPVSAFPVATRRVVNRPHPSVLAVIAAERSACAGEGSAAVAAAVLENISYGQQQVLSGVLPDHASLATDTDKPSTYVCTPPNLMEGHGVTKQFQGRLHVVPKHSGPPALCNQKPECLSYNVALICLRFVDWFSPGIVHRLERQVVPQFFSGKSPGNTPEKYMLLRNKVIAKYLENPSKRLAFAECQGLVANTAELYDLSRIVRFLDTWGIINYLASGSVHRGLRMATSLLREEPTGELQLLTAPLKSIDGLILFDRPKCSLQAEDISSLASNSEVVHFDAGLAELDEKIRERLSESSCSYCLQPLTSLHYQSLKEADIALCSDCFHDARYITGHSSLDFQRVDGDNNRSENDGDSWTDQETLLLLEGIEKYNDNWNNIAEHVGTKSKAQCIYHFIRLPVEDGLLENIEVPDVFVPFRAETNGYPHSDCNGSTSGNLPQRIPPGNQLPFINSSNPVMSLVGFLASAIGPRVAASCASAALSDLTIDDDSRVNSEGICSDARGHGAHPNFRDHNGGVSSSISPEKVKHAAMCGLSAAATKAKLFADQEEREIQRLTATVINHQLKRLELKLKQFAEVETLLLKECEQVERIRQRIASDRVRIVSTRLASPGNSLPGGSTSTMSSNPMSMSPRPMGVPGSMPQSSMPAPFANNMQGHGHPQMAFLQQQQQQQQQRQQMLSFGPRLPLSAIQTQPSPQTSNIMFNPGMPNSVTPNHHQLLRSSSGNNSSVG >OGLUM11G04930.1 pep chromosome:ALNU02000000:11:3993671:4003925:1 gene:OGLUM11G04930 transcript:OGLUM11G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNSSERRDYREPTSPSPSTSSSRSRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDQYEDSESVWTCALLLATLFQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLVALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTAALERELLSTSTINEVILRTIHVIFNNFKKVRFSEAATLCIPHMVCALKDGNEAAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLQCLPGCLTVTILRGNNLKQTMGSTNAFCCLQIGNGPPRQTKVVNNSICPVWNEGFTWLFDIPPKGQKLYILCKSKNTFGKSTLGRVTIQIDNVVTEGVYSGFFSLKHDGGKDGSRTLEIEIVWSNRPSNDIM >OGLUM11G04930.2 pep chromosome:ALNU02000000:11:3994853:4002109:1 gene:OGLUM11G04930 transcript:OGLUM11G04930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAWGGAGNLVPVRPAGSVNAKRQGPREQRVKGSKLLSGRFLRIGGILKERCSDGSSQAMWRHKEGGGAGADGRGRWRWHWLGKKRGNRDRSDLAEVDDPESAMSTVAQLLEQLHTSMTSLPEKEVTTKRLLELAKEKKEARVLIGSHSQAIPLFISILRSGTSIAKVNAAALLSALCKEEDLRVKVLLGGCIPPLLSLLKSESTEAKKAAAEAIFEVSSGGLSDDHIGMKIFVTEGVVPTLWDMLKPRSHQDRVVEGFVTGALRNLCGDKDGYWRANLEAGGVEIITGLISSKNTTSQSNAASLLARLVSAFGDSIPKIIDAGAVKALLRLLNRDNDISVRESAADALEALSSKSSIAKKAVVDAGGIPVLIGAVVAPSKECMRGDTCHSLQSHAVHALSNICGGTVSLLLYLGELCQAPRPPVPLADILGALAYTLMVFSGTDGKSFDPIEIENILIVLLKSYDSNLVLDRILEALASLYGNACLSGRLNHSNAKKVLVGLITMASADVQKNLVHALTSLCSDGIGIWDALGKREGTQLLISFLGLSSEQHQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHILWNLCCHSDDISACVESAGAVLALLWLLKSGSPRGQEASAKALKKIIRSADSSTINQLRALLLSDSLSTKAHAITVLGHVLVMASQRDLVQNGAPANKGLKSLIDILESSNEETQEQAATVVADIFSTRQDICDILATDEIIQPCMKLLTSGNQVIATQSARALGALSHSANAMLKNKMSCIAEGYVQTLIEMSKSPSIDAAETTIAALANFLSDAHIAKEALDGNIVLALTRVLKEGSLEGKISASRSLCQLLNQFPLNEVIPDYSQCYFIIHALLVCLSGINLENATNLDPLNVLAWMARTKEGAHFSSPLWSAFLDVPESLEPLVRCISVGLPPIQDKAIRILASLCQDQPSLLGEHLNRSQGCIASLASRVIEATNMEIRIGSAITLISAMRHSREHSIDVIEESGHLKNLISASIDMMKQDSAPTSLDIEVWKPYPENSLYNYDKDVLGVSGSGKVLEETVALWLLSLICSSHLSSKLTVMDLGGVETISDKLASYTANQQDSMVVQSPAIMRTIPSLASLLKSDKIIDKYFAAQSLASLVSTGSRSIQLAIANSGAVMGTIAMIGQIESTMPNLVAMAEEFKLTENPSKIILRSLFELEDVRTSATARRSIPLLVDLLKPMPDRQGAPLVALHLLTQLAEGSETNKVAMAEAGVLDALTKYLSLSPQDSTETTIINLLRILYTNPDLLYHESSISTSNQLVAVLRLGSRNSRLSAARTLQNLFDSENIRDTEVAWQAIPPLLDMLESGTETEQQAALGALIKLSSGNISKASAMFDVEGTTLESLYKILSFSSSLELKNDAAQLCYILFENSTIRASPIASECLQPLISLMTSGSTFVVEPAVRALNRLLDEEYNAEIAATSEVVDLLVSFVPGTNHQLSEACIGALIKLGKDRPNCKLEMVKAGIIEHVLDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLVALKLSPSQIIEPLISFLESPSQAIQQLGTELLTHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALESISQSWPKAVADAGGILELSKVIVQDDPQPSQALWDSAALVLCNVLRYSSDNYVQVSIAVLVRLLNSTIESTVTIALNALLVQEKSKSRCALAMAEAGAVRALLKLLKSHRCEESAARLLEALINNARVRETKVAKYSIGPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSVLEDQPTDDMTMVAICALQSLVLHSRTNRRAIAEAGGILVVQELLLSPNVDIAGQAALLIKYLFLNHTLQEYVSNELIRSLTGKLLIIVLK >OGLUM11G04940.1 pep chromosome:ALNU02000000:11:4008111:4012713:1 gene:OGLUM11G04940 transcript:OGLUM11G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARWAPVVGLLLLALLLLAPAGAATPARSPPSSASSAVFLLSGDVYPTGHYYVTMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPTKNKLVPCANSICTALHSGSSPNKKCTTQQQCDYQIKYTDKASSLGVLVTDSFSLPLRNKSNVRPSLSFGCGYDQQVGKNGAAPATTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTSGGGFLFFGDDMVPTSRVTWVPMVRSTSGNYYSPDSATLYFDRRSLSTKPMEVVFDSGSTYTYFSAQPYQATISAIKGSLSKSLKQVSDPSLPLCWKGQKAFKSVSDVKKDFKSLQFIFGKNAVMEIPPENYLIVTKNGNVCLGILDGSAAKLSFSIIGDITMQDQMVIYDNEKAQLGWIRGSCSRSPKSIMSSFP >OGLUM11G04950.1 pep chromosome:ALNU02000000:11:4013262:4017234:1 gene:OGLUM11G04950 transcript:OGLUM11G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRQAMAMGSSDGDVLYARCLVTLYLLSPLNVFALWFMSAPYGKLSRPGWGPTVPAALAWCLMESPTLWLPPLVLSTTTTSLSTPTLRVTILPAALYMLHYVHRTLVHPIRLLRLRRAPVPMPILIIIFGFGFNLLNAYIQARSWALDAVPPATAAPLTVARCLVGLALFVWGMWTNIAADRELLRLREAGKGYQIPKDGLFDVVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYIGKFGDKYPASRKAFVPYIY >OGLUM11G04950.2 pep chromosome:ALNU02000000:11:4013262:4017234:1 gene:OGLUM11G04950 transcript:OGLUM11G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRQAMAMGSSDGDVLYARCLVTLYLLSPLNVFALWFMSAPYGKLSRPGWGPTVPAALAWCLMESPTLWLPPLVLSTTTTSLSTPTLRVTILPAALYMLHYVHRTLVHPIRLLRLRRAPVPMPILIIIFGFGFNLLNAYIQARSWALDAVPPATAAPLTVARCLVGLALFVWGMWTNIAADRELLRLREAGKGYQIPKDGLFDVVTCPNYFGETVEWLGYALVAWTPAAWAFFLYTCVNLGPRARDQRQWYIGKFGDKYPASRKAFVPYIY >OGLUM11G04950.3 pep chromosome:ALNU02000000:11:4013262:4014417:1 gene:OGLUM11G04950 transcript:OGLUM11G04950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIRLARLEAERGEAQSLCFAPPRRRRPCSSSAATAAARALATGRRQRASSAFRSSPFLIHLASNLDSPKSSLQFEP >OGLUM11G04960.1 pep chromosome:ALNU02000000:11:4020472:4023201:1 gene:OGLUM11G04960 transcript:OGLUM11G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRMGRRLLLITLLLGALLCNNVAYAKFSRYSFPKDFIFGTGSAAYQYEGAYKEGGKGPSIWDNFTHIPGKILNNDTGDVANDFYHRYKEDVNLLKDMNMDAFRFSIAWTRILPNGSLSGGINREGVAFYNSLINDVIAKGMIPFVTIFHWDTPQALESKYRGFLSENIVKDYVDFAEVCFCEFGGRVKYWTTFNEPFTYSAYGYGKGVFAPGWCSPYVSKSCGAGDSSREPYLVTHHIHLSHAAAVHLYRTRYQPTQKGQIGMVVVTHWFVPYDDTVADRGAVQRSLDFMFGWFMDPLVHGDYPGTMRGWLGDRLPKFTLAQSAMVKGSYNFIGINYYTTYYAKSVPPPNSNELSYDVDSRANTTGFRNGKPIGPQEFTPIFFNYPPGIREVLLYTKRRYNNLAIYITENGIDEGNNSTVPEALRDGHRIEFHSKHLQFVNHAIRNGVNVKGYFTWMFMDCFEWGDGYLDRFGLIYVDRKTLTRYRKDSSYWIEDFLRRQY >OGLUM11G04970.1 pep chromosome:ALNU02000000:11:4025550:4025906:1 gene:OGLUM11G04970 transcript:OGLUM11G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLATATTGSIQVGKCGDLSPAFPGNCGADVCQFRCAVMGGDREKAYCDAATGKCCWPPGSATLCRPLDGCRSRIPACRIKCKSVFRDPGKAFCQDGSPGFGDSCCCPPNNVEDSSN >OGLUM11G04980.1 pep chromosome:ALNU02000000:11:4028406:4032153:1 gene:OGLUM11G04980 transcript:OGLUM11G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARWAPIAGFLLVLPALLLLPPAASSAAMVFPLHGNVYPSGRFFLTMNIGVPAKPYFLDIDTGSDLTWVPNQLYDPLCLALHEDGRSGDGNHMSPTQCDYEIKYADGASTIGALIVDQFSLPRIATRPNLPFGCGYNQGVGENFQQTSPLKMLGIITKHCLSSGGGGLLFVGDDNGNLVPSSRVTWVPMSHSNINTKNYYSPGSATLYFDRHSLGMNPMDVVFDSGSTYTYFTAQPYQATVYAIKGGLSSTSLEQVSDPSLPLCWKGQKAFESVSDVKKEFKSLQLNFGNNAVMEIPPENFLIVTEYGNVCLGILHGSRLNFNIIGDITMQDQMVIYDNEREQLGWIRGSCGRSPTKSVERIPS >OGLUM11G04990.1 pep chromosome:ALNU02000000:11:4033930:4040723:-1 gene:OGLUM11G04990 transcript:OGLUM11G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTVRGWLAQVQAGKRKTSVPIQHSGGARYEPVAGDASRRAVAPTTSSARGCCLLRAI >OGLUM11G05000.1 pep chromosome:ALNU02000000:11:4036248:4039140:1 gene:OGLUM11G05000 transcript:OGLUM11G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFVTMNIGDPAKPYFLDIDTGSTLTWLQCDYPCINCNKAHSLFYPLSVTAIVNPFSQSTQVPHGLYKPELKYAVKCTEQRCADLYADLRKPMKCGPKNQCHYGIQYVGGSSIGVLIVDSFSLPASNGTNPTSIAFGCGYNQGKNNHNVPTPVNGILGLGRGKVTLLSQLKSQGVITKHVLGHCISSKGKGFLFFGDAKVPTSGVTWSPMNREHKHYSPRQGTLHFNSNSKPISTAPMEVIFDSGATYTYFAPQPYHATLSVVKSTLSKECKFLTEVKEKDRALTVCWKGKDKIRTIDEVKKCFRSLSLKFADGDKKATLEIPPEHYLIISQEGHVCLGILDGSKEHPSLAGTNLIGGITMLDQMVIYDSERSLLGWVNYQCDRIPRSASAITSRL >OGLUM11G05000.2 pep chromosome:ALNU02000000:11:4035146:4039140:1 gene:OGLUM11G05000 transcript:OGLUM11G05000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTARLALLASLLLLLQLVPPSSAVVLELHGNVYPIGHFFVTMNIGDPAKPYFLDIDTGSTLTWLQCDYPCINCNKAHSLFYPLSVTAIVNPFSQSTQVPHGLYKPELKYAVKCTEQRCADLYADLRKPMKCGPKNQCHYGIQYVGGSSIGVLIVDSFSLPASNGTNPTSIAFGCGYNQGKNNHNVPTPVNGILGLGRGKVTLLSQLKSQGVITKHVLGHCISSKGKGFLFFGDAKVPTSGVTWSPMNREHKHYSPRQGTLHFNSNSKPISTAPMEVIFDSGATYTYFAPQPYHATLSVVKSTLSKECKFLTEVKEKDRALTVCWKGKDKIRTIDEVKKCFRSLSLKFADGDKKATLEIPPEHYLIISQEGHVCLGILDGSKEHPSLAGTNLIGGITMLDQMVIYDSERSLLGWVNYQCDRIPRSASAITSRL >OGLUM11G05010.1 pep chromosome:ALNU02000000:11:4041044:4042625:-1 gene:OGLUM11G05010 transcript:OGLUM11G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGALQLPPGFRFHPTDDELVMYYLCRKCGGLPLAAPVIAEVDLYKFNPWDLPERAMGGEKEWYFFSPRDRKYPNGQRPNRAAGTGYWKATGADKPVGSPRAVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKLSKSSHNALRLDDWVLCRIYNKKGVIERYDTVDAVEDVKPATAAAAAAAKGGRIGGGAAAMKVELSDYGFYDQEPESEMLCFDRSGSADRDSMPRLHTDSSGSEHVLSPSPSPDDFPGGGDHDYAESQPSGGCGGWPGVDWAAVGDDGFVIDSSLFELPSPAAFSRGGGDGAAFGDMFTYLQKPF >OGLUM11G05020.1 pep chromosome:ALNU02000000:11:4065706:4066047:1 gene:OGLUM11G05020 transcript:OGLUM11G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQRSGRSNMALFFVVAIVAAPLLMHDDLLAAATPAADGGGSGSGQMQPEGILYAGCFRAGGCKLTPEWCPARCIYLGFSPGAGCEVMDDGHIYCCCGPSRTSTNADPSTNA >OGLUM11G05030.1 pep chromosome:ALNU02000000:11:4078812:4080612:-1 gene:OGLUM11G05030 transcript:OGLUM11G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDVVVDGDGGIGTAAAAVPDIAGAILAVGSGGAESPVILAFLPASVDAVPAVIVALADQGVPRRAATLAGVVSGGPSGVAAHAAALVGGARPRWSLISTFLSTTMDSATGSSTPNPAE >OGLUM11G05040.1 pep chromosome:ALNU02000000:11:4080208:4080390:1 gene:OGLUM11G05040 transcript:OGLUM11G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSARKILAVVASSVTMALLAMAATGQPLPGRCDDLGLPGPCTADECRGMGGDSTRAS >OGLUM11G05050.1 pep chromosome:ALNU02000000:11:4081104:4081430:1 gene:OGLUM11G05050 transcript:OGLUM11G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSRKSLAIAVAAAVPLLMCLFLVAAAAAAASSETAVASSPQYQPSYGNTYSTCFEVSACDDTGCAIRCRDMGHNPAGSACWTSNVATIFCCCGRGRPPPVA >OGLUM11G05060.1 pep chromosome:ALNU02000000:11:4090179:4090508:1 gene:OGLUM11G05060 transcript:OGLUM11G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLATRSSKSLSLLVVVAAVIAVPLVLMCLLVAVAAASASAAASSGEYRPSYGDTYATCIPVAACDDTGCAIRCRDLGYNPGSACWTSKDIKLYCCCGHGRRLPSVA >OGLUM11G05070.1 pep chromosome:ALNU02000000:11:4092478:4092816:1 gene:OGLUM11G05070 transcript:OGLUM11G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLQLAMHSRKKPSPVAAAAAVPLLLMCLLFAATAMAASSSAAAAAASFVEPSDADTYSTCFEVGGCNNTGCAIRCRDLGHNPAGSACRTRDTAIYCCCGVGRDTPPSVA >OGLUM11G05080.1 pep chromosome:ALNU02000000:11:4094506:4100188:1 gene:OGLUM11G05080 transcript:OGLUM11G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLHAEGFGSAIGVHANDGCDARALCWRLCYAAAAAKPCMRVHTRSRKSVVARPLLMFLLIAVVASSSYHPNDEQSEYETCFFAQGCDGTECRDLGWNPAGSGCRKLPDAVANEGTLWCLAGASKLHEVLISVCSPLIDSSWRYSFAAHSRKSTVAVLMPLLMLLLTAMAASAASSYQPDDQMSDFGMCFFASSCYDTGCRDLGWNPAGSGCRKYPDIDQLIVLLRQTIIVIDLLHLLHPLLDPSCMLG >OGLUM11G05090.1 pep chromosome:ALNU02000000:11:4101960:4102415:1 gene:OGLUM11G05090 transcript:OGLUM11G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLAHKMDHGANSCVSIGKAALSMCVDATAHTGVSNGKEPLVTILLTSAAANTTTFRNQPIDDVK >OGLUM11G05100.1 pep chromosome:ALNU02000000:11:4123211:4123750:1 gene:OGLUM11G05100 transcript:OGLUM11G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIKNSSTMLLSVMALMALCTSLPSCHAVRTQGIGIQFSTDQNYICIEQSALMKIVLQMDGANRCVSIGRAALWMCVVSTARTGASIGKEPSVMVLLTSVAANTMVRDEPTFVLVKEFILQFLPATDYPVIMINVIDFDVYDPINLPARLMANIFLQNK >OGLUM11G05110.1 pep chromosome:ALNU02000000:11:4130136:4131213:-1 gene:OGLUM11G05110 transcript:OGLUM11G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKEPVHSHLTVGLASLALLSDGRAAAALLTVGFAAVPVSCPWVASRLLFSSILERAYCSSMTSPEVSVGHPQGKTEESFVLFILPVTKQVFSELFSFLFLLPAIMQVLLSLSNSTQMFRRSWIANRCSYHCAYTATRCNALWELDCF >OGLUM11G05120.1 pep chromosome:ALNU02000000:11:4156683:4161744:1 gene:OGLUM11G05120 transcript:OGLUM11G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG62] MAEEEVAAVVGELRGSFRAGRTRTAEWRAAQLRGIVRMVEEREGDISDALHSDLAKPRMESYLHEISLAKAACTFALKGLKNWMKPEKMLIMLTLINDDQVPAALTTFPSTAQIVSEPLGVVLVISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSALFAKLLPEYVDSSCIKVVEGGVPETTALLEQKWDKIFYTGSGNVGRIVMAAAAKHLTPVALELGGKCPAIVDSNTDLHVTMKRLAVGKWGCNNGQACIAPDYVITTKSFAPELVDSLKRVLKRFYGEDPLQSEDLSRIVNSNHFRRLTNLIEDKKVAQKIVYGGQTDEKQLKIAPTVLLDVPLDTTLMAEEIFGPLLPIVTVDKIEDSIQFINSRTKPLAAYLFTKDKKLQEEFVSNVPAGGMLVNDVALHLANPHLPFGGVGDSGIGSYHGKFSFDCFTHKKAVLIRGFGGEATARYPPYTIEKQKILRGLINGSFFALILALLGFPKERR >OGLUM11G05130.1 pep chromosome:ALNU02000000:11:4162443:4169956:1 gene:OGLUM11G05130 transcript:OGLUM11G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADEAAAADEDDNPAKPAAGEKAAAAAASSKDQTFDDENGRTEGGALVKPESGGGDEAASDVKEIGSLEQAAIDMKDTTEHSVGDTTKEPGVVQDKSSEEITTAASDARESSDGGGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLTDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKVKAASAAAAAEEEGGGGGAASLGWRLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWNITLRACMHWVPTDPSVRGSRWPERWPERMEKTPYWLNSSQVGVYGKPAPEDFVADQEHWRKVVRNSYLTGMGIDWKTVRNVMDMRAVYGGFAAALRDMSVWVMNVVTINSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKSRCEVLPVIVEVDRILRPNGKLIVRDDKETVDEIKGVVRSLQWEVRMTVSKNREAMLCARKTTWRPTEVEAR >OGLUM11G05130.2 pep chromosome:ALNU02000000:11:4162443:4164943:1 gene:OGLUM11G05130 transcript:OGLUM11G05130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALFDRNQKQRSSLCSTATVVVFVALCLVGLWMISSPETIPAAAANVSKKPDVVAVKEEDSSLDATNNVKQNSANVVAETAAADEAAAADEDDNPAKPAAGEKAAAAAASSKDQTFDDENGRTEGGALVKPESGGGDEAASDVKEIGSLEQAAIDMKDTTEHSVGDTTKEPGVVQDKSSEEITTAASDARESSDGGGGGGAAKNKQTFDDENGKLDGVNLVKDVENKTMSEEGAKPLPEETTTVSSKNSIVAAAAMSDEKLTDNNGEQAQPVEALPNGQAELLTERAAQNGSFTTQAAESIKEKKKRAEKKKKKKKKVKAASAAAAAEEEGGGGGAASLGWRLCNTSAGADYIPCLDNEAAIKKLKTTAHYEHRERHCPASPPTCLVPSPEGYRDPIRWPRSRDKIWYHNVPHSELAAYKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIELIQSSFPEVAWGRRSRVALDVGCGVASFGGYLFDHDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPSNVFDAVHCARCRVPWHIEGGMLLLELNRLLRPGGFFVWSATPVYQELPEDVEIWGEMVKLTKAMCWEMVSKTSDTVDQVGLVTFRKPADNACYMKRRQKEPPLCEPSDDPNAAWYQLAPFYNIRIL >OGLUM11G05140.1 pep chromosome:ALNU02000000:11:4169861:4179988:-1 gene:OGLUM11G05140 transcript:OGLUM11G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA binding;nucleotide binding;nucleic acid binding;DNA-directed DNA polymerases;DNA-directed DNA polymerases [Source:Projected from Arabidopsis thaliana (AT5G63960) TAIR;Acc:AT5G63960] MSSGGRGGKRRGAPLPGPSGAAAKRAHPGGTPQPPPPAAAAAAAAAAPVAEEEDMMDEDVFLDETILAEDEAALLLLDRDEALASRLSRWKRPALPADLASGCSRNVAFQQLEIDYVIGESHKVLLPNSSGPAAILRIFGVTREGHSVCCQVHGFEPYFYISCPMGMGPDDISRFHQTLEGRMKDSNRNSNVPRFVKRIELVQKQTIMHYQPQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYMKAARIIYSDLVSHAAEGEHSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTLQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDFIREVDPDIIIGYNICKFDLPYLIERAEVLKIVEFPILGRIRNSRVRVRDTTFSSRQYGMRESKDVAVEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLADAYLPQRLLDKLMYVYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQASGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESVNKTPSGETFVKPDVQKGILPEILEELLAARKRAKADLKEAKDPFERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTLGGYEHNAEVIYGDTDSVMVQFGVSTVEDAMKLGREAADYISGTFIKPIKLEFEKIYFPYLLISKKRYAGLYWTNPEKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILVDRDVPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDAASAPTVGDRVPYVIIKAAKGAKAYERSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASRELLHGSHTRAVSISTPSNMVPIKRFALIAREEKQISELEMLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARVQLQRWDF >OGLUM11G05150.1 pep chromosome:ALNU02000000:11:4180484:4181566:1 gene:OGLUM11G05150 transcript:OGLUM11G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQSDGSSSYSQHSSRSPIPYRVGPFDYQPVVMCDCRVKAARWISWSPDNPGRRYFKCRNARGLQEGGCGFYAWYDGPTTTFIREVLNDLQDAVWSARREKKGLVLAIQEERMKVEERITEVDTAQRELETAQRELEAARKLSCDYAERIAVLKDRNSRLEKERCYLLVVVMGCVFVMFALVLARRNEG >OGLUM11G05160.1 pep chromosome:ALNU02000000:11:4185715:4185936:-1 gene:OGLUM11G05160 transcript:OGLUM11G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFXIRIINVLYCQFVIVCLG >OGLUM11G05170.1 pep chromosome:ALNU02000000:11:4186408:4186839:1 gene:OGLUM11G05170 transcript:OGLUM11G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDRLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNASLYTVQRRQPQTTAQSAYDDEAGLCCSVLCAMVIFLSIAILVLIFIGVIVVLIDKYVFGI >OGLUM11G05180.1 pep chromosome:ALNU02000000:11:4190038:4193369:1 gene:OGLUM11G05180 transcript:OGLUM11G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPKRYAIVLLTFMCTNVCYIERVGFSIAYTVAADAVGTNQANKGMILSMFYYGYVLSQIPGGWAAQRLGGRLVLLLSFVLWSSICAVVPLDPNRVILLVLSRLLVGVAQGLIFPSIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLLLPSLVKNMGPQSVFSVEAMLGVAWLLIWFKFASDPPRTDLPKVASKDKMKVQTGGIMAPRTVKIPWARILFSLPIWAIVVNNFTFHYALYVLMNWLPTYFKLGLQLSLQDMGFSKMLPYLNMFLFSNIGGVLADHLITRKILSVTKTRKLLNTVGFVVSAIALMALPLFRTPSGAIFCSSVSLGFLALGRAGFAVNHMDVAPKFAGIVMGISNTAGTLAGIVGVGLTGRILEAAKASNMDLTSSESWRTVFFVPGYLCIFSSFIFLIFSTGEKIFE >OGLUM11G05190.1 pep chromosome:ALNU02000000:11:4193150:4194440:-1 gene:OGLUM11G05190 transcript:OGLUM11G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYRRELKKLAEKLLGVMEELLGLEEGHIRKAFTNDGDFEPFYGTKVSHYPPCPRPELVDGLRAHTDAGGLILLFQDDRFGGLQMIPNRGGDGRWIDVQPVENAIVVNTGDQIEVLSNGRFKSAWHRILATRDGNRRSIASFYNPARMANIAPAIPAAAADYPSFKFGDYMEVYVKQKFQAKEPRFAALANK >OGLUM11G05200.1 pep chromosome:ALNU02000000:11:4202889:4204936:1 gene:OGLUM11G05200 transcript:OGLUM11G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEEDKLMSREDEEEEIMAWFERTTRDAADVQRETLRRILAENAGVEYLRELGLAGLTDAGSFRARVPVVTHADLDPYIQRVADGDASPVLTAKPVTAISLSSGTTQGKRKRLLFNDDLLRSSIQFFHASCAFTNRAFPVEDGRVLQFMYGSRQETTKGGLTATTVMTNLLRSEEFTASMAARSRPRLPSCSPSEVVFSPDFDESLYCHLLCGLLLAGEVRAVSASFAHSIVVALQALERVWRELCADIRRGAASPARVTTPAVRRAVAPILAAPNPALADALERRCAALGDWSGVIPALWPNARYVQATMTGSMEHYVKKLRHYAGGVPLVSGNYASSEGVIGINAEQHAPPESVVFTVLPDAAYFEFIPLKPPCTDAAADDDNPAAAGSSCYVDADDANPVGLTDVVVGEHYEVVMTTFTGLYRYRLGDVVKVAGFHHATPKLRFVCRRSLILSINVDKNSEHDLQLAVDSAAKILAGYGENHKQLEIADYTSHADTSSDPGHYVVFWELNGGGEEDGGGVLQRCCDEMDRSFGADAGYAQSRKTCAIGALELRVLRRGAFQEVLRHYVAGGSSAGQFKMPRCVAPSNAGVLRVLKDNTINIFFSTAYDYD >OGLUM11G05210.1 pep chromosome:ALNU02000000:11:4217440:4217887:-1 gene:OGLUM11G05210 transcript:OGLUM11G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPVIDFSKLDGDESEATLAELAAGFEEWGFFQLVNTGIPDDLLERVKKVCSDIYKLREDGFKESNPAVKALARLVDQEGEGLAMKKIEDMDWEDVFTLQDDLPWPSNPPSFK >OGLUM11G05220.1 pep chromosome:ALNU02000000:11:4226297:4229607:-1 gene:OGLUM11G05220 transcript:OGLUM11G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNRSRGTREETITTLQNHPTKFSKHESKGLCPRNSLPCQTIFATSMQEHPRTMTREHDGDGEVVAAAVHVAAGRRGGRGDAGAEEDGDRNAVDKSEFSDAVRVVVDDEEPEFPSDDDEGGDDDVRVSFATAVGDSDEHLREEQGELDLDDDDEEDVSRYEYDYGMWMEAEPMSIQERRRRLLQGMGLASSRDLLRSRSARMRPILPPNIPRCASRRQPPSQCPAAAADDAPSTSTAATVKRQRNAVLTRCRSDSRLAVRGGGAARKPPTFRRVYSVPHSLHGSPVHKALRAAARSRSPLPLAAPKDERENTVRNLDDGKEFVVSGQPAAGGSRGALSDLKTGVQLSLDEFERFIGYTPFVKQLMRRSQSQPVAAGAANGDAKPGKKKPRWLKNIKLVASAAGLIQEKYKESNCGGGGCGRSSSSSSSSAEQAHQPGVTMSKSASTNAATMASSSSSLERPKVHSFGKTARELTGMYFRQEVRAHEGSIWSIKFSPDGRFLASGGEDRVVHVWRVVDDGAPPSSMSPELLSSSQSLPPLAPHGDGGLAAQLSRKLRARRWKSCKDVLPEHVVVPDTAFALADEPVCSLEGHLDDVLDLAWSMYSLLLLSSSMDKTVRLWDTEAKACLKLFPHNDYVTCVQFNPVDDGYFISGSLDSKVRIWSVAERQVVDWSDLDDMVTAACYTPDGQAAIVGSHKGSCRFYKTADCKLNQEAQIDMNISKKRKSHAKKITGFQFAPGNPSEILVTTADSQIRVFNGITVLQKFKGFKNTSSQISASYSGDGRYVVCASEDSNVYVWRRATSPGGAAGGGVAVKAKTWRTSRAYECFFCKDVSAAVPWPLSPCLPPTRGGGGDDEERASSSVRGAVVGGDASASRSPVRHLGSLPLRPKSGPMTYSGEKQLGVPREPSSRWHGGAEGGNAWGMVVVTASLAGEIRVYQNFGMPLSLFRKT >OGLUM11G05230.1 pep chromosome:ALNU02000000:11:4230968:4232302:-1 gene:OGLUM11G05230 transcript:OGLUM11G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPTPSSSSFLDFTGGVDGDDDDPSCPFEGLCCPDDPLDQVLNFDSSDFGHVFFESLDVELFLPRGGPSRGAGEEDSKGAVERVAFGSSAAVESELGGVGGGGAGSEVSVPGGAGGGRGKDMETEALDVKPVVGVGAGGAMGAHVAGGAMGAHVAGGVGAPGAFPESKQLVPWPCAVGAGASAPGAAPDNRLLALPDVRFDALTAEGAAPGGERGKTIPDSVSKNGLPTLPGVRSATPTAPPATPFRLEWDHAAAPSSSATTTPSDSSLSSPPSLTSAFPRIARVFPSRTKPRRRRTLRRQHWSLICPLHLVPVAAAAAAADDDAAARGKSISELNASASAAADAPSINDGGGGSYNRRVVGRQRNRQVRKDRRCSHCGTSETPQWRMGPDGPGTLCNACGIRSKMDRLLPEYRPSTSPSFNGDEHSNRHRKVLKLREKKMRD >OGLUM11G05240.1 pep chromosome:ALNU02000000:11:4236283:4238166:-1 gene:OGLUM11G05240 transcript:OGLUM11G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQVVGPPPVPPPSAPPLPPERQLSGEFVDVELGNVSSTVVDVDPLRQLVTPSAPPLPPETMNPPPRRQLTGEFVDVELGNAGLSTVQRGSGRRRGAPTTKMGCAACGVREYNKVTIGAETSQRVGNKQIIRFILLLTENILS >OGLUM11G05250.1 pep chromosome:ALNU02000000:11:4238634:4239164:1 gene:OGLUM11G05250 transcript:OGLUM11G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLYAEYGDMAEARGLLRGGLMQRDADYGSIYRGWIAMEADHAGNVDFARALFGEWRALCGDQDGGFWCRYIAFEARDVAEAAVAACPREPAVHAKCARLELLLGHEDRASAVLARALAAFDSDAAAQEWLVDQVRVYRDALRRRTLAGRLLSCCRAVMPSRRPHGGYEKLHSV >OGLUM11G05260.1 pep chromosome:ALNU02000000:11:4247178:4256763:1 gene:OGLUM11G05260 transcript:OGLUM11G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGPRPSEGASSVIEEDGRSSFHLTLNPKRPLIAEGHQMAASNTTKKGGGGGGGGPAIGIDLGTTYSCVAVRRRYRSEAITNDQGNRITPSCVAFTAADRFVGDAAAQPHQHHLRDKSVQEDIKLWPFKVIAGRDDRPMIVVRHEGKEKQFEPEEISAMVLSKLRDAAVAYLGEPVTDAVITVPVYFNAQREATLDAAAIAGLNVMRIINEPSAAAIAYGLDKMPPPPPPPPPPLASGGGAAGRMVLIFDHGGGTLDVSLLNIGRPGNNNNSDNGSFEFEVKAVAGDTHLGGADFDNAMVKHCINEFIRKNGVAAEGIWSNQKAIRRLRTACERAKRMLSFTTHASIEVDSLHDGIDFCGKMSRSRFEELNKELFVKCVKAVKKCLEDAKMDKNAVDDVVLVGGSSRIPKLQSMIHDFFDEKKLRRNVSPDEAVAYGAAIQASVLNGDADEADDKKQVMILRDITPLSLGIGVNNDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGASIDVFEGESASTLDNNLLGKFVLSGILPAPRGVPQIDVTFDFDASGVLHVSAEDMGTGRRNFITITNHSGRLKKEEVERMAREARSYNRKRKRPRSSLQMNSGNLLISHRRLWRPHSTPTRVRRQRSGPQSSASSSVSTSTGSTHAILGLELGLRPRRVVRPRRSSIPTLPVLNLKASSSSAKRQRRHGQLVSIATVFQMAATSGNGKQGGGGGPAVGIDLGTTYSCVAVWRHDRSEVIANDQGNRLTPSCVAFADYDDDERFVGDAAVNQAALNPSNTIFEVKRLIGRRFSDESVQQDIKLWPFKVVAGREDKPMIMVRHEGKEKQFMPEEISSMVLAKMRETAEVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPAAAALAYGLEQMPVSNKGRMVLVFDLGGGTFDVSLLNIDPGVNPDMGLFEVKATAGDTHLGGADFDNELVNYSLREFKRKHGSMDIESNQKALRRLRTACKRAKRMLSSMAQTTIEVDSLHQGIDFRVIITRSRFEELNKDLFGKCMVAMENCLRDAKVDKGSVDDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVACGAAIQASVLCGGTDDKRLVDMLLRDVTPLSLGIEAEDDSMSCIMSVVIPRNTAIPTKKVAEGFTTRYDNQISVTCKVYEGESASIKDNNLLGEFDLCGILQAPRGVPRLDVTFDIDANGVLNVSAEDKDTGQKNSITISNRSGRLNKEEIERMALEAERYKMNRIKQLQIEPVQGN >OGLUM11G05270.1 pep chromosome:ALNU02000000:11:4258122:4258671:1 gene:OGLUM11G05270 transcript:OGLUM11G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPTWKGRSSTEKKSGAASKDKLTPIAAMAHTTPLRGYGELPLHDAKVDKNSVHDVILVGDSTRIPKVQKTLSEFFDGKELCRSASTPMISHRVWRRYPCVHYKWLKAVGGYASARRHRCNVRTRPEKYYFLHAHKLHILLLSSRPRIRY >OGLUM11G05280.1 pep chromosome:ALNU02000000:11:4278547:4281400:-1 gene:OGLUM11G05280 transcript:OGLUM11G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSGNGKQGGGGGPAVGIDLGTTYSCVAVWRHDRGEVIANDQGNRLTPSCVAFAADDDESFVGDAAFNQAALNPTNTIFEVKRLIGRRFSDDSVQKDIKLWPFKVVAGQEDRPMIVVRHEGKERQFMPEEISSMVLAKMRETAEVYLGKTVKNAVITVPVYFNNAQRQATIDAGAIAGLNVMRIINEPAAAALAYGLEKMPVSNKGRMVLVFDLGGGTFDISLLNIDPGVDIDMGLFEVKATAGDTYLGGADFDNELVKYSLREFIRKHGSMDIKSNHKALRRLRTACERAKRMLSSTTQTTIEVDSLHQGIDFRVTLTRSRFEELNKDLFSKCMVAMENCLRDAKVDKNSVHDVVLVGGSTRIPKVQKMLSEFFDGKELCRSINPDEAVAYGAAIQASILSGGTDDKRLVDMLLREVTPLSLGIESSDDFTRHKMSLVIPRNTAIPTKKVEGFTTFYDNQIDVSFPVYEGESASTKDSNLLGEFTLYGIPPAPKQVPSIDVTFEIDANGVLHVSAEHMDTGRKNSITIANRSGRLNKEEIKRMALEAERHKIKRIKQTKA >OGLUM11G05290.1 pep chromosome:ALNU02000000:11:4293797:4298098:-1 gene:OGLUM11G05290 transcript:OGLUM11G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNTTKSPAIGIDLGTTYSCVAVWRHDRSEAITNDQGNRITPSCVAFTAADRFVGDAAENQAALNPTNTIFEAKRLIGRRFSDKSVQEDIKLWPFKVIAGPDDRPTIVVQHEGKEMQFVPDEISAMVLSKLRDAAVAYLGEPITDAVITVPVYFNNAQREATLDAAAIASLNVMRIINEPSAAAIAYGLDKMPPPPPPASGGGAAGRTVLIFDLGGGTLDVSLLNIGRPGNNSNSGDNGSSFEFEVKAVAGDTHLGGADFDNAMVKHCINEFIRKNGVADEGIWSNQKAIRRLRTACERAKRLLSFTAQTSIEVDSLHDGVDFCAKMSRSRFEELNKELFGKCVKAVVKCLEDAKMDKGDVHDVVLVGGSSRIPKLQSMLHDFFQEKKLRHSVNPDEAVAYGAAIQASILNGDSDDKKRVMILRDITPLSLGIRVNSDHTMSVVIPRNTFIPTKNVRRYTTQFDNQTGVSINVASTLDNNLLGKFVLSGILPAPRGVPQIDVTFEFDTNGVLHVSAEDMGTGRKNSITITNHSGRLKKEDVERMSREARSYNRKRKRTRSSLQMNSGNLQQRGTTGMENKTVKNIAGR >OGLUM11G05300.1 pep chromosome:ALNU02000000:11:4306453:4309625:1 gene:OGLUM11G05300 transcript:OGLUM11G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQRRPATYAAARPPPPPVPTSHATTIVVDPDSARCSPLLTGDDLLSRMIRLKKLKAVQVSLPHLSLLLLHLLYHWLLIVEERKNYGKSKAGDANSDEEGDTSGEDESNDDEV >OGLUM11G05310.1 pep chromosome:ALNU02000000:11:4336534:4341921:-1 gene:OGLUM11G05310 transcript:OGLUM11G05310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKVDPRTSDASNSKGNCQLGSQKSAERNGIPYLERKKKGCSSFNSLARFMRHKIVKYLLACMPRPSTFFLDVSEQVYIGLVWSVQMCRSIDNRSTGLEFVSRGFIPTALTWEEEEANTLGQAGAGRKRLTGPRQKGGKEIGFPLQLMHLKEDSSARSFRQDLRTVIHVQHTLGQLEAQWSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKTRRDLVENDRVLLNPAHSAQQEYERYVEILLSLFLS >OGLUM11G05310.2 pep chromosome:ALNU02000000:11:4338449:4341921:-1 gene:OGLUM11G05310 transcript:OGLUM11G05310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKVDPRTSDASNSKGNCQLGSQKSAERNGIPYLERKKKGCSSFNSLARFMRHKIVKYLLACMPRPSTFFLDVSEQVYIGLVWSVQMCRSIDNRSTGLEFVSRGFIPTALTWEEEEANTLGQAGAGRKRLTGPRQKGGKEIGFPLQLMHLKEAYYLRVKIPRIVTWNSCFAFDHSNVLIEPAVHDIFARIPGYGFVHTFYIQDAMFCARIPQLEVSDKT >OGLUM11G05310.3 pep chromosome:ALNU02000000:11:4336534:4341921:-1 gene:OGLUM11G05310 transcript:OGLUM11G05310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKGHLMPAILKAIASLDHKNLLKGTKIVKYLLACMPRPSTFFLDVSEQVYIGLVWSVQMCRSIDNRSTGLEFVSRGFIPTALTWEEEEANTLGQAGAGRKRLTGPRQKGGKEIGFPLQLMHLKETRRDLVENDRVLLNPAHSAQQEYERYVEILLSLFLS >OGLUM11G05310.4 pep chromosome:ALNU02000000:11:4336534:4341921:-1 gene:OGLUM11G05310 transcript:OGLUM11G05310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPHLLRKVDPRTSDASNSKGNCQLGSQKSAERNGIPYLERKKKGCSSFNSLARFMRHKIVKYLLACMPRPSTFFLDVSEQVYIGLVWSGPSKCPMFAVYSNLPALITRRDLVENDRVLLNPAHSAQQEYERYVEILLSLFLS >OGLUM11G05310.5 pep chromosome:ALNU02000000:11:4336534:4338390:-1 gene:OGLUM11G05310 transcript:OGLUM11G05310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIQMSHVCCLLQSARTHCHLDLSSFLISARLELKTRRDLVENDRVLLNPAHSAQQEYERYVEILLSLFLS >OGLUM11G05320.1 pep chromosome:ALNU02000000:11:4347010:4372147:1 gene:OGLUM11G05320 transcript:OGLUM11G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG87] MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFDRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGGAVWSSLKRAVSRVCNLQAHDGHWPGDYAGLMFLLPGLNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPTGGDGCIENGRNWILDHGGATFTSSWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPVTPVVLELRSELYNDPYDEIDWNKARTQCAKFIEPVLLRWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKALNTLACWVEDPSSEAFKCHIARVYDYLWIAEDGMKMQTYDGSQVWDAGLTVEALVATDLVNELGPTLKRAHSFLKNSQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPYVECTSSSIQCLALFRKLHPGHRKEEVENCISKGANFIESSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLANSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQYRNIFPIMALTGYRRRQYSLLF >OGLUM11G05320.2 pep chromosome:ALNU02000000:11:4347010:4364856:1 gene:OGLUM11G05320 transcript:OGLUM11G05320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG87] MWRLKVSEGGSPWLRSVNNLLGRQVWEFDPDLGTPEERADVEKARREFADHRFDRKHSSDLLMRMQFAKENCQRLDLLAVKRGEHEDVMGGAVWSSLKRAVSRVCNLQAHDGHWPGDYAGLMFLLPGLNEDGGWGLHIEGHSTMLGSSLNYVALRLLGEGPTGGDGCIENGRNWILDHGGATFTSSWGKFWLSVLGVFDWSGNNPVPPELLLLPYQLPFHPGRMSCYIRMVYIPMSYVYGKRFVGPVTPVVLELRSELYNDPYDEIDWNKARTQCAKFIEPVLLRWPGRKLREKALATSMRNVHYEDECTRYICLGGVPKALNTLACWVEDPSSEAFKCHIARVYDYLWIAEDGMKMQTYDGSQVWDAGLTVEALVATDLVNELGPTLKRAHSFLKNSQLLDNCPRDFNRWYRHISKGGWTFTTADDGWQVSDCTATALKLDRRCLPRLAHGRGLRPARIPRYPHARRPA >OGLUM11G05320.3 pep chromosome:ALNU02000000:11:4364891:4372287:1 gene:OGLUM11G05320 transcript:OGLUM11G05320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG87] MALSSCTVAGITHIRRTAAIHHPCKEETEDKDRQDRKQGRRIGGRKRKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPYVECTSSSIQCLALFRKLHPGHRKEEVENCISKGANFIESSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLANSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQYRNIFPIMALTGYRRRVLLAGNR >OGLUM11G05320.4 pep chromosome:ALNU02000000:11:4364891:4372565:1 gene:OGLUM11G05320 transcript:OGLUM11G05320.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BG87] MALSSCTVAGITHIRRTAAIHHPCKEETEDKDRQDRKQGRRIGGRKRKACLLLSRISPEIVGEPLEIDAQYNAINCLMSFMNDNGGFSAFELVRSNTWLEHINPTEAFGRAMIEYPYVECTSSSIQCLALFRKLHPGHRKEEVENCISKGANFIESSQRSDGSWYGSWGVCFTYATWFAVTGLVAAGRTLANSATVRKACDFLLSKQLPSGGWGESYLSSHDEVYTNLKGNRPHGTHTAWAMIALIDAGQAERDPVPLHRAAKALLNLQLEDGEFPQQEIVGVFLQTAMASYSQYRNIFPIMALTGYRRRVLLAGNR >OGLUM11G05330.1 pep chromosome:ALNU02000000:11:4389751:4390986:-1 gene:OGLUM11G05330 transcript:OGLUM11G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGGGGGARHGVVAAWASFFSSRIGLDCQLHTAVMLAICDPETGECPVRYDVQAMDLPVLEDKEAVSLGKIEVVNIPVEIGTAPSAKQ >OGLUM11G05340.1 pep chromosome:ALNU02000000:11:4391595:4394310:1 gene:OGLUM11G05340 transcript:OGLUM11G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDSLASSWLAHRSPTNLPRRDAWASRSRSGVNASSRGAGSASRRRAAVNTSSRGASILLGRPPPDQVSTMKDDNTKSFLNKVKDFWTEITTRESQVVKNNNADEIEKNNKKRSGLYRDLIVMFALGSVFWSGAKKALSQLKGWVLCVASVYINFALISMMVGAAAGTLPDVFRCHMGLSGNGVLQGLLFNIIAFNYELFTTLLPGSLVKLSQRVMYWVTGATSAIAVTVIWTLATEHPLVCTCSTTLSILV >OGLUM11G05350.1 pep chromosome:ALNU02000000:11:4409513:4410846:1 gene:OGLUM11G05350 transcript:OGLUM11G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKPFCKALKEFWLQICRKRSTTEYQENRRTEEESVANAYINFALLSMLIGVAAGTFPGHFKCPLALSGNIMESSRG >OGLUM11G05360.1 pep chromosome:ALNU02000000:11:4415694:4425324:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRNFKKTFLNMPFYEPGGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQQDSKSARQMEYNLEAIPRQRTWADLKDGEAAKPFGDGLQEKTSVMMTYAAVLSCFET >OGLUM11G05360.2 pep chromosome:ALNU02000000:11:4415694:4425324:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRNFKKTFLNMPFYEPGGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >OGLUM11G05360.3 pep chromosome:ALNU02000000:11:4415694:4425324:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQQDSKSARQMEYNLEAIPRQRTWADLKDGEAAKPFGDGLQEKTSVMMTYAAVLSCFET >OGLUM11G05360.4 pep chromosome:ALNU02000000:11:4415694:4425462:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVDERRELAKIIVQNGGQYSANLTRRNFKKTFLNMPFYEPGGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >OGLUM11G05360.5 pep chromosome:ALNU02000000:11:4415694:4425324:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >OGLUM11G05360.6 pep chromosome:ALNU02000000:11:4415694:4425462:1 gene:OGLUM11G05360 transcript:OGLUM11G05360.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription coactivators [Source:Projected from Arabidopsis thaliana (AT1G77320) TAIR;Acc:AT1G77320] MTPSHGAAAAAASSSAGAGRRAATFAGASVFLSRSLVAPEVYDAVHDALRLNGAEVFLCADPARTGPLDFHVISSSSHERFADLRAKGCNLLGPQCILSCAKEHRFLPKQGYTCCLAMDGVKILCSGFEKEEKAKIEQLVTAMGGTLQNKAYTDANFVIAKDVLAAKYKWAVNTLKKPIVSRNWLEQCWIEHRVVPHEPYRIPPFSGLNICITKLNVGDKYVVAQKWGNIHIVVPKWIDQSVARKVCLDESAYLVCQNSTNINGVKHSLKEQHNPEISSASFQPVPTTSVDDSVSTSQYVPASFDDASKICSTDIGAPSFQETKELQVDSHVAEDSEAEDDDLYLSNCRISLVGFEEKESSRLVMMIRNGGGSRHVMLSERLTHIILGAPSEEEKKEVRRLAAWGVINVVKATWLEDCNKAKKEVKVSPSYVANELLAKEFSCVVMEKTVMRETKAAKNSGGIFHIPTVNDLHDKQLGNDLSSERKSARGKHETMNSNRTATKSAISSQQNGVASTSEYHPKFQVNSSAINRQSSRSSNTFKGRTFCFSNSFSHDRRAQVVDWVREGGGIMVDDAQSTVVDFIIESHGQNSMLRDSSHSTAVSTHWIRSCLEEGCFQDVGSHPIFSPLCCRIPFPGFEDFRFCVSQYEEKDRLLLKNLCFILGSKFTEKATKKVTHLICKFASGPKYEAYYSRGIPTITAEWLFECVRQDRIVPFDQFQPKPPTSQDRDAGLCTVSQYPTQATKTISRFDCSESHTESQLPRSSSKYNSGNASVNEEPNDPGVSKRRRLSEFGKANDTSGNIGRTEELQDSTPVPDVADAIEDLLVQSSKIFAPDDSVLNQDQENTHSFGISRHWLNMPQKLHSTPDTKVQSGNSATTSAAPPAAATAYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPAAEMSSDT >OGLUM11G05370.1 pep chromosome:ALNU02000000:11:4426596:4432878:1 gene:OGLUM11G05370 transcript:OGLUM11G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD kinase 2 [Source:Projected from Arabidopsis thaliana (AT1G21640) TAIR;Acc:AT1G21640] MSALCDPETGECPVRYDVQTEDLPVLEDKVAAVLGCMLALLNRGRKEVLSGRSGVASAFQGSEDSTMDRIPPLALFRGDLKRCCESMQVALASYLVPSEARGLDIWRKLQRLKNACYDAGFPRADGHPCPTLFANWFPVYFSTVPDDSLSDELEVAFWRGGQVSEEGLEWLLSKGFKTIVDLREEDVKDDLYLSAIQEAVSLGKIEVVNLPVEIGTAPSAEQVQRFAEIVSDSAKKPIYLHSQEGISRTSAMVSRWKQYVTRAERLATQNRSLNGNGKHVRNDQTEQLTNSPGFSSEGSENDTPLESDRTMEGETCDIDIETARHNLEITNSLPSEQSTEQGELHGTRTELQSNFRLESNPLKAQYPSCDVFSKKGMTDFFRSKKVYPKSVLNPRRRLNSLLVSRRKQSLSAEQNGAIDYEAAEFKVLKSSNGASFDNDYILSVASGITNGKPSNNGASRSVEDREMETSVVTVDPRTSDTSNSNGNAPLGSQKSAERNGALYVEREKSDHVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEEAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVQTFYTQDTSDLHERVDFVACLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHNFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHNHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGDSVQISMSQHPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >OGLUM11G05380.1 pep chromosome:ALNU02000000:11:4435564:4438553:1 gene:OGLUM11G05380 transcript:OGLUM11G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVMGGWVAAQEAGDAPASIVGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANQTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASASADVNKKYRDLDGLLVGFLKQPPICMSACPIRSCDMEEVSIADKFHQAWKMLAKVLVRCTG >OGLUM11G05390.1 pep chromosome:ALNU02000000:11:4449479:4462072:-1 gene:OGLUM11G05390 transcript:OGLUM11G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGA2] MQNGTCPESVFFHLLVSDPALGDLVRAVFPQLQFKVYYFDTGVMVVDLGRWRRTGYTRRIERWMEIQKSPAGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLNGDSVFGRCRDLHPGPMSLLHCFSFSFSFTLAIDPPIHLTKKG >OGLUM11G05400.1 pep chromosome:ALNU02000000:11:4479468:4480967:-1 gene:OGLUM11G05400 transcript:OGLUM11G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDXGTHHLCLLRFRKPFCCSVFVFLLFLSHFCVGQISVPPKQMAPQPTCQTCVCNYLGSKEDPALSENPNQLAEQVTNQVAKTDNTAPGPINEVATRNPHLSMDIYQILANQTQILQEIAQNLASIQQQLLLPQPKVNPDKSKDKAAEIQGTQSVEGTDTNKEKNIEFLNVMITGMKTPPRAHDCPNFQKSIDKSLLQENNTVKEGSGHKRKTSPSEVDMQDVPQCQTMQPCQDIPKYPTLKSKKIRHVPVRPTSPDDKGAKASGDVCQDIPEKKEMQEYKKRKVSSVEIQEKESSRQRKNPPVQYYEEQSQYSNSSDSLSRSTVSEPSQQITKIDSNSHEGSNPISCPPPSARRGKKTGVECYICHEMGHYSWQCRRNTHAPMVQSDVTTRTSIQGNEKAPNNGSASLTSPPVGQSRLNQVQVETIGEVQVEKAGEEQVSHERADPQ >OGLUM11G05410.1 pep chromosome:ALNU02000000:11:4480182:4485207:1 gene:OGLUM11G05410 transcript:OGLUM11G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVANEAYETGNMSDLLRFEGGNRYTTVSSSFCSRHPTSSANSSVLTFCSAVNRGRGARRAGGGGGGGGGGVAAGGGGELLAVAVVGNALAVVDDDDGDHREELRGLLRLFLIWVTCIIYTVIFSQSSTFFTKQAATLDRRIGESFKVPPAALQTFIRVTNIAFIPVYDRAFVPVARRFTRTSSGITMPQRINKNPSPPSSRKSAAGVLLRHGRRLVMRVKEEGEREERGGMWGPHTVGF >OGLUM11G05420.1 pep chromosome:ALNU02000000:11:4488266:4497695:1 gene:OGLUM11G05420 transcript:OGLUM11G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGA5] MAEKRMMLLLMIIVGSALSSSSIHGDDATVVSLSHTDRWIRRRLQLIHGMVDGKAVVETVVVCKDGSGNFTTITQALGAAPPRGKFGIFVKAGVYEETVNITRADVVLWGEGIGKTVITGSRSCPIENNKTKTDMMPWTATVTVQGHGFIAQDVTIENKAGPTGTPAVALRCDSNRSLIHRCRIDGYQDTLWAQNNQQVYLRCDIAGTIDFVYGNAKAIFQYCRLLVRNPGNGKHNAITAQGRNSEESGFVFQGCNITAMEGESLAGVDTYLGRPWKNHSRVVFMGCFMSDIINPDGWVHWNKATPVEETTRTVEYLAYGNKGAGAETADRVKWKGVRVITEAEANRFTVDHFINGNQWVPNLVNGEQINYTHGAAARRRRPSARSGGRKGFRAAPPPNEPVTMMLSLPPARVELVHKPDNRAKHFRRRTSPATRHASCCLFCARAWIYRSGHDRTPAVALRCDSNRSLIHRCRIDGYQDTLWAQNNQQVYLRCDIAGTIDFVYGNAKAIFQYCRLLVRNPGNGKHNAITAQGRNSEESGFVFQGCNITAMEGESLAGVDTYLGRPWKNHSRVVFMGCFMSDIINPDGWVHWNKATPVEETTRTVEYLAYGNKGAGAETADRVKWKGVRVITEAEANRFTVDHFINGNQWVPNLVNGEQINYTHGLI >OGLUM11G05430.1 pep chromosome:ALNU02000000:11:4497938:4538347:-1 gene:OGLUM11G05430 transcript:OGLUM11G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACPIRSCDMEEEPQMPEMVTLLTRDGKEKDGRMKEDGNDMWVPRADEEMDGKCDENFFSLHASLASNQESFTSN >OGLUM11G05440.1 pep chromosome:ALNU02000000:11:4511068:4511496:1 gene:OGLUM11G05440 transcript:OGLUM11G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATTTAANPANIATFFEQWKGDEAITTKTPPGKCLLSCNKTIGEVDAILTCGHTYMEDRPPIIHQNLTVLFHGGHPPSLCKSGCLDGSSSEGEALLATKFNYIWSLLDLMEAVLPEYLSETGTATTTVPSPDVAAAAAPAP >OGLUM11G05450.1 pep chromosome:ALNU02000000:11:4526481:4527284:1 gene:OGLUM11G05450 transcript:OGLUM11G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLTASTTRGGLRQCGQDSVTDHEQTSNDEPTRIHDNGGVIPLLLFLLVAAAIGGATGSYDPKAFCSKTTDVASCLRVYPTLPDDVAKSQDNQVFYTQMELYCGFKIYEATTTAADPKISAPFFPQWKRDEAITTKTPPGKCLLSCNKTIGEVDDILTCGNTYMEDRPPIIHHNLTVLFHGGHPPPLCKSGCPDGSSSEGETLLATKFKYIWSLLDLMEAVLPEYLSKTASSAKRT >OGLUM11G05460.1 pep chromosome:ALNU02000000:11:4538399:4538785:-1 gene:OGLUM11G05460 transcript:OGLUM11G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGLLVLVGLQVVGGWVAAQEAGDAPASIVGPCSRTGDKKACVELLSGIPEARKATTVGPLAELYLRAIANQTTEAKAMATKLLATMKGKGVPPVCLQQCTASVDTLSNALAAFFSASADADVNKK >OGLUM11G05470.1 pep chromosome:ALNU02000000:11:4544951:4547225:1 gene:OGLUM11G05470 transcript:OGLUM11G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETTAGN >OGLUM11G05480.1 pep chromosome:ALNU02000000:11:4548314:4551797:-1 gene:OGLUM11G05480 transcript:OGLUM11G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVPDGARGGGGRGGGGFLPAAASPAVGRGRRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAAAAAAAAGGAVGLEERSDAAEDSLVSVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASYGAAHLILGVAKNSLSFSRSSSISVAKYCAKRVPTGCSVLAVNNGKILFHKDAVQQEPYHSASTMTETPRRSYRKLLTSVIGEKLRDECEQDNRSIFRAVTMPPSSPAPTREVSLALVPMKVHRRESPEVATGWPFLRKKFLPDRKPASHDRSKMSVVQWAMRLPSRYSSASPVRSEYRTTTPDGITSASRILRDRVAVPSRSNSGKSSVVIEELDNSSDKEIPEELIALREKFPSVYSTFSHSELAKITSDFSPECIVGQGGTSQVYKGCLENGKELAVKILKYSDEVLKEFVSEIEIVSSLSHKNIISLAGFCFKDTDLLLVYEYLQRGSLEEILHGEKGCDNLFGWTERFNVALGVAHALNYLHGNGNNRPVIHRDVKSSNILISEDFEPKLSDFGLALWDTDATSQITCNDVAGTFGYLAPEYFMHGKVNDKIDVYAFGVVLLELISGKKPLCTGCPKGQESLVMWANSIIQGGKLTQLVDPNLPTEDHANKVERMTLAASLCIRPTPQRRPHIAVVLKLLNGDNGILKWARSEVELSYESDGDEPVVTPPENNRNIQSYINLAFDVDDDSASVSSNDFIAANTSLEEYLRGRWSRSSSFD >OGLUM11G05490.1 pep chromosome:ALNU02000000:11:4595832:4605855:1 gene:OGLUM11G05490 transcript:OGLUM11G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVADLLMEGVHYIVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINEIIMWNLSFKVVMPILQYCIVPINGISIIILTLFELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQNRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >OGLUM11G05490.2 pep chromosome:ALNU02000000:11:4595832:4605855:1 gene:OGLUM11G05490 transcript:OGLUM11G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVADLLMEGVHYIVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQNRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >OGLUM11G05490.3 pep chromosome:ALNU02000000:11:4595832:4605855:1 gene:OGLUM11G05490 transcript:OGLUM11G05490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVADLLMEGVHYIVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQNRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >OGLUM11G05490.4 pep chromosome:ALNU02000000:11:4595832:4605855:1 gene:OGLUM11G05490 transcript:OGLUM11G05490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGAAAASLSSSAPSILLVSAVTPPPRLLPTSSILSPVYSARPKRPPTLSCNAAAATVAAAAAGKPGSWRDLCSLNAWVVRDYRRLVDAVGALEPRLRGLTDERLRAKTDEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTSEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQAGMKADERRANYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPTPFHFAIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVADLLMEGVHYIVELKSNNIDLTEDGVTCAEMILETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRDGKALIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQEKEFLKMFKTPVIEVPTNLPNIRVDLPIQAFATARGKWQYVRAEVESMFHLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIEDNVLPFLTHEPPDVETVGESTSHKGLSKIKLGPSSLGLLAKAAIIAKYVQRSERNEWPFQKAKSTIAESVEMSHTIGMEKLQNRLAEESEMYPLCDTIGLAYLTVLRDCEIHCSTEGAEVKALGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFRKFNLDTEWAVRLISRITNDEDIAIESNAVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADDIILGNADPQKPPNTWKLANLLDEFGSLGGTLLDEPFKEIQEEDLLSSLEQIHEYGPVNVDNFTLPNMPVSPNSFRGIWKRTSSMMRWLAICVDDASKKGRYTYIVNMLRKYFGDFLIATYLDAVQESRYDDAYIRGIEREILLKTLDTLWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVESLLHYWSSPMESEEIFNTGDK >OGLUM11G05500.1 pep chromosome:ALNU02000000:11:4613436:4618303:1 gene:OGLUM11G05500 transcript:OGLUM11G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G52430) TAIR;Acc:AT3G52430] MEDASRGEEENSMFETSHVLGALLASSPLLARAWDRCAAAADGGASSLGFVHGGGGGGEGEPVCVAFSGVQAALSAAAGGGGGAEIFKPVGLRGDAAGRLFAPLVAAEPEDAGGEPVAVQALALQGFLRLCGSPEFQVLLNQIRGKAVVFTGHSLGGAIAALVALHYLCTSSSSSAFAPAPPVLCVTFGSPLLGNQALSRAILRERWAGNFCHVVSQHDVVPRLLFCPLNVIPVHIVVGMQLHQLPVRARRAAGVVATVTARMADTNQESLRQLIQEHAGEAAIEQKLAAPEIPSGSPYRPFGAYVLCSPDGAACVDNPTAAVQMLYATFAARRAPETGAVPPEAAHSCYGDLVLSMPHHLLLKRRLGATVTAPAASNYDVGISIALEASGITGEATEAAPARQWLKTSKRVGRSPSLNCASLATRLGRITPCRAQIEWYKALFDANTGYYDAFKQRLSPKKFSKANMYRIKLAQFWDGVLSMLDTSQLPYDFHRRAKWVNAAHFYQLLVEPLDIADYHRNNLHRTRGSYITHGRERRYELFDKWWKQKGCTDPSTGDTSATTTARRSKFAGLTQDPCFWARVEEAREQTESAKSERDMTLLARMLEDLHKFERHSSELVESKEVSIDVVAPQSSYSLWVKEWNELKLREEVRTILFQF >OGLUM11G05510.1 pep chromosome:ALNU02000000:11:4633921:4635533:1 gene:OGLUM11G05510 transcript:OGLUM11G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSASAAAEAGMMVGHGEWRDDDGRARRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRSGDPCTGKRNYTYMDAVRANLGGAKVRLCGVIQYANLFGVAIGYTIAASISMLAIKRADCFHEKGHKNPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAIMSFTYSTIGLSLGISQTVANGGFMGSLTGISVGAGVTSMQKNRALLCVMSCQDTIKAPPPSEAKVMKRATMVSVATTTVFYMLCGCMGYAAFGDRSPDNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVFVQPIFAFVERWAAARWPDGGFISRELRVGPFSLSVFRLTWRTAFVCATTVVSMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIAQRGVRRGSARWLCLKVLSAACLVVSVAAAAGSIADVVDALKVYRPFSG >OGLUM11G05520.1 pep chromosome:ALNU02000000:11:4640792:4641106:-1 gene:OGLUM11G05520 transcript:OGLUM11G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPMQEGEERPGGSACVWMVTALLLLSVLAGGGCLAGYVVLPPHEAPHWLPAVGLALVALPWAFWVATCSYRCVRRRAADRQAMGSAAVAPAASSSMRSRADS >OGLUM11G05530.1 pep chromosome:ALNU02000000:11:4642178:4643068:-1 gene:OGLUM11G05530 transcript:OGLUM11G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRVEVDTARPFRSVREAVAVFGERILVGDGHSRRPSNGNGNATAAVVDIAIAKHEASSGSDATVSSPDAMEAEPEVEEDAAPAVVPMMYSAPSSPQSSPPPPNDGADADDERDGGVVDEGVTAAMMRSVKRLEAEVAETRQEVAQLKKRGSEMEMAVASLNAQLHRGLSKLAEMEAGDTAAAAAAAARRSIGGETDVSSAVATFRSERWGGVVGDRAAVSRATSYEYLPSFSHALSLGEVDDGELVGRRRKARKVKPIVPLIGDIIFSKRKSTKDKGDDGFYGNNGDLYSVLG >OGLUM11G05540.1 pep chromosome:ALNU02000000:11:4646132:4647547:1 gene:OGLUM11G05540 transcript:OGLUM11G05540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRRSARLAAQRTTTTTMETPLAAAPPRKVRNPRREFHHSENQAMATKYVALHQVVLVDAGPGTGKSSQIPRLLHAAGHGRVVCSQTYRLAAVLAATRAAADMRAELGRQVGYSVPLDDRSSDADTVVKYITYGALLRELAAEPLLTCYGAVVVDDAHDGMTLTGVVLSCVKATAARRLDLRVVVCLNHYSTLCKGVAHGFFSGSGMDVKELWFRTYSGLIDQHYLPEPVTDYLGAAVDAVCRIHSTEPPGDLLVFLPGCTDVEAAEHALNGQEEKGFPYAWNPANKMADVNALAGVILTLKALGIIGAGGDDVVASFDFFEPPHPESIHWTVRTLKAAGALSQDGEATETGRRIAREISGRYY >OGLUM11G05550.1 pep chromosome:ALNU02000000:11:4652563:4661196:-1 gene:OGLUM11G05550 transcript:OGLUM11G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWNAGLSGEADASGSTALHFAASADGPKIDIENSSLLRWLLLPCPFQCRRTPTELLLETDPSLACQPDGDGDYPIHVAASAGNLRLVALLLDKCPECAGLRDARGRTFLHVAVDRRRQEVVGFASDVDGRGFPAAAILNARDDDGNTALHLAVVAGVLRVFWCLFRNRKNARTWILWCLTIANALSGGNIRRDHFLQRHVPKLDESAESKKMTESAQVLGVGSVLVATVAFAVAFSPPGGYVAAGAPALAGRYDFDAFMYAVALAFTCSMLATLSLMYAGTAAVEMNIRHRYFKNSVWWMRLSMRSLLVAFALGVYLVLAPVSRATAAGVCALAAGTMLFRNRELVLMLSCAHVVRRRMGIRVVFRIGAPIGIDLLISNIVYLIIFGAPFCTPLCVLVLFWRVAPTEE >OGLUM11G05560.1 pep chromosome:ALNU02000000:11:4661680:4665470:-1 gene:OGLUM11G05560 transcript:OGLUM11G05560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLALPPAGHTRHTGCNARQDPVSMDRRLLRAARGGHREWLEAVLLGAAPAPNHVAAAGEPPPPTAAALLLDVATTPQGDSALHVVAASGDSEAFLSCARTIYRSAMALLDRANARGDTPLHCAARAGNAAMVRCLLDMAREEDEERGGARFRVADVLEKQNGRRETALHDAVRLGDERLVGHLMAVHPRLARLPGGDGMSPLYQAISLGHDRIAELLHQQGGDELSYSGPAGQTALHAAVLRGAGMKTFSPHDQFRSYERPTRIHRQIHSDEARSKKQKHIDKCTSWHKLKPRAIPDATKVPRFDAIAMEASLISPTT >OGLUM11G05570.1 pep chromosome:ALNU02000000:11:4667918:4671229:-1 gene:OGLUM11G05570 transcript:OGLUM11G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0A5R7] MAISKAWISLLLALAVVLSAPAARAEEAAAAEEGGDAAAEAVLTLDADGFDEAVAKHPFMVVEFYAPWCGHCKKLAPEYEKAAQELSKHDPPIVLAKVDANDEKNKPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDATNLIDDKKIYIVGIFSELSCTEYTNFIEVAEKLRSDYDFGHTLHANHLPRGDAAVERPLVRLFKPFDELVVDSKDFDVTALEKFIDASSTPKVVTFDKNPDNHPYLLKFFQSSAAKAMLFLNFSTGPFESFKSVYYGAAEEFKDKEIKFLIGDIEASQGAFQYFGLREDQVPLIIIQDGESKKFLKAHVEPDQIVSWLKEYFDGKLSPFRKSEPIPEVNDEPVKVVVADNVHDFVFKSGKNVLVEFYAPWCGHCKKLATILDEAATTLKSDKDVVIAKMDATANDVPSEFDVQGYPTLYFVTPSGKMVPYESGRTADEIVDFIKKNKETAGQAKEKAESAPAEPLKDEL >OGLUM11G05580.1 pep chromosome:ALNU02000000:11:4683479:4684294:-1 gene:OGLUM11G05580 transcript:OGLUM11G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNDDKSQAAAERIKAQALSAAKGLSRTQAERAAAAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKAVILGVKPKAAQTSSSGGAYTQCQKCFQPGHWTYECKNERVYISRPSRTQQLKNPKLKKTAAPVSYQFQNPDLEKEKEEERKLMKAKLKKEKSEKSKRKSKRKYRSRSDSDSSEASVFDSDSESSVTGSEYSSGSSSSYSSSDSEDKKRRPKRKQQKRHRRETSSSASSESDSESASASDSDSDDKGSRKKSRKRSARR >OGLUM11G05590.1 pep chromosome:ALNU02000000:11:4686403:4694238:-1 gene:OGLUM11G05590 transcript:OGLUM11G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSGWWSHQAVYHPPLQPFSFLLISSSKPSHRNSSNPTVRSHLSLSSPSQARPGPPDRRKEAALVLLGAESRGGGRSDSDLGFLVGKMATAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALRQQKAVSMLLLDDLCASKLNPEDLQLSTTGEYGKKPYRQDIHRTRAILSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANVNQGTAPPRPTGVSFSPLLNVHHEDDEPEDESARDGTATQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDFINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >OGLUM11G05590.2 pep chromosome:ALNU02000000:11:4686403:4694238:-1 gene:OGLUM11G05590 transcript:OGLUM11G05590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYSGWWSHQAVYHPPLQPFSFLLISSSKPSHRNSSNPTVRSHLSLSSPSQARPGPPDRRKEAALVLLGAESRGGGRSDSDLGFLVGKMATAVACAERATSDMLIGPDWAVNIELCDIINMDPGQAKDTLKLLKKRLGNKNSKVQILTLYVLETLSKNCGDVVYQQIIERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGASGRYPQYHAAYQELRNAGVDFPPREENTVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIQASLQSSAPSAPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIVDLVGQCRSYQGRVMDLVSNTGDESLLFQALGLNDELQRVLQRHDDIAKGVPPGSGPAPAAANVNQGTAPPRPTGVSFSPLLNVHHEDDEPEDESARDGTATQGNLPSAPKSERPYPSPLLPPPPSSKRPVFTEASSVDYLSGDSYKTEKVSDDFINPTAPANIPAPSHSKTETNPPPSYDSRSESVSDDFINPTAAPSFSMPSRPMSESNRPVVNRQESLPDDDFINPTAMPGFSSSSNANKYGDSGEDLPKAPWEAQAPGSLPPPPARYGQRQQYFEQQHGLPSGNNGAGYNGLVSQTEGLSLNQRNTENERGSSVPTASRQTKPEDSLFKDLVDFAKNKPSSPSKPANSRRTR >OGLUM11G05600.1 pep chromosome:ALNU02000000:11:4697115:4699881:-1 gene:OGLUM11G05600 transcript:OGLUM11G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPPSGQRRLEFSFQGSSASAVGGGGGEFERVRMRSPLGNPMAAREGEEESRWLQASRVGSPESGTPSPEFWGQQQQQQLQRLYPASAGSSPSRAQAIAGYRREMLDLVRGLPESCYELSLRDIVESPPPPPPPHPLPPPPPTPPPPTAEATITAAAAGAMGEEASKKQGKSTTAKTARKQRTIGRTRSRSMDRSVSLDTGLLIKLFLPLSVGGGGGKKKVSPKPPAAAATGGKKNKTKGKKKKKQEAQLQEEEWWSKGGKFSEAGTSSRTSSTNSTNSTSSGGHGSSSSSSIGNGHGGGNPKAQTTRSRSRKRIGCYGFFKKNKSKNGGAED >OGLUM11G05610.1 pep chromosome:ALNU02000000:11:4702228:4703248:1 gene:OGLUM11G05610 transcript:OGLUM11G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGANVRGSHKIRKTAADVEGGGDWTNALPDEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPVLRVFHPWYLNKHDVRNLNSFPALEALWIKCCHIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVAEPGAYMYSVN >OGLUM11G05620.1 pep chromosome:ALNU02000000:11:4703882:4709891:-1 gene:OGLUM11G05620 transcript:OGLUM11G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGC9] MATRRDGGRRREGLTAEENSGGDWLSTLPDEILHNVLSFLPAHEAVRTCLLSRRWRNLWRSAPVLRIRHRWVGVERFNKFVNNLLLLRDPVPLDELEFQTYTYWPTKMPRPCIYEVKYAEQWIRHALMCKARVEHCIVRSLGHEDMVEIEESSSSMGQLVRFENLERVENSHITSDQSKTLVAAIPDKSNSGAGMQPNSQSMATGGNSLPSPSCADDKKRRVCYYYDPGIAHIKFSDDHVMVPARVAMAHSLVGVYGMLGDMRRLRTRPATEAEIRRFHSPEYVDLLCDLTPESYANDAALRQKAEDHHGIGGEDDCPAFDRLWKYCRGYAGGSLAAARALVDGASDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFSRVIYVDIDAHHGDGVQNAFLDSNRVMTLSFHRYGKITPHKDFFPGSGAINEIGDGAGKHYSVNVPLDAGVRDDVYHTLFEPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVSFLRGFNLPLLLLGGGGYTINHVASTWCYETAVAVGKEGELPDDIEIPSHGYELMYKNQGNKLHYQTSTATAARKRSSSTEVTKGKVLEHLSQVERAPSVQFQERRGGDNAAGVELYYERPPSLEDDEPAQRLHRLCFPAVAKRIRLNA >OGLUM11G05630.1 pep chromosome:ALNU02000000:11:4710005:4718200:-1 gene:OGLUM11G05630 transcript:OGLUM11G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNREVPVENISHELGWSADYGKGPEQNWCVLEKANNSIEIMPDNPPIKKQSLYLAESGAPCQVLLEHTLCKDGGGPPEVAPAAGEHARPHGLVRWQEGEDIVEDLIREGAKPVLAAVLLGRESLASAAAVAPRSHSVEQVASAPPAPEQRETRSPPLRPPPLLVGDEHRGGVAGHRWSMERMERQQTRAPEASDFCFLRRAPGAQRFPKLRESGPPCKGWPIGAAHSTTIRSIDSAAAGDAATNPTRRRRRRQRRPESESSGD >OGLUM11G05640.1 pep chromosome:ALNU02000000:11:4714145:4716520:1 gene:OGLUM11G05640 transcript:OGLUM11G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRDGSSRRKGLTAEEDGGEDWLSTLPDEILHNVLSFLPAHEAVWTCVLSRRWRNLWRSAPVLRIRYAERWDGMAKFDKFVNNLLLLRDPVPLDELEFQTVLGICQLHPLQPSFRLLKYANTWIRHALMCNVRVLRVLVQYQYDLPLLKVNMPLISEHLKTLELRRVLLDKRTLDFSSCPSLEDLEMNSCGNSTANKILSQSLKRLCITNGQFADDLSSLPALQDLEMESCGICTEKVVCQSLKHLCLTQCHFEEPTHISAPGLISLQLNDIWGWTPSLETMPLLVTASVKLWKGSMGCCSECTFHPGTCADCDGDPDSSFKCEFLRDLSNAVNLELAAEDGMCMFKQDLTWCPRFSKVKTLLLDGWVVGHDFYAVVCFLQHTPILEKFTLQLCEGHERMVEIDESSRSVGRMVQFEHLQTVEVRCLRNDEWVHKILKILNTYGITPDKITIQIQMSCGDYI >OGLUM11G05650.1 pep chromosome:ALNU02000000:11:4718377:4719747:1 gene:OGLUM11G05650 transcript:OGLUM11G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGD2] MATGGNSLPSPSCADDKKRRVCYYYDPGIAHIKFSDDHVMVPARVAMAHSLVGVYGMLGDMRRLRTRPATEAEIRRFHSPEYVDLLCDLTPESYANDAALRQKAEDHHGIGGEDDCPAFDRLWKYCRGYAGGSLAAARALVDGASDIAINWSGGMHHASACKATGFCYVNDIVLAINELLGTFSRVIYVDIDAHHGDGVQNAFLDSNRVMTLSFHRYGKITPHKDFFPGSGAINEIGDGAGKHYSVNVPLDAGVRDDVYHTLFEPIVGKAMEVFQPEAIVLQCGADSLSGDRLGGMELSVRGHAECVSFLRGFNLPLLLLGGGGYTINHVASTWCYETAVAVGKEGELPDDIEIPSHGYELMYKNQGNKLHYQTSTATAARKRSSSTEVTKGKVLEHLSQVERAPSVQFQERRGGDNAAGVELYYERPPSLEDDEPAQRLHRLCFPAVAKRIRLNA >OGLUM11G05660.1 pep chromosome:ALNU02000000:11:4720381:4721401:-1 gene:OGLUM11G05660 transcript:OGLUM11G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEGANVRGSHKIRKTAADVEGGGDWTNALPDEVLQHVMSFLPAKQAVRTCVLARRWRHLWKSMPVLRVFHPWYLNKHDVRNLNSFPALEALWIKCCHIDGEKISSQSLKELTMIDCIFFRQFRISAPSLVRLEITDCVGKAPVLEIMPSLVKAFIRFRDSRDICGKEEFGGSCTNASCDNCGANGVDSGDCVLLKGISMAKSLELVAEPGAYMYSVN >OGLUM11G05670.1 pep chromosome:ALNU02000000:11:4734839:4736492:1 gene:OGLUM11G05670 transcript:OGLUM11G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCXHRRRRRCRCRCRRCRRRRCKSRLAAADLRLIPHHLTKLFPCSSKQAYRRSFPMLLKFYHCLPPPLSPPPSPPEARSTIMCQAA >OGLUM11G05680.1 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYAWTLGRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQLRKTRAVDVSKFSLICHLVLLLQTNGDILKSVPTEGMKHRFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWQSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMTLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.2 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYAWTLGRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQLRKTRAVDVSKFSLICHLVLLLQTNGDILKSVPTEGMKHRFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWQSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMTLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.3 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYKQRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQLRKTRAVDVSKFSLICHLVLLLQTNGDILKSVPTEGMKHRFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWQSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMTLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.4 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYKQRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCTHCYGIDDGSAGCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQLRKTRAVDVSKFSLICHLVLLLQTNGDILKSVPTEGMKHRFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWQSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMTLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.5 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYKQRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.6 pep chromosome:ALNU02000000:11:4737240:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.6 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYKQRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTGCSEESISDALFLHVLYSPHRVLSRFGARHLFDVMPHPRPRGGDDRLGALPDEALQHVLSFLPLPEAVRTGALARRWRHLWKSMPVLRITGEGRVLNRRGVRRLNRFVNHLLLLRDRSARLDACEINLGTFLSQDDPQINLWIRHVLLCEAQDLWVHLSIDNNSFEMENLALVSRHLTRLELSNVVLKDHFLNFSSCPALEELVTRNCHIEAEEILSESLKWLTAVDCVFSSYPRTRISLPSLVALELTEPWGSTPVLESMPSLLTASIKLTDCDDHCGKEEFGGSCDDNVCDNCGANGGSSGDCVLLDGLSEAESLELIAKPRVFIFRRDLMWCPTFSKLKTLLLNEWSVAIDLVALICFLQHTPVLEKLTLQLVEAPVNWMENEGSYDPTENPFASKQLKVVEVKCEKFDLRVHKIIMIFSTYGVNIEQIYIQRSVIHSEEPTDDSGAGPSRLRTPPISTSENAQLKSTIDQMSYWHNNSNSTISYPSCSGGSRSSVISQPSFRNILLLPFLRGLHKLIFILQDVKNILLRPYHRVGNVTWHPTRGVPPGGALRAYFTGGPLLAINHHSEGSTCLNVCEVNLGAIWSQDDPCVNLWIRHALLCQTRALQVHLSIDNNSYELEDVALVSQRLMSHLSIGWNRKGANRKSILRILSSPKLATVAAQGGKGDGAASTVIVPWMGLRKDRIGDSRRKRRRGDNNLFRGQNSGEFDTGVRHAEIRKVGWFSGPPRSHP >OGLUM11G05680.7 pep chromosome:ALNU02000000:11:4757542:4773780:-1 gene:OGLUM11G05680 transcript:OGLUM11G05680.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPPLAKRRRCDARDEDAPAAASDADRLSALPDDILHTLLSLLPAHEAVRTCALGRRWRDVWRSAPAVRVTGAGGWDSAAKFTAFLDGLLRRLRSRRRPGDASPRLESFVFDLNEIDFGFAGLLPSKSNHLHLSRAIWRAARHEVRVLRFRLFTSEQRLRLPDLPLVSHHLPTLELAHVRVNDRVLDFSSCPSLLDLILHRCYIDAVQMSSQSLNRLIMTDCAFLVDARTRMSLPGLIALKITLLSGRAPFLESMPLLETAIVSLHCDCGDKWGWTGYIRSDNDKSVSRLLQGLSEATNLCLLAHRRVCILNADLKWCPTFSKLKNLVLNDWCVTSNLHALIYFLQHSPILERLTLHLSKVRTYSLQTTERYKPREQSFAFDHLKKVEFMCDEVDDRVRKISKILSTYVTPLQVNVRIRCFSYKQRFLHHAAAGGSSTAINRRRRALDLRPLVGDRGEDRVVFSLSLSTSPRDQRYTLIIDSFSSSPLSRSVNFLARFLSSFDARKVFDGMSPESKKTLVEGGGDDRISFLPTALLQHVLSFLQAKEVVRTCVLARRWRLLWKSMPILRVTGAGDARAFHTFTYHLLLLRDRSPLESCTFDFNVFSKDDMPIVNLWIRYVLLCQVRVLTLAIGGHELTDLPVVSPILTRLELSRLSVNGKFLDFSSCPALKELKMTNCEISADKISSKSLKRLRICECKFKSKMRTRISVPSLLFLKLIAVKGRTPFLEDMPVLVAAKVLLLDFYCKDCCDGNDPGYCPAGCCVLLKGLADATNLELIADPEVFILKRDLRWCPTFTNLKTLLLSQWFESSDHCALICILQHSPVLEKLTLQLSKKSVINVRSRAIYNSMEKPFTSENLKTVEVKCQDIDQRVHKLMKSLNSYGIPLERINIQQTNQFSECFNFVWTGFIPRQLRKTRAVDVSKFSLICHLVLLLQTNGDILKSVPTEGMKHRFLHRVRRRPPARGSPTALNRRVSPISFTASASVCVRCVCVGEIAEVSSRRVFDGMSPESKKAAVEGGGGGDHIGALPDALLQHVLSFLQSKEVVRTCVLARRWRHLWQSVPVLRVTGADEAIHKFMDHLLLLRDRSPLETCVFAFCLYSKHDAPFANLWIRYVILSCQVRVLTLDIIGLRLIDLPVVSGFLTTLELGGMSVHGKFLDFSSCPALEELKMTKCTISADKISSQSLKRLSICECKFKSDGRTVISVPSLLFLQLIAFKGRTPFLEDMTLLVTAKVILSGYHCTDYCYSSDPGYCPIGCTHCYGIDDGSAGCVLLKGLADASNLELIADPKVWFESAENCALICILQHSPFLEKLTLQLSKKPDINMRSRAIYNSMGKSFASDNLKTVEVKCQDIDKKVHKLIMSLNSYGIPLEKINIQQTNESYEWRPIYRAKSSTPASSHQPATPARVGRRPPAAASGKEAAPFANPSAPASNPRSTDLVLATCST >OGLUM11G05690.1 pep chromosome:ALNU02000000:11:4788371:4790166:-1 gene:OGLUM11G05690 transcript:OGLUM11G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPPRAKRRRREAKDDEDAPSASSPRSRRTRSCGRACCPGAGATCGGPPRSSASPPPRGGGGGWDTATEFNVFLDGLLRLRGRRGGDDGARLEWFVLDLGFPAPGTSPAPSTSSTSAARSASASAPSKNGCCWYYYYLTGLSSPGISRHWSSPMSDGLKIFSLVERLSETTNLCLFAHPGMFIFNRDLYWCPTFNKLKTHLKTQRLVRKDSFQTTGSYKPLGQLAFDRLKKIEINHYEFFYERVRKIFKILSTYVTPLQVYMSARCELTIPLLMLLFCILSKNI >OGLUM11G05700.1 pep chromosome:ALNU02000000:11:4791021:4792227:-1 gene:OGLUM11G05700 transcript:OGLUM11G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQCRAAAAVARFLLANSVGGDKVEGGKLMTMGRRVGKIALTLSNMLCEDMKAGPDRVLVLYDQTS >OGLUM11G05710.1 pep chromosome:ALNU02000000:11:4795181:4795477:1 gene:OGLUM11G05710 transcript:OGLUM11G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKERRLRVEGWMGRTEMIDRRRQRLHSGERERRLCMRKRMGSSDFDRGARFGGVDDGRLGEGMKRCEEMVGAIWDVGFERDNPDRSMRNEDVNISW >OGLUM11G05720.1 pep chromosome:ALNU02000000:11:4798805:4801587:-1 gene:OGLUM11G05720 transcript:OGLUM11G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRVKIGDLPDDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLQILPDDGFRTVRGLNEFAKHLLLLRDRAALLDACVINFDCCEFESYQDLPDDPDVGLWLRHAVSCQAQWIRVEIYVEDDPLCLPDLPLVSKHLRVLELKYVKIKDSLVDFSGCPALEHLKFWGGFIHAHTISSPSVKHMIMDGCGFNRKFRTRISVPSLISLQLKHFWGATPFLEDMPLLVTASVSLSDDCRDRCVNTEFGKCGDPGCFDCGANKVIDCDGCVLLQVYVQKGSEVVPHLACLLEHAPLVEKITLQLSTQQVYLLQEPYNFVEIEDSDKPLKQAFPFKNLKIVEIKCHEGDERVNTVLKILSQNSVPLEKINDLVSSP >OGLUM11G05720.2 pep chromosome:ALNU02000000:11:4798805:4801587:-1 gene:OGLUM11G05720 transcript:OGLUM11G05720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMSAASSGRVKIGDLPDDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLQILPDDGFRTVRGLNEFAKHLLLLRDRAALLDACVINFDCCEFESYQDLPDDPDVGLWLRHAVSCQAQWIRVEIYVEDDPLCLPDLPLVSKHLRVLELKYVKIKDSLVDFSGCPALEHLKFWGGFIHAHTISSPSVKHMIMDGCGFNRKFRTRISVPSLISLQLKHFWGATPFLEDMPLLVTASVSLSDDCRDRCVNTEFGKCGDPGCFDCGANKVIDCDGCVLLQVYVQKGSEVVPHLACLLEHAPLVEKITLQLSTEPYNFVEIEDSDKPLKQAFPFKNLKIVEIKCHEGDERVNTVLKILSQNSVPLEKINDLVSSP >OGLUM11G05730.1 pep chromosome:ALNU02000000:11:4803974:4806300:1 gene:OGLUM11G05730 transcript:OGLUM11G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKRAKKAAAAGGGDLIGALPDAMLHRILSLLPAHEAVRTCVLARRWRHLWRSAPGLRVVRAAGRPPATVEELQGFVDHLLLLRGGSPLDTCELSFDQIRRQDIPRVNLWIRHIVMCKVRVLVLHLNPSCHELDELPLVSQHLTRLELSGLILNDSFLNFSSCPALDYLEIVQCYFSSLTKITSQSLKRLRIIKCFTGSRPHVHATNLISLHLDTITRTPVLERLPSLVKADIKLNSQCRDFCSFDDFSGGCNHEFCGGCRGVQAENCVLLRGLSEAKNLALVAETKMGPKHKVEMKGSRHPSGVSAAMLKYLENVEVKCEVVDESVLDVLKFLSSLNRCFTFKK >OGLUM11G05740.1 pep chromosome:ALNU02000000:11:4809933:4812143:-1 gene:OGLUM11G05740 transcript:OGLUM11G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRGGSKRACVGSGGGGDRISDLPDEVIHRVLWFLPTHEAVKTSLLSRRWRELWKSTRRLSIAGLSRSPHLLSTTGSGGSSPATVDKLSKFVNHLLLSRKQGPLDECRFSFDGFKDMDGAQVDMWIRYVLDNVWQLRVLLINLGTSIHVKLAGTPLVSENLVRLELSEAKFEGKFLDFSCCAALEYLKLRACFISVGKIFSQSLSVLVLNDCDGQTPLLESMPSLERAFVRLGWFAVDHCTEGICGECHRPCENSCDNDNNSSENNSSDNGNSSDNNSSDNGNCSDQDNYDALCGLCANCRDNDNISGTCLLLRGLSRCTYLELSPSYQMLTFERDLRWCPTFSNLRTLVLSDYNLDGGFLQHTPVLQKLTLKLRKIHGPTVDISSYLKRPVVLRHLRIVEVKCPVSVQEEIFKLWKILITWGRYIVQFNIESTQYRLDWTIKMPKLSGRLAKQHRSMAKEARSTTPVFMCCYAHIDCSGQASGVQRLRLSVRGETSDKNVLVE >OGLUM11G05750.1 pep chromosome:ALNU02000000:11:4813121:4813312:-1 gene:OGLUM11G05750 transcript:OGLUM11G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRPGFGLSCSKNNLQDFDSLRWTTCLLSISRHLKRLELVSHSANQQILRLLELLGAGTSED >OGLUM11G05760.1 pep chromosome:ALNU02000000:11:4813371:4832438:-1 gene:OGLUM11G05760 transcript:OGLUM11G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVQRARRLFDGMPPAKRGRRMVDQDGGGGGGGGGDRVGALPDEVLHHMLSFLPARDAVQTCVLAHRWRDLWKSATGLRIGSDEEDTARVREIREFVDHLLLLRGCAPLDMCELKFWFDSDEDDEESKNDARRVNLWIRSAVASKVRNLVLNNICSGSFELDDLPLVSRHLTRLELFNLELTNRFCNFSSCPALEHVKIANSTVSCPRIISSSTGSLLRLIITRCSFVVGTSFRTKICVPSLVSLQLDSNSKTPLLESMPSLAEATVRVTAGCSDVCGNADSGYCGFEDCKYCYPIDDNRNCVLLNGLSEAKNLALTAECKTFIFKRDLQWCPTFSKLKTLLLNDHWCVAPDFHALSCILKHSPVLEKLTLHLFSKGPEHKVELNGSFGLMDRPTGISERLNIVEVKCKVVDENVSKVLKFLCACNILIADNFFESEWTISLFYSSRSSMRISLLRHLQLNRISPAPVSARPRAHSLFDGMPPAKRSKKDQAGGEDRIGALPDEILHHMLSFLPARDAVQTCVLAGRWRHLWKSATGLRIGGESEDWVWWRVEEKPRVRDIREFVDHLLLLRGCEPLDMCELRFWSDYYDDDDETRRVNLWIRHAVASQVRHLVVSNIAGGVFELDDLPLVSCHLTTLELFKLDLTDRFCNFSSCSALKHLNITDSMISCPMISSFAGSLQHLSISHCSFGAVRNFRTRICVPSLVSLQLDDYWCMTSLLEIMPSLVEATITVNSGCSDFCRNAGSGYCGFEDCNYCYPINDDRSCVLLKGLSEAKNLALVAHCRTFIFNRDLKWCPTFSKLKTLLLNDHWCVAPEFHALSCILKHSPVLEKLTLHLFSWGSGHKVEMNGSFGMMDRPAEIPEHLNIVEVKCEEVNENVSKLSRRLKLRSFLPALRRELASARRPFDGMPPAKRGKEGGSGRRRRPHRRAAGRTRTSTHVLSFLPARDSVQTCRCVLARRWRELWKSATAGPEDWGRRRRRRRRRRREMARVQDMMEFMDHLFLLRGLRAGAARHARAQVLV >OGLUM11G05770.1 pep chromosome:ALNU02000000:11:4832925:4852323:-1 gene:OGLUM11G05770 transcript:OGLUM11G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWLLRSSTQKRFGRSAGISEHLQIVEVQCNAIDGQVLKVLKYLSTFKIYVDTCHTSLSLFNHRLPPLLGVVAPPPPPPPRSSRFFHSTVIPRLVLLHPRDPIASSPASIAAVGNGPCCVSLLLLLLLLQLRPSANARGLFDEMPTGKEGMEEPLPADADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSWLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSTLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKFLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVLHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNIFFSFEQVKISEEDDSDDNDQEDEEDDDDDFYGEEEEEEEDDEDDEEDD >OGLUM11G05770.2 pep chromosome:ALNU02000000:11:4832925:4835608:-1 gene:OGLUM11G05770 transcript:OGLUM11G05770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGKEGMEEPLPADADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSWLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSTLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKFLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVLHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVVDKRVLKVLKYLSTFNIFFSFEQVKISEEDDSDDNDQEDEEDDDDDFYGEEEEEEEDDEDDEEDD >OGLUM11G05770.3 pep chromosome:ALNU02000000:11:4832894:4835608:-1 gene:OGLUM11G05770 transcript:OGLUM11G05770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGKEGMEEPLPADADHIGALPDTVLHHVLSFLPSQDAVRTCVLAKRWLDLWKSVTALRIGDRDKRKLWTVKGLQGFVDHFLLLRESVPLHTCVLRFIVFSEDLNETSWLNLWIKHALLRMVQFLQVSIRQNTAFYHQINLGILPFVSRHLSTLELHGVRMVGSFLDFSRCPALQHLEFDRCELPCDKILSESLKFLRITRCKFSQTSRVRICVPSLVSLRLDDFYRRTPVLERMPSLVEAFVRVLHRTYDCCGYDYINSGDCGNEHCKSCHGIKDDNNCVLLDGLSEAKTLALIDGTISFIFNRDLKWCPTFSKLKTLLLNEYWCVPDEFSALACILEHAPVLENLILQLYSEGPKHTMKIKGNCHPMDRSAAISGHLETVEIRCEVFSVLSKSRFLKKMTVMTMTKRMRKMMMTTFMERRKRKKRMMKMMKKMIDAPPFDYSEG >OGLUM11G05780.1 pep chromosome:ALNU02000000:11:4852350:4854988:-1 gene:OGLUM11G05780 transcript:OGLUM11G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRKKAKESPGSTGGDRIGALPDEVLHHVLSFLPAQEAVRTCLLARQWRHLWKSATGVRIGEDDTDPGSVKDHQEFLDRLLVLRDSVPLETCVLRFSGHSKELIEDAARLNFWFKHALLRKVWFLQLENRGFYDPVPIDELPLVSQHLTRLQLYGILLNDSFLNFSSCPTLEELVFEFCFFECAKISSNSVKRLSMTCCSFNATLRVRVDVPSLVNLRLDDFEERAPLLDRMPSLVDAFVRVPWYGKDFCSQSNSGDCTREGCESCYGIKGNNCVLLDGLSEAKTLALMNGHKSLHIPVYVDVAILNSSLLLALIAPMSFAMWTIFNFEEIGISDDDDDEDEDRDGDEDSYEDEEDEDEDSYEDDDDDDEDEDEDSTKREMRMRMTMTMKMKMLDAPSF >OGLUM11G05790.1 pep chromosome:ALNU02000000:11:4869151:4870310:-1 gene:OGLUM11G05790 transcript:OGLUM11G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFEMLLKILKMNNAILRSSLERAIKPNIALFLKCGLSVCDIVTMAQNATWIVTFNPERLKVVLQRAEKLCVTVLGFSETKLRAKIEFLVTKVGLEPDYILRRPVLLAFSLEKRLVPRHYVVEALAVKGLIRKGYDFYHCVCIRDDVFVAKYIDHYENALPGLADAYAAVRAGKLPAQV >OGLUM11G05800.1 pep chromosome:ALNU02000000:11:4874984:4875243:1 gene:OGLUM11G05800 transcript:OGLUM11G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLHLHLPFTASTSGKKIQELTCVEVDRIEAEAGLAPHTEIDKTGGVGAMSNDECAAMSP >OGLUM11G05810.1 pep chromosome:ALNU02000000:11:4875625:4876246:-1 gene:OGLUM11G05810 transcript:OGLUM11G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTAAAATGGRGWSGRGDALAEGEGPKLAALARRRQWRPPVASFFFAVRTPADLANDVARQRARDIVAALHLMVHEATRFQTVSRLVAGFMHPKAASKSGSITAAMKTTVAAGGRGWSGRGDARAGGEGPELAALARRRQWRPPVTSFFFATSDLPLNELHLDARQRLVVVEIRQPLGLVRCWRGGADELKLSPAHGEAKRRG >OGLUM11G05820.1 pep chromosome:ALNU02000000:11:4876779:4884988:-1 gene:OGLUM11G05820 transcript:OGLUM11G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRKTERPDTKERKSPSCTVPRRAKPPPPTSTAAFRRGAMLHLRRRLLPLLRVPSSPHASASASASSYAHLRRLRLHLSTAAPFSAEDYLVATCGLTGDQAFKASKKISHLRSAANPDAVLAALSGVGLSRADLAAVVASDPHLLCARPDNVSRRVASLRDRVGLSDPQIGRFLLAGGAMAVRKCDVAERLEFWIPFLGGSFETLLKMLRRNNAIVRADVEKVIKPNIALFQECGLTVRDIVKMPGWLFTFNPKRVEAAVERTGKLGVELASSRLKYMLSIAGNITEGNASARMKYLSSTLNCSMDKVEYMVGKMPTIITLSEEKLRSQKAKIAHSTLPNKIPKPSLAVAGDMLRLSFCRPTAGGGLAGAMLHLLPLLRARAATHLPTSSSSLHLSRRRLLLLSTAARSAAATPFSVEEYLVDTCGLTGAQALKASKKVSHLKSAANPDTVLAVLSGVGLSRADLAAVVAAEPQLLCARADNIARRIASLRDRVGLSDPQIGSFLLVAGGAKGIHACDVAPRLEFWIPFLGSFETLLRILKGNNVLVLSDLEKVIKPNIALLQECGLTVCDIVKMARFAPRMFTSNPKQVEGFVRRADELGVPRTSGQFKYMVGIFANISEGSATARMEYLSRSLGCSMDKLRSAVQKLPQILGLSETNLGSKIEFLVGKVRLEPEYLLKTPKLFTYSLEKRLVARHYIVQDIAIVWF >OGLUM11G05830.1 pep chromosome:ALNU02000000:11:4885492:4887961:-1 gene:OGLUM11G05830 transcript:OGLUM11G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNMSSFLHMFVGDGSNDVGDEMDVLDGEEQCLIVVSTEAGVRCGELDGGQPWVAALGEGDADASHSKHSGMCGHAVVRAAEEISGRVGGAR >OGLUM11G05840.1 pep chromosome:ALNU02000000:11:4888836:4890121:1 gene:OGLUM11G05840 transcript:OGLUM11G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVPRCSPAFRMAVCSTNEGSVTARMEFLSRTLGCSMDNILMAVGKRPTILGLSMDNLRRKIEFLVTEVGLKPECIVECLGILRYSLEKRMVPRHSVMEILRARGLMKKDASLYGLIMQGEADFVARYIDPHKDMVPGLADAYNAACSGKMPVVPDSTVKKRHGRHDISCRGFAMFEIWNLLSYMLDNYTCRSALHLADTLLEFSELQQ >OGLUM11G05850.1 pep chromosome:ALNU02000000:11:4892360:4902580:1 gene:OGLUM11G05850 transcript:OGLUM11G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRDIGELLPPWTSPSKIELPFRVAAYITLYESSSFAFNALLRSPFLATAHRAHNTLAPIQSGVMRLIAAFPRRPAAGDAMLHLRQCLLPLLRAAAAAAHPHTSAASSSSLHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADIARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKDVLKSACWISEDMIAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHVAANILCCFLRRTTEEASLQRIPTTISPASGGAMLHLRRRLLPLLRAAAAAHPPTSASSSSSLDLSRLLLSTAATANPFSVEEYLVAACGLTGGQALKASGKLAHLKSSSNPDAVLALLSGVGLSRADLAAVVAADPMLLCARPANIALRLHSLRDRVGLSDADIARFLLAGGANAAQAFRGCDIASRLEFWIPFLGSFEMLLKIVRSNYNVLTSDLEKVVKPNIALLQECGLTVCDIAKMFVSHSRVLTMNPKRMEACMRRTDELGVQRSSRQFKYVLSYDKICAALCKNPNVLGFSDENLRHKINFMITEVGLEPEVIVERLWVLTFSLEKRMVPRHSVIKILRAMGKDVVDFSNSLIYSEENFIARYIDPYKQAAPTLADAYTAACAGKIPLPGAGQQAKGRKRKLFQKKKKERNFREQLAFRRRNPGGWGRRRHAPPPATPPPAGRLRRPSPHLLRLPAPVRIRSLSTSAAFSVEDYLAATCGLTGDQALKASKKISHLRSAANPDAVLAHLTGVGLSRADLAAVVVAIEPQLLCVRADNIARRIASLRDRVGLSDPQIGLLLRAGGAKGLCNCDIAPRVEFLIPFVGSLEMLLKMASYRVLTADIEKVIKPNIAGAPGMRANCL >OGLUM11G05850.2 pep chromosome:ALNU02000000:11:4892360:4898231:1 gene:OGLUM11G05850 transcript:OGLUM11G05850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGRDIGELLPPWTSPSKIELPFRVAAYITLYESSSFAFNALLRSPFLATAHRAHNTLAPIQSGVMRLIAAFPRRPAAGDAMLHLRQCLLPLLRAAAAAAHPHTSAASSSSLHLSRRLFSTAAGPDTAPPFSVEEYLVATCGLTGAQALKASKKLSHLRSPAKPDAVLAVLSGVGLSRADLAAVVAADPMLLCARARNVARRLHSLRDRVGLSDADIARFLLAGGAMGLRKCDIAPRLEFWIGFVGSFDKLLPALKGNNGILMSDLDKVVKPNIALLQECGLSVCEIAKLSTLKWTVLSLSPERVKASVLCVEKLVVPRSSDRFKDVLKSACWISEDMIAMKMEFLRSTLGCSEDKLRAAVCISPHIFYLSDKNLCRKIDFLISEVGLEREFIVERPWVLGYSLEKRMVPRHSVMKILRTMGLMKDAVDFSSSLVYSEKKFVARYIDPYKQAAPTLADSYAAACAGKMPAHRRQACRCAKLAH >OGLUM11G05850.3 pep chromosome:ALNU02000000:11:4897991:4902580:1 gene:OGLUM11G05850 transcript:OGLUM11G05850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRRRLLPLLRAAAAAHPPTSASSSSSLDLSRLLLSTAATANPFSVEEYLVAACGLTGGQALKASGKLAHLKSSSNPDAVLALLSGVGLSRADLAAVVAADPMLLCARPANIALRLHSLRDRVGLSDADIARFLLAGGANAAQAFRGCDIASRLEFWIPFLGSFEMLLKIVRSNYNVLTSDLEKVVKPNIALLQECGLTVCDIAKMFVSHSRVLTMNPKRMEACMRRTDELGVQRSSRQFKYVLSYVSRITEGKAAAKMRFLSSILGCSMDNIRGFSDENLRHKINFMITEVGLEPEVIVERLWVLTFSLEKRMVPRHSVIKILRAMGKDVVDFSNSLIYSEENFIARYIDPYKQAAPTLADAYTAACAGKIPLPGAGQQAKGRKRKLFQKKKKERNFREQLAFRRRNPGGWGRRRHAPPPATPPPAGRLRRPSPHLLRLPAPVRIRSLSTSAAFSVEDYLAATCGLTGDQALKASKKISHLRSAANPDAVLAHLTGVGLSRADLAAVVVAIEPQLLCVRADNIARRIASLRDRVGLSDPQIGLLLRAGGAKGLCNCDIAPRVEFLIPFVGSLEMLLKMASYRVLTADIEKVIKPNIAGAPGMRANCL >OGLUM11G05860.1 pep chromosome:ALNU02000000:11:4902591:4903358:1 gene:OGLUM11G05860 transcript:OGLUM11G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAPRMLVCNPKQVEGFVRRADELGVHRSSGIFKYGVAINCCISEDKAAAKMRFLSSILGCSMDKVRGIVCRTPAILGYSEENIGSKIEFLTSTLGCSMNNICYVIHKSPPILGLSEENLRGKIEFFTSILGCPQEKICAVLCKHPKVIGFSIENLRQKINFMIAVVGLEPEDIVEKLWVLTFSLEKRVVPRHSVIKILRAMGKDVVDFSNSLKYSEKKFIARCIDPYKQAAPTLSDAYAAACAGKMSNEVHL >OGLUM11G05870.1 pep chromosome:ALNU02000000:11:4907102:4911855:-1 gene:OGLUM11G05870 transcript:OGLUM11G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVDGGSWEDMLRRILPPGAPIPEAPPNLDYSIALEYDGPPVAYDLPRVDPVEIPTAEPVSGPQRLGNGGGLPVVEPIPLPVSRIARCAEPVSAQVGGGSSDSVNSVLQNEEFDDDDDDSRSLSHDSAQSSPGPQDRSRRTQEGRRGATVTFGFTPDSKCESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKEACIVCDARYCGYCVLRMMGSMPEGRKCVTCIGQPIDESKRSKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLGPDELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPERIISSNLSFTGKLQANASNGNTQVYINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNETRDEVHYSARTVPDYLDQKRIQKLLLLGPDGAGTSTVFKQAKYLYGTRFTQEELENIKLIIQSNMFKYLGILLEGRERFEEEALSRSNCTISEDEETQQDENKANGSNSCIYSINPRLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATYKRKDELHSFPDVAEYFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFALDDRSPMSEPYIENPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFSVALSDYDQVGPPVYGSSRPLLNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLTTCEWFNDFSPVRTHHNNQSLAQQAYYYVAVKFKDLYYEHTGRKLFVWQACARDRQTVDEAFKYVREILRWEDEKEENLYGGGEDSFYSTTEMSSSPFIRAE >OGLUM11G05880.1 pep chromosome:ALNU02000000:11:4911945:4921922:-1 gene:OGLUM11G05880 transcript:OGLUM11G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMKPWSSSTGDSGRAKEERQRQASLGGAATASERGGRSGDDYVPTLNHEYFGGGKIGF >OGLUM11G05890.1 pep chromosome:ALNU02000000:11:4933350:4937695:1 gene:OGLUM11G05890 transcript:OGLUM11G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPPNPMGPGQPVGAASLLRTSSSLLSGGQQGMGSGGGMLPSQSPFSSLVSPRTQFGANGLLGGGSNP >OGLUM11G05900.1 pep chromosome:ALNU02000000:11:4939669:4943403:1 gene:OGLUM11G05900 transcript:OGLUM11G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWADEDGGDGGFDVLQSQNILQDLNLLSNQKNFNELFVDEPGWPPRFTGWVSMEEPPPEHHG >OGLUM11G05910.1 pep chromosome:ALNU02000000:11:4951206:4978328:1 gene:OGLUM11G05910 transcript:OGLUM11G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGGGLPMNTLQQQRGGLDGAGDLVGAGGSDSLSFPSSSQVSLGNQLGSDNLHQPPQHQQQQQQLDAMHDLQQQQQHQQLPMSYNQQQLPPQPPQQPQATVKLENGGSTGGVKLEPQMGQPDQNSSAQMMRNASNVKIEPQQITILSTGEILSMSIFLQMLKKGGVYLSMEVVAKLLEFSLRMYGIVRYAIGSLGEALNASGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNPDLKIVSWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSAVQNSTNLSTQDMQNNCNSKNISAKYSGPMGVWFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQNGSGPIASLHSFPRRTSSGVSPHQSQQQQPEEQQSIPQSSNQSGQNAAPMTGVQASASANADVTSNNSLSCAPSTSAPSPSVVGLLQGSMNSRQDHPMSSANGPYTSGNSAAIPKVNSTTSLQSTPSTSFPSPMPTTSNNNMMPAPQSTNQLSSPTTSSNLPPMQPPATRPQEPEPNESQSSVQRILQDLMMSPQMNGIGQLGNDMKRPNGLTSSVNGVNCLVGNAVTNNSGMGGMGGMGFGAMGHRMANLPHSLVRNIVSTSTTLHPALQQERGRGGGEGARSRVRYAPSEEQEDAAVEHVFVLEMGLQLRRRKEGKDGE >OGLUM11G05920.1 pep chromosome:ALNU02000000:11:5024849:5035003:1 gene:OGLUM11G05920 transcript:OGLUM11G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSCHVFAGGGGGEFAGLLKGVGRIQDGDDEVASSAVSERKRTQAAAIWSAIWVAGDGWPSAAMSARRSRSTSSSAPRQMWCCVSCGQMARRTLFLSCADTPSTSHTRVSELSDVAFRGGARCPAARYRAASASNSATRSATRRASATTDPVSACRGPPPSPRSTPRSDPASRSSLAYAARYQRRDGGVACSSSSSSVGPGTKWIPRRPPASTRNAASAPRSARFTLPRHSIEHVVRSRRALDGARSRLFSHTACELSAQMERVCTTQGIFHEHAAEIFHCQEPYSVSSMSFIPLG >OGLUM11G05930.1 pep chromosome:ALNU02000000:11:5028659:5029712:-1 gene:OGLUM11G05930 transcript:OGLUM11G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEDEEEGEVSLSFVNHPAEQWGILGIARMLLIAQGRSDREFGLLSQGERLALLGRKSQRH >OGLUM11G05940.1 pep chromosome:ALNU02000000:11:5032646:5035612:-1 gene:OGLUM11G05940 transcript:OGLUM11G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVSSIIDSSNSFKRIKIAASQLIPSKESTMKVSATVKKLEAVASGIPKFTQLLSLQNDQAVLHHRPVIIFVSIHDRVVGRVDERKQAIEFLLHIDKNGSSSPDGCVLPIWGVKGVGKTTIARLVCDDRKVRSHFSMIIWVNCREYTSPAMALVKSLCKKLGLTSNSSHAVWEKRRLRAPSRALRLRTTCSMECRGRVKRALRGADAAFRVLAGGRRGIHFVPGPTEDEEEEHATPPSRRWYRAAYARLLRLAGSLRGVERGDGGGPRHAETGSVVADARRVADRVAEFDALAARYLAAGQRAPPLKATSLSSLTRVCDVLGVSAQLRKSVRLAICPQLTQHHIWRGALEEVLRDLRADMAALGHPSPATQMADQIAAACVRFLSDTADEATSSSPSWMRPTPFNKPANSPPPPPAKTWQEVLDMFTDLAKSLDADARLAGHADKVAAMKEGLYQIRNVFVERDIAFKEARRQDCLVQKKLSKSLGHSSKCLYTLLLFYLYGNVRDVEVHAGKRLSGKGGKRVTVHAAKFLIDGDEPAIRNAVKQLSRAIGVFRFVWEAAHADNGDHANGNGKGGAMAKKGHGDDAKGLLKLQGHIWGLGVEEKEVTYRGDVFHVHQIQLP >OGLUM11G05950.1 pep chromosome:ALNU02000000:11:5045154:5046615:-1 gene:OGLUM11G05950 transcript:OGLUM11G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVEEVVVLIVGAGPAGLATAACLAQRHVPYVIVERESCTASLWRHRAYDRLKLHLAKEFCELPHMAYPVGTPTYVPRDMFVEYLDSYTDQFGIRPRYHTAIESAIYDGGKNRWAVLARDTDTSVVTRLTAQFLVVATGENSAASIPPVPGLTRFEGEAIHSSAYKSGRTYTGKNVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPIHIMTKELIRFGMTVVQNLGLTVTTADSLLVMAANFYFGDLSKHGITRPKIGPLLLKSQTGRSAVIDVGTARLIKGGVIKVFQGISKINTNSIEFHGGKQIPFDAIVFATGYKSTVNTWLKVQTLLILVRDPTRCCGTSI >OGLUM11G05960.1 pep chromosome:ALNU02000000:11:5048129:5051622:1 gene:OGLUM11G05960 transcript:OGLUM11G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb domain protein 69 [Source:Projected from Arabidopsis thaliana (AT4G33450) TAIR;Acc:AT4G33450] MASSSTTNTSDGAGKPASSSSSACPRGHWRPGEDEKLRQLVEKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLAAHRHHGNKWALIARHFPGRTDNAVKNHWHVVRARRSRERSRLLARAAAAAAHPPPFSSYASQLEFSGGGASSGARNSSLCFGFGMINRSSSSPSSPAAAPFLIKSFNGASYGTLLPATTAMAAAAQPVSTITFSSTPMRETLELMDAGGHENHGDVDGGGDKRKGVPYFDFLGVGV >OGLUM11G05970.1 pep chromosome:ALNU02000000:11:5054607:5055941:-1 gene:OGLUM11G05970 transcript:OGLUM11G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKDDGFPKKFFPNHWKGENGLYCAGFARRGLAGIAMDAKNIADHIVATMDQINNV >OGLUM11G05980.1 pep chromosome:ALNU02000000:11:5075993:5079809:-1 gene:OGLUM11G05980 transcript:OGLUM11G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYLFNRDLELCPPFSKLKTLVLKSWFVPPDLSALTWSLQHAPLLEKLTLNLSKVPNNFGLFSTLSSKVEGL >OGLUM11G05990.1 pep chromosome:ALNU02000000:11:5081121:5081570:-1 gene:OGLUM11G05990 transcript:OGLUM11G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEDRISALPEDLLHQVLSLLPSWDAVQTCVLAKRWRDLWRSVPAVRVVGPRGWVTADAFARFVDRLLRLRRGGAPLDTCVFDLDFNEPSPGEEQRGNRWIRSALRYHARVLRFIVFVNSWNSFQIFDEHLVSQNLTFLELQGVRAS >OGLUM11G06000.1 pep chromosome:ALNU02000000:11:5092122:5093587:1 gene:OGLUM11G06000 transcript:OGLUM11G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGH8] MFVEYLDSYADQFEIRPRYHTAVESAIYDRGKNQWVVLVRDMDTSAVARLATQFLVVAAGENSAASIPPVPGLSRFEGEAIHSSAYKSGRAYTGKSVLVVGAGNSGMEIAYDLATHGAHTSIVVRSPVHIMTKELIRYGMTMVQNLGLNVTTVDSLLVMAANFYFGDLSKHGIMRPKMGPLLLKSQTSRSAIIDVGTARLIKGGVIKVFQGISKINTNSVEFHGGRQNSFDATVFATGYKSTVNVWLKNGESTFKDDGFPKKYFPNHWRGENGLYCAGFARRGLASIAMDAKNIANDIVAAMDKMSC >OGLUM11G06010.1 pep chromosome:ALNU02000000:11:5099394:5100676:1 gene:OGLUM11G06010 transcript:OGLUM11G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILALPVVEAESAAAAAPGRMAVNGVSSISKGGAVMRRRTRNLWGSLRRGRMGQKLWLANWQIAQANSHMLAERNLGRDRVRSWLSDHFIKSLQHQFACSRPIIIAKISELEVWRCSYSGCKFSWSRLVTLLLAINQSPMVLDFFSTLASIKMMAHDCWSCIGERRHTCVHTSYRHRGILQKKKKRSRSQVQSPLHPRVSRIDLHAYL >OGLUM11G06020.1 pep chromosome:ALNU02000000:11:5103173:5107196:1 gene:OGLUM11G06020 transcript:OGLUM11G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGARGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWISRALRRQVRALRFTVSTHPRVPIPLSDSPLVSHNLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKVLNANGVPLEKISIRCSGCELSMNAHASYYAVLLILCVLVSTPRINKTQAVHGSKYVFDGTDMVSIALKGHSSPLVGVSGASRIRLFGSIEKI >OGLUM11G06020.2 pep chromosome:ALNU02000000:11:5103173:5107196:1 gene:OGLUM11G06020 transcript:OGLUM11G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGARGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWISRALRRQVRALRFTVSTHPRVPIPLSDSPLVSHNLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSTPRINKTQAVHGSKYVFDGTDMVSIALKGHSSPLVGVSGASRIRLFGSIEKI >OGLUM11G06020.3 pep chromosome:ALNU02000000:11:5103173:5106697:1 gene:OGLUM11G06020 transcript:OGLUM11G06020.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGARGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWISRALRRQVRALRFTVSTHPRVPIPLSDSPLVSHNLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVYLFNRDLKWCSTFSKLKTLFLNAWFVAPDLSALAWFLQHAPLLERLFLRVSKVPKNLVGMDGSFNQLEQPFAASHLQIVEIYCREVDGIILKILKLLILCVLVSTPRINKTQAVHGSKYVFDGTGVSGASRIRLFGSIEKI >OGLUM11G06020.4 pep chromosome:ALNU02000000:11:5103173:5107196:1 gene:OGLUM11G06020 transcript:OGLUM11G06020.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASGADRISDLPDDVIHHVLSLLPSRDAVRTCALARRWRDLWRSVPAVRVAGARGWASFDALARFVDSLLRLRRGGAALDACDFDLRFDGAFPGEELQGDTWISRALRRQVRALRFTVSTHPRVPIPLSDSPLVSHNLTTLELRGVQGNDQVLDFSSCPSLVDLKMKDCYVGGLEMWSPSLKHLSMTYCVFYCDYRTRMDFPSLVTFKFNTNTGRTPLLETMPSLATAAVRLDHFCHDRCANGWYDDCGDAGCKGCHDYYRPDEYDCVFLEGLTEATDLTLLAYSKVLLILCVLVSTPRINKTQAVHGSKYVFDGTDMVSIALKGHSSPLVGVSGASRIRLFGSIEKI >OGLUM11G06030.1 pep chromosome:ALNU02000000:11:5107862:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGSFGIPLEKINIKLTSLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSIFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGHGFACWVR >OGLUM11G06030.2 pep chromosome:ALNU02000000:11:5107723:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGSFGIPLEKINIKLTSLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSIFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRFKFVCTGIDSEN >OGLUM11G06030.3 pep chromosome:ALNU02000000:11:5107862:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKVLILSVLVSAAPRGLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSIFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGHGFACWVR >OGLUM11G06030.4 pep chromosome:ALNU02000000:11:5104338:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGSFGIPLEKINIKLTSLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVYLFNRDLKCCSIFSKLKNLVLNAWFVAPDLSALTWFLQYAPLLERLTLKVSEVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRELFMNTHLWLHHKK >OGLUM11G06030.5 pep chromosome:ALNU02000000:11:5107723:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGSFGIPLEKINIKLTSLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGRFKFVCTGIDSEN >OGLUM11G06030.6 pep chromosome:ALNU02000000:11:5107862:5118731:-1 gene:OGLUM11G06030 transcript:OGLUM11G06030.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRIRRAPARRTAPEEVPDRVSALPDEALHAVLSLLPAHDAVRTCVLARRWRHLWEHAPALRVTDVEGWNPRLRGEAGLRKFIRFVDGLFVSRRRCDAPLELCDLDFDFPEEDKGKDWHVNRWIMLALLRHHARVLRISLPAYVALPDVPLISQRLTRLELDGVLGNDNILDFSCCPALIALKMKCCRINAEKMSSPSVKILSLASCEFYPATRTQMSFPSVVSLELDGCSGSVPFLESMPSLVAAIVRFDDDYADRCDNSVLGDCGDDSCVDCCNYSDRSKCVCLNGLLEATHLELSAEPAMYVIRRDLNLLLACHTFAKLKTLVLGEWCMTHDLSALIRFLQQSPILEKLTIKIPKEPKCSMDAGQQKIPEEPFVSNHLKIVEIKCKGKEVMWVCKFLKTLGSFGIPLEKINIKLTSLCLGWPFWIKAKVLKSHFTLSQSTLRLVATRGARARHLFDGAARPAGRAMASGADRISDLPEDVLHHVLSLLPSRDAVRTCVLAQRWRDLWRSVPAVRVAGARGWASADAFVLFVDRLLRLRRGRAALDTCDLDLRFDGPFPGGEQHGNRWIRRALRRQVRVLRFLLSTVPRVPLPLPDSPLVSDSLTTLELKGVLGNNQVLDFSSCPSLVDLKMEDCYVGGLEMWSPTVRNLSMRYCFFYSNYRARIDFPSLVSFKFNKNLGRTPLLERMPSLATATVRLDHFCDDRCSNGRYDDCGDAECKGCHDYYAPSDYGCVFLEGLTEAKDLKLSAYSDVVPNNLVETEGSYSQLEQSFAASHLQIVEIKCKEVHGIILKILEVLNANGIPLEKISIRCSGHGFACWVR >OGLUM11G06040.1 pep chromosome:ALNU02000000:11:5129507:5132428:-1 gene:OGLUM11G06040 transcript:OGLUM11G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQKSLRSKSVAEADEEDGIDVLPDALLQHILSFLPAEEAVKTCVLSRRWRHLWKLTPILCITNTERWRSPKDFIKLVNHLVLFRGSSPLHKFEIKINSCAHWMIMSGDSNPFHCAIMWVMYALMCQVQVLTIKNMHAYIEMDDGFSLVSRHLTELNLSGLDFRKFVLNFSSCPALEYIYFSASCCFNSVEKILSQSVKYLTFDFPEFSEHHRTHIYAPNLITLRLDDCWGRVPFLESMPSLIAAFVRPHRDSDDLCSNTYSGNCEDEYCHGCYGMVDNAGNDSAKCVLLGGLSEAKKLELIAGPEMRIFRSDLRWCPLFSKLKSLLLNEWCVANNFWALACILEHSPVLLKLTLQISKETKPMIGAVENYSALVKPAAISKHLKVVKVHCKEVDEGVCETVKFLSTLDVVVLIRRMDRSTNRLLENLRFSEGNLKA >OGLUM11G06050.1 pep chromosome:ALNU02000000:11:5136252:5145048:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPLFCFWKRNHLSEKKRLVHDKGKKKLSSHKEAPLLACKTKEMGYAASVERFLKLMAMVWAGNQVTKILRAGALLALRSPDKATTRRSSHESLRGSKQGSSGRDGG >OGLUM11G06050.2 pep chromosome:ALNU02000000:11:5136252:5145048:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPKLSSHKEAPLLACKTKEMGYAASVERFLKLMAMVWAGNQVTKILRAGALLALRSPDKATTRRSSHESLRGSKQGSSGRDGG >OGLUM11G06050.3 pep chromosome:ALNU02000000:11:5136252:5145048:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPKLSSHKEAPLLACKTKEMGYAASVERFLKLMAMVWAGNQVTKILRAGALLALRSPDKATTRRSSHESLRGSKQGSSGRDGG >OGLUM11G06050.4 pep chromosome:ALNU02000000:11:5136252:5145048:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPKLSSHKEAPLLACKTKEIPEVDGNGMGWQSSY >OGLUM11G06050.5 pep chromosome:ALNU02000000:11:5136252:5145048:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPLACKTKEMGYAASVERFLKLMAMVWAGNQVTKILRAGALLALRSPDKATTRRSSHESLRGSKQGSSGRDGG >OGLUM11G06050.6 pep chromosome:ALNU02000000:11:5136252:5143316:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPKLSSHKEAPLLACKTKEIPEVDGNGMGWQSSY >OGLUM11G06050.7 pep chromosome:ALNU02000000:11:5136252:5143316:1 gene:OGLUM11G06050 transcript:OGLUM11G06050.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQRQRHEAEEVDGRSRRHTTLALLRLPLPEHHYGGLLQGFFHVGKGKTLYFHCSSDKRSGHIPYRDSKLTHILQLSLGGNARTAIICTMSPAQRHVEQSRNALFFATCAKEATNNAKVNMMEKEMEELKKQRDNAQSKLEELQKKMGDNQPLACKTKEIPEVDGNGMGWQSSY >OGLUM11G06060.1 pep chromosome:ALNU02000000:11:5149798:5150160:-1 gene:OGLUM11G06060 transcript:OGLUM11G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSAPSYLFFCLFGRSEALLSLRKAEKGIGAGFLEDAATSIPLRHGRATLLVLWHSI >OGLUM11G06070.1 pep chromosome:ALNU02000000:11:5154847:5157309:-1 gene:OGLUM11G06070 transcript:OGLUM11G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGJ9] MSPLHTHLGFFLLLLLFSLHNPSCSAAANDTLAVGQVLAVGEKLVSRNGKFALGFYKPALPEGIASKYGNITSPGWYLAIWFNKIPVCTTVWVANRERPITDLEIKLTQLKFSQDGSSLAIIINHATESTVWSTQIANRTAQAKTSMNTSAILLDSGNLVIESLPDAYLWQSFDNATDLALPGAKFGWNKITGLHRTGTSKKNLIDPGLGSYSVQLNERGIILWRRDPYMEYWTWSSVQLTNMLIPLLNSLLKMNSQTRGFLTPYYVNNDEEEYFMYHSSDESSSSFVSIDMSGQLKLSIWSQANQSWQEVYAQPPDPCTPFATCGPFSVCNGNADLFCDCMESFSQKSPQDWELKDRTAGCFRNTPLDCPTNRSSTDMFHTITRVALPANPEKIEDATTQSKCEESCLSNCSCNAYAYKDSTCFVWHSELLNVKLHDSIESLSEDTLYLRLAAKDMPATTKNKQKPVVVAVTAASIAGFGLLMLMLFFLIWRNKFKCCGVTLHHNQGSSGIIAFRYTDLSHATKNFSEKLGSGGFGSVFKGVLRDSTTIAVKRLDGSHQGEKQFRAEVSSLGLIQHINLVKLIGFCCEGDKRLLVYEHMVNGSLDAHLFHSNGAVLDWSTRHQIAIGVARGLSYLHESCRECIIHCDIKPENILLEASFAPKIADFGMAAFVGRDFSRVLTTFRGTKGYLAPEWLSGVAITPKVDVYSFGMVLLEIISGRRNLSEAYTSNHYHFDYFPVQAISKLHEGSVQNLLDPELHGDFNLEEAERVCKVACWCIQEDEIDRPTMGEVVRFLEGLQEVDMPPMPRLLAAITERSHI >OGLUM11G06080.1 pep chromosome:ALNU02000000:11:5157579:5165208:-1 gene:OGLUM11G06080 transcript:OGLUM11G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSACVSIAVLVVVLSSTSCYSSLSPTTTANGSSDTDLAALLAFKSQLTDPVGVLTSNWSTSTSFCHWRGVTCSRRRRHRRVTGLSLPHTPLHGPITPLLGNLSFLSFLRLTNTNLTASIPADLGKLRRLRHLCLGENNLSGRIPPDLGNLARLEVLELGSNQLSGQIPPELLLHLHNLRVISLEGNSLSGQIPPFLFNNTPSLRYLSFGNNSLSGPIPDGVASLSQLEILDMQYNQLSSLVPQALYNMSWLRVMALAGNGNLTGPIPNNNQTFRLPMLRFISLAQNRIAGRFPAGIASCQYLREIYLYSNSFVDVLPTWLAKLSRLEVVSLGGNNLVGTIPAVLSNLTRLAVLELSFGNLVGNIPPEIGLLQKLVYLFLSANQLSGSVPRTLGNIVALQKLVLSHNNLEGNMGFLSSLSECRQLEDLVLDHNSFVGALPDHLGNLSARLISFIADHNKLTGSLPEKMSNLSSLELIDLGYNQLTGAIPESIATMRNVGLLDVSNNDILGPLPTQIGTLLNLQRLFLERNKISGSIPDSIGNLSRLDYIDLSNNQLSGKIPASLFQLHNLIQINLSCNSIVGALPADIAGLRQIDQIDVSSNFLNGSIPESLGQLNMLTYLILSHNSLEGSIPSTLQSLTSLTWLDLSSNNLSGSIPMFLENLTDLTMLNLSFNRLEGPIPEGGIFSNNLTRQSLIGNAGLCGSPRLGFSPCLKKSHPYSRPLLKLLLPAILVASGILAVFLYLMFEKKHKKAKAYGDMADVIGPQLLSYHDLVLATENFSDDNLLGSGGFGKVFKGQLGSGLVVAIKVLDMKLEHSIRIFDAECHILRMARHRNLIKILNTCSNMDFKALVLEFMPNGSLEKLLHCSEGTMQLGFLERLNIMLDVSMAVHYLHHEHYEVVLHCDLKPSNVLFDNDMTAHVADFGIAKLLLGDDNSMIVASMSGTVGYMAPEYGSMGKASRKSDVFSYGIMLLEVFTGRRPMDAMFLGDLSLREWVHQTEEDQGGIHRMDICDTESGSEDKKKTPSIAVWRTDELFIVVVHPTGAGMWWTEELAGVSRGWTSRGRGAPASDSTAGDASSEEPRLGASAAPRRAEDRREVEAATQPLVKPGDHPISSCLCVAQCPGYARHLFDGMSPSEECGIDDLPDELLQQILSLLSADEAVKTCVLSRRWRHLWKSTDILRVAFSTERWKSSDEFKKFVNHLVLLRGISPLRELDLRFNARRYEDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDIKVIIKQRKQSPKLHRSTATGSCSCKHPNLPAWRGM >OGLUM11G06080.2 pep chromosome:ALNU02000000:11:5157579:5161216:-1 gene:OGLUM11G06080 transcript:OGLUM11G06080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYLDILSYKGWTSRGRGAPASDSTAGDASSEEPRLGASAAPRRAEDRREVEAATQPLVKPGDHPISSCLCVAQCPGYARHLFDGMSPSEECGIDDLPDELLQQILSLLSADEAVKTCVLSRRWRHLWKSTDILRVAFSTERWKSSDEFKKFVNHLVLLRGISPLRELDLRFNARRYEDVVHDGGSDPYQCVMLWVMYAVMCRVQVLKIHNLDQIDIEVYKGMPLVSPHLTKIELSGIELKNCFLNFSSCPALKELYFTKNCGFDSVYEILSRYEVSDDSESVLLGGLTEAENLKLIAGPNIEYKSMVELETEENDNPLWKPAAISEHLKVVKVHCKEVDEGVYKIGKWLSTLDIKVIIKQRKQSPKLHRSTATGSCSCKHPNLPAWRGM >OGLUM11G06080.3 pep chromosome:ALNU02000000:11:5161887:5165208:-1 gene:OGLUM11G06080 transcript:OGLUM11G06080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSACVSIAVLVVVLSSTSCYSSLSPTTTANGSSDTDLAALLAFKSQLTDPVGVLTSNWSTSTSFCHWRGVTCSRRRRHRRVTGLSLPHTPLHGPITPLLGNLSFLSFLRLTNTNLTASIPADLGKLRRLRHLCLGENNLSGRIPPDLGNLARLEVLELGSNQLSGQIPPELLLHLHNLRVISLEGNSLSGQIPPFLFNNTPSLRYLSFGNNSLSGPIPDGVASLSQLEILDMQYNQLSSLVPQALYNMSWLRVMALAGNGNLTGPIPNNNQTFRLPMLRFISLAQNRIAGRFPAGIASCQYLREIYLYSNSFVDVLPTWLAKLSRLEVVSLGGNNLVGTIPAVLSNLTRLAVLELSFGNLVGNIPPEIGLLQKLVYLFLSANQLSGSVPRTLGNIVALQKLVLSHNNLEGNMGFLSSLSECRQLEDLVLDHNSFVGALPDHLGNLSARLISFIADHNKLTGSLPEKMSNLSSLELIDLGYNQLTGAIPESIATMRNVGLLDVSNNDILGPLPTQIGTLLNLQRLFLERNKISGSIPDSIGNLSRLDYIDLSNNQLSGKIPASLFQLHNLIQINLSCNSIVGALPADIAGLRQIDQIDVSSNFLNGSIPESLGQLNMLTYLILSHNSLEGSIPSTLQSLTSLTWLDLSSNNLSGSIPMFLENLTDLTMLNLSFNRLEGPIPEGGIFSNNLTRQSLIGNAGLCGSPRLGFSPCLKKSHPYSRPLLKLLLPAILVASGILAVFLYLMFEKKHKKAKAYGDMADVIGPQLLSYHDLVLATENFSDDNLLGSGGFGKVFKGQLGSGLVVAIKVLDMKLEHSIRIFDAECHILRMARHRNLIKILNTCSNMDFKALVLEFMPNGSLEKLLHCSEGTMQLGFLERLNIMLDVSMAVHYLHHEHYEVVLHCDLKPSNVLFDNDMTAHVADFGIAKLLLGDDNSMIVASMSGTVGYMAPEYGSMGKASRKSDVFSYGIMLLEVFTGRRPMDAMFLGDLSLREWVHQVFPTKLVHVVDRHLLQGSSSSSCNLDESFLVPIFELGLICSSDLPNERMTMSDVVVRLKKIKVAYTEWISATQNPAQ >OGLUM11G06090.1 pep chromosome:ALNU02000000:11:5173121:5214350:-1 gene:OGLUM11G06090 transcript:OGLUM11G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAGLENLASIDSSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRCGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPLLTEAVVGVGAKSGDWNARPRFDDSNNCMLPEALSQAKKLVLLVQEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHLPVLENLTLQFHRMGHGPDRVEMKGSYSRMDKSSAISEYLKIVVVRFDEIDDLVIKFELFLRCCPDARLLFDVMLPGKAPAGDGGVDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITRWDWKKEVSKEKFLNFVHSLLFHRGRAPMDKFDLNLSGDTHLLSIWFREAAMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLDFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAREAAGGEAFVRLRLSSSPALSPRCILPCVVDVSCSANATPATCSTECVQGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAISEHLKSVVVKCGVIDERVTKVLKFLSTFNILTDEGAVFCLVGPLRLDSSCSGAAPEAADGEASISLSLSHPPFCFLRCGPDARRLFDGMPPGKAPRPSASGGGGGGGVDRIGICRMVSSNTSSAVRTCVLARRWRHLWKSVARLHITNWDKEVTMQEFGYFVHRLLLHRGRGVPIDEFRFSLGGLSDFAADARRVDRWFRHAAVMCQARVLQLRLAPSGVQLALDNLAIVSRHLEKLQLTGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSLKHLNIFRCFFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFDDSNNCTLPQALSHAKTLVLIVLSRSRALQAQDFNSNRYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLKFRGMGTKNKVEMKGSYIQTKKSSAISEHLKLVVVKCGAIEERVIKVLKFLSTFNILLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELHDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADTQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIAGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLISQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKESKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKTRARRRHGHRHRRRRTSPTSSLATPPPQIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHSVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDQSGDWYSCTSGNADFEECLCEDCDFCHSNTNYVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >OGLUM11G06090.2 pep chromosome:ALNU02000000:11:5173121:5214350:-1 gene:OGLUM11G06090 transcript:OGLUM11G06090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAGLENLASIDSSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRCGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPLLTEAVVGVGAKSGDWNARPRFDDSNNCMLPEALSQAKKLVLLVQEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHLPVLENLTLQFHRMGHGPDRVEMKGSYSRMDKSSAISEYLKIVVVRFDEIDDLVIKFELFLRCCPDARLLFDVMLPGKAPAGDGGVDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITRWDWKKEVSKEKFLNFVHSLLFHRGRAPMDKFDLNLSGDTHLLSIWFREAAMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLDFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAREAAGGEAFVRLRLSSSPALSPRCILPCVVDVSCSANATPATCSTECVQGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAISEHLKSVVVKCGVIDERVTKVLKFLSTFNILTDEGAVFCLVGPLRLDSSCSGAAPEAADGEASISLSLSHPPFCFLRCGPDARRLFDGMPPGKAPRPSASGGGGGGGVDRIGICRMVSSNTSSAVRTCVLARRWRHLWKSVARLHITNWDKEVTMQEFGYFVHRLLLHRGRGVPIDEFRFSLGGLSDFAADARRVDRWFRHAAVMCQARVLQLRLAPSGVQLALDNLAIVSRHLEKLQLTGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSLKHLNIFRCFFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFDDSNNCTLPQALSHAKTLVLIVLSRSRALQAQDFNSNRYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLKFRGMGTKNKVEMKGSYIQTKKSSAISEHLKLVVVKCGAIEERVIKVLKFLSTFNILLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELHDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADTQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIAGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKESKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKTRARRRHGHRHRRRRTSPTSSLATPPPQIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHSVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDQSGDWYSCTSGNADFEECLCEDCDFCHSNTNYVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >OGLUM11G06090.3 pep chromosome:ALNU02000000:11:5173121:5214350:-1 gene:OGLUM11G06090 transcript:OGLUM11G06090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAGLENLASIDSSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRCGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPLLTEAVVGVGAKSGDWNARPRFDDSNNCMLPEALSQAKKLVLLVQEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHLPVLENLTLQFHRMGHGPDRVEMKGSYSRMDKSSAISEYLKIVVVRFDEIDDLVIKFELFLRCCPDARLLFDVMLPGKAPAGDGGVDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITRWDWKKEVSKEKFLNFVHSLLFHRGRAPMDKFDLNLSGDTHLLSIWFREAAMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLDFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIREAGRRGKGAARPSDDRIGHSPAREAAGGEAFFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAISEHLKSVVVKCGVIDERVTKVLKFLSTFNIPLGLDHFASTPPAPVRRPRLPTARPPSLSLSRILHSVSCGVAPTHAAYSTECLRGKPHVRAPAAAAAAAADLPDGVLQHILGFLPAPEAVRTCVLARRWRHLWKSVARLHITNWDKEVTMQEFGYFVHRLLLHRGRGVPIDEFRFSLGGLSDFAADARRVDRWFRHAAVMCQARVLQLRLAPSGVQLALDNLAIVSRHLEKLQLTGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSLKHLNIFRCFFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFDDSNNCTLPQALSHAKTLVLIVLSRSRALQAQDFNSNRYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLKFRGMGTKNKVEMKGSYIQTKKSSAISEHLKLVVVKCGAIEERVIKVLKFLSTFNILLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELHDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADTQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIAGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLISQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKESKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKTRARRRHGHRHRRRRTSPTSSLATPPPQIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHSVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDQSGDWYSCTSGNADFEECLCEDCDFCHSNTNYVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >OGLUM11G06090.4 pep chromosome:ALNU02000000:11:5173121:5214350:-1 gene:OGLUM11G06090 transcript:OGLUM11G06090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAGLENLASIDSSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRCGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPLLTEAVVGVGAKSGDWNARPRFDDSNNCMLPEALSQAKKLVLLVQEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHLPVLENLTLQFHRMGHGPDRVEMKGSYSRMDKSSAISEYLKIVVVRFDEIDDLVIKFELFLRCCPDARLLFDVMLPGKAPAGDGGVDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITRWDWKKEVSKEKFLNFVHSLLFHRGRAPMDKFDLNLSGDTHLLSIWFREAAMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLDFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAREAAGGEAFVRLRLSSSPALSPRCILPCVVDVSCSANATPATCSTECVQGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAISEHLKSVVVKCGVIDERVTKVLKVGPLRLDSSCSGAAPEAADGEASISLSLSHPPFCFLRCGPDARRLFDGMPPGKAPRPSASGGGGGGGVDRIGICRMVSSNTSSAVRTCVLARRWRHLWKSVARLHITNWDKEVTMQEFGYFVHRLLLHRGRGVPIDEFRFSLGGLSDFAADARRVDRWFRHAAVMCQARVLQLRLAPSGVQLALDNLAIVSRHLEKLQLTGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSLKHLNIFRCFFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFDDSNNCTLPQALSHAKTLVLIVLSRSRALQAQDFNSNRYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLKFRGMGTKNKVEMKGSYIQTKKSSAISEHLKLVVVKCGAIEERVIKVLKFLSTFNILLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELHDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADTQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIAGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLISQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKESKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKRFCSSKTRARRRHGHRHRRRRTSPTSSLATPPPQIESRYCSRVASYHGAPASHTGCFLHRGPDARRLFDGMRPQGKTLEMGKRALPRSSDGDEDRTGDLPDGILHHILGSLPARDAVRTCVLARRWRHLWKFATGLRITDREMREPAPMEKLQDFVDHLLLLRGRAPLETCWLNLTRLSSDGDARRVNLWFRHSVLCEVQVLRLDLILNGFQLKLDDLPLASRCLAKLNLSGVHLMHSFPDFSCCPVLEHLDIFFCDLSDAKKITSQSMKCLNIRHCTFSQISRTRISAPNLISLRLENYWHRTPVFEVMPLIVDAFVRVHDQSGDWYSCTSGNADFEECLCEDCDFCHSNTNYVIMQALSQAKNLVLSAHEQEFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >OGLUM11G06090.5 pep chromosome:ALNU02000000:11:5173121:5214350:-1 gene:OGLUM11G06090 transcript:OGLUM11G06090.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSSSQLRGSMVLHSPIPTAGLENLASIDSSSSGAAAEAADCESARPPSLLARILHCEFQAICCSRCSLDARRLFDGMPPGEAPPPTGGDRIGDLPDGVLQHILGFLPPHEAVRTCVLARRWRHLWKSVAALRITNWDWRKVVPMEEFRYFVHHLLLRCGRAPIDEFELDLAELSDRDTLRVNRWFRHAVMCQARVLRLDIRVSRGSELELENLPVVSRHLQKLDLYGVKLMHNFLDFSSCPVLQHLEIAGCDLSDSNARKISSLSIKHLNISGCNFSDTFHTHIYAPNLLSLGLVNYMNQSPVFEGIPLLTEAVVGVGAKSGDWNARPRFDDSNNCMLPEALSQAKKLVLLVQEQDFNFEMYWQRCPTFSKLKTLLISACASAVLDFEAVSCILRHLPVLENLTLQFHRMGHGPDRVEMKGSYSRMDKSSAISEYLKIVVVRFDEIDDLVIKFELFLRCCPDARLLFDVMLPGKAPAGDGGVDRLGDLPDEVLQHILGFLPAQEAVQTCVLARRWRHLWKSVATLCITRWDWKKEVSKEKFLNFVHSLLFHRGRAPMDKFDLNLSGDTHLLSIWFREAAMCQARVIRLNIINSWGSQPELDNLNLPVVSRHLAKLQLSGVKLMQSFLDFSSCPVLEHLEIVHCDLSDSNARKISSLSLKHLYIFRCNFSRTFHTQIYAPNLVYLGLVYYMNRTPVFEGVPLLTEAVVGVAAESGDWNACPRFDDSNTNSCMLPEALSQAKKLVLEVEEQDFNFKMYWQHCPTFSKLKTLFISVCISAILDFEGLSCILRHSPVLEILTLQFHRSEFRQKDKVEMKGSYSRMEKSSAISEHLKIVAIQCDDIDDQVIKVLKLLSTFSIPPAREAAGGEAFVRLRLSSSPALSPRCILPCVVDVSCSANATPATCSTECVQGETGKRVKGSPSRSGGADEDRIGCLPDGVLHHILGFLPAPDAVRTCLLARRWRHLWKSATGLRISEGYGVEKLHDFVHHLLLLRGGAPLDTFELGSIDLAEGDNRSMNLWFRHALLCQVRVLRLNVFGLELHDLPFVSRHLVKLELRFVILMHSFLDFSSCPVLEHLEIVSSELSDAKRISSQSLKHLNLTHCTFSENVRIRIDVPNLLSLWLQDYRCRTPVFEVMPLLVKAFVSVTGDSGDWLTCTSGNADSEECPHDDCELCYSNTNCMLLQALSQARSLMLVAQNQEFVFKRDMMRCPTFSNLKTLLLIDSFYVAFDLHGITCILRHSPVLEKLTLELFCQESEHILEMKGSYNQTERSSAISEHLKSVVVKCGVIDERVTKVLKFLSTFNILTDEGAVFCLVGPLRLDSSCSGAAPEAADGEASISLSLSHPPFCFLRCGPDARRLFDGMPPGKAPRPSASGGGGGGGVDRIGICRMVSSNTSSAVRTCVLARRWRHLWKSVARLHITNWDKEVTMQEFGYFVHRLLLHRGRGVPIDEFRFSLGGLSDFAADARRVDRWFRHAAVMCQARVLQLRLAPSGVQLALDNLAIVSRHLEKLQLTGVKLMHSFLDFSSCPVLEHLDISFCNLVDAKKISSRSLKHLNIFRCFFSRTFHTHICAPNLLSLRMFFSMNRNPVFEGMPLLTEAFVGVTGEFGDWNTPPRFDDSNNCTLPQALSHAKTLVLIVLSRSRALQAQDFNSNRYWQQCPIFSRLKTLSICELISADIDFEALSCILQHSPILEKLTLKFRGMGTKNKVEMKGSYIQTKKSSAISEHLKLVVVKCGAIEERVIKVLKFLSTFNILLHHIIGLLPAPDAVRTCVLARRWRHLWKSATGLRIADDDGVGLVPMEELHDFVDHLLLLRGRAPLDTCELSFAGLSSDGGGGDARLVDLWFRHAVLCEVQALRLNAPRSASRLVLDGLPLVSRRLAKLELAHLNLVHNFLDFSSCPVLEHLEIVLCSLSDAERISSQSLKRLNITACDFSEIFRTRIDVPNLLSLRLDNYNHRTPVFEGMPLLVDAFFGVTFASGDIRCCPGVNDDLEECPYDDCDNCPSDNNCKVLQAFSQAKNLALVADTQKFIFKRELIRCPTFSKLKTLLLSDSWIVAFDLHEITCILRHSPVLENLTLQFFYPGPDYCVEIKGSYSRMERSSAISEHLKIVVVKCGVVDERVIKILKFLSTFNIAGARGARATDSAAGGRIRRACGARLGLLLAVVVDRRLIHQRLGDRRLFVSCRAVPFARHLFDGMPPRRRGSRGEEMAEASKEGGINALPDELLQHVLSLLSADEAVKTCVLSRRWRHLWRSTDVLRLDADKERWKSSKRFKKFVNHLVLFRGCSPLREFDLEFSSCREKDEKGDDSDEDESDDDRGEDESDEDSDGDESDEHSDDGESDDDSDEDEDSNPFQCVMMWVMYALICQVQVLKIHNFNERYIEIDGGMPLISQHLTKIELSGIVLKDCFLNFSSCPALKELYFTKNCCFDSVKKIFSQSMQCLRIFCCQFSEYHRTLIYAPSLIRLFLEGFWGRTPFLERMPSLVEASVRPHQDCDDWCSNTYTGNCEDEDCDGCHGMIDKIGNSSNCVLLGGLSEAKSLKLIAGPEIIIFGSDLRWCPMFSKLKNLLLNEWCLLSNFWALACILEHSPVLRKLTLQISKESKSMMQTEENDNPLRKPAAISEHLKVVKVHCKEVDEVVYKIGKWLSTLDIKVLIKRRNQSPKLDAGTAAPRPPTPPPADISDELAGDSSSSDRIALLQPRRLVPRSPGKFIFKRELMRCPTFSNLKTLLLINCFCVAFDLHGITSILRHTPVLEKLILEFFFEVTEHDDEVEMKGSCSQMERSSAISKHLKLVIVKCNAIDGRITKILKFLSTFNICFSFE >OGLUM11G06100.1 pep chromosome:ALNU02000000:11:5223856:5232981:-1 gene:OGLUM11G06100 transcript:OGLUM11G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNAQDQRAFSSDYSETEIAHLKTLSFLKNSVPTGYGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDLGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIDSKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFLDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >OGLUM11G06100.2 pep chromosome:ALNU02000000:11:5223856:5232981:-1 gene:OGLUM11G06100 transcript:OGLUM11G06100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLRSLSFGPEESPREITVAGGGGGDAAAHPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHQGVRDYLCNRMYTLPLPGLEAYLFQVCYMMVHKPSPSLDRFVIDTCSKSLRIALKVHWLLAAELELEDTDDLDGIDRVQEQCQAAATVQGEWPPLVRPAPPSPIASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGASNAAAAEDVGGSGVKQPATPSSEDNKLLKRLSIGPKVRDAASFFRRSVEKDDEQDKEGFFKRLLRDSKDKEEEDGDKEGFFKRLLSKEKENEEEEGDRDGFFRRLLRDSKDEDMELTPSSEGLLKRLFRDKEDRQGDDEEKEGFFRRIFKDKNEERRESLHGRHGDEERVGKSLEDDDKEGFFRKIFKDKNEERKDGGHSKQQDDKEKTAGNIEDDKRDGFFRQLFKEKNEEKKEGTTPNKKEEDDKGHRTMDDENFFRRLFKDKNEEKKGAAHDRNDDDKCEEGDKENFFRKLFKDKHEERRSDGLDKHDDDGKGTSGIDDEENSEFLSFRRLFRVHPEDAKSGHIESSQPNGISEGSPGSESFFKRLFRDRDRSLEDSELFGSKLLKEARHQLPEVKNSVPTGYGDKQSGKPPLPNNAIAELRKGCYYASLELVQSLCDTSYGLVDIFPMEDRKIALRESLTEINSQIASTEKNGGVCFPMGKGIYRVVHIPEDEAVLLNSREKAPYLICVEVLKAEAPSHSKGSSDVNKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWEAKVKFVNVSFSVEKLGRSRSVAISDLGHRSRQSTADSNEPSGDSQPIADQPIEWVKVTLSAVPGVNMDDVDDNEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQNAQNIDSKATDGGDPKPTDALAGELWAVKRERIRRSSVHGKLPGWDLRSVIVKSGDDCRQEHLAVQLVAHLYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFLDITSLRDYYVAKYEENSPNFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >OGLUM11G06110.1 pep chromosome:ALNU02000000:11:5242688:5246362:-1 gene:OGLUM11G06110 transcript:OGLUM11G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGGGEAGVTTAAAKATSTATLLSLLRAKSERSAEAEEKVEWIRSQLVGAGAEFDTPFGRRPLVYADHTASGRGLRYVEDYVLHHVLPFYGQYHLPCRFSSHAICELDHDVHGDGEVIDAMLVGNTHTEDSYVGSRTTRMARKAASYIKRCVGAGGAAGGDVALLFCGSGATAAVKRLQEAMGVAAPPGPLRERAAALLRPEERWVVFVGPYEHHSNLLSWRRSLADVVEVGAGDDGLLDLAALRRALRAPEHADRPMLGSFSACSNVTGVLTDTRAVARLLHQHGAFACFDFAASGPYVEIDMRPGEVDGYDAVFLSPHKFVGGPGTPGILLMSRSLYRLSSQPPTTCGGGTVAYVNGASERDTVYLAGVEEREDAGTPPIVGKVRAALAFWVKARVGRGGAVALRERAHADAAMAWLLGNPNVEVLGNVAAPRLPIFSFLVFPGDGDDRRLPLHGRFVAKLLNDLFGVQARGGCACAGPYGHALLGVGDELSLRIRAAIVRGYHGVKPGWTRVSFAYYLSGDELRYVLAAIDFVAAHGHRFLPLYAFDWATGDWSFRRAALKRQLMARELLHCHLGSSSATSSDSDGGEFQTARKTTAAGKVGGDVSADKFEGYLESARRIARSLPDTWQATVTVPEGIDPDIVLFRV >OGLUM11G06120.1 pep chromosome:ALNU02000000:11:5250171:5253704:-1 gene:OGLUM11G06120 transcript:OGLUM11G06120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQRRPPSPPPSPGEYLGCGARAGVCDTLSSPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >OGLUM11G06120.2 pep chromosome:ALNU02000000:11:5250171:5253775:-1 gene:OGLUM11G06120 transcript:OGLUM11G06120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHTALSAAIPSSSSRQWGSSSGGRPLRRLPRASTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPCELKGAAVAKIQGRRSPPRLHALQLWLKIQGIPGIGGQGINPNASPRQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >OGLUM11G06120.3 pep chromosome:ALNU02000000:11:5250171:5253775:-1 gene:OGLUM11G06120 transcript:OGLUM11G06120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRAATRRHTALSAAIPSSSSRQWGSSSGGRPLRRLPRASTSVAARARGVRGGAKPTPSWRSPSIRSGRARPDGASPLRVRLRPGIEGCRPCELKGAAVAKIQGRRSPPRLHALQLERKIGQLQDLKNSAQEVSSDDTLQMFHESILQSSHSLEEPHATLKRATDSSRLQCLSEDVAMLCCMNAQI >OGLUM11G06140.1 pep chromosome:ALNU02000000:11:5284268:5284570:-1 gene:OGLUM11G06140 transcript:OGLUM11G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSCLGAGMMGKKKVSPAKQQQQPVDQNQQQKIQYCSKSKDADAAEVGEEEKKIGGGSDGDHHAGVEKVERRKKKSGSSSSSAPILMYQFPFHSRPGLL >OGLUM11G06150.1 pep chromosome:ALNU02000000:11:5286315:5289226:-1 gene:OGLUM11G06150 transcript:OGLUM11G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase 1 [Source:UniProtKB/TrEMBL;Acc:Q75ZX2] MATAGKVIKCKAAVAWEAAKPLVIEEVEVAPPQAMEVRVKILFTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTDLAPGDHVLPVFTGECKECAHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHVGCVAKINPAAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGIDLNANRFEEARKFGCTEFVNPKDHDKPVQQVLAEMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINTAFDLMHKGEGIRCIIRMEN >OGLUM11G06160.1 pep chromosome:ALNU02000000:11:5295800:5298436:-1 gene:OGLUM11G06160 transcript:OGLUM11G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECDHCKSEESNMCDLLRINVDRGVMIGDGKSRFTIKGKPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCILSCGFSTGFGATVNVAKPKKGQTVAIFGLGAVGLAAMEGARLSGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDHSKPVHEVLIEMTNGGLDRAVECTGNINAMISCFECVHDGWGVAVLVGVPTKDDVFKTHPMNFLNEKTLKGTFFGNYKPRTDLPNVVELYMKKELELEKFITHTVPFSEINTAFDLMLKGESLRCVMRMDE >OGLUM11G06170.1 pep chromosome:ALNU02000000:11:5308212:5310696:-1 gene:OGLUM11G06170 transcript:OGLUM11G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPAIDGGGDYSLEARARLDKARELWDRLGLGSFGAQAEPESSLGRVELEQHASLELFRHCSSPWR >OGLUM11G06180.1 pep chromosome:ALNU02000000:11:5310709:5314937:-1 gene:OGLUM11G06180 transcript:OGLUM11G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRLKILYTALCHTDVYFWEAKGQTPVFPRIFGHEAGGIVESVGEGVTELSPGDHVLPVFTGECGDCRHCLSEESNLCDLLRINTDRGAMIGDGQTRFTARGGQPVYHFLGTSTFSEYTVVHVGCVAKIHPAAPLDKVCVLSCGISTGFGATVNVAKPPKGCTVAIFGLGAVGLATMPYKAELSLFWFPQLFFSDRAGLPCQGIGLGMAQLSGRASTGQTSVVPARPNVCAVGQAMEGARISGASRIIGVDLNPAKFEQAKKFGCTDFVNPKDYDKPVQKVIIEMTNGGVDRSVECTGNAAAMISAFECVHDGWGVAVLVGVAGKDAAFKTHPINFLNERTLRGTFFGNYKPRTDLPGVVEMYMNKELELDKFITHSVPFSEINTAFDLMLSGESLRCIIRMED >OGLUM11G06190.1 pep chromosome:ALNU02000000:11:5322777:5323717:1 gene:OGLUM11G06190 transcript:OGLUM11G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGGRGCGDEPRGMGVHDGGRGRRRRASTRPPLTSPRHRGLRRQASAWREARTAEDVAAAMSPAWGATAEDVAASDVVAGLLPGAPAPPPAIYGAAVNANLRLHPRGRPVHPPPAPQLHRGPSPVAAFIVGRRSRCESGPSSYPSAASGEQRDKTGCKELVDLVMNRMISDRYQDLIHCKHHPPRGKNRMVPDRYHLIPHKYHLIRGRIA >OGLUM11G06200.1 pep chromosome:ALNU02000000:11:5332456:5337077:1 gene:OGLUM11G06200 transcript:OGLUM11G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLAKSCTRSCMINNVVKVEHCERVHIIAASKRICIANCRECIFYLGVNHQPLVLGDNHNLQVAPFNTCYPQLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPSWFGDETQEPTNCNPFPLPETYGTFQSKKIYSHSALEDIQKTIRELQLDENRKRDLATALHAQFKDWLYASGNIRQQYCLQGD >OGLUM11G06200.2 pep chromosome:ALNU02000000:11:5324383:5336188:1 gene:OGLUM11G06200 transcript:OGLUM11G06200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHRATPVAYGWLSTPELGWHLEYQPFLELNHLPDLKLLAPLITQVVKVEHCERVHIIAASKRICIANCRECIFYLGVNHQPLVLGDNHNLQVAPFNTCYPQLRDHLMQVGVDPSVNKWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPSWFGDETQEPTNCNPFPLPETYGTFQSKKIYSHSALEDIQKTIRELQLDENRKRDLATALHAQFKDWLYGNILTCHQYTITFYQHFSRNFDCGFTSRNICCCTIQCQLQLIDRHAVRW >OGLUM11G06200.3 pep chromosome:ALNU02000000:11:5324383:5332637:1 gene:OGLUM11G06200 transcript:OGLUM11G06200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAEHRATPVAYGWLSTPELGWHLEYQPFLELNHLPDLKLLAPLITQKSRTMDDNLTRNSAEYDSFIHPADQKSRLRQIIRNILSNSTINVVKREATELPWKTERERALKVPKCPWYCSSSYSFRLCRVARVSLPSPSTSSSPPSSFVPNQWKAMVPPTREWRPLLVARLVAPSSPAGGPLVRQHPLIHWFALSVKPFGFIGSLLRPLSFVDSLRTHRRFCGSPLLTPHAPHAHPKSGMTSDGNGDGAAAVVVALGISDGGNDWASLRRNLPLRRMLVSKGKATMHGRRRRPSAILLRPSSRTTHVLLILDAAAVDVAEDSLVVALVRMLFGKGKAAMVADIMAEFEGDEQRQ >OGLUM11G06210.1 pep chromosome:ALNU02000000:11:5332035:5333689:-1 gene:OGLUM11G06210 transcript:OGLUM11G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKPDAEQFGDDVSEYMSRIVQVHHEDVTLLIMHDLVHDLARYVMVDEIFDASKQGNITGRCRCRFALLNDCTKPLNSLTHSPTQVRSVRYLQNEENVLQDASFSSAKYMRVLDLSGCSIQKLPDSIGHLKQLRYLKAPGIKDKMIPNCITKLSKLIFLSISGSSAILKLPKSIGERQNLPQDT >OGLUM11G06220.1 pep chromosome:ALNU02000000:11:5338121:5338387:1 gene:OGLUM11G06220 transcript:OGLUM11G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKMAVVAILAALLLMAAVEPALATPPSLLPARKLQMPRLMDVISAESKLACLPAGGFCMFRPMDCCGNCGCLYPIGVCYGSRCEE >OGLUM11G06230.1 pep chromosome:ALNU02000000:11:5347248:5348167:1 gene:OGLUM11G06230 transcript:OGLUM11G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKVTTCIIPSQGAKVTRKTDIEVVDLRGGASDGEKQRSAEIDDGAEETGQACECDVDSATEIGGGTEKGRLRVAEGAVAHACHVGYSQARKPKRGGLPLPVENSSLKNSSHPSEVSASTDWIANRFRHHQPEHHV >OGLUM11G06240.1 pep chromosome:ALNU02000000:11:5360768:5371360:-1 gene:OGLUM11G06240 transcript:OGLUM11G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMRMALESVEALLSDAERQSIDRESVRLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASALKVEEALIVGRTKEKQKIVTLLSEASTWQDTMILPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYRLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLVYMLQSMASDEWESVRNSDIWTQSTSKGHQIVQDDLIHQWIALDLIEPSDIFSARQLSKNYISQLLGMSFLQHSKTPSTVGLYYEDVTLFTMHDLVHEVARSIMVDELLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARILGEPYRTAIFELIILHENWRTTKRIGSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELLYLNLSMCLHAMFGHQSAGENQSLVECISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEINMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDADLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETCDCPKLRLHPCPPRAVKWDIWSISYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLHQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLMSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVFMPITIETIRLAWQGKTTGALRLRIIDSAQFTNEDHMNLTHTNNLEQTERFSHPIS >OGLUM11G06240.2 pep chromosome:ALNU02000000:11:5352907:5365124:-1 gene:OGLUM11G06240 transcript:OGLUM11G06240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQYLNLSFSSYLDGAPSTEVLSTLTKLEYMNLSSELSYIGKLPEALGCFTELKYLNLSGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELLYLNLSMCLHAMFGHQSAGENQSLVECISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEINMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDADLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETCDCPKLRLHPCPPRAVKWDIWSISYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLHQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLMSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVFMPITIETIRLAWQGKTTGALRLRITTQYPREGAMAQVGGMLTAAVLKIVAEKIGSIIGGEIKLLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKCATISCCFTAWSNIKMAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLADGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALRFMGCSIDNKLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLMYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDLSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELSGALGNLRKLEYLNLSSCSYILGGSAMEVKGIPEALGGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELKLEWAKDAVRFVEDIELVGEIIPPTNLMEFEIHGYNCTKFPAWLMGIAPYLPNLVRLTMMDMPCCISLPPLGQLPNLEELTLEKMKSVTKIDGDFCGGRRPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPLRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTLSINCKVSLHEWRLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLLESMGCLTSLEKLNISFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEIVCVLKSYISANFLPAFYISRRLFKYRI >OGLUM11G06240.3 pep chromosome:ALNU02000000:11:5360768:5371360:-1 gene:OGLUM11G06240 transcript:OGLUM11G06240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGGMLASAVLRLATQKMGSAIGDQILLQWDFQGDLQEMRMALESVEALLSDAERQSIDRESVRLWLKRLKHCSYDIADVLDEAEAITNPTAGKIVCMPIIPKITLANKMKVMRKKLVKITNQHKDFGLIEGANCNVQQVTDPRASALKVEEALIVGRTKEKQKIVTLLSEASTWQDTMILPIYGIGGIGKTTLAKLVFNGTGFKDYCQAWVYVSQTFDLNKICNSIISQISGHETMMTEKQMINRRLEELLAGRKILIVLDDLWEKGGSELDELKLMLKADENSNIIVIVTTRDESIAKKICTVQPYRLEPLTDDMCWTIIKQKSAFNDRDDKEQLEPIGRDIAKKCGGVALAAQSLVYMLQSMASDEWESVRNSDIWTQSTSKGRSSPHHNVLASLLLSYSNMLPYLRLCFAYCAIFPKGHQIVQDDLIHQWIALDLIEPSDIFSARQLSKNYISQLLGMSFLQHSKTPSTVGLYYEDVTLFTMHDLVHEVARSIMVDELLYSRKEGDIRGSSRYALLTDCGKPLKFLTNRPTKIRALHFLDCAKIVLHGTAFSSARCLCVLDLSECYVHKLPDSICQLKQLRYLKAPDIKGQMIPKCITKLSKLSYLNLCGSQRVMALPESIGRMECLIHLDLSWCSQLRELPISFGKLKKLAHLNLSNCSQVTGCRGIEKLPKSFGSLRNLVFLDFSNCYRVEGIPEALCGLTKIQYLNLSRCCYGNGLHLRGLPEVMRNLIELLYLNLSMCLHAMFGHQSAGENQSLVECISNLSNLEHLDLSKNISLSGLPESLSNLRKLHTLDLSGCSKLERIPENIATIDSLKFLIVVNCWKLDKSRLSRFNDNSILLPHFIVQAGDGESSSNLVQLQDANPAELEISNLENVKFAKDAQMIKMLQKQRILKLKLHWTSGSRRYVEDMEVLKELLPSSTLVHFEIQGYNSISFPGWLIGISSYLPNLVEINMEGLIMCKNLPPLGQLPSLQELVLQRMPAIKKIDADLCGGARAFPRLRKFILSDMENLEEWSTTYSCGENFVNQFMFPNLQILETCDCPKLRLHPCPPRAVKWDIWSISYLMVIFCKVPFHKWRLLHHLPSLPSLSINSCNDLTGSPEIIQELSSLRSLTLHGNYEAELPQWLGELTSLHQLWISSNCPELKASQEIIAQLTSLQSLYLTSCGSIEALPQWLGLLMSLQDLEISRCPKLNNIKGSMPLHSLRSLHLGYCGSIPQLPERLGGLTALTELSIWNCGGIKFLPESIQQLTNLLILDIAACPELKLWCTADENVMKLAHIKRKVFMPITIETIRLAWQGKTTGALRLRIIDSAQFTNEDHMNLTHTNNLEQTERFSHPIS >OGLUM11G06240.4 pep chromosome:ALNU02000000:11:5352907:5360757:-1 gene:OGLUM11G06240 transcript:OGLUM11G06240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVGGMLTAAVLKIVAEKIGSIIGGEIKLLCNLNDDLEDMRMTLESVLALLKDAERQSVKSVAVLLWLKRLKFAAYDISDMIDEFEADAMTKAVAPKCATISCCFTAWSNIKMAKNMKKMRVQLEKIAKQNKDFNLMPESSSTVQVLYDDRATSPNVEESVIVGRAQDKQRILFYLSDKILTQDFIILAIYGMGGIGKTTLVQLVFSDTKFREYSLVWVYVSQVFDLNKIESSIISQLPKMDLSMSDSEVAPTNRNILIVLDDLWENNGFKLDKLKLKLKVRTGAKVIVIVTTRDEDIARRFSNVEPYKLEPLADGMCWNIIKQKSAFEDRGDKERLEQTGREIARKCGGVALAAQSLGYLLHSKRLDEWESVKDNDIWNESTSEDASSPHHVLASLKLSYVKMQPSLKLCFGYCAIFPKGQKIVKDDLIHQWISLNFIKPSKTAGDYHDNFILLTMHDLVHDLARSVMFDEIQNDGLQGDTSGRNCRYALRTEFSKPLETLRALRFMGCSIDNKLHNDSFSSAKYLRLLDLSECSIQRLPDSIGQLKQLRYLNATGVQHETIPDGITKLLKLMYLSLRGSSGIQALPEFMGEMEDLMYLDLSDCSRIIRLPVSFGKLTKLVHLDLSHCTRVRGVSESLESLTNVEYLNLSNCKNIGELSGALGNLRKLEYLNLSSCSYILGGSAMEVKGIPEALGGLTNLQVLNLSHCYNIFENDVYIRRKVEAIGNLKKLQYLNLSDLLNKKCHDKSTYVSFFECINTLSNLEHLDLSHNEYLRSLPDCFGSLKRLHTLDVSGCSFLDKIPPSIHNIDNLKFLHADTRIYLGKSMFCLLNESSVSLPHFVVQANANGSGSNLVLLQDVNPPKLEISSLENVRSINEVQIIKLLEKQRIEELKLEWAKDAVRFVEDIELVGEIIPPTNLMEFEIHGYNCTKFPAWLMGIAPYLPNLVRLTMMDMPCCISLPPLGQLPNLEELTLEKMKSVTKIDGDFCGGRRPFPRLKKFVMRDMESLQVWNTIYCSGGDGVSEFMFPILPELSIFRCTKLRLTPCPLRAEKWNIWGSDGVISSWEESAADIIASCSSPLVTTLSINCKVSLHEWRLLHHLPDLKGLIINDCNDWTISAEIIRALSSLESLTLERWYNQAQLPNWLGQLVSLKELKINRFEMNESQEDIKHLTSLQKLCLHRCTSMTKLPQWVGDLVSLQKLEILSCPDLKYLLESMGCLTSLEKLNISFCDDIESLPEGIEKLCKLEYISMSGCPKLKRWFQLDDNRKKISHVKEIVCVLKSYISANFLPAFYISRRLFKYRI >OGLUM11G06250.1 pep chromosome:ALNU02000000:11:5418191:5422084:-1 gene:OGLUM11G06250 transcript:OGLUM11G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLSGAVQWWEEWQLRILVLGSLGVQFYLAIFANARKKHIRPLFRFSIWLAYLGGDAVAIYALATLFNRQKKLQDKTGSHDLEVLWAPILLMHLGGQIFSISAYNIEDNELWRRHIVTSVSHVIVALYVFTKFWSPSADRRLLAAAILLFIIGVFKCFDKPRALMSSSFTSIVSTFHPSPRTESIDREVEQEEYIQKAKDFMQFKEHATSSSSNPTISEEHAHLSLPDKLFVDFAYSYANRLTKLESFWLLNADRVYKALCEGLSHTFNLIYSKVWQKDDQNRAAASIDIIVTFILLYVTYFMEFATILTWGYYSVDEWSNVVFQHNLIGFLVCKKRHKKLMAIADCLQCKGLLDQYFHLEPCYSSEDITNLLSAHAKDGWLNCIMDVQSYWKFSDTRGHWTLECNECEDTVIRSNIEKPFDESIILWHLATDFCFHHKDASPDSDECAKPCRQISNYMMHLFRRVLSTAAYEELEDILQGDDVSFLDEKELTQEIIGKAEFAECGFIRDAWILAKELKQLGDEKKMWEVIKGVWMEMLCFSAGRCRGYLHAKSLGTGGEYLTVVSLVMSHAGLETFAERL >OGLUM11G06260.1 pep chromosome:ALNU02000000:11:5425495:5429044:-1 gene:OGLUM11G06260 transcript:OGLUM11G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVHDVARSIMADELLDSSTKGKIQRTSCRYALLRDCRKPLKLFVTFPSQIRHLRYLNAPGIQDRNILSIVKLSKLYFLNLSGSARVTALPKSLGEIEGLVHLDLSGCSGIRELPESFCKLTNLIHLNLSDCYRIEGVSEAMSNLTELQYLNLSHCSSYRGRLHLKGLREILGNLTKLRYLNLSKCIDTIFGSAPTDQSCRFIECVGTLCNLEHLDLSKNNSLNSVPESLGRLRMLHTINLSGCCNLMQLPKSIGEIDSLKFLTVTDCRALDKSTLPCFSNNLILLPHFVVQASDSEFSSNIGLLQDANPTELKISSLENVKSAEETLGIKLSEERRISKLIFQWSASAKRFMEDIDVLRDLLPPSTLQHFDLQGYKSISFPGWLMNISHYVPNIVKIKLEDLPMCNILPALGQLQNLQELFLGTMSSITKIDGDFCGCVRAFPQLVKFILYYMKSLEEWTTTYSYGEDFVNEMMFPKLQRLEIRNCPKLKLKPCPPKTVDWKIESSDNVISSWGAGCTDTYSSSSPVTNLEVDSCKVPLCQWRLLQHLPVLPFLSINRCNDLTSLPDINRDPSTIELLSPQDISEMAAPKYLCELTSRKLKVNVPQEIIRRPISLQSLRLSNCASITSLPQWLGDITSLEKLEIFNCGGIGSLPQNIERFNNLKYLHIFGCPRLKVWCQYKEHKMWLRHVEKIIRRSRKYREADDIILNITLS >OGLUM11G06270.1 pep chromosome:ALNU02000000:11:5444965:5450756:-1 gene:OGLUM11G06270 transcript:OGLUM11G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVHKPGHRHQAPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQFAYATSAAKLIARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASMMNVQVWNFRSSRCEFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRVVIGHQAGLVITEIRNEQPGPSNRRPIEQCGEET >OGLUM11G06270.2 pep chromosome:ALNU02000000:11:5444965:5450756:-1 gene:OGLUM11G06270 transcript:OGLUM11G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVHKPGHRHQAPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQLIARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASMMNVQVWNFRSSRCEFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRVVIGHQAGLVITEIRNEQPGPSNRRPIEQCGEET >OGLUM11G06270.3 pep chromosome:ALNU02000000:11:5444965:5450756:-1 gene:OGLUM11G06270 transcript:OGLUM11G06270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDDEERSLWVVSGDPIAMMDQPFDFNYSESFYLLSDGGGHRHQAPDSTMDPTDITFRLLEEITDGFSEERKIGQGAYGTVYKGEFRNGKEIAVKMLHNDTLGFDDKQFENEFQNLMRLEHPNIVRLVAYCYETQHKYAEYKGRIVFAAIIHRALCFEYLTGGSLENHLSDEFHGLDWPTRFKIIKGTCEGLKYLHEGLKPPIYHLDLKPGNILLDKNMVPKLADFGLSKLFTEEKTRVTQTPIGTVGYLPPEYIEKNVLSNKLDIFSLGVVMLNVIAGPRGRSRSAEMSSQEFTDLVLGNWTVRLQATWNGSSLEAYRQQVKTCTEIALKCVEIDRHKRPNILDIVNKINETETMIGKLPISHGSEVRFVNDHREKPTSYSDEFITLESRLASHLNLSDTQVNQEAYHHNGSSFKEKEEDREVHQIIPMENPDIPIDAHPTEPWILTGNIFGSVDILNCDTQLIARKQWLVVGYHDGFIRVYTYESPVQQVKRFKAHSWNITCLDVHPTEPYVLSVGLLDPIKMWDWNKGWECIRMFDMQGVLAHGIKFNPHDSYKFAAASMMNVQVWNFRSSRCEFTLFGHGSAVSCFDYFTRGNQQYIITGSLDKTARIWDCKSRTCVQILIGHMDCVTCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGKVGAIVCLKGSKRVVIGHQAGLVITEIRNEQPGPSNRRPIEQCGEET >OGLUM11G06280.1 pep chromosome:ALNU02000000:11:5450800:5450997:-1 gene:OGLUM11G06280 transcript:OGLUM11G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDFPVRPGGGEAAAEGEGERMAVARLAARTVRWLVRDSLLPMRRRRYAGRRRSPVARCGGAS >OGLUM11G06290.1 pep chromosome:ALNU02000000:11:5451044:5451553:-1 gene:OGLUM11G06290 transcript:OGLUM11G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGEDELLFLNDGAEDMKVNFVSSPSGGAKMISKIYWPKWQISVRVENEESELATRSAKHTRTSFSSSMALAPADG >OGLUM11G06300.1 pep chromosome:ALNU02000000:11:5451646:5452197:1 gene:OGLUM11G06300 transcript:OGLUM11G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSSNIFPSLNLATSVDGDDDDRGNPQPPPTTADDRGGGILQPMTAMTVEEESPASSVGGILDTATDAAAPQALAAAPRPRSSSRSRLLQWQPTDDDDGLRLLFRSGPPHLLIHLPFLAAATSTAAAVAAALTTNTICGCVGRSGGGGSGDGAARRGGRGSRGDGAGEGGGGGNHLPWLQP >OGLUM11G06310.1 pep chromosome:ALNU02000000:11:5452257:5454857:-1 gene:OGLUM11G06310 transcript:OGLUM11G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKDGEGMDEALIHPMIRRKCPCFATASRPPPFDTVRRGGGVDDYWERQCAVVSRPSGSHERSDRGV >OGLUM11G06320.1 pep chromosome:ALNU02000000:11:5461610:5463039:-1 gene:OGLUM11G06320 transcript:OGLUM11G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSEGESSAAAAGLVGIAKESRKLSYLLSEDDPDMCLKYDMCEYIRAYAAEVLTKLEKEFSCNTAGHAAENGITASDKSEKPIGVSTVGDLNCEQPDSMGKNECDLKDSNVKWNSNMKKLKKARKKRLKRAEKRRLKREKKRLKREEKRKSEDQTEG >OGLUM11G06330.1 pep chromosome:ALNU02000000:11:5468461:5488880:-1 gene:OGLUM11G06330 transcript:OGLUM11G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNHPNIVQLVGYCYEIKHTHGQVKGRPVLVATIHRALCFEYMPRGSLENYLSDKVDGLDWPIRYKIIKGTCEGLKHLHVEMKPPIYHLDLKPANILLDNDMVPKIADFGLSRFITEDKTMTTKTLVGTITYLPREYIERKEISNKLDIFSLGVVMLEIIAGPGPTGHFRSTEIPPQEFTDQVLGNWKTRLETGRNGSLLEAYCQQVKTCTEIALKCMETDRENRPNIVEIINQINEKEAIIGELPIDHALEKLPSHNNESVTLESKLPSHLNLNETKENHEADHHNSSCSKEKEEDREEDQDLDEQYVWLITAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHAWSWTITTLDVHPTEPYLLSVGSQDQIKLWDWNKDWECIRTFDPHGVAYQIKFNPKDTHKFAVASLMDAQVWNIRSSRHEFTLSGHVSIVDCFDFFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNATTFKLEGVLDFELGKVTAIVCLKGSKRVAIGHDAGLVITEIRHGKPAPSNR >OGLUM11G06340.1 pep chromosome:ALNU02000000:11:5503192:5510310:-1 gene:OGLUM11G06340 transcript:OGLUM11G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTNVPYQLLKEITDGFSEERKLGKGGYGTVYKGEHKNGDKIAVKILHTEGFDDNKFNNEFGNLMMANHTNIIRLVGYCYEIKYTTGLAKGRLALIATIDRALCFEYMPRGSLENYLSDEDDGLDWPIRYKIIKGTCEGLKHLHVEMNPPIYHLDLKPANILLDNNMVPKIADFGLSRFITEEKTMITKNLVGTLPYMPREYIERKEISNKLDIFSLGAVMLDIIAGPTGRFRSTEMPSLEFTNQVQGKWKNRLQTRRNGPLLEAYCQQVKTCTEIALKCLEIDRENRPNILEIINQINEKEDIIGEIIPMEHPDFPRDVHPSEPWYKFSLVTAAKFIARKQWFVVGHHDGFIRVYTYESPVKQVKRFKAHVWNITTLDVHPTEPYLLSVGSQDQIKLWDWNKGWECIKTFDLHGLAYQIKFNPKDTHKFSVASLKDAQVWNIRSSRHEFTLSGHGYIVSCLDYFTRGNQLYMITGSWDKTAKIWDCQRRTCVQTLEGHTDCITCVCSHPDLPILLTGSNDETVRLWNSITFKLEGVLDFELGQVTAIVCLKGTKRVVIGHDAGLVITEIRHEKPGPSNR >OGLUM11G06350.1 pep chromosome:ALNU02000000:11:5518542:5521166:1 gene:OGLUM11G06350 transcript:OGLUM11G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAACLFFFFFFFFFFFFFFFSRLITIYFFSPIAHARHPVTRAPDAGDGCVCKERDALLDFMRGVNDADNALASWQWEKDCCRWIGVTCSSNRIRMAGNVIRLELSEASLGGQVLQGRMSPSLASLEHLEYPDLSALVLPGINSSSPKFLGSMTNLRYLDLSGCLFSGNVPPLLSNLSKLEYLDLSFSTLSGRVPPELGNLTRLKHLDLGNMQHMYSADISWITHLRSLEYLDMSLVNLSMVAPGWPQVLNTIPSLEVLNLAKCTLPSTPQALAQLNLTKLVQLDLSSNRLGHPIQSCWFWNLMSIESLELSETFLHGPFPTALGSFTALQWLGFSDNGNAATMLVDMRDLYALESLCLGGSLSHGNIEDLVDRLPHGITRDKPAQEGNFTSLSYLDLSDNHLAGIIPSDIAYTIPSLCHLDLSGNNLTGPIPIIENSSLSELILRSNQLTGQIPKLDRKIEVMDISINLLSGPLPIDIGSPNLLALILSSNYLIGRIPESVCESQSMIIVDLSNNFLEGAFPKSFQMQRLIFLLLSHNSFSGKLPSFLRNSNLVNYVDLSWNKFSGTLPQWIGHMVNLHFLHLSHNMFYGHIPIKITNLKNLHYFSLAANNISGAIPQCLSKLTMMTGKHSTIIEIDWFHAYFDVVDGSLGRIFSVVTKHQEQQYGDSILDVVGIDLSLNSLTGGIPDEITSLKRLLSLNLSWNQLSGEIVEKIGAMNSLESLDLSRNKFSGEIPPSLANLTYLSYLDLSYNNLTGRIPQGSQLDTLYAENPHIYDGNNGLYGPPLQRNCLGSELPKNSSQIMSKNVSDELMFYFGLGSGFTVGLWVVFCVVLFKKSWRIALFRLFDRIHDKVYVFVAITWASIGREATTD >OGLUM11G06370.1 pep chromosome:ALNU02000000:11:5524739:5526151:1 gene:OGLUM11G06370 transcript:OGLUM11G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVAGRLSGLLRRCAAAGAVRPGEHAHARAVVGGWLPDATLETDLVLMYCRCGERRRARRVFDGMRAPSMHAYNVLLAASPPRDAMEVFSRLLASGLRPDGYSVPAVVRACAELPDAVLGGVIHGFAVRLGLMGNVVVAAALLDMYAKAGFLDDAVRVFDEMTERDAVVWNCMVAGYARAGRAVETFEIFSRAQVEAVNMVNGLQAVPSVLNICAKEGELMKGREIHGRMVRCLAFDSDIAVGNALINMYAKCGRVNVSQAVFSGMQQRDVVSWSTMIHSYSIHGKGEQALKVYMEMLSQGVKPNWITFTSVLSSCSHSGLVTEGRKIFESMTKVHGVHPAAEHYACMVDLLGRAGAIEEAVGIIRKMPMEPSASVWGALLSACAMHNNVDVGEIAAFRLFELEEGSASNYVTLCGIYDAVGQSDGVAGLRSRMRELGMVKTPGCSRIDVKGRAHAFYQGSIPRYLRG >OGLUM11G06380.1 pep chromosome:ALNU02000000:11:5528478:5532002:-1 gene:OGLUM11G06380 transcript:OGLUM11G06380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGP4] MAAAVAVVAVVGCVLLGGGVWGFPEEDLVARLPGQPVVGFRQFAGYVDVDEKAGRSLFYYFAEAAEGAAAKPLTLWLNGDGIGVVIVNGDYDGRRCFLNWKHKKNYICSTPSKKKEIKNNFNLHVDILFTSTPTTLQLAKEEQGPGCSSVGGGAFTELGPFYPRGDGRGLRLNNKSWNKVSNLLFVESPAGVGWSYSNTSSDYNTGDARTANDMYKFLLGWYKKFPEYRSRALLLSGESYAGHYIPQLTDVLLTHNEKSNGFKFNIKGVAIGNPLLKLDKDVPATYEYFWSHGMISDEIFLSISHSCDFEDYTFSNPHNESKSCNDAIAEANTIVGDYVNNYDVILDVCYPSIVMQELRLRKYVTKMSVGVDVCMTYERYFYFNLPEVQQALHANRTHLPYGWSMCSDVLNYSNKDGNINILPLLQRIVEHKIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLHVTVPYSSWFRRGQVGGWVTEYGNILTFATVRGASHMVPFAQPDRALGLFQSFALGRRLPNTTHPPIN >OGLUM11G06390.1 pep chromosome:ALNU02000000:11:5538076:5542009:-1 gene:OGLUM11G06390 transcript:OGLUM11G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRRARSSGCDQVAVVDLVDSDDECKNKRPRRTIKPAARNASQSALPSGLDALNPNRRMLRTASSNRDKKNKDKLDTEIFELYLEGLWKDIDEEKRSAYAYFDSLWFHMYTSGHNKSNVLKWIKAKKVFSRQYVFVPIVIWGHWNLLVLCNFGETDYLGTDKGPRMLLLDSLKTTNPTRLRSNIKRFIADIFKTEEREENEQFINKICLEFPEVPQQNGDECGIYVLYFIYCFLQNKALGEDFSQLFDDPEEWENFRKGVHSFREIQQQITGSSSWWHNLRKYEDCQEFVCHIVHAVKRKMAISPT >OGLUM11G06400.1 pep chromosome:ALNU02000000:11:5542246:5555667:-1 gene:OGLUM11G06400 transcript:OGLUM11G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQASRRACKEEGRRWGQRGSVRTGPEAAARQGGAMAAGSACLGEEAARRQWLDNEGRTDEVVQQRLLGKAELAAVVRRGEEGPWRRCLLGDEGRHQRGGGPAADPDPELLHCAGGGYGHLQKRRLQQVSPSVAKLAGKIQFPAGVAFRFRSLNFIANKAGLLRPVPSVASPTATTTEAPFGLPNFAAAVTKIAHVEDINPSDKQHWASFRGRRTNNWTSHSAVGGIPPVGPVKAQIQRPRAADKVNPLA >OGLUM11G06410.1 pep chromosome:ALNU02000000:11:5559036:5559473:1 gene:OGLUM11G06410 transcript:OGLUM11G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGP7] MRVVSGRSLLQDDAVDVDGNDDTTPLSSSPAPRQFGDIVVLNNALTEGPDAGSARVGTAQGFGVRVSEGGLVTDLSMHLVMEAGEHRGSSVAIKGRIDVGVGVRESVVVGGTGRFRLARGYVASSSYDYSLAAGGVVEIHVYLQH >OGLUM11G06420.1 pep chromosome:ALNU02000000:11:5569293:5569829:1 gene:OGLUM11G06420 transcript:OGLUM11G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGP8] MASLSSLLLGCFLLAAAVFLHRNGASTTTTHLHFYMHDAYTGPAPTAMRVVSGRSLLDDGGDAAPRQFGDIVALNNALTEGPSAGSARVGTAQGFAVRVSEGGVVSDLSLHMVLEAGEHRGSSVTAKGRIDMDAGERESVVIGGTGRFRLARGYMVTKNYDYSLATGGIVEIDLYLQH >OGLUM11G06430.1 pep chromosome:ALNU02000000:11:5576644:5577186:1 gene:OGLUM11G06430 transcript:OGLUM11G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGP9] MPLLLQLALAVAVAVAMAGTATTTTTHTRFYMHDTVTASASGGGPAATAVRVVRGAAAAALPGDAVNRFGDLYAVDDPLTDGADASSAAVGRARGFYMFASRTDSALLFSATMEFTAGVHRGGAVSVLARDAILDEVRELPVVGGAGVLRGAAGYGLLRTHSFNATTNNAVLQIDMYLTV >OGLUM11G06440.1 pep chromosome:ALNU02000000:11:5579666:5580213:1 gene:OGLUM11G06440 transcript:OGLUM11G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLLLLLLLTTGIAAGQQPPRRTTAAPCDPLCISGAAGAGATPEAMAAAAAAAAMAGNESESALLPTPRQLDRPDSSGLPSTHQSWIYYGPLPTTPYPYSKAPPASSLLCAATAAAAVVFSTMLLVAAAVR >OGLUM11G06450.1 pep chromosome:ALNU02000000:11:5582544:5583062:-1 gene:OGLUM11G06450 transcript:OGLUM11G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFLLLVLVLVLLAPLLPGTTGDQQHDHLPAAAAGGNTTTAPSRDPLRIVVRAAGGGASPSGTVAAATGDDASTPPPPPPQLSRPNRDLPTVPSPHDHEPVPTPPSPDFFPDSALRTIPANAIAMSAILLLLLIAATH >OGLUM11G06460.1 pep chromosome:ALNU02000000:11:5586020:5589594:-1 gene:OGLUM11G06460 transcript:OGLUM11G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIIFLLFLIACVVDRSVNVHCEKQLVSSFDKHDNASSSLAELFSGKRIPLFRYITNKTSRLSTKAVQVGWDRGLQTSLYVISVGLGTPAKTQIVEIDTGSSTSWVFCECDGCHTNPRTFLQSRSTTCAKVSCGTSMCLLGGSDPHCQDSENYPDCPFRVSYQDGSASYGILYQDTLTFSDVQKIPGFTFGCNMDSFGANEFGNVDGLLGMGAGQMSVLKQSSPTFDGFSYCLPLQMSERGFFSKTTGYFSLGGKIAATRTDVRYTKMVARRKNTELFFVDLTAISVDGERLGLSPSIFSRKGVVFDSGSELSYIPDRALSVLSQRIRELLLRRGAAEEESERNCYDMRSVDEGDMPAISLHFDDGARFDLGSHGVFVERSVQEQDVWCLAFAPTESVSIIGSLMQTSKEVVKSQQYYTKE >OGLUM11G06470.1 pep chromosome:ALNU02000000:11:5614560:5618456:-1 gene:OGLUM11G06470 transcript:OGLUM11G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAISWISGALFIWMFLIDVAEPTKRAIKSIKADDGDVIDCINIYQQPAFNNPRLKNHTISTIKTRPGKLPFSKRAKTARQAWQNNGRCPDGTIAIRRATQQSQLEVDATQPNGCSIEYAGIQAPQTVYGARGDVNVWGIRVEPNEWSTNGIVITNGHGASLQFGWMVAPTLYGESHGKTRLFIRTVDPQNGVDCFNLNCAGFVQISNEYAFGAALAPLSEYGDVQYEIHLTIYKDMLSNRWCAMYGDTMLGYWPLEAFPAFDKGEEAFWGGQVCNMHEGQEYTTTGMGSGYHPIEGMGKSAYIHGIQVMQIDKSWQRPTRTSGNMSNQPCYGVEPYESKDGALSIFFGGTANMACCGLACQSPGK >OGLUM11G06480.1 pep chromosome:ALNU02000000:11:5623197:5623759:1 gene:OGLUM11G06480 transcript:OGLUM11G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRFLLLAGLLLATAVTAAGEQQPRRTAMADHQQPAATAAPCDPLCISGAAAGATPEAMAAAAMAGGNESESALPPRQLDRPDSSGLPTTHQSWIYHEPVAMPYSTAPPAAISLVGATAAATAVFSTMLLAAAAAR >OGLUM11G06490.1 pep chromosome:ALNU02000000:11:5631135:5637915:1 gene:OGLUM11G06490 transcript:OGLUM11G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGQ5] MQGANMLLDEPVRLTSVLTPVKPKVFPSLTKIVGTLGPNSHSVEVIQECLTAGMAVARFDFSWMDASYHQETLNNLRKAAQNVNKLCPVMLDTLGPEIQVHNSTGGPIELKAGNHVTITPDLSKAPSSEILPIKFGGLAKAVKKGDTLFIGQYLFTGSETTSSWLEVVETSGENVECLVTNTATLAGPMFTLHVSKAHVSLPTLSDYDKEVISTWGLHNSVDIISLSHTRSAEDVRELRSFLQSHGLQDTQIYAKVENTEGLDHFDEILQEADGVIISRGDLGIDLPPEDVFISQKTAIKKCNLAGKPVIITRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETHRGPYPVDAAESVYNQLVHFKKLVKHVGDPMPHEESVASSAVRTAMKVKAAAIVVFTFSGRAARLVAKYKPPMPVLAVVFPREGSDPTKWRSYGTTQARQCFAARGVYPLMASTEEAETGGLTREEYGIKLAQNYGRSVGMLKPYDRLIIFQKIGDSSVVKIIECDSS >OGLUM11G06500.1 pep chromosome:ALNU02000000:11:5636824:5638012:-1 gene:OGLUM11G06500 transcript:OGLUM11G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G09350) TAIR;Acc:AT4G09350] MAASTASAPFTPLLHRRRASVHGRRGSGRAFVAVVVAAAAGGAPETEPSPATAAGAAAQGKKKTVDTRIHWSDPDEGWVGGNAKKDGGGRKKEPLGGRFADLINNPSESHYQFLGVEPKADIEEIKAAYRRLSKEYHPDTTSLPLREASERFIRLREAYNVLSREESRRFYDWTLAQEAESRRLQQLRSRLEDPYQQDLDSYQSVPDMVDRLGGRNMDLSDQAMTALTIDIGIIIFCVFCLIYAVFFKEQY >OGLUM11G06510.1 pep chromosome:ALNU02000000:11:5639904:5644173:-1 gene:OGLUM11G06510 transcript:OGLUM11G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G31390) TAIR;Acc:AT4G31390] MELCTSSVSGSVQWSRISASDCRTRSHGYASSSSWVCQRRRKTSFYVMNAASTGALPPTKKLIPRTNVRNISGDKPSSALEQLDIERGVCIPFRKYTPEMVLNTSNGYIYRVRNRVLGSRGSVLSLASRGVEIIWKLGLYWSALMYDFLVGRDEEIVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFSIIEEELGQPLERLFSRISSRTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPQVYKQYSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLQPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLIVLAKENVSKMSSNPALKKNSSQIERNRQMEKKLDLTDTIKDGARLFLIDAGIRRQLIMAFTEDSKLHVEELVDVYRLVEDQIDIPSVALEVIQDLPSVARDFMLSWSDSILSDRQY >OGLUM11G06520.1 pep chromosome:ALNU02000000:11:5659945:5662631:-1 gene:OGLUM11G06520 transcript:OGLUM11G06520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRLRRALAAASTSASPFLRPAASASRSAPLAAAPLPPPHQVSRPWLPAAGFRSSAAASAAARGDDYGRRDVDEKISPDEILFEGCDYNHWLITMEFPDPKPTREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYVGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYENGVITPRPPPVHYSKPSRTDRNRNYRGNYQNGPPQGNYQNSPPQGNYQNSPPQGNYQNSPPPYGSQQDGRGYAPRQNYADRPGYSGTSGGYQSQTTQYQGHANPAGQGQGYYNSQERRNFNQGQGGDFRPGGPSAPGTYGQPPAPGNYAQPHPPTYPGSNQGSPGVNPGYGGNNRQGPGPAYGGDNWQGGSNQYPSQSEGQQESWRVRKHLVYDYLLVKLLF >OGLUM11G06530.1 pep chromosome:ALNU02000000:11:5663466:5663801:-1 gene:OGLUM11G06530 transcript:OGLUM11G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNERRRRRGAGGKLLCHLRAVRGHGKADRDGFHVAHALDAQAGRRGGRRGGGGEDGGGKGGDEVVAEWREGEGGDEAGVGEEGDKEAKEGREKGDDGGEGGEEARTGMK >OGLUM11G06540.1 pep chromosome:ALNU02000000:11:5664959:5665484:1 gene:OGLUM11G06540 transcript:OGLUM11G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASLAGPRGLGNSGNGKDSPAVVDNVGFTATARLSGGMLREGAWVRGIGDGTRRPELEKMTAISLVCARFLKFLEGFWP >OGLUM11G06550.1 pep chromosome:ALNU02000000:11:5665509:5665778:1 gene:OGLUM11G06550 transcript:OGLUM11G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLEMDHVGRNSDDVMAAAPGASSGALVGKVAFPLLQWIKRDGVKRRFARHDVGSLERERFGDGDDSSATASVIFFAIDDENVGDGEA >OGLUM11G06560.1 pep chromosome:ALNU02000000:11:5672330:5684759:1 gene:OGLUM11G06560 transcript:OGLUM11G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGR2] MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANPSRITCIQRDLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLFTEEEHPAAVIHLMYSSDKVGMTEQEHRAYARVGLLGNPSDMYGGKTLSFTISNFWATVHLAPSDDGGPLVIRPHPRHDLVDFASLPQLVTRLQNEGYNGGVRLLMAICKVFYSHCIQHGIALKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDINLLPPLRLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALLDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGEAQVLLLEKACRDAGFLVQRIQVAPSPLPLTEGNPPF >OGLUM11G06560.2 pep chromosome:ALNU02000000:11:5672330:5677888:1 gene:OGLUM11G06560 transcript:OGLUM11G06560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGR2] MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANPSRITCIQRDLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >OGLUM11G06560.3 pep chromosome:ALNU02000000:11:5672330:5677401:1 gene:OGLUM11G06560 transcript:OGLUM11G06560.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGR2] MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANPSRITCIQRDLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLVSSRPTAVNLSDAATKLRSLVSRTAETEKDAKAIFQAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >OGLUM11G06560.4 pep chromosome:ALNU02000000:11:5672330:5677401:1 gene:OGLUM11G06560 transcript:OGLUM11G06560.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGR2] MNTFGRRSNTWSARTNRVLDLHRPLGSTALFRSTRYSFVANPSRITCIQRDLGEKAAKHSSDIYSSPDTQGTDKIASGGASSTRSSTPPDSPGFLSISAEFRSAPAMGELGALQSIVYHRGSLRLLDQRKLPLEVDYIDVKCSGDGWNAIRDMVVRGAPAIAIAAALALAVEVSGLEDFTGTPAEAAAFVSEKLEYLAYIDAAETMLVDDVSDNKAIGSHGAEFLKQKLEVSKDISVLTHCNTGSLATAGYGTALGVIRALHSGGILEKAFCTETRPFNQGSRLTAFELVHDKVPATLIADSAAAALMKSGCIQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPITSIDLSLPSGEQIVIEERSPNELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKSDADETFNIKDFIQSAKLYSTMQ >OGLUM11G06560.5 pep chromosome:ALNU02000000:11:5680256:5684759:1 gene:OGLUM11G06560 transcript:OGLUM11G06560.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthioribose-1-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGR2] MVISAFFVGSGEGLKHQHQVVGAQRDREINSIPSASTPRDSRLGPPPISIPRPDQGAPPSRCSHPISIPSHLDVSKSGPGITKNRKPTYVGMTEQEHRAYARVGLLGNPSDMYGGKTLSFTISNFWATVHLAPSDDGGPLVIRPHPRHDLVDFASLPQLVTRLQNEGYNGGVRLLMAICKVFYSHCIQHGIALKEQNFTLSYDTNIPRQAGLSGSSAIICAALSCLLDFYNVRHLIKVEIRPNIILDAEKELGIVAGLQDRVAQVYGGLVYMDFGKEHMDTLGHGVYTPLDINLLPPLRLIYADNPSDSGKVHSTVRQRWLDGEEFIISSMEEVARLALDGRKALLDKNYRELARLMNRNFDLRRQMFGDDVIGTVNIKMVEAARSVGAAAKFTGSGGAVVALCPDGEAQVLLLEKACRDAGFLVQRIQVAPSPLPLTEGNPPF >OGLUM11G06570.1 pep chromosome:ALNU02000000:11:5686156:5694895:-1 gene:OGLUM11G06570 transcript:OGLUM11G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPLPEEDEQPYEQQVEVDFAQEEHVESAVATMRREREERRKKLKREQQDEGSRLHSQQIRNDYAPYNRAGRGRIKEAPDGWMNCPAFGEPIDKIIPSKVPLDETFNDSVPPGKRYSSKQVVNKQRKAGREIGLVIDLTNTTRYYSPAEWTRQGIKHVKIPCKGRDAVPDNESVNWFVYEVMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTQVSCVAEAINIFAKRRPPGIYKRDYIEALYSFYHEVPEHMITCPSTPEWKRSSDLDLNGEAKQDDDDENGDLAPVHNEVEDKVITNDDVLGDAVPYDQQDALRVVCYRLLEMPPVRGHAQFPGSHPVSLNSENLQLLRQRYYFATWKADGTRYMMLIMRDGCFLIDRNFCFRRVQMRFPHRNLNEGLHEMTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRLLEDEIIRPRYYEKKQFESGVKSNPMYKYDMELFSVRRKDFWLLSTVTKLLKEFIPSLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPSMNSVDFLFEVGGDNRQLVFLYERGKKKLMDGSRIAFPNEEDPSSISGRIVECSWNKEEGCWVCMRIRSDKSTPNDINTYRKVMRSITDNITEEKLLEEIHEITRLPMYADRIKQAQAKMAQHRRR >OGLUM11G06580.1 pep chromosome:ALNU02000000:11:5714182:5718589:1 gene:OGLUM11G06580 transcript:OGLUM11G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMANAKLPKQALLPPRSPFPTAAAAAGPYAGDHGPIARPQGAPHHRHGHGHGHGHHQRTSSESFIEEQPSWLDDLLNEPETPVRQHGRAGHRRSSSDSFALFDGGAAAGAYANGFEGMGGGGGQAAPWGGVQEYYAKPSSFGRHQGRPWEQGMNNLVNYRQGGGPLMPAKEKVGGHHGSPSVLRDHDHGMDRRSSDESGHDQKVGPERKEGVPPKHAQSEADTKRAKQQYAQRSRVRKLQYIAELERKVQALQSEGIDVSAEMEFLSQQNIMLDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQKQPQPTTTLSRSNSRDLDSQFANLSLKHKDPNSGPLRTQSSSIL >OGLUM11G06590.1 pep chromosome:ALNU02000000:11:5715588:5720030:-1 gene:OGLUM11G06590 transcript:OGLUM11G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGTVEDSSASTFSIAEEEHTLANPARFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTDYHH >OGLUM11G06600.1 pep chromosome:ALNU02000000:11:5720237:5725951:-1 gene:OGLUM11G06600 transcript:OGLUM11G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAVSMYTAIIMNIQEKHGRAVKHCTFLTLNGIIIWDVTVRHINIVFGSIHVNARNVEAMYTAITMVVQKVHARHVKHYTFLLLHGLIMWTI >OGLUM11G06610.1 pep chromosome:ALNU02000000:11:5729554:5731049:-1 gene:OGLUM11G06610 transcript:OGLUM11G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEPTDRPTLSVISKWLHTLRKKHNKIIQMEEPLADGQKLNKEKEEDLLALIYFGSLFDVKPQTEFVATMLVRAKEWDCFITFDYIRPCRLTPCRSQVPPPLATLGPCDLKEPRDHTILMRRRKKHNKILQMEESLADGRKLNKEEEEDLLALIYFGSLFDVKPQTEFVTTMLVRANERDCCITFDYVCPCRLTPCHSPVLPPLAARQCGKKTGERRKKGKKEEMDGKHDGSGTVPIL >OGLUM11G06620.1 pep chromosome:ALNU02000000:11:5731330:5731982:-1 gene:OGLUM11G06620 transcript:OGLUM11G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARALHELLCRSILLTVHINPCIVEAMAEAMYTAINMDVQETHEWQVKHYTFAMLHGIIIWAMSFTADLSS >OGLUM11G06630.1 pep chromosome:ALNU02000000:11:5732845:5733487:-1 gene:OGLUM11G06630 transcript:OGLUM11G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQEKHKRHIKHYIFHTLNGTITWARSFTAYLSSWPSLIRDSMPLGPTLSLDVKCGTAPFVVQVCRVLVIAKRHHTHQSLNYGGHVHRHQHGHLRDAREACQAQHLP >OGLUM11G06640.1 pep chromosome:ALNU02000000:11:5734127:5734412:-1 gene:OGLUM11G06640 transcript:OGLUM11G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSATGSTTYPISTRCVGKRGSIMLGATNQPLHNSKALSEFIRFSSLLNNNIYIYQPMECRDHHKIHEEQARHVKHYTFLKLHGIII >OGLUM11G06650.1 pep chromosome:ALNU02000000:11:5736482:5737279:-1 gene:OGLUM11G06650 transcript:OGLUM11G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFLVGSGREGGEREAAGGGPVEGETECSGGEDEWSARMAGAEVVVDDWKFAAEQLVRWSGRARILADRVPSTAKQLTRDHTVFHREGNFPS >OGLUM11G06660.1 pep chromosome:ALNU02000000:11:5739621:5743596:-1 gene:OGLUM11G06660 transcript:OGLUM11G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein [Source:Projected from Arabidopsis thaliana (AT1G35340) TAIR;Acc:AT1G35340] MAASALLRLLSPSSPASLHARATRRRHPRAVVRCSSPSPASLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVRHGCLVQIESVERLDIGALVSIRGVCRVNIINLLQVPEDEPLQTNIKASLLWSEKEIFEEYNEGFIPALPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTNTLERLNSGIEYMRVGNPHLRIDRTCIIDTRSDE >OGLUM11G06660.2 pep chromosome:ALNU02000000:11:5740200:5743596:-1 gene:OGLUM11G06660 transcript:OGLUM11G06660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent protease La (LON) domain protein [Source:Projected from Arabidopsis thaliana (AT1G35340) TAIR;Acc:AT1G35340] MAASALLRLLSPSSPASLHARATRRRHPRAVVRCSSPSPASLDLPLLPFQPAEVLIPSECKTLHLYEARYLALLEEALYRKNNSFVHFVLDPVVSGSPKASFAVRHGCLVQIESVERLDIGALVSIRGVCRVNIINLLQVPEDEPLQTNIKASLLWSEKEIFEEYNEGFIPALPERLSFAAYQTVSGMSEAELLSLQKYKIQAMDSTNTLERLNSGIEYVEHNIGMIAARLAIQNI >OGLUM11G06670.1 pep chromosome:ALNU02000000:11:5743706:5751573:-1 gene:OGLUM11G06670 transcript:OGLUM11G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKMTTPSWSPAFDVLHLEKAAIRVFGKRFDVLHREKAAISVALWPPSIHGSRRLPRRRWARDVGEGAEGPKDAAQCKKEAGDEDYIKRICTISPRQNKKNVNEPLARWLGCSVERPPPAFERRSMRNRSTRG >OGLUM11G06680.1 pep chromosome:ALNU02000000:11:5754197:5754388:-1 gene:OGLUM11G06680 transcript:OGLUM11G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSMEKRTISPSGRPGAREADQSAARGGSVEAVEDSGGDGAAHGEEDEAITVGEVGGAGGRP >OGLUM11G06690.1 pep chromosome:ALNU02000000:11:5758512:5764445:1 gene:OGLUM11G06690 transcript:OGLUM11G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHEEILEHVSSILNSKGIIPSGKGIATADLKCYRYPGQTIVKSDSRVKKRGTTKCKFPGKKAPKGLAIKEVRDKFFPYWRSVLSARLPVKILPPCEPSTKDIQWTESIKDIRSTEASRKDLQPPNKSIVNLVSTSKPRLACNYECRRQLCVVASISLKVLRRT >OGLUM11G06690.2 pep chromosome:ALNU02000000:11:5758512:5764445:1 gene:OGLUM11G06690 transcript:OGLUM11G06690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHEEILEHVSSILNSKGIIPSGKGIATADLKCYRYPGQTIVKSDSRVKKRGTTKCKFPGKKAPKGLAIKEVRDKFFPYWRSVLSARLPVKILPPCEPSTKDIQWTESIKDIRSTEASRKDLQPPNKSIVNLVSTSKPRLACNYECRRQLCVVASISLKVLRRT >OGLUM11G06690.3 pep chromosome:ALNU02000000:11:5758512:5764445:1 gene:OGLUM11G06690 transcript:OGLUM11G06690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHHEEILEHVSSILNSKGIIPSGKGIATADLKCYRYPGQTIVKSDSRVKKRGTTKCKFPGKKAPKGLAIKEVRDKFFPYWRSVLSARLPVKILPPCEPSTKDIQWTESIKDIRSTEASRKDLQPPNKSIVNLVSTSKPRLACNYECRRQLCVVASISLKVLRRT >OGLUM11G06700.1 pep chromosome:ALNU02000000:11:5767986:5769719:-1 gene:OGLUM11G06700 transcript:OGLUM11G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVKLQTKGRELRCCYKATVDGFSATDFHRRCDFKGPCVVVGRTAAAGGGVRFGGFSPEGYRSTDDYYDTLDAFLFYWPETDTDAAAAAEEEAAVVVLPKVGGSGAALFDYARGGPQFGADGLLIGPPLTAVMGVFTGPDSSAGAGDLRGARSRLGLSYARRPDGKESLFGDESRAELDEVLVFCSPQIASLY >OGLUM11G06710.1 pep chromosome:ALNU02000000:11:5770473:5776969:1 gene:OGLUM11G06710 transcript:OGLUM11G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cam interacting protein 111 [Source:Projected from Arabidopsis thaliana (AT3G56690) TAIR;Acc:AT3G56690] MPPKAKKKQSAASPQPSPRTPASRGGEGGSVAGGGGGGGALDLPSVAAAAAARHPALMPRGGEGCFSGTVADVVPRGGSRGGEARLWLSEPAMVGAALRPGCLVSVSLISSNRGRSDGSPLDSLFEECNEFFDLDVDNDLMPNEAGRNFVIAKVLPSREVQKNGIKLSWDLACSLGNPSVGCSLFFSPLYTSQAPKETDSVDILRVIKCSNLYLSFVPAKVGSSSEIESESVHHPIRNGMVIESPKRNSSVLSGRNESYDIASHSGPSLCLDPATARSSLADEKINELLQTCASRWLSGRHLLKANYVPLLMCGKLSMFIVMGAEVDGSAPDVVHDKDKLPSNEEFSGKFGEAPVSFLVDRTTKVHLSGSVCSEEIAFVKPGPSAHNSFRTDARNGDFNHGPRLGGLSKESKEIKEIISFSIKDQIGLQRVKDNLWYRGILLSGPPGTGKTSLATSCAYDEGVNLFTINGPEIISQYYGESEQALYDVFSSAKQAAPAVIFIDELDAIAPERKDGSEELSIRIVATLLKLIDAMSPRDRVLVIAATNRPDSIDPALKRPERLDRKIEIGVPSPVQRLDILQHLLVGVQHSLSCEQLESLASATHGFVGADLAALCNEAALSALRRYISLKKSSQQLGYYDNNAEKPDIREINDPLGYQVNSIASSLSKLTMSVDDVLCTSRSYDTQNNGSSGKKDDLLLLVTTEDFEKAKIKVRPSAMREVSLELPKIRWEDVGGQVRIKEQLIEAIELPQKNPKAFENMGVSPPRGLLMIGPPGCSKTLMARAVASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARDNAPAILFFDEIDGLAVTRGCENDSVSVGDRVLSQLLVEMDGLEQRIGVTVIAATNRPDKIDCALLRPGRFDRLLDVQPPDEADRVDIFRIHTRNMPCSHDVNLNELARLTEGYTGADIKLVCRETAIAALDENIDIPEVEIRHFRSAISRIKPSDVKFYQELAAQYSRFVDPMSQSKQ >OGLUM11G06720.1 pep chromosome:ALNU02000000:11:5778977:5787970:-1 gene:OGLUM11G06720 transcript:OGLUM11G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAPRIDGGALGDSKQNKKVEDKEVDKICEYIQLLATLAATIAYQAGIDPPGGVWEESGKGHSVGDPILLTTHPKRFKVFFYCNSAAFVASLVIMALSQNKRLVRRYHAVLEATMILDLFGLIGAYAVGCCRDTSTSIYIIAMAGAVLVYVVIHIVLFTLETKNGGDDQLEEHREVLLLLTVLAATLTYQAGLTPPGGFWENDEKFGHHAGFPVLLNKYPRRYKAFFYCNAASFMASVALIVLLMNKNLYRPGIRSYALIICMVAGMFGVLGAYAAGSSMYLRTFIIVLVLVLVVFVGVICLAINHFRELKKNTQQHQQPPTGTNGSSSPKLLMQEEDVIKYLMLVGILAASVTYLTGLKPPGGLWRDEGNGHSAGNPVLYDIDMRRYNAFFYSNSTSFMASITVIVLLLQRMLSPKTGGEKVFWPMHTVILLDMLALLVAYAAGSVRDWETSKNVFLLLIPIQLFVGGLFFICKKKQTSLQDDGMFGVMGAYAVGSSMYLRTFIIVLVLVLVAFVGVVFLAINHFNKLHKKKMEKNKPPPAAQQQQPPSPKKSSLTTFAMQKDMLMYLMLVEILAATATYLTGLKPPGGLWKDNDDGHSAGNKKRYNIFFYSNSTYFMASITVIVLLLQRMVLPERSGGKVFWSMHTVMLLDMLALMVAYAAGSVRDWETSKNVFLLLLPVHIFVGGLLFICKQIQPSPQDEASPNAGANTQEISNPTPSS >OGLUM11G06730.1 pep chromosome:ALNU02000000:11:5780478:5781824:1 gene:OGLUM11G06730 transcript:OGLUM11G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAGGPLPGERRLSPADPEEPSPPASSSDGTGSGGGGGDNSVEEGDGEGPGSGSGTPTAKRQLFDADGSASRPTRRRRIASDDELNSGDGHGEVD >OGLUM11G06750.1 pep chromosome:ALNU02000000:11:5807777:5808910:-1 gene:OGLUM11G06750 transcript:OGLUM11G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGGDGEGKPPAKEESTEYRLRKYLLLLATLVATVTYAAGLNLPGGSWAEDAPAPAGLRVVAGDPILRETRYTRYVVFYACNAVAFAASLVVSLIVLVLPKEGGGRLLSAMRAVMVVDLLGLMGAYAAGSSRDGFTTAAASALLLLVFAYVAGAFLASLNLITVRCQLPCQGRTSPPAAAPRPRPPGDQDPAATKAMKSEHEILLLLAIFAATIAYVAGMNPPGGFWRDTAVDGEHVAGDPVLQGRAHPNRYRAFYVCNTAAFAASLLAVMFIVVEDKRLRHWRKAVPYGLVVAALLGLGGAYAAGSCRDGKHTTYVACLVAPVVAYIAILYIACPSNNPSSTSKSPSNTTSPSNTNTTTTTISIRYIILYLGN >OGLUM11G06760.1 pep chromosome:ALNU02000000:11:5812888:5819183:1 gene:OGLUM11G06760 transcript:OGLUM11G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGT8] MMNLISFETPPLGRRSQDGGGSSSSITAVTTTINKATEAASHLDLSLGISLSHGGDAGTKASSCCYGGGGGGDAGGCMGSGMLTAGVLGVGHGGSSHDNTTASGGGGSWTAAFMPSPTGFMDPWSLAARQQKAAAEQERSGVARLPPATTYMPRAAATVISLPAAVGWPPVHTSRRNLVATINNVLKPDTTAAVKPDRPTQATAMFAADETTAPPPRSAAAAATEASRTLNMFAKVHMDGYKVGRKINLRAHRNYDSLRRVLTKMTHNFFCPTDYSSTNKGEEDCAKSDEFIFLYEDFEGDRMLVGDVPWELFLASAKRLYIAKNPAPRNKEHAEIAKRKETEDAIDN >OGLUM11G06770.1 pep chromosome:ALNU02000000:11:5817215:5819965:-1 gene:OGLUM11G06770 transcript:OGLUM11G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSGWPAEGRWSSADRPCGGRVVAGHDDSGRLAGGVVWHGAVTGCGTATGCSGHGLPCDDDDGEGVFDLVFSPDGRLIASSVY >OGLUM11G06780.1 pep chromosome:ALNU02000000:11:5820095:5822175:1 gene:OGLUM11G06780 transcript:OGLUM11G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAQPCHLAVAHAVLAMASYGVSAVKATYKQLEQAQHPYDAEAIQSADAAFSNPVRYLPPLGLPLYAQPKSGTAGLASIAGIFNLRKTVPSHARTMSEPILPIKSNRILSIS >OGLUM11G06790.1 pep chromosome:ALNU02000000:11:5826697:5828005:1 gene:OGLUM11G06790 transcript:OGLUM11G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGU1] MKDRNASAGPEVKPAGLSPSRFVKVFMHGEPFGRKINLAIHNNYDSLSFTLKRLGNNYSMSPFELEGFVNNEEDGAIDNDFDLLYDDMDGVRYLLGEVPWEVFTITVKRIYIVPAEQQNENEYQEEEEDKATAAATADEDVDGNGAAADDGDAAAVDNGDDHGYTSNDDPSFD >OGLUM11G06800.1 pep chromosome:ALNU02000000:11:5834437:5835796:1 gene:OGLUM11G06800 transcript:OGLUM11G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BGU2] MKDRNASAEPEVKPGLSPSRFVKVFMHGEPFGRKINLALHNNYDSLSFTLKKLGNNYSMSPFELEGLVNKEEDGEIDSDFDLLYDDMDGVRYFLGDVPWEVFTTTVKKIYIVPAEQQNENDYQEEEEDNAAAAATADEDGDGDAAATDDGAAAAADDVDDVAGYTSNDDPSFD >OGLUM11G06810.1 pep chromosome:ALNU02000000:11:5837457:5838023:-1 gene:OGLUM11G06810 transcript:OGLUM11G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEFELGPVGVHGRRGLAMLPSSLARTSGLAWRGQMVGYARAAGGVPAQILASRARAIDVSLTLESLMVSGDLDFVVGKDGEKVPVVWLVGRTGLLYFSAHWCSPCRKFLPKLIEEYIKMREETSSDDEVVFVSNTDGQEKRNCHLRPTAYIFVISH >OGLUM11G06820.1 pep chromosome:ALNU02000000:11:5839681:5849002:-1 gene:OGLUM11G06820 transcript:OGLUM11G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQWRRRQPAVVGWRLKPAAVEKGGRRDARRERGGETEKGERRDKGSGSNPGAGTEQAQPGLATTNPVNDDGDAYESVAVAPTNRTKANAAGHTACPKYWACCYLSNKKPEPLLDNQANLNLE >OGLUM11G06830.1 pep chromosome:ALNU02000000:11:5849009:5849443:1 gene:OGLUM11G06830 transcript:OGLUM11G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRALGAAAERGLLLFAAGCRELGAGSNRRDDGEAGSASSTTWEAGGRQLRRRRRRLSEATRGRRRRRLSEATARERRRRGADPVPPPSPPAAAAAKGWMVVAVLGRTEAYPAALGSSSAWEGWRGADPPTAIHALPPSSPLTV >OGLUM11G06840.1 pep chromosome:ALNU02000000:11:5850861:5851083:-1 gene:OGLUM11G06840 transcript:OGLUM11G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPGGVLARGSGLWQGNVAGMEEVLRNGADMASTTLIWTAAGGAAHRHLQGEWEVVRLLLGFEG >OGLUM11G06850.1 pep chromosome:ALNU02000000:11:5860761:5864636:-1 gene:OGLUM11G06850 transcript:OGLUM11G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSEPVTNTKTESCMGVEWMQNAMSSCRCSGGSRRRSEVKELGRRPNPSSPPPDLTKFLTLACVVVPCHVHVHPPAPLLLLPPRLLPLRSPMPPPRRHGHGGARLLVFLLPVLLLLRTAASNTLSTFAMAKAESTTIVCALLPSAASPLLVDLNCTEAGGDHERQETYPSSHPFSALAGGDHFLCAVGPSALRAGDVAMRWWDLSKNRTNTPETNGTKDGGGRSKRVYLGPPIQALSSGGYRVCGVLSSGELHCWRWRGLKIPDGLRFVSVAVGDWFVCAIQAARPPSIRCFGNDTEAVSSAPEGGSFDVVAAHGRRACALSTSGALSCWGHGAPLVGGGEDEATTGYAALALGTDGVCGLRTNGTIRCFGDGVAPPPDSLAGFQYVDVQAHGSVFCGVLMANYSLVCWGGHEFNATNRLVFDRVLPGPCVTMSSCRCGVLPGSANLCASGRCICVDCAFELNVATPTASSLGPGPGKSSSKRSRIIWVAVAAGAFLVLLVALQFALLMWCRRRRRRGRGGQADQAAAMSLMLPRHGSSKGPGSVVEHFTLEALQAATDGFSDERRIGSGSFGSVYRGTLTDGREVAIKRAEDQAKSSSSAARPARRRDRETAFNSELTALARANHKNIVCLLGCCADAGERVLVYEYMANGTLHDQLHGRSPMAPPVSAWRGRLTIALDAARGIEYMHVYAVPNIIHRDIKSANILLDDSWTAKIADFGLSSILDPATAAGGGGGGGGGEGSSSRPLYTGGTVGYMDPEYYRMQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVEYAVPHILADEVPRVLDPRLPPPTPHEADALAYVGYLAADCVGPVGCDRPSMTEVVDALERALAACAAAPLSRSGTVTGAGRRALSRSGTDQFDLTDTD >OGLUM11G06860.1 pep chromosome:ALNU02000000:11:5869856:5871219:-1 gene:OGLUM11G06860 transcript:OGLUM11G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLPDDHDGLFELTQKIFSEPMFLEQHLPTEKCHVGISVPNFKISFQIDVKDFLKDMGLELPFLREAEFSDMIKEDDSSGPLFLSDVLHKAVLEVDQKGIEETSVSMGLGKPLPAQHFKADHPFFFMIREEFSGTVIFMGHVLDPSSRT >OGLUM11G06870.1 pep chromosome:ALNU02000000:11:5879621:5881864:-1 gene:OGLUM11G06870 transcript:OGLUM11G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKKGREQSSIDDKSMEDENPVADHPKKQMRSMQKYYHKGSFFQQDADNATQTAGVEGEGDEGEDTHKYVLGLRVFPVKEREEAEVPKIEITPNAMVDLLHFLHRHNLL >OGLUM11G06880.1 pep chromosome:ALNU02000000:11:5937208:5937600:-1 gene:OGLUM11G06880 transcript:OGLUM11G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPMMRTRAAEEVVEAEVTKVGEEAKAEERTSVAMVMAATAASTSDTVEEEGMAGARAD >OGLUM11G06890.1 pep chromosome:ALNU02000000:11:5941229:5942624:-1 gene:OGLUM11G06890 transcript:OGLUM11G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYYTPPTPRPPPENTLDVFMDGDAVAIRTTITSKHYLAAQFINKIARNHHEGEGGLIFGIDTEWRKDHEPDIVYHLSFLLVRTNSNMARGRFVQFDFYLRYARFKRIEQGLLFSAQFHVCQVSPKSSSTPMAGRYYTPPTPRPSPENTLNVFMDSNAVAIRTTISSSHYLAAQGGGLIVGIDTEWREDHEPDGKKCYKVVVLQLYVDRRCLVFQLYQASNEFLADAGVHFVGVGVDGGMRPLANECNLRVACTVDLRYAAVAVLGRPELARAGLKSLALTVMGTRMEKAKDITMSRWGEPTLTWEQVNYTCIDAYMSYETGRRLLSDEPILAAPS >OGLUM11G06900.1 pep chromosome:ALNU02000000:11:5942661:5942911:-1 gene:OGLUM11G06900 transcript:OGLUM11G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGLNPRGKHRGLGQDSQAEWDPKCTTRLSPRGGPNTSNCRLLQISKSDYNFKFFQFGFYLRYARFKRIEQGFLFAAQF >OGLUM11G06910.1 pep chromosome:ALNU02000000:11:5943319:5944468:1 gene:OGLUM11G06910 transcript:OGLUM11G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVALLLLVSFLLVAAPAYFGRRGFCATMVRTEKVIHQLPRMLIRAAARARASCVPRGSPSYYPDRSSSLSKLPFSDRLCKAVGSSGGVAKCAAGGAECDYKFSYGFHRHYTEGYLGSETVTLGGDAMDGVGFGCTTMSVGNFGRSSGLVGLGRGPLSLVSQLDVGAFSYFLIPYTDPTAKPSPLLFGSLATLTGAGVQSTPLLPASRSARRRWSGFGMVFDSGTTLTYLAEPAYTAAKDAVLQQAAAAALPRAANRHGFEACFQASAGGGGSGSFPAMVLHFDGGADMALPTANYILEVGARRDGVSCWGVQRSPAAGTSIIGNIMQMN >OGLUM11G06920.1 pep chromosome:ALNU02000000:11:5945738:5946264:-1 gene:OGLUM11G06920 transcript:OGLUM11G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEVDVVGTSDFDWVEHSSWRASLFSLAWREAMTTATTTSIFGSVAGFGSYRGHSGQTWCCLGSNLHLRRFIFLLSLAEQKLHSVITRNWRWRVLLCLCEIFILVLLL >OGLUM11G06930.1 pep chromosome:ALNU02000000:11:5965385:5967231:1 gene:OGLUM11G06930 transcript:OGLUM11G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTGSTIGGCFPTVEELAVHGWIPPDDGSTEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYITVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKRSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHELAHVSDCYPVTDVRDGMFSYGGPGNVC >OGLUM11G06930.2 pep chromosome:ALNU02000000:11:5965385:5967381:1 gene:OGLUM11G06930 transcript:OGLUM11G06930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVFSGYALFFVLFLTGSTIGGCFPTVEELAVHGWIPPDDGSTEYYGLEATMDVYGFNLEHGQQTGGFIWIYNSDETPAANVIHAGWNVDPESYNDSQTHFTTSWFVEESKKGCLDMRCPGFQRTGGSHPFVPGQVINPVSSTSRRKQYITVRVSKDQNSGDWEIYFGFDGKAKIIGYYPRSLFTSLSNKPVNIVFGGFAFWKEHKRSPPMGSGIAPPKNAASFSNLKFFDAAGNAHPIDHELAHVSDCYPVTDVRDGMFSYGGPGNVC >OGLUM11G06940.1 pep chromosome:ALNU02000000:11:5975741:5976160:-1 gene:OGLUM11G06940 transcript:OGLUM11G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPRPLGVALAAARRAFCLPLAGRVAPRRRADRPGTRPCPPPPSTSPWRSPPRARGAPRGGSRRWRRGQRSVPLREARPQEPVQLRWPAAGVCERLLGRHIEESFAGVHGPRRPYVRLCGGEGRFQEQGISDRMPSFS >OGLUM11G06950.1 pep chromosome:ALNU02000000:11:5977562:5977978:1 gene:OGLUM11G06950 transcript:OGLUM11G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLLAAAAAALLCRVHIAVAVAAADPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCLDGVPAGVDRSKIHAAGGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNATPRCQR >OGLUM11G06960.1 pep chromosome:ALNU02000000:11:5979364:5979957:-1 gene:OGLUM11G06960 transcript:OGLUM11G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRRRLRRRHCFRPRCRSICFRSMLKRRLAHGERGGRRGXVWPSGAAVVALGWAWRLVGLEAGREGSDGSAKGAGGSGSSFSLPASTLALSGAPPLLCGEFLCWIEAAAGELRLPTLRRTIPGSPSAKVGKEVGGWQDGGGLGQFPGWRQLYAASVVVGRWRGAGAVWWRPRADLLVRWFQS >OGLUM11G06970.1 pep chromosome:ALNU02000000:11:5981564:5989075:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNYNRESELRKNRSKAYFCVEILDQAIKWKLFILEDPRAIPFLADHSNTRLININNRERDVNTLFSSSLGAMGSLLGRFDELLHIEQRQSIQKLSIDLSVINTQLVKLSEARNHSLTAAYWMNDVRELSYDLEDCIDLLIDAKADKDLINKILGFQSRVDEVTERYHRYKLDSTIRVGKSTLAQKIWHKHRGQFECRAFVQIAKIPDMRRIFRNILSQVRPRESTNACQVHDLIDDLKEYLLDRRYFIILDDLWATSVWDVVSRGFPKGNCGSRILITTEIEKVVKACCGYQSRSILKIKPLSDDLSKELFGNIVFGSGKGCSQQFNGISDEIINKCAGLPLALISIANLLASRREALENWKYVQFFLHENLRKNPTFEEIMKQVLNLCYSSLPHCLKTCLLYFSIYPENYIILKEDLVRQWVAEGFIYAKKGEDIMDVATIYFDRLVNLGLIQCMDINDNDKKLCYAVHHMVFDLITSKATENNFVTIINYFQTTAELSEKVRRLSLHFGSATYATVPAGIGLSQEYVDCFDRYVQITCNVTVRLPTQMQCLTQLETFEINARVESVPSDIFHVPKLLHLCLRGGANFPNKKSFALDLGASGRVISLMGWSEMFCLFLQILEILPPICMFSRLPEWIARLHKLCTLKIVVKEFLKNDIDILTGLPALSILSLHVWQPTTERIIFHRAAFPALRCFKLWCGELYLVFQEEALPNLQRLKIGFNAHRGERYGNMLEGIENMLNLQTVDARFAAAAGAEESDRSAAEFAVMNAISKHSALTSFIIRRVDRVDEMVPQCPDLSADSSHPDPLQSDSTPNMTSESCISGVTSNPRLLDLVLSSSHHLEPDSLPTMTSEACTSEVTNLQESKPGTDHQRCRHPGCSKLARGSSGHCVAHGGGKRCQKTDCNKLLKGRIDFCKAHGGARVRCKHPGCRKNAVAGRTDFCIAHGGGKRKSRATVSGGSDVATSSKVTEGSMEGTTKQEHYLSATDGSYEETEKAQVAIQKFTQAGMDTTGAGELSQFPQFVTSLCGLTELCLSSTNLNEEDLSYICTLHHFLSI >OGLUM11G06970.2 pep chromosome:ALNU02000000:11:5981564:5989075:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNYNRESELRKNRSKAYFCVEILDQAIKWKLFILEDPRAIPFLADHSNTRLININNRERDVNTLFSSSLGAMGSLLGRFDELLHIEQRQSIQKLSIDLSVINTQLVKLSEARNHSLTAAYWMNDVRELSYDLEDCIDLLIDAKADKDLINKILGFQSRVDEVTERYHRYKLDSTIRVGKSTLAQKIWHKHRGQFECRAFVQIAKIPDMRRIFRNILSQVRPRESTNACQVHDLIDDLKEYLLDRRYFIILDDLWATSVWDVVSRGFPKGNCGSRILITTEIEKVVKACCGYQSRSILKIKPLSDDLSKELFGNIVFGSGKGCSQQFNGISDEIINKCAGLPLALISIANLLASRREALENWKYVQFFLHENLRKNPTFEEIMKQVLNLCYSSLPHCLKTCLLYFSIYPENYIILKEDLVRQWVAEGFIYAKKGEDIMDVATIYFDRLVNLGLIQCMDINDNDKKLCYAVHHMVFDLITSKATENNFVTIINYFQTTAELSEKEYVDCFDRYVQITCNVTVRLPTQMQCLTQLETFEINARVESVPSDIFHVPKLLHLCLRGGANFPNKKSFALDLGASGRVISLMGWSEMFCLFLQILEILPPICMFSRLPEWIARLHKLCTLKIVVKEFLKNDIDILTGLPALSILSLHVWQPTTERIIFHRAAFPALRCFKLWCGELYLVFQEEALPNLQRLKIGFNAHRGERYGNMLEGIENMLNLQTVDARFAAAAGAEESDRSAAEFAVMNAISKHSALTSFIIRRVDRVDEMVPQCPDLSADSSHPDPLQSDSTPNMTSESCISGVTSNPRLLDLVLSSSHHLEPDSLPTMTSEACTSEVTNLQESKPGTDHQRCRHPGCSKLARGSSGHCVAHGGGKRCQKTDCNKLLKGRIDFCKAHGGARVRCKHPGCRKNAVAGRTDFCIAHGGGKRKSRATVSGGSDVATSSKVTEGSMEGTTKQEHYLSATDGSYEETEKAQVAIQKFTQAGMDTTGAGELSQFPQFVTSLCGLTELCLSSTNLNEEDLSYICTLHHFLSI >OGLUM11G06970.3 pep chromosome:ALNU02000000:11:5981243:5989075:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNYNRESELRKNRSKAYFCVEILDQAIKWKLFILEDPRAIPFLADHSNTRLININNREIEKVVKACCGYQSRSILKIKPLSDDLSKELFGNIVFGSGKGCSQQFNGISDEIINKCAGLPLALISIANLLASRREALENWKYVQFFLHENLRKNPTFEEIMKQVLNLCYSSLPHCLKTCLLYFSIYPENYIILKEDLVRQWVAEGFIYAKKGEDIMDVATIYFDRLVNLGLIQCMDINDNDKKLCYAVHHMVFDLITSKATENNFVTIINYFQTTAELSEKVRRLSLHFGSATYATVPAGIGLSQITCNVTVRLPTQMQCLTQLETFEINARVESVPSDIFHVPKLLHLCLRGGANFPNKKSFALDLGASGRVISLMGWSEMFCLFLQILEILPPICMFSRLPEWIARLHKLCTLKIVVKEFLKNDIDILTGLPALSILSLHVWQPTTERIIFHRAAFPALRCFKLWCGELYLVFQEEALPNLQRLKIGFNAHRGERYGNMLEGIENMLNLQTVDARFAAAAGAEESDRSAAEFAVMNAISKHSALTSFIIRRVDRVDEMVPQCPDLSADSSHPDPLQSDSTPNMTSESCISGVTSNPRLLDLVLSSSHHLEPDSLPTMTSEACTSEVTNLQESKPGTDHQRCRHPGCSKLARGSSGHCVAHGGGKRCQKTDCNKLLKGRIDFCKAHGGARVRCKHPGCRKNAVAGRTDFCIAHGGGKRKSRATVSGGSDVATSSKVTEGSMEGTTKQEHYLSATDGSYEETEKAQGMKFTQAGMDTTGAGFAIRKRNGDFPCMRRLCLVVQNPNLPTVEKGALPHVIQSNCSEIKIEYRDYLEEVSPDSMVNIKTIEIWENEAKKHPNRPKVMFLKSVDIQPTASPW >OGLUM11G06970.4 pep chromosome:ALNU02000000:11:5981243:5989075:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNYNRESELRKNRSKAYFCVEILDQAIKWKLFILEDPRAIPFLADHSNTRLININNREIEKVVKACCGYQSRSILKIKPLSDDLSKELFGNIVFGSGKGCSQQFNGISDEIINKCAGLPLALISIANLLASRREALENWKYVQFFLHENLRKNPTFEEIMKQVLNLCYSSLPHCLKTCLLYFSIYPENYIILKEDLVRQWVAEGFIYAKKGEDIMDVATIYFDRLVNLGLIQCMDINDNDKKLCYAVHHMVFDLITSKATENNFVTIINYFQTTAELSEKEYVDCFDRYVQITCNVTVRLPTQMQCLTQLETFEINARVESVPSDIFHVPKLLHLCLRGGANFPNKKSFALDLGASGRVISLMGWSEMFCLFLQILEILPPICMFSRLPEWIARLHKLCTLKIVVKEFLKNDIDILTGLPALSILSLHVWQPTTERIIFHRAAFPALRCFKLWCGELYLVFQEEALPNLQRLKIGFNAHRGERYGNMLEGIENMLNLQTVDARFAAAAGAEESDRSAAEFAVMNAISKHSALTSFIIRRVDRVDEMVPQCPDLSADSSHPDPLQSDSTPNMTSESCISGVTSNPRLLDLVLSSSHHLEPDSLPTMTSEACTSEVTNLQESKPGTDHQRCRHPGCSKLARGSSGHCVAHGGGKRCQKTDCNKLLKGRIDFCKAHGGARVRCKHPGCRKNAVAGRTDFCIAHGGGKRKSRATVSGGSDVATSSKVTEGSMEGTTKQEHYLSATDGSYEETEKAQGMKFTQAGMDTTGAGFAIRKRNGDFPCMRRLCLVVQNPNLPTVEKGALPHVIQSNCSEIKIEYRDYLEEVSPDSMVNIKTIEIWENEAKKHPNRPKVMFLKSVDIQPTASPW >OGLUM11G06970.5 pep chromosome:ALNU02000000:11:5981243:5989075:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKNYNRESELRKNRSKAYFCVEILDQAIKWKLFILEDPRAIPFLADHSNTRLININNREIEKVVKACCGYQSRSILKIKPLSDDLSKELFGNIVFGSGKGCSQQFNGISDEIINKCAGLPLALISIANLLASRREALENWKYVQFFLHENLRKNPTFEEIMKQVLNLCYSSLPHCLKTCLLYFSIYPENYIILKEDLVRQWVAEGFIYAKKGEDIMDVATIYFDRLVNLGLIQCMDINDNDKKLCYAVHHMVFDLITSKATENNFVTIINYFQTTAELSEKVRRLSLHFGSATYATVPAGIGLSQEYVDCFDRYVQITCNVTVRLPTQMQCLTQLETFEINARVESVPSDIFHVPKLLHLCLRGGANFPNKKSFALDLGASGRVISLMGWSEMFCLFLQILEILPPICMFSRLPEWIARLHKLCTLKIVVKEFLKNDIDILTGLPALSILSLHVWQPTTERIIFHRAAFPALRCFKLWCGELYLVFQEEALPNLQRLKIGFNAHRGERYGNMLEGIENMLNLQTVDARFAAAAGAEESDRSAAEFAVMNAISKHSALTSFIIRRVDRVDEMVPQCPDLSADSSHPDPLQSDSTPNMTSESCISGVTSNPRLLDLVLSSSHHLEPDSLPTMTSEACTSEVTNLQESKPGTDHQRCRHPGCSKLARGSSGHCVAHGGGKRCQKTDCNKLLKGRIDFCKAHGGARVRCKHPGCRKNAVAGRTDFCIAHGGGKRKSRATVSGGSDVATSSKVTEGSMEGTTKQEHYLSATDGSYEETEKAQGMKFTQAGMDTTGAGFAIRKRNGDFPCMRRLCLVVQNPNLPTVEKGALPHVIQSNCSEIKIEYRDYLEEVSPDSMVNIKTIEIWENEAKKHPNRPKVMFLKSVDIQPTASPW >OGLUM11G06970.6 pep chromosome:ALNU02000000:11:5989075:5990295:-1 gene:OGLUM11G06970 transcript:OGLUM11G06970.6 gene_biotype:protein_coding transcript_biotype:protein_coding METSMSSPDMVALDRLSCNLEKLLLDPTCLKFADVDIVLAAGDGDPDDAVVGVYRCILAARCRFFLDLFSSLPVAATAGEKPQLELAKLIPGGRHIGRDALVAVLVYIYTGRCRTPPLDAVVCLDDNCDHEACRPVIDFVVQCTYAASGFQISELVSLFQRRLSYYVKIALAEDLVHIILVASTCELPDLLRNCIQRVSTSNLDRSYLEKVLPADVYTKEIRQYLLPVSQNIIAVDPEHEKRVSNIHKALYSDNVYLVVTLLKEYAITLDDVFAMHYAAAYCKPRVLTELLKLDSADVNLKNYSGYTPLHMACMRQEPDIILSLIQKGALLSARD >OGLUM11G06980.1 pep chromosome:ALNU02000000:11:5990412:6010811:-1 gene:OGLUM11G06980 transcript:OGLUM11G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHPNKSRRKEGRGKEGRGWSTWHPDMWGPRESHAESAATSAKTEVKTTEGPSLETIDSGH >OGLUM11G06990.1 pep chromosome:ALNU02000000:11:6012587:6012988:-1 gene:OGLUM11G06990 transcript:OGLUM11G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKATTLLLLAAAAALLCHIHGVVAGDDPEPCDPSDITIATVKTGRVVGGLPEFQVTIGNECSCPEGDVVLSCLDGVPAGVDRSKIHAAGSDGLCLVNDGLQIVKGSPVVFTYAASAPISLAFDNASPRCQR >OGLUM11G07000.1 pep chromosome:ALNU02000000:11:6016139:6019992:1 gene:OGLUM11G07000 transcript:OGLUM11G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALVSSLTKIVVGKLFALLEKKYEQWKGLEDDIGFIKRELRMMDGFLHDQLLLSREERGDLTAVQAASVDEMRDLAHDVEDCLDRFLPCPACEGDASFVGRLSAGSRFAAEIGRLKSRLKEAHERRANYGVAVVDGAAAAGGSSSASASPAADYVDRSPVGIDRAKQEVLDLLDDVDGQPSSQLRVVSVVGFGGSGKTMLARAAYDCPDVGRRFHGRAWVVASEHKDDARGLLSALLRQLRQQDGQTLGAQSEQQLYLIVVDDIEEQQRDCIKSAFPEKSSSRILVTTTVQPVANACSRCNGHVYNMRTLDVNHSRDLLEAVLHEHSSTQIEWDSAPIVEKCDGLPLALVSVANFLRRRKELTASYCEQVCRSLGHHMEKERAFTKLRQVLENNYSTLPGHALKTCLLYTSVFPNGHAIRRNSLIRRWLAEGYVQCQYSRCDLEVADEILQELMDRNIIRPIDASSSNARVKTCRTHGIMHEFMLHKSMSGNFITSLGNPNPCKFRHIFIKNAKSGSSFMGETDCRTGQQGAKQLRARSLTCFGKAGEYASDFSRHELLRVLDLEECNDLEDDHLKDIWKLLRLKYLSLGKTITKLPRIQELHCLETLDLRKTRIETLPVEVIALPHLSHLLGKIKLIQRNGFSINDRFLSQKCKLQTLAGLVVDDDYEFLQIMVRMNKLRKVKIWWKPTAEDSKISLISMAIQKFARAGMDTISARSLSLRFRKFSEVLLRSLENSYGYLSSLKHQGELSQFPRFVTSLCGLTELCLSSTNLSGHDLSNLCTLCHLLYLKLVETDLSSFVMKNGDLPSLRRLCLIVRNPILPTSKKELC >OGLUM11G07010.1 pep chromosome:ALNU02000000:11:6019262:6022419:-1 gene:OGLUM11G07010 transcript:OGLUM11G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHQSKACASPIAAGHTPRRYSRAPPRRAMAGPLPVAGHSRKASPRAEDPVSGIGLSVAAYFTADSGIKSNFLKVLMVLNLDTRKIT >OGLUM11G07020.1 pep chromosome:ALNU02000000:11:6041090:6053893:1 gene:OGLUM11G07020 transcript:OGLUM11G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFASGVWCDAALPLKHAYRDAVVARYKAEATTVDFKNKEADQRVDAAGPQVTRGLIDSVLPPGSVGPATAIVLGNAIYFKGNWERPFMAKNTRKKPFYRLDAGVIVDDVPYMSSSNSKQYVAMHDGFKRAEAPYQARGGSDLTRYAMAVFLPDARDGLRRLVEKMASRPGFLDEHLPSESVRVGEFMVPKFKVSFAGSVVGVLEQLGLRLSFSPELADLSVMVEDDGSGLPLFVSQVVHAVLEVNEEGSKAAAITMAVGGIGCAMVKPPPPVDFVADHLFASFIVEQVSLAVVFAGHLSKNSVRSDVGQAVVLLRIKSKLRNPYKSTHASCNATYFTGQIRLTSRAASQPRSRRFVMAAAKEDDTAGGGEASCRSGQAALAARLLKSLAAGASAGGNNLIFSPLSIHVAVAMMSAGADGSTLAEILAVAGAPSRPELEAFVRGVVMGRVLADQSPAGGPCVSFACGSWLDASYSLKPAYRDAIVGTYKGAASTPVEARKEINAWVAKATKNLIMEVIKPESQSVDTRHVVGNAIYFKGEWLNPFDKSDTAEREFRRLDGSSVEVPFMQRPAGSYHHVACHDGFRVLRLPYKATGDTYNLKLRYSLPSFAMLVFLPDDRDGLPGLLDRITASPEFVDDHLPPGCVPVGRFRVPKFKLAFCHYGIADVLRGLGLRLPFDMFAAEMSGIAVEGDGGGEDAAMFVSSVIHKAVVEVNEEGSEAAAYTEESDDDLGCSLYDDDYTPPPKLVDFVADHPFAFFIVEERSQAIVFAGHVLDPSEEE >OGLUM11G07030.1 pep chromosome:ALNU02000000:11:6046784:6047596:-1 gene:OGLUM11G07030 transcript:OGLUM11G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPAMPSLLLLLLLAVLVAAADAYDSAASASTNAGAIPDPNILDMEAMCPKTTDVQACQQLVKNMPSNIVAGKKDARSIARGCIATAWFVARDGAKDCTAAVDECKDKVDQCLDSCRHAFAAVNDALEPQGTGDDAVKVPEDEKLLAIHASLTQLLRGPTGTRRPPLCNTCCQDGSCTEEKKRNVVTLFVQLWSLLDFADAVLEDLYPLTKLPGAKAAGSDTSAAAGSTADTVTSAAAGSTTDKTSAAAGSAPPVVDTAPAPPVTTYD >OGLUM11G07040.1 pep chromosome:ALNU02000000:11:6058436:6059966:-1 gene:OGLUM11G07040 transcript:OGLUM11G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLLLLLHAVLVAAADAGAADKEAYAAGKETSAAAGSTEGKETAAAADKETSAAAGSTAGAVPDPNIFNKEAVCPKTTDEKACQQLVKVLPAEFAETKDAKGLAKLCISSVGFSAEQFTKDAIAAVQECKKPDKCLDSCVQASTAVTDALKPSGAGVNTVKVPEDEWLLAIHASFSQLLRGPAGGVSRPPLCKTCCDDGSCKDAKKLNVVSVFSRMWDFLDFTDAVLDDLYPLTKTTGTKATTTAGSTADKETSPAAESTADKETSAVAGSTADKNTYPAAGSAAEKETSSAAGSAPVVDTAPAALPTTYV >OGLUM11G07050.1 pep chromosome:ALNU02000000:11:6066723:6068036:1 gene:OGLUM11G07050 transcript:OGLUM11G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEAARRRRAISGGLTALAVRLADRLGAASPGRNLAFSPLSVYAALSLAAAGAAGGTLDEILAVLGAASRDDLAAFVGRTAETALADRGPESVGPRVVFASGVWCDAARPFKPAYRAAVAAEYNAEATVVDFKNKSEEARKQINAWARRATGKLITDVLPPRSVGPETAVVLGNAIYFKGKWDRPFNESDTERKPFYHNGGAAAAADVPYMSSRSYQRVAVHDGFKVLKLRYRSPQLLRDKRKRGGDVGGEFTRYAMAIFLPDARDGLRGLFGKIASRPGFLHEHMPPAWPVPVGEFRVPKFKVSCGGSVVGALEQLGLRLPFSPELADLSDMVEDDCSGSPLFVGDVQHKAVIEVNEEGTVAAAATMTRMPPSGVPPPPVDFVADHPFAYFIVEEMSSAVVFAGHIVDPSME >OGLUM11G07060.1 pep chromosome:ALNU02000000:11:6068183:6073013:-1 gene:OGLUM11G07060 transcript:OGLUM11G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDVASCNSWFQTGQHSEAHTPRTIQSTTHTHPPWFSLLLLLQRRIGLRSGVRVNGEPNLARSCSVVAAVISSQGKSTNQVIEATRSDLFNLFVERRKRRKGATALFARTQARSNRSAGGRRRHWRGSLCIVASVGLASSGGGRGLAHATALPHGRACLRVLERLAGL >OGLUM11G07070.1 pep chromosome:ALNU02000000:11:6073860:6079600:1 gene:OGLUM11G07070 transcript:OGLUM11G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTTELPSQFLREITDEFSDERKVGEGAFGIVYKGMLKDGTEIAVKKLRETSPIHDNQFKNEVGSLMKVNHRNIVKLIGYCYEIQKKVVEHNGKYILTEAVEKLLCYEYISNGSLDKHLFGESSRLDWHTRFNIIKGVCEGLHFLHKGSERPIIHLDIKPGNILLDDNMVPKIADFGLSRLLGEEQTRVCTQNVMGAIGYMAPEYLYRGEISTQSDIYSLGLLIIEITTGEKNFPNREDIIAKNFIENVHRNWTNMVHISLKYPSLDANCLQQVNTCIEMGLSCVQTNRKDRPSIGEIVNMLS >OGLUM11G07070.2 pep chromosome:ALNU02000000:11:6073860:6079600:1 gene:OGLUM11G07070 transcript:OGLUM11G07070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTTELPSQFLREITDEFSDERKVGEGAFGIVYKGMLKDGTEIAVKKLRETSPIHDNQFKNEVGSLMKVNHRNIVKLIGYCYEIQKKVVEHNGKYILTEAVEKLLCYEYISNGSLDKHLFGESSRLDWHTRFNIIKGVCEGLHFLHKGSERPIIHLDIKPGNILLDDNMVPKIADFGLSRLLGEEQTRVCTQNVMGAIGYMAPEYLYRGEISTQSDIYSLGLLIIEITTGEKNFPNREDIIAKNFIENVHRNWTNMVHISLKYPSLDANCLQQVNTCIEMGLSCVQTNRKDRPSIGEIISPFPTWSSVWERHWSNRDV >OGLUM11G07090.1 pep chromosome:ALNU02000000:11:6111123:6118224:1 gene:OGLUM11G07090 transcript:OGLUM11G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSSLGAMDAPASFSLGAMGPLLRKLDSLLVAPEIRLPEPLKDGIELLKEDVEEISAALLEQSMVDSPSHRARYWMDEARDLSYHIEDCIDTMFSMRYVGGDGKPRSVRRHKVGRAKIDGFSKKPKPCTRMARIAELRALVREASERHERYQLGDGRASSSHRVLSAHGQVPAPCRDLVGMDEPKTKLTNMLTDEAELHMKVVCILGSAGIGKTTLAEQVYHKLRWQFDCHAFVRVSRRPDMRRLLGAILSQVQPRIRISDTSTVQSLIDNLWEYLQKKRYFIVIDELYETATWDIITSAFPEDNNCSRIMTTAGIEGVALECCSYHSVNIFKMKPLGLDDSAKLFFNRVFGSEQQCPYELNEVSYRITAKCGGLPLAVIIIAGLLASLPCKTELWYNIDGCLCSSVTTDIDLDEILKEIISLGYNNLPHYLKTCLLYLSLYSEGFIIWTADLLKQWISEGFIAVIDGEDIEEVAESYFYNLVNRGMIQSVKTKYNNQVLSCTVHHTVFDLIIHKSKEEKFISAIDYSQTMPGNSLEARRLSFHFSNTRYATEVAGITLSQLRYLKISSQIIIELPAQIRGLKYLETLEIDARVTAVPSDIIHLRSLLHLYFQDGIVLPDGIGCIRSLRTLKYFDLGSNSEENIRSLGQLTNLRDLHLTCSAPKSNQQAKRNLVILASYTGKLGNLKSVKFSPGDSGMDISFLFYGIGISVDRSRTASSLPVSVRTLELLPSICIFARLPDWIGQLRKLHTLNLAVRELIENDIDSLAGLPDLIVLSMHIMKAPMERIVFNRKAFPVLKYFKFICGTLRMAFQAGAMANLHRLKLGFNAHKGEKYDNILVGIEHLLNLKKIAVRIGGAAEAKESDRMAAEAALKEAIRKHLMFLDDLDIARVECVKEEYTCIKKKHKIKIEDSISEKNGDSKKQHSVEKKAVWGKTMKNIADSGVFPEDYTMSREQRIAEGFVVGIEKCRAEDAAERIIRNVPVGYGELGRVSISKIQDHLPELAPRAVQNEKFGSSNDLSIMIQIDKYARLPSYEWRDTDISKLNFRLLRASILLEAVTARCHLLDLILIGSNNITVLDLGRSTITKLPASIECLPNLQYLRLRGTPLKSLSEVIVKMPTTRVLDIKNTKTEELPQGILRMKKLSYLRNIQVFMGKMQTLAETVQDSDDLSDETEGIADDDEGEFSTRANASTPKVDEDEVDRRANNFIARFRKQIRIRNSGFAKKERSIDDDCGYEISMSANSPRKKSMSEVDDNEN >OGLUM11G07100.1 pep chromosome:ALNU02000000:11:6121957:6126094:1 gene:OGLUM11G07100 transcript:OGLUM11G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNLFTLAASPIGEQLLIFSNSSPPRLIRNRNKINLLQPNCSSGLQGFSDFGQSEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGVLILEIVTGLKNDPSSQEVSSRILIDNLQRNWLKSSQITSKYPSLEEDDFLQAKRCIEIGLNCVETDPKKRPTIGEIIVKLTDKGTVIGDEAIIHEEMDKRQKFVSTLTRKPKLQVLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >OGLUM11G07100.2 pep chromosome:ALNU02000000:11:6121957:6126094:1 gene:OGLUM11G07100 transcript:OGLUM11G07100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNLFTLAASPIGEQLLIFSNSSPPRLIRNRNKINLLQPNCSSGLQGFSDFGQSEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGVLILEIVTGLKNDPSSQEVSSRILIDNLQRNWLKSSQITSKYPSLEEDDFLQAKRCIEIGLNCVETDPKKRPTIGEIIVKLTDKGTVIGDEAIIHEEMDKRQKFVSTLTRKPKLQVLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >OGLUM11G07100.3 pep chromosome:ALNU02000000:11:6121957:6126141:1 gene:OGLUM11G07100 transcript:OGLUM11G07100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNLFTLAASPIGEQLLIFSNSSPPRLIRNRNKINLLQPNCSSGLQGFSDFGQSEMKGESSTQNKAGNKSQYPITIPKNPTLDSLKVITDNFSSKREIGRGAFGVVYKGVENGEVIAVKKLERTSGIHARRFQNEANNLLELEHKNVVKLIGSCCQAERQVVEHDGKYVFTDVVEKLLCYEYLPNGSLDNYIYDELNGIDWPTRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLGDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGVLILEIVTGLKNDPSSQEVSSRILIDNLQRNWLKSSQITSKYPSLEEDDFLQAKRCIEIGLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFVETPKKLLCYEYLPTGSLDKYIYGESSELKWDMRFKIIEGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTKSDIFSLGVLIIEIVTGLKVDSSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQQVKRCIDIALACVDKNPKGRPSIGEIVDRLNWRKG >OGLUM11G07110.1 pep chromosome:ALNU02000000:11:6133424:6136285:-1 gene:OGLUM11G07110 transcript:OGLUM11G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLASVGPVQETTIQKPFNQGLESSYVPKKQKNCAGQSSSNNYDNTIKKAINIKGPGQEECCCGTGIGCSSK >OGLUM11G07120.1 pep chromosome:ALNU02000000:11:6180595:6183927:1 gene:OGLUM11G07120 transcript:OGLUM11G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLRKLDSHLLDPEDRLPKPLKEGMELLKEDLEEISAAFLEQSMVDSPRHEARYWMEEVRDLSYHIEDYIDLTMLKLSNTTHATMRCFHGIRVGRVKRFKIGRHPKKLKPYTRINKIIRIAKLWEVGERRWIAELRNLLSEASKRHKRSSRRVLAAHGRVPRRFWVAAKLVRIAELGNLVWEARDRHSRYRLDDDCTSSCLRVSTADGWIHARSRVAPSPNLVGIDEPTRDLTRLLTDEAEQQLKVVSVLGSAGVGKTTLAQEVYRKLGLKFECRAFVRASRKPDMRRLLGGILSQVQQGLQVIDATMVQSLVRNLKKHLRKKRYFIVIDGLCETGTATWDIVRRAFPEDNNCSRILITTEIEDVALNCCSYYSDNIFKIEPLCKEDSAKLFTNRVFGSDQQCPPEFNEVSYRITRKCGGLPLSVISMAGFLASLPCETEIWSNVEKCLCSSVTTDANLDEILKGSINLCYNGLPHYLKTCLLYLSLYPEGFIIWTSDLLKQWISEGFIPVIYGKDTEEIAESYFYELVNRGMIQAVQINYSNQVLSSTVHHSVFDLIGHKSKEEKFITAIDYSETMPGNSLNARRLSLCFTNARYATEVAGLTLSQVRSFAFYGLVKCMPSISEFKFLRILILQFWGDHNGCTNFNVARICTLFQLRYLKISSDITIELPPEMSGLKYLEALEIDAKVTAVPLDIVRLSSLLHLHFRDGIVLPDGFGCMRSLRTLKCFDLGNNSEENVRSIGELTNLRDLHLTCSDSEGSSNEQVKNNFTVLTKCTRRKLSNLKSITLCPGASGMCTSFDLSCRVPSPPISVRTLELLPPICIFASLPNWIGQLRKLHTLSIAVRELFANDIDGLTGLPDLTFLSLHILKAPMRRIGFNREAFPVLKYFKFICGVECLDFQAGAMANLQRLELRFNAHKGELYGSILDGIEHLLNLKEITVQIGRAAEAVEYDWMAAEAASKEAISKHPRFQDYNNIERVDWVKEEYRHLDKQHEMKTAGDFTSEKHGDSKRQHAVESKELFGRESLYEKEINRRQHASICNNMWINSYSGI >OGLUM11G07130.1 pep chromosome:ALNU02000000:11:6192279:6196012:1 gene:OGLUM11G07130 transcript:OGLUM11G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESSTQRKTENKSQYPSTLPKDPTLVFLKDITENFSSKREIGRGAFGVVYKGVLDNGEVIAVKKLERTSGIHARKFQNEANNLLELEHKNVVKLIGSCCQAERQVIEHDGKYVLAEVVEKLLCYEYLPNGSLDNYIYDETNGNDWPKRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLDDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQEVSSRILIDNVRRNWLKSSQITPKYPSLEQDDLLQAKRCIEIVLNCVETDPKKRPTIGEIIVKLTDKGTVISDEAIIHEEMEKRQKFFLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFAETPKKLLCYEYLPTGSLDKYIYGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTESDIFSLGVLIIEIVTGLKVDTSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQEVKRERAGDLAISL >OGLUM11G07130.2 pep chromosome:ALNU02000000:11:6192279:6195951:1 gene:OGLUM11G07130 transcript:OGLUM11G07130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESSTQRKTENKSQYPSTLPKDPTLVFLKDITENFSSKREIGRGAFGVVYKGVLDNGEVIAVKKLERTSGIHARKFQNEANNLLELEHKNVVKLIGSCCQAERQVIEHDGKYVLAEVVEKLLCYEYLPNGSLDNYIYDETNGNDWPKRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLDDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQEVSSRILIDNVRRNWLKSSQITPKYPSLEQDDLLQAKRCIEIVLNCVETDPKKRPTIGEIIVKLTDKGTVISDEAIIHEEMEKRQKFFLEDITNNFSHEREIGRGSFGVVYKGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFAETPKKLLCYEYLPTGSLDKYIYGICQGLKFLHELKRPIIHLDLKPGNVLLDDNMMPKIADFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTESDIFSLGVLIIEIVTGLKVDTSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQEVKRCIDIALACVDKNPKGRPSIGEIIDRLNWRKG >OGLUM11G07130.3 pep chromosome:ALNU02000000:11:6192279:6195951:1 gene:OGLUM11G07130 transcript:OGLUM11G07130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGESSTQRKTENKSQYPSTLPKDPTLVFLKDITENFSSKREIGRGAFGVVYKGVLDNGEVIAVKKLERTSGIHARKFQNEANNLLELEHKNVVKLIGSCCQAERQVIEHDGKYVLAEVVEKLLCYEYLPNGSLDNYIYDETNGNDWPKRFKIILGICNGLHFLHKERNEAIIHMNLKPSNILLDDNMVPKIADFGLSRLFGQEQTRLITQNVVGWIGYIAPEYYYRGEISEKSDIFSLGILILEIVTGLKNDSSSQEVSSRILIDNVRRNWLKSSQITPKYPSLEQDDLLQAKRCIEIVLNCVETDPKKRPTIGEIIVKLTDKGTEVKQGVLPNGELVAVKKLLDSVTAVNQDKQFQSEAGILIDLNHKNIVKLIGYCYEIRKEVVENNRKFFFAETPKKLLCYEYLPTGSLDKYIYDFGLSRLLGEEQTRTRTLTVVGSIGYIAPEYRYSGEISTESDIFSLGVLIIEIVTGLKVDTSSQDVTSKGFIENVRNNWAKMPQIASNYPLLEANCLQEVKRCIDIALACVDKNPKGRPSIGEIIDRLNWRKG >OGLUM11G07140.1 pep chromosome:ALNU02000000:11:6197999:6200929:-1 gene:OGLUM11G07140 transcript:OGLUM11G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALTKIGDALANEIAKELIAKLSEKVNNVKDLDEKIEQMRKQLTTMNNVILQIGTAYLTDEVVKGWIGEVRKVAYRVEDVMDKYSYYSVQMAEEWFLKKYFIKASHYVIVFTEIANEVVKIEKEIKQVIELKDQWLHPSQLVSDPLTEMERQRSRDSFPELVKDEDLVGIEDNRRLLTEWLYTDELDSKVITVSGMGGLGKTTLVTNVYEREKINFSAHAWMVVSQTYTVDALLRKLLWKVGYTEPPLSSNIDKMDVYDLKEEIKRMLKVRKCLIVLDDVWDQEAYFQIRDAFQNDEGSRDENEPLCFEALKPRSTELHRLIIRGQWAKWTLDYPIFRSHGKYLKYLALSWCHLGEDPLGMLASHLSNLTYLRLNNMHSAETLVLDAEAFPYLKTLVLQKMPDVNQIKIMDGALPCIEGLYIVSLPKLNKVPQGIESLSSLKKLWLTSLHKDFKIQWNGNGMHQKTMHVAEIYFM >OGLUM11G07150.1 pep chromosome:ALNU02000000:11:6210093:6215935:-1 gene:OGLUM11G07150 transcript:OGLUM11G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVWSSLLVGVLPSIWISLIRGWRSSLGVLRVVRGRSLRSLVVFDDIEKQQWNRSILPENTRSRIIVTTTSQLVAKYCSYGGGYIHNTSTLDKKHSDDLLKALLTGHSRDLEQVSSSIVDTCDGHPLSLVSVANFLRSVNSLTESSEDICHRLGSQVEEAPAFQELREVLMSNYGNLSDHLVKTCLLYMSVFPKGYEIRRNSPARRWVAEGYAQSVGKFTDEKVAHQNFKKLLDQNIIEPMESDDPSTFRHLFIQNCTNINILRLAKKKLRARSLTIFGNGGGAVSCIIAKCKLLQALDLKECNDFGDNLLNDIMKDNLRRLKYLSLGNATTTVPDSVDKLHCLHTLELRKTNIVALHIEVLELPHLVHLFGKVKLRKKRIIHVISKKKVIGLQTLSGFIVDKDSIPQLIVLMRGLRKVKIWCDSTGEGNTNWNIHLKEAIENMVMYEMDTGVGVRSLSLYLGNALENLLGRLGETNGFLTTLKLHGRLSRFPKFVTSLTGIKELCLSSTNLTGSDLSVSGLGELPCLLYLKLVEYNLVGFVIKKGDYPVLQRLCLVVESPNPVLPTIEEEALPELVSLHLLCGHLVNLAGINIRNHTNLQEVALDSARVNGKQSFMFNYFGIYSVSDSSFRAH >OGLUM11G07160.1 pep chromosome:ALNU02000000:11:6233473:6255961:-1 gene:OGLUM11G07160 transcript:OGLUM11G07160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNCLALVEAAGVWVCVRAFIGSGASGGEGFSSPSGAGGVLIPGWRRRARPAARAVRGWQDLASGLLLRSNLWRCWVTSGSSGLLPVSGAVAALRRRLARVVVRHATTLVY >OGLUM11G07170.1 pep chromosome:ALNU02000000:11:6247550:6255805:1 gene:OGLUM11G07170 transcript:OGLUM11G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEATLLESGIEWLAQTILENLDSDKLDEWILQVGLSDVTEKLKAEMERVDVVVAAVRQRAIGNKLTRSLGRLRELLYDADNALDELDYYRLQHQVQGANSTLTSGSRNRMKITDESTHNNAVDLRPWNNAEYARQGTPERANKHAPVQAEAVRPKFATDISSSSGGPGGKRRSVVWKNFDITEYGNGKAVKKVKCIHCNTVLKCGASKGTSVLHKHLRSISCKNKRGASYQQPNLSSTSDITANSALTVEFGGSGSRKRMKIIDESTHNNAVDSRPWNKAECSSKIQQITGRLQDAIGAVSEVLKLHGSDFVGNSNHRTSTTTTLFPTSSLSPHKIYGRDAEKNAIMKIIADDSYDGVTVVPIVGIAGVGKTALAQLVYNDPIVKHNFDQVWVWVSKDYNEVMLTMEILDFVSQERHEVSHQRKESHNRISSFAKLQEILDGHMDIRTKKFLLVLDDVWDSMDDNTWNTLLGPLKSNRAKGNMILVTTRILSLAQRVGTVDPIKLGPLSYEDFWLFFKACAFGDENYRAHPSLDIIGHKIADKLNGNPLAAETAGPILRKDLAIDHWSNTLRDEAWKSLDISRGIMPSLKLSYDQLPYHLQQCFLYCSIFPSGYCFLRQELIHIWISQGFVHRNSSSKRLEEIGREYLANLVSSGFFRPGAQTNQFTQVDKTSYAMCGLIHDFARMVSRTEYATIDNPQCNNMLPTIRHLSILTGSIHHEDPHCGKVEERIRNAVKPVKHLRTLVLIGQHNHIFLQSFRDIIQKEHHLRVLHISSSFTDFFFNWTSFTAIDPLLCNLVSPTHIRYIKFDHEALPQSVGKFYHLQVLDAGSASNLIIPSDMDNLVSLRHLVAAKQVFSSITNICKMTSLQELNDFSVHNSRGLNQLQSLNQLVQLGVSGLQTVTTRAEACGARLRDKQNLEKLRLSWSGAKDGYDGDMSSENEYDSDMSFESETDSDKSSGPSMDIEPLALMEGLPMGDTNISPGIAREVLDALEPHHSLKHLRISWYNGATSPTWFPSSLTCSLQTLHLEKCGKWQILSLESLTLLRKLVLIKLRNATKVSIPSVEELVVTKLISLKACFCTSIRNLNDSLKVLKIKRCPALEVFPLFENYPQFEEQSSWFSRLRKISIYGCPHLRVHKPLPPSPNVEELFIAHVSTLPSIEGSSSGTLRIGFLYDWLDGFDESSDKLITLDDKVMAFYNLRFLSEMVICGCQNLTTISLDSLRQLRCLTSLEISNCPKLFSSNVPSELTREDMAAADHNTLLCLELLNITNCGITGKWLSLLLQHVKTLQELRLENCEQITGLSIGEEECGQPNLMSATEAPSLGFPAEIHYCYLETLVPSFQMNLTCLKNLEVVDSQFLISLQLQSCTALEELKIRGCESLAVLEGLQFLYGLRRLEVYECPRLPPCFDSLSWQGHLRLEKLRIDDTSILTTSFCKHLTSLEYLEIFSCRSEEGRLTDEQERALQLLTTLQELQFMNCYSLQDLPAGLHSLPSLRRLVIRFCSNISKLPEKGLPPSLEELDISYCAKEIKDQCRTLGSKLKVKINGSLVSS >OGLUM11G07180.1 pep chromosome:ALNU02000000:11:6256709:6274670:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTCSNSQWAPRSEVWTVDLVNAMAGAQRWNGKDSDEPMTLTNKKREKTKLQLASSRLPARSVSTLSSVRVKVVVLLSHQRAHQPTRFQHTNRWSLEMNIPLRSGTKRPANIGRTNPSHLDGANGVSSRSLQLGSAAAPPWPPVPPPQMAEAVLLALRKIGNVLADQAAKDLLAKLSEKINNLRDLDEKIEKMRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLFLFPEDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSRDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKRTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLHAKAFPKLKTLFLRQMPDVKKIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHNKMLHVAEIRDGKDSDDLTQTEIRKKKREKKRRRTKLQAAGSRAPSGSKSPPLSSASSLAIDSSEQMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVKIKKLRHLIADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFATLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGRWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNMHSANILVLSTQSFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDVVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >OGLUM11G07180.2 pep chromosome:ALNU02000000:11:6256709:6274670:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTCSNSQWAPRSEVWTVDLVNAMAGAQRWNGKDSDEPMTLTNKKREKTKLQLASSRLPARSVSTLSSVRVKVVVLLSHQRAHQPTRFQHTNRWSLEMNIPLRSGTKRPANIGRTNPSHLDGANGVSSRSLQLGSAAAPPWPPVPPPQMAEAVLLALRKIGNVLADQAAKDLLAKLSEKINNLRDLDEKIEKMRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRKDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSRDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKRTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLHAKAFPKLKTLFLRQMPDVKKIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHNKMLHVAEIRDGKDSDDLTQTEIRKKKREKKRRRTKLQAAGSRAPSGSKSPPLSSASSLAIDSSEQMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVKIKKLRHLIADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFATLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGRWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNMHSANILVLSTQSFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDVVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >OGLUM11G07180.3 pep chromosome:ALNU02000000:11:6256709:6274670:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTCSNSQWAPRSEVWTVDLVNAMAGAQRWNGKDSDEPMTLTNKKREKTKLQLASSRLPARSVSTLSSVRVKVVVLLSHQRAHQPTRFQHTNRWSLEMNIPLRSGTKRPANIGRTNPSHLDGANGVSSRSLQLGSAAAPPWPPVPPPQMAEAVLLALRKIGNVLADQAAKDLLAKLSEKINNLRDLDEKIEKMRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSRDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKRTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLHAKAFPKLKTLFLRQMPDVKKIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHNKMLHVAEIRDGKDSDDLTQTEIRKKKREKKRRRTKLQAAGSRAPSGSKSPPLSSASSLAIDSSEQMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVKIKKLRHLIADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFATLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGRWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNMHSANILVLSTQSFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDVVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >OGLUM11G07180.4 pep chromosome:ALNU02000000:11:6256709:6274670:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTCSNSQWAPRSEVWTVDLVNAMAGAQRWNGKDSDEPMTLTNKKREKTKLQLASSRLPARSVSTLSSVRVKVVVLLSHQRAHQPTRKIGNVLADQAAKDLLAKLSEKINNLRDLDEKIEKMRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRKDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSRDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKRTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLHAKAFPKLKTLFLRQMPDVKKIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHNKMLHVAEIRDGKDSDDLTQTEIRKKKREKKRRRTKLQAAGSRAPSGSKSPPLSSASSLAIDSSEQMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVKIKKLRHLIADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFATLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGRWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNMHSANILVLSTQSFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDVVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >OGLUM11G07180.5 pep chromosome:ALNU02000000:11:6256709:6270786:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRMQLTTMNNVIRQIGTTYLTNEVVRGWIGEVRKVAYRVEDVMDKYSYYSVQMDEEWFLKKVFIKGSHYVIVFSQIADEVVKIEKEIEQVIQIKDQWLPLCQLVPDPLTEMERQRSQDSFPELVKDEDLVGIEDNRRLLTEWLYSNDMGNKVITVSGMGGLGKTTLVTNIYEREKINFSAHAWMVVSQTYTVEVLLRKLLRKVGYTGNVDEKDAYDLKEEIKRTLKDRKCLIVLDDVWDQEAYFKIRDAIEGNQASRVIITTRKNHVAALASSTCRLDLQPLGDTQAFYLFCRRAFYSNKDHECPNELVKVATSIVERCQGLPLAIVSIGSLLSSRPRTHYVWNQTYKQLRSELSKNNHVRAILNMSYHDLSGELRNCFLYCSLFPEDYPISRESLVRLWIAEGFVQSKESNTPEVVAEENLMELIYRNMLQVKENDELGRVSTCTMHDIVRDLALSVAKEEKFGSANDLGTMIHIDKDVRRLSSYEWKHSAGTAPKLPRLRTLVSLEAISSSRDMLSSIFESSYLTVLELQDSEITQVPPSIGNLFNLRYIGLRRTKVKSLPDSIEKLLNLHTLDMKRTKIEKLPRGITKIKKLRHLFADRCVDEKQSEFRYFVGMQAPKDLSNLKELQTLETVEASKDLAEQLKKLIQLKSVWIDNISSADCDNIFATLSNMPLLSSLLLSARNENEPLSFEALKPSSTELHRLIVRGQWAKSTLDYPIFRSHSTHLKYLSLSWCHLGEDPLGMLASNLSDLTYLKLNNMQSAATLVLHAKAFPKLKTLFLRQMPDVKKIKIMDGALPCIEGLYIVLLPKLDKVPQGIESLNSLKKLSLLNLHKDFKIQWNGNEMHNKMLHVAEIRDGKDSDDLTQTEIRKKKREKKRRRTKLQAAGSRAPSGSKSPPLSSASSLAIDSSEQMAEAVLLAVKKVGNVLADEAAKAVIAKVSEKVTNLKELPEKVEEIRKQLTIMNSVILQIGTSYLTDIVVKNWIAEVRKLAYHVEDVMDKYSYHAIQLEEEGFLKKYFVKGSHYVVVFSDIAEEVVKLEKQIQQVIKLKEQWLHPSQLNPNQLAESGRPRSHDNFPYLVKDEDLVGIEDHKRLLAGWLYSDEPDRAVITVSGIGGLGKTTLVTNVYEREKVNFAAHAWIVVSQTYNVEALLRKLLRKIGSTELSLDSLNNMDAHDLKEEIKKKIEDSKCLIVLDDVWDKKVYFQMQDAFQNLQATRVIITTRENDVAALATSTRRLNLQPLNGADAFELFCRRAFYNKGHKCPKELEKVANSIVDRCHGLPLAIVTIGSLLSSRPAAEFVWNKIYKQLRTELANNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYTMTRESLVRLWVAEGFVLGKEKNTLEDVAEGNLMELIHRNMLEVVDNDEIGRVNSCKMHDIVRVLALSIAKEERFGSANDLGTMLLMDKEVRRLSTCGWSDDTVSTVKFMRLRTLISLSTTSSPLEMLSSILCGSSYLTVLELQDSEITEVPTSIGNMFNLRYIGLRRTKVKSLPESIGKLSNLHTLDIKQTKIEKLPRSIVKIKKLRHLIADRYVDEKQSDFRYFVGMHAPKELSNLQELQTLETVESSKDLAEQLKKLMQLRSVWIDNISSADCANIFATLSSMPFLSSLLLSAKDENEELCFEALRPRSTELHRLIIRGRWAKGTLDCPIFHGNGTNLKYLALSWCHLGEDPLGMLASHLPNLTYLRLNNMHSANILVLSTQSFPHLKTLVLKHMPNVNQLKIMDGALPSIEGLYVVSLSKLDVVPEGIESLRTLKKLWLLYLHRDFKTQWHKNGMHHKMQHVPEIRV >OGLUM11G07180.6 pep chromosome:ALNU02000000:11:6270878:6274670:-1 gene:OGLUM11G07180 transcript:OGLUM11G07180.6 gene_biotype:protein_coding transcript_biotype:protein_coding MANVTCSNSQWAPRSEVWTVDLVNAMAGAQRWNGKDSDEPMTLTNKKREKTKLQLASSRLPARSVSTLSSVRVKVVVLLSHQRAHQPTSTNGGGCTPRSQKDR >OGLUM11G07190.1 pep chromosome:ALNU02000000:11:6277647:6278354:-1 gene:OGLUM11G07190 transcript:OGLUM11G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDVPGYFVGRPMNQAEPAKEQQQGADEQRPATNAQIPGDYFVGRPANPQQQQPPPRPAQERPSFLAKCCPCLAGGGAES >OGLUM11G07200.1 pep chromosome:ALNU02000000:11:6280472:6281183:-1 gene:OGLUM11G07200 transcript:OGLUM11G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNPPGYFVGRPLNHEEQQASRPAEEQNAQTPGYYNGNLVRPNDANGEQRKDPGFFKKLFGCFTGGQNVN >OGLUM11G07210.1 pep chromosome:ALNU02000000:11:6283511:6295585:1 gene:OGLUM11G07210 transcript:OGLUM11G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVLLALAKIGIILAEEASRAFISELSEEATNLKELPRNVKIIEKELNMMNGVIKDLGTSDIKKNVVKCWIAEVRKVAYRVEDVMDKYLYHAHQMQEEGKVKMFFTKGTKYTKIFKVVADEIVEIKDEIQHVNTLRSWPVAAENMRPQKGADIERHRPGGWLPELIKDEDLVGVEENRRKLTGWLYSNEPQSTVITVSGMGGLGKTTLVRNVYDREKVNFPNHAWVVVSQTYNVEDLLRQLLTKVADKEQSPPENMHKMDAYQLTEKIKEKLEDRKFLLVLDDVWDKEAYTQMRNAFQNLRTSRIVITTRKEDVASLAFEKCRLQLHPLGKTDSFNLFCRRAFNNRIDCKCPPKLKELATYIVQRCQGLPLAIVSMGSLLSSRQPTEYAWSQAYDQLSNEMSKNDHIRAILNLSYHDMPGDLRNCFLYCSMFPEDYSISRETIVRLWVAEGFAEKQDSSEPEDVAEGNLMELIRRNMLELVESDELSRVSTCKMHDIVRDLALDVAKEEMFGSARDNDTMRNLHTEVRRFSTCRWKDVGTPRSRMSFPHLRTLLSLEAVSSFTNMLNSILSASNYLTVLELQDSAISEVPASIGNLFNLRYIGLRRTNVQKLPECIGNLSNLQTLDIKQTKIVKLPRGIVKVKKLRHLLADRCADEKQLEFRYFVGVEAPKGLSDLGELQTLETVEASKELAEQLEKLMNLQSVWIDNISAIHCAKLFSTLSKIPLLSSLLLSACDKKEELCFQNLKPISTKLHKLIIRGRWATGTLKCPIFNDHGKNLRYLALSWCQLGEDPLGVLAPHVPSLTYLSLNNMNSAKTLTLSAGSFPNLKTMVLKHMPDVNQLVVAGGALPVIEGLYIVSLPELERVPQGIETLRSLKKLWLLNLHKYFKSHWTDGEMHQKMQHVPDLRV >OGLUM11G07220.1 pep chromosome:ALNU02000000:11:6322671:6330527:1 gene:OGLUM11G07220 transcript:OGLUM11G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAILAISKIGTTLGEEATKAVLEKLSEKVTNLKNLPRNVTRIEKELKMMNNEDGKMKKFVKGAQYIKIFDEIADEIVRIEEEIKHVKDLMKWSLSAELMSPNTPSDIERQISGGCLPEFIKDEDLVGVEENRRKLTGWLYSNEPHGTVITVSGMGGLGKTTLVKNVYDREKGNFPAHAWIVVSQTYDVEELLRTLLMKVAYREQSPAANMNKMDVYELTDKIKKKLEDSKCLIVLDDVWDHEAYTMMRNAFQNLQESRIVITTRKEDVAALASSKYRLELQPLGNTDSFNLFCRRAFHGRTGCPKELMEVATSIVKRCQGLPLAIVSMGSLLSSRKQTEYAWNQTYSQLRNEMIKNDHVRAILNLSYHDMPGDLRNCFLYCSMFPEDYSMSRESLVRLWVAEGFAVRKDGNKPEDVAEGNLMELIHRNMLEVVENDELSRVSTCKMHDIVRNLALDVAKEEMFGSASDNGTMTQLDTEVRRFSTCGWKDDSAPRVSFPHLRTLLSLQAVSSSTSMLNSIFSGSNYLSVLELQDSEISEVPTSIGNLFNLRYIGLRRTNVSDRYADEKRTEFRYFIGVEAPKGLSGLEELQTLETVQASKELAEQLEKLTKLQNLWIDNINCQRAVGHWDTGIADISGTWLIITAGSFPKLKTIVLKLMPNVNRLKIADDALPVIEGLYIDSLPGLERVPVGIENLLSLKKLWLLNLHQDFKADWIHREMHRKMQHVPDLRV >OGLUM11G07230.1 pep chromosome:ALNU02000000:11:6323426:6331691:-1 gene:OGLUM11G07230 transcript:OGLUM11G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSQVHLGRVNAQQRGRGPTSARRRRPPIASTAFFTASVFWGLRWPPLANQIRPGAPPAGEAGCAAASGQIRLIEEPEFLQGEKIFNAHRDSLQTWHW >OGLUM11G07240.1 pep chromosome:ALNU02000000:11:6357456:6373826:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQAGPTCVSPSQPNKHRPPSSWPSPLVTSTRSRTAYGQSSAPVAQPQHPLAGDAAAVHSTASNAADRRQLPLVPPPRACAGVGNGRRLVRFLPWRLESFPIDSGNSLVIAALVASSTKTEPIFETARITASMCAEQRHRFYWKTSVPSPVARPPRGSRRRQAPAPPPVHSIAFSAAGRGAASVANGHRPRPAHAPPRAGAGPLLALTQKPQLLQVISSNKTEPIFETTSITASAICAEQKKTDLTATYQYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07240.2 pep chromosome:ALNU02000000:11:6357456:6371292:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKDSLVIAALVASSTKTEPIFETARITASMCAEQRHRFYWKTSVPSPVARPPRGSRRRQAPAPPPVHSIAFSAAGRGAASVANGHRPRPAHAPPRAGAGPLLALTQKPQLLQVISSNKTEPIFETTSITASAICAEQKKTDLTATYQYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07240.3 pep chromosome:ALNU02000000:11:6357456:6373826:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQAGPTCVSPSQPNKHRPPSSWPSPLVTSTRSRTAYGQSSAPVAQPQHPLAGDAAAVHSTASNAADRRQLPLVPPPRACAGVGNGRRLVRFLPWRLESFPIDSGNSLVIAALVASSTKTEPIFETARITASMCAEQRHRFYWKTSVPSPVARPPRGSRRRQAPAPPPVHSIAFSAAGRGAASVANGHRPRPAHAPPRAGAGPLLALTQKPQLLQYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07240.4 pep chromosome:ALNU02000000:11:6357456:6369467:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTILQGRLTTNTLVRRYEPGVDTRPDELVPSPVARPPRGSRRRQAPAPPPVHSIAFSAAGRGAASVANGHRPRPAHAPPRAGAGPLLALTQKPQLLQVISSNKTEPIFETTSITASAICAEQKKTDLTATYQYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07240.5 pep chromosome:ALNU02000000:11:6357456:6373826:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.5 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQAGPTCVSPSQPNKHRPPSSWPSPLVTSTRSRTAYGQSSAPVAQPQHPLAGDAAAVHSTASNAADRRQLPLVPPPRACAGVGNGRRLVRFLPWRLESFPIDSGKPNFSSLAIERARSRTRSCILKYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07240.6 pep chromosome:ALNU02000000:11:6357456:6369467:-1 gene:OGLUM11G07240 transcript:OGLUM11G07240.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTILQGRLTTNTLVRRYEPGVDTRPDELVPSPVARPPRGSRRRQAPAPPPVHSIAFSAAGRGAASVANGHRPRPAHAPPRAGAGPLLALTQKPQLLQYGKGWLGPPKRRDPSTQLQALLGRIRRGGWWAAEQRRPKTA >OGLUM11G07250.1 pep chromosome:ALNU02000000:11:6358306:6361023:1 gene:OGLUM11G07250 transcript:OGLUM11G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVMLVVSKIGSVLLEEITFTVISKLSEKITNLKELPVKVKEIGKELNMMNTFIKRISTTDLTDDVKAWIAEVRELAHCVEDVLDKYSYHALKLDEENAVKQIFTKANYIKVFSEIADEITQIEGKIENAVKRKDRWLQLSELTPYPLADVQRKQSRDCLLELVQDDLVGIEDNRKQLTKWLYSDEKGSTVITVSGMGGLGKTTLVANVYEQEKMNFNVYHWIVVSQKYDIAELLRKMLRKCWSLEHTQLADLDAHDLKSAIKERLKDSKCLVVLDDVWNREAYTQIGDAFQNQKASRIIITTRQDQVASLSNITRQLKLLPLKHSDAFDLLCRKAFNASMGCKCPQELEKLADDIVDRCQGLPLAIVSIGGLLSSMPPTKYVWNETYKQLRSELANNNNVQAILNLSYQDLLGELRNCFLYCSLFPEDHQLSRETLVRLWVAEGFAVQKEHNTPEEVAERYLRELIQRNMLEVLEYDELGRVSKCKMHDLVRDLALSIAKEEKFGYANDFGTMVKTNREVRRLSSCGWKDKTMLKVKFLRLRTLVALGITTSSPQMLSSILSESSYLTVLELQDSEITEVPASIGNLFNLRYIGLQRTRVKSLPESIGNLSSLHTLNIKQTKIQKLPRGIVKVKKLRHLLADRYEDENKSEFRYFIGVQAPKELSNLEELQTLETVEASKDLAEQLKKLMQLRSVWIDNISAADCANLFATLSKMPLLSSLLLSASHETETLCLEALKPASESLHRLIIRGCWAAGTLESPIFRDHGKFLKYLAISWCRLQENSLLLLAPHVPNLVYLSLNRVSSASTLVLSAGCFPQLKTLALKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDTIPEGIESLRYLKKLWLLGLHKNFRSQWEKNGMQQKMHHVPELRVKD >OGLUM11G07260.1 pep chromosome:ALNU02000000:11:6373213:6379278:1 gene:OGLUM11G07260 transcript:OGLUM11G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLNSCEKTKFQMVMAEAMLLAISKISVALGDEATRAVIAKLSGKVTNLRELPNKVEYIRKELRVMKDVIQDLDSTNTNMNVVKGWIDELRKLAYRVEDIMDKYSYYACQLQQEGSVMRFVRGAHYASVFSEVASEVMKIKGDIEQVKRQQMEWLPTVQLIPRTPTDIETPRSQGRRKLLECGDPVGIEYNRKRLFELLYSEEPGHKVITVSGMGGLGKTTLALDVYEREKIKFPVHAWITVSQTYTVVSMLRQLVSPLILMEQESSESKEDLINKMGVHELTEELKRKTENCTTCLIVLDDVWDQNVYFEIQGMLKNLQESRIIITTRMEHVAVLAPSECHLKIQALGEIDAFNLFCRRAFYNRKDHRCPPDLENVVASIITKCKGLPLAIVTMGGLMSSKLPTEHVWQQMYNQLRSELAKNDDVKAILKLSYHSLPADQKNCFLYCSLFPEDFRISRESLVRYWVAEGFAVRVEHNGPEDGAEVNLMELIHRNMLEVDEYDELGRVSSCKMHDIVRNLALSIARQERFGYANDFGAVEKVDWEVRRLSLFLNNGKGCGSTVKFPHLRALLETISHPPGMLSPILSESKYLTVLELQDSDITEVPACIGKLFNLRYIGLRRTRLRSLPESIEKLTNLQTLDIKLSKIEKLPRGITKIKKLRHLLADRYVDETQSGFRYITAIKAPKDLSNLEELETLGTMEASKHLAEQLKKLMKLRSVWIDNISSADCGTIFPTLSNMPLLSSLLLSATDENEPLCFEALKPRSTELHRLIIRGQWTKGTLDYPIFHSHGMHLKYLAVSWCHLGEDPLRILSSRLDNLTYLRLNNMHSAKRLVLDATAFPCLKTLVLKHMPDVNQLKIMNGALPVIEDLYIVALSGLESVPPGIETLQTLKKLWLLDLHRDFKAHWIDSEMHQKMQHIPELRV >OGLUM11G07260.2 pep chromosome:ALNU02000000:11:6361296:6373308:1 gene:OGLUM11G07260 transcript:OGLUM11G07260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPQIHTHMEAVILAVSKIGSVLVEEATKAAITKLSEKATNLKELPSKVEEIEDELKTMNNVIKQMSTTNLTDEVVKGWIAEVRGLAHCVQDIMDKYSYHALKLEEENSVKKLFTTPNYVTVFSEIAEEISKIEKKIENVATRKKRWQQQSHHTPNPLADIERKRSQDCLLAPDDLVGIEDNRKLLTDWLYSKEQDNTIITVSGMGGLGKTTLVNNVYEREKNNFEVSTWIVVSQSYDVVDLLRKLLKKIVPDDQTQLLDLDAHDLKIRIKEKLKDENFLIVLDDVWNREAYTQIADAFPNFQASRIIITTRQGDVATLAQSARQLKLNPLEHTDALELFCRRAFYRNCKCPQNLEKLTNDIVVRCQGLPLAIVSIGGLLSSLPPENHVWNETYKQLRSELTKNNNVQAILNMSYHDLPGDLRNCFLYCILFPEDHELSRETVVRLWVAEGFAVQNEENTPEEVAEKYLRELIQRNMLEVLGNDELGRVSTFKMHDLVRDLALSIAKEEKFGSANNYDTMERMDKEVRRLSSYGWKGKPVLQVKFMRLRTLVALGMKTPSRHMLSSILSESNYLTVLELQDSEITEVPASIGELFNLRYIGLQRTRVKSLPESIGKLSSLLTLNIKQTKIQKLPQSIVKIKKLRHLLADRYEDEKQSAFRYFIGMQAPKELSNLEELQTLETVEASKELAEQLMKLMQLRSVWIDNIRTDDCANLFATLSKMPLLSSLLLSASHENETLCLEALKPESEELHRLIVRGCWAARTLEYPIFRDHGKNIKYLAISWCRLQEDPLLLLAPYVPNLVFLSLNRVNSASTLVLSADCFPQLKTLVLKRMPDVNHLEIIGGALQHIEGLYVVSLPKLDNVPQGIESLRYLKKLWLLGLHKNFRSQWQKNGMHQKMQHVPELHVKD >OGLUM11G07270.1 pep chromosome:ALNU02000000:11:6383069:6383519:-1 gene:OGLUM11G07270 transcript:OGLUM11G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGAAAACPLALVPSSHASAIKLPCGVGSFLDLCGKDSDSVPYDFIGGFYSICSIEISQPKCVGLDFRGSSRMGYVRWLGLSVFVAPFLQACSMFHVAANSPHVRTMD >OGLUM11G07280.1 pep chromosome:ALNU02000000:11:6385035:6385827:1 gene:OGLUM11G07280 transcript:OGLUM11G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFNCCGSMTAMPRRGWWLGYIDMTSLLSPIHKPHASVARGRPHPTEVDLADESASGGPPISYACSAWHDETLTLSYSMVTLSPCRRHRRWQGRAEEGEGAAEVGVMGIGEGGGRSGGLVVAAEAMGTEEAMQSGGGSVAQ >OGLUM11G07290.1 pep chromosome:ALNU02000000:11:6386231:6400495:1 gene:OGLUM11G07290 transcript:OGLUM11G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWARLFAGSGLAALSMRLTKQLSTGGDHLAAAGVQQNGGASKAGPNNLVFSPLSIYSALSVVAAGARGRTQSELLKALGAGSREELAESVAKMMARALPDGTPQRGGPRVAHACAIWHERARTVKPAFRDAAAASFKAVTRAVDFLRNPEEACKEINRWVATATENLIDSIVSPDSVDKNTRLVVTSAVYFKGRWARPFDREKTKKDKFHLLDGGGDVEADFMRSYEDQYIAVDRGFKVLRMPYAAAQHEHNRVYTKEHLRRRFAAAAAAVSKEMPATSPRYSMCVFLPDERDGLWKLEDRMAAGGEGFLRKHMPERRVEVGEFRIPRFKLSFGDSVVRALRGLGVRALFDPARAELTDVLEADNSGDPPLFVSDVVHRAVIEVNEEGTEAAAATAMILLGAAPNAAPPPPRVDFVADHPFAFFVVEETSGAVLFAGHVVDPTKQYPPPPRRRCCAIQGFVVLFLVYVLAVLVLAGGELFRDDHPLDHRFPSSPGIGSSSAARFLLSPRSLLLRLGEIASRRGRWWRPESDSPTSDGRKEGNSSTTEACSRRCAASGLTEMSRRLAEQLSAEEDGGGGNLVFSPLSIYSALSVVTAGARGTTLTELLAALGAPSRDALAKNVAEIVRALPGGTATGGPRVAHACGLWHDRTRSLKLAFRDAAAASFNAATRAVDFLANPEEARKEINSWVAAATENLIDTILPPGSVSTGTRLVVTSAIYFNGTWQTPFRKQDTKKDKFHLLDSGGAVDADFMRSGDKQYIAAHDGFKVLRMPYAHAAPQLSPRYSMYILLPDERDGLSNLEDRMAAAGGSGGEGFLREHMPVRRVKVGEFRIPTFKLSFSRSVVRALRGIGVNAMFDRAELPDMIEGEPLRVSDVLHKAVIEVSEEGTEAAAATAVLMAGAARYAPPPPPREDFVADHPFAFFVVEESSGAVLFAGHGLVVLFLVYVFAVLVLAGGELFHDDQLQRRFPSSPGIGSSSSSSSARILLSPRSMIRRLGEIARRGGSRRWWTGGVRPETDSPRSEGGNSSATDQACSRRCAASGLAGMALRLAERLSLEEDSVGGGNLVFSPLSIYSALTVVTAGARGTTLAELLAALGAPSSRDALAENASEIVRALPDGTGTATGGPRVAHACGLWHDRTRAFKPAFRDAAAASFQAATRAVDFLANPEEARKEINSWVAAATENLIDTILPPGSVCTDTRLVVASAIYFNATWQTPFRKQDTKKDKFHLLGGAVDVDADFMRSGDDQYVAAYDGFKVLKMPYNTRASRTHTQPQYSLCVFLPDERDGLWTLADRMEAGGGEVFLREHMPEKRVKVGEFRIPRFKLSFDGSVNTALQGVGVRAVFDPAAADLSDVLEEGNSGDPPLFVSDVLHGAVIEVNEEGTEAAGATVFIMKGRARRPSPAPAPVDFVADHPFAFFVVEESSGAVLFAGHVVDPTKS >OGLUM11G07300.1 pep chromosome:ALNU02000000:11:6404250:6406064:1 gene:OGLUM11G07300 transcript:OGLUM11G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLIRERASYNETTPDEKTVVREYIEDDEAIRARFKEWMKDHGRTYKQDEVEEARRFKIFKSNAKFTDAANADSAKAGHSTRFGLNEFSDWNQEELARMCCCMPARSDAK >OGLUM11G07310.1 pep chromosome:ALNU02000000:11:6414760:6416077:1 gene:OGLUM11G07310 transcript:OGLUM11G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCARRCAVSGLTALSMRLTKQLSAAGPSKAAADNLVFSPLSIYSALSVVTAGARGRTLTELLGALGAESREKLAANAGEMARALPAPCGGAAQPGGGPRVAHACGVWHERTRTVRPAFRDAAAASFNAAALAVDFLNNPEEARKEINSWVAAATENLIDTILPRGSVSTDTGLVVASAIYFNCQWRTPFCKERTEKRAFHRLDGGDVEADFMRSGEDQYIAVHDGFKVLKMPYAARVSARTTTSGTAARYSMYVFLPDERDGLWSLEDRMAAGGEGFLREHTPERRVEVGEFRIPRFKLSFDDRVVGALQRLGVRDVFRPFVADLADVLEAENSGDPPLFVSDVLHKAVIEVNEEGTEAAAATAICLTFASAAPSSRRPARVDFVADHPFAFLVVEESSGAVLFAGHVVDPTNE >OGLUM11G07320.1 pep chromosome:ALNU02000000:11:6439860:6441074:-1 gene:OGLUM11G07320 transcript:OGLUM11G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVACSSRRKVNAGDSSSSWASLHEDLVSLIGWRVAAGDFRDYIRFRAVCPQWRSATSCPRRRGILDPRFHPRGWMLLPEGRGLHPGHGKLRGHVRFFHLSTGAVVRVRLPHFRDHCVLYSVDGVLLLQRDHDTAIRLLHPFTGDTAEFPPLETLLPRVRCRSEASRWCSLRNICGASISVGVGDGLVRVMMRPIGVWNICFATSSDQQWRVAATWERISINHRSCTLPFQGKLYVLLRPHSVRGEHEVIQIDPPQHCLSEPSPKLIAKFKWPTSDESYRLYSYRLAECNSEILVVGTKWDAVYYSVYRLADLMLGRTVHVTSIDGNALFIGRRSLCVSSKAFPTIVPDTIVMPDTKIYLSQYHLSNGTLSQATDGVIAEEKDIPGPYSIMCHIITCCSPPFW >OGLUM11G07330.1 pep chromosome:ALNU02000000:11:6444831:6445502:-1 gene:OGLUM11G07330 transcript:OGLUM11G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLDAVVDVRAMCGDFCSRSDWFRVYFRRDLKWCPTFRNVKTSILNEYWCVPADFIALACILEHSPILEKLVLQLFSMGPKHKVEMNGNRYPSDVSAAVLEHLKTVEVKCEVVDERVHLTYVR >OGLUM11G07340.1 pep chromosome:ALNU02000000:11:6455058:6458375:1 gene:OGLUM11G07340 transcript:OGLUM11G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRAKMRKMSAARSGRVKIGDQPEDLLRRVVSLLSARQAVQTSALSRRWRHLWRSAPLLRIVPDEGFQAVRGLNEFVKHLLLLRDGAAPLDACVINFYCCEFDSYRYPSSDEPDVGLWLRHAVSRGAQLIRVEVYVEDEPVCLPDLPLVSKHLRVLDLRLVEIKDSLVDFSGCPSLEHLKFQGGFINAHRISSPSVKHLIIDGSGFNRKFRTRISTPGLISLELEFWGSTPLLEDMPLLVTASVYLDHECRDRCANTEFGNCGDPECDDCDVMVSDGDGCVLLQGLSGATTLELTAESRVFMFRRDLMWCPIFSKLKTLLVNEWFMTSNMSGLACLLEHSPIVEKLTLQLSKEPRNFVEIEDSDKPCKQAFLFKNLNIVEIKCQEGDERVKKILKILSQNGVPLAKINVLQTKRRPRRFGFIPMKKWGLQMIDVSERVE >OGLUM11G07350.1 pep chromosome:ALNU02000000:11:6468131:6473410:1 gene:OGLUM11G07350 transcript:OGLUM11G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPGLLQAALPTKTVRVGKFMLPKFKLTFSDDIAGVLRDLGLDVTFSDGEADFSKMVEEDGCSGGGRPLSMRSVVHKAVIEVNEEGTEAAAVTGATLCLASAKRPRPVVVDFVADHPFAFFVIEETTGAVVFAGHVLDPSSKPGALDDDDDDDDLALRLARRIQAGGAPDGNLVFSPLSVYAALALVAAGAGGDMLAELLGVLGAGSRDELAGLAGRLAGQALADRSRAGGPRVSFVSGVWHDKTRTLSPSFRDAAVQSFMAETRAADFREKPGEAVNQINAWARKATNKLIDTVIDGGLPADTDVVVANAVYFKGKWKDPFTKALTKTGKFHRLDGAAVDASFMQRGTYYDTGDYIACHDGFKVLRLPYDDERLRSPASPPPPPSTPRFSLCVFLPDARDGLWDLLDEIASTPGFLQAKLPTRHASVGEFKLPKFKLTFSGDIAGVLRGLGLDVTFSDGEADFSKMVEDDGGRRPLSMRSLVHKAVIEVNEEGTEAAASALNMVCGMSMTPGPPPVVVDFVADHPFAFFVIEETTGAVVFAGHVLDPSSTAGALDDDDDEDEFVVMGCLRYLLDRCMAFLGV >OGLUM11G07360.1 pep chromosome:ALNU02000000:11:6475141:6478203:-1 gene:OGLUM11G07360 transcript:OGLUM11G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHILVICLPLITLLSLSISSSCQSDHQIQTQALLQFKAGLTDPLNNLQTWTNTTSPCRFLGVRCDRRTGAITGVSLSSMNLSGRISPAIAALTTLTRLELDSNSLSGSVPAELSSCTRLRFLNLSCNGLAGELPDLSALAALDTIDVANNDLSGRFPAWVGNLSGLVTLSVGMNSYDPGETPASIGNLKNLTYLYLASSNLRGVIPESIFELAALETLDMSMNNLAGVIPAAIGNLRQLWKIELYGNNLTGELPPELGRLTGLREIDVSRNQLSGGIPPELAALEGFEVIQLYRNNLSGQIPAAWGELRSLKSFSAYENRFSGEFPANFGRFSPLNSVDISENAFSGPFPRHLCDGKNLQYLLALQNGFSGELPDEYSSCDSLQRFRINKNKLTGSLPAGLWGLPAVTIIDVSDNGFTGSISPAIGDAQSLNQLWLQNNHLDGEIPPEIGRLGQLQKLYLSNNSFSGEIPPEIGSLSQLTALHLEENALTGRLPGEIGGCARLVEIDVSRNALTGPIPATLSALSSLNSLNLSHNAITGAIPTQLVVLKLSFVDFSSNRLTGNVPPGLLVIDGDVAFAGNPGLCVGGRSELGVCKVEDGHRDGLARRSLVLVPVLVSATLLLVVGILFVSYRSFKLEELKKRDMEQGGGCGAEWKLESFHPPELDADEICAVGEENLIGSGGTGRVYRLALKGGGGGGGTVVAVKRLWKGDAARVMAAEMAILGKIRHRNILKLHACLSRGELNFIVYEYMPRGNLYQALRREAKGCGCGAAAAELDWARRCKIALGAAKGLMYLHHDCTPAIIHRDIKSTNILLDDDYEAKIADFGIAKIAAEDSAEFSCFAGTHGYLAPELAYSMKVTEKTDVYSFGVVLLELITGRSPIDPAFGEGKDIVFWLSTKLAAESIDDVLDPRVAAPSPSSSSSAALARDREDMIKVLKVAVLCTAKLPAGRPTMRDVVKMLTDAGAGPCSPRGQPPAARACARSKSCC >OGLUM11G07370.1 pep chromosome:ALNU02000000:11:6478262:6486513:-1 gene:OGLUM11G07370 transcript:OGLUM11G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKGMYGRPSGLVANGPRILTKPPAAKGGRLGCHSSNGTPTSNNNLLSCISLPSPSLHIISTDWKKGSEAEIGKCRFRQEGRTEWEFEEKLRGIVVGPIVSFQGWTPGQH >OGLUM11G07380.1 pep chromosome:ALNU02000000:11:6500797:6502107:-1 gene:OGLUM11G07380 transcript:OGLUM11G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQCDPVTGTVTEISLASMNLSGRISPAIGALAALTRLDLGDNTIISGGVPPELSNCTQLQFLNLSCNGLTGELPNLSAKLAALDTLDVANNCFSGRFPAWVGNLSGLVILAVGENSYDRGETPPSIGNLKKLTHLYLSSCYLTGEIPESIFGLTALRTLDMSKNYLTGGIPAAIGNLCELWSIQLYSNNLTGELPPELGKLTGLRELDVSGNKLSGEIPASLAVLRNFEVIHLQWNNLSGPIPAAWGELRFLKRFAVYENNFSGEFPANFGRFSPLYGIDISENAFSGPFPRYLCHGKNLQYLLTIGNSFSGELPEEYSACHHLVIFRVHGNTLTSNLPAWVWGQQSAEIIDVSNNGFTGRISPAISKAQRLKELWLHNNRLDGEIPREIGRLWRLKKLYLSNNSFSGVIPPEIGNLSKLTELTLGGNMLTGWL >OGLUM11G07390.1 pep chromosome:ALNU02000000:11:6505326:6505592:-1 gene:OGLUM11G07390 transcript:OGLUM11G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCKILGEEEAVQTGVNLVDNGGPSGDGGLGVRVEEDILGSGGGGLRFRQDLWTSAEAAPLGAAAGCESERRKRIPMTAAALWMGEA >OGLUM11G07400.1 pep chromosome:ALNU02000000:11:6505661:6508052:-1 gene:OGLUM11G07400 transcript:OGLUM11G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRDGGENGCMNTPYPFTLSPSTHPLHSSSPDRLSSSRSPPLATVSDGGRTGGGARWRLAAVRGCAAGDGKRWRAVLGGGRAERRVVTGGGQANGGEGGGRPGGRRGGRRHVEGRKSTGGGRAGGVEDGGGWRPGEQRGGRRLAEGRKVHGKRQPGEHAEGRAAAGQAEGSLATLMSMCGLRPAAISAQGLVCRVVALDVLFSGSSSTPPPAWVRQRPECRWPVLGKEKAAAAT >OGLUM11G07410.1 pep chromosome:ALNU02000000:11:6515969:6517524:1 gene:OGLUM11G07410 transcript:OGLUM11G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATRPSKKAKISEYYELTDQNLFAHFTIESSTKDYTLVDMGDVFVQKHDLTCLLSENEFVNDNGLVWILRTIK >OGLUM11G07420.1 pep chromosome:ALNU02000000:11:6520814:6520999:1 gene:OGLUM11G07420 transcript:OGLUM11G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAWRIGALDAPRHRRWLAQDAARCGVRAVSSYMAAAVAYSPLESRTTGQGGTLVTGAQ >OGLUM11G07430.1 pep chromosome:ALNU02000000:11:6522143:6522580:-1 gene:OGLUM11G07430 transcript:OGLUM11G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTTSKMRARRRGRGSGWVGAGKLRPLIMLSPSPMLCMRCGLLFHPASCCIACRVVMRAVLPFQCTCVRLCAVHGGLFAELLEDSVVASLPLLDSLHSDPPPRSPSTPCIAPPSGAAKGGAGGCQHPPIPTPLPLHPPIFTLY >OGLUM11G07440.1 pep chromosome:ALNU02000000:11:6547144:6553348:1 gene:OGLUM11G07440 transcript:OGLUM11G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRRPSPLRLVVFLAIVLLRWSTNGNAAAGGDDALRGDALALVTLRASLRCHAHALRDWSAGNVAAVCAWTGVRCAGGRVVSVDVANMNVSTGAPVSAAVAGLDALANLSLAGNGIVGSVTASALPALRFVNVSGNQLGGGLDGWDFASLPSLEVFDAYDNNFSSPLPAGVVALRRLRYLDLGGNFFSGEIPAAYGGMAALEYLSLNGNNLQGAIPPELGNLTSLRELYLGYYNVFDGGIPPELGRLRNLTMLDISNCGLSGRIPPELGALAALDTLFLHTNQLSGAIPPELGNLTALTALDLSNNALTGEVPATLASLTSLRLLNLFLNRLHGPVPDFVAALPRLETVQLFMNNLTGRVPAGLGANAALRLLDISSNRLTGMVPEMLCASGELHTAILMNNFLFGPIPASLGSCSSLTRVRLGQNYLNGTIPAGLLYLPRLNLLELQNNLLSGDVPANPSPAMAAASQSSQLAQLNLSSNQLSGPLPSSIANLTALQTLLVSNNRLAGAVPPEVGELRRLVKLDLSGNALSGTIPAAIGRCGELTYLDLSKNNLSGAIPEAIAGIRVLNYLNLSRNQLEEAIPAAIGAMSSLTAADFSYNDLSGELPDAGQLGYLNATAFAGNPRLCGPLLGRPCGYGGGGGGGAWRFTAFHKVDFGIAEVIESMKDGNVVGRGGAGVVYVGRTRSGGTIAVKRLNTSSSSAAAAGGGEAARHDHGFRAEIRTLGSIRHRNIVRLLAFCSRRGGSGGGEAASSSNVLVYEYMANGSLGEVLHGKGGGFLSWDRRYRIAVEAARGLCYLHHDCSPMIVHRDVKSNNILLGDNFEAHVADFGLAKFLRSGGGATASSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWTKRVTDGRRESVHRIVDRRISTVPMDEVAHIFFVSMLCVQENSVERPTMREVVQMLSEFPRHGSDQPSPSSSAPETGEESSPEKEPNCYKLFPDLLT >OGLUM11G07450.1 pep chromosome:ALNU02000000:11:6555244:6556096:1 gene:OGLUM11G07450 transcript:OGLUM11G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSFANNWDFSPGEGFAREVKNRFSSTVHFSPGFSDVRPFKLVFYSCQQFHLFFHLWRNGGPDWYKEYSQWIIEQDKEWTTVKPKTRSKSALVKPGVSFAKVVRSKQVETPFVIPVKKAFNSLKKSFSVHRPSDKKDLDHPNRTWGFKVFQSCSVQFLLSLWAFGLALFGPEEEV >OGLUM11G07460.1 pep chromosome:ALNU02000000:11:6556245:6557945:1 gene:OGLUM11G07460 transcript:OGLUM11G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVLNPGRFLEAGQHVQDGSPNRLPRADITVPAPQKQHEAYMLAEIEPQVHEDEWDVHRLQIRNHLHNELGIMVTHCSPHPLGVGLFAVRTTLIRDTLVAAFGFHYNGGHVVRFDNHDKGINWRAAHADRVGWIMFLGYPLDFRSTSYISRAVGLFGKLDYWQEVDAIPGRVLLRAYFDDVDLVPRRIVIKQFPNQDEDLPHVGAPPPPPDPPHHHGPAWDEINHHHGDEGAWGNWEQQAKNQPGSDHSGLSSQGASANLQIVIAASTDSSPLFTISPEMQGKIDEFVLRMKLLKSLQNKTHIPLPIQNAAPFTQLLYPKRKALSVYSQSVEPVVKRANLNESSSWAMEKSLSIDVIPSTEGPILSPIPLAILPPSGVHLDSDKFLLAGPEVSVGRNEPVSPVASSSDALILPKAPVKKRDGKTILFDPVRRQSSRLRALSTDVAADPRMGIGKPRGKSAKKLKELAGITNLLSSGSILKESDFASDVHSETDSTPSDCSVSLLQKMGVEMCGLSLEEVAESKLGGQKLDLVPRPAEDEE >OGLUM11G07470.1 pep chromosome:ALNU02000000:11:6564832:6572276:1 gene:OGLUM11G07470 transcript:OGLUM11G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDNSPASDAGAATAHRARNPLTWARNVDDDDDDFFPPPPRAGCFSPSSFCPGNARTSSSDGSAVTRARFGTRPPPPCTATADAPRLRTAISPPRSALVAEGV >OGLUM11G07470.2 pep chromosome:ALNU02000000:11:6564832:6572276:1 gene:OGLUM11G07470 transcript:OGLUM11G07470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLRIISLHNQEAGQLQNSVTLEPIPEVNQLGSCHDAGASLTAINPNKVAKPVFMDYAMQQMPWTLQLHIVPIFRIIPATMNPQRLQVLEVPISFSLRIMKWYLLEQTGCLLQPM >OGLUM11G07470.3 pep chromosome:ALNU02000000:11:6564832:6572276:1 gene:OGLUM11G07470 transcript:OGLUM11G07470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAMQQMPWTLQLHIVPIFRIIP >OGLUM11G07470.4 pep chromosome:ALNU02000000:11:6564832:6570863:1 gene:OGLUM11G07470 transcript:OGLUM11G07470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLRIISWYLLEQTGCLLQPM >OGLUM11G07480.1 pep chromosome:ALNU02000000:11:6568762:6572067:-1 gene:OGLUM11G07480 transcript:OGLUM11G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRGASAVAVHGGGGRVPKRARVTAEPSLLDVRAFPGQKEEGEKQPARGGGGKKSSSSSSTFRAQVRGFLARCAVAAPASEAGELSPGMSSWHVGFTTAGGEAVAVMEVVEEEVARARRVYCEHCTVAGWSKHPVCSKRYHFIIRNENEIGTSKTCRRCGFMVALQETRCPSCNHVGLSRDDPEDWDYVQLESPRHLLHGIVHENGFGHLVRINGREGGSSVMTGSQLINFWDRLCRYLRVRKVSVIDVSKKYGADYRSLHAVATGCSWYGLWGFKLSSGSFGITPQDYSKAVESLSSVPLSNFFPHTRSPRNQLQNTIAFYQSLSRHPLTTIRELFAYIMELATGKSVHKEKMAYDANYQEEFPEEELQRATNIALKILRAADRWVATRTLKAVTSHPIGSPQLVDYCIKTLGGTRTDDGMVVAIRCNSEMNTVEYRLTTETLFPPNNVCTLTQDHLFRDIKFLYDALLYPYTMHPYMPEENYQHAKRSAMILLDCKQFIKHYDLEEDFLPQNPSQLHIWCQVELADQVDDPPCIPAELITLPQAATIADLKGEAARAFRDIYLMLQSFVADQLLDCATASDTTQIKLLFGAKGAVHIKGRCIGGERRFAIYRMERGVDKWTVKCSCGATDDDGERMLSCDTCHMWMHTRCAGISDFDRVPKRYVCKSCKLTHKPKSSGPRLMYSSAPNKRCKTGTGSFSNAAEGLLWPHIS >OGLUM11G07490.1 pep chromosome:ALNU02000000:11:6582030:6582620:1 gene:OGLUM11G07490 transcript:OGLUM11G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVPPWTLSPARRSREVEDEADRDDGEAAVRGAEGRRPQIEEAVVDVRAPPGTTPTPMPARKRTAAASPLGATPAPAPERKGMAAASLPGATLTPTPATERKGMTAASPRGTQSTTPARKGLAIASPPGKPLPTPGRKRNFVAGDWRGGREKERGNNFPPTRARQRRPRLGRKNGSLQMSNDIYGYGNLDYWDVG >OGLUM11G07500.1 pep chromosome:ALNU02000000:11:6590633:6595150:-1 gene:OGLUM11G07500 transcript:OGLUM11G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESRQGPKGGDTMAASEVSVDWRGRPCGSRKHGGMKAAVFVLGIQAFEMMAIAAVGNNLITYVFNEMHYPLSKSANIVTNFIGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLAVQAHLPQLRPPACDMMAAAAEGGCEEASGVKAGIFFAALYLVALGSGCLKPNIIAHGADQFQRGGDGDGDDGGDGKRLSSYFNAAYFSFCVGELVALTVLVWVQTRSGMDVGFGVSAAAMAVGLVSLVAGVFFYRNKPPQGSIFMPIAKVFVAAVTKRKQVCPSSSSTTAASHADGHGGGGDGGKESVWPPCTPAEVEQVKVLLCVVPILACTIVFNTILAQLQTFSVQQGSAMDTRIGGGGGAAGFHIPPASLQAIPYLVLIALVPAYEACFVPAMRRATGVDTGITPLQRIGVGLFAVTFSMVAAALVEAHRRRHAGLLSIFWIAPQFLVFGVSEMFTAVGLIEFFYKQSLSGMQAFLTSMTYCSYSFGFYLSSLLVSLVNKVTSGDVAGGGGWLSDNDLNKDRLDLFYWLLAGLSLLNFFNYLFWSRWYSKSVETTVQVAGVGGEGGGGEQQEEKGVDEVNMSH >OGLUM11G07510.1 pep chromosome:ALNU02000000:11:6605368:6612103:-1 gene:OGLUM11G07510 transcript:OGLUM11G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHAWTRKHGEVHNGGHRDAFVALATELCAPPMATRRVQSDKREKRRREEEEESAEETKKRSPNLSYSYIPPNWNEVVEVEVWYNTGCKHKFASREEKGKLGKHKLYLKLVVKSSNKTRSKLTTLERKGGSMDPGYTR >OGLUM11G07510.2 pep chromosome:ALNU02000000:11:6603061:6612103:-1 gene:OGLUM11G07510 transcript:OGLUM11G07510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHAWTRKHGEVHNGGHRDAFVALATELCAPPMATRRVQSDKREKRRREEEEESAEETKKRSPKGKVEVWTQVTQGAKLERFNREDQAIRAKLLLQR >OGLUM11G07510.3 pep chromosome:ALNU02000000:11:6605368:6612103:-1 gene:OGLUM11G07510 transcript:OGLUM11G07510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHGCKHKFASREEKGKLGKHKLYLKLVVKSSNKTRSKLTTLERKGGSMDPGYTR >OGLUM11G07510.4 pep chromosome:ALNU02000000:11:6609943:6612103:-1 gene:OGLUM11G07510 transcript:OGLUM11G07510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHLLLSPKAVAASPPSPAGGGAAAKGLERRDRERRGRWMDRHAWTRKHGEVHNGGHRDAFVALATELCAPPMATRRVQSDKREKRRREEEEESAEETKKRSPVLAV >OGLUM11G07520.1 pep chromosome:ALNU02000000:11:6612896:6614414:-1 gene:OGLUM11G07520 transcript:OGLUM11G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQANTIQVPTDAELLQAQADLWRHSFSYLTAMALRCASKLGIPTAIHRLGGEEAAASLPDLMAVLSLPASKQPFVGRLMRLLVAVGVFAADGVADERYRLTPLSRILVDGVAAADDHHHHVLQTPFVLTATSRQYVEAALGLDEWLRKDAPPAPAPVPSPFEDAHGAPLFDEATAAAIDPEFAAAADDALAAHDSLGIGAVLRECGDLLRGVASLTDCAGGDGATARAIAAAFPHIKCTVLDLPKVIDKAPVDDGVVNYVAGDLFHAVPPAHAVLLKLVLHFWSDDDCVKILSQCKKAIPSRKEGGKVIVIDILIEPSLGPAMLEAQLLMDMAMMVNTRGRQRDESEWRDLFFRAGFSDYKIAKKLGARAVFEVYP >OGLUM11G07530.1 pep chromosome:ALNU02000000:11:6627159:6627587:-1 gene:OGLUM11G07530 transcript:OGLUM11G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCGWWPSGGGGATRLDAEEMVASAKAMLPSGEDKHNEFDLEFLGNITGEPYLVQTNLYIDSVDNREQCIDLCFDPIADFHTYVVLWNPSQVVFLVDDTPIRVYKNKNATAIKVTTATPSLMAPTMPRRRHPCLCSRCRS >OGLUM11G07540.1 pep chromosome:ALNU02000000:11:6633724:6634218:-1 gene:OGLUM11G07540 transcript:OGLUM11G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKMYVADKSTFKELVQRLTGQPPAEAAAVAAPAPAPVAGAPRRGRLGVHNPPAFKPTPHRPKLPIIRPEHPRLLAGFASPPSPPSLSPWCSGAGQCVQNMQDELPPSPTSASSTLAEEVVVGETVSEEGKPDHMHQPPPVRTGEAKLLNLFPLTASCSEDR >OGLUM11G07550.1 pep chromosome:ALNU02000000:11:6640319:6644164:-1 gene:OGLUM11G07550 transcript:OGLUM11G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PLC-like phosphodiesterases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G71340) TAIR;Acc:AT1G71340] MRRLLGLGRRRQQQPPPLPLFPPPATKRASPPSSSSALLRRILPTNRLLRLLLLLAALSLIPPAFFHFRLRRFHRMRERRCGWIASPPMVCAHGGDSTNAFPNSMDAFRLALDARVDCVEVDVSRSSDGALFVLHDRDLQRMSGNSTAKVGYWSSDEIKALSTRFQLSKKVQNQEVPKAQDVLALISQSVRQVILDVKVGPPSFEKDLAEDVLSIIGRTQCKNCLVWAKSDNVGRDVIKLSKDITVGYIVMVDKSTGRTTELVRITGSKVAGVYHRLIHEKLMKVMHRNDKKVYAWTVDDADSMKRMLYEHVDAIVTSNPSLLQQLMQETRTECMEDGFALP >OGLUM11G07560.1 pep chromosome:ALNU02000000:11:6645621:6652560:-1 gene:OGLUM11G07560 transcript:OGLUM11G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G10120) TAIR;Acc:AT4G10120] MAVGNEWINGYLEAILDAGVKLREQRGAAAVQLPPLLPAPEDAASAVATAATYSPTRYFVEEVVSRFDDRDLHKTWTKVVAMRNSQERNNRLENLCWRIWNVARRKKQVEWEFSRQLSRRRLEQELGSREAAADLSELSEGEKDGKPDTHPPPVAAAAEAAADDGGGGDHQQQQQPPPPHQLSRFARINSDPRIVSDEEEEVTTDRNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATPGVHRVDLLTRQISCPDVDWTYGEPVEMLTVPAADADDEDGGGGSSGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEQLSPPPPSDGAGAAAQAVWPYVIHGHYADAAEVAALLASALNVPMVMTGHSLGRNKLEQLLKLGRMPRAEIQGTYKIARRIEAEETGLDAADMVVTSTKQEIEEQWGLYDGFDLKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTQDLAADGAGGAGDAADLQLLINPNKAKKPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHKQTDVPHIYRLAAKTKGVFINPALVEPFGLTIIEAAAYGLPVVATKNGGPVDILKVLSNGLLVDPHDAAAITAALLSLLADKSRWSECRRSGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPPSPSSSSATSAAAGGGGAAASSEPLSDSLRDLSLRISVDAASPDLSAGDSAAAILDALRRRRSTDRPAASSAARAIGFAPGRRQSLLVVAVDCYGDDGKPNVEQLKKVVELAMSAGDGDDAGGRGYVLSTGMTIPEAVDALRACGADPAGFDALICSSGAEICYPWKGEQLAADEEYAGHVAFRWPGDHVRSAVPRLGKADGAQEADLAVDAAACSVHCHAYAAKDASKVKKVDWIRQALRMRGFRCNLVYTRACTRLNVVPLSASRPRALRYLSIQWGIDLSKVAVLVGEKGDTDRERLLPGLHRTVILPGMVAAGSEELLRDEDGFTTEDVVAMDSPNIVTLADGQDIAAAAADLLKAI >OGLUM11G07570.1 pep chromosome:ALNU02000000:11:6675707:6675988:-1 gene:OGLUM11G07570 transcript:OGLUM11G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTFGLRLPCGIKLLLLLLLTVLLLAAMHCGCGCAAIIAAEVEETARGRMLGRGVPSSSTTMTFSPPSPKANTNPNSYAPLLPLYPHRRLG >OGLUM11G07580.1 pep chromosome:ALNU02000000:11:6677156:6678037:1 gene:OGLUM11G07580 transcript:OGLUM11G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEDDTVAVDGGGRWRLRRRHCFRSRCRTLGSTLTSKEVANCNNQRLLHDGLMLPHNVELISRPHHYIPT >OGLUM11G07590.1 pep chromosome:ALNU02000000:11:6701747:6701983:1 gene:OGLUM11G07590 transcript:OGLUM11G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAMKTVLDFAEKAASASATATVVGKVLRITGKAAWVVGTTGIVLGVPLIWAMGREQTQLEYESLLEAEQRTLLGL >OGLUM11G07600.1 pep chromosome:ALNU02000000:11:6702817:6704880:-1 gene:OGLUM11G07600 transcript:OGLUM11G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAAATTMLAAAAHHPRPRFRVRAAWDMNPGATAVSAPKPKAKPPPPPSPPPAAATARVKKTTSMGFERWWLPPPPEVKKPRSLYNAASLAYLGDCIYELYARRHFFFPPLSINDYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSHHIADELISSFKKKKSTSVQSQQQAAQ >OGLUM11G07610.1 pep chromosome:ALNU02000000:11:6707761:6708279:-1 gene:OGLUM11G07610 transcript:OGLUM11G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAPLGVVLALTSPCTKNLPCATWWTPALPLKLFKPKVFDEVFSVLVLFLTLRRLLRFRGATKLGNDDTPQSLYKGSIAVKSKLLCRLGGKLGNDNMCGLFYHHCDKAWPMPCVGLHRGSLG >OGLUM11G07620.1 pep chromosome:ALNU02000000:11:6712627:6723239:1 gene:OGLUM11G07620 transcript:OGLUM11G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIIMSALLGVMSPLLGKLGNLIEKEYAELKGARKKLEQLMKELMAINLALEKYSGMENFDVQVKAWTIEMHELAYDMEDSIDLFSYCIDHEPVSTTMGVKRVILKILRKLKKIHHRHKFAKQMHQLQVLANEAYNRQKRYKLEEGSSSNSFVEIDPRLPALYVEVQKLVGIEGPSKEIIEQLIGEEPTWHRRVVSVVGSGGSAFVSVSQKPNINNLLRELLSRIGSNSESLGARELYSDQQLIDKLRACLENERYLVVIDDIWQKSAWETIQCALPKNNHASRIITTTRIKSVGQFCCTSDEGFVYQMKPLTKSDSENLFLKRTFSSEENSPSQLQEVINKILYKCDGLPLAIITLASLLADKPRRKEEWERVLNYIGSMPKKDSKLEVMDKILSLSYNDLPHHMKNCFLYLSTFPEDHEIRKDILVWKWIAEGFIITKQGFTLEEVAESYFYELINRSLVQPVNMVHGAIEQGCKVHDIVLNFIISRSVEDNFLTMVDGQELPSPKSRIRRLSVWNKQEFPRFISKGSMNLPHIRAISICHVDGWTMPSVLNLPVLRVLDLEGCRALRNDHLDCIVSLFHLKYLRLSKTSIDRLPAQIGKLEYLQMLDVSSTQVRLLPESVIQLKRLMRLVGNELILSDGFANMESLQELGVLDACNCSINFGKDLELLSNLRVLRIMFRCEEITSDPDARKKSLMSSLCKLGGNSLRSLYYQSSTTGVDCSADSWCPPPILLQKFEYRGVRYFSSFPKWIKHSLVDLAYLDFRIERMERKDLHVLESLPAPTVLCVTVKRVPEDGLMISHGAFQCLTRLEFCNTDGPGLTFEADMTRLEWLKLEFNADKAQATYGSLVVGIQHLCSLKCIDLTIGMLSEDENDPPKEIIKSVIGDKIKMLPHNPKEGVEDILFNLQGRVMERVGENIMVSALAGMMSPLLGKLSYLIEKEYAELKGVRKKLEQLRKELMAINLALEKYASMENYDLQLKAWMIEMRELAYDMEDIIDLFTYRIDHEPASTTVGVKRIILKILHKVRKIHHRHKFAKQMHQLQVLVNEAYKRQKRYRLEEGISSKPHAEIDPQLPALYVEVEKLVGVEGPSKEIMEQLIGEKPARQHRVVSVIGSGGSGKTTLVKQVYERIKGQFSCSVFLSVSQKPNINNLLRELLSRIWDGSGSSGATELYSDQQLIDKLRACLDNERRTFGAEENCPSQLEGVINKILYRCDGLPLAIITLASLLADKPRREEEWERVLNYMGSMPKKDSELEVMDKILSLSYNDLPHHMKNCFLYLGTFPEDHDIGKDILVWKWIAEGFIVAKQGFTLEEIAESYFYELINRSLVQPVNMLHGVSEHGCRVHDIVLSFIISRSTEENIFTMLDDQELPSSKTRIRRLSVWNKQQYPTFISQESMKLSHVRAISICHVDGWTIPPDLDLPVLRVLDLEGCSALRNAHLDCIPSLFHLRYLGLSRTSIDSLPAQIGKLEYLQTLDIRSTLVRRLPESILHPKRLMRLVGDELILLDRFGNMESLQELGIVDGCNCSISFGNDLGLLSKLRVLRVMFKCEDSSDLDTRKKYLMSSLCKLGGNSLRSLYIKSSITGADCSVDSWCPSPILLQKFEYKGVRYFSSFPKWIKPLLVDLAYLDFRIERMEGEDLCVLESLPALTVLCLTVKHVSEDGLMISHGAFQCLRRLEFCNTDGPGLMFEVDMPRLKWLKLEFNADKAQSTYQHLSSLKLIDLTVGMVSSEDENEHARETIRSVISDHVKMLSYNPKVNITFL >OGLUM11G07630.1 pep chromosome:ALNU02000000:11:6778625:6780393:-1 gene:OGLUM11G07630 transcript:OGLUM11G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGHAFQVRQSSGRFPFSPRTPLRSNPAIRRARIPESIFYVRRAASPSRIHLGNLFLVIEQVKPSRQSIECGGRTAVYLLASLKLSQEMSE >OGLUM11G07640.1 pep chromosome:ALNU02000000:11:6785897:6807619:1 gene:OGLUM11G07640 transcript:OGLUM11G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIMVSALTGVMNPVLGKLSNPMGKEYAKLKGVRKEVELLRKELMAINVMLEKYMAMEKPDVQVKAWTKEVRELAYDIEDSIDLFTYHVDHQEPGGFGRTTTGIKRILHENITRLKNLHHRHKFAGQIMELTVQVNEVYERQKRYKLQEITCSNLHTEIDPRLPALYVEVEKLVGIQDPSEEIVNLLIGRKSDKMKQHRIVSIVGPGGSGKTTLANQNCNMNSLLWELLSEIQSSCGISDNNHHLASSYSNQQLIDRLRSLLTDKAYLIVIDDVWCQSDWETIQCVLPRKSLKIISRVIMTTRIHSVAKICCASNEDVVYEMRPLSKIDSRKLLLMRTFDGVTISNIIAK >OGLUM11G07640.2 pep chromosome:ALNU02000000:11:6785897:6787429:1 gene:OGLUM11G07640 transcript:OGLUM11G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVGEKIMVSALTGVMNPVLGKLSNPMGKEYAKLKGVRKEVELLRKELMAINVMLEKYMAMEKPDVQVKAWTKEVRELAYDIEDSIDLFTYHVDHQEPGGFGRTTTGIKRILHENITRLKNLHHRHKFAGQIMELTVQVNEVYERQKRYKLQEITCSNLHTEIDPRLPALYVEVEKLVGIQDPSEEIVNLLIGRKSDKMKQHRIVSIVGPGGSGKTTLANQMMFGVNLIGKPYNVFFLEKA >OGLUM11G07650.1 pep chromosome:ALNU02000000:11:6812430:6812843:-1 gene:OGLUM11G07650 transcript:OGLUM11G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGSFLRDHRPGRRRIKVGDLRVPRFRVSFYSQINRVLKGMGVEAAFDDREADMSGMVDGGERAVEEVFHRAVVEVNEEGTVAAALMAGAFTLYGMSYPEDFVADHPFAFFVVEEKSDTVLFAGHVLDPTSSQ >OGLUM11G07660.1 pep chromosome:ALNU02000000:11:6819283:6820386:-1 gene:OGLUM11G07660 transcript:OGLUM11G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDTTPTPPGRKTKPKPPMSRLMRLSLKAVDWATDATRRADGTLNRLALSVLDPRVPAFSSPCRGVASRDVLVHPPTRLRARLFYPSAAAGKDERPPPPRPLPVIVFFHGGGFAFLSAASAAYDAACRRIARYASAAVLSVDYRRAPEHRCPAAYDDGIAALRYLDDPKNHHGGGGGGVPPLDAARCYLAGDSAGGNIAHHVARRYACDAAAFENVRVAGLVAIQPFFGGEERTDSELRLDGAPIVTVSRTDWMWRAFLPDGCDRTHEAANFAAPSAASGVDSPAFPPVLLAIGGYDPLQDWQRRYAEMLRGKGKDVRVFEYPNAIHAFYVFPAFDDGRDLMIRIAEFVAESAAATAGGGGGGGE >OGLUM11G07670.1 pep chromosome:ALNU02000000:11:6826669:6827736:-1 gene:OGLUM11G07670 transcript:OGLUM11G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDSDKTKRVAPPMTWPTRLFVLAVGCGADATCRVDGIISRRLVDLLDPPVPPSAAPRKGVATRDVVVDPAIPLRARLFYPCRPTGGEDGATEPLPVVVFFHGGGFAYLSAASRAYDAACRRIARYAGAAVLSVDYRRSPEQRYPTPYDDGLAALRFLDDPNNHPLAADDGDVPPLDVTRCFVAGDSAGANIAHHVARRYALAAHTFVNLRLAGLIAIQPFFGGEERTPAELRLVGAPIVSVPRTDWLWRAFLPPGADRTHEAAHAASPAGASGIDSPAFPPATVVIGGYDPLQDWQRRYCETLRGKGKAVRVLDYPDAIHAFYIFPEFDESLDLMLRIKDIVAAGGSGGGGEH >OGLUM11G07690.1 pep chromosome:ALNU02000000:11:6835476:6835808:-1 gene:OGLUM11G07690 transcript:OGLUM11G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDGRKLVASHGDGQRRQRQQRSLEQPAADPHHGIKRHVEAPPPTGRPPIAAADGSSMPQALGVDDSHHKHSTPSPARSPGQDNSSFRSSRRRSPPVVPLLPIQASKAA >OGLUM11G07700.1 pep chromosome:ALNU02000000:11:6845735:6848039:1 gene:OGLUM11G07700 transcript:OGLUM11G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAATTTMTMTSGGRRLAIARRAECDYRNEGLETARSSGAGTLTGCRQVEPRGKSMGTAIDSEPTAIDLFNELHCSKTKGFSEPVKKAIVDMHAREALTSSSGPPSTNDSIWTGSDQSSLS >OGLUM11G07710.1 pep chromosome:ALNU02000000:11:6852326:6852792:1 gene:OGLUM11G07710 transcript:OGLUM11G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVDPAAPDSGLADPSPSALVVTTIVDYGYHDDNDDGGGGGDDNYGLGSLGGSIACDRGTGGSTAGDFAASKSVPDNNRTDGSIDYNYGDGDGVSSGAGLGSYRSIDVGLGRGIGCCGDDEADYGNHDDGDDGGGGGGGGGDNNDVGLES >OGLUM11G07720.1 pep chromosome:ALNU02000000:11:6862306:6864072:-1 gene:OGLUM11G07720 transcript:OGLUM11G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVLVLHQVAILALPAAETEAAIAAAPRRRFCERCELHIHIQGRGCSEEVSHAHALESNTVL >OGLUM11G07730.1 pep chromosome:ALNU02000000:11:6872003:6889602:1 gene:OGLUM11G07730 transcript:OGLUM11G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATTFKPFLNRIFSNPTRYFIKSKLNQTGQNSTKSPASARPNFQIPDRLKPNKSIHPLAKPRSHSTPHPTPTTMSPKKDAGLSTPVAAPPPLSLAGVPVLTGSLRPAECADLLGLVAGVKRPLEDVVADFLARIQPERRLRFGAAINFVLKDKMMLRPAERLVAFTILHQGYSSQLENPFVPLIIHAACDETSDKPEQLFLQLLLNSTNGDNDREILKHSAADYLEESAYASQVLLTREQLERQYTCNEVQPQPSTGSFREATVRSVIPDPDISQSCTDSSEISITKSNRDNLVTGLLQQTSLDGIGPQWIRPPPPRLEILDGELQWLNLDNNHELLWDSSMCADTSRGAAIRDLVGKACKGPLSPAQQEQVLVELAKDWKLVYHCGMTPQKLPDLVEHNPLVAVEVLSKLINSPDMDAYFDILVHMEMSLHSMEVVNRLTTAVDLPPGFIHDYISNCIRSCQNIKDKYMQNRLSLIRNKIINVQDLFIEVQAFCIAFSRIREAAGLFRLLKSLE >OGLUM11G07740.1 pep chromosome:ALNU02000000:11:6907529:6908098:-1 gene:OGLUM11G07740 transcript:OGLUM11G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLLAAAAALLLLLLLAAAAPALASSSSSSSPGSANLTATPTAYEMVERYGFPRGILPEGVESYVLRPDGSFEVHLSGDGGGDGNGGGGDCEFRVGDGGAYLLRYGRRVAGVAMEGSIRSLEGVSVKVLFAWLGIGRVDRAGDDLRFFVGPLSAAFPLANFADCPRCRCGFDCDTAAAAAAAVIAAS >OGLUM11G07750.1 pep chromosome:ALNU02000000:11:6910999:6919450:-1 gene:OGLUM11G07750 transcript:OGLUM11G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINHHLLLAAAAAVLLLAAAATATASRVTVTSLANTTSSSVAALGSSGIIAGGRAANASANTTAAAEPTVYEMLGKYGFPPGILPAGAQGYTLDAGDGSFQVTLPGDCVVDVQGYKLRYRSNIYGNVRAGSIDGLDGVSVKIAIVWVGIHDVEADGGDVTFHAGAISKSSPADGFQTSPSCHNNYYLDYFKSNTADNSTSPSSPSADPTAYDMLQRFGFPVGILPQGVQGYKLGDDGSSFEVYLAGDCEFRVAKKYVLHYSSRVAGQIAAGSITSLEGVKVKEAFTWLRISEVDVDGDNIKLHVGLITATVAADQLTVSPQCN >OGLUM11G07760.1 pep chromosome:ALNU02000000:11:6923211:6926354:-1 gene:OGLUM11G07760 transcript:OGLUM11G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGERAVIAHDSPSRRLLPKENVDHAVVQALSIGATIICLTLQVQRRKKVVQEHKKGMRLGSINGLRCKQLKLVILAFFMMFLLWKWERGTYYTTEILRPDSLILAHPANSKFVDQHTSSEEDFPNADTLTQSVVKVEQQVSDAPPPMSIASDSADVADEREPPPSGKKDCNYGNGKWVSDNNRPLYSGFGCKQWLSESWACRLTQRTDFAYEKFRWQPEGCEMPEFEASQFLMRIKPLLMWVIL >OGLUM11G07760.2 pep chromosome:ALNU02000000:11:6922423:6926354:-1 gene:OGLUM11G07760 transcript:OGLUM11G07760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHGERAVIAHDSPSRRLLPKENVDHAVVQALSIGATIICLTLQVQRRKKVVQEHKKGMRLGSINGLRCKQLKLVILAFFMMFLLWKWERGTYYTTEILRPDSLILAHPANSKFVDQHTSSEEDFPNADTLTQSVVKVEQQVSDAPPPMSIASDSADVADEREPPPSGKKDCNYGNGKWVSDNNRPLYSGFGCKQWLSESWACRLTQRTDFAYEKFRWQPEGCEMPEFEASQFLMRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKERLDVEDVGAEYGFFLAPGAKRPDGWAYRFPRTNTTILYHWSSTLCDLEPLDPSDPATSYAMHLDRPPAFLKNNLHRLHVLVLNTGHHWNRGKLRANKWEMYLGGAPNTNRNTAVIWKAKNITIHTVIKWLDTQLPHHPQLKVFYRSISPRHFFNGDWNTGGRCDNTSPLAKGSGISQNHSDDADAEGAVMGTRVKLLDITALSRLRDEGHISRYSIKATQGVQDCLHWCLPGLPDTWNEILAAQL >OGLUM11G07770.1 pep chromosome:ALNU02000000:11:6933132:6934989:1 gene:OGLUM11G07770 transcript:OGLUM11G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGGPRRARLSGAIEDSGRFFMISGGAFIARRRPRSRAFAVVGAVGSFARLCFIVYCLLFSFCSYKVPNIASNNGVSTGTFFCVTSEGEHSNDQSHESLIH >OGLUM11G07780.1 pep chromosome:ALNU02000000:11:6942794:6954056:1 gene:OGLUM11G07780 transcript:OGLUM11G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMARASAPLALEPGPDCCPGSFFLPKGFKMVENGVLEQPKGVSRNRPRAQSNDHPVDPGYLPELTWEHKLSNIGYDLPSFRLTWRETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDVHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >OGLUM11G07780.2 pep chromosome:ALNU02000000:11:6942467:6954056:1 gene:OGLUM11G07780 transcript:OGLUM11G07780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGVLEQPKGVSRNRPRAQSNDHPVDPGYLPELTWEHKLSNIGYDLPSFRLTWRETFQLAGLGLRLGRHILEETSKGRAAVIDPMKKRIAKSGQGVPLGGIGSGSIGRSYKGEFQRWQLFPGTCEERPVLANQFSAFISRKDGRNYSSVLHPGKPDLPKGSNISGIGSWDWNMSGQNSTYHALYPRSWTIYNGEPDPDVNIVCRQISPIIPHNYQQSSYPVSVFTFTNSVGGKSELTGYHSNSPMITANGQPPVTFAIAAQEKEDVHISECPYFIISGSSDAFSAKDMWNYVKENGSFDNLDLTKTSMCSKPGLSIGAAIAASVKLPPQTTQNVSFALAWACPEVKFSSGKTYHRRYTKFHGTDNDAAASLAHDAILEHNSWERQIEEWQNPILQDERFPDWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTGIGEKKFSLDMQNGDADDANGIIPRNNTASDILNQMASVLERIHASMESNSAIGTTLLQGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLIMLFPKLQLSIQRDFAAAVLMHDPEKLRMLHDGKWVARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDRDKDGMIENEDFPDQTYDVWSMAGISAYCGGLWVAALQAASALAHEVGDKASEKLFWDKYEKAKSVYGKLWNGSYFNYDDGDNIMSASIHADQLAGQWYAKACGLFPIVDKDKAESALEKIYSFNVMKFKDGKRGAMNGMWPDGTVDMSAMQSREIWPGVTYALAATMIQEGMVEKGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHKQTADIPQDSFPKNQFSYARIAKLLHLPEDESPKSFLRVIYEIVRNRYRS >OGLUM11G07790.1 pep chromosome:ALNU02000000:11:6961623:6965529:1 gene:OGLUM11G07790 transcript:OGLUM11G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMLCCSPLPALSSPATGGAAVVGFSSSSRRKAAHVHPAVAVKDHDLSSSSPAACGDEGGGAVVIEEEAHPSMMMSVAAPAMKKKAVAARWRPPRLVVPAVAGADEAMAAAAAAAVKAAKEKEEEEAMEVEGDGFWVASRRGLRHAMEDGYGVITHKIEGHSQMAFYGVYDGHGGRAAVDFVAGRLGNNVVAAAEKQRLSEKASSPAAADHVAAAIRAAYLATDSEGGACAATALVIDGDLYVANLGDCRAVISRHGAAAALTSDHTPARDDERTRIESSGGYVSCGSNGVWRVQDCLAVTRSFGDGGLKRWVVAEPEVSRTPLAGAGCEFLVIASDGLWNKVSNQEAVDAVAAAAAAGHYSVDSCRRLVDMARRRGSRDDVTVMVVDLKRFLNC >OGLUM11G07800.1 pep chromosome:ALNU02000000:11:6974592:6975197:-1 gene:OGLUM11G07800 transcript:OGLUM11G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVMQNGGGGGGGEMAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALRLRGRDARLNFPAIAHRFRRPDTAEPGDVRAAALEAAAQVRFTPDLLVVAGGDDAGRRCCSAGEAEAEAVAAAAWEWDVVVGGGGDELEAKSPNMWAELAEAMLMAPPVWEGGGETDNDEWAQQAASLWDPSVWDY >OGLUM11G07810.1 pep chromosome:ALNU02000000:11:6982455:6989902:-1 gene:OGLUM11G07810 transcript:OGLUM11G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] MASITTTAAAAAAASSFLHRRRALAAAASPPPPRATATLRHHHIQHHQRVVGCRAAEVSAAAAEEEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTDIWSKNGWEANEESRWNSHPQCGKNGDN >OGLUM11G07810.2 pep chromosome:ALNU02000000:11:6987070:6989902:-1 gene:OGLUM11G07810 transcript:OGLUM11G07810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rieske (2Fe-2S) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G71500) TAIR;Acc:AT1G71500] MASITTTAAAAAAASSFLHRRRALAAAASPPPPRATATLRHHHIQHHQRVVGCRAAEVSAAAAEEEASGDGNWVPVVPLAALPRGERRVIVQDGEEILLLWYKEQVYAVENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGEIKEWYPNNPVLRALTPALRKLFVYPVKIDGENIYISIKGAVTSGGSAEIIFSGKAQPGFTASDVNIEEVRMVIDEDVGGFGFTNKNELINGKAAIIGFLLLIDFELLTGKGLLKGTGLLDFIYAISRAFSS >OGLUM11G07820.1 pep chromosome:ALNU02000000:11:6991120:6996695:1 gene:OGLUM11G07820 transcript:OGLUM11G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFTRKPLQSAVHVQFLRSSGRALHGLIDQLCSKCPKHDDGATLQSETTSSKFKCLYKFFRPSSEGDTAAVDNVLRNDGNTEVVAIQSKENGRNSNNILEDGEKSVLSSDHSKKLSAHHRLKIWITSGHSGIIGRYGKKLELNAANVPKQFPSEHVNTVWPDWLMKVAPEAVQGWFPRRAESFEKLGKIGEGTYSSVYKARDLKTGKIVALKKVRFVNLDPESVRFMAREILVLRKLNHPNVIKLEGIIASPVSTSLYLVFEYMEHDLTGLAATPGLKFTEPQVKCLMQQLLSGLDHCHSNGVLHRDLKGSNLLIDSNGVLKIADFGLATFYDPNNQQPLTSRVATLWYRPPELLLGATKYGVSVDMWSTGCILAELLASKPIMPGRTEVEQIHKIFKLCGSPSDEYWQKLNVPQTGMFKPSRQYRRCIADNFKHFPQPAIVLLDNLLALEPEARGTAASTLQSDFFRRKPLACSPSSLPKFPPSKEYDARLKLEEARRQRKVGGSVRPGRENIRINRGNGSIQPKKHTYISGNLHPQGDPRTLATRVRSPGLNATWNNRGDSMTVVKYLDYNSVRIAYSTILTTRSSDLLQPEPTDVMNKTHGSPKNDLGEKGQTGSCRVKNRTHHSGSLVTPEGNIEEMLKEHDRNIQAAVRKARLNKKK >OGLUM11G07830.1 pep chromosome:ALNU02000000:11:6998327:6999277:-1 gene:OGLUM11G07830 transcript:OGLUM11G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMHARRAKLKSQLVSAKAKLKHHVTPRRLLLLSAAAASAFLLLLTLRTLSAAAANTSSPAPVVVHRSQQQQRDDQCDRVPAGVAEVLVHYATSNATAWGRGRRRSAEEVAATARAVSRRAPCNLLVFGLGHGAALWAALNHGGRTVFLEEDDALVSGASPASLAIEAYRVAYLASAADADELLALRDSEHCTGAAATQLSPGHFDRSPCKLAVRGLPAAFYEAEWDVIVVDAHAPPPPTTTAMMGAIYTAAVAARARRPAAETETDVVVHDVDKLVQDRFSTAFLCGGYLKEEVGNLRRFAIPSHKEGMPFCP >OGLUM11G07840.1 pep chromosome:ALNU02000000:11:7003871:7009422:1 gene:OGLUM11G07840 transcript:OGLUM11G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex of photosystem II 5 [Source:Projected from Arabidopsis thaliana (AT4G10340) TAIR;Acc:AT4G10340] MAALAPSKMLGTRLNFASSSRYATAAPTTGAQKIVSLFSKKPAPKPKPAAVTSSSPDIGDELAKWYGPDRRIFLPEGLLDRSEVPEYLNGEVPGDYGYDPFGLSKKPEDFSKYQAYELIHARWAMLGAAGFIIPEACNKFGANCGPEAVWFKTGALLLDGNTLNYFGNSIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAALLKVKEIKNGRLAMFSMLGFFIQAYVTGEGPVENLSKHLSDPFGNNLLTVISGAAERTPSL >OGLUM11G07840.2 pep chromosome:ALNU02000000:11:7002324:7006303:1 gene:OGLUM11G07840 transcript:OGLUM11G07840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:light harvesting complex of photosystem II 5 [Source:Projected from Arabidopsis thaliana (AT4G10340) TAIR;Acc:AT4G10340] MGSGSKKKSGGGDDQRPLLWRLPEVTSTELGKIGPAFGLGVGCGVGAGVGFFGEISKLASGCSGIIRSLGFIRGIENFQLLALNSLNCAGLGYGFPGLTLGFGVGAGCGVGFGFGYGLGKGIAYDQNKRYSNVGKMFQEAPSLPIFFAMVVRHTVQLALIVFWTSIFVKHTWIKLNLDCATRDTVAGLVDELVVNTKKLVRATSKEIEKWR >OGLUM11G07850.1 pep chromosome:ALNU02000000:11:7026234:7028050:-1 gene:OGLUM11G07850 transcript:OGLUM11G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPIPMHASAAASPFAGMGAHGGAGGGYVVELHHHHEHVGNNGQAMAMASPPPTNVAVAAEQEGSPVAGKKRGGMAVVGGGGGVAVKYRECLKNHAAAIGGNATDGCGEFMPSGEEGSLEALKCSACGCHRNFHRKEADDLDADSCAAALRAAAGRHHHLLGPALPHHHHKNGGGLLVAGGXXYGAAYAAARALPPPPPPPPHGHHHHHQIIMPLNMIHTSESDEMDVSGGGGGGGRGGGSSSSSKKRFRTKFTAEQKARMLEFAERVGWRLQKLDDAMVHHFCQEIGVKRRVLKHAVGDVTVAFMSDHLTSKIKGVKTEEIKKGV >OGLUM11G07860.1 pep chromosome:ALNU02000000:11:7044351:7047362:-1 gene:OGLUM11G07860 transcript:OGLUM11G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILDSFVGLCIKKVQGIVMEEAILILGVDEELKELQRRMKQIQCFLHDAEQRRIEEEAVNNWLCELKNAIYDADDIIDRAKFEGITSCVRNVQTRRKIALQIRRVNYNLQRISIDKTFLALENVKATYRVLAPSKRHTSHLVEPNLVGKEIKYATSRLVEMILTHREEKAFKVAIVGTGGVGKTTLAQNIYNDQRVKGNFSKHAWICVSQEYSEVNLLKELLRNMGVHERQGETVGELQSKLASTIKDESLFVVLDDVWQSEVWTNVVRTPFHDAAKATILVTTRDELVVRRVGAEHLHRVEMMSTDVGWELLWKSMNIKEEKEVETLQHIGTKIVNKCGGLPLAIKVIASVLATKEKTKNTWEKVVESSAWSMSKLPAELRGALYLSYDDLPHNLKQCFLYCALYVEGQMMHRADLVRFWVAEGFVEEQEGQLLEDTAEEYYHELICRHLLEPDPFYFDHYRCKMHDLLRYLAQHLSREECYFDQLPLEPTWSKLRRISIVNKTDMLSSVVEKGHCRVRTLMFCMSPNIDSDVFMRFPHLRVLDLTGSNVQRIPDSINSLIHLRLLDLDATDISCLPESIGSLTNLQILNLQRCYALHDLPMAITKLCSLRCLGLEDTPINQVPRGISKLSLLNDLQGFPVGHSYVNTRKQDGWNLEELGHLSKMKRLDMIRLENAMPCGTSSLLLDKKHLKFLNLRCTTHAKESYTMEDINNIENVFDELKPPCNLEDLSIAGSFGQRYPTWLGVDLSSLKILRLIDCASWAHLPAVGQLPNLKCLKIMGASAVTKIGPEFLCDKTATPRFLGAVAFPKLEWLVISDMPNWEEWSFTEEVVGASDGKSCSENNKRVLQVMPLLQKLELGDCPKLRVLPQQLAQATSLKWLHIERAQALKVVEDLTSLSDSLLLNKCEGLERLSNLPQVRTLYVSECPALRCAGKLDCVQQLWLSKDLQMEFPLWLSLLKQRHQQLHGEELDLYTW >OGLUM11G07870.1 pep chromosome:ALNU02000000:11:7063726:7064290:1 gene:OGLUM11G07870 transcript:OGLUM11G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKGATATTYRVAKGGDNVIMDNASVSGEVVVVKRMRWREENRGPARVPLEWQTRLKLAQDAAQGLAYLHGMSGGKLAHRHLTSRRRRPRAALRLARALPRPP >OGLUM11G07880.1 pep chromosome:ALNU02000000:11:7112187:7113863:-1 gene:OGLUM11G07880 transcript:OGLUM11G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPVRVVSTRTVKPPPRPRERIPLTSWDVAMLSANYIQKGLLFAPPHSSSSALLSTTAHVVEHLAAALAATLRAYYPVAGRFVTEKRPGGGGCSVSIDCDGQGVEIVHAVAGGVSVADVVPPDADVPCGLVHSFFPLRDAVNYDGHHLPLFVVQVTELDDGVFVGFAYNHALSDGTAFWDMVNAWAEIARARLTTAAPPPPTSRPPPLLKRWSPEEDDDATVVLPYADVSELIERLDTPPLRERMVHFSPESLAALKERARQELLDTGDTAGAAAITKFQALTSLLWRCITRARCSSPDQETVCRVAINNRGRLRPPLPREYFGNSVYAIGTEASPVRASELVQERGHGPTVYTARCFDASGVMVGSSPRFDMYGCDFGWGKAVAARSGKANKSDGKASLYPARDGGGGVDAEVVLAPEHMAALELDGEFWAAVTPDHVLRACSSTKA >OGLUM11G07890.1 pep chromosome:ALNU02000000:11:7116956:7117651:-1 gene:OGLUM11G07890 transcript:OGLUM11G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAERKVLGMVAAVAAMVVMMAPPAAALVPYGYGYMLDDPFLVLEQSPLRPAGGVAAAAAAGEPAAVALARCDWKETPEAHVVTVDVPGVRRGDVRVEVDEASRXHVVTVDVPGVRRGDVRVEVDEASRVLRVSGERRRAGAAEEEEGERDGVRWHRAERAAGRFWRRFRMPPGADVGRVAARLDDGVLTVTVPKVPGHRGREPRVVAIDGAGAGDMEAEVVKASKAEM >OGLUM11G07900.1 pep chromosome:ALNU02000000:11:7119690:7128802:-1 gene:OGLUM11G07900 transcript:OGLUM11G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSCVINPNEIGSNVSQKHIEPAAAPPKGEKKRKKVYLYNWRHHSNKSSESGIKLDDDDRQASADCSLESPCISNGVDSRSETGLEVPVSIYSVQGSNSGTPVTRTVRRVRRSSLSKKGAIRNSTVSKLLDLHVNSGEQSEDTDNYNSENHELLQKGGYFSHSTSPLFAASGCLSSSNPSKFLKVPRREGSSFSCTPVSTSSYYRYRGRNPSTVGSWDGTTAASLDEDGLNQPELLRSQRCASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALPMSGADHAVSDQRSLSQKYRPRSFNELVGQSFVVQSLNNAIIRERIAPAYLFHGPRGTGKTSAARIFSAALSCVAIGENKPCGACMECTDFFTGNGINLIEVDASNRKSINRIRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRAVISRCQKYMFSKIKDIDIVCRLRKIAVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSADTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGEGIGGRNITDAELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEMIQSRSSSKQSAKATSEIVMDAVRESSASRTTAHPLFTLRGSRKTLDLRTASGHSSPQGVVSVSSRMRANDNLKHGECRSVDRVLQDSAQRSNSSELRPMTNVSSDNLARIWRKCIDNCHSKTLKQLLCDHGKLASVKDYGGYYIAFIAFEGSDIKSRAQRFTSSIRNSMETVLRCNVEVRIGLMPELLAGGLKREEDLDERDEFDALSCSTNSDRLKGIRNPSRNLNYSEEIEKKLEKFSCASAASGGLQSCTTEGNTGMHRTRGKEVSVEQAKAVTVEEQRLESAWLQAVEKHAPGILNQMRPERNQVVPRISGEQYHRMPETDTVFPSRQVDKELSNGLKSLNIGSHGLRQNGQMENGYAISPSLLHSNNHLANCDNERSLSPEHLAVMVCSPAGKLKNQKEERKKLVHCKHYSNHSCKDDLRRATHVYLAVELTSQNFASLMACRGARLSLSNL >OGLUM11G07910.1 pep chromosome:ALNU02000000:11:7139778:7140989:-1 gene:OGLUM11G07910 transcript:OGLUM11G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33340) TAIR;Acc:AT1G33340] MKVFKGKIWAALGSLMDHSNSKASSAAAAAPVPDRALLADIEAAVERCTAGGGGGDDERHVHEILFLVSNAPGAITFLSRRITARLEAARAPPAAALRSLLLVHRLLRAGDRYFEQDLRGLWASRDLRIDAPRCSCSPHAAVAGGGGEYATATGTCSFLHGYSAYLEERMQWAINQAGNLEPARPRPPPHDGGDDHAAGAAAAEAPLVFKLAMSQRLLDLAIQLLPDNNTSATSAAARSAFGIVLRESFKVYDAFKDGLDALLRSLAAAAAGGKASRASSSAHEILKKARAQTPELKEFYHKCKKSSNVSSKSLDYPVVRVVTSAMELVMPPPVTDDEDDDDGGAATTEEAAGAAAQEASHPQPFATKLETTISTVWVEFDDGDGDGGGGGDHSLQGVQSSYL >OGLUM11G07920.1 pep chromosome:ALNU02000000:11:7145514:7152535:-1 gene:OGLUM11G07920 transcript:OGLUM11G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGAHRTPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDTWLLAVAFYFGARFGFDKESRKRLFSMINNLPTIYEVVTGTAKKQSKEKTPKTSGKSNKSGTKPSRQPEPNSRGPKMPPPKDEDDSGGEEEEEEEDHENTLCGACGDNYGQDEFWICCDACETWFHGKCVKITPAKAEHIKHYKCPNCSSSSKRARA >OGLUM11G07930.1 pep chromosome:ALNU02000000:11:7154774:7156828:-1 gene:OGLUM11G07930 transcript:OGLUM11G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAAGDEDAAAVTGPSSAGEPAAGMEFASPEEARAFYCTYAARAGFRVRSSKSFASRIDDAIIMRRFVCTRQGLPSRKDTLLDASKKRRNRASARAACPAMLQVADL >OGLUM11G07940.1 pep chromosome:ALNU02000000:11:7165636:7168369:1 gene:OGLUM11G07940 transcript:OGLUM11G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPEARQTHGEIAGAAAPERRLKLYSFWRSSCSYRVRIVLSLKGLDYEYKPINLLANEQSHPEFEKLNPMKYVPALVDGDDTVVVDSFAILLIASIVGSSIQPLQNNSVLDFIEEKLDSQEKVNWIQYHLNRGFTALEKMLKGCTTTYATGDEIQLGDLFLEPQIYGGIKRFGIDMTNYPTLARLHEAYMEHPAFQAALPERQPDAPSSPEI >OGLUM11G07950.1 pep chromosome:ALNU02000000:11:7166001:7176697:-1 gene:OGLUM11G07950 transcript:OGLUM11G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLRRGRCVRLPLWQRGLESWMFHQDRKGIHDDCVVTVDQCWDILHRSSPLRLRTMRTRYEHELRQKE >OGLUM11G07950.2 pep chromosome:ALNU02000000:11:7171281:7176694:-1 gene:OGLUM11G07950 transcript:OGLUM11G07950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRKLSRLLKDPMFGGSGPLKLL >OGLUM11G07950.3 pep chromosome:ALNU02000000:11:7171281:7176694:-1 gene:OGLUM11G07950 transcript:OGLUM11G07950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSGPLKLL >OGLUM11G07960.1 pep chromosome:ALNU02000000:11:7170518:7176098:1 gene:OGLUM11G07960 transcript:OGLUM11G07960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSPTSWRIIHLLVFLIIVLDHALIISADTDPQDTSALNGIAASWDNAKSKLSEWVGNDPCGEKWPGVYCTQNRVTSIRLSSFGLSGSLSGDIQSLSELQYLDLSYNNLSGPLPPNIGSLSNLESLSVVGCQFSGDIPKELSQLPKLRFLSLNNNRFTGSIPPSIGNLSNMYWLDLGENRLTGSLPVSDGTNTGLDNLTNALHFHFGVNQLSGTIPSQLFKSNMKLIHLLLDNNNFTGGIPPTLTLLTKLEVLRLDRNYQLTGPVPASINSLTKLQELHLENNKLTGPLPDLTGMDSLYVVSMGNNNFSSSNVPTWFTALSALTSLNLENLHITGELPQPLFKLPAIQTLGLKGNNFNGTLTIGSDYSSTLSLIDLQDNQITTLAVSGAQYNKKLILVGNPICVQGNNEALYCKSSQQANPAAKPYSTQSICPGLPPTCLSDQYLSPNCTCAVPYMGTLHFRSPPFFDLSNDTFFVLLEENMKEAFLGKQLPVESIALDNPAFGPSNNLDINLRVFPSGKIRFGKEDISYIGFMLNNQTYKPHAPGINYGPYYFIGQSYPFAETLSAPRQTKKNQSLIIGVSAGGAFVVVSLLVLFTVLFFRRNKRPKLQPQPRSPSYASWDIKSTSISTPHLQGTRVFTFDELKKITNSFSDANDIGTGGYGKVYRGVLPNGHLIAVKRSEQGSLQGNLEFRTEIELLSRVHHKNLVSLVGFCFDQGEQMLVYEYVPNGTLKDSLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIVHRDIKSSNILLDGNLHTKVSDFGLSKPLNQDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLLLEVITARKPLERGRYIVREVKGAMDRTKDLYGLHELLDPMLAPTSLAGFELYVDLALKCVEEAGMDRPSMSEVVAEIEKIMKMAGVNPKVDSASNSMSYNSRTPRHPYSGESQFDYSGGIPSSSRVEPK >OGLUM11G07970.1 pep chromosome:ALNU02000000:11:7180471:7188801:1 gene:OGLUM11G07970 transcript:OGLUM11G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHVITLPFSPLLSFRERQSGGRRVQGQRPAGEAGERRATAAGAQRRQLAGNTATRRPRRRCHRLRADAPAAIRFGMKQPRAAGVVRRDSTNDGRDSTNDGRDSSCSCMPRRPLCRRSSCACSAAPGERHWH >OGLUM11G07970.2 pep chromosome:ALNU02000000:11:7180521:7189502:1 gene:OGLUM11G07970 transcript:OGLUM11G07970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFWYRLDNQTAQSYQIFVRPKEEEVALKNNRNGRHFSFMFPFLQLLYKPSFFLFPISLSRSSPSLEMRTSFPTRQMCETRRKGKEHPRTIGMRGIRKTKSRTLKKFRAHAFHHVRIPPSLDFLNPLILSLP >OGLUM11G07980.1 pep chromosome:ALNU02000000:11:7198531:7199194:-1 gene:OGLUM11G07980 transcript:OGLUM11G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGGSSPTSSPSVCSRSWSISEDSLKRYVSYASESCIQELLAASDSGGGGGGDDGWKVLAYCNGVEISKRRAGAAHVFRSRWLLHAVSPGKFMAVANAVDAAKVPATLISSVPGTAKPSGPINA >OGLUM11G07990.1 pep chromosome:ALNU02000000:11:7205865:7210938:-1 gene:OGLUM11G07990 transcript:OGLUM11G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVQEIGASAGEKGSEQHAIDVGPGEHGDGGVVAGAAAEALEKERLAVVEEEPRKKSKRVASLDAFRGLTIVLMILVDDAGGAYERMDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPKLGAAVKKITVRTLKMLFWGLLLQGGYSHAPDDLSYGVDMKKIRWCGILQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFHAYRWQWLGGFVTLFIYMVTTFSLYIPDWSYVYHNDGDVNDGKQFTVQCGVRGHLDPACNAVGYVDRVVWGINHLYTQPVWIRSKLNIVDSVRDNWDPLWTCSRSFQAIPINKQLYSLSYVCFTAGAAGVVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLAAQAIFPAFVNGWYYDSPGNTLVSWIQKHVFINVWHSQRLGTLLYVIFGEIVFWGVIDLAMLQRDHILETNRPSQCKFRVRISDDHDLQSLQTEKNQISHSLTERLTAVSEEHGQVATVVRDPETSLPVLSTDCSGIFLQSTKRPILHTWITAKLAGKNA >OGLUM11G08000.1 pep chromosome:ALNU02000000:11:7217930:7223748:1 gene:OGLUM11G08000 transcript:OGLUM11G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKQSICKLVHLRTVICMEPVMDDANKLFHEVLHNLKKLRVLLLWFHNGSKLPQSIGELKHLRYLNIFKTSISELPGALCSLYHLQFLRVHQDVNDWPVKICNLSKLRHLERCSRIPSGLIGKLRLPQIPYIGKLTKLQGRVEFCVANQQGHELRQLRDMKELRSNLEIKNLENVRTKDQASEAMLHNKTRLSHLHLSWSCTDDLHINDSLHLEVLEGLKPPHELLSLGIDSYRSPLYPSWLCEDSHIANLKHLYLRNCTALEGLPSGVQLIKHFYTIVLDNIPNLKTLPHLPGGLGNLEIEGCPLLILISSEELGQHEQHANLMKAGTVSSQLAMIWEKQRGSKTCRYNVRDTLVSEHSSLKKLTAMINDDKSAQLLTMESAIQSGNDEALVEDNTIEAWLSCQEQRIKLIYTRPTENLLHLPSSLSFLTLSSCSLTNGALAVCLQGLTSLKRLHISQIMSLTSFPSPEVLQCLSALKKLYIHSCWCLRSLGGLREVTSLSEVEIDSCISLELVDGNGIATIPSSLEKLSISGCVLGPDFLSTDLPHLHSISITSCRSSVSLAVGHLHSLESLSLNNMPDMCFLEGLSCPNLQDVHLINIPKLTAESFSQHHAWKSFTISSSEMLSLMLSIKDFKLPEKLCFKHYDEPSIKFESSANFTSIKSLEFSDSKVMSLPRSLENLSCLERIAFIQCPNLSSLPVFPSSLQQIEIRGCECLKRTCQAPNGENWPKVEHIRWKLFEFSNYKGGVSFTIQMG >OGLUM11G08010.1 pep chromosome:ALNU02000000:11:7227193:7229855:1 gene:OGLUM11G08010 transcript:OGLUM11G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLRNHLLPLLHAASSSSSPLHLTRHRLLSTAARSPPFSVEHYLVATCGLTAAQARRASPKLSRLNSASNPDAVLALLSSSAAGLDSLSLSRADLAAVVATEPRLLRARPGTIARRIASLRGAVGLSAPQVGRLLLSGGAAHLAASSDVSPKLAFWVPFLGSFEMLLKILRRCNAILATDVDRVVRPNVALLGECGLGVCDIVQMTQTAAWLLTFNPERLKIVVRRAEELGVPTSSWAFKDAVCTVARNNEGTIAARMEFLRGTLGCSMDKLRSAISRKPSILGFSEKTLRGKIEFLLTKVQLEPEYILQRPVMLTLSLDKRLAPRHYVLQALVEKGLIKNDSCVCFGNKHFVARYIDRHEDALPGLADAYAAVHAGKSPAQSLTQHLQTDRYRSDGEATYVLGNQPVRMEDK >OGLUM11G08020.1 pep chromosome:ALNU02000000:11:7236103:7237245:-1 gene:OGLUM11G08020 transcript:OGLUM11G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRSRALERDAAVEHVELIPGMPDDVAVDCLARVPHGSHRAMRRVCRGWRSAAATPAFAMARAEAGANEDLVYLLQFANPAAAAAAAEEAKEDGDAPANSPAYGVAVYNVTTGEWRREKAAPPVPMFAQCAAVGTRLAVLGGWDPETFEPVADVHVLDASTGVWRSAPPMRSARSFFACAEAGGRIYVAGGHDKHKNALKTAEAYDAVADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLDRVRAPPSAAHVVVRGRVWCIEGAAVMEWLGSRGGWREVGPSPPGLKAGTARAVCVGGGERVVVTGAIEDSDGGSGRHALWVFDVKTKNWTVVRPPPEFAGFAFSVAAVRI >OGLUM11G08030.1 pep chromosome:ALNU02000000:11:7255384:7263704:-1 gene:OGLUM11G08030 transcript:OGLUM11G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVLWEPTVLQILLLLLVVLHELVLQALAFLLQVLMLPVHGGRNHLLVARNVHLEELLMS >OGLUM11G08040.1 pep chromosome:ALNU02000000:11:7270856:7279123:1 gene:OGLUM11G08040 transcript:OGLUM11G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trypsin family protein with PDZ domain [Source:Projected from Arabidopsis thaliana (AT5G27660) TAIR;Acc:AT5G27660] MLRAARPRRAGALLLAAAAAASSSGALAYDRRGGDGDGDGDGDAFSTTTTAVRISASSPLRRALSSAASGILPGGSAHLLPSPLPLGEDLNVYSLRAGFSFLNFFTSASNWSAGFPTQNSFASASVPPTNLSNQSSDGNSDDSKCCPGCINRNTIAKAAAAVGPAVVNISSTQETHGWVLEKSIGSGTIIDPDGTILTCAHVVLDFQSTKPILRGKVSVTLQDGREFEGTVLNADRHSDIAVVKIKSKTPLPSANLGSSSKLRPGLERIRTSTLITLKLLFDELDFLFKFGILKDEKGNSGGPLVNLDGEIVGVNVMKVWAADGLSFAVPIDSIVKIVENFKKNGRVVRPWLGLKMLDLNPMIIAQLKERSSSFPDVKNGVLVPMVTPGSPAEHAGFRPGDVVVEFDGKLVESIKEIIDIMGDKVGVPFKVLVKRANNVTVSLTVIPEEADSSR >OGLUM11G08050.1 pep chromosome:ALNU02000000:11:7291511:7296814:1 gene:OGLUM11G08050 transcript:OGLUM11G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAVKLMEEQLDSSEMDMDEPGGAAAAAAADANNGAGSKDCPLPPPPPKTAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPCFMIQT >OGLUM11G08050.2 pep chromosome:ALNU02000000:11:7291931:7296814:1 gene:OGLUM11G08050 transcript:OGLUM11G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPHVRSAAVKLMEEQLDSSEMDMDEPGGAAAAAAADANNGAGSKDCPLPPPPPKTAKACAAAKKPRSVSSDFGEELDLEQLGAKQQQQQQERKLSRQDRVELSRSFQHAVSSHDWDGAEALVRRADAQGLNDVLCVAVDAIWFLSDKEELAAVVALIRRIVSEGANDFTRAALRTSFLASCVSACRGRTTSLADAVSFMGQKLHERLQESHGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGRGNHGTIIEVQLQLSAFKTFLDLADNDLTGKDFTEAFDAACFPLTLFSNTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIARRNSLDIDVDLALGFAAHYGKLDTMGCLVEEGNAVAFLGPLMRAAERGCLQVVEWFVNLGCRDMELCLALTAATSSSQVAVAAYLLPLVPKHVLAPLSVEILKAAGERSTGSLHGVDFLLRSNFLNDPAATYAVADNIAKSADEAVDAKLRSFMLEHWSEAAFSEGFASAQEHFVNFMRIMEKGESPICLRDLPLELVIAMAYLPLYRECVESGGRLLPQKLRGQLVEAAGRLEGRQLDRASQGTELLAILEHHLPCFMIQT >OGLUM11G08060.1 pep chromosome:ALNU02000000:11:7298917:7299548:-1 gene:OGLUM11G08060 transcript:OGLUM11G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPPPPHHRNITERVEDIFCFAIRGGSTSSSSSSSSSSSRDDIFATLPLGVLGLCRRRGALGLLGGARKGVLGRDAKSGHGSNAKFRIEDVVTLPAVQMMGKSATETSDLIRNHKNSHTHLSSWIAN >OGLUM11G08070.1 pep chromosome:ALNU02000000:11:7313211:7313569:1 gene:OGLUM11G08070 transcript:OGLUM11G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGRNAKGPVGSGSSGDAKEIMDEDSVWRRLRSIGGGNATSSSLETTTCFSSTWREEGGRKCRLVTSIVALATGQLSHTTNARGELQHSHRFTSTTALP >OGLUM11G08080.1 pep chromosome:ALNU02000000:11:7314836:7317657:1 gene:OGLUM11G08080 transcript:OGLUM11G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BHA6] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >OGLUM11G08080.2 pep chromosome:ALNU02000000:11:7314836:7317882:1 gene:OGLUM11G08080 transcript:OGLUM11G08080.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BHA6] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGDYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGSEFDDGDEGDEGDEY >OGLUM11G08090.1 pep chromosome:ALNU02000000:11:7318773:7322943:-1 gene:OGLUM11G08090 transcript:OGLUM11G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial hemolysin-related [Source:Projected from Arabidopsis thaliana (AT3G25470) TAIR;Acc:AT3G25470] MMMMASRLRFHQLRLIGVRPTCCSPSRDFAAVRTQKLQLAKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDEKVALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQVAEKIRVHERVSVIERTNLRYLTELPQLVDLVTLDLSFISILLVMPAVVKVMKRDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGCIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >OGLUM11G08090.2 pep chromosome:ALNU02000000:11:7318773:7322943:-1 gene:OGLUM11G08090 transcript:OGLUM11G08090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:bacterial hemolysin-related [Source:Projected from Arabidopsis thaliana (AT3G25470) TAIR;Acc:AT3G25470] MMMMASRLRFHQLRLIGVRPTCCSPSRDFAAVRTQKLQLAKKKRRLDEVCLERFQQYSRTYIQSWILQGKVLVDGRVVNKAGTQVSDKSIIEIKAEIPKYGYPLAVLLTVYSNMGHHMYMVAEKIRVHERVSVIERTNLRYLTELPQLVDLVTLDLSFISILLVMPAVVKVMKRDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEQFGFCNKGCIESPIKGAEGNKEFLACFHRIPVQELQPEEAKST >OGLUM11G08100.1 pep chromosome:ALNU02000000:11:7324143:7326733:-1 gene:OGLUM11G08100 transcript:OGLUM11G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPRMSKPAPAAASSSASKPRRRASPSPSPSPGTAPKTAKNAVGGGGGQRRRSPLSDLNSGDASAARGGCFRFLVSSASSGSRSRTPVASPKVRKPRPEIGRRRVVAAADQESRTRVVEKMMTRGRAETETARKQAPPARGLPLLVEALTPQRKADAGATPAVSGATPPIHASISPEVLACGSATPACFAAGHHVVPGVADRRKCRPRGILAIAGEGASEELDPDPSRASIHWLSSPSGELPGTCSTKCTNEASVNWLPSPREERGVDLLGDEIFVPRCSLEDAFWQISPKSTGLLSSPVLNGLLDLGTPASELSETTPSSGFLPLQKTPSTGDSLSPFSLIVKRASQSLSSRGLKSLCSQQGPGSCSYSSAADPTAISGESWSSKCSGLTRTCSRPLTKMDPVVECLEMMTLSPRPGDADYCENGALPAPLPDLSFQFAESMRTEGFELVSSDDSDWTLFYKNGFASI >OGLUM11G08110.1 pep chromosome:ALNU02000000:11:7341276:7341671:1 gene:OGLUM11G08110 transcript:OGLUM11G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEFFPKLASCFRPPPSSSSSAAAAAARRRPDKAEKKRWVDEQVGLHLAARVWDGYGYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMARLLALVDEDDAAAAAGNSGDIPPAAKGVSGSSSN >OGLUM11G08120.1 pep chromosome:ALNU02000000:11:7358770:7361828:1 gene:OGLUM11G08120 transcript:OGLUM11G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRLFEAAKSGDCRLMKELVAAMDPSILLRTTPQGNTCLHISTINGHEEFCQEVLMLDNSLLTVANSHGETPLLTAVTNGRTALASVLLRRCCEAGLREAILKQDENGCNALHYAIRNGHRDLALELIAAEAGLSQGVNKYRESPMYIAVMRDFTDIFRKLLGIPGSAHVGCHGRNALHAAVRNGNPVIAKELVEKRPGLAREFDDEMDTPMHHAAMWGKTHVLGALLQYDWSLGYVLSNNKDSVPLLNSAAYRGYVSVARELVHHCPDAPYYDANGCTCLHQAAFKDHLEFVEFILESPYLRKLVNMRDNGGNTALHYAVQNCNPRIVAALLSHGDTDVTVLNYTGNEAVWQLGGAADYAKTLNWIQNPIGQSSKRENKIKTSPKADDADVAAGFTAAVVAVGFPAREAAITAGSAREPNLDAGSRRRRRYHRICRGKQPPPSLPSDLPRDAAAARLGYEKLLPPSALSTPLRKAAATAGSGRGKQSVPSVPPDLTGGTRRTRPLSLLIHSNGVVPSLSLCRAGETGGTGGDGLATPVGRGRGSSGERAVEKEQRHRSGEGTGGVDTPLGGEGRGGEEELVLGGWEWHRW >OGLUM11G08130.1 pep chromosome:ALNU02000000:11:7377695:7378300:1 gene:OGLUM11G08130 transcript:OGLUM11G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNEVSMLMLEADPQNATSIYNLHKDAKDKLNKSSMKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGNLGFPIMARKFVFQSFLIADTLAMCSSLVVAFICIIARWEDLQFLLHYRSFTKKLMWFAYMATTVAFATGLYTVLAPRLLWLAIGICLLSVLLPAITKVIGEWPVLKLRFRLGKTYNSDLLDMV >OGLUM11G08140.1 pep chromosome:ALNU02000000:11:7378004:7383682:-1 gene:OGLUM11G08140 transcript:OGLUM11G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGDDRAIDLAGGRVEEENSLATKIRKMDRINNIPSRPIMKQELKILPPCYDANEGDNK >OGLUM11G08150.1 pep chromosome:ALNU02000000:11:7413959:7422109:1 gene:OGLUM11G08150 transcript:OGLUM11G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTSSSTSNSTGALDQRLLEAAKSGDSMLMKEVVAAMNPSILLGTTPQGNTCLHISTISGHEEFCKDVLMLDNSLLAIANSHGETPLLTAVTNGRTALASVLLKRCCEVGFTEAILKQDQYECNALHYAIRNGHKDLALELIAAEPGLSQGVNKYGESPMFIAAMRDFTDIFRKLLGIPGSAHVGCYGYNALHAAVRNGNPVIAKELVEERPELAREFNCHNNTPMHLAVLWGKTDSIYLGYALSNNKDGCPLLNSAAYRGYVSVARELVHHCPDAPYFFATAGRSCLHIAVSEGHLEFVKFILESPYLRKLVNMRDDDGNTALHYAVKKCDPRMVAALLSHQDTDVTVLNEVGGEAAWELEGATDYAKTLNWNEVLMLLFKVDPQSAMSVYILHKMAKDKLNKLSMKDAKSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSHAGNLGFPIMARKFAFQSFLIADTLAMFSSLAVAFICIIARWEDLQFLLHYRSFTKKLMWFAYMATNVAFATGLYTVLAPRLLWLAIGICLLSVLLPAITKLLGEWPVLKLRFRLGKTFNSDLLNMV >OGLUM11G08160.1 pep chromosome:ALNU02000000:11:7423026:7423378:1 gene:OGLUM11G08160 transcript:OGLUM11G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCEASIGDDCTIDVATCSKQFLRARLKGTANNFAYRHWKRNQKNGILYTSKDKVKQAYFIIGFSPSPYLPMRNDERE >OGLUM11G08170.1 pep chromosome:ALNU02000000:11:7425073:7425498:1 gene:OGLUM11G08170 transcript:OGLUM11G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSKLACCFRPPSSSSAPAPAPAVRRRPDKAEKRRWVDEQVELHLAARAWNGYGYGAGYGKGGAVDVSRYGDIGLEAALGYEFERRWWFAEMTRLLALVDEDDAAAAAPDAGKSDGVVKVHPLAAAEEQNAATAAAAKP >OGLUM11G08180.1 pep chromosome:ALNU02000000:11:7426497:7434986:-1 gene:OGLUM11G08180 transcript:OGLUM11G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQEVADPAQAAEEEGPGELPRSGSSSRLNAQAPEFVPRAAAAPPQAAVAAPPPPPQVIRVFAAAPPPPPAAFFAAVPPPPPPPFEYYPAVGGGGGFGAPVELEAEAEQQPPPGQQSGRDGISDDVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVVAGFKKIKALIQSNAMLASALRTSSKLVVSNDGTRVKREQPFTESDLEELQARIVVAENLPDDHCYQNLMKLFSTVGSVKTIRTCYPQTPNGTGPVTNRSAKLDMLFANKLHAFVEYDTVEDAEKAIVELNDERNWRNGLRVRLLNTCMTKGGKGKKGGHDINDGHGVEDDVSTSNQSNEKHGEEALQTPDAQGEQLPDESAGDMGRGRGKGRGRGGRGRGRGYHYHNNNQQSYHNHQQHNHQNSNNRNTAHPVGTPPSNHPAKNEQQQQAQPQPPAGANKQPPGPRMPDGTRGFAMGRGMPQTLTTTPSASSGSEP >OGLUM11G08190.1 pep chromosome:ALNU02000000:11:7455684:7461238:1 gene:OGLUM11G08190 transcript:OGLUM11G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAIVSASTGVMRSLLAKLTNLLGGEYKLLKWLRREMEFLESELRSMSIFLERLEDTQKLHPQMKDWRDRVRELAYDIEDCIDDFILQLDSKVGFGQKLLASRRIGHMIRELKARVMEESERQRRYMLDGLASGPSVRVKVDPRLSALYVDEDRLVGIDAPRDEIIERLLDKRRSASAKQAMTISIVGCGGLGKTTLANQIYCKIKGKFECAAFVSVFQNPNTKKVLTNILSQVATTAAVEDDEQAIINKLREYLSDKRYVVIVDDIWDMQIWKFIECALVKNCRGSRIITATRIHDIAKLCCSSHGDYIYEMKPLGVIDSKILFDKRIFDPEERRPPQLTEVSEEILKKCGGLPLAIISISSLLASKPKSKDQWDRVKVSLSSTLERTPDIETMEWVLSLSYSDLPNHLKTCLLYLSIFPEGYEINRERLVSRWIAEGFIYRKHGQNPYEVGDSYFNELVNRSLIQPANIKPDGQTNACRVDDTVHDFIVSMSVEENFVTLFGGSKLVPRSHGKVRRLSIQNGGIQENIITSTHLVTSQVRSLTLFAVEMPSLLGFGMLRVLDLEDCYALEDHHLTNLERLVQLRYLSIRTSPISELPKQIGQLQYLETLDLRATGVQELPSTIGRLKSLVRLFVDYHVKLPKEISNMHALEELTSFSALMYSPDFLKELGQLTNMRVLRVICDCDGFKGDAGSCLENLASSLCNLGTSNLHSLFVDINGYGEDNFSLDTWQPVPSRLRRFSIDRWCPINKIPNWVGSLINLEELVLYVNKIWQEDFELLGHMPALSSLTIYSNTALQGRIIISGFHSTKFFKFYCNPAGLTFDAGSLLKLECLDVIMNVFNTKSSNGSFDFGIQYLTNLRNVYIQLDCNGSTGGELEAAKASIKSSVNKLPGQPKLNLSTSNENMLVHEEAN >OGLUM11G08200.1 pep chromosome:ALNU02000000:11:7466229:7467885:-1 gene:OGLUM11G08200 transcript:OGLUM11G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETPDESAAAIEAQGWSSHPFSFPSQPPDIKNWFSSYEYESPEVPELVGGNGGNSGSETQDPLENIQVGVPVDSLLEPTTHDGDGDGDGDGDSALRGNQCGQQHEHEVSAIREFIPISKSKVERGTKRKQSLRSLFGDGFLDNIGETSETETQAVLSVQRNEAEPLPDRNAMGLPDDDDTQGGQEGAIECSELPVDCNGIDVGDTQEGSQVDQEKEQSKLLIGDGMSSCHADKITPKDGNEQSKLSVDCTRTCKDRTKRRFDGNGIQSSLPSIGCNDIIIPDTEENSPGEETCHGNPAMDDKEQEETVAADGFVAIKRKEKPEQTFNTNKIPKPPTRRAKSTTLQENRDIVEQKVVVQERTSRSPLADMTNVSEVAAAPTTTEIRGKWKCPRKGKPYVGPPLKQLRLGQWLRRLD >OGLUM11G08210.1 pep chromosome:ALNU02000000:11:7468277:7469379:-1 gene:OGLUM11G08210 transcript:OGLUM11G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATVVAPVPYAGVQLWIVFQDVDGVSVAGGTLDGRGRALWACRRARRPDCPPATRSLTIYRSRNVAVSGLTSRDSAGIHITVQASAGVAIVDTVVSAPGRSPNTDGIHIKQSTGVTVRNAVIGTGDDCVSMVEGSSDVLIEAVTCGPGHGISIGSLGDTPEQVAVRNITVKGAALAGTTNGLRIKTWAKANAGAVAGVSFSGVVMRNGSGIEISGVSYTDIEGTSATATAVRFDCSPSRPCAGIAMRDVRLRYQPPAAAAAEEQPAASFCRNAHGVAFGDVDPPSCLTE >OGLUM11G08220.1 pep chromosome:ALNU02000000:11:7504394:7509896:-1 gene:OGLUM11G08220 transcript:OGLUM11G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGLLEAATSGDSRSLKNMVSQDPSMLLGTTPQGNTCLHISSIHGHESFCKDLMVLAPCLVAKVNLYGETPLLNAVTSGHAALASVLLRRCLELAQSEAILRQDKDGCNALHHAIRSGHKELALELIAAEPALSQGVNKHNESPMFIAAMRDLADVLKKLLEIPNSSHVGACSYNALAAAVRNGNADCGGTSLAGQRRKHERDFHLTVLWDKADVLRVFLEHDQSLGYITTTNGTPLLNAAAYRGHIGAARELLKHCPDAPCCSANGWTCLHQAVHAGNTEFFEFIMRTPQLQRLINMRDSSGKTALHYAVMKRNPKMVAALLSRKDVDYTMVDNSAQTASSHLWDAKDAKTLIWNEVSMLMLKADPEDATCLSNLLEEAKQKVTNESRKDVKSLTQSYTNNTSLVAILIATITFAAAFTLPGGYSSDDGHPIMARKLAFQAFLISDTLAMCSSLAVAFVCILSRSEDLEFLLYYRTITRNLMWFAYMATTAAFATGLYTVLAPRILWLAIGICFLSIILPVLTKIIGEWPVLKLRFRLGHAFKTKYLDIVPDIAKRIIVERPNLATEENRDGNTPIQLAVRWGKIDMLRVLLKHDRSQGYVINRKNGYPLLLSAAHRGHVAVAQEIIKYCPDAPYCKKDGWTCLHKAVKSGNMEFVEFILGEPRLQKLVNMRSSKGKTALHYAVQKCDPKIVAALLDKKIDLTILGSDGNAAAWELRDALDSAKTLNWNEVSMLMIKADPPNAKSVYNLHEEAKEKLINASRKDARSLTQTYTSNTSLVAILIATITFAAAFTLPGGYSSDAGSQGLPIMARNIAFKAFLISDTLAMCASLAVAFICIIARWEDLDFLLYYRSFTKKLMWFAYMATTTAFATGLYTVLAPRLLWLAVGICSVAVLVPILTKVLGEWPVLKLRIRLGQAFKSEFLDMV >OGLUM11G08230.1 pep chromosome:ALNU02000000:11:7509921:7511741:-1 gene:OGLUM11G08230 transcript:OGLUM11G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATGRGGAGEVSEKPALGAGAAGAGKHHADACCPSRLDRSECSEAGHNGAHSRSPQRSWLLVSMAEELAGQSQYTKH >OGLUM11G08240.1 pep chromosome:ALNU02000000:11:7537123:7540396:-1 gene:OGLUM11G08240 transcript:OGLUM11G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKELLAFPGVAVPIATASLPGAQMERRLLEAAMVGSATSMKEMAAQDPSLLLGTTPQGNTCLHISSIHGHEGFCKDVLTLNNSLLTVANMDGETPLLTAVTNGHMSLASILLECCCTLGFSEAILQQDRNGCNALHHAIHCGHKDLALELILKEPALSKAVNKYSESPMFIAVMRDFTDVSEKLLEIPGSSHVGTYGHNALHATVRNGNAVMAKKILEGRPWLGKERTRERKTPILMTVIWDKIDVLRVLLEHDVLLGYECYDDGGNLSPLLVAAAYRGHVDVAQELLNHCPDAPYCDRNGWTCLHEAVKEGQTEFVEFILRTPQLRKLINMRNNKDGQTALHQAVRMCNPKIVASLLSHKDTDFTLNDHKTGQSVIWQLCLGSERAKTLNWNEVSMLMTKADPEAATTLHRQFARKRLTDELARNVKSLTQTYTSNTSLVAILMATITFAAAFTLPGGYSNDSGSEGLPVMARKLAFQAFLVSDTVAMCSSLAVAFICILARWEDLEFLLYYRSFTKKLMWFAYMATTIAFATGLYTVLAPRMLWLAVGICFLSVLLPILTKLLGEWPVVKLRFRLGKTFKTDLLDMV >OGLUM11G08250.1 pep chromosome:ALNU02000000:11:7560742:7563154:-1 gene:OGLUM11G08250 transcript:OGLUM11G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARTNGTLPRTNGMRTLPQEPHTNMSHDIGLSGESGSETEEYDNDTSLSEKAEERIDRRLLLAARSGDSMAMRDMAASDPDVLLRTTNHGSNCLHISSIHGHLEFCNDVVRLKQPLLAAVNSYGETPLLAAVTAGHAALASELLRRCREFGLGDAVLKQDSVGCNALHHAIRGGHDDLALELIAAEPALSRAVNKNNESPMFIAAMRNSADIFDRLLAIPYSSHSGCAGDHALHAAARNGDSDIAKRVMETRPWLAKLPNRYGLTPMHHALLCDRVGVLRVLLEHDSSFGYVVAGTEDVPLLVSAAFQGRLGIAREILSYCPDASFRSKNGWTCLSAAVHADRLEFVEFVLGTPELQKLVSMRDNQGRTALHYAVMKCNPKMVAALLSHGGADVTMLDNSSSPPSWKLWGLGDHTKTLNWV >OGLUM11G08260.1 pep chromosome:ALNU02000000:11:7601437:7607790:1 gene:OGLUM11G08260 transcript:OGLUM11G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTKDVVTASTATTTSAAATGCNLLKIKVRXTNVRFRMVSLRPCVPPTNEVRSYATSFNGTGKAEYRCFRFISHDVLAEQWFITDDEFAIHCDVAVVEEATAVEEAPAAELLDGLICKCRDNNDEPCKSSTQQSLKEAFRKHFLGCFGPKHSQRTHTHPYEHTHANPTHIKVRNAIKKSHQGSKYDIESSKFQAAGHTWSFFFHLNSSKYSGNGYSTVCLKLHAADPGTTAAAGGIRTNVRFRMVSLRPCVPPTNEVRSYATSFNGTGKAEYRCFRFISHDVLAEQWFITDDEFAIHCDVAVVEEATAVEEAPAAELLDGLICKCRDNNDEPCKSSTQQSLKEAFRKHFLGCFGPK >OGLUM11G08270.1 pep chromosome:ALNU02000000:11:7609137:7611175:1 gene:OGLUM11G08270 transcript:OGLUM11G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCQRLDPVVCPSPSRANPPSAARGGVWVRRPLSPPTRIPSSLRPLSRGSATGGDGRGGSGDLHHLSAPCLCRSAAGDDGKGGSGSLRTTSQNFKRKQGLLRVEFNDNLESTLIGGGLTAEEPFV >OGLUM11G08280.1 pep chromosome:ALNU02000000:11:7616340:7618437:-1 gene:OGLUM11G08280 transcript:OGLUM11G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDMSEESYVIMSQPEESDTDDTSSSGYAVHTINRRLMEAAKTGDSRAMRDMTALDPDVLLQTTKYGSNCLHISTIHGHLGFCKDVVALNRSVLAAVNSYGETPLLTAVTTGRTALASELLRLCSEFGLSEVILKQDDGGCNALHHAIRGAYKDLAMELIDAEPTLSQAVNKNNESPMFIAVMRDFADIFEKLLVIPDSSDAGCRRHNALHGAVRNGNSAIARRIMEKRPWLAKQRSEDGQTPMHQAVLCNKVCVLRVLLEHDCSLGYVTTNNGTPLLISAAFRGHIGIVQEILSYCPDAPYSTNEDGWTCLHQAVNAGHTEFVKFILSTPQLRKLTSIRDSNGKTALHYAVMKCNPKMVAALLSHRGIDVTMLDNSSGPPNSQLRVVSDDAKTLNWNEVSMLLLEADPQDASSMHNLYMEVKDKVTKEARLNAMSLTQTYTTNTSLVAILIATITFAAAFTLPGGYKTDAGSEGLPVMAKKFAFQVFLVSDTLAMCSSFSVAFICIIAKWEDLQFLIHYRTITY >OGLUM11G08290.1 pep chromosome:ALNU02000000:11:7631847:7632050:1 gene:OGLUM11G08290 transcript:OGLUM11G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVAEQKPVLAVVVLSPASSGEHHKSTVEEDSTASASGDVQQNPAVVEGGSLPASSFAQHRRVMEN >OGLUM11G08300.1 pep chromosome:ALNU02000000:11:7655732:7656532:-1 gene:OGLUM11G08300 transcript:OGLUM11G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPKCANEVYQVVAEQYDFSVFVSVYQEASLYDFSIHAAAIVERAVRRQRRRPDDSSASCPQLCAPYDDDIDSNLRTMEKDAAQRPSPDYLDTVHGGQISAAARASLVPWMGRLTHRYELAIGTLHRAVSYVELFLSARALPRYTAHQLSLVGATAMYVAAKYEDQETVFKLDTKEIAGYSKFTSVQEVLAMESEMMAALGYRLGGLNTETFVDHFTQYSKGKEQLRVQRLTCHVATGHWRRATAVWAICHPWWWRRRSPSRGV >OGLUM11G08310.1 pep chromosome:ALNU02000000:11:7672068:7677237:-1 gene:OGLUM11G08310 transcript:OGLUM11G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRLAVAGAEPRARRHRPTTVAVCGGAGPRIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVDDLSKEPQVERELMLIKLNVEPDKRPEVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKSHVNTGMKTAIQNSEESSQGDVYPVESYESFTTNQILDAHWGVMADGDPTGLCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALHRESGVDSKYLRGFSLPL >OGLUM11G08310.2 pep chromosome:ALNU02000000:11:7672068:7677237:-1 gene:OGLUM11G08310 transcript:OGLUM11G08310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATATAASRLAVAGAEPRARRHRPTTVAVCGGAGPRIIKRHTLSVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKILKQVVEQLNKLVNVIQVMGLVDIFRAKVVDLSDHTLTIEVTGDPGKIVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMPSKSHVNTGMKTAIQNSEESSQGDVYPVESYESFTTNQILDAHWGVMADGDPTGLCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVQDLTHLPFAARELMIIKIAVNTTARRAILDIADIFRAKTVDVSDHTVTLQLTGDLDKMVALQRMLEPYGICEVARTGRVALHRESGVDSKYLRGFSLPL >OGLUM11G08320.1 pep chromosome:ALNU02000000:11:7685042:7686790:-1 gene:OGLUM11G08320 transcript:OGLUM11G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPFAAAPPPPAAAALVHHYTRLLFAAASASAAASLRALLPIHARAVVLGISANPAFATSLITAAAPACLAYARRVFDAAPSRDAYMWNTLLRAHAHSRGHARDALALYARMRAAGVAPDHYTYPIVLPACAAARAARLGRAAHGDAVRFALARDGFVSSALISMYCQEGEVRDAERVFAERGDARTVVSWTAMVAGYAQNCFFGEAVTLFGDMVAASVLPNEITLISFLPCLRGQEWLPVGEMVHGFVVKLGFDANVPMVNALITMYGRCGSAPIARALFNGMSSRTLVSWNTMVAMYEQHGDGVQAIKFFRRMLTEKVGFDCVTLVSVLSACARSGALDTGKWVHELARSHGLDTDARIGNVLVDMYAKCGEIAYAKEVFHSHGLRGHSVVSWSAMINAYANHGEAEEALKLFSLMRNEGVRPNSFTFTAVLMACCHSGLVDEGLKHFNSIATDYQMSPTLEHYACMVDMLGRAGRLVEAYGIIRGMPIRPDKCVWGAFLGGCRLHGMVELAEYVAKELFDSGSNDVTFYVLMANMYFEAGMLEDAERIRGVMKEMELKKTAGHSLVCTDRERIAVVR >OGLUM11G08330.1 pep chromosome:ALNU02000000:11:7687639:7690269:-1 gene:OGLUM11G08330 transcript:OGLUM11G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQAGSMEERVITERIRRKLEEVNAAAQKHLAGVQDHVNFTMQQAYFKCAYECFDRRRSQEGINNCVENCSVPVLTANNVVETEMAKFQERLNRSLMVCQDKFEAAKLQKMKTHATEELEACVNRSIDDNIRVLPHLVDQIKSSLSMN >OGLUM11G08340.1 pep chromosome:ALNU02000000:11:7690819:7691904:-1 gene:OGLUM11G08340 transcript:OGLUM11G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMASSSSPIPIPSLSPPSSHRRSPPLTPRLLAHACGRKVMKAISLKGVLRTEINPSLDKVTVVGDVDSRVLVQKLSKVGKIAEVMAPPPPPPPSPAAPSEEGKKSNSNGGEKPTSPADEKSARKDEGKDGKGNKSPAAVTAAVCKQECSKCTAGKEAATRADEAGRAGGKTASSKDATTKSSGDGDKSEPAAVAVEYQYHHHYNWAEPAMVVPVHLPYFAANTTPYYAGGYYPMPPPMSVLHHPSQLRPQPSRFDEDNTAVGSCPSLAPVRRCRLPPAAGPRPTAAARPKVDEEKERERGRMKRG >OGLUM11G08350.1 pep chromosome:ALNU02000000:11:7698282:7707031:-1 gene:OGLUM11G08350 transcript:OGLUM11G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALSHGNLRAVIVLPLLFMLVYGHMAVGLSFLVSARRPSDSLADTAWHFPIYKIGGVERRFLKRGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGCDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVQVAAVACGGFFTMALTPDGQLWSWGVSSVLQAKLTVGATGGAGAVVILLRPDRSPRYRLPPRPSREVSPPSQTEQGHRRSSCCFRRIHPDCKSRPPWGLENGGAFIIHYTYGCDYDMKGKLIYGKVGECRFDKRSYDSKPPRNLPLPQNGIPQSVDISAKLGFDNDTMSNFSILHDLRQTTLIEHEENHPKICEAGQCMHRGTNYGRLGLLSFQEYTLMNMAF >OGLUM11G08350.2 pep chromosome:ALNU02000000:11:7698282:7707031:-1 gene:OGLUM11G08350 transcript:OGLUM11G08350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALSHGNLRAVIVLPLLFMLVYGHMAVGLSFLVSARRPSDSLADTAWHFPIYKIGGVERRFLKRGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGCDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVQVAAVACGGFFTMALTPDGQLWSWGDCKSRPPWGLENGGAFIIHYTYGCDYDMKGKLIYGKVGECRFDKRSYDSKPPRNLPLPQNGIPQSVDISAKLGFDNDTMSNFSILHDLRQTTLIEHEENHPKICEAGQCMHRGTNYGRLGLLSFQEYTLMNMAF >OGLUM11G08350.3 pep chromosome:ALNU02000000:11:7698282:7707031:-1 gene:OGLUM11G08350 transcript:OGLUM11G08350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALSHGNLRAVIVLPLLFMLVYGHMAVGLSFLVSARRPSDSLADTAWHFPIYKIGGVERRFLKRGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGCDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVQVAAVACGGFFTMALTPDGQLWSWGVSSVLQAKLTVGATGGAGAVVILLRPDRSPRYRLPPRPSREVSPPSQTEQGHRRSSCCFRRIHPDCKSRPPWGLENGGAFIIHYTYGCDYDMKGKLIYGKVGECRFDKRSYDSKPPRNLPLPQNGIPQSVNHPKICEAGQCMHRGTNYGRLGLLSFQEYTLMNMAF >OGLUM11G08350.4 pep chromosome:ALNU02000000:11:7698282:7707031:-1 gene:OGLUM11G08350 transcript:OGLUM11G08350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRALSHGNLRAVIVLPLLFMLVYGHMAVGLSFLVSARRPSDSLADTAWHFPIYKIGGVERRFLKRGYGGFGALGHYVYHRELLPRKVNGPWEGKISHIATSGAHTAAITDSGELYTWGCDEGDGRLGLGSGGGPGEAGSLSVPSKPPWGLENGGAFIIHYTYGCDYDMKGKLIYGKVGECRFDKRSYDSKPPRNLPLPQNGIPQSVDISAKLGFDNDTMSNFSILHDLRQTTLIEHEENHPKICEAGQCMHRGTNYGRLGLLSFQEYTLMNMAF >OGLUM11G08360.1 pep chromosome:ALNU02000000:11:7712464:7714928:1 gene:OGLUM11G08360 transcript:OGLUM11G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BHD9] MRLYQGSWFREDWVLGFVAIQRRFAPRDGDVVLASLPKCGTTWLKALAFATAARAAYPPGPALAATGGGGEPHPLLRLNPHDCVPFMEAVYFAGDEARLAAAPSPRLMSTHASFSVLPASITDNPGCKIVYICRQPKDMLVSYWHFINRSKSNAMSFSDVWDSIHEGTYFGSPIWEHILEYWRASQAMPDRVLFLRYEDIQRDPVKNVEKIAEFIRQPFSDAEKEAGIVESRDYLCSLQRLKANSGAKNAGFRRVVNVEVPNESYFRKGEVGDWVNYVTPEMAESLDKFLTDKFRGSGFTFAE >OGLUM11G08370.1 pep chromosome:ALNU02000000:11:7729512:7731094:-1 gene:OGLUM11G08370 transcript:OGLUM11G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKLDFHMIKGDGDSSYAKNSSTQGKAILSTKPMVEKATKEICMDLQPRSMVVADLGCSSGANTLLFISEVIAIISEETHASNNNRECPMEVQFFLNDLPNNDFNHNFQLLEQFKQSIVRDCARKGLQHPPYYIAGVPGSFYTRLFPCNSVHIFHSSFSLMWLSQVPDPLDSSMNKGNIYIGVTTPPLVAKLYLHQFEKDFSQFLQTRFIELVPGGKMVLTFLGRKTNDVVHGGGMMNTSLELLSQAMHTLVVEGRVEKEKLDSFNLPMYGPSVDELKQLVQESQLFDIIDILPFDLTFDPIDKLELEESATTITGRPYSIHEAIYR >OGLUM11G08380.1 pep chromosome:ALNU02000000:11:7752879:7761597:-1 gene:OGLUM11G08380 transcript:OGLUM11G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEHDLHMIKGDGDTSYAKNSSTQRKAILATKHMVEKAMKGVFMELKPQSMVVADLGCSSGTNTLLFISEMIAMISEENTSDNNIRECPMEVQFFLNDLPSNDFNHIFKFLGQFEQSIVQDCARRGLKPPPHYVASVPGSFYTMLFPCNSVHIFHSSFSLMWLSQIPEHLDSTMNKGNIYIGVTTPPLEAKLYLDQFEKDFSRFLQFRCIELVSGGQMVLTFLGRKSNDVVHGGGMMNISIELLSQAVQTLVAEGRVEKEKLDSFILPFYGPSVDELKQLVQQSELLDIIDIQAFELTFDPIDKSELKEGITTAPAIQDNVHEATGHNIAAGLRAVMEPLFASHFGESIIDDLFTLFACNVIRHLASAEEMSSVTAISLSLQAKVASMKIEREFHMAKGDGDSSYGKNSRIQRKAILTTKAMIENAIKEVCTDLQPQSMVVTDLGCSYGANTHLFISEVIMAISNKNAMNNSTMEVQIFLNDLPSNDFNHIFQSLEQCKQSIAQECASRGLQLPQYYVAGVPGTFYNRLLPYKSVHLFHSSFSLMWLSKVPEHLDSSMNDGEIHIGTTTPLFVRKFYLDQFEKDLSWFLQHRFRELVSGGQMVLTILGRKSDETGKKVFTMNTDPTDDSELEEGTAIAAPHDVEASGRRIATGIMAVLESLLTSHFGESIIDELFMEFVRNVTSHIAHSGKMRHNTVISLSLRAKVLTD >OGLUM11G08380.2 pep chromosome:ALNU02000000:11:7752879:7761597:-1 gene:OGLUM11G08380 transcript:OGLUM11G08380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEHDLHMIKGDGDTSYAKNSSTQIPEHLDSTMNKGNIYIGVTTPPLEAKLYLDQFEKDFSRFLQFRCIELVSGGQMVLTFLGRKSNDVVHGGGMMNISIELLSQAVQTLVAEGRVEKEKLDSFILPFYGPSVDELKQLVQQSELLDIIDIQAFELTFDPIDKSELKEGITTAPAIQDNVHEATGHNIAAGLRAVMEPLFASHFGESIIDDLFTLFACNVIRHLASAEEMSSVTAISLSLQAKVASMKIEREFHMAKGDGDSSYGKNSRIQRKAILTTKAMIENAIKEVCTDLQPQSMVVTDLGCSYGANTHLFISEVIMAISNKNAMNNSTMEVQIFLNDLPSNDFNHIFQSLEQCKQSIAQECASRGLQLPQYYVAGVPGTFYNRLLPYKSVHLFHSSFSLMWLSKVPEHLDSSMNDGEIHIGTTTPLFVRKFYLDQFEKDLSWFLQHRFRELVSGGQMVLTILGRKSDETGKKVFTMNTDPTDDSELEEGTAIAAPHDVEASGRRIATGIMAVLESLLTSHFGESIIDELFMEFVRNVTSHIAHSGKMRHNTVISLSLRAKVLTD >OGLUM11G08390.1 pep chromosome:ALNU02000000:11:7780024:7782740:1 gene:OGLUM11G08390 transcript:OGLUM11G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDSEFSYAENSRIQKRVALAAKPIVEKAVREVCIDLHPQSMVIADLGCSFGANTLLFISEVITTICEDYNNTIKESPMEVQFFLNDLPSNDFNHIFQSLEQFEQLITQDCACKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVTWLTQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSRFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGMTMIFDLLSQGLRTLVAEGRVAKEKLDSFNIPVYCPSADELKQLVQQCELLDISDIQLFEMDENRMHDSEQAEGTTAAHTAGQSMSATLRAATESLIASHFGEDILEEIFTVFACNFTSYIESEVEKSGITIITLYLQAKH >OGLUM11G08400.1 pep chromosome:ALNU02000000:11:7793112:7816654:1 gene:OGLUM11G08400 transcript:OGLUM11G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDDEFSYAENSRMQKRAILAAKPIVEKAVRDVCIDLHPQSMVIADLGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTQDCTCKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTLFELLSQGLHTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEDISATHTAGKSMSASLRAAMESLISSHFGEGILEELFTVFARKFTSYIESDVEKSGITSKVRSWYASLASTRRAILTTRPMVEKAVREMCRDLHPQSMTIVDLGCSFGANTLLFVSDVITTICENCNNAIEESTMEIQFFLNDLPSNDFNHIFQSLEQFEQLTKQHFTCRGLQPPPYYVAAMAGSFYTRLFPSNSVHFFHSSMSVMWLSQVPENLDGSMNKGNVYIGATTPPMVAKLYRNQFEKDFLQFLRMRCKEIVPRGRMVLTLVGRRSKDVFDAGRTTIGFELLSQGLRTLVAEHFKAMKIDRDFHMMKGDDEFSYAKNSRIQRRAILATRPMVEKAVREICIDLHPQSMVIVDLGCSFGANTLLFVSEVITTICKNRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLKKQHCACRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPGNLDGSMNEGNVHIGATTPPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTIIFELLSQGLRTLVAEGRVEKEKLDYFNIPIYCPSVDELKQLVWRNNLLDISDVQLFEMDGNPMDDLEPIEGAAAAQATGQSMSATLRAAIESLIASHFGDSILDELFTVFAHNFTSYIESEVEKSTITVITLYLQAKY >OGLUM11G08400.2 pep chromosome:ALNU02000000:11:7793112:7816654:1 gene:OGLUM11G08400 transcript:OGLUM11G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDDEFSYAENSRMQKRAILAAKPIVEKAVRDVCIDLHPQSMVIADLGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTQDCTCKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTLFELLSQGLHTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEDISATHTAGKSMSASLRAAMESLISSHFGEGILEELFTVFARKFTSYIESDVEKSGITSKVRSWYASLASTIDRDFHMMKGDDEFSYAKNSRIQRRAILATRPMVEKAVREICIDLHPQSMVIVDLGCSFGANTLLFVSEVITTICKNRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLKKQHCACRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQDPTFLTFGACWLFGQVPGNLDGSMNEGNVHIGATTPPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTIIFELLSQGLRTLVAEGRVEKEKLDYFNIPIYCPSVDELKQLVWRNNLLDISDVQLFEMDGNPMDDLEPIEGAAAAQATGQSMSATLRAAIESLIASHFGDSILDELFTVFAHNFTSYIESEVEKSTITVITLYLQAKY >OGLUM11G08400.3 pep chromosome:ALNU02000000:11:7793112:7816654:1 gene:OGLUM11G08400 transcript:OGLUM11G08400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERDFHMMKGDDEFSYAENSRMQKRAILAAKPIVEKAVRDVCIDLHPQSMVIADLGCSFGANTLLFVSEAITTICEDHNNTIKESPMEIQFFLNDLPGNDFNHIFQSLEQFEQSTTQDCTCKGLQPPPHFVAGLPGSFYTRLFPCNSVHLFHSSMSVMWLSQVPEHLDGSMNEGNIHIGATTPPSVAKLYQNQFEKDFSQFLQMRCMEIVPGGRMVLTVAGRKSKDVFNAGGTTTLFELLSQGLHTLVAEGRVAKEKLDSFNIPFYCPSADELKQLVQQCELLDISDIQLLEIDGNAMDDSEQAEDISATHTAGKSMSASLRAAMESLISSHFGEGILEELFTVFARKFTSYIESDVEKSGITSKVRSWYASLASTIDRDFHMMKGDDEFSYAKNSRIQRRAILATRPMVEKAVREICIDLHPQSMVIVDLGCSFGANTLLFVSEVITTICKNRNSALEESTMEVQFFLNDLPGNDFNQIFQSLEQFEQLKKQHCACRGLQPPPYYVAALAGSFYTRLFPSNTVHFFHSSMSVMWLSQVPGNLDGSMNEGNVHIGATTPPMVAKLYQNQFEKDFMQFLRMRCREIVHGGRMVLTVVGRKSKDVFDAGRTTIIFELLSQGLRTLVAEGRVEKEKLDYFNIPIYCPSVDELKQLVWRNNLLDISDVQLFEMDGNPMDDLEPIEGAAAAQATGQSMSATLRAAIESLIASHFGDSILDELFTVFAHNFTSYIESEVEKSTITVITLYLQAKY >OGLUM11G08410.1 pep chromosome:ALNU02000000:11:7819434:7826717:1 gene:OGLUM11G08410 transcript:OGLUM11G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLRRRAFMLCSSAFMVRISSFTPLKLLLHCLSTRAGSFPRTELSTPVATLTMPCF >OGLUM11G08410.2 pep chromosome:ALNU02000000:11:7819434:7826717:1 gene:OGLUM11G08410 transcript:OGLUM11G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLRRRAFMLCSSAFMVRISSFTPLKLLLHCLSTRAGSFPRTELSTPPCF >OGLUM11G08420.1 pep chromosome:ALNU02000000:11:7820825:7824568:-1 gene:OGLUM11G08420 transcript:OGLUM11G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVATGVLSSVLGKLPALVERQCNNSFKGVNEEILTMKAELQSMNALLLKLADMDDLDIQVKEWKNQIRELSYDIEDCIDDFIHRIDGGSSRVHKGFFQKSIHKLRTLGARNEIADQILKLKARVDDASERQKRYNFNGAISSSIDVVPLDPRLPALFAEADALVGIDGPAEELINWLTKGGEKLESRLSVVSVVGLGGLGKTTLARQVYNKIGGQFDCQAFVSISQKPDMRKIFQKILNDITRTEHASLAWDEEQLMSRLRALDEEQLINKLRETLTGRRYFIVIDDLWSTLAWRTIRCAFPEIAKSCCYPDLNNVYELKPLSNSDANKLFMKRIFGSEDQCPSQLKLVSNGILRKCGGLPLAIINIASLLANKPCTKELWERYRNSIGSQFEKDPSVNDMQRILSLSYNDLPHYLKTCLLYLSIYPEDFVIRRTQLVVRWIAEGFITANGRQNLEEIAEYYFNELINRSMIIPVNIQYDGRVDACRVHDVIFDLIISKSAEENFITVFGYQNHAFGPQDKIRRLVHYHGQEEIMVMSNMNVLNVRSLTTYGSTENVLPISDFQALRTISIECNDQLENHHLNGIQKLFRLKYLRLNRVSISKLPEQIGELQELETIDLTQTMIKELPKSIVKLKRLLFLLADEVSLPAGVGNMKALQKLYHMKVDNSISSNTLHELQRLTELRYLGVIWCVNDMYAGGKTHIDNFVSSISTQCKLKLQYLHVQCCTEHGSSLDFLLNSWFSAPNPLRYFGMSSNYYFPRIPEWMAMLSSVTFLNINISHVGEEAFQILGKLPSLLALRIWTKGVAPNEKLIIRNRGFLYLKQFVFYSCNIEMNPLVFEAGAMQNLERFRFNLKARETRNPCRHFFLSIQQMSSLKHLLVLIDCRDANAHEVEAKEAAIREATNHLRNHINIEINTNWTWKMVKDDDDVGIKCKEEDSMKHPREWEKDV >OGLUM11G08430.1 pep chromosome:ALNU02000000:11:7831981:7832211:-1 gene:OGLUM11G08430 transcript:OGLUM11G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRAMATALMLLVILAAATAVAPCSIPFPDCGPMCNTPCFKFCFTRCFLFLNLGVELCHKQCTTFPIWCGGFLN >OGLUM11G08440.1 pep chromosome:ALNU02000000:11:7851805:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08440.2 pep chromosome:ALNU02000000:11:7852213:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLPASVVMRRPVGDLVVISSLPSFFRSGSSTCSSFNWFFRKNCYERKYPDLSQLCNGYFIAAAHHSSSSTDNHTYNLQLKHHIGQRRHHRSGLDSV >OGLUM11G08440.3 pep chromosome:ALNU02000000:11:7851805:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08440.4 pep chromosome:ALNU02000000:11:7851805:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08440.5 pep chromosome:ALNU02000000:11:7852213:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPVGDLVVISSLPSFFRSGSSTCSSFNWFFRKNCYERKYPDLSQLCNGYFIAAAHHSSSSTDNHTYNLQLKHHIGQRRHHRSGLDSV >OGLUM11G08440.6 pep chromosome:ALNU02000000:11:7851805:7856271:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.6 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08440.7 pep chromosome:ALNU02000000:11:7851805:7853839:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.7 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08440.8 pep chromosome:ALNU02000000:11:7851805:7853839:1 gene:OGLUM11G08440 transcript:OGLUM11G08440.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPALPPRDDSAASSLAGSSRVPAATALHRQCGAELVPRHRRTPPRPTLAGAVVVPLSPDQRLGVPSMEPRSFPAIATLHHGLPPPPPRWISPSARDCPPLRRGGLPFRWTRCSTLQVGLRVSSPPAPGGAEDSVGRGVARAIPRSGRESPHPPAHLASSPAPARTPGGTAAGKRAMAAEG >OGLUM11G08450.1 pep chromosome:ALNU02000000:11:7863731:7865423:-1 gene:OGLUM11G08450 transcript:OGLUM11G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLLPPCAAGTEVEAGPVKLEEDWKSRQIDSEVSMLISKAHSGSKSTIDNLYKKAKRKVTENSRDNAQELTKVYTNNTSLVAILIATITFAAAFTLPGGYSSASGSEGLPIMSRKFAFQAFLVSDTLAMLSSLAVAFICILARWEDLEFLLYYRSFTKQLMWFAYMTTTTAFSTGLYTVLAPQLTCCNANIY >OGLUM11G08460.1 pep chromosome:ALNU02000000:11:7865506:7866171:-1 gene:OGLUM11G08460 transcript:OGLUM11G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLATHPLHAFLLLAIPHLPLTTAVSAPVRSPTAPSPPKTLVKGWKELIRAGMRSSRPLPFFLNGSVLVSPPLLGVLSLDIVTVRREPTEQTWWHLALLPELHRSSPSSAAQPQLRGSLTRPKQTPAPGSPPPPHNPILHASSMSPSHGSSSPLQGGLVGSGCDARFLISSSPIPPFSSYIHLITICENFAAAAARTPRLIHKRPAAVVTSALNQPSQLL >OGLUM11G08470.1 pep chromosome:ALNU02000000:11:7867259:7868644:-1 gene:OGLUM11G08470 transcript:OGLUM11G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVGEPSKHAPVHQGNALMDKQLLKAATRGSFEESDSTLKETVSRNRSMVLARTTEGNTCLHISSMYGHERFCDAVLELDESLLTLVNSDGETPLLTAIKSGHASLASSLLRRSKKPGLTDSILRPDNNECNALHHAIRGGHKDLALELIKTKPALSEGVNKFNESPMYLALTRDYKDVFEELFAIPGSAHSGSYSYNVLHAAVKCGNTDIATKIMESRPWLAKEKSDSGCTPMQMAVRWDKAEMLRILLEHDCSLGYVANSKNRPQLVSAAYQGHVSVARELLRHCPDAPYCLANGRTCLHEAIEHGHDEFVEFILGAPQLGKLINMRDREGKTALHYAVRKCNPKVVAALLRKGARIDCSMLDQKGDPAIWELSKVMQHAKTLNWVCMFSVYSTVALLFTSHMIFFLSVIELSKLAHAIYYVS >OGLUM11G08480.1 pep chromosome:ALNU02000000:11:7885067:7887913:-1 gene:OGLUM11G08480 transcript:OGLUM11G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGRKAILVAKPTVKEAISKVGIDLHPQSMVIADLGCSFGANTLLFVSDAITTISENPNNTIGERPKEVQFFLNDLPGNYFNHIFQALEQFEQSTTKNYTSRGFASSFYTRLFPCNSVHLFHSSMSLMWLSQLLTISSN >OGLUM11G08490.1 pep chromosome:ALNU02000000:11:7917794:7920340:1 gene:OGLUM11G08490 transcript:OGLUM11G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGLATTPDLPRGRARRARGRRPGGGGLVAGASPEHVSAADGMDGVELGPACRHPQGERLTRAPVDWEHGAGGRRPSCGACDSMAGTNPWPGWCGWRTGGTRRSAVRLGAGARRCTARLERRGVLEEERRGARLGASRCGPVLGGLPHCPCPARHCAEQVACMHSQPLEERREGKKT >OGLUM11G08490.2 pep chromosome:ALNU02000000:11:7917194:7919069:1 gene:OGLUM11G08490 transcript:OGLUM11G08490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWRPGIGRPSAAASRGRPDGVGRSRGGADGRRLMEGGGLATTPDLPRGRARRARGRRPGGGGLVAGVARFPGARVGGGWDGRRRAGARMSTSTRGEAYKVSFILSLALPFGVDLLLAFGVNPSHCRRFSWGRAPVDWEHGAGGRRPSCGACDSMAGTNPWPGWCGWRTGGTRRSAVRLGAGARRCTARLERRGVLEEERRGARLGASRCGPVLGGLPHCPCPARHCAEQVACMHSQPLEERREGKKT >OGLUM11G08500.1 pep chromosome:ALNU02000000:11:7917480:7918163:-1 gene:OGLUM11G08500 transcript:OGLUM11G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRTGAASATPPTTSSSSTETTADVAPSFHGPARHQCRRPHHHLRLTEPARHHRIVTPPAAHGSPPQPPPPKQSTSPPRSGPPPRRDGRRPPRGRLLPAADLSHAALGLAASRASSQGRLLPSGAARQLRLDSARRRRVALGTLLRWDDRCPAATHTWRRTARRRGSRHHAGLANAQPYCRPRSRAPRRLPLPVRDADLRRNPVPASPLIPRGRPTIAPPGLPLSL >OGLUM11G08510.1 pep chromosome:ALNU02000000:11:7938046:8000996:-1 gene:OGLUM11G08510 transcript:OGLUM11G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLEDDQWQRPRSSAAYAYQCGDETQVRQSGSRSVGRPAGSGSSKLAGNGWIHEITGLPSLTRQISLVIVTWRNEDGDITFCETPTLTHRPISFVSSLFSLLSSHFSLPPPSPLPPTVRRLRRHLSHGSVAASFARDRPLGARGRRLLRPPSATRRSGPAAFNIDAAATS >OGLUM11G08520.1 pep chromosome:ALNU02000000:11:8030020:8031644:1 gene:OGLUM11G08520 transcript:OGLUM11G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKAVQGVCADLQQSMVVADLRCSSSANTLLFVSEVIATASEKIPTDNKTRESTMEVQFFLNDLPGNDFNQIFRSLEQFKQSTMQHCTHRGLQPPPYYVAGMPGSFYTRLFPCNSVHLFHSSFSLMWLSQVPQHLDDNMNKGNIHIGISTPPLVAQLYLNQFEKDFSRFLQLRCKELVPGGRMVLTILGRKNSDTIHGGGAISSKCELLSQALHVLMAEGRVEPEKLDSFNMPMYGPSPDELKQLVQQSQLLDIMDIEVFDLSHLTNDASKLEVGATADATQDNVHEEIGRNIAATLKAVMGSLFASHFGESIIDDLFAVFAHNVTQQLETPEKKGSVTVISMSLQAKVLKS >OGLUM11G08530.1 pep chromosome:ALNU02000000:11:8049698:8064143:-1 gene:OGLUM11G08530 transcript:OGLUM11G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVSGQSHRVLMTLAMLLLLQNGRGFANLACPSQLRAATERSLVQDGMLMISTKANLKLQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHAVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCSNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAVPPVGKYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDAMYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTKVVQFNILRKLFLPQSYRIKSKELMGAPCGGADSADQVSSSPAAASEVGAPLLIGSLFFSLLSINMLLRLIIWLAYVGADMVAVYALGLISQNVQSANISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLCIQVFLALYAFWKSTGRHNLQLLAPAILMFHAGIIRYGERTWALKCGSRNGLRETSWQLPKLNVEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFMIAAFVLFLIASNKQQYKRVDIAITYVLFIGGFVLDVCSFFLVAMSPWTWAFFRAQNCNRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFHEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWRETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKEPEQ >OGLUM11G08530.2 pep chromosome:ALNU02000000:11:8049698:8064143:-1 gene:OGLUM11G08530 transcript:OGLUM11G08530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHAVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCSNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAVPPVGKYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDAMYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTKVVQFNILRKLFLPQSYRIKSKELMGAPCGGADSADQVSSSPAAASEVGAPLLIGSLFFSLLSINMLLRLIIWLAYVGADMVAVYALGLISQNVQSANISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLYGERTWALKCGSRNGLRETSWQLPKLNVEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFMIAAFVLFLIASNKQQYKRVDIAITYVLFIGGFVLDVCSFFLVAMSPWTWAFFRAQNCNRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFHEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWRETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKEPEQ >OGLUM11G08530.3 pep chromosome:ALNU02000000:11:8049698:8064143:-1 gene:OGLUM11G08530 transcript:OGLUM11G08530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHAVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCSNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAVPPVGKYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDAMYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTKVVQFNILRKLFLPQSYRIKSKELMGAPCGGADSADQVSSSPAAASEVGAPLLIGSLFFSLLSINMLLRLIIWLAYVGADMVAVYALGLISQNVQSANISSVGFSRSSNQLAFFWVPFLLIHLGGQDTMTAFSIEDNNLWLRHLLNLCIQVFLALYAFWKSTGRHNLQLLAPAILMFHAGIIRYGERTWALKCGSRNGLRETSWQLPKLNVEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFMIAAFVLFLIASNKQQYKRVDIAITYVLFIGGFVLDVCSFFLVAMSPWTWAFFRAQNCNRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFHEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWRETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKEPEQ >OGLUM11G08530.4 pep chromosome:ALNU02000000:11:8049698:8064143:-1 gene:OGLUM11G08530 transcript:OGLUM11G08530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGFGSAPTACALIFAVILALHGPCFALPEAPGEAKELYIVYLGERQHEDADLVTASHHTMLATVLGSEELASESIVYSYKHGFSGFSAMLTESQARNIRGLPGVANVWMNQMHNVVTTRSWDFMGLPYNQTNGLLAHAKMGDGIIIGVIDSGIWPESPSFDDTGYAPPAAKWKGICQSGMSFTAKSCNRKIIGARWYADDFNKSQLEAAGEFLSPRDFDGHGTHVASTAAGSVVRNVSFYGLASGVAQGGAPKAHIAVYKACWSIGCSEATIFKAIDDAIHDGVDILSLSILSPTGHAPAFHAVVKGIPVIYAAGNDGPYTQTVNSVAPWLLTVAASTMDRLFPTVVTLGDGQTLVGQSLFVAARKANQFHKLKLYYNDMCNLTIANSTDVKGNIILCSNLNAIFTTTQLVELATALVKSGGKGFIFTQRSSDRLATWQFQALTIPIVSVDLEVAFRIHQYFSTTQSPLVKVSPSQTTTGRGIPAPKMAAFSSRGPSFIYPTVLKPDIAAPGVNILAAVPPVGKYKKLGLPYFFNSGTSMACPHVSGIVALLKSLHPDWSPAALKSAIMTTAHITDNNGLPLVADATPNKIADPFDYGAGFVNPTKASDPGLIYDIDPSDYQMLFNCMIGSNTNRSCTAIESSLFDLNLPSIAIPNLKTSQTISRTVTNVGQPDAMYKAFLQPPAGVDMLVKPKMLVFDKNTRSQCFKVTFKARQKFQGDYTFGSLAWHDGSSHWVRIPIAIRVVIEDFYSTKVVQFNILRKLFLPQSYRIKSKELMGAPCGGADSADQVSSSPAAASEVGAPLLIGSLFFSLLRYGERTWALKCGSRNGLRETSWQLPKLNVEVDKGSYIDTICYVLQSILCVHDLFSGRTISQMKERQVFRFQGDRPLEQVPKLLEIELAMMSDDLYTKAMVLQTRSGIILRFISHVFMIAAFVLFLIASNKQQYKRVDIAITYVLFIGGFVLDVCSFFLVAMSPWTWAFFRAQNCNRLAHISWLILCSCIGWPEKKPLWSSSMGQYNFLSSSIGFDESRSSSKMFTILRKMLNAVNKKLWFRKIWHIKHVKVDKDIMDIMVTWVGRLAREEFTRITQQQSWANLRPIINCTLNIPANSFGDNIVLLHIYTDLHLRKQPDNEAIGAESETASSSTADIMDICRKISNYIVYLLVAQPSMLPLSGAADDTTAAFHEKISKKGSSKQDVLETCYQLVEDQLEFGYEECLKEQEQPGPWRETLMEIRDMWLRLLIYIAGKCQVELHAQQLGRGGELLTFVWLLMAHHDIGDVAHQVDLITSSETMSGQFCAFHFPKEPEQ >OGLUM11G08540.1 pep chromosome:ALNU02000000:11:8065599:8065802:1 gene:OGLUM11G08540 transcript:OGLUM11G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLTILHVAMHEAINSFSQKDYQGGGSWLCLGLRAGRKAISGSVPGDLGPPPMWRLSGRWHMGTG >OGLUM11G08550.1 pep chromosome:ALNU02000000:11:8067028:8075977:1 gene:OGLUM11G08550 transcript:OGLUM11G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKLTNKGNKKVVMADGADHISALPDELLQYLLSFLPSREAVQTCVLSQRWRHQWKYVPALRINDVDSFYSVQQLNKFVHHLLMHRKRTPLYVCELDSFRNGEVAEWYQYAVSCEVEMLQVDTAHSADYCRLPEMAITSNHLTTLEFSGVQLGHLSLDFSSCPKLEVLVMRGCKILVQKILSQSVRSLSITQCNFELNTRTHISAPSLISLELADILGWTPALERLPSLSTAFVRLDDRCEDYCLHSYYGDCGDQVSCGKHCTRFYDIHDDDCVLLGGLSNVTNLELITSPKVFIVRKDLLMRPTFSKLKTLLLNVSDADAGFGPLVYILRSSPVLEKLTLQLYEEPKAKIETDGSCNLVEQLMASKKLKVVEIKYSKIVVLCRVLQILNTCGVPRKKISIERTELWSFGSHFSFEQT >OGLUM11G08560.1 pep chromosome:ALNU02000000:11:8071023:8071756:-1 gene:OGLUM11G08560 transcript:OGLUM11G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVWRVATSQESNMRNDKLRKRTCELILNVQQLGSSTPGGNTNKTMGGEVPVVHRGSVDEGLLMVSVPTIDALDP >OGLUM11G08560.2 pep chromosome:ALNU02000000:11:8070552:8071020:-1 gene:OGLUM11G08560 transcript:OGLUM11G08560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHGHHEANAGRNCWDAKVIAMGPTGDTRYMVQERSGKCGARGMAEHGRCMDTAAVVRSFSHPSLVRHNGM >OGLUM11G08570.1 pep chromosome:ALNU02000000:11:8108277:8109301:-1 gene:OGLUM11G08570 transcript:OGLUM11G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSPQAHPCPQTSPNCRQGHWPNPPSPALFSATTAGHQLRRSSITLKIPPDSQELKWTKKAMLKLPKATFHEASHSSNL >OGLUM11G08580.1 pep chromosome:ALNU02000000:11:8114667:8123485:1 gene:OGLUM11G08580 transcript:OGLUM11G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVGEAGGLMVLLTPGGGGRRGRRLDGGLDANGGVGLDSVEKRPVARIWPAVEEAVVDAMEEAASMETGEEASAAEAGQCGGREDGGSEGEVEKRRRQGARMHGKWQNNLSPGERKLFVMGPVFGSDPGV >OGLUM11G08590.1 pep chromosome:ALNU02000000:11:8133479:8134434:1 gene:OGLUM11G08590 transcript:OGLUM11G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPMAVHLNPTLFEDPLEFNPWRWTVSDKLNYIGAKLSIIKFVAILMYTDKTKQSELLRNYMPFGGGIRLCLGAEFSKLFIALFIHVLVTEYRWKEIKGGDVLRLSEVIFPQGYHIQLIPHT >OGLUM11G08600.1 pep chromosome:ALNU02000000:11:8139700:8147178:1 gene:OGLUM11G08600 transcript:OGLUM11G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWVVLSGSDDGDSVELHDGSDGGGGSDTESSFAVVHENTEIYVTAESNFNHVDSKITAAKDTTFFSGEEDLDDETDDDIDQCFDDEDGICEENPDDEIFDEEEEIDCEEELDDDDESLDDDDDIECYDIEDKLCEENPDDEIFDDEEEIDCEDLDDDDDESLDGDDIECFDAEDIICLENPDDEIMRARKRRRVLSSLGLIGGRGDAKPTLPDWVVLSNSDGDSVELRDGDSVELHDGSESSFAVVHENTEISDAAQSNYGHVESRITAAKDTTFFSGEDLDDETDNDDIECFDEEDGICEENPDDEIFNDEEEIDREEDLDDDDDDESLDDDDIECYDAEDIICEENPDDEII >OGLUM11G08610.1 pep chromosome:ALNU02000000:11:8160804:8163954:1 gene:OGLUM11G08610 transcript:OGLUM11G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSSVSYLFKALCTCAVAFVTGWLAHWVYRWVNPQCDGRLPPGSMGLPLVGETFRFFRASASIDMPSYYKERLKRYGPIFKTSLVGQPLVISLDPEVNRFVFQQEGKLFRSWYPETTNTIFGKKSLTTYSGAVHRFVRSFASKLFGPANLKESLLPELESAVRESFATWAMNPLRKWRGMIFDLVAKKLIGFNPTKSRELRKNFQEFFQGMVSFPIYFPGTSFYRCMQGRRNVQKTLTDLLKERLSAPGEKYNDLIDQIIEELQNENPVIDVNFAVDVLSALLFASFATLSSTLAVGFKFLTDNPKVVKELKNINILENLL >OGLUM11G08620.1 pep chromosome:ALNU02000000:11:8187115:8200867:1 gene:OGLUM11G08620 transcript:OGLUM11G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSAYHPPTDAKTDEQLSFDVYCVAPLQHTSYRKEKKEIREERKKKRTEIGREGDWERSGPDPPNAATAHRHARSPLSYPKK >OGLUM11G08630.1 pep chromosome:ALNU02000000:11:8218119:8223440:1 gene:OGLUM11G08630 transcript:OGLUM11G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNGMYHPPRTEIAHRDHRQRLLLRPSPLPATPPPQMPRIRRHCRGIRFRSPRLAYSSSSCPLPTPPLLPAADSSFRGALNPPLPIVGPSITPPMPRLNPSTTPRLHDPHASKRRLSLTQRNSTNPASPTVPMGRFFTKCARGDIWVLAATSDAELLGLAEIISAASLIPNLQINSESIITPGLCFTILGDMSSCDAMCGWVSVT >OGLUM11G08630.2 pep chromosome:ALNU02000000:11:8218202:8223440:1 gene:OGLUM11G08630 transcript:OGLUM11G08630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIYPPSTTPPPPTRHHRRLLRPPRPCRRLLLRPPASFAPDHRQRLLLRPSPLPATPPPQMPRIRRHCRGIRFRSPRLAYSSSSCPLPTPPLLPAADSSFRGALNPPLPIVGPSITPPMPRLNPSTTPRLHDPHASKRRLSLTQRNSTNPASPTVPMGRFFTKCARGDIWVLAATSDAELLGLAEIISAASLIPNLQINSESIITPGLCFTILGDMSSCDAMCGWVSVT >OGLUM11G08630.3 pep chromosome:ALNU02000000:11:8218202:8223440:1 gene:OGLUM11G08630 transcript:OGLUM11G08630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIYPPSTTPPPPTRHHRRLLRPPRPCRRLLLRPPASFAPDHRQRLLLRPSPLPATPPPQMPRIRRHCRGIRFRSPRLAYSSSSCPLPTPPLLPAADSSFRGALNPPLPIVGPSITPPMPRLNPSTTPRLHDPHASKRRLSLTQRNSTNPASPTVPMGRFFTKCARGDIWVLAATSDAELLGLAEIISAASLIASHGILQVLGGVLSVT >OGLUM11G08640.1 pep chromosome:ALNU02000000:11:8228634:8230772:-1 gene:OGLUM11G08640 transcript:OGLUM11G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BHI5] MEKLSTAASLFGVVVAATALAMAVVGGEAAVVEQTFMVHEMNVTHLCNTTKIYVVNGRFPGPTVDVTEGDTVVVHVINRLPHGLTIHWHGVRQMRSCWADGAGYVTECPIHPGGEKTYRFNVTGQVGTLWWHAHVTCLRATINGAFIIRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMLDGNFDDNPLSATINGKLGDLSNCSGTVEESFVLDVKRGESYLLRVINTALFSEYYFKVAGHTFTVVGADGNYLTPYKTDMVTVAPGEAIDVLMFADAPPAYYHMVALANQPPPPDLQIPQLTSRGLVRYAGAAMDSNNLPMPMPVMPDQHNTMPSYYFRRNLTGLALPEQQQRHRVPAHVDERLLITLGLGSICRGGNTTTCKRGRSPETVVVATMNNVSFHHTNATALLEHYYDGTPEGVYTEDFPVRPPRPFNYTDRELIPAGPLEAVLEPTAKAMRLRRFRYNASVEIVFQSTTLLQSDSNPMHLHGYDVFVLAQGLGNFDPKRDVEKFNYHNPQLRNTVQVPRGGWAAVRFLTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPESSLPPPPPEFKRCGTNGLSQP >OGLUM11G08650.1 pep chromosome:ALNU02000000:11:8247840:8248985:1 gene:OGLUM11G08650 transcript:OGLUM11G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G07550) TAIR;Acc:AT3G07550] MDDNVENYINFLSDDCLICIFNKLESESDRNAFGLTCKNWFKVRNIARKSIIFHCSFNPKVYKEHANCLSKLLARSPYLNLVSLAGLTELPDAALNQLRISGASLQSLSFYCCSGITDDGLEVVSIGCPNLVSLELYRCFNITDHGLENLCKGCHALKSLNLGYCVAISDQGIAAIFRNCPNISTIIIAYCRGLSGVGFRGCPGTLSHLEAESCMLSPDGLLDVVSGGGLEYLNLYNLKSPTGLDGLDRVGYAKSLRFLNLRMCRYLTDDSVTAIASGCPLIEEWSLAVCHGVRLPGWSAIGLLCNKLRILHVNRCRNICDQGLQALGDGCVCLQVLHIHGCGKITNNGLASFSIARPNVKQRVDEIMCIGPSIEDLFRLQ >OGLUM11G08660.1 pep chromosome:ALNU02000000:11:8249553:8256681:-1 gene:OGLUM11G08660 transcript:OGLUM11G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYATRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISATMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQIKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSERCGFGSTVIDLFI >OGLUM11G08660.2 pep chromosome:ALNU02000000:11:8249837:8256681:-1 gene:OGLUM11G08660 transcript:OGLUM11G08660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYATRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISATMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQIKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >OGLUM11G08660.3 pep chromosome:ALNU02000000:11:8249837:8256681:-1 gene:OGLUM11G08660 transcript:OGLUM11G08660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYATRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQGNLDQFAYLDQLEVLSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISATMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQIKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >OGLUM11G08660.4 pep chromosome:ALNU02000000:11:8249837:8256681:-1 gene:OGLUM11G08660 transcript:OGLUM11G08660.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGGTPDGDPVPDMDMLMLSSALDGLDSYTDLVAGSSVADSIFSALTCFPPSQERLLHVSTAVGSSSRQDDADVSITEEGTTRTRSDRAAGLASGEPVAVGIGSVPKPFDGVTLTERMLRALAMLKEASGGEAILVQVWMPVRNGEQHVLTTSDQPFLLDQKLTGYREVSRQFTFSAEEGPGLFPGLPGRVFMSGMPEWTSNVMYYHGSEYLRVDYATRHEVRGSLAMPVFNSSGGSCCAVLEVVMTREKDNFCLEMVNVSNALQSYSRDQKLALMEIFDVLQAVCQAHLLPLALAWIPVCSKRDVLVSIEYGAKFGKRNKEVLCIEESACYVNDTRVRDFVQVCAEHPLEKGQGVAGNAYLSNNPFFSSDVKDYDMHAYPLVNHARKFGLHAAVAIRLRSTYTKNDDYVLEFFLPVLCKGGEEQQLLLDSISATMRKVCKSLRTVSDAELKEDVTRKPSNENRSGTRCPSPVNLIYSGREIDVSNETKTNTPLEYQIEGIDEQLSDTKSTNKLIKCSNASDGEKRRSSTEKSVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKIKKVNRSLKKIQNVISSVHGVEGVLKYDPSTGCLISSVSPSIEPVLMNVEHKGSDPLPIESELPHLNFEPDCDAYRREHAGQDVLHKLQNKQNGEINFDMDDGELFRNSHSTRTLSGAFCEDMSNGLYVAREMTCVAKTGTRTERLEHKPSSRDSFSAPQEYRMESETDKSNKNSKQSLPSSSSMTDCSTSSGETFKSIKSQSANESNTTVVVKASYKNDTIRFKLLPSMKYEQLLEEIAKRLKLSVGVFQIKYKDDEGDWVILASDADLQECLEILDTTRLHILKLQVQDVVCPIGSSSGSCSMLRP >OGLUM11G08670.1 pep chromosome:ALNU02000000:11:8256879:8257421:1 gene:OGLUM11G08670 transcript:OGLUM11G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGSLLCSALLAGRPLATGGEEEEEKREWNREELTIPRGERVLPPGTWYHVPEQCDTSSLASLLCSQSSTAQAGT >OGLUM11G08680.1 pep chromosome:ALNU02000000:11:8257694:8258110:1 gene:OGLUM11G08680 transcript:OGLUM11G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEGYLQVSKEWMRAAAQHHRRGEADGRRGAAAMQHYSVGAEELAVLVSGYGGTRARTPFHRCAAPRVPEPAGAAPHGAVFPSAGEGERAERPPRLARHPAQLAAIVVSVLMRVIVREMGRDGRGRDKEKDKMLVDI >OGLUM11G08690.1 pep chromosome:ALNU02000000:11:8267607:8281454:1 gene:OGLUM11G08690 transcript:OGLUM11G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGDSRNHMQLAFNTSTSPGPNHSTPPQEKLTPQWSRILSEMTNRSDSTLSRRIRRVDPLDGLRKYEGGYNITNKHYWSSTIFTGRPGYVIAALWLIGGIIFVGALLISKIFFAKRNTGYGDMNYFLAGFHICSMIIFILLAAFVIVASAIAIRGAVRFHSRAEAVKEIIGRTALEATATIYNITEAIEKMQNTSRLYNNNSQAFDHLNSTVKALNSEAVEIQSKAEKNMRLVSKGINILEAVTILTVTLNLFAVLALLVMRPLRLQKLCNLCIAICWILTALIWMYFGLYYFLDEFAGDTCAALEEYQLDPKNSTLGTIIPCSEKFSGSVILHDVGAGIHDIIDQVNSNIYTIKSEYGVKQLDYICNPFAGPPEFRYRPENCPSGAATIGDIPQILRRLTCTDLGGGAHCAPAELSSAIDYGKVETYTSSIQNVLDIFPGTERLLTCELVESGFADIVGRQCAPLSRGARAAWHLTSSSNSEISEAEFAEMHAKKVRVLAMVDRA >OGLUM11G08700.1 pep chromosome:ALNU02000000:11:8286871:8291634:1 gene:OGLUM11G08700 transcript:OGLUM11G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRRPRLLPLLLLLALSLSLAAASAFQSDELLLHDDDEFEGAGARPTPAAAAVSSSRRRPGDSSAAAAESSAVQFALEHDLGAGFVPAGSFSARLKSSAHGSQTLTKLRFTRNELTGDEKDAFKKLLDEDGFYSIRLLSNVLDPARKDYVVSSIKARCIPRESLDEHIVIHMDGVNILAVNYGSVGGCTYPRPVKMPSKWVFNSYTVLKTSEQAPRTPSFVDQLIEAENGPGEVVKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANMPEEQAGGQGQPGAQRAPNAAPRRR >OGLUM11G08710.1 pep chromosome:ALNU02000000:11:8293140:8297161:-1 gene:OGLUM11G08710 transcript:OGLUM11G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAKAQRRPEGASVLETLPALPLAIIIAKAGPRCAAALACASSTLRAAASGEALWRHFCSDDFALDAPLAPGDLPLPSFKDAYKAWFQSFGMYPLPLVKRVKIFWSSFRAWLCEYFPEGLRTLGEGVSEAEIAVAECNLGLVLPMPTKLLYRFCNGQLHIGRGEEVSYGVMGGYDYVHQRYTVRLLPLAHHAVQKNSNYIVVATSCFGEKIFLLDCASGRLYVGTKYWNEEREIMACVPKATIRLAVDDDHGMPQDGFLLWLEEHLSRLQDGLIKVQSCKFPMLARHISLYPVQLPYCSSASMHGIKVRASAVFAPENSAFADYRCRYSYYFSIRLSLPEAFVVDGKWYSSFQLQSCHYTIQIGDEVLPYTCNYGGHGKCPLLRCGEELFVYGCSISAALEPGSVMGNLTLVPWRCGQPRGSPFIADIAPFPLHPPDYIF >OGLUM11G08720.1 pep chromosome:ALNU02000000:11:8323066:8324270:1 gene:OGLUM11G08720 transcript:OGLUM11G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPACSREAASTSASLRRRGFPRLAMVALGWGGDVAARCAQPAESHMAAAASRARSRRRQRRCRGNCLERGQCGVACGAEAGARKPVQPAETAELDVDGHGRGCPSRGGCGIARAKMPVRCNG >OGLUM11G08730.1 pep chromosome:ALNU02000000:11:8327740:8328792:-1 gene:OGLUM11G08730 transcript:OGLUM11G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPITLLVLSLLLLAVAAATAATFPTTMNAYTPATGVADAGAAAGAAAGANAASNIAAGAAAGMAADAADSAAANIGAYIPEAGYNPGKLCDNAVVMDSCVEVLPRIPGALTAPDYRALAVILDAYAWKLVQGSRQIADSMRAAEKLGHTMDKCISTCILGLGAAEAYLAALQPLPVEDRLHSIHNGLSALFRDGSDVPAAYSTGCPAGSIRNVDEESVVATFRNVYAVLDLLEQDLSQVYSSATPSTTTPAKPAAEAPAAEAAAALEKECDDAAKPETSTPTLEAKAYDNAAGAPAAATAAKESSTPATATPVAQAYDNAGAGAAKEEPAAAAAKESSKDNYGGASQ >OGLUM11G08740.1 pep chromosome:ALNU02000000:11:8338536:8340870:1 gene:OGLUM11G08740 transcript:OGLUM11G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQILPTLSKLADVEVEFLDQYMGSTSRPVDDEVLDAATGVHYSALRLEELNMNGSVSGEDQPTTSGVENGHQEPFVIGVAGGASSGKSTVCKMIIDQLRDQRVVVVTQNLEIGMSEAIPWV >OGLUM11G08750.1 pep chromosome:ALNU02000000:11:8350604:8358983:1 gene:OGLUM11G08750 transcript:OGLUM11G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESFYYGLSDEELVHVHDYNFDHPDAFDTELLLSCMENLKHGKAVDIPNYNFKTYKSVASARKVNPSDVIILEGILVFHDSRVRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKVHPNLYVIQTTYQIRGMHTIIRDAATTTHDFIFYADRLIRLVVEHGLGHLPFKEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGKQLIYHNVPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQETNIIFLNLISAPQGVHVVSKRFPRVKIVTSEIDFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPFFCDEKNRVRLL >OGLUM11G08760.1 pep chromosome:ALNU02000000:11:8382535:8385793:-1 gene:OGLUM11G08760 transcript:OGLUM11G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPQPQPEPEPAAGGAGLEALEGLALDTVIAKGGARQAAALACASTRLRDAAGDDALWRRFCADDLALHAPLAPDGRALPSFKDAYKVWLESFGMYPLPLVRRVKIFWSSLKSWLSENFPEALKTLNKGVSEAQIQSAEDDLGFKLPLPTKLLYRFCNGQLPLSEHHRENMRMAHLGIIGGYVFYDHLINVHLSPLEQIVEETKEFYREFYDQDDQLYVGTINLQDGEMLPCVPKSLIRPTNTDMPQDGLLLWLEEHLRRLQNGMIKTRMLKTSRYISLFPEASPSCTSAMTNGVKVRASAVFAPEHPESRRPGAKCLYAYSIRLSVPEACMLGGVYYSSCQLYSRHWIIRWRDRVVSDVNGEGVIGKYPLLTPGQEEFVYESCTPLPDSPGSVEGSFTFVPGKLSRPEGKPFEVTVAPFPLEIPEYIF >OGLUM11G08770.1 pep chromosome:ALNU02000000:11:8387747:8391759:-1 gene:OGLUM11G08770 transcript:OGLUM11G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPKKPALRRPETKTTTVAAMDVKRMSSSLNMSTSSLRSSGGGGGDVDRRSTTATVRFAPTPTTLSPSSSSASSAARRAGSSRAVSSSSSQQARPATARPASVAGTRGMRSLHGGSPATLGPKGLRRSWGWGTGGSGGGGGDGEEKEGGDHRRRGDAVAGEVKAPVVRSSSVPRRISPDQEKQHPKRESKTKITSNSKTKASSGSPPKAGEDVSMDRRIPNTEKAPSSVSLNNMVRQSPPRKTTPATIGASWESLPSDLQSIGLEVMSYRDAAEVAAVEALQEASSAEILLRAFADLAAVAAEQSPQQTVDEFLALEATLARSAAAGHAEDWLRAAVSSDLGRFSLYSAVSPTSQPEEEATAEGAGRGRRRTTWLGAAAREVGEETRGWFVGHVERLIDGDAAGTLGQLKRVNDWLDEAPAPPSEAAERLRKKIFGYLLDHVESAVLALNGAGAGAAHGRRK >OGLUM11G08780.1 pep chromosome:ALNU02000000:11:8394634:8399889:1 gene:OGLUM11G08780 transcript:OGLUM11G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPVLAPLAGAAAVAFLLFKFATVDGDFTLVSRGAPRREKVDGKVVWITGASRGIGEVLAMQFASLGAKLILSARNKEELERVKHNIINKHPNSRVEVLPMDLSSGEESLKEHVHEAESLFSNAGVDYMIHNAAFERPKRRALEETEQGLKATFDVNVFGTITLTRLLAPSMMDRGMGHFVVMSSAAGKVPSPGQALYSASKHALNGYFSSLRSELCTKGIKVTVVCPGPIEAPQSSGATSSSQKPSSEKRVPVERCAELTIVAATHGLKEAWISYQPVLGVMYLVQYMPTVGLWLMDKVGAKRLDVAAKKGNAYGWNLLFGGKKSA >OGLUM11G08790.1 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >OGLUM11G08790.10 pep chromosome:ALNU02000000:11:8401306:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.10 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVMVPDGLKMARRYTCILRDSAGLLTF >OGLUM11G08790.2 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >OGLUM11G08790.3 pep chromosome:ALNU02000000:11:8401331:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRMRSLWLLAIRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVNGCSLTDAARAMAKHVHRSSDGWWGSFHGSDVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKVHVYFA >OGLUM11G08790.4 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVMVPDGLKMARSPEGFSRGWKH >OGLUM11G08790.5 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTADVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >OGLUM11G08790.6 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.6 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVMVPDGLKMARSPEGFSRGWKH >OGLUM11G08790.7 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTADVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >OGLUM11G08790.8 pep chromosome:ALNU02000000:11:8400356:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRLEPKKLKGGWQLAIRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTADVNKNQLASEIIDRLLRECCWMNIHLTQPYGPVYEIRVHEGYGARWSQDGSKFIGFLEPYSPEGFSRGWKH >OGLUM11G08790.9 pep chromosome:ALNU02000000:11:8401306:8406318:-1 gene:OGLUM11G08790 transcript:OGLUM11G08790.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASSETPPPPSPGTGGAVPLAPALLGLLRFVLSSHLTAPDPALPLSPSYCSRLLLDDDDDDDLLEKLAAGLARCVEEGRLQVAAAAAEAGIPAGEAWSEEREREWEAVVLEKGNELKRMYDAVEFELHVQEPYFTQLRAGTKKVEGRLAAGNYNRKYASFSEMLQAEMISEVLPGISSIEQGVGVYRKFYTEEKESLYGVLAISVSKPTAQPYIIMTELLAGLGSDGLGRLLGMVKTAGTVQDGLPPPRSVLISSCMKLHQPNVMVPDGLKMARRYTCILRDSAGLLTF >OGLUM11G08800.1 pep chromosome:ALNU02000000:11:8410455:8416186:1 gene:OGLUM11G08800 transcript:OGLUM11G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diphthamide synthesis DPH2 family protein [Source:Projected from Arabidopsis thaliana (AT3G59630) TAIR;Acc:AT3G59630] MGDDIDARYEVPRTAEFIRARAYTRVALQFPDEMLRDAAAVAQALRRELGGGGVKLFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKTPLDTDACGRSLLECSRESDKRILVFYGLEYAHALDDLKAVVAELYKSHSCSVEVQYADVLCSVMSPSSAAEVEHGQSDGSTHSDDLSIQSDVATFVNNCCNVEGSTRKYSLGGLTWSTSIDDNMEDYLLYWIGQDNSAFANIVLTFNKCDIVRYDTVANQPSRDVSHLMKILRRRYYLVEKAKDANIVGILVGTLGVAGYLHIIEQMKDLIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFESVLAFSRGREWTGEYLLDFKDLITTDKPEISSTTEEARFSFIKGGYVEDNCLEDNEEQPETSLALAEVTEKALSIKNQNNDAVLYQGGAKSAIDYLKARSYRGLTGEYEGPAPDSVLTGRTGRAAGYNNEKKEITQ >OGLUM11G08810.1 pep chromosome:ALNU02000000:11:8416404:8417261:-1 gene:OGLUM11G08810 transcript:OGLUM11G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVPKLEKIMWTFTGMNSSFSGIDNIMQPSEILKELEFNGESLPNQVKEAIDKHKDKIHYTYYKWEIHEKTQGNAEANYTNMEALLKISRLHHHDTREGKKYSAVLLFAFLVLISADGNQICCP >OGLUM11G08820.1 pep chromosome:ALNU02000000:11:8419590:8426982:1 gene:OGLUM11G08820 transcript:OGLUM11G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMHGSIPRIDFAGIIDLAALPPPAAPPPPGADHLRPRWAAVRAAVMDALRAHGCFEAVVDGLISPELRAAVLGPGGAAESVLSLPASAKARGNDPGEGKPYHGYVGGIPGLPYESVAISDPLSPTAVRAFAARVWPAAAAAFPEEAVVAYAGRLAAVEAAVRRMVLESVGATASSAGAVEAQAAATAFKLRLSEYAAPGGGEDARLGLPAHRDTSFLAVVTQNGVDGVEVECGRGDGGWARPTLSPSSLSSPATRSRYLCMCTHALTNGQVYNPLHRVVVSGDEARYSAILFSLPVDGAAVRPLDEAVDGDHPAMYRPFDYGEYAVFCYLPENMTPEVMKHAHKLEAFAAVRTTTTASAP >OGLUM11G08830.1 pep chromosome:ALNU02000000:11:8460216:8464523:1 gene:OGLUM11G08830 transcript:OGLUM11G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWGNYNTTSLEFMVGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHDDGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGCCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVTLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPHGRIVIDNRGFQNLKVLYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPTAEIFVE >OGLUM11G08830.2 pep chromosome:ALNU02000000:11:8459399:8464523:1 gene:OGLUM11G08830 transcript:OGLUM11G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALVSASTGVMESLLGKLSSMLEKEYAKKKAVEKDVLFLRNELSSMNTVMQKYAMLSEPDLQVKAWMKEVRELAYDIEDTIDAFMARSEKSNEPTGIRGFIINNILKLRELLSSCTISQEIEKLKNQVLEVNDRRKRYKLDVSVSMGTGCESIDPRLPAFYSEVGGLVGIDGPRDKIIKLLRENAADEDCGFVNRLKMVSIAGFGGLGKTTLAKQVYQKIKWQFDCAAFVFVSQIPDMKRVLLDLLCGLGASGNTWDDEKQLIDKIREFLHDKRYIIVIDDIWSISSWEILKCVLPENNSGSRIITTTRILDISMICCSTFNGSIYRIKPLSDDDSRRLFCRRIFHGEHSCPSHLEELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQEDCMINSKELIRKWIAEGFIAEDSGKRLDQVAESYLNDLINRSMILPFDITHDDGVQYYQVHDVVLNIIISMSKEENFVTIIDGHKCSSLQEKIRRLSLQFNDSEDVVVPTNITNRSCVRSLSIFGITKQVPYFMDLQSLRVLDLGCCTLLQNQHIECLGSMLQLRYLVLHSQLITELPDEIGNLQHLEMLDVTLCSIQALPDTIVRLQKLVCLYVSTKVKLPEMIGTMQCLEELFHISSNSIRLAGDLKCLKKLRDLAIAVEDPVGTKSSTLRYREVVRSSLTELGRHNLQSLSLNYKGDENFILDSSMGSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLTHLQLCISRMEQSDINILKGIDSLIFLRLVFTGHAPHGRIVIDNRGFQNLKVLYLLCFIPGMWPVFEPGAMQELQKYHLTFKLQKVHCKNSVLDFGLQHLSSLQHISAIIIPSGATSEDTLVAEDAIRSATSIHPNQPTAEIFVE >OGLUM11G08840.1 pep chromosome:ALNU02000000:11:8465299:8469542:-1 gene:OGLUM11G08840 transcript:OGLUM11G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATAPQPVSSPSAAAGAASNNPHKRKKPAARGKGKGKGRLAKTPRRSDDPGDLPRRRSKPSDRFLKLLRKRARDYNSDDSDEEERLSDEDEDEEEGASGSGDDEAAGAVTRFEQGCRAFRVAFTKIMAKKLPDDPLGPILSAHKKLVAAKLAEDAEEHKPKGEGRREKRMAAEKGHVIPTNHLDSKEKELIKIATQGVVRLFNSVSKAQNCRKGLNPSRSKDAKVLAKETKQAFLTELSKTSNQSQKGKASSNFSKNNSKDEDEPGWAPLRKTYMLGSKLKDWDKMQTSDVVNEQTEIPLDDSSDEE >OGLUM11G08850.1 pep chromosome:ALNU02000000:11:8483716:8488558:-1 gene:OGLUM11G08850 transcript:OGLUM11G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALVSASTGVMEPLLGKLSSMLEKEYAKKKTVEKDVLFLRNELSSMNTVMRKYAMSSEPDLQVKAWMKEVRELAYDIEDIIDAFMAQRVGWRREQVGLATRWWPRSGSPGESSTAAGAAVWMRRLRCIGGGGNSSATAGMAVWMRRLCCIGGGGDSSGSEGNGLDATAALHRREAGDGWMPMKLMKFKDKRATLTTGWNALVGANLFEQADVCVFLFTEVPILPELIAPLAGITATNLNLLLEIKLRPTRFKYLLYESCTRYKQQWLKQLMHTAYNSPHQMVEKMPESSGWLRRRMGWGGGGDVDVPATADAAGGGGDVYAAALKPRRPWQGRCDGCSAASMRRRPRRPRYDGGRRERCSKKKHDEPTTGIKGFIINNILKLKEMFSGCTISQEIEELKNQVLEVNDRRKRYKLDVSVSMAGCESLDPRLPAFYSEVGGLVGIDGPRDKIIKLLRKEAVDEDCGFVNQLKMVSITGFGGMGKTILAKQVYQKIKWQFDCAALVFVSQMPDMKRILLDLLSGLGASGNTWDDERQLIDKIREFLHDKRYLIVIDDIWSLSAWEILKCVLPENNSGSRIITTTRILDIAALCCSTFKGNIYRLEPLGDSDSRRLFCKRIFHGEHSCPSHLVELSKAILRKCGGLPLAILHIASLLATKSNTKEEWELVLNSIGSALENSHTLQEDWKISPKKLIRKWIAEGFIAEDRCNRLDQVAESYFNELINRSMILPVSIAYDGSIQICQVHDLVLNIIISMSKEDNFVTVIDEQKCSSLPEKIHRLSLQFNDSEDAVIPANITNKNSVRSISVFGSTKQVPYFMNLQSLRVLDLGYCTLLQNHHIECLGSMLQLRYLVLHSQFITELPDEIGNLQHLEMSDVTLCSMQALPDTIVRLQKLVCLYVSTKAKLPERIGTMQSLEELFHISSNSIRFVEDLKCLTKLRDLAIAVEDPVGTESSTLRYREAVLSTVSELGRHDLQSLSLNYKGDENFILDSSMVSCFSTQRLRKLIIGKTLSRVPEWMSIFDNLIHLQLYISGMEKSDINILKGISTLLFLRLVFTGHAPHGRIVIDNRGFQALKELYLLFFVPGIWPVFEPGAMQELQKYHLTFKLLKVHCSSGVLDFGLQHLSSLQHMSAIIVPSGATSEDTFAAEDAIRSATSIHPNQPTAEIFIQ >OGLUM11G08860.1 pep chromosome:ALNU02000000:11:8493271:8493522:-1 gene:OGLUM11G08860 transcript:OGLUM11G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWEGWKWLDEEEEEERRLDEAEERQRRRHGRDGGGSTKRRSGGGLTRRRAGGGSTRRRSDSGVSTMRRRGEGGRVDLAETRR >OGLUM11G08870.1 pep chromosome:ALNU02000000:11:8495318:8503038:1 gene:OGLUM11G08870 transcript:OGLUM11G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVTAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKERGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKLHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSAMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTQNQ >OGLUM11G08870.2 pep chromosome:ALNU02000000:11:8495318:8503108:1 gene:OGLUM11G08870 transcript:OGLUM11G08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVTAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKERGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKLHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSAMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTQNQ >OGLUM11G08870.3 pep chromosome:ALNU02000000:11:8495376:8503108:1 gene:OGLUM11G08870 transcript:OGLUM11G08870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGATVSASAGVMNSLLGKLSTLLDKEYTKHKNVEKDVMFLQRELPSMEAVLQKHAMQDELDVQLKAWVSELRELAYDIEDSIDAFMVRIEHYSDESAGIKGFMSKKIHKLKKLRCHHKFSAVFLELKERVVEANERRRRYEVDGSTSGTTTSDLLVDSRLPALYSGLDELVGIKGPRDCIIKLLTYEADSGPSRQQLKVVSIVGCGGLGKTTLANQVYKEINGQFDCKAFVSMSQKPDMRKILMDLLSQILGNGSPMCFDEQRLIDKLREFLKDKRYLIVIDDIWSTSAWEIVTAFPDNNLRSRIITTTRIMDVAKSCSANLQEYVYTIKPLNHQDSSKLFVKKIFPSGCGVPQHLKEVSNAILKKCGGLPLAILIIAGLLASKYDRKDEWEAVHNSIGSELGKNHTLEGFRRILMLSFYDLPHDLKTCFLYLSIFPEDDLIVRKQLVWKWVAEGFIRKERGKRPDQVAESYFYDLINRNMIQAVGVQYKGNIYGCRVHDLVLDLIRSLSAQINFVIAIDDKGYESSPRKIRRLSLQASNLEDQEMQKLVSNQSHIRSLIMFRAFKKAPDLFKFHALRILDLSECNCLEDHHITCIVNMFQLRYLSLPCRITELPEQTGNLQHLEVLNIRRCMIKTLPESIVKLGKLMCLHVKSGVKLPDEIGRMQALQELESISIPCNSVRLIEEIGRLTRLRRLTVETTSTTEKMGDQEVRFREMLVSSLTELGRNGLESLCISYPHGQNFILDSLFGSGCSLPKLHELDIKNYLCWVPRWITMLSSLVHLCLSMYDIDEEDMRVLNGISTLLFLHLELRNPPEERLVIGCDGLRHLNELHVFCQHSAMPLTFAPGAMPELHRLRLEFGARETLRMYGDFDFGIEHLSGLRDIRVDINYYSGGTDMDAEAVAAKDAITTASIIHPNRPLHDVRMHVTMMFTLKEAAQSVGLQSATKELNLGTDFQRTFVQIEDLDNPEVLRKLQQLLSPESSTQNQ >OGLUM11G08880.1 pep chromosome:ALNU02000000:11:8508316:8524677:-1 gene:OGLUM11G08880 transcript:OGLUM11G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat (TPR)-containing protein [Source:Projected from Arabidopsis thaliana (AT1G17680) TAIR;Acc:AT1G17680] MSDTEEERRLGRGSAGAEAGADGQEDPDGDEEEEEEEEEEYEFCDAEEAMQCVEMAERSAPDAGVHDYEALAARKRKALAEERTERDASSKKPRQDGLSEAEAATVFDQLMEGFGLRRKRRSKDARKRGRKKGTRNKYSPEVTKKLGDATLLFTESRFKEAIPILHEVVRIAPNLSNSYHLLGSIYKECGELDKAINFLMLAAYVSPKDVFLWKKLIDMALKKEDAALARHCVLKAMRADPEDVGLKFDCANIYRALRDYQKAGEIYEQIVRIYPSNIVARKAAAQMYRDCGQIDNAINLLEDYVNAQTTNIDSNHLDLLISLYLRNNAYNEALRLIERAHIVFGSQHNLPVQLQAKAVICHAYLGDMKHAEVFLQNVHLERSKDNTDVIKEVASTLENLGQYEYAIKFYLMIEDVAVHNDGSSYVKVGQCYMVIGEKRKAIPYFQKALQRMEDNIDVRITLSSLLVDVDKSDEAIVLLSPPNNSGSKSATDQPKPWWLDGKVKMHLANIYYNKGMFEDFVGTILIPILETLNIEYANRKVRKAKKLPTNVLYERAKVQKASRAKKLLEKRAASNEDTIKDDLQRSKQIPPISGLLTNAENHQLVLHLCQTLALLHRYWEALQVINRTLKLGNDTLADENKEELRSLGAQIAYRAPDPRHGFNYVRYVVQQHPYSLAAWNSYYKVTSRIEDRFSRHHKFLLRTREEKTDCVPPIIISGHRFTAISQHQSAARDYLEAYKLNPENPFINLCVGSALINLALGFRLQNKNQCIVQALAFLFRYLRLCDNSQEALYNIARAYHHVGLNTLAAIYYEKALAVEVKDYPIPRLPYEENSCAQQDLKPGYCDVRREAAFNLHLIYKKSGADDLARRILRTYCTI >OGLUM11G08890.1 pep chromosome:ALNU02000000:11:8529074:8529892:1 gene:OGLUM11G08890 transcript:OGLUM11G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:enzyme binding;tetrapyrrole binding [Source:Projected from Arabidopsis thaliana (AT3G59400) TAIR;Acc:AT3G59400] MANASLQSFLLHHHHSFLSNGIHEGSSPSIILKLTTNSNSSISFKLFSNTTSSSSSVTTTASTPNSPVTPAPVTASSPPPPSLELLGAQLAERDYRQADETTRALLIELAGEPARRRGYVFFSEVQFISADDLRAIDALWQEHSGGRFGYSVQRRLWEKSRRDFTRFFIRVGWMKKLDTEVEQFNYRAFPDEFIWELNDDTPEGHLPLTNALRGTQLLGNIFTHPAFEEEQEDELAAEENDTPDNTGQSKDGSKGKERPKFMRDFFKPDYSF >OGLUM11G08900.1 pep chromosome:ALNU02000000:11:8530002:8535792:-1 gene:OGLUM11G08900 transcript:OGLUM11G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNALHRNKWTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVCSIVTVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >OGLUM11G08900.2 pep chromosome:ALNU02000000:11:8530002:8535792:-1 gene:OGLUM11G08900 transcript:OGLUM11G08900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNALHRNKWTKETDVLKLKVARPVTSGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >OGLUM11G08900.3 pep chromosome:ALNU02000000:11:8530002:8535792:-1 gene:OGLUM11G08900 transcript:OGLUM11G08900.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALPLLHLLPRIVCAAFFACLPGLVAGIRKDIGLAAPIMCRSTVQGRHLISDDNGTYRNVFDFCMGRCRHSSASVVHENAYASDFHHCFLLQQNSSGSADSGSGPRLDGINISIGSLQKYMRCKSGCFSSLGPDQPAQVVDEAPSNLNPGACLYMQMDERLTCDGSHQHTRRLT >OGLUM11G08910.1 pep chromosome:ALNU02000000:11:8536416:8537402:-1 gene:OGLUM11G08910 transcript:OGLUM11G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCEEVNSLSEHHGAKVILYDFDEVHVVEDSFAGDTEFPDTQVAVDVQAVAVTELELHGLMESKLQDAIVDVVGSEVDTVVAVRKEDVIVAERELHDVADIVAVESEVDIVLGTRDEAMAMIVAVAEMELDGAVVAVPESELNVAVVVCEVVMDIDVAHALLHHHGTKDMNDAAMDLLWISHGYDHGFGFAEIPAKASDNEEFEDSRSVLGVVAAVVKPKCCRTRR >OGLUM11G08920.1 pep chromosome:ALNU02000000:11:8538823:8544472:1 gene:OGLUM11G08920 transcript:OGLUM11G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:A0A0E0BHN0] MPLALLARAALSSTSSSIAMATTRSSSSRVLRASRAELNPGAKEVKRESSVSFDLTKTEAVASMRSKNVKRVLEVTGENIKKEVDIVPDIEDFRYGKASPSLVRLEKKVRVSSAIKVGAPENWEAILKGIKNMRLSGEAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSEKGLLDPDAIVRTDEATLANLIKPVGFYQRKAKFIKEASKICLERFGGDIPDSLNELLALKGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRMSLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNICPSAFKESSSPNPKQKKMRFAVLMAINIADLL >OGLUM11G08930.1 pep chromosome:ALNU02000000:11:8544820:8549819:-1 gene:OGLUM11G08930 transcript:OGLUM11G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTLLNPPPSLRSPACRTTTATRIRPSSSMATMIPTPPPMRHARLVKASAAGRRELHAPPIAPPILLGLRSPAAASYGRASGGGGRRRGARVVARMGFDMFTDKAIKAIMMAQEEARRLGHHAAGSEQLLLGVIGEGTGIGAKVLRGAGLSLKAARAEVEKMAGRGPGMVPMEIKFTPAAKNVLQASQEEAHQLGHNYVGSEHLLLGLLREHGAALVVLKNFQADPSNIRSEVIRMISDTSEDHQPVSAAVGGGSSTTKIPTLLEYGTNLTKLAEEGKLDPVVGRQNQVDRVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIAAGNVPETIDGKTVITLDMGLLVAGTKYRGEFEERLKKLMDEVKQNGEIILFLDEVHTLVGAGAAEGAIDAANILKPALARGELQCIGATTIDEYRKHIEKDPALERRFQPVKVPEPTVDETIGILKGLRERYEIHHKVRYTDESLIAAARLSYQYISDRFLPDKAIDLVDEAGSLVRLRNAQLPDEAKELEKKLKKIMAEKSEAIRSQDFEKAGALRGEEVELKSEIMSLVDKSKEMSKAAVDSGESPGPTVTEADVQHIVSSWTGVPVEKVTVDESSRLLAMESSLHRRIVGQDEAVTAISRAIRRARVGLRDPRRPIASFIFAGPTGVGKSELAKALAAYYYGSPEAMVRLDMSEFMEKHTVAKLVGSPPGYVGYAEGGQLTEAIRRRPYAVVLFDEVEKAHPDVFNMMLQILDDGRLTDSKGRTVDFKNSLIIMTSNVGSGVIEKGGRQLGFAGDGSGDGGYGVIKNMVEEEMKRYFRPEFLNRLDEMIVFRQLTKLEVKEIAGIMLAEVTGRIGGKGIGLQVTERFKELVVEQGFDPSYGARPLRRAIMRLLEDTLTDKMLAGEICTGDSVIVDADGDGNVVVVGRRSAGLPDLKSPAFTV >OGLUM11G08940.1 pep chromosome:ALNU02000000:11:8611277:8613900:-1 gene:OGLUM11G08940 transcript:OGLUM11G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWAVGDRRTSGRQDGSHRRPAPLFDGGALTRACSVWTAARAAREAAPYYGSSEKRRYGMILMYGTPTTGMILMLDPSPATAGRPDPSLATAGRPDQLPATMGTTAVTVHLVPDRWKICTQKLILTTGGRQAVTAADGGSSLSRVDSTRYGARPLRRAVVRLLEDTFAERMFAREVGEGDLVIVDADSAGKCRGQEEQHHAGTLQLPTNLVSAHINTGKDVK >OGLUM11G08950.1 pep chromosome:ALNU02000000:11:8615426:8619441:-1 gene:OGLUM11G08950 transcript:OGLUM11G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFTARRSKPELVAPAWATPNERKYLSDIDNQPSLRFYATFVEFFQPILSMALGHLIRQKLSSLPLQTPWCTTIPIAGRLTELPEGKLVVDCTAEGVVFVEADADVGLEELGKPLVPPYPCVEEFLCDPGDTKMVVTRLTCGGFVVGFHMCHNISDGFGMLNFIRAIADIARGEALPTIFPLWNRELFTMFFPPRISHVHLAYEALRDGNLGNDIMQSTPPGAMVGQYFLFGPTEISAMRSHLSAHLRQSSTIFELISGAIWKCRTAALNYSPGQLVRFMFTLNSRGKWKRNPPVPQGYYGCGLVLPVAETLVADLCGNPLEYAVQLVRKAKFNVTDEYIKSTVDMITSCKWPSIVVDRTYIVSYITTIGEDKIDFGWGKRVGGGIPMAGDIMSKLLSYFMKCKNADGEDCFVVPMYLPSIIMDRFATEISVWSRKQGNKFIVNAFN >OGLUM11G08960.1 pep chromosome:ALNU02000000:11:8716610:8721920:-1 gene:OGLUM11G08960 transcript:OGLUM11G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAMLVAAAVEVAVPTLAEAAALGAIGAILAGPLMQPTKVRSPVRVRNSNLKCHGTKMRSPSMVSMSQSQRIKIPSYVGLSTVHTPALLTPVISSRSTRTFQKTAKTIQERSHAVMQIPEFTPGTGLRSTNAIQRTTEVLQRHSHVGLRQPHAGRLREMHTASGRPVIGLTPTIVSQKTTKVPKQPRFVARAIDNFSREVMNAIAVAHDEAQYIAHLTIGSTNILLSLISQYICIFLLEIILNKAYKMFRAAVRRATRGAKLATLMEYGTNLTKLAEEGKLDPVVGRQKQIDHVVQILSRRTKNNPCLIGEPGVGKTAIAEGLAQLIATGDVPETIQQKTVISLDMGLLVAGTKYRGELEERLKNILEEIKQNGEIILFLDEVHTLVTAGSAEGAIDAANIFKPALARGELQCIGATTINEYRKHIEKDAALERRFQPVKIPESTVDETVGILKGLRERYQGHHKVQYTDEALVAAAELSHKHIRDRFLPDKAIDLMDEAGSIVRLRNTQCKPSKKVNDLEAELKKTLKEKNDAISIQNFRRAKQLRDHELQLRTNISALTDKKTQMMEPDAIAMPVVTEDDVRHAISRWTGVPLHKVSMDESRKLLKLEEALHRRVVGQGEAVAAVSRVIRRARLGLKHPGRPVASLVFAGPTGVGKSELAKALAACYYGSSESEEAAMVRLDMSEYMEKHAVARLVGSPPGYVGHGEGGQLTEAVRRRPHAVVLLDEVEKAHRDVFDLLLQVLDDGRLTDGKGRTVDFKNTLIVMTTNIGSSLIVNNGGDGAAAAGRIKNTVTDEMKRHFRPEFLNRLDEVIVFQPLTKLEVGKIAGIMLEEFAGRVREKGIKLKVTDKFRELVVEEGFDPSYGARPLRRAVVRLLEDTLAEKMLAGEVREGDSVIVDADSAGNAVVRRSNAMPA >OGLUM11G08970.1 pep chromosome:ALNU02000000:11:8753031:8753651:1 gene:OGLUM11G08970 transcript:OGLUM11G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDRIYQMSPSHSSFTGTTTTAKSRWASPSSVAGLLIATTSGVPLVVSTTCSPIFHFIFINGGDDNTASIDLRSLCITASVAATAPPQQSKEQRGGCTTVRRYDSSLPMWKKNVEAPN >OGLUM11G08980.1 pep chromosome:ALNU02000000:11:8812071:8812461:-1 gene:OGLUM11G08980 transcript:OGLUM11G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTATSRSTTSLAKLILICDGEIAL >OGLUM11G08990.1 pep chromosome:ALNU02000000:11:8843600:8844918:1 gene:OGLUM11G08990 transcript:OGLUM11G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEERPAEPSPCYISFPYTRPISGRTIIIQRFPETLRGGINWSYTTPLAVSLGPYHHGSPLVGEVEKVKHHVMERLCFESGCSVEAVREKIRSVAGSARACYANDEKLSGVDADVFVDMMALDGCFLLQFIVSMCPEDPDSAPDALMSMAEIHTRFDIIAGHHASGEPGAALMEFRTVPAAASVDKFISVMAATFDVRSVNPFAGTATGSSSSSNDDGEHEPTHILGLFQYRQVSGSARGHHHNAGLTTLSSIFSSAMELAEIGVRLTSSKMTKFGEMSMKKGRFLHGELSLAPVFLNELTACWLINMAARARVGATQADNYAVSSYISLVALLIDREGDVKELRAKSIVHSTFSDDQALGFFKLLSSRLRIGRRYYHIFECLQEYKKERWVWIVVHKFFYKNIKVIVTVLSVIGVLAGIFKTLVSLRPQK >OGLUM11G09000.1 pep chromosome:ALNU02000000:11:8864361:8866758:-1 gene:OGLUM11G09000 transcript:OGLUM11G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCTVQSPIDGIFVLTMASSDGHQYLTDDAIGDLIASLTAVRDTPGLRGLVTTSRLGSFCDGVDHDAAGQPDEQVAARVGEVVRLLLEMPAPTAAAVNGDATSLGLALALAHDHCVVWEGAAVALPEARRRRPLPGYVAALLRDKVAYARLRKLLMLRAEACTGKELVGTWYSANDPATADREAVAAEACELLEGIEVGSGKNYATARQAMWPESCAAVGMEITRPRRPSSPEQILHISEKEKEKHGASDQYQNKQTKIMKKIMYKTS >OGLUM11G09010.1 pep chromosome:ALNU02000000:11:8867540:8868286:-1 gene:OGLUM11G09010 transcript:OGLUM11G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFCEWAKTDDGVFHLKLTGVDGHHYLTKEALHELKQKLAEIRELASSSLEPCRGLITSSSSSSSPSSPTTGSFCDGIDHKSLRANMAAPVAEQARGLGDGMAAVVRELLAMPMPTVCAATGGAASLGLALALAHDDLVVLSDAYYKLGNVEDGVAVPPHVAALVREKTDRWYTLTTLKSRPRTGSWMRRWYFADGEAASRDGVVREAERLVGEWPAAGEDGKVHAEMRRQLYRESWEAVCAIVHDE >OGLUM11G09020.1 pep chromosome:ALNU02000000:11:8868305:8877408:-1 gene:OGLUM11G09020 transcript:OGLUM11G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPPSPASAATHGSPLLPIRCIVIQRRNRNRGEGLGGEAAAPAMLMPSSLGRRQHGWRPPPATSGQKCEKSSSSGGGGVAAYQVQEKRPRRRGPRGDGGAGRQSSWIPVLWIPADVRGRGGDVWRAGTVVKRESGEDKVEVAVKTTSRWRRIRRQGSASCRAGWHRGRTAERASGDGGEEDGEGEAARASGDSGEEGVEGEAATVEMAERPSGDGACRLPWPPPWPPVAIAISLGHLMATCAGREAVVNGEGWHGGSGRGGRGGEGERAADKDEKAVSKTAKTAYGEPSRRYELAKGQCAESSPHSCIR >OGLUM11G09030.1 pep chromosome:ALNU02000000:11:8880649:8896079:1 gene:OGLUM11G09030 transcript:OGLUM11G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPVESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVVEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQCLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >OGLUM11G09030.2 pep chromosome:ALNU02000000:11:8880649:8894463:1 gene:OGLUM11G09030 transcript:OGLUM11G09030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPVESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVVEEVLEGLEIASICVEDFDEWLGIFNVKLRHMREDIQSIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQCLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETPAAASLGTASELALPASSTSFGLFAPPYRVCPLHRSSIGVARSSAAPKSHRGRRS >OGLUM11G09030.3 pep chromosome:ALNU02000000:11:8880649:8896079:1 gene:OGLUM11G09030 transcript:OGLUM11G09030.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARSSADDMELKRSCEAGILSKEKDRETVVMSMRVAKGRGVWGKAGKLASRHMAKPRVLAVTTKKKGQRTKAFVRVLKYSNGGVLEPAKVYKMKHLSKVEVVPNDPSGCTFLLGFDNLRSQSVSPPQWTMRNKDDRNRFLMCILNMCKEIYGAIPKVVGMDVVEMAMWAKDNTTVKVTQVSTKDGPVESLVGEADSQVAIQKDLVLQTEDEDTEALLDTYIMAIGEAEAFSERMKRELVALESANVYALMETETVVEEIEWRNNKLELQSDSNVALIDELDKMLVLLQIPPEYEASLTGGSFDEGNMVKNIEACEWLTSAIKNLEASNLDPIYVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDFMLNDKGNFSQRGQLQRPDHADMRYKCRTYARLLQFIKNLDKSCLMPLRKSYCHSLNLLIRREAREFSSELRAGSKASKSSTPLFEGPASANQSISITDTTADAYCKMITSSFFAHFMCFDVAALAPSDESDNNNPVAVSEPPGSSAKPINSSAELGVLNQCLQELLDGIQEDFYAIVDWAFKLDPLSCISMHGITDRYLSGQKAEVAGYVHVLLDDLETRISILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVTIMFVTLEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLSGLDKTINAMYRKLQKNITAEELLPSLWDKCKKEFLDKYATFLKLISKIYPSETVISVNEMKDTLASL >OGLUM11G09040.1 pep chromosome:ALNU02000000:11:8902527:8906618:-1 gene:OGLUM11G09040 transcript:OGLUM11G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G19150) TAIR;Acc:AT5G19150] MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSSLPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGEREYVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMWKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIEFLGKSLEDICPAGH >OGLUM11G09040.2 pep chromosome:ALNU02000000:11:8902529:8906618:-1 gene:OGLUM11G09040 transcript:OGLUM11G09040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G19150) TAIR;Acc:AT5G19150] MRNHCPHAWHQQQHRGRMWAASPAFRRRLFLLRSLSSLPGNAACSSSPSPSTSIRVNAMSASGPVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTRDAATVIKSYSPELIVHPILEESYSVSDGEREYVSSRILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMRHARQANIPTVVDGDGLFLITNNLSLVEGNLLAILTPNVYEYKRLVQKVLNCEVNEENASEQLTALCQKIGGITIMWKGKADIISDGKTVTQVSTFGSPRRCGGQGDILSGRFVANVVLSVSFCIFASWARHFLLTNEYPTEKSVNPMMLGCIAGSLLLRKAASHAFEKNKRSTVTTDIIEFLGKSLEDICPAGH >OGLUM11G09050.1 pep chromosome:ALNU02000000:11:8915893:8917534:1 gene:OGLUM11G09050 transcript:OGLUM11G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPRRHDPAPSSNRSPRRGRSPLRCFLHADFAIFPHLQHSTLLSSSSGSLPHGPRP >OGLUM11G09060.1 pep chromosome:ALNU02000000:11:8917564:8922719:1 gene:OGLUM11G09060 transcript:OGLUM11G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQRLLSSLSSQRRSGGWSSIARQTQPHECKLHVLKDEDKRETYDQRQASGGGPDDFSGSHPFGDIFTHQGLGGYLTDTLSIPMPPELSPPRCGQVSPLATASHRLKPWKSRRRQGARWRLHTAVYA >OGLUM11G09060.2 pep chromosome:ALNU02000000:11:8917564:8922719:1 gene:OGLUM11G09060 transcript:OGLUM11G09060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQRLLSSLSSQRRSGGWSSIARQTQPHECKLHVLKDEDKRETYDQQGLGGYLTDTLSIPMPPELSPPRCGQVSPLATASHRLKPWKSRRRQGARWRLHTAVYA >OGLUM11G09070.1 pep chromosome:ALNU02000000:11:8928116:8928337:1 gene:OGLUM11G09070 transcript:OGLUM11G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALDPAAGDKELTDSLVALMPPAGRRPSPSSGKASIRDEEEGRRIGERLSGGRWRRTGGWASGGGGSVGR >OGLUM11G09080.1 pep chromosome:ALNU02000000:11:8938094:8938737:-1 gene:OGLUM11G09080 transcript:OGLUM11G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFAQESSHAVVYRASNSKRYTTRTFGRAYRNERHKQRHEPEASGDGRRENISESRGIELTSFAKDGLCRRVVRSKSARQKPTKGMGFAKYRTLPGERHAFQRLRQGLGRVTWPIRSPYEQKTLYAHKDIPIIRATM >OGLUM11G09090.1 pep chromosome:ALNU02000000:11:8974043:8974246:1 gene:OGLUM11G09090 transcript:OGLUM11G09090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDKSSWPEVVGLPAEAAKHIILNDRPDVHVVVLRVGSVVTTEVDPKRVRVFVNNSATVAQVPKIG >OGLUM11G09100.1 pep chromosome:ALNU02000000:11:8975254:8978027:1 gene:OGLUM11G09100 transcript:OGLUM11G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAAAPPPPARHHQRCHRTRNGHRQSCSHLKQHDASGGSAPMPHPPGQPRQQPRAVLQWPSVSIASTVTQFDWFICFNTSYEAQNLKTLIIPQSHELLPNGSREISLSGKCFP >OGLUM11G09110.1 pep chromosome:ALNU02000000:11:8995900:9005542:-1 gene:OGLUM11G09110 transcript:OGLUM11G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTTTTTRDDGGCGNCGVAVTAPLLRGAAVAEGEDDDEEEAAEGLKIKILAHGGDGGGFDGGSGMAVALRAGDSCVGMATANAAAVMTTARRQTAVVAGRTRLREIGEGGEVTMGIRRASRKARR >OGLUM11G09120.1 pep chromosome:ALNU02000000:11:9021673:9033285:-1 gene:OGLUM11G09120 transcript:OGLUM11G09120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVAKENQMASKPKGIKLKRKEIRGSARPIGGLEKSSQKRKKKKNEDSPAEIQPRTGKGRRRCSSLAETATSDLNGEGDMKSTVGTGFRLGDTVYACHKVTYGRSQPSKTNPMTPLLGPACMSKIIPNPSIPVGDGAPIHLARFASPSFGCRHEPTGRCTVAMTGEAPGHRARHEWGGDRATAARGTCARLARIWPLPRASWEACRRRDRRGARLLGSSRVGRGPGDRAQGRGWGPVVPPRAEARGGGRPLGLTADGRLQAGGPPASTSALSGLATGRLHGTLVVAAGQGGLAALRPWRHSRALAPASRSGRPVPSPFAASPAA >OGLUM11G09130.1 pep chromosome:ALNU02000000:11:9105555:9105962:1 gene:OGLUM11G09130 transcript:OGLUM11G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTRSTAASTVGHLSSLCLRLHCQPSELTLSPPLACRAHSASASTVGHSSYQSSGAMVVGGGNNERELGDGNSAGEIGDGDGDGAVEIGGEGGELAKDTDGSSERERRGERDQEHCATAASSILPLNSITHRWR >OGLUM11G09140.1 pep chromosome:ALNU02000000:11:9120983:9123182:1 gene:OGLUM11G09140 transcript:OGLUM11G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKPQSAAAAATSRIPHMPHGPHTPQVGPTPIHPLSHQRVRIYKPAPLSLPPPHPESATRRQQSSHRGESPTTTRRRRDDVELRLRPRRRAGHRRRRVGRRRLPGDFFLSAAAGDASRRRASASAQAEAQEPAAARAPASRRQERGGGGGCGRRGRVARGAVGGGVAAAGGGEAGGGGRRRRGRRRREGGRARRWRGGRRPRRGAGEEPDRRRPGGAQGVRGSGVRVQLRRDPRALRHAPGPRALLLHEPALPRRTPPLAGGGAGSGGPLLPGAAHRQLEDLQPWGQPR >OGLUM11G09150.1 pep chromosome:ALNU02000000:11:9148497:9155327:1 gene:OGLUM11G09150 transcript:OGLUM11G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTRKHGGVRASIYIHMLVWLSNVSNIGNMTNIVSYLSVKMNMGVAAASTTSASFVAMMQVFTIPAAFLADSYLKRVYTVLFFAPIEILGYILLAIQAHVPSLHPAPCELAGAAAGAGAGATAATTEVAPGTCEAVHGSNLSLLMLGLYLICVGEGAVRACLPALGGDQFDEGDAAEQRQAASFFNWYAFAVSLGALVGLVAVVWVQDNKGWDAGFAVCGAVVLLGLLVWAAGMPTYRNKVPAGSPITRILQVLVVAFKKRNLQLPENPDELYQPTNDDSAKGLEILQRTRGLKCLDKAAIVRGGGSNGGAWSVCSVSQVEETKIVLRMVPIFLTAALGYMPVSVVLTFTVQQGNIMDTRMGAIRVSPATLFVIPTVFQLAILVVYDRAVVPALRRATGRVGGVTHLQRIGVGFVSSLASCAVAAAVEVKRWRLVASSSSSAMMSVFWLTPQFFLLGVVDVTSFVGLLEFFSSEASDGMKSIGSSIFYCMLGMAAWLNTMLIELVNRVTRRRGGGGWLEGANLNESRLDLFYWLVSGIELVAFMAYLLFAWRYVYRNDQRIAAAAADAVDEQEDKKAASNGSLVQINQI >OGLUM11G09160.1 pep chromosome:ALNU02000000:11:9155739:9156533:-1 gene:OGLUM11G09160 transcript:OGLUM11G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATATTGAHTLQFLPHLRVALPADEIVEGKYEIVDGKDRKNIINPAETTSQDPPTGRASGRGCSLGGRQRRRCGPARTRPSSHDSKWRGTAGSNCSWAPISASRMRTDRTGVKWSALFDP >OGLUM11G09170.1 pep chromosome:ALNU02000000:11:9180208:9180636:1 gene:OGLUM11G09170 transcript:OGLUM11G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSRQQKELGQKQRDLACGGLGWKVGLRMVGGGASASNFLMTVMVEAGQVMRLQLLGIARRQAKL >OGLUM11G09180.1 pep chromosome:ALNU02000000:11:9191143:9191430:1 gene:OGLUM11G09180 transcript:OGLUM11G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGGDDDRPLHSFTSPRSGGGPPLLSFTPPDLGGGEEGNGGGGAMAATTAEEGGDGNPPILSFTTPYLVGREEGNSGGDDDDNNSSRRRRRQ >OGLUM11G09190.1 pep chromosome:ALNU02000000:11:9193205:9200075:1 gene:OGLUM11G09190 transcript:OGLUM11G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGLVDWRGRPVDTKKHGGGRASIFIHAMVLLTNAPNIANMMNMVSYLRGTMHMGVAQASTTASNYFAALQMFSIPAAFLADSYLKRFYTVLLFAPIEIIGYILLAVQAYTTSLHPPPCSPAATAASATTTCEPVRGANLSLLLLGLYLIPIGDGAARACLPALGGDQFDLGDPDEQRQETSFYNWYTFAVSTGGFVGLVFIVWVQNSKGWGVGFAVSAAFVALGLLVWAAAFPLYRNQLPMGSPITRVLQVFVAAFKKRNVRLPENPSELKQINQDDDANAHEVLPKTDGFRCLEKAAVETGNDAGPWSLCSVTQVEETKIVLRMAPIFVAAVLSYIPVPLLLSLTVQQGNTMDTRLGAVHISPATLFLIPTVFQMVILIIYDRAIVPPLRRLTGYVGGVTHLQRIGIGFVATIVATAIAAVVETRRKMTAEESGLEDATTGIPLSVFWLTPQFFLIGIVDVTSFVGLLEFFCSEASMGMKSIGSSIFYCILGVSAWLGSLLIQVTNRVTQRTNGGGWLDGANLNKGKLDRFYVVLCIIEVVALVIYVFFARRYVYRNDQRVVAQGQRKGDTGNSVAVI >OGLUM11G09200.1 pep chromosome:ALNU02000000:11:9200837:9202933:-1 gene:OGLUM11G09200 transcript:OGLUM11G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTMRFARTNPGHCVSTGVGVSSSTPLRHASTPSPSVEEDQGAEAGTDLGLQGLDSLIVPGSESAAMANLANYPTWKAIIAVFGLKYESAVREKDSKVLNTEAFNTVVVWPDCLSNLEGTK >OGLUM11G09200.2 pep chromosome:ALNU02000000:11:9200837:9202933:-1 gene:OGLUM11G09200 transcript:OGLUM11G09200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFTMRFARTNPGHCVSTGVGVSSSTPLRHASTPSPSVEEDQGAEAGTDLGLQGLDSLIVPGSESAAMANLANYPTWKAIVREKDSKVLNTEAFNTVVVWPDCLSNLEGTK >OGLUM11G09210.1 pep chromosome:ALNU02000000:11:9208430:9211495:1 gene:OGLUM11G09210 transcript:OGLUM11G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYFVAAGLHRSGASSVHPLAAPAAATAAASTTCEPVRGANLSLLLLGLYLVPLGDGAMKACLPALGGDQFDPADPDEQRQEVSFYNWYTFAASTGGFVGLVFIVWVQNSKGWGVGFPICAAFVLLGLLVWAAAFPLYRNRLPTGSPITRILQRVTVCLEKAAVRTGNEAGAWSLCSVNQVEETKILLRMAPIFAAAVLGYIPMPLILTFTVQQGSTMNTKLGSLVTLVLYDRAIVPPLRRLTGYVGGVTHLQRIGVGFVATVMATAVAAVVETRRKSTAGIPLSVFWLTPQFFLIGIFDVTSFVGLLEFFCSEVSMGMKSIGGSIFYCILGVSAWLGSLLIQVTNRVTPRGGSGGWLDGANLNNGKLERFYVVLCIIEVVALLSYVFFARRYVYRNEQKVVTQGGTMCDTGSGADMI >OGLUM11G09220.1 pep chromosome:ALNU02000000:11:9228579:9230507:1 gene:OGLUM11G09220 transcript:OGLUM11G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPGSFPYSTNGAKGSKIYISSVDICKIECPYGTVPILTSYMSSMSTRHFYNNIGHNDTGSTNGYENVGTMMAAVETEPSTFYGSQSSISVWEPYLGTGRPPRYTGAVVVIQNGQSRIGAGWYVDPDMYGDNHTHFEIAWIWDVWVLLFGEELVGYWPGELFTDLSGAANMIGWMGVASAATGEPFPPMGSGYSPDEGEGRAAFFTDVKVIYSSTSKFVSPNLSEIFTSTTTPNCYQVGRPSSYDSGLHFYFGGAGCSPSQFIK >OGLUM11G09230.1 pep chromosome:ALNU02000000:11:9231074:9231760:-1 gene:OGLUM11G09230 transcript:OGLUM11G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGVLVAEGRRLVAVLAVAPHSPPLPDLARGKEAINGVTTVEGRVAVAPPLPSRPIFGLMRAREGGGAAKGEVAAVASDDKGQGLHRWMWLIWRWRRWILPWRQRRVDPVGDPSPRSGFVKQVNAINCGAIWIWQFGIHFCDLGIIVLDW >OGLUM11G09240.1 pep chromosome:ALNU02000000:11:9236854:9238652:1 gene:OGLUM11G09240 transcript:OGLUM11G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKGWTIKTFLLLHLLIFSVDPTKEEVDYNLIVKTIQTADGQTFACVSFKSQPSLRHPLLMNHTTQLMPPISFPHSTDADEGSKFGISNVEMSEIECPPGTVPILTSYNGSMSTRSFDKSIYSENGNDKGNRQMAAVVTVPSTFYGLQTSISIWEPDLGTGRPPRFSGAIVILKNGGSRVAVGWSVDPHLYGDNHVHFEIAWVDNDKSCINLRCAGFVQMSKKAIPGIIIRPVSTVNGKQYIIRVKIIKFMGDWVLKVGDEIVGYWPSKLLTHMSEAADVISWIGVVEAALGQPFPPMGSGQPADEGETKAAFFADAKVIDASGSFATPALKTINTIATEPKCYEVGRPYASDDGLQFYYGGAGCSPSQSIK >OGLUM11G09250.1 pep chromosome:ALNU02000000:11:9252150:9254747:-1 gene:OGLUM11G09250 transcript:OGLUM11G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) TAIR;Acc:AT2G31570] MAATTTSNSSGGGNRIWTSSLAVLALAVALVSLLSLRAPPPPPPAPGMADDLPTSVHDISVKDIKGNDVKLSEYDGKVLLIVNVASKCGLTNSNYKELNILYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCSRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVIERYAPTTSPLKIKVTYQGSVCKFGI >OGLUM11G09250.2 pep chromosome:ALNU02000000:11:9252401:9254747:-1 gene:OGLUM11G09250 transcript:OGLUM11G09250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione peroxidase 2 [Source:Projected from Arabidopsis thaliana (AT2G31570) TAIR;Acc:AT2G31570] MAATTTSNSSGGGNRIWTSSLAVLALAVALVSLLSLRAPPPPPPAPGMADDLPTSVHDISVKDIKGNDVKLSEYDGKVLLIVNVASKCGLTNSNYKELNILYEKYKEKGLEILAFPCNQFAGQEPGSNEEIEQTVCSRFKAEFPIFDKIDVNGKEAAPLYKFLKSQKGGFLGDGIKWNFTKFLVGKDGKVIERYAPTTSPLKIKNDIQKLLGTS >OGLUM11G09260.1 pep chromosome:ALNU02000000:11:9256515:9263014:-1 gene:OGLUM11G09260 transcript:OGLUM11G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTWGICFTYGAFFAIRGLIAAGRNYENSQAIRNGCKFLLSKQLSAGGWGEHYSSSEIEVYVDSGSSHAVNTSLAMLALLYSGQIERDPTPLYRAAKQLISMQLETGEFPQQEHVGCFNSSLYFNYPNYRNLYPIWALGEFRHRLVASKD >OGLUM11G09270.1 pep chromosome:ALNU02000000:11:9273320:9276199:-1 gene:OGLUM11G09270 transcript:OGLUM11G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLYGKKFVGPITPTILEIREELYNIPYSEIDWKKARDCCAKEDLRYPCSWIQDIVWTCLNKYVDPIFNVWPFNKLREISLRNLMKHIYYEDENTKYIGLCPINKALNMICCWIEDPNSDAFKRHLPRIYDFLWLAEDGMKAQVLFWLLASVVKLF >OGLUM11G09280.1 pep chromosome:ALNU02000000:11:9292992:9293222:-1 gene:OGLUM11G09280 transcript:OGLUM11G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRYSLPAAALADAASATLTDAAASATLAEAIVGRWEEWDEEGHREREEWDEERDREREEWEELRKSDMGGDGI >OGLUM11G09290.1 pep chromosome:ALNU02000000:11:9294183:9294398:-1 gene:OGLUM11G09290 transcript:OGLUM11G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLKIAAESGGGSPLLHTGNGFLGRAVWEFDPDAGTPEERAEVARLRRDFTRQRFQRKESQDLLMRMQAR >OGLUM11G09300.1 pep chromosome:ALNU02000000:11:9294434:9360964:-1 gene:OGLUM11G09300 transcript:OGLUM11G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHAYMHRGQEEASRSRGNQEHEPALASLKGEEECDAEWEKGRENGRRCPQIRLQAAAQADQRHAAAFSEKKRLESRRRETPVLLISTVVSLKPKSLPPASPRSDSENKEAERERETEMVREDPNKMGVGSILNDLEVAETLVANMTLDEIDDMTSYPAKCKLLRSPSMQ >OGLUM11G09310.1 pep chromosome:ALNU02000000:11:9361401:9361687:-1 gene:OGLUM11G09310 transcript:OGLUM11G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGASGPLAAEEAEEVAAALVDEDSAEDLISLHRFLVPSTCCVMDGAHGACSSSTSMSSLEPESVVVSSGSVPGRGETASTGVD >OGLUM11G09320.1 pep chromosome:ALNU02000000:11:9369830:9375732:-1 gene:OGLUM11G09320 transcript:OGLUM11G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIYAGHVEIDPIPLHRAAMELINMQLDTGEFPQQEIVGSFNSSLFFNYPNYRNLFPIWALGEFRHRLLAKKG >OGLUM11G09330.1 pep chromosome:ALNU02000000:11:9394046:9395818:-1 gene:OGLUM11G09330 transcript:OGLUM11G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICCWIENPNSYAFRQHLPRIHDFLWLAEDGMKSKVYGGCQCWETALIVQAYCSSGLTKEFAATLRKAHDFIKNSQVTKNCPSYSSFYRERSKGSWTLTNGENGWPIADTTAECLKFMQVQTMHAH >OGLUM11G09340.1 pep chromosome:ALNU02000000:11:9405975:9406196:-1 gene:OGLUM11G09340 transcript:OGLUM11G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIGEGAGNPLLRSPNGFLGRETWEFDPDAGTPEERAEVERLRRDFTRNRFTRRECGDLLMRMQARRYIT >OGLUM11G09350.1 pep chromosome:ALNU02000000:11:9409710:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRECSDLLMRMQERIYYYMVPRRVSLSASRDEVDGVDEPLRAASVVARRADLAAEAGVGEFAKENQVYSKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIFPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGGVVVIKDSTNRSRRSNSRTKVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEKFAITCLIEPMFVGQSVQALQVTPPHRLLPPLSPYGLIGEREGRGGRASPVSKHRATGSAPVAIAIAAPRLEGATVTWRGGVGKVTCRQNREREGRVTAALRVGREEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGDLMMVFDQLVRPVRGGLIVPNRDLDRWCATAAGEETVAKNPSKKEP >OGLUM11G09350.2 pep chromosome:ALNU02000000:11:9416083:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRECSDLLMRMQERIYYYMVPRRVSLSASRDEVDGVDEPLRAASVVARRADLAAEAGVGEFAKENQVYSKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIFPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQVTKNCPNYKRYYRERSKGGVVVIKDSTNRSRRSNSRTKVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >OGLUM11G09350.3 pep chromosome:ALNU02000000:11:9414860:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIFPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQAVLLLSKIPPTEVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNFHGGVAR >OGLUM11G09350.4 pep chromosome:ALNU02000000:11:9416083:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQDDIIFPPSWFQNIAMASLHKFMEPLFNMWPMNKLRKRALTNLMDHIHYEDENSNYVGLCPINKVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQAVLLLSKIPPTEVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >OGLUM11G09350.5 pep chromosome:ALNU02000000:11:9416083:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQAVLLLSKIPPTEVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >OGLUM11G09350.6 pep chromosome:ALNU02000000:11:9416083:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKAVLLLSKIPPTEVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEEYIDSGRPHVVHTAWGMLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >OGLUM11G09350.7 pep chromosome:ALNU02000000:11:9418767:9440245:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.7 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKFGEGASNPLLRSSNGFLGRETWEFDPNGGSPEEHAVVERLRRDFTRNRFTQRDKHEVSNLKDSSEVTEEVLLTSLRRVLDQYSSLQAPDGYWPGGYSGILFILPLMNEDGGWSTHTLGPSSMFGSCVNYATLRLLGEVLDEHNDGLSKGRAWILSHGSATVAPQWAKIYLSGTIQLFQNYGCFHIFFPFIQVLNMICCWIENPNSNAFRRHLPRIHDFLWLAEDGMKSKVYVGSQCWDTALIVQAYCSTGLTQEFSETIKKAHDFIKNAQAVLLLSKIPPTEVGDPIQEQRLYDAIDCLLSYVNKDGTLSSAESKRTTPWVEFINPSESFRNIIVDYPYVECTSSLIQALILFKGVHPGYRREEIDRIIKNGVLFIEKKQKNDGSWYGSWAVCFTYATFFAIKGLVAAGRTFQNSLSIRKACNFLLSKQLSTGGWGEDYLGCQVEKFAITCLIEPMFVGQSVQALQVTVIKWPSIFQIRSSLV >OGLUM11G09350.8 pep chromosome:ALNU02000000:11:9416083:9416765:-1 gene:OGLUM11G09350 transcript:OGLUM11G09350.8 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLIYAGQVELDPAPLYRAAKELINMQLETGEFPQQEILGSFNSSLFFNYTNYRNLFPIWALGEFHRRLLAKRA >OGLUM11G09360.1 pep chromosome:ALNU02000000:11:9442348:9442795:-1 gene:OGLUM11G09360 transcript:OGLUM11G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPTGSSSHGGGANLEEARSSGTGRIRPNVCSSASSFNISCLIPVVSWLIPRYQTRYPVSCLVSDLIPIGIRLGTWVSWLGVRPGTRRYQAWYLVSGYHG >OGLUM11G09370.1 pep chromosome:ALNU02000000:11:9478886:9479940:-1 gene:OGLUM11G09370 transcript:OGLUM11G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQCLLLVWAPNGPSDSAQSMAKPLEGVLACWAFSWQGSILGETHALRQGPSLAKLVNLMPQLLLFGFHLCCPFDLRHLPPACAFAYVLGFGRPGQRSSWYTSNKPLKGKGEYGASTKTLQIVWYTMRRSVSADVLAETYIFLPAKNLNGKLPFRTPWRHVAVPYWVAGEPSRGWVIYTLTPGVTFTFTSSHFYHLLCGWYRFVSPCVHEISSPTSTSVTSALTPGFPDFSSMAPRKPAPASATGPDPGKIGEGHIRYLGASLIDKTELAKLFSAGVMAEG >OGLUM11G09380.1 pep chromosome:ALNU02000000:11:9500883:9503085:1 gene:OGLUM11G09380 transcript:OGLUM11G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYGMPSSVSHPAATPACPIEPKTKTTPLPNAIDKPRRSSPLISDRRRASATMNSGAPNPDILNSEVADPTIPSLGAPDPAVLASATPDPSAR >OGLUM11G09380.2 pep chromosome:ALNU02000000:11:9500883:9503085:1 gene:OGLUM11G09380 transcript:OGLUM11G09380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYGMPSSVSHPAATPACPIEPKTKTTPLPNAIDKPRRSSPLISDRRRASATMNSGAPNPDILNSEVADPTIPSLGAPDPAVLASATPDPSAR >OGLUM11G09380.3 pep chromosome:ALNU02000000:11:9500883:9502058:1 gene:OGLUM11G09380 transcript:OGLUM11G09380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYGMPSSVSHPAATPACPIEPKTKTTPLPNAIDKPRRSSPLISDRRRASATMNSGAPNPDILNSEVADPTIPSLGAPDPAVLASATPDPSASAKQKSPFPSLASRSELAVNQFRRLLWLLWLNPCPRMHLALTPSKIMSY >OGLUM11G09390.1 pep chromosome:ALNU02000000:11:9501799:9515717:-1 gene:OGLUM11G09390 transcript:OGLUM11G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSWACQELCFLSRKSNGGGDLVGARRFGWNDFVYSQWRRTHASIGEDGTRWTMGFTEKDRLGVEESWVVLFSTPKEDACHVFDEMPTRTGREEEKVGRLGADLGFPTGWLAGPRKAGPSGNEEAVTTEDGVEGDSRCLLSPREVDLDDTG >OGLUM11G09400.1 pep chromosome:ALNU02000000:11:9515996:9516317:-1 gene:OGLUM11G09400 transcript:OGLUM11G09400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIADEKAYPGHGLGGSSEGSSGDGVLLRRRVASAAGLLQRWNLWKVEGESLMECGGARDRAIAHQGSGSMVRAAAAVFWPTRRTTERRLCPAAFRLRRRVK >OGLUM11G09410.1 pep chromosome:ALNU02000000:11:9664313:9667341:1 gene:OGLUM11G09410 transcript:OGLUM11G09410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQT >OGLUM11G09410.2 pep chromosome:ALNU02000000:11:9664313:9667376:1 gene:OGLUM11G09410 transcript:OGLUM11G09410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQTS >OGLUM11G09410.3 pep chromosome:ALNU02000000:11:9664313:9667411:1 gene:OGLUM11G09410 transcript:OGLUM11G09410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEIFVGAHSYAAICAFTLIIGWLAHWVYRWINPPCNGRLPPGSMGFPIVGETFQFFRTSPSIDMPIYYKRRLERYGPIFKTNIGGQHVVISLDPEVNQFIFQQEGKLFQSWFPETTLNIFGKKTLTTYNRTAHKLIRSFVCKLYGPENVKKSLLPELENSMRESLASWIGKPSVEVNDGVSNMIFGLAAKHLIGLDITNSGELKKNFQEIFQVMVSIPFPIYFPGTSFYRCMQGRRNVWTTLTNVMKKRLSAPGNKFGDLVDLIVEELRSENPTIDESFAIDTLSGLLFASFAPLSCTLTTTFKFLNDNPEVFDKLKEEHEMILKKREGANSGFTWEEYKSLKFSTQVVNEINRITTVIPGGFRKALTDVQVNGYTIPSGWLVMISPMGVHLNPKLFEDPLKFDPWRWTEEKRISMQRNFMPFGGGIRMCPAAEFNKLFITLFLHIVVTEYRWKDIDGGNVKRISEVLVAQEYHIQLVPQT >OGLUM11G09420.1 pep chromosome:ALNU02000000:11:9675271:9675581:-1 gene:OGLUM11G09420 transcript:OGLUM11G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSRSCLSCKLGNDDPQQMSRQCDEGLEVEHFERASGENRVPFGTGVDSILDVVPLLKASLRRFLLH >OGLUM11G09430.1 pep chromosome:ALNU02000000:11:9715688:9715891:-1 gene:OGLUM11G09430 transcript:OGLUM11G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGRRAHAILFLLPCSGHINPMLKLAELLHSRRVPVTFVNTEHNHERLRRRRRRRVPVRGGARQAA >OGLUM11G09440.1 pep chromosome:ALNU02000000:11:9716950:9742716:-1 gene:OGLUM11G09440 transcript:OGLUM11G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICQSSMALSRVYNTNHRKQTKDKDAPPTAIDLFKECHCNSKMGFNDPVKNDGIVLLKNDAGALPLDRATVRSAAVIGPNANDPAALNGNYFGPPCETTTPLQGVQRYIFSVRFLAGCDSPACGFAATGQAAALASSSDQVIMFMGLSQDQEKEGLDRTSLLLPGKQQSLITAVASAARRPVILVLLTGGPVDVTFAKNNPKIGAILWAGYPGQAGGLAIAKVLFGDHNPSGRLPVTWYPEEFTRIPMTDMRMRADPATGYPGRSYRFYQGNPVYKFGYGLSYSKFSRRLVAAAKPRRPNRNLLAGVIPKPAGDGGESYHVEEIGEEGCERLKFPATVEVHNHGPMDGKHSVLVFVRWPNATAGASRPARQLVGFSSQHVRAGEKARLTMEINPCEHLSRAREDGTKIAKERDRPDKSQQAAQISKRVGQMKRLAGGRGGGVGRSLTSGEL >OGLUM11G09450.1 pep chromosome:ALNU02000000:11:9743881:9744207:-1 gene:OGLUM11G09450 transcript:OGLUM11G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSNSKQYVAVQDGFKVLKLHYSAPNLHNKPTQARPRWQQRRRPHEVRHGHLPPRRARRAAWPRGEDGVASESVWVGEFMVPKFKVSFADSVVGILGQLGLRLPFSP >OGLUM11G09460.1 pep chromosome:ALNU02000000:11:9744228:9744922:-1 gene:OGLUM11G09460 transcript:OGLUM11G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTALADRSASGGPRVAFTSGVWCDAALPLIKHAYRDAVQPYNAEAITVDFENKAGKARKQINEWTRQVTRGLINSVLPPGSIGLTTAIVLGNAIYQEEAVLPP >OGLUM11G09470.1 pep chromosome:ALNU02000000:11:9756253:9763325:-1 gene:OGLUM11G09470 transcript:OGLUM11G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMRLQQLKDITGNFSKEQELGRGGFGVVYKGILKNGVSVAVKRLEVNPGIQDKQFKNEINLVVLNGICKGLCYLHEECQRKPIIHLDLKPSNILLDDNLVPKIADFGLSRLFGEEQTRTCTTMVIGSIGYMAPEYYSEGEISSKSDVYSFGILILEIVTGEKNQRSRVDPSGQRYISTVWNKWSRMSKIMSQSSSLDADGCQQVDRCFKIGLNFVDLDPRRRPLASQIINMLSWECKKNEGMPSELVPKSSDGSCTSSAVTNHTVDGMCISVKIPSIAKTIELSVKKSDIVADVKLQIELKEGIHLDNQILMYAGRHLGDSQILSECGLSDDHILHVLVSPADKMCVYINIRDTRTVRVDVRNWYTVADVKLMVETMFGFPECSQILLPTKSGDAIELNGTQTLKDQNIKNNAVLMLLPDFPIFIKTWEGRTLTMVVSSFHTEEDIWEKIQKKSMINPKNTFSVTLGMF >OGLUM11G09470.2 pep chromosome:ALNU02000000:11:9756253:9763325:-1 gene:OGLUM11G09470 transcript:OGLUM11G09470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQMRLQQLKDITGNFSKEQELGRGGFGVVYKGILKNGVSVAVKRLEVNPGIQDKQFKNEVNHLLGLKHQNIVQLLGYCDERQERLIYDEYQRKYICAEVQEKLLCYEYMPEGSLDEIIFDQSCGLEWCDCYAIIKGICKGLCYLHEECQRKPIIHLDLKPSNILLDDNLVPKIADFGLSRLFGEEQTRTCTTMVIGSIGYMAPEYYSEGEISSKSDVYSFGILILEIVTGEKNQRSRVDPSGQRYISTVWNKWSRMSKIMSQSSSLDADGCQQVDRCFKIGLNFVDLDPRRRPLASQIINMLSWECKKNEGMPSELVPKSSDGSCTSSAVTNHTVDGMCISVKIPSIAKTIELSVKKSDIVADVKLQIELKEGIHLDNQILMYAGRHLGDSQILSECGLSDDHILHVLVSPADKMCVYINIRDTRTVRVDVRNWYTVADVKLMVETMFGFPECSQILLPTKSGDAIELNGTQTLKDQNIKNNAVLMLLPDFPIFIKTWEGRTLTMVVSSFHTEEDIWEKIQKKSMINPKNTFSVTLGMF >OGLUM11G09480.1 pep chromosome:ALNU02000000:11:9793527:9794258:-1 gene:OGLUM11G09480 transcript:OGLUM11G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLEFLYVQTVDGMRISVKIPSIAKNIELSVKKLDIVADVKLQIKLKEGIHLDNQILMYAGRHLGDSQILGECGLSDDKILHVLVSPADKMCIYINIRDTTTVRVDVRNWYTIAYVILMVETMFGFPECPQILLQTKSGDDIELNGTQTLKDQNIRNNSVLMLLLDFPIFVKTWEGRTMTMVVSSFHTEKDIWEKIQKKYQINAKEHFLCYSRRVLNPGVMLHVYKVKSNSTISIRLGNPHS >OGLUM11G09490.1 pep chromosome:ALNU02000000:11:9796277:9803404:1 gene:OGLUM11G09490 transcript:OGLUM11G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASAGDAAAILSGGAAAFPSGGAAAIPLWFAGGYDAAVKLELISSWVIEKDQKWIFLVNLTVTMIDQHFEENDPLLHLTKEELMKKLKIKLGKRPFTHDHKKKRQKVESDSIIDDALLEIHNDLVLHSLKMKLSMLGEQSENKRKTFEKDTRCEEVFTRFNVKYFSEVINNLSVHDKEVIGRICFNSLLNFQSSFVPNQFSSWIANHVDVSSSDIVVDDKVIPLTEDCVHIILGLPVGGIEISSNFELGKNKILETFGKSAMPSVKFFGDKFIKGEHMTDDQILISFMLVSLNCFLCPNSSLVPSNKYLSAFENIELIDNLNWSKLIFDWLMKHIRKLEKSKSLGGCFYCLAVNYLDYVNFGLRKLPLDIPRINVWKGNMIKEFSKFDKKSKGVYGRRPLKDISSTCYKMIDTVASSDDFPKKHNNTSFFEMVDSSISNMLPVDIKNIIHGLLVHYFGNEDDMADERPKKLLVDVLALLADGKQDCNPTDQNNDEKNDVTSAHSPKDNMDNDIDDNRNANNKEEIDNVDVAKIMKKLTKEGQEFVTPVNCNPDCNIPSCLAPNKAKSRIVGFNNREPVLLDDDFPKFQIWDPADDIDILNNEVTPVFDHNEKYIVPDSFSPIPAKQAIKKLISTKNLSQTLEDNIEDCVNCSDNEKSD >OGLUM11G09500.1 pep chromosome:ALNU02000000:11:9802721:9803053:-1 gene:OGLUM11G09500 transcript:OGLUM11G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEKDPPKHQSKIKMNSAEIKKRKIGLGKDQGSEKKEMSGKNIKSIKETGTKGQSKELQKEESKSRKSTKDKSKKNKDL >OGLUM11G09510.1 pep chromosome:ALNU02000000:11:9829944:9830915:1 gene:OGLUM11G09510 transcript:OGLUM11G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKRLQVNPGIQDKQFKNEVNHLLGLEHQNIVQLIGYCDERQERLIYDEYQRKYICAEVHEKLLCYEYMPKGSLEEIIFYQSCGLEWCDRYAIIKGICKGLCYLHEECQRKPIIHLDLKPSNILLDDNLVPKIADFGLSRLFGEEQTRTCDRMVIGSIGYMAPEYCSKGEIYPKSDVYSLGILILEIVTGEKSERSHVDRSGQRYIKTVCPHCFSKYTVKDFFFAIIVILT >OGLUM11G09520.1 pep chromosome:ALNU02000000:11:9841521:9842150:1 gene:OGLUM11G09520 transcript:OGLUM11G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGGNSEKEVGDRDGAGELWGGSGAVEIVGGGGEHAVNITYFLRRNMISIKLLDRRTKENYQQVAGVI >OGLUM11G09530.1 pep chromosome:ALNU02000000:11:9842999:9843790:-1 gene:OGLUM11G09530 transcript:OGLUM11G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEREGGGEGWRGRRRAQARATGRAAAELERGSWRRSGTGGEAGSGAAEPRGGRIRRHRAARSLDPLRGQGHRRPGGQAQCQWSYSSSRSDEGIVGEEEREDAAVRA >OGLUM11G09540.1 pep chromosome:ALNU02000000:11:9846318:9854664:-1 gene:OGLUM11G09540 transcript:OGLUM11G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFRIAAAMAVALVLLLLILPPRVAMADEPPYSCGPPPPPSSSLAAQGQQQQPHRFCDARLTAEQRAADLVANLTLAVSQLGDRAAGVARLGVPAYEWWSEGLHGLSIWGRGIRFNGTVRAVTSFPQVILTAAAFDAGLWRRVGEAVGAEARALYNLGQANGLTIWSPNVNIFRDPRWGRGQETPGEDPVTASRYAVAFVTGLQGIGGEASACCKHATAYDLDYWNNVVRYNYDSKVTLQDLEDTYNPPFKSCVAEGKATCIMCGYNSINGVPTCASSDLLTKKVRQEWGMNGYVASDCDAVATIRDAHHYTLSPEDTVAVSIKAGMDVNCGNYTQVHAMAAVQKGNLTEKDIDRALVNLFAVRMRLGHFDGDPRSNAVYGHLGAADVCSPAHKSLALEAAQDGIVLLKNDAGALPLQPSAVTSLAVIGPNADNLGALHGNYFGPPCETTTPLQGIKGYLGDRARFLAGCDSPAAXISDCNPTDQNNDEKNDVTSAHSPKDNMDNDIDDNRNVNNKEEIDNVDVAKIMKKLTKEGQEFITPVNCNPDSNIPSCLDFNKEFITNFGR >OGLUM11G09550.1 pep chromosome:ALNU02000000:11:9878513:9887542:-1 gene:OGLUM11G09550 transcript:OGLUM11G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAASVLLVLTAAVLLLLVEGGEPPYSCGPRSPSSGYAFCDARLPPARRAADLVSRLTAAEKVAQLGDEAGGVARLGVPPYKWWSEGLHGLSYWGHGMHFNGAVTAITSFPQAIGTEARALYNLGQAEGLTIWSPNVNIYRDPRWGRGQETPGEDPTTASKYAVAFVKGLQGSTPGTLQTSACCKHATAYDLEEWNGVARYNFNAKVTAQDLADTFNPPFKSCVVDAKASCVMCAYTDINGVPACASSDLLSKTFRGQWGLDGYVSSDCDAVALLRDAQRYAPTPEDTVAVAIKAGLDLNCGNYTQVHGMAALQQGKMRESDVDRALTNLFAVRMRLGHFDGDPRSNAAYGHLGAADVCTQAHRDLALEAAQDGIVLLKNDAGALPLDRATVTSSFLNGIGVKDSEKEDNASARGGRNF >OGLUM11G09560.1 pep chromosome:ALNU02000000:11:9889599:9890788:1 gene:OGLUM11G09560 transcript:OGLUM11G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDYTMAVDGGGRRRLRQRHCFGPRCRTLGSTLTSKEVFTWANTNNQQLPTSAILIEQASMASYDVEVFMRVNLLLLNEQMWEARSK >OGLUM11G09570.1 pep chromosome:ALNU02000000:11:9920558:9921342:1 gene:OGLUM11G09570 transcript:OGLUM11G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGCEGQATALLDRATVARPSEGAVRSGSGGVLPCGGSSARPCDSEAAGGAAHDGAAGRGPGGAAGGHGMARQTAGGATPTIGIHRRLQVGDDGIHRKPQKRNEEDARNSFLQFNVQDARGF >OGLUM11G09580.1 pep chromosome:ALNU02000000:11:9925536:9926093:-1 gene:OGLUM11G09580 transcript:OGLUM11G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLAAFASGLSTGDATSGRGTSGGGGSGAARLRQAAPTLAPRPLVGDATSGRCTCGRGGNGAARLWQAPSGAAVRWGRRRLLPSHGHEGGGCGDGALRPNRQQRGARAARGWRTSRATRGKALGENLHGEEERVRGRGGITRRVARAGAVEDVERRERSTDEEAKHSG >OGLUM11G09590.1 pep chromosome:ALNU02000000:11:9931501:9940463:-1 gene:OGLUM11G09590 transcript:OGLUM11G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLATFASGLSTRDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATSGRRTGGGGGNGAARLRQAPSGRFGGGDDVFSPPTATRAVVAEMELYNLTGGCAERGLHGDGEPPGPRRGKGLGENLRGEEERVRGRGDVTRHVARVGAVEDVERREHNAGEEAEHSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSNKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLMDDLALRGNIETAELLIFASNTLPNNFQRWNMFHFLWGVFRGCSNGIKSLFHPLVGNPLDGQSHDSITAMFPTNNSSAIDDFLPVPTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVNGRPQHKKIKLDDGGSVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >OGLUM11G09590.2 pep chromosome:ALNU02000000:11:9931501:9940463:-1 gene:OGLUM11G09590 transcript:OGLUM11G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLATFASGLSTRDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATSGRRTGGGGGNGAARLRQAPSGRFGGGDDVFSPPTATRAVVAEMELYNLTGGCAERGLHGDGEPPGPRRGKGLGENLRGEEERVRGRGDVTRHVARVGAVEDVERREHNAGEEAEHSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSNKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHVSTLLTSNYPKNQMAPLRGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLMDDLALRGNIETAELLIFASNTLPNNFQHDNNQGCSNGIKSLFHPLVGNPLDGQSHDSITAMFPTNNSSAIDDFLPVPTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVNGRPQHKKIKLDDGGSVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >OGLUM11G09590.3 pep chromosome:ALNU02000000:11:9931501:9940463:-1 gene:OGLUM11G09590 transcript:OGLUM11G09590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGKSVVAALAVAFFLLATFASGLSTRDATSGRGTSGGGGSGAAQLRQAPPTLAPRPLVGDATSGRRTGGGGGNGAARLRQAPSGRFGGGDDVFSPPTATRAVVAEMELYNLTGGCAERGLHGDGEPPGPRRGKGLGENLRGEEERVRGRGDVTRHVARVGAVEDVERREHNAGEEAEHSVVLCRELSISLPSAAAASAAADPHPSREAPASRAPSVIFNSEKESTSLVSNAQENNVTYVDSSSEESEDQQVKICDICGDVGEEKKLAICSRCNDGAEHIYCMRVMMPEVPEGDWFCEECRTEMQIEKEKSILEKSQVKVSTISVGSKVKAANVSNKDLNVSNTSSKSTKEDAEEGIVPSGCTSTGKEEDGRSCIGGSEAANKNEEQTSSGRNDDFNLKILDGERHMQSHNNDVPFTSVAHDISNMAVKNKSSIKSEVKSSEEVEDVKVCDICGDIGAEEKLAVCSRCNDGAEHIYCMRVMMQEVPKAKWLCETCHSEVESEKRKNKIETSELKVGGSKGPMNKPSSSENGVDAENVGSNMSNRGNEMNSVNKRKDGDAGITSLVRQNPVSRESSFKLDGKKGKDPAGHGLTLQACNQRKLYTPSFNAGQLSKSTSFNNSKVPKVKQLLNEVPQKPKTFKDSLSTPMRKEGPMGILAKSASFKKPKCFEPVNKAKPSTVMNPLVSENARNDILTSILGSRSLTGSVTVPVHSKAQSSAQHLNKGNRMADSNILGTSGGEGHCGIKKPPHTKGHANIGMLGSGAQKKTIQVPDSSHLDDQIKSPSSLVPSNSSSVSIPGSASLRDHQTVPSMRGRSVDSISAMSKDMKEKKITGGFELSRTVRSPVLCEGLQAHLSCFASPKVLEVAKKFPSNVQLEELPRQNLWPPQFHDNGPTIDSIALFFFARDTESYEIHYRKLVENMLMDDLALRGNIETAELLIFASNTLPNNFQHDNNQGCSNGIKSLFHPLVGNPLDGQSHDSITAMFPTNNSSAIDDFLPVPTRKDLKLAYSEQKEKMGYPSVGNGCDVNFDVNMKLNTCSFSVIHGKGNESTNNKMDNAEHLMDGDSVNATGVSSSNVHTISHVSGGVRKRSVDVANWDDKVNGRPQHKKIKLDDGGSVSCAHAFMARKELSKMQQCLRSVGKMSRTKPS >OGLUM11G09600.1 pep chromosome:ALNU02000000:11:9939791:9940090:1 gene:OGLUM11G09600 transcript:OGLUM11G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPHHHYYHFSIKQLNWFGATVVLAFSTTVPLTDIAFSTTVLGFLAGVVLPALYVLDSSHSGDMAGDVATSPHAFLLAAQIFTEALAAAWPGRFSIPV >OGLUM11G09610.1 pep chromosome:ALNU02000000:11:9974551:9981225:1 gene:OGLUM11G09610 transcript:OGLUM11G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNAAAAADSAARTRYARGQLEALRAAPSEEAQARLWAEVSAALAAAGFSGEYDGLMDAEDPSIRKPGRKGKKAAGGGWKRHDAAAATQFLETDEVGAWRNGDSGVRYEHYVDEPRSVLQVIEEPFVQGGNAEYEDADSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEANQIPRVKVATIDLNTARNEQTPYMPEIPDIAKCSPDLCASKPWEDTFITYFSETRLAFSELDSSDGPSVSGGTKNLLKPSNRSEPQTDPTLTMIRNMDAVSRAATLRNYIDMIQSLDKLSRNDCLWLFSLCVAVDTPLDAETCASLRSLLRKCANVLAAKLEMDDEVAMLNILITISGRFFGQYDNH >OGLUM11G09620.1 pep chromosome:ALNU02000000:11:9998744:10000128:-1 gene:OGLUM11G09620 transcript:OGLUM11G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCALTRQGKARLCRALVVLLLVVTSIVKAADLAAVAASATVSAAGRLNGDDGGGLGGGWVGAVRGREGHTAHRRQIWRPAGEGWQPWRLTTSAGKKEWRRWRLGGGGGIDGQIRVPEARSVSRRQTRRLSSVVIVVVARAWRR >OGLUM11G09630.1 pep chromosome:ALNU02000000:11:10027245:10029135:1 gene:OGLUM11G09630 transcript:OGLUM11G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDPLVVGHVVGDIVDPFVTTASLRVFYNSKEMTNGSELKPSQVLNQPRIYIEGRDMRTLYTLVMVDPDAPSPSNPTKREYLHWMVTDIPETTDARFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRQNFNTRDFAELYNLGSPVAALFFNCQRENGCGGRRCVR >OGLUM11G09640.1 pep chromosome:ALNU02000000:11:10031888:10042523:-1 gene:OGLUM11G09640 transcript:OGLUM11G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPPPAIGARREEAGSPASRLTSEPNRARSPSPPPHIILRFASARSIPPPHHHHSSAASPSPSPTSLASPRRFAVAAASFVRSSALLGPDRPFPRALDSLTYKGSIPDAILQSRRDNKLFVVYISDPQKAIPSISVIGQNGVMLWCHEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTELGYVCVVEALKGWRPPPWREEGVIYGGVRSKEKKPKPTL >OGLUM11G09640.2 pep chromosome:ALNU02000000:11:10033086:10042523:-1 gene:OGLUM11G09640 transcript:OGLUM11G09640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFPPPAIGARREEAGSPASRLTSEPNRARSPSPPPHIILRFASARSIPPPHHHHSSAASPSPSPTSLASPRRFAVAAASFVRSSALLGPDRPFPRALDSLTYKGSIPDAILQSRRDNKLFVVYISDPQKAIPSISVIGQNGVMLWCHEGYISSKDLKENIEKAWATLHLQGTAAAFLTASLASRMTEPVNTTPTTLPTQGGSSAAENPSTSSNQSTGTSGASGFANPTDSVAQPPRSTSRDETPSISEKESSNLDSSPGNRTVQERPDSDSAQVKGSLPDHPRSSNIEGCANPVQSGNTASLKQKNKVDDGFTKVSSESVPTRVASRGKSSKVAVDQDKATTTSTPIEPAPVRSNDIQLVVRIPDGPSLQIKLTKDDNLRKVKNFVDENRANGAGSYDLAMLYPRKVFTEQDMEATLHELGIETRQALTIVPHHKTIRAAKRQSSSSPHEGDNNMDADSSGGSGYFGYLRTALSFVNPLSYLRANAAPSNPDQLANQGSPQYRPSTGPWNRPGEDTASESRIPDSASQQAARDTSSGSTLRRRPRQFGGNVHSLRSDDQGPSDDRNVYWNGNSTEFGGEDKK >OGLUM11G09660.1 pep chromosome:ALNU02000000:11:10065941:10066321:-1 gene:OGLUM11G09660 transcript:OGLUM11G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCALGGLQLGSSIAIVQLRPFDLVKASKITPLQKSKGYMHVCIPKWLASFARDLELSGAYGRERRRCRCSDKQRSGRQAVAAATLEGEIGKKRKS >OGLUM11G09670.1 pep chromosome:ALNU02000000:11:10071092:10082519:-1 gene:OGLUM11G09670 transcript:OGLUM11G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIWHRATSAWKSCDTGWWQEMLKATARRLSVSSGLTVASSRRRGGVRGMAGAGTDGCTNLQLGHAGEDLQSMTRRWQLTHGTATIGPNEPTPPPPLAILASSSAMAAAPPELALPAPTWKVDGIVEMLSSRSRVLLPPCSSMGLTQLFLMVFLFRSRHCSQSMGRGSLRWRSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDDELLPAPAPAPTPKPSNVGPRESSGCTSIDRPILCAYTASPREKRLNSSETYSVRLEFIECNPPIHLHYLFAPFGSSSSPPASLWACLVGDLSTTLEEFARLRGIQQTHGVLPDQAA >OGLUM11G09670.2 pep chromosome:ALNU02000000:11:10071092:10082519:-1 gene:OGLUM11G09670 transcript:OGLUM11G09670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIWHRATSAWKSCDTGWWQEMLKATARRLSVSSGLTVASSRRRGGVRGMAGAGTDGCTNLQLGHAGEDLQSMTRRWQLTHGTATIGPNEPTPPPPLAILASSSAMAAAPPELALPAPTWKVDGIVEMLSSRSRVLLPPCSSMGLTQLFLMVFLFRSRHCSQSMGRGSLRWRSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDDELLPAPAPAPTPKPSNVGPRESSGCTSIDRPILLYSHPPIHLHYLFAPFGSSSSPPASLWACLVGDLSTTLEEFARLRGIQQTHGVLPDQAA >OGLUM11G09670.3 pep chromosome:ALNU02000000:11:10071092:10082519:-1 gene:OGLUM11G09670 transcript:OGLUM11G09670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIWHRATSAWKSCDTGWWQEMLKATARRLSVSSGLTVASSRRRGGVRGMAGAGTDGCTNLQLGHAGEDLQSMTRRWQLTHGTATIGPNEPTPPPPLAILASSSAMAAAPPELALPAPTWKVDGIVEMLSSRSRVLLPPCSSMGLTQLFLMVFLFRSRHCSQSMGRGSLRWRSRLAWDTASSQAKCFLLFLLPSSLLPSDSDDDELLPAPAPAPTPKPSNVGPRESSGCTSIDRPILNIFIFEVLNEGSNPPIHLHYLFAPFGSSSSPPASLWACLVGDLSTTLEEFARLRGIQQTHGVLPDQAA >OGLUM11G09680.1 pep chromosome:ALNU02000000:11:10076773:10078356:1 gene:OGLUM11G09680 transcript:OGLUM11G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPELSLGPTLLGFGVGAGAGAGKSSSSSESDGSSDDGSRKRRKHFAWEEAVSHASLDLHLNDPLPMDWEQCLDLHSGRMYYLNRKTMRKSWVRPMEEHGGSNTLDLELNISTIPSTFHVGAGNASSGGAAAIAEDDARIASGGGGVGSLGPMVAVPCVNCHLLVMLCKSSPACPNCKFVQPSVPAPAMPRTPPRRRLEATVKPLETLSLLH >OGLUM11G09690.1 pep chromosome:ALNU02000000:11:10088030:10091606:-1 gene:OGLUM11G09690 transcript:OGLUM11G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLSSSIVEHFAHKCFWCINIITGKDSMGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >OGLUM11G09690.2 pep chromosome:ALNU02000000:11:10088030:10091606:-1 gene:OGLUM11G09690 transcript:OGLUM11G09690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDSLSNLIGGFYPNNSLIAWGAAVAVHQEDLRQIEHQFISMYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >OGLUM11G09690.3 pep chromosome:ALNU02000000:11:10088030:10091985:-1 gene:OGLUM11G09690 transcript:OGLUM11G09690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCCSSRGSETDRAPVHIYRQQNQEEHEPLSSAYDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVSLPVPENPDLEKSDLKSKTDDQQEESLEVDEFKSCEKCVAEDKPDEEDVCPICLEEYDAENPRSLTKCEHHFHLCCILEWMERSDTCPVCDQVS >OGLUM11G09710.1 pep chromosome:ALNU02000000:11:10103856:10104842:1 gene:OGLUM11G09710 transcript:OGLUM11G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHEKPNRFTPRQLAGFTRGYSARLGAGGFGTVYGGALPNGLGVAVKVLRGGMGRRSKEQFMAEVGTIGRTHHINLVRLFRFCFDAAVRALVYEFMGDGTLDAYLFDRTRAVGDSDGLRSPPSSLLWAKAAWPRTARLAVMCAWLRRSGLRKTAAEWPWREQQNDEQELAVAAVARRWKEEKLAVAAASSWKAREEENASGSVAAAHGEWRRSAGKGDAASCSRIAVPPCPPPTGRRRGWGVAALGLVVVGGASGQG >OGLUM11G09720.1 pep chromosome:ALNU02000000:11:10104871:10105116:1 gene:OGLUM11G09720 transcript:OGLUM11G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAAPSPSAASPASPRRAPSRPPPFTVGVLLLLRRRIDGVPRCSLPVASATSSLRVREQSERKERETEKEKGEREGDVDI >OGLUM11G09730.1 pep chromosome:ALNU02000000:11:10107039:10107599:1 gene:OGLUM11G09730 transcript:OGLUM11G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGMIQAKFPRQWAKKKKKQTKSCIQKLMQNSRFLRVANFDGLEIGKKLPSEEFIKQLGDLQFLSALTLRGTSYTVSQLIFDHGFHSLTELMVSAENLDRIEIHELALPKLKDLDVVSHGNDFHVEIHGRLVRGIKGEDEKPFKILHVKSRENNKNEDSMS >OGLUM11G09740.1 pep chromosome:ALNU02000000:11:10110651:10117108:1 gene:OGLUM11G09740 transcript:OGLUM11G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARRLAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHVVAAMCSKVPFLSNAIMKATQCDSFNMVVNNGAAAGQVIFHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >OGLUM11G09740.2 pep chromosome:ALNU02000000:11:10110651:10117108:1 gene:OGLUM11G09740 transcript:OGLUM11G09740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSPARRLAVLRSHLQPAAPAGEGDRDVVVCAEQAAGVSTSPCSAAAAAAGGGREAEGKSCVFCRIIRGEAPAFKVYEDDVCLCILDSHPLAPGHSLIIPKCHFPSLEATPPHTHFHIIPRRSGDKLWPTESLRRRSIEPNETSGLVSCIKEQLYSSPEGCKAEPPSSLPKER >OGLUM11G09750.1 pep chromosome:ALNU02000000:11:10143812:10148005:1 gene:OGLUM11G09750 transcript:OGLUM11G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTGKMPARVKRIRFADSQNKCEVVLPQTLASGGASSSRAVGEATQSKPKRRRRATSAGEGPSGDEPSETKGPNLTRCSAALVVQACRALSTVHHEKLEEIGLDAVAYKSLESLEQPDLIQWLMDKTDPDTMCVSIDDDRKIPITSRTVSLVLGTPLGGNDIVLPSHKVVRTVHESITDELGIHKKARLSTKQLIELLVPTTDFYVPKGDVWVASDLDRVAAIDWSKAVFRALNDSIRCWRQNPASSIASCVVFLVVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVVADQEASGDGTPPFGNLPGPMVEDIMAPAYNFPNMSTILGPHLAGLPSDQRLGLLESLAEYDRQAKESALEIERQFRLVVDKQHMLCQSVIDVLQANRAAQPPPVVPQAAQCQEASRRQSDVQFTSTEINPNDSEDQQQQHHGCNGSPSAFDQHTIHPNAPMHVSPSMEIVPYIPPVRIEVADQPHPGSAHSPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAEEPPAIEAGGVIGNVPGASTAIQTEDAPRAVDQESHGTELLAETNEDTDDANSTPWSQPKRFIQKPARFVSPVVVGPSIMPSDVSLRVQLRDFLLTNGGHMDSVKLLEIDSSVAYGNDELKSFSNGNLTEWLFIDAFSSILFKDDMRNMPDTFGKRIFFPTSVSVT >OGLUM11G09760.1 pep chromosome:ALNU02000000:11:10155059:10155319:-1 gene:OGLUM11G09760 transcript:OGLUM11G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETESTKTHFVVPTHNPTTDATPQQDEHESNLPEEFRVTSPPPLSPPPYPLSPSMEDDGMIYAEDLGYMSTPCPSPLRTSTI >OGLUM11G09770.1 pep chromosome:ALNU02000000:11:10163488:10168432:1 gene:OGLUM11G09770 transcript:OGLUM11G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNWLGFSSSFSGAGADPVLPHPPLQGKTSHLMHQWGSAYEGGGTVAAAGGEETAAPKLEDFLGMQGQQETAAAAAGHGRGGSSSVVGLSMIKNWLRSQPPPAVVGGEDAMMALAVSTSASPPVDATAPACISPDGMGSKAADGGGAAEAAAAAAAAQRMKAAMDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPVSNYEKELDEMKHMNRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSNLPIGTGTTRGIKDSSDHTDNVMDINVNTEPNNVVSSHFTNGVGNYGSQHYGYNGWSPISMQPIPSQYANGQPRAWLKQEQDSSVVTAAQNLHNLHHFSSLGYTHNFFQQSDVPDVTGLVDAPSRSSDSYSFRYNGTNGFHGLPGGISYAMPVATAVDQGQGIHGYGEDGVAGIDTTHDLYGSRNVYYLSEGSLVADVEKEGDYGQSVGGNSWVLPTPESEMVEKADNVTVCHGMPLFSVWNDA >OGLUM11G09780.1 pep chromosome:ALNU02000000:11:10207694:10216672:-1 gene:OGLUM11G09780 transcript:OGLUM11G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGASNGTSHDIVEASPKDLISIQIKSIDTIDYLTFTYKDTKGNQQKVSWGGNLGNDQPVDGIKIGMWGGDGTSRDIVEAPRDLISVQIKSKDTIDRLTFIYKNAKGRQTFVLNANEHVTEVYGSVGPFPLQNLPYTVNSITFVTSEGRTYGPWGTRGDNDTDFNVPLEKGRIVGFYARGDKFISAIGFYIRA >OGLUM11G09790.1 pep chromosome:ALNU02000000:11:10229994:10231874:-1 gene:OGLUM11G09790 transcript:OGLUM11G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNCRKPPQLVEREEEEGRGTTPNAVAGGRARAVVGTTLRNSILQGNKMVYNKMDDHQN >OGLUM11G09800.1 pep chromosome:ALNU02000000:11:10233150:10243757:1 gene:OGLUM11G09800 transcript:OGLUM11G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPCKSVSLRATIGTDHPPMPSETKGPNLTRCSAALAAKACRALSAVHHEKLGEIGLVAVACMYLESLEQPDLIRWLMDRTDPDTMFISIDDDRKIQITPRTMRLVLGTPLGGNDIVLPSHKVVRTVHESITDELGMHKKARLSAKQLIEVIKRQKDDPRAVRYFIMVLMSKLVVPTTDFYVPKGDVWVASDLDRSKAVFRALSDSIRCWRQNPASSIASCVVFLVVLYLDNILPPRDIGLDLTFTPRIQMFTKDIVDKLVAADQEAGGDGTLPFGNLPIRLRLLESTCYANKPVDRAKGPMVEDIRAPAYTFPNMSTIRGPHLAGLPSDQRLGLLESLAEYDKQAKESALEIERQFRLVVEKQHMLCQSRTEQHNPHPLCPRLLNAKKPVSDVQFTSAEINPNNSEDQQQQQHHGCDGSPSALHQHTIHPNAPTHVSPSMEIVPYIPHVRLEVADQPYPGSAHPPDLTQRSPIPTDSAPLTSEEVSAQYSAPDTAVEPPAIEAGGVIGNVSGASTAIQTEDAPRAPTPAPSLVLPPDTDMTDTQVYEKIEEICRVEGATTLSKTNEDTDDVNSTPWSQPKRFIQKPATFVSPVVVGPSIIPSDMSLSVQLRDFLLTNGGRMDSVKLLEIDSYVAYGNDVLKSFSTGIQTEGLFIDAFSSILFKDDMRNRPDTFGKRIFFSTSVSIMVPVLHHDHWSLYAINIAHRRVDIMDSNNYNLIGTLESDHHRALSKRVVKRLSDALHKVAPKSFCRFGGFRKNMMKCPKMQICSNDCAFYIMQFMEAYDGNRESIETHSIPSLEQQADQLGRVHRCEDVWTTSGLKMRLILMCTQMTIKQMQTMISGVMKVTIVVDESWVQNNLVVGVFRRI >OGLUM11G09810.1 pep chromosome:ALNU02000000:11:10243584:10244015:-1 gene:OGLUM11G09810 transcript:OGLUM11G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKQETQTTKTHFVVPTHNPTADATPQQDEHESDLPEEFRVTSPTPLSPPPYPLSPSMEDDGMIYAEDLGYMSTPCPSPPSDVDDLNPPEDPNNKIILHPAFIDDDGDLDIIQEDIYNFRYDQTPPRDAQSPATQFKRHKRD >OGLUM11G09820.1 pep chromosome:ALNU02000000:11:10258369:10258852:1 gene:OGLUM11G09820 transcript:OGLUM11G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPAQLGWVFTRKGRESTRQHLQGGNGARRCRCRRSCDQTRLSPKTPSKGVEPLRSQSRNRPNHQHRQTQHLSHRKAPQPGLEADSQKKGGAPRMKEA >OGLUM11G09840.1 pep chromosome:ALNU02000000:11:10324122:10328880:1 gene:OGLUM11G09840 transcript:OGLUM11G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRESTHSAVKSRAPTGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANRERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPPIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQSTSHGQRPHQPVQSQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPLKPMPSRQIPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >OGLUM11G09840.2 pep chromosome:ALNU02000000:11:10323982:10328880:1 gene:OGLUM11G09840 transcript:OGLUM11G09840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYEYATNGYHRGMEDDYEDEYYSEDPYDEEGEGAGQEYAEEDDEPPEGQQEFLQIRERLKEQIRRKAQAASASAAGRSSSSHDRKPQSTFGSFFGPSKPVISQRVIEERKSLKELQNTASMSRDRRPSAKEIPSSSKVQSKTNGHHHKQKIVNEAKRKAEALKDNRDYSFLLSDDADISPSPMEKPVARSSLSQKSDRESTHSAVKSRAPTGQTARLSNGYGLKNTSSSQRHTEGRVDSNRKVAGANRERVVLPDNGRMHSVVRNGSTQATTSKAASQKLPSKAPIANRPPIKSVSDQSLRANHSASKQLLSQNGRPQSSQSQRMQSTSHGQRPHQPVQSQRPLQSLQGRRPQQSPQNTRPQLMSKSQRPQQSLQRQRPQLSSQNERLESSERQRPPSQSYRPQSSQGQRPSSVQGRQYSEQRRIQANDRVKSAERQIRPPLKPMPSRQIPSNGMRDAHAKKKQPMKRRFNDLSEDEEDPLAMIRSMFRYDPSKYAGRDDDDSDMEADFATIEMEEQRSARIAKEEDDEQLRLIEEEERREQERKRRKMARGR >OGLUM11G09850.1 pep chromosome:ALNU02000000:11:10331112:10332874:-1 gene:OGLUM11G09850 transcript:OGLUM11G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLWHGETLRESLKRRQHFLALQLGLKKGMKVLDVGCGIGGPLREIARFSSASVTGLNNNDYQISRGQELNFSVGLSETCNYVKRNRRYQPLDPSQLSLTHFQFTRIGRFLGQTLVSKQFITQTEATEFLACSWERLEGIFTPLFFVLARKPLQKQEEQI >OGLUM11G09860.1 pep chromosome:ALNU02000000:11:10351203:10351830:1 gene:OGLUM11G09860 transcript:OGLUM11G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETGSVRRFLAAAGQRARGGAVAVAMDALLFEHLLLLQAVAGAILLQLQWAGAPSASRCGWVQWPLTLIPHLVLFSLALHGVASQRPAVPPCCACGGLTMAQSRT >OGLUM11G09870.1 pep chromosome:ALNU02000000:11:10368552:10370052:1 gene:OGLUM11G09870 transcript:OGLUM11G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENELHKYTIGIAAWKSDTEVRDNKIRYRHAIALSTREGAFELAVSLVETMRLPRYRSFVEREHHVWELIRCHAGIAVCKRESEIGLVIPTRETEHVVGPTLAKRLGASGPLVSHVQSARPISVSLSQCARPTAVGHALTLSKRETAWGPAGLGSRQTAAKSLYDHARPSRSRVFGMRDRCISAPVVSISDNTGTFTNPLCDIALSLNQSATAVVNNR >OGLUM11G09880.1 pep chromosome:ALNU02000000:11:10374429:10378453:1 gene:OGLUM11G09880 transcript:OGLUM11G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVHVSVGSLLSFALRSALCAEKMSSDRRRNLSLWGDTWLSPIGRSGLYEAGASLTQLTRIASTSSRLLRTLPMAVSTSRRGGGVLAAVVTAVAALAMLGGVAWGGNPGFTCGPASAQKGFAFCNAALPAEQRAADLVARLTTAEKVGQLGDQAPGVPRLGVPVYKWWSEALHGLAISGKGIHFGNGPARTATSFPQVIHTAAAFDDGLWFRIGQETPGEDPATASKYGAAFVKGLQGSSLTNLQTSACCKHITAYDIEEWKGVSRYNFNAKVTPQDLADTYNPPFRSCVVDGKASCIMCAYTLINGVPACASSDLLTKTVRGEWKLDGYTASDCDAVAILHKSEHFTRTAEEAVAVALKAGLDINCGVYMQQNAASALQQGKMTEKDVDKALKNLFAIRMRLGHFDGDPRGNKLYGRLGAADVCTPVHKALALEAARRGVVLLKNDARLLPLRAPTVASAAVIGHNANDILALLGNYYGLPCETTTPFGGIQKYVKSAKFLPGCSAAACDVAATDQATALAKSSDYVFLVMGLSQKQEQEGLDRTSLLLPGKQQALITAVATASKRPVILILLTGGPVDITFAQTNPKIGAILWAGYPGQAGGQAIADVLFGEFNPSGKLPVTWYPEEFTKFTMTDMRMRPDPATGYPGRSYRFYKGKTVYKFGYGLSYSKFACRIVSGAGNSSSYGKAALAGLRAATTPEGDAVYRVDEIGDDRCERLRFPVMVEVQNHGPMDGKHTVLMFVRWSSTDGGRPVRQLIGFRNQHLKVGEKKKLKMEISPCEHLSRARVDGEKVIDRGSHFLMVEEDELEIRFQD >OGLUM11G09890.1 pep chromosome:ALNU02000000:11:10384097:10384498:-1 gene:OGLUM11G09890 transcript:OGLUM11G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVDLALAKSEDLIRPYKGYKIVGHVVGLEIAWPAIFVDKING >OGLUM11G09900.1 pep chromosome:ALNU02000000:11:10391103:10391569:-1 gene:OGLUM11G09900 transcript:OGLUM11G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAAGSSEEGDPALGSSVWVDLAVLTSVGGLTDCLRKSFSRVGTLPDWKYADFTSTGGRRVRVADPRLVAWPRACTISCPRLVSLLLYT >OGLUM11G09910.1 pep chromosome:ALNU02000000:11:10409488:10409715:1 gene:OGLUM11G09910 transcript:OGLUM11G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCGGGAASAPPTRPEGYSTSDSEADRQGWYFSKEEEDEEEAAEEVVEDIAAEKEWEGFTLEYNHGSDANEDAAE >OGLUM11G09920.1 pep chromosome:ALNU02000000:11:10414202:10420356:1 gene:OGLUM11G09920 transcript:OGLUM11G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGMVMVVVVVVVVVMAMLGGVVAGGEPPFSCGGAAAGGGQGYAFCDATLGAAERAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQAIGTEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPTMASKYAVAFVKGMQGNSSAILQTSACCKHVTAYDLEDWNGVQRYNFNAKVTAQDLEDTYNPPFRSCVVDAKATCIMCAYTGINGVPACANADLLTKTVRGDWGLDGYIASDCDAVAIMRDAQRYTQTPEDAVAVALKAGLDMNCGTYVQQHATAAIQQGKLTEEEIDKALKNLFAIRMRLGHFDGDPRSNSVYGGLGAADICTPEHRSLALEAAMDGIVLLKNDAGILPLDRTAVASAAVIGPNANDGLALIGNYFGPPCESTTPLNGILGYIKNVRFLAGCNSAACDVAATDQAAAVASSSDYVFLFMGLSQKQESEGRDRTSLLLPGEQQSLITAVADAAKRPVILVLLTGGPVDVTFAQTNPKIGAILWAGYPGQAGGLAIARVLFGDHNPGGRLPVTWYPEEFTKVPMTDMRMRADPATGYPGRSYRFYQGKTVYKFGYGLSYSSYSRQLVSGGKPAESYTNLLASLRTTTTSEGDESYHIEEIGTDGCEQLKFPAVVEVQNHGPMDGKHSVLMYLRWPNAKGGRPTTQLIGFRSQHLKVGEKANIRFDISPCEHFSRVRKDGKKVIDRGSHYLMVDKDELEIRFEA >OGLUM11G09920.2 pep chromosome:ALNU02000000:11:10414202:10414912:1 gene:OGLUM11G09920 transcript:OGLUM11G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAFSCCHGAGMVMVVVVVVVVVMAMLGGVVAGGEPPFSCGGAAAGGGQGYAFCDATLGAAERAADLVARLTAAEKVAQLGDQAAGVPRLGVPAYKWWSEALHGLATSGRGLHFDAPGSAARAATSFPQVLLTAAAFDDDLWFRIGQSSLRSRGVL >OGLUM11G09930.1 pep chromosome:ALNU02000000:11:10420506:10423718:-1 gene:OGLUM11G09930 transcript:OGLUM11G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP [Source:Projected from Arabidopsis thaliana (AT3G24730) TAIR;Acc:AT3G24730] MGSALLPTLRRKPEVDAAIRDTLDKVLVLRFGRADDAACLHLDDILAKSSWDISRFATVALVDMDSEEMQVYIDYFDITLVPATIFFFNAQHMKMDSGTPDHTKWIGSFSSKQDFIDVVEAIFRGAMKGKLIVSCPLPPERIPKFQLLFKDMTELYEAMFRQKSL >OGLUM11G09940.1 pep chromosome:ALNU02000000:11:10438432:10440131:1 gene:OGLUM11G09940 transcript:OGLUM11G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT5G16740) TAIR;Acc:AT5G16740] MAASGWWCGLSLCAKPKQVASESVHGAQLALQRLTAARRCGGGGDAACVDVEAGKPCKCGEELHAEAAADGRVAAVEAVHHGKPTSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLVGLGVMCAYTAHLIGKCLDDDPTSKTYQDIGERAFGGRGRVVASAFIYLEIFFALVSYTISLSDNLPLVFAGAAAHLHLPWVRLTATQLLTVAAVLVALPSLWLRDLSTISFLSFAGIVMSLLIFGTVVCAAAFGGVGLGGGYIPALRLERIPAVSGLYMFSYAGHIVFPNIHAAMKDPSAFTRVSVASFAVVTALYTALAFVGASMFGPSVSSQITLSMPPGLAVTRIALWATVLTPVTKYALEFAPFAIQLERHLPAAMSPRARTLVRGGVGSAALLLILALALSVPYFQYVLSLTGSLVSVAISIIFPCAFYLKIRWGRVSRPAVALNAAMIAAGVVLAVVGTASSATSLVQSIQKGHAA >OGLUM11G09950.1 pep chromosome:ALNU02000000:11:10442275:10446073:1 gene:OGLUM11G09950 transcript:OGLUM11G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEIRSSVMIHEENKGIQADNKKNRRLVLFLHKQKQDVAFFYSISVEVRFHKSI >OGLUM11G09950.2 pep chromosome:ALNU02000000:11:10442275:10446073:1 gene:OGLUM11G09950 transcript:OGLUM11G09950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEENKGIQADNKKNRRLVLFLHKQKQDVAFFYSISVEVRFHKSI >OGLUM11G09950.3 pep chromosome:ALNU02000000:11:10442275:10446073:1 gene:OGLUM11G09950 transcript:OGLUM11G09950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSALALSSPRCPPPRYPRPPKTLPPAYSISKEAPGPLVWLASEENKGIQADNKKNRRLVLFLHKQVQELDRKKRHIKIARKEF >OGLUM11G09960.1 pep chromosome:ALNU02000000:11:10452437:10462766:1 gene:OGLUM11G09960 transcript:OGLUM11G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: ribosome biogenesis; LOCATED IN: nucleus; EXPRESSED IN: 22 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: AARP2CN (InterPro:IPR012948), Protein of unknown func /.../UF663 (InterPro:IPR007034); BEST Arabidopsis thaliana protein match is: P-loop containing nucleoside triphosphate hydrolases superfamily protein (TAIR:AT1G06720.1); Has 2741 Blast hits to 2088 proteins in 291 species: Archae - 2; Bacteria - 131; Metazoa - 833; Fungi - 650; Plants - 171; Viruses - 49; Other Eukaryotes - 905 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G42440) TAIR;Acc:AT1G42440] MGGARAQVNKPHKTRFASKASRHAHKIDKVRTGKPEGSHRAAVKGARAARVQRSKAIRDQKRAALLKEKRSSVGSSSAPRVVVLFGLSSSANVRSLAKDLLTIASGDEEKPTSSTVASPTYKLRTTVLEAPYGDLTSCMELAKVADLLAFVLSANSLYDCDSSSPIDDFGSQCLSVFRAMGLPSTAVFIRDLPSENKSRQELKKTAISFVSPELPEDCKFYAADTKDDLHKFMWLFKEQHLSCPHWRNQRPYVMSEEACIKPDDSSGLCTLLVSGYLRAHNLSVNQLLGQIDILKDPFPINERKNSNAMDSEDSGIQIVDTFVPDPSSQEPLLVENTPDPLEGEQTWPTEAEMEEAYLNNKQRKLKRKLPRGTSEYQAAWIVDDTDDEDGDSENDNQDGAGMVIDEQDHSDNGGDGSDMDVVSHFTEKFDEETIGGTEMADDENLTKEQIEAEIKKIKEANAEDEEFPDEVETPLDVPAKRRFAKYRGLKSFRTSSWDPKESLAQDYARIFAFDNFTRTQKHVLAKMAERDEGTLKDCAQRGSFVRLHLKNVPTEIASKLVHPSRRLPVVVSGLLQHESKISVLHFSIKKHDSYEAPIKSKDSLIFNVGFRQFTARPLFSTDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKSRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAVVRYMFHNPEDPVELWTKHGRRGRIKETVGTHGAMKCIFNSSVQQHDTVCMSLYKRAYPKWPEQLYQI >OGLUM11G09970.1 pep chromosome:ALNU02000000:11:10464283:10464623:1 gene:OGLUM11G09970 transcript:OGLUM11G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKKPQDFVREFCNKVDLVRMGTRLKVHKPPPVTDGLVSKLIRAIRIPGTMDLHPHMRKMLRKRLEFVSNICIKSVTV >OGLUM11G09980.1 pep chromosome:ALNU02000000:11:10510627:10512012:-1 gene:OGLUM11G09980 transcript:OGLUM11G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVARLTAATTCRMRVSGPVTAATTSAASPVTVTLGDLPQQKRSAATATTTTSSGGSPVVVEKRAAAATAAEEEEVEEAAAVAAPKTTLASAWREVQGAGDWRGMVEPLHPLLRDEVVRYGELVAACYRAFDLDPASKRYLNCKHGKKQMLPAVGMAGAGYTVTRYIYAAPDVALPFGVDGRCSCAGKSRWIGYVAVASNREAARLGRRDILVSFRGTVTGSEWLANFMSALSPARFDPADPRPDVRVESGFLSLYTSDDLSGKFTCGSCRNQLLSEVTRLIGKYKHDDVSITLAGHSMGSSLAILLGYDLAELGLNRGGRGGGGGAIPITVFSFGGPRVGNLEFKRRCDELGVKVLRVANARDPVTRMPGVVLNEAAARVFRVELPWSKACYTHVGVEVALDFFKASHAACVHDLDAYINHLLDGGAAASATTTTLESWRWQMAAIRAGEWLQTLGI >OGLUM11G09990.1 pep chromosome:ALNU02000000:11:10569861:10571243:-1 gene:OGLUM11G09990 transcript:OGLUM11G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLTNPPPGLYATKTPSQQQQQHRPAGSHAAAAAAATVAMPQTASAASSSVAVKKNMRQAAPVVVARRRTTAVESGGVALASVWREVQGERDWEGMVEGTAEEELHPLLRGEIVRYGELVAATYKAFDLDAASKRYLNCKYGKARMLDEVGMAGAGYEVTRYIYAAPDLAAGPPCPSRWIGYVAVATDEAVRRLGRRDIVVSFRGTVTGSEWVANMMSSLAPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLIAKHKHEDVSVTLAGHSMGSSLALLLGYDLAELGLNRDARGRAVPITVFSFAGPRVGNTAFKDRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGKLELPWSSSCYTHVGVELALDFFKARDPACVHDLEAYLGLLKCPKVTKVMKEGEDLFSKAKKIVLEQSFDTWRWQMAAIQVGGLVQALGM >OGLUM11G10000.1 pep chromosome:ALNU02000000:11:10582156:10585992:-1 gene:OGLUM11G10000 transcript:OGLUM11G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPKVPYFYPMKGINEKIELMCRERGTMHQFLACNSIPATIQVPSPFTALVLPKKTMFNFSRQVNKLDAAWTLDFPKSSYPPQNQPQAHEDMDILEVLPLAILPPSNQVCQAAVPLMLPKAPETDFNTNVYDDVHSDSSPSDCSISLLQKMGVDMCGLAPEEVAESRLGGQRRKKMPRLDTEEK >OGLUM11G10010.1 pep chromosome:ALNU02000000:11:10586033:10587714:-1 gene:OGLUM11G10010 transcript:OGLUM11G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISWPNVMGPFVAVTVRLRDMQPQQNAANEQVEDAWGQDHPMRQIMEVNPDGLIELAAASRGKENVVVPFVPDTDKGKKVQEFDQDA >OGLUM11G10020.1 pep chromosome:ALNU02000000:11:10596044:10596298:1 gene:OGLUM11G10020 transcript:OGLUM11G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIKHLCREGLIAINLACNFVPLKDRAHPAWEYQFGGNDPTQESLIPINKYRATKRIYDFFKPGTVISTDNCPNTLH >OGLUM11G10030.1 pep chromosome:ALNU02000000:11:10606933:10607346:-1 gene:OGLUM11G10030 transcript:OGLUM11G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAAPWLGRRCAVMREVVPELEAGAALGRRCARKDSRARRRDHTRSAAAPGRLQEAGSDGGGAGAARLKPSLRAFFSCGIFSACTHLALSLTVTPNNNVACHQDGCGGGVVAGCGGGSTGGGGGIVASSGRRRLR >OGLUM11G10040.1 pep chromosome:ALNU02000000:11:10611827:10618705:1 gene:OGLUM11G10040 transcript:OGLUM11G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGFEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OGLUM11G10040.2 pep chromosome:ALNU02000000:11:10611827:10618558:1 gene:OGLUM11G10040 transcript:OGLUM11G10040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGVKQLCGVLLKCCDLDLKQPKGFEDPEILARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLNRSNCLKKPPTVYKASF >OGLUM11G10050.1 pep chromosome:ALNU02000000:11:10628863:10634608:1 gene:OGLUM11G10050 transcript:OGLUM11G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:IND1(iron-sulfur protein required for NADH dehydrogenase)-like [Source:Projected from Arabidopsis thaliana (AT4G19540) TAIR;Acc:AT4G19540] MLRIASRAGLLGGRRCYSAAAKSGPSIAGVSDIIAVASGKGGVGKSTTAVNIAVALAKKFQLKVGLLDADIYGPSIPTMMNLHAKPEVSEDMRMIPVDNYGVQCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSMSQRLRLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKFYIFGEGGGQRTAEEMDMKLIGEIPLEIDIRTGSDEGTPIVISSPDSASAQAYIQVAEKVTHRLKELAEERQMGPEILL >OGLUM11G10060.1 pep chromosome:ALNU02000000:11:10649369:10657844:1 gene:OGLUM11G10060 transcript:OGLUM11G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGELPQNHYNEEQLIPYSDVSHPYNEEPDNLNNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSVVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSYLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPPVDPSYGSYPPAYGTSYGSAAAGAGPAPHNGGSYGGTTYPSYGY >OGLUM11G10060.2 pep chromosome:ALNU02000000:11:10650228:10657844:1 gene:OGLUM11G10060 transcript:OGLUM11G10060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLVENFDADDLGELPQNHYNEEQLIPYSDVSHPYNEEPDNLNNVEEGNPYIQQVSLYSEEPENQYNEEPSNPYQEESDNAYNGEVKQQDSLPVEADKKWPGWPGESVFRILIPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERTVMISAKDEPDAPISPAMDGLFRVYKRITDGSDGDSGQPERNISNVGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSVVRIVETLPLVALNDDRVVEIQGEPVGVQKALESIASHLRKFLVDRSVLPLFEGQMKMHNAQREQAMAAPQPWGPPQPWGPPPSYLPPGGPGYGGHPQFMPPRPQDNYYPPPDVPSMEKQPHYGISAYGREAPTGVSASGNQPPSHVASQVTHNMQIPLSYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMTVEIIGSASQVQTAQQLVQNFMAEAPQGPPPPASNPPAPPVDPSYGSYPPAYGTSYGSAAAGAGPAPHNGGSYGGTTYPSYGY >OGLUM11G10070.1 pep chromosome:ALNU02000000:11:10660444:10661064:1 gene:OGLUM11G10070 transcript:OGLUM11G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLRVVLVQLAVAIVAALVIIITSSSGNHYRDSVGVTDHAASSMAEDQPAPAARRDNQSSLRHLLVPGGEHGGGARRLLLQAAPPAATTTTTSDHHRNKLNVVNDDIYQIVACMVSD >OGLUM11G10080.1 pep chromosome:ALNU02000000:11:10686693:10696768:1 gene:OGLUM11G10080 transcript:OGLUM11G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSAMQQLKDDGSSARQLQLLVAALSTAGAVAAAAVVRRRHGRKAAATAAAAEAAAPVVMKEMPRLEMAESGRVEHIEKFSHYVARQMGFQDINECPQLCKLANNYLKRTKNCMDDIDDLFANIPDSESLYALTVDCGTASKKKLRNLVLEATRKQRFERVTRDLKVTRVFSTLVEEMKAIGVPAAAMNGDGEEEPHCTDVMAPVAHDERSPVLLLMGGGMGAGKSTVLKEILQEPLWSKDEANAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVQQTIAMARDVHRRRYRMGPGYKVDPDTGDITENYWEPADADDDADAASPPPTTRKPYRIEVAGVVCDAYLAVARGIRRAIVTGRAVRVRSQLVSHKRFAAAFRRYAGAVDAARLYSTNTMGAARLIARKDGIAGSLLVEPAEFACLDAVGGLNENATGVHDLYRGGATACGARSIWDDMIASPARADIQRELREAFRSVEHAPPDTNGATTTTTTNGGA >OGLUM11G10090.1 pep chromosome:ALNU02000000:11:10696224:10700189:-1 gene:OGLUM11G10090 transcript:OGLUM11G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABI3-interacting protein 3 [Source:Projected from Arabidopsis thaliana (AT1G08780) TAIR;Acc:AT1G08780] MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELHDEIKLAKEANENLDDAGNELILSDEDVVRFQIGEVFAHMPRDDVETRLEQMKEDAAKKLERLEQEKESIVSQMAELKKILYGKFKDAINLEED >OGLUM11G10100.1 pep chromosome:ALNU02000000:11:10703814:10705051:1 gene:OGLUM11G10100 transcript:OGLUM11G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRRSNEKPRHLYLVLDDWSQGCSIRKIKLSHEDIHPPLIPADIGRGGDDHVFTGRHTLPSALLRFEARRGEPKSIVGCTAPIIYIPIDEYRLFTLGSASFDMLYMPPPTYDDDGANWKKNFSCLNLPNPTFQCDLVTSYAVHPDKQTIFVSSVEQSPGVVVPATFSFRTAEPMMWRRHGQWQLPFTGRGYFDPRLDAWVGLSGDLDTVGHICSCDVVSTDDAAASSHPALKISKEKLFSMVPAERHIGATLVYMGGGESNFCLLESIHIEDDIADYQSTDSDDETNEVSSVDEVNEIDFDDSYEANDEESVDEELDPKRFLRLTTFSLQYDRNGALTTGNSRQVWYYGMPLQVTEEMLKYPVAFWM >OGLUM11G10110.1 pep chromosome:ALNU02000000:11:10726296:10732076:-1 gene:OGLUM11G10110 transcript:OGLUM11G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAPASPPKADGDGDDEECSRAVVKEEPHHEQEEEEDDASAAADVGEDEKEKVEEEEEEEVEERRMRRRGRPGRKRGRLSGGGGGGGSAAAAATAAARGGVVMVKRELLARCMTCPLCGRLLRDATTVSECLHTFCRKCIYEKLNDEEVESCPVCKIDLGCTPVEKLRADHNLQDVRSKIFPFKRKKISADEVAAPVLLPSKRKERSISSLVVDTPTVTPTGLTGRRTRAVTRKAAALRGLGPGIDDPVKKEIDNGEKHAQNSSLPTNLGKVPQTRRQMSSNAEASNHSSNKDTEGDRKDLADKTDELWRPLNCLVEAANRTKSSRSSSQSPIVKREQLSDSPGSTSVNKTKSREYMQKSKIEDDKKDVPLLKRKNQRTGRRRELHAQSDSKPEAAATQNEKKFSSIWFSLVASFEQEGDPPLPQIPSHYLRIKDGNIPASSIQKYLMQKLGLPNEAEVEINCCGQPVNPTQPLCNLVEVWLRGRSTQTTQTMIGSPAKEFVMVLTYGRPKAITP >OGLUM11G10120.1 pep chromosome:ALNU02000000:11:10732770:10733564:-1 gene:OGLUM11G10120 transcript:OGLUM11G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSCACVGYSRRVKVVVALELWAALLRCGSDVVHEASGVSALCSGGGLGALCSGIVLCGGAGGYGALGGGALCDSDVVHEASGGSALCSSGGLGALCSGVVLCGGAGGYGALGGGALCGSAHSFHGFAVASDCYFPCFYKSSAILGLLQREVLLHPSDYSCDVGYLFFGILLPFYPCTVRVEQILLLRSNGRIRGTNLLSPVTPTPRSTAQQLASN >OGLUM11G10130.1 pep chromosome:ALNU02000000:11:10752180:10759940:1 gene:OGLUM11G10130 transcript:OGLUM11G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAAPTTSGGGGGGRRHRHGLGLGHAVKPRVVPDAGAVGRGGEGEGEVEKVEGQDGEEEAEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATMLACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYLGEINGAIRFCPKLSTSKKQPINQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPNLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGISLRRNQCTVHLD >OGLUM11G10130.2 pep chromosome:ALNU02000000:11:10752180:10759940:1 gene:OGLUM11G10130 transcript:OGLUM11G10130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAAPTTSGGGGGGRRHRHGLGLGHAVKPRVVPDAGAVGRGGEGEGEVEKVEGQDGEEEAEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATMLACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYLGEINGAIRFCPKLSTSKKQPINQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPETDKLKDNIMKKSVSIGIYKGVSTSRLKVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGISLRRNQCTVHLD >OGLUM11G10130.3 pep chromosome:ALNU02000000:11:10751889:10759940:1 gene:OGLUM11G10130 transcript:OGLUM11G10130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAAPTTSGGGGGGGGEGTKLRRALSFEDAATATASASATPSSPEKKPRVVPDAGAVGRGGEGEGEVEKVEGQDGEEEAEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATMLACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYLGEINGAIRFCPKLSTSKKQPINQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPERLLLQCPNLKNVHASGCHDMLIGAIRNQVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGISLRRNQCTVHLD >OGLUM11G10130.4 pep chromosome:ALNU02000000:11:10751889:10759940:1 gene:OGLUM11G10130 transcript:OGLUM11G10130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASQPKPPPPPRPKTRGSYNCGRCGLPKKGHVCAAGGPAPTPSPSSSSGAAPTTSGGGGGGGGEGTKLRRALSFEDAATATASASATPSSPEKKPRVVPDAGAVGRGGEGEGEVEKVEGQDGEEEAEAVELGGRAVPRELMAEVLRRLGPRGVMAAAAVSRGWRDCAGRVWRAAEELRLRAAGVSLVGALLPRCPALSRLSLRMESDVDATMLACLAFSCPSLGTLEISMSDNAVNRMTGEELSRFVSEKHSLSVLKIGGCCNLGFLNLNSSSLSILWLSDLCSLSKSVINCPNMSEISLCFTQQSNDCTDLVTLMDGLGRTCPNLKNMHISSAQLSNEAVFALEGASLRGLCMLSLILGSKITDAAVASIVRSCASLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTCGIQFATAQLPLLQLMDCGMTLCASLQNEKQGPYLGEINGAIRFCPKLSTSKKQPINQKLIIKHGNLKKLSLWGCSAIDALYLNCPELNDLNLNSCTNLNPETDKLKDNIMKKSVSIGIYKGVSTSRLKVLNEFAAAEPHLPCKRLADGSKRVQLPQFAQQEPSQDKKGISLRRNQCTVHLD >OGLUM11G10140.1 pep chromosome:ALNU02000000:11:10761241:10763834:-1 gene:OGLUM11G10140 transcript:OGLUM11G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BI34] MADLGFDETELRLGLPGAGAGDLAARSSGKRGFAETIDLKLKLQPAVSEEEGDQEDKEDADAAAAATAGEKMSMKRSASQSSVVTAQPDPDKPRAPKAQVVGWPPVRSFRKNVLAEKCNGGDKKAAAALVKVSMDGAPYLRKIDVAMYKSYPELSMALQNMFTSFTIGKCGSHQQLKESNKLRDDLEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTKGNGEMQEQKLIKHN >OGLUM11G10150.1 pep chromosome:ALNU02000000:11:10769939:10770160:1 gene:OGLUM11G10150 transcript:OGLUM11G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAGFHLLLSRSSADADAVGRRLLRSSASYGALPLPIPSASTPTTSSLDPAAPMVEGARCRRAPQGGPGRG >OGLUM11G10160.1 pep chromosome:ALNU02000000:11:10771684:10772214:1 gene:OGLUM11G10160 transcript:OGLUM11G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDYHVRQAKGYTVRALKNLKSLCKLVIKGLENVENEEEAKNVKLEEKRHLGSLSLEWSEDDGTNSREDCSIILDNLEPNSILKNLKISGYVSAKIPYWIAKASVKNLISLDLRGCINWKKLPSLAEFQLLKHLRLDNLQLPSEFLLLNQLRATAAAGSMRDGNLQNSYCSSIFA >OGLUM11G10170.1 pep chromosome:ALNU02000000:11:10777561:10779113:1 gene:OGLUM11G10170 transcript:OGLUM11G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BI37] MEAADNLIKATELRLGLPGTADDLPSTPRGKKRAAAAEDNNANAAADDDEHDAVEAAPPVAKAQVVGWPPVRSYRKSCFQQQSAAASKSKAAVSSCNNKDEPITKNAAPAPAASSGAAANGGSLVKVSMDGAPYLRKIDLRMYKGYRELREALEAMFVCFSGAADGANPSEFAITYQDKDGDLMLVGDVPFDMFTSTCKKLRIMKRSEATGLGSPRQMK >OGLUM11G10180.1 pep chromosome:ALNU02000000:11:10779975:10784526:-1 gene:OGLUM11G10180 transcript:OGLUM11G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPHKTLNPTLSPPSSSGRRPRLRFPLPLPRGGHLRCSAGYREAAASTSSTTTTPRPTEIPWSRELCNSVRLIGTVGTEVELRQLPSGGSVARGRLAIWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHITWSCRSKSSSNQTTAAASWKAELKKEVSIA >OGLUM11G10180.2 pep chromosome:ALNU02000000:11:10780740:10784526:-1 gene:OGLUM11G10180 transcript:OGLUM11G10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAAAAVGPHKTLNPTLSPPSSSGRRPRLRFPLPLPRGGHLRCSAGYREAAASTSSTTTTPRPTEIPWSRELCNSVRLIGTVGTEVELRQLPSGGSVARGRLAIWKSATETTWVTLAFWDDLAVVASEHVKKGDRIFVSGRLVSDTVDEGPEKRQVYYKVVVQQFNFIESFQQVQLYEPEAGLDTLGGKHGDYVGSTSGSSEGKSRDHVDSSSRSTEQLWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAILDSRMGSLQGNDRKPVAFMYADDFMTPDTDTEAHM >OGLUM11G10190.1 pep chromosome:ALNU02000000:11:10785385:10787327:-1 gene:OGLUM11G10190 transcript:OGLUM11G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106 [Source:Projected from Arabidopsis thaliana (AT5G28750) TAIR;Acc:AT5G28750] MGMAPVATYPSSSSSSTLARSPCAAAGRAAAAGRARVAAAGMSSRASSFVAGGAGGLAVAVAARTRAGSGAGSRGGGAMGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRSIGKTVKSFQQAAKEFETELKKESDDGGDQPPPPTETAVSDGGEEKKELEASSSKEST >OGLUM11G10200.1 pep chromosome:ALNU02000000:11:10791658:10793001:-1 gene:OGLUM11G10200 transcript:OGLUM11G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BI41] MAATKSKAAKKGAPLLGKYELGRLLGRGTFAKVYHARSLAPGADPVAVKVLDKPDLAAAGAGMATRVLREVAAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPSRRLPEHAARRVFLQLVSALIYCHARGVSHRDVKPQNVLLDAHGNLKVSDFGLAALPDSLRDDGRLHTACGTPAFAAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYALPRWVSQPARRLVSRLLDPNPATRLAVAELATHPWFKRSLSLDSQLGSLLGGQPERELAFQAPPPLNAFDIISMSPGLDLSGLFGESKRRREKRFVTTASPERTVERLGQAGAKLGYFMVGKKGVERLPLGGLSGLVAMSMEMSEVSPSMMLVELRLEGGDDGDGDGGAEEFGWEELRAELGDDVVMAWHGCDGGKKDKEGILL >OGLUM11G10210.1 pep chromosome:ALNU02000000:11:10815430:10817001:-1 gene:OGLUM11G10210 transcript:OGLUM11G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G42920) TAIR;Acc:AT2G42920] MARACSSPSSPSPSPASSRPLLPSSASISAFLASHPALTLLHTQCASMAHLRQLHAALVKSGLARDPIAASRAVAFCAGDGRDAAYAARLVRHHPRPNAFMWNTAIRALADGPGPGAAVALFVDMLGSPTPPERRTFPSLFAAYARLGRAGDGAGLHGMVVKLGLGGDAYVRNSVIAMYASRGAADEAIALLARCEAFDAVACNSAIVALARAGRVDEARAVFDGMPARTVATWSAMVSAYSRASRCHDAVELFSAMQAEGVEPNANVLVSVLGCCASLGALEQGAWVHAYIDKHDVAMNALVVTALVDMYCKCGDIRKAREVFDASRSRGQAKLSSWNSMMLGHAVHGQWREAAALFSELRPHGLRPDNVTFIAILMAYGHSGMADEAKAVLASMASEHGVVPGVEHYGCLVDALARAGRLREAEGAIAAMPVAPDAAVWGALLSGCRLHGDAEAAARAAREAVRCDPRDSGAYVLAASALARGGEARRGAAVRGRMREEGVGKVPGCSMIEVDGVVHEFVS >OGLUM11G10220.1 pep chromosome:ALNU02000000:11:10817212:10821351:-1 gene:OGLUM11G10220 transcript:OGLUM11G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGGGGDAGDTRGRHRIQAELKKLEQEARFLEEELEELDKTDKAYGNSGEQSGSSTSRLLGLLASLGTDGLKVRKICADANAVTMLNLVNTISEE >OGLUM11G10230.1 pep chromosome:ALNU02000000:11:10825855:10826133:1 gene:OGLUM11G10230 transcript:OGLUM11G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWPSVGARLGDGRGEAGHRGEALARARPAIRKRRRLGALVNRRRATPGFARTEATRLYMTANACSRLHPSYPCGYLGNAICRVSAGHSTP >OGLUM11G10240.1 pep chromosome:ALNU02000000:11:10829206:10829927:1 gene:OGLUM11G10240 transcript:OGLUM11G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVREGESEEGGGKAGPWAQRRWRNASEARSVVKRRQTMGGTEIGAGNEGGGDCGVGQRMGMETGTGTAMWRAWNFLQAQTSWANFKSALGWPVTSIGPLGW >OGLUM11G10250.1 pep chromosome:ALNU02000000:11:10836681:10842353:-1 gene:OGLUM11G10250 transcript:OGLUM11G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHHHPRRGSRHAAAGDLRPPEPPLDPLEFLSRSWSASASALDAPRPPPPAPSPSAVLGIGPIAEDASSAATAACEVVDDGSAFAAAGSSFSFASAATSQLIMERILAQSEVAPLTSGRLSHSSGPLTGGGSITDSPPVSPEIDDAKYCRAASTPKPQMYRGGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAMASAATLVAAQCVEAAESMGAEREHLEAVIGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGTMGGGHHHKQNMQKQHRKLESNGSSISDDLSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCKDVAAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLLIASERKRAP >OGLUM11G10260.1 pep chromosome:ALNU02000000:11:10848675:10849314:-1 gene:OGLUM11G10260 transcript:OGLUM11G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRQQQMPHPEEPRGGGDLHGGRRTAPPVLAEDEGAEGGGGARAHRSLLAKEAELGVDGLGKNGRRGRSRRGRFLGKPFHGLCEGTSGRGDALHSVEKPPFPRLAWARGREESRFPAWERGPLLGRGP >OGLUM11G10270.1 pep chromosome:ALNU02000000:11:10866924:10870042:1 gene:OGLUM11G10270 transcript:OGLUM11G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTQDTGWYQGIKPDTCGISEGTVKKRRRRGGGSAATAEDGVEEARRGEGCVLVGTWYLVPLVPTRYRPIPDARYLRYRVVSNLGTKVSSLILVGYQRVLSKRGGDVGEGGPQRRRMASRRHGEVRGEARAREREKSQGGAEEEVDGHTCCRSTRAAGVYLRGAKAAGRSGDDGRSGDDGDGEAEGEDEGSSRFCPPRSEERRARGRPRRGLADLADAVPSSSSASAAGDDDGDPILPAGFVSARPS >OGLUM11G10280.1 pep chromosome:ALNU02000000:11:10876142:10877345:-1 gene:OGLUM11G10280 transcript:OGLUM11G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAANPVEALGDGAHCGGAGRRRARLVQSRSAWKWNMVSTSLGSVRSTTSDDSRSSLKTCVQTFNVTGCNLNTPLWLILLPSRSRKPSEFAWVHASKIDQEFFCWIWVSLHVIYVGPGRRMNQASPGKSEEQPEETEEMEGGDKADDALQKASEQEADMGRGRRSRRPPSVRVVGPEWAK >OGLUM11G10290.1 pep chromosome:ALNU02000000:11:10877590:10879502:-1 gene:OGLUM11G10290 transcript:OGLUM11G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGELDRGGGGEADRIGRGGRRRAAAGARLREARGGGGGGAAQGARPRAAAAANGVRACGVASASRLAACGRAGQWEWNCERCSPKEMVMTVVVSEVSHAAEKGDDGLQGATGRDNDGGCESRLLG >OGLUM11G10300.1 pep chromosome:ALNU02000000:11:10925574:10929566:1 gene:OGLUM11G10300 transcript:OGLUM11G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVPGDARLGWIRQAVLLLQRARPSTPPPKQADAKGTRPDGVGEQQGVKRPVDHQIRVFFSVAASSVAEDDLPDSFYSLSNEEIRNEAKMRRGRLEQSWLLIPKSYKEKQALAARQKYKQALIRIPFPDGVILQASGLIRVTFSGISTRLYKFAASALKQPSLEFDLICPAGPRTRVTPPFPQPGERAHTLLDEDLVPSARLTFKPK >OGLUM11G10310.1 pep chromosome:ALNU02000000:11:10932345:10933889:1 gene:OGLUM11G10310 transcript:OGLUM11G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAGRWLRSFLPGKKDRARAAAAEKEQAVAVAVEVTTPGAKEKRRWSFRRPAGKDGGGGGGGFLEPRVDPDQHAVAVAIATAAVAEAAVAAKQAAAAVVRLAGSSRRGVVVVGIEEAAAIKIQSVFRSYLVSVSVSRLAAIVVVESEALARKALCALRGLVKLQALVRGHLVRRQASNTLRCMQALVAAQHRARAARLRLLDDKEKPLLRTPRMTPTRRSPHHPRFRHQQQQQEAEENVKIVEVDTGFGGGGGSGEAHCTPRTSSRRSSCYATPLCRTPSKVELYQKVSPTPSALTDASARTYSGRYDDFSFSTARNSPWHHHHAASDAPCKPHHPHHGHGGGGGGDHPLFFPNYMANTESSRAKARSQSAPRQRASVSSSASEASSVPWERQASARRRASLEAQASSAPPPKCGAAMMARVQRCPSQASAPASCPWGSRLPDHDSECGSTSTVLTAATTTYCWSLATDNAAAAIA >OGLUM11G10320.1 pep chromosome:ALNU02000000:11:10941102:10945038:-1 gene:OGLUM11G10320 transcript:OGLUM11G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALISKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVHLKSSPARNIIPSRQSPVDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYTTTRSVKDLSIDDSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKASYNRVNRSELLSRIPVNRSTRAIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLTLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIVDVDGYGGENDGSDSSGRNTATAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >OGLUM11G10320.2 pep chromosome:ALNU02000000:11:10941102:10945038:-1 gene:OGLUM11G10320 transcript:OGLUM11G10320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKRATGDHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTRDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFAAELLKHPHLQPYVLQVHLKSSPARNIIPSRQSPVDKVKKMTFPTESMCRSKGRRNSLGNERIVTFSKPSPERKFTSSIQSIKDYTTTRSVKDLSIDDSLVEEVSSKTTFTTRTSSIVKTPKRTPSKTITTPQLEPPKASYNRVNRSELLSRIPVNRSTRAIRRASLPLPLPSSETPKRGVSSISILEQLESPDVSVNSPRIDRIAEFPLASSEDPPFLTLHGRRSPTPTPQHCVIDQSITKDKCMVEAFHIVDVDGYGGENDGSDSSGRNTATAAAASSRAGSSESTRQRRFDTSSYQQRAEALEGLLEFSAQLLQQERYDELGVLLKPFGPEKVSPRETAIWLTKSFKETGL >OGLUM11G10330.1 pep chromosome:ALNU02000000:11:10987559:10988740:-1 gene:OGLUM11G10330 transcript:OGLUM11G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLILLLSKPRTLQLFPLLLCLLLPCLSQPLPSPSPSPSPSPSASPAPPPSLPLSPFNERLEAAYVAFQAWKHAITEDPKNLTEDWCGPFVCNYTGVYCAAAPDDPHVLTVAGVDLNHGDIAGCLPDHLGLLADVALLHLNSNRFRGTLPPSMQHMRLLFELDVSNNLLAGAFPAFLTSLPGLKFLDLRFNAFDGELPAAVFGRRLGLDAIFANDNRFNVSLSSASLTNSTASVIVLANTRLAGCLPPSIGDMADTLVELILLNTSISSCIPPEIGKLKKLRVLDLSHNELAGELPASVGDMESLEVLNVGHNMLAGEVPEAICELPRLRNLTIAGNYFCDEPVSCLHVPLRDDRMNCIPDWPHQRSPEECIAFAHRPPPHCAADGCIVIPPP >OGLUM11G10340.1 pep chromosome:ALNU02000000:11:11000417:11004669:1 gene:OGLUM11G10340 transcript:OGLUM11G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crinkly4 [Source:Projected from Arabidopsis thaliana (AT3G59420) TAIR;Acc:AT3G59420] MDIVPVVALCCCLVLLPSWAYGLGSMASIAVSYGEDGPVFCGLNSDGSHLVTCFGADASVVYGAPSRIPFVGVTAGDGFACGLLLDTNQPYCWGSNSYVKIGVPQPMVEGAMYSELSAGDNHLCALRTSVKGFHSVNGDTSVIDCWGYNMTATHTVTGAVSAISAGSVFNCGLFARNRTVFCWGDESVSGVIGLAPRNVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQMSTPSSTDDGDVNIVPMDAMVSVVGGRFHACGIRSLDHQVACWGFTLQNSTLAPKGLRVYAIVAGDYFTCGVPAETSLKPMCWGHSGPLALPMAVSPGICVSDSCSHGYYEYANHGEVGSGSKTCKPANSRLCLPCSVGCPDDSYESSPCNATADRVCQFDCSKCASDECVSFCLSQKRTKNRKFMAFQLRIFVAEIAFAVILVFSVTAIACLYVRYKLRHCQCSKNELRLAKNTTYSFRKDNMKIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHTELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNLKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDISALLDPVLSPPSDLEALKKIAAVACKCVRMRAKDRPSMDKVTTALERALALLMGSPCIEQPILPTEVVLGSSRMHKKVSQRSSNHSCSENDLVDGDDQRIEYRAPSWITFPSVTSSQRRKSSASEADMDGRTTTDGRNVGSSIGDGLRSLEEEISPASPQENLYLQHNF >OGLUM11G10350.1 pep chromosome:ALNU02000000:11:11011665:11020122:-1 gene:OGLUM11G10350 transcript:OGLUM11G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSWISIGLQLQMQREKRSGLVGDLGTLSLVGIMEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVSKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNSLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFKSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDPLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQREVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGIVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >OGLUM11G10350.2 pep chromosome:ALNU02000000:11:11011665:11018358:-1 gene:OGLUM11G10350 transcript:OGLUM11G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSPTNMRRKYSWWWNSHICPKNSKWLQENLTDMDSKIKMMIKIIEEDAESFAKRAEMYYRRRPELMALLEELYRAYRALAERYDHAAGELRQAHRKIAEVFPEQVLVDLDDDLPAETASIETEMDNPDMAPYFLSFINASDSKKQAKDNQDNERLQKELESLSEENKDLKSRISSLLEQTNKAELEVVCLKEALAQQNAEKEAVVLQCQQSTARLQNLKSEILHTQEKFNRLKEEMQSGFQPFTTADERSVLLEKANQEMNLELNKLKHMLKQKHEELNEKQAELEKLNISTEEEHLKCMQAEMAQLSLEKQLILAQDKMRLLALEKQIEVSKAKDTETEKVMLEKELEKIQKESTSLNDQIHSSSSMIIRLQDEIITMKNAQRRLEEDVCRHVDEKKTLQNELCHLKEDRSDLDKKHSSIKEQIQAVDLNVESLQALVQELKDGNVELKGIIRNHESTEVLHIENLRRLERMSEKNSYLEKSLSAVTTELEVLREKKAELEESCKHLSSKISSHQSERAVLVAQIEAISQTMAELFEKNVFLENSLSDANAELESLRGKLKELEESSEALYSQNSALQHEKSTLACQVDRISDTLQNLEAHYAELEKRHSDLQEEKGSVLDEVIKLQEQIRFERKEHNDLEHSRKSQLDALHEKINVLSQEGWNREEQLEEEEQNIVKAQTEIFIWKQCLEDIADANSDFLAQLKMKQEVCQVLEEKMEYLSENNQKLTKCIGSVSKVLHLEEKYESLDQMKLDSIVHLILHEINCLLNTISDAQDVKQNELVEKSLVVTLLEHFGQEVADLRSERNSLKQEQQAKSEELLQLQREKQELVNITDEFWEEVETRNRKVDELRAEAKFLVGQLSELQGSRRSLQSEIIKLIQENSMLSDELCDSREKERVFEDDFSILISEVMSKDILSVVFKSLHEERTLQLVSLHSDFAQLQAAGSELYQDIKMMNMKLGDLEKESNECNKELSRTISICNSTSTENAIGSGYPVGRDTDPLNSGRSQLEYHVNMETGEIEVDMAGLEKSNEMLQEEVHKMQSEMEVLTSKENSAIDIKSCDEDIKRLLANMQMAIVNAALFKEKVLELIITCESFEISSMVQREVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTVLGSLQNEVSALEKQTLSLANDCLQSNKLRMEENALSTQVLKTNMRSSGDQNTVRTVKDMELQKLHGTIKALQKVVTDTAVLLDQERLDFNANLQEARKQIEVLKLKEILDDDLIEMNYEQMLKDIQLDLIQISSGNKTGSLGQANKTVAQANEKMLDSHGIVGASSSHVRNDLRPPQSESFERDNYKRPPSELMVVKELSIDKQELPRSITTEPHQEWKNKVIERLASDAQRLNALQSSIQELKTNTEASEGLELESVRYQIREAEGFITQLIDSNGKLSKKAEEFTSEDGLDGDNIDLRSRHQRKIMERARKMAEKIGRLEVEMQKVQEALLKYEEEQTSTRTSKTMHRRSKVQLVDFLYGRRRDSRKQQRCSPCGCMKANAIDD >OGLUM11G10370.1 pep chromosome:ALNU02000000:11:11073345:11073656:-1 gene:OGLUM11G10370 transcript:OGLUM11G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPTTRRVAPAVAARLCAAPETPPHCPPLEDRLRGQGILPTLPPPPDVLASKTNNRRPVHNAELLHVDCRLGLGQRHPRCQCHGRPWQAVHLPQPPLAAAAH >OGLUM11G10380.1 pep chromosome:ALNU02000000:11:11076256:11079973:-1 gene:OGLUM11G10380 transcript:OGLUM11G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPPLPALSTGWKTSPAPAPAPALAPVGDPTGKRGPIPPTWVATLGHLLWRLEAVHHNEVMVEGNDKVSTAEDGGDVVAPARSCDEEVVSTMGSQYSPQMHRNVKTLLKAFRKTAPPKDLTFTLEKLFYKSIYIFK >OGLUM11G10390.1 pep chromosome:ALNU02000000:11:11089007:11093415:1 gene:OGLUM11G10390 transcript:OGLUM11G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPAFFQMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSLKQNNYEVHAFTNYPVWWVSTYLDTFVHMYQLVEEKLKLSKYLSWTFCSCTVGKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQVQ >OGLUM11G10390.2 pep chromosome:ALNU02000000:11:11089007:11093415:1 gene:OGLUM11G10390 transcript:OGLUM11G10390.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPAFFQMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQVQ >OGLUM11G10390.3 pep chromosome:ALNU02000000:11:11089130:11093415:1 gene:OGLUM11G10390 transcript:OGLUM11G10390.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G79790) TAIR;Acc:AT1G79790] MVSLLPRAPCLASSIPKPTALRPPCMASTSAAAPAAAAATAEASASRPPRKLPVLLFDVMDTVVRDPFYHHIPAFFQFSASPVPCDRMSMKELLESKHPTAWSEFEMGLIDENELAKKFFNDGRSFDLEGLKACMVRAYEYIDGVEDILYSKRKPSPDFYLHAVDHLNVDPASCIFIDDRMTNIEAALSVGMVGLQFKNAEVLKKDLCSLGVEFAPVHEGEIQVQ >OGLUM11G10400.1 pep chromosome:ALNU02000000:11:11097565:11097885:1 gene:OGLUM11G10400 transcript:OGLUM11G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPCSAMVAARRRLSVTAHGCRDECQRRQEEMEANPVARHGALGWRGGGAVATDGIGRNWGREREAVARGKEGFGLGAHRRRQHRKETAMSMAQHTAVMASTTR >OGLUM11G10410.1 pep chromosome:ALNU02000000:11:11097804:11098505:-1 gene:OGLUM11G10410 transcript:OGLUM11G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWLDREEWAQDFTSPENRGVAHGGAVREVLLTRWEGRDDQVLVYGLLLAGVDHGELMGRARFCLCPTGDDEGAAAASYRVVEAITAVCCAMDIAVSFLCCRRR >OGLUM11G10420.1 pep chromosome:ALNU02000000:11:11099488:11110556:1 gene:OGLUM11G10420 transcript:OGLUM11G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLLLLSLLVAVAATVPLAAALSADGVALLAFKTAVTDDPSGALSSWSDADDDPCRWAGVTCANTSSSGPRVVGVAVAGKNLSGYVPSVLGSLALLRRLNLHGNRLSGTVPPALAKATSLHSLFLYGNSLTGGLPPELCDLPRLQNLDLSDNSLTGSLPPELRRCKQLQRLMLSGNGFSGEIPAGVWPEMVSLQLLDLSDNSLTGAIPPELGRLAALAGTLNLSRNHLSGGVPPELGHLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAPPSSSTPPPPSAAGSISGAGGPRQPIKTSLIVLISVADAAGVALIGVIVVYIYWKLRDRRGDGCGGDGDDDEEGKRGLFPCPCMRADDSSDDGSDDGDDVKRNNTTTTTTASGGGGGGEEGQLVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAAERYKEFAAEAGAIGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLATALRGRSGQAATLSWSVRLRIAKGAARGLAHLHECSPRRFVHGEVKPSNVLLDADYNAVLADFGLARLLTIAGCADPSSASGGGLMGCALPPYAVKPASAAADHHHRPSAYRAPEARAVGARPSQKSDVYSFGVVLLELLTGRPPEHHASPSASTSSSASFSGTTTTVSGGGGGGGGDQAQAVPEVVRWVRQGFEDARPLSELADAGVLRDGGARKEVVAAFHVALGCVEADPERRPRMKAVAESLDKIGS >OGLUM11G10430.1 pep chromosome:ALNU02000000:11:11105566:11107274:-1 gene:OGLUM11G10430 transcript:OGLUM11G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMMDARWAHIVYALLVPEAFFRDPDGRDGVASRSLRRPLALSVATLLPLPGEGRRCEAHDERAVGAHRRRRHVIFTIVATSLFSTGSPYFTSVAISFGVTVTAAAACLMWVGAVASRSFTNRRHDEIVPPSYSVATSPSKEPGTTRSSDPVLLTGGSRNPNKDDSRYHPFLLFHLRIGSLSIFLSSPAWESHMIRGFTGAGSDHCFCERTEDRCQ >OGLUM11G10440.1 pep chromosome:ALNU02000000:11:11116660:11117154:-1 gene:OGLUM11G10440 transcript:OGLUM11G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEKRVNIETDESYTVTFHCAICMEYKPMNSRFRCEGCPHYFCFKCVLDHISYRVLGGDAHVCCPEPGCTIGELTYEKWYKHVRGDVRKAWESANLRDSAMLKRCGSCGKFLEGITLDGMEGGRDDCLDPLHTLAIAKGWRPCPRCGIFIELTGGCSIVTCR >OGLUM11G10450.1 pep chromosome:ALNU02000000:11:11126527:11126820:-1 gene:OGLUM11G10450 transcript:OGLUM11G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKEEKVPVVTDDSHSLTFRCIICMEYRPKHSHFRCKGCLHYFWFNYIVDHISYHVIRGDIPVHCSVPGSNIGDLPMRCGTSISWMTCEMLRKLQF >OGLUM11G10460.1 pep chromosome:ALNU02000000:11:11132083:11133738:-1 gene:OGLUM11G10460 transcript:OGLUM11G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRMKREVEPPPQIVVRSTGPLAVPTPQPAPYPYGGLRFSLPPTAWLASPQSQAMPGSSAFPLLAAGKTDVHPDLEECDQAPHTLFKQNMAVGISRFKANNFLVSKQARNNISPPIPILFVTRSVGEQEGGRPGGEEVPWRREHRTAAVA >OGLUM11G10470.1 pep chromosome:ALNU02000000:11:11213329:11213709:-1 gene:OGLUM11G10470 transcript:OGLUM11G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTRLNPTSHTSCCDVDTTVGGLCLPTAQLCDDRTAFVLWDAYHTSDAANQVIADRLYADMVSAGAVQGSGNATTRLHPRASRCRRRRRAVEPRRATSKALKYIQQIDWPPSAGTKPSPFRYDDE >OGLUM11G10480.1 pep chromosome:ALNU02000000:11:11213940:11214446:-1 gene:OGLUM11G10480 transcript:OGLUM11G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVVRARAGAGRACPGAAGGGGRRDGGGRRPHTLAPASPPPTPSSTASSVAVTATECGCQDDVNAYAVQFNAAAKNLLERLNAKLPSASMSLADCYSIVMELIEHPQKYGLKLVIMQVN >OGLUM11G10490.1 pep chromosome:ALNU02000000:11:11217084:11223550:1 gene:OGLUM11G10490 transcript:OGLUM11G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclopropyl isomerase [Source:Projected from Arabidopsis thaliana (AT5G50375) TAIR;Acc:AT5G50375] MAAPRRLAAAKARNGGGAGRTSRWMAADGSKRWGETFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLVVGLVSTVPAFVIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMTSNMTLRKLRHSTAHLPQFLRWSFEAAWVLALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDENEEKWSLSRVAVDALGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQVQNESV >OGLUM11G10500.1 pep chromosome:ALNU02000000:11:11226010:11228111:-1 gene:OGLUM11G10500 transcript:OGLUM11G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGQHTTRFQREKESGAHSAYPWKFMWEGSDCVGADGRRSREVRMGREPKILMGARSCTLSDFILCVYFLIAKRGDPLGLVLSRGAAAAFPMETTPTSSAATPTMTTGDGDSPPAKAPTEDYGLYWTVQQKIDDLLQRSERNIMPKHPEFATY >OGLUM11G10510.1 pep chromosome:ALNU02000000:11:11230182:11236505:-1 gene:OGLUM11G10510 transcript:OGLUM11G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALLLLRRGHPFLRRLLRPPPPPTSSVLESCNRNVSPRVQLWRFSAEGKDQTNSSEDGYSEANIKKKDFALQQALDQIKSAFGEESIMWLNHSYGPKEVPVISTGSFALDMALGIGGLPKGRVVEIYGPEASGKTTLALHIIAEAQKNGGYCAFIDAEHALDPTLAESIGVKAEHLLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTMLVFINQVRSKLSTFSGFGSPAEVTCGGNALKFYASVRLNTKRIGLIKKSEEVVGTQIQVKIVKNKHAPPFKTVHLELEFGKGLSRELEIIELGFKHKFITKSGVFYHLNGQNFHGKDALKCYLAENKNVLESLMSMIKESIMQQESHPDRNMEDANPDTSITEEFVSATDEQVPEEVEA >OGLUM11G10520.1 pep chromosome:ALNU02000000:11:11259162:11264793:1 gene:OGLUM11G10520 transcript:OGLUM11G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGPSKKLAKRPRAAARGDQEEGDGKEVKEEEEDEALLFPVGAEVEVGSDDPGFVGSFYEGTVEAHLPGGDGYVVAYTTLEEGGAALREEARARDVRPQPPPVAGAPGAGGFAMHDMVEAFHNEGWWSGVVTGLPLPLDVLPVDPRRRVYTVAFPTSREVMEFEEAALRPHRVFRRGSWVPAADVDNGGPAFREGSLVEVSRSAESFGQSWNPATILKVIGSTNFLVQYRHVGDDGELVTEIVDTEYIRPARSIIRMDSKYRFSPSSHVEVFHEGSWWPGIILETSSGVFGKMYVVKLKSYTTGMDNVDGVDKLTVENTKLRPQFEWDGRKWMRCMTKKKDTKAKKLVIRGSQLTSQKKPIPADLASCNDSDEIRDKPSSDKLLETADVVPRPKETMKQQNAVLALASQIKLPLQLSMTGSGHLKYTSSLILGSPIELPSSQMDVMPSVPQTAGLQASLFGVFGKLRPIPQDPLLVMQSPHPDLSRNEGSKASTDQEKQSTDEGCCLISSAANSFNFVSFAGIDVSRKRKECVSFQAPEELGMKKNRVDETIEGTHDIVAISEEQTKLIFRDEHNELPTNVIAGPAIPSEKNQPTPLEDNKGPRDSSIVDKISQSGINDVRQDENLVLHATSTLDNSGDVNLLSSVSSTENQKKISKSEGCEISMDEDSGEEFCRSILVMPDDTRMDQFPSAKSGQATRHDDLICKENLGAIVECVTNTPTENLSFLSPAMFDDGVPNQSPVSENCQDNKQDGMDNVDHGANVVELASIIPETQHASVGGPLSTISLAALEGKTVLSHSLTWESALNEQSGVSQQYHSSAMVESPECVAESSQSIDDSTITQLCSFDTSQCIDAELGNSLIVSNNTQDTPISKYVARTHNSSCPLMQKFLHVHENIMVDQPSESLAIIELPFVKTSPMWAQIEAMEVFSKVPQRPNFHQLQQHPPEFREGIALGLMYSFTNLAESINMLNVHDDNAVFEHKMRCISVLEADGFDVRHLRSRLETLLSLKNSWSKIQDMMKRSEKKIAQEEIDDQQRCAEISVLSMVVRQLEQHAHLFRCIKNRAISQQMSHAMEKSRLKVEASQLKQSSMSTEQRFSSVVAAPW >OGLUM11G10530.1 pep chromosome:ALNU02000000:11:11268879:11269227:1 gene:OGLUM11G10530 transcript:OGLUM11G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEDEFASGDDTDNEIDGSPVYAESGDSSSSDNDHDHDGDVNPDQRDLQAMKSTGVEMQHARRTTRRLKNVNALVYNSKYSDHL >OGLUM11G10540.1 pep chromosome:ALNU02000000:11:11270658:11271315:1 gene:OGLUM11G10540 transcript:OGLUM11G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTDLDRVEASSLRRSKLDITVVSSNGVAQCRIDGEYLVIVHATAAFVETGTVGVELTLPHLGPRCRRLLENEGDVHVIHDVAVTSP >OGLUM11G10550.1 pep chromosome:ALNU02000000:11:11275645:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGLPVSTVLNPHFFSILYTHIVSYLTQHLDLLNNRSPHLLLHPKDGYASSVFS >OGLUM11G10550.2 pep chromosome:ALNU02000000:11:11275645:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWHLDLLNNRSPHLLLHPKDGYASSVFS >OGLUM11G10550.3 pep chromosome:ALNU02000000:11:11275645:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGLPVSTVLNPHFFSILYTHIVSYLTQHLDLLNNRSPHLLLHPKDGYASSVFS >OGLUM11G10550.4 pep chromosome:ALNU02000000:11:11272985:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGLPVSTVLNPHFFSILYTHIVSYLTQHLDLLNNRSPHLLLHPKGRSSEKRLKRIKLFAEVADMKLAPIVGL >OGLUM11G10550.5 pep chromosome:ALNU02000000:11:11272985:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWHLDLLNNRSPHLLLHPKGRSSEKRLKRIKLFAEVADMKLAPIVGL >OGLUM11G10550.6 pep chromosome:ALNU02000000:11:11272985:11278693:-1 gene:OGLUM11G10550 transcript:OGLUM11G10550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAFTSSNGPLTMKTERERARARGDGERKARSEFPSFLRSNWCFHQQAFYNVECRRPRPQPPSSGLDSTGNSSDISSVPVHLLPLRQRLDQASWVGLPVSTVLNPHFFSILYTHIVSYLTQHLDLLNNRSPHLLLHPKEVADMKLAPIVGL >OGLUM11G10560.1 pep chromosome:ALNU02000000:11:11279350:11279661:1 gene:OGLUM11G10560 transcript:OGLUM11G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIRELESLTLDREIMQWKDILALKVRQVGLCWPLVRPTPAIHGCLHGEGYRDNKWFGYPQVVQGFTKIASRKSPYSLYGEDISYSMGSDGSIWWKTVLLA >OGLUM11G10570.1 pep chromosome:ALNU02000000:11:11279700:11287520:1 gene:OGLUM11G10570 transcript:OGLUM11G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVRELESLTLDREIMQWKDILAVTETTTGSVTLKLYKGSVNIASRKSPYILYEEDISSFENGKIYNQAKTLRDSSGCMVSPHECGRCWTRVYKI >OGLUM11G10580.1 pep chromosome:ALNU02000000:11:11290698:11299344:-1 gene:OGLUM11G10580 transcript:OGLUM11G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDQAPSMLVPTDDEMLQTQAELWRHTLSYLTSMTLRCAVHLGIPTAIHRHGGAASLPDLVTALSLPTAKLPFLRRLMRLLVHSGILASDDAGTTYRLTPVSFFLVDGAAAAVPVVDGHLSQVPHVLASTSRHCLDTVAGLAGWFREDFPAPSPPSPFEHVHGVTPLESTARLGPEDAALFQEGLRVYDASGFAVVLRECRDVFGGGDGTAARAIAEAFPHVKCTVLDLARVVGDVPADGVVEYVPGDMFDFIPPSQAVMLKVMLHHWSDEDCIKILAQCKKAVPLQEERGKVIIIDIVVGSDSGPMLESQLLMDVAVMLVTKGRQRDENDWRDLFMKAGFRDYKIVKKLGPRCVIEVYP >OGLUM11G10590.1 pep chromosome:ALNU02000000:11:11291869:11297218:1 gene:OGLUM11G10590 transcript:OGLUM11G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLAPNRIALPPCYYRGARSAGGKVMPAGSVSHDFPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHQLVGNSCNPLHCRSIPSHVRLREAKISEDAEIAALATRCMQGQPTSGPAACGRVRKEREFRAELPLSTAAVLPANHGPAVGFTLCLHTCTSTFKSKKASTIDKELVEAQLVCFCSSSF >OGLUM11G10590.2 pep chromosome:ALNU02000000:11:11291580:11298363:1 gene:OGLUM11G10590 transcript:OGLUM11G10590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLAPNRIALPPCYYRGARSAGGKVMPAGSVSHDFPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHRDPDP >OGLUM11G10590.3 pep chromosome:ALNU02000000:11:11291580:11297189:1 gene:OGLUM11G10590 transcript:OGLUM11G10590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDFAPSFSSSLLPHSGASPTLLAPLPPILLTVTAPPMPLLAPNRIALPPCYYRGARSAGGKVMPAGSVSHDFPAGVFGRSEARAITGGTSATSTTVVSPWQRTPQGKALLSHPLLYSHSPSHRDPDP >OGLUM11G10600.1 pep chromosome:ALNU02000000:11:11302334:11309572:1 gene:OGLUM11G10600 transcript:OGLUM11G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDLVLRADLLSLKVSSTAFLDSFLLAPTVSSPSASFEVFLFFFADLFSFFDFFVLIDVSSVAPFLGTEPLSSISSPSASFEVFLFFFAALFWFFDFFVLLNVSSVAPILGTEPSSSIISFFPASGKTFFLRDELSPRLGFFSMSITSSPPLLSILFLLVCGIATETSSIPSKVTNSGRCLLPLEQLNALSCALAVVSSRRSAPASGFIPRAKM >OGLUM11G10610.1 pep chromosome:ALNU02000000:11:11304001:11304300:-1 gene:OGLUM11G10610 transcript:OGLUM11G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSTWNRRPSSEKMVNPRSYSILHPSTRKIPIKSAPPSPQRGHRLNSSSCNRIEAYLMRNIKWELRIPPVASVPRATRRERREKWGGGDTGAGSDAAT >OGLUM11G10620.1 pep chromosome:ALNU02000000:11:11306948:11310731:-1 gene:OGLUM11G10620 transcript:OGLUM11G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDAVRTPSARRAARTRSASSGGETPTESSGRRRSKQATVAGERGGRRRPAAGGEGGRDEEGRNGYVVAQLLKSPRSGHPKNQPSPPPQEEEEGNHGSARYDCTFQDEGGHDFAPPELVWGKVRSHPWWPGQVFDAADASELALKHTRAGAPLVAYFWDKTFAWSDASALLPFCSNFTRLASQSTMSGFVSAVDAALQEVGRRVEVGLSCTCFGSSIGKRQEIENSGIREGAYGAVVDGAYMRGAYHGRPFLDYILALGMNPLAGADRLELTTAKAQLRAFNCSRGSRHLPEFVTFEGIEDVSVAIPHTKRKRMDKSGGDDVMDMEKKPRRGESSSRKKKVLPEAGKKEIMDEEGSVPSIGATEDTLSKTKKSKNQNSAAKKNRNTSKDADGLDMDDKGSVPRKGATDDTSIKTKKSKNENRSAKKNKNTSKDADGLETVGASKKLSKKAVDETLSESKSARRTRSTRMKGGTPVALKGRGKDSGAESLKVEEKNTALLKENKVGRRAGSARKKYKTTGDGDGLEDGNANVSVSSGKRSTCGETSVASEARISEQGRKKKKLSELMAVTDVPNPSSGGKSKPRGKRSMDASTEKLEDPDRDLEDTMKTRKRKKLDTLGDLSSQPQPVSRKSTTKVGELMHKAAGQMSQTRPVRKANGAVSQKNSRSTKERQVNAPDKSAHSLKVKKGKTDTLTENSLSCSEMLSQLSLAVFNLKKKERFSSAGMNFFTDFRKYSYASRSDVEKEIYGKATNTGSCASFSDVDEDIPEKAASTEPTPLEQPLADHMQDDYWADILINVEEPLSSLRKKKDKGVNRTRKKEHVKKPAMKSSSLGNVEGPTVEGSENKQPNAETQLSVANGTKVSSEETESSSFAGLVLHFSRPGAVPSRSDLIKIFSQYGPVNEAKAETANNANCAQVIFKRRMDAEAAFAGAGKIGALGPALVSFRLSDFPAAASGNDPRQGASKSD >OGLUM11G10630.1 pep chromosome:ALNU02000000:11:11345599:11347684:-1 gene:OGLUM11G10630 transcript:OGLUM11G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQAPNMLAPPTDDELLHAQADLWRHSLYFVTSMAFQCAVKLGIPTAIHRAGGAASLPDLVAALSLPPAKLPFLRRLMRLLVHSGVFAAADDTTGSAGTYRLTPLSWLLVEGEGAAPVVDGHPCQVPVVLAGTSRHFVEAAMGLAEWFRKDVPAAAPPSPFEEVHGAVLFDESMASLHPEVDTIFNQALAAYDHSGFATVLRECSEVFQGVRSLTDCRGGDGAAAKAIVEAFPHIKCTVLDFPRVIGNKRGDGVVNYVAGDMFRAIPPAQAVMLKLVLHHWSDEDCVKILAQCKKAIPARKDGGKVIIIDIVIGAPSGPLLEAQLLMDVGMMVATKGRQRDENDWRDLFKKAGFNDYKIVKKLGARAIFEVYP >OGLUM11G10640.1 pep chromosome:ALNU02000000:11:11361894:11365190:-1 gene:OGLUM11G10640 transcript:OGLUM11G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQCAVKLGIPTAIHRASGTASLPDLVAALSLPPAKLPFLRRLMRLLVNSGVFAAADDTATGSAGMYRLTPLSWLLVEGEGAAPVVDGHPSQVLVVLAATSRHCVEAAMGLADWFGKDLPPSSSVPPSPLKEVHGAALFEESMANLDPESDSMFNEALAAFDHSGFATVLRECREVFLRVEWLTDCRGGDGRAVKAIVEAFPHIKCTVLDFPRVIGETRTGVVNYVAGDMFRDIPPAQAVMLHLVPYHWSDEDCVKILANCKKAIPTREDRGKVIIIDIVIGAPSGLLLEAQLLMDVSMMDYVKILAQCNKTIPNGEYGWKVIIIDIVNGAPSGPLLEIQLLINVVMMVVTKGRQRDENEWRDLFKTAGFSDYKIVKNWELELYLRSIDPKQCSQILLLTGFPLSLLGK >OGLUM11G10650.1 pep chromosome:ALNU02000000:11:11441082:11443405:-1 gene:OGLUM11G10650 transcript:OGLUM11G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAPTMLAPTNDELLQAQADLWRHSLYFITSLCLQCVIKLGIPTAIHRAGGAESLPDLVTALSLQPSKLPFLRRLMRLLVHSGVFAADHTTDAGTYRLTPLSCLLVDGNDDGAAIVDGHPSQVTTVLAMGSRHCVEAAMGLADWIKKDSPSLLFKDVHGATPFDESMADLDPETHGLLNEGLSAHDGSGFAAVLRECREVFQGVESLTDCGGGDGATARTIVEAFPHIKCTVLDLPRVIGDKKTDGVVSYVAGDMLSFIPPAQAVMLKVVLHHWSDEDCVKILAQCKKAIPSREAGGKVIIINVVISSTSRPLLEAELLMDVGMILIGKGQQRDENEWCDLFEKAGFSDYKIVKKLGIRGIFEVYP >OGLUM11G10660.1 pep chromosome:ALNU02000000:11:11443875:11444141:1 gene:OGLUM11G10660 transcript:OGLUM11G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPARSIQIHLCLALLSLGAEAVGDSARGEAAAQEGRRRAKGGGSGASSSRATILAATTSIGDDLGRHDLRHPTSWSPPLPLLLLGL >OGLUM11G10670.1 pep chromosome:ALNU02000000:11:11444184:11445649:1 gene:OGLUM11G10670 transcript:OGLUM11G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVRSSRSKLKGGQICLPRPLTGDALEYRWGLLVCDSWRTAWVMAVYPIKVVLEERKKWCGGAGKRKERREIAASGLGDDNGTREEIERLVQ >OGLUM11G10680.1 pep chromosome:ALNU02000000:11:11469872:11471156:-1 gene:OGLUM11G10680 transcript:OGLUM11G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQTQTIQVPNDAELMQAQADLWRHSLYYLTSMGLRCAVELGIPTTILGLGGAATVPDLMAALSLPENKLPFFWRLMRVLVTAGVFASADGGETERFRLTPLSRILVDGVVADEHHSQRCFVLGTTSRHYVDAAFALSEWFKKDLASPVPSPFEDLHGARLFDESTPLLDPELDATVNEGLAAHDNLGIGTLLRECRDLFKGVRSLTDCCGRHGATARAIVKAYPHIKCTVLDLPRLVDHAPRDGVVNYVAGDAFQCTIPPAQAVMLKLMMDMLMMVNTRGRQRNENDWHDLFMTAGFSDYKIVKKLGARAVFEVYL >OGLUM11G10690.1 pep chromosome:ALNU02000000:11:11480453:11481884:1 gene:OGLUM11G10690 transcript:OGLUM11G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRGDESGTNDDGKRGCRALPLAAFLLSDDVHDEGEFAGGRLIPTTTSLLVPSGSRAAIAVDLGGSEQRMAAWQSGSCSPSAARPYNPRCRPCAIEMLDLDLLLYAFLGLIFASVWDDPRNRLVVLASRKFPAAEGRSDLHPVLVMRGTCRLFCFVADNGEDGAVVVLHIFLFLRYAEILIKKNEASPGELEVYFFFTSLLMCWAEIYSLY >OGLUM11G10700.1 pep chromosome:ALNU02000000:11:11512633:11515514:-1 gene:OGLUM11G10700 transcript:OGLUM11G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSTACVPEAEVIDLESAECRSGATMAKSTGHSSGFHPKQQALKVSGTRGSLNKTAARVVSRYRQSRVLNRQKKDNTTFGINCPCQPKCVVNIIKEFDDRKKELIGEIGFDGLLDIKLTKVNRQFGAWLLSKVDPKSCAIVKDVNQELPFGSNDVNAVFGLPCSGQPIIPCSQDELDGKKQILCEIFEIPNFSHLKISLLGRILKKQYGYPMTIDEKRVFMAAFVLYVTTKLLAPQSCANFISPRYIMAVSDVDNIKQYNWSQFVVDEVKKAAESMPTCFPNKAQLSINGCIIFLMVKYLRNLLFRKVGITCVKTCHISQFEDDQIARMIQQDVVSKHNPGFPFPRYGKLQLMKDPRENNPHVPELSPLNLCSCSKIPSRAIDGGKNLIKFLESHFSSLDVHRTVGSQAYEELKSSVQDGFDRIDEILPTISDFVDISTMQTAIHASNLFKRAFKTNITAAVKIAIRAAVTKVIDTIEDIQGPLHLWGDTTAMGYHTPTNYSTHATKDASQLDQPTNTVKR >OGLUM11G10710.1 pep chromosome:ALNU02000000:11:11519103:11519605:-1 gene:OGLUM11G10710 transcript:OGLUM11G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMAKAAVAATALAKVAMAVTAPRQLRRPRRAGGHDTGVWAADPAVGRLEGDGGAGGQWIRCWEARRRWRGWWAAGEVGGRWRGWWAADLVAREAQGRVSGVLVLADFRAYFIPPPEVTAVAYFLDIAARGSRRCEELPHGLSPSSISWLPQHPDTQAPCR >OGLUM11G10720.1 pep chromosome:ALNU02000000:11:11547988:11548269:1 gene:OGLUM11G10720 transcript:OGLUM11G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGVVARHPKRRRQRCQAEQRRGGQSGVSGDGGGVARSSLLLASTPPLLSSPDRQPFVLGEHADNLDTSSSVLTTIVEILSSPLDGTASLG >OGLUM11G10730.1 pep chromosome:ALNU02000000:11:11552522:11555813:-1 gene:OGLUM11G10730 transcript:OGLUM11G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTGGGGGGFRRWLRGGWSQRRLPSFLPDPVEAEPFRIRHPGKVNGKRPKILAQYSRNRFPSLLMLMLGGATHYTQLVDLHEWSCQHHNDNQIGVQDKMASIGAALSGLKNSSFTTMGAEKLPDQMHDLKIRDDNEVQVTNINGKGAETGHIIVTTTGGRNGQPKLTKCKSAWEDMLNTEHAELFAIARGVAPRSPF >OGLUM11G10730.2 pep chromosome:ALNU02000000:11:11553859:11555813:-1 gene:OGLUM11G10730 transcript:OGLUM11G10730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTGGGGGGFRRWLRGGWSQRRLPSFLPDPVEAEPFRIRHPGKVNGKRPKILAQYSRNRFPSLLMLMLGGATHYTQLVDLHEWSCQHHNDNQIGVQDKE >OGLUM11G10740.1 pep chromosome:ALNU02000000:11:11555553:11555840:1 gene:OGLUM11G10740 transcript:OGLUM11G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSVRRRERARGWSEPAMAAAAVGGRRSASDLEGRAAAASTLRAASTLHLRLHRIWEEGGEPPLAPPPAQPPPEAAAATASNCRRHGSSGRNLS >OGLUM11G10750.1 pep chromosome:ALNU02000000:11:11559015:11559239:-1 gene:OGLUM11G10750 transcript:OGLUM11G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDGNTRLGARLDRAHVSNLVWAATTPSAHSFPMASSTPSSSTRSASLHRLHPLARRPPLMRWVGSCCYGGD >OGLUM11G10760.1 pep chromosome:ALNU02000000:11:11559427:11565829:-1 gene:OGLUM11G10760 transcript:OGLUM11G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDQRGRWAPPDEGEFASPQRSEPFRGDVLASADIHVVPLPPHAQGWCGCGPGSCRFPRSGSASSRAAAPPALVMKLLRAWVSLNGLLGWGPTKGRRTGRFAVSLLARSSDPSGVFRRPLRSAFQEP >OGLUM11G10770.1 pep chromosome:ALNU02000000:11:11565746:11567562:1 gene:OGLUM11G10770 transcript:OGLUM11G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFGCCCPWRPSYVVNLWLRLYRYSIVLAVTCLHEGMGLATQSHDMISLSHDYCNILIRILVFRHNGLIKY >OGLUM11G10780.1 pep chromosome:ALNU02000000:11:11575796:11576487:1 gene:OGLUM11G10780 transcript:OGLUM11G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAVEEAAQAGGEEEAAGKEAPDQAEPATTEECFFVGNGPLSRGRGCSASITLCLGLPSSRAHACRAVPDGQNPPNPRPALHSISQSSRSFPPRPCLQPPWGRLCLSHAQTTEAGMDQRIGDGGHTGDGNPGRTTISELYPDPICGSPASILIMYWVDISF >OGLUM11G10790.1 pep chromosome:ALNU02000000:11:11592396:11592947:1 gene:OGLUM11G10790 transcript:OGLUM11G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGARLVSATATSSQSGGSIVPSPGTNLPFPASGAAGLGGGRSGALGPRRGGSAAPTLGATVLGSGDDDVEWHGTGKVAKSDAYSRLSASIAIVTTLSSCFLPPTLGLQVADDDRDATESMETTTSAGVRMWWLRELLPATSSSRVTTIVGVCLRLPPCAGVRRRRPRRSYAVVEEAHAGGG >OGLUM11G10800.1 pep chromosome:ALNU02000000:11:11595373:11600795:1 gene:OGLUM11G10800 transcript:OGLUM11G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHFTAAFMIATCLMLFPRSEQSSQGEVLQQLRKQLEYPRQLDVWNNPNSDPCYTQPTSVVTVACEGNAITELKIIGDRITKPPKFSGYPVSNITLSEAFVLDSFVTTLARLPALHVVILVSLGLWGPLPDKIHRLSSLQVLDLSSNFLYGSIPPKLSAMPKLHTLTLDGNFFNGTVPDWFNLYSNLTVLRLQRNRLKGPIPASIGKATMLSELALAGNSIAGEVPQLGSLNKLEMLDLRDNELDGELPELPTALVTILLSKNSLKGEIPEQFGQLNRLQHLDLSFNFLVGKPPEKLFALPSISYLNLAANMLSGSFSTSLTCSSTLGFVDLSTNQLTGDLPVCLNVNVNNRVVKFDGNCFSDDPEHQHETKYCQQPHKGRGSNKDVGLVVTVVGVVFIVLVLSLILMASNRRSCQRVLAEQQLLQKQMQDNSTSGMSTELLVNARYISQAVKLGTQIMPMYRAFSLEELKEATKSFERSAFLGEGSIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDGEVDESSVKRVFLVYEYVPSGTFPSYLSGSSPEKTLNWCERLQVLMNIAKAVHFLHTGIIPGSLYNRLKPSSILLDEQLVAKLSDYGLSIITEEIYKHEAAGEGQRCVEDNGGELENLEDDVLSFGGILLEVLMGPKHHRKDLSVLSELVLSISKQEEREQVLDPVVLSTSSQDSLSMVISITVKCLSVESSARPSIEEVLWNLQYAAQVQAISDGDQRSEVSSQTC >OGLUM11G10810.1 pep chromosome:ALNU02000000:11:11604086:11604882:1 gene:OGLUM11G10810 transcript:OGLUM11G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQEPQHWYSWSALAEWWYTTYHTTIEMTLFKALYSLLPTLTRSELHWLLHCEQPLGAVDGAVAHHATGASEIGHRQAGVHGEVVRYGAAVRVTGDEHAAEVSSLGEPAISIVQGVFPNPVEETRRIVNCGGRRCLRARRYLMENTTALASLTWSSGRGTATTVVRVQVAPAAAPPPRSPMVFGVR >OGLUM11G10820.1 pep chromosome:ALNU02000000:11:11604929:11605476:1 gene:OGLUM11G10820 transcript:OGLUM11G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPVELTASESQKKTERREKIREIYWTGCLETPTSKGSNIHPIFHVSQLKKHLGAHAVPMANLLSVRPDGWIKTEPVVVLQRRMVLEGEKL >OGLUM11G10830.1 pep chromosome:ALNU02000000:11:11606378:11622094:-1 gene:OGLUM11G10830 transcript:OGLUM11G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPLLFHPILHFSEAIPLLQSIHSTLFRSPTAAGPYPFHSPPTPTAAGVLGHHTMSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQKKMESEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDQFKSLPLWRQAEPRFLWNGYLLEPLIENKSIHAEIGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHSKGEKMEEQTGTVRTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGLIENHASFRLAFALLLAAVIFLIMSLRRENVAKMYMMIKIANG >OGLUM11G10830.2 pep chromosome:ALNU02000000:11:11606378:11622094:-1 gene:OGLUM11G10830 transcript:OGLUM11G10830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAPLLFHPILHFSEAIPLLQSIHSTLFRSPTAAGPYPFHSPPTPTAAGVLGHHTMSVATEACPSPKLHTRLRLWEFADRYVFEPVDGLADLFLSVDRTNGSMSLVEELPPRGPSTNPKVRIVFGVIGVLKLAVRSYFLVITGRDCVGSYLGHAIFKLTGLKVLPCNNSLNTSSAEQKKMESEFSELLDAAERTIGLYFSYDVNLTLTSQRLHDLGDQFKSLPLWRQAEPRFLWNGYLLEPLIENKSIHAEIGSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIMQSKEFTASYVQVRGSMPFLWEQIVDLTYKPSFDVVRVEEAPRVLERHFHDLQKKYGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHQICGHIHFERLSQLYDQIEDYLKKHRYFLLNSKGEKMEEQTGTVRTNCVDCLDRTNVTQSMIGRKILESQLQKISVLGDNNTISDYPAFDADYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNAMARYYLNNFADGTKQDAMDLLQGHHISSVSRDMPTPTKGLIENHASFRLAFALLLAAVIFLIMSLRRENVAKMYMMIKIANG >OGLUM11G10850.1 pep chromosome:ALNU02000000:11:11672210:11674527:-1 gene:OGLUM11G10850 transcript:OGLUM11G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWWKEEDLRMVANLEPRSMGRAADLGGTPPGHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYASSHATSGYTQRSKAVIKKEKQHGHYSTVNMIQHKCTSYVHTI >OGLUM11G10850.2 pep chromosome:ALNU02000000:11:11672210:11674088:-1 gene:OGLUM11G10850 transcript:OGLUM11G10850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHRISRSHAGVNLRAAERDGRRLRGVLPVYHYQKNITVEDYASSHATSGYTQRSKAVIKKEKQHGHYSTVNMIQHKCTSYVHTI >OGLUM11G10860.1 pep chromosome:ALNU02000000:11:11709143:11710275:-1 gene:OGLUM11G10860 transcript:OGLUM11G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHHVFRHFCHIFCHGAFISLSSSSPSNVEGGRGHDGDGEGTGGQESSSARAAASRTRTPPLDGTAAHSPLVVFASGLQPAPEEKEGTTAMGKGRDGWRTPQRGRLRAGRAPRRLPVPPLVIFVFVSNLRRRRRRARRRWGRGRTGRASHRRSPVPLLIVFVFVSNLCQGRKRARWRWGRGGTGGELLGEGGGERDVHPATRSLLIVFVWALACTGGGGGCNGKGEGAGGHESSSASGTRIPPLAHPCARGGSGRDGDEEGAGGHESSSARAAASRTPIPQLAGPTSRSPLVVFVFVSSLCQRRQRERWRWGRGGAGREESSSVRAAASGTRIPPLAGPTARRYGRSLTAHPARLRLSSLRRRRRAR >OGLUM11G10870.1 pep chromosome:ALNU02000000:11:11717006:11733530:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANKLGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKEQKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYIVYHELINTTRPFMRNVCAVDQSWIKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQLLNLFTEVEAAEMTGGSHDGYSAAAALAATALAASRNGLCSGKIL >OGLUM11G10870.2 pep chromosome:ALNU02000000:11:11717006:11733530:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANKLGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKEQKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYIVYHELINTTRPFMRNVCAVDQSWIKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQLLNLFTEVEAAEMTGGSHDGYSAAAALAATALAASRNGLCSGKIL >OGLUM11G10870.3 pep chromosome:ALNU02000000:11:11717006:11733319:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPISIYQKEFLEATIPEIQRSSLAGSVLYLKSLDLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANKLGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKEQKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYIVYHELINTTRPFMRNVCAVDQSWIKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQVSSPKKAMDVKQSDVDSKIQAARERYLARKGKK >OGLUM11G10870.4 pep chromosome:ALNU02000000:11:11717006:11733319:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >OGLUM11G10870.5 pep chromosome:ALNU02000000:11:11717006:11728103:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MPSPPPPPQPSPPALPISEHEDEIMAAVEANPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELSVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSDPELKQYSVIILDEAHERSLNTDILLGLMKRLIKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHVKESPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPEQQVRVFAPAPPNCRRFIVATNVAETSLTVDGVV >OGLUM11G10870.6 pep chromosome:ALNU02000000:11:11728244:11733530:1 gene:OGLUM11G10870 transcript:OGLUM11G10870.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT1G27900) TAIR;Acc:AT1G27900] MQDMLSRDMCAELPLEPSLSRTLIEANKLGCLSQALTVAAVLSAEITFRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDRTGYDPRWCSDHELQVRGMKFSKDVRNQLSQIIQKIAKGSTDVQAKKEQKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRAQLVQVHPFSVLEGDEYGKLPVYIVYHELINTTRPFMRNVCAVDQSWIKPILKKLERLDMNKLSGGSSGSKDPEPLEDKQLLNLFTEVEAAEMTGGSHDGYSAAAALAATALAASRNGLCSGKIL >OGLUM11G10880.1 pep chromosome:ALNU02000000:11:11736601:11736921:-1 gene:OGLUM11G10880 transcript:OGLUM11G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTDDELDPVIVAGANRAFTDNGRKLGVGAGVIINHHRLSSRLPPMANLILVIVAGADRFSTADRLSSLELPSVWSSTIGVYTPGCHQWRTCPWSSSWRRSFLCR >OGLUM11G10890.1 pep chromosome:ALNU02000000:11:11745063:11746062:-1 gene:OGLUM11G10890 transcript:OGLUM11G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYI >OGLUM11G10890.2 pep chromosome:ALNU02000000:11:11745063:11746062:-1 gene:OGLUM11G10890 transcript:OGLUM11G10890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYI >OGLUM11G10900.1 pep chromosome:ALNU02000000:11:11765758:11773405:1 gene:OGLUM11G10900 transcript:OGLUM11G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >OGLUM11G10900.2 pep chromosome:ALNU02000000:11:11765637:11773405:1 gene:OGLUM11G10900 transcript:OGLUM11G10900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:3'-5'-exoribonuclease family protein [Source:Projected from Arabidopsis thaliana (AT1G60080) TAIR;Acc:AT1G60080] MAAEAQATATGGLAGEMEVEAYRRLFPLAFLERHLRESVRPDARRPAEARPTTVALAAVSSAHGSALVRLGDTAMLASIKLEVMSPSGEAPDEGSIAVEFHMPPICSPLVRPGRPAEVAPVISKNLEDILMSSGMLNLKELCLISGKASWLAYLDVYCLNADGSLFDAALISAVAAFTHLEIPLVSVGDDGRVFTVGGNEGKAKYELVNREKRKLTITNVPFSLTCALHKDNVLADPTAEEESIIETSVTIVLDSSDQIVSIQKPGGAVTSMTTIKECISLAKDRRRKLREILMDNVEAMEVDQTD >OGLUM11G10910.1 pep chromosome:ALNU02000000:11:11789668:11791961:-1 gene:OGLUM11G10910 transcript:OGLUM11G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRREAWSWSTGIDGGVAAEDVRATVDGDRRRGRRRCEAGDGGRRVGNREGRRAIGIGGAAEQIKGRRGAWRSAARLRRVTGVTGEREEVTGEREGGEANLRARESRRAAVGTMGDGGSKQWAAAASSGGSECGGATGWPLVLRRRRGGSVAAAGGLGGATKAATLGLGEMAAAHMVLLTAAAAPIAGGRRDVVRTWASWANWADGKMEKEWAK >OGLUM11G10920.1 pep chromosome:ALNU02000000:11:11791585:11794514:1 gene:OGLUM11G10920 transcript:OGLUM11G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCNQRSRSPTSPALPRAQIGLPSLSFSRNFFPFSRNSRHAPQSRRRSPRPAPPLNLLRSATDSYRPPPLAIPYPPPSIPGLAPPPPSPPIPIDRRPHVFRGHATVDPRRPRPRLTPILHRAPPPIPSLATPPPSSPIPIDRRPHVFRGHATADPRRPRPRRPPIPCRQAWVPVFADNAGATIHRHRIGTAPGHHHLRAAFVCEKDSKVLNT >OGLUM11G10940.1 pep chromosome:ALNU02000000:11:11802384:11803198:1 gene:OGLUM11G10940 transcript:OGLUM11G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGPRRRIEGRETQHNLNPRSKCCCSHPTLRRVQWPKLHYSFDLHNTPHTSPWAMCNPSRSAVTHDCREVLSASKELTWTQMATLKPREISRRKARHN >OGLUM11G10950.1 pep chromosome:ALNU02000000:11:11818273:11823465:1 gene:OGLUM11G10950 transcript:OGLUM11G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANLEDVPSMDLMNELLRRMKCSSKPDKRLILVGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHKQTEPVIDYYSKKALVANLHAEKPPKEVTAEVQKVLS >OGLUM11G10960.1 pep chromosome:ALNU02000000:11:11824725:11832180:-1 gene:OGLUM11G10960 transcript:OGLUM11G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin binding;purine nucleotide binding [Source:Projected from Arabidopsis thaliana (AT1G13870) TAIR;Acc:AT1G13870] MKPYLQNSPIPNPQSNPGIRLRRQAAAARRYGPTADGDTATGSCGGRWGLCSRRGRRARGRSGRQAAWARCGAAAVGIGAAAAGGRQPAQQGSRQQAVRQAGQQQVYTFSMALVVICGQPCSGKSAAAACLAAALCSSTSDLTVRIIDESSLHLGRNDSYKEKNLRGVLRSEVDRSVSRDSIIVVDSLNNIKGYRYELWCLARASGIRYCVLFCDTEVDHCREWNTKRQEKGEPTFDDLVSRFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTARTTEANSLYEMDKATQEVINAIVEAQSCGLGLPLAGQYSLSGPPPPADADSATRMFVDYLNREISS >OGLUM11G10960.2 pep chromosome:ALNU02000000:11:11824725:11832180:-1 gene:OGLUM11G10960 transcript:OGLUM11G10960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin binding;purine nucleotide binding [Source:Projected from Arabidopsis thaliana (AT1G13870) TAIR;Acc:AT1G13870] MKPYLQNSPIPNPQSNPGIRLRRQAAAARRYGPTADGDTATGSCGGRWGLCSRRGRRARGRSGRQAAWARCGAAAVGIGAAAAGGRQPAQQGSRQQAVRQAGQQQVYTFSMALVVICGQPCSGKSAAAACLAAALCSSTSDLTVRIIDESSLHLGRNDSYKGIPLFCDTEVDHCREWNTKRQEKGEPTFDDLVSRFEKPDRRNRWDSPLFELFPSRDGVMESSPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTARTTEANSLYEMDKATQEVINAIVEAQSCGLGLPLAGQYSLSGPPPPADADSATRMFVDYLNREISS >OGLUM11G10970.1 pep chromosome:ALNU02000000:11:11863095:11863502:1 gene:OGLUM11G10970 transcript:OGLUM11G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCHVFKTELARQLAIEKEKQREYKNSAASFPDFDLHVLHIFGGSTSYSSKREYKKVEREVCSTSQGAATKMKWSQHKIEFSESDHPRIAMSRP >OGLUM11G10980.1 pep chromosome:ALNU02000000:11:11869851:11870646:-1 gene:OGLUM11G10980 transcript:OGLUM11G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRVNRASVFVILLIVASALSVFTAGGRELVAQETNQKKYSSAALGEGATSSGEAHPRNLMVKTNDYGRYDPSPAFSKPRFKIIPN >OGLUM11G10990.1 pep chromosome:ALNU02000000:11:11898764:11900142:1 gene:OGLUM11G10990 transcript:OGLUM11G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLSAQRNPLQFLYYSTRKSQNLNKIPKQITCKNTGIPAELNLVVGSGHPDTKTGENGGRGDIPDEEGIDGPLQLVLGLAQSHGSAGGEELGSGDLVAAYPSEQSLARGRRLGRCGLGVMKGGGGGLGGGAAWLSEPGMEMEVSEHTRFLALGFVRRGLVEGGGEIHYKIPKLSEFNPEATRESTDS >OGLUM11G11000.1 pep chromosome:ALNU02000000:11:11918654:11928964:1 gene:OGLUM11G11000 transcript:OGLUM11G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVLGPFLAKTEREQQGRRGARSKAGQGRPDGDQGRERQDSDVLLPAVTAQDGAGVSGAAWPPWLGTGS >OGLUM11G11010.1 pep chromosome:ALNU02000000:11:11929077:11929457:1 gene:OGLUM11G11010 transcript:OGLUM11G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSLGGASELGLVMIMALIDEIRNRRSNRSEDLPRDGGVTKFRGGGGAGKKLQHAHKMFDEMCRRVKKRQRGEDELASEGLVLEAKIDKLASSWPTGLDAGLEAVRA >OGLUM11G11020.1 pep chromosome:ALNU02000000:11:11949460:11956569:-1 gene:OGLUM11G11020 transcript:OGLUM11G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGQRIKTRKRNIAAPLDPASFSDAIVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFIGGRTQPGTIKPEEEGERHPYSVLDCAAQREAILPSVLYIQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVTKGIVLSFITEFFKEYLKENTLDDLIALLKKGKMEDNLLEFFPSAKRTSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKLTLTTMINEEAEISEVTEAVKQQVKDAKFPDIEVVRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAGLLNAFCISGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWQSFVKALEPFVKWLEEAEEEE >OGLUM11G11030.1 pep chromosome:ALNU02000000:11:12055582:12072961:-1 gene:OGLUM11G11030 transcript:OGLUM11G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSAHDAVLAQVVQAIADSVHASYTAPEGLLVDPSSVRAVADAVAATVETAARDAIATLLRPHLQAPASGELVDTASTLIDPTAAPATAAPATAVPLTPIALSAADLAAVAAQLGALSTSPGQLLMDANATPKAYTY >OGLUM11G11040.1 pep chromosome:ALNU02000000:11:12074186:12074773:-1 gene:OGLUM11G11040 transcript:OGLUM11G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIE2] MASLCSLLLSSSLILAVAVFLRHHIGASTTTTHLHFYMHDAYTGPAPTAMRVVSSHLLLNDGDANINDATSPPPSSSLPRRQFGDIVVLNSVLTEGPSTGSVRGHGAGFRGAGRHGVGREPARGAVVLEAGEHRGSSVTAKGRIDMDAGDRESVVIGATGRFRLARGYMVTKNYDYSLATGGVVVIDVYLRH >OGLUM11G11050.1 pep chromosome:ALNU02000000:11:12075813:12086195:-1 gene:OGLUM11G11050 transcript:OGLUM11G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPPPPSAHDAILAQVVQAIADSVRASYTAPEGLLVDPSSVRAIADAAAATAETAARDAIATLLRPYLQVPASSELVDTASTLVDPTAAPATAAPATAIPPTPIAXDTASTLVDPTAAPATAAPATAIPPTPIALSAADLAAVAAQLGALSTLPGQLAGARFL >OGLUM11G11060.1 pep chromosome:ALNU02000000:11:12116328:12122284:-1 gene:OGLUM11G11060 transcript:OGLUM11G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAAQLVGAALMLLLLSVAAAPARANEVPRLFLQEIASDYDDGIGGTAGDKGAKTIAGSPIVAGVMNDRLKALTSSFAKAIGDKLDYCIKDTDKEWNAAFNFSKDTTFLTNCMKQTNGDLQQRVCTAAEMKFYFNSLIDAGEKSGEINYVRPNKNCNLSSWMDGCEPGWACTVGKEQKINLQDAKDIPYRALDCQACCPGFFCPHGLTCMIPCPLGAYCPLSSLNKTTGICDPYNYQPPAGNPNHSCGGADNWADVVSTDDIFCPPGFYCPSTTQKLPCSSGFYCRKGSISQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLLIIYNFSGQILTNREKKQAKSREAAARYARETAQARERWKSAKDVAKKAGTGLQSQLSRTFSRKKAAQTPKGGGGGGSSLPPSGEDGGGRKKNLTDMMQSLEDNPDNDEGFNLEIGDKGLRKNMPKGKQMHSRSQIFKYAYGQIEKEKAMQQENHNLTFSGVISMAKEHDVSTRPVIEIAFKDLTLTLKGSKKKLLRSVTGKLRPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGMVLINGKVEPIRAYKRIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQAVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFTGLGITVPERVNPPDYYIDILEGIVKPTMSAGVSVKDLPLRWMLHNGYDVPRDMLQSSSDSESSFRGSTSPASGDASVAAEVWGNVKDIVGQKKDEYDYNKSTEDLSNRCTPGILRQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFALDKIYYWRERASGMSSLAYFMSKDTIDHLNTIVKPIVYLSMFYFFNNPRSSIWENYVILVALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFFADLCYTKWALEGFVMANAQNYSGVWLITRCGSLVKSGYDINDKALCIVVLIANGIVFRCVAFFCMVTFQKH >OGLUM11G11070.1 pep chromosome:ALNU02000000:11:12129766:12132088:-1 gene:OGLUM11G11070 transcript:OGLUM11G11070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHKQIKYEDVPPTAVDLFKECLCRNKIEIGLAHLLFDLNANGYNASGPKSVCKVLHLKWAKP >OGLUM11G11080.1 pep chromosome:ALNU02000000:11:12137699:12137932:-1 gene:OGLUM11G11080 transcript:OGLUM11G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFNGDMLSWWTACRREMIRDQRRSFDGLFIYTAWGIWLQRNARIFNGAYSTVPQVIDSIIAMCKAYEGAHNLVE >OGLUM11G11090.1 pep chromosome:ALNU02000000:11:12173366:12180210:1 gene:OGLUM11G11090 transcript:OGLUM11G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCLTTAEQRAMEVPAASVKGGGGRRSDEEAPGRIAGNGAGNVACLFTRQGKKGTNQDAMVAWENYNGRSDTVFCGVFDGHGPHGHLIARKVRDILPSRLCDLIYEDCGDSPTSNSDVSTLEENLSPYADAECRSPTLAGQKEHQEFFNAMKESFRKAFKNVDKELKLQRNIDSICSGTTAVTLIKQGHDLIVGNLGDSRAVLGTRDQNDKLVAHQLTVDLKPDHPREARRIRRCNGRVFAHQDEPDVARLWLPNCNSPGLAMARAFGDFCLKDFGLISVPDVTYRRITEKDEFIVLATDGVWDVLSNQEVVDVVASCSGRFSAARSVVDLANETWRFKYPTSKTDDCAVVCLFLNKYEVTGGLSGQPGYSPRMPALSGITRPNSKRVTPDDVDDGSDSNVSGDERSLDGFTRLNTLLALPKFGDTSPTKK >OGLUM11G11100.1 pep chromosome:ALNU02000000:11:12235826:12236351:-1 gene:OGLUM11G11100 transcript:OGLUM11G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVFAPHQVAILALPAAEAEVATAAAPGRMAANSVTSISKGGAVMRSKIIDLSGNGPEAVAR >OGLUM11G11110.1 pep chromosome:ALNU02000000:11:12445520:12445812:-1 gene:OGLUM11G11110 transcript:OGLUM11G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYGLKGAHRTLNEARESLDSGSGEEDGPATVYSSGKTATARSTHRIDMHVFSWVRSQGWCIREESDNGSPEEAESTMAMATGGEEDGSRSPHS >OGLUM11G11120.1 pep chromosome:ALNU02000000:11:12456758:12458444:-1 gene:OGLUM11G11120 transcript:OGLUM11G11120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERVRRRRIPAFGEWNYDGDGDLGGGGGCYGYGYRDGDWPVTQYFDSAMQARSLVISLPPSPKPPKKAVKWIGSGALGEEEVVDEKQRQRQHKVVVGLAVAGGGHGAARKQGKQSRVADAGAHAAMGYKGCRPAVVKAVDRDLYEIPPDMLCNKPRKRVTRSLWMGCLGLSCVA >OGLUM11G11130.1 pep chromosome:ALNU02000000:11:12469175:12470138:-1 gene:OGLUM11G11130 transcript:OGLUM11G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAGRNRAAKTRLSNAPCPRFSTPPHLDSTEERVTKFGHTRSRFVGQR >OGLUM11G11150.1 pep chromosome:ALNU02000000:11:12478972:12480825:1 gene:OGLUM11G11150 transcript:OGLUM11G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGGRVRGAAETICGGRATLAGLSSIASGFCIQIESPMMSQASKSRTGEAEDATGRTAIVEDADVVFEEEDLNDPSSQSIKLTGEIWEVWINCKGSKERWVKANVDKGNIRFETLISIKEQLGFDLRDYLYYKKRLAYKAYITNNKNTSDGVEINGSSDMPETEWPSHARKITKHTQDGKQVGRGTLKGLSASAKRLKSSSRKLKVEFSAKLGGPCGDNRRTFVDEVVMYTRLRTPLIGVRKWKDVKQD >OGLUM11G11160.1 pep chromosome:ALNU02000000:11:12590305:12591402:1 gene:OGLUM11G11160 transcript:OGLUM11G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQPPSGVQLDNSRKHERSTRSSTATRNTKESCRSGPDVQLYTPLSPTCSSGLLISDKSPKGCNLLGGQGTFGISGAGDTGKGLPGPAPYWSVNSSYESIPISALPPKKRYLRQLQLAQQLEVSPPAVPVAVPAQVPLAGSNSGVSFGQKSKQDNSLGPINWRSTRWWNYRKRSSDDADNAEKKDAANYQEAGNSIAGKRNRVEWGYGLAKYEKGKKQMSNSLPSDGDNTNLGASSESMTATVDCPAALPASSLGSNVQPGDALN >OGLUM11G11170.1 pep chromosome:ALNU02000000:11:12593695:12597649:1 gene:OGLUM11G11170 transcript:OGLUM11G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGIKVLDKKSMRTEANTRCISTNLAEVLQKKVEQKEEESHKISHDNAFLESTPSCEVGSTSIEEVEEKDCVASELSMEEKEYVAADVLARKFDSPPSLVAMDKNIFDLKSISNYIGTRSIRECKILFSKYQRHFGTDLIHKANENATVEGEVSNCMPDNGEPHIWSAIDSMPCSMDNAHDQRPSILNKMTTEMENPQISQETSEKVIHSVESNVIKTNGTELCSKVNIDFNTNLSATASEVDSPRAVGSTRSQLPENSLKHSEFHVEGQSVSTMQIGTINGSSFSQADGIMTHVQRIQHPQTNILDTSKDAAKKPSFIRIFGKIFHEGFSMEANTNSKEYDNVEGLTSNVTTNTTLPNTVSGDIPKNLSNVTSSCSALRSRNIDLIQNQHAKSLKVIPSGMTRDDVASHLWTGSNSIVNHSEQPCKSSTVEGSGMLNGYPSLSDWTHMMTSFQALGSCGGQNEGSSTRNTMILGSQDQCKDYHLNFHDAYPKYRK >OGLUM11G11180.1 pep chromosome:ALNU02000000:11:12601470:12603555:-1 gene:OGLUM11G11180 transcript:OGLUM11G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDEVGPDVDIATGESLQGSMGYCYNLRGLKGQIRNLTREGDSGKSLD >OGLUM11G11190.1 pep chromosome:ALNU02000000:11:12638169:12639358:-1 gene:OGLUM11G11190 transcript:OGLUM11G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTLSSALSSMEVMLDALMQRGVGKPEEKPKEEAPPALPTRPTVRGRPPSLQRPGSPPPWVHRSPSLPPMLEVDEKLAVNSELERRATVAEEAVKQKDDVVRQKDEEIAALRQQVEHYESRLSECEARMKSVEEELRKQITTMQIAQNNAGRTGESTTRTHHRQELSGTSGAPAQSSGRREEEASVTRQQARGRESNVAAVVDERKTDAVSRLATELRQESEAFEHRARAVTEAGPPTAKSVDELKKLKRQFGTWKKEYGARLRKTKAELKKLVRSERGGHGNRRRCCSWKIKLPKCRFPKCCAFKLPSPSSCCSCSCFRRCC >OGLUM11G11200.1 pep chromosome:ALNU02000000:11:12746302:12747535:1 gene:OGLUM11G11200 transcript:OGLUM11G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFMACASPPVLALALLASCGAFLATSMLPARATAGSCLDVGDMVMMDRFRAWQGAHNWSYPSAEETLQRFDVYRRNAEFIDAVKLRGDLTYQLAENEFADLTEEEFLATYTGYYAGDGPVDDSVITTGAGDVDASFSYRVDVPASVDWRAQGAVVPPKSQTSTCTFVTAATIESLNVIKTGKLVSLSEQQLVDCDSYDGGCNLGSYGWAYKWVVENGGLTTEADYPYTARRGPCNRAKSAHHAAKITGFGKVQPWNEAALQAAVARQPVAVAIEVGSGMQFYKGSVYTGPCGTRLAHAVTVVGYGTDAASGAKYWTIKKSWGQAGASVATSGFSATSVAPACVASHSMSPTRP >OGLUM11G11210.1 pep chromosome:ALNU02000000:11:12751895:12752477:-1 gene:OGLUM11G11210 transcript:OGLUM11G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAHTFGVDARGGEVVDWVQRRKRGTDVGEKGRRGEVSRACSLDSVGVGPCKVAYGGGQVAGKRANKGKVWQAVGPHVAWLGRRYIFGLGTGEGWAGCGS >OGLUM11G11220.1 pep chromosome:ALNU02000000:11:12762813:12768304:-1 gene:OGLUM11G11220 transcript:OGLUM11G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGQQVASVLQEMLKLMEDMEMDGSFESLAVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRCFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVLGGIYANNTSGITAQHLEWVHQTTLKILQEMAF >OGLUM11G11220.2 pep chromosome:ALNU02000000:11:12762813:12768304:-1 gene:OGLUM11G11220 transcript:OGLUM11G11220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPLSLSHSLTSALSATSSGIGRPVARLLHPRVPSRPTVICLAAPPKVPVPIASPASLGDDPSKWDPAECDALLRGGQQVASVLQEMLKLMEDMEMDGSFESLAVELIAQGVIGKRVDEMESGFLMALDYMIQLAEKDSDNERKSLLEVVKQTVLDHLTKKCPPHVQVVGLLCQTEKKDSRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRPTIPDRKLLARLVIVREEARNMMGGGLLDERNDRCFTTLPEAEVNFLSKLVALKPGKALERMIKDVMQGKAEGADNIENANAGPDSKLDHLTGISGRGSVTGLKPRPVRPGMFLETVSKVHQTTLKILQEMAF >OGLUM11G11230.1 pep chromosome:ALNU02000000:11:12766924:12767127:1 gene:OGLUM11G11230 transcript:OGLUM11G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHYQTLGLRRDATTAEVKANFCRGALHDHPDRHAHSPDAAMHATFASPPTRTGLQGPLRRPPPR >OGLUM11G11240.1 pep chromosome:ALNU02000000:11:12837687:12838088:-1 gene:OGLUM11G11240 transcript:OGLUM11G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISFLFLLAAIRSSSSVSSLTSRRRRRGVHAATRGTATASARRRGSPTPRAPRTGSPRSSAARGIRRRWRRRPRRRRRRPRAAGPPRTTRIRPAAQRS >OGLUM11G11250.1 pep chromosome:ALNU02000000:11:12877450:12882594:1 gene:OGLUM11G11250 transcript:OGLUM11G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEKSNSSLHISVQEYFFLCIYKIVPLYVYGQKNTGPVTRSISMSVSFRWSSIKSSEGMNYCPISKYEQQYSLN >OGLUM11G11250.2 pep chromosome:ALNU02000000:11:12879115:12881420:1 gene:OGLUM11G11250 transcript:OGLUM11G11250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVREASVVLAAGRRGQALAAGSGFLADRSGSRQVAAVSWTWIRRQQIRRRRKRLSAVGERPQFGRRWGRSPRPVGPPDPAAGSPVVEEKAVGSRLEAVVRSAVGERSATHRPTRSGGRGSGKGLVAGSASRTPDPSAVGSREAEVAADTVGRRRRWLRTPAVKDEGGDGWWGGGGDRELREEAAGEGDERRKMRGRKMSGGGVVDGALSKALKV >OGLUM11G11250.3 pep chromosome:ALNU02000000:11:12877450:12882594:1 gene:OGLUM11G11250 transcript:OGLUM11G11250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCMEKSNSSLHISVQEYFFLCIYKIVPLYVYGQKNTGPVTRSISMSVSFRWSSIKSSEGMNYCPISKYEQQYSLN >OGLUM11G11260.1 pep chromosome:ALNU02000000:11:12891558:12916484:1 gene:OGLUM11G11260 transcript:OGLUM11G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGHARERDKRDTPLFTLPSPLSFWARSAAGVVASPKLPVFPTFQAEILGGAAHSSSSSSQISSRSRVVIVFVVLPGISNAVAASWTSPTPFSCTIRRIQRVTSHIQRRIVTTLSSKERIPVMSYVSCVVIALPRAASAMSDLLQLGSCPVGLP >OGLUM11G11260.2 pep chromosome:ALNU02000000:11:12891558:12892530:1 gene:OGLUM11G11260 transcript:OGLUM11G11260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFGHARERDKRDTPLFTLPSPLSFWARSAAGVVASPKLPVFPTFQAEILGGAAHSSSSSSQISSRSRVVIVFVVLPGISNAVAASWTSPTPFSCTRGHLRVRMDLLYSFSLLNSTPSEPPFAAGRL >OGLUM11G11270.1 pep chromosome:ALNU02000000:11:12941693:12943557:-1 gene:OGLUM11G11270 transcript:OGLUM11G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLTLFSCTTGTFMLFLTSLIPKLTPPDCSLSNQGCTSPSPLQLFVLCASLGFMSLGASGVRPCCLAFAEDQIAHWDETQKDRALRGLFSWYYVSVGFAQIVAVTILVYFQDQVGWKVGFGISAAVMLSITLVNLAASPFYVKMKPQRSIWISLLQVVVVSLKNRHLVLPKTYQSAQFHNASGLRELVPSEKMRFLNKACILRYHATNVSDGAGRTNSWNICTVEQVENLKSALSVIPMWSAMIMTFLIQSSSFGVLQAATMDRRVGTKKFQLPAGSISIFEIITFTIWSGCYDWYIVPFLRRITGRQQVLTLKQRMGIGVSLSIASMLVASAVETYRRKVAVKGGLQHDAKGTLEMSVLWLAPQYVIIGLAGAFSSIGQIEFYYAALPKSMGSFVLALLFFGAGVASIIATLVIKAINLITGRNGMAPWLSNNLNEGHYNYYYFLLAVLGAIDLIYFIACSYVFDERTQNMSLETSGDAKEMVEFQG >OGLUM11G11280.1 pep chromosome:ALNU02000000:11:12978142:12979178:1 gene:OGLUM11G11280 transcript:OGLUM11G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGFCASSFVAVGLVVLVCSAAAAAAETHVVGDSKGWGFSVAYDSWASGKAFAAGDTLVFNYQAGVHNVVAASAAEYRSCKVRNSADAAATAAGSAKLDLKKGVNYFICGVPGHCATGMKLRVVAN >OGLUM11G11290.1 pep chromosome:ALNU02000000:11:13018007:13019647:1 gene:OGLUM11G11290 transcript:OGLUM11G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT5G50300) TAIR;Acc:AT5G50300] MKRSSPWRKLAEAEDAVNRAVAATRVGRYFKLEARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVSDCTPVVVGNSTAVPGPECTVGSNPGYEQCLGRTKSDLIVATAVAAMAGSFAMGALANLPLGLAPGMGANAYFAYNMVGFHGSGSIPYSTALAGVMVEGIVFFILSAVGLRSRLARMIPRNIRLATAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSETDPATGACLGGSMRSLTFWLGAVGFLITATCLARDVKGGMIYGIVFVTVVSWIRGTAVTVFPDTAAGNAGFDYFKKVVDFHTITTTAGRLSFGGLRHGNALLAVFTLLYVDVLATTGTMYSMAEYGGFTDGAGGGFDGEYRAFLVDAGSTVLSAALGSTTVTTYVESTAGIREGGRTGVTAITVAACFLASLFFGPVLTSVPPWAVGPSLVLVGAMMMRVAKDIEWADMKEAIPAFVTMALMPLTFSIANGIIAGLGVYIALHCYDWARIAYLKASKVLDERRNQVAASSAGEASGITATTATAAAADEV >OGLUM11G11300.1 pep chromosome:ALNU02000000:11:13031486:13032510:1 gene:OGLUM11G11300 transcript:OGLUM11G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIH1] MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGGAGPSAACCNGVRSLKSAASTTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVR >OGLUM11G11310.1 pep chromosome:ALNU02000000:11:13045299:13046660:1 gene:OGLUM11G11310 transcript:OGLUM11G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNREIYQELANQLVALREDFDWRRKNPGHRKHPLPDQQHLGDTCYDIVDKTSQLDHEAVLEAYFTAYCEKMGMWVVAYERFNSFLIDTIENKPAELKGFFKDNLRLELRKFWRTTVRNLIRLLALAKIKGVVIGSLGNRHGYVIQFLPQRSRFSV >OGLUM11G11320.1 pep chromosome:ALNU02000000:11:13070044:13070661:-1 gene:OGLUM11G11320 transcript:OGLUM11G11320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAYRWLLNVTVFPRQNIIYARDQEAVYNAIHAKSSSDSRIYAAVIICVRNLIRHGFDCSIKIKPPGWDKKRIAREIKLLFGGKGEKTNVVLSMQCPV >OGLUM11G11330.1 pep chromosome:ALNU02000000:11:13096673:13097956:-1 gene:OGLUM11G11330 transcript:OGLUM11G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMASRGRKGEGRGDVARAHCLDGGGVGPGVDIDTVEGKRNACGVDMAHADSRLRPMETEDAKAWTRRAKFTRATSWEAAKGKTANSPSRFHVRC >OGLUM11G11340.1 pep chromosome:ALNU02000000:11:13098427:13098771:-1 gene:OGLUM11G11340 transcript:OGLUM11G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGREWRKGAAPVGKGRLGRWLLGLGGVARGGTTALGAWRGGTAGVREPGTTATAEKRRWRHEEETASALSAGLCPAELRPASVVEGGRWRSGRRQEEAVSVVGDLVTAFVPAR >OGLUM11G11350.1 pep chromosome:ALNU02000000:11:13105018:13106165:1 gene:OGLUM11G11350 transcript:OGLUM11G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRVHLLRWGHDSGPGIWMIRRSSYHDVVRVTEVEDVLDISGVQTYVINSAKVLFLNEHPQPCGTGTVVGEAAASPYNC >OGLUM11G11360.1 pep chromosome:ALNU02000000:11:13112917:13114157:1 gene:OGLUM11G11360 transcript:OGLUM11G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYSVGLVVLGLLALAFSTTVLAETHVVGDSNGWDFSVSFDSWADGKVFAAGDTLVFNYKPGAHNVLAVDAATYRSCKVGSSADSVAAATGTASFLLKKGVNYYICGVPGHCAAGMKLRVVAN >OGLUM11G11370.1 pep chromosome:ALNU02000000:11:13137042:13142680:-1 gene:OGLUM11G11370 transcript:OGLUM11G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARWRSEALLRSLRSLQSLAADADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSGDECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDCTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASSSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCSKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRSNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMAKNVRSFTEEEEKALCHLTSLHLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >OGLUM11G11370.2 pep chromosome:ALNU02000000:11:13137044:13142680:-1 gene:OGLUM11G11370 transcript:OGLUM11G11370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGAASWLVQVVLEKLVGDGIDAAWAAARAGGDPGRAHGGDVRRLGSRLQSLHALLSEAQEHAPMARWRSEALLRSLRSLQSLAADADNLLDEMLYHQIHRRLHPDEPSTSSNSCSSLFAVQLVEPNNRVAKRVRHSGDGDTTGRIKDILERMCEAGDDVREAIKMEKLDVSAAGGGQDDRIIQRRPTTSYSTEPKVFGRDTVKDRIVVMLISSETCGADLAVLPIVGNGGVGKTTLAQLVYSDTRVQAQFSKRIWISVSVDFDEVRLTRELLDCVSNGVNKHGGITNLNKLQEILEEDLKSERLLLVLDDMWEDNDKSRWNKLLAPLRCSSLRGNAILVTTRNHSVVKMIATMDPIHLDGLEDGDFWLLFKACAFGDEKYEGHPSLQVIGKCIANKLKGYPLAAKSVGALLNRDLDGGHWMSILQSDEWKLQRGPDDIIPALMLSYIHLPFHLQRCFSYCALFPKGHRFDGLDLVRVWISQGFVSSNNKKMEDIGHQYLNDLVDCGFFQRSTYYSMHDLIHDLAHIVSGDECHMIDGFNSSGIAQSTIQHLSINTRYAYKWDVYSQKFYSKDDFQRKLTYVGETVQTRNLSTLMLFGKYDADFSETFSHIFKEVQYLRVLRLPTLTYSIDYLLSNFSKLIHLRYLELISSGPGGPLPEVICQLYHLQVLDVEYWVHLSTLPRAMNDLVNLRHFVARGELHALIAGVGRLKFLQELKEFRVGKTTDFQIGQLNGLRELGGSLAIYNLENICSKEESKNAGLRDKIYLKDLLLSWCSNRFEVSSVIEEEVLESLQPHSGLKCLSINGYGGISCPTWLSSINPLISLETICLDSCTKWEVLPPLGQFPLLRTLHLIQLPSSRVVPTVSSDDCTGSEKHIIFPCLEELVIRDCPELRTLGLSPCSFETEGSHTFGRLHHATIYNCPQLMNLPQFGQTKYLSTISIEGVGSFPYIRLFVRALYIKGCASSSKLDQILMLIEGNLCLLEKLTIESCLDLTYLPWKTLSKLVSLEMLVIVDCPRLSLTLYPYNQDGGNFSFMSLLNKLVIRACSITGKQLSHLILQLPFLHYLTIGKCSKITSLLLGDVINGSDSSSTSDYLQLTTDGMLQIPSHLLIQLQYLSIDDFPDLVLLWKEGFHGFTSLRTLHITGCTQLLSPMITENKRSNKNSSLLPPLLHDLMVTHVHNELLPFLLSNLTSLSIFAISNSPELSSLVLHSCTSLETLIIEKCVGLSALEGLHSLPKLKHLRIFQCPSLAKTWGPSSVDRPGFSLYLDKLEIDTTVLFNTEVCKKLPSLRHLVFFMAKNVRSFTEEEEKALCHLTSLHLSIKACPGIKSLPENGLPASLHELYVSSCSAELKEQCKKTKNVRCVYVDRNASKFIVICKLLRLYFRITKLGAWQRSLWLQPRTGGSNELMVLTKVLFTIATTVVFRTGLVISEL >OGLUM11G11380.1 pep chromosome:ALNU02000000:11:13156114:13156711:-1 gene:OGLUM11G11380 transcript:OGLUM11G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEQLLLCRLWGAPEGGTKPCHGVGGLARQARKRRRAPSGMDLSAGGATPSRRFPSLARYGGGVLQHSARTGNPTRLRGGEGLAVPLPGKLRVSLHGRGQRAPLGPLGKIEIKIR >OGLUM11G11390.1 pep chromosome:ALNU02000000:11:13160282:13175121:-1 gene:OGLUM11G11390 transcript:OGLUM11G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPAAQCVRYMAAFWFIILLVSFFSGFASATNGETTSTSNPKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVREPYDGISLPRLRRNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDSIDFDSRVPYCHGVGVISDQLYKTIMDNCHGKGYSNPRTFICAKAMSKFNELFDEISAPHILHNKCIAVSPGPSDVSRRKILNEEVDLLQNPPPRPPIECIEYPHYLLYFWVNNNATRETLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHLPHHVAADGPKPEIVGLALIPLECAQALALIPLVGLKPGDVGPTERKFCYTPKASSSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKVKAHIFLFPLCIVYYRFQPKLALYMPVSFREILQIKDV >OGLUM11G11390.2 pep chromosome:ALNU02000000:11:13160282:13175121:-1 gene:OGLUM11G11390 transcript:OGLUM11G11390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRRPAAQCVRYMAAFWFIILLVSFFSGFASATNGETTSTSNPKPTYITSLPGLDGALPSLLETGYVTVDEENGAELFYYFVESEGDPGRDPVLLWLTGGHRCSVLSGLVFEIGPVELVREPYDGISLPRLRRNPNSWTKVASILFVDSPVGAGFSFSRDPNGYDVGDVSASLQLIEFLYKWFSAHEDYLANPFYLGGGSYAAKLVPFITQKISEGIEAGVRPIINLKGYTVGNPLTGDSIDFDSRVPYCHGVGVISDQLYKTIMDNCHGKGYSNPRTFICAKAMSKFNELFDEISAPHILHNKCIAVSPGPSDVSRRKILNEEVDLLQNPPPRPPIECIEYPHYLLYFWVNNNATRETLGIKKGTVNEWVRCHQGDLPYDEDIVNGIEYHRKVASLNYRTLVYSGDHDAVLPFLSTQAWVRSLSDHIVDDWRAWHLDGQSAGFTMTYGNNVTFATVKVKAHIFLFPLCIVYYRFQPKLALYMPVSFREILQIKDV >OGLUM11G11400.1 pep chromosome:ALNU02000000:11:13184176:13184451:1 gene:OGLUM11G11400 transcript:OGLUM11G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSQISVPFGSKEWFECDTVWQQRSLRRRGWQRIGGANRQGETSEKGDIEPSVEIGGRQEEVMMLEIGGGETVMGMGSSTRDVGDQVASG >OGLUM11G11410.1 pep chromosome:ALNU02000000:11:13197911:13206707:-1 gene:OGLUM11G11410 transcript:OGLUM11G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLIVICIVSGVTADANADAPMTRTHVASLPGFDGALPSRLETGYVTVDEVNGGELFYYFIESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWIKVANILFVDSPMGAGFSFSRDPNGYDVSEWFDGHPEYLANPFYVGGDSIAGRFVPFITEKISEDIEAAVRPTLNLKMILEHCKGEDYDKPKNLICRQAMARFNELLDEVSKPHILYRKCIYLSPRPNLESIHRKILKEEFRVLKHPPPRPSIQCITYANYLSYFWANNNNTQETLGIKKGSVNEWVRCHNNDLPYTEDIMTSIKYHRNVTLKGYRALVYR >OGLUM11G11420.1 pep chromosome:ALNU02000000:11:13211782:13217255:-1 gene:OGLUM11G11420 transcript:OGLUM11G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLPLIVICIVSGVTADANADAPMTRTHVASLPGFDGALPSRLETGYVTVDEVNGGELFYYFIESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGPVKLVIEPYNGGLPRLRYHPYSWIKVANILFVDSPMGAGFSFSRDPNGYDVSEWFDGHPEYLANPFYVGGDSIAGRFVPFITEKISEDIEAAVRPTLNLKGYLVGNPVTGEIIDNKSKVPYFHGVGIISDQLYKMILEHCKGEDYDKPKNLICRQAMARFNENTEF >OGLUM11G11430.1 pep chromosome:ALNU02000000:11:13228709:13232934:-1 gene:OGLUM11G11430 transcript:OGLUM11G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWPCSAMAAARRRSLVTAHGCRDERRHRQEEIEANPVARHGALGWRGCGAAAMDGVGRNWGREREAVARGEEGFGLGAHRRRRRSKETAMPTVQQPPVMASTTRRLAAAAPSSSPVRHRQNRALPMSSPWSTPAGRRPYSSSWSSRPSLRVSSTSCSAPPPVAKNTTRVRGRKERRKERRKKNSARGILLFNTISIYNVNFGVLHKISEGI >OGLUM11G11440.1 pep chromosome:ALNU02000000:11:13232366:13236467:1 gene:OGLUM11G11440 transcript:OGLUM11G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLALFFFLLSFLLSFLPLTRVVFFATGGGAEQEVLLTRREGRDDQELLYGLLPAGVDHGELMGRARFCLCLTGDDEGAAAASRRVVEAITGGCCTVGIAVSLLRRRRRFHDEDFVLLFHDWHRLLQHGSGFKLSTTVEFVILGHRCRPEEVLEEPLILLLKFT >OGLUM11G11450.1 pep chromosome:ALNU02000000:11:13238544:13240107:1 gene:OGLUM11G11450 transcript:OGLUM11G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLEVGDELIHGPHLPTREGERSRVPHTVLGLGWAREEEMGHVRERAKWREGGKEWAKKVEARKEGGERGGWPRPGGVRRVEKDTLGQIRRKRKRGYHLLATIDNDYYKC >OGLUM11G11460.1 pep chromosome:ALNU02000000:11:13241533:13244186:-1 gene:OGLUM11G11460 transcript:OGLUM11G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLNRPDLTSWSWRSMDEVTPGSMGKEETLARGGSSGEDAAASSIPLGDHDTVLPFLGTQTWVRSLNYPIVDDWRAWHVDGQSAGFTVAYGNNLTFATVKVTQLKPHKVDVKTVDSLKIALGYVTLSTINNQGTILHSS >OGLUM11G11470.1 pep chromosome:ALNU02000000:11:13275011:13279647:-1 gene:OGLUM11G11470 transcript:OGLUM11G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLLLLSLFSGVSAEVPLTRTHVTSLPGFNGALPSRLETGFVRQKFFAIMLYLTMTRYVTVDEENGAELFYYFFESEGDPGSDPVLLWLTGGDRCSVLSALFFEIGQQPNSKQAPLSPKSVASILFVDSPVGAGFSFSRDPKGYDVGDVSASLQLVKFLSNWFGGHPEYLTNPFYVGGDSYAGKIVPFIAQKISEDIEAGVRPTLNLKGYVVGNPTTGERIDYESKVPYLHGVGIISDQLYETIMEHCKGEDHNNPKNVICKQALTRFNDLLNEVSKPHILSKKCIYMSLIPKFESMGRKILKEELGILKHRPPRPSIQCVASRTYLNYMGSVDEWIRCHDNDLPYTKDIKSSIQYHHNVTLNGYRALVYR >OGLUM11G11480.1 pep chromosome:ALNU02000000:11:13298447:13298854:1 gene:OGLUM11G11480 transcript:OGLUM11G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPRAAPPDRPSFCSALTVVTVAAVSVAPSCLRLNHRRREGGEERGRDEERKREWRGNVKPWPPEQRGGEEKKGGPLKETIVK >OGLUM11G11490.1 pep chromosome:ALNU02000000:11:13298871:13310270:-1 gene:OGLUM11G11490 transcript:OGLUM11G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVTTLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISDQLYEMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILKEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEHDLPYNIDIRSSIKYHRNVTLKGYRALVYSGDHDAVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERFQADQKSSRLQKSLLGDG >OGLUM11G11490.2 pep chromosome:ALNU02000000:11:13298871:13310270:-1 gene:OGLUM11G11490 transcript:OGLUM11G11490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVTTLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKGYLVGNPSTGERIDLESRVPYSHGVGIISDQLYEMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILKEEHGGLKHPPPQPSIKCGESRSGDHDAVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERFQADQKSSRLQKSLLGDG >OGLUM11G11490.3 pep chromosome:ALNU02000000:11:13298871:13310270:-1 gene:OGLUM11G11490 transcript:OGLUM11G11490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLCCCCFLLFVTIAAAGGSLTRTNVTTLPGFDGALPSRLETGYVTVDEENGAELFYYFIESEGDPSTDPVLLWITGGDRCSVLSALFFEIGPLKLVIEPYNGSLPRLHYHPYSWTKVASILFVDSPVGAGFSFSRDPKGYDVGDVSASMQLIKLLREWFTEHPHYLSNPFYVGGDSYAGKIVPFIVQKISEDIEAGVRPTFNLKMIMEHCEGEDYDNPSNVICQQALARFDSLLHEGSRAQILNPNCIYVSPKPNHETIDRKILKEEHGGLKHPPPQPSIKCGVYANYLSYFWANNNFTRRTLGIKKGTINEWVRCHEHDLPYNIDIRSSIKYHRNVTLKGYRALVYSGDHDAVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTIAYGNNLTFATVKGAGHTAPEFEPERFQADQKSSRLQKSLLGDG >OGLUM11G11500.1 pep chromosome:ALNU02000000:11:13311922:13312128:1 gene:OGLUM11G11500 transcript:OGLUM11G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAIALAKTVAVTGRWEEWEEEGDRERKEWEEAGNRERGRSRCGAERAMGFEREMGEVGMGQRRRD >OGLUM11G11510.1 pep chromosome:ALNU02000000:11:13321791:13322280:1 gene:OGLUM11G11510 transcript:OGLUM11G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHQEGRPVHAPAKRVLDCASYFPPQRNQFDLIYHRVQLVKPNTAPLISTIHVTLPLVSPNDSYA >OGLUM11G11520.1 pep chromosome:ALNU02000000:11:13331348:13331833:-1 gene:OGLUM11G11520 transcript:OGLUM11G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMRRMRLTPAAVHDEEAHAQTQEMAVVAWSGRTSGVQITRETSETKAAAALADAEDDGGRFERRLTRKTETAEADDGGRATDAEDGGGPAGRQQWLIRATADDGGRAADAEDGCGRFRRRLTRKTEAAEADDGGCATDAEAGGRVADAEDGGGRSGRR >OGLUM11G11530.1 pep chromosome:ALNU02000000:11:13346292:13350643:1 gene:OGLUM11G11530 transcript:OGLUM11G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAKQQQQQPQAATAAAASGVWKTVKPFANGGASGMLATCVIQPIDMVKVRIQLGEGSAASVTKKMLANEGISAFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANDGKPLPLVQKAGIGLTAGAIGACVGSPADLALIRMQADSTLPAAQSRNYKNAFHALYRIAADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDTLGAGEVTTVLGASAVSGLCASACSLPFDYVKTQIQKMQPDASGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHAMMTWIFLNEIQKLEKRLGL >OGLUM11G11540.1 pep chromosome:ALNU02000000:11:13370924:13400610:1 gene:OGLUM11G11540 transcript:OGLUM11G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-oxoacyl-CoA reductase-like protein At1g24470 [Source:Projected from Arabidopsis thaliana (AT1G24470) UniProtKB/Swiss-Prot;Acc:Q9FYL6] MESVVVAGDLAAASAPATPMWFVALVAVGLHAAVVWAGTFLAWLRRAFLRPGKGLCRRYGEWAVVTGATDGIGRAVALELARRGLHLVLVGRNPGKLSGVCKEVRAAAPACKVRTVVFDLAAPGDDDVGGGEMSRGVARVAAAVEGLDVGLLVNNAGATYPCAAYFHEVPDAVWEAVLRVNVVAATRIARALVPAMAAKGRGAVVNVGSGSSVVVPAFPLYAIPLYVATKMSPVQGNSPFIPSPEEYAKAAVRCIGYEPRCVPYWRHSIQWFFASLLPDSVLNLWRLQVGIRKRNQMKVLLGESDHGFS >OGLUM11G11550.1 pep chromosome:ALNU02000000:11:13404159:13404563:-1 gene:OGLUM11G11550 transcript:OGLUM11G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCCGVSFPADMMEAAKDAGIREVLLLRHFGLQFPFHLLGAPWPLVAMIRAFLMLRN >OGLUM11G11560.1 pep chromosome:ALNU02000000:11:13406215:13415218:-1 gene:OGLUM11G11560 transcript:OGLUM11G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIK1] MSLRLRLAFRLLCCYCLLLAAAHPSSRRLLPLLVVSAAERTNVASLPGLDGALPSRFETGYVTVDEENGGELFYYFIESEGDPGADPVLLWINGGNRCSVLSALFFEIGENLNHHRSPAGAPPLTRLCAAGPVKLAIEPYDGGVPRLRYNPYTWTKVASVLFVDSPVGAGFSFSRDPRGYDVGDVSSTLQLTKFVNKWFSQHREFLSNPLYVGGESYAGKLVPFLVQKISEDVEAGVKPVLNLKGYLVGNPGTGESIDYESKVPYAHGVGIISDQLYETILEHCGREDYANPKNATCAQALNRFSELMGEVSEAHILYKKCIYVSPKPDDGTIRRKILEEIVVGNHRPPRPPMDCSTYPNYLSYFWANSNNTRENLGIKKGTVDEWVRCHDDGLPYSQDIESSIKYHQNLTSRGYRVLVYSGDHDSVVPFLGTQAWVRSLNYPIVDDWRAWHIDGQSAGFTITYANDLTFATVKLIGKNTFSILIYLSVAITAVSVSVSVAITFRILVVVALLSQGPPPSALVTAPTPEARLGSSTAGARRRVASG >OGLUM11G11570.1 pep chromosome:ALNU02000000:11:13425271:13427139:1 gene:OGLUM11G11570 transcript:OGLUM11G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G35030) TAIR;Acc:AT2G35030] MAALAAPALRRLRSPRLCLAATVNCISNNAAPAASAGVNSVQDLNWRVAELAAAGRVSDARRLFDGMPDRDVVSWTAMVAAYARRGMLREARVLFDRPDARRNVVTWTALLSGYARARRVDEAEALFEGMPERNVVSWNTMLEAYTAVGRVEDASALFNRMPVRDAGSWNILLCGLVRSGSLERARKMFERMPVRDVMSWTTMISGLARKGSVDNARVLFDAMPERNVVSWNAMISGYARNHRIEEALDLFTKMPIRDVASWNIMITGFIQNKDLKSARQLFDEIPKRNVITWTTMMNGYLQSMQSEMALKLFNCMLVQGIQPNQVTFLGSLDACSNLAALCEGQQVHQMICKTPSQFDTFVESTLMNLYAKCGEIRLARNVFDFSMEKDLISWNGIIAAYAHHGFGIEAMHLYKNMQENGYKPNDATYVGLLSACSHAGLVDEGLKIFESMVKDNSIVVRDEHYTCLVDLCSRAGRLEDAKRLISWFKIKPTSSTVWSALLGGCNSHGNESIGDLAAKHLLEAEPDNAGTYTLLCNIYASAGKWKEAAEIRSEMNVRGLKKQPGCSWIEVANKVHVFVSRDKSHSESDLINDLLQDIHRIMRMAGTVPRDHMLIDVELVGI >OGLUM11G11580.1 pep chromosome:ALNU02000000:11:13435494:13446948:1 gene:OGLUM11G11580 transcript:OGLUM11G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIFILKPISTHYIFLSLINRSLRILEYWIDETSLSPGRRPIPSPIHVPLPARAHRVGVLLIPLCLCRPSHGKASGATRRRPMATHHARRLPAAAAAVLLLVLLAGGSAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTLLTRICKDCGQKTVQLPFFGEVLTLSVLIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWFRGELHDLWNYGRSQTENLVDEP >OGLUM11G11580.2 pep chromosome:ALNU02000000:11:13435494:13445941:1 gene:OGLUM11G11580 transcript:OGLUM11G11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIFILKPISTHYIFLSLINRSLRILEYWIDETSLSPGRRPIPSPIHVPLPARAHRVGVLLIPLCLCRPSHGKASGATRRRPMATHHARRLPAAAAAVLLLVLLAGGSAADDASSDDDAGVPPSPGCSNKFQLLTNYIAIAQRGECAFTAKAKIAQTGGAVGLLVINDNEELYKMVCSDNDTSINVTIPVVMIPQSAGKKMKGLLDQGARLEVQLYSPNRPVVDLSACFLWIMAIGTIVCASLWTEFVACEQVDERYNQLTRKDGPNSGTTNREDKEIFEISAKGAIVFILVASVFLLLLFYFMSSWFVWLLIVLFCIGGIEGMHVCLVTLLTRICKDCGQKTVQLPFFGEVLTLSVLIVPFCTIFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDVFWVFISPLIFHESVMIAVARGDNSGEAIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKRGLFNGYFLWLTVGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVILGWFRGELHDLWNYGRSQTENLVDEP >OGLUM11G11590.1 pep chromosome:ALNU02000000:11:13448342:13449466:1 gene:OGLUM11G11590 transcript:OGLUM11G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSITAAPATVSHLVRIDGYSRTKNLRRGRFIEAMNFTIGGHRWFIRFYPNGHGPRDVGVVSVYVGIAGAYRRGGGDAKPVIADARFSLVDRDGRPAPPSFVQGMPAVDFSGNDFGMNIKRAELETSGFLKDDGFLVRCELGFVNSAGDGDGRRGVQIKEGIKVPPPNLHRHLADLLWKNQSSGDVFIEVQGKTFTAHRWMLAARSPVMAAELSSSDSDDAADTDADATKNTMMMLRVDDDMDAETFRALLHFIYTDALPPPPQPRARDTKAKEDEAAAAEAARRLHAAAARYGMERLQLMCEDALCRSLSVLTVASTLVFAEKHGCRVLKAACLDFLSCKRKLRQVTRLDDDFRLLTTTCPSVIKELFAQVLK >OGLUM11G11600.1 pep chromosome:ALNU02000000:11:13456242:13464243:1 gene:OGLUM11G11600 transcript:OGLUM11G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADPSAAAAAAPDPDGPDAVRLTWNAWPRSKVEASRCVVPLAAAISPVRSPESLASPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYLLAGAAPAAGVPLHQGGPPAPPPPVFLFVIDTCVIEEELEYVKMSMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQINGMHPPATAGVTRFLLPVSDCECTLSTLLDELQPDQWPVETGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDGLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLDLSFNGTLEINCSKDIKVQGIIGPCTSLEKKGALCADTVVGQGNTTAWKMCGLDRNTSLTVFFDVSPSERSSQPGHQNPDLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRESITNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHQQFAQLLQAPHEEAQIIIKGRFPAPRLVVCDQHGSQARFLLAKLNPSATYNSAHDVPPGSDIIFTDDVSFQVFCEHLQRLAVQS >OGLUM11G11610.1 pep chromosome:ALNU02000000:11:13469494:13471467:1 gene:OGLUM11G11610 transcript:OGLUM11G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKPLLSRLLPLPLALRTHLRLLCLATATPTPADADQATPTDAAAERRRRKRRLRVEPPSARGGPAPQRAPGAPRPASNPNAPKLPEPASALSGKRLDLHRRILALVREDDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDTALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQAIELKDGMLERGLVAPDPQVYALVMGGFVSAGDGDTVVSLYEELVEKLGGGQILDGMVYGNLMKGYFLKGMEKEAMDCYAEVLGEGSKVRFGAVSYNMVLDALGRNGKLDDVLQLFDRMCEEHDPPRRIAVNLGSFNVMVDAYCRAERFQDAIGVFGKMGEKRCAPDALSYNNLIDWLGKNELVGEAEQLYKEMGERGVNPDEYTYVLLIESCFKVDRVDDSVAYFNKMFDAGLRPNANAFNKVIGGLVKVDRLDEAQGFFDKMPEKEVKPNIGSYELLLRAYIDAARLDDAIKMAKGILLDESVVFSDELKALLEGALQKDGRDGDMTKLYEDVEREKAEAAARAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKKEGENDDSTVNVEEAQVVESHSDTNDITEENEGGDQKKSGDALP >OGLUM11G11620.1 pep chromosome:ALNU02000000:11:13478189:13479284:-1 gene:OGLUM11G11620 transcript:OGLUM11G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPQIEANQAGLDRRNPPRYGKKRKGRLPPPCRRAREQLIGESDQAKTKGNRSAEDTAAQHAQETPLAAQALLRPPPRSRRVAGATVTTTKRRGKPAGNAHSRREGNGDRGFGVAVVGTGGGGGGATAQCCYRRMLVRGGTKWAPTTTGGDGGRQPRTTKSAGGELSVELRARHGNQRRGPAVRHGGEEATVEEPKQVEPLPLLWIGAEIITVFPRVLLPLQPCRIRDGAIRASFASTSGGQRPDELPAARSRSCPTRGRNVGTVVGSTGSGYGRSPH >OGLUM11G11630.1 pep chromosome:ALNU02000000:11:13484003:13486054:1 gene:OGLUM11G11630 transcript:OGLUM11G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQIHEIKDFLLTARRKDARSVRIKRTKDAVKFKVRCSKYLYTLCVFDADKANKLKQSLPPGLTVQEV >OGLUM11G11640.1 pep chromosome:ALNU02000000:11:13486365:13487396:1 gene:OGLUM11G11640 transcript:OGLUM11G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVNLSFSVHLLPLFLCSSLLLSITAHLFQAGILFFAPAKEAASTGLLSTRWRSLWRSTGAVNLAVRPHHRGDDDFSLHDAFVRSTHAALADMFLHRDPQDWERKHDVVAGVVSHPVARRVEELPVAAVKSADGPSSDKEVAEMEGEFHLSLRGNTQPSETLRVLDVTGCGSFSLSAGGAPAADDAAATAVRRAGQGPAGRRRLHRRRSPPCTSSPSSSPGRKKMAAPAPAPAQAVHHVGVRREREEKKRREREELVCWDAAVRRRRRSEPRRCAAFAAAPSWPSCLGSGRPPSRLAARKKGKEKKKERKNNMQGHFGTYTTFSLSFPIRNNKVMGGVSNS >OGLUM11G11650.1 pep chromosome:ALNU02000000:11:13487507:13492262:-1 gene:OGLUM11G11650 transcript:OGLUM11G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAATDGEGVDASVPWWRRKRPRLPPPPPEADAEEVKAEALALMAAHPVLPRLVVFDLDHTLWPFHDRLPKDEPPYLYPQARGILKALKDRGIEMAIASRASRKKGVAKAFLEKLGIHFMFGAQEIFYTWSPKNEHFQSIHRKTGTRKLGVSCVLVDTGITLEKLRTGLSNYANRSASPNAEPAGGRSAEITWCRAI >OGLUM11G11660.1 pep chromosome:ALNU02000000:11:13501466:13501864:1 gene:OGLUM11G11660 transcript:OGLUM11G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGEQEEDAEVLREPVKAKGAVEQREVASTSGKKWSKASLVVVVQVARRNGAPMVGTDGEAVAGALLGTAELMEVAAWLGVVGNDSKRWPELVKVMVAQVGGGGGGLVVVCGPGVVAGVRCCKVMPAVLVA >OGLUM11G11670.1 pep chromosome:ALNU02000000:11:13507497:13510957:-1 gene:OGLUM11G11670 transcript:OGLUM11G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNEGFSPIHAAALMGHTATVRLLLQFSPASADICDNRGQSFVHTAATKGHSSIISYAIGSSMLEHLLNAQDREGNTPLHLAVDAGKCKIVSKLLSSEIVQAHIMNNEGHTPSDLVQNCKGFYSMVSLVVKMYASGAQFQPQRQDHIEKWNAQDIMKWRDTTSKYLAIVSTLVATVAFSAAFNIPGSYGDDGKANLAGNCMYDTFLILDTISLVTSVVAIMLLSLPMDLPPALIPSVTPQSLQFSSLMVELHDLNALSMAVNKHHGIRLLGSYICSDEQEEGLKHYDGHPDLYRDVDINDAAYKLNDSRVIYRHSEVPIRRSHGKTAASEEAHQPAVSLRDLLHFQHGFVLCHS >OGLUM11G11670.2 pep chromosome:ALNU02000000:11:13507451:13510957:-1 gene:OGLUM11G11670 transcript:OGLUM11G11670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQDNEGFSPIHAAALMGHTATVRLLLQFSPASADICDNRGQSFVHTAATKGHSSIISYAIGSSMLEHLLNAQDREGNTPLHLAVDAGKCKIVSKLLSSEIVQAHIMNNEGHTPSDLVQNCKGFYSMVSLVVKMYASGAQFQPQRQDHIEKWNAQDIMKWRDTTSKYLAIVSTLVATVAFSAAFNIPGSYGDDGKANLAGNCIAFSSHHSWLSFMISTHFLWLSINTMVLGFLAAISAVMSKKKGLNITMAILIYIGMWILTMLLTSLTTVGSFTGILKFLFGGRMERQRRAKRRISRQYPYAIFYTFNMVLFFVIANIALAGFDTFKSLSY >OGLUM11G11680.1 pep chromosome:ALNU02000000:11:13534168:13535058:-1 gene:OGLUM11G11680 transcript:OGLUM11G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITPAAGSDHEIPEHLFMCSKLYLAAFRGYTDEVCSLLAGSSGAAVEPANSSPSPAAQEHANHQGPCSIREVTAELRTLLHIAAGQGHDDLIAELCLHDSSLLSSASSSGDTPLHCAARAGHALAVRAISLLAGASVEEDRVREVVRSKNAAGDTALHLAARHGHGKAVEELMEVAPETASELNGAGVSPLYLAVMSRSVRAVRAIISCRNASAAGPNSQNALHAAVLQCSDFQQIFTRVN >OGLUM11G11690.1 pep chromosome:ALNU02000000:11:13585779:13601986:-1 gene:OGLUM11G11690 transcript:OGLUM11G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPAGGERDIPDDLFMCSELYIAAFEGHTDQVIGLLEGSRASAAVAGNGWSSPAAQPTAKHHGNCNIQEVTAERWTLLHIAAAQGHDDLIDELCRRDSGLLSAVSSSGDTPLHCAARAGHGGAVRAIDRLARANVEEDRLREALRGKNEAGDTALHLAARHGHGEAAEALVEAAPETAAELNGAGVSPLYLAVMSGSVRAVTAILWCRDASAAGPKSQNALHAAVLQCSEMVSLLLDWKPGLVTDLDSNRSSPVHFASSDGDCSIIKAILVHAPPGAAHMQDNQGLSPLHAAALMGHADAVRLLMQFSPASVDVRDKHGRSFVHVAAMKGHASIISHAVKNRMLEHYLNAQDRDGNTPLHLAVAAGEYKVVSKLLSSGKVQTHIMNNAGCTPSDLVKDCKGFYSMVRLVVKMYVSGAQFQPQRQDQIEKWNGQDIMKWRETTSKNLAVVSTLVATVAFSAAFNVPGSYGDDGKAILTGDRMYDAFLVLDTFAVVSSVTATILLVYGRASQSNRSWVGFMISMHFLWMSLNSMVLGFFTAMAAVTNKKVGTKTAMSQMIYFGMYFLVMLLISLVTPGSLIGTLKFLIGGCMERQRRVKRRICRQYPFTISYAFNVVITDEVTGDRSTLLHIAAWKGHCDLIAHLSRWGNGSLITSVNTSGDTPLHCAAGAGHAGAVEAIIRPLAAANVEEGRLQEILRGRNEAGDTPLHLATRHGHGEAAEALVRVDPGLAAELNGAGVSSLYLAVMGGSVRAVRAILWCRNASAVGPKSQNALHAAVLQCSEMVSLLLQWKPALLSDYDSNKSSPLHFASSDGDCSIIQEMLTHAPPSTAFMLDNEGLSPLHVAALMGHAAIVHLLLQFCPSSADIHDNYGRTFLHAAAMKGQSSIISYAIKKKILEHLLNAQDKEGNTTLHLAVIAGECNVVSKLLSSGKMQANIMNNAGHTPTDLVKNCKGFYSMVRLVLKLYASGAQFQPQRQDYIEKWNVQDIMKWRETTSKNLAVVSTLVATIAFSAAFNIPGSYGNDGRANLAGNSLYSAFPILDTFSVVTSVMATILLVYGRASRSQRSWLGFMVSMHFLWLSLNSMVLGFFAAIAAVMSKERGIKIAMSQLIYYGMYILTTLLSILAMPGSFTSIVKFLIGAPKERQ >OGLUM11G11700.1 pep chromosome:ALNU02000000:11:13648066:13648285:1 gene:OGLUM11G11700 transcript:OGLUM11G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIELTLKVSLIAFLPRIERRTNFDDKGIKNMQQDLYNFIYRECCHEKGRFFTREEPLAMNDDFKSLHD >OGLUM11G11710.1 pep chromosome:ALNU02000000:11:13651845:13657666:1 gene:OGLUM11G11710 transcript:OGLUM11G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFKKERWSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNEAELSRCQDECNSRGLAVTVSACDVSVRADREALAARVNNVGTSYLKPAVELTPEETSSLMATNFESCFHLSQLAYPLLKASGRGNIINISSAAASLALPSLPVYSAAKGAMNQVTRNLASEWASDGVRVNCVAPGYIKTPLLADYVASEIFEESDYSRIPLGRVGDPEEISSLVAFLCMPAASYITGQVICVDGGRTLS >OGLUM11G11720.1 pep chromosome:ALNU02000000:11:13652088:13652556:-1 gene:OGLUM11G11720 transcript:OGLUM11G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMFPLPEALRRGYASWLRWKHDSKLVAIKLDVSSGVSSTAGFRMLSLPSNRARTLAASASLSARTDTSQAETVTARPLELHSSWHLLSSASFLAQVCTVAPKLASSSTMARLHI >OGLUM11G11730.1 pep chromosome:ALNU02000000:11:13659920:13660474:-1 gene:OGLUM11G11730 transcript:OGLUM11G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEGHAVVPHVHRLRTWLSAASGCGLLRDATVGCEDSTIKCTMTQFEDQFSTIKPDGIQVRCHKVLVFFFVALDFGQLCLMLSLKLLPMFSNVNAVPCGGLLESTKFCLLMYYKIHIYIMPLGYM >OGLUM11G11730.2 pep chromosome:ALNU02000000:11:13659920:13660474:-1 gene:OGLUM11G11730 transcript:OGLUM11G11730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLEGHAVVPHVHRLRTWLSAASGCGLLRDATVGCCSYNTGEDSTIKCTMTQFEDQFSTIKPDGIQVRCHKVLVFFFVALDFGQLCLMLSLKLLPMFSNVNAVPCGGLLESTKFCLLMYYKIHIYIMPLGYM >OGLUM11G11740.1 pep chromosome:ALNU02000000:11:13697506:13705701:-1 gene:OGLUM11G11740 transcript:OGLUM11G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSAVLWHAVLLVLAVAVSSWRAVVSGDAAVVLGRKAAGMSSAAASSSADDDLLAPQISARKDGAGNDKYAVIFDAGSTGSRVHVFRFDKQMDLVKPGLSSYAGKPQEAANSIAPLLEKAKGVVPKQLQKRTPLKLGATAGLRLIGDEKSEQILEAVRDLVHSKSNFQYKPEWISVLGGSQEGSNLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISSDAAENAPPVPVGKDPYVTKEYLKGKDYNLYVHSYLHYGLQASRVEILKTKNGQFSSCMLRGFNGTYKYNGEEYDAAASPEGADHGKCGEEAAAALGLDAPCESRNCSFNSVWNGGGGAGMADLYVASYFYDRAVHAGFVADDAPSAVTTPAAFAEAAAKACSLSSDEAAAAYPDAFDVQFICMDLTYQYTLLTKGFGLKPTREMTLVKQVKYGDYYVESAWPLGTAIEALSSQKSHQSA >OGLUM11G11750.1 pep chromosome:ALNU02000000:11:13719047:13719911:-1 gene:OGLUM11G11750 transcript:OGLUM11G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPAWLPIRNRGVRAHPPDHVGGVLERGGEAFICGFVSAWVPIRLNALAARLKFDDLLGAAQLHGGCGAWGVIFTALLFMGGGGGRLLGAHIVVILVIVAWVSFTMALLFLVLNKLGLLRISAKDKMYTHAASTGSSVSTEATTAGMVAAWAVQELWNGSDAEQKRTYLPDLLAGEGGDNDCGVHHRPCLPLPLPVSWKRGEGRKRKKKEKRKKKERRDPID >OGLUM11G11760.1 pep chromosome:ALNU02000000:11:13732117:13732948:-1 gene:OGLUM11G11760 transcript:OGLUM11G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASPVRPPPRPAPCWPSCAAASACAVADDAAADHPLVSSLKPLCRLVFSPAAVLRPFLDAVRSKDAGAAVTLASLAALHEVMALMGPSLTGTALREVVDAVSSCRFEARAEAAAEEAVLTDAAGTWLIVVQVASWWICNCSKNF >OGLUM11G11770.1 pep chromosome:ALNU02000000:11:13735508:13741424:-1 gene:OGLUM11G11770 transcript:OGLUM11G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSTSRRSSSSSPRNRCPDRSPPVPSSTSPSSSPIPLLPTIVGFPAFAAAAQDFTNDPSDVELFLHTSGTTAHPPLPAPHGTFTMALLFLVLNKLGLLRISAKDKMYTHAASTGSSVSTEATTAGMVAAWAVQELWNGSDAEQKRTYLPDLLAGEGGDNDCGVHHRPCLPLPLPVSWKRGEGRKRKKKEKRKKKERRWSVAISGISGVKFEIQYISGIAKGHIDFQSVLKISDSAKDLIEKKKLSHCSSERLKDHEVLSIFDIFLHLNLLALCFIACLYS >OGLUM11G11780.1 pep chromosome:ALNU02000000:11:13752039:13755112:-1 gene:OGLUM11G11780 transcript:OGLUM11G11780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIM6] MAPGSDRNGHEGRRPRRRRVLLLPLPYHGHINPMLRLAAALHDRGLAVTVVHTETRAPDRRSLPAGCELVTVPDGLPPELAASDDIPSFVFALNRNCAAPFRDLLAGALQQEEEEDGGGVACVVADVDWFAPLAAARELGVPALALMTSSAARFRVYLAYPRLCEKGYLPVQESNMDMPVDEHPPLLVRDLQVMMDTSRHVAYASLLAHIVAGVRQSSGLILNTFNAIERTDVEQIRRDTAIPVFPVGPLHMLSPPATAATQKSSLLPEDRSCLEWLNTQLPGSVLFVSFGTLVSIDADELLEVAWGLAASNRPFLWVVRPRLVRGRDSVELPSELLEETRGRGRIVRWAPQEEVLSHPAIGAFLTHCGWNSTLESISRTVPMICKPCGGDQLGTARYVCDVWKVGVRVEVEDKLTRGGIQAAIERLMDGIEGGVVRDRMREMGDVVSKCTMKGGSSDLALQDLVDFIKSS >OGLUM11G11790.1 pep chromosome:ALNU02000000:11:13796511:13796849:1 gene:OGLUM11G11790 transcript:OGLUM11G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRLPWRRSRGLKPRRQTVGRKTRHNLILRSKCRSSHPTPRRWSEPHYSLDLHSTPYTSFQASCDSSRSAATHGCRVTLFHPANLHKLPNSLAIVLLNCSID >OGLUM11G11800.1 pep chromosome:ALNU02000000:11:13832381:13834467:-1 gene:OGLUM11G11800 transcript:OGLUM11G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPVPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPGAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDKFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >OGLUM11G11800.2 pep chromosome:ALNU02000000:11:13832381:13834467:-1 gene:OGLUM11G11800 transcript:OGLUM11G11800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPVPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPGAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKVLPLLSASPLQSQRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDKFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >OGLUM11G11800.3 pep chromosome:ALNU02000000:11:13832381:13834467:-1 gene:OGLUM11G11800 transcript:OGLUM11G11800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGFLGGGGGSFHALAAWPLPFDAAAALHGGGWDSDPALGELVPSPVGVGVGVHEAALMDELASRLGGVCGGGLGGGGVPSPPSSSRYASCYSTPVGSPCKPVPAPPVPSLLAAADAMIAERAARMSCLAAAGHGGGKLSRAASSQSLLAEPGAAGGVHQLPASDGSSSDAPSRKRKAPGSKARGKDAATSIAKVLPLLSASPLQSQRRTSPETMVNFPQSPEPGTKAKKCKLSADAAGDEDTKPVAGDAGHGGNGKGKVLDAAGEPPKDYIHVRARRGQATDSHSLAERVNAAGVYAAIHNFVFSSILAFADAIAAVFHLQVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPQLDFDVDKFIPKDQANDPSMPAPLSLPPPPPPLSYSPEGASPGICYASSQGTAMQSVVTSTKHLETAPTFANHHVIPVPSLDGFHSAHSQAGSCMWEDDLQSVVQMGFRGNT >OGLUM11G11810.1 pep chromosome:ALNU02000000:11:13845639:13846210:-1 gene:OGLUM11G11810 transcript:OGLUM11G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPNSSPFNQLTPKSGQNRTVMLMERSINTGGQTLFNTELKMETKDLAPVRVNNWD >OGLUM11G11820.1 pep chromosome:ALNU02000000:11:13858873:13859202:1 gene:OGLUM11G11820 transcript:OGLUM11G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGERQVVTRTSTAWQHYIIDGFGWLGDAPSNSNEMACDATRADDALRASSGGAPAGVLFEKQADECEEDSSVDEGERTSAELSSHLKHEDSGGLEDVVSVIMNQQK >OGLUM11G11830.1 pep chromosome:ALNU02000000:11:13863213:13864639:-1 gene:OGLUM11G11830 transcript:OGLUM11G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGATVGSGRRWGRAEAGSTRSVRAWQQRVGFDAAIVFEEKKGQSKAKYEDVLPAAIDLFKERHCSSKTGFY >OGLUM11G11840.1 pep chromosome:ALNU02000000:11:13869929:13871287:1 gene:OGLUM11G11840 transcript:OGLUM11G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNSSKGAMEGGHRCRRPNVDDDDQIEEGEICYDCHVSGSETDDDDEHHRRAVLPPRDNGDGCAEHKRCRLDNAATAPAPSAGSVLTTSNGSAIVAIASAAAAAAAAVSTMAREVFACRICRKEFDTRKAVDGHMRVHRQQSIATPKYNAADNSRVTVVAEPRTDLDLSGPHGSSSAPPSPPAPPANPPNHNQAVGHQPAAAAPNAGVVVVEGAPQKSLPYMCKMQGCGRAFPTHQGLGGHAAGHQNRSKAAAAAASEQGSSGTGADGCHGGADSSKHRCRECGMEWKTGFALGGHMRKHQTKEKVTVNEKEPNVAGKHISLGPPPSPDLTPAAAEVTSSEPLDQPPLLPMVVGAEVAAPALLALANEAAALPPQDDQAEEEAAAEAAAPAEAAALPPVEAGAEAVDVGAAPEAPLPAPIAGMGTVRIFGFLVEKPAPGDGSGGEGSAPA >OGLUM11G11850.1 pep chromosome:ALNU02000000:11:13881025:13887578:-1 gene:OGLUM11G11850 transcript:OGLUM11G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTASCSLPDAWPEPVVPVQSLSEAGVSAVPPEYIKPPQDRPVLPAPILDVPTVDIAAFLDLDGAAAAAADEQLKNLAEAYSKHGFFQVVNHGVQASTVERMRGAWRRFFALEMEEKEACSNSPAAPEGYGSRAGVEKGALLDWGDYYFLNILPSEIKRRIKWPKSPHDLREITEDYGRDLMNLCEVLLKAISLSLGLGENQLHAAFGSDDCIAACMRVNYYPKCPQPELTLGISSHSDAGGIAVLLADDRVKGTQVRKGDTWYTVQPIPNAFLVNVGDQIQIISNDKYKSVEHRAVASSDDARFTVAFFCNPSGNLPIGPAAQLVSSLSPALYTPIIFDEYRRYSRRRGLQGKSQLEAMKNSKVH >OGLUM11G11860.1 pep chromosome:ALNU02000000:11:13907302:13908237:-1 gene:OGLUM11G11860 transcript:OGLUM11G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPCASSRTKRATAPLDAAAMARFAVVPGSADSSGSEHEAALSSLVNEYLLEAADDATVPSAAVLAVGGGSDAEEDDEHEGGVGAAEEVDEIARVLDAAGGGDDDDLRRRIFADVVDSMRELENVRAQRSAFRRAVMSLLRERGHDAGLCKARWNKTSSMVAGSYEYIDVVVAAATAAAAADAAEATRYIVDVGFAGEFEVARPTEDYEAVRSALPEVLVARPDDVRKVVRAAASAARRSLKRRRLSVPPWRKRKFMLAKWLGPYRRTVNAVPTSAGTAIDGGSSSAVCRTVHGFEAPPLVMTTPTGLWG >OGLUM11G11870.1 pep chromosome:ALNU02000000:11:13925466:13928879:-1 gene:OGLUM11G11870 transcript:OGLUM11G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDGDPGGDDVSSASPPACTVDAAAMASKVRTAARQLRALLDRDGMADDPFRFTLAVDVTRAAEEMAALRRARPAFRRAVASRLREAGYDAAVCRTRWLAARDVAAGDYEYIDVVVTAVTAAGARAAKSAAHGAERRYIVDVGFAAEFAVTRPTVGYDELLNISKYF >OGLUM11G11880.1 pep chromosome:ALNU02000000:11:13931723:13932293:1 gene:OGLUM11G11880 transcript:OGLUM11G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKICKDKQSKVTPTMCSTTCSSPTVEPDPPVAGAVDDAIESTYIDNPNQPRAMLAKYSMNCSSSDAMADLTVTLVDRCASNAIALLELVDGEDKDPVPCIGTSNYSVVTPTRCSAVVINISDIAIQVLVAPLIIAVSWEMITGLTEPSQVMVLQPNVVLILEKKMDNRCSMTLD >OGLUM11G11890.1 pep chromosome:ALNU02000000:11:13958876:13959330:-1 gene:OGLUM11G11890 transcript:OGLUM11G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSERRWCRADDLGDRAFFVAPFYFGASCLAGGKYGIQKNCVYSYSICYERNAVLEWFTDPL >OGLUM11G11900.1 pep chromosome:ALNU02000000:11:13959331:13960080:-1 gene:OGLUM11G11900 transcript:OGLUM11G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSHGLVLPCLAVECSCCIPKYPTLRSSAPRRRSPSLQLPFKATVCPTPLGWILVRESASGSTYLLDPQSRQDKIPLPPLTGMDDDVLVDCNCLLSDQPTAQAAAGCVVLLVEPQDTVIWYHHIGASAGEWTKHEYDIGIQSDKYGFTEKIHIVPIAACGGKFYFNSFFTGISVLEFCGPAGPPRFSSIGLVGGAPDDWGRGAFHVFLLESDGELYKVRLKATLGSQSSPASSSSSSLPPLQVGIY >OGLUM11G11910.1 pep chromosome:ALNU02000000:11:13962747:13963038:-1 gene:OGLUM11G11910 transcript:OGLUM11G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTLLLLSHGLHDDLWPALQVGSDLREGGTNARVTSDGSAKRGGAGEQEEGDYAKEEMD >OGLUM11G11920.1 pep chromosome:ALNU02000000:11:13969691:13975392:1 gene:OGLUM11G11920 transcript:OGLUM11G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHAGCKKNIPDHLFMCSELYIAAYDGQTDEVVRLLGESSGVAVESPTSRASPAAQAAACNIHEVTAERSTLLHVAAAQGHCDLIAELCRRDSNLLSAANSTGDTPLHCVARAGHTGAILAIARFARDNVEEDRLREILRGKNAAGDTALHLAARHGHGEAASELVAIAPTTATELNGSGMSPLYLAVMSRSMGAVRAVLSCGDASAAGPDSQNALHAAVLQSPEMVSLLLQWREELATQLDSSQSTPLHYASSDGDCSVIQEILKHTPPSATQLQDSVGLSALHVAALMGHTAAVRLLLQFSLASADIRDNHGRTFLHVAAMRGQVSVISYAIKNRMLVHILNEQDNEGNTPLHLAVIAGEYKVISKLLYCKKVQNHIMNNAGHTPYDLAEKSTGFYTMVRIILKLYVSGAQFRPQRQDHIVKWNGQDIIKWQATTSKYLAIVSTLVATIAFSATFNMPGSYGSDGKANLNGERLYHAFVVLDTVAVTTSVVATILLLYGRITQSHRSWPSFIIAMHSLWLSLICMLLAFFISIIAVMDKNNSIRIAPTRVMYQGLYILMMMLTKAAMPGSVKGILMFLIGGRLEQERRVKRRIRRQYPLIVVYIFNIIVFTVVTIMALTAIDVTGNLWY >OGLUM11G11930.1 pep chromosome:ALNU02000000:11:13996706:13999850:1 gene:OGLUM11G11930 transcript:OGLUM11G11930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSINKRFSLAGATALVTGGSKGIGRAIVEELASFGATVHTCARNQAELSRCQEEWTAKGLAVTVSVCDVAVRADREALAGRVSAMFDGKLSILPAADLTPEETSRLMTTNFESCFHLSQLFYPLLKDSGRGSIVNISSVASVLAFHSLPIYSAAKGAMNQVTRNLACEWASDGIRFVAGNDFAQVEFNRLPLGRLGKPEDISSLVAFLCMPAASYITGQIICVDGGRMLS >OGLUM11G11940.1 pep chromosome:ALNU02000000:11:14009077:14011474:-1 gene:OGLUM11G11940 transcript:OGLUM11G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYAPAAAARELGVPLMLLMTSSASSFRTFMEYPLLLERGFLPVDDAQKDTLVDILPPFRVKDLQRIDTTNLYSFANVLANVVAAAQLSSGLILNTFDFIEGDNICRIRDELSIPVFAIGPLNKLTPLVGRSSFLPPDCDCLRWLDTQAPSSVLFVSFGTMATIDAQEFLEVAWGLAGTKLPFLWVVRPSLVRGLRLHSSELPSDLQEEINGRGRIVSWAPQEKVLGHPSVRAFMTHNGWNSTIESISEGVPMICRPCFGDQMGNARYVCAVWRLGADMEAGSVLQRAKVQTAVEKLVNGEEGQNVKQRMRNLRIEAEKCVSKGGSSDTGLRNLVDSILSFGKC >OGLUM11G11950.1 pep chromosome:ALNU02000000:11:14027456:14053565:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCSSRLYILAMDGVPKLTAKELKSQQAREWYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSFSTPEVRNSMFKEPVLYDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVCERNMASSGAGRDERWILAGATALVTGSSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQMSCFHLSQLLHPLLKASERGSIINISSISSYLAYPYLAVYSAAKVLIAGLFFLLLVPIAGLSGAASDGGFPFVLWWCLLSKGWQCYNHVRRLRLVGARAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEYRHDGGRVRDDGSPVDVRGGARRGAQGRRCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVGSEEGDNADDDGAGTATYDRERLVVTRDEWISFSVTLFLLSTPLRGAFAASLLAFWRSITPSGGRLGASVFSIVCCEELPTLDGGVFFFVFTGYNLAELHPQKNILSVGVGMNKSI >OGLUM11G11950.2 pep chromosome:ALNU02000000:11:14027851:14053565:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYPRLYILAMDGVPKLTAKELKSQQAREWYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSFSTPEVRNSMFKEPVLYDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVCERNMASSGAGRDERWILAGATALVTGSSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQMSCFHLSQLLHPLLKASERGSIINISSISSYLAYPYLAVYSAAKVLIAGLFFLLLVPIAGLSGAASDGGFPFVLWWCLLSKGWQCYNHVRRLRLVGARAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEYRHDGGRVRDDGSPVDVRGGARRGAQGRRCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVGSEEGDNADDDGAGTATYDRERLVVTRDEWISFSVTLFLLSTPLRGAFAASLLAFWRSITPSGGRLGASVFSIVCCEELPTLDGGVFFFVFTGYNLAELHPQKNILSVGVGMNKSI >OGLUM11G11950.3 pep chromosome:ALNU02000000:11:14027498:14053565:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCSSRLYILAMDGVPKLTAKELKSQQAREWYTALSVEEKAALVQRNRENRERKNSASISGTGSQTVNCQPSFQPVPSSTPMSFSTPEVRNSMFKEPVLYDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVCERNMASSGAGRDERWILAGATALVTGSSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQMSCFHLSQLLHPLLKASERGSIINISSISSYLAYPYLAVYSAAKVLIAGLFFLLLVPIAGLSGAASDGGFPFVLWWCLLSKGWQCYNHVRRLRLVGARAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEYRHDGGRVRDDGSPVDVRGGARRGAQGRRCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVGSEEGDNADDDGAGTATYDRERLVVTRDEWISFSVTLFLLSTPLRGAFAASLLAFWRSITPSGGRLGASVFSIVCCEELPTLDGGVFFFVFTGYNLAELHPQKNILSVGVGMNKSI >OGLUM11G11950.4 pep chromosome:ALNU02000000:11:14027456:14053565:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVCSSRLYILAMDGVPKLTAKELKSQQAREWYTALSVEEKAALVQRNRENRERKNSASISGTEVRNSMFKEPVLYDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVCERNMASSGAGRDERWILAGATALVTGSSKGIGRAIVEELVGFGSTVHTCARSEAELSRCQEELTAKGLAVSFSVCDVSVRTDREELVSRVRELFGGKLNILVNNAGLTLSKLTLETTTSDYTQQMSCFHLSQLLHPLLKASERGSIINISSISSYLAYPYLAVYSAAKVLIAGLFFLLLVPIAGLSGAASDGGFPFVLWWCLLSKGWQCYNHVRRLRLVGARAMNQFSKALASDWAGDNIRINCVAPGLTRTPLLEEYRHDGGRVRDDGSPVDVRGGARRGAQGRRCRGDGEGQTRPWLAEGWHVAAGDGGGGRRMHRLHGNVGSEEGDNADDDGAGTATYDRERLVVTRDEWISFSVTLFLLSTPLRGAFAASLLAFWRSITPSGGRLGASVFSIVCCEELPTLDGGVFFFVFTGYNLAELHPQKNILSVGVGMNKSI >OGLUM11G11950.5 pep chromosome:ALNU02000000:11:14053477:14059400:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALAGTVRELFGGKLSILRGCIINISSIASVVAFCSLPNAVYSAAKVVLFVILGAINQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >OGLUM11G11950.6 pep chromosome:ALNU02000000:11:14053477:14059400:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSKNERWSLAGATALVTGGSKGIGRAIVEELASLGATVHTCARTEAPLNRCREELTAKGLAVTVSVCDVSLRADREALAGTVRELFGGKLSILRGCIINISSIASVVAFCSLPNAVYSAAKGAINQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >OGLUM11G11950.7 pep chromosome:ALNU02000000:11:14057145:14059400:1 gene:OGLUM11G11950 transcript:OGLUM11G11950.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRQYTKVIFGKLVLFVILGAINQVTRNLAAEWANDGIRVNCVAPGFIRTPLLSEFVEGNELGRAEFSRVPMGRLGEPEDIASLVAFLSMPASSYITGQVICADGGRCLS >OGLUM11G11960.1 pep chromosome:ALNU02000000:11:14027538:14027945:-1 gene:OGLUM11G11960 transcript:OGLUM11G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSCKIESDYMYVSTMFRFPPIVQHLGYRHIGQVQLHDRRWAAERGSRDNVEDGDRDCGSGGWGGRRLRPWPMGKRPAAAVGKMEAAADGAEVAAAVEKKQDPVAASPARMRRSGDRAPARMWLQYTREGGEAK >OGLUM11G11970.1 pep chromosome:ALNU02000000:11:14069985:14072237:-1 gene:OGLUM11G11970 transcript:OGLUM11G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASPAPSLPPRHRRGRPSDFALLEGRRRKCFLSLLHLVASGSWDRSVKSCRPRTTAAWRCPDPAWALPAASVQLAMTLHGDGVWASSSGGTGDGVEVVLGTQVGCQWAGSTAHSLVGPIKPIEDRTTKAKAATPLREMFDGMEVHTGKAFKERIAAIKTSPTDLTAASPSPLPASPTSAPTWYSTVCPNSNIMYTTVSSCHFNKKLILEVALELGDHEEKAHALCIDTIGCFRDMHAKCSTFGLETNGDANQAVVVSPTIIGMSKIIPASVVPVDIFSPTSITDIKMYTLMATRDVSQLLMEPSPVMGLKLDAIISVENEVPDGCSMKCHEDGQKALMENPKRNPWPPPNSGYNDIVEQNMPSRFSEKFLQKYGQIRYWDCALLTKGDMGNYDQLELLTCMSMKWIQLVLCTMLYRRIEWKLLIDCNSKQCIESFLAILDTKLLVISVNKDNALQGKASNFLVVWWNASATKELAMVMMGKQLCDVSHGIIAFIMQRHWGSWWIIDIGGTFCMESK >OGLUM11G11980.1 pep chromosome:ALNU02000000:11:14101373:14108340:-1 gene:OGLUM11G11980 transcript:OGLUM11G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKGKSYAQAVQHWDISIKSVFQRLKAPLQERRSVFQRLQGLENPSSDDAPTTRPVEPIKEGDPISARCLNSNPLSSCTRCLDKGHNASNCSGPLRCFSCLEPSHMDRYCSAPRPAAAHQSAGKFPKHGSRNNLQQQAAEAKIEDAWGQDHPMGQIEENPGQLTVLPNQVLEEHNLMDFVLPKRKTMLDHAPPVVKRAHSSWALLPSSPDDGLTH >OGLUM11G11990.1 pep chromosome:ALNU02000000:11:14113338:14115442:1 gene:OGLUM11G11990 transcript:OGLUM11G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSCTSSLGSLDDDVVVACVVKAADAAVEGTCVKFLCSYGGRILPRHADGALRYVGGDNRVVSVDRSLPFHELQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLQEYDAASRDLLQPLKIRAFLFPRTTTTTPPPPSRTVPHALYHRQTGSAPSCASRWAAHQMSSPPARVLHQQQHHNRHGGEARPHRYLVQSASHW >OGLUM11G12000.1 pep chromosome:ALNU02000000:11:14119583:14119828:-1 gene:OGLUM11G12000 transcript:OGLUM11G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHGDYSSAPPPPQRTRRRRSLLFSGGRPFPGCPHMHAFSTAEAREEIEAAMDSKETVYFDDVAACVHDAAGETLPTTTT >OGLUM11G12010.1 pep chromosome:ALNU02000000:11:14121032:14124706:-1 gene:OGLUM11G12010 transcript:OGLUM11G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKHKCDWLGLPRSSNVLAQVCIICDANAMQGRSKDATTALTSADVLILQGQNHLGHFSMTDALEASPTMTDYVAMRPHSLLPMRLCLILA >OGLUM11G12020.1 pep chromosome:ALNU02000000:11:14139870:14149752:1 gene:OGLUM11G12020 transcript:OGLUM11G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSSWSSSSSSSLGSLDDDIVVACVVKAADAAVEGACLQRKLREMCGWEAVCLRCQLPTEDLDALVSVTGDDDLANLLEEYDAASRDRLQPLKIRAFLFSRTTATTTAPLSRSPSPTAASRTAPRAHYQHQTGSAPSCASRWAARQMSLPPARVPHQQQHYNRHGGDARPQRYLVQSASSRW >OGLUM11G12030.1 pep chromosome:ALNU02000000:11:14161900:14162606:-1 gene:OGLUM11G12030 transcript:OGLUM11G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGCYCTTSSLSLDHTATSFHDSIVDYCSPHGCPLHYQSQVTDHPLHLYSKIQQSHRMDF >OGLUM11G12040.1 pep chromosome:ALNU02000000:11:14163603:14166200:1 gene:OGLUM11G12040 transcript:OGLUM11G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G32800) TAIR;Acc:AT2G32800] MSLRRLCFVLPMDADEVVVVAGAAGEEQPRRRGMAASGRLASYVRRKVGRALRCGLCGAWCHHRSSGVCSFEDIAGVDAVGAGKLGGGAGGSPRIFSYSELYIGTSGFSDTEILGSGGFGRVYRAVLPSDGTTVAVKCVAGRGDRFEKSFLAELAAVARLRHRNLVRLRGWCVQDEEELLLVYDYMPNRSLDRLLFRPAAAAAPAASAPALSWDRRRRIVSGLAAALFYLHEQLDTQIIHRDVKTSNVMLDSEYNARLGDFGLARWLEHAMSGEDAPPPQLEVSPSPHSARSSSFASANYQFRLMDTSRIGGTIGYLPPESFQRRAMATAKSDVFSFGIVLLEVATGRRAVDLAYPDDQIFMLDWVRRLSDEGKLLDAGDRKLPDGSYPLFDMGRLIHLGLLCSLHDPRSRPSMKWVVENLSGSCSGDLPPLPSFLALPKYVSLTSPSDSGTTTNATDSTVTSASKLYGTAAGTTIYLTAENGHRSRGGLADNSGGSSQRSTRPLVVIPSVDTPREISYKEIVAITNNFSESQMVAELDFGTGYEGFLDNGYGGNGARRDRVHVLVKRLGMKTCPALRVRFANELRNLAKLQHRNLVQLRGWCTEHGEMLVVYDYSPGNLLSHHLLRRDGAGAAAVLPWRHRYSIVKALASAVLYLHEEWDEQVIHRNITSAAVFLDPDRNPRLGSFALAEFLSRNESHGGAGGHHVALPATSSAARGIFGYMSPECMETGEATAMADVYSFGVVVLEVVTGEMAVDVRSPEVLLVRRAQRWKEQSRLVEAIVDRRLDGQVDRPELERLVRLGMACTQSDPAARPTMRKIVSIMDGNDEILKKFEQRKQQSKEEWETTNAAALSLVRRLHALAIH >OGLUM11G12050.1 pep chromosome:ALNU02000000:11:14167833:14168837:-1 gene:OGLUM11G12050 transcript:OGLUM11G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELTCRCRAVSSWRSSRALAQQCTPVPNEVELSRYQEERMTKGLTIIVSVCNVLVCTDKEERQGTHFVRGQGQHSYIEIKSYKFRSPIQPPTEIDLKLPLNMHMSSVLGLWVLNVKILKPLSNGSGFTSEFQELVARYCATWSDLGIP >OGLUM11G12060.1 pep chromosome:ALNU02000000:11:14170428:14170655:1 gene:OGLUM11G12060 transcript:OGLUM11G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLRNPNLYPGISYELVAVAFSFNGTRFVESATMPAFYRTISRGRRLRSTSRWAALTSPSASSPQRGWWHLAG >OGLUM11G12070.1 pep chromosome:ALNU02000000:11:14229967:14230580:1 gene:OGLUM11G12070 transcript:OGLUM11G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEDMRVRNKDEGGATINEEPIEDQGGESDEGDDEDALTMAHHRRVISAATTTTTYYCDDVGGGRDVGGGSIVHVGSWREEDKRRRSSGSRVK >OGLUM11G12080.1 pep chromosome:ALNU02000000:11:14232224:14234133:1 gene:OGLUM11G12080 transcript:OGLUM11G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGAAGGGGGGGGGGDHARSKEAAGMMALHEALRNVCLNSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFSKMSIQLYNYGEGWVLGR >OGLUM11G12090.1 pep chromosome:ALNU02000000:11:14235748:14236551:1 gene:OGLUM11G12090 transcript:OGLUM11G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHMFESLGYQSGFFLSQLFSSSRGTSPSPSSFPLKQQQPPPPPAARPPPQLFNWPGHAPPQLPPGASPLFPPGPAAFHPSSRPMPPFPGGGKDESHLFHLPPAAAAKQPQHMDEHHHHQQQPMAAPQQHGGEAPEGDLKWPNGLSFFTALTGRTEDAKLLFGGGADDGSKTAAAAQDAGHGGAENVEEYLSLESHSNKARRMESAQSTKFKRSFTLPARMSSSTTSTSPSVSASTAPAPPQQQQGMEYRGPHEGGVYSDLMETFLE >OGLUM11G12100.1 pep chromosome:ALNU02000000:11:14283594:14290142:1 gene:OGLUM11G12100 transcript:OGLUM11G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQHIGAVVKIDSLSFRLVNPMANILSRSSGRVLLIYDATSHKVQKNALKSQSCCSVRGDTVSRSGASAWGGGAPLVICFHVYALDDSRMVVMTVSPKLST >OGLUM11G12110.1 pep chromosome:ALNU02000000:11:14294430:14315433:1 gene:OGLUM11G12110 transcript:OGLUM11G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:aminophospholipid ATPase 2 [Source:Projected from Arabidopsis thaliana (AT5G44240) TAIR;Acc:AT5G44240] MKRFVYINDESYQNDYCDNRISNTKYTLLNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGTRKHIQAQDIRVGNIVWIRENEEVPCDLVLIGTSDSQGICHVETAALDGEIDLKTRVIPLTCVGLDSEQLHKIKGVIECPNPDKDIRRLDANIRLFPPFIDNDICPLTISNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQIAVVVVLGSAGNVWKDTEARKQWYVKYDDDEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEEMYDHETDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNESGDALRDVELLNAVANNSPHVIKFLTVMTLCNTVIPIKSSSGAILYKAQSQDEDALVNAASNLHMVLVNKNGNTAGQRIKTFVDAVDKYAQLGLRTLCLGWRELESEEYLEWSRSFKEANSALIDREWKVAEVCQKLEHSLEILGVSAIEDRLQAGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLYINGRTVDEVARSLERVLLTMRITTSEPKELAFVVDGWALEIILSRYNEAFTELAVLSKTAICCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSVGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIVVFLITVHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQFLAIWGNFIAFYIINFFISSIPSAGMYTIMFRLCRQPTYWVTLLLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVNLESQLRSDMENTNISISTPPVKNKNSVYEPLLSDSPISSRRSLVSSSFDIFQPAQSRTTSSFPRNIKAN >OGLUM11G12120.1 pep chromosome:ALNU02000000:11:14319131:14320645:-1 gene:OGLUM11G12120 transcript:OGLUM11G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIR8] MHFLIVSGAAQGQITPARRLARALVAAAEPGVIIRATLAVPLSALRRMFPGKAAGAAAGEGAVVLSDGAGVDYAAFTDGFDDGFQPERCDGAAFVGRLQLVGPASLARLAAALRARGRPVTCVVYTLLLPFATAVARDLDVPAYFFWTMPAAVLSVYYHYFHGRHGLVDAAAGVRDDPNRRVQVPGLEFLRARDLPSLLTGPSPYLPAFREMFHVVEATAAASCHAHGQSGAKPRVLVNTFDALEPKALASVPGIDLIPVGPMVTDTEADGGGDLFEQDDDAGYMQWLDKQRDASVVYVAFGSLAVLSPRQLEEIRHCLEVTGRPFLWVVRRDNRDGGGGGGAATGLLPPAGGMVVEWCSQARVLAHRAVGCFVTHCGWNSTLETVACGVPAVMAPQWSDQATNARMAEARWGVGVRAETAADGTVLSSELSRGIDAVMGDSDGARAIRRRARTWKARAAMALDAAADDAEVDGDATAARNLRRFVQGVRSREREREQKQAGQS >OGLUM11G12130.1 pep chromosome:ALNU02000000:11:14358845:14366020:1 gene:OGLUM11G12130 transcript:OGLUM11G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRSPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEDAFNSNEKDYRDSPRRRRRPNNSDALYVQDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKVFRIPHKPFDETHEQSFCLFQN >OGLUM11G12130.2 pep chromosome:ALNU02000000:11:14358845:14365488:1 gene:OGLUM11G12130 transcript:OGLUM11G12130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRSPVQDKNKRATVGPLPGVTQDIAGYKISSQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSLLNIRKTPLHWERLVYRREQFNEDAFNSNEKDYRDSPRRRRRPNNSDALYVQFINLAPLLQDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKVFRIPHKPFDETHGPASKKLLTLFRSGKLGPFILDDLPDNQ >OGLUM11G12130.3 pep chromosome:ALNU02000000:11:14358845:14366020:1 gene:OGLUM11G12130 transcript:OGLUM11G12130.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G41670) TAIR;Acc:AT2G41670] MRGLARAGKRASEMAFNAGGGAVNWFPGHMAAASRAIRDRLKLADLVLEVRDARIPLSSANEDLQPVLASKRRILALNKKDLANPNIMNRWLHHFESCKQDCISINAHSTSSVSQLLGLVEFKLKEAISKEPTLLVMVVGVPNVGKSALINSIHRIVTSRSPVQDKNKRATVGPLPGVTQDIAGYKDMVMEVQRTLCSTFMDFADNTEDENELESLIDSQLVALRKVFRIPHKPFDETHEQSFCLFQN >OGLUM11G12140.1 pep chromosome:ALNU02000000:11:14418999:14420129:-1 gene:OGLUM11G12140 transcript:OGLUM11G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWPSSGGWQRLRRRHCFGPRCWTLGSTLTSKEVFTWANSNNQRLLHMMDGCYCETSSSSLYHTLHPTMIPPSTSARHPVALSTTSHKTQTVIFILMFDSHVWHHMIWRHSCE >OGLUM11G12150.1 pep chromosome:ALNU02000000:11:14426106:14433698:1 gene:OGLUM11G12150 transcript:OGLUM11G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESNRSPECSSRRAPPPNASPQPHTCHASERRAHKSCNPERRERNRTEEKKKTRKRRREKPEQPSQPFLLPKNTLSLSLSLSLSLSLSAPNPPPPPPAGKPPHSPPAMGRDARRLPLLPFLLLLTSLQYLTMENLPLPPWPVPDAIANCSSLDTFSASNASISGPFPAVLATLVSLRNLRLSYNNLTGGLPPELSSLIAMESLQLNNQRSDDKLSGPIDVIASMKSLKLLWIQSNKFTGPIPDLNGTQLEAFNVRDNMLTGVVPPSLTGLMSLKNVSLSNNNFQGPKPAFAAIPGQDEDSGNGFCLNTPGPCSPLTTTLLQVAEGFGYPYELAKTWKGNDPCSPAWVGIVCTSSDVSMINLSRKNLSGRISPALANLTRLARLDLSNNNLTGVIPDVLTTLPSLTVLNVANNRLTGEVPKFKPSVNVLAQGNLFGQSSGSSGGGGGSDGDSSSSDSAGGGKSKPNTGMIIGIIVAVIILFACIALLVHHRKKKNVEKFRPVSTKTSPAESEMMKIQVVGANGISNGSSAFPTELYSHVSAANSSNISELFESHGMQLSVEVLLKATNNFSEDCILGRGGFGVVFKGNLNGKLVAVKRCDSGTMGTKGQEEFLAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGFIPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSLMTRIAGTFGYLAPEYATTGKVTTKVDVYAYGVILMEMITGRKVLDDSLPDDETHLVTIFRRNILDKEKFRKFVDPTLELSAEGWTSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIDEDDYEGETSEMGLHQQLEKWRCDDFTISDSDTFGSFNVPRKYNG >OGLUM11G12160.1 pep chromosome:ALNU02000000:11:14434399:14439696:1 gene:OGLUM11G12160 transcript:OGLUM11G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLWMALIMVTSVGFCKGDQDFVAEGGYIKIKRSTFAVLIVFTVMLIALIIALMRYMSKKSKADETIDSTRSSQDNKVHGEVINRWSGLYKFSKGEIEKAINYANSKICLGSGSAGQVYQGVLPSGQLVAIKHIHKSAMSGSFMREVEGLSKVRHPNLVCLFGYCDDGGDQYLVYEYCANGNLAQNLLRSDSVLSWPARVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTESMEPKLSDFGLAKMLQMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDTVARDSLTKKARDVVSGKKPLDEFIDPRVRDEVNIEDFVLILKIAVLCVAHSSVGRPTIKDVFEEMDKALTNTDSKVGRAREVINPSSTIQYQYATGLNIV >OGLUM11G12170.1 pep chromosome:ALNU02000000:11:14438655:14439680:-1 gene:OGLUM11G12170 transcript:OGLUM11G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKAPSAVSCCFPFTVVELVGLAGTGTSARHRGHVRAHRLPFLDGAQAHRALRRRCRRRTTSAAGREHEAGQPRNGGGVEVPRRLLAYWVDAPRGALNFVIELFVSGTLRQYRERHRRVSVAAVRRWCAQILDGLAYLHAHSPPTIHRDLKCDNIFVNGNQREVKIGDLGLAAFRLSAAGGGGDHTRCVGTPEFMAPEVYEESYDELADVYSFGMCVLEMVTLDYPYSECSNPIQIYKRVISGERPGDEAIRRTVPRPDGASCSTTRSCCRSKTMASSPATAMAMAVLALPTTI >OGLUM11G12180.1 pep chromosome:ALNU02000000:11:14460265:14465231:1 gene:OGLUM11G12180 transcript:OGLUM11G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNApolymerase sigma subunit 2 [Source:Projected from Arabidopsis thaliana (AT1G08540) TAIR;Acc:AT1G08540] MVCLAPHFKWAPCPHAHHHHSTSSLPSSSSSSTSAPSSSSRCSFSRGGTFRVHCAVTATTSAAAPVVVEAPGGGMRLAYAAAPDSSAPALQKKIQSALASEVFLNEEAVVTAAAAEAVALARAAAEAAQEVVRMVQNNKNERNTRPKKAVVNYLANEILRTEMKPNILDKYSDGILSEEIESYGILSDEAELDGDTQDIPSIAVKSARQTERRARRTRAAIKAATIVRTSPKPATSSKKKRSKGASSGTNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELAEYNGGQPTFAQWATAAGVDERTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMELYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECIKRLRRKLKRRPTNEEIAADTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPTAETAEEMLNRLSMKRDVHKALDTLTTRERQVVTLRFGLEDGRIRTLQEIGNTMGVSRERIRQIESAAFRKLRSKKRVNALKDYLVPVGNW >OGLUM11G12190.1 pep chromosome:ALNU02000000:11:14478874:14479194:1 gene:OGLUM11G12190 transcript:OGLUM11G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMLLFAATVVAAAAVLVAAVDVGSSGALLPMHVLRGRVADVEDVVASVVEEEEAAYPRRRILYDSRYASYNGLTESKAACYGSCPGRGQPYSGRSCLNIYQCKG >OGLUM11G12200.1 pep chromosome:ALNU02000000:11:14492794:14493108:1 gene:OGLUM11G12200 transcript:OGLUM11G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARRWSPLCHAFPPLLCLLPRSPAAGPPSANLLSSPPNPSIAVASRWTSSFSLGIDFEGDGDESHLTDNGNGGEEQKRYEALDAPSFSLDIDGDEEHHWEEQW >OGLUM11G12210.1 pep chromosome:ALNU02000000:11:14522828:14523115:1 gene:OGLUM11G12210 transcript:OGLUM11G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELDLELTGGNRARSRDSIPLLFSDCGHRSKAGGESERRLCTGCYCMCAYSHVVIKWMHECGIRKCYDAHRSLTAA >OGLUM11G12220.1 pep chromosome:ALNU02000000:11:14523147:14524472:1 gene:OGLUM11G12220 transcript:OGLUM11G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRWIASASRRRFVPVFGLPRRGGEWIKDHLRMLHMRGIAPKLTRERGTDDVGRRPDLRKKERISLSAALQRDYLGRPSPGTLATIMGQRIGRRCTGSSGTAKRPMAALWTPRTPTSSTQYTVVRIILWRSSLEKICNESNTFGSEKGLDVFGDFEGNFGETRLLQGRQKFDGSMCQVPRFNYFLSYHGNLVNRPKILC >OGLUM11G12230.1 pep chromosome:ALNU02000000:11:14531574:14532557:-1 gene:OGLUM11G12230 transcript:OGLUM11G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIT1] MESLPLETRFTEYPLRQYGGFWLLEIMLNGVAAAETSFQPRPSDILLSSFPKCGTTWLKALAFATLNRSSYPPSDEYHPLLHHNPHDLVGFLEIYPKLELYESLPSPRLLSTHLPYSMLPHRIREQETGCRLVYICRDPKDAMVSMWHQNKKEKKNRLTFEEMFDMFCEGRCVVGPQWCHAGEYWDESQARPEKVLFLMYEDLLRDTVGNLRTLAEFMGCGFSRQEEDDGIVQQIVELCSLNNLKNLNVNKSGTTLLGISKDGFFRKGGTGDWSNHMSPEMAARLEKIVKESLEGSRHPIISRINANATTSIGSSNHGASEAKYIKE >OGLUM11G12240.1 pep chromosome:ALNU02000000:11:14538159:14538473:1 gene:OGLUM11G12240 transcript:OGLUM11G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLLLISFIVVMTFLLLLSGAGALTADHATVGVAVAGGKRTRHAEELSEMDSVPYPQRRVLQGGNTVYRPLGRGAACNPICPGRGDRYTGRGCKSRYQCRGM >OGLUM11G12250.1 pep chromosome:ALNU02000000:11:14540580:14540882:1 gene:OGLUM11G12250 transcript:OGLUM11G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRCRSKEKRSKGKYLVEDKTGFAGSSMRWLSGNENGICGCWRCLVKELKEI >OGLUM11G12260.1 pep chromosome:ALNU02000000:11:14548225:14548575:-1 gene:OGLUM11G12260 transcript:OGLUM11G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAHHGLVLFCLVLLVFSAIPAQIRGQSTSKAPVGVSIGELKLSVCFSAPPSWSCCSKDNLCYPSLEACLAKCKYDEKAHSRLRG >OGLUM11G12270.1 pep chromosome:ALNU02000000:11:14571523:14572610:-1 gene:OGLUM11G12270 transcript:OGLUM11G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFQGQQHGHPAARVDEYGNPVAAGHGITGTHEAGAGGYGAAGNVAQPQHGYGSATEAGGYGRQAGYGATGTGTHDAAGYGGSGQPAYGATGTGVHDAGGLMPGHTAGHGTTGTGVHHGAGAGAGLGTGQTAGYGTTGVTGAQHGAGGLGTGHTAGYGTTGAHHAAGGLGTGHMAGHGATATPDTMAYGTPGTGAPHGATAGTGAYPHAGGQFQPAREEHKTGGILRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGGQQQPTATAATGGGYGTGPGHTTAAGTTTTGTGGTAYAPTTQPTHEKKGMMEKIKEKLPGGGHH >OGLUM11G12280.1 pep chromosome:ALNU02000000:11:14577724:14578093:-1 gene:OGLUM11G12280 transcript:OGLUM11G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCMKPAYQGLMLFCLVLVVCSALPAQIRGQTIRKIGSNIPMGLKNVVSHASLNVCYQEERDFAYCCSKDKKCYSTISECLAKCTYN >OGLUM11G12290.1 pep chromosome:ALNU02000000:11:14591043:14591436:-1 gene:OGLUM11G12290 transcript:OGLUM11G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRTHTHQGVMLFCLVLLMCSAIPAQIRGQTTDKIRRDMLIGVKNKISFGELYYKPDHCVRTRGGFYCCELDQLCYPTLELCIPVCTPSRVRRGS >OGLUM11G12300.1 pep chromosome:ALNU02000000:11:14594714:14595413:-1 gene:OGLUM11G12300 transcript:OGLUM11G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCVKHTNKGVLFLFLVLVLCSSIPTRTRGQTASKSGSNMLMGVKKAASIGGVKLNVCALTAGGFYCCSKDQLCYPTLEQCLPKCNYKETGCAANQPTMVPIHKTMMGRKTKPSNLSQK >OGLUM11G12310.1 pep chromosome:ALNU02000000:11:14632947:14650369:-1 gene:OGLUM11G12310 transcript:OGLUM11G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCMTHTHQGVMLFFLVLLVCSAIPAQIRGQNTNKIGSDMPTGVKSRNGFPGLDYKPDHCVQTRGGFYCCSLDQLCYPTLEGCIPNCTPPKLVTGGGQQKQTELEQGCDGEDDTGGSDELEVTTGGRLQRGNKNTKTS >OGLUM11G12320.1 pep chromosome:ALNU02000000:11:14654810:14655997:-1 gene:OGLUM11G12320 transcript:OGLUM11G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHNKLPVPRPGRLKFGGRHELGRGGIWSVQMDGDDGGSCSSRQIDDNIGGSAGTAMVVKKRMTREVWDCLKKRFIGTDQVRNMRLQTLKSNITSMRMANIQTLVHTKRLTAMLVC >OGLUM11G12330.1 pep chromosome:ALNU02000000:11:14669424:14670263:-1 gene:OGLUM11G12330 transcript:OGLUM11G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQGQHGGHACSRADEHGNPAVTTGHAPTGMGAGHIQEPAREDKKTDGVLRRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKANHHQQQQQQEHTTTTTGGAYGPQGHDTKIATGAHGGTAATTADAGGEKKGIVDKIKEKLPGQH >OGLUM11G12340.1 pep chromosome:ALNU02000000:11:14672818:14673397:-1 gene:OGLUM11G12340 transcript:OGLUM11G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVAGQYGGGATAPGGGHGVMGMGGHHAGAGGQFQPVKEEHKTGGILHRSGSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNHQQQQMMGNTGGAYGQQGHAGMTGAGTGTGVHGAEYGNTGEKKGFMDKIKEKLPGQH >OGLUM11G12350.1 pep chromosome:ALNU02000000:11:14693627:14694216:-1 gene:OGLUM11G12350 transcript:OGLUM11G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYQGQHGYGADRVDVYGNPVGAGQYGGGATAPGGGHGAMGMGGHAGAGAGGQFQPAREDHKTGGILHRSSSSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGNNQQQQQMMGNTGGAYGQQGHAGMTGAGTGVHGAEYGNAGEKKGFMDKIKEKLPGQH >OGLUM11G12360.1 pep chromosome:ALNU02000000:11:14700033:14700746:-1 gene:OGLUM11G12360 transcript:OGLUM11G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTFTCLHTTARAKMEHQGQHGHVTSRVDEYGNPVGTGAGHGQMGTAGMGTHGTTGGMGTHGTTGTGGGQFQPMREEHKTGGVLQRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKGEQQHAMGGTGTGTGTGGAYGQQGHGTGMTTGTTGAHGTTTTDTGEKKGIMDKIKEKLPGQH >OGLUM11G12370.1 pep chromosome:ALNU02000000:11:14704824:14705066:1 gene:OGLUM11G12370 transcript:OGLUM11G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERQIGRFRVAIRFVFGVAVAVVVAMAAVLTAGSMAAAAPNGLTYKGFIPNQPVCARSCVPGRSNLPQRGCLKIYRCR >OGLUM11G12380.1 pep chromosome:ALNU02000000:11:14775330:14780124:-1 gene:OGLUM11G12380 transcript:OGLUM11G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEQDTKPFLSPTTTTTIPPRAHRGYQLSACHHHHHHHQMTAAAAAGQQQTAAAACVLNRESDELSEEEDGGAATWLLARQAPARRISRSFWSAGEYDADTSGAARPPGNVQNRMCVHPKFLHSNATSHKWPFGAVAELLDNAVDEIKTGATRIIVDKVNGCNGSPALLVQDDGGGMDPDSLRRCMSFGFSEKQSGSSIGQYGNGFKTGTMRLGADVIVFSRCMKSSEPTQSIGLLSYTFLAETNQKDVVVPVVDYKYNLLTGEAKPHQRLGPDQFSSNLSVLLKWSPFATEEQLIQNFSDIGPHGTKIVVFNLWSNDNGDLELDFDIDEKDILISGAPKAAETTNAAKRMNESHLANQLHYSFRVYASVLYLKLPAYFRIILRGEEVKHHYIASDLRYTQCIRYRPQAFGKKEDEVDTTIGFLDGAPTINLHGFSIYHKNRLILPFHRVLSSASSKGRGVAGVLEADFIKPTHDKQDFEKSQLYQKLINRLKEMTNEYWDLYSHLVGYHKLPRAASGSHASAALVPTLSGTIATASSERIPAIRDNPTNAIPIAFAPHLVSSPVGTNAVAAVCSQSQSSMQITIGTDLVDTRKRRMETLDQMDGRSKRLSIHDLAGNNSVDSSNQILQICQHMGERELKEFSYLKIENTLLRQECAELESSEKELLLKEQQLSLELEQTEAQYKSLLNEYISVAAVRTVKR >OGLUM11G12390.1 pep chromosome:ALNU02000000:11:14807528:14809937:-1 gene:OGLUM11G12390 transcript:OGLUM11G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIU7] MALSVEKTSSGREHKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSQPFKGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGVGGGPDLIVDDGGDATLLIHEGVKAEEEFEKSGKVPDPESTDNAEFKIVLTIIRDGLKSDPSKYRKMKERLVGVSEETTTGVKRLYQMQETGALLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSTGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPVEGPYKPAHYRY >OGLUM11G12400.1 pep chromosome:ALNU02000000:11:14818116:14821703:1 gene:OGLUM11G12400 transcript:OGLUM11G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIU8] MCTRALLSSSAIYPLLSTPPHHSPLLPPRRRNHRRLAAASPAAAAAAAMDSVASWGLTPLAAADPLVHDLLEREKRRQRSGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRDRALAAFRLDAASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYAKLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGKYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKMCDLCSITLNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHQAVTICLNIQKEHGKLLKDFSKGLVNNKDIENLKLEVEKFATSFDMPGFTLDSMKYKE >OGLUM11G12410.1 pep chromosome:ALNU02000000:11:14827191:14827505:-1 gene:OGLUM11G12410 transcript:OGLUM11G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSITTTTTKLFLLLLLLHGGHCLKSLDMAMEMEMDSEAHSRMLWESSSSNGRRYISYDALRSDVVPCSRQGVPYYNCRIMTTANPYTRGCETITRCRDVDP >OGLUM11G12420.1 pep chromosome:ALNU02000000:11:14829141:14831863:-1 gene:OGLUM11G12420 transcript:OGLUM11G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRPRAAAASAAVARPVAGSMLNWKSKCSTRSPRTTVAGAKPDDSEFESVNAPLEPQTWEGSFLCGLLKNQPQVLPVSAAKQLQELSNQRKDTLIRWEHSIGSPEDCLHRRIAEMKEHECQTAIEDIMYTLIVYKFFKIEVPLVPNLSKLISNKRLQIWPPREAELESIHGPEVLGLIREHLTSIIRWVHRNGPKINRSTLRIKRMQFSRIYSASIMYGYFLKSVTTRHRLELILAQSQEFCPPIQFLNAQFNSTQKQEQEESIGGSAEISSSSKPSSVVDLHDLKSYMMGFDPKTLELCARLRSCEASNLIEKHSWALFRESMKDFLEPDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLHDS >OGLUM11G12430.1 pep chromosome:ALNU02000000:11:14834960:14836693:-1 gene:OGLUM11G12430 transcript:OGLUM11G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEGEKKMTSFIAKSSGAEEFEAGAIRRAIEDDCDDDDSGILPPDVLSGEGLDNRDAGFPPSQRSASKITGDRAAVNPAAADPPMPVPGLHHHPNIPAANRQLPPAARLLINCGIFLVATAGSSIVFHTAGDPSAIDGPAYALVAFLFVLLGLWFVLLGPVAGQFPGATRVAVAIAKALKGYLLGGGN >OGLUM11G12440.1 pep chromosome:ALNU02000000:11:14841222:14844466:1 gene:OGLUM11G12440 transcript:OGLUM11G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAASKAADDAASAAAAVPPPSGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >OGLUM11G12450.1 pep chromosome:ALNU02000000:11:14847776:14853728:1 gene:OGLUM11G12450 transcript:OGLUM11G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRSRKLPFLLILPARPSVLHIASPRSRFSLRCSAFTRMRPLGCGGRQLRGFRSDKGDSSGGRIWLGSNDHGMDVMHKEVAAPVDLMQEGTSAEKVAYRPPFLIPAPIVGDIGGDERPQAPIEKALIGQINIEDMSGKAKDVISEEGSTKEMKDSDDDVGMVIGGYAQDLYNHSGLEELMQDQDALEKSVKNFPECFKSTKFR >OGLUM11G12460.1 pep chromosome:ALNU02000000:11:14856569:14857479:-1 gene:OGLUM11G12460 transcript:OGLUM11G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVDATAAGGDEAGETLHVVLFPFLAFGHINPFAQLARSLLAVGGVRVTPCCLRTAVAIVALHLPRVPGLPEGTESTAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFVTPWVADAARRLGVRSARFSIFPAVSGAYFMAHGRGLYGARPTAEELASAPEGFPPSSPLSTVPTYQAAHFTHIFTSFHGMPSTHDRSVACHNACDALVIRTCHEMEGPYIDYIAAQYGKPVLATGPLVPEPPRGELEERFATWLSSFPDKAVVFALDKYRIICMEPWTNIE >OGLUM11G12470.1 pep chromosome:ALNU02000000:11:14878736:14904949:-1 gene:OGLUM11G12470 transcript:OGLUM11G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAGAAPVATAAGGGGGDGDLHVVMFPFLAFGHISPFAQLARKMAGVGAGVRVTFLSAAANVPRVEAMLGGTGGTSTVAALELPRVPGLPEGAESTAEVSADGAELLKLAVDGTRPQVEALLARLHPDVVLFDFATPWVVDVARPLGVKAALFSVFAAVSGAYVMAPARRRLPGPGRPTVDDLASAPEGFPPSSPLATVPAYQAADFSYVFESFHGMPCVYDRVAACHNACDALVIKTCADMEGPYIDYIAAELGKPVLLTGPIVPEPPRGELEERWATWLSSFPDNSVVFASFGSETFLLHAAATELLLGLEATALPFLAVLNFPKGTDAEAELRKLTPPGLEERVKGRGILHTGWE >OGLUM11G12480.1 pep chromosome:ALNU02000000:11:14919630:14922102:1 gene:OGLUM11G12480 transcript:OGLUM11G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQNQDLELLHYVDSDYAFSSCLRHGYLAGRSSHGARSHRRLRALALSPTVDAGTVPLHLRQRRLDRLHGSAIPNPASSANEAADIIDPPSRTA >OGLUM11G12480.2 pep chromosome:ALNU02000000:11:14919630:14922488:1 gene:OGLUM11G12480 transcript:OGLUM11G12480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQNQDLELLHYVDSDYAFSSCLRHGYLAGRSSHGARSHRRLRALALSPTVDAGTVPLHLRQRRLDRLHGSAIPNPASSANEAADIIDPPSRTA >OGLUM11G12490.1 pep chromosome:ALNU02000000:11:14944793:14946811:-1 gene:OGLUM11G12490 transcript:OGLUM11G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARSLCADSGGDGGDDGDLLERDAPLQLALSVLHDLLGVAGFVASHPLHAAYALFFARHLLALACFFSPLLATTALLLAVLVTVAPCAGARRGGDSPPEPCSLGWTCGIAVGALRAELRPDPDGAGGGAVALLGQLCSFVLGPGDAAAVLRVGEIMGELCDTGDYCLILEHKPAVLFDHTELELPWHQAAIDGQISMDQEVLDEIKDGIEEKKVVLEDLNAPHFSSESCSSRSDTSVQDIQRQSFGSSSDGDGVEEKRLECDPVSVEIKKCEPPAKSLSSVSRRILQWEAQASGNFKRVLDEMEENSVGFSLEKARFLDLKECNKLDGGAYTEKCEVEEIASVAESGMHQEEQVFKDVKECVQSEAETCTEKCSKDQQSEESVVVVQSEEEWQEENLKIVLPEPELQDQEYKDVEPVKELQGQEFKFLQPEEEEEQQEQDSENDAQPEEQLQEQDCNNSLQPEEEEESQDHEMRLQESHDDQEEEFTDAADQESPREDPLRPSTSIARRVHSRTSSEHLLVVGEGSPRKEKEWKRTLACKLYEERMQLKLCRDRAVVESSSDNMDMLWEAYEVGGGGGGASSSATSTKRGASKAKRKQEHATADVEDDDDDGDEEEEGSVRQLCCLQALKFSTRKMSFGGGGGKPSLAKISKVLRRVAALSRSGSRRSTKG >OGLUM11G12500.1 pep chromosome:ALNU02000000:11:14965998:14967237:1 gene:OGLUM11G12500 transcript:OGLUM11G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRERGGELMSLSDASSHLGRCVLRPHPVGRARTDGDLSSSSLIQARERRWRDAQIGSSSALTVRRRRRRRGLSHRREVSNPPTEHHVYKPNR >OGLUM11G12510.1 pep chromosome:ALNU02000000:11:14973860:14976347:1 gene:OGLUM11G12510 transcript:OGLUM11G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLDDDLIRAEAEAALRLHRNGRRDEALSRAMDLAIQHKRSSPLALNLSGDLNMAAHRRNRRRGRGAADDDKALAERQAKFAATCYKMSLDAVPDCVETFAAYGEALVGTGMWKPAWDAFMRAAAIAHPADPAAHRLGGYGRSAGLTRGERVEMAKARLRRAIDCYSNARCEDAVAEVLATVEHHGAASAVHGAAKLADRYPSSARAQCIPAYVAVELARERRGGAASPATAATPRHKTLRRALATMDTAARTFDRSLVVALFRAKLLACLHDYDAAEAECRRALAVDNPDDPAAHEIPLGSAIGEEYDDMVSSLRKQLCDLQKKLVLLAVHDWASMESEKQSQILSVSIDELREHYSKIDQIAANTVSEARRFSKAHGSWCFWICPRSSGQCAGKKFLDTASFLEHLRNKHPDDLWVNLKSFLDTKLCGKFKTENASQDGYSCHDEVLQFQSIDGMIELVLNLPPGGMKSETLSEMRRRKCSELAEILDRIKKKLRACPKDLSSSEFDQVRSEMQDLWLKFTELSVFDYREAVVPLARMYQWKELKKRISEDGSIIAAWSIDDIFGDVPDASEEKNVSAEHGSLDEKVGHQTGENKVTNKSDNLKA >OGLUM11G12520.1 pep chromosome:ALNU02000000:11:14977521:14978168:1 gene:OGLUM11G12520 transcript:OGLUM11G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEEDVTVSTVTTTATPGWHMLKIDGYTRIANMHGMVGNFVESSKSTVAGHTWSILCYPNGRTCPGFVSVALKLHHIVDDDAIPRASQAQAEVRFSLVPQHHYGLTLPLGEPHEKRFTRVFYSGVTEGFNRFVVRKELEKSWYLKDDGFAIRCDIAVVDKLVVKEPVVRPADLERLGMVCNCNDDLCTLHHTLSFAQVAKLKFLEFFLGCFPL >OGLUM11G12530.1 pep chromosome:ALNU02000000:11:14993730:14995082:1 gene:OGLUM11G12530 transcript:OGLUM11G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPSVVEIVGSAVVGEAVGRICSYLNSRGEEEVAAGDGAEHDEERMEVALLRIQAAVEEADGWHITNRPLVRWRDKLKRAADEGERVLREYRRRRRRRLRVADDDARRLWFPRRVARAAGKKLFAFGGGDEQRLSGGTVRRFERLADGVGDFVKLVESGGRAKRFVPFQPVAASLLARRAVSFSVKPPASPGATAHAFAFPDLTSPWRRPRAHVVFLYADGGGTGEKLELFVELDLSESADVMALALSSMDALPPHFRFASAAAFGSFHRLQAMTQDDGGGDHLPAWDAHYCSQPSRYEQPEWMAAGYGDEPASVAALPEHVLYVVAEWDSPARDAPRTTPPPPPPVHVSYHLGRQGADWAVRREEMARRIMDGRFGTRARRVERHADAGAETFNGVVMCTVDGFRRRSEAAVAVAGQVVRWCFVSGWVVYLSVRGVGAGQPYEVGFQG >OGLUM11G12540.1 pep chromosome:ALNU02000000:11:14995672:14996112:-1 gene:OGLUM11G12540 transcript:OGLUM11G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKQQRACHGRVKEDGEHLHGRRRRAARHCRLRRWRSTREAVTNVGDPPMTAARSARSHGCRICSRPPSPTLDPPSTIADAAGDPLRTAAGFARARGRTQPPLCYRINHRRRHSVTKSTAVVANPTPPPRHAAASRGLSLSESE >OGLUM11G12550.1 pep chromosome:ALNU02000000:11:15002811:15003717:-1 gene:OGLUM11G12550 transcript:OGLUM11G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCSSWLVQVSAAWSGRRRRLLLPPPGRPSDAACSPSPSPDQVTAAAAAATSLSTYTYPVSREKGSGPSKPGRLVTATPAAAATVTAVDATQQTKQQARGSSRHHHLLQSWRLVLLLATIALASFSISAP >OGLUM11G12560.1 pep chromosome:ALNU02000000:11:15002819:15028656:1 gene:OGLUM11G12560 transcript:OGLUM11G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEASAMVARRSTSRHDWRRWWWRLLPLACCFVCWVASTAVTVAAAAGVAVTSLPGFDGPLPFSLETGYVEVNENTGVRLFYYFVKSEKDPDVDPLLLWLSGGPGCSSISGLTHEIGPFQFAAKRYYSGGWPEIIYRPETWTKVSNIIFVDSPVGAGFSYAATMEGSKSSDTKTVKQLYIFLRERSKDSFFVT >OGLUM11G12570.1 pep chromosome:ALNU02000000:11:15062565:15067765:1 gene:OGLUM11G12570 transcript:OGLUM11G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIMDGRFGTRAQRVEEHADAGAETFDGVVMCTWLHDHPQFLLSPLYIGGDSYSGIIVPTLALAIDESNDSGDKPILNLMGYVAGNPVTDSQFDEDGKIPCLHGMGLISNELYECTKDINMLHVLEPLCEEVWSPRIHNTSATDGMSRLMLESARAADDDIIEFKCRKASYVVLKIWANDKTVRESLGVHKGTVGKWTRCNYDIDYIKDVYTVIMIVKVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSHANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >OGLUM11G12580.1 pep chromosome:ALNU02000000:11:15080029:15082723:1 gene:OGLUM11G12580 transcript:OGLUM11G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATDDESNPAAYEQWRWGRASVGEGGGSGTGERPADRRCAAVNSPSTVDRRRRQRIALAHRTVGCCPSRGSRVAPHRLGASPGRDAARSSRRSHPGMVHVSNTQVENPKPALARGSAPRRRDAGRYFFIASTVSEPSNARSLAGSSLPVRLFAAPPLLAGLLVRAVLACGLRHTNPLSLNVVTLPSASAWELEMPAESRDGETKIPWLAGLLALTAMRPCRCHIAGESNTVYLLQP >OGLUM11G12590.1 pep chromosome:ALNU02000000:11:15083209:15083769:1 gene:OGLUM11G12590 transcript:OGLUM11G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASWAPRWCPVYCKCGLLADERGVLDRMPDRNTVTCNAMLAGYTAAGDMANAEAVFAGMGSRTPVTWATLIRGFAEEGDMVDARRWFEAAPPGMRNVVMWTVAVQGYVATGDMETARELFDKMPMWNAFVWSSMVTGYFKAGNANEAHAVFNRIPVRNLVNWNTLIAEMLTIGSPARNKKSDVLI >OGLUM11G12600.1 pep chromosome:ALNU02000000:11:15083790:15085816:1 gene:OGLUM11G12600 transcript:OGLUM11G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRALPLIAGYAKIGCSGKALEAFHSMLDDRIKPDEFTMAGVLSACAQLGSLEQARKVHSFIIQHRIRKNHFVLNGLVDMFAKCDDLAFARKIFDNMQWRNTECWNLMISALSTHG >OGLUM11G12610.1 pep chromosome:ALNU02000000:11:15086133:15093596:1 gene:OGLUM11G12610 transcript:OGLUM11G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREPKRRYSLLKISSMESNMRYLFMFHALQNVHTDGSEEFEPNDLDQQSIEELMAENNLKKLTFARKDFKTEDDSVCRASLPPPSASTPPPTGPPSSSHMGIGSHTAEVIDNKECDTAGGEGRMLHPYHRLPVGSLLPLHPLDLAEGRVPLPPAAPPRVPSPTASPSAGSGGVVGTTATRLFPATVTTIIREW >OGLUM11G12610.2 pep chromosome:ALNU02000000:11:15087621:15093596:1 gene:OGLUM11G12610 transcript:OGLUM11G12610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVLSFTELSLWVLRLESDNGSCCKVALFSVDYSLRECYGTSSRHASPCCREPKRRYSLLKISSMESNMRYLFMFHALQNVHTDGSEEFEPNDLDQQSIEELMAENNLKKLTFARKDFKTEDDSVCRASLPPPSASTPPPTGPPSSSHMGIGSHTAEVIDNKECDTAGGEGRMLHPYHRLPVGSLLPLHPLDLAEGRVPLPPAAPPRVPSPTASPSAGSGGVVGTTATRLFPATVTTIIREW >OGLUM11G12610.3 pep chromosome:ALNU02000000:11:15085847:15089027:1 gene:OGLUM11G12610 transcript:OGLUM11G12610.3 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDQKPNAITLLAVLGACTHGGFVDEGLRIFNKFDVYGVAAAVEHYGCLVDLLACAGRLREAYEIVKNMLVQPNEVIWCSLLGACRVHGDAEMSELVSSEIHRLHSCRVSTNDAEYILLSNIMASSERWEQAERMRRKMAIHGVGKTPGCSSVELDVPEQQIKGAIKELGRLQQGAVQLILTFLNTKYTQAVVHVAPRWGLNTHAAKIVSP >OGLUM11G12620.1 pep chromosome:ALNU02000000:11:15096233:15108439:1 gene:OGLUM11G12620 transcript:OGLUM11G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTLTLAIDESNGSEEKPFFNLKHAKETCGEKYSAPSNAQCAHSVQAINDDINRGHILEPLCEELQSPIHNTAARDAMSRLMLESRPAAADGDIIIFECRVKASRVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYHRDVRSTVEYHLTLMRKGYRAIIYSGDHDSRVPSISTQAWIRLLNLSIADDWRPWYVDGQVAGFTRSYASNNLTYATVKGAGHTAAEYKPKECQEMFARWISGTPL >OGLUM11G12620.2 pep chromosome:ALNU02000000:11:15096233:15108439:1 gene:OGLUM11G12620 transcript:OGLUM11G12620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPTLTLAIDESNGSEEKPFFNLKHAKETCGEKYSAPSNAQCAHSVQAINDKASRVLLKIWANDETVRESLGVQKGTVGEWKRCNRDIDYHRDVRSTVEYHLTLMRKGYRAIIYSGDHDSRVPSISTQAWIRLLNLSIADDWRPWYVDGQVAGFTRSYASNNLTYATVKGAGHTAAEYKPKECQEMFARWISGTPL >OGLUM11G12630.1 pep chromosome:ALNU02000000:11:15110113:15147770:1 gene:OGLUM11G12630 transcript:OGLUM11G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAAAAGVAVTSLPGFDGPMPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRDRSRDKSIAQRAPLAEKATAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMYQCGLQLTFAFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRVECIKTIQHYCIPLNSNLSKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >OGLUM11G12630.2 pep chromosome:ALNU02000000:11:15110113:15147770:1 gene:OGLUM11G12630 transcript:OGLUM11G12630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAAAAGVAVTSLPGFDGPMPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRDRSRDKSIAQRAPLAEKATAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMYQCGLQLTFAFHQFLYLVYMTQGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >OGLUM11G12630.3 pep chromosome:ALNU02000000:11:15110113:15147770:1 gene:OGLUM11G12630 transcript:OGLUM11G12630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAAAAGVAVTSLPGFDGPMPFSLETGDTRYGSQKHQYANTASIYIYRTRLDRDRSRDKSIAQRAPLAEKATAYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >OGLUM11G12630.4 pep chromosome:ALNU02000000:11:15110113:15147770:1 gene:OGLUM11G12630 transcript:OGLUM11G12630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEASAMVARRSTSRRDWRRWWWWLLPLACCFVCWVASSAATVAAAAGVAVTSLPGFDGPMPFSLETGYVEVNESTGVRLFYYFVQSEKDPDVDPLLLWLSGGPGCSSLSGLTHEIGPFQFAAKRYYSGGLPKIIYQPETWTKVSNIIFVDSPVGAGFSYAATQEGSKTSDTKTVKQLVIFLRKWLHDHPQFLLNPLYIGGDSYSGYIVPTLALAIDESNDSGDKPILNLMGYVAGNPRTERQFDEGGKIPFLHGMGLISNELYECTKDINMLHVLEPFCEDVGSPGIHNNEATDGMIRLMLESASAANDDIIEFKCRKASYVVLQIWANDKTVRESLGVHKGTVGEWIRCNFDVDYIADVYSTVEYHLTLMRKGYRALIYSGDHDCQVPFTGTQAWIRFLNLSVVDDWRPWYAAGQVAGFTRSYANNNLIYATVKGAGHTAPEYKPKECLEMFARWISGNTL >OGLUM11G12640.1 pep chromosome:ALNU02000000:11:15156277:15156603:1 gene:OGLUM11G12640 transcript:OGLUM11G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIAATVEGEEISSGKGISGLRRRRRRRRSTGGRSGGLRRSEEKRHVLVTAAAATPEGDDPPTVANREFEEEEGGEGGALVGPAVAGRGGARRIGVCWQRQQRRWGGA >OGLUM11G12650.1 pep chromosome:ALNU02000000:11:15165035:15165262:-1 gene:OGLUM11G12650 transcript:OGLUM11G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRRDAPIVVGAKTATRWERVTAAWTGSGGERRRRQQRAAPGLQTRAAQMRSGRGRRQRMSWRAWSASTVVGEI >OGLUM11G12660.1 pep chromosome:ALNU02000000:11:15166671:15166883:-1 gene:OGLUM11G12660 transcript:OGLUM11G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRRGQLVAGGDNDKNECVYTLYVETGWIWMAGTDAAIGVELAAVDSSGFAVGDLERWGRLMGAGHD >OGLUM11G12670.1 pep chromosome:ALNU02000000:11:15167042:15167331:-1 gene:OGLUM11G12670 transcript:OGLUM11G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSQQASYVSEDQQGEEDDGHDEEVLIPGLPARFTSGTANISTLFSLAHKRAHPIQKVQIDGVLQAFDDNGC >OGLUM11G12680.1 pep chromosome:ALNU02000000:11:15177833:15180691:1 gene:OGLUM11G12680 transcript:OGLUM11G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVVEAGDEAMHVSLFPFLAFGHISPFAQLARSLVAVGGVRVTFLSAAANVARVEAMLPADGRAVVAALHLPRVPGLPVGAESTAEVDADGAELLKLALDGTRPQVEALLARLRPDVVLFDFATPWVADVARQLGARAAHFSVFTAVTSAYLTVPARRRLHHGAASCPTVDDLATAPVGFPPSSSLATVPTYQAADFTYMFTSFHGMPSAYDRVAACDNASDVQWRSWNQIEGGPLVSAPGDVLVFKTCAEMEGPYIEYIATQYDKPILVTGPLVPEPPHGELEERWVTWLSSFPDNAVVFASFGSETFLPTAAATELLLGLEATGRPFVAVLNFPRSVDAEAELKKCMAPGFEERVKGRGVVHSGWVQQQHILRHRSVGCYVNHAGFSSVVEGLVAGCRLVLLPMKSDQFFNAALLARELRVGTEVARRDGDGWFGHDAVRDAVNAAVAGAGGGDDDERKWREFLTDDAVQRRFVEEFVRELRKLVL >OGLUM11G12690.1 pep chromosome:ALNU02000000:11:15196629:15198269:1 gene:OGLUM11G12690 transcript:OGLUM11G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQARVGSAMIFLLLAFLSEVSASISQQQQQQCGGASSAGVRAGFWLPSSSHYSPLGSIDTSLYSHLYYSSLSIDETRCAVAPPSSGEESSILSNFSSSIKSSGGGFAVKTILSIGTDEFREDVSNAAFSRMASEKNLRRAFINSSIELARANGFDGLDLAWRFPATQLDMENLGDLLAEWRAEIMEDSTTRSTEPLLLTATVYFSNHLFDMADTNLNYPIDDMSSSLDWVNIITFSLHKNSNVTTADAPLYDKDSHFSASYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKDKNGLGAPTAAAGTKQRKSNQIGVIAYAEIEEYLKSQSVFVTHDNQSVADYLYSGDLWVSFDSAVVVQEKVEFVAKSQLLGYFLSTISFDDSNYTLSKQASQSWNQYHVSSYAQGSFGIMQEGAIIQDLHASTGSPSSWYSKTLSYLLLSIILVLEVL >OGLUM11G12700.1 pep chromosome:ALNU02000000:11:15201802:15202365:-1 gene:OGLUM11G12700 transcript:OGLUM11G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQVGRANGVNERARSPPCSRARPRETAADPATAAAVGSATAAAGRLSDSGGRRLGRQRRRWARATSVAVGSATDPAMRRIAATDPEAGNLAAGRPCVVALLHPPDRDGGRARPPLHRPLPLPLPTRTSPPPSSSPSCGCSARRPTPSPPALGWVIPSVVVALLRPLVCDADAVISEVHVTHIPD >OGLUM11G12710.1 pep chromosome:ALNU02000000:11:15214879:15225349:1 gene:OGLUM11G12710 transcript:OGLUM11G12710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLISRLAELLSLEENQLHEIAREQARSLCQELKTMHAALALVGGNGMPREQLGLDEKKVRLWAHDVTKLSYGLEDVVDTLLVLSRGGGAGGGSTEHNAMEVLMRLMREMSEQMLYGTMHHPLISDAMDKQPECAATSRGTARYTNTAVDHSYPEMGHGPNYSYESRSRPAHRVLGHKEKTMPKIHGSTWAFVPRPRATMPQVEWDLDQSLSLATSTKLVGIDGPRDELIEMLSMGYQGKLKIVTIFGVGGLGKTTLAKTVYNKAKPGFQCTAFVTVGRNPDMNRVLRDILYYLDKKRFTNSNTGILDERRLINELQEFLQNKRYFIVIDDLWDKNSWNIVRFALPDGDYGSKVVTTTCLSNVARDVGDVYNLQPLSHDNSMKLLCTRLFDDEGKYLESQSYEATDKMLKKCGGVPLGIITMASLLASKPEDDWSEMYNSIGFGNGINDDVENTRRILSFCYYEMPSHLRTCLLYLSIFKEDYDINKCLLIWKWIAEGFINEEHHIGLFEVAEGYFNDLVSRSLIQPVEGEGTGYVIGCRVHGMVFDLIRSLSSEEYFATILSNVDEQQKLPSTNANRLAMQSRIVEKHHPQLANMGMEQVRSFVAISCSIHALSPSFRVLRILALEDCKFTEGNTRNSLEHIGMLLHLRYLGLTQTRGFHRLPEEIGQDLKFLQTLDLYGTDLEEVPFSVGLMTQLLCLRVDAGIRVPSGLIGNLTSLQELWIYPAMKDYSMGFATARQFVNDLGKLKELRVLKTRIHGWNESIEISLVGSLHNFDKIQLLELDGESYLGKGVTWETGFVSSQHLRYLSLACMQLTRLPPWINSLLLPNLSCLVVNVQFWQEQDMETLGRLPELCSLELQSCNIRAINIKNTHGHIGYFGKLRTLKTYRMLIWFDLSGCKLSTSNVGIDAPTILPSLEYLQLMVHVRFLKDANIGFDKLVSENLPSLQRVKVQIDCSNARLAEVEEVEAALTYTVNLHPNCPTLEMMRYNEYKMLSSDQTQQVYAIMPINSTPSYDRRFGGEGRVMQGISSPLGPMRSLLTKVGMLLDPGCRLPKWLKDMIQLLNGDLEEIGTYLEELLRVDNPQPMAKCWMKEVRELSYDIEDYTYNIEGKTKFATPVHINTKTRLVQINTKARFGCKVNHLKINGVPRRLKWHQHIANMILGFRIYVQEAIERYEIYDLDDCTTRHRYVSFGCVLPTPYETTADLVIDRRMREFIEWLANDGDQKLKVVSIVGSGGIGKTTLAKIFYTRFGGQFDCRAFIQVPQKPNMKRLFYDIISQLQQSNLPYECIPYECKELDLIDNTRKHLQDKSFLSSLANTSNMHILSAEYIFEMKPLEDYYSRKLFFNRLFGSESDCPWKFKEVSNKIVQICGGLPLAVVIIASLLASQPVVSMELWIYICDSLSSDLWTDSSSDGMKQVLTLCYDYLPHYLKTCLLYLNMYPHGYKICKDTLVKTWVAEGFIDAPTGIDMEKVAESYFDQLISRRFIQPIEINYNDTVSSCTVHDLVREFIAYKSAEENFIVIVDCYRKNVGFVDKVRRLSLHFSDSKYIEVPANIKTSQVRSLAYFGLLKCMPSIVDFKLLRVLHLQLFGHLGDKTLDLTGISKLFQLKYLKIVSDICIELPHQMRGLQILETLDMDTKLTAVPWDVFHLPGLLHLHLLLEPSLLDWIGQVKSTISLDPSSNSSQGNLNKLQDIRLSCSMLPSEHLQRNMEALGFLLGAVGNLKTLAIDSSNFEKVDMISGTSDVTVSWDFLAPPRFLQRFEWLLRDCIFSNVPNWIGELENLCILNIVVKELVNNGVNILKGLPVLTSLSLNVHMMSTEKVVFDKGGFLVLEYLEFSCSAPWLKFESDAMPNLRKLKLGFNALRENIYGTAPISIEYLSSLNEISVKIRGGGNAELALTSAISNHPDNPRIDVQLVDGIFYGDEDKEFTTPAMGVTGRCQEVVRGDSHVMGRCAQKNQVLNSVLLESILQFLTTPHDRNAVSLVCRHWYHTEAETRRELSIGNCYAISPSSIIKRFHGLRSITIKGRPRFANSTLVPKGWGAYASPWMTALGPAYPRLERIFLKRMTVSDNDLRLIAQSFPQLRELTLMSCDKFSATGLAVIAEQCRRLCVLDLINNRIEDTADEQVDWISMFPKPNTTLESLVFGCVGTPCNFEALEALVARSPALYRLRVNNHVTVEQLNRLMAIAPNLTHLGTGVFRSKSSYHPGEAPLLVSELAASFSSCKSLIYLSGFRDTNIEYLPAIYPVCANLISLNLSFANITAQHLKPIIRRCSNLRTFWVCDTIGDDGLCAVAETCLHLRELRVYRVFDGNDSDLSVSDVGLEAISKGCRKLETLIYYCGSMTNAAMIIMSNNCPNLKVFHLYILKTNLLDRVTGEPMDEGFGAIVMNCKKLSGLLTSGLITDKAFAYIGQYGKLIKTLSVAFAGNTDMSLRYVFEGCTRLQKLDVRECPFGNEGLLSGLNHFGNMRFLWMSSCRLTMRGCRDVAQQMPNLVVEVISGHSGNEDVTADTVDHLYLYRSLAGPRDDAPSFVKIL >OGLUM11G12720.1 pep chromosome:ALNU02000000:11:15236489:15237225:-1 gene:OGLUM11G12720 transcript:OGLUM11G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNHHASATSASAASGDPMDSTTSYQGGLGHKSKTKIKKGKEKGSSTVPAVYDVNADIEEEYRLFLENVRVHENEDFVLEYDGKVIRYGEEEMDDDDSCIEVPMKEKEKVLKALVISSDDESPTSLRRVYENDSSRQKVEMVVDDQEKMNEKNEVALRLKGKGGPIEDVEKLSPFNLSQGCKCLGFLYGANTL >OGLUM11G12730.1 pep chromosome:ALNU02000000:11:15237632:15238578:1 gene:OGLUM11G12730 transcript:OGLUM11G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGERLTRGRWWGQKAAKWMEHALPRVLGRKDNVFHHECTCIENIRMPAVPYIEDADAGVLQDHVEDHHDALPHDLSGQIVDEQE >OGLUM11G12740.1 pep chromosome:ALNU02000000:11:15272899:15273757:1 gene:OGLUM11G12740 transcript:OGLUM11G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSPPPAQEGSIELAEQRRAAVQGTTVYFPLGEPGPRQMTSWKAAAPPVKLLMNVEKLRLLTKAGTTVLLSAAERAGLSLSAVEWLGLLCKAEELEIEERERASEAGGGPARTGAGRAGGQAAGDGMAARSTRDGRRLVVGSGRRRTATGSVAAL >OGLUM11G12750.1 pep chromosome:ALNU02000000:11:15277201:15282062:1 gene:OGLUM11G12750 transcript:OGLUM11G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRRPAAAATAPSPSPPASDLEVGFAKLQGEDFEYYMQTYSIVLGRHSRRRNQPVGVGVGVGVGGGGGGGGDAAADDVDVDLGILGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVLHEPGGEPVKLDSQDLLQMGDAQFYFLLPTRSVFATDAARRASAAAPRAVPPPPSSSSDDDDGEEEREAAVAKRPRNGDAGALAGRKSEKGSKGYRQSDNLQLLQLEEKDVISSTATVLSDLCGPQEWVPMDRLHEVMFEKYGDLWHHNRVRKYLTSEDRPKSETDGRPWHGLSLLLRKYPEHFVINIRMSGGRSIEFVSLVSLQP >OGLUM11G12760.1 pep chromosome:ALNU02000000:11:15289786:15290258:-1 gene:OGLUM11G12760 transcript:OGLUM11G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSPPLLLLLLVSLLAAVAATFLAPQALAQHQPQGNATAAAGEGWRPRLRKTYVEGGAAGMVPRRRRLVGRFQICAVCTCCGGPHGVCIPAPCCYAINCNIPNRPFGVCSFTPRTCNCLNCHL >OGLUM11G12770.1 pep chromosome:ALNU02000000:11:15297163:15299214:1 gene:OGLUM11G12770 transcript:OGLUM11G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGITAANAGAGESIGSVVAAGAEHRGGRHHAGEHLADRAEGAGADDVGVLPGERGLDIATGDGRRAADGFAVKINEFKTTADWIEGVYSCAADMLAIPKWDPYVSGTTANYTKSGDLFPPYTHCLF >OGLUM11G12780.1 pep chromosome:ALNU02000000:11:15309733:15310429:1 gene:OGLUM11G12780 transcript:OGLUM11G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAPKTSHRRCDRGQRWRRRLPSAPTRTPVPKYKKFLLDKNATTIHDIADGVKDGGGGGTRDLPSWMRSRTETAAAAPERSHADGVKDSGGCGCRFRALPSRMGSRIVTAAGAPEPSHVDGIEDGYSRTSCHRQQRRRQLPSLPPRIGPTIAVTAVAPEAFTEVVDDGGSDGGGRRQRWRERKGREKRRGGGEDETDS >OGLUM11G12790.1 pep chromosome:ALNU02000000:11:15313477:15313812:-1 gene:OGLUM11G12790 transcript:OGLUM11G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVAAVCMHRSGISAADGLVTNGSSLTCGGDEAKGGTATTTTVATCGLRSSGSATSRLQNGGSTASNRGSERAEERTLRLHCPSGILIGR >OGLUM11G12800.1 pep chromosome:ALNU02000000:11:15322004:15322300:-1 gene:OGLUM11G12800 transcript:OGLUM11G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAATAKVTARCRMSALAKEAAAVLPADPTDAEGMAGFVGRVDQLRDAIEEAVERGDEALGFLGRTKAAGGARRDVARPPGREEDGRRKEDMEKAGGG >OGLUM11G12810.1 pep chromosome:ALNU02000000:11:15415602:15416075:1 gene:OGLUM11G12810 transcript:OGLUM11G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BIZ6] MGLTSRGLASEPITHLHFYFHDKVSKPSPTSVRVVDPVDPISKSLFGSLYVMDDALTEGPDPESQPVGRAQGMYMSTGLAKLGLLQVMNLVFTHGPYNGSVVSVLGRNDPFGNVREMPVIGGTGTFRFSRGYAQLKTHTLDLKKNDAIVEYNIYIMH >OGLUM11G12820.1 pep chromosome:ALNU02000000:11:15420434:15422259:1 gene:OGLUM11G12820 transcript:OGLUM11G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGALEGGNVEGEESRDVAGDGEGMSAARGAEEFEAKMRAPREERQSNEKKCPSFVAMGMSSSRSRRSNFVIVLVIYRQESNQIKEKPTQSPGCRLTIWTGMLLLSAVIRGGRRIIAGDFAPPSNLLPKMPIGPAGSEIDVHDPDADLGDARRATEFGCCRSMMVWRLLGGALIRGEERRFFFSFDRTLI >OGLUM11G12830.1 pep chromosome:ALNU02000000:11:15426982:15430371:1 gene:OGLUM11G12830 transcript:OGLUM11G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLAILLKQPENDSLPESVGLTIGLRGLTGAHGPVWPSTQCRHTELETRHGITYNEKIILEQQHFKTHLFGHKLIIRLWDVTIKISVHCISQRSILPVLEYPVNQHGMVFFIFHNRPMSTHDLENKYAKGKYISKRGWLACAC >OGLUM11G12840.1 pep chromosome:ALNU02000000:11:15430597:15431925:-1 gene:OGLUM11G12840 transcript:OGLUM11G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMKTLSLLSLLFFLGLNQQAHVTGDDNFVYSGFADSKLILNGAAMVMPNGLLDLTNGSVRLKGHAIYPTPMRFRGLSNRTVQSFSASFIFGIVSPHPSNGFTFFISPGKNFSDALPTQYFGLLNDQNNGRETNHIFAIELDTIQNSEFQDINDNHIGIDINSLHSVQSDSACYYDDRHGLLKNLTLVSGDPMQVWVDYDRVATLINVTMAPLNFAKPSRALISTNYNLSTVLTELAYVGFSSAAGKANARHYILGWSFATNGPAPAIDIRKLPKMPHTGSKDWSKVIEIVLPIATAAFILTVGGTIFVLTRRYLRYTELREDWEAEFGPHRFSYKDLLHATEGFKNKHLLGSGGFGRVYKGLLPRSSLEIAVKRVSRDSKQGIKEFITEVVSIGHLQHRNLVPLLGYCRRNNELLLVYESMPNGSLDKYLLNEDEKPTLS >OGLUM11G12850.1 pep chromosome:ALNU02000000:11:15436001:15437703:1 gene:OGLUM11G12850 transcript:OGLUM11G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLYFPLHLLICFALPSLCTSEDRFLYSGFSGANLIVDGAARVRPNGLLEVTNGTVNLYGYAFHPAPLHFRTSAGPPMARSVYRETSVDGMAFLIAPSKNLSGVLANQFLGLLNSNNDGNSSNHIFAVELDTFQNTELKDINDNHVGIDINSVHSMKSQPAGFYDDTNIFRNLSLNSGEAIQIWVDYKEETTQISVTMAPLKMAKPVKPLVCATSNLSEVFVDPAYIGFSSSTGPISTQYIVLGWSFCMGCPAPVINVNKLPKLPHSGRKFPSRVLEIILPIATAALILSVGISITLFVRRRLRLYDHGSDPQTTHVVGTMGYLAPELVRMGKASPLTDVYAFGSFLLEVVCGQKPIKEDAQGNRFMLVNWVLQH >OGLUM11G12860.1 pep chromosome:ALNU02000000:11:15447369:15449268:1 gene:OGLUM11G12860 transcript:OGLUM11G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLRLRRRYWHQGTVPVYFFYHQQNSVRSISGDGGTTREGEDLGVSGIRRAETSFSRCGDAGVEAEESGKVGSSDGGVEAVTVWGKRGRERGGEVAGVEAEKSKKMGGGDGSVEAMTMWRERRGGRGAAATPACMRWSRGK >OGLUM11G12870.1 pep chromosome:ALNU02000000:11:15487296:15488819:-1 gene:OGLUM11G12870 transcript:OGLUM11G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGKAAIAREAVDISQILCSFTNDLACRVVSRKLVGDDRQKKLLQELVNKTIKLLSIFNVEEYFSILARIGMIGKVMCARAERLKKRWDMLLKKLIDDHESKCDSNLVCGQNEDDFVDILLSVRKDYGLTEEHVKAILEGHLKFDLHQIINQQDVFIAGTQSTARVIEFSFAELMQKPHMLKKVQDEVRTCIPNGQAIVSEVEVNNMTYLRAVVKEILRLHPVAPLLGMRVSMADCNIDGDERFWDDPEEFMPERFVESVNGYATASVNFRMNAYQYLPFGCGRRMCLGMNFAMAAIEITLANLLWKFDWALPAHAMEIDMSEEFGLSVRLKEKLLLVPKQYV >OGLUM11G12880.1 pep chromosome:ALNU02000000:11:15535686:15537249:1 gene:OGLUM11G12880 transcript:OGLUM11G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSIFATRLRLEFVAQVLGFGRPGRRPSFCTSNKPLTGKVYRNSPNAQRPAGPSSGGIRYMAKSMSSRLGFRPYDPPTNFMLDGARVFFKPRLSHIWLPSQSSPLASGSSLLPSHATNTPNIVRVFRETTSDCLVPYDNPSWPSKQGQI >OGLUM11G12890.1 pep chromosome:ALNU02000000:11:15544571:15547541:-1 gene:OGLUM11G12890 transcript:OGLUM11G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPGEATHCTVRHAAVEASRRAHTVGRPPEAPSPCEAAGGVAPDPGSPAAGAPSVLAGGEDRRRGRERIARGKGIRTTRGKGVGRVNNLQWILALVPLIKALQMGLSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVLFMLISHGYCIMCERLSIRERRTTACLGCLLYLSLIGYKAAVSYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >OGLUM11G12890.2 pep chromosome:ALNU02000000:11:15544571:15548179:-1 gene:OGLUM11G12890 transcript:OGLUM11G12890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQVNNLQWILALVPLIKALQMGLSFLFWYSCVHLQTCSLWMSFGVYVTGILFQTASFVLFMLISHGYCIMCERLSIRERRTTACLGCLLYLSLIGYKAAVSYFTVFLLINYFMSFYIIFRRTSQNLLLLREQLNFIEEEDIHSLHGALNTKYTMFKRFQGTMQVALVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMIPEASLHLPVVPLMKSTWEIAMPPIYSVEMDAADFKGLVSDHWHVGVRTSHNNSGCPSQPLLVLVQNPSPKVSTAATASRL >OGLUM11G12890.3 pep chromosome:ALNU02000000:11:15547822:15548179:-1 gene:OGLUM11G12890 transcript:OGLUM11G12890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDASSPLPVLSSAYQPLPWLYLGFLAIWAASGFCWASSSWRSRHFQKTDYGFILNDWSLNRDLRAMILPPKFY >OGLUM11G12900.1 pep chromosome:ALNU02000000:11:15633216:15634665:-1 gene:OGLUM11G12900 transcript:OGLUM11G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGLHLPALLLLVLLTMAVANRGGVRDAAVAIPTEGSVNGGPDGYGKIGGAGWMEVDTDTAVRRAAQAEVNPADMMTEVIILREHLVLLITVCHLLATICHVLKIEWSPLKSKHV >OGLUM11G12910.1 pep chromosome:ALNU02000000:11:15716200:15719189:-1 gene:OGLUM11G12910 transcript:OGLUM11G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIETAPRTWLLLLLFPIFLLLLHHALITFTASRARKNNKDRLPPSPLALPVIGHLHHLVGSLPHVSLRRLAARHGPDLMLLRLGAVPMLVASSPRAAEAILRTHDHVFASRPRTVLADIVFYGSRDVGFAPYGDHWRQARKLVTTHLLSAKKVQSLQLAREEEVSTVMAKISMAATASAVVDIGQILRSFTNDMICRTVSGKCPREDRQKRIFQELANETSLLLGGFDIEEYFPVLARVGLVGKMMCVKAERLKKRWDELLEELINDHENDDHSCNLISDQNDEDFVDILLSVRQEYGFTREHVKAILQDVFFGGIDTSALVLEFTIAELMQRPRLLKKLQDEVRACIPKGQKIVSEVDINNMAYLRAVIKEGIRLHPVAPVLAPHISMDDCNIDGYMIPSGTRVLVNVWAIGRDPRFWEDAEEFVPERFIDSMSSAAANVNFRENDYQYLPFGSGRRMCPGMKFGIAVVEIMLANLMWKFDWTLPPGTEIDMSEVFGLSVHRKEKLLLVPKQHE >OGLUM11G12920.1 pep chromosome:ALNU02000000:11:15792436:15796462:1 gene:OGLUM11G12920 transcript:OGLUM11G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQFRPGGRRLGRSPPRCLLRTRVCLQPPDLFRLVLSLGNFPVVVVLMGIMVVLRVWIVLNLMGKVLGLGREKASNI >OGLUM11G12930.1 pep chromosome:ALNU02000000:11:15831756:15832049:-1 gene:OGLUM11G12930 transcript:OGLUM11G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDASDRSACPTVVDGASVRLAVANDESAHPIIVVDWNRVPQGWQRWIRPPRSCARAKVGTSLPCSCDGVVDAATALATSRASAFAGTRCGGDEVE >OGLUM11G12940.1 pep chromosome:ALNU02000000:11:15843540:15844265:1 gene:OGLUM11G12940 transcript:OGLUM11G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVVFSLLPHSLTLLLLISLADLTASDYLDDNRWADSSMVDSSIELRIPYWRPRGGRICTTGNLAASRSIAGDYGTGGPTAHNYRMGILTTEDLATFGSGAIADYWMGGSIDDDDNDNDSSDDS >OGLUM11G12950.1 pep chromosome:ALNU02000000:11:15970302:15970580:-1 gene:OGLUM11G12950 transcript:OGLUM11G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKTYQTYRMGQEQMDTILSWALPEKDYEPVFTVISSHTDEQKEKDRLLAIGTAAIKNKLLHHKRGLQAFVKDNLDRFGYVDINDSMFYP >OGLUM11G12960.1 pep chromosome:ALNU02000000:11:15972657:15973049:1 gene:OGLUM11G12960 transcript:OGLUM11G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPLPPPPPPRPASTPPPSCARLRAATSASATAAATQRRAGGGGRSPGKDGVGEIQRPRRYLAVSATTLRHAGGEGKGGEVLRADLRRREHKQRKARGASLLQPSAAAPTSLLHRAASSSASAGLLHH >OGLUM11G12970.1 pep chromosome:ALNU02000000:11:15976733:15978233:1 gene:OGLUM11G12970 transcript:OGLUM11G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLNLLIVILFIVISVQGTNGADRCAASSSIDVQTINTGEAAAGGGDTVFEVQVKNLCGCSVRDVRLDGGGFATTVEVDPAVFRAADGGGDYYLVNGGGPIASMATVSFRYTWDHFFQITPRSMEEDQC >OGLUM11G12980.1 pep chromosome:ALNU02000000:11:15984572:16000129:-1 gene:OGLUM11G12980 transcript:OGLUM11G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNQWQQQPAASHGKKPRSSAASPPVVLAAPPPAVLWYVASSRASNPLVRDDGCALAAGRPPHPFGEMRSWSALPWLRPRSISFLPLPEGKALGGEYKCTSMGIFSGWKASKVAKDEAGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSADLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENSSLLLAIVGVGGIGKTTLAKNIFNDDAFQEKFDKKIWLSVTQKFNESDLLRSAIIAIGGDHHNSHDRSVLEPSLLNAIKGKNFILVLDDMWTERAWNDFLQIPFSHGGRGSRVIVTTRDERIARGVKAKYLHHVNKLGSDDAWSLLKKQVILGEIDEPEIEALKDVGMEIIGKCDGLPLAIKVVGGLLCRRDRNHGVWSEILSNSTWSVDGMPQDLNYVLHLSYEDLSPYLKQCFLHYSLIPKNVVLGYDTIIGMWISEGLVLQCTKGLEELGEDYYKELIMRNLLEPSIEYVDQWHCTMHDVVRSFAHYVARDEALVAQGRQIDISNLHSQKFYRLSIQTDDEVEWNLLKEQMSLRMLISVSDIKLRPGDSLGNFSGLRILYIDSSNFLPLVDSLCQLKHLRYLFLATDDISRLPDDIGKMKFLMYINIYACGNLVQLPKSILKLRQLRYLYLGKTHISVIPEGFRNLSSIRKLYGFPAHMGTGGVSPKENWCSLEELECLSELRDLELNCLENVSASSYAAKASLCTKEHLIYLNLRCTSRLGDDGLLKEEGLSEMEQRLVEELPHLLILSIDRAPAIKRVGSEFLLCHDHGHHSQMASAFPRLQELSFMGMVKWEEWEWEEQVQAMVVLEKLLLERCKLRCLPPGLAFHARALKKLWIYEVQNLNSLDNFACVVELNMYGNPDLQRISNFPKLRKLDIMFCPKMEVLENVPELRSLTLEDYSIETLPGYLQQVSMRNLFVDCSFELLSSIAMGDTGPEWNKISHIQQVKANADDGDDETMWYVSYTRDPYSFETNVIPSSNPSGTFIFLGFCSFSFFHIFSSC >OGLUM11G12980.2 pep chromosome:ALNU02000000:11:15984572:15999336:-1 gene:OGLUM11G12980 transcript:OGLUM11G12980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYLSDLLLQVAKDEAGMLLGVSDEITKLDEKLQFLKDYLADAEKKRITDKHVDGWVRKLKGIMYDATDILELCQLKAMEQGSSADLGCCNPLLFCLRNPLFAHDIGSRIKKLNQSLDSICKTGAEFSFMKLEAYQDRRTASPLISRTTSPVLERSGVVGDQIEEDTSALVKLLTDDKETIHAENSSLLLAIVGVGGIGKTTLAKNIFNDDAFQEKFDKKIWLSVTQKFNESDLLRSAIIAIGGDHHNSHDRSVLEPSLLNAIKGKNFILVLDDMWTERAWNDFLQIPFSHGGRGSRVIVTTRDERIARGVKAKYLHHVNKLGSDDAWSLLKKQVILGEIDEPEIEALKDVGMEIIGKCDGLPLAIKVVGGLLCRRDRNHGVWSEILSNSTWSVDGMPQDLNYVLHLSYEDLSPYLKQCFLHYSLIPKNVVLGYDTIIGMWISEGLVLQCTKGLEELGEDYYKELIMRNLLEPSIEYVDQWHCTMHDVVRSFAHYVARDEALVAQGRQIDISNLHSQKFYRLSIQTDDEVEWNLLKEQMSLRMLISVSDIKLRPGDSLGNFSGLRILYIDSSNFLPLVDSLCQLKHLRYLFLATDDISRLPDDIGKMKFLMYINIYACGNLVQLPKSILKLRQLRYLYLGKTHISVIPEGFRNLSSIRKLYGFPAHMGTGGVSPKENWCSLEELECLSELRDLELNCLENVSASSYAAKASLCTKEHLIYLNLRCTSRLGDDGLLKEEGLSEMEQRLVEELPHLLILSIDRAPAIKRVGSEFLLCHDHGHHSQMASAFPRLQELSFMGMVKWEEWEWEEQVQAMVVLEKLLLERCKLRCLPPGLAFHARALKKLWIYEVQNLNSLDNFACVVELNMYGNPDLQRISNFPKLRKLDIMFCPKMEVLENVPELRSLTLEDYSIETLPGYLQQVSMRNLFVDCSFELLSSIAMGDTGPEWNKISHIQQVKANADDGDDETMWYVSYTRDPYSFETNVIPSSNPSGTFIFLGFCSFSFFHIFSSC >OGLUM11G12990.1 pep chromosome:ALNU02000000:11:16002851:16006669:-1 gene:OGLUM11G12990 transcript:OGLUM11G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKFGATSSNIVKLRQLRYLRLTDTGINVIPKGFRDLESIRQLYGFQAHMGIGGVSPKENWCSLEELEPLSMLRSLSLYGLENVSAGSYAAKASLSTKEHLTDLKLVCTSKLGDDGLLREEDVSKMEQRLIEEVFNELCPPQCLNYLDISGYFGHSPPNWMMSSLSVMPLKSLRYMFLTDLACCTQLPDGLSQLPHLQLLQIRHAPAIKCVGSEFLRFDHHGNLSLTAAAFSRLQELDFCGMVEWEEQVQTMPTLEVLLLERCKLRCVPSGLNLKLVEGFTSVVQLDSLENPDLERISNLPKLLKLTIESCPKMQVLEGVPELRSLTLKDYRIQTLPRYLKDVIVDAYANDGHDRRRWYVSYTRDPYSFETNMSHFKAQRFHDHGGMSVDRKESD >OGLUM11G13000.1 pep chromosome:ALNU02000000:11:16006929:16007967:-1 gene:OGLUM11G13000 transcript:OGLUM11G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRPRASDGAAISTGKEGVRAAWWFDATGVAGSHSGTPQVILSEKDEPVIEAFNKDIGMKIIGKCDDLPLAVKVCFLYFYLIPKNILLGRDMIIYMWISEGLVHHSTKELEELGRDYYNELIVRNLLEAETDYVDQWHCTMHDVVCSYAHYVARDEALVEHNRQIDISKLHSQKFFRLSIETDELEWNFLEDQKLLRN >OGLUM11G13010.1 pep chromosome:ALNU02000000:11:16008996:16015255:1 gene:OGLUM11G13010 transcript:OGLUM11G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component Gpi16 subunit family protein [Source:Projected from Arabidopsis thaliana (AT3G07140) TAIR;Acc:AT3G07140] MAAAPPALRRLLLLFSLLLLASVAAAAEGEEEEEEFTEELLLRPLPDRKALAHFHFRSSAPPSAAAGRHHHVFPKAIAQLVQQFHISELELSFTQGRWNYEQWGGYDPMSTNYAKPPGVELWAAFDLPLDEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLTSSQSFGIIIDQTLTVVLQPDAFRGQQLHSTDGQLQPSWSMKDLFNRKLSGKCRVSKSSRVFLEIEKDIVDKSGTEASWTNELFVLSTAPDRVLKELNNMDAQSSSLYEYDVSNYNNDKPLDVGITWKLPLIWSCTPAPYHANRFLMGSGNERGSIALSFRSTNLHKQLFGSSNDCSIKAVVFQVVPWYVKVYYHSLQIFIDGNSKAISEVVDKIHVTPSEDKHLPGTLEMLLRLPCSMESATLSLDFDKGFLHIDEYPPDANQGFDIPSALVTFPEFNSNRSYPETDTLFVSPLLQTFKENGVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELKKAAVKRGLIPLLIAKLRGKKVDPPPQGSSPTSLLSTKLLLKVVFVAVVAVSFHYLSNS >OGLUM11G13020.1 pep chromosome:ALNU02000000:11:16017989:16023573:1 gene:OGLUM11G13020 transcript:OGLUM11G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKPARAVPEWLNSPLWSAPPPSSSSPSPPVPPDPYGADLSPPPPPPPKPPPPVPPPLYEQAVGSSRRARSEAGWEEEEEDGEVGGGAALRAHLLADFKLALSKKVVNMGELRRLACLGVPEGGAAVRPLVWKLLLGYLPTERAFWPHELEKKRSQYSAYKDEFLLNPSEKIRRFEESKLLRKKELNSDKIGLLPRAKVTNEEHPLSFGKSSLWNQYFQESEILEQIDRDVKRTHPEMPFFSAKANQESLRRILIIFSKLNPTIRYVQGMNEVLAPLFYVFKNDPDTSNSASAEADTFFCFVELLSGFKDNYCKHLDNSQVGIRSTLSKLSQLLKRHDEELWRHMEITTKVYPQYYAFRWITLLLTMEFSFSVCIHIWDAILGDPEGPPDTLLRICCAMLILVRRRLLAGDFTANIQLLQHYPPTNIDHLLHIANRLRGSVAS >OGLUM11G13030.1 pep chromosome:ALNU02000000:11:16025803:16028181:-1 gene:OGLUM11G13030 transcript:OGLUM11G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMRETGQGENERLKEVEEKILAKCNGSPLAIMLAGRLLVNRNRSANEWEMLLEYAAEPTYHEDIDSILHLCYAVLPFPLKQCFLYHSLIPNDHVISCDKVVQMWIAEGFVGADATSELPEVLGMRYYKELVARHLLEPVDEYDGQGHYKMDNLVRTFAKNVVESESLVVEEGEKNPEPFDVEEGHIRRSWAAKEKIEWKAPQELHSLRTLIIIGNVIVQASTGRTLSSLSRLRTLHVNKNEHVHILLDSLHHMKHLRYLDLSYTDALALPNDIGEMKFLQYICLQGCKKLVKLPKSIVELHKLRYLNISETKIKSIPEEGFGGLKNMVSLHGFPSQMMESDIAKDWCSLGELRHMSQLMHLTLEGLENCSSGSMASLAKIDDKKNLASLRLSCTSRLSVNGEVDKETVEEVFDQLCPPPKLQELNIKGFFGARLPRWLIFTNLAELRVLKLDNLVCCNQLPSTLWQLPCLEYLYIKHTLNVKHIGHEFLLQSSIPGPRETDVAATTPTTISTPATSAAAATAATTTTTTIGGGITHNRGPYHRLSGAGSVGSGEEGEIVAESATEDAATTTGSSNAIGFPKLKKLVMYGMMKWKEWEWEHQVEAMPKLENMHISWCLLNQLPPGLASQARSLRILVVDNVKNLISVDGFCSVVQLHVSSNFKLERISDLPKMESLTVSRCPKLNILQRLPALQSMELNDQEMERLPDCLRDLPAKLRHLRITCNLDLLTLISRGKGTPEWEKIKHIQQVNACTDAEDDKTDKRFVFYKRDSDSTETNIEPSPSTSQVGGN >OGLUM11G13040.1 pep chromosome:ALNU02000000:11:16104572:16106075:1 gene:OGLUM11G13040 transcript:OGLUM11G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMKALLGKLGNLFAQEYALIRGVRGDIQYISDEMASIHAFLTNLSSSGNDDDHDAQTKDWMKQVRDIAYDMEDSVDDFAHLLRHDPRGDGCLIEVYRTLYEIYTCRPRRDIAAKLAELKNRAQQVGERRLRYGVVLNPMPPQREARNGATQVGNQTTGENQVAGRRLITVKEPIGVARAIEKLEAWMKSRSHKNRGVLAIHGFGGGGKSTIAAALYRKHGQKFDCRAWVTMPQKFDDRAVLRSILSQVMLPATASGGGDRRQRGGSRHAKIETMSQEQLIKGLKNHLQNKSFE >OGLUM11G13050.1 pep chromosome:ALNU02000000:11:16136978:16139061:1 gene:OGLUM11G13050 transcript:OGLUM11G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHHVDRLSDEDAKRLFQESVSESMTSSDKMLGQAHIDVSREILDLCKGLPVAIVTIAGLVACKPQAFEKQCAEICVSLPPVSVDCHTPEGMTRILNYCYNSLPADLKTCSLYLSVFPKDSRISRKRLTRRWIAEGFISEEHGQSMKERAETNFNLLIRRNILKPVDHSSDGKVKTCQVHDMILEYIMSKSSEENFITVVGGHWLMRTPSNKVRRLSIHSSDVKHAKETMDRMNLSHVRSVTVFGSLNQLPFMSLKLGIVQVLDLEGCKGFKKQHVKDIFRMLLLKYLNLRGTDINSIPSKIGKLRYLETLDIRDTNVQKLPDAIVQLERLTSILGGNTMAQVTLKLPAEATKKPLRTLHILSGIEITGEPTSVNDFHGYTALRKLGIHKLQIQEGTPGFKALLSSIQYIGGSSLKNLLINDECSGFIDALDSLTSPPRYFHSIQLYGMFIKVPRWIAHLTELKNLTLSVTVLRTDTLELLQKLPRMFCLIFSSWTSSKDLDLVDILEKNKSDSEGQILVKHGGFDCLKLLRLDAPLLPLLVFSERAMGNLERLDMKFNTLEGVFGMDNLASLREVHMTAGEKAGEITKSIVRELEAEAVTGACLSLPNKNGFPIWGWCHGGESVILPLYLEFLRFL >OGLUM11G13060.1 pep chromosome:ALNU02000000:11:16139715:16141325:1 gene:OGLUM11G13060 transcript:OGLUM11G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLQSPHPPPPPRSPLIASSLFPLRRPCRRQPSQPCDRASPSSSLHTADERGPARPRPSTLWICPPLSSRSARLPIIIELGIEACCNCFLPICVAHDVVTRPIQLEAAAGAYLGQELDNDFEKVATSRNTEEICNDKDLKILSGSSVQHDDNDCKILLF >OGLUM11G13060.2 pep chromosome:ALNU02000000:11:16139715:16141325:1 gene:OGLUM11G13060 transcript:OGLUM11G13060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAKH >OGLUM11G13070.1 pep chromosome:ALNU02000000:11:16142678:16145746:-1 gene:OGLUM11G13070 transcript:OGLUM11G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGPLKSIGGYLWACVSHLVRCEAEVDKIKAKLDSLLRNKRDMETIIENAHYEHKAASEAVKHWISDVQEIARQAEELVVECKGENPSWHDTCDRGDTQNIELKNEARKKGRVKNPIRRLQVGRLATKLLARAEELLHCRNDLVVLVPCIRPSNYMAVRANGMEFGSRNDAMRQIIGAIKEEKVYVIGVYGPCGIGKSVLVNQILVNMSNQREFDQVIKINLGRKPGLDEIKMSIAKQLNSKRTILLLDNAWEGLDLLELGLPVEVFKILVTTQKISVCKSLGANVEVMVDFLTEQESWELFKFKAGLYEQSAGIGSVEEKIAKRCGHLPLALDVIGTAMCGKDKMYWEGALAELESSYPLENAEVLQKIYMPLKLSYLNLERDEKKALFLMCSLFPGGLEISEDELTAYWVGEDAFNEFHTLEESRRKIHMRLTDIKDSFLLQPAANGSEYVRMHNVVRDVAVFIASRLGEQFAAPHEISEAKINVELKKCKRLSLINTCIEKLTAPECSRLQLLLLRDNSGLQELPKQFFESMHKLVVLDMSNSFVHSLPLSTQDLTALQTLRLNDSRVSSGMWLVRDLENLQILSLAGSSIDSLPEQLGNLEKLRLLDLSSMESLEIPVGLIFKLRYLEELYVDSSKVTEDLLIEIGTLTQLRCLQLFIKDARFFSQNDHIIFSIDFMRKLKSYIIYTELQWITLVKSHRKNLYLKGVTSIGDWVVDALLGETENLILDSCFEEESTMLHFTALSCISTFRVLKILRLTNCNGLTHLVWCDDQQQSAFHNLEELHITKCESLRSVFHFQSTNKNLFVFPCLKIIQLINLQETVSIWSWEGNPPPQHICPNLKELNVQRCRKLDFVFVARVAAMLSNLERLTLKSNVALKEVVADDYRMEEIVVKHVEMEETVGNEIVSADTRYPAHPADAGALMDPEAFPSLTHLSLVDLPEMEYFYKVRDEIMRFSWKSLVSLKMGGCNSLKGFPIHGASAPGLKNVELVHNGDKSWYQTLISQDASLAERFKTTQE >OGLUM11G13080.1 pep chromosome:ALNU02000000:11:16151974:16156319:1 gene:OGLUM11G13080 transcript:OGLUM11G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGFATLWMLRTILDRAALLVAMLRNEATATGFVNLKNEPRSSGLSKNEASDTQHSVEEHRSRSRTSPSATEQSKPTGESKSLIECSKEGKKKPFDSWYSKSYNLSHSPKFSYEDSDVFQKHSPPSSVCLHRTIIVPGDLSSPQQRIDSAFSRTNECVDAIDMHSAPSVFSVNLEMQDLQRCLNTVSDVLLIMETHVAGPTYSYLPIMGQKDDTLLMMAMDIERSLDMLEYVHVQKHENIAMKIINDLKHLLQSILPVLENFREHLVSLTRVASVQNSGDLTRLRIMPNIENIREQPASTSVEKQNPSIVKETVVAHLLEEGQDGIFLVPFLLSSVSEDSVISIQNIYKNSRVKGHFDMRIWVNVSGRSTMISSKVAVKRCINELMNAHVMSSENQSPPVHSTEFSNWIMQNGISGSIKKTHDQKMKDLEKVIQCGLSGKKFLLVLHGISEDQMAQWEHLFRAIKSGKKGSKVIVLTTSTNVEESVRNINILRSDEIENELYWYFFRKVVSAKMFRRVDSDYLPTNPQLMAHVSIMSGFLPTLWMFRWRIPELHLRTLILFGPSEHTALSSREILDEILERQKSCLNCPMSRHTIFAICAFGIQVLNIQGCQLISLPDRTSEKLPSLRHIIGPCSVVSSISHIGNLTNLQELQEYRVRKLAGYGIQELRHMNLSGSLSIKNLENVTLAAKANKVNLSSKTCLDSLRLEWHSTKEISQSVSAEVLEQLQPPNSINELEINGYPSIISPTWFNENHLRNVKKVTLRNCSFISVVAPLAKLPSLEMLTLESFSMLKRMTESDRLQYFQYALRLLKFSTDISCRFPRLVKLYIEDMPLLEEWTEQQPCFPCLEELTVRNCPKLAVLPQFHHSRVTKMQIEGLHLKSFAVSSGEFLDGAAMQPPKAFILRHCPVLSTFTILDVGSSSTSSHCLEPLLQLEITDCKELKAIEGAFAFVEKLHIQQCHSSLKLPNGNAMQSLHTLHIDSVSTRNDPFLLGLQALRVLIITDSAELRSLDVLLASDQLSKTLEQLQLINCNNIESLPRNMDQFLAFVSLYLINCSIMRSLPCLPNNLTELRISGCPILKEKYCHYGPEWDDISHVPYVSVD >OGLUM11G13090.1 pep chromosome:ALNU02000000:11:16159102:16164332:-1 gene:OGLUM11G13090 transcript:OGLUM11G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGHAEALLLRRRRGPARLWVAVLALLAGTLWLLSSSAGLGLGLARSSYGLQLDSQFKVLTLTSVLLLKDVDVNKLWRTADSNGWRASSAPRTYWPPPPIESESNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFLGIYDVLHFIKTLKYDVRIAMVIPEITTNGKTKKLKAHQIRPPRDAPVTWYTTVALEKMKKYGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVNKLRTEGHFMSIHLRFEMDMLAFAGCIDIFTPQEQKILIKYRKEHFAEKELIYRERRLIGKCPLTPEEVGLILRSMGFDNKTRIYLASGDLFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFMDREEGRAARFEERVRQVMFNTHFGGPHKRVHPESFYTNSWPECFCQPNPRNRVDKCPPDNIYEVLESQFQSVEGEEDIEEVKSTNQTDSTSQIEELVV >OGLUM11G13100.1 pep chromosome:ALNU02000000:11:16191177:16195729:1 gene:OGLUM11G13100 transcript:OGLUM11G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSPPPDPVGGEAAAAGGASPPLDLAGGEAVGSRGPCGELSMGGEAVGSRTQRRAPPRQRQRDLHDSSGRLPTAASKQHTSIASAREGVESSHDREHDEVGCLSKDGCDEVDG >OGLUM11G13110.1 pep chromosome:ALNU02000000:11:16204364:16208015:1 gene:OGLUM11G13110 transcript:OGLUM11G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRALHVSMLGGYNQTDERRRLAHRLSPTVSYVNWNVGVITRFAVEAIREGWGPFDPVIKVQLMKPPPPEEAGEIIHENFVMSLDQQLTGAVAEQLGLLNDQEYREHKEAREEARYFSWGSMHSYTTLPDYVDLRSRINAILSSNKLSMSQHVCDESKSARDHVYDDDTMVLILSSLHQSAKDISKAIVGHDDEEKHWHRAVLRCFHYALLQLLIPCEQDNNSNTSHYAITSEDLIRQWAAQGFLATTSRYGHPTLNSFSLINAPHIKRLSLQGCMKLECVDLRDLGTLEDLDLSATAIKELPANIPNLPQLRRLILMGFPNQSRFPWHKLQRFPNVFCLDHYAQAHDNHYDNQVARVYVKDSRLFYSFSESTKELVQEGEFLQSFYVQIAPSTVNIRRLENEEDMLVSRLQELAHKRSPYGDVYYHYTTMEFSAVFMAAPPIRRTARHVHMSTIDHYPHGLKHLLEVAKSIYVTNDSFVDCLTKLSNLEELEECMLHFCHRMDNVFRTTYDMQEALVRVSQLKRELPLLKHLYDGDDIVLSAPAWKELHVRGCWSLRRLPRLRKEHQIQVVKVSGETAWWRKLISPNDNSSPSHHGCYKHKPPPEFASFDEHAQVKSYLR >OGLUM11G13120.1 pep chromosome:ALNU02000000:11:16211465:16213162:-1 gene:OGLUM11G13120 transcript:OGLUM11G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVELPQQEVKLFSRWSFEDVQVNDISLADYLAVNPTKHATYLPHTAGRYSAKRFRKAQCPIVERLTNSLMMHGRNNGKKIMAVRIVKHAMEIIHLLTDANPIQVIVDAIINSGPREDATRIGSAGAVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OGLUM11G13130.1 pep chromosome:ALNU02000000:11:16220210:16231360:1 gene:OGLUM11G13130 transcript:OGLUM11G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQPPPQPPGAMSQPAPPQFGAPGGMPQPAPPQFGAPRPAFSGPPAAAGLASSQAMPPSFGSQQQQPFGGAPQFGLPRPGAQPPFPAQSAPLSQQAPFMGPPRGSAPAFGAAPWQSQGAGSGAMQPPMRMPGMPPNTLGQGMPPTMPTMPYSPHAGTQVSTPSKIDPNQIPRPMAETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSGMPLSLMVQPFSLPHPSEEPIQLVDFGDMGPIRCSRCKAYINPFMRFVDQGRRFICNLCGFSNDTPREYICNLGPDGRRRDADDRPELCRGTVEFVASKEFLVRDPMPAVYFFLIDVSMNAIQTGSTAAACSAISQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQKDLILPVSECRENLEQLLESIPSMFENNRVADSAFGAAMKAGFLAMKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVSTGDKEPHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDIASISVVPNTTGGRVYYYYPFSARSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPSIDSDKTIMVTFKHDDKLQENSECGFQCALLYTTVYGQRRIRVMNLSLPCTNMLSNLFRYADLETQFTCFLKQAANGIPTSTLLHLREEVTNTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALIKSIGLRNEGRLDDRSYWISLVSSVSVLLAVPLVFPRLIPIHDLTSRGDDESLIPSPLMLNSENIREDGVYLLENGEDGLIYVGNVVEPTILEQIFGVSSLAALPSQAVLEQFDNELSRKVNEVINEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPGGLSYVEFLVHVHRQIQSKMT >OGLUM11G13140.1 pep chromosome:ALNU02000000:11:16231720:16232572:-1 gene:OGLUM11G13140 transcript:OGLUM11G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLTPSSLTMPARGRMQVAIEHLLTELVLLLPKETRIPHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLGTISTISMQICARDSHVSDCA >OGLUM11G13140.2 pep chromosome:ALNU02000000:11:16231720:16232572:-1 gene:OGLUM11G13140 transcript:OGLUM11G13140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLTPSSLTMPARGRMQVVWCLLLLWLVATPHLLLQAIEHLLTELVLLLPKETRIPHLVLAAKARSGMVVGLCLLGANGMRAMLVVVGPSSTRLCSTRLGMRGEAVLLQRLPSSNSSVPLQLGTISTISMQICARDSHVSDCA >OGLUM11G13150.1 pep chromosome:ALNU02000000:11:16235352:16235735:1 gene:OGLUM11G13150 transcript:OGLUM11G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVNVATKGKNSVGPTSQSHPSSLPLVRRAALSTQWHQCSVIIVIAIDDYESHIKAATRRWLSQSRASSCRSTRGNAADGCGGLSLTITVEEHGGLVNVRLCKWSRTVFMGHGMPEMANGSPLPGD >OGLUM11G13160.1 pep chromosome:ALNU02000000:11:16237513:16241228:1 gene:OGLUM11G13160 transcript:OGLUM11G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSLAVSAAAAVFPSAADPRRPPPPSVAIVDKNSSYGRSLQAADNGGGSGMEAPLRPLDVQEAMTMLTEGKAVQSAMYVPLLHRCVETGSLGAARAVHGHMAKTGAGADMFVATSLVNAYMRCGAARDARRLFDGMPERNVVTWTALVTGYTLNSQPALGLEVFVEMLEMGRYPSHYTLGATLNACLASCDVDLGKQVHGYAIKYGAESITSMGNSLCRLYAKLGSLDSALRAFWRIPEKNVITWTTMISACAEDEECVELGLSLFIDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFSFKIGCETNLPVKNSTMYLYLRKGETDEAMRLFEQMEDASIITWNAMISGYAQIMDSAKDDLQARSRGFQALTIFRDLKRSVMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKSGFLSDVVVNSALVNMYNKCGCIQDANKAFLEMPTRTFVTWTSMISGYSQHGQPQEAIQLFEEMRLAGVRPNEITFVSLLSACSYAGLVEEAEHYFDMMKKEYCIEPVVDHYGCMIDMFVRLGRVEDAFSFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAADKLLELKPKGIETYILLLNMYISTERWQDVARVRKLMKQEDVGILRDRSWITIKDKVYFFRANDRTHPQATELYQLLENLLEKAKAIGYEPYQNAELSDSEDDEKPAAGSLKHHSERLAVALGLLQTPPGATVRVTKNITMCRDCHSSIKLFSLLENREIIVRDSKRLHKFKDGRCSCGDFGALL >OGLUM11G13170.1 pep chromosome:ALNU02000000:11:16242883:16247699:-1 gene:OGLUM11G13170 transcript:OGLUM11G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSASASATASRFAAFWAADALAGDDALDFAVTKSMVGVSPDSVRAAPEAVRERVALRCLQEVVSLASSDGERGATASAIAAPGEGMLGVEDASRTCEDLLLQLIREVGSSGSLEKDMLPPFRQDIQKFICIKRPTLPETSFKLLRKVYPEITPVVPPSPVEQNGNDQHDNISHDLVNTEKTGFTTDGAQLQQDDLANLVDERNTENLQKDAMATSDFQQPCTSDNRCFDQRQEDSINTVGVNIKSPEDSPTNVNRHMSVAAEPSLASSADLLGSNTGRMSEQDTIDHTTIVQSQSCGVRYPNKHHSNNGDMPLVASIQSPKDSIHEGSTMQTTVSPAVDRSNDALPASEMTHLPEFIAVEDKIMTSEPHFSKTHPNSGQHDTGDKANQDVGCGSTGIQTAAALPSEGFNGDVQGDKSEIKDPAGNTTQHTETFEQENSDKAHLEVGCSDKVNQALYDDGNIIKNNMVFGGLNKQTALESHGCSMTLHNRNSEANHFSEQNIGRNRTEVQNDCCSIPTSPNDVNDKRAKQASNKETMANTVAETLHVHSSDGSFSGFTAGGLLSMAEKLPFCTQDQYANGTVEGLSEQELCIKCGKDGQLLKCSGCFLAVHDTCFGSSVTFDDSGQFYCPVCFYTKATEAYQKAKKTYSEARKNLSAFLGRKQLAEQYQQAAVRQRAANSEDHFNGCNNATKRQGNHQSEGNNLSHRDEEPARQRKKQKTNARDACTQEVVTKKAPTVHNSDVVSMKKNSVLQNNRKQAQVAEQEQPEENAEASGESGNTNSSHKTAHSSQNKCSPAASQNIDADKEDVLASSQQSEDSDEIEATSSSDPSKQPSPPWRKLRHRKARYQDNNTAIPINSKKTLGHHDQHMASPSRKRNYAYPPKRYSNPVGPAGRRTKLCWTEQEEATLREAMAKFTPSDNGPIPWVQILDYGRDVFHRTRLASDLRVKWRNMKKKAGS >OGLUM11G13180.1 pep chromosome:ALNU02000000:11:16279942:16281499:1 gene:OGLUM11G13180 transcript:OGLUM11G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFVAAWSCLLVVVMVSSMRLSRSASSSCGSSCGHGGHGGWGPRSYPVIGCLVAFYRNRRRLLDWYTEMLAVSPSQTIVVDRLGARRTVVTANPANVEHILRARFANYPKGKPFTDVLGDLLGMGIFNVDGELWHSQRKLVSHEFSARALRDLEVTVLEAEARDRLVPALAAASRGGGVVDMQDVLRRFAFDVICRVSLGVDPGCLDPALPAPRLAAAFDTAAGIIARRGAAPVAAVWKVKRALDAGSERRLREEVGVIHEAVMGLIRSRRKERALLLVNGNGGGGARSDLLSRMIECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLMRHRGVEAQVLDEIAATRRGRGAGEEGGDDGEEGEWLDLDDYRRMRVLHAALCETMRLYPPVAWDSKHAAADDVLPDGTGVGRGGRLARRRGVRRRVAYPVFQGGPRTCLGKEMAFVQMKFVASAVLRRFELRPVDEGRTPAFVPLMTAHMAGGLNVTVRRRTPAPAPASGGAANGTGGELTSS >OGLUM11G13190.1 pep chromosome:ALNU02000000:11:16289488:16290229:-1 gene:OGLUM11G13190 transcript:OGLUM11G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAASERMAAVAMTVTRAWRCRSEGRCTASTPPPTKKLTTVTAGMPNPHPHQRADVDGRDAQRGRGEVVEVEDPAALVDEAAAAASSRSGGKGKVTVVEEEEVNGFFVEEEVGAVSNASSIGVASSDSSTGELVIGEGGAFSSLQATFQRK >OGLUM11G13200.1 pep chromosome:ALNU02000000:11:16308289:16315897:1 gene:OGLUM11G13200 transcript:OGLUM11G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFGIHGVSGEEEKETPTLEGTNREKKTEENQRSGARKGKERRCLTMAAEGSSRGGGGGRKASWLGMSSEGGAAKFLSGLPSRGNFSSISASYTLGRLRVYVCEHDTDPPEGQVIETDTTNILIRHLQLKKKEMDAKEAKEARDAGSRNQGETVKWKRVAPRSLDGQSSSKRPNLGSSSGLSSAEEAAPGFSGHTLQTFTVERWTV >OGLUM11G13210.1 pep chromosome:ALNU02000000:11:16325930:16330407:1 gene:OGLUM11G13210 transcript:OGLUM11G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAAAAAAEAHHHNLHGCLAVRAPVPRCSLGGGGGGGAAAMGGSSDEASCGSPRWIGKSLSCVCIKRKGAYERICMNLTPVQEERLQRLRHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSKDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEILKSTRTQLERELLLDDVMRIEDMPSYSLLC >OGLUM11G13220.1 pep chromosome:ALNU02000000:11:16330464:16331906:-1 gene:OGLUM11G13220 transcript:OGLUM11G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAAAAAVGARGGIRRRPNLSLLADRCATPRALAAVHAAMLVSGRLADDAFAASRLLAAHAALSPPGAVLRLLASLPCAPNSFMLNTTLRALASSPDPASALRFFSLLRRGSGGGGGSYSPGRHTFTFLLKASARLPLRASEQLHALAVRHGLERDAYVANGLVRAYSLAGLVPLARRVFDGLPERSAVVCTTMVSGYAQNGMHEDAMRAFEEMVGDGIEPHGTALASVLSSCARSGSRGLEMGRRVHELMESRRVTAPVVGAILGTALVDMYAKTGAMEEATAVFDRMPERQTATWNALITGLAHHGHGEVALATFHRMRRDGVPPNGATLVGVLSAYGCTGRLDEARRVFASMEKDFAVAPTIQHYGCMVDLLGRSGLLTEAEEMIRGMTTCDADTVIWGALLNACKNHGDIDVAERAVQEMLKLDPGNHGVYVVLSNMYAEAGRWQDVDRLRKVMKRARLSKIPGSSTVAGDDS >OGLUM11G13230.1 pep chromosome:ALNU02000000:11:16334887:16341805:-1 gene:OGLUM11G13230 transcript:OGLUM11G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:haloacid dehalogenase-like hydrolase family protein [Source:Projected from Arabidopsis thaliana (AT5G53850) TAIR;Acc:AT5G53850] MACCGGGRGEGAAATESEAYLEGEAVREARELVAELCRHFYGQGWVTGTGGSITVKANDPALPLADQLIVMSPSGVQKERMVAEDMYVLSADGKVLSSPVSKPWPNKPPKCTDCAPLFMKAYLMRGAGAVIHSHGMETCIATMLDPGAKEFRMTHMEMIKGIKGHGYRDELVVPIIENTPYEYELTDSLAEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLFDAAIKLYQLGIDWTTPEHGPINSAKRPRSVLSSSIPNGCADSKSSKNCVVLDIEGTTTPISFVTDVMFPYARDNVRKHLTSTYSSDETKEDIKLLRIQVEEDLKNGIVGSVPIPPDDADKEEVINALVANVESMIKADRKITSLKQLQGHIWRTGFESKELQGVVFDDVPEALKHWHASGMKVYIYSSGSREAQRLLFGNTAYGDLRQYLCGFFDTTTGNKRETRSYFEISQSLGVDSPAQILFITDVFQEAVAAKSAGFEVIISIRPGNAPLPENHGFRTIKSFSEI >OGLUM11G13240.1 pep chromosome:ALNU02000000:11:16344222:16350675:1 gene:OGLUM11G13240 transcript:OGLUM11G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT1G44900) TAIR;Acc:AT1G44900] MGRAEAQIHFHPVLGFPPELTRAHLACHPPPPLRGKPLLVGANFSRHFAPRAASLLTPPPPPPPTLAATPPHLTPPRFHSPEPSRTFSRSPAEMDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDDDDVVGAEEAEVDPNVLPEDDGVVAAEEEEDGEDLFNDNYLDDYRRMDEQDQYESVGLDDSIEDERNLDEIMADRRAAEAELDARDVRTGAAPDRKLPRMLHDQDTDEDMSFRRPKRHRANFRPPREPRTPRSDDDGDGATPSSPGRSQRGMYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVVFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTVLGPFFQNSYTEVKVGSCPECQSKGPFTINVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLAKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNVKGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDVLCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVEDDPLAAARQADPDILSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSHGQGVPIAVRHIESIIRMSEAHARMHLRSYVSQEDVDMAIRVLLDSFISTQKFGVQKALQKNFRKYMTYKKDYNELLLLLLRTLVKDVLHFEEIVSGPTTRLTHIEVKVEDLKNKAQEYEIYDLRPFFSSAHFRDNNFVLDEGRGIIRHPLAA >OGLUM11G13250.1 pep chromosome:ALNU02000000:11:16352076:16359430:1 gene:OGLUM11G13250 transcript:OGLUM11G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJ44] MLVAEVFRAGAYISRFSRHACQVARFSGISCAPISQFRRPQFLEGSRKLRSCCFIQTRLIAMATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVGYDPHQKIEMKMAI >OGLUM11G13250.2 pep chromosome:ALNU02000000:11:16352076:16359430:1 gene:OGLUM11G13250 transcript:OGLUM11G13250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJ44] MLVAEVFRAGAYISRFSRHACQVARFSGISCAPISQFRRPQFLEGSRKLRSCCFIQTRLIAMATTLSNGVSQNGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTVTTADPVKKNAVIMGRKTWESLPLKARPLPGRLNIILTRSGSFEFATVENVVICGSMNSALELLSSTPYCLSIEKVFVIGGGQVLRESLNGPSCEAVHLTDIQSSIECDTFIPPIDLSVFQPWYSSLPVVESNIRHSFVTYVRVRKTMAETHDSNGKESANDGIKSDKFETENFSFLPKLIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRNSFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVESGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAHVCGLSPGEFVHVIGDAHVYRTHVRALEEQIQKLPKPFPILKINPLKKDIDSFVASDFKLVAKLGPPVTM >OGLUM11G13260.1 pep chromosome:ALNU02000000:11:16361605:16363131:1 gene:OGLUM11G13260 transcript:OGLUM11G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJ46] MASPAPAPPAASSSAAGSAPPLRIGLAGLATMGQNLALNIAERGFPISVYNRTAAKVDATVSRAEAEGALPVLGHRDPRGFVLSLSRPRTVVLLVQAGRAVDATIDALVPYLDAGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFAEWNRGELESFLVEITADIFTVADPLDGSGGSGLVDKILDKTGMKGTGKWTVQQAAELAIAAPTIAASLDGRYLSGLKDERVAAAGVLEAEGMPSGLLETINVDKKMLVDRVRQALYASKICSYAQGMNLLRAKSVEKGWNLNLAELARIWKGGCIIRAKFLDRIKKAYDRNPELANLIVDREFAREMVQRQNAWRWVVARAVEAGISTPGMSASLSYFDTYRCSRLPANLIQAQRDLFGAHTYERIDRPGSFHTEWTKLARKSNGAAI >OGLUM11G13270.1 pep chromosome:ALNU02000000:11:16365221:16372622:1 gene:OGLUM11G13270 transcript:OGLUM11G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLNHDCNGRPGKSEAGLPINLNGQAFQAWWPGGCSASATIGNISAENCFLGLKNALILMLGFNWFDHWQHSIFSNSLSRLNMSWILDNTAYITRQHIYTT >OGLUM11G13270.2 pep chromosome:ALNU02000000:11:16366341:16372622:1 gene:OGLUM11G13270 transcript:OGLUM11G13270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLNHDCNGRPGKSEAGLPINLNGQAFQAWWPGGCSASATIGNISAENCFLGLKNALILMLGFNWFDHWQHSIFSNSLSRLNMSWILDNTAYITRQHIYTT >OGLUM11G13270.3 pep chromosome:ALNU02000000:11:16365221:16372622:1 gene:OGLUM11G13270 transcript:OGLUM11G13270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGLNHDCNGRPGKSEAGLPINLNGQAFQAWWPGGCSASATIGNISAENCFLGLKNALILMLGFNWFDHWQHSIFSNSLSRLNMSWILDNTAYITRQHIYTT >OGLUM11G13280.1 pep chromosome:ALNU02000000:11:16377209:16380404:1 gene:OGLUM11G13280 transcript:OGLUM11G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPGRVAMRALLHAASLDNMVGSVLGLEHHDHHGGVISDMGDMVREGYELVGKFNLGDYYSTAQYQCLWGLLDFHGVGPRCQRLAARVREQFGRVMEERRKVSDLHKRDDLLSYMLSMPQEERIEDSDVIAVLWEMIFRGTDVVAILLEWAMARMVLHPDIQSKVQDELDRAVGHRPMTDSDIPNLRFLHCVIKETLRMHPPGPLLSWARLAVHDTYVGKHLVPAGTTAMVNMWAISHDETIWGDPWVFRPERFMEEDINVLGSDLRLAPFGSGRRVCPGRMMGLSTAYLWFGRMLQEYKWAAAQPVKLTECLRLSMEMKKPLVCHAVPRSKTG >OGLUM11G13290.1 pep chromosome:ALNU02000000:11:16383280:16384605:-1 gene:OGLUM11G13290 transcript:OGLUM11G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPRRRRGVHPAAATAPPCSAMASGAASPRSPPAAKKRAVVVAGDGDDSDVFDRLPDDIVLVVLSRLAANAASPADVASAALTCRRFRELAAHPAVLSRASAAAVAVRWGAWSEAAHRFLRRCAAAGSLHACYFLGMVRFYCLGSRATGAALLGRAAGGGHAPALYALAVKHNHGHHDGSAAEDAASRFMVAWWDSHRAKAAARGCLPGEHGDGEHDDGEDLRLCSHARCGRRETRRHEFRRCSVCGAASYCSRACQALDWKRAHRAQCAAARWLAAAAAADGVAH >OGLUM11G13300.1 pep chromosome:ALNU02000000:11:16400025:16402467:1 gene:OGLUM11G13300 transcript:OGLUM11G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAASTTSMMTRPQLLHLFSRFSFLTSLPEVKARIADAVRDKQEAVAVTTEIQEEILREMGIDPSLGIGCLGKVNVMYEDDMELMVKFYQFVAKEEMAIDEAELDPREFAEKLHAQHKLQEQQLKMLIQMRKYNPESQSVILETLRKQLESANFDTDASILTPEQIQEIVEN >OGLUM11G13310.1 pep chromosome:ALNU02000000:11:16403089:16406187:-1 gene:OGLUM11G13310 transcript:OGLUM11G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:oxidoreductase, 2OG-Fe(II) oxygenase family protein [Source:Projected from Arabidopsis thaliana (AT1G11780) TAIR;Acc:AT1G11780] MYGDTEQAAAAAERTAFRRAEKQYKLYKPLKPKGGRARSKPGGGGGGGGGLEDLSAVVDFHALLAAGGGGGGELPGGIGRRDVAGFDRPVFCFLDRPGFYFIPGALSIEEQCYWIRESLKTFPQPPNRTNLTALYGPIFDLLTAAKSGKILVEVGNPNDQERSEQNSDGRKSNSFKFLEDTEIQKGERNYDVSLPHNKIPDLLSVLAKKMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWSKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARECFHGVPRIFTGSDQAEISALVPQLSAEDDSFILNYIQNSRININIRQVY >OGLUM11G13320.1 pep chromosome:ALNU02000000:11:16408788:16409618:-1 gene:OGLUM11G13320 transcript:OGLUM11G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMSSGGVVGGGGGGGGGSKVMSPKQVLTVVLVVFCALSFVKLLLLTGSSSPAAAVRRGRASAGAAAGEPGRMGAIYTAAALARASAAGGREAVDVAVHDVHRTVERWYAWEYLCEDNLAAAKGRLWHFRVAGGGPPDAFCPTGPAQIL >OGLUM11G13330.1 pep chromosome:ALNU02000000:11:16416141:16418338:1 gene:OGLUM11G13330 transcript:OGLUM11G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGEMEHGFHLHMEHQAIHGGEFKEGICTSIPNPPLPSTSRPNSMVIKKVCRREFIPPHIVAEAISTLRGLDLRWSGPITPGERRYVEQYVLAKYPQYSHGLIGDDASAAAAADSDVVVEHRRLQTSSPPTSSSAARGAPAAAAGDVAAVRLEPSRLLDMLARKASFPGSFVSIPEIQARNRVLRRCGLADDDYLVLFAPTPRDALVLVGESYPFFRGNYYMSILASGAGADADAGGGGDCVRAFAAYKDAKVIAAPESWLDLRIKGSQLSQYFRRKCKHAPKGLFAYPVVVSGAGGEAAAAAAARYSLHWVSEAHRNGWHVLLDATGLAAGDRLPLSLHRPDFVTCALDDARAQPPSAATATVTCLLVRRRSFDVTSKGDN >OGLUM11G13340.1 pep chromosome:ALNU02000000:11:16436307:16437718:1 gene:OGLUM11G13340 transcript:OGLUM11G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLISSSAAVCFVLLIAMAMAPATATETAAAEDRCEKDLDLLMGSCEGYLRFPAEAKAAPSRACCGAVRRVDVGCLCGMVTPEVEQYVCMDKAVYVAAYCHRPLLPGSYCGSYHVPGPVVSN >OGLUM11G13350.1 pep chromosome:ALNU02000000:11:16467579:16468691:-1 gene:OGLUM11G13350 transcript:OGLUM11G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSEGRSETSSFDKVIDPTNDQGKAPSITEKKKKKKKKKKKKKKKKVRYTQEQILYCIGNSEELPERRDTPKLTEALGAELLAKLPPDLVAHLRAMDDAKEEGKARRKALIEELRHEREVIYNIRDKPEDVLKQYYAKGYAEYEVIIDDDDYEDGDQGSRAAAH >OGLUM11G13360.1 pep chromosome:ALNU02000000:11:16474302:16479407:1 gene:OGLUM11G13360 transcript:OGLUM11G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPREPASAAAAEDVVARLGTDEATGLTREEAARRLKLYGPNLVADHPLAGGRLLATLKCILLLWGWDHSFTEYIKYEIGWESWEHLIFPWSREMVLRDGIWKHEDAANLVPGDIIYLKCGDIVPANACVLNMAQVDTKTIRHERHVSYVMGSLIYYGWAVSCGEGTAVVTATGNCIPTSTLKLYPRRFSRPGQLRKGVMATGTFCFCLVLVGITSEALVKLFFHQSIGTLHSGHFMPLIGLIPMSMPAVLYLALALGSRRLSKLGVASRGTFALEDLASMDAMLFNMTGTLTCNKPYFDKDKIEVLTDGIDKDHAVLLAARASKAHNELYKEPIDAAILGLMDDPEQVRVGINVIEHRSCMFVAMTLMYMTTYIDGNGSKCSVLKGDPALMLLDCSCSNEVKEHIRKRIDTLGLDGHQCIAVGRIVNSRLDIISLLPFIDDLRGDSAEAVVNLTDMNLSVIVLTESPMTITKHVCGRLGKLGLNVLHADSMRELVSSKNELFLNINGISDLFVEYNRYVISNLRTYFGRRSAMVGYEFSDADSIRESDIGIAVADATDSTKSESDIVLTEHALLCVSSAVQTSREICQIMKGCMVYAVSSTVHAFTVRLILLLWRLELPCFPMLVIAACNYCTSTAMLFERAKSSQSPDSLKAKKIIVTGAAFGSYVALSTVVFFIFTTRTDFISYLTAIFKARLLRLLVGHDEEIKSALFLQMSILNHAVGLFAQSCDGHCSGPLVTISFVLSQLVATVIAVYGVANSPLPKGIGWGWAGFIWLYNFVLLLSLMLICDLCNLAKFNISGITCRRLFTSWTQWMEKCRRVLNRGKMWMVMLIFPAISGLILVWSICAYHAMKVQQQ >OGLUM11G13370.1 pep chromosome:ALNU02000000:11:16493643:16494551:1 gene:OGLUM11G13370 transcript:OGLUM11G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPGRLLAAGAAALLVAASVMVATLLTAPLPFLPSLMPCLPAVTAPSGSGYSPPGLAALADAAVRYATTPTVPQQSRAEISLSLAVLRRRAPLRLLVFGLGHDSPLWHALNPGGATVFLEEDPSWYRVVRGQSPFLRAHLVAYRTRLDHADRLLATYKDHPSCLPGGGGNGGGDVPRVRGNAECPLALHNLPAEVYEKEWDMVMIDAPKGYFASAPGRMAAVWTAAAMARGRRGEGDTDVFLHDVDRRVEKAYAEEFLCERFRVGATGRLWHFRIPPASRRGNGTAAAGGAGAGDGRRPFC >OGLUM11G13380.1 pep chromosome:ALNU02000000:11:16500304:16503552:1 gene:OGLUM11G13380 transcript:OGLUM11G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASLLLILLHVLQSVSTTAMEYHNTTDENILLAFKAGLRKQSGVLSSWNKSTDFCQWPGVLCSLKHKHRATVLNLSSESLVGTITPSIGNLTFLKILDLSQNSLNGEIPSSIGHLSRLQLLDLSNNSLHGDINSDLKNCTSLEGIILHSNYLTGEIPAWLGGLPSLQIIHMKKNNFTGSIPTSLSNLSSLQEIYFNRNQLEGTIPEGFGRLTSLNTIHLGANHLSGMIPTSIFNISSLSRFAVPMNQLHGLLPSELGVHLPKLQYLLLSTNHFTGSLPASVANSTEIYSLDISFNNFSGSIPPEIGTLCPDFLSLDTNQLIATTAEDWKFMAFLTNCTRLRILDLQDNMLGGVLPTSVSNLSAQLQVLYVGFNKISGNIPFGISNLVGLNRLELSNNQFTGTLPDSMGRLSFLQLLGFQNNLLTGLIPSSMGNLTQLLRLSMANNMLEGSLPTSLGNLQKITLAIFSSNKFTGPLPREIFNLSSLSYALVLSGNYFVGPLPPEVGSLTNLAYLYISRNNLSGPLPNELSNCQSLIDLRLDQNLFSSNIPETLSKLQGLTLLNLTKNTLSGVIPQELGLMDGMKELYLAHNNLSGHIPGSIGNMTSLNHLDLSFNHLDGEVPSKGVFSNTTGFVFNGNLRLCGGIPELGLPPCPPVSMGHSLRKSHLVFRVVIPIVGTILFLSLMLTIFVLRKKPKAQSTKTIGFQLIDDKYPRVSYAELVQGTNGFATNSLMGRGRYGSVYKCGLLLKSMMTTVAVKVFDLQQSGSSKSFIAECEAHSKIRHCNLINVITCCSSSDPKQNDFKAIVFEFMPNGSLDRWLHLDVTASQPPRGLTLMQRLNIAVDVADALDYLHNNCDPPIVHCDLKPRNILLDEDLVAHVGDFSLAKILADSEGEQPINSKSSIGIRGTIGYVAPEYGEGGQVSPCGDAYSFGIIILELFTGMVPTHDMFRDGLTLQKHVENAFPGILMKIVDPILLSIEGVYTSNLPPGRNAMEHMNHAILSVMKIALSCSRQSPTERMRIRDAAADLRRVRDSHVRGNEEHLELCSDVTNLASA >OGLUM11G13390.1 pep chromosome:ALNU02000000:11:16507672:16508397:1 gene:OGLUM11G13390 transcript:OGLUM11G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKVHPSPSPAAGAGGETAEAVLMRLLPAAVVAMAAGLGAEGKEVLAYLVLASMRSSSAARWGEAEVGKGGGGGGSGRVGHHAPELGCGCFGCYTAYWSRWDGSPERDRDAIHRAIEAFEDHLARKEEEEVVGGCKGASSRRRKKRSGKDKAKAKVPPAASAGQPPPPPPNQEETSAAAAAASPPKSVLDGEEEEEEEMKNTAAAAVAGAGDGGVVEEERRRRGWGVLSWKVWNLWGSH >OGLUM11G13400.1 pep chromosome:ALNU02000000:11:16527690:16533231:1 gene:OGLUM11G13400 transcript:OGLUM11G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKLRSNNDVFKEFNTGGGGACNCITGLASPDHDNDYFSGDDAAHASPPVTSGDLFTFGGSGLLTIGTLGIAAVAIPSGSGDDDDYDIDFEVDATSDDDGGFTVEDDDADVGGAVTPTFTFPAATASEAVVATVEKAVAAVEAIAEKDDDTTTEDDLMVVSAELEKVLGGVDVASARVSFAMGGGVDCPLQGFLFGSPVSDVESRPEYLQAPRDSSGSCGGGGRRTSLGELFMRTRFADEKVALVAVAEGEDGVAGDDGAAAAGVGGDRAGKGGGYKTMKKRKVKDEKGGGGAAGGGMPATVTKSKFQKILQIFHRKVYPENTLLTRNLTKKSRNRGATDNGGGAAATGDPDEPLASPVLRCRKDHPMRGFGCCTNGAFGASSPGGNAEMNGSKSGHWIKTDADYLVLEL >OGLUM11G13410.1 pep chromosome:ALNU02000000:11:16537708:16537995:1 gene:OGLUM11G13410 transcript:OGLUM11G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAHGRCMPASFSLRYRLSGPIFGHRGTPLGRRRGLRPRPHQRGDALPFSHVAADTDASLLPHRRPRCHHRHRRSAALLLSRLPTGRPTFRGREE >OGLUM11G13420.1 pep chromosome:ALNU02000000:11:16538053:16542821:1 gene:OGLUM11G13420 transcript:OGLUM11G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIDVWDPRGFHADFAAMSDKTGIKTTEGPKLNGFAQSSPPRWLGPILKPGPPKLPSSRLRCCACPYSRVQPTRPKPHRRRRRCRRRRRVRPCGNLGSSAASPSLRPRVLCGGLRDAPTDRRLRVELAMQAVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERYFLADTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSLQIKDGRRLQEGEKVGRILVGWGHSLI >OGLUM11G13420.2 pep chromosome:ALNU02000000:11:16538053:16542821:1 gene:OGLUM11G13420 transcript:OGLUM11G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIDVWDPRGFHADFAAMSDKTGIKTTEGPKLNGFAQSSPPRWLGPILKPGPPKLPSSRLRCCACPYSRVQPTRPKPHRRRRRCRRRRRVRPCGNLGSSAASPSLRPRVLCGGLRDAPTDRRLRVELAMQAVGTAAAFSPAVTPSPARRRAVDSGRRFSRSCRSGRVSVSAAGYSQLEVRRVSYRPPGTEQNLLNEISLNLKEKSFGLLFGRSGSGKTTLLQLLAGLSEPTHGTICIQKYNDSGNPMGPPELLTAQRVGIVFQFPERYFLADTVLEEITFGWPRQNTDFLFREKLALKLQNAINSVGLNGISLEEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKDHTILAVSHDLRELYPLVDRSWRMEMGGVLKEEALSEGSRKVRRLEGFW >OGLUM11G13430.1 pep chromosome:ALNU02000000:11:16583368:16587453:1 gene:OGLUM11G13430 transcript:OGLUM11G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPILFATQPTSSSTSSSYHFMSSSSGSHDHRHHHGLQPGGNGGGGGSLSHGLFMGSSSSSIRMEELSNSQQGGDVVVDGGATRSPHGGDGDGAAGDDGGDAQAAAAGGRKKGEKKERRPRFAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDETVVVTTYEGTHTHPIEKSNDNFEHILTQMHIYSGLTPSSAAHASSSSPLFPSAAAAASHMFQ >OGLUM11G13440.1 pep chromosome:ALNU02000000:11:16599833:16603190:1 gene:OGLUM11G13440 transcript:OGLUM11G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSSRHHGAPRRRRRRRRRRVPPRNNPLPPHGSSAARPSPTCESAIKSARQGGGSASPVGARNLFSPLPPSPSPDLQGCRPAGDLSLGGFDARLASISVGNELKDPVSSVKPSRLLQTDELRIFHNSVPEEIISTVRLEESDAFYMLELSTSREFSSSLLDKNAAILICIIDANGDSLLQRVPAAYCNHSAQGREAEKLLPFQSGSVDVVTFRGSKLQRIKEIWVGLESGSWRIDNLSLKVIHGQLNTPPDLEETPELKFNGLQYTFDKLSMLLGEDGASVVEARPVAVTDLTGISLSDLQEGQLSSASTASSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAALSFLVGGIGGFLYLLLLQKSVDGLPALNSPSEAGSAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLEMTPVELFVGTAGFLANKVAIVLAAFKPLQSNLKAEDRSGD >OGLUM11G13440.2 pep chromosome:ALNU02000000:11:16599833:16603190:1 gene:OGLUM11G13440 transcript:OGLUM11G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVSSRHHGAPRRRRRRRRRRVPPRNNPLPPHGSSAARPSPTCESAIKSARQGGGSASPVGARNLFSPLPPSPSPDLQGCRPAGDLSLGGFDARLASISVGNELKDPVSSVKPSRLLQTDELRIFHNSVPEEIISTVRLEESDAFYMLELSTSREFSSSLLDKNAAILICIIDANGDSLLQRVPAAYCNHSAQGREAEKLLPFQSGSVDVVTFRGSKLQRIKEIWVGLESGSWRIDNLSLKVIHGQLNTPPDLEETPELKFNGLQYTFDKLSMLLGEDGASVVEARPVAVTDLTGISLSDLQEGQLSSASTASSILEMKEDGLKEYADLKQSLLLYDLAIVITGFSAFTLASNDSAALSFLVGGIGGFLYLLLLQKSVDGLPALNSPSEAGSAQPSVKGFSGIRRPWLILSLLMVAGAVALKYGAGGDKLEMTPVELFVGTAGFLANKVAIVLAAFKPLQSNLKAEDRSGD >OGLUM11G13450.1 pep chromosome:ALNU02000000:11:16604138:16604852:1 gene:OGLUM11G13450 transcript:OGLUM11G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIVITMSIVVAVQLAAVFSMASATPAPSNATATTSHGRNTTAPPPPFGANHTVGEGAGWFFDGNANASVANYSAWAANRTFYLGDYLSFSTNTDNTVVHTTNATVYKLCGDGGAAAAAGCSGGGWKTEEAFLTVMLTAEGANYFFSDAGGGEHCRKGMQFELAVARGRGLPPVPASYYEPLSAAPPAAGCSSSMVVALAAGVAIAAILVL >OGLUM11G13460.1 pep chromosome:ALNU02000000:11:16630174:16639898:-1 gene:OGLUM11G13460 transcript:OGLUM11G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFATLAIRKALDKLSSILPAISLLASSSSAAAMVRQGHEQDLEDLRMLERTMHRIHATLKDAEQHWNIHDESAKLRLKELKELAYDAEDVVDEYEYELEVNRCKAEALQPSASVASHKRKWQQEQENEVLYHNTGMAHVPEELALKARKLIKRFHEIQYYSDNFTLSENDGERRFAPNIGTLPKTSSVIYAPKIIGREQDKDNIVEKLLSMTSDSVATPVSILAIVGMGGLGKTTLAQLVYNDSRIQGFFDMHAWVCVSEQFDPTYITKSIISSLKKDNSDLSEVCSPHETLAKRIKQKMVLLVLDDVWNERRDCWDLLCEPMNTAKLCMIIVTTRNEFFRLDDSSTSIEIPQNARYISIQNLASSEISITPLALRAIIVLPRAEVNINNSEALFSNCEKLRALVLGEGCLDQNLPALMGRLKLLRHLKLVTSFFSHSDPCWDHVDGLRGIGHLNNLLTLPPIHLRNDRIIELRSLNKLRELRIGGLGLHLTIDGAKDARLQSKRHLQLLSLDFGDCCPDHNQQVQLLESLRSHRNLRELIIMNYEGLKYPYWLGDASFSNLTQIELGYSHIQYLPTLGDLPSLVSLHIHDMMIVERIGWEFCSHFHGVKGFPSLTQLRFNWMPKWSEWSGIVDGGFPRLRTLSICHAHSLRLDNIESFPFRSLITLELDKCCCITTIPASTSLRTLRIDRRSFDLRRSSTDGPRLNRLPSLECLTVICHDTTSILLQPQHLPSLKKLNLSCEKLQYCDGLSGLTSLSVLKPWGCPKLPIHSLIPQLQLQTLDVRCSPEPLIHGISSMEAMGKYRCGVPCSWSLDLGKATAHGTNAHNLFGEMSSQGEVSQEDLKISEAVPINSPMNKEEKMMDEALDQILEKLEQMKTKRRCNEKIDRILEKLDEIEANRRKSFEETTNIIKATTSIFNSASSSTPPTSPTPVLAKCSWACSNSASAYMTTSASHNIDVPTPTVAMGLQVSLNHGIGTTIVTPTKCLVNCFDNDTGVNHAILEESFASTTAAATMETVVSEDKACSIFINTTDLTKVMHSRCTTISLKDNIGTIQAEVAFPFPFHTLNMIAAPKEPMLVMAEKLDSIFCIKLVMPNGCLMKCLKSDKRLLAGLPKRKPWPLPWSGGVVRGGNVWHVPWSAFDSVWTSVCLIPPWPPPIRLKQCRSWKIGVAISLFAWKAQWDFVSYRSCTVVEISRMHELALLVLLTQDPSDDKKDDLLPASKNPFTSYMMAQYFEVIESRLISDISHLDGNNVQDTWDCKGILVILEDGTSKWRMQGIKPSASKNIISAWKNVFIPTIKSVLEGDKQFCIYKPNISTYLLCHVAMPTILGRLKTRGTIVKLVVKYEHCEEGILSWSGIFVITHYKEGQ >OGLUM11G13470.1 pep chromosome:ALNU02000000:11:16641743:16642112:-1 gene:OGLUM11G13470 transcript:OGLUM11G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCYRSAAPELFHDKSDVSSDSIPGASFSVSCHSGHPAHALLVTYQESKLAISIHIEWRWASSYLPRQCSPSSQVISVRLTGRPPCPTAMRRYRLLHRRSAALRS >OGLUM11G13480.1 pep chromosome:ALNU02000000:11:16642147:16648180:-1 gene:OGLUM11G13480 transcript:OGLUM11G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELFGCNVIGIIPAGGTFRDLYIYSCNGLHTIRTQPSLLNLKLSNCPKLGALGSMPKLDELSIQKCPNLTSVGPLPELTTLHTNDYLADEMLFSLLDHLPLLDNLSIWSNRMTDIHTIPALHNLIELRIWMCPGLTELPTLTSLSKLEIWDCPDLSEVGSFPSLTTLSLCNTPLKDEVLYNLLNDHPWLNCISIICATMTNLSLEPQRLSSLRKLRLSCANLQYCDGLSGLTFLEEIKIWGCPKLPIHSLLPRQLQSALDLRDAPDHGEATSSTSATATRSTLARKDSGITSAAVSSSTLRVTTREHCRPSSTLSSRGSAASHGYSAASASTIRGGARGSCSSL >OGLUM11G13490.1 pep chromosome:ALNU02000000:11:16643971:16645000:1 gene:OGLUM11G13490 transcript:OGLUM11G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSLDGGRTCHFCLEMGACYVDHLLKAGNHGVHGLRSQKMLVGHGRSTVLYSSKMKHLE >OGLUM11G13500.1 pep chromosome:ALNU02000000:11:16648200:16655842:-1 gene:OGLUM11G13500 transcript:OGLUM11G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKWAIDKLSSLLAPVRQTPVASSSSSSSSRQGLEDLRMLERTMHRIHATLVDAEEHWNIREESTKLRLKELKELAYGAEDVVEEYEYEVNRSRLEGHDRSTSNFYKSKRKCKRHEDNDEQCSEAGLVPVPNELAVRAKKLVQRFEEMKDYMKYFSISDQDGERRSRIVPDIQSTRDLGHMVAEQSIVGRESDKDTVIKMLMSGHGSNEASQLSVLAIVGMGGLGKTTLAQLVYNDLMVFQSFDVRVWVCVSEHFDPRSLTKKIIVSITKKNIELSELVDLQERLAHEIRRKKCLLVLDDVWNERWDCWETFCKPLSAARQCNILVTTRNVAVARLVQTMPHFAMDHLSPDDSWTLFEKTVTVQGNVIQGNLVDIAKKIVEKCDRLPLAIKTLGSMLRYETNERRWIHVLESEIWDLDKQHDEVLPALELSYKNMPMHLKQCFVSLCLFPKDYSLDETEVIRLWGLLGILQGDEWSNEDEFGSQYYDELVQRSFIQISFGYGIMHDLIHDLACHLSGNEFFRLEGDKSVTIPQNARFVSVIDCYASVQFSVASHSLWAIIVLGEGYSKVTGAEQLFSNCKNLRVLSTSAINLDDALPSYISGMKLLRHLEWKWDAPSGIYPLINLHTFPDIRICRCGRSFNLRELKNLNKIMGRLRINGLGNLSHVQDANEAQLMNKTHLQFLELDFSEVLCRHMPRKLDLNFTPEEVQYENLPYQDMRRPKYFTVPHNQILESLRPHKGLGKLTISGYNCQSYPSWLGDASFSKLTKINIYGTDKVTQQCVPTLGELPFLKKLRIERMSHVEHIGREFCTCIPGNKGFPSLKTLVFGDLPQWSEWSGLDDGDFPCLYTLVIRDCNRLSSFPWERFSSLHELELFGDLPQWSEWSGLDDG >OGLUM11G13510.1 pep chromosome:ALNU02000000:11:16655920:16664542:-1 gene:OGLUM11G13510 transcript:OGLUM11G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVCTRLVNSHMMLEDLHIESDTINSICLDPLKLPSLKNLDVRCPNLKSCNAFSGLTFLKMSNSTR >OGLUM11G13520.1 pep chromosome:ALNU02000000:11:16665166:16669240:-1 gene:OGLUM11G13520 transcript:OGLUM11G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFASMAVKWALDKLSSLMVPERIIPVAFSSSSSISQGMKDLRVLEKTMQRIHATLVDAEEHWNIHEETAKLRLKELKELAYGAQDVVEEYEYEVNRCRPEDPDRYACNGSKRKRHQVNGDHLSEVGLVPVSNELAAKARELIQRFDEMKVYYKYFSISDNDGERRTAPGIECVRPTSYFVVKESIVGRESDRKKVIEKLMFGEGSNVACHLSVLAIVGMGGLGKTTLARLVYNDQSMCQSFDVRAWVYVSDHFEPKSLMEKIAVSIEELSNELSSPKENSKELSELVDPRNKLVNKIKGKKIFLVLDDVWNERMDCWEALQDPMLAAQHCKILVTTRNLSVARLVQTMPHYTMNHLSPQESWTLFKRTVTTPENAIQGNLVDIAKKIVEKCDRLPLAIKTIGSMLRYETHESRWIDILESDLWDLDKAQSEVLPALKLSYKNMPVHLKQCFLALCLFPKGRLRDRSEVIWLWKLLDMLKDDERNDGDKSGNRYFDELVQRSFLQLFSGSCIMHDLIHDLACHLSGNEFFRLEGDKPVQIPENTRFMSIHNCDTSVQFSVTSHPLWAIIVFGVKNYSRVNNPEHFFLYCKNLRVLSLSYSNIGKALPRYISGLKLLRRLELPLDGDYLKLICNLGPTDRVDYLKELECAPNGIGNLINLHTLRDIRIRRCGCSFNLSELKNLNKLRELRIIGLGNLSHTEDANEVQLVSKKHLHLLELNFSDEKECQKEQCQQLLQQYEKVSHEQLELDFTFEGGFKKFRYQSVQQLEYVTVSHNEILERLRPHEGLINLIIEDYDCQSYPNWLGNASFSRLTVLVISARRKWVRQQRVPTLGELPALKSLKISSMYYLEHIGREFCSHAPGIKGFPSLTSLEFSYIPWWNEWTGVDYGDFPFMETLSLRTVYKLRALPLDRFPSLGTLTLDECDGIDTIPAGGTIKKLCIGGCYGLYTLPTQSSLLKLQLKDCPRLSVVSSMPELDTLEIFKCPKLTAVGFMPKLQTSKIQHCRNLITIDSMHD >OGLUM11G13530.1 pep chromosome:ALNU02000000:11:16679233:16680856:1 gene:OGLUM11G13530 transcript:OGLUM11G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETTTDQSGSNKQQQRRRHHFILVHGVCHGAWCWYRVATALSSAGHRVTALDMAACGARAGRADEVPSFERYSAPLLDAVADQDGEEKAVVVAHSFGGQSLALAMERHPEKIAVAVFVTATMPAAGKPMSFAFKQLSQGKDADFFMDCTIRTIGDPQNPDKTFLFGPEYLARRVYQLSPPEDLTLAMSMVRPSRRFLNDATMNGDVLMVGRYGAVRRVYVVAEDDEWKPAEIQRLMVSWNPGTEVRALLGADHMPMFSKGRELSELLVEIANR >OGLUM11G13540.1 pep chromosome:ALNU02000000:11:16687041:16689887:-1 gene:OGLUM11G13540 transcript:OGLUM11G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDNIASPIFVLAIVGMGGIGKTTLAQLVYNDSKMRESIDKHAWVRVSQPFDVTNITKSIISSLKKDRCDLSELAILQEILLRQIKGKKVFLVLDDVWNGRSDFWELLCIPMRSTKLCNIVVTTRSESVARLVQTMPDFYYLNCLSSYDSWLLFKQFAFPNQDSGTPANLVEIGRDIVRKCKGLPLAIKTVGSMLHCEANETAWRNVAESELWDLEQIRNEILPSLHLSYKHMPLYLKRCFAALSLFPKDYQLEDSLVVHLWEYLDLLQSDRNDIENKTGYLYFNELVQRSFLQEYDAFCYTMHDLFHDLACFLAGEDFFRLEGKILTEIPPNTRYMSLFINCRIDETLVAPISLRSFVIFGKTGFGDFMDVDTFLLNCKKLRNLSMVCKPSMAFPDFIGGLKLLRRLSVLPPLFGFKIIRCTTMPQLYNLHDLHLNGTHAVLGFGKLIKLQTLEVYVTRYGCNCNIRELRNLNEVRNLTIYGLDNVAHIEDASKAQLQNKRHLESLSLQFSNVILDCGHMLEPKPVAVSHEKVLHNLRPHHNLRKLHIYGYNSPIFPSWLGNASFLNMTELDLQCSECHKLPTLGELPSLRFLRLAKVKNLQHIGRELCSNVIGVKGFPSLTALSINFMPGWYKWSGVVDGDFSCLRSLTIFDAQKLMSIPLALFMSVITLCLWSCGNLHTFPASHNLIELRITECDGLTELPALPSLVELNIKECPNLCTIGSLPSLLELQIHKCPNLSVVGSLPSLTTINLKDPQLKDEALYSLLNVIDHPSLNCITIICETMADLNLEPQRLSSLKKLRLCCPNLQYCHGLSDLTFLEEIKIWGCPNLPTDGLLQRLQQSLDVQKNARVYDVAHAVCDVYSF >OGLUM11G13550.1 pep chromosome:ALNU02000000:11:16721426:16728012:1 gene:OGLUM11G13550 transcript:OGLUM11G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVGAMLTSAVLKMVGEQIGSAIGGRIKLQWDFNEDLKDMKMTLETIKAFLKDAERRSIGEASVRLWLRRLKNTMYDISDMIDGKIMFPNLAIGAKKKTAKEMKRMRRELEKITKQHRDFSFASENSSKIQVVSSDRKTSPKVEETTIIGRIQEKQKILDCLSDKILTQDFIILAIYDMGGIGKTTLAQLVFNDKKFKEFSSVWVYVFDLDKIESSIISQLSKREPNMTDLVMVPPNMNIIIVLDDLWEKDGFKLDSLKLKLKVGNGAKVIILVTTRDETIAMRFYNVEPYKLEPLTDDIDDREWLEHIGKEIARKCGGVALAAQSLGYILHSKSADEWESVRDNNIWNESTLEDTSSPHHMLASLKLSYLIMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKVYSSKKIGEIYVNELLGMSFLQYSESVAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNSESNYRYALLSDSSKPFPAKMRALRFVDCTKTGLHYDAFSSAKYLRVLDLSECFLQLLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSKLIYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSRLKDVSKFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNECITTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPKSNSSLILLPRFAVQALDDGSGSNLVLLQNVNRATTLEINKLENVVTVREAQRVRLKEKEMILELALCWTRDARRLLDDQILLGELEPPRNLSKFQLRGYNSVAFPDWLMNIAPHHFPSLSRIYLVDLPKCTCLPPLGQLPQLEILSLDGMNGITKIDGEFCGGAGAFPSLKNLYISNMESLEEWQTKYSCSEGGGVRELMSPMLKLLKIDHCPKLSIKPCLPNKLVDLKIESSDNVISSCPIGACASTSIKKINIII >OGLUM11G13550.2 pep chromosome:ALNU02000000:11:16721945:16728012:1 gene:OGLUM11G13550 transcript:OGLUM11G13550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIMFPNLAIGAKKKTAKEMKRMRRELEKITKQHRDFSFASENSSKIQVVSSDRKTSPKVEETTIIGRIQEKQKILDCLSDKILTQDFIILAIYDMGGIGKTTLAQLVFNDKKFKEFSSVWVYVFDLDKIESSIISQLSKREPNMTDLVMVPPNMNIIIVLDDLWEKDGFKLDSLKLKLKVGNGAKVIILVTTRDETIAMRFYNVEPYKLEPLTDDIDDREWLEHIGKEIARKCGGVALAAQSLGYILHSKSADEWESVRDNNIWNESTLEDTSSPHHMLASLKLSYLIMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKVYSSKKIGEIYVNELLGMSFLQYSESVAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNSESNYRYALLSDSSKPFPAKMRALRFVDCTKTGLHYDAFSSAKYLRVLDLSECFLQLLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSKLIYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSRLKDVSKFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNECITTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPKSNSSLILLPRFAVQALDDGSGSNLVLLQNVNRATTLEINKLENVVTVREAQRVRLKEKEMILELALCWTRDARRLLDDQILLGELEPPRNLSKFQLRGYNSVAFPDWLMNIAPHHFPSLSRIYLVDLPKCTCLPPLGQLPQLEILSLDGMNGITKIDGEFCGGAGAFPSLKNLYISNMESLEEWQTKYSCSEGGGVRELMSPMLKLLKIDHCPKLSIKPCLPNKLVDLKIESSDNVISSCPIGACASTSIKKINIII >OGLUM11G13550.3 pep chromosome:ALNU02000000:11:16721945:16728012:1 gene:OGLUM11G13550 transcript:OGLUM11G13550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKIMFPNLAIGAKKKTAKEMKRMRRELEKITKQHRDFSFASENSSKIQVVSSDRKTSPKVFDLDKIESSIISQLSKREPNMTDLVMVPPNMNIIIVLDDLWEKDGFKLDSLKLKLKVGNGAKVIILVTTRDETIAMRFYNVEPYKLEPLTDDIDDREWLEHIGKEIARKCGGVALAAQSLGYILHSKSADEWESVRDNNIWNESTLEDTSSPHHMLASLKLSYLIMKPCLKMCFGYCAIFPKGQRIVKDDLIHQWICLDFIETSKVYSSKKIGEIYVNELLGMSFLQYSESVAGVHQENVTLFTMHDLVHDLASSVMVDEILVSSKQDNNSESNYRYALLSDSSKPFPAKMRALRFVDCTKTGLHYDAFSSAKYLRVLDLSECFLQLLPDSIGQLRQLRYLSAPGLQDTMIPDCITKLSKLIYLNLHGSARLRSLPVSIGEMDSLMHLDLSGCSGIQRVPQSFGKLKLSYLDLSNCSRLKDVSKFLGNLTKLQYLNLSYCQYVEKLGYLGSLTELRYFLFSSSCSPGLSQTDVLGPSTKLEYLNLSTEFTDIKIKRLPEAMGCFIKLKYLNLSGWQKLKELPRSWGNLQNLMHLDLSECRMINGVPEALSRLSKLQYLNLSYCSSDCLENQSLLRDSEKKSPLRGLKEAVANLTELQNLYLSGCLDTLIYEEVEADVVCQNFLAYVCSLSNLEELDLSDNECITTLPESIGDLRKLHTLILRGCYHLSQLPKVLLKNDNLKQLNISGCHNLDKSTVPKSNSSLILLPRFAVQALDDGSGSNLVLLQNVNRATTLEINKLENVVTVREAQRVRLKEKEMILELALCWTRDARRLLDDQILLGELEPPRNLSKFQLRGYNSVAFPDWLMNIAPHHFPSLSRIYLVDLPKCTCLPPLGQLPQLEILSLDGMNGITKIDGEFCGGAGAFPSLKNLYISNMESLEEWQTKYSCSEGGGVRELMSPMLKLLKIDHCPKLSIKPCLPNKLVDLKIESSDNVISSCPIGACASTSIKKINIII >OGLUM11G13560.1 pep chromosome:ALNU02000000:11:16750973:16751614:-1 gene:OGLUM11G13560 transcript:OGLUM11G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASLAVRRALDTLTSFLPASLVASSSSGAARAREEQDLEDLRMLERTMRRIHATLNDAEQLWDIREETTKLQLKELKELAYDMEDVVDEYEYEVNRCKVEALELSASAASNKRKHQTWACP >OGLUM11G13570.1 pep chromosome:ALNU02000000:11:16754658:16757207:1 gene:OGLUM11G13570 transcript:OGLUM11G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSETTTDQSGSNKQQQRRRHHFILVHGVCHGAWCWYRVATALSSAGHRVTALDMAACGARAGRADEVPSFERYSAPLLDAVADQDGEEKAVVVAHSFGGQSLALAMERHPEKIAVAVFVTATMPAAGKPMSFAFKQLSQGKGPDFFMDCKIGTIGDPQNPDNTFLFEPEYLARRVYQLSPPEKPAEIQRLMVSWNPGTEVRVLQGADHMPMFSKARELSELLMEIANKYS >OGLUM11G13580.1 pep chromosome:ALNU02000000:11:16763396:16768244:-1 gene:OGLUM11G13580 transcript:OGLUM11G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELFASMSVKWALDKLSKPLQVATAPSTTSEGLEERRIHATLHDAEEHWIIREESAKLRLMELKELAYDAEDVVDEYEYEANRVSMEEFERSLNTCDGKRKHHMVNDEHYRIKPGVVPVPSELAVRARKVVQSPEKAAALHVVFPIVGMGGVGKTTLAQLVYNDPRVNQSFDLCAWVCVSEYFDTKNITQKIISSLTKESSSLSELADLQEVLGNKLRGKSFFLVLDDVWTERRDTWELLCIPLFASSVCRIVVTTRNEAVAKLVQTWLLFQQAAFSVDQQDGTPADLDKIGKNTIVKCRGLPLAIKTLGSMLRYEADEVRWRYVLESDIWDLEPPQKEILPALELSYRHLPIHLKRCFVALSLFPKGYLIDRSGVFGLWKSLDIIQCDGHNSAARLYFNEIVDRSFLQVHDIQGLHIMHDLIHDLACFLAADEFFRLEADDGCIETPQNVRYLSIHRISGEISVASHSLRAIIVLNSASGYIENLEALLLGCEKLRALVFYEDEFFLSRAFEGFMGRAKLLRHLHCEYLLDNRYDISDYRVELHGIHSLINLHTLPPLYIGRNICNMGELKSLNKIKELTVRGLADLDRKEDAKEAWLHNKKHLQSLHLDFSRAIDLDVDDSPDYSENTEFCSHGHGFKGFPALKKLKFVQMPEWSEWSGVDDGAFPRLHRLSIRCADNILSTTPSVSQCLPLLRKIKFSGDYLQYCHGLSGFTSLKELNITECPKLLQHSCIFWMM >OGLUM11G13590.1 pep chromosome:ALNU02000000:11:16771425:16776339:1 gene:OGLUM11G13590 transcript:OGLUM11G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASMAIEGALDSLSSLLQLQANPTPPPATTAAVPPVETSHGSVKGGLEDLRALEGTMRRIHATLRDAEQHWDTREKTAKLRLEEIKELTYDAEEVVDEYQYEVTRRKVEATAAQGGGGGDGASSSSSSSRKRKIHKVHDEDYLIEAGIVPVPRELTVRARKVIQRFGEIEGYYGSFTLSENDGDRRIIPDINSLRQTSSFVFAPIIVGRDQDKENVIRKMMTLEGSRIGCCMSVLAIVGMGGLGKTTLAQLVYNDPKVRQAFDLFGWVCVSEHFDVKNITRKILSSIAKKNCDYIQSSELQGQLENLIKDKRVFLVLDDVWNERSDYWKSLCVPIFASTRCDIIVTTRNEAVARLVQTIPFYDLDYLNPDDSWLLFKQTAFVEQDNVSPANLVEIGQRIAKKCKGLPLALKTLGSVLRFETNVMKWRDVLQSELWDLERSQNEVLPALELSYKHMPMHLKLCFVSLSLYPKDTYFEESIVVWLWKSLHLLQCDGTDNSNEIGGLYFTQLVQRSLIQQVDTHGRMAIHDLVHDLACFLAGEEFFRLEEDGYVEIPQGARYMSIVPHPQCKRSTQISNASQSLRVIILIRRINIENPEALFMNCKKFRIIQVIDDSFANVLLDFMGDMKLLRHFRLLRSCNEVKLVISDSMSQQFNLQTLNCEGYSLHGIGRLANLQNLPNIHLWKCGCFLRELRNMNKLRKLHIYGLCNVSSIQDVNEAHLHSKKDLEILELDFKRGGFCEVHKEEADVNQAISTVSGGSILESLRPHDQSLKVLRMKNLNEADYPSWLGSASFSKLTKLQLENCQSQHLPTLGELPSLKSIDIRQMEYVENIGREICSLDPSVKGFRSLAHLRFQDMNRFSEWSEVHDGEFSCLETLLIWSASELSSLPSVPFSCLRSFKLYDCKKLVTFPASANLQILSISSCEKLQELPALPSLRSLKLSGCESLVAVGHFPSLTVLHMSTKFEEEVLHKLMNLHLMLEELLISSYTLKTINLEPHSLPLLRELELECPNLQNCDALASLSSLKILCVNRCSPQLRVPNSLQSQLEKLYSPGSL >OGLUM11G13600.1 pep chromosome:ALNU02000000:11:16808905:16810700:1 gene:OGLUM11G13600 transcript:OGLUM11G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLASLAIRKALEKLSSYLAASLSASSSSSTSSGRARQEKELEDLRMLERTMRRIHATLHDAEQHWNIREESTKLRLKELKDLAYRAEDVVDAYELNRQKVEALKAFAGAASHKRKYQQESEGLFCDSHTVAITDELAIKTRKLIERFDEIKYYSDNFTLSENDGEIRLTPGISGLQTSSVVFENSIVGREKDKNNIVEKLLSKRGDNLASPVSVMAIVGMEGLGKTTVAQLVYNHPEVCKYFDVHAWVCVSEQFDINNITQSIIVAVTKEKCDLSELPNLQERLVEEIKQKKVLLVLDDVWNERRDCWDLLCMPMNTTKLCMIVVTTRSEKVAKLVQTMPNFYSLESLSFDESWLLFRQVALIVNQENAPPNLVEIGKAIVKKCKGLPLAIKRIGSMLRYETDEQSWLEVLENVLWDMDQPWNEVSPSLELSYRHMPVYLK >OGLUM11G13610.1 pep chromosome:ALNU02000000:11:16817069:16819686:1 gene:OGLUM11G13610 transcript:OGLUM11G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCMDRSSWYRRWSYTSCRSRVSAASRPRCPICVACRVIPYSPTASLPCSVASIWAVCHIAIYYRGIGAAGNTDKQEGGECAGVLFAACAHRCMCLFVSSRTAPASCSEDDLWLDLLDGEMDAQLKVLGVLVTLGFDQFPPFPPAVQGSYLIPPPNQAEVTASIHTPSLLSEPTLAEDLITQAESSVEHHCNSCSVDCSRKRYHCRTQVSSL >OGLUM11G13630.1 pep chromosome:ALNU02000000:11:16842242:16852838:1 gene:OGLUM11G13630 transcript:OGLUM11G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLDIHLGKKNIGMSMDYGSLLDNVFREKVTMSTTTAIRRGLTENQDKVLTRQPTKDKGTARQRPHKGRRHPNCCRCQPGRTRLGLHLSIDS >OGLUM11G13640.1 pep chromosome:ALNU02000000:11:16855617:16859459:-1 gene:OGLUM11G13640 transcript:OGLUM11G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNISSLLPPAAGSSQGLDALEELRKLERTMRRIHATLRDAEQRWNIREESAKLRLEELKELAYDAEDVVEEYEYEVNRRKVEALERLAAVHGGGGGGKRKREEVHEEHFSTESGIVPVPSELADRVKTVIQRFSEIKDYCDSFSLSDNDGDRRIVPDINAMRQTSSFVFAPRILGREEDMENVITKLLSREGNRVGGCMFVLAIVGMGGLGKTTLAQLVYNDPRVLQSFDLCAWVYVSECFDVHNLTRKIISSLTQSNCDHIQSGDLQGALANEIKDKRVFLVLDDVWNERIDYWELLITPMFASRCCDIIVTTRNERVARLVQTTQIYNLNSLSPDESWSLFKQTTFIEQENISPANLVEIARMVSEKCKGLPLVIKTVGSILRFETDEIKWRDVLQSELWDLEQTQNEVLPVLELSYKHMPIDLKRCFVALSLYPKYYYLDENMVVWLWKLLGLLQGGEIYNRDEIGKFYFNELVQRSLLQRSIHGQKVMHDLVHDLACFLAGEEFFRLEEDKQTEVPRGARYMSIMPRPLCRKRIQISNASQSLRAIIVIMGDIDIVNPEVLFTHCKKLRIIYVVQGSVQKALLDFIGGMKLLRHLTLSGYECAAHLSRPNSMSQLFNLQTLNMQAYTLLKIGRLVNLQTLPEIHLMKCGCFVDIRELRNMNKIRELCIRGLRNVPSIIHADEAHLQSKRNLEVLELDFDEFFLHKDFVELRSCEHTEHRDANEAAVTLSRGQLLEKLRPHCQSLKVLRIQNLNHGNYPSWLGSASFSKLTELKLQACQSQHVPTLGELPSLKSLDIRQMVHVEHIGHEFCSLDRRFKGFPALRDLSFHGMNRLSEWSGVEDGEFPRLEALLFWDAFELRSLPLVPFLSLREFTLIDCRNLVTFPASATLQELNISICKKLKELPSLPSLQSLQLFNCPSLVAFGHFPSLTILYLADPFKEEILHRLVNSHLRMEELTISSNTLKSICLEPQSLPSLRSLELRCPNLQCCDTLASISSLKKLNISASPRLHVPNSLRSQLEELYTAGSF >OGLUM11G13650.1 pep chromosome:ALNU02000000:11:16876225:16877336:1 gene:OGLUM11G13650 transcript:OGLUM11G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRRPPAERRRGRHHHVKEATEKEMVIAVVSNDKEGMVIQGMEHRATLLDDEKTILKQQLAAGTARLEELRRKNRELEIKLIICDLMSGRRNNLDDLTMDILQDVQMAIVKYRLEIRKRIRELRSMDSSKPT >OGLUM11G13660.1 pep chromosome:ALNU02000000:11:16888837:16889174:1 gene:OGLUM11G13660 transcript:OGLUM11G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEILIRGWTAEPRVSARQAAAMGQPYRELGECCLVDRQRQSEAEGVGRWKLTREKTGLLYSRWGGAG >OGLUM11G13670.1 pep chromosome:ALNU02000000:11:16891649:16891927:1 gene:OGLUM11G13670 transcript:OGLUM11G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADEKAILEKKIAATRVKLEKLQRTTREMEIKLVMWDLMSGRRKNLDDLFHDFVDDLQKAIKKRLQEAWDRILGIRSKECSKAHLRGSMHV >OGLUM11G13680.1 pep chromosome:ALNU02000000:11:16907135:16911147:1 gene:OGLUM11G13680 transcript:OGLUM11G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMEVMIALLPKLETLLTREYKLQRGLADKIQSLKSELEYMHGVLSEPQMTDNTVKIWTKKVRELSYDIEDVIDKFTVHVEVNSWEHGIKGLFDRCLGLFTRATIRRQIATDIEKIHKLVTEEAQRHERYRSTNANQQHVVQDIDPRVPGMYEHSRNLVAISVRKEELSSLLMEQEGTSKRQLKLISIWGVGGLGKTTLAKVTYDQLRHKFDCGAFVSVSHKPNRKRILAHILREVSEGSYPSNETWEAQERINKIRQALSDKRYLIIIDDIWDTTDWTYIQSAFIDLENNCGSRIITTTRVHGVAKSCCSDIDGTIYALKPLSRDDSKQLFYKRVFGSEGSCHPELKETSEKILKKCGGVPLAIIHIASLLANKPRNISEWNNVLNSIGSGLEEGMEDMRQILSISYNALPPELKPCLLYLSVFPEDYSIPIDQLVRRWIAEGFVHGKHDSDLHKIGHSYLNEFIKRSMIQLEATYASIRVHDMILDLITSLSTKENFVTTFDGHQQHADHSKKVRRLSLQNNEQEHNLPHATLNSPHLRSVIVFPGATNLMPPLSNLPVLRVLDVENCHDLENHHIAGVGKLFHLRYLGLRDTNITKLPRQLGNLHCLHTLDLSQTSITELPSTVHLKQLVRLYIEDSVKLPKGIGKLNLLRELSSVGVSSSPNIVTELGNLTELRIIHITLDSGTGTWCKSYEKPLLDSMFKLQKIQKLHIRSFGVPTDFIADFGWFPQHLNDFLGSIPRLPCWMNSSLSNLHQINMSLNILRQEDLQNLGLIPFLYVLHLNIGKIESTEERLVIGTDSSQFQCLYHLSFDSLYAMGLMFVQGALPNLGSLNIMLRARETKDLYGDFNIGLENLCSVREVSVKIGCTGCRRCEVDSAEADIRRAIVNNPNNPTFDITRCFEYELDEVQHLESEETIEEQEQTMPERVGPWGGEGKRNHDIAVAPWRLVSVKVTSGQVVDGIGFSYLDKHGKQYTTPLWGGHGGNVHMIHLAPSEFVTEVSGTCGPFFRFPNVVTSLQLVTNLQSHGPFGEPKGTKFRTRVKQNGSIVGFFGQSTIYLDAIGVYVRP >OGLUM11G13690.1 pep chromosome:ALNU02000000:11:16928989:16929312:-1 gene:OGLUM11G13690 transcript:OGLUM11G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLFASSAVKWAIDNLSSLLPAAAAAGSSRGLDALEELRTLERTMRRIHATLRDAEQRWNIREESAAKQELAYDAEDVVEEYEYEVNRRKVEALGGGGETQARGGK >OGLUM11G13700.1 pep chromosome:ALNU02000000:11:16957483:16957740:1 gene:OGLUM11G13700 transcript:OGLUM11G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDEKTILEQQIAAATARLEELRRKNRELEIKLIVCDLMSGRRNNVDDLTVDILQDVQMAIVKYRLGIRKRIRELRSMDSSKTT >OGLUM11G13710.1 pep chromosome:ALNU02000000:11:16960845:16961830:1 gene:OGLUM11G13710 transcript:OGLUM11G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVDEKAILEKKIAAARVKMEKLQRTTREMEIKLVIWDLMSGHRKNLDDLSLDFVDDLQKAIKKRIQETTSVEPGDHGIEMSDGPRVSAFQVAAMGQPYKELGKGYLVDSQHGMKSMEMHSSRWNLTREERGLLYGGWVRPDDGPETRNGVGE >OGLUM11G13720.1 pep chromosome:ALNU02000000:11:16970958:16972219:1 gene:OGLUM11G13720 transcript:OGLUM11G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDLWEMVHNKNMPFVAAATSPPLDPTGREAAAAAGGGMRRQATDPRWLRQWCPPRWELLDSSRWHTSNERTSA >OGLUM11G13730.1 pep chromosome:ALNU02000000:11:16972809:16973192:-1 gene:OGLUM11G13730 transcript:OGLUM11G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLQAELVRCSRQPGAAVGRVCAAHDGRRVACDSMVRPAVPARVCDGCSGGGGGHGSRSERCLVCGAGEGGAAAVADAYFCRSCVQMEKDRDGCPAVVNAGTARRDDAAFFFSARSKRGGFRSTMA >OGLUM11G13740.1 pep chromosome:ALNU02000000:11:16975221:16975613:-1 gene:OGLUM11G13740 transcript:OGLUM11G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKPTDAARSAAVAQREGEGDSSVAVDKEKRRGGEPPSKFDVVNGEEENGGGKARHGSGMGGGEWGGGEMGRIMAQWWMGRKGESQHCGRQKKRQRFGGERRGGNGEKSWISFGGQWGIEGREREWGR >OGLUM11G13750.1 pep chromosome:ALNU02000000:11:16976226:16977848:-1 gene:OGLUM11G13750 transcript:OGLUM11G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMSGGNVALAIIFLCFTCSNNVFLLRSSSASSPIDDFLRCLSGKIPAEQVFTQSSSGFMAELTSSVQNPRFVTNATVRPACIVAASDASHVQAAVRCGRRSGVRLRVRSGGHDYEGLSYRAVRAETFAVLDLAGLRAVRVRAGDATAWVDSGATLGELYYAVGTANPGFAFPGGACSTVGVGGYLSGGGIGLMMRKFGIGADNVLDAMIVNANGELLDRGRMGEDLFWAIRGGGGESFGVVVSWRLKLSMVPPTVAVFTIAKTAGDGGGGDAAALLAKWETLILQPFLPDLTIRVVLQGRTALFQCLYLGSGGCARLAATMRAYFPELGMTASDCHDLTWLRAMAFISLGAADAPPEGMLRRTNNLGTYVKSKSDYVRRPMGAAAWSALFADHLASNNAGVLILEPHGGVVGAVIPDMATPYPHRAGVLYNIQYGVFWWGDAEGESSAAARRWLDALYAAMEAAVSGNPREAFVNYRDLDIGENAVVGGVTEYESARRWGERYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLLQPY >OGLUM11G13760.1 pep chromosome:ALNU02000000:11:16977912:16980734:1 gene:OGLUM11G13760 transcript:OGLUM11G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDEDAGSSYKLTIDTAGGVIIVVVSEMEVGPGCTCIVIIVERLVSEPADRATLRRRRAPCLRTGRPGCACVIIVEPLVSEPAGQAVASSSSSSASSQNRRAGLRLRHRRRAPRLGAGGPGCAASAGGPGCTCIIVVDPLVSEPAGRAVPASSSSAPPARSPATAPMPIATLPTTTSPSPTLAAAATDLLPPCRSSR >OGLUM11G13770.1 pep chromosome:ALNU02000000:11:16981703:16990918:1 gene:OGLUM11G13770 transcript:OGLUM11G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDHWLAAVARTNESEGKSGRAHAQLARQAIELYDHQGASRSQPMAAATAAESKNDSGGGGKKEKRRSSISRSITCADRSAEPRRARWRAGGEAAGAGTAAAMVVEAACASSRSLMGSPEYHGDSAAEAAVSASSSSFNSINFIGN >OGLUM11G13780.1 pep chromosome:ALNU02000000:11:16987080:16987337:-1 gene:OGLUM11G13780 transcript:OGLUM11G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGEKAILEQKIAAATARMNELRRTNREMEVKLVIYDVIAGRRKNLDDLSPNFIDDLQKEVAKRREEVQKRMQELCSMDSSKPT >OGLUM11G13790.1 pep chromosome:ALNU02000000:11:16990932:16991711:1 gene:OGLUM11G13790 transcript:OGLUM11G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSSPSVLSSLLSSIGGSFRAMQIRNLSSCYLHCHPVLDPRTLAAAAATVFSYSDCDEVFVKPDSLDSISIDDTSRNIVEIIFQSSWLKKNAGKVALGLGAAAPARPV >OGLUM11G13800.1 pep chromosome:ALNU02000000:11:16991754:16996235:-1 gene:OGLUM11G13800 transcript:OGLUM11G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGSGSLLRRPTAAAGGAGSMLPGLSRSMTMGGIGVGGGGGGERTVRRLRLSKALTVPESTTVLEACRRMAARRADAALLTDSNALLCGILTDKACTPHEQSIDRSINLQDIATRVIARELKIDETPAWKVMTRHPVFVPSETLAVEALHKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARIERASDKGKAAAIASAVDAAAGNDPTASSMVEAFKEQMLRPSLSTITTAESTVVIVSPGDSVLTATQKMVEVHASSAVVAVGNKAQGILTSRDILMRMIAKNLPADSTPVEKVMTLDPECATVDMPILDALRTMQERKFLHLPVMDRDGSIVSILDVIDITHAAFSIVEGNGDGAMNDDAAISMVQRFWDSAMALGPLDDEIESQSQISSSDKPNTFFDFLAEVQSLTPLITCILQRLGPDLDRDRLPQILYEDEDRDKVVLASDDDLTAAVDHARLAGWKACTNNTADRSLNGLKLYLDYSGSSGVRRKSVVPSVGVGGGGRSSGGSTAVMDLSSRDAWAAAYSGVAAGAALVTGLGVMAYLRRAS >OGLUM11G13810.1 pep chromosome:ALNU02000000:11:17002136:17002345:1 gene:OGLUM11G13810 transcript:OGLUM11G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVFIGLVLFILLSPGLLFQIPGKGRIVEFGNFQTSGLSILVHSIIYFALIAIFLLAVNVHMYLG >OGLUM11G13820.1 pep chromosome:ALNU02000000:11:17007312:17017123:-1 gene:OGLUM11G13820 transcript:OGLUM11G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAADDAGPTMLSFAGPSSSSSSPDAAAAAAAAAAALHDFSVRARPAAXVLGRRVPVGPQPVCEAHAKTPVVVVAGQEQRFCQQCSRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADAIMRPLDRHLPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTARSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >OGLUM11G13820.2 pep chromosome:ALNU02000000:11:17007312:17017123:-1 gene:OGLUM11G13820 transcript:OGLUM11G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAADDAGPTMLSFAGPSSSSSSPDAAAAAAAAAAALHDFSVRARPAAXVLGRRVPVGPQPVPRLPPPPQGLRGPRQDAGGRRRRPGAALLPAMQPLSLFDSAPTRIRLKKRFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADAIMRPLDRHLPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTARSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >OGLUM11G13820.3 pep chromosome:ALNU02000000:11:17007312:17017123:-1 gene:OGLUM11G13820 transcript:OGLUM11G13820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEWAAAATKAASWGMAVAAADDAGPTMLSFAGPSSSSSSPDAAAAAAAAAAALHDFSVRARPAAXVLGRRVPVGPQPVPRLPPPPQGLRGPRQDAGGRRRRPGAALLPAMQPYRFSVHVPNMLRAIFHNLAEFDDGKKSCRKRLDGHNRRRRKPQHDALNPRSFLPYHQANQFSVYPQTFPIADQNADAIMRPLDRHLPFSISFSGTFREPKQFPFMQDGGSGLGAARHDLLRPFSSPEDGANITTARSACNGVPHGLDPECALSLLSSSLHPSPAAGISSATAPPQFAPSSFSRIAASSQAVTTAFASDGGSVAGDHVLVPAVTYEDPSQAMPFSWQV >OGLUM11G13830.1 pep chromosome:ALNU02000000:11:17037643:17041948:1 gene:OGLUM11G13830 transcript:OGLUM11G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVATTNDGRCIRARDSADTLHGWRCGGWGGSGVVDTKKWTNKLPLGSPFTPSHFSEEQELNSSIYAAAFRRPLICHEQLLLSLCDGSVQVCGEFDRFLPQKVDRKKKNCHAMQLLRSLCDGSAQVCGEFDRFLPQKEKELPCNAGHARAEGGRRRAQLGAMRRRARLGAECLAVTPCSSTSPSIGWFLMASSSGRGGSTVSAVAGGGGRRQRWLARRLRMRKQRHLDGLTAQVAHLRCDNAHVATVLGLTTQGLLAVDAENAVLRTQAAELAARLASPLKKKIYLPLGAILSF >OGLUM11G13840.1 pep chromosome:ALNU02000000:11:17041718:17042620:-1 gene:OGLUM11G13840 transcript:OGLUM11G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQALWLMWRGEARGELGGLGAEDGILGVDSEEALGGEAKHGGDVRVVAAEVCHLCGEAIEVALLPHP >OGLUM11G13850.1 pep chromosome:ALNU02000000:11:17042695:17049059:1 gene:OGLUM11G13850 transcript:OGLUM11G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGGAEEELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHLVTEDDLNANFLIPHDESIYGGRSRAEVCCESLKDFNPMVRVAVEKGDPSLIDGEFLDKFDIIVVSCASIKTKLLINDNCRKRSKHIAFYAIECKDSCGEIFVDLQNHSYVQKVGGEPKPKELAYPSLQEAISVPWKNLPRKTTKLYFAMRVLENYESSVGRNACEASLCDLPAVLALRKDMCDKMSLSESQIPTALLERLLAAGKKQHPPVCAILGGILGQEVIKSISGKGDPIKNFFYYDAADGKGIAEDIPPLSSD >OGLUM11G13860.1 pep chromosome:ALNU02000000:11:17076060:17077004:1 gene:OGLUM11G13860 transcript:OGLUM11G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAQRRRSSSASPEFRFWPLDADPAASPSCADELFSGGVLLPLQPLPYPRRDADLSMSLAVADDDDDEDEEEEVQPGAAVASRAPPTAAVAASGGGGGGSKRWTDIFAKKQQQPAAEEKEKDQPTRRRRPAGGGGGSELNINIWPFSRSRSAGGGGVGSSKPRPPPRKASSAPCSRSNSRGEAAAVASSLPPPPRRWAASPGRAGGGVPVGRSSPVWQIRRPPSPAAKHAAADRRPPHHKDKPTGGAKKPHTTSATGGGGIRGINLSVNSCIGYRHQVSCRRADAGVARASAGGGGGGGLFGIKGFFSKKVH >OGLUM11G13870.1 pep chromosome:ALNU02000000:11:17098550:17102098:1 gene:OGLUM11G13870 transcript:OGLUM11G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc ion binding;nucleic acid binding;zinc ion binding [Source:Projected from Arabidopsis thaliana (AT5G14140) TAIR;Acc:AT5G14140] MQQKPAAEAMEEELKGEAVGPRRPGLGLWLAARRRLAPDDPFFAAGDMERELLAKQVALDLSEDERYQLERMEVASANALLCPISGCGAHLDCLENFEDHYRTRHTASCSVCWRVYPTSRLLSIHISEAHDSFFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLLDKHQFPKSFEFFKKARPSQRQRNKNQKQRQTVHKGDETSETLMDVDGKKSSRYMNSRYRPKQHDGKESKENEHSSCKEAKNNEMEVDKQVDELASAVSRLSTADSTPSSISFGHRRSRGLAFVPRSIRQNKQVSQTEPK >OGLUM11G13880.1 pep chromosome:ALNU02000000:11:17106228:17107777:1 gene:OGLUM11G13880 transcript:OGLUM11G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJB5] MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLVEMLLESLIYWIPIWYELKLLFIAWLALPNFRGAAFIYNRFVREQLRKHGLAGAGASVGKKDKSSPSSSPKDKEKTKSKFLSFVTPKKDHEAY >OGLUM11G13890.1 pep chromosome:ALNU02000000:11:17107868:17109631:1 gene:OGLUM11G13890 transcript:OGLUM11G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFIFGFVVQGVISIQATSIGRTVTNHYNYYYCKNKMLRKHQLAGVLGSRWGEAGEEEDRRRWPATGDAAAAVTMQEQVRRRSGQVMTIISEQFPPDVGAYIATFRVDSDVVSLNGMEVLQADAEVGRDGRVHIWKERSGTAKTAKRRGGWGNDVVRTAAVEQERQASQVLGEGARRHPGLWRRHRRDVGSSGLPCLAATTKLTSSPAFPRLRRLRVWLQLRRHGRPPLLLVSEHGEEADAAALLLLVCEHGEEAAAAALLPLPPPPPSSLPVGRCRRPARSPREKKSEREKRKGEKRGKKEEADLDRLICGAYVGPTLTQQPRRIKPRSKPPRDLLGPILYS >OGLUM11G13900.1 pep chromosome:ALNU02000000:11:17137700:17145295:1 gene:OGLUM11G13900 transcript:OGLUM11G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAFVNGFLSVFMHVGLTLVLLVYLPIAFACRALGRLLVRPFVSGEDLRGKVVLVTGASSGIGEHLVYEYAKKGACVALTARTEIALRAVAKTARDLGAPDVLVVPADITKVDDAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNVIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILTPGYVVSNLTMGKGIQKDGHVGIDEEARDINVGPLPVGKTETLAKVVVAAVRRGDYYVTWPGWYWPFHMVMCTAPELVDWFSRTFYVSKSSDHDGDALSKKILEAVGGKKFLYPKTIRSHAIAAS >OGLUM11G13910.1 pep chromosome:ALNU02000000:11:17145704:17147825:-1 gene:OGLUM11G13910 transcript:OGLUM11G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERAQCSGAAAEAGDRGGKGNMVDDERLIHSQLCSGGVLSANGKLQVAMQMDVGRKKEAARRRIPFLMDAFGGGWLEERDVPEDCCAITGHWWRHGGTEVPPPTWMVTAWMTRRSGGARGM >OGLUM11G13920.1 pep chromosome:ALNU02000000:11:17159154:17159526:-1 gene:OGLUM11G13920 transcript:OGLUM11G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTEQEASHNAALLAERFVEALNYYARGSVEHTRVERWLLGEEIMNIWHERLERWVRRLEGAGFGRIPLSYYALLQARRVAQGLGCDGFKVREEKGNFFLFFVSTWRGRRFD >OGLUM11G13930.1 pep chromosome:ALNU02000000:11:17167812:17171106:1 gene:OGLUM11G13930 transcript:OGLUM11G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWIEDKIREDRDQLGGILHIIEVRENNGSNDRDLIKRYSALSFQEFERMGELSDQALWSEVVRRAWSFAKKVDVRGTIYECKKDPATALLQAWSDNNLQCLVVGENEGGNGEGYVNNSTIGFI >OGLUM11G13940.1 pep chromosome:ALNU02000000:11:17181398:17182696:-1 gene:OGLUM11G13940 transcript:OGLUM11G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLHQELTTDHEPPVVELTTDAEYALEHPRLVRFFGTTVVLSLGLLHAACSLHWAHPLPRGVGTAAFGATALLCLAVGAVSLLLSWSAAARMTATKALPTRRVERRLLNRLCAAVHASSALLMLAPVGLLVLVADKAYAFAVVVPLGPVGASLFPVVRNGARFGFAWGAAQYEAHEHDLRRYFGLAAGVTVPTYVALLSHAVSDHFRPAGSAQRRHHDLGGVDAVECLLLYASTAGLALMLLATSPPALCFRHTRAVVVNHFLGVLADALLALVGLAVLVATAEIAGGLAALAPATNVIAACAIFAKEHEDEPDRRGQDDTKDHLCSSSSSRQPVAPLVVNSLAFGTVMLSYSALDGGRAFSWQEKACLVAVASLLVGNLSQMALQRRAVRTDSVVTTALSLFDKVNKVTLLIAALGCMAVLATHKITASH >OGLUM11G13950.1 pep chromosome:ALNU02000000:11:17192491:17192706:1 gene:OGLUM11G13950 transcript:OGLUM11G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDVTRLSRRTSVGATWGRPHGSLPAEGMERLSIQAMWAPLPEGERRSGACGIPFIYKRKTLPYEQGDDS >OGLUM11G13960.1 pep chromosome:ALNU02000000:11:17207109:17208377:-1 gene:OGLUM11G13960 transcript:OGLUM11G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSLLGKIFISRSNHAGLRAWGTTTVWLHAVPLLMNVLCAGIVADLPAAGTATSDDDDDHPRDIYVNVERMCTAAYVSTALMTLAASASLLLLDASLYYVLVVVTLLLLVLGFLAFLFWQQMNLDGNGSAAAGGERRRSHGCGHIKAAMYFSRHNATLTRLSAMSSDVASFVFAGLSGAIVGYVKASASTRPPAGAGHRMPEELMLYSGALGLATALITAVPPWVVEPRGRGLRDRFVNVHARVLAYAALLFLALACVLAAQEILHGWAVLIAFANLAFAAVCFRVDFLAAAVLQDDDDANGAGVDQEGGGGVTNFFVALYHPSALGMLMAAYSTYAGGKEAAHLSWLFKCFVWLLVGSIVTYLCRMVIKVEMRGASTLVRRKVELLWTRMSVILALLALAFCVIAVVVPGSRSEIVAVFV >OGLUM11G13970.1 pep chromosome:ALNU02000000:11:17266045:17267253:1 gene:OGLUM11G13970 transcript:OGLUM11G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPIKLLLVSAFLSLLLLIQGAAARSSPAAGKCAAASVEVEQANTGEKAGYDPVFEVTVRNRCACAVRGVRLRSEGFASSVAVDPRLFRRDRDAGDYLVGDGRRIEPSAAVTFRYAWDRAFRMAPAALLDDCS >OGLUM11G13980.1 pep chromosome:ALNU02000000:11:17274423:17274719:-1 gene:OGLUM11G13980 transcript:OGLUM11G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRHGHGGCRRNRTPFRLHRAPLPLSLSLTAPAAELLAVATSPAVAAVGFGERWATTTGRAAVSPDLGEESEVGGGDPVSTGCHGVGFLLFFISEY >OGLUM11G13990.1 pep chromosome:ALNU02000000:11:17286231:17286494:1 gene:OGLUM11G13990 transcript:OGLUM11G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGAGRQRGGGRMAAAVGEEDDDPFGVNSINGRPEVRFINRYVLLQTCVLMAVRGLAFMVLTWSTVVFSVALSPCYRRRTSGTSP >OGLUM11G14000.1 pep chromosome:ALNU02000000:11:17303556:17305055:1 gene:OGLUM11G14000 transcript:OGLUM11G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKFAAGLLDSDSEDDYITGARMLVSFIQKQKLPDDQHDHDKGMGRCQLLVQGLLVIERLTCDHNNCILICRDHCLLSHIRYAIRLRKKVTFPDMCPVWPEMLKGSLRAMAYLIAGVTEVELETLESIFNELPLGMIMGHKRFPDIMIPTIALYANLLYYRDTTFPSEHFVETMLPVFLSCTDIEQGEEITSVWTKVGVLAGASLAKLLLKSEDSVIRDDIMKGEQVFDGLTKLLTAPNTTIREIAAEILQHLYFHDYTHRLSLTEKVFENK >OGLUM11G14010.1 pep chromosome:ALNU02000000:11:17311216:17314736:-1 gene:OGLUM11G14010 transcript:OGLUM11G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGSGGDDDGERGRVQQRLCFCCSCCYHRFLSLASSPTLPLQLFKDGVLQTEMGDKDNPRLSKMRKEVVAGGKDTMEVDNEFFLVPVKISDHQWRWAVEEGIRVGGGSRLLVLRVVAAAAAARETAAAAARGGRGPAAAPARGGTCCDAGARICCGGGDGPWREGIRAGGGSRLLLLRVAAAAGGGCSCSYAWRRRWWEGGCGCLSASLNFFDMNLLLDLAISVYMLP >OGLUM11G14020.1 pep chromosome:ALNU02000000:11:17316772:17318163:-1 gene:OGLUM11G14020 transcript:OGLUM11G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRRFFGYDPYDYYYTSSPYDYAYPYYTPAPAATDRRRHASPRFFPAAAAAADEEYRYGEPVETVDVLRPSSSRRSRARPVSMSVPVQSAGSETKETKAKGCEMSPPRSVAKIGREAAAVRVQAAARGFLARRMVREVRAVEAEAEGVARKVAAEAEALRRDARGRVAVGEALMRLLLRLDAVHGAREYRRRVTKRVLALQDAVDALEHVPAAPPVEEEEEEARVVADDATPVLDLEEAHDAQDNMMTPSPAQDASQLADDAPAAVDAVDMEENEMAPGMPDAAEQGVEAEPKPEVEDAAPEAGAEMEVDGGQATGGEAETEKAVEQVVGGEMQEPDEEAEGEWEMVTAESSPLEAAAAADVEAVESSAAPAARVDEPAGQEEEKEKQGVEMKKVLEMVAALCERSAQQCAVIGALAERVDALERAVRRVEESDRRRRRNKKLKKEAKANSKSIRSCYSD >OGLUM11G14030.1 pep chromosome:ALNU02000000:11:17320448:17331638:1 gene:OGLUM11G14030 transcript:OGLUM11G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAELIPTLPLETGCPPFPLRQYGGYWLPEWVLPGLDAVHARFEARPSDVLLASFPKSGTTWLKALAFATLNRDEHPPNGEHHPLRRSGPHDCVRFLESAFAISGEVGGGGGGDVFAALPSPRLLATHIPYSLLPERITSAADNGCRIVYVCRDPKDAFVSMWLFTMSNKVKGVTTTTDEHHPAAAAAAPSIEQVFDLFCDGRSIVGPQWQHVREYWEESRRRPDKVLFLRYEEMLREPGRNVERLAEFMGRPFTDGEVAAGVVDAIVDLCSIDSLRNVPANRAGVTTDLAVRKESFFRRGVAGDWSNHMSPEMASRLDRVVEDALRGSGFTFGAAVGDSEPWALKMDLPTEATSQRITRSRRSSICSAADGLPTDRKRTPHKVLFLRYEEMTRETTSNLRKLAEFMGCPFSSEEEADGVPDAIVGLCSFDHLRSLEVNRNGVSDLNIKNDSYYRKGVAGDWANYLSPEMAAQLDRLCHRWTVTQHEPPQPMATSANVHGEDKAVVDMAKLVPSLPLETRCPPFPLRQYGGFWLSEGILPALEAIHCTPGSRRGHLTFPKSGTTWLKALAFSLLLEQITSDDSGCRIVCVCRDPKDVLVSGWLFSRKIMDNSAAEASGGNLDHQPPYTMDQALELFCEGRCITGPKGVAGDWRNHMSMEMAAMLDGVVEDELRGSGFTFDGVGDSTRTVIDVNAGN >OGLUM11G14040.1 pep chromosome:ALNU02000000:11:17342435:17373329:1 gene:OGLUM11G14040 transcript:OGLUM11G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJD1] MAAAPGEVSGGQGNGDGAAIVELTISSALAAAGDAMRAHGGFWLPETFLPGLEAARAQRFEPRPYSDVLLASFPKSGTTWLKALAFATLNRAAYPPSGEGHPLRRSSSRRRLLCPTTCLVHSLRRVYCLPTCRTPTCLRASRRIALAVGSSTFADFLHIPPCNAASATQLIIAVSAGVGAREPSSSSSSSSLSLPAACTYATLTRMRIEIGNNVNGRHRDLPIGLLIRPSRSGEAAPAGQLAGDGKMTGFVAPAGRPTPHHAETYTETEFRQRRRLWDKYKDSISEDYNQLRSESNATMWTSGAPSKEPESSTTNDRRELGTLRTMGGELDCWRHMRTGPNT >OGLUM11G14050.1 pep chromosome:ALNU02000000:11:17352612:17357169:-1 gene:OGLUM11G14050 transcript:OGLUM11G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPAGRPAPQIRSSCHHRQVDRPALPRRNVKAGSGAATKQIFERGKTQKGSAPQCYKAPTRSRIPEQKKLSIIDSFRYFLVRFPSPKRAQHMDPAREPARVLRGIKRIDQLAHGLMDPNKMSYEVSMEPTGWEVQDTHLVLMEGQSIAGVVLRTTADPGAGGGAATRTALAWELDALLLRLEAMVEGQCSGGGIVLALRLWDVIFDLFSALVPV >OGLUM11G14060.1 pep chromosome:ALNU02000000:11:17386774:17387333:-1 gene:OGLUM11G14060 transcript:OGLUM11G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMAGMEVVRWRGGGQRASRLRGGLGKTSPPVQCTVAAAAEGDDLVSSGGLRRRRPMGKTSSLAGGWGRRRLWPQRMGKLRWWLGKTWPLIQCAEAAAVECAVAAEGDDDARSELRIERVMRRNLRLAFAPGEGEIVRWRRLDGIDYI >OGLUM11G14070.1 pep chromosome:ALNU02000000:11:17408153:17411183:1 gene:OGLUM11G14070 transcript:OGLUM11G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVRENGAVVEVADGGKAAAAAKLTVKRGEPELVAPAEATPTGEKYYLSNLDQNIAVIVQTVYCYKPPAAASGGGENGDAVAVLRDALAKVLVHYHPLAGRLTISAEMKLAVELTGEGAVFVAADAGCDLADVGDLAKPDPAALGHLVYSVPGAKNILEMPPMTAQVTRFKCGGFALGLAMNHCMFDGLGAMEFVNSWAETARGAAELTVPPFLDRTVLRARDPPVISFEHHEFEEIPDVSDTAALYADQELLYRSFCFDPERLERVRALALAGAGAENGDDLVGGRCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFEPPLPRGYFGNGIVLTNAVATAGELLSSPPSRAAGLVQAAVRMVTDGYMRSAVDYFEATRARPSLASTLLITTWSRLAFHGADFGWGAPAMSGPVTLPEKEVILFLAHGEERKSINVLLGLPASAMDAFQELMDEI >OGLUM11G14080.1 pep chromosome:ALNU02000000:11:17412096:17418258:-1 gene:OGLUM11G14080 transcript:OGLUM11G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTMLNAADMAGGGAKLQQQQAPTSPTASVSESNIVASTASADPEANDALAGLQALRFDGGGGGGGDIDDVEIQSPDIALWESIFADQIGVSGAGADFLLSMSSAAASPRRDFMACSPKRDYMVTTSSPKRDYMVTSSPKRDYMVSSPKREYMVTSPRREMATSPRRATFSNLYTSSHGGGGGGGHHLHHQSYVHGGGMEGGGGGHGAQPQYGGLAGHGKGKAQSPLHKVYINNVGGGSGGGGVKSNTPSTLSCSSSYVVHGGESGLPSLPSMDPFLEEGYLGSYQLPEKAAGGVGGGGGGDINGSGASASVVTAPASSQLLPTLSECLAMPEPAAYRGGGDEVVAAAMAVAGELPVGAFVQPELYYGGGGEFGGEGMTPPLQHQMAADSSLHSMLGSVIQSEAEQEQDSGLQLVHLLLACADLVSKGDHPAALRHLHLLRRVASPLGDSMQRVASHFADALAARLSLLSSPTSASPSPRAAAAAAPYPFPPSPETLKVYQILYQACPYIKFAHFTANQAIFEAFHGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPPAAVRETGRHLASLAASLRVPFEFHAAAADRLERLRPAALHRRVGEALAVNAVNRLHRVPSSHLLPLLSMIRDQAPKIITLVEQEAAHNGPYFLGRFLEALHYYSAIFDSLDATFPAESTARMKVEQSLLAPEIRNVVACEGAERVARHERLERWRRLMEGRGFEAVPLSAAAVGQSQVLLGLYGAGDGYRLTEDSGCLLLGWQDRAIIAASAWRC >OGLUM11G14090.1 pep chromosome:ALNU02000000:11:17422686:17431533:-1 gene:OGLUM11G14090 transcript:OGLUM11G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPPLPSPLTGNGKARQSTQWQSQTFLASPPSMPRWRRGRSLRPLLRRGLLVAAVCAASLLLLVVLHLHGPELPSSSTARASPFRGELSEARDSDDGEAAAAAVEAGGGASTTGAACATVERMGEEAAGRGSPEAASLRVRELIRRHFLLHGASRVRELPAYEFCKQGFVLGKASEAGFGNEMYKILTAAALSVMLNRGLYPFGEYISYTNHSFTIGEVKHLWRKNRCARTYGRDLSMRVDNFEHPTETNVLCSDWNSWKDPIIWFDGTTDAVGIQFFLKNVHARMKTAASSLFGSPGSLRARPNTFGELMQVIISPSQTVEKAVQWALKGSSPDIVLHMRMMTNRPVRARKAAVNCIKRAIEICHLKGTPRVAVISDTPGFAKDIKQDISEFAEVIYFDHKKFSRSFDLEITGSEKALDFRSRDWGSAPRWAAFVDFFLASQARYAVVTGAHRRVGTTYAQLIAALAAANRFGRESSGTNFTFLSSVHSNLLVDGLSSQGGWGHIWNRYAGPLSCQHQQHQCALTPLLAPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGEVDERHLMSYCRSRKDHVKRYSVLPSYNSSMQL >OGLUM11G14100.1 pep chromosome:ALNU02000000:11:17433659:17434045:-1 gene:OGLUM11G14100 transcript:OGLUM11G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSPCDSAAGAPVSLRAHHRRWSSGPRATSAAVLNVAPACGVMPMQWQEFVRTVGVTYQEKLATARAAVSQCARSPKLNRPGDGEAVEGAHSELLARQEPMSPAVKLASGGWQSSVTSTPEPSSSR >OGLUM11G14110.1 pep chromosome:ALNU02000000:11:17436291:17436746:-1 gene:OGLUM11G14110 transcript:OGLUM11G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGTDHRKKEEAAAASAVEERKKKKRPAAELAAETSSEEADSEAAAGETTVMTTMLTATTYMMPQEQIDAVLSWEIPDGDVQPANMERIDGLSMPEPLKQMLRAARLGVAACTNLIYARRREMQRYVRKQLELRGYVEMDDQRQMIFPN >OGLUM11G14120.1 pep chromosome:ALNU02000000:11:17444540:17445341:1 gene:OGLUM11G14120 transcript:OGLUM11G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLPFIPTGDAAPSPLSPPASQLPIPLPLPALSLPPPVGGGLFPPRRGAAAVPLVAISGGLAARRLGIWLLSLPLNICPVQRWVSDFSAQRLCGCGSATQRIPGAVAGYML >OGLUM11G14130.1 pep chromosome:ALNU02000000:11:17484728:17485036:-1 gene:OGLUM11G14130 transcript:OGLUM11G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDAVVKVEKRPSTIYRMGQEQINGILSWDLPATNYKPVFVDDDPSYSDEKRERYHRLVLRGTGAKNKLLYKMRELQDYVKDHLVLYGYVDIDEKMNYPS >OGLUM11G14140.1 pep chromosome:ALNU02000000:11:17489827:17491440:1 gene:OGLUM11G14140 transcript:OGLUM11G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRLLYSPTRLFPALFPVQREANASPLPSDMMVAASTGSGAASSLSPSQHQACVVPDTDAKDA >OGLUM11G14150.1 pep chromosome:ALNU02000000:11:17491921:17492229:-1 gene:OGLUM11G14150 transcript:OGLUM11G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGDAVVKAEKRPSTIYRMGQEQIDGILSWDLPATDYEPVFVGDDPSYSDEKRERYRRLVLRGNDAKNKLLHKMRELQDYVKNQLALHGYVDIDEKMHYPS >OGLUM11G14160.1 pep chromosome:ALNU02000000:11:17493969:17496185:1 gene:OGLUM11G14160 transcript:OGLUM11G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRAARSGQPPSWSPLHSSHGLPARDLVGGNYGEGGARSYCGAQPLPLVHRPRSAVVAAAARCHHTSGNSSTPSPHERQQQHAIPTRAAAAAAATAARSPGATSATSSSVPRSRLAAVTLGTGCDGEVDSVREEDGNNDGSDMFAAVETLHYEVPANLLDHSESINAKNQELCETYEIIAALRRECYNYKRDLLVVDMNGFDKQMEAMYDEPIEFFRKSGATLRQAIRDAGGFEFEEPDAFMIKV >OGLUM11G14170.1 pep chromosome:ALNU02000000:11:17496897:17497307:-1 gene:OGLUM11G14170 transcript:OGLUM11G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAVPVAADMGGSAKRGSSFRGLARKAGEAAAPVESEEAAREEGKKKKKTTTTTSTVYRMSQEQIDGILSWDLPATDYEPRGFSDETMEMCGHLIIKGFEGRNRLLRKRRELQHYVREQLELHGVVDIDDRMQYM >OGLUM11G14180.1 pep chromosome:ALNU02000000:11:17501918:17506029:-1 gene:OGLUM11G14180 transcript:OGLUM11G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLGETKSSARRFPIGPNIINQEQLKPLITQLDSVTDLPFPDIGSIQDWVRATLGASANGAVCSSQNSEGERRYSSIPMPFLGETKKRQEEEAKRRIQEEIIGCIYDAQVWSKGQFGAKSKRGDGGESGDDDGIELEALQPIGIFLKVFNTSTKFYP >OGLUM11G14190.1 pep chromosome:ALNU02000000:11:17526934:17529119:-1 gene:OGLUM11G14190 transcript:OGLUM11G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJE6] MAGMSLQHPWAFAFGLLGNIISFMTYLAPLPTFYRIYKSKSTQGFQSVPYVVALFSAMLWIYYALLKSDECLLITINSAGCVIETIYIAVYLVYAPKKAKMFTAKLLLLVNVGVFGLILLLTLLLSAGDRRIVVLGWVCVGFSVSVFVAPLSIIRLVVRTKSVEFMPFSLSFSLTISAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYAMYRNSTPKAVLTKEVEAATATDDDHSAAVKEHVVNIAKLSAVDVVVKTREVHPVDVESPPAEAQSVATGEAPPQQDDKAAAVAVSGAGAGEKKEGQEQV >OGLUM11G14200.1 pep chromosome:ALNU02000000:11:17538322:17540125:1 gene:OGLUM11G14200 transcript:OGLUM11G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTAIGPVTGRSTLLPSSGYGGAVEKVVEAQGEVKAASVMAAATTTTSCGDSATATATTSYNDNVTGEWELARADHIRCACENGLPGVASPRFIFL >OGLUM11G14220.1 pep chromosome:ALNU02000000:11:17582035:17587768:1 gene:OGLUM11G14220 transcript:OGLUM11G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGVEMKSLSSGWSDMPARRAMPATKELGVKATTTAVTGGLDNGGSTLVRSGDEAEGRRGDHDTGSEDHGHGGAVWSQEWQIRPRTPYRGCGALGSATTTIAVAGSQATFALGGGRGAAAGGTMECMKLKLDKELGGIGQPTAPEEGEIEDDDDGVREIIYS >OGLUM11G14230.1 pep chromosome:ALNU02000000:11:17589943:17595969:1 gene:OGLUM11G14230 transcript:OGLUM11G14230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGGGTWGRAEEARWCEEEGFLHERGREGGEQLRFRPGVGFGQGNGGRFRPLFGHKLPFGHKLREAGGRARRDGLASRTGRYFGAPVPRSSNQQVGGNMFKRRWDEPALMKEGGSSRGIGEMTQTKEEAKGGGESKKPGQIKVGDVVVPVNSEVKKGKNCVSEFDDDEDDLLEFDEESRVIRDKKGDNQKGGARVKVCSRCTQKGHGVADCKVDVYCDICDCSVHVYHKCPVLKLPKPVVQGGLGFQHIPHQPLQRNKKTTKKALVHVVGGALSVERLVTLLHKLCPTKWKWEPVPHGKDAFVVLFPSKGELQWAINFGGADVKEGGKSGEDNEGREKGPKMSKVDDMVIDDNHDRSEGSKEAQEGSDLEKEMDFSMMAENILDKIMVGLVVTQT >OGLUM11G14240.1 pep chromosome:ALNU02000000:11:17636165:17653002:1 gene:OGLUM11G14240 transcript:OGLUM11G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRICPRFKINGRTMAGPGVCINLLNGTTMHLSVGCVFRPTEGELVVNYLYRRAMQEPLPCDFITDVDIQCHNPWDIVPGEKKNGKHFFTRKENSHPRDYESNHAAGDGFWRLAGTEVPIYNKPSGGADEKLVGMKRTLVFHFRKSSSTERTGWVMQEFRLAGASLVPCLVMRPATGDVSMPPCGCTETTTTKKNNGSPSAAHTHAPLVETMVEPDNSWMICRIYKKRQRAPQVIIPPSIGNAREAVLAVPAIGNAGDRQVNFIDFPGHRCFEEGSDESANVITKDKGSDARQEKKNGKHFFTCKEKKHHGNNCRNHAAGVGFWRLASTEALEVFICREHGMSNARVPTSWFLPLALLCDATISPSFEEGSSESVNVTDNVKDGGGHCMNGATMHLPAVGMSHPTEGELVFHYLYRRAVNMPLLSEFICDVNILRHNPWDIVPGALTEREKGKYFFMQKEIKCPSSRRSNRITSKGFWRSAGSEKPVYYNQGGGSDCMLVGMRRTLTFYFGNSRTAERTKWGMQEFRLAGNGLSPYPAMKHATGDGSKPPCNCAETTIAKRNDGLSAVLRNVLAVTPLVETVVEPDGSWLICRIYRTRQRALPVITPPAIENAREIIIPPANGNAREAQVRFIDFLRQGSHCSCIVGPSLAEGSDESAGSVDQKD >OGLUM11G14240.2 pep chromosome:ALNU02000000:11:17629940:17636270:1 gene:OGLUM11G14240 transcript:OGLUM11G14240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLERRMASPGVCINLVNGTSTRLPTNADLVVHYLHRRAIQEPVPCDFITNVDILQHNPWDIVPAEEKTNGKYFFIHEENERLGNHRSNRAAGDGFWRPAGSEVPIYHKRSGGADEALVGMKRTLVFHYGNSSSAKRTEWVMQEFRLAGATLIPCPVTRPATGDGSMLPCHRTGTTIATENNGSPSAGQTHGPLEKTMVEPDSSLRICRIYKKRQRTPQFIIPPSIGDARELILALPTIGNTRKVALALPAIDFLGQPSFEEGSDVSADVITDDKDGYGHGMN >OGLUM11G14240.3 pep chromosome:ALNU02000000:11:17627697:17630023:1 gene:OGLUM11G14240 transcript:OGLUM11G14240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGLSIPMVNGATIHLLPGFRFRPTDDELVIKYLYPRAFHVPLPCAIITDVDIHQHNPWDIVPVVEREKGKHFFTRKEVKYPGSRRSNRVAGNGFWRAAGSEVPIYYKPEGAADDMLVGMRRTLVFHYGKSRSAERTEWAMHEFQLAGAGLLPRPMMRRATSNGSEPPCGCLEATIAKKSDGLSATLHAKRDSAPLMRIMVEPDSSWVICCIYKKRQRAPPVVIPPVIGDVGEAIIPHAIGDAREGQLHFIDFLGQPARNDPSSPHSCTIDPSSLEEGSDESAGDGEDKDGDGMNEAN >OGLUM11G14250.1 pep chromosome:ALNU02000000:11:17654295:17654567:-1 gene:OGLUM11G14250 transcript:OGLUM11G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAGIQLDSFSLGGPAEYPAGDGERDVLMVRFLRSITAFLANGTCQMQVNDGLRSVVDLTGGGGRGKSLQRLVSAVHRGTCTAVHPTV >OGLUM11G14260.1 pep chromosome:ALNU02000000:11:17660872:17661739:1 gene:OGLUM11G14260 transcript:OGLUM11G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSTSKLEPKDQLDASAPSHHRRRGFASDLFGRATRKNHHNGGKSGCESGDAAVPMAGRQHEEEQPREGKKGGRGKREVQAGSPSFRYYCENAAAAAFGEHRAVRAYKRTNYTPKE >OGLUM11G14270.1 pep chromosome:ALNU02000000:11:17664406:17680718:-1 gene:OGLUM11G14270 transcript:OGLUM11G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKVGDDAPDLGTVKSEGSRAVEMGRFTEVFTEAVSLDILQQSAFLSVREKFEKVLFLWTHVSIHCKPKQIHPLDESKSAYTLTEFLDANSIESTRPLWIGNKRIKSAPKTLRNLLDELRDIIEHEVEYIPSDI >OGLUM11G14280.1 pep chromosome:ALNU02000000:11:17683655:17686553:-1 gene:OGLUM11G14280 transcript:OGLUM11G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKIPGDSKIEIQNRSRNLTVYASKVVDSVAYNAMLSYPEGQQEKCVAVCRRLEHAHALFDILASCSHSNIIEPIGIWEEKGTKLAYIVFLCFDGPLSLIPTEEIFDVEDATNDKSYTFGFTDQGCKIFVEMFMAVKYVNDLYDDEQIPLKALNFDESKIFYQLNAQQDYRVLLTDFKLEISPTGNARNNRKGKGKASSTVPTVDELKVANWNGLGQLLQKLHKNLGLHAELNHFAELLGKKTVKYEDLVWEAGLWEHNSKVQFIREIYWHYNNDEAKISQLKLRASLGLKSCIDKLEVNKSREPNKEINDKSLYNSLFFLRVYMVAHRDDLIKGYSGAKETVEDRKAVVRLLMKECPTYMVKLIAEIRHLGWIKESPFLRTALRKSADEGNFGTNDISFLTWSGKLH >OGLUM11G14290.1 pep chromosome:ALNU02000000:11:17688624:17688893:-1 gene:OGLUM11G14290 transcript:OGLUM11G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDHTAPPHAARRLPHPPPTTAGVASDSGEAHQNTRRRGQPSPPPLYSSSSHPVRRHQHLASAPPSSPPSRRQRRLTSSDPRLLTSP >OGLUM11G14300.1 pep chromosome:ALNU02000000:11:17696625:17711252:1 gene:OGLUM11G14300 transcript:OGLUM11G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKHPRFRGTLGTYKFRQALLGDPARHNRFSVLEAEATDDDSSTEPSSRMQDIEDSCGKRSTQDNSRRELVTMEDVGNMNPLLRTLLEMVSEEQISEIPRHGLENLLLEWVFISLKRITFSMELSLLSIKKDTKLLSRILLRLSEIWSQPEWETNLLDFFNNAQFRTSVYNVVAFFENELTMCTAENYDGINHERKLNYSTLTTLIPLLFPLLLELLQYVHSLWTDEVASNISEELEGAKCIICSEKLCGIVEETTEIQDMNEEELLVDEIREWLEKIRQTGYNVIGMCASLEGAFCKLLDSFSVCGTLLKDVESMDFRHLTMLIKYTIVPLVKSCPPDLWVEWIDMLLPPVFHYCEETLYSSWCSLLYKDIVSVPDKFCESFSKEMVEKAGKGLLSELTREASYLLAAMALPEQNGSIVSTADLESTSSSLVGYLLCHDNIRSSILRLINYIFGYWKDGEARIIAAPFCHSLIQLAIATHNDELLYFVQDDILPKIVQCLTLEPKSDNNALYLLCEDAYHCMQSQGSAQEGECNGNTAEIFEDWLSKQMIVARYKYTSSDELQDMVWIWEIEEEFIAYLHTYVDMLHKVDEIGDTMEDCYLSSPIKFVSKHDTDCCAISHAWAMSTMLSRKVTSVYCKRETEQKYKFFCKLITFKPYIKCSGYDESVQELVEDDSEVWSALPGFCRQETLELFCRILDSWEPQFHPLIRQDDKEMLREIACLLTSREDIHHVQSFQPVSSDFLLHLQPYAQNYIEVKNARSGYDRVKEQTRLHEAFDIHLASGALDDFVRRTNSSKDDFIKIILDDDILRSQFTDLDYDLLKLSYEHRDRLESLICELEAEGFFSVDDDSIEWENEHFSELVDEFNEHVFAGIHLPKYYVIRGIMVVDGAFCRWMEDRDLFWMETRYYSHCYYDIIQEPVKMIWRTTGDEMTVGHTTRMSRSNARLSKRHGVADAAEFLSSHSLKAKRLGTFLFSLGVVGLLV >OGLUM11G14300.2 pep chromosome:ALNU02000000:11:17696625:17711252:1 gene:OGLUM11G14300 transcript:OGLUM11G14300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKHPRFRGTLGTYKFRQALLGDPARHNRFSVLEAEATDDDSSTEPSSRMQDIEDSCGKRSTQDNSRRELVTMEDVGNMNPLLRTLLEMVSEEQISEIPRHGLENLLLEWVFISLKRITFSMELSLLSIKKDTKLLSRILLRLSEIWSQPEWETNLLDFFNNAQFRTSVYNVVAFFENELTMCTAENYDGINHERKLNYSTLTTLIPLLFPLLLELLQYVHSLWTDEVASNISEELEGAKCIICSEKLCGIVEETTEIQDMNEEELLVDEIREWLEKIRQTGYNVIGMCASLEGAFCKLLDSFSVCGTLLKDVESMDFRHLTMLIKYTIVPLVKSCPPDLWVEWIDMLLPPVFHYCEETLYSSWCSLLYKDIVSVPDKFCESFSKEMVEKAGKGLLSELTREASYLLAAMALPEQNGSIVSTADLESTSSSLVGYLLCHDNIRSSILRLINYIFGYWKDGEARIIAAPFCHSLIQLAIATHNDELLYFVQDDILPKIVQCLTLEPKSDNNALYLLCEDAYHCMQSQGSAQEGECNGNTAEIFEDWLSKQMIVARYKYTSSDELQDMVWIWEIEEEFIAYLHTYVDMLHKVDEIGDTMEDCYLSSPIKFVSKHDTDCCAISHAWAMSTMLSCSGYDESVQELVEDDSEVWSALPGFCRQETLELFCRILDSWEPQFHPLIRQDDKEMLREIACLLTSREDIHHVQSFQPVSSDFLLHLQPYAQNYIEVKNARSGYDRVKEQTRLHEAFDIHLASGALDDFVRRTNSSKDDFIKIILDDDILRSQFTDLDYDLLKLSYEHRDRLESLICELEAEGFFSVDDDSIEWENEHFSELVDEFNEHVFAGIHLPKYYVIRGIMVVDGAFCRWMEDRDLFWMETRYYSHCYYDIIQEPVKMIWRTTGDEMTVGHTTRMSRSNARLSKRHGVADAAEFLSSHSLKAKRLGTFLFSLGVVGLLV >OGLUM11G14300.3 pep chromosome:ALNU02000000:11:17696625:17711252:1 gene:OGLUM11G14300 transcript:OGLUM11G14300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKKHPRFRGTLGTYKFRQALLGDPARHNRFSVLEAEATDDDSSTEPSSRMQDIEDSCGKRSTQDNSRRELVTMEDVGNMNPLLRTLLEMVSEEQISEIPRHGLENLLLEWVFISLKRITFSMELSLLSIKKDTKLLSRILLRLSEIWSQPEWETNLLDFFNNAQFRTSVYNVVAFFENELTMCTAENYDGINHERKLNYSTLTTLIPLLFPLLLELLQYVHSLWTDEVASNISEELEGAKCIICSEKLCGIVEETTEIQDMNEEELLVDEIREWLEKIRQTGYNVIGMCASLEGAFCKLLDSFSVCGTLLKDVESMDFRHLTMLIKYTIVPLVKSCPPDLWVEWIDMLLPPVFHYCEETLYSSWCSLLYKDIVSVPDKFCESFSKEMVEKAGKGLLSELTREASYLLAAMALPEQNGSIVSTADLESTSSSLGSAQEGECNGNTAEIFEDWLSKQMIVARYKYTSSDELQDMVWIWEIEEEFIAYLHTYVDMLHKVDEIGDTMEDCYLSSPIKFVSKHDTDCCAISHAWAMSTMLSCSGYDESVQELVEDDSEVWSALPGFCRQETLELFCRILDSWEPQFHPLIRQDDKEMLREIACLLTSREDIHHVQSFQPVSSDFLLHLQPYAQNYIEVKNARSGYDRVKEQTRLHEAFDIHLASGALDDFVRRTNSSKDDFIKIILDDDILRSQFTDLDYDLLKLSYEHRDRLESLICELEAEGFFSVDDDSIEWENEHFSELVDEFNEHVFAGIHLPKYYVIRGIMVVDGAFCRWMEDRDLFWMETRYYSHCYYDIIQEPVKMIWRTTGDEMTVGHTTRMSRSNARLSKRHGVADAAEFLSSHSLKAKRLGTFLFSLGVVGLLV >OGLUM11G14310.1 pep chromosome:ALNU02000000:11:17715728:17721542:-1 gene:OGLUM11G14310 transcript:OGLUM11G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLDSFGSNPTVQVWPISPRARRLLPLESAPPPIRRRLPPPSAATFFPVPLPRGNFALFTLPQLLLPPPPRPQPPNPSWPAPSSPPPGDRRSPETGKKLSAARSYSYREAYISSELPMATEKKVNKLSVANLTSDDSIYPVKVPGNSKIEIQSRVRNLTVYASKIPGSVAYNAMLLYSEGQQEKCLAVRRRSEHAHTLYEILRNCSHRNIIQPMGVWEEMETNLAFIVFPCSDGVVTSIPKEALFDVEDATNAESYTFGFSDQGCRIIEICMAVRYINVLYDEEKIPLKALDLDESKIFYQSKAKGDYHVLLTDIKMEISPTGNVRKNWRAKGKVSSTGVPTVDDVKTANWNGLGQFLKKLHKDLKLHIELSHLSEELGKESVKYEDLVWEPGLWESSTKVQLVRDVYWCYNKNKNRISTLKNKTALGLKSCIDKLEVNKSRAPDKQINDDNLYESLFFLRVYMVAHKDDTIKGYSGTMEMMHDKKAIVRLLMKERPEYMVTLISAIRKLGWIRQSPFLCRFICYST >OGLUM11G14320.1 pep chromosome:ALNU02000000:11:17724014:17732430:1 gene:OGLUM11G14320 transcript:OGLUM11G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDADDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNVVAFFKDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCACLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDKLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLVPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVSEGQHDGNSAEIFENWLSKQMIVARYEHSPPDELEDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSRKITSMYCKRETEQKFKFLCKLITFKPYIKCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGYDRVKEQIRLHEAFDTHLASGALDDRVRQISSSKDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGIMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >OGLUM11G14320.2 pep chromosome:ALNU02000000:11:17724014:17732430:1 gene:OGLUM11G14320 transcript:OGLUM11G14320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDADDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNVVAFFKDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCACLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDKLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLVPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVSEGQHDGNSAEIFENWLSKQMIVARYEHSPPDELEDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGYDRVKEQIRLHEAFDTHLASGALDDRVRQISSSKDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGIMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >OGLUM11G14320.3 pep chromosome:ALNU02000000:11:17724014:17732430:1 gene:OGLUM11G14320 transcript:OGLUM11G14320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKPTSLSPGTSRFPPSPGIYKFRPAILWDSARHNRFSARNRFSVLEAISDADDDSLTERDSTTSDSCGEDNSRKDPPLMEDGEIVNPLVRTLLELFSEEQISEIPLMETYIRRCGISEGMGSHLIEENNFLFGAFTSIVACPWIKKDTILLSSILLRLSEIWNQSEWVTNLLDFFEDAQFRTSVYNVVAFFKDQLTMSTTENSNGIDHKEKLSYSTLFALLPLLLPLLLKLLQYVHSLWTDEVASNVSEKLEGAKFIIQAEKPFGMCACLEGAFYKLLDNISVCGALLKDLEVMEFRHLTMLIKHTIVPLVKNCPAELWPKWIDMILQPVFHYCDDKLDGSWCSFLYKETMLVPDKFCHISFTEEKIEELGKDHLFEVTREISYMLAVMALPELNGGIANEHQSIVSIVETSADLESTCSSSLVGYLLYHDDLRPSILRLINNIIGYWKDSEARIKVVSFCHMLIQLAISTHNDKLISFVQDNLVPMVVRCLIFEPISNNNDLLLLCEDAYRCIQREESVSEGQHDGNSAEIFENWLSKQMIVARYEHSPPDELEDFVCIWEIEEEFTAYLHTYTEMLHKVDGIGDTIEDVYLRCPIPFVSKHDNNCCPISNSWAMSSMLSRKITSMYCKRETEQKFKFLCKLITFKPYIKCSGYDESVQELVDDDSEVWSALPGCCRQETLEDDKEMLREIAYLLTSREDIHCVQSFQPVSSDFLLHLQPYAQNYIEVKNASSGDNFVKIMLDDDTLHSQFIDLDYDLLKLSHERRAKLLSKQDQLCLYYKHMKCAVVNLQHRDRLESLICELESEGFFRVDDDSIEWEKEHFSELVDEFNEHIFAGIHLPKYYVIRGIMVVGGAFCRWMEDRDLFWMETRYYRHRYYDIIQEPIWRTTGDENEMTVGHTTRIIDGNGEFTAGMN >OGLUM11G14330.1 pep chromosome:ALNU02000000:11:17733838:17741226:-1 gene:OGLUM11G14330 transcript:OGLUM11G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRARASGRANNGSAAMAIVTSIVDLCPDQIWVTPPQPLSVLHASSSVDRSMERRGAIDLQRPAVPNAEDNEYPSGVAPSLEFLVTSNDITGSGASATLLIFNNEKGFSPANIESIIRVGKSTKKGNRDKGYIGEKGIGFKSVFLISSQPHIFSNGYQIKFNEKPCAECGIGYIVPEWVESRPSLSDIRTIYGSSKVLPTTTIILPLKSEKVDAVKKQLSSVHPEMLLFLSKIRQLSVKEENLNPKCSTVSEISISSEKNFQERKNMHAESYTLHLSALENGKGEEECGYYMWRQKFPVKPENRVDKRTEIVEWVITLAFPHGQRLSRGKQMSPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPSAFLNAFVALVKSGADAPAMSLPSMFNFLPVGSSLIPLLEPVRSGIKDKVLAEDIVPCESYTPQKIFCKPAVVGRLKSDFWDILSKAQKSGVDLKNLCTHGTYILSSHFDKSAYNSVLEFLGIKSVNPEWYGKCIEGSNLLKEVPEHLYLEIISFVADNWLNCFSGTNMSSIPLLKYVNRHDVLSFWSLSMASQHCDRLCIASEKYISWLISWNKEFPSSSRLFLPPNTQGALNDFSQKTKVTNWLQNYAKVEFVSVHSYAQLIVNSLGSDRRPVIAFAHFLYHSTQKKYIESYYLPDLLRDMPVIDNYGSVITASKGILVPAKGSKWVGLMGSNPWRNEKYVELSSDYKSANYFAGQCTSEDQLLAFLKTQLQASDVPFINPPDASFPTVSSPLTMDNAILLLEWIRNLNSKGSQLPARFLACIKQGSWLKTSVGYKPPNESFLSGAEWGSLLQTGSSFVDIPMIDQQFYGNKLQEYKKELQAIGVRFEFREASAYIGDRLMSMAEYNMLTRENVYSLLRLIRFMREKVLSPSELINSVKNGKWMKTDIGYRSPADCIIKDSGWEVASCISDQPFLDVKFYGEAILSYKQELELLGVVAGFKDNYNLVINNFKFSSTAITPEATILILKCIRHVRSCDDFVNKLRGLKWVRTNMGFCAPNKSFFVDPEWECLIKVFDRIPVIDFGFYGSKISSYKEELKKTGLITRFEEASKAIADIFKQMVSKSALTKANILALLASYRQLRTHSPMPVELFNCMRTEKWLSTSIGFKAPKDAIFFSEEWQSLSPIANLPFIDGSDSQHGLGKEIHGYKDVLKELGAIVEVKFGSRFVITGLNIPNDPLSKATVLALLKCISIYLASTAALPKGFCENIASKEWLKTTIGYRCPDECILFDPKYTRICKEDGPFIDEAFYGSEIASFKDVLRKIGVIVDIKCGHDLVAWHLRNHKDSATISRIYMYLKDCNWEPENKTSNWVWLPNGSGSGEWVSAPSCVLHDRDNLFTSHLHVLDKYYDKKLLDYFSVFLGVRHGPSSEDYCKLWSTWESSVSELSKADCSAFWKFIATNWGQNMNKLLSGCIKVPVCTDGKIILSSKKDVFIPDDLLLKDLFSKLSQQAVFIWYPSSSLPSMSRARLNNIYSSIGVGTISKAVRKNDSFTLGSGSLKTVGLNMVIKAGLLQLVLAFLADPALDISTKERHKMVSWLLNVTVLETDEPIMVAYSVSLSSGRALDVKASRMLRWERDNFKLYMQRSHDSAGYKEKIEFATYFSEEISRGLLFEMADQIPSLAELVKVGSLLDFQDAAVDFLLKSKNLQLFPEDEAFLKASLQDGGEDC >OGLUM11G14340.1 pep chromosome:ALNU02000000:11:17744142:17744470:-1 gene:OGLUM11G14340 transcript:OGLUM11G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAAEAAREHVERIRRERYYIGREERNPLAEDMHQAVNYLSQEIYSKDVHFLMELVQTAAKG >OGLUM11G14350.1 pep chromosome:ALNU02000000:11:17744650:17753912:-1 gene:OGLUM11G14350 transcript:OGLUM11G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYYFGTVSSRLGMAATAGGGGEAWNESGGWGKASNRGVAEAREERWQIPSLLPLSPVSFAAATTASLFRAGCCHVGEKLCEGWLRFRCGGGELNGKLHNLSTAATTAPALSRRATRKAKR >OGLUM11G14360.1 pep chromosome:ALNU02000000:11:17754018:17760927:-1 gene:OGLUM11G14360 transcript:OGLUM11G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRKEKGIPKASPTPPPHSPMPPSPSPAPPPPAAMPPPREHVERIRRERFFIGRGERNPLAEDMHQAVNYLSQEIYSKDVHFLMELIQNAEDNDYPSGVAPSLEFLITSKDITGLGAPSTLLIFNNEKGFSPSNVESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISRQPHIFSNGYRIKFNEDPSSECNIGYIVPEWVESKPSLSDIQELHGSSKPLPTTTIILPLKSEKIDVVKKQLSSIHPEILLFLTKIRRLSVREDNSDPKCSTINEISMESEKNYQVRKNIHAESYTLHLSAQENKEQEECGYYMWRQKFPVKPENRVDMRADIDEYVITLAFPHGQRLSRGKQSSPGVYAFLPTEILTNFPFIIQADFLLASSRETILFDSMWNKGILECVPSAFLNAFVALVKSSADAPAMSLPSMFNFLPVHPSHVPFLEPVRSAIKDKVCTENIMPCESYTLQKMFCKPGEVGRIKPGFWTILKKAQECGVDLKNLSAHGTYILSCHFDKSTYDSVLAFLDVKNVSAKWYAKCIEGSNLVYELPEELYIEFLYFVATNWDSFSSTSMKSIPLLKYVDRYGAPTFWSIYKASQSSGRLCISSHKKYIQWLISWNQEFPSCNQFFMPLSTQTALYDFSKNTFVTKWLCRHVNVQVVSVHGYALNIIAKLLDHDRRSIVAFAHFLYHSFKMGHIEGYFVTQLCHAMPIINIYGKVVKTKTNIVVPAKGSKWVRLMGTNPWKDEKYTVLAADYMSSGSFARKSTPDGRLFKFLTKHLQVSDVPSIDPPDASFPTVSSQLTGDNALLLLEWLRNLKSCGVELPAKFMDCIRRGSWLVTSVGDRPPNESFMSSAEWTGLLQIGSSFVDIPIIDQQFYQNKLNVYKEELKTIGVRFEFQEASVYIGSHLMSIAESNMLTRDNVYSLLQLIRFLQENNLSTSALVDSVNSGQWMKSTLGYRSPANCIIYDSDWAVASRISILPFLDVQFYGDNILDYKPELELLGVLVGFKDNYTTVIDNFEFSSNAISSEATVLILKCVRYVSPCDDFITKLKDIKWIKTNVGFCVPSESFLIDPEWECLLKVFGEVALIDLGFYGSVISSYKEELKKTGLIAGHLEASNALALLFKQMVSKSSLTKANVLALLASYRQLKSHQLSPMKLFNCLHDEKWLHTSQGFRRPSDAILFDESWWLLSPIANLPFINDEDTGYGLGLEIYDYIDELKDLGVTVEVKDGANFVIVNLKIPNDQSAVPAYTVLSLLECIQNWIACQVSLPKDFLDKICKKWLKTTMGYKSPNECLLFDHKHSAICMEDGPFIDEVFYGSEIASFKDALAAIGVVINVENGCDLVAQHMKFHSCSDTISRIYMYLMDCNWKPVNNSSNWVWVPSGIQSGEWVSPANCVLHDRDNLFSSQLHVLDKYYNKKVLGFFALVLGVRFNPNAEDHCKLWSKWEASVTELTMADCSAFWGFVLENWTKATENLLSECVTKVPVFNEGKIILSKKEDVFIPDDLLIKDLFDKLPQESIFIWYPPASLPYMSRARFNCIYNSIGVRTISESVEWNESFTLGDTGLQEVNVSTVIKHGLLQIVTAFLANPVLDILAKERHKMVSHLLSVTILDTNEPITAGYSVKLSSGRHVSVKASRMLRWERDNSKLYMQRCDQETSHRGKIEFATYFADEISQGLLFEMEDHIPELTELVKFGYLLDFQDSAVEFLLKSKNLQLFPEDEEFLDSAMWS >OGLUM11G14370.1 pep chromosome:ALNU02000000:11:17765824:17785193:-1 gene:OGLUM11G14370 transcript:OGLUM11G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFAEAKVSAVVLTGLVALATLVSCNTEGDILYKQKVAWEDPENVLQTWDPTLHNPCTWMHITCNNDNSVIRGFVECTHLRASDSSIGRTEKSPVPLYGSRLNGSIPATLGKLKHLVSLDLSNNLLTGAIPPSLGAISNLLILRLSGNNLTGAIPPSLGNLKSLEILELGNNALSGSIPASLGDIETLNYLDLNDNMLTGTVPLEILSRLVTTLDELNVAHNDLYGTTRKSVTRGAKISVVLLTGLVALATLVNCNIEGDILYKQRVAWEDPHNVLQTWDPTLHNPCSWMRVMCNSDNTVIRVDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSQNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLRLNGNMLTGTVPLEILSLLVSNLVELNVANNNLDGTDRKSGTRVMGAHSSAAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLELYGNELNGSIPAALGNLSSLVSLDLQGNLLTGAIPDSLGAISTLRNLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRGQSEDLRLRQISGNYSGLAAMGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLVSWDPTLVNPCTWVHVTCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRGTMNV >OGLUM11G14370.2 pep chromosome:ALNU02000000:11:17765824:17785193:-1 gene:OGLUM11G14370 transcript:OGLUM11G14370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKFAEAKVSAVVLTGLVALATLVSCNTEGDILYKQKVAWEDPENVLQTWDPTLHNPCTWMHITCNNDNSVIRGFVECTHLRASDSSIGRTEKSPVPLYGSRLNGSIPATLGKLKHLVSLDLSNNLLTGAIPPSLGAISNLLILRLSGNNLTGAIPPSLGNLKSLEILELGNNALSGSIPASLGDIETLNYLDLNDNMLTGTVPLEILSRLVTTLDELNVAHNDLYGTTRKSVTRGAKISVVLLTGLVALATLVNCNIEGDILYKQRVAWEDPHNVLQTWDPTLHNPCSWMRVMCNSDNTVIRVDLGDADISGPLIPQLGGLKNLQYLELYGNRLNGSIPAALGKMEHLVSLDLYSNLLTGTIPTSLGAMSRLRYLRLSQNKLRGAIPPSLGNLMSLEDLELHKNALSGSIPASLGNVKTLNYLRLNGNMLTGTVPLEILSLLVSNLVELNVANNNLDGTDRKSGTRVMGAHSSAAAAAALFTGFLALATLVSCNTEGDILYAQRLAWKDPFNVLQSWDPTLVNPCTWFHVTCNNNNSVVRVDLGLAGLSGPLIPQLGGLSYLQYLRLYGNNLTGTIPQSLGSLTSLVKLELQKNSLSGTIPASLGNIKTLELLRLNKNSLTGTVPMEVLSLVLVGNLTELNVAGNNLDGTVGSTGWRGQSEDLRLRQISGNYSGLAAMGAHSAAAALFTALLAFATLVSCNTEGDILYAQRQELKDINNVLVSWDPTLVNPCTWVHVTCDNSNSVIRGFGIGRSIRLSDSTAGRTENLHGNNLTGTIPQSFGNLTNLVRLELQKNSLSGTIPASLGNIKTLKFLRLNGNSLTGTLPLEVLSLVLVGNLTEINVARNNLDGTVGSTGLRGTMNV >OGLUM11G14380.1 pep chromosome:ALNU02000000:11:17788047:17791437:-1 gene:OGLUM11G14380 transcript:OGLUM11G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosomal targeting BRO1-like domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G14020) TAIR;Acc:AT5G14020] MCSSPCDPRPLLLLLLLEMGCGASIPKKCKVGGKGKKRRSVIQEVAIFVPTIRIPVDSDVAHPLRGLVSKELVDRLSKFRDRVVTLSEDIYCADVSDVSELQHALEEYLPVVLGLTMKESRLESSVEFRWRTLDDDQECCLSSAWYEVLSVIHMMAMLALFEANLILIPKNGQVGGERKVSEDAKKDVVDSLLRASGCLDYCVHRILVQIPAQVKKSFPSYFQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACELVSYFSQAHYCLSGCDTSDSFGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGNEASSHISAVCCLSAADDLVADSKRACLSFCLANPITRVPPPWGIMRNMHKKIPDAACKRFQMYGYLFEQDNNSALQSLPDLPEFALSLRPEGYELPSTDSIWDNVDSQPQIQSLKEHLDDEDEVETK >OGLUM11G14390.1 pep chromosome:ALNU02000000:11:17802436:17803978:-1 gene:OGLUM11G14390 transcript:OGLUM11G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G50900) TAIR;Acc:AT5G50900] MPPPPPESGEPAGDDVLQKAECLRLLDALPAAAAASPAFRRHWPSISASLASLSASLSHPAFPPSAPRLLAPLASALSALVSVAGNGAASSLGHLHTVSLLSSSAAELSQLAADARLLVSPGNGGGEGVAAGVDGLIPRLRLGSAASRAAALDALVDSVGSLPPSAAAVAVSAVAAMLDSGEILPASREKAVSVLAAFASSDATCRLLAQEAGAVAAAAGVLRNLAAFPDLLPTFREEGALPSLIQLVSLGTPRAQELALGCLQNLTSGDGDECQRLKVEAFQDGALGCVKDFLESCVGDEPGLAPAFGLLRNMASFRYIAEIAVSASFVDHVLAALGSDKAATRTEAAMALAELCNVTSHGKTRRDVGDAIPRLIWMLEAKPAAERDAAARALAALVAASGYRKLFKKEEQGIVNVVQLLDPSTARGGVDARFPVSVLLAVSPSRRCRKQMVAAGACGFLQALLAAEVDGAKKLADCLARGKMLGVFPRS >OGLUM11G14400.1 pep chromosome:ALNU02000000:11:17821227:17822024:1 gene:OGLUM11G14400 transcript:OGLUM11G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGNLAPGAQNRHCQMRFLPPNDDEGWLDIIGDEKFYKLLGLRAEDEQAEMSKQVVSGDGAYAAADGVGAADATTGADGGAEDTKGAAIPVMKCLESW >OGLUM11G14410.1 pep chromosome:ALNU02000000:11:17837878:17842463:-1 gene:OGLUM11G14410 transcript:OGLUM11G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLFPLPFATRQQKKNPHLHSPPRRSHGDPLHPLPRRRRVSLRALPDSWRRRRHMVFFPEEVVEHILGFLASHRDRNAVSLVCREWYRVERLSRRSVLVCNCYAARPERVHARFPGLRSLSVKGRPRFVPAGWGAAARPWVAACVAACPGLEELRLKRMVVTDGCLKLLACSFPNLKSLVLVGCQGFSTDGLATVATNCRFMKELDLQESLVEDRDSRWLGCFPKPSTLLESLNFSCLTGEVNSPALEILVARSPNLRSLRLNRSVPLDVLARILCRRPRLVDLCTGSFIRGNIVGAYAGLFNSFQHCSLLKSLSGFWDATSLFIPVIAPVCKNLTCLNLSSAPMVRSAYLIEFICQCKKLQQLWVLDHIGDEGLKIVASSCIQLQELRVFPANANARASTVTEEGLVAISAGCNKLQSVLYFCQRMTNSALITVAKNCPRFTSFRLCVLDPGSADAVTEQPLDEGFGAIVQSCKGLRRLCLSGLLTDTVFLYIGMYAERLEMLSVAFAGDTDDGMTYVLNGCKNLKKLEIRDSPFGDSALLAGMHQYEAMRSLWLSSCNVTLGGCKSLAASMANLNIEVMNRAASINEADNANDAKKVKKLYIYRTVAGPRGDAPEFISTF >OGLUM11G14420.1 pep chromosome:ALNU02000000:11:17844442:17848944:-1 gene:OGLUM11G14420 transcript:OGLUM11G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARPRRQHQRHRRRRRRGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDHQIHRSAAIGLGERHSGFQYGVDKVFQPLPSSPSMGHELLCWVSVRSGESVFRPLPSLPSTGQSAFPSATALHLIQALRDMAAINFLEHLKMAIEGIIGQHKYTHITLKPSYAMYYVDLVMKRFSLFRKHFYSTMSFRRFFIWLSWPANFYDLICVRCCFPCLCKCICIDVPFNLHAEYAIMTHKDFFVGCCVKLLLPRPSKFIKRAN >OGLUM11G14420.2 pep chromosome:ALNU02000000:11:17844456:17848944:-1 gene:OGLUM11G14420 transcript:OGLUM11G14420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARPRRQHQRHRRRRRRGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDHQIHRSAAIGLGERHSGFQYGVDKVFQPLPSSPSMGHELLCWVSVRSGESVFRPLPSLPSTGQSAFPSATALHLYTHITLKPSYAMYYVDLVMKQATLCSFLNFIHNKTRGILHTLSS >OGLUM11G14420.3 pep chromosome:ALNU02000000:11:17844446:17848944:-1 gene:OGLUM11G14420 transcript:OGLUM11G14420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARPRRQHQRHRRRRRRGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDHQIHRSAAIGLGERHSGFQYGVDKVFQPLPSSPSMGHELLCWVSVRSGESVFRPLPSLPSTGQSAFPSATALHLRPPCAAFSISSITKQGAFYILLVHKAC >OGLUM11G14420.4 pep chromosome:ALNU02000000:11:17844446:17848944:-1 gene:OGLUM11G14420 transcript:OGLUM11G14420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSREPLRRGRQDLRLRQARPRRQHQRHRRRRRRGQPAPNRRGTSSPKTLEKRRTLPVWQQKDDFLAVLHDNQALILVGETGSGKHSDGIAPQYLDHQIHRSAAIGLGERHSGFQYGVDKVFQPLPSSPSMGHELLCWVSVRSGESVFRPLPSLPSTGQSAFPSATALHLVCLYSFCCQLVGFTILYSTTDDLHGHCFMHRKFMDGLQCKFDVGWCLISTKRPPCAAFSISSITKQGAFYILLVHKAC >OGLUM11G14430.1 pep chromosome:ALNU02000000:11:17854362:17858867:1 gene:OGLUM11G14430 transcript:OGLUM11G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGVCRHHADSFHLATGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIADGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >OGLUM11G14430.2 pep chromosome:ALNU02000000:11:17854362:17858867:1 gene:OGLUM11G14430 transcript:OGLUM11G14430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLIDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDSNKTLKRTSNTTRCLNLGSYNYLGFAAADEYCTPLVIESLKKYSPSTCSVRVDGGTTKLHTELEELVARFVGKPAAILFGMGYVTNSAIIPCLVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIADGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKLSCPAHIYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLIKALDVISRVGDLVGIKYFPAEPPKIAEADHDKLE >OGLUM11G14440.1 pep chromosome:ALNU02000000:11:17859575:17863385:1 gene:OGLUM11G14440 transcript:OGLUM11G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIPIAISPSTIASSSLSFCFPAASPRFASPPLPSSRAPPPASTSPEARRRRAPLLSSPPRLLPAPAPALRLGAIRIESKVVRMKKGLHPQMQWISYVTQSGRLINIMMTKVNHIGKVYHMRAKRQMAQSLGQIAKFNRRYQQESEENKEK >OGLUM11G14450.1 pep chromosome:ALNU02000000:11:17879508:17888326:-1 gene:OGLUM11G14450 transcript:OGLUM11G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVEGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQQKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRRLLEDESDCWLIFTRKGNSWQDTFEEVVVEACERWTENREKYWMDSRYYEHHYYDIVRRPLQEWKVGAGDTWVAPMGETREQPILDAPAPVDRYKALSYMYS >OGLUM11G14450.2 pep chromosome:ALNU02000000:11:17879508:17888326:-1 gene:OGLUM11G14450 transcript:OGLUM11G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVEGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQQKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRRLLEDESVVVEACERWTENREKYWMDSRYYEHHYYDIVRRPLQEWKVGAGDTWVAPMGETREQPILDAPAPVDRYKALSYMYS >OGLUM11G14450.3 pep chromosome:ALNU02000000:11:17880597:17888326:-1 gene:OGLUM11G14450 transcript:OGLUM11G14450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLPSAVPDSAAPVAGDSGLSEQQLIQYIHSLRENQVVEGLFEDLKKEKHMSLTKLAHILKITDGLSNINIVESSVEPKTRALLEGIQQKVYDGIGLCPSIGGSFSELLGSLSLSATITKDLGSMEEFSREGKDNCSAECSFSVWIEKLKKDLELKASSASKELPEGCEWNWEDEFVEDLIRDESTMAQFSDLNHALLKLSLERRADVLENQQQICIYSECLRRLLEDESDCWLIFTRKGNSWQDTFEEVVVEACERWTENREKYWMDSRYYEHHYYDIVRRPLQEVSIISLLLIGKFILLVG >OGLUM11G14460.1 pep chromosome:ALNU02000000:11:17906328:17911974:-1 gene:OGLUM11G14460 transcript:OGLUM11G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGTWRPRAIDVGSVSYPLYLAGTASCPTAINRVPDPVRSRPVGVGSGSGSGSASASDKRTHLCAARGRHCVFRLGELSRRQPATKPSPSSSSPPAAAAAPRTARYPTVRAALGQPGRGPPHRHRPGREGWSAAIPAGESSPPRTERAGRDGRGHPSPRRAPPHLAPPVDAAQPEPPQSITFAGVRFARTCGGGSPTPSASVDATPRPVCDSSSFCPKVNGSSQIVITDSKVQKLGSSANYVKYYKGTVKFGKGLRIQTKASVLAFTSCDGEAAAALYVRLVAVNSPFTVKPLSYGRGIRRYREYTFLAVPPPSCLLSNYTDGFNDKTVSMKRFTEEFIKITGDIVRAIITLHCQGYWCSGLKGKHVCIYKMEKCTDAKIWSFCFAGGNEAKKSEDWVDLGKLLELAAKRNDSYTAEIEDLCKKLKNKTLRGMKVLKHSALLNVREKFENIIALNLFILVHVKNQPQVPSGDPEKDKIISELVKFMNASLQWAKAMPHWITQRSNYQAPTTETGLSFIDGLRDLFEHENEYIPEKVKQSQSEIQLVGRDPDLECQLRLNLEKIFLQAQNFVLELDIEY >OGLUM11G14470.1 pep chromosome:ALNU02000000:11:17913914:17916488:-1 gene:OGLUM11G14470 transcript:OGLUM11G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKYSEFLQCVHALWNREITYDLSKRFAKAKRLGIDEEEGFQEIEMRQWLQDIREIGKCIKLQQAHKGKICTWNWEFEDEFRRYLPVYFEMMQEVDTMVGCFEGSP >OGLUM11G14480.1 pep chromosome:ALNU02000000:11:17927288:17944736:-1 gene:OGLUM11G14480 transcript:OGLUM11G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCSVAAGPAAAWACSSVNTCARNSYPVELEPEPVKEREKGYVDLLVDASLLLVSNDFPPEVQRHGARMLQHLLKFRREELSSFDCSSLIHQDDTDSTNMSNKFDDIIHPRMRCNNGAVPCHQVKGCLLLAEYNILCEAFDVVTSCLRSEQYKGVLHYLLKPLNKIWVQSEWRSTFLHSAFGLTCLFSDHFLEMVYKVVKFCENDLRQSTLESIEMQFCDLHSLLRLMLPFLLQLPWNLVSVAAMVAPMAMVFAMAIVGEIGSYTLTSDWKLRIYLPMPMTWGNHGQLHGGQEDSVAMKTVKFSIAGSVMAPVRSHQRLLQCIHSLWRGQISGSLPDQLESAKSKMSDEDVQQNKTRKLLEEIRLSGYNIIGLSLSIQGAFSDLLDISSFSDAMFEDLGLMHHGRAKVPYYFGKLSGPTENIEKFEDTQLLEFTREVSHLLGVLSSPESNNGLLHYLLTHDCLGSSRMSLFGYWVDDEATTSAISFCLALDLMGGEKGNAYVENNFTCWLAKQKEDLRAKAFCSAPMEFNGEWNWEFEDEFQRYLPVYFNMLQEVDAMDDSAEGDCSGMNALLQKLNPQFRSKYAIYSSEHPYLREISRMQKGSDRSYSVIERLEENLEIQSTFDAYEVDQSIVGQLTNAKENKHFQPLTPDPGDFAPHLKPYARFYIQTKLKMSMYARAAMQIHLHEDYDNYLASGQLDSYIYSHVSEDFSDEDVENNAVPQKFSVLDHDLIHLSLKRRAQIVDMHNQVCTYSKCLRDLLENESLKDRLMSLMSELNAEGFFDIDSNPVNWDNECFSKLVEKFKNEVFTGHSLPRRYTIQGIIDYWAILQEKDNTWQTFEKVVTGTSTKLIAYLPQFWRDTRYYKHEYYDIVREPLKKGRVRGICAERVPLLLMGLAYCVFLLADIMFLIKVLLIWCHHLY >OGLUM11G14490.1 pep chromosome:ALNU02000000:11:17950406:17954732:1 gene:OGLUM11G14490 transcript:OGLUM11G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEASTMRARQKSSAGTEESVAGEGNRYGGRRFWRVNRRFRQRNGSEATGELSHAATARVPADFVAARALISSRFRVTLLGYENQVRFRARAPHQRKSSVALSVPLYMAEMAPARYRERSAMASRSACVSEVSPPRPRSGEAGDGGSRWRWPVSPAVFLAVGAVFLPETPNSLVQQGMDCDKAKALLQKIRGVDDELDEIVAANAATAQRENGLWLADPIDEEPWRRDLAPAPSATAIVAAWGEALLLPHRRRHRPRHPSSLPPPHRPSPPPPRRQTPVSPTGFLLTRRLRCQ >OGLUM11G14500.1 pep chromosome:ALNU02000000:11:17961312:17965730:1 gene:OGLUM11G14500 transcript:OGLUM11G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAHSSHSSVSTAADMDEDDDDEPSAASAAAPAPPAPAPAPPASASKARALAVFLRSRGARLAAAYASPLDRARATAALVCRELDFPEEQIQVSDALTEMSQGQWEGCPKSEVYTPEMVNLMDSTQPDFSAPAGESLRQVQFRMMEFLNQTVVRLPEKVAMGDSLSQQNEAKGLSRQSSTNSVQDGPPWDLLYRLNRHSLQRKKSGKSRLQFVTSGDNETEDDFSPKEINQRHILHEANLAPSVTSIAIFSHATPIRCLVAGVLDCNPMISQRICIEDSSITVLEHSLKTGWQIKRLNDTAHLRLL >OGLUM11G14510.1 pep chromosome:ALNU02000000:11:17974117:17979339:-1 gene:OGLUM11G14510 transcript:OGLUM11G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-terminal domain phosphatase-like 3 [Source:Projected from Arabidopsis thaliana (AT2G33540) TAIR;Acc:AT2G33540] MRVTVTPKDEERLVVLMARERPRSAVVAPGGDLRSRVWMGYNIPRSYAPAFHSFAWAQAVQNKPLVPRAADAADEDEVEHVVDTSDEEKEEGEIEEGEAVQTTTTSSSSPPCAQPPETIDLDSDAPEKSESMVAMDGGGAAPAGAEEEEVDFDQRVGSILEELEMVSIEEAEKSFEGACTRLRTCFENLKPLFPESGSPMPMLDALVQQAFVGIDTITTVANSYDMPKREQTKNMLLKLLFHIKNRYSDMLTPDQRDELDSRVRQLVFEDGKDNANGPNATSTNAAAPSGQVLSERLPFESGAGNSFSKVEIPAKNRMVSPLLDLHADYDENSLPSPTRDSAPPFDVPKPIGYGALPMAPDRPSVLERVEPVKNSSYQSFNDALKAVCYYQQKHGQKSNFASDDLPSPTPSGDGDKSGDKGGDVFGEVSSFSASNKIALPIVNQMPSRPSTVSSNSDSFAGGPPGYAKQIENSVSGSNHLLKATAKSRDPRLKFLNRDTGGVADANRRVNFAEPNPSKDRTMGGGVSINSRKNKAVDEPMVDENALKRSRGGIGNLRDMQPTGRGGWAKDGGNISSYSSDGFQPNQNTRLGNNTTGNHNIRTDSTLASNLNNTTNNSGTNPGIVQAPQTNSAPQTSSAPAVSLPAMLKDIAVNPTMLMQWIQMEQQKMSASEPQQKVTASVGMTSNVTPGMVLPLGNAPKTTEVAAVPSVRPQVPMQSAPMHSQNDTGVIRMKPRDPRRILHSNIVQKNDTVPPVGVEQAKSNGTAPPDSQSSKDHLLNQDQKAEQLQAIALPSLPVTSSARPVTMNANPVSNSQLAATALMPPHGNTKQTSSSVNKADPRLAAGQNESNDDAATSTGPVTAPDAVPPASPYGDVDHLLDGYDDQQKALIQKERARRIKEQHKMFAARKLCLVLDLDHTLLNSAKFIEVDHIHGEILRKKEEQDRERAERHLFCFNHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKVYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERVPKSKDLDGVLGMESAVVIIDDSVRVWPHNKHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHKNFFSHPNLNDADVRSILASEQQRILGGCRIVFSRIFPVGEANPHMHPLWQTAEQFGAVCTNQIDDRVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRASELDFAVK >OGLUM11G14520.1 pep chromosome:ALNU02000000:11:17983466:17985823:1 gene:OGLUM11G14520 transcript:OGLUM11G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKGFSVYKCDVEADAQTRHANKIMASQNIGKEKFVVLKTI >OGLUM11G14520.2 pep chromosome:ALNU02000000:11:17983533:17985918:1 gene:OGLUM11G14520 transcript:OGLUM11G14520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSASRSPPSSVQLPRHVRRPQRPAAPCIVVDDQGVSMQDGGMREGGLHVSKGVLGVQVRHNLKKTKNMPHIYRHICVRVGDGTPGIIIAIFLA >OGLUM11G14520.3 pep chromosome:ALNU02000000:11:17983533:17985918:1 gene:OGLUM11G14520 transcript:OGLUM11G14520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSASRSPPSSVQLPRHVRRPQRPAAPCIVVDDQGVSMQDGGMREGGLHVSKGVLDNLKKTKNMPHIYRHICVRVGDGTPGIIIAIFLA >OGLUM11G14530.1 pep chromosome:ALNU02000000:11:17986790:17988357:-1 gene:OGLUM11G14530 transcript:OGLUM11G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTHGTMVDSNKTGGSPAGTSKQTLSAEEMDDQLEQFTSLMQQKFLSGEDFGQFTTLGSIMTRCWTTIGQKKPTTMLGRSISKRISSN >OGLUM11G14540.1 pep chromosome:ALNU02000000:11:17988983:17990650:-1 gene:OGLUM11G14540 transcript:OGLUM11G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJJ5] MAAALSAVVSAKLGCPPAAALGSWKGSRISSRNLVSMKTMTARRGLVSLRSPRFRVCCAAKAETVGKVMQIVKQQLALGEEAKLAPESQFTELGADSLDTVEIVMALEEEFGITVEEDNAQSITTIQDAADLIDKLVAGGGGKPPAAA >OGLUM11G14550.1 pep chromosome:ALNU02000000:11:17993396:17993674:1 gene:OGLUM11G14550 transcript:OGLUM11G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGAAPAFQSVRFSSPPLPPLTAIASASTEYLRKRRRIGEECGGGPRSVALRQGLVAAFTSTSHIRSGMCRSRIYFQDEDNGKKMVPNF >OGLUM11G14560.1 pep chromosome:ALNU02000000:11:17994667:17997429:1 gene:OGLUM11G14560 transcript:OGLUM11G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALAAVLLLLVLATTAEAACSVSAIYSFGDSIADTGNLLREGPAVGAFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVVRSIMDVAKASIEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDVSIVYADYYGAFMHLLQKADLLGFEEGSLFKACCGAGGKYNFDMNLMCGAVGTNVCEDPARHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQNIWSC >OGLUM11G14560.2 pep chromosome:ALNU02000000:11:17994667:17997429:1 gene:OGLUM11G14560 transcript:OGLUM11G14560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATALAAVLLLLVLATTAEAACSVSAIYSFGDSIADTGNLLREGPAVGAFASIGTYPYGQTLRRPTGRCSDGLLIIDYFAMALNLSLVSPYLEKGARFESGVNFAVAGATALDRSYLLQSGVVMPPASVPLSSQLDWFRSHLNSTCSSHQDCAKKLSGALFLVGEIGGNDYNYAFFQGRSIESMKTYVPQVEVIELGATKIVIPGNFPIGCSPSYLSLFSTAISGDYDDRGCLKSYNSFAMYHNDQLRAAIDDLRKVNSDVSIVYADYYGAFMHLLQKADLLGFEEGSLFKACCGAGGKYNFDMNLMCGAVGTNVCEDPARHISWDGIHLTQQAYKAMALSLIMEGFAQPADIVQNIWSC >OGLUM11G14570.1 pep chromosome:ALNU02000000:11:18001208:18014995:1 gene:OGLUM11G14570 transcript:OGLUM11G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPASSRGASEDGYDDDDDVGGVGGGGRYDGGRSPLNGGVYSGRSPMNGGGGVKDKYFGFALPKSNPRSKRRTRPRPPPSPSPTPMDAAAAAAPRGGSTGRRPTSSSSASSAAAAARAAAAREAMARMEEVMLAHAGAAGEFSIILDAPLPSLHHYRRNPTPDAAARRGGGGRDEVPARLRREGSGHDAAAVDDLNAAARSRRGADRYRDGAGAGRPRADRYYRGGEEDSATRRVEEERPSSRRSGRERAGDTGAIKAVEPEKPAAEVEAEVVGRWSRRERDDGGEEAAVSRKPLAAAPVVVDEEETPLQLLARGARSSSAARRVVQEEPQVVEAVAARPSSRRSRREGNGDAGVKAVVPDAAAEVEPEIAGRWSSRRSEDGSEEAAAVLPKPLAAIVTGARSRSNSPAISRNGVDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAATVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVAELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDEMDTTNEESSDRSKSNS >OGLUM11G14570.2 pep chromosome:ALNU02000000:11:18001208:18014995:1 gene:OGLUM11G14570 transcript:OGLUM11G14570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPPASSRGASEDGYDDDDDVGGVGGGGRYDGGRSPLNGGVYSGRSPMNGGGGVKDKYFGFALPKLGRNGVDTGAANRPPSTGRSTFAPPVGVNIRPLQAVEMPNGTPRERRAIYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEERFRQSEARTRELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNDKSEEIATLQKQLQSAREKEAATVQKLQEAESETKSLRTMTHRMILSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSFAPLPFEYVTAAGQRAKDGSLRSGDDLEDTERFVHELTVTAGEGNIETMLSVDKGLQELAFLKVEDAVLIALAHHHRPNVAELVDPDIKSSGDEKFTEAFDLSKEEEEDAWLVYFWRRAKTHNVEDDIAEERLQMWIDRHGQQPTSHDAVDVDMGIRELRRLGIEQLLWELSRREANSTKEEELNSTKEELKNTTTKDEMDTTNEESSDRSKSNS >OGLUM11G14580.1 pep chromosome:ALNU02000000:11:18055281:18059238:1 gene:OGLUM11G14580 transcript:OGLUM11G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVSSNRRPLAMVVAAPVLQMLLIAALLHLGSCNGGDRIERLPGQPEVSFGQYAGYVGVDDKGQRALFYYFVEAELDPATKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTGHYIPQLADVMVEFNKKNKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVTEYYGGSLTPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKILTPHQQVGQRIDVCVEDETVNYLNRKDVQEALHAKLIGVKNWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLARDLGLKTSVPYRVWFEGQQVGGWTQVYGDMLSFATIRGASHEAPFSQPERSLVLFRAFLQGRPLPETFS >OGLUM11G14590.1 pep chromosome:ALNU02000000:11:18080626:18081383:1 gene:OGLUM11G14590 transcript:OGLUM11G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSEKGWWGGSVTPTTVSGDGAARITQSQRANCAACYGGRGNSEAPTVIRIKAWGARSSTSTLDLGFAGLYNQRFDVGA >OGLUM11G14600.1 pep chromosome:ALNU02000000:11:18081114:18081855:-1 gene:OGLUM11G14600 transcript:OGLUM11G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDGEHVMPPASPRFGHRRAGAPLVLSSPSLAANRRGEERRREEEGEEKEKERLTGPDVEPLVVKSGKTQIQGRSAAPGTPRLDAYNGRSFTIPSTSIARRTVSSLALCDPSRSVATHGCRSNTSTPLNLHKSPIPLVLILSV >OGLUM11G14610.1 pep chromosome:ALNU02000000:11:18081468:18082068:1 gene:OGLUM11G14610 transcript:OGLUM11G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKAIINLNAREGEERTSGAPALRWPKRGDAGGITCSPSTRIGGQQRSAVEVRDEAGTAAARAYSGRTAKGEWTLEKWVHLIEASSVARGFGRQCSGEGDRQ >OGLUM11G14620.1 pep chromosome:ALNU02000000:11:18111933:18112622:-1 gene:OGLUM11G14620 transcript:OGLUM11G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSTTLGNIQAAQRPSFSQAPRHDANFEPDSSAANAPPSAPSPGLVGWPQDIIGDIKFFPTGLLPSPLPPLLVF >OGLUM11G14630.1 pep chromosome:ALNU02000000:11:18115120:18118136:1 gene:OGLUM11G14630 transcript:OGLUM11G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLRLVGAEARRRAATGAAGGERWLSAAAAAPTKGRLEGKIAIITGGASGLGKATAREFIREGAAAVFIADVNSDLGAEAAAELGPRAHFVRCDVADEGSVAAAVDGAVASHGHLDVMFNNAGVAGPLAGATEVASLDLAALDAVMAVNLRGTLAGIKHAARVMRPRGSGSILCTASVSGVMGGLGTYPYSVSKFAVAGAVRAAAAELSRHGVRVNCVSPFAVATPMVVAQFAQMLGGADEARVAAVVRGLGELRGAACEAEDVARAAAYLASDDAKYVSGHNLVVDGGFTSYKHLPIPQPHD >OGLUM11G14640.1 pep chromosome:ALNU02000000:11:18124921:18125274:-1 gene:OGLUM11G14640 transcript:OGLUM11G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPVPWAPPGITDDEINATLKTTAAASSVRLSPDTVILYWPDGEGNTAEVLVASMDYVGYVDVAGKPECRRAVSPLAQHAVLSTTPASFDTDDDGCVPRELGDDPQLMKRMHTSSS >OGLUM11G14650.1 pep chromosome:ALNU02000000:11:18135367:18136862:1 gene:OGLUM11G14650 transcript:OGLUM11G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKLLMVFLACLLSSINNRGEAASCSLENIVVKQTATGGWAHGQPEYAVTVSNMCGCPQSGVQVTCDGFDTTLAVDPAKLRQAAGGNLCLVNSGDPVVQGHGITFSYAWSSQFKFTPVSSTVKC >OGLUM11G14660.1 pep chromosome:ALNU02000000:11:18137422:18137950:-1 gene:OGLUM11G14660 transcript:OGLUM11G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLQFISAFLLLSCLCSRGEALCSLSDLVVTQTTVPGQQIAGEPEYHVTVENRCICTQTGVKLSCAGFDSSPTRVDPSIIRHDGGGGGDLCTLNGGGPVTNGRSVSFYYAGKTRVSFTPVSSTVSCS >OGLUM11G14670.1 pep chromosome:ALNU02000000:11:18139014:18139286:1 gene:OGLUM11G14670 transcript:OGLUM11G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWWSRRVDRNRSGGVAALDLLAEWLQICQLPVQIKAGDEGANIGGRGGGRSTLAACASGLAVAPMVHILFIVDGLAMMTLTPRHPPLHD >OGLUM11G14680.1 pep chromosome:ALNU02000000:11:18141205:18143180:-1 gene:OGLUM11G14680 transcript:OGLUM11G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAAVAVAAALAAAGAAVAMAGRRRWGYRGVAVAVAVCVHAAVLLSAVVYLSVVPSAGPGASSSSSSLQETEVMKLTAKMEQIIENQEKYGKSDGMMYALASFLSKNPRIIKEMTYRITNPDGTEKAELAVTMKDDVKVQSPRINDILDM >OGLUM11G14690.1 pep chromosome:ALNU02000000:11:18152098:18152658:-1 gene:OGLUM11G14690 transcript:OGLUM11G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGWELAAEKHVHYIVTMEKVGRLSLGCELLDAAADSDWMLFAAAAAVMQKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVEADEFIEWIMSCYHPDSGSARRGVDWGGNVGHDAHVLYTLSAGQVLCLFDRLDALDVDKVADCILHY >OGLUM11G14700.1 pep chromosome:ALNU02000000:11:18168909:18172286:1 gene:OGLUM11G14700 transcript:OGLUM11G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRFHGAMWMQDDGGGDQEHGQAAPPGQEQHHHDQHLMALAAAAAGGAGFRAAQAPAPLLDEDWYFDAAGGGGGGAHGSMMLGLSSVHGGIGAGTSGGGHGQQFSLLNMGAAAAPFDVSGFDLGVACGGVGGGGDVVSFLGGGNASNTALLPVGNAGFLGTFGGFGTAASQMPEFGGLAGFDMFDAGAVNTGGSSSSSSAAAAAASASAHVSNTAPFSGRGKAAVLRPLEIVPPVGAQPTLFQKRALRRNAGEDDDDKKRKAAAGAGAGALSADGADMVLDDGDDDGLSIDASGGLNYDSEDARGGEDSGAKKESNANSTVTGDGKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESSPATSSLPPTPTSFHPLTPTLPTLPSRIKEEICPSALPSPTGQQPRVEVRLREGRAVNIHMFCARRPGLLLSAMRAVEGLGLDVQQAVISCFNGFTLDIFKAEQCKDGPGLLPEEIKAVLMQSTGFHTMI >OGLUM11G14710.1 pep chromosome:ALNU02000000:11:18182807:18188280:-1 gene:OGLUM11G14710 transcript:OGLUM11G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJL4] MATAEVGGGGGEGDAAAAAVARAGGGGGGGGGEDALFTELWSACAGPLVTVPRVGEKVFYFPQGHIEQVEASTNQVGEQRMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQQEDNGSTEEEVPSAPAAGHVRPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQTNVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPYDRYMESLKRNYSIGMRFKMRFEGEEAPEQRFTGTIVGMGDSDPAGWPESKWRSLKVRWDEASSIPRPERVSPWQIEPAVSPPPVNPLPVPRTKRLRPNATALPADSSAIAKEAATKVVVESEPNGTQRTFQTQENATPKSGFSNSSELESAQKSIMCPSGFDREKNNTPIQWKLGSDGRMQMSKPESYSEMLSGFQPPKDVQTPQGFCSLPEQITAGHSNFWHTVNAQYQDQQSNHNMFPSSWSFMPPNTRLGLNKQNYSMIQEAGVLSQRPGNTKFGNGVYAALPGRGTEKYSGGWFGHMIPNSHMDDTQPRLIKPKPLVVAHGDVQKAKGASCKLFGIHLDSPAKSEPLKSPSSVVYDGTPQTPGATEWCRPDVTEVEKCSDPSKAMKPLDTPQPDSVPEKPSSQQASRNMSCKSQGVSTRSCKKVHKQGIALGRSVDLTKFNGYEELIAELDDMFDFNGELKGPKKEWMVVYTDNEGDMMLVGDDPWIEFCDMVHKIFIYTREEVQRMNPGTLNSRSEDSHANSMERGSVGREMRGCLSTSSLNSENC >OGLUM11G14720.1 pep chromosome:ALNU02000000:11:18231619:18237764:1 gene:OGLUM11G14720 transcript:OGLUM11G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] MGSGEDTGAGVAGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHTRAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPYRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQYPVY >OGLUM11G14720.2 pep chromosome:ALNU02000000:11:18231619:18238019:1 gene:OGLUM11G14720 transcript:OGLUM11G14720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:crumpled leaf [Source:Projected from Arabidopsis thaliana (AT5G51020) TAIR;Acc:AT5G51020] MGSGEDTGAGVAGGGGGGAGGVVRGAVLKALVVVGGVLLLRRLRRSTTRWDHTRAVVDALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRSPEKPYRQRFYMVKPCPKDMKCDVELSSYAIRDVEEYKNFCDRPKDQRPQPEEVIADIAEHLTTIHLSRCERGKRCLYKGSTPPEGFPNSWSGATYCTSDLSIHKNGEVHIWDKGFDDDGNQVWGTKAGPYEFKPAPKSNYDDMFSPLNFSAPLTLEKKIESSFAIDDQ >OGLUM11G14730.1 pep chromosome:ALNU02000000:11:18242291:18250612:-1 gene:OGLUM11G14730 transcript:OGLUM11G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVSVSTGALNTLLPKLADLLLLVAGEQHSSRRAVKDGVEHLESELTSMRAALDKVSAAPPDQLDGQRELPVATSFRFRMEAVVSVSTGALNTLLPKLADLLLLVAGEQHSSRRAVKDGVEHLESELTSMRAALDKVSAAPPDQLDGQVRLWARDIRDMSYDIEDAIDTYLLREAAATPRRPCCIGFAHGHGRSRRRAAIVVEIERIKKEVEEVSRRRERYRIDDHVVVVVDDAPVDLRLPALYTNAASLVGVDASVEEVIKLLSMEGDQGAVMVRRKLKLVAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSRKPNIKVVLSSILCQVSRLKYENFSSWGEKEIIDKIRDILKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNIDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLQGISQKILKRCGGLPLAIITIASLFANRQTQAEDHWNSVCSSFHTGLESNTDVKDMRWIISLSYCDMPSPLKTCFMYLSIFPEDYIIDRDDLIWRWIAEGFIQPRQGTSLYEKGESYFDELINRNLIQPICIDVHAEAQACRVHDTILEFIAGLSIEENFVAILNGQCSVSDLPKRIYRLSLQNSKDDITISGATERFSHVRSLWQGIDLKMPLSGFRVLRVLDLGDCSSQNIDNIDNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKQTRITELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEHLSEIDTSMYPTLMKELSDLPKLRVLELLLSTWEKSKEKPFLDCFSCMKKLESLHIFAPHISLDFLLNVDWTLQELKKFTVCICPKSEDIFNLSPLSVWEEFSPLSTLPKWINSSLTNLSYLSIIVKILRQEDLGVLGDLPALCSLDLQVIDVADEMLVILSHSGGNGHARSAFQCLSNFNFTSPAMVLAFRHGAMQRLQILSFRFQLKKTKVFHCDFDLGLENLTSLKTVHFGVDCRYARLWEVQTAEAALRNATSLNLNCPTLDLSKHFERLMYWDGMEEIPDLKIFKEENAGLAKIGPWGGNRGRLYDIQVAPHHLESIKVCSDMAAIHSFEFTYSDHNGKKHTAGPWGGYGGNNVHMIQLGPSEFLVEVFGTFGRFRAALNIITSLTFVTNAQSYGPYGQKEGTPFHIPVQSSGCIVGFFGRAGWYVDAIGIYFGHAKIGPCGGNGGKAHDIMVLPHRLENVTICSDIVIHSLAFSYSDHDGQHHTAGPWGGDGGNNQTIQFGPSEFLTTVSGTFGSYNTSYDVITSITLVTNIGCYGPFGKEKGISFNFPIQGNGSIVGFFGHAELYVDAIGVYVNPWVGIWKQEEKEGIIKIGSFGRGGGCRCDIKVTPQHLESITISSKIVINSLTFSYRSHDGQQHILGPWGGGGENNYKINLGPSEFITKVHGTFGPFGEFPIVITSLTFINNAGHQYGPFGQGGGTPFHAPISGNGSIVGFFGHQGACLEAIGFYFRPS >OGLUM11G14740.1 pep chromosome:ALNU02000000:11:18253946:18286197:-1 gene:OGLUM11G14740 transcript:OGLUM11G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSASTGALNTLLPKLADLLLLVAGEHHSSRRAVEDGVEHLESELTSMRAALEKANKQWEDVDKQIESICSCG >OGLUM11G14750.1 pep chromosome:ALNU02000000:11:18294479:18300457:-1 gene:OGLUM11G14750 transcript:OGLUM11G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQGAVMMRRKLKLIAIVGPGGIGKTTLANLVYQKLHGQFECQAFVSVSQKPNVKAVLSSILCQVSQLKYENFSSWGEKEIIDKIRDVLKDIRYFIIIDDIWDKPTWQLLKCVLIDNDHGSKIITTTRNMDVAKLCCYSDDVDGTIQIQQPLSVADSEKLLYHKVFHNERCPPQLQGISQKILKRCGGLPLAIITIASLFANRQTQTEDHWNSDYIIDRDDLIWRWIAEGFIQPKQGTILYEKGERYFDELINRNLIQPICIDVHGKAQACRVHDTILEFIAGLSIEENFVAILNGQCSTSDLPKRIYRLSLQNSKDDITIPDATERFSHVRSLWQGIDLKMPLSGFRVLRALDLGDCSSQNIDNIGNLVHLRYLRLRGTHYNKLPKEIGNLRFLQTLDIKRTRIKELPSTVVHLTQLMRLMVDTWTKLPNGIGNMECLEQLSEIDTSMYPSLMKELSDLPNLRVLEILISTWEQNKEEQFLDCLGSMKKLQIVHIFAPDISLDFMLNKDWTLHELQKLTVGVRRESEDIFKLNPLSGWAEFSPFSILPRWINSSLTNLSYLSIIVKKLQQKDLGVLGDLPALCSLYLSVTGALKERLMIIGHSRGNGQAIAFQCLANFNFTSPAMMLVFRHGAMQRLQMLSFCFQLKETKVFHSDLDMGLENLTSLKTVHFRIDCRYARLWEVQAAEVALRNATNLNLNSPTLDLSKHFERLMYWDGMEEIPEMKIFKEENVGIVKIGPWGGNRGRRYDIEVAPHHLESIRVHSDLAVHSFEFEYSDRNGQKHVAGPWGGYGGSNVHMIQLESSEVLVEVSGTFGRFAGFQNIITSLTFVTNTQSYGPFGQREGTPFHIPVQCGGRIVGFFGRAGWCFDAIGIYVNPDLQTIKDKGKVVLAKIGPCGGKGGEACDIMVPPHHLESVTICSNIVIHSLTFSYNDHNGDHHLAGLWGSHGGSNQTIQFGPSEFITRVYGTIGSYNTPSDVVTSITLVTNAGCYGPFGQENGIPFDFPVQGNGSIVGFFGHANLYVDAIGVYVTPSMGIRREEENVGLTKIGPFGRRGGNPFDIKVTPHQLESITISSNIVINSLAFSYISHDKQQHIVGPWGSGGESNYTIQLGPSEFFVKVSGTFGPFGEFPNVITSLTFVTNTHHQYGPFGQGGGTPFHAPMSGNGSIVGFFGREGLCIEAVGFYFCAL >OGLUM11G14760.1 pep chromosome:ALNU02000000:11:18323173:18327596:1 gene:OGLUM11G14760 transcript:OGLUM11G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 14-demethylase [Source:Projected from Arabidopsis thaliana (AT1G11680) UniProtKB/Swiss-Prot;Acc:Q9SAA9] MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >OGLUM11G14760.2 pep chromosome:ALNU02000000:11:18323764:18327596:1 gene:OGLUM11G14760 transcript:OGLUM11G14760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 14-demethylase [Source:Projected from Arabidopsis thaliana (AT1G11680) UniProtKB/Swiss-Prot;Acc:Q9SAA9] MMDLADPNHRLIAGAALLVATLAFIKLLLSSAGGGKKRLPPTIPAAPLVGGLLRFMRGPIPMIREEYARLGSVFTVPILSRKITFLIGPEVSAHFFKGNEAEMSQQEVYKFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVVEAEEYFSKWGESGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMQPVSVIFPYLPIPAHRRRDRARQRLKEIFATIIKSRKASGRAEEDMLQCFIDSKYKSGRSTTEGEITGLLIAALFAGQHTSSITSTWTGAYMLRFKQYFAAAEEEQKEVMKRHGDKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFSVTTKDGKEFDIPKGHIVATSPAFANRLPHIFKNPDSYDPDRYAPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPETNWKAMVVGIKDEVMVNFKRRKLVVDN >OGLUM11G14770.1 pep chromosome:ALNU02000000:11:18333144:18342935:1 gene:OGLUM11G14770 transcript:OGLUM11G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase family 38 protein [Source:Projected from Arabidopsis thaliana (AT5G13980) TAIR;Acc:AT5G13980] MGASAARLAALLLLLLAAAAAAAAVGECVYIPYNTSAGVVGGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLAFFQRWWRQQSDMIKDIVKGLISTGRLELINGGMCMHDEATVHYIDMIDQTTLGHRFIKEEFGQIPRIGWQIDPFGHSAVQAYLLGTEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKTFGSSADIFAGIFPKNYEPPPGQFYFEVDDTSPIVQDDPLLFDYNVEQRVDDFVAAAIAQANITRTNHVMFTMGTDFKYQYAESWFRQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAENVPWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRVMSGYYLAARQLEFFKGRSNSDLTTDSLADALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAEKLVSSSLSCLSQSGSKSHCPSQTTNFEQCPLLNITYCPPSEMDLSQGKSLVVLVYNSLGWKREDVLRIPVISDSIVVHDSEGREVESQLLPIANASLHMREKHVKAYLGMLPAAKPKFWLAFPVSVPPLGFNTYFISSGKKSASVSLMSTLHPSQGSENSNMQIGQGQLKLQYNAAAALSLYSNSKTQVEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGSILDEVHQQINPWIYQINRVYKGKDYVETEFIVGPIPVDDGNGKELSTEVVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSRELSILVDRSVGGASIKDGQIELMLHRRLLHDDGRGVAEALNETTCFDNQCEGLVIQGKYYLKIDPQGEGARWRRTFGQEIYSPLLIAFAEQDGGNWVNSHVTKFSAMDPAYSLPDNVALLTLQAGEHKDLSALASVDLKRVFPDKKIVKIVETSLSANQERSAMEKKRLKWKVEGPPADEKIVRGGPVDPSKLVVDLGPMEIRTFLINFAPQSGKQLM >OGLUM11G14780.1 pep chromosome:ALNU02000000:11:18343984:18350968:1 gene:OGLUM11G14780 transcript:OGLUM11G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHPSSSPTAATSPPPRKRPRDASPSEEEEGGLPGGPSSPSPSPAGYIFMCSGATKPECYARGVMGQPRGRLPAVSRIRRDAALFLYDFDSRHLHGPYRAASDGGLDLAPAAFGGRFPAQVKFTIDGDFMPIPESSLRSAIKENYSNGRFSSELTLAQVENLRTLFRPIIVQPEPGLPNNFDDRQPARPAEYLPPSTSHPTQPAAYCSMSPTAPSLVCDKPHSAAENDENGCSSGSSMSSPAGYIFMCNGVTKAECYRHRVMGLPLGSLDVVSRIRRGTVLFLYDFDAKHLYGPYHADSNGGLTLVPDAFRGRFPAQVKFTVDGDFMPIPESSLRTAIKENYSNGKFSPELTLVQVEKLRALFRPIIVMPESALFHNDSDRHPVPPAVYLPPASHPSQPAAYVHQQHQTSYIPPSIAHPMPPESYEHSYAQMPPPNMQFTTPPYHMSTAEYPYQAAHTAYSSLPSANNISMANNLYGQQSLSDHVSATGYYTAPYYAAHQIGMHPVDPPRSHYLGTTSERITYGTEHEAATTNLQPISHYGSIPSGATAAPEAAATNSELVCNSGATPSASATGAAMTNLQLVRNYGSMPSSVIGAAAHSSEGSQFEQAATYITHAPGTYYCYGASSAIYSYPGNTASCDGNNVAAAPSVYAVAAPPAYQ >OGLUM11G14790.1 pep chromosome:ALNU02000000:11:18351734:18354222:-1 gene:OGLUM11G14790 transcript:OGLUM11G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G38130) TAIR;Acc:AT2G38130] MSAAAAEATERGEPSGGGGEIAYVSYGGEHHLPLVMSLVDEELSEPYSIFTYRYFVYLWPQLTFLAFDPKDGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPRPDPGMHPMMMLGNERDDQHMDSPYL >OGLUM11G14800.1 pep chromosome:ALNU02000000:11:18366824:18369362:1 gene:OGLUM11G14800 transcript:OGLUM11G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNQVAGEILSFFTRNNFTISDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVRGDDVQVEQMRKELKFSEKGMIYVKGIFET >OGLUM11G14810.1 pep chromosome:ALNU02000000:11:18368877:18370419:-1 gene:OGLUM11G14810 transcript:OGLUM11G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAASGGDGVAGVQLQEFAYFVVIDLEATCERGRRIYPQEIIEFASVVVDGATGEQLAEAFRAYVRPLHHRELTNYCRELTGIAQADVDAGVDLREALRAHDAWLDARGVKNAAAGGGGGGGGGFAVVTWGDWDCRTMLEGECRFKRIIGDGKPEYFDRWINLKVPFRQVFDRGGARRIGLEEALAVAGLAFEGRPHSGLDDARNTARLLALLMRRRGGVRLAITGSLPPPPSQLITSYSIMFQRSP >OGLUM11G14820.1 pep chromosome:ALNU02000000:11:18371322:18372964:-1 gene:OGLUM11G14820 transcript:OGLUM11G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLAASGDAAPGGGDAASGGGPAQLRSGAATREALELDCGLVGTLRLGWRCGGGTRQLAEDSRLGHGCGDGEDVARGNCIRDWSNSRRRGDGLDRRFVFHGWVDGGGSVRERATVVIVDAVHRRVVETLLHPRPVAQIRYSRGNRHQIHEGEVRYHQTCEGSWSLPMRRRRPMEAMLLPLDPLLSEPQSIGLLVHLHELVE >OGLUM11G14830.1 pep chromosome:ALNU02000000:11:18373750:18374127:-1 gene:OGLUM11G14830 transcript:OGLUM11G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLPFDGRPHIGLVVYDDARNTAVLVRRGGFTAGSLPLPLPAAEEEGVVVGGGGGGGGARVRYCHCGVESREKVVRRPGPTQGRRFFGCGRWTAARGAACDYYVWDEAAPSTFGLNSQPSPPRE >OGLUM11G14840.1 pep chromosome:ALNU02000000:11:18388745:18389280:-1 gene:OGLUM11G14840 transcript:OGLUM11G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTWTARQPRPLTCGALNHDRRAELLNLDRLAMRSSTAIVVEKAMVDLAAVGGVDVEDEAGRILSTLTEAADINWRIRQWMLGPS >OGLUM11G14860.1 pep chromosome:ALNU02000000:11:18389968:18390368:-1 gene:OGLUM11G14860 transcript:OGLUM11G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLCCPLGPQFHRFHRLPHRSRIDNLIIRIRHRQPLGALPLRLQVAIIAVLGRWSNYLHMATDAVGPATSPSSSSSMSHRQHCRIFLDYTSLFSGNCGCCFGNSASTPFSLRNRLGGLLC >OGLUM11G14880.1 pep chromosome:ALNU02000000:11:18391427:18393477:1 gene:OGLUM11G14880 transcript:OGLUM11G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAGTWRRARVGGGEERGVGRPEGEAEMRQKQCDLGEDWSDGHACAVRLSSDLCRPNPSLWWAFFGLSRWGEGVLLGQNPAWSRDHLDLLVESTVLGAPANSKRRDPVGRRVRQRSTHRWWRKSAGSGEIATPRAGAPAAEAERGDKKLKTITTPTSGHRCIPNKIILKGKKLIGIEIRSRVVGWSFIKIEVSWPPPALECYI >OGLUM11G14890.1 pep chromosome:ALNU02000000:11:18395176:18395648:-1 gene:OGLUM11G14890 transcript:OGLUM11G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKVLSGDILEAACPVLTMVRRGQWTMVVDGWADGVRWPSTFLMHIRMMATEALDGVILEAASVMCEWQRCIFSKLMTVKTRRWWDGKRLRGALWAFKMQQLHKGFLDGDGGKQT >OGLUM11G14900.1 pep chromosome:ALNU02000000:11:18396303:18400991:-1 gene:OGLUM11G14900 transcript:OGLUM11G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEVPVQLPPPPPPRRIGNGATSSATEIDNSPCQCGDDSQSASTVESKMQLPEDILHHIHALMPMQDAARAACASRCFLRSWRFYPRFNLNVNTLRIDKRAPSNDKLTMDFISRVDPIMLNHAGTGVKMFKLTTHPCFSLHPSYVDRGLQIAFAQGIKEFELEMTRVSKMDYDFPCSLLSRVASSVQSFLLGGCSFHPGIQIGQMSSLTSLRLRSVKITEEELCGFLSKSCALQRLLLSDCHNIVVLKIPHLLELNYLEVLHFRKLEAIDSSAPKLSTFIYAGPPIQISLGEALLQVKKMQMFCDGSPDALHYGSKKLPSIAPNIQKLYLSTRNELKCLEILLLTPDLSPGYDFCSLVSFIDASPALETFILRIERPAKRHDSILEALSGDSMHPMRASEYRHDNLKNMMITGFSSAKSMIDLTNHILEKTSSLEYLTLDTTRGYDRRNDKIDPCQCLQMSKEALLEAEKALLAIRIYVEWRVPSSVSLKVIEPCSNCHTETRS >OGLUM11G14910.1 pep chromosome:ALNU02000000:11:18402604:18405936:-1 gene:OGLUM11G14910 transcript:OGLUM11G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSHVPMRDAARAACVSHAFLHSWRCYPNLIFNAENLALNRKGVNDNETPVDFIAIVDNIMRNHSGVGVKIFKLELGPGYAVHPSHLDRWLKAASTLKIKEFAFELPLRNKTEYTFPYSHLLSDNRRGNSVQSFYLSSCTFHPTLQFECLRSLKSVHLSWVDITGEELACFISNSFNLESLEISSCCKIGFLKTTSVLQKLNCLRVQHSHRLDMIEINAPMLSSFHYRGPLTVISLGDAVQLRDVNLLLYPWHRMFHYARTKLPTVARNVENLFLMTRDEDVNTPMVPNKFLYLRYLEMVFIGPRKESPPCYDFFSLVSFLDASPALETFVLHLDSVGTKNDRILEDSSELRKLPKCNYSNLKNVKITGLMSSKTLVELISHILDNTPSLEFLTLDTRIYGFKYEIRRFLSWDSGIMMTTDDQMESELDRELLMSDSDLIEAYRAPQVIRRYIEGKVPSTVNFEVIEPCRKRVIVETSRGPRLYYA >OGLUM11G14920.1 pep chromosome:ALNU02000000:11:18408498:18410313:-1 gene:OGLUM11G14920 transcript:OGLUM11G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGPNDFLVTSMVDVLALEDVFGHHPPPLARVVVQELDRLVDASDVFIALGYARDRMGWVIVGMDKLGKASDMSKDLNKRKLQFKRILDRVTATAAVLPSDLVSHARGLELLEARFGEPLPAAGRAVTAEEFRDLVSLANRVSWDARNAHLRATAVRWYLEALIHDVEATLHDKVVLSDLKNADSIKPCKEVRNPGSEAEGKVPGKKTAWSEPLARVILRIRQTIHNTIEKLRIAGIQATSAHDLLFLVEKPSCDQVHHA >OGLUM11G14930.1 pep chromosome:ALNU02000000:11:18413706:18414415:1 gene:OGLUM11G14930 transcript:OGLUM11G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDIIFLVISLRNTNSDAAGTIRQHGAGGRGPSSVFRRPRRRPAPAAIQADGAPLPAIRQGAGLGAPHRPSREGIKRFDQEHVDLVYGPLALDFAGLLLIAARAVIFLLRSEIGYNDIVQHRRLPVITDVFAPWSP >OGLUM11G14940.1 pep chromosome:ALNU02000000:11:18420519:18421325:-1 gene:OGLUM11G14940 transcript:OGLUM11G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADATTTASALRARAAEFRNRAEKLRELLVVDDEPEEGITRDVARNLLRILVEQNAMYEFFRRRARDVAGEEEEEEQEGFVGGGKGASDSTDWIADDAAMEQEEEDFVGGGEGASSDATDRIVEDAGMDLEEGEIVEDAAMAQEQGEEEEVEGKKDEVMVDGKRIPSELWDLVSLDDDEIASAQEVARARIRHAKEMEKVWLHLSHIDHDDFRALDSACLDYLSIKRFSEPILQASELLDTIRSRDWASALERRAAELDTEAASLET >OGLUM11G14950.1 pep chromosome:ALNU02000000:11:18424101:18433826:1 gene:OGLUM11G14950 transcript:OGLUM11G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MSVSVCCWFPIFHFVASRRPTRFRSRSPRRAPLRLLRVAVVFLLSGRCLLPNPSPPRKTLDPAHTPNDAMDPSGSGPRHGRLLISPSLSSPTFSTSSPSRSPAPHHDRRNSTSSPQPLLPFPSASSSRSGGGGGAAGGPRAAGALSSASQPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRQRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALIGAMGFSGFATRCHSPLASLQRQVQRGFKDESLASLFPCICSSLFCMALPGNNLRSFTVVEWKASFRWPTFGFLYSVDRSCLHTNSRSPLPSCSVSQEIPSACCGYRASFCYHATTY >OGLUM11G14950.2 pep chromosome:ALNU02000000:11:18424101:18433826:1 gene:OGLUM11G14950 transcript:OGLUM11G14950.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:no exine formation 1 [Source:Projected from Arabidopsis thaliana (AT5G13390) TAIR;Acc:AT5G13390] MSVSVCCWFPIFHFVASRRPTRFRSRSPRRAPLRLLRVAVVFLLSGRCLLPNPSPPRKTLDPAHTPNDAMDPSGSGPRHGRLLISPSLSSPTFSTSSPSRSPAPHHDRRNSTSSPQPLLPFPSASSSRSGGGGGAAGGPRAAGALSSASQPAFAHNARVAAALAPAAAFLLDLGGLPVFAVLAVGLAAAYLLDALRQRQGAFFTVWAALIAADVAFFFSASLSSAASASVPLTVLALLLCAETSFLIGVWASLQFRWIQLENPTIVAALERLLFACVPIAAPAIFTWAVVSAVGMANASYYLATFSMVFYWLFSIPRPSSFKNRKQDAPWQDTDGILGPLESCVHALYLLFVPVLFHAASHHATLFASWANVCDLLLLFFIPFLFQLYASTRGALWWITRDVRTMDQIRMANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGMAAHAAGKVGDAVSSVAFTGLAVLVSGAGAIVIGFPVMFLPLPMISGYYAARFFTKKSLSSYFTFVAIASMMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMTVPGLALLPTKLRFLVELGLTGHALLICYIENRLFNYATMYYFGFEDDVMYPSYMVLFTTFLGLALRFCYSLSLPPCFFTGLACIPIVALHFPHAQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSEFIKAAHLSDDDTSIYGFIASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYALGVGITLGIYISVQYFFQAVVLYPLLVATIVLAAVFIVFTHLPSESSTRVLPWVFSFLVVLFPITYLLEGHLRAKNFVDDEEAENFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLREKAADKGVTHGPPGRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNFSTVLCFIICLILNVTLTGGSNRAIFFLAPILLLLNQDSDIIAGFGDRQRYFPVTISISVYLVLTALYRLWEETWPGSGGWALDIGGPGWFFAVKNVALLMMTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTVRVLGLLGAIYSLSQYVISRRIRLAGMKYI >OGLUM11G14960.1 pep chromosome:ALNU02000000:11:18433724:18437905:-1 gene:OGLUM11G14960 transcript:OGLUM11G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQAAAEAEAERMRREKDGVVKEVIRLERESVIPILKPKLIMKLAYLVEQDKDRGEFLKLCRRVEYTIRAWYLLQFEDLMQLYALFDPVNGVKSLEQQSLTPDEIETLELNFLTYMFQIMEKSNFKLLSDEEYDVAQSGQYLLNLPIKVDESKVDKKLLTRYFKAHPHDNLPASADKYIIFRRGIGIDRTTDYFFMEKVDVIISRVWRSLLRVTKIDRLFSKKKQLRAKKDPKKTDEVNEEVEEQEFSVERIRLEKMELRKSSTEAKPDRGIFVKHFKNIPMADMELVLPEKKNPSLTPMDWVKFLISAVLGLVTLIGSLEMPKADIWVVIAILSGLIGYCAKIYFTFQANMVSYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATVQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRIVCVPLKRANDIIGQTTEEMVMRAQQASTGS >OGLUM11G14970.1 pep chromosome:ALNU02000000:11:18439149:18440390:-1 gene:OGLUM11G14970 transcript:OGLUM11G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G51010) TAIR;Acc:AT5G51010] MAALQLQALRAPAAAAAPLRSSSFCTPLSLPAPAPPRRGARASTASARITMRFGVASKQAYICRDCGYIYSDRTPFDKLPDKYFCPVCGAPKRRFKPYEPKVAKNANATDARKARKEQLKKDEAVGQALPIGIAVGILALAGLFFYLNSVY >OGLUM11G14980.1 pep chromosome:ALNU02000000:11:18442620:18448411:-1 gene:OGLUM11G14980 transcript:OGLUM11G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSENAPDHDHDHDASSPAPATATAAALPPMIPACDPHDGPACLELIEVLTTRAAAVQRRVLAEVLAMNAGTDYLRRFLGDEVAAAAGGEDELAAAFKERVPVVEYEDVKPYIERIANGAPSSLISSKPITELLTSSGTSGGQPKLMPATEEELDRKTFLYNLLVPVMNKYVEGLDEGRGMYLLFVKPEITTASGMVARPVLTSYYRSRHFRRRPDSPYTRYTSPDAAILCPDSRQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCADIRAGRADPAVVTDAACRGAVDAVLAARPDPDLADAIAAECGGASWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSESYFGINLRPLDPPEEVVYTLLPNMCYYEFIKVEKDGDGEKVRDGEVVDLVGVEVGAYYELVVTTFTGLYRYRVGDILQVAGFHNAAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKPLLDPLSCVLAEYTAYADTSSIPGHYVLFWELTPSPSPPPPPCHDDADDAADIGEDKDKVAHVMAACCAAVEAGLDSVYRRCRSRDRSIGPLEIRAVAPGAFDALMDMCVSHGSSVNQYKTPRCIKHPDAIAVLEQRVVGRFFSDAVPHWEPLKVDGAAAAPATGGDQ >OGLUM11G14990.1 pep chromosome:ALNU02000000:11:18467552:18468292:-1 gene:OGLUM11G14990 transcript:OGLUM11G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMPGGDLRLASLLGLRRSVQRTSMYFHGCSAAAAVLRVAKDLAENNPGARVLVVSAELSLTLFRAPQEGHVDTIVGQALFGDGAGAVIVGAGGDERQVFEMVSAAQTVLPESEGGAEGQLNASGLVFRPSFELPAMVRDNIEQCLAEGVGKHVAHGGWNDLFWAVHPGGRKILDVVEDRLALAPGKLDASRHVLSEYGNMSGASIIFVLDELRRRGDMPSGGLGVMLGIGPGISIETMLRRVAAA >OGLUM11G15000.1 pep chromosome:ALNU02000000:11:18474745:18477862:1 gene:OGLUM11G15000 transcript:OGLUM11G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRPASRRPGALDQQWLRQNADQRSSSKRRSLICPCPRLTAGALLNVPIGMRASGLQDPVALVSIGGWSPNQGVPQPAIILNPSFSRHRRGLCPGRPYWAAAMGLSNHTLSTLTGSRQVEPRGKSMGISIDSEPTAIDLFKELHCSKTKGFSEPVKKAIEDMHAREVLTSPSVEDGHQAKTSIEANLAAHCQDNNVMKEIQVELDAKKLESAVLQEELERLKAQAHENYCHCINDDVLLWSQKMVSNPPSVMTAISSL >OGLUM11G15010.1 pep chromosome:ALNU02000000:11:18486957:18488486:-1 gene:OGLUM11G15010 transcript:OGLUM11G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLPTMETAHAAVLAIGTANPAKCVAQEEYVDWYFRVTKSDHLVDLKAKMKRMCDKSGIRKRHLCLTEDMIAAHPELLDRAAPSLDARLGIARDAVPELAMAAAARAIAEWGRPAADITHLVVSTNAGAHAPGADARLAELLGLRATVQRTVLYMHGCSAGCSALRLAKDIAESNRGARVLVACAEVFLIALAAPDEARLDALVAASLFGDGAGAVIVGTDGDDDPGAPVEHPPIFHMLSASQTTIAGTHQRVSLQLSERGLDYKISGEVPALVRAGIERCMEDALAPLGLAGGGGGWNHLFWAMHPGGRAILDSYEAGLRLEPGKLAASRRVLSEYGNMSGAAIIFVLDELRRRRRRRDGGEEEEEESDEYCEWGAMVGVGPGLTIETMVLRASATGGHVGDEVKKSTTA >OGLUM11G15020.1 pep chromosome:ALNU02000000:11:18513976:18516238:1 gene:OGLUM11G15020 transcript:OGLUM11G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQQHAAVLAIGTANPAKCVVAQEEFVDWYFRVTQSDHLPDLKAKMKRMCDKSAIKKRHFYHSEETIAGHPEFINRALPSLDARLGIAKDAVPELAMAAAARAIAEWGRPAADVTHLVVSTNAGAHAPGADARLAALLGLRATVQRAVLYMHGCNAGCTALRLAKDIAENNRGARVLVACAEVTLPLFAAPDEARLDALVAMALFGDGAGAAVVGADPTTPVEHPIFHVVSASQATIPGTEEGVSLLLGERGLDCRISREVAALVRGGVERCLLDALTPLGLGAGAGGWNHLFWAMHPGGRAILDAYEAALRLEPGKLAASRRVLSEYGNMSCAAIIFVLDELRRRRRRDGGEEEEESDEYCEWGAMVGLGPGLTIETIVLRATGGGHVDDEGKKSIAA >OGLUM11G15030.1 pep chromosome:ALNU02000000:11:18520316:18521901:-1 gene:OGLUM11G15030 transcript:OGLUM11G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTAASRRPAVASAGGGDGHGHRHAAVLAIGTANPASWVTQEEYVDWYFRVTNNEHLADLKAKMKRICDKSGIKKRHFHLTEEMLADHPDFADRAQPSLDARLDVAAAAVPELAAAAARKAIVEWGRPAGDITHLVVTTNSGGHVVGADVRLARLLGLRPTVRRTLLYLGGCSAGSGALRLAKDLAENAPGARVLVACAELNLIAFRGPEDGCLDTLILQGIFGDGAGAAVVGADPVVPVERPIFYMASASQTTIPGTEHAITGQLRKGGLDYHIAHEMPSLVGEHIAHCVADALAPLGIGIDVDVDGGGGWNGLFWAVHPGGRAILDSVEARLALAPGKLAASRRVLGEFGNMAGATVFFVLDELRCARGEGERRGCEWGVAVAFGPGVTVETMVLRAVHF >OGLUM11G15040.1 pep chromosome:ALNU02000000:11:18523418:18525426:-1 gene:OGLUM11G15040 transcript:OGLUM11G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVVPRAEAGGGGTSMVRVAVVPRRDLVPHLDSAVLALPHLAPCRSGGGSRRRRGAMAVVAGLSSARIWWQRRPSRAWPRAHNGEGGRRSPREEGRGRAFSSQGQSRPIVLKQYEAQA >OGLUM11G15050.1 pep chromosome:ALNU02000000:11:18525448:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCNGGRGAMDFIGMFKDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSLADEISILNDYYGPSPA >OGLUM11G15050.2 pep chromosome:ALNU02000000:11:18525448:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSPSVRRNGYKVQRRQGRDGFYWDDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSLADEISILNDYYGPSPA >OGLUM11G15050.3 pep chromosome:ALNU02000000:11:18525708:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCNGGRGAMDFIGMFKDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSV >OGLUM11G15050.4 pep chromosome:ALNU02000000:11:18525708:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSPSVRRNGYKVQRRQGRDGFYWDDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSV >OGLUM11G15050.5 pep chromosome:ALNU02000000:11:18525448:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPEAPPRQGHRWNASSRFCSVVRDVFFFASHLSLLWRSWGAHHLFDGMATRCNGGRGAMDFIGMFKDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSLADEISILNDYYGPSPA >OGLUM11G15050.6 pep chromosome:ALNU02000000:11:18525708:18528916:-1 gene:OGLUM11G15050 transcript:OGLUM11G15050.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPEAPPRQGHRWNASSRFCSVVRDVFFFASHLSLLWRSWGAHHLFDGMATRCNGGRGAMDFIGMFKDLKQTVANGLVAVRPPSVEEQTDAKFVMHKAKLEDFEQHLMTASQQENNMNEIIRFNKQKRHGLVEMLKGFARNQCAMAGTHGNTSVLPGHWQPAIPICRSTTYPDREDLSAVEGCHHLYTHLKHTHTVGYAATIEGCLRLFLGGWPHFKSV >OGLUM11G15060.1 pep chromosome:ALNU02000000:11:18542907:18543220:-1 gene:OGLUM11G15060 transcript:OGLUM11G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHRISLLLPSPPQLEPVRLRRSAKDVNKLRASPLDAGQRLRQQGGGVDLGQKQQPAAAPRHLPHRQH >OGLUM11G15070.1 pep chromosome:ALNU02000000:11:18551732:18554453:1 gene:OGLUM11G15070 transcript:OGLUM11G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNIKIFMWYLLNGVVLTKDNLVMRNWHGSFQSNILAPVLGSTTKPPLPAGGDVLHAAAIAQLLPLLVVAVVAPPPLVVAVVAIVTLSPLPAAADRARCRYRRRRCSLPLPPLVLVVTVIAPPGCAVGSGGDDDATLCAQWGGEERKEAERER >OGLUM11G15080.1 pep chromosome:ALNU02000000:11:18562469:18565321:-1 gene:OGLUM11G15080 transcript:OGLUM11G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVTVEEVRRAQRAEGPATVLAIGTATPANCVYQADYPDYYFRITKSEHMVELKEKFKRMCDKSQIRKRYMHLTEEILQENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSRITHLVFCTTSGVDMPGADYQLAKMLGLRPNVSRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLAVCSEITAVTFRGPSESHLDSMVGQALFGDGAAAVIVGSDPDEAVERPLFQMVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALGDAFTPLGISDWNSIFWVAHPGGPAILDQVEAKVGLDKERMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGMDWGVLFGFGPGLTVETVVLHSVPITAGAAA >OGLUM11G15090.1 pep chromosome:ALNU02000000:11:18573211:18573670:-1 gene:OGLUM11G15090 transcript:OGLUM11G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEAAVVAAAVVEVTHDSAAAIHAVWLKPPEAERRRICTPKARRCCPRPDSSTPEATADTVITVIQDRHREWVEWEGESEEIGGVGESG >OGLUM11G15100.1 pep chromosome:ALNU02000000:11:18583781:18584324:-1 gene:OGLUM11G15100 transcript:OGLUM11G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSSCSGGRRKKVQLPLIQCPLCKENTVVVRTSRTPTNLGRIFYTCPDHEKDGSGCNFWYWEEGYMKYLKRNGFIDGEEATEVKKAAELKNASKFDGDVVLRQDDELKKALTDVVSIGRELVVVMKLMLVVGSIGVALLVGIMMK >OGLUM11G15110.1 pep chromosome:ALNU02000000:11:18588663:18598200:1 gene:OGLUM11G15110 transcript:OGLUM11G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRATTTLWSKPPIFLTGHTTGKVNVTRALEGPSGGRGEREGGRCLRDGAVMTRPRGGCAGRQRWRAIRGGKGAEGDVEEQDPSSLSPVVGTAGPSEAERLRRGAASLLSLSPLVRPARPLEAGRKRKAAARGEKHRRMTDMSKPRKVTENGGSIDLVTMKSNVSKQGFMAFAAGCSSMTAVAVALSKRLSTTDMLPLRCHFASPTRCGFVFNGGSYTMLGGSGGGMRLELRRSPCHVNFRWKEDRVNITTRAISGSAWNQANAEAGLWAARDNRLSRTVITDG >OGLUM11G15120.1 pep chromosome:ALNU02000000:11:18602241:18607203:1 gene:OGLUM11G15120 transcript:OGLUM11G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAATSTYAESVASCRAPAPATPRSPHAAVRRHLDFAAGDGGELDDDEVEDEDEDDFLFRAAEETERSHYEAQRRASAPPPPPSQPPAFLERPCICGRGGCDVEERELGRWAYVCPATPKCKYSVWCGEADICPNPQPAYMSHPKPNPHVFSSPCSPVVFNSPSNHLAGSTTPTPNNLQVFNGPRNPHVSNSPSNHLAGSTTPTPNNLQVFNAPGNLHVSNSPNNHRSGATTPVNANPRGSRSSDKQPICHCRAGKCKVETIKGQKYYVCCIQKGQGACPYQVPVNAFVEESPQAGNSVPLEDNRGNYSPVKVEANNDNGSINPDQPEYDEWPFDIVNNDVVCSGFLPTAEPTLRDGIVAGESPSTQHLSNATTEAKTPTKSPIMPPPHGSGSPFTPRSNPCYRCGEDGHWSRNCPKPASSPLNSPCYNCGKLGHWRGNCPGT >OGLUM11G15130.1 pep chromosome:ALNU02000000:11:18619860:18620267:1 gene:OGLUM11G15130 transcript:OGLUM11G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAGPAVVGKGAAAALHGCCAEFGGGGGGIRRRRRTGAADPATAMRRGGGSGDGEVRAHPPCTACGCLSHHHRGESRFPDRLLNGNRGILPATMTHYGIQLMFLFSVENFQLAVKNMDVDLNVILNMNLHNFFF >OGLUM11G15140.1 pep chromosome:ALNU02000000:11:18623691:18629112:1 gene:OGLUM11G15140 transcript:OGLUM11G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSWSCSWICPLEVIVQIRKLFFSSKEKMAPSSPPAMNMACARQGRLRQRYEGCYRLVSGCIPYMIKEDGENSSSSLQDDDHVLERLQVLMISTPKRSDLIFPKGGWEDDESVGEAACREAFEEAGVKGVLSGTPLGEWIFKSKSKQNSCGLQGACKGYMFALQVTELLESWPEQTTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFAAGDDLRATPSPELDSSAGLYMVMPPVAEGAVALC >OGLUM11G15150.1 pep chromosome:ALNU02000000:11:18628188:18628886:-1 gene:OGLUM11G15150 transcript:OGLUM11G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPALPPPPRLVDYISSLQGLVRLGDLADLEPDKSVVVVVSGCYPLEDAPILFDDLSARETWCVIGMPELGILRARAISLLQRREDLRALVRDMGHDLLVCGTESGKVEGVLARALKLPAGMDAPPGISLDLVGDADEGRRFLRRDIARARLQLAALAGHTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >OGLUM11G15160.1 pep chromosome:ALNU02000000:11:18630235:18630564:-1 gene:OGLUM11G15160 transcript:OGLUM11G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPGMWGAVVKDGDEGFVNVPLVGFNVVRGPLLARPLLEKGSEKEVERDYDNGS >OGLUM11G15170.1 pep chromosome:ALNU02000000:11:18631426:18631974:-1 gene:OGLUM11G15170 transcript:OGLUM11G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVIDRQKNHGMHFRVYISKALRMSVGDHIHAGTVVEKDHARGIFFTQDWVSMPGVILVASGGVHVWHMPALTEIFGDDYVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIRSACKWSPELAAACEVWKAIKFEFEPVDKLDS >OGLUM11G15180.1 pep chromosome:ALNU02000000:11:18657271:18661328:1 gene:OGLUM11G15180 transcript:OGLUM11G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEITGRPGPYINPLRSLHTSGSEHGWSEVSARLPLFTCRTKSEEGDYLRNGRSASIGFPPVKEEHVICGIEDARRLVGLPPPIHITMTECLVKDAGEVLKLLDENITSGRWTVSDETVALLRVLAEGCEDLMYAKKELTDTVKAAQDDLITLGGNTLSVEERLLLQEKEDRRILVLRALNLPPDSKPSRSFDVENIDAVLAARGRLRGQIVQARADMEGLRQYITETWLPWVEQRLNTHLTLGEPGLRDNCNS >OGLUM11G15190.1 pep chromosome:ALNU02000000:11:18664544:18665599:-1 gene:OGLUM11G15190 transcript:OGLUM11G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGRGRMDYGWGKRINGGRRAAGLAFLIWFGPTPRLTVAEPELVREIFLTRTEAFDRYEAHPVVRQLESDGLADAVGEGQRSAARKAGGVEREQEAAAHEATDGGGGGVEHEQEVVAREKAVEHWPIRQVQGCSCQSPAPTAAPTLL >OGLUM11G15200.1 pep chromosome:ALNU02000000:11:18670079:18673953:1 gene:OGLUM11G15200 transcript:OGLUM11G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMKSRHGAAKRAPEKKPGIFQLDDIPENAVFMIHQLLSLRDAARASLLTRKWLRVWRFYPNLEFTTKALGLKKRIHKVQRRAKFVSCVNTVIRHHAGTGVKSFIIKKNLNNQKYTHYLDRWMYFAVSSGAKELTLDLRPQRFIHYRNIQYNFPSSNFATPMPTSIEHLKLLFCYLRPSPTFFGLSNLKTLELSFVRITKEDLESLLSYTFSLQELKLSQCPNIDHLRIPDVPSKLNYLDIDLCWIRALEIHIQNLVIFNYHGSVRFRIIQGEGSLFKEARFQFSCGDAIEYAITEMAPALPNLETLFLIGFSKMVIPTRDPRHRFHCLKHLQLKMIMLSKKYNNLCLVSFLDAAPFLESLIVHVCNGSLYYPGKKTDLRRLEKREPHKNLKYAKMTGFDGERSSIELALHILESSTNLECLILDPRKYKSEWKYIYEENLRDVQWRVHNFTISEYIAEAVPSHVKLLFS >OGLUM11G15210.1 pep chromosome:ALNU02000000:11:18675504:18690821:-1 gene:OGLUM11G15210 transcript:OGLUM11G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGDSAAGLVGWRVGHGGSCHHRRRHCCWWSRGRSRGGDREAVREVGALPKHMMEAELLTTFQKVAIVDEVTIIKDKRPLVVWIRTPRSRISTSKLPYHV >OGLUM11G15220.1 pep chromosome:ALNU02000000:11:18694588:18701707:1 gene:OGLUM11G15220 transcript:OGLUM11G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKAGVKDYKLTYYTPEYETKDTGILAAFRVTPQPGVPSEEAGAAVVAKSSMGTWTTVWTDGLTSLDRYKGRCYHIEPVVVEENQYIAYVAYALDLFEEGSVTNMFTSIVGNPYALYIWRTCEFPLLIQKLSKVRLMARTSEIKGHYLNATTGTCEEMIKRVVFAGKLWVPIVMHDYLTGGFTANTSLAHYCCNNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHTGTVIDHVRSIFFTDWVSMPGVIPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPAANQVALEAYVQARNEGRDLTRKGNEISRSACKWSPELAAACEVWKAIKFEFEPFTTPIKSERSDIGTSAAGLTVASLHILSLGPAPTLAPPTSS >OGLUM11G15230.1 pep chromosome:ALNU02000000:11:18710890:18711588:1 gene:OGLUM11G15230 transcript:OGLUM11G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPALPPPPRLVDYISSLQGLVRLGDLADLEPDKSVVVVVSGCYPLEDAPILFDDLSARETWCVIGMPELGILRARAISLLQRREDLRALVRDMGHDLLVCGTESGKVEGVLARALKLPAGMDAPPGISLDLVGDADEGRRFLRRDIARARLQLAALAGHTERHILRRLGRELALRASTGVVAPQELWPDIQEQRLRHAPPDSTSDAALYAEAAEEAAWAAPAGTPPDSDA >OGLUM11G15240.1 pep chromosome:ALNU02000000:11:18714630:18717884:1 gene:OGLUM11G15240 transcript:OGLUM11G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSALSKYSLIDSFLASEQKGLRGDTLSAANLVLYRMEDNMIYPIKDQETMLAVPMDMNRQQQDTGYRIEIQVYKIAYN >OGLUM11G15250.1 pep chromosome:ALNU02000000:11:18719283:18726924:-1 gene:OGLUM11G15250 transcript:OGLUM11G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPIPPRSASPNPLLLRPPVLVVRRLRLRLLPPRRARLVVAAAAAAATTAAAASVAPDDIAQLNHRLRALVRRRDASSSAALSVDPAQAEAYLRMIREQQRMGLRQLRGDGDGAASQEGESDGDGDERGGGRRKGVVAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFVCISAEDGLDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVGMDQFTAEFPYEPTPDQNQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELITKIRNGDLHIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTMVVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMSIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLIPVPYKDVQLDINISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKMIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDTLLLNWLFHCLADCYAVIPALVKKIVGGMKS >OGLUM11G15250.2 pep chromosome:ALNU02000000:11:18719587:18726924:-1 gene:OGLUM11G15250 transcript:OGLUM11G15250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAPIPPRSASPNPLLLRPPVLVVRRLRLRLLPPRRARLVVAAAAAAATTAAAASVAPDDIAQLNHRLRALVRRRDASSSAALSVDPAQAEAYLRMIREQQRMGLRQLRGDGDGAASQEGESDGDGDERGGGRRKGVVAAGSSLGHRVDPRELEAGEYVVHKKVGVGKFVCISAEDGLDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKQRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQKRPPYPKPVGMDQFTAEFPYEPTPDQNQAFIDVDKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGFQAMVLAPTVILAKQHYDVMSERFSNYPDIKVAMFSGAQTKEEKDELITKIRNGDLHIIVGTHAVLTERMAYNNLGLLVVDEEQKFGVQQKEKIASYKASIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVRTYVSGFSKERALSAIKFELARGGQVFYVVPRIKAIDDVLQFLKDSLPDVPMAVAHGKKVSKNIQLAMEKFACGEVKILVCTHIIESGIDIPNANTMVVQYAELFGLAQLYQLRGRVGRSGTEGFAYLFYTDKSLLSKIATDRLGAIEEHSDLGQGFHVAEKDMSIRGFGSLFGEQQSGDVANVGIDLFFDMLFDSLSKVDQFCLIPVPYKDVQLDINISSRLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRQYGKEPRDMELLLKKLYVRRMAADLGISRIYSSGKMIIMKTNMNKKVFRLMREAMSLETHRNSLSFTGKEIKGELLVNLPDTLLLNWLFHCLADCYAVIPALVKY >OGLUM11G15260.1 pep chromosome:ALNU02000000:11:18727935:18728435:-1 gene:OGLUM11G15260 transcript:OGLUM11G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSSLLRAAAAAVSVPGRSRSLSAAAATAAKKPAGDYVPVYVAMGMIAVSVSLGLATARQQLAHAPNVRLDKKKRETVPEVAAPDMALDEAERFVGGSLFRKVAHVQDDASLRAGVAADPVAEYPARKAVTLKDAGVEPPGIEQGREGILEVLGKKTKPAAAA >OGLUM11G15270.1 pep chromosome:ALNU02000000:11:18730759:18738845:1 gene:OGLUM11G15270 transcript:OGLUM11G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMLSLDGIVARQSIHPDGLPPTPSKRQPSAGPDGNCYPQSPKRLCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINTRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >OGLUM11G15270.2 pep chromosome:ALNU02000000:11:18730759:18738845:1 gene:OGLUM11G15270 transcript:OGLUM11G15270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTVNLDKIDTDGLMYFKDLVDDEIFQSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMLSLDGIVARQSIHPDGLPPTPSKRQPSAGPDGNCYPQSPKRLCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSISSILFSQFKPANSPLNSSYN >OGLUM11G15270.3 pep chromosome:ALNU02000000:11:18732691:18738845:1 gene:OGLUM11G15270 transcript:OGLUM11G15270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKSYKVIEVFFSRKAINASEFKTSNLEKLEKLSSTTGCQGELDLEMFLTSNDYVLNAENSSGSSANFGCSKRVFETLASPTKTIKNMLAAPSSPSSPANGGSIKIVQMTPVTSAMTTAKWLRDVISSLPDKPSSKLEEFLSSCDTDLTSDVVKRVSIILEAIFPTKSIDRGTSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNNNVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENFVRHEETLPRELKRHLNSLEEQLLESMSWEKGSSLYNSLVVARPSLSTEINSLGLLAEPMLSLDGIVARQSIHPDGLPPTPSKRQPSAGPDGNCYPQSPKRLCTESRNSLVERNSQTPPPKQSQTGLSILKAKYHPLQATFASPTVSNPVSGNEKCAVVGVQIFFSKILKLAAIRIRNLCERLRHEELTVSVYNIFKQILDQQTALFFNRHVDQIILCCLYGVAKVSQLSLTFKEIVNNYKREPQCKPEVFRSIFVGSTNRNGGFGSRHVDIIVFYNQVFVPTVKPLLVALMPSSTRPEDKRNTNSQIPGSPKSSPFSNLPDMSPKKVSSSHNVYVSPLRQTKMDALLSPSSRSFYACIGESTQAFQSPSKDLAAINSRLNYPTRRINTRINFDMVSDSVVAGSLGQPNGGSASSDPAAAFSPLSKKSKTDS >OGLUM11G15280.1 pep chromosome:ALNU02000000:11:18737963:18738493:-1 gene:OGLUM11G15280 transcript:OGLUM11G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGSLPLPPPVVVTVSGCEPLNDARELYQYLEEYVNQEGWIVDDTQLAGLAELAEARDELVGARDKLTTLASDIGDDLLLGGAVTDDVPLLSGDFKAALARVLKLPEGMELPKDFESPLLAPAIAGRERIHGELINLRADLAALKQHVEEQWLPWANDRLSSAGVIGDQILLDME >OGLUM11G15290.1 pep chromosome:ALNU02000000:11:18745633:18746208:1 gene:OGLUM11G15290 transcript:OGLUM11G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPSPPPPPAFLPPHPRIVNYISTRQGAFELAAQAAAHPGTSVIVVVTGSNPLQDARKLFDGLVARRDALGWCVLGLDKFSQLLERYDVLCDDRNKLRELVGSIYADMLGGSGGGAGEPRRRRRRTRQGASATEHRGWGGRGNTSPDAARRRRRGSPSLPRWVPPRAPRPRRPQAASRGLLDPIRQPPA >OGLUM11G15300.1 pep chromosome:ALNU02000000:11:18746710:18751200:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGEATSMARYGGRHTCPPADRLIASADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHNFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDRSLLILEIHAQNLVAINTYNICHLKIVLSEAIVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAQCSKAMRFLAVLHYHIPNVAQTSCTWCELQC >OGLUM11G15300.2 pep chromosome:ALNU02000000:11:18746710:18751200:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGEATSMARYGGRHTCPPADRLIASADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKEGIDRILGRLKSTNFVSIACCCCNTVLTADQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPHDVKYSFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDRSLLILEIHAQNLVAINTYNICHLKIVLSEAIVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAQCSKAMRFLAVLHYHIPNVAQTSCTWCELQC >OGLUM11G15300.3 pep chromosome:ALNU02000000:11:18746710:18751200:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGEATSMARYGGRHTCPPADRLIASADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATVITPRHHYPDCAMEEEEPSNNRRRRLRPISEATQIGKKIKDQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPHDVKYSFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDRSLLILEIHAQNLVAINTYNICHLKIVLSEAIVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAQCSKAMRFLAVLHYHIPNVAQTSCTWCELQC >OGLUM11G15300.4 pep chromosome:ALNU02000000:11:18746710:18751200:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGEATSMARYGGRHTCPPADRLIASADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATLTADQCFFGLMAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPHDVKYSFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDRSLLILEIHAQNLVAINTYNICHLKIVLSEAIVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAQCSKAMRFLAVLHYHIPNVAQTSCTWCELQC >OGLUM11G15300.5 pep chromosome:ALNU02000000:11:18746710:18750053:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRMNPCHGKLVPKNKRAILQFDDIPEDVVFLIIRLLSLTNAARVSMVSQKWLQSWRLYPNLEFTSKALGLNKRVHKQGRRAKFVRCVNTIIRHHAGTGINSFTLKRNLNNHKYAHYIDRWIYFAVRSGANELTIDLSPRWYAHPHDVKYSFPSSNVAAPEPTSIEHLKLCFMNLRPLPTFSGLRSLKTLDLALVCITTEDLESLLSYTPALQQLKLRQCPMLEYLKITDVLAKLVYIDRSLLILEIHAQNLVAINTYNICHLKIVLSEAIVLKGAHIELVLSSDVIEYAFTDLAPLMPDLESLFLSGCTEMIISRRPPSNRFHCLKQLELKLQDISTKYDLLFLAKFVDAAPVLEALVLHLEDIEEPFCYMEKEAELRSLEKHCPHKNLKLVKMTGFSAGRSSLELALYFVENSPALELLILDHRLDRSVWRITFGGDLDAQCSKAMRFLAVLHYHIPNVAQTSCTWCELQC >OGLUM11G15300.6 pep chromosome:ALNU02000000:11:18750603:18751200:-1 gene:OGLUM11G15300 transcript:OGLUM11G15300.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRGEATSMARYGGRHTCPPADRLIASADRLLSSTVDSSPAACRPISDSRSRTPPDAVATLDAATIAPWKRKNHLIIADADCAQFQKLPRLGKK >OGLUM11G15310.1 pep chromosome:ALNU02000000:11:18757570:18759304:-1 gene:OGLUM11G15310 transcript:OGLUM11G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMKWRRPRQKLVVGKPEYKSIIETTLVYNDIVRAAAIVFRCDAVEKDLYEHLQHLGRHLKN >OGLUM11G15320.1 pep chromosome:ALNU02000000:11:18777122:18780412:1 gene:OGLUM11G15320 transcript:OGLUM11G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVGTPATRCDVEEARLRAVALMDLALSVHCVVVAAVAVGVHLGVAWWCGVDGGAGAGMGTGRRHNGVGGSYDALPTVASAEAEMEHLPMKGVAVAELDRKSKGGQPAFDSSKN >OGLUM11G15330.1 pep chromosome:ALNU02000000:11:18783181:18784988:-1 gene:OGLUM11G15330 transcript:OGLUM11G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJU0] MLKVRWLPFKKTHLARSNASDASSPTSAAAATATAVTTHRLYQVWRGRNRFLCGGRLIFGPDASSIVLTVSLIMTPLALFVAFVSFHLAALIGKPLGQAVPAVAIAVGVFDVIVLVMTSGRDPGIIPRNVRPPEPEDIGVSSPAFGGGGSLPPTRDVYVNGVVVKVKYCHTCLLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGKRNYRFFFMFISSTTFLCLYVFVFCWVNLAMTARQFGCSMGRAVVESPVSGILIVYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYERKANPHNRGVAKNVAEIFLSPIPPSRNDFRSRVAVEHYYAAGAGAASGQYFYSYSIGPLSSESKAASFNTRGSLSFDMATASFDLGGVGGGGGGGGYSAKRTSVDVCSNSSDFGDIYGGEQQPPRHSIFGGDGGGGRTSVRKADDVPTEFGHYGAAAAAAAAGGGGRRPRGREFEAV >OGLUM11G15340.1 pep chromosome:ALNU02000000:11:18790312:18790732:-1 gene:OGLUM11G15340 transcript:OGLUM11G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLIPPPLVRPPPPDLSYINRSYRSVRRREDRDDNLSGEFLLAVLQKHSGAPIPFEESQILEYYKKFLAQYSDYEDEDSDEEDSEEGEKGKKNVC >OGLUM11G15350.1 pep chromosome:ALNU02000000:11:18800225:18800741:1 gene:OGLUM11G15350 transcript:OGLUM11G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYTTKIFALFALIALSASATTAITTMQYFPATLAMGTTDPYRQYMMQTLGMGSSTAMFMSQPMAPLQQQCCMQLQGMMPQCNCDTSYQMMQSMQQVICAGLGQQQMMMNMAMQMPYMCNMAPVNFQLSSCGCC >OGLUM11G15360.1 pep chromosome:ALNU02000000:11:18801992:18803614:1 gene:OGLUM11G15360 transcript:OGLUM11G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGGGGGGRRVTIRSISCRGVKAFVPFQKPPLYAAVSLAGRREKTSGDPDGGENPDWDAAVFAFDLPAAGDGMLQFEVKAQVPLLGSKLVGKVSVPLADLAVAGGDGAAAAPRHVSYQVCAPDGKANGKLSFTFAVTGGGAYQQPQVDHPTPISSSCCAPPPTSTTTTTSGAPYPPPAMASYPPLPSLSATPSASLYPPPPPSSYPPPPPPPPPPPHVTQSFAPNSSYPPPPPPSQYIAGYPPPPPSNFYPPPPAGYPAPSFPSPTSTYPPPPPPESASSQYPPPLPRSAPCCDRSVDRALPSYMSPRPPPPGVPCYPPPAAWLPDQEAAGAPYSLYPQPGTRYFCVAYYMILE >OGLUM11G15370.1 pep chromosome:ALNU02000000:11:18804395:18804634:1 gene:OGLUM11G15370 transcript:OGLUM11G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAWKHDVGVQADALLEELWNVKAKLELAETKAAAAGALAEKARDAYERGREDMRCTVRRAPPLPAPRPGQDRRRATGR >OGLUM11G15380.1 pep chromosome:ALNU02000000:11:18806846:18808312:-1 gene:OGLUM11G15380 transcript:OGLUM11G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTMQGVDCLPRVPHSWSMVGKGLTGDKVAVRTGFVPERIGDQSASNTETILIWFRLVTTMFKMPPVRLKVETMVSASLEKRQPALLLPTWPWWWMMLQQPLLTTSGLDAATNCARAVPSFDRDRPNFLLTSKKHNHELQLINWSTPPALPTDRVIVDY >OGLUM11G15390.1 pep chromosome:ALNU02000000:11:18811882:18812718:-1 gene:OGLUM11G15390 transcript:OGLUM11G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWRSLVALHSSAPSSCFPQPPAPSPCPSPPREEEEEEKREAAAVRLVGSDGKVRTYRRPVTARELMQQHPRHLVCRSDALLIGEKIPAVAPGEVLEPGHAYFLLPAHLFHSVLSFVSLASSLLLLLSASSASAAKKQQQRPFELLRTASGTLQIKFSDDFLLAADDKVADLAAAATNPPVLRGDKKLEKEYEELVGYSKARRWSPKLDTIQEVVAAAAGDTATAAATATTTRRSSKGRGLPFLGRLGSRRRREAAAATTTTTTLVCGNGGAVACSG >OGLUM11G15400.1 pep chromosome:ALNU02000000:11:18831233:18835001:-1 gene:OGLUM11G15400 transcript:OGLUM11G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT5G07360) TAIR;Acc:AT5G07360] MREPRRPLLPHLHLLLLLLSLLSSSAAAPGAGAGASPRTSGGGVARSIADMAWPTKCTWLPWPTCGKSHSYTLDTKEAVSAKDVSRKEPISGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVQASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRPTFGTVARTGIMSISESLDKLGPFCRSAIDCAVVLDAIRGKDAGDPSSREVAIEDPFHVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQRERHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIKNPPEGGTRRRTTVTTGIYAPPDHDHVALALAMAYQSVTDHHKQRPPIDDLGPGDDIQR >OGLUM11G15400.2 pep chromosome:ALNU02000000:11:18831233:18835001:-1 gene:OGLUM11G15400 transcript:OGLUM11G15400.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase family protein [Source:Projected from Arabidopsis thaliana (AT5G07360) TAIR;Acc:AT5G07360] MREPRRPLLPHLHLLLLLLSLLSSSAAAPGAGAGASPRTSGGGVARSIADMAWPTKCTWLPWPTCGKSHSYTLDTKEAVSAKDVSRKEPISGVIALKASMKYFDADFFNDSKLREMEDGAKEFNVPAFRDNRKLVALENGGLHNPSALVFKSSWSDESKISENKSFQYPHTSSVHRPSKDEDIAFMSIIELGELIRTKQVTSRELTAVFLRRLKRYGPIIESVITITDDLAYKQAKEADDLLEQGKYLGPLHGIPYGLKDIIAVPEYKTTWGSRTFENQILDVQASVYKRLKSTGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGSETAGSITYPAARCGVTALRPTFGTVARTGIMSISESLDAGDPSSREVAIEDPFHVDIRQLTVGYLDSAEMEVVHVLSAKGVKLVPFKLNYTVQSVQSILNITMDVDMLAHFDNWQRERHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIREVRESFTVDAFIGNVTDWELVCLGNLVGMPVAVVPTGLKSIKNPPEGGTRRRTTVTTGIYAPPDHDHVALALAMAYQSVTDHHKQRPPIDDLGPGDDIQR >OGLUM11G15410.1 pep chromosome:ALNU02000000:11:18844227:18845379:1 gene:OGLUM11G15410 transcript:OGLUM11G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G44960) TAIR;Acc:AT1G44960] MKLSTVLCCYLLLLGLFAPEIISDSPPLQDVCPMAPQGERKLFMNGFFCKSPSTIMASDFKTLLLNHAGDLDNMVRSSANIVTATEFPGLNTLGISMARTDIASSGAVLPHSHPRASEMMFVHSGNVVAGFFDTKGKLFQKTLAEGDVFIFPRGLVHFIMNYGFGLATTFSVLNSQNPGVVGITHAMFVPDSEVAEGLMARMLSFRDMRMDDNSNRARWVQVVPTYTHAREIICVHGYTHYYMMGKDGCPCPLPAGALCPRACPFTRHNKSS >OGLUM11G15410.2 pep chromosome:ALNU02000000:11:18838764:18845362:1 gene:OGLUM11G15410 transcript:OGLUM11G15410.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family [Source:Projected from Arabidopsis thaliana (AT1G44960) TAIR;Acc:AT1G44960] MANSKKSPLLLPSRRTPPPIKRRDAARRGATRRDATRRGEGDELSSGDMARGSRRKGWARGAVAFAAVAAAVAVGRRYGWDGEAAVAAFRGRRDALGPWAAPAYVAAHALTLALCPPYAILFEGAAALLFGFLPGVACVFSAKVLGASLSFWIGRAIFRLFTSAMDWLKSNKYFHVVVKGVERDGWKFVLLARFSPLPSYIINYALSATDVGFFKDFLLPTVVGCLPMILQNVSIVSLAGAAVASTTGSEKSRIYSYLFPVLGIMSSILISWRIKQYSSALVIPEELKNSSTNGKANVDDKALSENTNSGETRKRR >OGLUM11G15420.1 pep chromosome:ALNU02000000:11:18848272:18855478:-1 gene:OGLUM11G15420 transcript:OGLUM11G15420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTRAGAADGAGGGGEDIVEAGAEAPPPQRERLVPHSGPLSKRSGMRKSARFAESVSAPLSAPSGVAAARRAAAAANDDDEEDYVEITLDVRDDSVAVHSVKPAGGGGEDSDVTLLARTLEKRSSSFGHSVIRNASSRIKQVSQELRRLASVNRRGGGGGAGGPRFDRSKSAAAHALKGLKFISRADGGAGWPAVEKRFDDLAKDGLLPRSKFGQCIGMKELEFAGELFDALARRRNISGDSISKAELLEFWDQISDTSFDSRLQTFFDMVDKNADGRITEEEVKEIITLSASANKLSKVQEQSEEYARLIMEELDPSNLGYIELYNLEMLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTAEPNPLRRWWRRASYFLEDNWRRVWVLLLWLAICAGLFTYKFIQYRHRAVFHVMGYCVCVAKGGAETLKFNMALILLPVCRNTVTWIRNRAAVARVVPFDDNLNFHKVIAVGITVGAGLHVISHLTCDFPRLLHATDAEYEPMKRFFGDTRPPNYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLRLPRPLNRLTGFNAFWYSHHCFVIVYALLIVHGYYLFLTKDWYKKTDVMLTMHPFSITSAPQDDYVSVHIRTLGDWTRELKNVFSRVCRPPTEGKSGLLRAEYDRDGAMTNPSFPKVLIDGPYGAPAQDYKQYDIVLLVGLGIGATPMISIIKDIINNMRQLDGDLEDGDGNDNSVSSAAFKTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTSTKFDFHKENF >OGLUM11G15430.1 pep chromosome:ALNU02000000:11:18873724:18874062:-1 gene:OGLUM11G15430 transcript:OGLUM11G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSARAAVAAASVRVVAASARVVAAERIRRLPPWGGRICHRPPRGGQVRRLPLREGRIRHRSPRRGKGGSVAGNRGDDGAGLRQGGDDDGGGSSGLPASGVWIRRGRLQG >OGLUM11G15440.1 pep chromosome:ALNU02000000:11:18885410:18886099:1 gene:OGLUM11G15440 transcript:OGLUM11G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMNATAASPSEPTGAATVTVASLDELREVAEDDPRASEDGGLRVAVTGVRVSQAEELHALVRDHVDAKLWSVVGDVDAMAALRSAADGAARLVELSRALDAVVGDTEDGVPAPATVPRVTLLRELARYVSAQRPPPFLPRKYAVAGVEAVDIGRQAEALSYHRFSGDRWTSPESLEYSMAYFRRTAEKTRARIAALLGMTIPFEDPPIVIHILEASSWEARYYSNSS >OGLUM11G15450.1 pep chromosome:ALNU02000000:11:18890664:18891011:-1 gene:OGLUM11G15450 transcript:OGLUM11G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRAVCKPENGVDSIPLSECEQHQQEQEGPQGEQVCSMPLISLTLLLLAKSRTERKDIRGDLKREKNEKKEPSLSIHPWRKWRMGHAPRAKIWPTAAAPTSPLPHPKRSPGEDS >OGLUM11G15460.1 pep chromosome:ALNU02000000:11:18891644:18891976:1 gene:OGLUM11G15460 transcript:OGLUM11G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGSGAAVEEGGTGRHGGGQGGGTWKKAAQATMEGGGSGCRWSSEVATPFPPPTQMISYTSLEVMRWIGDSSKKDMAEDGASSHGGRGGGVAMEQGALGSNGARGVGP >OGLUM11G15470.1 pep chromosome:ALNU02000000:11:18913615:18919319:-1 gene:OGLUM11G15470 transcript:OGLUM11G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSCFDWPTALLGPWLLVYGRCGTPVLARRLTERIARRGFGTTLRKSTTSSQREDSDLTPDAATFRSMRHPLLLRRGTVDGDLILYQLACFRMAAAAAERIWLFGSPHPASTTLRYPSSVREQRGFSLKQITFFGMCSVELNLPCFPSV >OGLUM11G15470.2 pep chromosome:ALNU02000000:11:18914660:18919319:-1 gene:OGLUM11G15470 transcript:OGLUM11G15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSCFDWPTALLGPWLLVYGRCGTPVLARRLTERIARRGFGTTLRKSTTSSQREDSDLHIYYQYHHHY >OGLUM11G15470.3 pep chromosome:ALNU02000000:11:18903037:18914378:-1 gene:OGLUM11G15470 transcript:OGLUM11G15470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAERIWLFGSPHPASTTLSKQEWGLEVVARGGEARRERAAAWGGEACREEHAALHARGGAARRRGSRAAGPLLLPPLFLPLGWRPSSIADMTSSFLPFNRRPSRASHLLLLLRLLLLADGVLHI >OGLUM11G15480.1 pep chromosome:ALNU02000000:11:18933246:18933920:-1 gene:OGLUM11G15480 transcript:OGLUM11G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNATVASPSEPAATVTVASLDELREVAEDGPRASEDGGLRVVVTGVRVSQAEELHALVRDHVEAKLWSVVGEVDAMAAHRSAADGAARLVELSRALDAVVGDTEDGVPVPATGPRITLLTELARDVSTQRPPPFLPRKYVVAVVEAVDIGRQAEALSYYRFSGDRWTSPESLEYSMAYFRRTAEKTRARIAALLGMTIPFEDPPIVIHILEASSWEARYYSNSS >OGLUM11G15490.1 pep chromosome:ALNU02000000:11:18934137:18935486:1 gene:OGLUM11G15490 transcript:OGLUM11G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQPQTMPVSAGGGGGGGDRLSKLSDGVLGHILSFLPAKEAARAAVLSSRWRHTFGAVHTVSLVEPDPPVVDHDELAGYSPGWGPPRDPNPPPPFTNVVIAALLARHRRWRGGAAPPLRALRVSTVGYCRRDASLVDQWIAYAVNQADPAVGLDLDLRLRREPLCDKAYSLRRRRGASADHADQDTDDDDDDEDASRKRRRRWRSRSPVEYASIEDANLGLSPPYMYIPRSPSLSPPPPPPPRRSCSPQGCDEDDDDDDDEDVISSDEKSTRGYDYTPAVHAVPSGLFSCAALRSLSLGHCLLAPPAAIALPSLETLLLARVSDAGSDVQCLISGCPRLADLTLEACATVTALTTVAGLRRLALRCCHALRAVAVDASSEPPRLQAFEYRGSVPDDTFLTIHGGASSPPTTVSYCMIDICGEEVTSSSEFAKLRAFLRLFAGNASSG >OGLUM11G15500.1 pep chromosome:ALNU02000000:11:18937502:18939160:1 gene:OGLUM11G15500 transcript:OGLUM11G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVDRISDLPDDLIQRILHFAPAREAASTGVLSSRWRSTGAVNLAVLVRRRDDFFSLRDAFVRSAHAALAAAGGGHVRRLTIHVETERLPVQLTADAFLHRDAEDWEGRRHDVVAGVVSHPAARRVEELRVAAVRSADGPSSDGEVTEMEEGEFRLSLGTQPSTETLRVLDLTGCGGVSLPAGAALPRLTTLRLRLCVVQVEDLQGVVDSAPALATVHLESVFLAGTKEDGCYCARLRFPAATALVLAKCRGHGSHHNGDDDASDCEGAMEIDAPRLRSFKYAGLPRRFTLISPAAGMERADLHFLHDDGPHHYRDTTSVLRARFWRFLHNFRGVKSLKLKVTYLKEIAVAGNGNGILPPLHGVERLDVAALHDPASETSTVAIANLLRCCPNLRDLVLRLSTVPPDSTKNGGYCRDVLRRRWQADLGESVRRLARQRGWPKPPPSPPSTKISCMNQSLDNAGDIHGLSGRSFACLRSSLTRVGIQFRNDERSWLGVALIKFFAENAICLEEMRIDGGNERMRDHINHRVERWIVESGMRCFRVLPLERR >OGLUM11G15510.1 pep chromosome:ALNU02000000:11:18942535:18945590:1 gene:OGLUM11G15510 transcript:OGLUM11G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELPTTFQKVAIVDEVTVIKDKRPLVVWIRTSRSRLATSKSMAVTSAAGCGCGGNGDRLSSLSDGVIGHILSFLPAKEAARAAVLSSRWRHTFAAVHTVSLVEPDAPVVDHDEFAGYSPGWGPPPNPNPPPPPFASAVSAALLARHRRAAAVPLRALRVSMVGYVHRDSPAVDQWIAYALNQPAPDGVELDLRLGRPSLCHRDYSLRRRRSGAEDARPRRWRTTPPEAILFESPSSEEEDHAAADDDDVLSDDGKKDPMAVYRRRFEPQEYSVPRGLFACAALRSLSLGSVRLALPAAAAIALPSLETLLLADVTESDHERSMQRLISGCPRLADLTLEACYARARALSVAGLRRLRRLALRCCHGLDTVVLGDDDASPSQLHAFEYRGEVPDDFFLVTTKHGHGVSLETVTALSLVFHPEPLDDDDDDDGYRAYCYHKEEELHDKHLLRYNRHSVLAAPTSGGGAMAPACLRRRVREINLVHYQGGTAQRALAMYLLRSAAAIRELRCELAMGPLWIQDELVREIKSWVTNKAAVINFG >OGLUM11G15520.1 pep chromosome:ALNU02000000:11:18947705:18951081:1 gene:OGLUM11G15520 transcript:OGLUM11G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVDRISELPDDLLRRVLHFVPAKEAASTSLLSSRWRSLWRSTGAVNLAVHVRRDQEREFFSLRDAFVRSAHAALAAASAGGGHLTADAFLHRYPEDWERKHDVVAGVVSHPAARRVAAVVVEPYWPSFDGEVTSSSEGEFRLCLDAQPSETLRVLDLAGCGGLSAAAAAAAGGVALPRLTTLRLRLCNLQISDLQGIIDAAPEFATVHLESVFLAGTAEEGCVRLRFPAATTALAMINCGADCYACGGCYGATEIDAPRLRSFKYTGFARRF >OGLUM11G15530.1 pep chromosome:ALNU02000000:11:18952092:18958688:1 gene:OGLUM11G15530 transcript:OGLUM11G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJW4] MAFFRGLTAVSRIRSRVAQEATTLGGVRWLQMQSASDLDLKSQLQELIPEQQDRLKKLKSEHGKVQLGNITVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAIKGGEPLPEGLLWLLLTGKVPTKEQVDALSKELVTRSSVPGHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGMPKSKFWEPTYEDCLNLIARLPPVASYVYRRIFKDGKTIAADNALDYAANFSHMLGFDDPKMLELMRLYVTIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIGETGSDVTTDQLKEYVWKTLKSGKVVPGFGHGVLRKTDPRYTCQREFALKYLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSIGIGSQLIWDRALGLPLERPKSVTMEWLENHCKKAAA >OGLUM11G15540.1 pep chromosome:ALNU02000000:11:18959757:18961589:1 gene:OGLUM11G15540 transcript:OGLUM11G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGVDRISALPDDLIQRILRFAPANEAASTALLSARWRSLWRSTGAVNLAVRLPEPDDGRRHGHRVSHDAFVRSEQAALSAAAAAAGGRGRHVTRLTLDLRAATMDVIDRFVHTERPLGADAAPAPDTDVWLRLSDDVEREADRWVKRGDVVRDVVSHPAARRVEELRVSASAPAVDDEDDDGEVGRLVGVFHLDLRYVPSETLRVLDLTRCSGLRMPPAVETPRLTTLRLQACAVNVNDLQRVIDAAPALATVHLDSVSFDGMEHGCYRLRLPAATTALVLARCRTDAEPYRYRRSGRPLGTSSVEIDAPGLRSFRYAGYARRFSLASPPDMARADLHFFHDMYASASTSRDLFWRFLRSFRGVRSLKLKVSDLKHVAVAGRATRAELLVPFPNVEHLELEGQHEAASEAAAAVAIGNLLRCCPAARHLELRLTTAPRERDSTMNGRYGRDLLRARQQSDLAESLDRFARRRKRKPPPPPPAVNSTDEHLSIVGLSGRSFACLRNSLRRFAIQFRMDRPNCIGVKLIKFFAENAIHLEEMSIDGGNQRMHDHINHHMVERWISDSSAAKKRANHEISSDGSCDVSVVDSKQGTEASTPRFRLLPLERR >OGLUM11G15550.1 pep chromosome:ALNU02000000:11:18977097:18980307:1 gene:OGLUM11G15550 transcript:OGLUM11G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BJW6] MATAAAMVVAMSAAALVLLLLGGGEAAAPRKPVDVAFEKNYVPTWAEDHIHYVDGGREVQLYLDKSTGTGFQTRGSYLFGHFSMHIKLVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGEPYILQTNVFSGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDTPIRVFKNSKDLGVRYPFNQPMKLYSSLWNADDWATRGGREKTDWSRAPFVASYRGFHVDGCEASAEARYCATQGARWWDQPEFRDLDADQYRRLAWVRKTHTIYNYCEDRERYPSMSPECHRDRDA >OGLUM11G15560.1 pep chromosome:ALNU02000000:11:18989784:18991338:-1 gene:OGLUM11G15560 transcript:OGLUM11G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHGSEEVISHEEDMVGAFALLYHHVFSYIKPMALKCAVELGIPDAIHRRGGAATLADIAADTGVHASRLTDLRCLMKLLTTSGMFDAAAAAATDGGEPAATSTVYTLTAASGLVVGPRGLSTVVRFAAGPVAVSPFFDMHAWLRAAPPAARSLFELAHGRSRWDAANADNDTINAHSFVESQLLIEAVLRDHAGVFRGLSSLVDVGGGHGAVAKAIAAAFPDIKCTVMDLPHVVADAPVSDDGNLHLVAGDMEAIPAREAGGKVIITELVLGSPAASRDANVAEAEDMHSLFLMCISGVGREEREWRAIFSDSGFGDYKITPVLGPISVIEVYP >OGLUM11G15570.1 pep chromosome:ALNU02000000:11:19008055:19009961:-1 gene:OGLUM11G15570 transcript:OGLUM11G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYGEVSNSKGCVRSGLNKRARYGSFRLHRLPPDIICDIFSRLRFKEAARTSMVSRSWRRLWRCYPNLVFTRQTMLHGNITADDHLAATFISRVNSILWQFRSSSLENFIVKFPLLGRDDAHHIDGWVSFSAASRAKQIVLDLCPEDQEDTDMMNGMYSFPLHIFFSGDNCVRSLSLGFVSLTIPPDHLSGFTSLKKLGLHMVSIRGDLQCLLSHCNVLEWLSLTQCSLQHRSICQKLCRLRYLCVRKCRLQKLHLQAPNLTEFELTNYPIPIVLGECLNLSVATIELVSFSDCLSYVATELPAGGLYHVQDRLSINMTVRTEGSSDNISGILRLASLLEMAPCLEELELNMYCPSVPIYGKRQLDRLSSVCLHKHLRTVHMTGFNSTFGQIELAFLILRSAPNLDRLIMDPMVRVAWGPQL >OGLUM11G15580.1 pep chromosome:ALNU02000000:11:19015530:19020186:1 gene:OGLUM11G15580 transcript:OGLUM11G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVHGKATKSEGCARINLKKRRSQTFRLHRLPPDVLRVILSQLSFKEAARTSIVSRKWKRIWRCYPKLVLTGDMMLGSSSNAAGDHPTWNKTTFIRRANSVVRQLSSSSATLNKFIVKFPLLRSDADHIDRWVSLSVASRARRIVLNLCPELEKFGDKDQMYSFPLHLFRVGGNSCVKSLCLGFVSLNLLQLPPAGNTNRLTILKKLTLHKVSIAGDLQSLLMECDALEWLSLTFCSLQNCDLVIHQPLQRLRYLRVLHCRLQKLELQAPNLTEFEFANHQVPLVLGDCVNMSMASVGLLLLSDGFDYACTKFPVALPHAPLLMKGGSVFANLRHLILNVDIDGCPKASTGVLRLACLLELAPILEELELHMYCASKPFYTSDLDAAATSPAARRHERLRSVHMTGFYGFRGQLELAHRILRSTVALDCLIIDPTRKVDHNFRNQAYAGMGRTMATLCINKAQFPGTVITIL >OGLUM11G15590.1 pep chromosome:ALNU02000000:11:19019378:19042967:-1 gene:OGLUM11G15590 transcript:OGLUM11G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHMEVKLTEVSVSDKLEGFRASKEAEEEARRGCVASGMELRKRSAMAAAAARDALLDELRALMAAHSPPLHALVVPSEDAHQSEYVSERDKRRQFISGFTGSAGLALITMKEALLWTDGRYFLQAEQQLSDRWKLMRMGEDPPVEVWIADHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAAMLLIFSHEHHFGEAVWTIGMAQAMELGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPVEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIAVSCC >OGLUM11G15590.2 pep chromosome:ALNU02000000:11:19019378:19042967:-1 gene:OGLUM11G15590 transcript:OGLUM11G15590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTENGIDIKDYNMVQSDASLLASGQLKGSAVNGSSYGENDMNENSKVWIDSNSCCLALYSKLDQDQVLMLQSPIALPKAVKNPVELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEAKGSQKKQHMEVKLTEVSVSDKLEGFRASKEHFKGLSFPTISSVGPNAAVIHYSPEASSCAELDADKIYLCDSGAQYLDGTTDITRTVHFGKPSEHEKSCYTAAMLLIFSHEHHFGEAVWTIGMAQAMELGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLIVKEANTKYNFGDKGYLAFEHITWAPYQTKLIDTTLLTPVEIEWVNAYHADCRKILQPYLNEQEKEWLRKATEPIAVSCC >OGLUM11G15600.1 pep chromosome:ALNU02000000:11:19053836:19054441:1 gene:OGLUM11G15600 transcript:OGLUM11G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSGSSWVVNMQEMIDGIGAPPRSAERPAAASIYRVPEYINNLTNRDAYRPQLVSLGPFHHGDPALLPMESHKRRAVAIMVKRSGKPLEEFVAAVEGIRERLQVSYQDLDDEWRHGRGFVELMLTDGCFLLEIGGIFQARGSDDSIEYYGHDDPVFSEHGRLYLFSIIKSDVVLMENSLPLLLLQKLTGVAYANKFQVAS >OGLUM11G15610.1 pep chromosome:ALNU02000000:11:19071389:19072175:1 gene:OGLUM11G15610 transcript:OGLUM11G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGWASIPLMFFRKASGTAFSTTNWSSFQLDRCRGVSFEDGVLNIPQILLYDNAERTFLNLMAFERLHPGAGNDVTSFVFFMDLLIDTAKDVALLRSKEIIKNGLGNDKVVADLISNTLTRGAIISKDNSLKDVIREVNAHYKKPWNKWRASFIHTYFINPWVFILLVAAVILLIATVMQTVYTILSTLLYPSTRTKISVAHTHAQGYI >OGLUM11G15620.1 pep chromosome:ALNU02000000:11:19105146:19109343:1 gene:OGLUM11G15620 transcript:OGLUM11G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGAAEANVMQSPPSQTTAMANSGSCVVDMDRMVSHDNGSEPSSGEKSMVRPQWESRHSIYRVPEYMKDRTNRDAYRPQMVSLGPFHYGERPLKPMEAHKQRAVAQMVFRSGKPREEFTAAVEEIAEQLRGAYESLGEKWSGERFVELMVTDGCFLLEAMKTFLNYGEVEGFGSDDPVFSKHGNLYLRDRIISDMLVVENQLPMPLLQKLAFVADPDTFKDHREINRWVIDLLSRNITPTTSVDELGLHPLDVLQKSVRGSPNSRRLTKGSPMPSAAELREAGIRFKVSTGSGFAGTVSFERGVLSVPEIILYGSAESMFLNLMAFEKLHPGVGNEVTAFVYFMDELINTAKDVQLLKDKGIIDHGMGSDEAVADVINNTLTEGAAIDPESSLNDVMVEVDAYCKKRRNKWRAILLHTYFSNPWVFISLVAATVLLIATVIQTVYAILSFNKKT >OGLUM11G15630.1 pep chromosome:ALNU02000000:11:19121872:19122513:-1 gene:OGLUM11G15630 transcript:OGLUM11G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRASGGRRITRECSWMVVGNGISKINAATPKDAGKRKKKAVEVESRTREVAITVAGVAVRCSRWLGWCSTSPS >OGLUM11G15640.1 pep chromosome:ALNU02000000:11:19127726:19154575:1 gene:OGLUM11G15640 transcript:OGLUM11G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHSIYRVPQYIKNMTNPDAYRPQVVSLGPFHHGDPALMPMEKHKCRAVAHLVNRSGRPLDDFVAVVEEIKLQLQDTYENLEDKWYQGTDFVEMMLKDGCFLLEMARAFEQNGRVEDYEPDDPVFSEHGCLYLFGGIKSDVILMENQLPLLLLQKLIGAAYNHDFQLADGASLINNWVVCFLCDIVTSVTPVNDHLGLHPLDVLQKSMTGIRLHRQRPNTFCSMPSAAELHETGIHFQLSATEGLGGGITFIGGVLNIPKIYLDDNAERIFLNLMAFERLHPGAGNDVTAFVFFLDYLITTANDVALLRSKEIIKNDLGSDKAVADLINKTLAKGAVLKEDSSIIDMLTDVNAYYKKPLNKLRASFIHTYFSNPWVFFSLIGAVILLVATVMQTVYTIVPFYKNNVDILHDSGSEQDESGDEGDEHPRVPEVAVHQAGSPPVSPLPAVRDHLLLHARLLCGLITAPLLRSLNSAATASSLPNRLLMTPLDFSSATSLAVSMMLSMKDDNRHRVVASARVHPLERHQVEEHALRGIHHMKQRDGGVRTACHCKLGNYPQQTSRQRDEGLEVEHFERASGFAQKVSAPLRMFGGYEDLHDGEINNWVRTFLCSSITHIAPVNGHLGLHPLDVLQKSTCAARRIGQGLKTLPIMPCATELHEAGIHFQLSDAKGFAGGVNFQGGVLSIPQVFLFDDAECVFLNLMAFERLHPGAGNEVTAFIIFMDNLIDTAQDVALLRSKGIIQSGFGSDEAVANLINNILTKGAVMNINSSLRDVIREVNAHCRKPWNKWRASLIHTYFSNPWVFMSLVAAIILLVATLMQTIYTVEAGAAKAKGAEAPSPTTTAMASTSSSWVVEMEKLLGVSEGGGSGQSSVVAQMVRQQEGHSIYRVPEYIKDMTNRKAYEPQLVSLGPFHYGEPPLLPMEVHKRRAVAHMVNRSGKPLQKFVSAVEEISEQLRNAYENLDETKWPEQRFVELMVTDGCFSLEIMRTLKAHGKVAEEDYGPKDPVFSQHGWLHVLDHIMFDMVVMENQTIDDQINEMVVEFLSDGTTITPAASTVDDKPGLHLLDILHKNVSGTHRRRQGDSMEPVMPSATELHEAGVHFKVSNSCGFAGAVSFQEGVLSIPQIQFYDHIDRMLLNLMVLEKLHPCAGNGVMAFLILMDNLINTTKDVALLKSKGIIENGLGSDEAVANLMNSTLTNGSVMSPDSGLHDVLREVNAYRKKPWNSWRASLIHTYFSNPWVFISLVAATALLTATLMQTVYTVMPFYKKG >OGLUM11G15650.1 pep chromosome:ALNU02000000:11:19170479:19171127:-1 gene:OGLUM11G15650 transcript:OGLUM11G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKQSGVGGGHKRRLGGERRPRRRAAAFGEVAPRCRCNALRFMVEVLVEEEEAPPIVVVGAVIAAAAGCDDYYGYGRMRAAAGCEPGQGVVPKDPLSGCRAYLMRRCAGGDPLGVRAQCCHQLREVAPPCLASAAASPPPPPRSPRCRRRACLASPLPAPSPMMERRERERESERLGKR >OGLUM11G15660.1 pep chromosome:ALNU02000000:11:19172154:19172966:1 gene:OGLUM11G15660 transcript:OGLUM11G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTDKETTVVAAGTNGMEAAPAMAAGSGSCSCTVVEIDVDDDAETAAVAPRRTRPRPSIYRVPNHIKNMTNPDAYRPRLVSLGPFHHGEAELQPMEKHKHRAVAHLVERSGKPLREFMAAVEEIAEQLRAAYEDLDDERWSGEEFVELMLTDGCFLLEVMRAFQLQREGKKEEVEEGGDYEADDPVFSEHGYLYLRCDIISDVLVMENQLPLLLLDKLCHVAYADNLQYDVASSFNISTI >OGLUM11G15670.1 pep chromosome:ALNU02000000:11:19207664:19207966:-1 gene:OGLUM11G15670 transcript:OGLUM11G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDKFDMLLRMLEEFERKRGKADQRRRADFQSLKAAVESWMPEVQKNAEELQISVGDCHAQKFPNGIPSRMCIKIPVQDQPGYTNDNVDIQIHVLQTS >OGLUM11G15680.1 pep chromosome:ALNU02000000:11:19217887:19219200:1 gene:OGLUM11G15680 transcript:OGLUM11G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERAAEIAVELTVALRRNAGLFPGQREALNEEERLKINDDSVLSFLFSSSDDAPAIDGPLGLHPVDVVQRNIRGTCHQNSGRRSNGVFMIPCAAELQEAGIRFKVAAADAGGGFAGAITFRGGVLTIPLLHVMDSTESMFLNLMAFERMHPGAGNDAMAAVIFLDNLIDTARDVALLKSRGIISNLFGSDEAVAALFNNLSRGAVMSPHSSLYGVQRQVIAHCRKRRNRWRASLVHSYFRNPWVFISLVAAFILLAATVMQTIYTVIPFYTKS >OGLUM11G15690.1 pep chromosome:ALNU02000000:11:19218751:19219215:-1 gene:OGLUM11G15690 transcript:OGLUM11G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIKLALGVEWDYSVDSLHDSGSEQDESRDEGDEHPRVPEVAVHQAGSPPVPPLPAVRDHLPLHAVEAAVRAHHRSPAEVVEQRRHSLIAAEQVADDPSRFQQRHVPGRVDEVVQEDDGRHRVVAGARVHPLERHQVEEHALRGIHHMKQRDG >OGLUM11G15700.1 pep chromosome:ALNU02000000:11:19220560:19221667:1 gene:OGLUM11G15700 transcript:OGLUM11G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGSELPAPLASKLLKFDGAQQLPSSLPTTRNMTFCDEFLVTSKLFVTSTFHFMTISMRWGGLVTKKRSLLVPSVTALGWAREGMSKMEEREIKGERGRRRERMIYGTNVVTDTWTPLLATSSSQLNNLRSNQIQYNLRKIPKGLDEKD >OGLUM11G15710.1 pep chromosome:ALNU02000000:11:19223100:19223656:-1 gene:OGLUM11G15710 transcript:OGLUM11G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAEEATSDAYIDNLEHPKKTLTNCSTNCSSFNGMTDLTVVVVERCATTVIAFVELIGIEDNGHTTCIGTSNPLKDMPTRCSTVVLNTNDDTVQALDVPPFIIGAWEVITVLAEPSQVMVLQPSITLSLEGKMHTRHSLKCPGLVGHANKKPNSRGWQLRTAVGPSMPFATLASTN >OGLUM11G15720.1 pep chromosome:ALNU02000000:11:19227951:19228787:-1 gene:OGLUM11G15720 transcript:OGLUM11G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTNEAVAAGLRATLDNLLLRAAAAPPCAPVEGCGESDGPNTADDDAQSCCFETTATKTNTRRGGGGGVGGGRWGCKACGEREAAVLLLPCRHLCLCRACEARAEACPVCLAVKKVSVVARSPADV >OGLUM11G15730.1 pep chromosome:ALNU02000000:11:19230105:19230589:1 gene:OGLUM11G15730 transcript:OGLUM11G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNLSQDQATAVLFVLVHYWRYWGWTMLELDGHWTDQQWTIHHHHHDDDLHMRREEEHTGDKWVWTNRSINRPICRVAVMIRIIISIDMISLSVWVKDYTLDPHSQSTPLCV >OGLUM11G15740.1 pep chromosome:ALNU02000000:11:19233309:19235854:-1 gene:OGLUM11G15740 transcript:OGLUM11G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGEFVVAAEEYYGAVVAKAAMAKNGYYCGGAAVVSGGAQSGLTCNNGGGGGVVVSRKRGREVEQQYYVPPSSAVLLPIPGMVAAPAADVAASRFVESGMACTSGRAAAAAFGDALASEVFVQSGEIDAVVRAECERLRAGVEQARKRQCQALN >OGLUM11G15750.1 pep chromosome:ALNU02000000:11:19244379:19245005:1 gene:OGLUM11G15750 transcript:OGLUM11G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYGGGMAAGMCLPDHEVEAQMRALQELGAMFSAAGGGCYNGGGGGGGYDCAAVVSGAAQSELTCNNGGGVCGGAVGMGVGEGRKREREVVEQYAAVASSAALLPIPGMMKVAAPVSRLVESGMTSTSGRSAAAVGDALVSELCAQSAEIDAVVRMECERMRAGLEQARKRQCQAVVRAASAAAARRLREKEAELXYIYILALL >OGLUM11G15760.1 pep chromosome:ALNU02000000:11:19275389:19276060:-1 gene:OGLUM11G15760 transcript:OGLUM11G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYVLRRPLLKPSTKRMLLMVSSLLMSSSCGGSDKPAAISSLVRALEAASSGGAERRLGRSAEAELARRQLEWQQRWSSREGGDKPAAISNSLNDSTSPFTLIVAVAPSILPETGGHRVSRPQAPPLLPVLPELGSLRAPVSSLASLSTRLLSGGSEELRAINPNDGNVVLLVGGAGGGEGEHSEEPWCHPTSPCWPWRIGREVRERYDKWAPSNFSNKNRD >OGLUM11G15770.1 pep chromosome:ALNU02000000:11:19279781:19301498:1 gene:OGLUM11G15770 transcript:OGLUM11G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRATAFPRCRSTLPSCSRISNVRGSCMQGQKKTTKKVASRRHSLPRQPSYRPPPTPAGAARYPIGHADQASSLSNQIKDEFVYIYIXAGLRATLDHLLLRAAAAAPAQPAEGFGDSDPLATAAADDAQSSCFDTKAHAADDAATSPAASKWSCKSCGEGDATVLLLPCRHLCLCKACEPKLDACPVCLAAKNASMREESRKPTIDGSQYN >OGLUM11G15780.1 pep chromosome:ALNU02000000:11:19305827:19309192:1 gene:OGLUM11G15780 transcript:OGLUM11G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITVAGGAGDGGERRTWVVEVERTLHDAPDAAAEASRWRRHCIYRVPACIKDLKPKAYQPQVVSLGPFHHGDPGLAPMEAHKRRALRHLLRRAARPLADFVAAVEAVADRLEAAYLDLGDGWRRGGGEGGEARERFLEMMIVDGCFLLEVMRAAAAVSPATPAPAAVGKPHAAAAAKEEEEDYAENDPVFSRHGVLYMVPYIRRDMLMLENQLPLLVLERLLFVETERANVAHSRVSNEDHINRMVLRFLSPSARTPALGTPLGHHPLDALRRSMLHGEYQSPRRGHAGAWAHRRDIIRSAADDGSGDIIRSAVELYEAGIRFRRARTDSLHDVRFRHGVLAMPPVAVDDSTEYMLLNMMAFERLHPGAGNDVTAYVFFMDSIIDSAKDVALLSSKGIIQNAVGSDKAVAKLFNSISKDVVLEPESVLDGVQRQVNAYCRQPWNMWRANLIHTYFRSPWAFMSLAAAMFLLVMTIMQTVYTVMSFYQQAEGGGGGGSAAPSPM >OGLUM11G15790.1 pep chromosome:ALNU02000000:11:19311062:19312014:1 gene:OGLUM11G15790 transcript:OGLUM11G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGSADRREGRTAARHRGGRSSTATAGSGTPVAQRRRRGAGGCVRARRRRGRRRQQLRRSRHYGGGGTAAGATAAEARVAVAAAEAWVTSAVAEARLLLLGANGCGCPARGCAWPASGWPARWLVSGAGGRHGKGDAAGGRAVQGVLPEAVQYKEEHCKLARHEKAWPEAAVQGDTAEASVAVLAGAVTAQREVRPVETEPGEASVSRQHAETGRPGAQVQWCPRAGGGSDGGGAMVHSCRSARGGRRVKT >OGLUM11G15800.1 pep chromosome:ALNU02000000:11:19312855:19319049:-1 gene:OGLUM11G15800 transcript:OGLUM11G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTTTEKCISSSSSSVHGGNNRREEYPKEGADDDVEAGVLGRDGEAAASPAAATTTRQRLVSLDVFRGITVALMILVDDVGGIVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAYKKVPDKMLATKKAMLRAVKLFIVGLILQGGFFHGIHELTYGVDIRKIRLMGVLQRIAIAYLVVALCEIWLRRVSSGGDIGSGSMLITRYHHQMFVGLVLVVTYLVILYGLHVPDWEYEVTSPDSTVKHFLVKCGVKGDTGPGCNAVGMIDRSVLGIQHLYAHPVYLKTEQCSMASPRNGPLPPNAPSWCEAPFDPEGLLSSLMAIVTCLIGLQIGHVIVHFKKHNERIKRWSILSLCLLTLGFSLHLFGLHMNKSLYSLSYTCVTTGTAGLFFVAIYLLVDVKGYKRPVLPMEWMGKHALMIFVLVACNVIPVLVQGFYWKEPSNNLLKLIGIGG >OGLUM11G15810.1 pep chromosome:ALNU02000000:11:19327684:19339437:-1 gene:OGLUM11G15810 transcript:OGLUM11G15810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G12930) TAIR;Acc:AT1G12930] MDAEASELRARLAAAVHALNHGGGHHHDPSARLAANQWLLALQRSPQAWGVATSLLAAPPPGHPPPPADLLFFAAQMLRRKIQCPPAAAGGCPTPQEVAHLLDALLLAAGRFCLGPPRLLTQISLALAAMALRAEGGVDGLFARMRHLPDPAVMELLTVLPEEVVQDQSGDTGVDAAARCRFTRELMAHAPAVLEFLLAQSENTAAAADGVPLHERNRRILRCLLSWVRVGCFSEMPAAALAAHPLLTFAFNSLQVSFSFDVAVEVMTELVSHHQDLPQAFLSKMPYIREALLLPALANRSEKTIAGLVCLMCEVGQAAPALVAEGSVQALALADALLRCSLAHFILDSDAQTEKRNAVQEIFSPVFSSLLDALLFRAQIDTDEHGTDGELCIPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSGGWGLASQSIPWKEVEVRMYALSMVYKSFGDVIGSYSKLLASSQSNIKPLLLFCASGILKSISSNACSLALRKLCEDGSSFMNEPQNLEILFWINEGMDAGNLRIEDEEEIISAITHALCSVLDKELRKSSLARLLCSSYTAVEKLIDIDRDQSLRQNPAAYTEALNLAVHGLYRMGALFGHLATSITSSLIDDDTVLVLLGIFWPLLERLSRSSHMENVSLSAAACRSLSSAIHSCGQHFQILLPKVLECLSTNFLLFQRHDCFLRTAASVIEEFGHKEEYVALCVRTFEALSSAASISTLNSSYTCDQEPDLVEAYANFTSTFIRCCPKEAIVASGSLLELSFQKAAICSTAMHRGAALAAMSYMSCFLDVSLAAALESREHPSDGSPGVVLAQILARCGEGLMSNVLYALLGVSALSRVHKSATILQQLAAVCSLCERTTWKAIISWDSLCRWLQSAVKSMPSEYLRQGEAEMIVPLWLNVLHDAASDYLHSRTGDNVRNNHAYMQGKGGRTLKRIVRDFAESHRNAPMPCPS >OGLUM11G15820.1 pep chromosome:ALNU02000000:11:19343087:19347196:1 gene:OGLUM11G15820 transcript:OGLUM11G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTRSSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWYASTMLPLPAFVELSLSMSREAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAYLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDGDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKSLVQRSKYTNFCFLGYSKRDSHHKLDRKSLDMNLASGNAATTFFPSSIHLSVQEPDHSTIIGNFLTASEEPLHSFRSKL >OGLUM11G15820.2 pep chromosome:ALNU02000000:11:19342921:19347196:1 gene:OGLUM11G15820 transcript:OGLUM11G15820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAATATAAPVSSSSSFFAATTRATTTRSSAQLQLSLPRRCRSWWGNGKARRSSRRRSHHCWAGGGAATPEDHMDELPPGRGRYHPFEEIAEKLQVDDGEPAYLTDAESARTIVEVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDGDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKSLVQRSKYTNFCFLGYSKRDSHHKLDRKSLDMNLASGNAATTFFPSSIHLSVQEPDHSTIIGNFLTASEEPLHSFRSKL >OGLUM11G15820.3 pep chromosome:ALNU02000000:11:19342921:19347138:1 gene:OGLUM11G15820 transcript:OGLUM11G15820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRTELHAVMCGNLLSSSDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDGDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKATSEFGAEKQIHELLFPRIQQEGQSPQTRQKES >OGLUM11G15820.4 pep chromosome:ALNU02000000:11:19342921:19347196:1 gene:OGLUM11G15820 transcript:OGLUM11G15820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNSKATVMISTLIDEGVHERIILPEFPYLTDENGDIYFEVDNDDALLESIMGDDKTAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDGDDSDDEESDFDDDFDGEGVFAVDDDDGDEDGEDEDLPRWTDLETMNSCHPLYFARMIAETSTKSSIDWLDRPPASLVVEGQLRPAFAEESTMVSRHLSNDEPRKDNKESGATFFKVEVLSIELITAYGTEPKVKIGEYRKARPDIIAHSAPNIISRLRAGGDKITQALKSLCWRCKAIQIEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPAKSLVQRSKYTNFCFLGYSKRDSHHKLDRKSLDMNLASGNAATTFFPSSIHLSVQEPDHSTIIGNFLTASEEPLHSFRSKL >OGLUM11G15820.5 pep chromosome:ALNU02000000:11:19342797:19344292:1 gene:OGLUM11G15820 transcript:OGLUM11G15820.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHAGAAAAAATIAGPVAAPRRRRTTWTSCRRGEAATTRSRRSPRSSRSTTASPPTSPTPSPPEPSSRSTHNTRLSEKKFIKLFHHSLHFRERAKRLRVNVLEESRVFITQRNRRPDGHCLGTTNHHSLQAFTKKLVEQNAGEQQGDGDDLDADRRGRAREDHPAGVPLPHR >OGLUM11G15830.1 pep chromosome:ALNU02000000:11:19346305:19348170:-1 gene:OGLUM11G15830 transcript:OGLUM11G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G54990) TAIR;Acc:AT1G54990] MPPASAAAGDGAAPDQEPPPSPPPPPPPPPPPRPAPSLASALPFWFYLTAAVSLLALLLPHLLPSSPPPLPPLLRSHASGGSVLKLHPGPDLFAVTSKPTTTTAAAAVLVLPGLAAGSFSFRHALRSLSSRGVLAAAVDLPGQGMSPPCDAPPPPPRKSPFREIMDRGVFHAFEHLVETGEVPFQEPAAEPEPPPHARLYAPHEAAASVARAVDALGLLGAAAPVHLVLHDSALVAGAAFVSANPAAVRSVTLIDATAVLPAFPAAVLGVPVLGSMVVRVPALFRGLLRLCCTREMGAEVAEAHRAAMRMEGKRDAVFESWKALNQSFDLKEWRGSSEAVRKLPMMVLWSGSWTDRWIDEGKKVVAALPDAKFIYHSGGRWPQEDAYEELSELIAEFVTSLPTSVRSQHIDQSSEQATAQE >OGLUM11G15840.1 pep chromosome:ALNU02000000:11:19349610:19354247:-1 gene:OGLUM11G15840 transcript:OGLUM11G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRDLSRSPPPRRRRRRSPSPPRYHRGVRRACRDRSPSRGSRSPALHLPQDGALVVLHLPGDIKVGLHRKDTTEEREVGVSLALRLPNLKVLLLRVGQQRIRILLISKG >OGLUM11G15840.2 pep chromosome:ALNU02000000:11:19349610:19353196:-1 gene:OGLUM11G15840 transcript:OGLUM11G15840.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMHALGAPVHAVLTGYYYHDMLGDDPLNIAYTCYKSAVVSLRRKSPSPSPRRRISRSPSPRRHKSRSPSQRHYRRKRSRSVTSSPITKSQSPPVARGPAENKNIVDKQRLEEEKKRRQKEVELRLLEEETAKRVEQAIRKQVEESLNSEEIKHEIQRRIEVGRKRIHEEVLVQIEKEKEAALVEAQHKAERERKEREELEKKLEEERKKAEEAQMKEAMEQQQKELERYQELERLQKEREEAMKRKQMEEEQQKQSQMKLLGKNKSRPKLSFALGMK >OGLUM11G15850.1 pep chromosome:ALNU02000000:11:19357842:19361714:-1 gene:OGLUM11G15850 transcript:OGLUM11G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTCLRDCLAKLLRRGGQRQGQGRFCCPAQLSLARGMTQSRSVRQRSKKKRVHALEVATERWKVLTKVLAVIDTLKKEEEHVTPLKRLEILRSQLGLTKPNKVAHFVRRSPQLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEDHSRTAAEYVTRLLMISVDRRLAIDKIAHFRRDMGLPHDFKTRWVHMFPEQFRVVRLEDGDYLELVSWNPNWAVTELEKKTAASTGDANANDIASPPGELSLSFPMKFPPNFTSYYKFRGKVHHYVKKGNTEQFQKTTYLSPYAEPGGLTPGSPEFDKRAVAVMHEILNFTLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTESYDGTELIEKCPLVRWKEKVLQLTSYRGRIKNLGKFAELSDSEDYLFGNDDSSGATDSILDVKSEDSDDIMDDGALADDTEMDGFEAAEQPANVEAWNLNSSARFDTSCYGGHPSYE >OGLUM11G15860.1 pep chromosome:ALNU02000000:11:19361902:19363377:-1 gene:OGLUM11G15860 transcript:OGLUM11G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTGARVRTTEERRTTAPGRPPLRFCLISAAPRLLVLSLCGCGRRQPGARPPLTAATAHRSRL >OGLUM11G15860.2 pep chromosome:ALNU02000000:11:19361902:19363377:-1 gene:OGLUM11G15860 transcript:OGLUM11G15860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTGARVRTTEERRTTAPGRPPLRFCLISAAPRLLVLSLCGCGRRQPGARPPLTAATAHRSRVPLPPARRVLSRRHGSAPASSAHSITIASFLPSLCLLQGLKANL >OGLUM11G15870.1 pep chromosome:ALNU02000000:11:19363105:19364046:1 gene:OGLUM11G15870 transcript:OGLUM11G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMECALEAGAEPCRLLRTRRAGGRGTRLRCAVAAERPADTGKRRFTQAVAISARAEGKEEGERLGGSSGEERGEAEGSGRDRWSCGAHSGRPHGDGTTTGIVAL >OGLUM11G15880.1 pep chromosome:ALNU02000000:11:19365964:19371471:-1 gene:OGLUM11G15880 transcript:OGLUM11G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKSGFTAAFTLIFVSEIGDKTFFIAALLAMQYQRALVLLGSMAALSLMTIVSVIIGRIFQSVPAQFQTTLPIGEYAAIALLAFFGFKSIKDAWQLPDNANGNLQGNSESGELAEAEELVKEKVAKKLTSPLEVLWKSFSLVFFAEWGDRSMLATIALGAAQSPFGVASGAIAGHLVATFLAIVGGAFLANYLSEKLACRTSPMFLYASPSWTVVVGFSINAYRWEIS >OGLUM11G15890.1 pep chromosome:ALNU02000000:11:19376206:19383189:1 gene:OGLUM11G15890 transcript:OGLUM11G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAPAKPNPTRPGPARPSRSLYLLLRTQHSPSCHFRPRRDETRQSGDGTAAAACTAAQPSAASPTSRRRRRRRRIRMEPDAAAAAAAGEREAEIEKAFRARLPDFRKQADSLTLEGVRRALEKDMGLEKHSLDAHKKFIKQCVDKVFSGSDDDNTNNNAPEKDEAKDDRSSKEESEDAQPTSDSNKISSNADEPVAKSNETDRDQEGDKDHSSGSDISEAMIKNAIVKRASYFRENSETITLQGVRRTLEEDLKLQKKALDAYKSFISTELDNILQEPANGTKKTSKTESHKDSGQKTSKNSKRARQDSDTSEINDSHCERGDSDEDARPKKKKAEKGKAVKRQKKTTVEKQLSNSKAKKVAKKDLDKSKERSGSEEDNSNSSAEEDNKKKRQVAPAYGKRVERLKSIIKSCGMSIAPTVYRKAKMAPESKREACLIKELEDILEKEGLSTNPSEKEIKAVKKRKERAKELEGIDMSNIITSSRRRSTSNFIPLPTPKIVADSDEDDEEDAEDDNDEEVNVEGGDEGDNDDGKAGDGSADDAEHDSD >OGLUM11G15900.1 pep chromosome:ALNU02000000:11:19383617:19389041:1 gene:OGLUM11G15900 transcript:OGLUM11G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVRRYSSFDFLTEVVNKVPDLGGADSCGDDRALPRRRKALPNGSDPENEESRSSKMAIRSANISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFADQGEALPGEETVPETIHGTESVPPSTHPPAEAPSAAEIPAPNPKVEEAKNDDHQPDWPMPDAIGNIGVGPSGFGHLTVQVDEDEDYDNED >OGLUM11G15910.1 pep chromosome:ALNU02000000:11:19388526:19392505:-1 gene:OGLUM11G15910 transcript:OGLUM11G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLU-ADT subunit B [Source:Projected from Arabidopsis thaliana (AT1G48520) TAIR;Acc:AT1G48520] MALTLLRGMRTPVVARRNAGLFFTTLQTPLLSRFTTRAESARAAAPKSIQLATKEAAEQKAQGFEAVIGIETHVQLSTVTKAFCSCPYSYGSQPNSTVCPTCMGHPGTLPVLNAKVVECAVRLGLALNCEIAMTSKFDRKQYFYPDLPKGYQISQFDIPIAKEGYLDLDLPVEFGGGHRRFGVTRVHMEEDAGKLLHSESGSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRLVRYLGVSNGNMQEGSLRCDVNVSVRPIGQSNFGTKVEIKNMNSFSAISRAIDYEISRQILLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLTSEYIDEIQNSMPELPEAKRRRFENMGLSMQDVLFLANDDNVARFFDSTLEHGADAKLAANWIMGDIAAYLKNEKLSIDEIKLTPLELSELIASIKNGTISGKIGKEILIELIAKGGTVKSVIEEKDLVQIADPAAIEAMVDQVLADNPKQLEQYRSGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLKANS >OGLUM11G15920.1 pep chromosome:ALNU02000000:11:19404131:19407733:-1 gene:OGLUM11G15920 transcript:OGLUM11G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPLDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQNIWAMFGTYRSAHDVIWLKEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIMFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSHKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >OGLUM11G15920.2 pep chromosome:ALNU02000000:11:19404131:19407733:-1 gene:OGLUM11G15920 transcript:OGLUM11G15920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPLDPSPAPATIDYSLTYKEIAASGAPGAVDFVKNHGLYLLLLETPSGFSIFSLCGVYIHLPDAIQEFQKFDDKSSAINVDTGVNKQLTEMIMKWRRPRQKLGIPCLCDEVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIMFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSHKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >OGLUM11G15920.3 pep chromosome:ALNU02000000:11:19404131:19407733:-1 gene:OGLUM11G15920 transcript:OGLUM11G15920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRGVRPPPLDPSPAPATIDYSLTYKEIAASGAPVVMDVMWAMKRLIRYFVPTETPELAEEDSLTMSQGLRMFLSRYGFEIKPEMVYNDIVRAASIMFRCDAVEKDLYEHLQHLGRHLKNVSGIDYENWGTVKLATAFKIICSHKIDKSDEMFSDDVRSKLLDDADKYKDLVFSTGCIANYKKILGLNILRNDKMDQLAELVKVARIKAEHVRVPENVPEN >OGLUM11G15930.1 pep chromosome:ALNU02000000:11:19408190:19408546:-1 gene:OGLUM11G15930 transcript:OGLUM11G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISEVFAVLADMDYSVMEGRAWTHCGCLGCLFFLRDEETDTERMARIEAASDTSSAATPVAPTAAPWPPSPPPPRTPSAASTSSCRPTVAPAVPLPPGSQTSLHLSCCEDKKEGEK >OGLUM11G15940.1 pep chromosome:ALNU02000000:11:19429334:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRVGIRGKCIPWMIDNWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILNAAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHDNR >OGLUM11G15940.2 pep chromosome:ALNU02000000:11:19429671:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRVGIRGKCIPWMIDNWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >OGLUM11G15940.3 pep chromosome:ALNU02000000:11:19429671:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >OGLUM11G15940.4 pep chromosome:ALNU02000000:11:19429334:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLCHIVVYHHVWVVSHLCPYGAIFFLNSIFMNPVEGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKRIRVRSSFLRMMVVLKDNR >OGLUM11G15940.5 pep chromosome:ALNU02000000:11:19429671:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLCHIVVYHHVWVVSHLCPYGAIFFLNSIFMNPVEGLVSIIALMLFSCLSALLIQVNSLLNMFIFNEYSWDEWVTNDRLLKLTDENIRKQQELEKSQVVDKSVKSGRSAQHKPKGSNDAKTDKEDTKIIIKGKKRKSQPGGTEEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >OGLUM11G15940.6 pep chromosome:ALNU02000000:11:19429671:19438598:-1 gene:OGLUM11G15940 transcript:OGLUM11G15940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAGSESAAAKEPSFKEGERVLAYHGPLLYEAKVQKSENKEDEWRYHVHYLMQKLIKKTPRLLSRGRNAKVSLEKERKSSESLFMSHFPSTLKKQLVDDWEFVTQLGKLVKLPRSPTVDDILKKYLEHRTKKDNKINDSYAEILKGLRCYFDKALPAMLLYKKERQQYSEEVKGDVSPSTIYGAEHLLRLFVKLPELLASVNMEEDALNKLQQKLLDILKFLQKNQSSFFLSAYDGGSKAEGFLKYGTRCIRGCVRPCGHPTFGTVVITNHVNFISKKLNSKFSNLKGKVVVTVQLRTFKPWSLA >OGLUM11G15950.1 pep chromosome:ALNU02000000:11:19445293:19451172:-1 gene:OGLUM11G15950 transcript:OGLUM11G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere/kinetochore protein zw10 homolog [Source:Projected from Arabidopsis thaliana (AT2G32900) UniProtKB/Swiss-Prot;Acc:O48626] MLAKNLEECVEFAPEGGKVMVRTAPVGKSGGTPGVELRVALQALEIIDAVDYGMTKLADLMIKHVLVPAISNISVAVSVEALEKSGPQYPISILCVTPTEELQGYKDGSALYSRIIDIIKFVCETICGENITWMQSFSKLTWSRISDLVIKHFISKAVPHEASKLIEFQDVVRSTTEFENTLRNMMFISHEKRDGKLTQFVDDVEVHFAVRKRNEILVKARHLLVHYDYDNPLASHDREDSIVDLLFLPEKCFISKSALQLMKLVHGALKDACLSSARVAKELCYAARDALLLYKAIVPVQLEKQLDSINQVAAIIHNDFYHLSQEILGLAFEYRADFPGDLQKLVVFVDLAPTFSQMADGVLTRQIQLVTANLIEAIDGADGFQNTHQPQHYESAKFSIEQVVFILEKIHIMWESILPRSIYKRSMCYILGSVFSRITKDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENEFLDHQTWIELDEIIRPLKKFRKLAELLDMSLKSITAAWESGELTNCGFTSSEVQNFVKAIFADSPLRKECLLWISRTPS >OGLUM11G15960.1 pep chromosome:ALNU02000000:11:19455030:19460660:-1 gene:OGLUM11G15960 transcript:OGLUM11G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation (RCC1) family protein [Source:Projected from Arabidopsis thaliana (AT5G08710) TAIR;Acc:AT5G08710] MLSSGEPAAGRRRVAALWGNGDYGRLGMGALESRWSPTACPFFLTGRPGDDDDDPPASLACGGAHTLFLTESGRVFAAGLNDFGQLGIGSSVTHSLVLSFLYIMPPCEIFIYIEPIEVSGFDEKVVEVSAGNHHSCAVTGAGKVVSTPRKVDCLADARVKMVALGSEHSIATTEEGEVLSWGAAGAGRLGHGHKTSILGFSITTSEYTPRLIKNLDGVKGFGRSGDELRPTVVEEVPFSEEVACGGYHTCVVTDSGDLYSWGSNENGCLGLGYLFSTLSRNLLLQLLLLQLYQCLSKLRMFAYIKFLTSGTDMVRSPEVLKSSLFKFPVSKVSCGWKHTAVISGGDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVEFGKNARAVHVSCGFNHTGAIFEYSEN >OGLUM11G15970.1 pep chromosome:ALNU02000000:11:19461206:19462153:-1 gene:OGLUM11G15970 transcript:OGLUM11G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCQSHVVAVESSPPPAESNHLRTTKSPRDGLRTSEKETTPVVLALTAMAMAEAVASEKLGGAGSRRRRQTTAAGADTAAPSPSFHADLGGWTVSIFLLKLRFGRGRYRWGPGCDDSGKSNPVRGGAPGQAAASRPKWFSAASRIGGKAGSSGAWRSGINRCGTAGGWRRAWAERKEREGSEEATERGRGRGKGERRGERKEKG >OGLUM11G15980.1 pep chromosome:ALNU02000000:11:19463631:19464023:-1 gene:OGLUM11G15980 transcript:OGLUM11G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDGNDGDGSAVPVLSPSFHFVPTDEELVDFHLREIQGLWGRYVVLFHGEGAIEDEEEEG >OGLUM11G15990.1 pep chromosome:ALNU02000000:11:19471603:19476200:1 gene:OGLUM11G15990 transcript:OGLUM11G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lung seven transmembrane receptor family protein [Source:Projected from Arabidopsis thaliana (AT2G01070) TAIR;Acc:AT2G01070] MDLLRDRRLGALLVVVLVLVSGAAVEASIHTYDREPFREVGNAFLLSGGSEGVVADGADLAAPASSFIKFTNVTFWRTPESAESHAKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSGSHLSVKLEDEVVRITKTGMYNLFFISCDPKLRGLSMSGKTVWRNPGGYLPGRMAPLMKFYVFMSLAYLLVMVVWSSQYIRFWRDIMPIQNWITLIIALGLFEMTLWYFEYLNFNSSGVRPIGITTWVVTVGAIRKTISRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASELLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNALAVSVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLLVICYLWAPSQSSQRYAYSGEAADDDDEESQSLTKGTDGDVGMVKVDKDRSGGVSSAFSLEDEAEEDKRE >OGLUM11G16000.1 pep chromosome:ALNU02000000:11:19477532:19478840:-1 gene:OGLUM11G16000 transcript:OGLUM11G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37290) TAIR;Acc:AT5G37290] MFTNAQRQVERTGRHGTPRDQHLQDLVTQFQEATDEESKERIVANLANFAYDPYNYTFMRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLKAVREYAAAGDANTSFRNLANAFLDKHVNS >OGLUM11G16000.2 pep chromosome:ALNU02000000:11:19477534:19478840:-1 gene:OGLUM11G16000 transcript:OGLUM11G16000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G37290) TAIR;Acc:AT5G37290] MFTNAQRQVERTGRHGTPRDQHLQDLVTQFQEATDEESKERIVANLANFAYDPYNYTFMRQLNILELFLDCITEPNERLIEFGIGGICNSCADPANASVITQCGGIPLVIQCLSSPVKNTVNYALGALYYLCNPSTKKDILKPEVLKAVREYAAAGDANTSFRNLANAFLDKHVNS >OGLUM11G16010.1 pep chromosome:ALNU02000000:11:19480492:19483560:-1 gene:OGLUM11G16010 transcript:OGLUM11G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl transferase/acyl hydrolase/lysophospholipase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33270) TAIR;Acc:AT1G33270] MLRRACTRVVGGGRRRAPMAPSLLAFSSSAASGLPPKPQPPSSTPPSSASASARPRGFRRFMLARAAAGRDPEPPPPPPLPEGTEKRSLAVRTGEVFLGLAALLVRAGRGGGAAVEEVEAKDGVVWEQRPEDVDAERRRRELTGPGFSFSAAGLLFPYHLGVAQCLIDRGYLTERTPLAGSSAGAIICAVIASGNTMQDALQVTKDLADNCRSNGTAFRLGAVLKDVLDRFLPDDVHIRCNGRIRVAITQLSWRPRGLLVDQFDSKDDVISAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEVLDKLYELGYLDAAVWADQNQVELIVKNEQPLRVTD >OGLUM11G16020.1 pep chromosome:ALNU02000000:11:19492386:19493843:-1 gene:OGLUM11G16020 transcript:OGLUM11G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASETAPFGVSAASKGGGGVAGARAQHGQLAVAGRVHDALVFAAGAVAAVLVLLATASFLSPMPVTNLVAFRSLPVSVASTSAASAAIDADVGVRGGPGAAGRTFYDDSRVSYAVEVGRRGGITGWDARRAAWMRLRYPRGLNATAAGRERVVMVSGSQAPPCRGEGGDHLLLRFLKNKVDYCRLHGVELLYNNALLQPRMLAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFSLPLHKYKDHNLVVYGWNKEVYGERSWVGLNAGVFLIRNCQWSLDFMDAWARMGPASPEYARWGSVLHDTLRGKSDKESDDQSALVYLLSEHEEKWGTKTYLEKGYFFQGYWVEVVDRLDDIAARYEAAERRSPAAAHLRRRHAEREHARYAAARNAAAAETGAALPGPAGGGQSGWRRPFVTHFTGCQPCGGEPNKIYSKKSCADGMNRALNFADDQVLRNYGYRHKDPLSDEVRPLPFDYPAAR >OGLUM11G16030.1 pep chromosome:ALNU02000000:11:19501715:19503238:-1 gene:OGLUM11G16030 transcript:OGLUM11G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAQRRQLLLVALMLAAAADHSLAGFFGSAPPASGPAAAAGDNDEKCSRTCESEHCLGTYAQAPLMRYGKYCGVSYTGCPGEAPCDALDACCMLHDACVQATDNDYLNMLCNQSLLDCVAAVRSPAARIRTFEGNQCNVTDVADEITSLVEAAVFAKRILHRP >OGLUM11G16040.1 pep chromosome:ALNU02000000:11:19506985:19510295:1 gene:OGLUM11G16040 transcript:OGLUM11G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAEPTREESVYKAKLAEQAERYEEMVEYMERVARAAGGASGGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNDAHAATIRSYRGKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGDERKQAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLLRDNLTLWTSDANDDGGDEIKEAAAPKEPGDQ >OGLUM11G16050.1 pep chromosome:ALNU02000000:11:19511343:19520794:-1 gene:OGLUM11G16050 transcript:OGLUM11G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] MFDAGDRGGGGGVEEEEEGMEVDEEEEEVGWVWRPPGGLAGEDEAAAWEGRAAAIVVSDAVEVDFPVIYVNAAFEAATGYRADEVLGRNWLSSGGKVYLLTRKTIRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCCILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >OGLUM11G16050.2 pep chromosome:ALNU02000000:11:19511343:19520794:-1 gene:OGLUM11G16050 transcript:OGLUM11G16050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] MFDAGDRGGGGGVVAVKRMKLCEEEEEEEGMEVDEEEEEVGWVWRPPGGLAGEDEAAAWEGRAAAIVVSDAVEVDFPVIYVNAAFEAATGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCCILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >OGLUM11G16050.3 pep chromosome:ALNU02000000:11:19511343:19520794:-1 gene:OGLUM11G16050 transcript:OGLUM11G16050.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:flavin-binding, kelch repeat, f box 1 [Source:Projected from Arabidopsis thaliana (AT1G68050) TAIR;Acc:AT1G68050] MFDAGDRGGGGGVVAVKRMKLCEEEEEEEGMEVDEEEEEVGWVWRPPGGLAGEDEAAAWEGRAAAIVVSDAVEVDFPVIYVNAAFEAATGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGFVTHVIGIQLFSEANIDLSNVSYPVYKQQSNHRPNIQEINPASHEHIPKIQSSEYCCILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVRLEMSTKMLGWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLESAKPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQQGLLNDVFVLDLDAKQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWKEIPTSWSPPSRLGHTLSVFGKTKLFMFGGLAKSGSLRLRSCDAYTMDAGEDSPQWRQLATTGFPSIGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPSQLFLLDPAEEKPTWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >OGLUM11G16060.1 pep chromosome:ALNU02000000:11:19514173:19515736:1 gene:OGLUM11G16060 transcript:OGLUM11G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSFPAGFAAMLLLLCLAMTASAVNFTCTVRATCQSAIIYTTPNATTYAELLSRFNTTTIGELLRANGLTYSTDISFAATYTVFGGTIVRVPYRCLCAGDRVGRSDRRPIYTVQLLDGLDEIARNVFGFGAFVTYQEIATANNIPDPNRIYVGQELWIPLPCSCDQVDGYNVTHFAYQVQAGDTTSAIAAKFGVRQATLRKINGIHDRTSLLMGQILDVPVPVLSISTRF >OGLUM11G16070.1 pep chromosome:ALNU02000000:11:19552676:19557987:1 gene:OGLUM11G16070 transcript:OGLUM11G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDTILFDEDKNKELDWEKRFRIISEIARGLQYLHEESRLKIIHRDLKANNILLDSDLTPKISDFGLAKLFGGDQSHIVTNRVAGTYGYMAPEYAMCGQYSVKSDVFSFGVIILEIITGRKSMGSYNYEQSVSLLGLHWKAGTVLELLDPSLIESSQQCCDDRDQMLRCIHIGLLCVQENPADRPMLSSIAVMLRSGTPPLRAPTRPAFCMPWAAGGEASFAAGGELVSANHVSITELEAR >OGLUM11G16080.1 pep chromosome:ALNU02000000:11:19576057:19581540:-1 gene:OGLUM11G16080 transcript:OGLUM11G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFMAVRLDPGYLRIGVTPPQFSTSSPSPPPSPPHQLISTSPNWTIDVSDARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQDTGSPRTPTEAAVKKAEEVVSTMLAKGFVLSKDALKRARSFDDRHQLLSTASARVASLDRRFGLSDKFSAGTAAARGAVRGVDERFQVSELARVAVTAAEQGAASVVASSPYASRGAAWVSAAVGAVARAAFDVGAMTKEKVERAEEEEHGAGAGAAAGDVAHAGVQVDAPPSPAHAHAHAHAAREQPDGHYKNKMM >OGLUM11G16080.2 pep chromosome:ALNU02000000:11:19576057:19581540:-1 gene:OGLUM11G16080 transcript:OGLUM11G16080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQESFMAARTIKVTNISMSATADNIKEFFSFSGEVEYVEMRRESETSQVAYVTFKEFHGADTALLLSGASISEASVNITPVEDYVLPPEAYFYRQDTGSPRTPTEAAVKKAEEVVSTMLAKGFVLSKDALKRARSFDDRHQLLSTASARVASLDRRFGLSDKFSAGTAAARGAVRGVDERFQVSELARVAVTAAEQGAASVVASSPYASRGAAWVSAAVGAVARAAFDVGAMTKEKVERAEEEEHGAGAGAAAGDVAHAGVQVDAPPSPAHAHAHAHAAREQPDGHYKNKMM >OGLUM11G16090.1 pep chromosome:ALNU02000000:11:19586203:19591468:1 gene:OGLUM11G16090 transcript:OGLUM11G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPSNRDWSDCDPTFAAEANAAIRALRDTGAGADARARRLAARLVERYPGSPLAHTILAEGHRVRRRLEGERSSLERAAALAPGCPRTAFMLAAVLTRMGLFDEAMEVCDRSLRVPQPTDPARHLPYPRKRIVPKDAEHRIAYTRQGIRRLRLGAEKCRRTAVLPPESALPAPDWPPESPEANLQVARNLWRGMSEEEQQAFLKVSFQDMKSYCRSGGELEMISLLSDAEHEQFVKLSASSSCWTCPLCGFKIILDEERFMVHMENFHIEYEEYKKLRSSLPKRIPDNEMELLKSWRWEPMPIDGDDLAERTEILSKLKKLVSQLIDMEAVSLCLLYIMHKFIMRRVRPVTPLVVSMCACCGIRQLSSAHLKELYEFLQKLTPILADYVHQKAQNGEQESQQDSLAVTTWLKETGTLSFDYGKIVSRNTDGSSNPDEIVDGLFHESLLEDPLVSWGGVWQRCLDLGPDILNKISEALNKLKIGSVQVGMLLIDAEVDYQKKMLLEACKVDYLAAILPIAKACLRAKMNNNLRPPNGLELQAPLNIILRSLWHIRRFHDTLQKIPSKCTDVKDGNSQIGKTLCKIFDSWDNEKAGKPCDPCDSTRFADFTNSLVYKKDGKRKTAIDIVKFIFRRLHSSQTPLHFEFKGETLDHQTPVEPSFLGCICLVHDLFGLHIYENKFNCVNEVYTEYRYTTFLHSIDLGAVGITKVDSFSELLKARKSRIESCGHMVSQYSLECPPRLFMTVFEWKEDKVGHINMHEVLMSLAVELDISHFYGDLHSGSKYTLVSAVCCNDQEQYFCFARDNNRWLIYDNNRRPMYAESWEASIQQYSQANLCPEIIFFERVEDPELGTHHDQTAP >OGLUM11G16100.1 pep chromosome:ALNU02000000:11:19592262:19597361:1 gene:OGLUM11G16100 transcript:OGLUM11G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGEPRRSPRKSNRDWLNCDRRFAQQADGVILQVLRRSPDAVERATQLMCRYKKSPLAYAILAEAWLASGNLVMAKTHLRSATVLAPRCPYISLALAAVLVRMGSWEEAVRECARGLGAWMPTDPARHSPLPEDSINAIVSSPKGQQQRIAVERERIRLLRFRAEKGKGITAPIASVAPKWPPESADLDHARHRWSRMSEEERQAFLTVSFQDMKSHFLSRSGTSRWQTRRALSGAEELVNGCGSFSYRLCPFCFVIFVDATEFMSHIDSFHIADCKKELRSSMPERVTGCEMELLKSWRWEPMPIDGDDLAERALILSKLKSIVSWLIDKDAVSLSLLYIMYKFIMSRVRPVKPSVISMCGSCGIGQLSSAHLKELLDLLKWLPHTHTDYKQENQKDSLGLATWLEESGTLFFDYGKNASRKADDSSQPDEFFDWLFCESLLEDRCESWLGMREKCVNLGPAIFKKITEELDKLKLKCSSCEELKQKGGVYFLPKAILESDVDIEPYFYDGIGSVQAEMLLIDAEVDYQKKRLLEACKVDYLAAILPIAKSYLWAKLNNNPPEKVLPLPPPNGLQLQAPLNVILRSLWHIRRFHDTLQKIPRECRDVTVGNFQIEKELLEIFDSWDLVKDGKPCEPSGSTRFADFTSSLIKKSGKMTASKIVKSLFQRLHLSQTPLHFEFRGETSELQTPTEPSLVGCICLVHDLFGLHLYENKFNCLNMVHTKFAYSIELGAGGETKFKSFSELLVARESRNGSVGQKVAQYSLLCPPHLFMTVFDWEDINGSYDNMHEVLISLATELDISHIYKGLHSGCMYTLVSALAGSWQESIERYRQSKLRPEILFFERVE >OGLUM11G16110.1 pep chromosome:ALNU02000000:11:19601105:19607272:-1 gene:OGLUM11G16110 transcript:OGLUM11G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK40] MYCFTVHRLLSTPHDEQLEGAAAAAGDRAARSAMDAPVTLLVFLVAVVAAAAEMPRLEHPHKGDGSLSLLAVGDWGRRGAYNQSMVAAQMGIVGEKMDIDFVISTGDNFYKNGLTGVDDKAFEESFSDIYTAKSLHKPWYTVLGNHDYRGDALAQLSPVLRKVDSRWICIKSFVVSAEIADFFFVDTTPFVLKYWTDPKNSRYDWRGVSPRETYMANVLKDLEDALEQSKAPWKIVVGHHAIRSVSQHGDTKELLEHLLPILKAHGVDLYLNGHDHCLEHISSRDSKIQYLTSGAGSKAWRGVQTANADKVEFFYDGQGFMSLRLTAAEASLAFYDVAGEVLHTWTVAKPATGY >OGLUM11G16120.1 pep chromosome:ALNU02000000:11:19607339:19611793:-1 gene:OGLUM11G16120 transcript:OGLUM11G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK41] MMMRCGWSVAAVVAVVVMVGVMVASPVAGELARVEHPTKEDGSLAVLVVGDWGRKGQYNQTLVATQMGVIGEELAADFILSTGDNFYNDGLTGDNDTASFQESFTNIYTADSLQKPWYIVLGNHDYTGDALAQQSPAIRAVDSRWTSINKSFIVDSDIAEFFLVDTVPFVQKYWNESKFDWRQVAPRDTYLSTLLTDLGDAMSQSNATWKIVVGHHTISSGCEHGNTTDLVAMLLPVLKTYGADMYINGHDHCLQRITSIDSPLEFITSGGGSRAWAGKFKQTSDKLEFIYDGQGFLSMQLTMAEASFAFYDVTGAVLYSWQLTKSTSTN >OGLUM11G16130.1 pep chromosome:ALNU02000000:11:19615853:19617126:-1 gene:OGLUM11G16130 transcript:OGLUM11G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK42] MASSSRTALPSAVLALRLLTLALLAASLAVIAADKLTLDFGGGLPPKKITFKDVYAYRYVLAIAVIGCAYTLLQIPFVAISIAKRKRMIGGSENVALFLIFADVIFALLVATGAGAGFGLTYDAKSAFGGSKLPGEVVRFFNMAYAAAGLMLLAAAAMALIIMLSIYSLVR >OGLUM11G16140.1 pep chromosome:ALNU02000000:11:19617947:19618348:-1 gene:OGLUM11G16140 transcript:OGLUM11G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLAPGRFPHHMPPSSSPTVLQARSAAYPAAAPSALSVWFAYADLLSLGPALLAEPWAMASCLLELTLRWSAAESSLFLLVNGICDELSPCKLNGMVIVRRALLPGWVLVHLRPMPIKRCSCSIGRPSEALT >OGLUM11G16150.1 pep chromosome:ALNU02000000:11:19621453:19631101:1 gene:OGLUM11G16150 transcript:OGLUM11G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65950) TAIR;Acc:AT1G65950] MLRRRRGPLIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKELTSKEVLTMEFCSGYKVDNFNSLRKADISPTKVAKALIELFGEMIFIHGFVHGDPHPGNILVSPQGQGKFSLVLLDHGIYKELDQKFRLDYCQLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNTS >OGLUM11G16150.2 pep chromosome:ALNU02000000:11:19621453:19631101:1 gene:OGLUM11G16150 transcript:OGLUM11G16150.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65950) TAIR;Acc:AT1G65950] MLRRRRGPLIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKELTSKEVLTMEFCSGYKVDNFNSLRKADISPTKLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESKSILGTQMSIEEKMRLKQDLNSLGMDDISSFMESLPPDFLTILRTDGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNTS >OGLUM11G16150.3 pep chromosome:ALNU02000000:11:19621453:19631101:1 gene:OGLUM11G16150 transcript:OGLUM11G16150.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65950) TAIR;Acc:AT1G65950] MLRRRRGPLIGFVVVDYKYSLRGLAHGSADYRDKLSEVHLRSAKKILKLCEANRGFYVKAGQFVSSIRQVPKEYSSTLSCLQDQATPCNFQDIKIVIEQKLGKDLHSIFLEFDEHPIAAASIAQVQYPGLERRMKIDIMTMSFLSKSLSWIFPDYRFEKLLTEFERTMSMELDFIQEAKNSERTASCFRKNNVVKELTSKEVLTMEFCSGYKVDNFNSLRKADISPTKLWKALILLDSQKILELGEHFGVGKYAKYFPVIFTGRTIESGLLRSILGNLGAPRHVRLLTYAKCALYGLEEQPKSQSELAGFLMQINDLRHKIMSRFRRILQNTS >OGLUM11G16160.1 pep chromosome:ALNU02000000:11:19631008:19634177:-1 gene:OGLUM11G16160 transcript:OGLUM11G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEEVARAAALAGPLGELLPPVDFCCAYGSTLLHARPDASSMVDYILGVPDPLQWHSENLERNPDHYSGWMARLGPGAITRLVDNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVEGSFPSFQSMYRPLIQEYIAEGLLKTSSYGQQKAFHQACDCGASATNELFSYLPWTIQRRLQGRFASNCKEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >OGLUM11G16160.2 pep chromosome:ALNU02000000:11:19631008:19634177:-1 gene:OGLUM11G16160 transcript:OGLUM11G16160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRPAEEVARAAALAGPLGELLPPVDFCCAYGSTLLHARPDASSMVDYILGVPDPLQWHSENLERNPDHYSGWMARLGPGAITRLVDNIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKINTINLKMATSASLLLLPAEFTEYDLYAQICSLSYMGDLRMLFAEDKNKDCGASATNELFSYLPWTIQRRLQGRFASNCKEMPTRASVSSKDVAATCVRKALRRRVMVSSARQAMSGLLASGGAVAARYLGKKISKAWKSRTV >OGLUM11G16170.1 pep chromosome:ALNU02000000:11:19635460:19638779:-1 gene:OGLUM11G16170 transcript:OGLUM11G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCFCRWADWAGGRLIPGLPAHPNEPFICFPRYLPQLHLSTSSRHRRRRRGSPPLLPSPPPPPPQKLGSSRRECLMAAASIPASSQPFKLILGSSSVARKHILTEMGLEFEVMTADIDEKSIRRENPDELVTVLAEAKADAIMSRLNISDYQKEGERPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGSHVSTVGSVVVTNLTTGKRLESLDKAEVYFHDIPDEIIENLVGSSDSVMGISKDLANKLIQDALSA >OGLUM11G16180.1 pep chromosome:ALNU02000000:11:19640764:19647819:1 gene:OGLUM11G16180 transcript:OGLUM11G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain [Source:Projected from Arabidopsis thaliana (AT2G14255) TAIR;Acc:AT2G14255] MAEIEVVGDGGGGGGGGGVKQGVVEVREARANGGEGDPVVDVYSAAAYGDLERLRGFVERDGGASLAAPDGNGYHALQWATLNNYPHVALYIIEHGGDVNAGDNAQQTALHWAAVRGAIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYGADFECLDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILSNATKVTFEDKYCSGRSRKIGYAPILFCFLIVLITLFLNSIIFAPNFSRITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCADFLVNGYSNDEEAAWPTLQQTVQRS >OGLUM11G16180.2 pep chromosome:ALNU02000000:11:19640764:19647819:1 gene:OGLUM11G16180 transcript:OGLUM11G16180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ankyrin repeat family protein with DHHC zinc finger domain [Source:Projected from Arabidopsis thaliana (AT2G14255) TAIR;Acc:AT2G14255] MAEIEVVGDGGGGGGGGGVKQGVVEVREARANGGEGDPVVDVYSAAAYGDLERLRGFVERDGGASLAAPDGNGYHALQWATLNNYPHVALYIIEHGGDVNAGDNAQQTALHWAAVRGAIAAADVLLENGARVEAADVNGYRAVHVAAQYGQTAFLHHIISKYGADFECLDNDGRSPLHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNYEVCTVLVHAGTKEELTLKDSGGFTPVELANDKGHRHLSYILSNATKVTFEDKYCSGRSRKIGYAPILITAAVGIWSWGAISLAFASLVMFYRVSRKNPGYIQANTKRLDPKEPLMEIDLNSSAWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGRRNKWDFFVFLCMGTTTAFLGAAIGFHRLWTEPIILSSSESWINFMLSNHPGAVLFMFMDVFLLTGALILTGAQATQIARNLTTNEAANQSRYAYLRGPDGRFRNPYSRGCRRNCADFLVNGYSNDEEAAWPTLQQTVQRS >OGLUM11G16190.1 pep chromosome:ALNU02000000:11:19647957:19651716:1 gene:OGLUM11G16190 transcript:OGLUM11G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAVIRLALPAIPAAAATSSSSGCSVRTRARGRVVRMRVRCRAVGSEGGEGEGEGEGEEAPESLFAKELMRRGMGAAAAGAGEKEVGAEEGGRKRVAAAEFERAAAGADGQRARSMALNSEGLEGLVPRAKLLLSLGSTFFLGFAPLILVTVSLFAVLYVYFGPSFVHDASKTPVSPPPYIDPYELLEDERLSRPSPDVF >OGLUM11G16200.1 pep chromosome:ALNU02000000:11:19656186:19661473:-1 gene:OGLUM11G16200 transcript:OGLUM11G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLSKLGNLLKEEYKLHRNLREEITFLKSELESMEAAFLKVSEAPIDQPPDRQVKIWARDVRDLSYDIEDSVDEFMVRIDSRTPNNSRSFGGFVYRSMDLLNRAKFRHKIGTDIKGIKSRIKEVNDRHDRYKVDGVLTKPIGPTVDSLRLSTLYKRVNEFVGIDEKSDELVRMLMGTGESSIRKRKRDVSEESKQKLKIVSIVGVGGLGKTTLANVVYERLKTQFYCFAFVPVSLTPNMEKIFKNMLHQFDKKKYWNINEATWDEAQLIAELREFLRNKRYLIVIDDIWDISAWEKIKCSFVDSENGSGIITTTRNVDVAKEVGGVYQLKPLCHVDSRKLFNLRIFGAEDKCPPQLAELSERILKKCSGVPLAIITIASMLASKIENENAHKYWSKVYLSIGSGTEDSQDMNHMRSILSISYSDLAPHLKSCFLYLGLYPEDSEIYKYDLIWRWFGEGFVHKQLGKSLYEVGEEYFNELMNKSLIQPAYIRKGDNKAISCRVHDMVLDLITSLSNEEHFLTTLRGEHPITTDAKIRRLSLHSSNEDDFKQLSISNLSHVRSLIFFKGFNSFPAYSSFPLLRVLNLSGCKKADNNHCKDICLYLFHLRDPERDRESAIFAGQILYLNVYLKTRIPDGFGSLKYLQELKGRILIGSLTNVHDLGKLTELRRLHIEFQGWETSWKEPLHRCLSNLVSLEDLTIAGCFGSLDSACGDSSPRPQQLCSIGMWNSTIHAVPNWMVSLSTLSNLTIKLDTLKERDLQILGSIPSLSRLYLGVEKPTVDRDERLIISYRFQCLSLFEYWSDTMEIEFAQGAMQNLRTLKLFIDVHKTYDHVDFGLENLSALEHAYLYLDNCPEEMHEVVEAGIRRQIHMNPNSPTLDLSM >OGLUM11G16210.1 pep chromosome:ALNU02000000:11:19664017:19664662:1 gene:OGLUM11G16210 transcript:OGLUM11G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRKRFLSMLLPDEGVVTGGTTCSGSLCAWTSGVSSPRCGWPWSTIGGMGGTGKASEGGGDDVDVPLVPSLR >OGLUM11G16220.1 pep chromosome:ALNU02000000:11:19673721:19674338:-1 gene:OGLUM11G16220 transcript:OGLUM11G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWPSEDGDHASVVLAVAPKPQNLTSNVVVPPATGASGGGTGSRRGGSGLFALRQDDSGVLLVVATLITTLSYQVGTNVPGGYWQDDGPGHLAGEPIMRTQRRWLYRLFMWGSWIGFASSMGLSLALLTGMPPRSRFVRCLFVLSYSTLIFTFTTQQWPTYAWASVLVWAAVAVLIAAAITYRTHHHLRRFINWLFAEPDDQQS >OGLUM11G16230.1 pep chromosome:ALNU02000000:11:19679607:19681643:1 gene:OGLUM11G16230 transcript:OGLUM11G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVKSHWFPTLANPNQISRHHTRVSTASPLPPPSLLAAPASVSAIAGRGSSGSAAAGTSRAPGGSSPSTDAGTAASSTAGGNNLSSPFLDLGTPLSAADLREAAYEVRSLTMAAASKGGSPGNGGGGGAMSAPPPWPAMVGELLTIGEQTVGLLRIAESQMYNLRPKFMCSILQVAYLGRMLVNQKICWSGC >OGLUM11G16230.2 pep chromosome:ALNU02000000:11:19679607:19681643:1 gene:OGLUM11G16230 transcript:OGLUM11G16230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVKSHWFPTLANPNQISRHHTRVSTASPLPPPSLLAAPASVSAIAGRGSSGSAAAGTSRAPGGSSPSTDAGTAASSTAGGNNLSSPFLDLGTPLSAADLREAAYEVRSLTMAAASKGGSPGNGGGGGAMSAPPPWPAMVGELLTIGEQTVGLLRIAESQVNQKICWSGC >OGLUM11G16240.1 pep chromosome:ALNU02000000:11:19689223:19697102:-1 gene:OGLUM11G16240 transcript:OGLUM11G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTEVMGTLLPKLANLLTEEYKQYKNLKGQIMFLKAELESMEAALLKISETPIDQPPDNQSKLWARDVKELSYDIEDSVDKFMVLIDTRASNNSFRDLIDRSVKLLTRAKIRYNICIDIEQINSRVKEVSERRKRYKVDVVVAKPVYPTVDSLRLSPLYKRAKELVGTDEKSNELVRRLMEGDEMSKKQMRIVSIVGFGGIGKTTIAKVVCERLKMQFDCVAIVSVSLTPNMEKIFKDMLHQLDKDKYSDIYQATWSEEYLLFELREFLRDKRYLIVIDDIWDKSAWERIKYSLFENENGSRIITTTRIHDVAKQVGGVYQMTPLSLDDSRKLFYLRIFGAEDTCPNHLAKASEVILRKCGGVPLAIITIASMLASKLGKEDDHMYWSKVCRSMGSGLEDNPHVNDMRRILSISYYDLPPRLKTCLLYLCLYPEDYHIAREHLIRLWVCEGFVHNEQGKSMYQVGEDYFNELINKSLIKPIDVGIDNKAGFCGVHDMVHDLVASLSNKECFVTTLRGQHLSPINSKVRRLSLQTSHEDSLKKLETMSLSHVRSLIVLQEFKLLPTPLSKTFPILRVLCLNNCVQVKNQHVKDICNLFHLRSLDLWSTSITELPEEIKNLRFLKVLYICETKIEELPSTFVQLEQLESLLFYCKMRLPDGFGNLKSLQELRGDIIVDSPTMLDDLGRLTELRQLSIDFSDWWDKSYETAFLQCLAKLTNLSDLQITSSLSLGPQQRPTIDIPDSGIHSIPNWQASLSSVSTLTIQLKTLGEEDLQVLGSLPSLRDLNIAVEEPTLDRDERLTISNAYPFMCLTRFRYLSETIEVVFSKGAMQRLHTLTLLFDVWKTIEQFNSVDLGLENLSSLRHAHIYLCNCSGVMPKELEAVENALQKAVDMNPNSPSLELETYLVVIDNIWDKSAWEIIKYCLFENINGGRIITTTRIHEVAKQVDRCRNQLVKASDDILKKYGGVPLAHYNSQYLPKEIENLRFLKVLYINETKIKELPSTFVQLEQLGSLFFYFKIRLPDGFGNLKSLQELAGYIIVDSPTMLEDLGKLTKLRQLSIDFNDWWDKSYEAAFLQCLAKLTNLSDLKITSSLSLGPQQYPTIDIPDSGIHSVPNW >OGLUM11G16250.1 pep chromosome:ALNU02000000:11:19709311:19714699:-1 gene:OGLUM11G16250 transcript:OGLUM11G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSTGQLVVILGACSLMMKRSDMIKIARVAGRMTGRAVGRLMLFRRQMDEILEQTAAKQVNKELKDAMTQLDSIRYEVQNLSRFTPGQFMRQHNPVGMDPEAGKNDAIDGSALNLEELRHQIRSMVHDEIESFYRTNSDKFSGRLDNADTVNRSVSPVEGREVDEAGIPTMLASKDMKLANTGFTDLHSKATMYARLTESPEMSGSSGHQFEERDGLLNVLPISAESAGLLPSRSDKPQGSDLLLEATLEAEVAEHAKSFAQQHHDELRKERENGRSDLTPFRTNGSDPTPPLSATPHYTTQGKKRKTKNPIRIRLIPSSTLSRTKNLTMSPVTALLAGAAAPLPPPRAMVASRRPFRVTPAGYSPVGGGCALAVECSSRPQKKATKHHMKTRPKKSQPWDRNRRPTQYPPLPPLPPDWTLVAAGATVDAAAAQADEPEPEPVAAPVVEVVAAPSAAD >OGLUM11G16260.1 pep chromosome:ALNU02000000:11:19718210:19718604:1 gene:OGLUM11G16260 transcript:OGLUM11G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSHMMIFSLLLLMLSSSDLAMADGKFSDADCKMILFPAYCDDIKTCIPLCTNNSPLKPSPSQLSTVVCLDLRCQCTFCPKTARN >OGLUM11G16270.1 pep chromosome:ALNU02000000:11:19720512:19720940:1 gene:OGLUM11G16270 transcript:OGLUM11G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHLMIFNLVLLVLSSDMVIAARMTNYGRLDAPVCKKITFEVHCDDIKPCVQLCATQDPLYPIPSKVTSIVCHNSSECECTYRPRAIRN >OGLUM11G16280.1 pep chromosome:ALNU02000000:11:19726179:19730778:1 gene:OGLUM11G16280 transcript:OGLUM11G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASVIGGTMVSLMVKLSSIAGPRYSLMAGARSDVIFLGAELESIHAFLEKLSGVDGPDPQVRCWMKEVRELAYDVEDCIDEFMHRVDVVHGAVASNHGFSSLRGLVSHATRLVAVAWMHHRLAGELKGLKARAIEVSERRSRYKLGDDIGMLGGSAMATDPRVSVLYADTPDLVGIDRPASEMVNWLTDDVCTLKVLSIIGFGGLGKTTLAMEVYRRVGGQYSCKAFATVSQKLDMKKLFKDLLSQIAQNEVDHMGTWEEGQLIRKLRECLLNKRYFIIIDDVWSKSAWEKVRCALPENNHCSRLLTTTRIDSVAKSCCSHPDDLIYRIEPLKASDSRNLFFKRIFGYEDVCPPQLKEVSDQILKKCCGSPLAIISIASLLASKPVMLKEQWEKVLISIGSALEKNSDLEGMKQILSLSYYDLPYYLKTCLLYLSLYPEDFKIERDSLIQQWIAEGFIGEERGQSVEDVAESYFNELINRSMVQPMDINCDGKAHACRVHDMMLELIISKAIEENFVTLLGGHPVAAKPQGITRRLSIQCDKEITKTKGGMNLLHARSLSLYVQACQLPPLSDFRVLRVLNLEGCLGLCDNHLKDISILFHLKYLSLCRTWISKLPPEIGDLHSLETLDIRDTNIEELPGTIIRIVQLKYILSGGHTWGKIKLPDGIGSMASLRVISGFNICCSSTNAVQELGTLKGLRELTINWTDFSSGDMKRQEAMMNTLGKLGTSNLQSFAICSRNFGSLEFLDSWSPPPNHLQRFRLSAYYFLPRVPRWMASLCNLIHLNINIEKLSNEDIQILQDLPSLLHLDLWLKSPQKEDKIVIHGVGFPYLQELIFSCEGTSLIFEPAALPKLERLQMAVHVKEAKSYGYQFGIEHLRSLKKIYIQLLCAGASALDIEDAEDAIHTIVKFHPGHPRIDIQKCGMDMHLEERNKRQHPEETNVQNMNASKEDMNHANKKRKEYQSSSAQ >OGLUM11G16290.1 pep chromosome:ALNU02000000:11:19729163:19732122:-1 gene:OGLUM11G16290 transcript:OGLUM11G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK63] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKISDAIHLGTLGRKLASFFADESFRNPLLDQAIPLNLEVLRIQTEV >OGLUM11G16290.2 pep chromosome:ALNU02000000:11:19729671:19732106:-1 gene:OGLUM11G16290 transcript:OGLUM11G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK63] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKISDAIHLGTLGRKSPISGGSFEIHVLQRLKYFK >OGLUM11G16290.3 pep chromosome:ALNU02000000:11:19729669:19732122:-1 gene:OGLUM11G16290 transcript:OGLUM11G16290.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK63] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKISDAIHLGTLGRKSPISGGSFEIHVLQRLKYFK >OGLUM11G16290.4 pep chromosome:ALNU02000000:11:19731173:19732106:-1 gene:OGLUM11G16290 transcript:OGLUM11G16290.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK63] MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISMGPKEDRQLMTGLHTVADIYCRDCREVLGWKYERAFEESQKYKEGKFIFEKAKIVKENW >OGLUM11G16300.1 pep chromosome:ALNU02000000:11:19734392:19735880:1 gene:OGLUM11G16300 transcript:OGLUM11G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIQEACKKNTMADVVSSKVVEEEEGKSKSKMGKGIPTISIFFIISWIHKLFLFWRRRRRADVELLLPQWNSRVGLELRRKHAGGLGKGQGAIHPNTVDGAGAPGTDLQAHCCKCFCPFQLAPPHQEKPPSMGYYLFYSAHLCL >OGLUM11G16300.2 pep chromosome:ALNU02000000:11:19734131:19737689:1 gene:OGLUM11G16300 transcript:OGLUM11G16300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCGGHGHGNPRSLQEEHHGRCGEQQGGGGGGGQEQEQDGFLVREARASPPSPSSSSFLGSTSSSCSGGGGGGQMLSFSSPNGTAGLGLSSGGSMQGVLARVRGPFTPTQWMELEHQALIYKHIAANVSVPSSLLLPIRRSLHPWGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHAVARQKQVKGSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGLGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >OGLUM11G16300.3 pep chromosome:ALNU02000000:11:19734131:19737689:1 gene:OGLUM11G16300 transcript:OGLUM11G16300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAFYFAPGWGSFPPGCADVEPRRCRRTDGKKWRCSRDAVGDQKYCERHINRGRHRSRKHVEGRKATLTIAEPSMVIAAGVSSRGHAVARQKQVKGSAATVSDPFSRQSNRKFLEKQNVVDQLSPMDSFDFSSTQSSPNYDNVALSPLKLHHDHDESYIGHGLGSSSEKGSMMHESRLTVSKETLDDGPLGEVFKRKNCQSASTEILTEKWTENPNLHCPSGILQMATKFNSISSGNTVNSGGTAVENLITDNGYLTARMMNPHIVPTLL >OGLUM11G16310.1 pep chromosome:ALNU02000000:11:19738150:19741537:-1 gene:OGLUM11G16310 transcript:OGLUM11G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGNGRPPATAAAWNFEPNGALLGLTALSVRGVLGRVKAGMAAGGGGDGGGGGGRAVIPMGHGDPSVFPCFRTTADAVDAVAAALRSGEHNSYSSCVGLEPARRSIARYLSRDLPYELSADDVYLTSGCAQAIEIICSVLARPGANILCPRPGYLFHEARAVFNGMEVRYFDLLPESGWEVDLDGVQELADKNTVAMVIINPGNPCGNVYTSEHLAKANEVYAHLTFGQNKFVPIGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTSDPNGVFQRTKVVESIQSYLDISADPATFIQGAIPQLIENTKEEFFEKIVDVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLQGIKDDMDFCCQLAKEELVILLPGCAVGYKNWLRITFAIEPSSLEDGIDRLKSFCSRHSKPKVHRSLET >OGLUM11G16320.1 pep chromosome:ALNU02000000:11:19745639:19750397:-1 gene:OGLUM11G16320 transcript:OGLUM11G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLVEYSDLEKGEGEAVAKAVEYLHWRFIRPSEERSPRDCDFRIGPGAAVEAYCDGAWSPGVVRSVVGEGEYEVSVNGKTKEVVVTKVRELLQPQYKWNGKNWRIVSAKLGYDGSVYHMAKRHLRQQSMSGKSPSSPVDVFSSDDEHRHDTESSAWRRSIKRSRKEFNATQQPEGMLPEDSEDVSHSEMNTPLSELCKSSGSNHSPKSCSDPSGMKKIQVLSKKIVSNCLVPVKGILDASTGHRIPQNESREDGIGKTMVNQEIISDMMLTNGQANTSACGTSMNEGYAMLSTKKFGKQKMALSRRYNPVRKARGGLLSVQQLHTKKIMPSELKRGKIRLIHALQDMNDPSDNIQLKGNSTSPSREIICALSVSSQCNTPSPLGKQIKAFDFVSREADSGSNTKFLILKKFARKKGSKESDSPHNSLDATSTVQPIRRKKAAGRLKGSSVERQLEGETHIQQQLNKALEDNLNANEVTNQELLPLTPPGFESVVNGKRSRDWNTDGFSEVNLNSSLFDEELTATINSICQDNHNRDAESDNVATEVAEISHLMEKSMLPLDCSVGHEVGGKVGQGSIQLHIGNSGSLPCTSDNAILRRCSFGGNSMVSDISKCQLTGQQAPFTKRSHVWSLFEEMDVFRKMPQQPHFLPLKHHPLGLREGTALGLMWSYTDAVDNISKLCITDSMEIFEDHIKTLTILEENGFSVQSLQQILTKLLQIRSDYTNSLRDGEKLKEQIADKAFAVSRVDALLDENDSAIAKLEQELGKLRWKGQKMSKKKENEDAELSRLKAENNNAEEARGDAKRQFDSIRAELRQHLAIDSQ >OGLUM11G16330.1 pep chromosome:ALNU02000000:11:19754189:19758082:1 gene:OGLUM11G16330 transcript:OGLUM11G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSPPLTGRRRRRRGEKVRRWTRPKKGSVSRSPHYPCPGGEEEPPPPLAPGTDVEAFHKDGWWSGIVLGGGGGVVTVAFPITREVIDFPPRLVRPRRDYVGGEWVPSEAAIAHQPKQAAAVRIYRVGDKVEVRRDREVYGRSWFHAKVTKVIDKMSYLVEYSDLEKETVEYLHWQFIRPSEVRSPQDCDLRFGPGAAVEAYCDGAWSPGVVRRIVGEGEYEVSVNGKNNEIVVTKARELLQPQYKWNGKNWRIVSAKRRLRHQFVSGKNQRSPADEHSSDDEQKQDTESSAWTMSRKRSKKEFKATELPEVNLPEDSNAVSREGDSGSNTKYQQDDASNLTTVLQSAVATMKGFEESDSQHNSWDATSTVQPKRRKQAARRLKRYSLERQLEGETHIQQQHDKTLEDNLNANQVTYQELLPLTPPGFESIASGKRSRDWNTDGLSEINLHPSLFDDELAATISSICQDNHNGDAETDNMVTQVAEISHLMDKPMLPFDLSVGYEVGGKEGKGSTHLPIGNSGSFPCTSDNTILRSCSLAGNSMASDMKCQLPGQQALFTKTKDTWSAFEMMEVFQKDPQEPHFLPLQQFPEAVRENMAIGLFWSYIDAGDAISKLPITDSMKIFEKHNTTLNYLVGNGFNVQSLQCKLNKALQFKLDRTRSLAYREKLKEQVLEKQSSLSRIGASRDENDSAIANLEMELGRRRWDGQMMSKKMEDEEAELSRLKAEDSKAQEACRDAEKQFRSILVGEKCINN >OGLUM11G16340.1 pep chromosome:ALNU02000000:11:19781346:19782371:-1 gene:OGLUM11G16340 transcript:OGLUM11G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSASPSGRRLSELLEEKQEPFYLDLHLLEKGCSPRLLDGYDAAAVMCWPAASAAAGGGNDAAGSVLRRLTTTKKKKEAARGGAKKTTKKPAAAAATGLLRVLLSKILHVKAASNRKPAALQSSESFSFKKVAAAAAAASPSPCSTKHHPLDAVEVAAADEKEEEMEYTDSESDDEKQFSPVSVLDHPFDFESSPIHKRSPSRVAQPQGSPKNAMAFVRDLLEAAYSPALLTHLLSKTDDLINATADAASAAAAADDDDDCCYHHESDGGELAPAAAYWEAHRAELTRVSAMVASEVPSSSRISAADVRPERDGVGADLEAAVLDQLLHELAMELAGGR >OGLUM11G16350.1 pep chromosome:ALNU02000000:11:19785890:19790454:-1 gene:OGLUM11G16350 transcript:OGLUM11G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G59540) TAIR;Acc:AT1G59540] MEKISVAVRFRPPTTAAPAADQSPSSTGGDREWRVDDDTRITLLHRSAPVPGASFAFDHVFDGAATNERIYGVLVRSLIRAAVDGFNGTAFAYGQTSSGKTFTMNGSGDHPGIIPLAVRDVFDTAREVSDREFLIRVSYMEIYNEEINDLLTLGSEKLPIHESLERGVYVSGLREEIVNSAEQVFKLLELGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNHMDSGDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEIHVEETRGTLQFASRAKCVSNCAQVNEILTDAALLKRQKQEIEELRKKLQGSHSEVLEQVILKQRNDMHKSELERDRLAMELDEERRLRETLEHRLAEQQKMLDGISNTSISPDQFTDSIQFESLKTPTSKERPAEFVASRANYSKDVEFSPIPENLGTVADEDLWMQLNKGCVTDLEMLEMTPGFKCATSLADDKASVATPDEEPIDARCQRLEKDCTADRQQLEDSKAWRAALEEERDTLKRENSSLLDALAKARQDADRLVADRLEALRELDMEKSRMDELKQEIKLFSQAFSLRQGQLTSLYTKSKAIVENCKTSQLALP >OGLUM11G16360.1 pep chromosome:ALNU02000000:11:19792314:19793367:-1 gene:OGLUM11G16360 transcript:OGLUM11G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISILAILLIFLHTKHEKRKLRYAFDKNGGAILKSAKIKIFPKKEIDKITNNYHEKIGDALKTTQSPIGNPKQLTIKERPTDFTNEVTVQFQISHKNVVRLLGCCLETDDPLLVYEYIPGGNLEDALHGESNDGNVTKDPLLLKNRLKIAIESAEALAYMHSSANQKILHGDVKSNNILLDDNSMPKISDFGISRLLSIEKNHTSLVIGDRRYIDPVYFQTGLLTEKSDVYSFGIVLLELITRKKPAYDVHKSLPSDFVKSYMTENKAREMFDKEITSCPEAINCLEMISGIAVQCLKQDVDDRPTMMEVSERLHSAREVMQGSRQNPE >OGLUM11G16370.1 pep chromosome:ALNU02000000:11:19793446:19795187:-1 gene:OGLUM11G16370 transcript:OGLUM11G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGRQDETYYTTCASYCPSATQLHVGDGRHSTLIITLSPNVSAHSDCLNATNGIGYYCKCSKGYDGNPYISDGCQNVNECDPSIYKEKYPCNGGTCHDTEGGCKCQCNFGRRKDAKDNHICQLVLPKPAVAAIGNARLI >OGLUM11G16380.1 pep chromosome:ALNU02000000:11:19796693:19799089:1 gene:OGLUM11G16380 transcript:OGLUM11G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIWEQPLTARCSYLRPFTVTSLRRRLPAADQEKPISSSDVPSVAPTKAAAEEEQASLPSADEAPTVKIVVRVRPMVSRPVDGKDLFVAGGCVRSELGVRGSGSCEFKGAGFGCSCDGSTARIPDPSIPLVSWNELDIEDVTLKYQFKTTNSSHESLYERICC >OGLUM11G16390.1 pep chromosome:ALNU02000000:11:19838382:19842620:-1 gene:OGLUM11G16390 transcript:OGLUM11G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSTDSLVCHGDIKPANILLDGNLTVKVSDFGLSRLLSGGTTQHTMNVKGSIDYMDPIYLQKGCLTPRNDVYGFGIVLLELITRKKVKEDNISLIDTFSKAFAKGRVSLKEIFDAQIVCESNLNALEEIGKLATDCLTLDTHRRPKMQDVEKQLIIIWKGLRGQELGWLKKLGLGMISLVINSQIYNNVRIFTKRELIEITQNYSYILHHGQHATVYKGSLEDSTLVAVKKYHNSTTNEWPKYTAAVSVAHEAKIISHIPHKNIIRLLGLWVGFNIPILVYEYASKGTLADILYCCYPTEGNPSDAVYSGRPFPLASRLRIAAKTAEALAYMHSSATGVVVHGCLTPYSILLDDKFMPMVSGFSMSRNLTLTNGSDIESTLPKEVKIQQYSDPQWTRFPTVDGDVYSFGVLLMDLIIRKPIILHDINFVSEFKGHYMRGNTPWALFDVEITTEDDIAILDEIGRLAVQCTDEEHAARPTMEEVAQLLKRYWKKHLKDMNNDIRNFTEDGIETITSGYSTLIRKGGFGEVYRGALDNDDLVAVKRYIRGDLIQEFMEEVRIHSQIDHKNILKLIGYCRGENILLMVTEYLSNGNLEDVLHNRKVAMPLDTRLGIAIGWCAQALCYMHSMHLSTGNLVCHGDIKPANILLDGNFTAKISDFGMSRLLSDFVKGKVLLKDLFDDELLNEVQTAQALVYIQSPSTHVGHHRRVMTSNILIDDNFIAKVTGHTSILQWINSSKDYSKPSARNDYSHYKDPESAKNDVYSFGVVLMELISRKRPVYGKSGRLIIDFKRAYMTDGSGKEMFDNEFSAEDDIAVLEQIGKLAVLCTWNKRVPPASQNIRDFWYVYRQFQVYPRNPLYVEAEAVDERPTMEQVAKYLEMLRRYWKKRMAKSCNEESAEMKQSQATED >OGLUM11G16400.1 pep chromosome:ALNU02000000:11:19852960:19853160:1 gene:OGLUM11G16400 transcript:OGLUM11G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDTLETKTVTQSRWSLRKVTPKSRCSQTMKDETSHRICQTGDNSGQTHATVLPIPRGQDKGPP >OGLUM11G16410.1 pep chromosome:ALNU02000000:11:19853172:19853824:1 gene:OGLUM11G16410 transcript:OGLUM11G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEISREGLRDGRGARTANGDEDDERGDTVTRKGDTCVARCRCSGKNSTGGEATLRREPLSVPTTSGGGSRAAALRPEGLTRGNPELDGVDVCSMTIGCRSRCSRALERVIKPKMREAER >OGLUM11G16420.1 pep chromosome:ALNU02000000:11:19853878:19854497:1 gene:OGLUM11G16420 transcript:OGLUM11G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDANPLFERERKQKVQQQQHAAIHPSSIAQKISHSPTRRKERPKQRDRQRGRSADVRRAPGGGVARRRWHLVATRRSGRRRVQVDGRRAPRPAPRRTAGGGAPSATPAARRGGGARSSRAIDAETAHPREAASGSSSPWTTAVVRRGLGDAGVDLGAVKRRRISQRTSSVDLMETLADDVRGELR >OGLUM11G16430.1 pep chromosome:ALNU02000000:11:19856350:19856872:1 gene:OGLUM11G16430 transcript:OGLUM11G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAVARTQKVVRSDQKSVVTISRNTRCSNFILKLMAKERRGSRAGCRRRCGDRGTGIAGFFCFCGGGRGVTCAHRQGGSEQGRRCRWLVRSRRRRPWRGARGRRGEAPALAATGSSNERSGACARRRHRSGRRMASRRRGEITLDAAPTSPTPCRRRPPRPPETTTMTPSS >OGLUM11G16440.1 pep chromosome:ALNU02000000:11:19857104:19864251:1 gene:OGLUM11G16440 transcript:OGLUM11G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLRELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLRAALSRVSNLCPENRKLLRQLNELKGILAKAREFRELLGLPVGNSAEVSSLPKTAGVALATSLPPPKVFGRDMDRNRIVDFLIEKSTFEASYMVLAIVGQGGMGKSTLGQYVYNDDRVKQHFDVRMWVCISRKLDVHRHTREIIESAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLVSQKGGSKVLVTSRSKTLPVALFCEEVIDLENMKENDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAINIDNLREPMEALSWSYQKLHPYLQRCFLYCSLFPKGFKYKIDELVHLWVAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMRVSKRYSMHDLLHELAESLSKEDCFRLEDDSEKVIPCTVRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGSDLFNQVLQNLKKLRVLHLSFYNTSKLPESIDQLKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNDLMYSMHEEALPQIPNIGKLTSLQHIDAFRVQKKKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKTHLKTLTLVWSCNNMDAEDSFYLDILEGLVPPPKIEGLTICGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLSIEECPQLMFISNDEVEQHVQRENMMRADHLASHLSLIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGGDEKENIIQAWVCCHEHRIRLLYKRNVGLPLVPPSGLCRLHLSSCSITDGALSNCLGSLISLKRLSLINIMTLTTLPSDGVLQHSRKLEFLFIKYCWCLRSLGGLRAATSLFEARFISCPSLELAHGAESMPSSLQKLSIYSCVITADLFCTDLPNLEQLGLCSCISSSSMSVGRLTSLKSFSLYHSPDLCVLEDLSSLQLHHVHLIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPFLSLENCK >OGLUM11G16440.2 pep chromosome:ALNU02000000:11:19857104:19864251:1 gene:OGLUM11G16440 transcript:OGLUM11G16440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVVLAGLHLAASPTFMKLLTDPSMCLGVDMKRELHELETTIMPQFELMIEAADKLNHRSKLDKWLRELKEALYNAEDLLDEHEYNVLKCKAKRGSDSSVAHVSSINNTLKKPLRAALSRVSNLCPENRKLLRQLNELKGILAKAREFRELLGLPVGNSAEVSSLPKTAGVALATSLPPPKVFGRDMDRNRIVDFLIEKSTFEASYMVLAIVGQGGMGKSTLGQYVYNDDRVKQHFDVRMWVCISRKLDVHRHTREIIESAEKGECPRVDNLDTLQCKLREILHEKEKFLLVLDDVWFDKSNNQSEWDLLLNPLLVSQKGGSKVLVTSRSKTLPVALFCEEVIDLENMKENDFLALFKHYAFSGAVIRDLQLHGKLEEIAERICKRLGKSPLAAKVVGSQLKGKIDSTAWKDALAINIDNLREPMEALSWSYQKLHPYLQRCFLYCSLFPKGFKYKIDELVHLWVAEGLVDSGNLNKSMEDTGRDYFNEMVSVSFFQPISEMRVSKRYSMHDLLHELAESLSKEDCFRLEDDSEKVIPCTVRHLSVCIESVKLHKQSICKLHHLRTVICTEPLVDDGSDLFNQVLQNLKKLRVLHLSFYNTSKLPESIDQLKHLRYLNIIKTLISELPRSLCTLYHLQLLQLNNKFESLPEKLCNLSKLRHLEGYNDLMYSMHEEALPQIPNIGKLTSLQHIDAFRVQKKKGYELWQLRDMNELGGSLSITNLENVTGKDEALESKLHEKTHLKTLTLVWSCNNMDAEDSFYLDILEGLVPPPKIEGLTICGYRPATYPSWLLKGSYFENLESLELVNCSSLESLPPNTKLLRHCDKLTLRNVPNLKTLTCLPGGLTCLSIEECPQLMFISNDEVEQHVQRENMMRADHLASHLSLIWDVDLGSDIMNALSDEHSSLKHLSTWMDADIMENLQTIESALERGGDEKENIIQAWVCCHEHRIRLLYKRNVGLPLVPPSGLCRLHLSSCSITDGALSNCLGSLISLKRLSLINIMTLTTLPSDGVLQHSRKLEFLFIKYCWCLRSLGGLRAATSLFEARFISCPSLELAHGAESMPSSLQKLSIYSCVITADLFCTDLPNLEQLGLCSCISSSSMSVGRLTSLKSFSLYHSPDLCVLEDLSSLQLHHVHLIDVPKLTGECISQFRVQYSLYVSSFVMLNHMLSAEGFKVPPFLSLENCK >OGLUM11G16440.3 pep chromosome:ALNU02000000:11:19863315:19863868:1 gene:OGLUM11G16440 transcript:OGLUM11G16440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCLRFRDCEMRSLEGNMNCLSSLNKLDIHFCPNISSLPDLPSSLQHLSIWGYLSPSSSLVSALRCLLQLTRYLKLIWQFSSLLWPVLSALLGSLLSPIAA >OGLUM11G16450.1 pep chromosome:ALNU02000000:11:19865859:19867865:-1 gene:OGLUM11G16450 transcript:OGLUM11G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLEEKNITHVSQRDDTAKWIIDNYSNIRTFTEHDIEKITSNYSTLIGKGGFGEVFIGVLDDEDDVVAVKRYIRGDLREEFMEEIRIHAQMSHKNIVKLIGCCIGKNRLMMVTEFISNGNLEDALHNSDIFIPLSTRLGIAIGCAEALSYMHSMHLSSSSLICHGDIKPANILLDANLTAKVSDFGISKSLSGGITRWTSNVKGSIAYMDPIYYREGRVTSKSDVYNFGAVLLELIARKSMKEGGISCEAFRQACAKGKGLRELLDIEIAEECNMNILEEIAKLATKCMIVDNIKKRPQMNDVVEHLRTWIFQVRNGGHEKPAWESTLERVHDALKKGMQSAGIFSSSIISNPKKHNFGIFRSNDVRIFTKKDLSGITNNSSHLLGKSTFCNVNKGILDNNTLVAVKTYSVFSYDENLRNSISTSITIMSKIAHRYIIKLLGHCSDADHLPILIYEYAAKGSLNDILYSKEDLPLELRLKIAVKTAEALEFLHSSAFCVIRHGNIKPSNILLDSNLMPKVAGFTSSRRIAENNNDQVASMEFAHIHYMDPIHIQSGHFTVKNDVYSFGVVLLELISRKKPVYHCHDKNRRLIPEFIRAYETAKSGKAMFDEGIVAEEDIDVLEEIGRLAMECVSLEIDGRPTMKEVAERLKMIRRMKESSAMGAARC >OGLUM11G16460.1 pep chromosome:ALNU02000000:11:19886712:19919355:-1 gene:OGLUM11G16460 transcript:OGLUM11G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIQGQSKTMRKVHDNNNVRIFTEDEIKRITKNYRTLIGKGGFGEVFSGDLDDDDGQVAVKRYICGDLREEFMEEVRIHAQMSHKNIVKLIGYCIGENTLMMVTEFILNGSLEDVLCNREISIPLNTRLGIAVGCAEALSYMHLSSDSLVYHGDIKPGNILLDANLTAKVSDFGISKSLSGGLTRYTSHIMGCEDYVDPLYVRDGRLTPKSDVYSFGIVLLELIARKRVKQDGVNLIISFGQACANGKGLRELFDAEITEECNMNVLEEIAKLAIKCLTLDIEERPKINDVAQHLRTLQAHREGQESAAWKSSSLRMLNALRKGYKQSTSIFSSTPTANHRRNAILEIKSEMAKQRNFRIFTKENLFEVIGRYKSSLGDKGSGIGRYNKGTLEDNMLVVVKSHLSNEDVFMIFYEASIVSHIVHEGIIKLLGYCFDADFPMLVYEYADRGSLYDILNSAQDIPLGLRLKIAVKTAEALYHLHSSPFCVRHGDVRSTNILLDINLMPKISGFTSSRRLTKGNLSFDNVDKYCDLIPKKIIRDDLSYIDPKFLQSNLLTTESDVYGFGIILLELISRKKLVYQDKKHRPVRLIPEFIKAYKTEGSGNAMFDKGITTKKDIAVLENIGRRPSSSDELPAAAADVTVCKVEGGQLMLPSTFPYFILVALEAGGLFRGLLLLLLYGLYPVLLLLGHDRATNLMVMVSFADVRKEDGSSSFRVGSAVMPKLFLEDVSAENTSIRCISLFGMVIMSFHPTYFWTMDNATRMSMVKGDRNYIDPYCRHTNCYSKSDVYSFGVVLLELITRKQPAGDCPEKYGLVSEFARAYKMNKSGKAMFDEGIATEENIPRVGTPLHQFEIEQTADNEGALVENYFCPNRPKMFSRPVEVAERLKKIRRWCNGHEGVNNFFESQYILLGENNLIQGQGMTMRTVHDNPNIRIFTEGDIERITNNYSTLIGKGGFGEIFRGVLDDEDDMVAVKRYIRGDLRDEFMEEVRIHAQVTHKNIVKVIGYCIGKKSLMMVTEFISNGNLEYALHSSGISIPLGTRLGIAIGCVESLSYMHSTHLSSGNLICHDGTLTAKVADFGLSKSLSGGITRYTENGVLPRKSDIYSFGVVLLELISRKRVKEKGGINLIAAFNQAYANGKGFRGLLDTEIANECNMKILEGIGKLAVECVAIDANKRPNANDVEKRLLMLWAAQHGKEENIIRRLYRRSPPEIISSSSSNKLGNARIFREGELKKVTENYSSQLATGSFYNIYKGTLEDNTLVAVKKYFDKYETGKEEFCSRVAMVILSPVVHKNITKLVGICLESNPPTLVYEYAARNLSDILHCKEDFPLELRLKIASKTSRALEHLHSSRIALRHGDVTPSNILLDDGFVPKVTAFTLSTRFTEDNATRMSMVKGDGNYMDPYYRHTNLLLLKSDKSISKQEILAKGMMRKGGHLIAIIRSFTEHDIERITSNYSTPNGKGGFGEVFKGFLDDYDHDAVAVKRYIRSELREEFMEEVSIHSKIDHKNVVKLVGCSTGENTLTMVTEFISNGNLEDALHKSDISISLNTRLGIAIGCAEALSYMHSMHSLVDLDSLQNDLILDSQGFFWEASLDLRILDRKVDTPLHQFEIEQTADDERWQNA >OGLUM11G16470.1 pep chromosome:ALNU02000000:11:19935015:19935398:1 gene:OGLUM11G16470 transcript:OGLUM11G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWTYGHTLLERGRVQLSLPIPCTRATAVTESAHESFRRRGQGLGYASLEYVDGEKAKAPLRRKRPRCCSGGEEKGMGYGGREERSRDCGGDGGSGEETRGRREEMERGWTMKRIRMRGKEAKVERG >OGLUM11G16480.1 pep chromosome:ALNU02000000:11:19950009:19951131:1 gene:OGLUM11G16480 transcript:OGLUM11G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRCTALLLLASLLLFFLCISATHEAARTASGQPIQEQEQEQHGKVEEETMAASFAAVEEQCGGEEGEEEECLMRRTLVAHTDYIYTQGNHN >OGLUM11G16490.1 pep chromosome:ALNU02000000:11:19959155:19963339:-1 gene:OGLUM11G16490 transcript:OGLUM11G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLARSIANSLLAPEEPDDGEDLRPSAASASALASASPPRGVREDLSELTDALANRFHGLASFLAPPPHAGGGRGIPRAPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEAAEAEVAGFTEEAVAFARDAATRPELWLDFPLLPDDDDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEACFWKIYFVLLHPKLSKDDADLLSTPQQEKEEKEMRVMEDEEFLRLCPPTHPRRNDDDDDALPATCLLDLLTYIADCRNATTATCRLSCGMEVQVTICAAPPPLVSHVCVYCPGYDHTVFTCVPKVVATQGDVLVLCIALGPRGTIYRPSRSNYYLYHVQAGWPSLKLLPHPGPCFDPNDPYAPLFNDHSVGIIRYQPHIDHPALYVIAALTNGSVPGRYDLHLLHSNAESWISKRGLPRPHSMSRGDHSFAKVITVGGEAGTIGWVDLWKGILFCDVLKDNPVFLYVSLPPPLMATRKLRGCPRNTRDVSVIKGLIRYVELQIHIKPGSFTRGNYISNGWTVATWSRISSNPFEDWHQNCKLDASQVSFENNPVHYEKLPELLDDQGIPQLTMVRLHTGHPVLSMHDHDIVYLMTKVNYLDDKAWVLAIDMRNSTLQGVAEFNAERVIALRYAFTQSGISEYLNMLTGIKGNRKRSRMLLLEPSCKEECKIRMILSAPELGGAAFLATWNCLRCILVYARSGRVHLNLRWSSEEVDLAIRSRWGLLILEARKKLSRNLQSESKPDSNEDMVAASSSNIDGNVPSPVEVVGILKNEDDSARATSFSNVNYGAPQPVILEMQSDDTLNDSGGLRADDITSSVPVQLVPILKDATEFSQARMEERIQDFTAQDTVANEEPGQLSEIKLEDNSEERQKQPSTTNLSEQSRVAIQKNSNDDDDDDEDEWLEEEETGGAGNTMIPIADDEDVSFSDLEEDDATA >OGLUM11G16500.1 pep chromosome:ALNU02000000:11:19965712:19967772:1 gene:OGLUM11G16500 transcript:OGLUM11G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein / peptidoglycan-binding LysM domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G23770) TAIR;Acc:AT2G23770] MAQSRSHAMAAFAFVVVFLLSGAPGARSQQPYGSQVADCPNKHNDTGLLGYFCSSGGGGGGAPSSSSSSCQTYLTFHATPRYPDLAAIASLLGADASSLAAANSAASPTAALAPGAKVLVPATCSCTGSAYYQRNATYVAVAGDTLLVIANDTFQGLSTCQAVQEQALGDAPARSLLAGQRVTVPLRCACPSAAQAAAGVRYLVTYLVDEFDEVGAIAARFGVDAGNISAANEMAIIDTIYPFTTLLIPVKSKPDVSQLRSPPPPPPPPPPPAAPAPTTNRKNHTGVYVSIGAAAVAVLAVVTAVVAALAVRARRRRRRATAAVAAAGGKGGKGNDKASPAFTGGEVSVSISEAFSGLSDIKSSLKVFTYAELAAATDGFSPDRRVGGSVYRAVFNGDAAAVEVVDRDVSAEVEIMRKINHLNLVRLIGLCHHRGRWYLVSEYAEHGTLRDRLLAGGGAPPLSWSQRVQVALDVAEGLRYLHGYTRPPYVHMDVSSDSVLLAGGADLRGKLRNFGGARVIRGGGGEAFTMTSNIAGTRGYTAPEYLEHGVVSPKADVYSLGVVLLELVTGKGVDELEADGAGDPFAGMNALAGDLDGGSEDDAAVTRRMEEFLDPAMAATGSSCPREAVAMMVKLIERCVRRDAAARPGMGEVAQHLLMLHGVSGDGWHSSLEHYRSSGGDGGEQP >OGLUM11G16510.1 pep chromosome:ALNU02000000:11:19969485:19973738:1 gene:OGLUM11G16510 transcript:OGLUM11G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34360) TAIR;Acc:AT4G34360] MADDIAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFERESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >OGLUM11G16510.2 pep chromosome:ALNU02000000:11:19969485:19972820:1 gene:OGLUM11G16510 transcript:OGLUM11G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G34360) TAIR;Acc:AT4G34360] MADDIAPRTASAYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSISVLEVGCGNSRLGEELLREGVAGGITCVDLSPVAVQRMRDRLAEQGTEGVEVVVADMLDLPFERESFDLVIEKGTMDVLFVDSGDPWNPNPTTVDNVMKMLEGIHKVLKPEGIFVSITFGQPHFRRRFFEAPGFTWSVEWSTFGDGFHYFFYILKKGKRLLDSNGNQHTQPAAPSINMFHEELESEDYIFRTNVDEL >OGLUM11G16520.1 pep chromosome:ALNU02000000:11:19973449:19973787:-1 gene:OGLUM11G16520 transcript:OGLUM11G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRMAVAIMVQLLATAAAAAAKSSEPALTGSSISAADDDLASKVGIRRLLQDGGDCIPNYNVCCSNCWPFDRTNCCDPDNYLCQYWPEMDPSYGQDWCIPRNYWSTSSVH >OGLUM11G16530.1 pep chromosome:ALNU02000000:11:19978562:19980809:1 gene:OGLUM11G16530 transcript:OGLUM11G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BK95] MASSAAAYLDADENLEAIISRIEQKSRKIETLLKQSKPVEALKTALEGTPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTINTV >OGLUM11G16540.1 pep chromosome:ALNU02000000:11:19984553:19986614:-1 gene:OGLUM11G16540 transcript:OGLUM11G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDNGVGVKKGPWTPEEDIILVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKTDNDIKNYWNTHLKKKVKRLQQQQQSHPDHHHHHSFQTTPSSSNAAAVATTSPNYYNPNNSNSNSSNHLHNNNHNLESMQSMATAPSNEATTIPKLFQFQTWMKPSPATTSSAATAAAGSCYKQAMAMQELQEEQEGSAAAGAMASSIDGVSKDQDYHMCAVISGDDKSSSSEMMTAAAMAGHGEAATTTFSLLENWLLDDMPGQAAMSAAMDGFLEISAGYCCADPIMF >OGLUM11G16550.1 pep chromosome:ALNU02000000:11:19994042:19998807:1 gene:OGLUM11G16550 transcript:OGLUM11G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:long-chain acyl-CoA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT1G49430) TAIR;Acc:AT1G49430] MEETYTVKVGEATPAAGGKPSAGPVYRSIYSKDGLMKLPEDILSPWDFFSGAVKQYPKNKMLGQRKVSDGKAGDYVWLTYEEVYQKVIKIGSAIRSLGVKPGGHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIQSVLSVVKKCRAHIKAIVSFGDVTSELKREAEQLGVSCFSWEEFSSMGKQNYELPKKQKDDICTIMYTSGTTGDPKGVIITNRALIAGVMTTEHLLKVTDKVVAEDDSYFSYLPLAHIFDQVIGNYCISKGASIGFWQADIRYLMEDVQMMKPTVFCGVPRVYDRIYTGINQKIQSGGMIAKSLFQYAYNYSRITKSVTLSSLISKLGNLRKGLKQDEASPFFDKIVFSKIKEGLGGRIRLLLSGAAPLPRHVEEFMRVTSCSVLVQGYGLTESCSGCFTSIANVFSMIGSVGPPVTTIEARLESIPEMRYDALSNVPRGEICLRGHTLFSGYYKRPDLTEEVFSDGWFHTGDIGEWQPDGTMKIIDRKKNIFKLSQGEYVAVEVWVYGNSFESFLVAVVVPEKQAIEDWAAQNNKTGNFAELCNDPKARMYIQDELNKTGKRLGLRGFEMLKAIHLETTPFSIEKDLVTPTFKLKRPQLLKYYKDCIDQLYKDAKVGNKQ >OGLUM11G16560.1 pep chromosome:ALNU02000000:11:19999163:20002255:-1 gene:OGLUM11G16560 transcript:OGLUM11G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARRLAATFLLAAVAVATLSLACVPEERDALLAFRDGVTGDPGGRLASWRRGGDCCRWRGVRYSNRTNGHVVALRLRNDAAAAAGGGGAEAELDDRGYYAGGAALVGAISLALLSLRRLRHLDLSRNYLEGSPPGPPPAFLGGLANLRYLNLSGIYFSGEVPPRLGNLSNLRYLDLSTDFSAQLARSSELSWLARMPSLRHLSLSSLRLLPHNLTNLKVLDLSMNHLDHRAELAWFWNITSLTDLSLMGTHLHGQIPDELDAMASLQVLDLSYNGNKATMPRSLRGLCNLRVLDLDSALDGGDIGELMQRLPQQCSSSNMLQELYLPNNGMTGILPDYSKLMHLTGLRVLDLSYNNLTGPIPRSMGNLSGLDILDLSFNNLTGLIPAGEGCFAGLSTLVLSENFLTGQIPEELGYLGSLTTLDLYGNHLSGHVPSEIGKLANLTYLDISRNDLDGVITEEHFARLARLTTIDLSLNPLKIEVGSEWKPPFSLEKANFSHCAMGPLFPAWLQWQVDFSCLDISSTGINDTLPDWLSTAFPKMAVLDISENSIYGGLPANLEAMSIQELYLSSNQLTGHIPKLPRNITILDISINSLSGPLPKIQSPKLLSLILFSNHITGTIPESICESQDLFILDLANNLLVGELPRCDSMGTMRYLLLSNNSLSGEFPQFVQSCTSLGFLDLGWNSFSGTLPMWIGDLVQLQFLQLSYNMFSGNIPNILTKLKLLHHLNLAGNNISGTIPRDLSNLTAMTQTKGIVHSFPYQGYASVVGEPGNSLSVVTKGQELNYGVGILDMVSIDLSLNDLTGIIPEEMISLDALLNLNLSWNRLSGKIPEKIGTIRSLESLDLSRNMLSGEIPSSLSNLTYLSFLDLADNNLTGRIPSGSQLDTLYEEHPYMYGGNSGLCGPPLRENCSANDASKLDGQEIAERDFDPLSFGFGHCLGFVFGLWVVFCVLLFKKSWRLCYFCFIDRIYDQIYVFLVLTCKRFGRG >OGLUM11G16570.1 pep chromosome:ALNU02000000:11:20002282:20011011:1 gene:OGLUM11G16570 transcript:OGLUM11G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGATATATETGSFVYEYSCADRNEEKESEGNSHRTRPPAQPSQTVSGEHPPPAPATVGRRPPPPPRVNFERKGAVVRGVLGRIKAGVVVDRRRPVVPMAHGDPSVFPCFRTTPAGRRRRRALLRGARLLLLLRLRRPRASPRREKSATVVESIKSYLGTSSDPETFVQI >OGLUM11G16570.2 pep chromosome:ALNU02000000:11:20002282:20010889:1 gene:OGLUM11G16570 transcript:OGLUM11G16570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGATATATETGSFVYEYSCADRNEEKESEGNSHRTRPPAQPSQTVSGEHPPPAPATVGRRPPPPPRVNFERKGAVVRGVLGRIKAGVVVDRRRPVVPMAHGDPSVFPCFRTTPAGRRRRRALLRGARLLLLLRLRRPRASPRREKSATVVESIKSYLGTSSDPETFVQI >OGLUM11G16580.1 pep chromosome:ALNU02000000:11:20005965:20008784:-1 gene:OGLUM11G16580 transcript:OGLUM11G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAVILLVVKKIGVALGNEAINQATSYFQKYVTQLTELQGSMGRIRRELRLMHEFLSQMDVRNHNNKMYEIWVEDVRMLAHQIEDIVDDYLHLVSHKDKHDDTGWTTYLKKGFKRMKGPNALLSLNRIAPSVKEAEANLVHLFQAKERWVRMVADETSGESSCYIVEASRHLASISCSLSEEDLVGVDENRKRLREWLADDELEREVIVLHGMGGLGKTTLAANVYRNEREKFECHAWVSISQTYSIKNILKCLITELFRNAKQNPPANIEDMKTEGLQDELKAFLRDRKYLVILDDVWAPEAVVNLFGALVSNRRGSRVLVTTRIDEVTHLAFPDKMIMLEPLSQNESWELFCKTAFPRENKHECPTEVTQLAYQIVSKCKGVPLAIVSVGRLLFVRDKTEEEFRRIHNQLDWELINNPSMEHVRNILYLSYIYLPAQLKSCFLYCSLFPDDYLFTRKKLVRWWIAEGFVEKRGGSTMEEVAEGYLKELVHMNMLQLVERNSFGRIKAFRMHDIVHELAVDLCRRECFGVSYSCENKRFEFLEEKDERRMVIHRLDKDINQVISSECHLRSFIALDKAMPSSTLLPLLSEKCRYMSVLELSGLPIDNVPDAIGDLFNLRHLGLRDSNVKLLPNSIEKLSNLLTLDLCTSEIQELPRGIIKLTKLRHLFAEKANDRSGRQLRCRTGVCIPRGLENLRELQTLQALQAQDEPLSWLGELRQMRSIKIWDVKESCCECLCESLRQMEFLSYLSIAASDENEILNLSGLNPLPPNLEKLRLRGRLAQASMLLGAAGGQNHLHSIHLSWSQLVDDPLPSLSRWSNLTDLLLNRAYVGDELVFRHGWFPTLKELYVGDMPRLKRMEIQQGSMARLQQLYLVNLSSMTEVPPGIEFLMSTLKSLGFAEITRQFLAALRQCSRINCSIRWWYTLLGVDGTQEASI >OGLUM11G16590.1 pep chromosome:ALNU02000000:11:20015795:20017419:1 gene:OGLUM11G16590 transcript:OGLUM11G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVGSAGRMMEEVANEGSDVLGERKGLNMLQNALVQADIDEASSKKMRSARLCKKSTSIAAEACLVYQTLPGIRYLGCYQALDLT >OGLUM11G16600.1 pep chromosome:ALNU02000000:11:20019193:20023114:1 gene:OGLUM11G16600 transcript:OGLUM11G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLKGTWICMSTLSFVYPRLVLDGDFNTHSAWDSVREFLGVTGGFEAPQLGLNSFSPPALVAIPCGYCGEGCGCGERGPVAWAALKPPSLDLTHFRHQPLSLYLAGTVANGVGVAKGV >OGLUM11G16610.1 pep chromosome:ALNU02000000:11:20025925:20028555:1 gene:OGLUM11G16610 transcript:OGLUM11G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETCVSEAAAILDVAVAGDGEAKRQLDDDDDDDSEPNPYEYRVFFEWLWDYYGCKIDDVTRGPTFRTAGARIFPCVEVYSCRVIDLTGGLEWPIDIFGFDPSFVLTGPIRAINCSQRIEFEIDLKVRGKTQSDKDKVLSARYIVYETIGPNTTVGQVRSKARPGKRCSVEVTFAHLAGAVEVAIEVRVVQGRSSGFHGRFVMRTDGYDDDVVLLDSSKDGSVLAVADDGVIKLARSVASSKLQAIIARNDSSSGDDGVGVAAEDHAEFAAQRSESSCRTLDLGLCKMLATVSWSMIPLI >OGLUM11G16620.1 pep chromosome:ALNU02000000:11:20044310:20076836:1 gene:OGLUM11G16620 transcript:OGLUM11G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHINISRGNIIDRLPDWFSNSFSNVEVLDISGPLPLNLGAPRIEYLILRSNNFTGQIPVSLCEFDELYILDLSNNNFEGELPKCFKMEHLSFLLLSKNSLSGKILPSLQSCTSITFLDLSWNRFSGKLPMWISNLVRLRFLKLSYNKFDGHIPTNITDLSHLYHLNLAANSLSGVIPWQLSNLEAMTKGKSMLRKLPNNYSRGVDRYLSRFKHMVGELSVTTKRQDLKYQGFALLGIVTIDLSSNYLTESLDLSENKLSGEIPSSISKLTYLSTLDLSYNNLIGRIPSGGQLDTLYNNNPSMYDGNAGLCGDILKKKCPGNDASNYYGSYKDHYELLYLCFGLVIGFVLGLWVVFLTLLFKKSWRIAYFRLFDKHHPSSHSLPKHTVNQHLTLQLINHLAMLMRHPTIAAAAAAASFLLLMIAADGQAATPSPPAAIGNYCKPRERDALLAFKEGVTDDPAGLLASWRRGGGQLQEDCCQWRGVRCSNRTGHVVKLCLRNDHAGTALAGEIGQSLISLEHLKYLDLSMNNLAGSTGHVPEFLGSFRSLRYLNLSGIVFFGMVPPQLGNLSNLRYLDLSGIRLSGMVPFLYISDGSWLAHLSNLQYLNLDGVNLSTVLDWPNILNMIPSLKNVSLSSCSLQSANQSLPELNFRKLEMLDLSNNDFNHPAESSWIWNLTSLKYLNLSSSSLYGDIPQALGNMLSLQVLDFSFDDHKDSMGMSVSKNGNMGTMTANLKNLCNLEVLDLDCRLEYGNIMDIFQRLPQCSPSKLKEVHLAGNNLTGMLPNWIGRLTSLVTLDLFNNSITGQVPSEIGMLTNLRNLYLHFNNMNGTITEKHFAHLTSLKSIYFCYNHLKIVMDPQWLPPFKLEKAYFASITMGPSFPRWLQSQVDIVALAMNDAGINDTFPDWFSITFSKAKLLEFPGNQISGGLPTNMENMSLEKLYLKSNQIAGLIPRMPRNLTILDLSNNSLSGPLPLNIGSPKLAELNLLSNRITGNVPQSICELQNLHGLDLSNNLLHGEFPQCSGMSMMSFFRLSNNSFSGNFPSFLQGWTELSFLDLSWNKFSGNLPTWIGNFSKLEILRLKHNMFSGNIPASITKLGNLSHLDLASNSISGPLPQYLANLTGMVPKQYYTNEHEERLSGCDYKSLVTMKGLELEYDEENVTVVTIDLSSNLLTGTQLGTLYDQNHHLYDGNDGLCGPPLPKSCYKSDASEQGHLMRSKQGFDIGPFSIGVVMGFMAGLWIVFYALLFRKSWRVAYFCLLDKVYDEVCVIAVVGWERLTGRTDPRLLMSHVAWSSIDSDESYE >OGLUM11G16630.1 pep chromosome:ALNU02000000:11:20077087:20083403:1 gene:OGLUM11G16630 transcript:OGLUM11G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEQAELGQSSDAHFDVLKVFRGRRPSFLIIPCWVLPFLPSCSDGDLTKSWKQSIREREVHVSTDGVSTAGGRLRRLAPKLLLFLVSVRTCLVSDRDICGTEHVWHAKEHYDQWLGERSFAQMTGRQQHPQSSTHRQLPLRQVQPIQQPSISLKQEQQELLDHKPNLQKCQLIGQSDGSVNTQRHQRLPVQSNGSMSLHQSQQLDSQGNLNISSLQQQQLLGTYPIVSNIPGMHMLKKIKVEVQHPLHDHQQTMGLIQPQSQHNQHQQSQQHIMTAFQSQSNQLQQELGMGQQPSVQQSLQISTGMFVQQNNIDKQKQYTQAQCGLQEVPFSTTMDITAQTGHPGECYLQDEIYDMIKSLKDQYFTELTDLYNEMFLKLQSHMPPQIPIDHYEEMKKFKLFLERALHFLEIKKESIQPSLWEKIPEYERQIINAISSQRMQPVRKQEQQFHQSSTQITNSNIPQQQQASPGSGDWQESSYQMIKRLKDKHFAELSHLCNKLSMKLEYVDSQM >OGLUM11G16640.1 pep chromosome:ALNU02000000:11:20122273:20132380:1 gene:OGLUM11G16640 transcript:OGLUM11G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSDSSPNDEEFCKDISDSERKKPETSDAEFKKQVESAFLAIHNDTVLIGMKRKIYGHNFKINSMKSDSKDDSKLTRFSVKYFSQVLESLSEHQMEVISNSCFKTMLLFEKCSVPSDFALWIAQQVDVSSCDIIVRDKVIPLCKESVHSVLGLPVGGLPIKSSFEFERKKILECFGIYSLPSVKFYGDKFIKKESMTDEQILISFMLVNYLDFLNFGMRKLPADIPRIKVWKGNMIKVLSKFDRFSKGVYGKRPIRDISDCCYKLIQTAENKENTSVQQGNRSFLDMLHSSISVDLPEDVKKDINQFLILHFGPDESSIDERAKKLLIDVLVVLSNANVNLGQDVKSNFNDGNVVSDDIVNVHSSNAIHNDNNPMIFNDRFPKSSEKEQISTNPHIFEHQLNNKNPMNCNEKLLKSSQKSTKTDVDVIMKKLCKEAFKPLSPKKRDYIFSSFNKREPIHLDEVDEQPNFKIWDSQDDFPTDQEELKIEETPNNGSNAKKIIPDSYCPACPFEIHDNKDKIVMITLEDSEQQTQVLTQHNDKENVLVKQQEQKSLPKKKDSPDLIFLGERKCVDNCLDLTSKSNVLYNKINTFVVNPEKKLKLYNGSPEKIPLSNIDQNVGTSSSICMISKTQFQDKVAVDIDGVHCKFFTFGESVRPGGELSNFITSVFCRYMFRLCHPSKSKKHFFFSSIGDDLLKDPSTTDFKVVKKCLDGASLARPVHSCDLLFFPIVKNRHWFVFAVVLKAKQFVFLDSLYDEYSAYHEQLRPKLLFKINDFQFYTILSHNLKTVNCTAKFIIIDQVLLDILNF >OGLUM11G16650.1 pep chromosome:ALNU02000000:11:20144444:20153647:1 gene:OGLUM11G16650 transcript:OGLUM11G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLPLLLFVLLFSALLLCPSSSDDGDAAGDELALLSFKSSLLYQGGQSLASWNTSGHGQHCTWVGVVCGRRHPHRVVKLRLRSSNLTGIISPSLGNLSFLRTLQLSNNHLSGKIPQELSRLSRLQQLVLNFNSLSGEIPAALGNLTSLSVLELTNNTLSGAVPSSLGKLTGLTDLALAENMLSGSIPSSFGQLRRLSFLSLAFNNLSGAIPDPIWNISSLTIFEVISNKLSGTLPTNAFSNLPSLQEVYMYYNQFHGRIPPSIGNSSNISIFTIGFNSFSGVVPPEIGRMRNLQRLELPETLLEAKETNDWKFMTALTNCSNLQEVELGGCKFGGVLPDSVSNLSSSLVSLSIRDNKISGSLPRDIGNLVNLQYLSLANNSLTRSLPSSFSKLKNLRRLTVDNKLIGSLPLTIGNLTQLTNMEVQFNAFVGTIPSTLGNLTKLFQINLGHNNFIGQIPIEIFSIPALSEILDVSHNNLEGSIPKEIGKLKNIVEFHADSNKLSGEIPSTIGECQLLILGKTQGMLSNLTYLDLGFNHLNGLITEKHFANLTSLRVIKLSPNPLEIKVNPEWLPSFRLKDADFQSYRMGPKFPTWLQFQLDIDSLDISNTSIKDTLPDWFWTTFSKATHLNMSNNQINGRLPKNMETMSFTTLYMGSNKLTGDIPPLPRNLSLLDISNNYLSGPLPSNLGAPSLQSLNLHSNNISGNIPQGLCKLENLFFLDLGNNLLEGELHRCFEKIRELSYLRLNNNGFTGNFPSSVRNSSYLEVLDLSWNELSGRLPTWIEDLADLKVLQLSHNKFSGDIPESITKLTQLHHLDLASNNISGALPNHLSKLQGMIRNQTDAELINGWYTSELIFGMYTKGQVLYYNERIVEVVTIDLSSNFLSGGIPEDIVSLGGLINLNLSRNYLRGNIPYSIGAMRSLMSLDLSENKLYGEIPPSLSVLTSLIYLNLSYNNLAGRIPSGTQLDSIYNQHPDIYNGNSGLYDFPLPKNFSSNDVPKHGIPEKTRDSFHIEPFFFGLVSGLIVGFWLVFVTLLFKKSWRVAYFRLFDEMYDKAYVVVVIGWARLTRKTATI >OGLUM11G16660.1 pep chromosome:ALNU02000000:11:20167596:20209708:1 gene:OGLUM11G16660 transcript:OGLUM11G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLISPSLLALEHLQYLDISWNRLKGPDGRIPEFGRILEFGRIPEFIGSFRNLRYLNLSVMPLVGTVPPQLNNLSKLQFLDLSGCDMMNFKSGMAWIRHLPSLQYLDLTSVNLSAVDDWPHVMNTLPSLRFLYLSECRLQDANQSLPHLGNNLTRLESLDLSKNFLEYPVASCWFWNLTRLKHIILSWNYLSGQLPDTLEDMTSLQLLDLSYNRIDPFFDYDMFLSSEAPSNENHSYIDGITIMTTNLRNLCNLEILDPTHSLSSGNITELFDSMAQCPCRKLQELRLENNNITGILPKSMGLFYRLVYLQLTLNNITGDLPPEIAAKLVLLVVATAAACSISQPAATTAATNALEQQLRPDDTGVSCLPHERDALLTFKQGITSDDDGFLASWRRGQEEEEDCCRWRGVTCSNRTGHVVKLDLGDSGLQGQISPLISLEQLEYLDLSWNEFSGSFPAFVGCFKNLRYLNLSHTSFTGTFPRQLSIPSNVWNILTEFLTSPTRILLLAGEVPHQLGNLSNLRELDLGYSDMHATHISWLAHLHFLEYLDMTYINLSMVVDWTSVINSIPSLESLHLSCCSLPGTNQSMTPLNFTRLVELDVSHNDFGNPFSTSNALEFLGSMENLRYLDLSGTSLLSGGVPPQLGNLSNLRHLDLGFMPNMYSTDISWLTHLQKLEYLHMDRVNLSTITNWPLVVNMIPSLKVLYLRGCSLQSANQSLPHLNLTKLEDLDLSHNYFGHPIKSCWFWEVKGIKYLFLDETYLDGPFPEALEEMTSLQELNFRANGNLATMTVDLKKLCELEVLALFVSLSNGNITKFIDRLPKCTYTSLTMLELDHNNLTGELPNMMEHLTSLVTLTLVNNSISGTIPLGIMNLTSLALLSLTLNRLNGQIPLLPKSIFKLDVAMNNLSGHLPVEFGAPDLEVLDLSSNYITDLSRNNLDGTLPTWIGDLAGLRLLQLSHNMFYGDIPVNITNLTKLQLLNLAGNNLSGSIPQYLSNLIGMTLIYHARYGALRYYHYPESHEFLYDLPLVMKHQELKYRSYEVFDMVVIDMSLNHLTGEIPNEIISLHGLVNLNLSWNHLSGKIPENIGAMKSLESLDLSRNNISGEIPPIL >OGLUM11G16670.1 pep chromosome:ALNU02000000:11:20209924:20222730:1 gene:OGLUM11G16670 transcript:OGLUM11G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFYFGLVSGFVVGLWVVFCAILFKRSWRVAYFRQFDKLYDKWCRGSGGAHHGRDLPSAYHLFRPRLHLSSGGGEVEEEEEGVWRRRRRLGFPPPESPERVATWADQVTSNIVRVGTGLRRPPPRRYLRDSDIGIDSASTLSSRLTHFQLDHPFKRVATMISATDHHRARAYAIKLWVVTALPPRAVVPPPVVHLYWLLER >OGLUM11G16680.1 pep chromosome:ALNU02000000:11:20217883:20220450:-1 gene:OGLUM11G16680 transcript:OGLUM11G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHLSAVKLLLLIAAVAAACGFSWPAATATTQVQLRPNGDDAVASCLPHERDALLAFKHGITSDNSSFLSSWRRRGKEDDCCRWRGIACSSQTGHVVKLDLGGSGLEGQISPSLLSLDQLEFLDLSDTYLQGANGSVPEFLASFNNLRHLDLSYMFFTGMFPLQLGNLTKLEYLNLSYAYSIMWGEVPHQLGNLSNLRYLDLSHIADTYAMDITWLAHLHFLEYLDMSYIDLSMAVADLPLVVNMIPHLRVLSLRNCSIPSANQTLTHMNLTKLEKLDLSMNYFGHPISSCWFWKITSIKSLSLSETYLDGPFPDALGGMTSLQELDFTNNANAVTMTIDLKNLCELENIWLDGSLLPVNIAEFLEKLPRCSSSPLNILSLSGNNMTGTLPKSIWQFNNLDTLDLSNNNISGDIAPGVQNLTRLVSLILNSNKLTGQIPKLPKSLQVLDISMNFLSGNLPSKFGAPRLTELILSNNRITGHVSGSICKLQDMYMLDLSNNFIEGELPCCVRMPNLTFLLVGNNRFSGEFPLCLQTLRSLAFLDLSRNKFNGALPMRIGDLESLRMLQLSHNMFSGDIPISITNLDRLQYLNLAGNNISGSIPRNLIKLTSMTLKRSPGMPEDWFEDIIDRYLPIELFSLVMKHQELKYGGVTVFDMVGIDLSLNDLTGEIPVEITSLDGLKNLNLSWNHFSGKIPEDIGSMKSLESLDLSRNNISDEMPSSMSDLTYLSSLDLSYNDLVGRIPQGIQLDTLYANNPSMYDENDGLCGPPLQSNCSGNTASKLGSRKRSTKDLEPMFFYFGLMSGYVVGLWVVFCATLFKRSCRVAYFRQANKLYNKAYVCAVVTLARLTRQATAN >OGLUM11G16700.1 pep chromosome:ALNU02000000:11:20234039:20237631:1 gene:OGLUM11G16700 transcript:OGLUM11G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAAKIVLLVVAATAAAACSFSPPAAATAGATHALEDSGARCLPHERDALLAFKQGITIDDDGFLASWRRGQEEEEDCCRWRGVTCSNRTDHVVKLDLSDSGLEGQISPSLIYLEQLEYLDLSWNGFNGSIPAFMGCFKNLRYLNLSYLSFTGEVPHQLGNLSNLRQLDLACFYMSHSDMHATNLSWLAHLHFLDYLDMTYINLSMAVDWPSIINSIPSLESLHLSGCSLPGTSQSMTQLNLTRLVELDVSLNDFGNPLGKSNALEFFGSMDQTIRYLDLSGTSILSGGVPPHLGNLSNLRHLGLGSMHNTMYATDILWLTHLQQLEYLHMFRVNLSTITDWSLLVNMIPSLKVLELIECSLESANQSLAHLNLTKLEELDLSLNYFGHPIASCWFWKVKGIKYLSLIGTYLDGPFPDVVGEMTSLQELHFDANNNLATMTVDLKNLCELEFLSLSGSLSNGNITEFIDKLPKCSSSSLTCLGLDYNNMTGVLPDMVEHLSSLEILMLSNNSISGTISAGILKLTKLQALFLALNRLNGQIPLLPKNLRTLDIAMNNFSGHLPVEFGAPHLEVIILSSNHITGHVPRSIFKLQKLSFLDLSNNSFYGELPRMPNLLSCLLLSNNRFSGKFPSWLKSFSKLVFLDLSWNNLDGTLPIWIGDLAGLTILQLDHNMFYGDIPVTITNLIQLQLLNLASNNLSGPTPQFLSNIIGMTTKAPKREWNEFSESLTDVLSVVMKHQELKYHPDGISDMVVIDLSLNHLTGEIPNEITSLDGLVSLNLSWNHLSGKIPKNIGAMKSLESLDLSRNNISGEMPAGLSDLTFLSSLDMSYNNLVGRIPRGSQLDTLYDHDPFMYDGNSGLCGPPLQRNCSGNNVPWHDSQKRSVKDLEPKIFFYFGIVSGFVVGLWVVFCAILFKRSWRVAYFRKFDKLYDKAYVFVVVTWARFASQATTN >OGLUM11G16710.1 pep chromosome:ALNU02000000:11:20238325:20238647:1 gene:OGLUM11G16710 transcript:OGLUM11G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAAKFLLLMAALACFSAVTTSLVFALCPEHVSILLGASWFWNLTSIKYFNLDETYLYMVHSPKN >OGLUM11G16720.1 pep chromosome:ALNU02000000:11:20254457:20255720:1 gene:OGLUM11G16720 transcript:OGLUM11G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGVLGLFQEPDNSQPLIYVFCWLSAFSAWQPFKVTLISPTMAGDQQIFRGYLIYLRYCSLKKWQQNNNLNGPFFLLGYKYKHKLQNLICQAQICPTIRSVAYCQLMWQQCDWMDSISIQTN >OGLUM11G16730.1 pep chromosome:ALNU02000000:11:20256666:20266023:1 gene:OGLUM11G16730 transcript:OGLUM11G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKNPKRHGVDMFVWYTGFIAYMDLAFLTQSIVILLMQLVVAAAAAAACGFSSPAAATHALEQQLRPDDPSTGCLPHESDALLAFKQGITFDYYGFLSSWQRRQDEEDCCLWRGVTCSNRTGHVVKLDLSDLGLEGQISPSLIYLERLEYLDLSWNWFNGSIPAFMGCFKNLRHLNLSYMPFTGEVPHQLGNLSYLQQLDLAGSFLSDSDLHATNLSWLAHLHFLEYLDMSHINLSMAVDWPSVINRIPSLESLRLSGCSLPGTSQSITQLNLTRLVELDVSFNDFGKPLGTSNALDFLGSILNIRYLDLSETSILSGRVPPHLGNLSNLRHLGLGSILINTMYSIDISWLTHLQQLEYLHMDKVNLSTITDWPVVVNMIPSLKVLHLTKCSLQSANQSLAHLNLTKLIFDLSQNYFGHPIASCWFWKVTNIKHLYLQEAYLHGPFPDALGEMTSLQDLYFGENGNLATMTVDLKNLCELGVLSLYGCLSNGNITEFIDKLPKCSSSSLTTLVLDDNNMTGVLPTIMEHLTSLESLELFNNSISGPIPPWILNLTSLRTIFLTLNRLNGRIPLLPKNLQILDVAMNNLSGHLPIEFGAPDLKVIVLSSNRITGYVPRSISKLQKLRFLDLSNNSFYGELPRMPNLLYLLLSNNRFSGKFPSWLQSFSTLAFLDLSWNNLVGTLPTWIGDLAGLTFLQLSHNMFYGDIPPNITNLTQLQLLNLAGNNLSGTIPQRISNLIGMTLKHRERLGTKWYDSEVGDVFLVMLSLVMKHQELKYGSDEVLYMVAIDLSLNHLIG >OGLUM11G16740.1 pep chromosome:ALNU02000000:11:20261743:20263222:-1 gene:OGLUM11G16740 transcript:OGLUM11G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPTTPAGSSPGRTPRTGGRRSRPLGLTGGGEDDNGRKKGFSSCLVVHAVPDSKGSNFA >OGLUM11G16750.1 pep chromosome:ALNU02000000:11:20272644:20275646:1 gene:OGLUM11G16750 transcript:OGLUM11G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPSSATKSSWLLFIVVAACTGSLFLVADALHEHHRRRPNIAGATCVPHERDALLAFKEGVVGDPAGRLVSWRPGEDCCRHWRGVRCSNLTGHVLKLHLRNTDGGEAAMSGKVSSSLLSLQHLRHLDLSMNNLQALPRFLRIAGEGPIPEFLGSVRSLRYLNLSGIPFSYKVPPQLGNLSKLRYLDISGMSHFSESSLSLDATRPYSTDLSWLTRLPLLEHLNLGEIDLSTVVNWPQVVNMIPSLRVLGLGDCSLTSANQSLPHLNLTNLEELDLSSNYLDSIESCWFWNLTSLKRLNLDGTGFHGLFPNVLGDMVSLQVLVLSSNEMTMKTTNLTTLCNLRVLQLGESYSYGNISELIESLPLCAFGKLQELYLQGNHFTGTLPNWLGQLTSLVILDLSMNNITGPLPGIFGKFTDLRDLNLAGNQLTGHLPSQISMLSNLTRLDLSNNILDGLITDEHFVCLKRLEYIDLSHNKLKIVLGSPPFRLKEAHFTNCQMGPMFPAWLQWQVDLCYLDITSTGIVDKLPDWFSNSLSKVIYLDISNNQISGGLPTNWEIMSVEQLYLSSNQFTGEIPSLPRNIITLDISSNSLTGNLPSNLSETPMLDTLILFSNNITGRIPESICNLSLYALDLANNHFEGDLPECAEMENLDILMLSNNSFSGKFPSFLQRCVFLSFLDLAWNEFSGTLPMWIGNCTSLRFLRLNNNMFHGHIPGSITGLSDLRHLNLAENRLSGMIPPCLSNLSAMVYEYSAANPYPPASIRFYRLRLGELSVITKRLQLKYQGFSVLDITTIDFSSNNLSGEIPMDISSLEGLVNLNLSWNQLNGNIPHNIGSMHALESIDLSNNNLSGEIPPSLSNLTYLSILDLSYNNLTGPIPSGGQLETLYTYNPLMYSGNNGLCGFPLQRSCPGNSTSNDGDLSKEKHGDQQIPELHSDDQMFFLFGCGVGFVVGSWVVFFSLLFVKTWRIAYFRLFDSVYDKVYVFVVVNWGSLTGMKVAS >OGLUM11G16760.1 pep chromosome:ALNU02000000:11:20275704:20276087:1 gene:OGLUM11G16760 transcript:OGLUM11G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDEHFTASVRFEDLIVAYNAIFQLIWNLEELCLSSSRVANTLATGEVISMKYSIVTLVLRLQESGKRRFYF >OGLUM11G16770.1 pep chromosome:ALNU02000000:11:20284332:20284674:-1 gene:OGLUM11G16770 transcript:OGLUM11G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRRSLAEVTAGLEEYFLKASVTGDAASNHLEASNDGFKRGPHSILGALCCLSAPSVAHDRVDSINGEQRHSSTLR >OGLUM11G16780.1 pep chromosome:ALNU02000000:11:20293237:20296137:1 gene:OGLUM11G16780 transcript:OGLUM11G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLKFWRRGDDCCQWRGIRCSNRTGHVIKLQLWKPKFDDDGMSLVRNGMVGLISPSLLSLEHLQHLDLSWNNLSGSDGHIPGFIGSFRNLRYLNLSGMPFIGVVPPQLGNLSKLQFLDLSSCIGLEMQSRSGMTWLRNLPLLQYLNLNSVDLIAVDNWPHVMNQLPSLRVLDFSINRPVPISPIGLLPSSQAPPSSGDDDATIEGITIMAENLRNLCSLEILDLTQSLSSGNITELTDNLAKCPASKLQQLILKYNNITGILPISMGVFSSLVYLDLSQNYLTGQLPSEIGMLRNLTWMDLSYNGLVHLPPEIGMLTNLAYIDLGHNNFSHLPSEIANTSIKDTFPEWFWTTVSKATYLSISNNQIRGRLPTNMETMLLETFYLDSNLITGEIPELPINLETLDISNNFLSGPLPSNLRAPNLTQLNIYSNQISGHIPGYLCNLGALEALDLGNNRFEGELPRCFEMGVGSLKFLRLSNNRLSGNFPSFLRKCKELHFTDLSWNKLSGILPKWIGDLTELQILRLSHNSFSGDIPRSITKLTNLHHLDLASNNISGAIPNSLSKILAMTGQPYEGAQTPAASGVNYTSPVATKGQERQYNEENVEVVNIDLSSNFLTGGIPEYIVSLGGLVNLNLSRNLLSGQIPYKIGAMRMLASLDLSENKLYGEIPASLSSLTFLSYLNLSYNSLTGRIPSGSQLETIYNQHPDIYNGNSGLCGSPLQKNCSSNNVPKQGHLERTGQGFHIEPFFFGLVMGLIVGLWLVFCTLLFKKSWRVAYFRFFDKMYDKAYVVVVVGWTRLTRKTATI >OGLUM11G16790.1 pep chromosome:ALNU02000000:11:20312121:20313336:1 gene:OGLUM11G16790 transcript:OGLUM11G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPRLPSRRPPPPPPLPIAKADALTLATAGACRLRPQARLRRPPNGRGGEEEEGDMRASHVFPVFFGSMKNIRYLDVSQTSILSGRVPPQFGNHSNLQLLNLGFMDTDMYSADISWLTHLHQLKYLDMSSIDLSAVLILWGCSLSSANQTITHINQTKLEELHLSGNHFGHSIVTSLKIVDLAQTYLGGPFPDALGGMKSLQELYFSNNGNRATMTVDLQNLCELTTLFLRDGLSSGNITRVC >OGLUM11G16800.1 pep chromosome:ALNU02000000:11:20313414:20314788:1 gene:OGLUM11G16800 transcript:OGLUM11G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLNSIRFVNLNNNIRGAIPVGIQNLTWLDSLFLSSNELTGQIPELPRRLRKLDVSMNFLSGNLPSNCGSPYIKDLIMSYNHIAGEFPLCLQSISSLTFLDLSRNKFYGALPEWIGDLRSILEFSRQQHIRINSSTFDKVNINDTKTSYENGGWMVPRCDRKIYVPMEVFSQVTKHQELKYGAAGISYMVRIDLSLNQLTGGIPNDITSLHGLLNLNLSWNHLSGKLPENIGAMKSVESLDFSRNNLSGEIPLSLSDLTYLSSLDLSYNNFVGRIPRGGQLDTLYANNPSMYDGNSGLCGPPLQRNCSNVNAPKHGKQNISVVFFYFGLVSGFVIGLWVVFCAILFKRSWRVAYFHQGDMLYDKAYVFTKFILFMRLVWIHWTT >OGLUM11G16810.1 pep chromosome:ALNU02000000:11:20315653:20317017:1 gene:OGLUM11G16810 transcript:OGLUM11G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINLKNLCELAALWLDGSLSSGNITEFVEKLPRCSSSPLNILSLQGNNMTGMLPDVMGHINYLSILDLSNNSISGSIPRGIQNLTQLISLALSSNQLTGHIPVLPTSLTNLDVAMNFLSGNLPSQFGAPFLRVIILSYNRITLSHNMFHGNIPVNIANLGSLQYLNLAANNISGSLPRTLVNLKAMTLKHLTRIDVGWYESLTYYVLLTDILSLVMKHQELNYHAEGSFDLVGIDLSQNQLTGGIPDQVTCLDGLVNLNLSSNHLKGKIPDNVGDMKSVESLDFSRNNLSGEIPQSLSDLTYLSSLDLSHSNFVGRIPRGSQFDTLYANNLMATMVYVDLLFKGIAQTLMHQSMGNIT >OGLUM11G16820.1 pep chromosome:ALNU02000000:11:20317038:20324424:1 gene:OGLUM11G16820 transcript:OGLUM11G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFYFGLVSGFVIGLWVVFCAILFKRSWRFAYFHQADKLYDKAYVFALVTWARDALLAFKEGIVKDPAGLLSSWQRGGQYDDQLLDEDCCQWRGVRCSNRTGHVVKLNLRNDYADVGTALVGEIGHSLISLEHLRYLDLSMNNLEGPTGHVPEFLGSFRSLRYLDLSGIVFSGMVPPQLGNLSNLKFLDFSGMLPSSMAPFLYISDASWLAHLSNLQYLNLNGVNLSTVLDWPHVLNMIPSLKFLSLSSCSLQSANQYPTQINLRQLEILDLSNNYELSDQAESSWIWNLTSLKYLNLSSTSLYGEIPQALGNMLSLQVLDFSYNGEEDSTGMSVSKKGNMCIMKANLKNLCNLEVLDLDYRLAYGEISEIFESLPQCSPNKLKELHLANNNLTGNLPKLVGRLTSLVTLDLFNNNITGQVPSEIGMLTNLINLYLHYNCLDGVITEKHFAHLTSLKSIYLCYNDLEIVVDPEWLPPFRLEKAYFASTSMGPSFPSWLQSQVDILELAMSNAVINDTFPDWFSTTFSKATFLEMSQNQIAGGLPTNMENMSLEKLYLDCNQIADRIPRMPRNLMLLDISYNLISGHVPQSFCELQKLNGLDLSNNLLEGEFPQCSLMSRVTFFRASNNSFSGNFPSFLQGWTELSFLDLSWNKYSGTLPTWIGNFSKLEFLQLKHNMFSGNIPDSITNLGKLSHLDLASNGLSGPLPRHLSNLTGMMVNHDTTKHEEILSGCNYKSSVNMKGQELQYNQENVTVVTIDLSSNFLTGVIPEGIVSLDGIINLNLSWNNLNGKIPYMIGAIKSLESLDLSKNNLYGEIPQSLSDLTYLSYLNLSYNNLTGRVPSGTQLGTLYDQNQHLYDGNDGLCGPPLQKSCYKYDASKQGNQIRSKQGFHIGSFSIGVTVGYMAGLWVVFYILLFKKSWRIAYFCSLDNMYDEVYVKAIVVWAKLTGRTDERLRMSQVAWSSIDSDEYHE >OGLUM11G16830.1 pep chromosome:ALNU02000000:11:20326936:20330566:-1 gene:OGLUM11G16830 transcript:OGLUM11G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLLTGKKLLLSSLLVVASTTLTLKHTVASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQEHKKLKVLRFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYRYLTISTKNQFAVVGCGITAIIVGQGENQPDYTVGCRSFCDDVDSNIVEDNSTQCNGNTGCCQASIPGTLKAFQPSFLKMSGVNYSGVPCVYAFVVEQKWFKFKTSYAKSMELYSQYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRHSRSGYLCNCSQGYEGNPYLHGGCQDINECDYPWLYPCKGNCRNMIGNYACSCPSGTQSKDPKIIPCTPTIGLSIGIGVGSATGFICIVLIAMFLTRRIKHRRKIKLRQKFFILNRGQLLEQLVSQRANIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVQKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLTKRKLFPYLSSEGDGLVADFVNLHSAGNLVQMLDSQVIKEGGTEIQEVSHLAISCIELRGEDRPTMRQVNCSLEGILSQINQK >OGLUM11G16830.2 pep chromosome:ALNU02000000:11:20326936:20330566:-1 gene:OGLUM11G16830 transcript:OGLUM11G16830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACPLLTGKKLLLSSLLVVASTTLTLKHTVASTSSLAFAALPGCPDKCGNVSIPYPFGTIDGCFREPPFRVYCEDDHAVYLQEHKKLKVLRFELAQGEVLIQKRIATSCGVNLTGKAVGIPWVVHDGGLADDYRYLTISTKNQFAVVGCGITAIIVGQGENQPDYTVGCRSFCDDVDSNIVEDNSTQCNGNTGCCQASIPGTLKAFQPSFLKMSGVNYSGVPCVYAFVVEQKWFKFKTSYAKSMELYSQYRNKGTGVPLVLDLVVGNETCDEAKRNALSYACKATNSSCIDRHSRSGYLCNCSQGYEGNPYLHGGCQGLSIGIGVGSATGFICIVLIAMFLTRRIKHRRKIKLRQKFFILNRGQLLEQLVSQRANIAERMIITLDELEKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKISNIVVQKEIDDFINEVAILSQINHKNVVKLIGCCLETEVPLLVYEFISNGTLYHHLHGEGPRSLSWSNRLRIAAEIANALSYLHSSVTIPIIHRDIKSSNILLDDNLTSKVSDFGASRYIPIEKTALTTAVQGTVGYLDPMYFYTGRLNDKSDVYSFGVMLVELLTKRKLFPYLSSEGDGLVADFVNLHSAGNLVQMLDSQVIKEGGTEIQEVSHLAISCIELRGEDRPTMRQVNCSLEGILSQINQK >OGLUM11G16840.1 pep chromosome:ALNU02000000:11:20331808:20337233:-1 gene:OGLUM11G16840 transcript:OGLUM11G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MAAAAEAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSTPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGTTKHNSSSGDIDLMLQEDNHMGKGNLLGNNGRGKAETLHTNSRKGTVLPQLNNLTKLQFLDPSGCHLMKSESGMAWLFIF >OGLUM11G16840.2 pep chromosome:ALNU02000000:11:20332428:20337233:-1 gene:OGLUM11G16840 transcript:OGLUM11G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RWD domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G60300) TAIR;Acc:AT3G60300] MAAAAEAEAEEEVRQEVEAVASVYGDDCRVVRGFPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPKAPPHVYAVESKGLDENRQVYLISSIQNKARELSCYPMLVTLCEEAVEMLSNMNHPAGDCPLCLYPLVKENDGSALPFMKLMSCYHCFHSDCIMRWWEWLQNDDTDSKKSSTAATTEVIHASEGLDLSSSAKHHNVSQHKGLCPVCRKVFDEKDIEHVRDLLGANTSQLASLSIDLDEDEKEVLHSESEKIRQEKFASLFNLQQERNGLIEPKKDLSIQPGMYIPRPPSTPTASEGDTVDDSCEDTTTSTSGTEPLNQTNSTGGTTKHNSSSGHRRRHRSHASRRQPHGQGQPARQQWQRKGGDTSHQ >OGLUM11G16850.1 pep chromosome:ALNU02000000:11:20343963:20346920:1 gene:OGLUM11G16850 transcript:OGLUM11G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTTTAALLILSLLAVAALATTNHEVEAAVAALPRGATRRPAPAHSNVATAAGCSPRERDALLTFKAGITEDIMGLLDSWKYDGAGPGQTEEEADCCRWRGVRCGAGGHVVGLHLRNVYADQSNDYDFITSAYDLAGEISPSLLNLTYLEHIDLSKNQLQGQTGRVPEFLGSLQNLRYLNLSGIPFSGEVPPQLGNLTNLHYLGLSDTGINFTDIQWLARLRSLTHLDMSHTSLSMVHDWADVMNNIPSLKVLHLAYCNIVYANQSFSHFNLTNLEELDLSVNYFNHPIASCWFWNAQGLKYLDLGSTKLYGQFPNVPGQFGSLQFLDLSSTCNIDIMTTNLTNLFNLRIIHLERSQIHGDIAKLLQRLPRCSYNRLNELYLSDNNISGILPNRLDHLTSLVILDISHNKLSGPLPPQIGMFSNLTYLDLSSNNLNGVITDEHFTSMRSLKTLDLSGNSLKILVDSEWLPLFSLEVALFSPCHMGPRFPSWLKRQVNITYLNMSFAGITDRLPNWFSTTFLNAHLLDVSNNEINGSLPANMEVMTTLSRLYMGSNKLTGQIPLLPKALEIMDISRNSLSGPLPSNFGDDLVLSYLHLFSNRITGHIPNSMCDLHHLVYLDLADNLLEGEFPRCFQPVFLSKLFVSNNILSGKFPPFLRSRHNLEMLDLASNDFYGGLPIWIGELSNLAIVRLSNNNFSGNIPTSITNLTRLVQLDLSNNSISGVLPLHLSNLICMKKSGHCDIVMVFDRYSISGRYGRNVGIANMSVDTKGQKLYYKLPIVLDIVTIDLSLNYLTGGIPEELTLLDGIKNLNLSWNQFSGRIPSNISVMQSLESLDLSKNNLSGEIPSNLSKITSLSRLDLSYNHLTGRIPSGGQLDTLYAENPSMYNGNTGLCGYPLRRNCSDNSSASKHGVEQRSERDSEPMFLYFGLGSGFVVGLWVVFCTILFKKAWRIAYFRLFDKVYDKVYVFVVVTWATLSQKSGAR >OGLUM11G16860.1 pep chromosome:ALNU02000000:11:20383103:20384599:1 gene:OGLUM11G16860 transcript:OGLUM11G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKD0] MPPSPMSSTTTAAGQSTGPVPFKDIVVDAATTAGGERQPPAEEYRDIIATLPHKQSTPFTRVHEYDGAWILDDWLPGTMAFRRRFEARAAGDVVLASLPKCGTTWLKALAFATAARGRYDPSSTGDGDRRHPLRRLNPHECVPFAEVVYNAGGRRSSTRRRRRGSSARTRRILSCQRLSPRAPPAKSYTSLDVTMGILPLCVSMTSEMQPKDMLISFWHFINKRIKPNTIPFSDIWNSIYNDAYPESPIWEHILGYWNMSKIQSDRVLFLKYEDVLRDPITNVEKIAEFIGQPFSDAEKEAGIVESIMKLCSLENLKASAMNSTSNYQRLMKEVPSESFFRKGVVGDWANYITPDMAERMDKFLAEKFHGSGFSFTDCL >OGLUM11G16870.1 pep chromosome:ALNU02000000:11:20396325:20397878:1 gene:OGLUM11G16870 transcript:OGLUM11G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGAPELTHEMSSNPCAGGRATILGIGTAVPAHVYEQKSFPDYYFEITDSNHLVDLKAKFANICEKTSTEKRHMYISDEWLRANPSVTAYMSTSLNVRQQVAEEGIPRLGAEAARRAIDDWGKPASSLTHIVFATTSTGCLPSADVVLIKLLGLPPSTKRVMLYQAGCFGGTTALRVAKDIAESNHGARVLVVTSEVMSLVIRGPNESHIGNLVGQAVFGDAAGAVVVGCCPTADEHRPMFELVRASQDVIPGTDDAVVVKVRQEGVVITMHRDVPLHVSNAIGGVVKSTFHEIDMKITSYNEAFWLLHAGGRGIVDGVEERLGLGGEKLAATREVMRQYGNTRSSTIFLAMEEMKRRSEERGMATAGEGLEWGMLIAFGPGLTLETMLLRAVPRNS >OGLUM11G16880.1 pep chromosome:ALNU02000000:11:20410840:20412521:-1 gene:OGLUM11G16880 transcript:OGLUM11G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYRLAAAGQKKSGNHDFSEEHNGTSRRRASDADGETSVTGVHGHRRQQPSPSPSSSSCVTAEVSDGEEEEEEVSNGSINGAPSASQRRPTLAVAASCSKKVIAQQPCWVHINVIMVRVLNYVGFLNIIQCDAVTGGTVAAEVVKGVMAGDITLTIFFSVPLCRQPSCSVMDLLTPETRGLRREGGRPRRSRRHGEGRGKATAIA >OGLUM11G16880.2 pep chromosome:ALNU02000000:11:20410880:20412521:-1 gene:OGLUM11G16880 transcript:OGLUM11G16880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEYRLAAAGQKKSGNHDFSEEHNGTSRRRASDADGETSVTGVHGHRRQQPSPSPSSSSCVTAEVSDGEEEEEEVSNGSINGAPSASQRRPTLAVAASCSKKVIAQQGRGKATAIA >OGLUM11G16890.1 pep chromosome:ALNU02000000:11:20422332:20436191:1 gene:OGLUM11G16890 transcript:OGLUM11G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPAAKLPLLFLLLVGATATTSMANHAPAPATGSCVPREREALLAFRRGITGDPAGRLASWRRGNNDCCSWSGVRCSNLTGHVLELHLQNNFSLYDVFEATALVGHISTSLLALEHLDPSNNYLVVVGPAGQFPGFISSLRNLIYLNFSGVPLTGMVPPQLGNLTKLQYLDLSDRIDMYSTDIQWLTHLPSLRYLSLSNVNLSRISDWPHVMNMNVNLRALYLCDCFLTSAIQSIVQLNFTRLEELDLSLNNFHQPLAYCWFWNLTSLKYLDLSGNNIVGSLPAAVSKFTSLDTLDLSENQFFGSVPYENSMLTSLTNINLRVNNLTGEITEKHLAGLKSLKTIDLSSNQYLKIVIGPEWQPPFRLEVAIFGSCQLGPMFPSWLQWMVDIKILDISNTGITDQLPHWFWTTFSKATDIDISSNNISGSLPANMETMSLERLYLGYNQITGVIPILPPNLTCLEIQNNMVSGIVASKTFGAPNLGYMDLSSNKIKGPIAGSICELQYLTYLNLANNHLEGEFPHCIGMTEVQHFNLNKNSLSGKVPSFLKGCKQLLYLDLSHNKFHGKLPSWIGDFPAVQSLIQNNNVLSEHIPTNITNLTNLRDLDLSQNKFHGRLPSWIGDLPEVQHKFLLLFLLIGAAAATLSLATNSPVPQRPAGCTPWEREALLAFKRGITGDPAGRLASWKRGNHDCCFWRGVRCSNLTGHVLELHLHNNFPRYDKATALVGHISTSLLALEHLEHLDLSNNYLVGPAGRFPGFVSSLRNLIYLNFSGMPLTGMYSTDIKWLTHLPSLRYLGLSNVNLSKISDWPRVVNMNSYLMVLDLSGCSLTSASQSFSQLNLTRLEKLDLSYNNFNQPLPSCWFWNLTSLTYLDLTMNTLSGQFPDSLGDMKALQVFKFWSNGHSIIMPNLLRNLCNLEILDLGGLRSCNITELLDSLMHCLTKRIRKLYLWNNNITGTLPTGVGKFTSLDTLDLSDNQLTGSVPYEISMLTSLAKINLSLNKTLSWFKEFKKHRLTRGGEIWILSARSNVSLVASMDGINDQLPHWFWTIFSRATELVMSSNKISGSLPTNMETMSLEHLLLGSNQITGVIPILPPNLIWLEIQNNMLSGSVASKTFGAPQLGFMDLSSNNITGPIPGSICELLNLDYLNLANNHLEGEFPRCIGFKNLELFHLNNNSLSGKVPSFLKGCKRLKYLDLSQNKFHGRLSSSNQITCVIPIMPPYLIESDIQNNMLSGLVASKTLWSSKTRRLALTVHIFRGKATKRKRHVIYTLDTPMED >OGLUM11G16900.1 pep chromosome:ALNU02000000:11:20436821:20440250:-1 gene:OGLUM11G16900 transcript:OGLUM11G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTRGAAAAAAPGRRGRPPKAAEEAARSPVLSSPVAAAEAAEGYERERAERIRENMERMQKLGILDLATRFNQSAGGLAGSGSGRGRGRRKAPVTPGSVGVGRMIKPASPSPARRSLRLKNVEPVSYCEIRTKKDKDNDEGGNSVVIEAGSKEEIYTEEDEKLLGPCVEPWTLFVDGYGKDGKRIYDQVRGQTCHQCRQKTLGHHTSCCKCQIVQGQFCGDCLYMRYGENVLEAKKNPDWICPVCRGICNCSICRTKKGWFPTGAAYRKVVSLGYKSVAHYLIATQRASPAGSADSNKVAATKPEALPQSENAPAAKEDQEDAEMSGKAIQKVEADHQVNNPPDDSDNDDSRSESVVTSDSQDCQVNLDIGCATPSKPTGPKKRKWIERSPDCVASRLRSRSNKS >OGLUM11G16910.1 pep chromosome:ALNU02000000:11:20443512:20445915:1 gene:OGLUM11G16910 transcript:OGLUM11G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGDGKEAINEQIIANTYGNMRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIKEVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADTNADEEEGSKKEGSAQGVLVGPAGQ >OGLUM11G16910.2 pep chromosome:ALNU02000000:11:20443511:20445915:1 gene:OGLUM11G16910 transcript:OGLUM11G16910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAGGDGKEAINEQIIANTYGNMRSEMTQLYTKITELEMEVSEHSLVIGAIEPLDHSRRCYRMVGGVLVERTIKEVLPAVHRNKEGLEEVIARMHEALEKKKKEITEFELKYKIRIRKADTNADEEEGSKKEGSAQGVLVGPAGQ >OGLUM11G16920.1 pep chromosome:ALNU02000000:11:20446094:20451192:1 gene:OGLUM11G16920 transcript:OGLUM11G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THUMP domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G12410) TAIR;Acc:AT5G12410] MASGEGKPNPGGGGGVVAKGRKRKYLPHGKPVRKGAYPLRPGVQGFFLTCDGGRERQATREALSLLDSFYEDLVDGKGSDGKPKSVPEKPLNKKITFADSDSSDDEEEDHSGEVTDDTNNVDKGETTPSEQQQEVSDTPVVPSKDNEEQTDNADESKLKKQRVEDPPISEQTEPKEPTDKPTESTDKPKGSNDKPIDDLIDEDLKELGDRKKRLFATLDSGCNGCIFIQMHKRDGDPGPVEIVQNMMSSAASSRKHMSRFILRFLPTEVTCYASEEEITKAISPLVEKYFPKESSSVYKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLSSPDRTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPPEK >OGLUM11G16930.1 pep chromosome:ALNU02000000:11:20454885:20460027:1 gene:OGLUM11G16930 transcript:OGLUM11G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAMEKRREIRELRDRMDRTLALPDLADEVLLRSLVKRQILASSLSSGNDEGNIDLIAEARSKEISNFLEMLNTSGNERSSKIHEAAHKEWKVKQDTDQLRVMYREGPDGTPFHTLLAEGFADGPIDVCTCVSWESSLYKKWFPQYNLPTFKVAQSGCLKKVRVGEEISLVSWIFSLMDVVTNICIHYLEERVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDTDNINIETHGFSRDGIPEAGDTVRIDVVGGFVLQRITKDKSFFRAIANMDIKLDFVPPWLINFISRQLIGSGHKLYQKAVSTVANCDEDYKKALREPLYVRIREHRGSTDMANVTPVEERAREALPDNPILQNLLAVTNITSNSEIVEEESEQKALFKLDHHAIGPSNNPAKQEQHVENKPYISPEVEQALSILDKAIEIIRGNNAASASVVQKFIGYDVTLDGSTTDSKNSHNIPNEHPATLPPRDSRETQQTYSLSNENVNHREKDALDSDSQRYTTASTVTKTMSMTRRSTTRVHGEESLDTNGLHQNGFHKDKDSKRTRKRRTNRWLCCLTPSTTG >OGLUM11G16940.1 pep chromosome:ALNU02000000:11:20474458:20488048:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTAGEVVFICLLLEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKILKLQTPGAHKSFVAECEALKNLRHRNLVKRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLNRMRTTDIVNELHAMRESLLREYRIEDGSYVNPLLLLGSLLLLAAGTLPLQATTAYVPRERDALLAFKRGITSDPLGLLTSWKEDDHDCCRWGGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLGSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLPQCSSSKLSELKMSYNNINGSLPAGLFRQFPNLVTLDMSINLITGPLPVEIGMLDSLTYLNLRGNNLEGVITEEHFVSLKSLKYIDLSDNQLLKIVVDPGWLAPFTLEEARFASCQMGPRFPSWLQWSVNISYIDISKAGIIDKLPDWFWTTVSKVKDLVMSNNQISGVFPANMETMAMEYLDIRSNKLSGQIPLLPRNLSALDIHNNSLSGQLPSEFGVNIYMLILSHNHLSGHIPGSFCKMQYLDTIDLANNLFEGDFPQQCFSMKDIKVLLLSNNRFAGTFPAFLEGCTQLQIIDLSRNNFSSKLPKWIGDKKDLVLLRLSYNAFSGVIPDNITNLPNLRQLDLAYRGVSQN >OGLUM11G16940.2 pep chromosome:ALNU02000000:11:20466389:20474452:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDGYNGSGSVPEDGYSSLSVATKRQELYYGPALLDMVSIDLSSNCLIGGIPEQIASLAALKNLNLSRNNLNGKIPYKIGSLQSLESLDLSRNNLSGEIPSTLSNLSYLSDLDLSYNNLSGTIPSGSQLGTLYMEHPDMYNGNNGLCGPPLRRNCSGDIEPRQHGYGDDNKAGHVPEPMFFYLGLVSGFIAGLWVVFCIILFKKTWRIAYFRIFDKVENGVAASRCIADGGGRRIKQQAAAAYAGRARDGAECEAVSRRPCASMLGTGPRAGLCISPRAADEIAATGAGVGAVQCAERQAHRRRMRRRRWPPSGMERGARRPEALAAGISKGDGQRGRNLSHLSSSSRSAQEQGGKTGSSAAAHVAIQAHRKSSRERQPNTKSNSIALLLTAVAVLFLRPGFSREQQQQQQKYCHGG >OGLUM11G16940.3 pep chromosome:ALNU02000000:11:20463150:20474452:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDGYNGSGSVPEDGYSSLSVATKRQELYYGPALLDMVSIDLSSNCLIGGIPEQIASLAALKNLNLSRNNLNGKIPYKIGSLQSLESLDLSRNNLSGEIPSTLSNLSYLSDLDLSYNNLSGTIPSGSQLGTLYMEHPDMYNGNNGLCGPPLRRNCSGDIEPRQHGYGDDNKAGHVPEPMFFYLGLVSGFIAGLWVVFCIILFKKTWRIAYFRIFDKVENGVAASRCIADGGGRRIKQQAAAAYAGRARDGAECEAVSRRPCASMLGTGPRAGLCISPRAADEIAATGAGVGAVQCAERQAHRRRMRRRRWPPSGMERGARRPEALAAGISKGDGQRGRNLSHLSSSSRSAQEQGGKTGSSAAAHVAIQAHRKSSRERQPNTNYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLKLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >OGLUM11G16940.4 pep chromosome:ALNU02000000:11:20463150:20474452:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDGYNGSGSVPEDGYSSLSVATKRQELYYGPALLDMVSIDLSSNCLIGGIPEQIASLAALKNLNLSRNNLNGKIPYKIGSLQSLESLDLSRNNLSGEIPSTLSNLSYLSDLDLSYNNLSGTIPSGSQLGTLYMEHPDMYNGNNGLCGPPLRRNCSGDIEPRQHGYGDDNKAGHVPEPMFFYLGLVSGFIAGLWVVFCIILFKKTWRIAYFRIFDKVENGVAASRCIADGGGRRIKQQAAAAYAGRARDGAECEAVSRRPCASMLGTGPRAGLCISPRAADEIAATGAGVGAVQCAERQAHRRRMRRRRWPPSGMERGARRPEALAAGISKGDGQRGRNLSYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLKLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >OGLUM11G16940.5 pep chromosome:ALNU02000000:11:20463148:20466363:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDGFLASWNASSHYCSWPGVVCGRRGGRHPERVVALQMSSFNLSGSISPSLGNLSLLRELELGDNQFSGNIPPEIGQLTRLTMLNLSSNYLQGSIPASIGECAELMSIDLGNNQLQGEIPAELGALKNLVRLGLHENALSGEIPRSLADLQSLGALSLFKNRLHGEIPPGLGNLTSLYHLLLAHNMLSGAIPSSLGMLSGLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQNMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLGAKDPKGWGFISALTNCSNLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSMPEDIGNLVSLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELNYFRLDVNAFTGRIPCALGNLTNLVELGLSSNNFTGPIPIEIFKIHTLSLTLDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSGEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVLTFGVFSNPSAISIQGNGKLCGGIPDLHLPRCASQSPHRRQKLLVIPIVVSLVVTLLLLLLLYKLLSWRKKIKTNIPSTTSMEGHPLISYSQLVRATDNFSATNLLGSGSFGSVYKGEINNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTERRILDEQNSVFQPSTNSIVFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLKLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >OGLUM11G16940.6 pep chromosome:ALNU02000000:11:20474458:20488048:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTAGEVVFICLLLVCLCSHSLASSPPPPPPSSPVSSNDATKATVDELALLSIKSMLSSPSSSPLASWNSTSSIHHCSWPGVVCSRRHPGRVAALRMASFNLSGAISPFLANLSFLRELDLAGNQLAGEIPPEIGRLGRLETVNLAANALQGTLPLSLGNCTNLMVLNLTSNQLQGEIPSTIGARMVNLYMLDLRQNGFSGEIPLSLAELPSLEFLFLYSNKLSGEIPTALSNLSGLMHLDLDTNMLSGAIPSSLGKLSSLIWLNLANNNLSGTIPSSIWNISSSLWGLNIQQNNLVGVVPTDAFTALPELRTISMDNNRFHGRLPTSLVNVSHVRMLQLGFNFFSGTVPSELGMLKNLEQFLLFATLLEAKEPRDWEFITALTNCSRLKILELGASKFGGVLPDSLSNLSTSLQTLSLQYNTISGRIPKDIGNLIGLQSLTLDDNSFIGTLPSSLGRLQNLNLLSVPKNKISGSVPLAIGNLTKLSSLELQANAFSGEIPSSVANLTKLSALNLARNNFTGAIPRRLFNILSLSKILDISHNNLEGSIPQEIGNLINLEEFHAQSNILSGEIPPSLGECQLLQNVYLQNNFLNGTISSALGQLKGLESLDLSNNKLSGQIPRFLGNISMLSYLNLSFNNFSGEVPDFGVFANITAFLIQGNDKLCGGIPTLHLRPCSSGLPEKKHKFLVIFIVTISAVAILGILLLLYKYLNRRKKNNTKNSSETSMQAHPSISFSQLAKATEGFSATNLLGSGTFGSVYKGKIDGQTDESAEYIAVKILKLQTPGAHKSFVAECEALKNLRHRNLVKVITACSSIDTRGYDFKAIVFDFMPNGSLEDWLHPKPVDQTEMKYLGLVQRVTILLDVAYALDYLHCRGPAPVVHCDIKSSNVLLDSDMVAHVGDFGLAKILAEGSSSLQHSTSSMGFRGTIGYAAPEYGAGNIVSTNGDIYSYGILVLETVTGKRPTDNRFRQGLSLREYVEQALHGETMDIVDSQLTLELENECETLQDSSYKRKIDCLISLLRLGVSCSHELPLNRMRTTDIVNELHAMRESLLREYRIEDGSYVNPLLLLGSLLLLAAGTLPLQATTAYVPRERDALLAFKRGITSDPLGLLTSWKEDDHDCCRWGGVTCSNLTGHVLRLHLNGGYDLDRFELVGLVGEISPQLLHLDHIEHLDLSINSLEGPSGQIPKFLGSMNSLRYLNLSSIPFTGTVPPQLGNLSNLRYLDLSDMEGGVHLTDISWLPRLGSLKFLNLTYIDLSAASDWPYVMNMIPSLRVLSLSFCRLQRANQSLTHFNLTKLEKLDLSMNYFDHPYASCWFWNLTILKFLDLSQNRLYDQLPIALGDMTSLRVLRISNNDLGSMAPNLLRNLCNLEVLDLDESLSGGNMTELFGSLPQCSSSKLSELKMSYNNINGSLPAGLFRQFPNLVTLDMSINLITGPLPVEIGMLDSLTYLNLRGNNLEGVITEEHFVSLKSLKYIDLSDNQLLKIVVDPGWLAPFTLEEARFASCQMGPRFPSWLQWSVNISYIDISKAGIIDKLPDWFWTTVSKVKDLVMSNNQISGVFPANMETMAMEYLDIRSNKLSGQIPLLPRNLSALDIHNNSLSGQLPSEFGVNIYMLILSHNHLSGHIPGSFCKMQYLDTIDLANNLFEGDFPQQCFSMKDIKVLLLSNNRFAGTFPAFLEGCTQLQIIDLSRNNFSSKLPKWIGDKKDLVLLRLSYNAFSGVIPDNITNLPNLRQLDLAYRGVSQN >OGLUM11G16940.7 pep chromosome:ALNU02000000:11:20463148:20466363:-1 gene:OGLUM11G16940 transcript:OGLUM11G16940.7 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSDGFLASWNASSHYCSWPGVVCGRRGGRHPERVVALQMSSFNLSGSISPSLGNLSLLRELELGDNQFSGNIPPEIGQLTRLTMLNLSSNYLQGSIPASIGECAELMSIDLGNNQLQGEIPAELGALKNLVRLGLHENALSGEIPRSLADLQSLGALSLFKNRLHGEIPPGLGNLTSLYHLLLAHNMLSGAIPSSLGMLSGLSWLELGFNNLTGLIPSSIWNVSSLTELNLQQNMLHGTMPPDVFNSLPHLQHLYINDNQFHGNIPVSIGNVSALSRIQIGFNSFGGIIPPEVGRLRNLTSLEAEHTFLGAKDPKGWGFISALTNCSNLQALFLGNNRFEGVLPVSISNLSVYLEYLYLDFNAISGSMPEDIGNLVSLEALLLHNNSFTGILPSSLGRLKNLQVLYIDNNKISGSIPLAIGNLTELNYFRLDVNAFTGRIPCALGNLTNLVELGLSSNNFTGPIPIEIFKIHTLSLTLDISNNNLEGSIPQEIGGLKNLVQFYADSNKLSGEIPSTLGECQLLQNISLQNNFLSGSVPSLLSQLKGLQILDLSNNNLSGQIPTFLSNLTMLSYLNLSFNDFSGEVLTFGVFSNPSAISIQGNGKLCGGIPDLHLPRCASQSPHRRQKLLVIPIVVSLVVTLLLLLLLYKLLSWRKKIKTNIPSTTSMEGHPLISYSQLVRATDNFSATNLLGSGSFGSVYKGEINNQAGESKDIAVKVLKLQTPGALKSFIAECEALRNLRHRNLVKIITACSSIDNSGNDFKAIVFEFMPNGSLDGWLHPDNNDHTERRYLTILERVSILLDVAYALDYLHCHGPAPVIHCDIKSSNVLLDSDMVARVGDFGLARILDEQNSVFQPSTNSIVFRGTIGYAAPEYGAGNTVSTQGDIYSYGILVLETVTGKRPSDSKFTQGLSLCESVSLGLHGKVMDIVDNKLCLGIDQHDPETTDDFSSKQKIDCLISLLKLGLSCSQEMPSSRLSTGDIIKELHAIKESLLLEIEDTEK >OGLUM11G16950.1 pep chromosome:ALNU02000000:11:20499582:20502912:-1 gene:OGLUM11G16950 transcript:OGLUM11G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAMMLLLFCSYALALVSAGSSSSSNATADELALLSFKSMLSSPSLGLMASWNSSSHFCSWTGVSCSRQQPEKVIALQMNSCGLSGRISPFLGNLSFLKTLDLGNNQLVGQIPSELGHLSKLQMLNLSTNLLRGSIPVEMRGCIKLMTLHLGDNQLQGEIPAEIGSSLKNLVNLYLTRNLLSGEIPQSLAELPSLELLSLSHNKLSGEVPSALSNLTNLLNIRFSNNMLSGVIPSSLGMLPNLYELSLGFNNLSGPIPTSIWNISSLRVLSVQGNMLSGTIPANAFETLPHLEKLYMDHNHLHGKIPVSLGNSSNMSMIILGANLFNGIVPQEIGRLRKLEQLVLTQTLVGAKEQKDWDFITALANCSQLQVLVLGMCEFGGVLPNSLSSLSTSLKYLSLSYNNISGSIPKDIGNLFNLQVLDLAWNSFTGTLPSSVGRLKNLHYFNVYNNDLGGPIPSTIGNLTGLITLYLMSNTFSGRLTNSLANLTKLTELDLSSNNFIGPIPSGLFNITTLSIALELSYNKFEGSIPQEIGNLVNLVKFNAESNKLSGEIPSTLGQCQNLQDLTLQNNMLNGNIPEQLSQLKSLQNLDFSRNNLSGEIPKFIGNFTMLSYLNLSFNMFTGEVPTTGIFTNSTAISIQHNGRLCGGITTLHLPPCSSQLPKNKHKPVVIPIVISLVATLAVLSLLYILLTWHKKIQTEIPSTTSMRGHPLVSYSQLVKATDEFSIANLVGSGSFGSVYKGELDAQIGESPYYVAVKVLKLQTSGAFKSFAAECNALRNLRHRNLVKIITACSSIDNSGNDFKAIVFDFMPNGSLEGWLHPDKDDQIDHKYLNLLERVGILLDVANALDYLHCHGPTPVVHCDLKPSNVLLDAEMVAHLGDFGLAKILVEGNSLLQQSTSLMGFRGTIGYAPPEYGAGNTVSTLGDIYSYGILVLEMVTGKRPIDNKSIQGLSLREYVELGLHGKMMDVVDTQLFLGLENEFHIADDSSCKGRIDCLVALLRLGLYCSQEMPSNRMLTGDIIKELSSIKQSLVGNT >OGLUM11G16960.1 pep chromosome:ALNU02000000:11:20510639:20513575:-1 gene:OGLUM11G16960 transcript:OGLUM11G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLALLMLLFNSSLLLPPASSDDDAAAAAVPTGGAAADELALLSFRSSLVSQGGSSLASWNTTSGHGQHCTWAGVACGGRRDRVVELRLRSFNLSGTISPSLGNLSFLAKLHLGGNHLSGEIPPELGRLGRLRWLNLSGNSLQGSIPSAIGGCFRLIEMDLTINQLRGKIPLQIGASMKNLAYLYLEGNRLSGQIPRSLAELPSIQELSLGSNGLSGEIPPALGNLTGLSFLSLSENSLSGGVPSSLCNLTSLSSLYLNKNTLSGTIPSCLGNLSSLLELALSDNTLSGAIPSSLGRLSRLSSLHLSSNNLSGVIPDPIWNISSLTVFGVQYNMLSGMLPANAFSTLPHLQEVYMDNNQFHGHIPASVANASNISMLTFGVNSFSGVVPEEIGRLRNLGTLVLAETLLEAEGPNDWKFMTALTNCSNLQHVEMGACKFGGVLPDSVSNLSSSLVYLSIGANKISGSLPRDIGNLVNLESLVLFNNSLTGSLPSSFSKLKNLHRLILFNNKLSGYLPLTIGNLTQITNLELYGNAFSGTIPSTLGNMTRLFELNLAHNNFIGAIPTEIFSIPTLSETLDVSHNKLEGSVPKEIGELKNIVEFHADSNKLSGEIPSTISGCQLLQHLSLQNNFLNGNIPIALTQLAGLDTLDLSGNNLSGQIPKSLGDMPLLHSLNLSFNSFQGEVPTNGVFANASEIYIQGNANICGGIPELRLPQCSLKSTKKKKHQILLLALIICLVSTLAIFSLLYMLLTCHKRRKKEVPATTSIQGHPIITYKQLVKATDGFSPANLLGSGSFGSVYKGELDSQHGENTSSVAVKVLKLETPKAVKSFTAECEALRNMRHRNLVKIVTICSSIDNKGNDFKAIVYDFMPNGSLEDWLHPETNCDQAEQRHLNLHQRVNILLDVACALDYLHCLGPESVVHCDIKSSNVLLDADMVAHVGDFGLARILVEESSLMQQSTSSMGFRGTIGYAAPG >OGLUM11G16970.1 pep chromosome:ALNU02000000:11:20517944:20518747:1 gene:OGLUM11G16970 transcript:OGLUM11G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRQGDNRYQRLPPHVDLDRPILAVLVVIACDEPDDVGVLRAHERAHHVHALREAVAWRQHRPELLAVQHGFLHPRHRLAAAVAIAGVPQGKAPHGHHERGAGAVAQAAYHRRAAAAAVVDAHESHNVVAAVGAGNDVAEILPWNQLVVVVAARWLAPPRRPPTPPSDDVVYVQDGYFIASWRLAPPRRPPTPLSGDAVPVLDGYFVTAWRPTPPRRPPSPPPVDLVPVLDGYIIASRWLAPPRRPPTPPPGDVLSVQDGYFVAA >OGLUM11G16980.1 pep chromosome:ALNU02000000:11:20544496:20549035:1 gene:OGLUM11G16980 transcript:OGLUM11G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEVAEVVAEGDLAGGGEAAAAGGSDQAVVVAVAVATEGTAAKKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGAAAKKAATPKQAKTTDGKGTVPNGSEKAAGRAAEKKVNSARTPAASRSLPVKSGSVDAPPNDASPEALESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPRATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEGLTVEHGVEDATGPDRATTLVASNEVPVQG >OGLUM11G16980.2 pep chromosome:ALNU02000000:11:20544399:20549035:1 gene:OGLUM11G16980 transcript:OGLUM11G16980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEVRVNGAVEVAEVVAEGDLAGGGEAAAAGGSDQAVVVAVAVATEGTAAKKGGSGGAAAARKAKVAAAVANGKVGKKPALSQSASFPARGAAAKKAATPKQAKTTDGKGTVPNGSEKAAGRAAEKKVNSARTPAASRSLPVKSGSVDAPPNDASPEALESNENTTNALEQTLPEKMEDDVHSTTSSTNTPRAAAQRKNAAAAGFSFRLQERAEKRKEFYQKLEEKIHAKELEQTNLQAKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSAAAASVDGSVSCESPRSITNLAKLTESTENNKPRATARKPAQRSVTKIPSQASATAKTETKPLVTKQKTSNTKPKAPRAKVEQLQDNSVEIPPAEPSGPEGLTVEHGVEDATGPDRATTLVASNEVPVQG >OGLUM11G16990.1 pep chromosome:ALNU02000000:11:20549565:20549888:-1 gene:OGLUM11G16990 transcript:OGLUM11G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTKSLDILFARRDDLALFVGHAGAACLRVEDYPMFRGNCAYLTDESDGDGDDANPPPWKRLDLALWEFGGSNYRGRMTKLRDTWPLHHPWQDNSPPPIWFTPSLD >OGLUM11G17000.1 pep chromosome:ALNU02000000:11:20550379:20560476:1 gene:OGLUM11G17000 transcript:OGLUM11G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C1 [Source:Projected from Arabidopsis thaliana (AT5G22010) TAIR;Acc:AT5G22010] MSQTFVPRADQKKKKLCATHASTDPSSPLSPGLPPAAPAHLAKIARRFPSFFPQTRDPSPLPKHTPAAARLLLLLLFPPHPVVTPTRKRPKKRGEGGGREGGRKQGPARSLPPPTLNPRPPSLYPLTGRARVWGPGRSGSSEMSSDIRKWFMKAQDKNGGAAKPAGPAAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVKIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADNGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIVQENYINYRPITVGKDDSGVKRMNFLARAAESIADADIVNGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASAEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKR >OGLUM11G17000.2 pep chromosome:ALNU02000000:11:20550526:20560476:1 gene:OGLUM11G17000 transcript:OGLUM11G17000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:replication factor C1 [Source:Projected from Arabidopsis thaliana (AT5G22010) TAIR;Acc:AT5G22010] MSQTFVPRADQKKKKLCATHASTDPSSPLSPGLPPAAPAHLAKIARRFPSFFPQTRDPSPLPKHTPAAARLLLLLLFPPHPVVTPTRKRPKKRGEGGGREGGRKQGPARSLPPPTLNPRPPSLYPLTGRARSSDIRKWFMKAQDKNGGAAKPAGPAAKKPVLSIPEKPSAAPSMAACDQDCSARRKTSKYFASKTEKEEDTSAGKGTGRGLPKRKLQKVSDELEDDMKPLPAKEVHKEEEDDDDDDFVAPSKRKTPVKPPPSKKLKGASTAEAHGKTGLDDDNEDKMDEDAKTPSKASGSGRGRGRGRGRGGRGAGAAHGKTIGLDDDGEEDKMDEDAKTPSKAAGRGRGGASGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLTGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTNYLLADEDVGGVKSNKAKELGVPFLTEDGLFDMIRKSKPAKATVAKHQSDKNSEKQQKSPMKSSPVKVERRDGNQITTGKNISPKSNKGSASIDNQKVKIVDRGSLQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWEDQFLHSGQKGKGKKQADNGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSIKELISNATLNYSNNRLKRPKAVLVMDEVDGMSAGDRGGVADLIASIKMSKIPIICICNDRYSQKLKSLVNYCLLLNFRKPTKQQMGKRLMEIAKKEGLQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRQRLNSSTKDEDISPFTAVDKLFGFNGGRLRMDERIDLSMSDPDLVPLIVQENYINYRPITVGKDDSGVKRMNFLARAAESIADADIVNGERNFNRFGGWLGKYSTTNKNIRLLEDAHSHILASQQANLDRESLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVELSKFKGHPNPMDGIQPAVKSALTKAYKQGSSSRVVRAADLVNIPGMKKPLKKRVAAILEPVGESLPEENGVASAEGDEEDSSDAENNDELVPGDTKPKLDLQSDKKKGIQVQLDLKSNGNGLNSKKMPAGRSKASGSAGKAAGGSGGKRKR >OGLUM11G17010.1 pep chromosome:ALNU02000000:11:20572041:20573936:-1 gene:OGLUM11G17010 transcript:OGLUM11G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRLAEELRALKFDRVWRPSPHRSESDGGSCSYRNSIQRVVTASVAAASTSSTTLVFPSSGSVTSSSSASFTSSAPGSEAHGTPSSGPESYRLLRGEAFRGLSEIASHMVSDRYTERLITEFSRPRLGSGSGGGGGGDEILQTWFSDLGVDWVLRVDEMNLQEKPWSGVEEMIKGWLAALTVMAEALRLTKATLSSGDGDSGGVIPVLPIEKYRPQISAPAWIDDDHAGVTLHDVSPGSGSSNLDQESSDPAPNTSRSRSIAIATVKQAITAYSQAASSRSYRYDQHGAAGPEFQFALFAKASLVKMMCFPDAIAALSRSPEKILRVIDMYAVVSGVSPSLLALLPGATKWLVSERITAVLKTLSGMMRGILHDLESLIREEDSWRTTAQGNDIHPNRDVLVQEGENDGDDDELFSIGELYQLAEEKSSLTSTVARLINSVDAMIEDRSKMYAAAGGRMHIFLLNNDHFILQQAEPSLQAFMGAYWYAKRKQRVDRRIKEYLDLSWGNVVSCLGYAGQSRRRSSLFRRVSALVEFNSLLQITYHTEKLWKINSPQLRTVLRNSVCGKVISAYRAYLETQGQGGELGTSATYTPEDLEDMLQNLFEG >OGLUM11G17020.1 pep chromosome:ALNU02000000:11:20578468:20581876:-1 gene:OGLUM11G17020 transcript:OGLUM11G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSDAATIIWSTELDLASLIAMVRNRDYFAGARFHVLRGACEHLAHVRECVRRRARVAARRGRRLATAAAWTEEAEIYLLRFGELRSAHGRIPRLIFWGATPLDLLGCYRVGKVASLMMPQVKRLCEEGGRIVRRSKLPQPMEISTGFASRDRTLRAAIERVRTIQPNGIVAIWGRAGLGKTYLLKLVEEYFSRDDTFDLVLRIASPRDSSVAKVQSEIAKKLMLANCDGMQHRARIFDFLKERNFLLLLDCVCQRLDLEEVGIPSLDLVGSCYNRRVVFTACSSHVCDQMNVEVENRIEVHCLDHAESWEIFKQNADLDYLGHQHMYLPRNISAELLGSPLELVTIGKAMHNKKDAIYWQNALHYLTESCLRDTQWSGSEEATFFRLKLAYDSLTGILKDCFKLCSLWPEGHIFNQRKLVDFWIGSGLIQGDDIEASYNEGFSHITTLQEFCLLEPAEDGEAVQMQSTIRDFALWVVHNQGEDKNKWRIQTKENWGLAEQVLLVGLKITELPRIPSNQKTLEVLILQHNYLEDGSFGNFPSLLSLQYLDLSFNKLSNIPVEICMQVNLRYLNLSNNRIKTVPVELGCLTRLRHLHLRNNPNLVIPNGILPKLQNLVVLDVCSFNLLQCSSYEAPINELVRMDKLQSLGITVRSETSFQGISKTTLPIRSLSIVIYNHEDGYETHVSSENSCINPERQTNLFELGIYTRQKTIVLDSIHSMWNVQHVEKAYLHGYFVDRIICQKLHTGDIFAKLRRLDIVRCSRLNHISWIIHLPLLEDLLLFSCSRLDRIIASAQDDVVKTNQEKENLSVNNTFPSLKRMTLIEAGALVRICSPFFSFPSLECLQISACPLLKKLPFLTVPSKLKCIRGENEWWDGLEWEDQDLEPSLELYFHGLSAEDQLSELYLFNSLEVEWASIFTP >OGLUM11G17030.1 pep chromosome:ALNU02000000:11:20586505:20590648:1 gene:OGLUM11G17030 transcript:OGLUM11G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MALE GAMETOPHYTE DEFECTIVE 2 [Source:Projected from Arabidopsis thaliana (AT1G08660) TAIR;Acc:AT1G08660] MARAPPPPSSLPPPRRPTVVLLLGLALAFCLAVLSIQSSFFTAPRLASRLDLDADEVRALSGFQSRVQQCVARRGLGLTADIIDHCKLVLKFPKGTNSTWYNTQFKYFEPLEYNYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEELLSVLLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKYVGLKRDFRLVVRGAARNMAPILKGSCNAVILKAHGNVLHFWFSQLGRRLADEVLIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPNYTEWTRYFSPPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRVEDWSDIPSREEIRTAHAAAFRLKRHETGQSDQMGPFSNCKVWGTVDPDYGPVSGTPDMSETRKNSNYKKWEVLPFDSLRMEAQEHHVQMGGVSLYKMDGNKLDDLVCVRHERSSS >OGLUM11G17040.1 pep chromosome:ALNU02000000:11:20591665:20594473:-1 gene:OGLUM11G17040 transcript:OGLUM11G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase RHF2A [Source:Projected from Arabidopsis thaliana (AT5G22000) UniProtKB/Swiss-Prot;Acc:Q9ZT42] MEGLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTLTGCKHEFHLQCILECQELLEVVERERNVRTNQTRNTTIFHHPALGDFEVQHQDELLQLPVVGNDAELEERILQHLAAAAAMGRSHHLGRREGHRGRSGSHGRPQFLVFSSHPNMPSAGSVSSSSVQGEVDNESSPVHTAGELSLHANTHEEAGNQSPGMLTYDADQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPVNNDRAGPSDLQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSNNGRTSDGPATSTSEVIPSTESSNERVTENNPTTAATSTSNTSTSSAPCVTTTGSN >OGLUM11G17050.1 pep chromosome:ALNU02000000:11:20596508:20604088:1 gene:OGLUM11G17050 transcript:OGLUM11G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid isomerase [Source:Projected from Arabidopsis thaliana (AT1G06820) TAIR;Acc:AT1G06820] MWGPSLRLIRRALVFPPLTSTTSRGGILSLQIPPRPLLPYKNPTQLELPRFTGAAMPLLLLAAARPCAPLLAPSAAGAVVGRPSAARPLGRGTRSGAGAAAAVAAEKTVVKAAEEEEEGGGGGEYDAIVVGSGIGGMVAATQLAAKGARVLVLEKYVIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGHKMEVIPDPSTVHFHLPGDLSVLVHREYDDFVTELVNKFPHEKEGILKFYGTCWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARKFIKDQQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIAMSLADGLVDKGSEIRYKANVTNVILENGKAVGVRLSNGKELFAKTVISNATRWDTFGKLLKVEELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPADTDCHHFVLEDDWANLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKDYEKKKELVATEIIKRLENKLFPGLQDSIVLKEAHQKHTEDFLREMMVLMDPCHGSIDGLYCVGDSCFPGQGGSCVLIELQLILDWNKDLLY >OGLUM11G17060.1 pep chromosome:ALNU02000000:11:20601662:20604067:-1 gene:OGLUM11G17060 transcript:OGLUM11G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Transcription factor jumonji/aspartyl beta-hydroxylase (InterPro:IPR003347), F-box domain, Skp2-like (InterPro:IPR022364), Transcription factor jumonji (InterPro:IPR013129); BEST Arabidopsis thaliana protein match is: tra /.../ses, transferring glycosyl groups (TAIR:AT1G78280.1); Has 1762 Blast hits to 1747 proteins in 292 species: Archae - 0; Bacteria - 297; Metazoa - 877; Fungi - 168; Plants - 221; Viruses - 0; Other Eukaryotes - 199 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G06550) TAIR;Acc:AT5G06550] MPSAFHSLLLPAIRNPKPSRRRGRGRGGSKRPKKTTKSKNRLADAAAGDATSFHLKTSARAGPGGAGSGRRGGGGCLVQPLGNLLLLGGGEGGGGNLRDAGLGALRPLPDDVLLDVLGLLAARDLARLSAASRALYVVASHDPLWRALVLDELGGDFAFSGSWRATYIAAASGGRAHLLPPRGLEIRGFYSDYLFQSWLCANIEMRPEWLHRDTIERRRGMSVEQFVSEFEEPNRPVLLEGCLESWPALQKWTREHLLKVSAGKEFAVGPVSMTLDRYLQYADNVQEERPLYLFDAKFTEKVPEMGRDYEVPAYFREDLFGVLGEERPDHRWVIIGPAGSGSSFHVDPNSTSAWNAVIKGAKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPVECICRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNASELVSGTTDRVNLHDKFRNAIDMTYPGMIKQLELEAQQKAAARKKKVSFWESAVDANTGGFKFSF >OGLUM11G17070.1 pep chromosome:ALNU02000000:11:20606435:20618563:-1 gene:OGLUM11G17070 transcript:OGLUM11G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARAAKCARIAAPPPPTPMPTQLRRGDGGYVPGNIVEIELSNFMTYHRLACRPGPRLNLVLGPNGSGKSSLVCAIALALAADPGVLGRAASVGAFVKRGEESGHVKISLAGTHPNTLSASRGRSIPRTSATVPRKEVVDLIKKFNIQVNNLTQLLPQDRVVEFAKLTPIQLLEETEKAVGFPDLPVQHRQLIDRSKQLKNLEVAVKQKEQTLNNLKALNAELKEDVERVQQRDKLMKKVDLMRKRLQWLKYEMKKEWIEAHEQEKTMKKKMEETAKIWEDSKRPIEELKKEKMSHTSNTKRTSNQLAENMKKRQDNEQLRVTLEDIENIKRQEYSRQRKILKAKEALAAAEREYDNLQPYETSKDELDITDRRSLLVRERENLRKCSYSLELMENKNNKLLHKLKYFGAEKINEAYNWVQDNRYKFRTEVYGPVLLEVNIQDKAHASYLEGHVPNYIWKSFITQDASDRDLLVRQFKKYDIPVLNYMGDKGIRTEPFNITMEMQQVGIYSRLDQVFEAPPAVKDVLISQAKLDHSYVGTDETQNRADDVPKLGMSDFWTPNNHYRWSRSRYGGHLSALVDDVNPSRYFMGNLDVGDIERLRSQKDKHTENIEGMVEELKILLKKQGQLEDEAAKFHRKKEEMLCEKAKQDGIKRRVVSKRIMLESIYKEEDMESSKIKLVDQVAKLNDQQFQVVLQRKDLLIRAVVLKRTCTQENMASIELDTKIWEMEKDVQKFERDAVEAARGYANCKRKTQVHEQQLYVAKQHAESIAKITKELEKEFHKMPATIEELDCAIQDTESEANSMFFLNQNVLLEYQNRKHEGKWLPTLRTLVSKINNTFSRNFQEMAVAGEVSLDEHGLDFEQHGILIKVKFRSVEGVERSSPIWRGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPDLEYSDACNILNIMTGPWTEKPAKAWSTGDCWRTVMNVH >OGLUM11G17080.1 pep chromosome:ALNU02000000:11:20619765:20621949:-1 gene:OGLUM11G17080 transcript:OGLUM11G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPTPSSSSGGGGAAAAANASSDEHRKTSPATAADFFDEEHTFDDILLPVDLFDFTHGLDAGDGCYVDDQAAIGQKPLSPAPEPAAEQQPSPAPEHGDDERLVMYYQGQEYVFDSVQPQKIENIFHHLNGQEMIPQSIRPQPTNLVRPITVPEDFDRFAALTRYREKKRNIKFIKKADYSARKEVALRCTNCGESSDATPMMRHAPNGTKSFCNACGLMWENSRKIRKIRNPTSGEQEDQ >OGLUM11G17090.1 pep chromosome:ALNU02000000:11:20623877:20638935:-1 gene:OGLUM11G17090 transcript:OGLUM11G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >OGLUM11G17090.2 pep chromosome:ALNU02000000:11:20623877:20638935:-1 gene:OGLUM11G17090 transcript:OGLUM11G17090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >OGLUM11G17090.3 pep chromosome:ALNU02000000:11:20623877:20638935:-1 gene:OGLUM11G17090 transcript:OGLUM11G17090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFVPNEEIELYEARAKFMMLYLWDNLEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >OGLUM11G17090.4 pep chromosome:ALNU02000000:11:20623877:20638935:-1 gene:OGLUM11G17090 transcript:OGLUM11G17090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPLEPEEDEMLVPHQELVAADAAQPMEVVAQTEAASTAESQPAEDPQTSRFTWTIENFTRINAKKHYSDAFVVGGRVLIFPKGNNVDHFSMYLDVADSANLPYGWSRYAQFSLAVVNQIQQKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVDDTVVVEAEVAVRKMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKVTNLRTVVEGTIEKLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYALHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNAPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRVARDENLKEQIGKDIYFDLVDHEKVRSFRIQKQLPFTTFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLSPHEETQSVGQLREVSNKAHNAELKLFLEVELGPDLRPLPPPEKSKEDILLFFKLYNPEKEELCFVGRLFVKALGKPSEILTKLNEMAGFEIKFEPNVMCEHIDKKATFRASQLEDGDIICFQKSPIPDSDTQMRYPDVPSYLEYVHNRQVVHFRLLEKPKDDDFSLELSKLHTYDDVVERVARQLGVDDPAKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPSAELRLLEVFYHKIYKIFPLHEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFMKDPLQNQIQNFGDPFYLAIREGETLAEVKERIQKKLQVPDEEFCKWKFAFISMNRPDYLQDSDVVSARFQRRDVYGAWEQYLGLEHADTAPKRAYTANQNRHTYEKPVRIYN >OGLUM11G17100.1 pep chromosome:ALNU02000000:11:20646324:20650518:1 gene:OGLUM11G17100 transcript:OGLUM11G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDVDDEEGSPPERRRRGARSGSDDEEAGNEDLSLEIVARAARRRRRREASAGFAADAFSSGDEIDEDAVVELGEADPSSRKRRKEKKKRRKEKRKQRKGAPPEGSPSTAAADKESQVAGTQEGQTGTAQSVLTEDGPDVPLSDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSRKKGKGKKDFGTRSAPHDARKTNKRKNPLFEERRNSSHFKSKARGGWIADDVDDQPYKKYKPNVWASPSTPKKQYNNHQFSSGGDHSTPHLHGQPTLELFAASNLTSPNDGSQCSLVELALCSLIASETEMCLELYGLLVLEAEEETRQRPSRLERLGRSHAQ >OGLUM11G17100.2 pep chromosome:ALNU02000000:11:20646324:20649954:1 gene:OGLUM11G17100 transcript:OGLUM11G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRWRSRARRDPDVDDEEGSPPERRRRGARSGSDDEEAGNEDLSLEIVARAARRRRRREASAGFAADAFSSGDEIDEDAVVELGEADPSSRKRRKEKKKRRKEKRKQRKGAPPEGSPSTAAADKESQVAGTQEGQTGTAQSVLTEDGPDVPLSDNIVLRKLLRIPRYFDPGETLLETCFNCGEEGHVAVNCPMEKRKRPCFVCGLFGHNSKQCTQEIKCYVCNQKGHLCCADFSDICPKEVSCYNCAQPGHTGLGCAKQRREASTAATPTLCYKCGEEGHFARGCTKNTKSDRMNGESSAYSRKKGKGKKDFGTRSAPHDARKTNKRKNPLFEERRNSSHFKSKARGGWIADDVDDQPYKKYKPNVWASPSTPKKQYNNHQFSSGGDHSTPQSSRWQKHGFASPSATYSPNTRKHSFSSSRFASNTHVRFGRS >OGLUM11G17110.1 pep chromosome:ALNU02000000:11:20650732:20659389:1 gene:OGLUM11G17110 transcript:OGLUM11G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGRGCRSRPRHHRRRPSHRRPEGRKRRGGELDPAGGGWPAAGSALPLASGLPSGRTATDAPPLRRIWGGKEGEGRGGEQDLAVWMNGQTYVEKSSASSIKNRSKDSRVMEPSELHFEVGRIDMIYLGISSWHAIMAIIDCPDLINRNLGDISGLVKIKEV >OGLUM11G17120.1 pep chromosome:ALNU02000000:11:20653383:20654426:-1 gene:OGLUM11G17120 transcript:OGLUM11G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSSDADRYQSYGYDIVQVLSSGSMDDGVTVSPLVRIAGFVSLFAKAKNESCFSISSCEVLRFSHSIPFYRTNGNERVGVAEGFLELDTAALPSDLDSAFTSITLESYMALDKKKNIELICYACPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNIYGWVSKVEMEPFNADLAWLEACPQQAQEKLWLEHDVPVSCGTFKIQNMETKFNENCAFSHLIETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKESMRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKD >OGLUM11G17140.1 pep chromosome:ALNU02000000:11:20667390:20669196:-1 gene:OGLUM11G17140 transcript:OGLUM11G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYPEGKDNYGVFAPRRGCKGQINCSEEVGKQGHFLCQRPFPDLDYIYQLLTVCKQRRKPTETLDWYGVLQVEATADDTTLKYQHDKLCLVAFILMKTLFLVLNLLSTWFQKLI >OGLUM11G17150.1 pep chromosome:ALNU02000000:11:20672449:20672814:1 gene:OGLUM11G17150 transcript:OGLUM11G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFTCWLPRACRRSMRHRSCRTKFAWDGERDGMAATVDIELARRWQQPLLARWEDDDGNHWLRTAAATAGSTSLRGRQRLRLRELEGKAAAGHRLHETMSRRRRISGRGKRETKEKRKHE >OGLUM11G17160.1 pep chromosome:ALNU02000000:11:20678169:20679677:-1 gene:OGLUM11G17160 transcript:OGLUM11G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTISKVFPSFAEKFLKEAKAGNSPELPQDVLMEIFALLEVPDLVRAGSVCSSWRASYISLCKLGGYRQAQTPCLLYTSESAGENVACLYSLAEKRAYKLTMPDPPIRSRYIIGSSHGWIITADERSELHLVNPITGDQINLPTVTTIKPVKPVYDDAGVVHKYEYPCHVGGQHNWAEPSTYSLRLLRKYIFKKAFLSSDPSMGDYFVALIHYPLAQLSFARAGSDKWTWLPPHTDFMDCLFEDGLLYALNSAGEVHAFDLSAPTVTQKVVLEDVKAYIEENMYFARAPSGDLLQIWRSLATNRDDYYVDQTDGDDSEHGSDHENWIDDYVDQTDGDVLQFELDKYEDDLEHASEHENWRAGDGLKPESDEDEDEDDLEPEPNIDSLVVNTNMIKVFKVDFSAKMLVDINSLGNSVLFLGYNQTLCLNADVYPQLKPNHIYFTEDDSLYLFRCKKNRRDTGVLDLENDTIKPIVSPELWSNWPVPIWLIPNPRKMISASHN >OGLUM11G17170.1 pep chromosome:ALNU02000000:11:20680109:20681920:1 gene:OGLUM11G17170 transcript:OGLUM11G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLAFGVCFLERHVLDLEAVFTHDFSSGHPRRSKRRMRQHKVVVVEAALLPHIHGEVAIAVLGLLPGGLLDGLLVMGLGGDAAAHGERRGGAGFAADAFSSGDEIDEDAVVELGEADPVSRKRRKEKEKKKRRKEKRKQRKWAPPDKDETSSKLVFSFMSENPFRHPVTTGETIFTWLTKNYTSPGREGGDVGERRELNGGGDILAATEHFNDAYSIGKGSFGTVYSADLGMKRLDASETRDACCGS >OGLUM11G17180.1 pep chromosome:ALNU02000000:11:20682963:20703920:1 gene:OGLUM11G17180 transcript:OGLUM11G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDDTVAVDGGGRWWLRRRHCFGPRCQTLGSTLTKKEVFTWTNSNNQQLLHHTGNEVNDKGLRGG >OGLUM11G17190.1 pep chromosome:ALNU02000000:11:20709595:20710408:-1 gene:OGLUM11G17190 transcript:OGLUM11G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTPKRNATPRRVVQLIGGDRHVVVRRGGRRRRNEAVHGTGAADELGLDDELAGHVSDVDAERRGAPCAVRHDRLRTVAASQGDEALAAPARRDGMLLDRPLQRRRRNGEETRAPRHAKLCHADAVSYRLRLLLPSPAWMRWRRRRRAPQDKNGPHITGGLGPDNPTRFRHLPQLLAGLGQTPRL >OGLUM11G17200.1 pep chromosome:ALNU02000000:11:20726071:20727392:-1 gene:OGLUM11G17200 transcript:OGLUM11G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLRNKVTALMAMLHRSASQGDEALAAPARRKGMLLDRRAAILHLDVFRGGGGTARIRERHAMPLRRVVSSEVAAAVAGLDEAAEAETCTIRQDWSPHYWWARTGQPNQILPPPLPSLLEADGYLYFIPSALCFILAFPRLSPNLNPSGDRSSLQPHPRRRQQEGGALLRRAESSRRQHGRALREGREARQDRKRPRTLSRIKNTRRDIDLLMATPPPPPVRPGEGAAASALTSLSSWLAWVKVSNAAPTSGSPRRRSGSARGEEVAPLRQPPPRQGLWICDSSATTTMMSHPSFRFLSVSGREVAWIRVKTASRSSTCPLRQQTPSARKITPSASNDSWKTLGASPRFVR >OGLUM11G17210.1 pep chromosome:ALNU02000000:11:20733387:20734607:1 gene:OGLUM11G17210 transcript:OGLUM11G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRNQKGHLCCADFSDICPKEVVIILPNLAILVWDVPSNVRREASTAATPTLCYKCGEEGYFARGCTKNTKLLMMLTRQVKGKSPYLRKEGTHLILNPKLGVVGLQTMQMTSHKEVQTQMCGLLHLPLKSNTVTISFPMAVTTLLLSHQKRTQPLTKRKEA >OGLUM11G17220.1 pep chromosome:ALNU02000000:11:20742081:20744230:1 gene:OGLUM11G17220 transcript:OGLUM11G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLYSPSPLLPLLGIPYWVSLYVDDVMVFLHPSEDRILATKEILGIIGDAPGLHTNYGKYALTRKWTRLPRLASLPGHYLPLGLASLNPEASPRKPAPHVGFAATGGVGIVVGEVAFQVKILRWTEGGGRGRVGKVFLDEKILGAAAAGGGRGPGRGPVTLGEVFLEEKVLGAAVAGGGAGGTGTSPSVRSSSNRAFMEDSKPGEGKPWSGSGRLRVFLDMLLVLVLGASRHGRRTMARERGEARRGSSSRRQRQPWEMYTLDTTIDLKISTTSRRSPTGPSRRCACPLTSSSIVN >OGLUM11G17220.2 pep chromosome:ALNU02000000:11:20737963:20742074:1 gene:OGLUM11G17220 transcript:OGLUM11G17220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPSPLPPAVRHGEAAIAWVLTRAELHRAMEVPRHPMERLDRHPLPDLKHQKCSSTDRHGIPSSTTVASGKVIQSHRCFSS >OGLUM11G17230.1 pep chromosome:ALNU02000000:11:20749019:20750017:1 gene:OGLUM11G17230 transcript:OGLUM11G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVRTDPSSLSLLLFPKQPPTANATVEERIRPYTRKWEALIMSRVEEVRLRMGPPEEEPGHRCDVRHDAPLLVMTAGGYTGNLFHAFSDGFVPAWLTVQHLRRRVVLGVLSYNPWWAGTYGEIISGLLDYHVVDLLHDKRTHCFPGAIVGTRFHGILSVNPARLRDNKTIVDFHDLLADVYETAGDTVVVDDVPQPAPRRPRLGIVSRRGTRVIENQAAVARLARTVGFDVDILETANGLPLPASYASVSACDVLVGVHGADLTKLLFLRPGAALVQIAPLGVAPIARGCYVEASARMGLHYEQYDAEGHESSLSRKYGLRDVVVSEIEL >OGLUM11G17240.1 pep chromosome:ALNU02000000:11:20756074:20759556:1 gene:OGLUM11G17240 transcript:OGLUM11G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKRRLPAEGALSVARVGAGDEAAALREAVARQQHGIAELQAELEAERGAAAGAACEAMSMILRLQQEKSEAMMEARQFRRYAEERFSHDAAEVAALRDAVEQRDATVRSLSAQLRACRFRLLHLGFNSPLPSLTSSAAEAHQYNDDDDDEHHPFDEDYPPINCDEQPASDVGTPRTHHLLNRMPGRASAADKGVISSSSTNLFPDDSGIAMDDEFPLVVDREASDQEDDCHRVYTVDAVHVVPVAEPENPCYFGTPIGGEISHRGTIGTRAEEEEIQKLSARLQALEADRESMRHAIMSMGSEKQQVVLLKEIAQKLCKEAAPLQVIPFKVRSPPQSVVMAQRKVVKRQSFFAKFFVVAVIKWIASVFSWRRKSYRVKYPIGLCGSNVGLMLLLNRFPKQRHRSTPDPTNALEYFWVMS >OGLUM11G17250.1 pep chromosome:ALNU02000000:11:20767422:20770717:1 gene:OGLUM11G17250 transcript:OGLUM11G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKI3] MPAPLVPYREEELRNLRGDDNPGPYKDHDRVYRYDVYNDLGEPDSGNPRPVLGGSDEHSYPRRCRTGRRRTNTDPDSESRNVGFPLTNHFYVPRDEVFNDRKKAYFDTNNLKLYIMQKYATFLLHADQQTPFEFDSFADVLSLYDEGSINLPGWLNTFLQPLLGIIPFKLLQQFPMPAVIREDKTAWQTDEEFAREMLAGTNPVVIRRLGETEFPPKSKLDTSKCHNQNRRITAAHVEKCLEVEGLTVEQALADGRLFILDHHDHFMPYLLDANHQPDTFVYATRTLLFHRNDGTLQPAAIELSLPRFEAGSTLISSVGEVYTPASDGVEGHIWQLAKAYVTVNDYSWHQLVSHWLNTHAVMEPFAIATHRQLSVAHPIHKLLHPHYRDNLFINALGRQSLINAGGSSENTVFLGKYGLSMTSEVYRNWNFTEQALPEDLIKRGVAKRRSNGELELLIKDYPYAVDGLAIWSAIETWVRDYCAIYYADDAAVQGDAELQSWWKDVREEGHGDLKDHKWWPEMKTVAELVQSCATIIWIASVLHAAVNFGQYMYAGYVPNRPSVSRRPMPKPGTDLYRELELHPEKEFLLTIIKQDLSIAGIALVELLSSHSDDEVYLGQRDSPNWTSDLDAMNAFDRFRERLLEVEKNIVAKNDKGSGFKNRTGPVNIPYNLLFPYASGDAEANTGVTGKGIPNSASM >OGLUM11G17260.1 pep chromosome:ALNU02000000:11:20776614:20779163:1 gene:OGLUM11G17260 transcript:OGLUM11G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARDKRINGGLQGFAASSSSKKEKGIRILDAPAPPEIPTRRAGFSFGVGDGEEAEEEVVVAPSSALARARGCDVYVGYGGGGGGGAAAEVERFVAWLCAEMEALGVRCVAADRRRCRDAPSHAAARAAMDAAVAGVVVVTPASLGNPYCVDEIRAFVQKGRLVPVFVGLGKGDCRAEDVVEKRGDLWGRFGGHLWKVYDGGERDWREAVGALSRADPAVEVDAASQRHRLIDLLEIVGSRLGRRAVTDAVRSWRAAAAAHPELPFARNERFVGRESELLDLEAVLFGKRPMHLVEVEVFGGEPAFMDGVVCISGASGAGKTELVLEYAHRHAMEYKKVLWVRGEARYLRMGYLKLADQLGLAVGDDLSLIAAGDRRSSGSKKAEKEWIFRGLESDAIAKIRKELTREIPYLLVIDNLESETDWWDSRDVQDLLPGAVAGAAARSHVIITTTRLRRLQRVRTFSLAPSMESPEAMLLMTRNGALAFHGEEDTIALRAIQQKVGSIPLALALVGAVLSELAVSPAELRQAMNDAPYRAPTWEENDAPALRDNPGMVQLLDVCFALLDEEKDGLGEAAVRMVETSSFFAPSPIPVALLAAAMGGEPKRPLWKQMKLTLRLSCSSSRRALDKDSSSRRRAAAAAAEPEALVALLRLGIARRCTTQPAPCVSVHRVFRLFGRKAVGSGEAAARSTVRAITAAEVHDEHAWAACMSVFKIAPAIAANLPTKELPQFVTRVAVPLAARGVVAHSAYAAVTDLLVESSNVVRGEESRYVASGGLDENPALYHELAHSRAQLLKLRAKLMLRGGEFTLAEDHSLAVIHILEVVAGDDDPETEEARAALDRVLQVQPE >OGLUM11G17270.1 pep chromosome:ALNU02000000:11:20781388:20782909:1 gene:OGLUM11G17270 transcript:OGLUM11G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECEASYGLGKYLFVLTLCGTFTEMECEASYGLGKYILVLTSCGKSTGKAKCAIATVEFLFMVYKLKDLTLKQKALAKGVYLHVPREIGVLG >OGLUM11G17280.1 pep chromosome:ALNU02000000:11:20793537:20795475:1 gene:OGLUM11G17280 transcript:OGLUM11G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCYLPHDILMDIIAMLEIPDALRAASVCSSWRSLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >OGLUM11G17280.2 pep chromosome:ALNU02000000:11:20793537:20795763:1 gene:OGLUM11G17280 transcript:OGLUM11G17280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >OGLUM11G17280.3 pep chromosome:ALNU02000000:11:20794096:20795475:1 gene:OGLUM11G17280 transcript:OGLUM11G17280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCSREEIMDLCPQNMCCVIPQVLPKQLCLPPCSTKEELRTILTETTAREFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHIKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLAEKRTYKVNSARATHQRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGELCKYRYSRHTAEGVTESPMTLPLDKLRLEHDKWTWLPPHLGIHDCAYKDGLLYAVTSFGEIFSFDLDATVITAKVIMGRTKEYACERIYIVHAPCGDLLQVWKPQEGNGNWVDEITGFPALVSNTQNTRIFRVDTVAKKLVQIFSLDDHVLFIGNNQTSCLGVSEYPQLKANHVYFTDDFECLSSKSMWGLRLDIGVLNLEDKSIDEIVAPRLFLKCRAPVFLVPNPSMMNSTLHG >OGLUM11G17290.1 pep chromosome:ALNU02000000:11:20797011:20798679:1 gene:OGLUM11G17290 transcript:OGLUM11G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGDDRHGNELTATTGCRSHKIGPQLKLILIPPRPQPYPHSGVPMLHVWLWRHQVAVAAAPPSAVALPSRVAGFRPFIHAPRVTGGVILGVAGEEGLGARWPVFRAALAMEAPGRVDGNGRTPLFFTFSPGMVVVYG >OGLUM11G17300.1 pep chromosome:ALNU02000000:11:20800461:20800682:-1 gene:OGLUM11G17300 transcript:OGLUM11G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGDSVRPLSDVNQEDVGEKTEAAEEEAPTELSAEAEQEAEQRGEEDFKLKKHCSSRPVKPNPMYHGPQWAE >OGLUM11G17310.1 pep chromosome:ALNU02000000:11:20800758:20804400:-1 gene:OGLUM11G17310 transcript:OGLUM11G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAMSAPDIARMPLVLFRQGTTDSRDTYVVVGLEFPASNRTQSLMARPRLVFYITRSRGSLPSLSNLQDCSFRGGPAQAVHLPRDCTSASIPAQAIDLLRDALLQMEMCSREEIMDLCRQAIHQNMCYVVPRVLPQQLCLPPPSPKDELHSTLTKTSTRKFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHTKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLSEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGVLCKYRYSRHTAEGVTDSPMTLPLDKLRLGHDKWTWLPPHLRFQDCTYKDGLLYAVTSLGEIFAFDLNTTVITAKIIMDRTKEYSRERIYIVQAPWGDLLQVWRPPQGDGRGYDEITGRSALVSNTGRTKLYRVDTLAKELVEISDLGDHVLFMGNNQTYCLCAKEYPLLKANHIYFTDDSECLALRTLWGFRLDIGLLNLRDKSVEEIVSPRLWLKCCAPVLLVPNPRKMNSTSCLAAHMQPQRLPPFAALALRRRM >OGLUM11G17310.2 pep chromosome:ALNU02000000:11:20800758:20804400:-1 gene:OGLUM11G17310 transcript:OGLUM11G17310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPYAMSAPDIARMPLVLFRQGTTDSRDTYVVVGLEFPASNRTQSLMARPRLVFYITRSRGSLPSLSNLQDCSFRGGPAQAVHLPRDCTSASIPAQAIDLLRDALLQMEMCSREEIMDLCRQAIHQNMCYVVPRVLPQQLCLPPPSPKDELHSTLTKTSTRKFSDLPHDILMDIIAMLEIPDALRAASVCSSWRSVHTKLHNLGKYKRPQTPCFLYTSQSIGENIACLYSLSEKRTYKLTLPEPPISRRYLLGSSDGWLVTADERSEMHILNPITGEQIALPSVITINQVTPIFNRKGVLCKYRYSRHTAEGVTDSPMTLPLDKLRYFFHCKAFVFYDKSVKGYIVVLIHNPCEQLSFARLGHDKWTWLPPHLRFQDCTYKDGLLYAVTSLGEIFAFDLNTTVITAKIIMDRTKEYSRERIYIVQAPWGDLLQVWRPPQGDGRGYDEITGRSALVSNTGRTKLYRVDTLAKELVEISDLGDHVLFMGNNQTYCLCAKEYPLLKANHIYFTDDSECLALRTLWGFRLDIGLLNLRDKSVEEIVSPRLWLKCCAPVLLVPNPRKMNSTSCLAAHMQPQRLPPFAALALRRRM >OGLUM11G17320.1 pep chromosome:ALNU02000000:11:20804989:20806735:-1 gene:OGLUM11G17320 transcript:OGLUM11G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKHYLACLHYAIVGRHQKIGGDTMGDRRLHPSLHTPPPEPSPLPTTLPSSCVLSPLPTVCELAIGSHMSQTPAAAQTMLAAVTAQRCLLAVTPSKTLSPRCQVAGSKRGGTTRTGGTSGARGRRGVGASQGAGSQPLATRRGAGATAAATACRMSGAATGCKTSGVAGSQPGRTPEPPEQPAG >OGLUM11G17330.1 pep chromosome:ALNU02000000:11:20823646:20833165:-1 gene:OGLUM11G17330 transcript:OGLUM11G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARARIDSPSPPPPPTQPRRGDDDYVPCNIVEIELLNFMTYDRLACRPGPRLNLVAGPNGSGKGSLVCAIALALTADPSVLGRAASVGAFVKRGEESGHVKISLSGNTPEHIIRITRKIDTKNKSEWLLDATVPRKEVVDLIKKFNIQDNNLTQHHQLIYRSKELKNLEVQKEQTLNNLKALNAELEKDVERANLMRKRLPWLKYEMKEEELIEAQEQEKTMKKKMEIAKIWEDSKRPIEILKAKEALAAAEREHDLQPYEAPKAEMTRLHVALSQIEANGNYKILQRVRCCGAEKKKSKKHTTGCKITDTSSGLKFTDLFSLRFVYMHVNIQDKAHASYLEGHVPNYIWKMQEVGIYSRLDQVFEAPPAVKDVLISRANFDHSYIGTDETHNRADDMLVSGDIERLRSHKQKHMKDIEGTGEALKMLLTTPSQLEDEAAKFHRINEEMLHEKRKQDEIKKHVGMITFAVLLDLLIRAVVLKRSCTQENMASIELDTKMPTTMGKELDCAIQGIESEANSMLFLNQNVLLEYQNWQHEVQVHRFI >OGLUM11G17330.2 pep chromosome:ALNU02000000:11:20823646:20833165:-1 gene:OGLUM11G17330 transcript:OGLUM11G17330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAARARIDSPSPPPPPTQPRRGDDDYVPCNIVEIELLNFMTYDRLACRPGPRLNLVAGPNGSGKGSLVCAIALALTADPSVLGRAASVGAFVKRGEESGHVKISLSGNTPEHIIRITRKIDTKNKSEWLLDATVPRKEVVDLIKKFNIQDNNLTQHHQLIYRSKELKNLEVANLMRKRLPWLKYEMKEEELIEAQEQEKTMKKKMEIAKIWEDSKRPIEYERILKAKEALAAAEREHDLQPYEAPKAEMTRLHVALSQIEANGNYKILQRVRCCGAEKKKSKKHTTGCKITDTSSGLKFTDLFSLRFVYMHVNIQDKAHASYLEGHVPNYIWKMQEVGIYSRLDQVFEAPPAVKDVLISRANFDHSYIGTDETHNRADDMLVSGDIERLRSHKQKHMKDIEGTGEALKMLLTTPSQLEDEAAKFHRINEEMLHEKRKQDEIKKHVGMITFAVLLDLLIRAVVLKRSCTQENMASIELDTKMPTTMGKELDCAIQGIESEANSMLFLNQNVLLEYQNWQHEVQVHRFI >OGLUM11G17340.1 pep chromosome:ALNU02000000:11:20848218:20860587:1 gene:OGLUM11G17340 transcript:OGLUM11G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGKEARASRSKRGQKEDEMRLRTEAAEVLDLQRNGDLDMALDRAMSLFNASPCPMIYNLVGDLNMKAFHSRAAKGSPEMSLWIATDMYGSAAKAVPDCIETTTAHGESLSASKEYIDVERVLSIAKSSGIAYAVHRAAAVATTFPKSARAQFLPAYVALDLAKSIEPDADKEEVLQCALKIMDSAAKISDHSLVIALFRAGLMAVLHDYAAAEDECCRALAIEKADDPSSHDIPVGSTKGDEYDDRICFVKKQIHGLLQTLVLFARRDWSLITSEKQRMILSVRLDVLCEYYSKINRSLSKSLTDAHHFEVADAAELQREKRKEGNDIIKAVKKKLRNLPSDRSSNEFHVACKIIQEMCHKLLKSSCTDYREFVLPLLRFHRWLSYSDNLIAGEDKRSEVLVENANPSDLELIDVEDNGVKPSATLETKGTSNYQNSVQDVPKILVPKISDPSLYKPPPDPRIVNQQATRYGYSALHRPAIGQSVVTPVNRLVEIVDAANKFDPYEVEHSHQSYRREQAKIPKDQELCVICFFERYSTIAMASGKVSYVIF >OGLUM11G17350.1 pep chromosome:ALNU02000000:11:20861992:20863476:1 gene:OGLUM11G17350 transcript:OGLUM11G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATAWRCVAAVVVGVVIMAAAAVVDGLGVNWGTMATHRLPPKVMARLLKDNGFKKVKIFDADATTMSGLAGTGIEAMIAVPNDMLAAVGDYGRAREWVKENVTRYSFDGGVDIRYVAVGNEPFLKAYNGEFDRATVPALRNIQRALDEAGYGKRIKATVPVNADVYDSPASNPVPSAGRFRDDVAGTMADMVRFLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDGGLPPRPVVDNGINYTNVFDANFDTLVSALKRIGFGSLPIVIGEVGWPTDGDKHATVPYAQRFYSGLLKRLAARRGTPLRPRARIEVYLFGLMDEDTKSVAPGNFERHWGIFTFDGRPKFPLDLRGAGRPAMPVPAKGVKYLPRRWCVLNPNVTDDDAGRLADNVGYACSHSDCTALGYGCSCGALDARGNASYAFNVYYQAQGQADAACDFQGLAVVTEDDRDVAQGACNFSVQVAAAALAGAAVAAAAAVACAAAVVAALLVLV >OGLUM11G17360.1 pep chromosome:ALNU02000000:11:20868628:20870374:1 gene:OGLUM11G17360 transcript:OGLUM11G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGASSKRVEKAKCSSKKQLKLSQPNADELGYADSVSLEDIGGVRNEEGRNSVAIAVLRGSTDSILDDLGRAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETG >OGLUM11G17370.1 pep chromosome:ALNU02000000:11:20878025:20881183:1 gene:OGLUM11G17370 transcript:OGLUM11G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNREEAFRAREVALRKMENKDFNGAQKIVLKAQKLFPELENISQLLNICHVHCAAEATVNGQTDWYGILQVEATADEATIRKQYRKLAFSLHPDKNSFAGAEAAFKLVAEAHSLLCDPTKRPIYDIKRNNIPRKAPKQATRPAKKTQANKYSVPVYLHAFWTMCPHCQMRYQYYNNAINTTVCCMNCRRNFFAYNLQEQPVPTPNVPYSSQFPANMFPNQRRDPISRQGHPVKLSCTGGNTDVRPGTYSWPGSDERTIQSEMTRGKDQIPARNQDKYSVPTANGNSGGCSIPVPDCPDTIDRQKLGREDASVAPAMNVPGHSKLHSTGGGTNAKPRVNVAQWKETTKEDISASVEKKANQSMMNQRKSSAQTANENASGRFKTDHADPNVFDRKNFGTEDSFPVPNSAVPSSLRRSARRKQDAGDNGSTNSKVRKKQKKNNVLSDVDLNCQQIFNNNGTSGDKQSAPPHVSSTVDIQDKTKVTDADSKTKAEPTDTAGWNVPSCFEKLSFPDPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDASNFKAHLTWLEYNAASEEEKKWTDEELPVACGKFCLGSTEVSHDRLMFSHIVSWTKGKKRNAYEVYPNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSDFSVNDGITVVPLVRIKGFVSLFAAAKDKSTNVIASSELLRFSHSIPSYRTNGNEKVGSPAGFIELDTACLPNDMDIIFPSVPLESYISLGKKEDSTIIDLTNDSTSSRMDPGNEKKENLPEAHICCPVSTENHESLSYEKNTSLPKNGHDANGFGNSSEPSCPSPSIYSYPDSEFHNFEEGRTCEKFEPGQIWALYSDADKFPKFYGWISKVELQPFRVHLIWLEACPEQEQEKQWLDQDIPVCCGKFKIRTWKAQYETTDTFSHLVHTGQRDSTWQIDILPQVGEIWCIYMNWTSDWTPSSIDMCEFAIGEIIECTEALIKVSLLTQVNGYRAVFKPGRQSGVLEIPKRDRLKFSHQIPSFRLTEERGGKLRGFYELDPASVPDVFLYRDTP >OGLUM11G17380.1 pep chromosome:ALNU02000000:11:20886865:20887581:1 gene:OGLUM11G17380 transcript:OGLUM11G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDNKTNIELISYVCPDSEFYNFEQDRSHDKFEAGQIWALYSDTDKFPNFYGWVSKVEMEPFNVDLAWLEACPQRAQEKLWLEHDVPVSCGTFEIQNMETKFNENCAFSHLIETKQIGAKCKVQIHPKIGEVWAIYKNWSNKWVPSRSTRGTKYAIGKIVDSTEAFTLFGYLTKVDGYISVFKPDVRRGILKIPVKENLRFSHRIPSFCLTKEKGGKLHDCYELDPAAVPDVFLHKN >OGLUM11G17390.1 pep chromosome:ALNU02000000:11:20891131:20900511:1 gene:OGLUM11G17390 transcript:OGLUM11G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREHTCFTLVKATSLCSCSTYFREAQLDRVYYARLSIILKARIITECLHRFCRDCIEKSMRLGNDECPACRTPASSHSLKVDPNFDALILTLYPDLHKDEEEELAFTEEKTCHKKIQASTNEAPHRRKEALGKKRSAAKAIGSSRSQGNMLARKRGHIDFPDIVPTKVGGKDREENDNSGCDEWSLFDEYSQDIKKKRARRCPVPRSSPAGHKVPSKVDHHEEGNATHTAIRQKQNHSKAHNCCPLSTEDQMDLSSKQDTSMKKTGYNANGFNNFTVPRYSSTYFAIPNSDFHNFEEGRSCKKFECGQIWALYSEVDKFPKLYGWIRKVKLQPFTVHLTWLEPCPQQEQEKRWLEQDIPISCGKFKIRNWKTKYHGNDVFSHLVNTGHIDSNWRIEILPQVGEIWSIYMNWTSDWTPSSIATCEFTIGEIVERTEASIKVSLLTQVNGYRAVFKPDQRRGVLKIPIRDRLRFSHRILSFRLTEERGGELRGFLELDPGSVPDIFLNREENNNDGCKGLSSVGDHSQGPKTKKARKFHVPQSSPAGHKVTSKVDSHEERMANRLAIRLKVNRSEARVCRPLSAEHREDLSLQKKVSFQKNGDSSNKFGDSSQPICLSHSINAASSGVSRPTNRKKDVDGNSRLNSNGKRKQKKNDLPFSVHLNCKKTFGDTAHRADRQSNPPPVTSKVDIQGKGKTSDTDDKDNANAEATNTVRQNEHSCSLELPSPYPDFYDFEKLRDINMFAVGQIWALYDDLDGMPRFYARIKHFDACNFKAHLTWLEYNAASEEEKKWTDEELPVACGLMFSHIVSWTKGKKRIAYEVYLNKGEVWALYKDWSMQWNSDADSHRSYEYEVVEILSGFSVNDGITVVPLVRIKGFVSLFAAAKDKSAVVIASSELLRFSHNIPCYRTTGNEKVGAPAGFMELDTSCLPIDMDMIFPSVTLDSYISLGKKKGSTLIDLTTDSRSSRKDPGNEQMENLSEAQKESLSSEKNSSLPKNGHVANGFGNNSGPGCPSPTRTIFSYPDPEFHNFEDDRTCEKFEPGQIWALYSDVDKFPKFYGWISKVERQPFIVHLIWLEASPEYEQEKREEAFRAREIAVKKLENRDFVGARKIAIKAQRLFPELENISQLLIIFEVLSSAEAKISGELDWYGVLQVDKMADETVIRRQYNILSYRLHPDNNTLFGAEAAFRFVSEAHAILSDHVKRSLYDTKRQCASREVAKEATQPPNKTDSNISNVAGSMTPSASVLVFWTICPHCQKRSLYYQRNFLTRCSDCGKRFFTIKLHEQSVPSRILSTAAKKSQLSTSEMLSFQRSSVPNQHQQGKCLVTRSEAIQFSAMKQTKSHICASDNDKPGTLVPKSSDLKSISVKNLTRESAPAEENAAESSSLQILGKRKLYVTSDSSHGMNSNIKRQRKYTCPSDSDSSNEQICNDDVAVPDNQSTGQNVPIEVDSEEERNARHGGNQQTCKKNVTDTASQKSVNSVIAYPYPDFDFCKSRDAEETDESIKQYGWAGDMAGKGLVTRSDRVQFSEISQAKSHVPPADNDMPGTLVPRSPDPNSTAVQNLTGESVSAETNAPGSSSLQILGRRKLCDSSDSNRAMNSNIERKMKYNSPSDADWSTEQTCNDDVAVTENQFAKQHVPTEVDSEEEGNEKTWRQSTKS >OGLUM11G17400.1 pep chromosome:ALNU02000000:11:20902081:20902427:-1 gene:OGLUM11G17400 transcript:OGLUM11G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPTHLEELQYLVPVTLLQCMFLRSKSFGEQQAILDHQPSELNFEAGCINVIYSSIDSWHEIVVVIQCPDLINFNPVDISKLAKITEVWIVVGNHRIVQS >OGLUM11G17420.1 pep chromosome:ALNU02000000:11:20929975:20936383:1 gene:OGLUM11G17420 transcript:OGLUM11G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDVLMSIFATLEVPDLVRAGSVCSSWRSAYTSICSLGHCKPQQTPCMLYTFDSDGTKATGLYSLVEKKAYVKPIYDDDAAAANGYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPKVAPKIVTGKVKDFLYENIYIVEASCGNLLQIWRSDDLPKGDVPEGDEDDDHSFDSESEFDSESYVCDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFGYKNNHRDIGVLDLENFRREEIVKPIYDDDAAAANGYKYLWHTGEVTVSDSSSILYYKAFVSCDPSMGGGYTVVLIHNPYCQLSFARAGDDKWTWLPPYSDYEDCFFKDGLLYAATLLGEIHMFDLTDPKVAPKIVTGKVKDFLYENIYIVEASCGNLLQIWRSDDLPKGDVPEGDEDDDHSFDSESEFDSESYVCDTNTIKVHKVSLTEGKIVEISSLDENLLFLGHGQTLCLHAEEYLQLKANHVYFTDNNELYLFGYKNNHRDIGVLDLENFRREEIVSPQIWSNWPPPIWLIPNPRRMKLASH >OGLUM11G17430.1 pep chromosome:ALNU02000000:11:20948435:20952739:1 gene:OGLUM11G17430 transcript:OGLUM11G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELAAGAVSSLLVVIRNEAVLLGGVRDDVQFIKEEMESMNSFLGHLARSAPQGGEHDEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRHLWWVYWSLRKMVAQHRAAIQLRQLKDRARDVGERRLRYGVEIPATTKAAAPDATGGYAAGDDEEEDEDEDDREGQFAVATPTLAHHSARWPVFEPPSLDDYVKAKLLEWIGGVPGNAIVTLSIAIVAPDADNKEVLAIAHETLVAPNYYYRRSIMVNVPAVHLDFLPLRPKEVLYYILRELEREEAAGSQKQPTDQGEWEEEDPDPWQDYYKKCGIYRSKKSVLGKIKRNIKKMNIYEKLDKIKSDIREGQHKSGKLLLLQLQKKGADQVDLHVLLQLLVLQSQQDQAKNKAVDTHKLPEWNDNLIEKLAMRLKDHMEADEKTKKLNEQTGVEEETAVRQGGGGERKEDEKDERGDGEEEGKEERRDMEKGGEERKEQQQEEQEKEGRKEEQNETAATTLDEERIKQMINEAKQDVLRELRGRETDKNQATGEPDVPPDKNQATGEPDVPPDKNQATGQHAVVLDQNEEAYFEEVEQKIEEIKQELKEQLKIKWIVDKIKHHLQDQCPLIILKFDQMMDGSRWEEIRKALSLLELSADALIFTTGSTEQAKGYCYPPREPIDHCSLVGLYYYTVLKLTSKHKNEDNDNSQIFRGILEECEGHEFCMKIFTHAVYANPKRSNEELGKLHSTLQSPKKSFDTIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIARWVAEGLTFKEDWPSSVYQANRCFDALIRRWLVYPDDISATGKIKSCVVGDPVHGFITAIARKQHIVETRLSHHLARHFSIFNDLRLRSSDRIGTFFQGLSRSSRVSLLKVLDLEGCQCFASKNQRYLKDICNKMLLLKYLSLKGTDITQLPSEINCLRELEVLDIRETKVPANATVNVLLLKLKRLLAGASQIDPTPRNFVTNVRIPSRIDKMINIEVLSNVKAQHRDNLEDIGKLCQLRKLGVVIDGKKSHLGSLLKAISDLHASLRSLSITIPTTTLEVTPSSPELQDIASRQKHHPEFLESLSISGAKHLFPLLTEGGNKKLAKVTLSNTPLNQDDLKFFAQLPMLQCVRLRHISCTESVLNFKKDDFKCLKYLLIEGSNLTNITFEDEAACKLEKMVLSSTCIESISGVHGLPKFEELELNSSSCGRLLSSCFYNVERIAKLTLRGTLLKQGDLRIIARELNICCLVLLENSFEISQNQITFEKEEFIWLKLLSVDCSTITNINFITGSAPSLKKIVWSSFTSLSGINNLPRLKELEFNGYPVPNDVEEAIKNNKSINLKHNKP >OGLUM11G17440.1 pep chromosome:ALNU02000000:11:20957802:20959046:1 gene:OGLUM11G17440 transcript:OGLUM11G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQDILMSIFSTLEVLDLIRAGSVCNSWRSAYTSICSLGHCKPQQTPCLLYTFESDGTKATGLYSLAEKKAYMLTLLDPALPSRFIIGSSHGWIITADERSELHLVNPITGKQIALPPVTTIEQVKPIFDDSGAVHKYKYSWYTGQMTVSDSPSILAPDELRNFLFNKAIVSSDPSGGNFIVVLIHNPHLQLSIARSGDDKWTWLPPHKDYEDCIFRDGLLYALTSEGEIHEYDLSGPAITRKIVLNKVKGFTCENMYIVRAPCGDLLQVWRSYDPLDDEDEDASDDLEADHDDESYVWNTTMIKVHKVDLVARMLVEACDLGENVLILGHNQSLCLRAEEYPLLKANHVYFSDDRELYIKGCKNGCRDIGVFNLENNCAEEIVSPQLWSNWPPPVWMTPNARKISLETHSS >OGLUM11G17450.1 pep chromosome:ALNU02000000:11:20959757:20964909:-1 gene:OGLUM11G17450 transcript:OGLUM11G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVATSKPKPRPKPPPPPPEASSKASSSSSSAADPNSKRSGPTPTPTPAAAANPTNSANPNSAPLLPSPHPRIPSPHHHLPPQQQQQPPPPPPLSRPLLTVAAVEAAMASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSVPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGRDSIDLHSLLNHSYYAKSAELRADHLGLHKALCVLMGWNYSVDPVHKKAYQTLSTADAEANQGDLILWPPTVIIENTYKSKNDGQKDGMSNKEMEGKLREMGFVGASVKPLSGKDGQRSMLVKFASSLAGLSEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGVKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >OGLUM11G17450.2 pep chromosome:ALNU02000000:11:20959757:20964909:-1 gene:OGLUM11G17450 transcript:OGLUM11G17450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVATSKPKPRPKPPPPPPEASSKASSSSSSAADPNSKRSGPTPTPTPAAAANPTNSANPNSAPLLPSPHPRIPSPHHHLPPQQQQQPPPPPPLSRPLLTVAAVEAAMASIPPPPQYGLESLDRRNVVLSDGTVRTYFALPLEPPPQLRQPLPLPLPLPPFPARQLGPPVGLPPPTAERWAPMRPPAALLPMPAPKRKWEGQANGSVPGESSGRQQQQKPEEKRVAKQVKVETPDVDAKQLKSSFLKMVKLMNENAEQKKNYRANGKISQLKCTVCGSVKPLSGKDGQRSMLVKFASSLAGLSEAERLAQSLEKQGHGRAEWYRVRSVPPGADGGSNPLLVKVGVKGERTWVLYGYLATAWDLDALDLESKQNAVIKSRKELDLSE >OGLUM11G17460.1 pep chromosome:ALNU02000000:11:20967139:20969071:1 gene:OGLUM11G17460 transcript:OGLUM11G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKK8] MHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMLEGIIATSYSEGDKFYIDPMKLLPLSRFLPQPKGQSQGGGRGGGRGGGGRGGGRGRGGGGFRGRGAPRGRGGPRGGGRGFRGRGRF >OGLUM11G17470.1 pep chromosome:ALNU02000000:11:20969915:20972820:-1 gene:OGLUM11G17470 transcript:OGLUM11G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQGGQDCSKFGGFVDKNSGASSSGAPDIELQNMCGTSVARTASLSTGRASPSQFAPTVGRYHRAAGSCQSDILPDEQSLASAFDMALSFRNNPVDYPTNPCNVVPTNGLYVSGPMRATSVQSFDPLLVQDESMRPQFGAGHGKLKTDEFTVDQQEQAHMLSQYFGNWPQNYGMNNMGGVASTPYKPSASLYQQPFYMDEQSQMYAPYQQIPSNFLLQRDMDVQNHSSMQPHYVYPQMQHAAGSNVRSNQQAAACTSARGRSTYGHQLLLDGAVFHNGNNQMNSLYMDGFPGMYTDSSFDSGDFHRLLEAEKFAHPYELNPSSKGFLQPQIQDDLSTMKMLMNSAGVNRVRAPTVNGYSGVGRRTNGYGHNHLDVKSDETLHLNGLNSQFMSLKSEYDLAMKSTQLNYGSVDEVAGRIYMLAKDQNGCRFLQKVFTEGTKEDFEKVLAEIIDHFGELMIDPFGNYLVQKLLEECSDDQRTRIICEITRVPGELITVACNMHGTRTVQKVIDTINTPEQISKVVSALSPGAMRLMTDTNGSHVAQRCLKKLLPEYKAFLLDVAALRFLRLAKDQHGCCIIQKCIEHSNDEQKYNLLCKITSSALSLSEDQYGNYVIQFVVNLGIEWATSKIVKELKGHFGYLSMQKCGSHVVENCLKQASELDREMIIHELMADPKLPHIMADPFGNFVIQTALKECKGELHSSFVEAIRPHAPALQNDVYAKRVLSKTYLKNKQYRLGIF >OGLUM11G17480.1 pep chromosome:ALNU02000000:11:21016360:21019236:1 gene:OGLUM11G17480 transcript:OGLUM11G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPLLRQELDNLDKDADSRRNAMKALKSYAKHLDSKSIPHFLAEVSDNKAAPGLPSGEFTISLYEVLARVHGRNIVPQVGNIMSTIMCTLSSSGGSFPLHQACSKVVPAIARYGIDPSTPDEEKAGIIASLCKPLCGALMGNQDGAASGAALCLKALVESSNWRFAAGETVNEVCLKVAGAMHDKSTQSNAHMALAMALVKHNGLIAEAYARSLVRSALQILDGDTAESSSQKRLSAIQMINFFMKFVDPRSISSELSKVVDIMEQCQNDRMPFVRGAAFEASQTAKSIAAQKGSRHEVSTSPMVGSNFQRRREKSPCRSLWNAKGMAASPVQFQSPESHVVDSSIMCESTITESPVSIGQSSCNFDQNRRTNRRLWSNDGVDVSLKDGLFFRLCSDGKYLEDDLDEVCDSEVTDTNFECTNSFSGFVSASPNGATSRDKTPSPQASERPISIDDVKIYTTPRKLLRSLQSSYDFDSARNEERSIAKLNSSSSPSEESNELEESSEEMQSQLSDSKIEEGKYENETIDAQSADDTTQILSNEDKSVLCTPEVEDTSCKASSEGECKEQDICVTRSMGKSRKYKAVFSFLLSIFMIVLAIIAVLIRIESYDDYVGLVPT >OGLUM11G17490.1 pep chromosome:ALNU02000000:11:21019641:21020062:-1 gene:OGLUM11G17490 transcript:OGLUM11G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVIAAAPRPSYLFGMLVKTETRPVELKLPELGQERPHQAGALAVVLAGGGRPAWDDDGDSGGWPTFLTKVAQRAIVKVNEKGTEAGAATLRLRGGGGPPPDMVEFVADHPFTFFIMEERSGVIVFAGHVLDPTV >OGLUM11G17500.1 pep chromosome:ALNU02000000:11:21022225:21038171:-1 gene:OGLUM11G17500 transcript:OGLUM11G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFHLLGFVGPLSEKRGDRHGRSSNAQEASPSRSRGYSSSRSFSGDNRLLLFFEGDSRQRGSRPASTIRENACNGIHVENLTDEYVSTVEDVNQILMKGLPNRKVGTTSMNLKSSRSHIIFTCVIEAWSKIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFFGIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGLLMALLDVGHYLKRESGIDYENWDTLKLMFSDDVQSKLLDDADKYKDLVFLRDCLRLYENLVAAYNVRAVKKDELALLVKRANADEAEQARSITTII >OGLUM11G17500.2 pep chromosome:ALNU02000000:11:21022225:21038171:-1 gene:OGLUM11G17500 transcript:OGLUM11G17500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFHLLGFVGPLSEKRGDRHGRSSNAQEASPSRSRGYSSSRSFSGDNRLLLFFEGDSRQRGSRPASTIIWLKEFQKFDDNSSAINVGTGVNKQLTDMIMQWRRPGQKLVVGKPEYKSTIETFFGIPCLHDEVVMEVMWGMKRFMSNFVPAEESKLPKEDSLPMSQGLLMALLDVGHYLKRESGIDYENWDTLKLMFSDDVQSKLLDDADKYKDLVFLRDCLRLYENLVAAYNVRAVKKDELALLVKRANADEAEQARSITTII >OGLUM11G17510.1 pep chromosome:ALNU02000000:11:21042623:21045191:-1 gene:OGLUM11G17510 transcript:OGLUM11G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106 [Source:Projected from Arabidopsis thaliana (AT5G52440) TAIR;Acc:AT5G52440] MAVAGLLLRPPPCVAMCTPSPSPSPFPSSQRRRKRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGLDEVPPSTNYRPPTMNNSQQPAIDQSSDDKPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >OGLUM11G17510.2 pep chromosome:ALNU02000000:11:21042623:21045191:-1 gene:OGLUM11G17510 transcript:OGLUM11G17510.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial sec-independent translocation protein mttA/Hcf106 [Source:Projected from Arabidopsis thaliana (AT5G52440) TAIR;Acc:AT5G52440] MAVAGLLLRPPPCVAMCTPSPSPSPFPSSQRRRKRRLTLAQPYCTLGLSFVSGRHHRFLLRRRRRTESKRTSRGTGVYASLFGVGAPEALVIGVVALLVFGPKGLAEPEAAPYTSEELIKVTEEQLAASAAAAWNTQEPPPSQQKEAAATSESNDGAISRGSDGAGAAMSEPNRNISEKTETER >OGLUM11G17520.1 pep chromosome:ALNU02000000:11:21048700:21049998:1 gene:OGLUM11G17520 transcript:OGLUM11G17520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPKGLQKFNQLEQYTARFDKSIELAGISLALAVKMAGFGQGGAGCGDSVPVVGGGEAGDGGQELEVAAATPANSGVGGGLGEHQWSEMSLRVATAEARALEQREQCSRRLPNRAVTPGGGAGGTPATR >OGLUM11G17530.1 pep chromosome:ALNU02000000:11:21090238:21093522:1 gene:OGLUM11G17530 transcript:OGLUM11G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGAVSCCRRGSWRRRAAALALAVVVVFAAAAVAAAARRPIRVLRRPAAAAAHVRRLDSSSSLMLARRTNAAAGGGDNGDVRRRRLIGPGSSPPTCRARCGRCAPCRPVHVAIQPGVGAQWEYYPEVWRCKCGDKLFMP >OGLUM11G17540.1 pep chromosome:ALNU02000000:11:21103909:21108592:1 gene:OGLUM11G17540 transcript:OGLUM11G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVQLLLLRLPLAAVFPPKPATTKTLAVAGMSKAEAAAAGNAAKPDPEMGVAGEKEVVAEKAPARRKVAAEEEDPRLRWAFVRKVYCILALQFAVTAAIAVVAWAVRPIPRFFAAGSLASWLVYLAILLCPFIVLWPMLKYREKHPVNLLLLGLFTLCESLTIAVCSSTFLGKVVLQAAILTAVAVIGLTIFTFWAAHRGHDFTFMYPFLAASLLVLLAYLIIQICFPLGRAGMTIYGCLATVLFSAFIVFDTNQLIKRHTYNEYVIAAISLYLDVINLFMAQLSFSI >OGLUM11G17550.1 pep chromosome:ALNU02000000:11:21108427:21112140:-1 gene:OGLUM11G17550 transcript:OGLUM11G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSRVRLVLVMVSLQAMIAMLPDGAGAQNGDGSRCSKVRCGMGSCSESSDYVFGFACRCNPGWSRYHLGNLQFPFLPCVIPNCTINYLCQNGSSSPSPPPPPPPPPPPAMPSLTNLSIYDPCLLQYCGDGGSCERSSEFGHRCACHDGFQNLLNDTSYPCYQQCSLGSDCSGLGISMFNGSQPGTAPPAPFSFTVKKSGAAASSLLPAGGGVLLHLLLVLVSSFMIG >OGLUM11G17560.1 pep chromosome:ALNU02000000:11:21113909:21115932:-1 gene:OGLUM11G17560 transcript:OGLUM11G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGVELARRRRVHYHGDGAAAGAGFGEHHHGHYNYFHQQHHRQAVASAEAGASAGGEVSPAVAARIRLEEKLRGAAAAPSSSLSRWGRRFRERDGSTTSRQQNNQQEQQIQLPTEPRPTPKPSMTMLEAPSTRKTPRREMRRTLSKADLCAVCLDEVRERHQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRTQVPSVDSLFVA >OGLUM11G17570.1 pep chromosome:ALNU02000000:11:21125121:21132499:1 gene:OGLUM11G17570 transcript:OGLUM11G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPISGGGGGERFCHAAQVVGADGEMDGEAMALFAAGAGLLGRGLSYAVVSIVGPQGSGKSTLLNQLFGTSFTEMDALKGRSQTTKGIWIAKAVGIEPFTVVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVMINFPRKTTLLLVIRDKTKTPLEYLTQALKEDIQKIWNAVRKPEVYKEAALSEFFNVEVTALSSYEEKENLFKEQVGQLRQRFIHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKIMVATVRCEEIADEKLKSFISDKGWLELETAANSGLVPGFGKKLNAILDFYLSEYDTEAMYFDEDVRTAKRQQLESEILKHTYDAFKKMLEHLHHVVLNKFKSDLEQSLRSGEGFAASARYCVQSSMAEFDAGLREWDTTKVRSKLEQHIEAHATSVRGTKLAELKANYEKKLLDTLAGPVQSILETGEKDSWACIRRLYRHATESAILAFSASLSEFELDQTTIRKMVMELREHARSIVEEKAREEAGNVLMRMKERFSTVLSRDKDSMPRTWKGNEDIRAITREARLAALRLMSVMAAVRLDDKPDKIDRALTTALLDGGPLSQKRSIEFTSDPLASSTWEEVSEKNTLITPVQCKSIWRQFNAETEYAVAQAISMQEAHRRSNNWLPPAWTVLLLAILGYNEFIFLLRNPLYLLGLFVAFVVSYAAWLQYDITAYFRHGTLSGLLTITSGFLPTIMDIITAVINMSHNQKSSSHPPRHRPPLHPQSFRNQAQQQSQAQVRYQAPSSLSSSSSVGSNSDDES >OGLUM11G17580.1 pep chromosome:ALNU02000000:11:21134327:21135449:-1 gene:OGLUM11G17580 transcript:OGLUM11G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKWKGGGALLLLAAGLLLVAVAAAAAAEEGRRDPKEELRWCKKQCRWEAGQDQRQLRECEEQCRQRQQEDDDDDDENTHGGGGKECRRECRGYRDEPWRKQDASGAATSSTTTAAGGSRPDCREQCEHQQDWWEKQRCLMDCRHRRQEVDADDDNHHGRDPCYKQCRHHHDQWKKQQCMEECRYHQRQQDAAVDVDEEDDNHGGDRCRKQCQHHHDQWKKQQCIQDCRYHHRQEDDVVEEEDGHGDQQCRKQCQHHHDQWKKQQCMQDCRQRRRQEEEEAAVDEEEDHNYGGEKQCMQDCRYRRQEEDDVVDDDNHHGGGGGHGGDHCRRQCQHHREWHERQRCMRDCHERRHGWATVAAEAILQAV >OGLUM11G17590.1 pep chromosome:ALNU02000000:11:21138460:21140302:1 gene:OGLUM11G17590 transcript:OGLUM11G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKSTAAAGVLLVLLVAAAGGGAEAAATTCVASLLELSPCLPFFKDKAATAAPEGCCAGLSSIVKGEAVCLCHIVNHTLERAIGVDIPVDRAFALLRDVCRLSPPADIISTCANEKGGVPPLYSCPAPSA >OGLUM11G17600.1 pep chromosome:ALNU02000000:11:21144631:21148782:1 gene:OGLUM11G17600 transcript:OGLUM11G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVNPITGEQIALPSVTTMEHVNPIFNESGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYMDGLLYALTAQGEIHTLDLSGPMITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPGLSRKDYEKELELADTGGGKHSGNEIIAKIKVFDYAPKGRTEQAGRVCPIISNSKILNKQNKQGVAGMEAVPQT >OGLUM11G17600.2 pep chromosome:ALNU02000000:11:21144631:21148782:1 gene:OGLUM11G17600 transcript:OGLUM11G17600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCKYSSRRRISPCKRGSICSNYPMAPCSTIARIMNLGDLARCPKNLCNLLFRVVQSKLLAPLHSSLLKEVQKDDGDQPSMAESVVANLPELSQDILMEIFALLEIPDLVRAGSVCNSWRSAYNELRSLGIYKLSQTPCLLYTSESAGDSVVCLYSLVEKREYKITLPEPPIRSRFLIGSSLGWLITADDLSEMHLVNPITGEQIALPSVTTMEHVNPIFNESGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYMDGLLYALTAQGEIHTLDLSGPMITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPGLSRKDYEKELELADTGGGKHSGNEIIAKIKVFDYAPKGRTEQAGRVCPIISNSKILNKQNKQGVAGMEAVPQT >OGLUM11G17600.3 pep chromosome:ALNU02000000:11:21144631:21148782:1 gene:OGLUM11G17600 transcript:OGLUM11G17600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFWRKFIAKYIAKLLKFCRRLQNKKPEKKRKKETLAWLPTCRRRRQRRREAASSPPTSTLARSRRRFNRRPSCPRRYGSAWRPPVAVLRRPKSTAGFPVLHRTAA >OGLUM11G17600.4 pep chromosome:ALNU02000000:11:21144631:21146715:1 gene:OGLUM11G17600 transcript:OGLUM11G17600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLCKYSSRRRISPCKRGSICSNYPMAPCSTIARIMNLGDLARCPKNLCNLLFRVVQSKLLAPLHSSLLKEVQKDDGDQPSMAESVVANLPELSQDILMEIFALLEIPDLVRAGSVCNSWRSAYNELRSLGIYKLSQTPCLLYTSESAGDSVVCLYSLVEKREYKITLPEPPIRSRFLIGSSLGWLITADDLSEMHLVNPITGEQIALPSVTTMEHVNPIFNESGALHKYEFSLHTATRVSYAEPSIFALGELRDYIYSKAFVFTDTFTGGCIVVLIHEPAGQISFARVGDDKWTWHPSHSHYSDCIYMDGLLYALTAQGEIHTLDLSGPMITMKTIIGSLSYSRYIVQAPWGGLLLVWRSVEDIEEDYEADLPADHATFVRYTREIKIYSVDTMGKKHVEINNLDGHVLFLGHNQSLCLSTEQYPHLKENYTYFTDDDEAWLFGFKNKRRDIGLFDLKHNSREELVSPQLWSNFPAPVWITPSFTKLNFA >OGLUM11G17610.1 pep chromosome:ALNU02000000:11:21151812:21152708:1 gene:OGLUM11G17610 transcript:OGLUM11G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGGLRRALAALVRARALPPPSAHGSSPVGELTTAVGGAISWLVAQRTRSCLADKGNTGGGGRRRSVAEFPAAEAVSSPAELPETQFLVRQKRCRSRRSSWAPAAEVSARGDGWRWRARAHPRSPAGSWPGELPVAEEASSSPTVLGSAELRASHVSPAGKLARVDPRSPAQEPAEPREEPTGVSCFLPRRGRNSGGAAAGSCVGGGVLGEFLVEEEDGSASFWWQEESGIAGVTGEMVVEGEDDFDGEFLAATRGRDLGNSWREGGGRR >OGLUM11G17620.1 pep chromosome:ALNU02000000:11:21157540:21158305:-1 gene:OGLUM11G17620 transcript:OGLUM11G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKLPLLLVLAVVTAAVSSAWLPSPASAASDAAAGGEYCRDSLSGLLACRDFMFGGAAAASPACCAAYSAAFDADPFCLCYIADGVYGRSTGYDVNVTHALEIPVSCGLATPPIELCNMQGLVLPPYEPSSPQQPPSAGKLAESPAATPAQSPTAAPSLPQAPKPSSPPPFTSPSPLPPPPPPPTSHGARGATMGIGTVAAAVAMTTLLALLS >OGLUM11G17630.1 pep chromosome:ALNU02000000:11:21159691:21164799:1 gene:OGLUM11G17630 transcript:OGLUM11G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALPPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSVCAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGRFQAISGPNTDTHTQTNKKPLPKDPGCSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRPEVSRIQLGGVDRKTLY >OGLUM11G17630.2 pep chromosome:ALNU02000000:11:21159691:21164799:1 gene:OGLUM11G17630 transcript:OGLUM11G17630.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G14850) TAIR;Acc:AT4G14850] MRRAAAAAAVAPADPQLLAAAFESAIASRSPRLGRAAHARALRLIAPALPPFICAHLVNLYSKLDLPAAAAAALASDPHPTVVSYTAFISGAAQHGRPLPALSAFAGMLRLGLRPNDFTFPSAFKAAASAPPRSTIGPQIHSLAIRFGYLPVDPFVSCAALDMYFKTGRLKLARHLFGEMPNRNVVAWNAVMTNAVLDGRPLETIEAYFGLREAGGLPNVVSVCAFFNACAGAMYLSLGEQFHGFVVKCGFEMDVSVLNSMVDFYGKCRCAGKARAVFDGMGVRNSVSWCSMVAAYAQNGAEEEAFAAYLGARRSGEEPTDFMVSSALTTCAGLLGLHLGRALHAVAVRSCIDANIFVASALVDMYGKCGCVEDAEQIFYETPQRNLVTWNAMIGGYAHIGDAQNALLVFDDMIRSGETAPNYITLVNVITSCSRGGLTKDGYELFETMRERFGIEPRTEHYACVVDLLGRAGMEEQAYEVIQGMPMRPSISVWGALLGACKMHGKTELGRIAAEKLFELDPQDSGNHVLLSNMFASAGSWVTWKNVVHVFRAKDTKHEMYNEIQALLSKLRKQMQAAGYMPDTQYSLYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRPEVSRIQLGGVDRKTLY >OGLUM11G17640.1 pep chromosome:ALNU02000000:11:21164935:21166131:1 gene:OGLUM11G17640 transcript:OGLUM11G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPAAKRRRRCCSLPPELNDDVIGEILLRLPPGDPALLVRCSLVCKPWRRLLSSDPVFLRRHREFHLRRRTPRPLLGFLFNQLGEDPGVAWFAPTSSLRHLPHPHHCDWYALDARHGLVLFSTMLSRDAAEHELVVWDPMTGRRWRLDFPGYLEDFNWSASVLCAADGCDHRHCHGAPFLVAVVSTGRYCNTSAAIYSSETGAWGDAIALEREHPDPDDAVKVGKPGVQVGNAIYFPCVRSAQILECDMSGHTLAMFDSPAAGRGWPDNGLLMTAESGGGGGLGFAFARRSMLHLWSREPTGDGAMAWSPLRGINLEPLLTVLIRRPPEHHSVTPNLVGFADGVGVIFAEIDGDVFTIEVSSRRGKKVYRREDIHTIFPYTSFYTPRGGINFDPLP >OGLUM11G17650.1 pep chromosome:ALNU02000000:11:21169884:21172537:-1 gene:OGLUM11G17650 transcript:OGLUM11G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPVHNTRSGQTKSGTSIFMDFTARQLHSPNSVVQSTWDKTTTHIKHAQWRPLIPSKLAGYLDALKGLLLVKIHEMRIKSQLMIKKMGDRGVQFSS >OGLUM11G17660.1 pep chromosome:ALNU02000000:11:21176389:21178179:1 gene:OGLUM11G17660 transcript:OGLUM11G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVADPALLGGVQDGLVLVILAARGMGQTVQTRGANGGRRRAGRAARGEEGTAASARMRHASCRAGSGRAAARMARRGRSVTAEALQDGVTAGATSDGGGGRFLGAVVGKCAAARGGGVTVGSGDRRGGFGGGRFLGAQDPEHEVVIITAFCTAASPPGIRPHSDASFGVWTRSNDEHVVGKCILAEDHEKQSAPPRTVVDGEIVGDRHEGLHIEDGNGLAMESGDGVVVEGGRRRLLGPDM >OGLUM11G17670.1 pep chromosome:ALNU02000000:11:21178201:21179832:1 gene:OGLUM11G17670 transcript:OGLUM11G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHETGEQCWRFLGAQDPEHEVVIITAFCTAASPPGIRPHSDASFGVWVHPPLLSRSVGRSHLVRVRLNRSKPQQWRRRGCAPSFEKGPEALSSVEAKPSSSCQN >OGLUM11G17680.1 pep chromosome:ALNU02000000:11:21191172:21193135:1 gene:OGLUM11G17680 transcript:OGLUM11G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSASANDLGGWDPFLRRWRARLVRLAAVFVAAALILTASAKKPGDVTELQIAXPSPPLDARLPPLDARLPATSNAGHRPLPTTPANRGHPRGRGRGERE >OGLUM11G17690.1 pep chromosome:ALNU02000000:11:21199289:21203327:1 gene:OGLUM11G17690 transcript:OGLUM11G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALLRAASVLRRAAAPALGGGRAAPLAPKNLPAVCLNGYSTLLAPANEVLIPQELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFDDLEVPSHKTKNIVQYIGQMEGTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRNAINRIVERMHTPISR >OGLUM11G17700.1 pep chromosome:ALNU02000000:11:21209401:21214964:1 gene:OGLUM11G17700 transcript:OGLUM11G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFFSELTSCKETKLHSAPHSWLPLEKGKLSKFAGHSTSSIESLMKMPEPAVLPHFKPADYVDILAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEPISDLLSSCGKCSQEFKLLDFVSQISTGSHEISYDDESDVFWGSPVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESHLEVIDMSRNGISSIGMRAISKFSLTGRLPYLSADAILEMLDFANKFCCNGLKDACERKLASFIYSRQDAIDFMECALELSCSILAAACLQVLLNELPECLNDEQVVRIFSCASKQQRSTMAGNASFSLYCLLSEVSMSINATSDVTVTFLEKLVDSASDSRQKQLALHQLACTRLLRKDYAEAERLFNAAFTAGHLYSVVGLARLASMRGNKHFSLKLLDSVMSSRWPLGWMYQERALYLEGDNKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDHRAALCDVQAILTLAPDYRMIGGRVSAKQLRMLVMENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASQHEQLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYEEMTKLIEKARSNASAYEKRSEYCDRELTKSDLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHVGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >OGLUM11G17710.1 pep chromosome:ALNU02000000:11:21215865:21217954:-1 gene:OGLUM11G17710 transcript:OGLUM11G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRRRRGHEGDRALRRAEWGDGEAERHHTKLVRRRRAEKAGEKVAREAGCTERGRSVARKASGARRWRTTTTTMAIGGGGHAQLLPRHGDLRPPPTQLIAPAVNATPELNAMPELLHRHPLPPASSFLAMMAPFVDAMADLSRLHIMPLRPPSCSISPVLPPPPPSLSPASPLLLSPPSPRRPPTAADASRRARDGYAKLEMKFNGKRPNFVMSAWMVTPIIYLNTTLDVISWRSCVTKKKICMKPNTSV >OGLUM11G17720.1 pep chromosome:ALNU02000000:11:21218640:21232637:1 gene:OGLUM11G17720 transcript:OGLUM11G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTNLGIPFQPNVYGLQVPRNPVPAQHLWAAWRGRGPVQAQKCNDASSHRGWRVGPTTSSGHAKPRLPFPPTPASGGDSPMRLGCSGGRRRLLRAALLRLVVLVLVAPPRRCAGESATCLAVYREGGAPAVFQSAHCPRWTLLAPSAGSGGEGDGDRRSSSSSPPPPPHPRGCHVAVDRGRRRSQEDRAVCALGIRIPFIEHMRIKEVDVGVVAVFDGHNGAEASEMASKLLLEYFLLHVYFLLDGIYSIMFRKSTGKLTYKEVTILNNVINLYKEDQSSHSKGSCWALPAILDRSFHMEVLKESLLRAVHDVDLTFSKEGKRRRKRNSNNRDDFALANYDGPFYNVKELTKDHHPDREDERSRVEAAGGYVLEWAGVHRVNGELALSRAIGDVPYKRYGVIPTPELTEWQSLSANDTFLIASSDGVFEKMTMQDVCDLMLRVKLGVNQELGSFAVTQQNLADYVVDLALEKGTTDNVAAVIVPLGSHYSSKVTLEDWYMLEENSKTSISPLQTIPYQQKSDDGVSSALIGVDYYKRSSAKFHRFLVEAKMKRLGCFYLSESLDEDMDYIFRVPEAYQREGVNDFDHSPVETVLFSGGNLDKYKDRHFCWYLGHQDGEMGRCHSPEAFANFFGLLDSVSHNGSKPHSSHSFGYKISDFRYKLKKRFDRGSYGEVWLAFHWNCSEDVDVHKDHSHFNTILKPDSYNCTSSNTTLPDENHVSDTIDGDLFILKRIMVERGRAAYLSGLREKYFGELFSNASRTLEALSRAESSTAFSVDSQLNPHIFAENNMSFTEEPLKHIARFIESFESELKELWLVYRNEGRSLSKLIYTAEETKLVTGNNNERVRHIQVLQPSKWWYWLRTTKAGQKQMQNLLWQLLMGLKACHDRNITHRDIKPGFMILNGSISKILLWLYSWPQWQRRICRRLIDFGSAFDDFTLKHLYDSGPTRYDMWSVGVVMLELIVGSPHVFQISDRTRALMDHRLEGWSEQTKELAYK >OGLUM11G17730.1 pep chromosome:ALNU02000000:11:21233059:21234047:-1 gene:OGLUM11G17730 transcript:OGLUM11G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLNEQQISDFRDAFSLFDKNNDGCISREELATVLTRLGMAPSQEDLQDMIVAVDEDGNGTIEFDEFLAIMKKKLYENDKGDDEEELRKAFRIFDKDDNGFISRNELSMVMASLGEEMTEDEIDDMMKAADSNNDGQVDYEEFKRVMMST >OGLUM11G17740.1 pep chromosome:ALNU02000000:11:21235002:21238171:-1 gene:OGLUM11G17740 transcript:OGLUM11G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTHAAYLAPTAPPRHLHLLLRLRLRGRPAVSTCVRATARGGDGGSSYLDMWKKAVERERRSAEIAHRLQQSSSAAAAAVKEEGEGKAAAAAGDVERRTARFEEMLRVPREERDRVQRRQVIDRAAAALAAARAVLKDPPPPPPPSPPSTPPQEREQQQKPAATAIQAGSESGLVSRTAPGESDRASPPPPVTETATEAAKVSVPDSGDSSPFKKSSSKLGTPGPDFWSWLPPVENSTKLGEIDTGLKPSEKLDSFAGQPDLLMEKEQSEDILSLPFETFFFKKEDRSLPPFQSFAEPENVESEPSITADAEETFEDQFSKNAAEAARALSASDEKSSHGVRPDGSLWWKETGVEQRPDGVTCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDATGNVWREYWKESMWQDFTCGVMHMEKTADKWGQNGKGEQWQEQWWEHYDSSGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGEKYDGCGGSAKYTDKWAERSEGDGWSKWGDKWDEHFDPNGHGVKQGETWWAGKYGDRWNRTWGEHHNCTGWVHKYGRSSSGEHWDTHVPQDTWYERFPHFGFEHCFNNSVQLRSVKRQTPKNTKPEKD >OGLUM11G17750.1 pep chromosome:ALNU02000000:11:21240452:21240841:-1 gene:OGLUM11G17750 transcript:OGLUM11G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELITACALLLIAVHIAIVISCPEPLAAVALVDVPLLYLCRYLLIIRRGVIIVSGAGGGGRLRRFRLGAAMAIIYMAMSTLFFLRIAPLTPWWGALAAWVMILLIVEAIFAFFFPYRCLLQRNRRRCPE >OGLUM11G17760.1 pep chromosome:ALNU02000000:11:21243020:21244006:-1 gene:OGLUM11G17760 transcript:OGLUM11G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYCDNNAEISYINEDGDRRLLAKPIKYFYEMQELFSGSNANGSLAIDQQTCYNIDRKSDSSDHEGLNDMSTYAHPINIAKEDSDTLPSDVKSPSKRQPKPKSRFTDVTEKIGNTMDRLVNQLASPPPPPMPQLCDPYV >OGLUM11G17770.1 pep chromosome:ALNU02000000:11:21249684:21251448:-1 gene:OGLUM11G17770 transcript:OGLUM11G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTDRASGLPLSQRQESSPEKQADKLPILRENACNGVHVENLIDEYVSTVEDVNEILMKGLSNRKVGTTSMNLKRVAHQMASTAHGLAELPLLILLVLIMMNLMVAASTVQEKKDKKPLKLINILSEAPETKKDDSPQVILFDA >OGLUM11G17780.1 pep chromosome:ALNU02000000:11:21256636:21259523:1 gene:OGLUM11G17780 transcript:OGLUM11G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHTQFPSPFPPPLLPPSPPLPIRSPKLAVHFLPRRSIHHQPPAAVAQDSDSVFSWLFEEERQRGGGGRWGRGCKEYKIVVMGLDNAGKTTTLYKLHLGEAVTTAPTIGSNVEEVIFKNIRFEESDRLKASRTVAAFGEYKIYYHPGHGGPPPPPHAAGRRELFWLVLNDPDVIMVFGEVEFRREDIKLAILRPVHAAPQPPPHAQLPLFLFLCSFAAADNTGIPYPAENLTATRKENCRCIANILSHTHNPCLLTLFCFQLL >OGLUM11G17790.1 pep chromosome:ALNU02000000:11:21259813:21263161:1 gene:OGLUM11G17790 transcript:OGLUM11G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP-ribosylation factor C1 [Source:Projected from Arabidopsis thaliana (AT3G22950) TAIR;Acc:AT3G22950] MRGKLRTRHEHEYPRPAQFPSHLSSKSDLLLFFLHPHRRSDPPNSQSTSSRGDPSTTSRQPPRREIQIRRSLGCLRRRRRDKMGAWMSRVWFLMFPAKEYKIVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNIRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHGDLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATTS >OGLUM11G17800.1 pep chromosome:ALNU02000000:11:21265811:21270925:1 gene:OGLUM11G17800 transcript:OGLUM11G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene isomerase D27, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G03055) UniProtKB/Swiss-Prot;Acc:Q7XA78] MARPQEAPASAPAKKTETAAMMSTVQTGTAAAPPATVYRDSWFDKLAIGYLSRNLQEASGLKNEKDGYESLIDAALAISRIFSLDKQSEIVTQALERALPSYILTMIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVMESEVEGRKEKNVVYIPKCRFLESTNCVGMCTNLCKIPCQKFIQDSLGMKVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTKCVAKQYHGVNCSI >OGLUM11G17810.1 pep chromosome:ALNU02000000:11:21267566:21274938:-1 gene:OGLUM11G17810 transcript:OGLUM11G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGRDLALHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAAAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLGLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILILEMARAASIRLS >OGLUM11G17810.2 pep chromosome:ALNU02000000:11:21268063:21274938:-1 gene:OGLUM11G17810 transcript:OGLUM11G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGRDLALHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAAAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLGLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEGFPDESEQRKLTGRHGYLQQRHNHEFILVKMH >OGLUM11G17810.3 pep chromosome:ALNU02000000:11:21267128:21274938:-1 gene:OGLUM11G17810 transcript:OGLUM11G17810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAGYRYQALKEALAAAISGRDLALHHAAADHRRPHALAVVAGLASNGYVASLLVSRYFRLGDAAAARKVFDAAATAAAPQKALLYNAMLRGYLAGGLPRMAVGVFGEMAAAACLPDRHTYHLAVAACARASEFEVGWRIGAEAAAKGFASDLLVATALIGMYAEAGDMGAARKVFDGMPQRDAVAWNAVIAGYARGGHLREVVELFMRMRSVDVVLPTEATLVTLVSGYAGFGSWEGRGMMHTIVIKLGFQLNLFVSNALLGLYVEFGCLREAVMLFRQMAVKDSVTWSAMIGGLVRNGRPDSALKLFRWMVTNSTVLVTRSILLNVIMACAELGEWREGKWVEENYVCCNGFEFKRDPSVVTALIYMYAKCGMLDSSVSLLYGVAEVRDDVFAWNAMIKGCGELGLVEKAVGFVVEMQKIGVDPDAITYLEILPMISSIPSLKTGMEAHAQIVRRGFLNERAIANSLVSMYGRCGSLRHSIEVFSRIVVKDVISWTSMMQVYAWNGHVNEVVKLYEVMKKTETEPNHYTFLAVLSACKNTGLVEEGMELIKYMQEKCGLKPEIEHISCVVDMLCRAGRLTDAYHLIKYNNSEHINNTILWGTLLSASRSCGDLVIGEAAAKHLLSLDPENRANSKMLADIYVLLGRRDDADNLLRVSMTRGLDIKPGCSWMEEPRRTS >OGLUM11G17820.1 pep chromosome:ALNU02000000:11:21284627:21286951:-1 gene:OGLUM11G17820 transcript:OGLUM11G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMGADEEEERRMHQGCMAGFFHLFDRPQILSGKRLHHQPRRLLSSSSGSATPSERSMQLDRSPERATPLPSPDMTPPAAPRPSLQLPPLEMKDGGGAPAVWRLPRLSLDSRAVVDARGKLRPRDIRTSPVAPPSPGAGGGDERRSPSVVARLMGLDALPRGAPGGDERDAPPCGGELRRSASERVPRDPACFRFVDPSFFERPSPPVTPQQQRPSSPAAEAALRRAPDPACPRGGLQRRSRFDAHDVFPEPAKRVVDSAAAGHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLRHTPPPPVSSLRTQPPPPPIVVMRPSSRPPQPPASRTSPNRRVRVDADNARRPRSPDRAASPARSPASPARRGPQSPQRRVSPAQSPRYQPPLRKPSTGDRSRIARRGGHGSAALSPDDDASTTFSDGTSSGSLSASSRWDFEVSPPPPPPPMAATISESLSSNESFAMQQRARPDELRTDRGLLERCGKLLSSIQAFTAGDDQQPSPVSVLDAAAFLADEDSPSSSGSKRAIFFGTAAPAPHAATASASDQDDDDDQWDTWTVGPDADPDYAYVAEVVKVFDRTTRDPSAVYAAAAKTTTTRVGDDGDTCHHHRRLLCAAAVEALDRRRAACAWDPVSWLRGGELVDHVWAEVRRAREPVAAPDADLDLNELIRGGVVRDLAADHHRWPPSSSQLLPGAEVADAVLQIERLVFKDLVADTIRELADVGLRLPRRKLVF >OGLUM11G17830.1 pep chromosome:ALNU02000000:11:21310769:21320534:1 gene:OGLUM11G17830 transcript:OGLUM11G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLDAFGSATIDQVDSAYRELNAGMWYMQKSPRAENDLRVIHLLKGGTKLRRAENNLGEKSRSKTEPRSKPGVTRSSLLWLLSLDLPREVKNNDCLLVKLERVNVYPREPKQYPNGLHA >OGLUM11G17840.1 pep chromosome:ALNU02000000:11:21315148:21315858:-1 gene:OGLUM11G17840 transcript:OGLUM11G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEERTTTAPPPPPASGRRARWRVAEHTRASCTTVVANTLCTLLLVLLLVAGVVLFVVWLSLRPHRPRFAVVSFTVVSLPATGGGGHQKVAFNVSDRNPNRHIGIHYDATRAAVLYGGDDVGAAAVLVATGPAFADGWYQPNKTTTFIAGVLDVVGPRPAADAAWPAFAAGLRAGRLPLRLRLTTAIRFRLTTGFGAVGFQSGRRRMHVDCHIVVDSGGNLLPESVGAACERYFS >OGLUM11G17850.1 pep chromosome:ALNU02000000:11:21317411:21320882:-1 gene:OGLUM11G17850 transcript:OGLUM11G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTHKVPETKFKIKVGKTLSVRKWHAAFTREGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKRRIQYAIWKQECKDMDSHVGSGKIITAPIITEDGKPIKDPLVLLEATSDQHTMQGSSSSSRNENEVDKSENCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYEHIDAATGVTPGHRQKVKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKIQGKKP >OGLUM11G17850.2 pep chromosome:ALNU02000000:11:21317411:21320882:-1 gene:OGLUM11G17850 transcript:OGLUM11G17850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTHKVPETKFKIKVGKTLSVRKWHAAFTREGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKRRIQYAIWKQECKDMDSHVGSGKIITAPIITEDGKPIKDPLVLLEATSDQHTMQGSSSSSRNENEVDKSENCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYEHIDAATGVTPGHRQKVKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKIQGKKP >OGLUM11G17850.3 pep chromosome:ALNU02000000:11:21317411:21320882:-1 gene:OGLUM11G17850 transcript:OGLUM11G17850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAGKGEVADGFYQIRSDCTHKVPETKFKIKVGKTLSVRKWHAAFTREGRLDIASVLNRIQKGGVHPTIRGEVWEFLLGCFDPGSTFDEREQIREKRRIQYAIWKQECKDMDSHVGSGKIITAPIITEDGKPIKDPLVLLEATSDQHTMQGSSSSSRNENEVDKSENCVVDKQIIEWKLLLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEIGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLMMWALEYDPDIFSTYEHIDAATGVTPGHRQKVKSIRQFGKYERDNMKNGATSDNDGPVPISVFLVASVLKENSAKLLQEARGIDDVIRILNDVNGNLDAKKACAVALKLHRKYLKKIQGKKP >OGLUM11G17860.1 pep chromosome:ALNU02000000:11:21322850:21332049:-1 gene:OGLUM11G17860 transcript:OGLUM11G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGGGAGGTAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVHNNGASNTSATSSIPMSGSRSKNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >OGLUM11G17860.2 pep chromosome:ALNU02000000:11:21322850:21332049:-1 gene:OGLUM11G17860 transcript:OGLUM11G17860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGGGAGGTAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSPGGSSNTIVSDEDSEDKTDMKTRNEQQMSQIVHNNGASNTSATSSIPMSGSRSKNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >OGLUM11G17860.3 pep chromosome:ALNU02000000:11:21322850:21332049:-1 gene:OGLUM11G17860 transcript:OGLUM11G17860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAATAEAAMAAPSASGRRSMSWGSSISQSFRQAEADDPFGRAASQQGHDDDEENLRWAALEKLPTYDRMRRGVIRTALLQHDGGGGGAGGTAPAKDDGGRMELVDIQKLAAGNLGRALLDRVFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLSIQAEVFVGSRALPTLTNAATNVLQGLIGRFGSSNKRTINILQDVSGIIKPSSQYDLHNAEMTVRETLDFSGRCLGIGARYDMLAELARRERNAGIKPDPEIDAFMKATAVQGHKTNITTDVTLKALGLDICADIIIGDEMIRGISGGQKKRVTTGEMLTGPARALFMDEISTGLDSSSTFEIVKYIGHLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFENAGFRCPERKGIADFLQEVTSKKDQQQYWYHDQERYRYVSVPEFAQRFKSFHVGQKMQKEMQIPYDKSSTHPAALTTTKYGLSSWESLRAVMSREWLLMKRNSFIYIFKVTQLIILAFMSMTVFLRTKMPSGTISDGTKFLGALTFSLITILFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGVANILLKVPVSLVEAAVWVVLTYYVMGFAPSAGRFFRQFIAFFVTHQMAMAMFRFLGAILKTMVVANTFGMFVLLIVFIFGGFLISRNDIKPWWIWGYWASPMMYSQQAISINEFLASRWAIPNTDATIDEPTVGKAILKSKGLITSDGGFWISIGALIGFLVVFNILYILALTYLSRGSRSKNQQSRSQIVLPFQPLSLCFNHVNYYVDMPAEMKEQGFTESRLQLLSDISGVFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGVIEGDITLSGYPKKQETFARISGYCEQTDIHSPNVTVYESILYSAWLRLSSDVDTNTRKMFVDEVMSLVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSVIFMDEPTSGLDARAAAIVMRTLLLLKRGGQVIYAGELGRHSHKLVEYFEAVPGVPKITEGYNPATWMLEVTSPIAEARLNVNFAEIYANSELYRKNQELIKELSTPPPGYQDLSFPTKYSQNFYSQCIANFWKQYRSYWKNPPYNAMRYLMTLLNGLVFGTVFWQKGTKISSQQDLFNLLGATYAATFFLGAANCITVQPVVSIERTVFYRERAAGMYSSLSYAFAQACVEVIYNILQGILYTIIIYAMIGYDWKADKFFYFMFFIVASFNYFTLFGMMLVACTPSAMLANILISFVLPLWNLFAGFLVVRPLIPIWWRWYYWANPVSWTIYGVVASQFGKNGDVLSVPGGSPTVVKQFLEDNLGMRHSFLGYVVLTHFGYIIVFFFIFGYAIKYFNFQKR >OGLUM11G17870.1 pep chromosome:ALNU02000000:11:21346127:21347895:1 gene:OGLUM11G17870 transcript:OGLUM11G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKR1] MADHAVHVLSPPCVCATLVGAASFSSLRAAAAAAAASDGHLGRDRQKEAAAAADEHACSSWEDAVIAAGMMGVQLAGAAYMVVLAPAMERGLDPLFLVTFGSLANAAFTLPFSVALERRLLWPPAEQLLTGRLLLRFVLLALGGVTGFQALMLQGMKRTSPAIAAAMPNLTPGFIFLVAASLGLERVRLRCCYTWAKIVGTTLCLGGAITMSVIQSATAPPPTSPASGWAAGCFCLLGAVVVVSCTTVLQAATMVGFPAPITLCTVTSFLGAALTAALQLVARGSLAGAGAGAGVVGLRAVLALVLVGGVVSSACVAFQAWALKKKGPVVVSMFSPTQTVGSAVFSAIFLGRVVKPGSVVGMILLFSGLYVVLWAKKKETTIINSDDSCSSMAVATKDGDDPEKQPLLSRRH >OGLUM11G17880.1 pep chromosome:ALNU02000000:11:21380961:21383678:1 gene:OGLUM11G17880 transcript:OGLUM11G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKLSPCISPCSRFFIRLAFLGSDVLVIYALSTLFNRHNKQDSRSPDNGVRTSLELSWAPILLHLGGQGSIIAYNIDDNELWRQNLLTAFSKIALSIYMFCKSWSWAWSANTLVMWIFGAHAIFKCLQKPWAQKAACISSLTNTCDSDRNIQSDAIKAYLDTKEGKRAYRANRSPEYAHEDIENHENEGEINSFDKYVQAASNYVASHNREDDPPPLERKVVDYEVHHQGLQNLSAELYELFVDLSPSYPDRLRNLKFFEQRKKKAHNLLQTRLSVTFDRFYTASKLFLLNSYGRSRLRWLSIILSNKVAFAFASYLFTFLGVFHIYTDVKVMVGLFLIATSLETMVTSKIVNPYECAEFILRLFIEDQLWSDQLAQYNLIGSLASSKKHAKVRRIAAKFGCKDFLDQFLCTKNSNHSKKITKLVHKYIIDGWTNHINGSTDNYRRFGDNRGQQTLQVEGCMDKLEWSLKMPFDESVLIWHIATDICFFHRVGGGPSPDQETTARSCRDMSNYMVYLLRYNPEMLITGARSNLMKKAYGELKQILSDSNGYPPVYQRSFEENVVARMMNMHRQQRQGFVHNACTLAKKLQVLAEDGGAQRTWKVIRGVWVEMLCFSAGRCRGYLHAKSLGNGGEYLSYVWLLQSYMGMETLAERMQRTDLPNEGDRSAAARPTPPPATVSNENV >OGLUM11G17890.1 pep chromosome:ALNU02000000:11:21387468:21388064:-1 gene:OGLUM11G17890 transcript:OGLUM11G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANPAEVAVVVFPAGGSVGDFLGERIRRFSTPFSSPPLPRSLGQIRRRWWRRRFRFSLGSGGGGGFSLGSGGGDDVGGTRKLIRPRGSARRRRRPLGWIGRSC >OGLUM11G17900.1 pep chromosome:ALNU02000000:11:21405908:21410697:1 gene:OGLUM11G17900 transcript:OGLUM11G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPQFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLPSSPQAAKEAEKVLFRSLRLIESMWLKGNAKFLMGNPQLSIADLSLVCEIMQLEVLSDSERDRILGPHERIRSWVQNVKKATSPHFDEVHELILKAKTRTAAKLGSELSKDLKIASKL >OGLUM11G17900.2 pep chromosome:ALNU02000000:11:21405908:21410703:1 gene:OGLUM11G17900 transcript:OGLUM11G17900.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLKVYADRRSQPSRAIIIFCRVNRIDFEEVTVDLFKREHLSPQFKKINPMGQVPAIVDGRFRLFESHAILRYLATVFPGVADHWYPADLFTRAKLEAILDWHHSNLRRGAATFILNTVLAPSLGLPSSPQAAKEAEKVLFRSLRLIESMWLKGNAKFLMGNPQLSIADLSLVCEIMQLEVLSDSERDRILGPHERIRSWVQNVKKATSPHFDEVHELILKAKTRTAAKLGSELSKDLKIASKL >OGLUM11G17910.1 pep chromosome:ALNU02000000:11:21411818:21415501:1 gene:OGLUM11G17910 transcript:OGLUM11G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFATGAMGTLLPKLAELLKEQYDLQKSVKQGISFLMDELNSIQAALEKVSKVPLDQLNEQTKIWASDIRDLSYNIEDNIDTFMLRVDGLEAAKKHNFTWLIDKCHKSLSKIKIRHKIANEIKDIKSQVQEVMERRDRYKIDDAATNLPTFVDPRILTLYENVTKLVGIDKASDDLMKKLSVGDEAPKKLKMVSVVGIGGLGKTTLSKVVFDMLKLHFDCAAFVPVGQNPDIKRVLKDILVELNKHKYMSFDVTTLSERHMINELREYLDNRRYLIVIDDVWEPSQWNIIKLGLIDSNCGSRVITTTRIFQVADEVAKEFGDVYMMEPHSHDNSKKLFYNRIFGAACNGPTGNQSVEATEKILKRCGGIPLSIITIASLLVDKPAGDWSAIYDSIGFGSGDQNVTCMLYLSIYPEDQLIKKDTLIWKWVAEGFVQEDKNKELFEVGEKYFIELINKSLIQPMENDGSLIGCRIHDMVLDLIRNIAADGNFVKIFDKQHEVRRLSSQRTTVRRIALHESWNQGKNNDLAVGMTHLRSFNAIMCTISMMPSLLSFQVLRVLELDGCNVTGGLYLKHIGKLRQLRYLGMHDTRIAELPDEIGNLMHLQTLDACNIDLQVLPSTICKLSKLLWLRLFGGMSVPMGVGNLSSLQNLVLGWDSIKSNEDFAMEVGKLMELRILKIFVHSEIDEGTKKALLESLCGLRRLQNLVIVFKLMEVFQNMMSTWEGWEGWDHWEPPRQLHEFHIFGIDLPRLPAWVNSMCVPYLSSLKLDLLAMEARDLGMLSRIPALRTLTLRTGTQQRMSWTVGGAGLFPNLRFCRMDIALTFLQGAMPTLMELRLLLWASEDGSVSDVGLGHLPLLNFVQVLLDCRGATARQVEEVEAALRRMVNAHPNCPAIAVDRFGEGLMEGDEDDDEGEISAEDEDHVDENGDDENSAYTYQEPDNDAAKEEEEETTN >OGLUM11G17920.1 pep chromosome:ALNU02000000:11:21422140:21422577:1 gene:OGLUM11G17920 transcript:OGLUM11G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXGGRGDLGAWWSCWWVWRGLQRTKDGRQGVSVQGPHLLAEFEWWWSIGASAVDSQVVKTQPGLGRTDNDALTDGGGGLPSLLFLKTSFWHPLAVRRSRLCSFVGLVVAGHA >OGLUM11G17930.1 pep chromosome:ALNU02000000:11:21433301:21454687:1 gene:OGLUM11G17930 transcript:OGLUM11G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWSLDMVARLPVLLFLKLYIYQRSPWVVSGGGLFPNLRFFCTNVVSTFLRGAMPMLTKLQFWLPASKEGCDDPADGGCRGSVKFEWLKKDRGHDEEDISATNQLDIKDDVLPVGRKARNSPMEFILGEMRPLLFKLRKLLKEEYGLEKKVRKGIESLQNELEMMYTVLDKVDSVPWDQLDEQVRVWASMLRELSYNMEDAIDAFMVRVEKGPEPAAENNLNNKVTKFIKRIVRLFTKSKDLHQISHAIEEAQELAKQYGELQQRYMFDFSSVRDDGATLDPRVLALYKDARELVGIEHPKDKIIEKLFNGDEESKLQLRKISIVGFPGLGKTTLAKAVYENIKSQFDCDAFVSVSQTPDITRVFKKMLYGLDKQKFANINEATRDEVQLIDELRNFLQDKRYLIIVDDIWQKSIWERIKCALVDSNCGSRVITTTRIHNVAKEVGDVYPMEKLSDENSKRLFDKRVLGTECRSVSSNQSEEVTKKILKKCDGVPLSIITIASVLVHKDDWSEVYDSVGFGPEDGNEVVHNTKKILSFSYYDLPSYLQRCLLYLSIYPEDDSIEKDCLIWKWIAEGFVYEKQGKQLFEVGETYFNELINRSMVQPIEDQNNLGTVEGCRINDTMLYLIRDLSMEVDFVKILDRACEEHDYCLQSSTIHRIAVHHGMKQDQNNNIALRMARLRSLNAIGCPISMLPPVESFQALRVLAIEYCDVSTRGCQLKYLGKLYRLRYLGLRYTPVVELPREIGDLVHLQTLDVRDTGLEALPAIVGKLSKLMRLCTGEDTRLPFGVGNMTSLQELSLFTLTADCCPNFSVELRKLTNLRVLCILEVGNINSSSLKTLVESFCSLRRIQQLELYFSSHLGITSLEGWEPPLQLRIFSISGVSIARLPAWGNCKHISNLCFTVLELEIWDLEILAKMPELRYLAIYIMGRFSWTIAGGGLFPSLREFVTDITVTFLEGAMPMLTRIYLKLQVSTGDAAKNVGLGNLLQLNTVNFDLRCMGTTTREVEEAEVAARRMVDGHPNCPRIHINKVEEELMNEDGDDGDDEEISDPDEDERDDDEEEEISDTDEEPDSGTSKGQKRLRTSSPQTLSTQVQHLRNEDEDDEMMSANEVDGNDHDENISATDQEDQEQLEPERRRMDSIPNGNIGARSIGDLKHLQALDVLYTYLKELPATVSKLMCESRNEIAGGGWEYEVTAGATTGLGTHRCVQNPRHGCRQFKLTELRMLEISSLCDLHKIQSQVINSSSASKMTRWESKVKWEPPRQLRQFSVFPIWLPRLPAWVNSMRAPHLSSLELRVLAMEVEDLDMIARQRISWVVVVVGGGLFPSLRNYETNIALAFLPGAMRCSTGLYSGCGSLKMVPPVTLAWGTSLCSVTSRGRWQKQIKVALRQVERPHPNSPAIRVLDTSPATFSAAASPSASCGGGLGSEELELGLQPSSVRQRRPLASNDGLGPGLQRAASGSGAMACWDIK >OGLUM11G17930.2 pep chromosome:ALNU02000000:11:21449275:21453189:1 gene:OGLUM11G17930 transcript:OGLUM11G17930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMPKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSIKLFYSRISRADCNSPTNNQLVEEIEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNRCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTDRRIALHKRWNQERLDAGMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQVLDVLDTWLVTLPATIGNLRRLMRLYINIRRRALTSVGSLTSLQDLSLGTVSDDSCPNFIAEVCKLTDLRRIKINWSKKTDEGSLEALVESLRILHKLQNLEIWFPIPLVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLPAWVNSMCVPHLSHLDLRVVGMETRDLDVLAMMPALCFLRIDVKERFSWTVGGGGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLILLNCEGETAKQVEEVVVAWEHTVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEVLEQLAGSAPAIKSYISCNDELAEKRYERKSPTPVLSTCSRN >OGLUM11G17930.3 pep chromosome:ALNU02000000:11:21449275:21453189:1 gene:OGLUM11G17930 transcript:OGLUM11G17930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTTGALGTLLPKLGKLLKEEYDLQKSVKEGIIFLKTELESIQAALEKVSKVQLDQLDKQIKIWARDVRELSYDIEDNIDTFMLHINDIEPNKKYNFTWLIDKCQKSLSKVKIRHKIANDIKDIKRQVMEVMERHKRYMIDDIATKLPTTIDPRILTLYEKVTKLVGIDKASNDLIKMLSVGDGVSETMPKMVSVVGFGGLGKTTLAKVVLDKLKVQFDCFGFIPVGQNPDIKKVLKDILIEVNKDRYLIVVDDIWETSTWNIIKCAFLDNNCGSRVIATTRISNVASEITEEFGDVYSMAPLSDDNSIKLFYSRISRADCNSPTNNQLVEEIEKILKKCGGVPLSIITMASLLVHKPMEDWSEVYESIGFGVADQNEVVQNTRKILSFSYYDLPSHLKTCMLHLSIYPEDSLIEKDGLIWKWVAEGFVHEEQGKTLFEVGERYFMQLINKSMIQPMERYGIVNRCRVHDMVLDLIRILATKENFVKILDRVHVDPSSSSQSYTDRRIALHKRWNQERLDAGMTRLRSFNAMECSISVMPSLISFRVLRVLALERCNVTGGCCLKHLGKLLHLRYLGLRYTRVAEIPSEIGDLVHLQVLDVLDTWLVTLPATIGNLRRLMRLYINIRRRALTSVGSLTSLQDLSLGTVSDDSCPNFIAEVCKLTDLRRIKINWSKKTDEGSLEALVESLRILHKLQNLEIWFPIPLVEYPVMSGWEGWEPARQLRQFCIHNVDLPRLPAWVNSMCVPHLSHLDLRVVGMETRDLDVLAMMPALCFLRIDVKERFSWTVGGGGSFPNLRYCDTNIELTFLQGAMPMLLDVVLIVRGSRDDPANNVGLGNLPLLKKVLILLNCEGETAKQVEEVVVAWEHTVHAHPNRPVISVHRFGEFLMKKDDGDDEEEILATYEVDGSDHEEVLEQLAGSAPAIKSYISCNDELAEKRYERKSPTPVLSTCSRN >OGLUM11G17940.1 pep chromosome:ALNU02000000:11:21453379:21453624:-1 gene:OGLUM11G17940 transcript:OGLUM11G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEATEAARAGEADSDGGGRRRRRGRGRRTATEEAEKAARPGEATALEGKEMPMALEATREMDGNGVGDGGELATERDDGE >OGLUM11G17950.1 pep chromosome:ALNU02000000:11:21467416:21477198:1 gene:OGLUM11G17950 transcript:OGLUM11G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGAVLPKLGELLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWAKDVRELSYNIEDIIDTFMLQVDALEPPNNNIFTWLINKCHKLSQLMIHHKIGNDIKSVESQVKKVTERYNRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKTCEDLIRMLSVGSDASKMLKIVSVLGLGGLGKTTLAKFKPTDIKNVLKHILIGLDKHKYMELDASQLSESYLTDEVREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAKEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIECPISMIPPLVGFEVLHVLALESCDVITGYHLKHIGKLQRLRYLGLRDTRVTELPSEIGDLMHLQVLDVRRTSLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEGSLMTLVECLCTLRKLQSIKIAGGSWKVFNGWEGWEPPRQLCKFNTYGFCLPRQPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNEVYNILEVDEDDGDDEEISDPEEDDINDDDEEEQNSDPEETDRNDGEEEISATDQKPTRQRGGGYLEPIRSALSFLDAFSGRRIISEKLFGGTLHIGFIYEEEEDQYPPADLSARTEPAVRWIG >OGLUM11G17950.2 pep chromosome:ALNU02000000:11:21467416:21477198:1 gene:OGLUM11G17950 transcript:OGLUM11G17950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGAVLPKLGELLKEEYDLHNSVKEGIKFLKAELEYMQPALKKVSNVPRDQLDEQVKIWAKDVRELSYNIEDIIDTFMLQVDALEPPNNNIFTWLINKCHKLSQLMIHHKIGNDIKSVESQVKKVTERYNRYRIDSIDAKPPIFIDPRILGLYEKVTNLVGVDKTCEDLIRMLSVGSDASKMLKIKPTDIKNVLKHILIGLDKHKYMELDASQLSESYLTDEVREYLDNKRYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAKEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIECPISMIPPLVGFEVLHVLALESCDVITGYHLKHIGKLQRLRYLGLRDTRVTELPSEIGDLMHLQVLDVRRTSLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEGSLMTLVECLCTLRKLQSIKIAGGSWKVFNGWEGWEPPRQLCKFNTYGFCLPRQPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNEVYNILEVDEDDGDDEEISDPEEDDINDDDEEEQNSDPEETDRNDGEEEISATDQKPTRQRGGGYLEPIRSALSFLDAFSGRRIISEKLFGGTLHIGFIYEEEEDQYPPADLSARTEPAVRWIG >OGLUM11G17950.3 pep chromosome:ALNU02000000:11:21457917:21477198:1 gene:OGLUM11G17950 transcript:OGLUM11G17950.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLIVIDDVWEIFTWKRINCALVDSNCGSKVITTTRISEVAKEVGDVYRMKPLSSDNSKRLFNNRIFGIGSNGPTNNQSVEATEKILKKCNGMPLSIVTMASLLVNKPVEDWIEVYDSIGFGPTGQNQEVENMRKILSFSYYELPSYLKTCLLYLSIYPEDHRIMKKPLIWKWIAEGFVQEEQQTWLFEVGERYFTELINRSMIQPIEMYGRVFACRIHDMVLDLIRILATEENFVKILDRLYDVHSSSSQSSTARRVAWHKSLNQDKMDNLTTGMAQLRSLNAIECPISMIPPLVGFEVLHVLALESCDVITGYHLKHIGKLQRLRYLGLRDTRVTELPSEIGDLMHLQVLDVRRTSLNALPATVGKLRRLIRLCIDGDIPCGVGVLTSLQDLRLGKVSDDSYPNIAVDLCKLTDLRKLTIRSLQLDEGSLMTLVECLCTLRKLQSIKIAGGSWKVFNGWEGWEPPRQLCKFNTYGFCLPRQPTWVDSVRIPHLSHLNLHLLAVEQRDLDALAMMPELRVLEVSTKLSISWTIAGGGLFPSLRCFSTDIEIMFLQGAMPMLTNIDFWASGDDSANDIGLGYLPQLNDVFIYLVQSDLTARQVKEAMAVWKRVINSHPNRPFIEVQIDNEVYNILEVDEDDGDDEEISDPEEDDINDDDEEEQNSDPEETDRNDGEEEISATDQKPTRQRGGGYLEPIRSALSFLDAFSGRRIISEKLFGGTLHIGFIYEEEEDQYPPADLSARTEPAVRWIG >OGLUM11G17960.1 pep chromosome:ALNU02000000:11:21487491:21500831:1 gene:OGLUM11G17960 transcript:OGLUM11G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRDRYRLDGVVANPPMIVDPRILALYENVTNLVGIDKTSDDLIKRLFEGDDESKKKLKLISIVGIGGLGKTTLAKAVFESLKMQFECAALIPVGQKPDIKKVFKDILIELNKYNYMKFDTMALSERYLIDELREYLHKRRYLIVIDDIWETSTWKMIKCALVDSNCGSRVITTTRISQVAEEVECLVLNAKVERVINKPRQLKRFYKNVVKIVGLRKKSLIWIWIAEGFVHEEQGNKIYEVGESYFTELINKGMIQPMGYDIYSDTFDGCRVHDMVLDLICSLTNVENFVKVLDKPSDEHNLSLQISIVRRIALHKSSNLEKSHNLAATMPQLRSFNAINTGTLRYHGKLSPEASREATLVEALDVRYTGLAALPASVGKLNKLMRLCVERETRVLANVGNLTSLQELSLGLVSIDICSNFAMEVRKLSDLRILKISWLGETDKGLLKALVKSLCSLQRIQHLEILFGSWVLVSHWEDWEPPRQLHKFCMDGLHVFLPRLPSWVNSMCVPHLSYLELQVLAMEPQDLDVLARMQKLRFLHVYLNTKFSWTVAGGGLFPNLRYCCTNIMLTFLEGAMPMIKHVELCVPASRDSVTNEVGLGNLPLLDVVSVLLDCESATGREVEEVEAALRREVNAHPNNPTIQVNWFTHLSSNRGEENCRDNLGGSLLSERERRELSSMEFATGAIGTLLPKLGELLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIINTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHHKIACDIKDVKSQVKEVMERRDRYMIDNIATKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEGSKMLKMVSIVGFGGLGKTTLAKPVFDTLKVQFDCIGFVPVGQNADIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMREEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMEQLRSFNAIECPIMVMPPLANFQVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVHLQVLDVRHTVLKVLPATIRKLRNLMRLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLHRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEPRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAIDDAANDVGLGNLPLLNTIHIWLQCGGATAKQVEEAEAAWRCVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTGDQETKKIHLSSGRLERQQHRLFACSTTLASFS >OGLUM11G17960.2 pep chromosome:ALNU02000000:11:21493470:21500831:1 gene:OGLUM11G17960 transcript:OGLUM11G17960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFATGAIGTLLPKLGELLKEEYDLQKSVKEGIKFLMAELESMQPALKKVSNIPLDQLDEQVKIWSREVRELSYNIEDIINTFMLHADVVEPTNKHNFTWFINKCHRLAQVKIHHKIACDIKDVKSQVKEVMERRDRYMIDNIATKTPTVIDPRILSLYEKATNLVGIDKASNDIIKRLFVEDEGSKMLKMVSIVGFGGLGKTTLAKPVFDTLKVQFDCIGFVPVGQNADIKKVLKDILIELNKHKYKELNASALSEKHLIDELREYLDNKRYLIVIDDIWEASTWKIIKCALADSNCGSKVITTTRISQVANEIADVYNMAPLSDDNSKKLFNNRIFGANYRDPSDHELVAATERILKKCGGVPLSIITIASLLVDKPVEDWSKVYDSIGFGPEDRNEVVQNTRKILSFSYYDLPSYLKTCLLHLSIYPEDHRIEKESLIWKWIGEGFVQEEQGKGLFEVGERYFTELINKSMIQPIEHHLYLGTVDGCHVHDMVLDLIRLLAIEENFVKVLDKMREEHNSYAQSTTVHRVALHKSWNQDNKNNLATSMEQLRSFNAIECPIMVMPPLANFQVLRVLALENCVFTGGCQLKHLGKLLQLRYLGLRHTHVADLPKEIGNLVHLQVLDVRHTVLKVLPATIRKLRNLMRLRINGNKTRFLTGLGKLTSLQELSLGAVSEDTCPNFSLELCKLMDLRILQIDWYTKTDKNSLDVLMECLLTLHRIQSIHISFAIDFSETPVMTGWEGWEPSRQLRLLHITGVRLPRLPVWVNSMRIPHLSILDLRVVTMEPRDLDVLARMPELRSLRLDITRRFPWTVVAGDGLFPNLRYCRMDIALTFLQGAMPMLVKVELYVWVAIDDAANDVGLGNLPLLNTIHIWLQCGGATAKQVEEAEAAWRCVAHAHPNHPAIHVNRLGELLMKKDKDDGDDEEEISGTHKVDGNDDEQDISTGDQETKKIHLSSGRLERQQHRLFACSTTLASFS >OGLUM11G17970.1 pep chromosome:ALNU02000000:11:21502524:21505939:-1 gene:OGLUM11G17970 transcript:OGLUM11G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-D-mannose 3',5'-epimerase [Source:Projected from Arabidopsis thaliana (AT5G28840) TAIR;Acc:AT5G28840] MALNEEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLDTVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQLEKEKAEGVDLSAYGSSKVVQTQAPVQLGSLRAADGKE >OGLUM11G17980.1 pep chromosome:ALNU02000000:11:21510776:21513668:-1 gene:OGLUM11G17980 transcript:OGLUM11G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKS8] MSATAAASQETAPAAAAAAATAGPYRPGKMKLLYHHTISNAPYLVLAAAAAAVALRASRLAPADDLAAARELLASNLPLAVALLAAAVVLAAAYLMRRPRPVYLLDFACYKPGREHVVTRETFMAQSAAAGAFTGDSLAFQRKILERSGLGQGTYFPAAVLNSPPNPCMAEARREAEQVMFGAIDAVLAKTGVRARDIGVVIVNCSLFNPTPSLSAMIVNHYKLRGNVATYNLGGMGCSAGLISIDLAKQLLQVHRNSYALVVSMENITLNWYWGNNRSMLVSNCLFRMGGAAILLSNRGGDRRRAKYQLLHTVRTHSGAADRAYRCVFQEEDDAGGVGVALSKDLMAVAGEALRTNITTLGPLVLPMSEQILFLASLVARRVFGLAGVRPYIPDFKMAFDHFCIHAGGRAVLDTIEKNLGLGAWHMEPSRMTLYRWGNTSSSSLWYELAYAEAKGRVRRGQTAWQIAFGSGFKCNSAVWRALRTVEPDADERNPWAGEIDSFPVEVPKVEAVATATADAASS >OGLUM11G17990.1 pep chromosome:ALNU02000000:11:21540734:21542253:1 gene:OGLUM11G17990 transcript:OGLUM11G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPCKKVLMLCGDYMEDYEAAVPFYALAAFGVAVDCVAPGKKPPGDACLTAVHEFLGHDLYTELPGHRFAVTADFAAAAAADASRYDALVVPGERFVERLSVDPLAVSLVAAFAGETATRRRPVVVTCHSQLLLAAAGAMRGVRCTAFFSMRRVVELAGGRWVEPDPLGLCVADGNVLSAIGWPAHGEIIRELLRAMGARVAGGRGQAVLFLCADYVDDYEANVPFRALAGVGCRVEAACPTKRKGEACVTAIYDATPAATSDERRGHNFAVTADWGDVDADRYACVVVPGGRAPELLATRGEAVALVREFAGKGKVVASIDQGHLLLAAAGLLDGRSCASGVATRVVAGLAGAASVRHGGAVADGKLVTAASWPDLAEFIAHIISLLGITVSF >OGLUM11G18000.1 pep chromosome:ALNU02000000:11:21544405:21544915:1 gene:OGLUM11G18000 transcript:OGLUM11G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSVSLLVLVAVVLSASGGAAAQQASGVVATYNPDTINWDLRAVTNTATAASAVARVVDQCSTGGLDLDVAVFRQIDTDGGGMANGHLVVDYEFVDCQD >OGLUM11G18010.1 pep chromosome:ALNU02000000:11:21547590:21549432:1 gene:OGLUM11G18010 transcript:OGLUM11G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGRKIMGLLLGCVGLVAVMHVAAAQQAFGVRATYHFYRPAASGWDLTATGAFCSTWDAGKPFDWRSKYGWTAFCGPVGPTGRDSCGKCLRVTNRATGAQTTARIVDKCANGGLDLDWDTVFSKIDTDGQGFQRGHLTVDYSFVNCGDNNYLAEVVI >OGLUM11G18020.1 pep chromosome:ALNU02000000:11:21554802:21555827:1 gene:OGLUM11G18020 transcript:OGLUM11G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMRRVVVLVAAVLCAVVAVATAQEASNVRATYHYYRPAQNNWDLGAPAVSAYCATWDANKPLSWRQKYGWTAFCGPVGPKGQAACGKCLLVTNTATGAQITARIVDQCANGGLDLDWDTVFTKIDTDGQGYQKGHLIVNYKFVDCGDN >OGLUM11G18030.1 pep chromosome:ALNU02000000:11:21560386:21561808:1 gene:OGLUM11G18030 transcript:OGLUM11G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRRVVMVVAVLCAVATMAMAQEASNVRATYHYYRPAENNWDLGAPAVSAYCATWDADKPLEWRQKYGWTAFCGPVGPTGQDACGKCLSVTNTATGDQITARIVDQCANGGLDLDWDTVFSKIDTDGQGYQNGHLIVDYQFVDCGDN >OGLUM11G18040.1 pep chromosome:ALNU02000000:11:21564896:21566783:1 gene:OGLUM11G18040 transcript:OGLUM11G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIYASHLQPWNGIKTQILHVGKFHNARLSHPYIYGPKVWCILPSATEKDCATVQTTSFSIRCTIEETKILKVMAGITGSRALMVVALLCATAAMTAAQEASNVRATYHYYNPQQNNWDLNKVSAYCATWDANKPLSWRQKYGWTAFCGPAGPRGRDSCGKCIQVKNRGTGATIIARIVDQCSNGGLDLDYETIFKKIDTDGRGYQMGHLQVDYKFVNC >OGLUM11G18050.1 pep chromosome:ALNU02000000:11:21568162:21572214:-1 gene:OGLUM11G18050 transcript:OGLUM11G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKT6] MRVGGGGGGGGGGGREREAALLLVLIAAACGFVVVLLNLPDGRALPGGVPGAVGEHTGGTHVSVKERRMVEIVRQRQDVAAQELEGQTDENAAEADERISRSPPGTKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHHAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDHLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWQIDLNGKVNGAVETCTSGDGYHRLENLVNFSDPSIINKFDAKACIHAFGMNIFDLKEWRRQGLTTAYNKWFQAGKRRRLWKAGSLPLGQIVFYNQTVPLDHRWHVLGLGHDRSIGRDAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHMPKVKQ >OGLUM11G18050.2 pep chromosome:ALNU02000000:11:21568028:21572214:-1 gene:OGLUM11G18050 transcript:OGLUM11G18050.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKT6] MRVGGGGGGGGGGGREREAALLLVLIAAACGFVVVLLNLPDGRALPGGVPGAVGEHTGGTHVSVKERRMVEIVRQRQDVAAQELEGQTDENAAEADERISRSPPGTKEKLWMMQDQLIMAKAYLQFASLHGSAHLVRELKLRIKEIERVISHFSSSSRVPTSALQKIRAMEMTLSKAQRAYPHCSHMTAKLRAMTHQSEELVRAHRSETSFLEQVAVRTLPKGHHCLAMRLTSEYFLLDPKEREFPQRYTMQMGDLYHHAIFSDNVLASAVVVNSTISASKDPKRIMFHIVTDALNFPAMMMWFLTNPPNPATIQIKSLDHLKWLPADFSFRFKQKGIRDPRYTSALNHLRFYLPEVFPSLNKLVLLDHDVVVQRDLSGLWQIDLNGKVNGAVETCTSGDGYHRLENLVNFSDPSIINKFDAKACIHAFGMNIFDLKEWRRQGLTTAYNKWFQAGKRRRLWKAGSLPLGQIVFYNQTVPLDHRWHVLGLGHDRSIGRDAIERAAVIHYSGKLKPWLEISIPKYRDYWNNFLDYDNPYLQQCNIHIVSSRNGQAFLSSAVLALTHVLQIHNHPS >OGLUM11G18060.1 pep chromosome:ALNU02000000:11:21577274:21583521:-1 gene:OGLUM11G18060 transcript:OGLUM11G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAMAISPSIHLHLHHPPRLHRLLHLSTASPYPWLSAWPTAHRRRVPLRRPASALDLRPEPSPSSDSDDDAAFGTSRSSSRSAMSLILSRLRNSGYSYSPPELPPRPPRGSVEDVFRVDDGVVPNARGGFDDDAESALVDARFPWELPMPPPEAGPRAARSKAWMAELTLPEAELRRLRHAGMRLKSRIKVGGAGVTREIVERIRDRWRNDEVVRIKVTGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGVAYDIPEPTKGTSKNTQTLGMKSSIKEPPGHSLLPNEKVNEMQDNNGALVSNAEKDTLVEPVPEIKYEDEIDKLLDELGPRYDDWPRPDPSPVDADLLPATVPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLAAAMVKLWEKSSIAKIALKRGVQLTTSERMAEDIKKLTGGVMLSRNNDFMVFYRGKDFLSPELAEKLLERERWAKSLQDEEQARLNAASSFSSRTEAPVEPTVAGTLGETLEANSKYGNKLDENYENKMTRTVEAARHADLVRKLEWKLQLAQKKIEKAERVLGKVETALKPTEGIQPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKAKSFGDVKKIALSLEAESGGILVSVDKVSKGYAIVVFRGKDYARPSKLRPRNLLSKRKALARSIEIQRREALSHHIATLNRRVKKLKAELLQMEGVKEEGDVELYAKLDSAYSSDEEDVEDEDDEAYLRSFDNSVAVQNGDDRTSLDGSDANSDDEGDYSDEDDDEDDDNDEEDGFDYENDDEDDVPPTTSDGDLYNHTDFGSSDSENYVSLSGRGDPDVKSKGSALDSRNSYSEQSTELTNTYHQEHQQSKRRNFLKP >OGLUM11G18070.1 pep chromosome:ALNU02000000:11:21585174:21585742:1 gene:OGLUM11G18070 transcript:OGLUM11G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDRIYFTKEFSQKYIQPLMEGKKTINIQVQTAGNDSTTMVLHVSTDGRCSLKKGWTNFAVQNNIHLQSICIFHFYKAAHI >OGLUM11G18080.1 pep chromosome:ALNU02000000:11:21587428:21593920:1 gene:OGLUM11G18080 transcript:OGLUM11G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGEMDPPITASLGAIHIVFGKLVRLLSEPGLRLHKEEMKALGVLKDGLQVLIEDYLMEPSDLEGPPFKANYWMKEVRELCYDIDDFVDELFHAAANAKIQRALQFKASPLRKKLPLFKTSQLQEKLSRFNASQLQKKLSWRQKIVDEVSSFSSCLKELIMLYKRYDLGSLERRSGWESNGTMSLTLPLAEETSQRCYLGMDKDMDKLVGLLNDGQQECKVIAIIGLCGVGKTTLAEELYQKFGFQFQCCAFLRLSRKPDMKRVLVRQHDPPVTGTVEVDNLSHEIKSYLNDKRYFIVIDGVWSSYIWNTINQTLPKNFYSRILITTEINHVAQRCCVDNRKYIFKKEPFNKVESDEFFRRVHESKNAEYLKEISSEIAQLCSGLPLMMAIVASILTRLPPSTEQWNVVKKSLSSKLEGILDLIYNIIPHPLKACMLYLGIYEEDDIILKDELLSQWFAEGFIDTVDGNDEKRVALIYFDELISYGLIQPVETRFDNEVLSFRVHYMIIDSIRSKAVQHNFAIAIDNHQTDVRIADKIRRLSLRFGNANDVTTPIGLRWLPMQMRQLQYLAKLKIEARLSYVPSDIFYLPRLQQLILPSETTLPHFTEPMKSLHTLGCFDLSGSSTESIVDLGKMVNLQNLHMTCSSGQPANLKLLGSVLNKLKNMKSLILVFTGSLDDADSSIMEISSDDFSVSSPPVLLTRWIKELNNLSILKIAISEMLGHDVDVLSVLPALTSLSVYIQRAPEERISFGKGGFVALKYFKLKCTVPWLKVEVDAMPNLEKLKLRFNVGLSLQRVGLHGNNLINIEHLSRLKEIYAKVESEGSVDAGSALMTGVWNDPRNPTITIQLICGFYGEMTRLMTKDDIILEENPDSITEDEVRQNDEKKQVDDHRELSSSQRVTTDAISKAFKATEEGFIELVSRQWKTDPQIATVGACCLVGAVQQKTLFIANLGNSRAVLGKVSCIGQIVAEQLSSENIANDAWKAKGLVQVLRAIGDAYLKYPQYSREPLNKPILSANPSIVSRVLRPSDRFIIFGSAVLWEYLSNQEAVEIVKNHQASGSAKMLVKAALHAAAKKHNLHYSDLLKMDRDNPRHVHEDVIAVVLFINYDQLLKGKQGRPLSIRYPRSIQHGLVPSSRIS >OGLUM11G18090.1 pep chromosome:ALNU02000000:11:21603757:21618171:1 gene:OGLUM11G18090 transcript:OGLUM11G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MRAACFFTGAAATASLPLPSTSASASACCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAPPAETEVEEPGVADGGGEGEGEGEVEEAAPSGEEEEEEEQPARAPVRSRRRQEEEEETAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSSPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAVQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVAAVS >OGLUM11G18090.2 pep chromosome:ALNU02000000:11:21603757:21618171:1 gene:OGLUM11G18090 transcript:OGLUM11G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein, HflX [Source:Projected from Arabidopsis thaliana (AT5G57960) TAIR;Acc:AT5G57960] MRAACFFTGAAATASLPLPSTSASASACCQRRPASLRCSRPRRSFGVARALDERLVEAAPPAPPAETEVEEPGVADGGGEGEGEGEVEEAAPSGEEEEEEEQPARAPVRSRRRQEEEEETAPGHDRFKLINGKEIFQEKAYLVGVECKRSGGSMFSIEESLEELEQLADTAGLMVVGSTYQKLSSPNPRTYIGSGKVAEIKSAIHAHDVETVIFDDELSPGQLRNLEKSFGGGVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWSHLERQSGGQVKGMGEKQIEVDKRILRTQISALRKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDKVLKELDIESIPKLVVWNKIDNTDDTLRVKEEAEKQGIICISAINGDGLEEFCNAVQAKLKDSLVPIEAFVPYDKGELLSDIHKVGMVEKTEYMENGTFVKAHVPLPLARLLTPLRQQVAAVS >OGLUM11G18100.1 pep chromosome:ALNU02000000:11:21615428:21617861:-1 gene:OGLUM11G18100 transcript:OGLUM11G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase [Source:Projected from Arabidopsis thaliana (AT4G36390) TAIR;Acc:AT4G36390] MEIVLSIMKNEGYDEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRQWKANVAAGRSRSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGQKGINTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWELSEGFSSMCKVKNMGLRFADLLDQLSLEYPEMRFRFTSPHPKDYPDELLYLMRDRHNVCKLIHMPAQSGSSAVLERMRRGYTREAYLELVQKIRSIIPDVGLSSDFISGFCGETEEEHAETLTLVRAVGYDMAYMFAYSMREKTHAHRNYVDDVPDDVKQRRLAELISTFRETTAKIYDSQVGTVQLVLVEGPNKRAPETEMIGKTDRGHRVSFATVPVPHTFEGDELRKPVVGDFIEVKITKSSTASLSGDVIARTSLSRFYKNHSSEAHAIAA >OGLUM11G18110.1 pep chromosome:ALNU02000000:11:21618945:21619199:-1 gene:OGLUM11G18110 transcript:OGLUM11G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLTAAAALRLGRGISHRRALLLSPLLLRRCCLSPPPGAAPRCLSSAAHPPPPSPRRLARSGPARPLAASAAATAVSEAHTE >OGLUM11G18120.1 pep chromosome:ALNU02000000:11:21620118:21621945:-1 gene:OGLUM11G18120 transcript:OGLUM11G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06430) TAIR;Acc:AT5G06430] MGAAAKPPPFVCFKWPWGPDPKATSPSPSPSPCGDLEMPWLLKSIRTVAQGLLIAGDLPSPSPDGGGGGGGARTRGRRRRLGPGLAAEADRGEAEQRALAAALASGRDATVLEFYSPRCRLCASLQGLVRELEDGAGGRAGFVLADAEDDRWLPELLHYDIRYVPCFVLLDKNGRALAKTGVPTSRQHVIAGLHHLLNMNQISVQEGKKSTP >OGLUM11G18130.1 pep chromosome:ALNU02000000:11:21624195:21635249:-1 gene:OGLUM11G18130 transcript:OGLUM11G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAEFNRATAGDASSPAIHFGDASGYVDPDPGHSFQAIYEQVYGDAYAWGTTSPATKPGVPSPPMSGFAQEAEKERAGMSSTVMNGFRPEKVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVSNDGKQLRAGLPQRTIFDALHDAGHSFGVYYQFPPSRDCKAGKLPNYVVIEQRYFDLKLLPGNDDHPSHDVAHGQRLVKDVYEALRSSPQWHEILFVITYDEHGGFFDHVPTPVAGVPSPDGIVSAAPVSFAFDRLGVRVPTLLVSPWIEPGTVVHDPASCGGAPEPTSQFEHSSIPATVKRIFGLREFLTRRDAWAGTFDTVLTRAAPREDCPATLPEPPRLRAAEAEEHREVSEFQAELVQLGAALNGDHDGEGYDPEVLVRGMTVAGAAQYCRDAFDRFREECHRCRDGGMDGSHVPMLQPASASASSSAPAADPPAPATPSALSKLCGCFPCFNAS >OGLUM11G18140.1 pep chromosome:ALNU02000000:11:21638337:21657475:1 gene:OGLUM11G18140 transcript:OGLUM11G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKRPAVAAGDGAPAPVSVDPASCRDRAALPDDLLLTVMESLAIPDLFRAGTACASWYAAYSTARRARIPIRDSAPCLLYSGEGDDDPSTATLYSPSSADCLRVRLPDPPLRSRALVGSAHGWLVTADEQSNLHLVNPLTGAQVALPPVTALHHVESLVDEEGNLVYSVDESLGPDDPEANLPEFEELADREVPVEYPAEKLRLFMYHRVILSCSPSAGRKCVALLVHRPDGMISFARPGDERWTHINRTTSNGSLEWDTGYTDALYNKNDGLFYLLSFDGSICALDLSGSNPVARNIVKKNTQWDNPSKYIVLAPWGDLLEVWRLRDFDEPDETPECSSVVFEDRSDKWLTEEIMLYKVDIDKQKLVKISSIGDHALFLGFNSVVCLPTKNFPMLKPDCAYLSDEFYEEIFMEPTGAGAGVIHCWETLPEDLLVTVFCQLEIPDLLRSGAPKQPPCLLYSCDAYGPDAAGLYCPSTGAAYRVPVSCGGVGGFFRNLTLIGSADGWVVAADEIGNLRLLNPLTGAEAELPPLSTMHHVEAAFDEEDEGGGLAYDIVDRLYNRPSLVRVPAREVRDCMYFRAVLSCGPHAAADAACVVLLLHMPRCELSYARPGDERWTWISPGAGTGLRWRNLYCDAAYSKNDGLFYVVRDDDSVHALDLTGPSPVARKVFDERPWSTSLPSRYLEDVHLPCAQPCRYLVNTPSGELLHVWRFRHWVSSYDSSSDDQDDSSNDSSSEDQDDSSYDSSSEDQDSSSRDLYEDLITRDIQLYRTDFHGKKLDAMDSLDNHALFLGYNTSLCLPTEDFPGLKPNHAYITDDSLEFVNYFKQNKKEIGMWNIESQILERFVMEPTGTGAAVVADWTRLPEDILVTVFCQLEIPSLLRSGAVCASWHAAYRTFRRLRLPSPKQPSCLLYSCDAYGPDAAGLFCPSTGATYRIPAPCGGFRSLSLIGSADGWVVAADEIGNLRLLNPLTGAQAELPPVSTMHHNCMYDRAVLSCGPRTRAGDAAAACVVLLLHKPMCELSYARPGDKRWAWVSPGAGTGLQWRNRYCDAAYNKDDGLFYVVRRDDSVHALDLTGPSPVARKVFHEREWSRSLASRFIENVHGLCGIPFRYLVHTPSGEFLHVWRFRNSVSSYDLSLDDQDDNDDDDDSCDSLQEESSPEDEDDDSCDPPDEELVTEDIQLYMTDFHGQKLEAMDSLDDHAMFIGYNAPLCLPTKDFPGLSPNCVYITDDSLEYINYSRRNNKRDIGVWSIEDQNLQSLGGASPIKDPWYFVLSSKIDALFEKRSGSNLIHGRKCLKNTVVPLYYQISYNNRVVNYNLQHHT >OGLUM11G18150.1 pep chromosome:ALNU02000000:11:21657766:21666987:-1 gene:OGLUM11G18150 transcript:OGLUM11G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVSAVVVSDSDWSRLPDDMLIEVMRSLEIPDLLSAGAVCSSWRPAYTAVRRVRLPITDKSPCLLYSCDDDAAADDDDVATVYSPSSGATFKLRLPAPAFRRRYTVGSGHGWIVTADELSNLQLYNVESFTDEQGNLMYNNYEDSMPHRDDPLGFPVPYHPQRLRLFLYFRVILSCSPSAGSDCVVLLLHSPDGQLSFARIGDHCWTRLTDIENLWDRGYRHAIYNKNDGLFYLLHFQASIHTLNLNGPSPVVNEILKGVTAWDNPTKSIVMTPRGDMLQVWRCRELRWNDAPVQFPSEDSDDVHDPCQELYTDEMLLYKVDFDGQKLDKMDSLEDYILFLGFNSSICLSAKDFPNLRSGCAYLADDSYEEIGINKHTLREVGIWNFKSETLESLGDPQLRRLRTSGASVVASFLAAETEMRSAPRYSAHQAHQLGLGFLAVAAATMAAAAADWTSLPDDILFLVMRQLGIPDLLNAGAVCSSWRPTYSSLRLPITDKSPCLLYSCDADADDDDVATVYSPSSGATFKLRLPAPAFRRRYMVGSDHGWVATADELSNLQVINPLSGVQIDLPPVTELYHVASFTDDRGRLMYSNQDNWMRRHDPQWLPVPYHPQRLRLFLYYRVTLSCSPSAGSECIVLLLHRPDGELSFARVGDRSWTRLTGIENLPETGYRYAFYNKNDRLFYLLNCLGSIHRLDLNGPSSPVASLIFKEIALWDNPNKSIAVTPRGDMLQVWRCRDPRWVDTPVRFPLEDCDDVYDPCQELYTDEILLFKVDIDGQKLVKMDSLEDYVLFMGFNSSVCLSAKDFPNLKAGCAYLADDAYEEICVNKHTWRELGIWNFKSETLESFGDPPSVLPWLNWPPPIWITPSIY >OGLUM11G18160.1 pep chromosome:ALNU02000000:11:21668364:21670493:1 gene:OGLUM11G18160 transcript:OGLUM11G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGFVDDEGRRRSGGRRVTAFVALSCATAAMGGAIYGYDISIAGGVSSMEPFLRDFFPDVLRRMADSGGGARRVSNYCKFDSQLLTLFTSSLYISGLLTAVLLASWVTASRGRRASMILGGFAYIAGAAVSGAAVNVSMAILGRALLGVGLGFTTQSVPLYMAEMAPARYRGAFSNGIQFSLCLGALAATTVNFAVEKIRGGWGWRLSLALAGVPAVFLTVGAVFLPETPNSLIQQGKDRDTVKALLQRIRGVDAVVDDELDEIVAANAAAAAAQGENGLWLILSRRRYRPQLAMAVLIPAFTQLTGINAIGFYLPVLLRTVGMGESAALLTTVILVVVSSASTLASMFLVDRFGRRALLLAGGAQMLVSEALIGGVMAAKLGDDGAPSKACATLLVVLIGVYSTGFGWSWGPLSWLVPSEVLPLEVRSAGQSVAVATCFALTVLVAQCFLAALCRMKAWIFFFFAGWIAAMTAFVYFFLPETKGIPIEQVGSVWEEHWFWRRIVGTDEIHASSKLSK >OGLUM11G18170.1 pep chromosome:ALNU02000000:11:21690225:21697173:1 gene:OGLUM11G18170 transcript:OGLUM11G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPFHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OGLUM11G18170.2 pep chromosome:ALNU02000000:11:21690216:21697173:1 gene:OGLUM11G18170 transcript:OGLUM11G18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPFHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OGLUM11G18170.3 pep chromosome:ALNU02000000:11:21690487:21697173:1 gene:OGLUM11G18170 transcript:OGLUM11G18170.3 gene_biotype:protein_coding transcript_biotype:protein_coding MENRDQQEPGSGSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGAYMQQKNANVEVTLRIVYQPQAVFRIRPVNRCSATIAGHTEAVLAVSFSPDGRCLASGSGDTTVRFWDLNTQTPLFTCKGHKNWVLCIAWSPDGNHLVSGSKSGELILWDPKTGKQLGTPLTGHRKWITAVSWEPFHLQAPCRRFVSASKDGDARIWDITTRKCVIALTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETSQGKLVKTLQGHGHWVNSLALSTEYILRTGAYDHTGKTYSTADEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >OGLUM11G18180.1 pep chromosome:ALNU02000000:11:21699652:21701115:-1 gene:OGLUM11G18180 transcript:OGLUM11G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAASTNKQPSPPANENMEEEAEAGGDRCCWSWLPEDVLLTVMGFMEVPDVVRSGAACSAWRAAAAAFRRHRLPTPRQPPCLLYACDAYGPGAAALYSPSTAATFRVPFRIPRAVAGAAHGWLFATDDEANPYLVNPVTGARATLPPITTLARVRSRETLVGGVVYGVDVSPTVGENIRHITAERARDWMFRRVAVSGSPSAAAGCVVLLVHMPFSELSFARPGDARWTSLSGVAELSFARAPDMAMVGGWGSILAMGELHYRQYWTSIVHNHKNGLFYLLRHCGSIFSLDLTGGGAASSSSPSPVARTVLRSPSPHQYSSGPKPTQYLAVTPRGELLRVTLRWHQTAIVAPPDASNGRWHVEHAVATTGVEVEEIRTPPPPLATAASTATAISVAGLGGCGDVALFLGKSSAACLPTEGFPMLRPNCAYLTDDAGGDVVRSPAARRDFGVWDFGSGRLQRLGDVWPLHHPWLYSPSPIWITPSLY >OGLUM11G18190.1 pep chromosome:ALNU02000000:11:21703268:21707929:-1 gene:OGLUM11G18190 transcript:OGLUM11G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKATIGREAQRRSWADIPADIIGVVVGHLPSVEDRARLRSVCQAWRAAARLHRPPPPLPLLVLSNLAFSGFCVDGAMSETRRIPLPVEVVAAAAGDLRCVGSCEGWLAVVRQKKARYLGDGACFLVNPFSREVVNLPPPFVSTHLVDVYTRSLPIINGSGVVDCTIHAAQYVMSFCKVILSSPPGSGSAYTAAAISVHRNGAKLALWRPGMTSWCICYGGCISKFSDVAFYQGKFYILSKLTTNLFAFEITEDDCGMMVSRVERCVTELPQVKDSYGQRWNMVEWHGKLLLVVRYIGGSEGWHNICKVSVFVVDVSTNPFRFTEINSLDGDCIFISPCSSMSFPACQYDGIEDDLVYFIDGYLFPAKNGPPFDRFVYNMRDCTLAPFAADISDDNFRAPDGRLMSPTWSHGGPPSWLDLPLGIVGAVLRRLHSSADRAALRSVFHRSWRAATRERDYPPLVLAAAPAPLPLVLYPNFALASVFSDGAADAGHRVPLLAALLDGAFPGQCIGCLEDWLVCTWLRLSIPLYPTVGADGGCVLVNPFSGEKVSLPSPTATHSCGAIKRSVPISNGDGEVVCTIHADEYAMALYKAVLSAPPNAGSSSSSSSELDLGSSCIVAAVSQRKGEYKLAFCTPETPSWCICEGNCIKSHIDIEFYQGKLYMVDTRNGDLFAFELEAHDRVFPVVSLVERCLIEKLPSAEDGDRQTYNLVQSLGKLLLLVRYFRESWDQFVGVGVFELSFNSNPWKWIEKKSLDGESIFISSSCNKSFAASQYEEIEDDRIYFLDSLCPKFNPKKSDSYSYCSQVYNMRDGTINPFLIGTGPMSSYLGFPMWFCPTQ >OGLUM11G18200.1 pep chromosome:ALNU02000000:11:21708222:21720220:-1 gene:OGLUM11G18200 transcript:OGLUM11G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRNSGDALPRERWNDIPADMLGLVLRVIPCAADRARVRSVCRSWRAAAAIQRPPPPLPVLVFSRFSFASLSRLSPAMAFTKPRRFFFHKDVTIRWVGSFDEWLVGTKPSRECKDADSHCFLLNLMSRKKIQLPRPCALHFFDYFCKTLPIVNTSGWVDIIIHDREYSMCFRKVVLSASPASDSMCIVAAISSRTLALWHPGMRSWCVCRSFGIDGSADIAFYQGRIYMVMVSTYFPHILSILFFQLEEVNGRVMVSYVEQCVTETLPPVEGCVVNEFYIVEWRGKLLLIVMYAEHVWLDIEKIGIYALDFSTNPHSLTEINNLDGDCLFISLRSSKSFPACQYDGAEGDFVYFVSSYWQQATSVHHSFDVLVYNVRDATTTRLSVSAPEDNSGPFTNNLLCSMKCLTERLVVVAVPAGDALLRGPWNDLPGDLLGLVLGHIPCAAGRARVRSVCSSWRNAAAIQRPPRPLPMLVFSRFGFVSFSSFSPAMVIADAEFTRIPLHEDESLRWVGSFDEWLVGTRPGSVCKDAHSHCFLVNAFSRETIQLPRPSAFRLSHYICKTLPIVNTTGSVDIIIQEHEYSVCFRKVVLSGPPSSGSMCTVAAISQCILALWHPGMTSWCVCRSFWFDRSADIAFYQGRIYMAMVSTYFPHILSIMFFQLEEVDGRVMVSYVERCVTQTLPPVEGCAVNEFHIVEWRGKLLLIVMYADHVWVENRRIGIYALDFSTNPYSLTEINNLDGDCLFISLRSSKSFPACQYDGAKGDFVYFVSSFRQQTIGVHHSFDILVFNVRDATTTVFPVLVPGDNSDPFMDNLLCGIAIDDLRTGTTIQKVFPNLNLLATCSWCGNVVLPRQPLAAPPLLA >OGLUM11G18210.1 pep chromosome:ALNU02000000:11:21710169:21710597:1 gene:OGLUM11G18210 transcript:OGLUM11G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIIESHRVGAEIANGDEAAKKKSIELLSELGLPEGLFPLDDMEEFGYNRANGFMWIVHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESSAGKITFKTGTGLSDSFDASAFELGM >OGLUM11G18220.1 pep chromosome:ALNU02000000:11:21712049:21717877:1 gene:OGLUM11G18220 transcript:OGLUM11G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIETYRAGAEIINGDAAGKKRSIEMLQELGLPKGLFPLDDIEEFGYNRANCFMWILHSKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKIAGVKTKELMLWLSVVEVYVDESSPGKITFKTGTGLSDSFDALAFDVVEKNQQQNISIMKRKRAPSLVYMNAMASQIIETNRVGAEVINGDAASKKKSIELLQELNLPKGLFPLDDIEEFGYNRANGFMWILHRKKKEHTFKKIKQTVSYATEVTAFVEKGKLKKITGVKTKELMLWLSVVEVYVEESSAGKITFKTGTGLSDSFDASAFELDM >OGLUM11G18230.1 pep chromosome:ALNU02000000:11:21720521:21722631:1 gene:OGLUM11G18230 transcript:OGLUM11G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVASSCCASTSARPLVRRAGSRNGKLWWAGGVRKARLLSISATAAAPSGVDYAAGTGAAADDDAVAALKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAAGGGPVDLERDVDKLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSKDFDNIVDVELGAPWPLPPVELTATLAHKFEIIGTSSIKITFDKTTVKTKGNLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDGDTRITRGDRGELRVFVIS >OGLUM11G18240.1 pep chromosome:ALNU02000000:11:21726344:21726538:-1 gene:OGLUM11G18240 transcript:OGLUM11G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEAGDSERDEDEGHGGRGQRSSGVRQWPWRTRPAAAAAVTDEDCDLVECDGGGQRWTRTATQ >OGLUM11G18250.1 pep chromosome:ALNU02000000:11:21732836:21736677:1 gene:OGLUM11G18250 transcript:OGLUM11G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKNKRRISRHRGDATASAPAPTNADWEDATAAFREEAEAALRSLSIGEDDGAAAERLAARHGGSPLAHHVRLRGVRADAEALTGVSHRATVPPPPSRSRQSALPDTDDEVWSFLTVRVEDLTAHCAKIGSSAGALAVADAVEFVKATNAWVYWLCPVCDEVFLDSNSFQSHVESEYIHQLKQLLPLMQPSTTMKPTSKDSSWWKPIGAQEEEEEIARIRGAFSIVNGSEVSYLGCVAFSILDGPEIPSIDPMSFSSLDEPEVSSVEPVRNEAKVKKGRRRRLKKWDAWLDHCREIERDRPSWEELLLPLCKEMPELWEHLESCVETEENESSFPLISLVQDGSVLFLDSQKIARRNMDGSINVDALFNWLLRGSSLQKPAPSWTSIRKRCVHDGNEVLKKIGEISDLLQEQFGLKDHSEGTMHGDFFTTKVNSIDVEISHMFAEVSFLRKKLVKVCTFDYRIVILPFIKDYLWAKLNNGSPGKELHDMDDIYEEYVVQEKSQDTDSDLDHQISRTEEFENSSLSFSDSSDLSTLETESTSFNSGIGTVHQITTDELSLNVTPDESTSSGRYHILFIIYQSETTALRVYYAKYSMLGITMKTTQQPSFWVMRELLLVILNDRNFDYKENKNIASEIISIIIEILHMSQKHYSFETFEPVEISPSRCFGYCVPHQVLGTYFKQKKCNCVNKPGGENDFIAIFHTVDVGAVQKTEMKSFGDILKAAELDVESCRCGNKTEGSLLFPPHIFTIGRVLPQLTMQC >OGLUM11G18260.1 pep chromosome:ALNU02000000:11:21739182:21752437:1 gene:OGLUM11G18260 transcript:OGLUM11G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPDAAGSEGGEEAKVVVITCFAEFASEANLDGDDGGGDAGREYRRGKREDELKRRWISSVLAMAGREVDAGVVDPADGFYYRSVYPLHDSSRDLRHVSKTYPWHDFYNMSNLSPTPLGPMDHWNNCNYKGDGCKEEPYAMLQIFDIKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYLFNRSRENPVIITPAYDSLPLMSPTRGMSMWEACLIETDIRIKVQGEDAARDLTMVDGCVDIQEHRCGFDSHFKNRIDGELGAVLTHSMVIQAAVEATVQLEFRRLPAAAAGGGDFRVRMTGYTRARPSDHHPDNTYVFAGDGRELDEIVVLAAGELEHRFVAAVRLGDTLELSFMEEGREPLAFLSARHDSEVKPYRFQNGALVLVQVSCVAGGGGEEAKAVTTCFAEFAREANLDVDDGGGGDDEEEREYQRAKREDELKRRWISSVLAMAGREVDAGVVDPAILYESSRDLRHVRKTMDWHLFYNKHVLSPTPLGPMDHWNNCRNKGDGCKEEPYAMLQIFDVKVLPFTLDVTRPVEVYGIIAVRDDVDEYRRNYIFNRSRDNPVLITPAYDSLPLTSPTRGMSMAGACLIETDIRIKDNLCSSFDYHSKIRIDGELGAVLTHSMLIHDAVEATVQLEFRRLPAAAISAARPSDDDHPDNTYVFAGDGRELDEIVVRAAGELEHRFVAAVRLGDTLELSFMEEGREPLAFLSAKHDSEVKPYRFQNGALNAIVNGIALESSSSSLPLRLFPFALEGNHRR >OGLUM11G18270.1 pep chromosome:ALNU02000000:11:21752434:21752799:-1 gene:OGLUM11G18270 transcript:OGLUM11G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDNLDGDDGGSDDGREYRRAKQEDELRRRWISSMLAMAGREVDAGVVDPDDDFYYKSLYVLHESSRDLRHVRRRKGEICIYVIAKTGFDKMPLLNAIIDGVRYNVIVDGIALRSSSSSL >OGLUM11G18280.1 pep chromosome:ALNU02000000:11:21754555:21755788:-1 gene:OGLUM11G18280 transcript:OGLUM11G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQLMAAAAAATRCARVAELAPPGLGNSGASKGCSAAGPGGSTTAMAARGLRTAVTSRAAGDGRQQRCRWQPWLWLEAAAATPMVAAAVADGPEAEVLELVAAGAISSVFLCWSSGGRSRLAAAGSDASLLLGCVLALSMCGWWYIFFLFPGYDSPGL >OGLUM11G18290.1 pep chromosome:ALNU02000000:11:21769350:21773171:1 gene:OGLUM11G18290 transcript:OGLUM11G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELASGAVSSLLVVIRNEAALLGGVRDDVQFIKEEMESMNSFLAHLAKSAPQGGEHNEQVRTWMNQVRLLAQDCNNCIDLYLYSGNPEIHRAKGRLRRYLWWAYWYLRKMIARHRAAVQLCQLKDRARDVGERRLRYGVEVPATTKAAAPDAASGYAAGDDEDEENYEHQLAVATAGHHSARRAVYEPPALEDYVNEKLLEWAKELPIRAIETLSIAIVAPDTDNKEVLALAHEILVAPGYYYRRSIVVNVPAVHAHFLPLRPKEVLYYILRELEHGEGAGSQKQATDQGDPWQDYYNIYRGKKRVLRKIKRNIEKMNIYKKLDKIKSDIQHGQQKSDKQLPLQLQKKGVDQVDLHVLFQQLLLQSQQDQVKNKAVDIFKLLEWNDNNIMKIARKLKKHMETDEETKEVQIEVEEETTKQGRRGKRGEDEEDEKQKEKGEERKEIANSKTQQQDKSEAKQVTKTATTTLDEERIKQMINEAKEDVFRELRGGKPDKNQATCEPDVPPDKNQATGEHAGVMDHNGEAYFTEIEQKIDKIKQKFKKQLKIKGIVDKIKHHLIEYMIKHDLPNECPLIILKFDDMMDGSRWEEFRKALSLLECSADALIFTTENTEQAKRYSYPPREPIDYSLVGLYHYTVLELTSKHKNEDNCSPKIFRDILEECEGHEFCMKIFTHALYANPKRSNEELRKLHSTLQDSQKSFDAIAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSTLIGRWVAEGLILKEDWPSSVRQANRCFDALIRRYLVCPVDIGGTGKVKSCAVHDPVHGFITTIARKQHIVETRLSHHLARHFSIFNDLQLRRSDGIHTFFQSLSRSSRVSLLKVLDLEGSKYFGVKNQRYLKDICSKMLLLKYLSLKKTEITQLPSEINCLRELEVLDIRETKVPANATVNVLLLKLKRLLAGHIDSSPRNSGTSVQIPHRIDKMVNIEVLSNVKAQRRDDLEDIGKLWQLRKLGVVVDDKRGHLGNLLKAISNLHECIRSLTITISTTTHKDTPSNPELPDHIGSDLPHPKKLESLSISGARHLFPLLIKSDNNKLAKVTLSSTPLNQDDLEVLAKLPKLQCVRLQHISCIESKLIFKKENFKCLKYLLIEGFNLTNITFEDGSACELEKMVLSSTSIESISGVDMLPKFKELELNNSHVPNEVKEAVENNKRIILKCNEP >OGLUM11G18300.1 pep chromosome:ALNU02000000:11:21769380:21769886:-1 gene:OGLUM11G18300 transcript:OGLUM11G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCHRKLMFIVFFVFIISSSIATCCIRCSSLGRGWNLHAIPQPSLADVPRPVPVSPPEVAPESALGTVDLRVPAVEVEIDAIVAVLGEQPHLVHPGTHLLVVLAALRSTLRQVRQEAVHALHLLLDELHVVPDTAEKSRLVADDHQQ >OGLUM11G18310.1 pep chromosome:ALNU02000000:11:21774482:21777232:-1 gene:OGLUM11G18310 transcript:OGLUM11G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTWWRMCVPNNSSLQFFNIVDHAKTAIDAR >OGLUM11G18320.1 pep chromosome:ALNU02000000:11:21780396:21788543:1 gene:OGLUM11G18320 transcript:OGLUM11G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALEEDELALDSLRLARDLAPSDLGIAFTLAKRYAAREQFDLAVEECQRALGRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALSKIAIPMARDRWTGMSEETRRSFLTVGIDEMVAYYCAKPSDECQMSVLTGALDFVKDNREWICWLCPQCEMTFLTAKTFQLHVEDDEFSRSQEFKESLLFVPERISDEQTEFIKCWTLPSDVNPTEEAEGEKILTKIKSTFQYLKDQKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLLLGTYLDLLRLRVGDAEQDSRDNFGGGVVQDACVLSIGTDENVLRVTDGSSNQDALFSWLSRPSRQDPFTSWDNMRQACLDKGTHALGKLNGRAAALIEKVNLKRGLKATQSYEAYFGEKAKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFLWAKLCNVPPKGVSSSEDDKVSEASIENRDPVQEDINVSISSVLNQSYKKMMEKLPRTIYRVEIQLCPTVMVSKSFQAIVLQSGKKLELPPRANTSTLGSSETPMEKANKTSSPSDYSGSNEGRANISSNGVTGTAYPNSENELKSLFSALLSLWHLRPFTDKFMKKAPLYPHFGVSGKDRNCMLCYLFHIFNAFSDKSDSTATYRLSCLRPSFIKILEEANVSLKEETNLAVKYIEIVFNMVHTSETAKCISNNSKNILYKTTLFSSCPDHRCLSHELFGMHKNATESTYFLNVGASELRNIEMNTFADVIKSVDKKFHCNTESNAHNHPPRYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYTMVSAVFRAEGRDICLAREEEKWLEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEVTV >OGLUM11G18320.2 pep chromosome:ALNU02000000:11:21780396:21788543:1 gene:OGLUM11G18320 transcript:OGLUM11G18320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDSATPGFRARVKDLTGPLPAEREGVDVKEERLHEAVELAVDFHSALAYHVVGYVRAALEEDELALDSLRLARDLAPSDLGIAFTLAKRYAAREQFDLAVEECQRALGRGDADLVDPQLNAVFESRHLEPSKEARISTAKNGLKQLLISALSKIAIPMARDRWTGMSEETRRSFLTVGIDEMVAYYCAKPSDECQMSVLTGALDFVKDNREWICWLCPQCEMTFLTAKTFQLHVEDDEFSRSQEFKESLLFVPERISDEQTEFIKCWTLPSDVNPTEEAEGEKILTKIKSTFQYLKDQKALSVDLFDNLIKFTKNRIEEAVTQNYSCITSLDPGGLLLLGTYLDLLRLRVGDAEQDSRDNFGGGVVQDACVLSIGTDENVLRVTDGSSNQDALFSWLSRPSRQDPFTSWDNMRQACLDKGTHALGKLNGRAAALIEKVNLKRGLKATQSYEAYFGEKAKIDIEIMQLVAEVDNLKKNLLEVCTYDYREIILPAMKDFLWAKLCNVPPKGVSSSEDDKVSISSVLNQSYKKMMEKLPRTIYRVEIQLCPTVMVSKSFQAIVLQSGKKLELPPRANTSTLGSSETPMEKANKTSSPSDYSGSNEGRANISSNGVTGTAYPNSENELKSLFSALLSLWHLRPFTDKFMKKAPLYPHFGVSGKDRNCMLCYLFHIFNAFSDKSDSTATYRLSCLRPSFIKILEEANVSLKEETNLAVKYIEIVFNMVHTSETAKCISNNSKNILYKTTLFSSCPDHRCLSHELFGMHKNATESTYFLNVGASELRNIEMNTFADVIKSVDKKFHCNTESNAHNHPPRYFTTAFGYPSENDSHLVSGLLVSIAAPLDINPVYEGLHSECKYTMVSAVFRAEGRDICLAREEEKWLEFDSWEKVLEEYSRSSFCPQIIFFERIDPVSEVTV >OGLUM11G18330.1 pep chromosome:ALNU02000000:11:21789735:21818125:1 gene:OGLUM11G18330 transcript:OGLUM11G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKDVRKAARAVLMRDYDGDHEGALERAGKLARDHPGSAIALRLVGDLNHAAAIRARKVIELGGCLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMADKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAVVDARKKAKSMADAMPNSARAQCFHGLMDLNFVHLLDEAIDKRSALRRSTLAIVDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGDNKGDRLITHVSEFHELINKIVRMANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGGKHNSYRFWVCPLCGSGSSSKKYTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFDDDEYSFNKVIFSQDSDQRDIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSRSDAAQKMNGNHQESMVKIHVANPFTGKKRFILLYLSSDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNENGAPHILVSSSQFSDETTQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLKPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPIICEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRFMSCCSGGGAGSGKEGSAEDEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRVLAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDKSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKTFLFYMISSYALRTIASLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKLQAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLVSPVSCRDCICRTHNLFGVQLQMSCRCGNSFDEKEHTTVFYKLHAGSPQTTKIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKDCTLQPEVLFFEVIK >OGLUM11G18330.2 pep chromosome:ALNU02000000:11:21789735:21818125:1 gene:OGLUM11G18330 transcript:OGLUM11G18330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKDVRKAARAVLMRDYDGDHEGALERAGKLARDHPGSAIALRLVGDLNHAAAIRARKVIELGGCLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMADKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAVVDARKKAKSMADAMPNSARAQCFHGLMDLNFVHLLDEAIDKRSALRRSTLAIVDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGDNKGDRLITHVSEFHELINKIVRMANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGGKHNSYRFWVCPLCGSGSSSKKYTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFDDDEYSFNKVIFSQDSDQRDIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSRSDAAQKMNGNHQESMVKIHVANPFTGKKRFILLYLSSDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNENGAPHILVSSSQFSDETTQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLKPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPIICEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRFMSCCSGGGAGSGKEGSAEDEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRVLAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDKSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKTFLFYMISSYALRTIASLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKLQAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKDCTLQPEVLFFEVIK >OGLUM11G18330.3 pep chromosome:ALNU02000000:11:21789735:21818125:1 gene:OGLUM11G18330 transcript:OGLUM11G18330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGKDVRKAARAVLMRDYDGDHEGALERAGKLARDHPGSAIALRLVGDLNHAAAIRARKVIELGGCLGRAAERDASAHLAAARDALSEARRLVPDCVGIATALGDVLVGSSMADKAEQAYTSALAIPLPVDPALHNAAYGLHGRDRTTVNARVKDAREKANLAYGRFKKKVVDEWVAEMLQFLRGDLLRKNPRASAKEILKAQRDAVVDARKKAKSMADAMPNSARAQCFHGLMDLNFVHLLDEAIDKRSALRRSTLAIVDRAAERFPKSLVIASFRAKLLYILGDYDAAERDCRRGYTMKNPDDPADDCIPPGSIGGDNKGDRLITHVSEFHELINKIVRMANLYWNSMTQEQRGEFLSVRFDELQEDYNKVDRSSFTMSDVLRFGGKHNSYRFWVCPLCGSGSSSKKYTDTVSLLSHMCSKHQRAVLPRLRSVLDQELDRSAFDDDEYSFNKVIFSQDSDQRDIVCFEERDQMFKWLFDKPSSGVRTLALTELIETKRRTGALLLDNIKEKLKTLATDKSSTEFAEALPGIQESWIKFVKETAVDYRALILAIGRSLLWRKLKKCMSEDPEVGARRISAADIDEVFVIVAYNSGSSAVEDKTEAHMSSRSDAAQKMNGNHQESDFHAENRSSGTIVDMKPQDPPTNMEGNGNKLDEQMEKLDIDPNSVRSSAIPQSSTPNENGAPHILVSSSQFSDETTQISIYQKSVDVLNQNSEDIFFLHLIIQTMWNLKPFRDDFLKRPPASFQLRHNGSCFSDIFYDIFSAWEKNDHHETYYSLTSLKNNLCQTVNLRAGKYFASVILDLVLDELHVSEAPLHFYFNNKIQGQVVSPIICEGCICRAHVLFGMRFLVRTSCRCGACFDEGKYSTLIHKLDAGLPQTPKIKSFADLPVAIDERFWYLQDECQSCGNLKSVGHFLLNTPHFFTVVLKCTDSSKSHVSLSELLVGCTSPPDITLATKYTLASMICCSDGQYVCISRDQNKWLIYDTKTIEAEDSWERLVQRFTDSELIPEVIIFEAAGILRLHHEGGFAAAMARAVELGLKHGGSALVLNLVGTLHQVNYTACRFMSCCSGGGAGSGKEGSAEDEEEEHKRAALSAFAAAAWLAPNCVDIAVSHAEMLSEVERYEEAYVELLRALGISDPADPAAHDVVYDVCDGETTLAERLGKAKVRTHRAIERLAELICARFIPAESVRVLDGIKLGGDAAARARARAKHLATTYPFAPRAHLLRAHVDLERVRGLDPAIDKRRFLRRTLDMVQDTAYEFQRSLVIALFRAKLMFVLDQYDDAECECHRVLAIESPFDPVVDDLPPGSVSGADYDARVCFVRNQLRTLIKKIIFSAAIYWRTLTSEDEDSLISVRVKPLIQLCNRTDKSSAKTITDAVRFFKGNNSWSFLICPLSSRCDGRKFVDTSSLWGHLCNKHPEGHWRKLQSVLGSKLSENTSVGDCSLEWITFGQDSEKHDIFRLIKINDMFDSLIRLTAGGTEPDLVEMRTEKCREGAEILEGIKKRLGTLPTDTSSSQGKLKKRMAGDPNIVGHISASKIDPIFDDAPSARCRNVSVGHDSNPSDANKMGTASQQNLKTSFSNETLKSGKDHQESEVCVENGSSGAKVDTPMDVEGIEMEIAEILANMEQNLQLEETDSKSTEEMSSTTGNENVDVNKEITDKDLFILHPIIQSLWNLRYLRDEFLMGKPAWILNISGNCCIADLIYGIFSAWEKNEHDRVAVLLASVKSSLCKIANDNMFQKTFLFYMISSYALRTIASLDWFGGSEDQGRLSELLVGIAHPLDIKLLCKGVRFSANYSLASMISYADGRYVCFARNQDKWLICDAENVEAADSWELLLERFSVCRLQPEVLFFEVIKGSRAQRKEAAELERRRLEAERALRREAVAALRMYREEGRHDEAIARAEELAAGHPGSAVAAHLAAVLHHDATNRAVDGASGQQPSAAGKHLHPARDFYIRAARLAPNCVEIATSLATVRFACLDDDDADLDIRRAVTIDYPTDPADNNVAYDLDDDDGATPKDRIANARAAAIERYNLIMAFVIAKVIPRAVRGVLDVAEREGAAKAVKPAKALAARYPYSARALFAHAHVDVEFARGLAPGIDKRPFLDRLLGELNGEALRFDTSLVLAAFRAKLVFLLGSYVSAEGECSRGLHMVGAADPADEDVPPGSVPGENSEDRQSAVRVELGRLFQKIVLATKDYWSSLPREKQDRFRFAGFNSMHQHYAKNYDDTHEGAKTISDALSFVRKNRSWRFWICPYCVGKKIPDTDSLLQHMRNKHPEGGVWLKLLSILDPKSVDSSEGDYFLDDVAVCQDSEENYVLRFERMDHIFKYLFLRATGTVEHKQFSELRETKCKEGIEILEMMKPKLKNVPTDISSSEFNEACAEIQDMWNDFLEISVLDYRVVITPLAICFISEQLLLSMSNDEKAASKSIDAADIDALFPNVDDTPDIDAIFPKVGDAPSAADTSKTGEDMASTISDESIYVLEKDNTDKDLIILHVIIQSLWHLRFFRIDFLRERSVWILCINEDHCIADQLYEIFSAWEKNENDRVAVFLTSMKASLCKIANDNMFQKLQAGKLIASEAVAMILQGLHMSGTSFHFEFNNDIEGRLIKSFAELPVLYDEQSCFEANCEHCGSPKNTDVFPSNTPHFFTIGLDWSGGCENQVELSEVLVGIAHPLDIKLLCKGVHSSANYSLTSMISYADGRYICFARDQDKDCTLQPEVLFFEVIK >OGLUM11G18340.1 pep chromosome:ALNU02000000:11:21825601:21825797:-1 gene:OGLUM11G18340 transcript:OGLUM11G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRPQQQLGNGRPQKVPCEKKSVRHMNFPSPCGGVGLCDRTWILCDGVLC >OGLUM11G18350.1 pep chromosome:ALNU02000000:11:21827849:21829321:-1 gene:OGLUM11G18350 transcript:OGLUM11G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKX2] MENGKCNGSSTTKCNGAAAAAMHVAMLVTPGMGHLIPLAELAKRLAARHGVTSTLLTFASTASATQREFLASLPPAIESVSLPPVDLSDLPADAAIETLMSEECVRLVPALTAILSGIRERRRLVAFVADLFGADSFDAARDAGVPRRYLFFPTNLHALTLLLHLPDLDVSIPGEFRDLDEPVRLPGCVPIPGKDILMPLQDKSRACYGWMVHHGTRYRDADAILVNSFDAVEPDAARVLRHPKPGVPPVFPIGPLIQTHCAGDDDAAAPPSPRAACLDWLDRQPDRSVIFVSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGEVSANYYDAETKKNPFGYLPEGFVERTKEVGLLVPSWAPQTKVLAHRAMGGFLTHCGWNSVLESLVHGVPMVAWPLFAEQRQNAVMLTEGAGAAIRVPESKGKEKIAAVVREMMVGEGRGAAVRAKVAELQKMATDGLRDGGAATSALDEVVDKWTGGEK >OGLUM11G18360.1 pep chromosome:ALNU02000000:11:21847080:21852564:1 gene:OGLUM11G18360 transcript:OGLUM11G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSRTSWADVADADPAPAPAPAANGPARPDRSSYVPPHLRNRGASSGGGAAAPSPSSAPPPRAAPGLLAPRPAAAGGMGRMGGGGGGGGFGGPRRWDREPNPFGNDGDAAAGAGDEPEVFDAHQNTGINFDAYEDIPVETSGREVPPPVGTFAEIDLGQALNDNIRRCKYVRPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGPPAQRPQRGGMRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPINQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPLPGARQTMLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTDLIVQRVEFVQEADKRSHLMDLLHAQRDSATPGKPTLTLVFVETKRGADSLEHWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNENNSSMARSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGSRFGGRDFRRDSSSGRGGGDYYGGGSSGGAGGYGGSSAYGGGGYGGGAGAPSAWD >OGLUM11G18370.1 pep chromosome:ALNU02000000:11:21921227:21922494:1 gene:OGLUM11G18370 transcript:OGLUM11G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >OGLUM11G18380.1 pep chromosome:ALNU02000000:11:22064506:22065081:-1 gene:OGLUM11G18380 transcript:OGLUM11G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPAMHFLLELESQEPVCLLILFTMFTWFISKVQMILPSSCQHCNCAVTKVTSTPVLADRKISKNLSKHRDDGIELTHEDVESVMTKMGLDFDHGRTMVYKAIGSNCMSELFDDDEPSLDEVKQAFLVFDEDNDGYIDALDLYRVLRNLGLREGVGVDECEQMIAKYDINRDRRIDMVEFIRVLEASFC >OGLUM11G18390.1 pep chromosome:ALNU02000000:11:22068428:22069617:1 gene:OGLUM11G18390 transcript:OGLUM11G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPAVHLAVVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQLQLQTVCSISTMIHKAAAVIAGEAEAGEVNGRMELELTDYPGSGANDRHSPWGQERRN >OGLUM11G18390.2 pep chromosome:ALNU02000000:11:22068488:22069617:1 gene:OGLUM11G18390 transcript:OGLUM11G18390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERVPAVHLAVVLLAFCCLIHASSSAETPLPPALKVMQRFEATPVSSRGDDQLQLQTVCSISTMIHKAAAVIAGEAEAGEVNGRMELELTDYPGSGANDRHSPWGQERRN >OGLUM11G18400.1 pep chromosome:ALNU02000000:11:22071916:22077735:1 gene:OGLUM11G18400 transcript:OGLUM11G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHVVVNVEGLGNDGGAAEKPSEAVNSSVVAAASFSTTVDTVEEGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKDSITAISYDGDKIVHPYGSIVYWDVNYPPYAHRACVQRWCNEKGDITCEICHEQYKHGYTAPPRVEPDDTIIDIGGDWARDPRIIAVAAAQRRLLETEYDEYAGTDASGAAFCRSAALILMALLLLRHALSISDNEGDDDASTMFSLFLLRAAGFLLPCYIMAWIFSILHRRRQRQEAAAIAAAEVAFILQSAQGHALQFTIAPDSPATPQHEPQQQQPQPQPQQ >OGLUM11G18410.1 pep chromosome:ALNU02000000:11:22081313:22085725:1 gene:OGLUM11G18410 transcript:OGLUM11G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKX9] MASSTTASSQLALALGIPGLGGLLIPTDAATTTTTTAEGNDLHADASHPVLRLAGAVQHYEWGGHRGDSLVARLAGGDDGGEDDERPYAELWMGTHPAAPSSLAASGESLREWLGRRGPAALLGRDVAARWGGDLPFLFKVLSVARALSIQAHPDAALARALHALRPATYRDDNHKPEMAVAVTDFRALCGFVSVQELKDVLRTVPEVRELVGKEEAVKLMGAKEHDGGIGVRSYLQSAFTNLMTASKETVSEAVSKLKSRLNIESKVRTLTKKEQLVLSLEMQYPEDVGVLAAFFFNYVKLNPGEALYIGANEPHAYLSGECVECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQNYPEILRGVPVQAYVTRYIPPSEEFEVDRCLLPSGESVTMSPVPGPSIFLVMTGEGKIEADSMLDEGKAKEGDVFFVPAHTEVRILASGPGSMQLYRAGVNSRFFS >OGLUM11G18420.1 pep chromosome:ALNU02000000:11:22164020:22167569:1 gene:OGLUM11G18420 transcript:OGLUM11G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVVVAAVLVIGHDHPIAAAAAAAVPDVWFNCGATNYTARGAYESNLERLITGLAKNASTPSLFGKGAAGAAPDTVYGVALCRGDLPNASACGDCVAGAYQVARRACPLAEDVVVADDAGCQLRFSGHDILSRGSNSTTTTTTNADDAMVLMNTENITQPMLPGWDPGNADSVAIITNIIKVLVQETARTAAYNSSPSPPATTTYYATGRMDVSATFPTLYSMAQCTPDLRPGGCWRCLQSINDMTTRYFAGRRGGRILGLWCNFRYETYPFYKGQPMRRIGSSGTDAVAPAVAANAAASPPGEKHKRRRRRSKVMAMATIFPLLGSFCCVIFCFGLVKRYKKGEVSLQGDMNMQTDEEALAWGREACSSEFTSFKLSQVLDATNNFSEDNKLGKGGFGPGQFSDGSEIAVKRLVASHSGQGFTEFRNEIQLIAKLQHTNLVKLLGCCYQGEEKILIYEYLPNKSLDFFIFDERRRVTLNWNNRLAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDCEMNPKISDFGLARIFSSNDTEENTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIVSGKRNSGFHQCGNFFTLLGYAWQLWKEERWVELLDASLATELHAFQMMRCINIALLCVQENAADRPTMSDVVVMLSSENITLPKPNHPAYFHIRVTKEEASTALESPSLNDVTMSILCGR >OGLUM11G18430.1 pep chromosome:ALNU02000000:11:22177378:22184342:1 gene:OGLUM11G18430 transcript:OGLUM11G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGGGGSAENRGRSPLAMVVAVGLCCFFYLLGAWQRSGYGKGDSIAMAVNRQTAACGGVGLSFETHHGGAGVENETMAAPAPEFAACAAAMADHTPCHDQERAMRFPRENMVYRERHCPGDGERLRCLVPAPPGYVTPFPWPRSRDYVPFANAPYKSLTVEKAVQNWVRHEGRLLRFPGGGTQFPGGADKYIDQLATVVPFADGSVRTVLDTGCGVASLGAYLDARGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSIKLPFPPRSFDMAHCSRCLIPWSANGGMYMMEIDRVLRAGGYWVLSGPPINWRTNHKAWERTEADLAAEQQLIEEYAAMLCWEKLAEMGEAAVWRKRPDAAVVSCPTATPAPPRTCDAAAASPDDVWYKKMEPCITPPQAAGEVMLRPFPERLTAVPPRVAAGEVPGLTGESYAEENARWERHVAAYRKVNYRLDAGRYRNIMDMNAGVGGFAAAVFSPKSWVMNVVPTAAELSTLGVVYERGLIGIFHDWCEAFSTYPRTYDLIHGNGVFTLYKDKRCKMEDILLEMDRILRPEGTVILRDDIEVLLKVQRIASGMRWKMIMANHEDSPHIPEKVLYAVKRYWTADDKSSEPEPEKKKMKKKAGSSSQEKSSEEEEESTASSSEDKGSEE >OGLUM11G18440.1 pep chromosome:ALNU02000000:11:22182060:22186541:-1 gene:OGLUM11G18440 transcript:OGLUM11G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFVVVVAMCQLAGVRITQVCGNQNLGMVADTESSGSLGGSSNAASDKAVDGSLDKRSQEKAPKKTHKAEREKLKRDQLNDLFVELSMLDCAIPILPFYDPKKNGSYTVQFAKISPYPERQNSGKATVLGDAARVLRDLVSQVESLRKEQSALLTERQYVGSEKNELQEENIMLRAQILELHNEICARMGNNHLNQSNLAMSQPVANNGSNSATQPVPHHIWGNGPNLAMVHPTNTLSPLHNQHHQSAGASQVYASRPQELQLFPGTSVSTERERSRAGSGSTPATSSGLTDSLPGQLRLSLPQSSQEESSSGSKKGRKKG >OGLUM11G18450.1 pep chromosome:ALNU02000000:11:22207172:22213290:1 gene:OGLUM11G18450 transcript:OGLUM11G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDGTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGLSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSILYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCREVGDCCRTMKQQGAFSVSRCHVIRLAFVRMRMQKRQSIPDIYLFIR >OGLUM11G18450.2 pep chromosome:ALNU02000000:11:22209443:22213290:1 gene:OGLUM11G18450 transcript:OGLUM11G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTRQTTSVPMDNAAVVDAKPLRTLTPMFPAALGLHTFTAKENSSSIVCITPFGPYAGGTEQAMPASIPPMFASPAAPADPNQRQPYAVHLNGAAPANGTANNTGVIPDLQIAVAGTVESAKRKRGRPKRVQDSSVPSAHLVPSAPGGNITAVQTPPSATTDESGKKKRGRPKRVQDVPVLSTPSAPQVDGTVFQTPASAVNESVTRKRGRPRRVQDGADTSAPPIQSKYNEPVLQTPSAVTLPEDGKRKRGRPKRVPDGALIPLSHSGLSIDDDSGEIITGKRGRPRKIDVNLLNLPSLFSDDPRESVDNVLMMFDALRRRLMQLDEVKQGAKQQHNLKAGSIMMSAELRANKNKRIGEVPGVEVGDMFYFRIEMCLVGLNSQSMSGIDYMSAKFGNEEDPVAISIVSAGVYENTEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNQIRVVRSVRDLTCPTGKIYIYDGLYKIREAWVEKGKTGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILRDISYGAESKPVCLVNEVDDEKGPSHFNYTTKLNYRNSLSSMRKMQGCNCASVCLPGDNNCSCTHRNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGSQIHFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEVIDRNSIIGEDDYIFETPSSEQNLRWNYAPELLGEPSLSDSSETPKQLPIIISAKRTGNIARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNVQLGINSGCRKSKNCLCWSRKCREVGDCCRTMKQQGAFSVSRCHVIRLAFVRMRMQKRQSIPDIYLFIR >OGLUM11G18460.1 pep chromosome:ALNU02000000:11:22215866:22217678:1 gene:OGLUM11G18460 transcript:OGLUM11G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVAEQASEAGCPTSGGGGSVCAATGIPKMVHNGAGNETLDRGRGGAAMVGAGLAGVVVAAVVAVVVGAVAGAGWWAPRRGLGWRGWSARWRAQASCWSRPVVWWSAPPRGLVPATSPSSVPPPPPSNSISSRTYVGSLTTSTTRTSRSTLPS >OGLUM11G18460.2 pep chromosome:ALNU02000000:11:22215866:22217441:1 gene:OGLUM11G18460 transcript:OGLUM11G18460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWVAEQASEAGCPTSGGGGSVCAATGIPKMVHNGAGNETLDRGRGGAAMVGAGLAGVVVAAVVAVVVGAVAGAGWWAPRRGLGWRGWSARWRAQASCWSRPVVWWSAPPRGLVPATSPSSVPPPPPSNSISSRTYVGSLTTSTTRTSRSTLPS >OGLUM11G18470.1 pep chromosome:ALNU02000000:11:22219925:22220227:1 gene:OGLUM11G18470 transcript:OGLUM11G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVNPRTNHGEVKRGQRTFLTEERRKPVSSDGGVVPCDGVSSRDDGTPCRGGATAGVQAYGDGGATFDVRSADAGSRESSPSREPRRQYAAQMITRMKQ >OGLUM11G18480.1 pep chromosome:ALNU02000000:11:22220665:22225200:1 gene:OGLUM11G18480 transcript:OGLUM11G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BKY8] MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVMYSLITLFELTFASIIQWLPFWPSMKLIFICWLVLPYFNGAAFVYQNYVRPMFVKHQMVNIWYVPQKKGLFGKSDDFLTALDKFIEENGPEALKKLTNKAGKSSKQSGKSWKDSKSSKESKDLKTSKESKEPKPSKDSKQLKPPKVSKESKPLKDSKEDKKAVKEDKKAAAKDSKEQKKALKDSKELKKALKDSKEQGSQKDSDELKPKSNKRVTFAEVEPEKELKASNSDWHPTSEYHSVYPEHNSWSSSFMIFEDENSYWN >OGLUM11G18490.1 pep chromosome:ALNU02000000:11:22227056:22227934:1 gene:OGLUM11G18490 transcript:OGLUM11G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPGPRWKKGKDGKDFSALAAANPMSVIVAELKASFISSKPVAVLSGPGGGAILGVEPEQAVILNCAAFGHAVEIAAAQKHWFQLSPEEVFYLCHVLNCIRVESHDKKQMSDKQLWNHFRSMSESFPEMYKAYSHLRLKNWVVRSGLQYGGDFVSYRHHPALVHSEFAVVVVPEGAAFGNRCGRMEVWSDLLCALRASGSVAKTLLVLTISSGSCELSSPDCLEQLVVHERTITRWIPQQCREQRSEASRDEANREELISKKESVEFNFWGVILGFSVLSSLLVYKLKFRQ >OGLUM11G18500.1 pep chromosome:ALNU02000000:11:22244740:22245939:1 gene:OGLUM11G18500 transcript:OGLUM11G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSIHHARVLIRQRHIRVGRQIVNIPSFMVRVESEKHIDFSLTSPFGGGPPGRVKRKNQKKASGGGGDGEEEDEE >OGLUM11G18510.1 pep chromosome:ALNU02000000:11:22259375:22260683:1 gene:OGLUM11G18510 transcript:OGLUM11G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKTKDSQKEKKRKHLKNHRVYQISCALCYGPQVKHQGKVLQEHFICLFRALICSPLILSLVKKAFLSSNFSMNQKIKAMKILPPVQNQLDNLKIALIIEQG >OGLUM11G18520.1 pep chromosome:ALNU02000000:11:22265139:22266437:-1 gene:OGLUM11G18520 transcript:OGLUM11G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDQRRKFLLESASSSSEEVVSRSRNLAVESSAVAVTAAGVRKGEEVEEEELLNRRSKKNKVAGDSGNSGTGVWDSEMQDADQNGEANTSELIGAIGRELAITCLLHTPRSYYGMIACLNRSFCSLMRSGQLYRLRREARIVEHMIYCSCNVLEWDGFDPCRQRWFNIPSMPPIECFTLADKESLAVGTNILVFGKKVEAHVVLRYSLLSNSWTTGDMMNSPRCLFGSASFGEKAIVAGGIGDNGTLSSAELYDSEAKTWTTLPSMNRARKMCSGFFMDGKFYVIGGKADNHNEILNCGEEFDLEKGTWRLIPDMASGLNGGSGAPPLVAVVNNELYAADYAEKEVRRYDKVNNAWITLGSLPEKYTSVNGWGLAFRGCGDKLIVIGGMSAPGGGVIEICSWIPNNGQPDWKIIGSRRSGSFVYNCAVMGC >OGLUM11G18530.1 pep chromosome:ALNU02000000:11:22281259:22281615:-1 gene:OGLUM11G18530 transcript:OGLUM11G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPTRMRAGASALSPWASSYPGPQAQSHPRRAAQKPMCSDVPELCLSFVEEHWWLYRIVFEVNSIFAPPSTIKLLDSCKPSPRPSLVVIVRVLPTAAAQRSLPFSLSVASHRTPLP >OGLUM11G18540.1 pep chromosome:ALNU02000000:11:22281773:22286766:1 gene:OGLUM11G18540 transcript:OGLUM11G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWRRKCFA >OGLUM11G18540.2 pep chromosome:ALNU02000000:11:22281773:22286766:1 gene:OGLUM11G18540 transcript:OGLUM11G18540.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWQNRFKLLPDLIAEA >OGLUM11G18540.3 pep chromosome:ALNU02000000:11:22281773:22286871:1 gene:OGLUM11G18540 transcript:OGLUM11G18540.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclophilin-like peptidyl-prolyl cis-trans isomerase family protein [Source:Projected from Arabidopsis thaliana (AT1G26940) TAIR;Acc:AT1G26940] MAALLRHAAAAAAAVVLLIAAAASTDGATSFYASDPNLGSARVVFQTTHGDIEFGFFPHVAPKTVEHIYKLVRLGCYNTNHFFRVDKGFVAQVASVVGGRTAPMNDEQQEEAEKSVVGEFSTVKHVRGILSMGRHSDPNSGGSSFSILLGDAPHLDGQYAVFGRLTKGDDTLRKLEQLPTRREGIFVMPIERISILSTYYYDVDLESCEAEKSILRRRLSESASEVERWQNRFKLLPDLIAEA >OGLUM11G18550.1 pep chromosome:ALNU02000000:11:22291966:22293268:1 gene:OGLUM11G18550 transcript:OGLUM11G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRSRTSSRLTDEQMAELISKLQAVLPTRGGEANAKASSAEVLQEACRYIRRLHREADALSERLAELLLLQPSDLAINGADVPDLIRSLLM >OGLUM11G18560.1 pep chromosome:ALNU02000000:11:22294603:22295669:-1 gene:OGLUM11G18560 transcript:OGLUM11G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNEQQEEEQRRARVPAFGEWDEMKAAGVLPDYSLDFSKIRAVRMQRKEGGPLTTWSSTAAAGVEVGGETERRSSSAAGVVVDGRDRRRRRHRRHSSDGGADLRRPLRHDRAAAPKERSKLGYLFCCIAG >OGLUM11G18570.1 pep chromosome:ALNU02000000:11:22299145:22303178:1 gene:OGLUM11G18570 transcript:OGLUM11G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >OGLUM11G18570.2 pep chromosome:ALNU02000000:11:22299125:22303178:1 gene:OGLUM11G18570 transcript:OGLUM11G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIDCRLTSSTTTTTTQEEQSAADDLSSLLSTSTSNEPSSSKKKRSSSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQIHVHDLYQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >OGLUM11G18570.3 pep chromosome:ALNU02000000:11:22299125:22303178:1 gene:OGLUM11G18570 transcript:OGLUM11G18570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPMELLSSKLLRSSLRLPPSPSLPSPSSRRLRRHAPIDCRLTSSTTTTTTQEEQSAADDLSSLLSTSTSNEPSSSKKKRSSSSSGASSIPTGVRLEGIAKSYKGVTVLRDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEDPDAGAVVKAKDNMRIAFLSQEFEVCASRTVREEFLAAFEEEMEVRSRLEKVQAALEGATEDMDLMGRLLDELDLLQRRSQDVDLGMVEVKIQKLMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQEVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYVLAKAIWVETQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGQSGRTVLTIRNLEFGFGEEKLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKTQGGEVLLGEHNVLPNYFEQNQAEALDLEKTVLDTVAEAAEDWKIDDIKGLLGRCNFRDDMLDRKVQFLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYQGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKIARKKQKMQAFQQSKQKSKSLKNAKRWK >OGLUM11G18580.1 pep chromosome:ALNU02000000:11:22305060:22306356:-1 gene:OGLUM11G18580 transcript:OGLUM11G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKPNRKRRKPRQDPSPDSGPGPDSDCSPPSSPTSLRRLLDPYSKPRLLALLSDAAATAGAGADDDPTLLARIRAAADASPSHRRLFVHGLPPHADESTLAEAFSRFGPLAECDVVARRATGACKGFGFVAFRAERLRAFFAGFGELEGGPFGFDADTGSSSRGCALFVYRAAEGARRALEEPYRVFEGRTLHCQLAADPARKSKPRAPPPPPPAPAAVASPLPALQPVLDAVVASGVGDLAKYAQNPAQAAALLGKNPILAAAVLNSALASTTAALKPNPAAASSINPAAVVARSSPVAAPAMKPLAPRSPAAAAAAVVPSPVKIGAGISGGAGLLGPYKPPSSPMLASSIGQRDQC >OGLUM11G18590.1 pep chromosome:ALNU02000000:11:22311422:22311772:-1 gene:OGLUM11G18590 transcript:OGLUM11G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEEGTTTTTVKKPAAEAVEVTGAAAEEIFTEQDDELQALVSEEFAKINLKNIKFGDWDYDDPTCCHMPSIDEICITKQQDELQALVSEEFAKIDLKSIKFGDWDYDDPTCCHMP >OGLUM11G18600.1 pep chromosome:ALNU02000000:11:22314017:22314517:-1 gene:OGLUM11G18600 transcript:OGLUM11G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAAAAAAASDEAAAAATVAAAAAEVVTGVDCANDAAAAATTVDGDGGDENSKQQQPSVNKLHMPQEYVDYILAWKKRPFPLPDDDDDREILSPEHRKMREGMAATCNELGDGFEEFQAEVRREVEEKGFYEVDESYFANQAEIQAQLKEGWAKIDWGDIVFAD >OGLUM11G18610.1 pep chromosome:ALNU02000000:11:22315605:22316177:-1 gene:OGLUM11G18610 transcript:OGLUM11G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASATAAAPTSDEAAATATAAAAGAVIITTGEAETTVAEEAAAETIIGGKCSGGEQKQPSAAGSAKKKMMQLPQAEIDFILAWRREPSPYPDDDHWALLSPEQLQLREEMAAIDKEFEDSFEEFQAEVRREVEEKGFYDSTRSTRATTPIRPRCRLSSRKDGPRSTGVTSIVCADWDDFNDPNCCRSLY >OGLUM11G18620.1 pep chromosome:ALNU02000000:11:22317570:22319401:1 gene:OGLUM11G18620 transcript:OGLUM11G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERKNGGRRETHMGPTCQRGHVSFLHLSLSSPFLISLFSFFAIRPAAGVGAAGARRERWRRPRRWLRRERKRGRRPVANGVDGTAWALKRWRGRTPLHHVGRGENDDGGSGWQHTRGRLAQQPAESIFGGGEEDMGERFPMLALLRMEPSVGRLTPEDFDPAVWARQRVVLVVAAVRASLHHPSHALRPGPKSFEASFPHLRLQGGDREKTWMRRKGSGQWSEEEEVAHIAAAAVLVHASQAGLRAGAITAVAFEEDSGRIYFRRAADDGGGGEDADAGAGETGRSAAAARWLCYARRVPHNEVFLL >OGLUM11G18630.1 pep chromosome:ALNU02000000:11:22319405:22319787:1 gene:OGLUM11G18630 transcript:OGLUM11G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGGVDYRSALPPCSRVVSAAAATSPAAGPPLPPRPVGLLHTRRPAAPASPEPREKSEREKRERRGERKRRLTRGAHLEDDLYPMTN >OGLUM11G18640.1 pep chromosome:ALNU02000000:11:22320084:22320452:1 gene:OGLUM11G18640 transcript:OGLUM11G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGGSASSAAAGRKVPLPKGYIDAIMALRVEERYPTAEELERLSPDERLAAAFRRESDDKFNKFHAEVRREVEETGVYMVDESYFARQAELQALIKEEWAKIDFSRVHVGDWDEEAGCYK >OGLUM11G18650.1 pep chromosome:ALNU02000000:11:22325573:22326109:-1 gene:OGLUM11G18650 transcript:OGLUM11G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGPEPKAATTVEVSDEEEVKAIAADAGSVTGEGKRLDAAAAAAATGSAAGGDDGEEEEKPPVMVPMPLNTIAAILSLKREPRPTPEDLARLSPEERDERLAFFDSLEEVNDEFEEFQKEILREVKETGRYMVHESYFTEQAEMQARMEKEWAKIDWTGVKFGDWDYDDPTCCQPL >OGLUM11G18660.1 pep chromosome:ALNU02000000:11:22330014:22330667:1 gene:OGLUM11G18660 transcript:OGLUM11G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELRDGAAAADGDGAGAAATDGGGCGWSPSGGGGWLRRMWTEPECLRRMGMEPELKDGAAAVDWDGAQAAVADGSDGWGRSPSGGGGCRQRMGEGGLGRALHHLGHKPRSAAAVLTVPEPNLHARSGRGRAVVEPDGAAEVVVTDLAEHRGAAAELRDGAAVTDWDGARAAVADGGNGWGWSSSGGGGWGRSPWGVADAGNGWGRMGEKDEKKGF >OGLUM11G18670.1 pep chromosome:ALNU02000000:11:22332540:22336600:1 gene:OGLUM11G18670 transcript:OGLUM11G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/FYVE/PHD zinc finger superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G22120) TAIR;Acc:AT2G22120] MGEQPARGAASSASESSASESASPLIPPPSEIDIEAGAGDQFQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHVHTDRKWRTLKFRFFVTRDILFIFALVQFVISALAYLVHFIDGLQNYWLRTAWGFDNEVSFYYICEYGMTWHSLVESCVFVAANQGCVQIAIYLVRFACGQIALHALRAVQQQLESVVVAWVVLEKQVIGIFYSVLVATMVGQRIWQRHYHILAKRMNMLWKMSMASARIGVRRHSLRSMSNS >OGLUM11G18680.1 pep chromosome:ALNU02000000:11:22336946:22345621:1 gene:OGLUM11G18680 transcript:OGLUM11G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGIIDEKKKDEDDEDDETDIFMVDGT >OGLUM11G18680.2 pep chromosome:ALNU02000000:11:22336946:22346208:1 gene:OGLUM11G18680 transcript:OGLUM11G18680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGKWYGLHD >OGLUM11G18680.3 pep chromosome:ALNU02000000:11:22336946:22346208:1 gene:OGLUM11G18680 transcript:OGLUM11G18680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVKSTLSNLAFGNVMAAAARDYQKEIVAKEKAQAASASHDEVDLDELLDDPELEKLHAERIAALKKEVEKREVLKRQGHGEYREITEGDFLGEVTGSEKHLKTLAPVYLGTKFIKLDAENAPFFVTKLGIKTLPCVILFKKGIAVDRLVGFQDLGSKDDFSTRALENILKMKGKWYGLHD >OGLUM11G18690.1 pep chromosome:ALNU02000000:11:22345630:22346130:-1 gene:OGLUM11G18690 transcript:OGLUM11G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSPAAAAGGDRGGGEAMTPEKKKMMVRLPQAEVDWILAQERERACDPEDFVGLRTINRRESVMAEEEIEETRAILLAAAALCAAASDAFAEYQAEVRAAVESRGYFEVDGDYLAVRARRQARLEEDWAELFADFELSDCEEDNQEEEEEDPGIRLKPTVDEHRL >OGLUM11G18700.1 pep chromosome:ALNU02000000:11:22350460:22354224:-1 gene:OGLUM11G18700 transcript:OGLUM11G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA oxidase 2 [Source:Projected from Arabidopsis thaliana (AT5G65110) TAIR;Acc:AT5G65110] MATAASRSGRRRGGGDDEDDRTAAMRRLRVLSLHLQDPSPSSEAGLAPAACAAAGRRRATGGADAAAALAAYLRGRHRDTQARVFEFFLSRPDLQTPVEMSTAAHRELCFRQLCALVREAGVRPLSLMANDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSIINLGTKKHRDRFFDRIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAALHGKFATVFARLILPLQGKGGDPADMGIHAFIVPIRDLDTNAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGILKVSVTIAVRYALLRQQFGPPKKPEISVLDYQSHQHKLMPMLASSYAFHFATRYLVDKYSEMKKTNDEDIMADVHVLSSGLKAYITSYTAKSISVCRESCGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSATWNYLRDSMGTYLSQPNPVTSRWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVKRCPDEKTRELLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLADYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQHVGF >OGLUM11G18710.1 pep chromosome:ALNU02000000:11:22357251:22361312:-1 gene:OGLUM11G18710 transcript:OGLUM11G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVGASDSAMRSLLGKLGSLLAQEYTLISSVRSEIQYIKDELASMHAFLLNLGHAADHHHDEQTRDWMEQVRDVAYDIEDCIDDFSHRLGGQPRGEGLLSGLRRARYAVATLWERRGIAARIVDLKNRAQGVGERRTRYGVKDPGPDAGNGKAARSAPSYHVNDRPQPGPQLVGAAEPVGMEDAIDKLGAWLTEGLPDLRVLAVVGFGGLGKTTLALALHRKFGEKFESRACVQASQKLNLAALLRSILRQVMPQVPDKESTDGDSLAGIERWTDKQLKEKLTAHLEQKRYFLLVDDVWSVSSWEYIWGSLPKNNNGSRIVVTTRFKSVADASTHQQTGDIHMLDRLSDEKSKRLFNERIFSGDDSCPDEFRETKDKILKKCGGLPLAIVAVAGLLARDPRSKSHWTKVQDSLSSELEMNLTPEGVTQILNLCYNDLSADQKNCLLYLSIFPKGCSINRKRLVRRWIAEGFIVEKHGKTVEEVADDYFNELISRNIIRQVDHSSNGKVKTSQVHDMILEYIVSKSSEENFITVVGGHWLTAMPGNKVRRLSLHSSNPEHAKDAIERMNLSHVRSLTAFESLEQFQSFTFKFGILQVLDLEGCKGLTTSHLDKICKMFHLKFLSLRKAHVKKLPSDIGKLQYLETLDIRETNVQELPPSVADLKQMAHLLGGNKTTRLGLRFTEAISRMIALQTLSGIGICKSSAGALADMHNLTKLKKLSIYNVKDFDSKNLSHELLSAIEYLTGCSLKSLAIDDGFTGFLNLMDSLSTPKYIHTLELSGELPRVPKWISELQNLEKLTLSLTSLSTDALFILAQLPVLFSLAFSVSAASQDHGVMEILTKNTTNSGGKILIPSDGFHSLQLLRFSAPLLPLLSFLDGAMPKLQRLELRFMILEGAHGVENLASLQQVLLRVSQQAPETTKVQVSDIRSSVSLHPNRPTVVVDEYYG >OGLUM11G18720.1 pep chromosome:ALNU02000000:11:22377913:22386687:1 gene:OGLUM11G18720 transcript:OGLUM11G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREKEIGRKREVRIARELERQRDVRMAAAAKEEMEGVLRAMDRLPGRISDLLRSERGHAGLSVLARRGFVFTETELSSLVAALRQLLASAERIGPGHVDSQTVNHCAYLIDLARWIDDLIDGYAPDKAETELRELNLAWIEQLLLVTDAPPAAPDVVVDVDPPSILVGIDVPRKKLARWLTASDDGEAQLKVLSVVGPAGMGKTTLAMDVFRQIEGQFQCRAVARLSARPPHTDKLLRHIMSQITGLPARVASTSTRPPRRTSGEGSVDGELGEEVWAAASSYRPLGRTSGKGGVNGELGEKVRVSRIDDDKGNVVLVPTTATDFPARAVSTVNWARRSGYLIVIDDMWRTLDWELIWDAFPSNRCHSRIIITTRIRSVARSCCSHPWPNGLVHEVKPLGATDSERLFSAVAHGWPRPASSGRVSNEILRVCDGTPLLIIAMAGLVSKQMQEEDYDDEEGESRVTMDISRPCVAAYLASGIREVKQVEDTLSPSYDNLPCELRLLSLYMSTFPQGHVIDKHLLIRKWKAEGLIAVHTLQSGFEERAEECFSQLVQRYIIRPARTRRRACDCECNPCSYQVNHFMFQLLASKSADKNFVTTSCCDTGTLRGSSGLQIRRVFLHHGQQQQQPADQEVPAQMEEMFSCTRSLTVSGEVDGISLEMFPHLVVLDLQGWEKLKDDDLPRIFSSGKLFLLRYLSLRNTRVSELPPEIGMLSSLETLDASHTRIAQLPPEVCTLRSLEELDLRSTRIQQLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKEYQDNLSFLVQRLKCLRSLTIRCELGCSMEFLDFSPEDAPQNLRHVAMHARFLTVPRWIAGLNHLSSLHIRVCKLAPEGVKILGRLHRLECLELGLDFLPREAIVIQGQGFMSSSQNRSNRAPLNSKIHEEEDDDDEKNGIIIYPFRELLRLSVDCRVPWLVFKEGAMPKLTDLELKLSTGPASHESVPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEALINPRRGS >OGLUM11G18720.2 pep chromosome:ALNU02000000:11:22377913:22386687:1 gene:OGLUM11G18720 transcript:OGLUM11G18720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRREKEIGRKREVRIARELERQRDVRMAAAAKEEMEGVLRAMDRLPGRISDLLRSERGHAGLSVLARRGFVFTETELSSLVAALRQLLASAERIGPGHVDSQTVNHCAYLIDLARWIDDLIDGYAPDKAETELRELNLAWIEQLLLVTDAPPAAPDVVVDVDPPSILVGIDVPRKKLARWLTASDDGEAQLKVLSVVGPAGMGKTTLAMDVFRQIEGQFQCRAVARLSARPPHTDKLLRHIMSQITGYLIVIDDMWRTLDWELIWDAFPSNRCHSRIIITTRIRSVARSCCSHPWPNGLVHEVKPLGATDSERLFSAVAHGWPRPASSGRVSNEILRVCDGTPLLIIAMAGLVSKQMQEEDYDDEEGESRVTMDISRPCVAAYLASGIREVKQVEDTLSPSYDNLPCELRLLSLYMSTFPQGHVIDKHLLIRKWKAEGLIAVHTLQSGFEERAEECFSQLVQRYIIRPARTRRRACDCECNPCSYQVNHFMFQLLASKSADKNFVTTSCCDTGTLRGSSGLQIRRVFLHHGQQQQQPADQEVPAQMEEMFSCTRSLTVSGEVDGISLEMFPHLVVLDLQGWEKLKDDDLPRIFSSGKLFLLRYLSLRNTRVSELPPEIGMLSSLETLDASHTRIAQLPPEVCTLRSLEELDLRSTRIQQLPERIDDLVALRHLRAGDGAASTRIPKGIDWGMLRDTLETLAAVDLRECSADVVRKLSLLRCLEVLSVSLSLRQCTDKEYQDNLSFLVQRLKCLRSLTIRCELGCSMEFLDFSPEDAPQNLRHVAMHARFLTVPRWIAGLNHLSSLHIRVCKLAPEGVKILGRLHRLECLELGLDFLPREAIVIQGQGFMSSSQNRSNRAPLNSKIHEEEDDDDEKNGIIIYPFRELLRLSVDCRVPWLVFKEGAMPKLTDLELKLSTGPASHESVPSGIANLLSLEQVAVQYDAWYINSRSVRATVDAIRRQVAELRYTVKLVNNGVEEDVEALINPRRGS >OGLUM11G18730.1 pep chromosome:ALNU02000000:11:22379555:22380510:-1 gene:OGLUM11G18730 transcript:OGLUM11G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRASLGCPSAASSNIAELAACARGSEGEGGRRGRLRAGDQEEEVAVCALVYVSGKDLVRRRDVQTPSPSPSSFSLLPMAELARRQAQQGRWRQLSFPSTSPPSFLISRGLKRSRRPRRAPTAKERLRAEEVGEEEGDAREGVVEGVEEVAEVREHAADNGSLADGGDNARDLSELVRARTSGGASRRKAEAGDAALLSMPTHPDLLAQFTVDTALAGKSVAVVGTRTTLPLSSSIRLTRTFSPSSPLTPPLPEVRPSGRYEDAAALAVVDPTHQTSSPSSPSTLPSPEVRRGGRVEVDATLAGSLRRWSG >OGLUM11G18740.1 pep chromosome:ALNU02000000:11:22386979:22390373:1 gene:OGLUM11G18740 transcript:OGLUM11G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRNEADPDEGSRATGTSSSRAPRITPPTSEFTDDGERLGTYRGHTGSAEQTAMLEGSLPHPASMPPRAQASSPSSPPTASWRRERAHRSAQVKRIAEDLDDRPCRGPLNRIIITETGQCLNESDKEQGHQKTITSLSKSADWSPFLSGSLDKSAKVVIGGGQDAMNVTTRNRRAGKFEAKFFHKILQEEIGVKGHFGPINALAFNPDGRRFMVCII >OGLUM11G18750.1 pep chromosome:ALNU02000000:11:22391052:22394300:-1 gene:OGLUM11G18750 transcript:OGLUM11G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPERQEAVLKMLEPLPGMISELLEAGRITDAYTRHCLAFMEMEVSTTVASLRMPLPPPRDRRGVGLDRQEDWLSSVEYFGWRTVKSLAAQVEAAAVHDHLVGIDGTANELLGWLMAADESLRVMAIAGPAGIGKTTFAMELHRRLRCQTQENYFQCHVVANFSRRPHRSKILPQTILKHIIEQLEAPSSPNSLEITMLELEDDPELLARNISKRLKDKRYFALIDDIFDESDWEMIKGAFSNNNCGSRILFTARDERIAGWCLSNYNGIVHKMKPLNDSDSEKLLRTKAFSSMDGCLPDNLRLLCDEILNMCRGIPLFITSMADWLKQHQQQYGSSAVPRVEQVRLLLKQFEHWLSFDYSDELRQSSLYLSMFPQGYVFENKDHLVTILEDEGFIPEWDRSPDFGKRNFSWLLNRKIIIPAAENCGLNIDEDDLCQWQLNAHPAPSGGGNTTRIARRLALHHPDPQLPAMLQQMDLSQTRSLLISGAVDRTTVALDKFGYLVLLDLGGWENLKDEDLLQICKMFMLKYLSVRNTKVSKLAPQIKELRILRTLDISRTNISEIPSEVCDLNYLEMLDLRGTRIRHLPEKVKQLTSLKRLDISHTKISDLPSGVCRLPNLQTLDLRGTLICQLPDQFVQIKWLRHLIVGSAGAGSGMIYSDQTVLTKIPETIHQLRYLKTLATIDLSEFSVKSVESLGDLEQLEVLTITWSFHQCSDKDYQQALRSSIEGWWKLKSMTIHCGLGCSMEFLGSLTEPPENLEKFKVIAGKFSRVPQWIERLHRLTFLQITVCKQVADDVKILAGLIELQRLVLGLEFIPEKAIVIEKEGFKMLERFSLDCPVSWLTFEKEATRKLTYLRLNLHASPASEMSVPSGINNLKELTEMTTDAVSKEVDKHSNTIDLFINGIREDVIRSSDKKGESATGSEVDAAEDGAQAADEAAGALRIAIQVQSEIEVVGDTESHT >OGLUM11G18760.1 pep chromosome:ALNU02000000:11:22399481:22405191:1 gene:OGLUM11G18760 transcript:OGLUM11G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVGASSEAVKSLTGKLGSLLAQEYTLIAGVRDDIQYINDELASMQAFLSKLKRRDVVDHDEQRQDWMKQVREVAYDIEDCVDDVGHRLGREPRGSGAAISFRRTWYLLTTLYQRRRIAAEIGNLKLRAQHVSERRTRYGVENLQGNNGGGGSGLGVGANAPRDRLAPLPRLIGIMEPVGMDAAIDELQEWFSKGKDGTQQLRYLAIVGFGGLGKTTLAMALYRKLGDEFDCRAFVLASQKFHLPTVLRSLVKQFHEKQADASEDTLHGIEGWDDEMLKKKLLEQLTGKRYHILVDDIWSVSAWENIRDSFPKSDKGSRVVVTTRFNSVAEACRRQQGHVHKLKQLDPESSYNLFLQIISANDLCPIRPINARIIMKTCGGLPLAIVVVAGLIASKMKSKIDLTLDQHLVDVDEALSAELGSNLTTEGVTQIINHCYKNLPPDLKTCLLYLSTFPKGRSISRKHLIRRWIAEGFITEEHGKTAEEVAEDSLNELIGRNLIKPIKNSSNGKVKSCQIHDMVLQYIVSKSSDENFIAVIGGHWQTPLPSYKVWRLSVHKSDKQETDMVERMKLSHVRSLTVLESFSALHSTMLKFQILQVLDLDGCKDLSHPHQLKKICNMYQLKYLGLRPTDIDKIPKNIGRLEYLEVLDIRETNVRKLPTSFAKLQRMTHLLAGNKSKRTALKLTEEITKVVALQTLSGIEISGSSTLEEDREQPRDMPIRHSTTTRAEERGNTALHGPHKEASKVDLPKQLRPLEALEKLTNLKKLAIYKLVKFQAKDDELLLSAIEHLSSCSLKFLAIDDSFTADNDSNALKIIHRNTMKSGGKIFVLDEGFEKLKLLRFAAPVLPSLSFLEGAMPELQRLELRFRMVDYMYGLENFSKLQQNQAPILSSYVKYKNCSVAAALQDYAWVATGQYQRGVILASRLGFICPQPTLHLLPWWLAVRKTICKQEQRAFNAGVILVTWLIWKEQNARVFEGKATMVFALCVVIIDEWRMWKVAALFTLGLGCIGALRVLM >OGLUM11G18770.1 pep chromosome:ALNU02000000:11:22407685:22409706:1 gene:OGLUM11G18770 transcript:OGLUM11G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR-like) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19890) TAIR;Acc:AT4G19890] MLARRRHGLLLLRRRRLLPNLPPLSTAAISTPSPPPPPPPDATLDGAAPGDPGALAPDDAIASLPSVADSAGSAAALALFRRLASRPDLRRLMRLYVTAATAFVARGSLPMAHEVMRGMVAAFGEAGRLPEAADMVLEMRSHGLPLCVETANWVLRVGLETGSFVYARKVFDGMTRAGVCPDARSFRALVAVCCREGKVEEVDALLAAMWRCGFSLDNATCTVVVRSLCEKGRFKDVSEFFRRTLEMGTPPNVVNYTAWIDGLCKRRYVKQAFHVLEEMVGRGLKPNVYTHTTLIDGLCKIGWTERAFRLFLKLIKSSSYKPNVHTYTVMIGGYCREGKLARAEMLLVRMVEQGLKPNTNTYTTLIGGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAVIDGFCKKGKIQEAYKVLRMATSQGLKFDKVTYTILITEHCKQGHITYALDLFNRMVENGCCPDIEAYTSLISTYCQLRQMEESQKLFDKCLMIGLVPTKQTYTSMIAGYCKVGRSTLALRVFERMVQNGCFADSITYGALISGLCKESRLEEAKALYEGMLDKRLVPCDVTRVTLTFEYCRREKTNIAVSVLDRLDKRQQAHTVDVVVRKLSALGDVDSASLFLKKVLDEDYAVDHATYTGFINSCYENNRYALASEMSEKFSKKISSFKEKR >OGLUM11G18780.1 pep chromosome:ALNU02000000:11:22410956:22416001:-1 gene:OGLUM11G18780 transcript:OGLUM11G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIAFGLLLLGYIQYFATPDSEVIALYEIRTMLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCSPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDNAYDLQELEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSRH >OGLUM11G18780.2 pep chromosome:ALNU02000000:11:22409727:22415407:-1 gene:OGLUM11G18780 transcript:OGLUM11G18780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCSPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDNAYDLQELEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSIEAMHNPF >OGLUM11G18780.3 pep chromosome:ALNU02000000:11:22412145:22415407:-1 gene:OGLUM11G18780 transcript:OGLUM11G18780.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDSRGVLNGWNNNQVSPCYFPSISCNQDQKVISITLSSSGLSGFLSPSIGKLLYLQQLLLNDNNITGGIPQELGNLSSLTTLKLGGNSLNGSIPDSLGRLSKLQNLNLADNNLSGGSNNSKLKVVASIGGAVTLLVIIVLFLLWWQRMRHRPEIYVDVPGQHDHNLEFGQIKRFSLRELQIATNNFSEQNVLGKGGFGKVYKGVLSGPHGRKVAVKRLFEVEKPEGEIAFLREVELISIAVHKNILRLIGFCTTTKERLLVYPYMENLSVASRLRDIKLNEPALDWPTRVRIALGAARGLEYLHEHCSPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMIDRERNTVTTGVRGTMGHIAPEYLKTGRPSVKTDIFGYGVMLLEIVTGERAVFPEFSEGDSEIMLNDQVKRLVQGGRLTDIVDHNLDNAYDLQELEKMIQIALLCTHVEPHLRPAMSEVVQMLEGNVVPAEQWEEWQVAELARRHQHEMNQQRRLFSFSEESLNIQEAIQLSSGR >OGLUM11G18790.1 pep chromosome:ALNU02000000:11:22425362:22426071:-1 gene:OGLUM11G18790 transcript:OGLUM11G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGVERKPPADAAGGGESEDSPPSPAAVERMARLPPADVAWFLSLRRENLGHQFGYVFTAPADRDDPAALPEEIAGDCFEAGGCFEKADEVIERIQASFD >OGLUM11G18800.1 pep chromosome:ALNU02000000:11:22431068:22431421:-1 gene:OGLUM11G18800 transcript:OGLUM11G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHQIEGEPVEIWQTAYRTFHQGRKPAIGVHTNAGTCPHQEVLSKEEDEAYENASPRISMDIRIEQQNSLAVNLELNEISELEVEKKNST >OGLUM11G18810.1 pep chromosome:ALNU02000000:11:22435227:22435601:1 gene:OGLUM11G18810 transcript:OGLUM11G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVAWVFSPFLFCSSFSLSSMAGAKSSASGGATTDGLAVRRLAALRCDARCSTVCSTMAANGESTRWHALLPVPVWHCVAEAHLVRIEHPNRLVPVTPSRQWRCSSGVKENQNENTERNSFS >OGLUM11G18820.1 pep chromosome:ALNU02000000:11:22437300:22438510:-1 gene:OGLUM11G18820 transcript:OGLUM11G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAWTQVGCIIFPVFTATPISPSPKPVRIENNIARTMEDNLALAAPHIQSEKRAPTTSALQKIPKAAKPMTSDNGPVPMRKSPPNDNTWCSIHETSQHPLIDCKVILHVKAELKACENRAIPIDPCEPSAIHSLNDQESSRSNFSSEVNMIDGVTTFNPLTFPEGDAWARQMQSAVANLNSAFLPQPDNPHRVHPEFNNQPTTLGRPRTTTLGWPRTTAHKQLTSIAPRRGYSPHPSLNYAYCLILTFRARLVPKIFFPKTSHRIFGHIHRVLNINKKKN >OGLUM11G18830.1 pep chromosome:ALNU02000000:11:22440395:22447398:-1 gene:OGLUM11G18830 transcript:OGLUM11G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARDLPLRYLEDITDGFSDSRKVGSGGYGEVYKGVERTGTEIAVKKLYHMSGIDDTQFRNEFNNLMKIQHPNIVRLIGYCYEVHNTHIEHKGEFVFSSIIYRVLCFEYLQNGSLDKHLCEESHGLDWCTRYKIIKGTCEGLYYLHERLKESIYHLDLKPANILLDKNMDPKIADFGLSRLFGGTQTHTTRNCIGTEYYMPPEYIQRRQISNKYDIFSLGVIILQIIAGPLGYSKCDDMPPQQFIDLVCKKWRDRMEATSNYASTEEDCQRLKRCIEIALRCVEVERENRPSMRDIIRQLKQIDETSSSMSSSNKVRIAKIGQWGGIGGNYRDIEVAPCRLGSLMIGCGEVIYSIAFSYYDYNGQQHKVGPWGGDGPDKGVNHTIQFSLSEYLTGISGTIASSPYGVIITSLTLVTNTRTYGPYGQVGGTPFQIPIQIKGSIVGFFGRVGWYVDAFGIYVNPNQDATHEDEAAVVKIGPWGANEGEAHDIDVLPCRLESLAICSSDYVESFGFSYRDRSGHQHTAGPWGRPGGNTHTVQLGSSEFLIGFSGTTGPSSTLAKDVVTSLTFITNARSYGPFGQVEGSPFQVPMRNNASIIGFFGRGDLYVNAIGVYINPEQEKIEQEAGITKIGPWGGNGGNAQDIDITMQPQRLESITISCGAVIDSLAFTYADKNGHKHAAGPWGGNGGRIHKIELGPSEFVTKVYGTIGPFGKFSSVITSIHFTTNADRYGPFGQGTGTRFEAPMHSDGSIVGFFGRTSSYVDAIGLYVVPV >OGLUM11G18840.1 pep chromosome:ALNU02000000:11:22449030:22450684:1 gene:OGLUM11G18840 transcript:OGLUM11G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVPLPFLFFLLVSCFFPSYLISSLFVVLFSLSLSLLSLFLSLGRAEQQVRAGTAGGGAEGRGKGGAG >OGLUM11G18850.1 pep chromosome:ALNU02000000:11:22450774:22451289:1 gene:OGLUM11G18850 transcript:OGLUM11G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGHDNLVSEPLTIASDAKRVLRVTVSHLLYPVDEYLLHQLVDGYGVEEKIEVHQMATYVEASVPFQTRAAAEHAWNLNGRAIYDGCCWLDIQWEQPSNNSTTPVTSLSMIITEWKEDIKELRAVLQDLVAFLQEELAKKKEEGAAMGLTVTSALDMPSIQSIHPVACME >OGLUM11G18860.1 pep chromosome:ALNU02000000:11:22451378:22452448:1 gene:OGLUM11G18860 transcript:OGLUM11G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATGRSSKKHRWRRPSLGDDNQRYCLHVVTTSRSPSEQICVTGHAKAAIDLSELDLATASSSARWSDGGEQSRFCGPTRTTNDGRTISASSRCSSGGADLGGGSWWVGWAARLAGRERNTTTPFFSHSRRYGREKLRKGFSSASNGPTTIQLGRSSGVAFQCCNSWLGCWVGQKSVLQLEDELLKRRGVMSWALGRKAEAHMQPISIKLDNIIS >OGLUM11G18870.1 pep chromosome:ALNU02000000:11:22457460:22457750:-1 gene:OGLUM11G18870 transcript:OGLUM11G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPSLPYPPSDGSAAELARSVLAIAWGLVLSGDALLYLAASGGGSEEGGVGVTCRRVWAHLRKTDKELVAKDGYANLRRPNGLSTWIRIFGSWK >OGLUM11G18880.1 pep chromosome:ALNU02000000:11:22458889:22465580:1 gene:OGLUM11G18880 transcript:OGLUM11G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLQHTADTMPNDLSIDLLTRITDNFSTKHQIGHGGFGTVYKGVLENGEEIAVKKLDIMSLDDVKFMNEFTNLMSVRHKNIVRLVGYCCETRRKLVPHNGKLVLAYMEERVLCFEYLQRGSLDNYLSDESSGFDWCTRYNIIKGTCEGVNYLHNGPQGHIFHLDLKPGNILLDKNNVPKIADFGLSRLFEKTLSHHTTKVVIGTPGYMPPEYIEKKHITEMFDVFSLGVIIIEIMEGPKARSKLLEMPSQEFIELVHENWSRRFQQTTPTYTSEEIGSLQRQVKTCLEMALQCVEADRHKRPTIAEVVSRLNELDAMFQRTSPSLLPSELPIDPASPGDQVQFGPSEFLTEISGTTGPYVCAVADVVKSLTLVTNSGSYGPFGQGGGTAFHTSQSNGSIVGFFGRAGGFLHSIGVYVSPNRPTLDLSRHFRDALQVNSEHETIQEERGKGDDDALAKFGPWGGSGDMDRDMEVVPHRLESLTICSADIINSLAFSYNDHNGKQHTVGPWGGDGGAAFTIRLGAFEHIKGLSGTVGSFGMLQNVITSLKFTTNLNRTYGPYGKGGGTPFVVPVEDAASIVGFFGRAGPCVEALGVYIRTYL >OGLUM11G18890.1 pep chromosome:ALNU02000000:11:22473330:22474396:-1 gene:OGLUM11G18890 transcript:OGLUM11G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGLTKYYLCCNIYASRLALCYNDLVHFCNRGSMSNVSFSKRCKDRESQLPNSNRGRVRCSLLQPAAAPVVSPCTQKCEPCSGCNCAAACP >OGLUM11G18900.1 pep chromosome:ALNU02000000:11:22490543:22490812:-1 gene:OGLUM11G18900 transcript:OGLUM11G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVEKKPPADAAGGGESEDDSPPSPAAVERMARLPPADVAWLLSLRRENLGHPFGYVFTAPADRDDPAASPEEIGEEWFEAGGCFVK >OGLUM11G18910.1 pep chromosome:ALNU02000000:11:22493715:22499621:-1 gene:OGLUM11G18910 transcript:OGLUM11G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLALGLLFLGFIQSFADPDFQVIALNEIRMMVNDSRGVLNGWNNNQVSPCYFPSISCDQDQRVISITLSSSGLSGFLSPNMGKLLYLQQLLLDGNNITGWIPQELGSLSSLTTLKLGGNSLSGSIPDSLGLLSKLQNLYAGNHLNCGQNLTSCEGGHKNTGSNNKRHLLNIAIFSPIVAFCILFCWALIRRHRKGKQRLRESLKVRNEELFWGIEGANSDFKFFEVSQVVKATSNFSGQNKLGQGGFGPVYKGQFPDGMEIAVKRLASHSGQDETRRASLNWIKRLAVIEGIAEGLLYLHKHSRLRIIHRDVKASNILLDSEMNPKISDFGLAKMFSSNDAEGNTRRVVGTYGYMAPEYASEGLFSTKSDVFSFGVLILEIITGKRNSGFHQYEDFFNLLGYAWQSWKEGRWLQLVDSSLVTDNCALGTMRCINIALLCVQENAADRPSMSDVVAMLSSESMTLAEPKHPAYFHTRMTKEEVSTIIESCSVNDVTISTPQGR >OGLUM11G18920.1 pep chromosome:ALNU02000000:11:22502686:22507704:-1 gene:OGLUM11G18920 transcript:OGLUM11G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:general regulatory factor 13 [Source:Projected from Arabidopsis thaliana (AT1G78220) TAIR;Acc:AT1G78220] MKEREKVVRLAKLAEQAERYDDMVEFMKTLARMDVDMSAEERLLFSVGFKKTIGARRASWRILESLEQKVTAGEQPGVTINGYKKKVEDELRAVCNEVLSIIAIHCLPLANSGENVVFFYKMKGDYYRYLAEFSTGTEKKAATDQSLMAYQHAMVVSSSELSPAHQFRLGLALNFSVFFYEIMNSPERASQVAKQALDEATAEINSAGVEGYKDSMLMMQLLKENLALWTSELTGGETSKDDDVVMEG >OGLUM11G18930.1 pep chromosome:ALNU02000000:11:22514199:22517741:-1 gene:OGLUM11G18930 transcript:OGLUM11G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEFDVDVCIKLIRKCGGLPKVIVAIARLLVTSKTNTSQLVQSMNTRFMGMLKTKPEVDDLRDLIGWTHYYLFQTCPVYLRPCILYLSSIFPGHCGVRRRRLVMRWVAEGYSKDTDSHTAEDNGEKFFSELVELDMVQHPPKSITTVFNETRMVLCQVNTFFQEYIISQPLEDNDFTLALEAFTLKGQCRPTTQRRGRHLVIEESWERDKIVFDSIDFSWLRSMTVFGNWEPYFVSEKMRLLRVLDLEEVSGLKDDDLERMMRLLCRLKFLSLRGCTNICHLPRSCGALRQLQTLDIRHTSIVTLPSTITKLPKLQYLRAGMAGSQWTLSNTNVLLNCSGRHQIAASVKVPAGIKQLTTLHTLGVLNASATGGKAILGELKELTQLRKLGVFGINRRNNNEFISAIASLRYLESLSVWFSEDNEQAGRLDGLSHPPKKLQSLKLYYNHVDRLPIWIDQLGNLRKLDLEMIMPTQEDIHLLGDLKFCIILRLCVNPSQDGEELHFSVRPEDTENNRPKGLGFPSLEVLEIACNSRLSLVMFEPRVMRLLEPLKVRCYGGQPSLVGLSILSCKRRRVCCEVNSFCHEYIMSQQMEDNHIFSLEGRCSLTSQRTGRHLVVGSTWERDKIVFTSIDFSRLRSLTVYGKWESFFISDNMKLLRVLDLENASDVTNDDLERVVSLPRLKFLSLRGCKMVSRLPDSLGGLRQLQTLDIRHTSVVRLPTAIVKLHKLQYIRGGAKVTLGEEGTSASLATAETETQPKCRSTHALLSWLPDLCRGKHAGDPCSGIEVPRGIEELTALHTLGVVNVGVAGGKAFLKELKNLTQLRKLGVSGINWKNIQELCSALSCHRYLESLSVRLDKDEQGSCDDKLKEDLRNRIAEHPNKLVLKLKME >OGLUM11G18940.1 pep chromosome:ALNU02000000:11:22534804:22535751:-1 gene:OGLUM11G18940 transcript:OGLUM11G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLKDLNSVDREQLKSNVVRTWVSQVRELAYDVEDSIELVVHLDGDKSYWWRRFLASCCWCCPSVAPALPLDEAVADVKQLRVRVADVSLRNMRYRYNLIADYPGKPAAVDAPPPPPPQQPAAATAFEAAAREQRRHCPGDLTQLVAKEGSELRVISLWGTGGEVGTTSIIRLAYDNTEIRRSFRCRAWVNLVHPFNLDSLLQSLQTQFNDTSSGQEQGRRRTSTVQGKFLVEEFTRQVQEQRYLVVLEGLSSIAEWDAIRDYLPDKSKGSRIIVSTEMYVIASLCTRQPFQIWELKQLVDHSIHVLFKEVSN >OGLUM11G18950.1 pep chromosome:ALNU02000000:11:22546891:22548228:-1 gene:OGLUM11G18950 transcript:OGLUM11G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEWAELLEDVLLTIMERLDILDLIRSSAVCASWCAASSAVRRARFPLPSPAKQLPCLFYACAAYSPNNAVVHCPFTGESIQVPFPLGMVTEHSVVGAGHGWIVTADEVSNLRLINPITGAQACLPPITGIHHVEKSFTGAGNNGALMYNVFVSSTPGLDLEPLLLTANEARECMYHRVVLSCSLSTGEGACVALLAHMECGELSFARPGDERWTWVSLDKHPCFGGFEDFFHNDDDGLFYALCIDGSIYTLDLNGDSPIVRQITGKVPQRWYPSTMYLLRAPWGDILQVRRWRSYVDLMATSSSEHPNNLEVDDDDDDLDPIVGINDDIYPYLELKTTDIEVFKVDFDRKKLVKMKRLDDHALFLGYNSTMCISTKDYPMLKPNCAYITDDSSEYVYMYKNSWREIGIWDMKSKSLQSFACTENSPPWLNWPSPVWIKPSLF >OGLUM11G18960.1 pep chromosome:ALNU02000000:11:22548590:22551320:1 gene:OGLUM11G18960 transcript:OGLUM11G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEERQHSAGIRDCAGVAAAGGLERDEGKREGGAPSDGKIEKLSAEERVAAVLHHLVGAVDGQVDIHCFPMGYLPTRLEVGTPAARYHLELPALLDGQYAHVGFPPGQQLQWLSMLQKLYPAHSQIGALLEIAEHCNERKCAGHCAEEAGQKLYMWALIKRKELLVADAIVLGLRTKFMYVYAPKLTMERRIHYDGVESLSIEWLEATGTLVLEACRNRPPQRRGNQVNW >OGLUM11G18970.1 pep chromosome:ALNU02000000:11:22551761:22554135:-1 gene:OGLUM11G18970 transcript:OGLUM11G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAVYIPSDLGSRGRGQRLQRGGRGPCIAVFGLHHINQNSSIFRHNLSRSPIREEPTKPQALNTNLTINLPSSASVSGSNKKSNTTHSPFPQFAEVIKKCVVERGEVLEEDDGIVDAGTLMPIQEGLSMVDEVGGGGGVADEHLPPHDVDRLVLVADEGGHGAYRWLQELGAEEEQVGASAVADGKGAFNGDAKNDREAPLQGAGASAVADDKSGLPDAKNGDKKRGLPDASNNNAGRQLQGAGAAVEDKGGAALLVAGEQPPPQEVAAQAGEAAPDAHLLQPPMHGDEDADDADWPDWDAFDQDWDADNDKTAALQEEDEEPPVSIVAGETPPQHGPLLSFFVECDQAGRGEKPCTSCFEGSKPVPRSFSSGSMRPHYCTCHRKLMRSKDRVECSYCHNFFQNLADYYRHKKNIHGK >OGLUM11G18980.1 pep chromosome:ALNU02000000:11:22560757:22562008:1 gene:OGLUM11G18980 transcript:OGLUM11G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEPDKDKPLPKPKPPKPKPKPPKPEPEPNTRSASAPERDQTAAPYGTVPPDPFSCATDCSPDCVFYHLCPSPPPPVAPAAEGGAPVHLRSSRLPTPLIALSASLLGVSVVLLVALLVCRLMRGRGRRGRRRRGGRNALAPQEAPLTQQPQQGDEEGGAAGAAMAAEEVEGDDDDDDGGGGVHHVWYIRTVGLDERAIAAITALVYDAKKTGGGIGLAGGGGGGGGGSCAVCLTEFRDGETLRLLPRCRHAFHRGCIDTWLRAHVNCPLCRAPVQISDNGRMGEMGLAKIARLMKFSEVLEMAGIGATRSVSFGGHGRSGQSAAAAAGNNADEISR >OGLUM11G18990.1 pep chromosome:ALNU02000000:11:22564854:22568644:-1 gene:OGLUM11G18990 transcript:OGLUM11G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRGGGQTYGFPIYCASWLPLAHILNPPPPVEEGDADAGAASSESPATPPPPPPLMAALGGGGGEGRSGVPNALVVAALDTSAGAAAAAALSPDPVLRMGTEEQVPYRMGVHPRGDGVLCAFPNGCRLFRWESQEGEGPDNIALRADQEALTELSDAGLQLAVSFSGEGSILATGGEDGHLRVFKWPSMDSILEEPDTKTSVKDLTFSSDEHFLAVNRSSGPCRVWDLKSAEVVSNLPREAGEIFGFCRFSNQTDNSQILFVTAMQGDYGKIISWNTTSWTRIGSNKITREAISAFAVSPDCTLLAIGTIEGSIIVLSSKNMRALVTVKKAHLGIITTLAFSQDSRTLLSTSFDSTARVTSIGSPKSRGISIWTMILVIILAILAYYYMQHKEDLLARFLH >OGLUM11G19000.1 pep chromosome:ALNU02000000:11:22569448:22569889:-1 gene:OGLUM11G19000 transcript:OGLUM11G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKILREDGVDANSEQYHLAKELLRSRTRRTIFKRFDSKETRLKWLQWSWQNRKAIMASPSSSEDESEDDLLLAGAAACAAVALEMEGSNSERQRA >OGLUM11G19010.1 pep chromosome:ALNU02000000:11:22576179:22580575:1 gene:OGLUM11G19010 transcript:OGLUM11G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seryl-tRNA synthetase [Source:Projected from Arabidopsis thaliana (AT1G11870) TAIR;Acc:AT1G11870] MLTCGRFLSSSSAASTPSPFRNLTLSLLRRPHLRLLSSSATASATAVEPDTKGGGAAKPQWKAAIDFKWIRENTDAVAANIRDRNSAANLDLVLQLYDEYLALQKMWQLRICHSLLMTCGSSCVYDMWVQWQILYHHLWQEVERLRAERNAVANKMKGKLEPSVRQALVEEGKNLKEGLIALEEDLFQLTDKLQLEAQSIPNTTHPDVPVGGEESSVVRKEIGSQRNFNFTIRDHLQLGKELDLFDFDAAAELLYEQLYFFSLVHFTKVVYCHLQVSGSKFYYLKNEAVLLEMALVNWAITEVSKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIDNSDQCLIGTAEIPVGGIHMDSILPDSDLPRKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFVFCRPEESDKCHEELITIEEELYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLDRYGEISSASNCTDYQSRRLGIRFRPSPADPPPANAKKGKGSSGPTQFVHTLNATAVAVPRLIICILENFQEEDGTVVIPEPLRPFMGGLEVFFPKTK >OGLUM11G19020.1 pep chromosome:ALNU02000000:11:22592900:22596153:1 gene:OGLUM11G19020 transcript:OGLUM11G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKKQTTKQITQEEGQAGGLRCSSPNLHTPRCWEPPTGCCAGDLPRHQGAADHPLRRHAVIAPGGIRRTAVVPGIHRLTIAPGRSRERDGGTRSELPHRHGAVDPPDLLRSGCRRHLDEEKRGCRCGNGERRWGGEREGEQRCWWEEGAALLVGEREPPVGRGEGRGGGWGKGGPWWRERKGIYWSRRKFGQPIGWTSMSRPRGAG >OGLUM11G19030.1 pep chromosome:ALNU02000000:11:22596163:22596708:1 gene:OGLUM11G19030 transcript:OGLUM11G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDEEGSRSPNRRVVTGDRSKAAPAPAKEKTKTKTTTSAMVPLPLAEVKWILAQKREPYTNPDDIEGFRSSSNPNDNDGFPEELKASCRDSIRRSNILRKVADDHFFEYQSKVRAAMESDGCFMVDADYFERRARGRAKANEAWAKLLDGLPLSDSDSDADEEDDEDMALLAAMGLEFD >OGLUM11G19040.1 pep chromosome:ALNU02000000:11:22609215:22609718:1 gene:OGLUM11G19040 transcript:OGLUM11G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTRDDEACPSTTTATKSTTATTPAVTTTIYDDGAPAAPSPKRRKVAAAAPAVVDERARLKRRIAWVADKIATHRDEVETPYGFPDTCYGYAGYGFVGWVRADFAGEERAAERAALEAWMQIEWERRLLRWRRGEQQQPGVNGGGDSSSFGVWDVDDDDDDGDRE >OGLUM11G19050.1 pep chromosome:ALNU02000000:11:22612999:22613579:1 gene:OGLUM11G19050 transcript:OGLUM11G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEDAIEAAAASDKISMKPCTAQCTKRKRVVSGGASELQSAADQPAAVKVIDGGEEVVVVALPAGNKLLDPAVEVIDGGGEEVVVLAGKLLLLSREVVDKILAIKRRPFSFGDDDIGSDDDELRELAVQHEALQDKFAACQAKIREHRHEGKGYAIILSTTSCRMAVTRALHPFVERYHWVEEEEDDDD >OGLUM11G19060.1 pep chromosome:ALNU02000000:11:22615019:22619123:-1 gene:OGLUM11G19060 transcript:OGLUM11G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATGSHEEVPATAMAKLRLSQENVDWILARKELCGDDAPDISRYIPFLLQSPSGCKLRXEFDKFRDFEKWVRAKHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRRKFVMKEVEGNIGVPVFDKEKKPVEQHGQQEACPNSDGTAAAAVRRRVATMRLSQENTEWVLSTATVQSSAPTMPPDIERYIPYSHSSPTPTPTRTTSRRSTTTSRRRCWCTSTASSRPPGQVLGQVPRRVRQQRLRRGGVRRRRVRGDAAAAGGARRLWRAHVWEKIFADDPPEEGEFAEYVSVYNQETRAFVMRPKELEEGTSTIAVFDKVKMKLVLKKLDQYSIIKNFVSNSIMIYLQLAQRSTMHVMFIC >OGLUM11G19070.1 pep chromosome:ALNU02000000:11:22622604:22622828:-1 gene:OGLUM11G19070 transcript:OGLUM11G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSDLTCERCDQLMAVSRSCRSAHAGVAVAAPRVRTHPPETRAPNQETHDAAALRRGLDEAVKGIRRIKLRTP >OGLUM11G19080.1 pep chromosome:ALNU02000000:11:22641505:22643178:1 gene:OGLUM11G19080 transcript:OGLUM11G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPESGGAGKGSNGEAGGSGAVHKHGAVVRRAAGIASGEAKRSATADVRRAAGASGTVGYPDGGGRRDDEPVREELHGSGGDYNDPSKSKRVRGEPHVERALSAVFRGEETHVERVPSEEVFGEADVEQDLGDAVNYLRGDEDYVGREITVDVCCGAHVKVKRAAELPDEGPIFASTAWPA >OGLUM11G19090.1 pep chromosome:ALNU02000000:11:22647612:22647962:-1 gene:OGLUM11G19090 transcript:OGLUM11G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIDDLLMDEFDKFRDFERWVRVEHEEKGFVEVEYDHNHFEQRQHRREERRALWAEMLAEEMADILPTIEDGEFGDYIEVYDEKRREFIMREVEGNIGVPVFDKEKKRFVFVKKN >OGLUM11G19100.1 pep chromosome:ALNU02000000:11:22674717:22675764:1 gene:OGLUM11G19100 transcript:OGLUM11G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTNCSSDLCRFRDDDGDDNGPLQIILRSLTDDDVQLRYGAGEKKAEEFSQLLEDEMNQNDLLLLTHAAASLQLAQWLLLLNDLLLEDDESRAAAVGLLDEYCEW >OGLUM11G19110.1 pep chromosome:ALNU02000000:11:22679592:22680080:1 gene:OGLUM11G19110 transcript:OGLUM11G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSKQLRMPQEHISWILHRREPSFDEADKAAARRELYSDDHELVRSGWFDDLLALQRGFVERRKASWARFCEMAARVRAEFEANGFVEVDDGYFDRQEENRALVWENCGREFAQMLRENKDGEFGDRDDAVSDDEHQHEDEEEDEPHDQEAESDDNL >OGLUM11G19120.1 pep chromosome:ALNU02000000:11:22684077:22702387:1 gene:OGLUM11G19120 transcript:OGLUM11G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTGIDPRVRSRTIGSRRLRCERAAICRDTGRGGSDYSGSIYYDEPEALLVHVNGILKATWAKFRDFQSWVRVEYGSNGFVEVEYDVDEFEEAQRLQEERRLWRAHVWEKIFADDPPEEGEFVEYVNVYDQETRAFVMRPKELEEGTRTIAVFDKLKMKLAPRKTYFENGLFYGTNVIGVVIQHDDANGIDAIPLASHGVTDVKEDDVNRAFLVWPSGLFGRLNRLSYAMLEITGCVGAPRVHVYARPPETERGETHDAEVLRRGLDEAVKGFDRRTKLRTPLDLRHQ >OGLUM11G19130.1 pep chromosome:ALNU02000000:11:22772945:22788039:1 gene:OGLUM11G19130 transcript:OGLUM11G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BL63] MASNGEATTESETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRRPLFAADDLTKFYLENGPKFFPQRRVGFLTPVANLIGAVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTSPSGESREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYRHYLVISIGTGTAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFSHASADMVDIHASVLFQSLSCEKSYLRIQDDSLVGHTSSVDIATEENMEALIGIGKDLLKKPVARVNIDTGVHEPVDGEGTNEEALARFAKKLSEERRLRRNNLNSS >OGLUM11G19130.2 pep chromosome:ALNU02000000:11:22779152:22788039:1 gene:OGLUM11G19130 transcript:OGLUM11G19130.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BL63] MASNGEATTESETAAPAPVPEPTPPPCQGRLITVLSIDGGGIRGLIPATILACLEAKLQELDGPEARIADYFDVIAGTSTGALITSMLAAPDDNRRPLFAADDLTKFYLENGPKFFPQRRVGFLTPVANLIGAVRGPKYDGSFLHDKIKSLTHDVTIADTVTNIVVPAFDVKYLQPIIFSTYEAKNEPLKNAHLSDICISTSAAPTYFPAHFFKTTSPSGESREFHLIDGGVAANNPTMVAMSMISKEVLRENQDFKLGKPADYRHYLVISIGTGTAKMAEKYTAPACAKWGVLRWLYDGGFTPLIDIFSHASADMVDIHASVLFQSLSCEKSYLRIQDDSLVGHTSSVDIATEENMEALIGIGKDLLKKPVARVNIDTGVHEPVDGEGTNEEALARFAKKLSEERRLRRNNLNSS >OGLUM11G19140.1 pep chromosome:ALNU02000000:11:22793168:22795114:1 gene:OGLUM11G19140 transcript:OGLUM11G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRSSCKQQQQPSSPAAVASVAAAAVSSYSYLTLRSGRRVPPAAAGGSACRRRHRRGGRRGCAKNGAGSARACGARSPSSSAASGQRRRCEAVECSQGGGRAELSPSPPLGDSGVVVSGDVVSGERNSLKPNSCSGEVAAEHAGELKHNSAAAAAGRRPPLSPPETEIEAFFAAAELAERRRFAETYNYDIALDRPLQGRYEWEPTVPNFDVAKDVTDM >OGLUM11G19150.1 pep chromosome:ALNU02000000:11:22802853:22806894:1 gene:OGLUM11G19150 transcript:OGLUM11G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGRQYWGGGRRPSGGGGGVVVMFAWLSSQERQVRAYVELYAALGWACLVCHSEFLTLFFPDKAAMLADRVLAELVKELKVRPVPVVFASFSGGPKGCTYKVLQLIERRCEGQLSLEEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLHPSVLKMSQPPRILSWMTRGIASGLDTLFIGKFEAQRAEYWDTLYSSVHVGPILIFCSEDDELAPCSIVQKFGRRLLELGGDVNLVKWQNSPHVGHYKHHPEEYRAAVTELLTKASMLYMSRRQLNSYDLGTSEHSDMLASDVHKAGTNSNNRLRRAPDDPIDHFLLPSSMEYHESSNEEPKPELFNMPSVESINNPHGVLGQMLYDVCVPKNVEGWDFKPSASINGRHINSIARQHGTFNPIKCIRRSKL >OGLUM11G19160.1 pep chromosome:ALNU02000000:11:22812568:22819155:1 gene:OGLUM11G19160 transcript:OGLUM11G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidic acid phosphohydrolase 2 [Source:Projected from Arabidopsis thaliana (AT5G42870) TAIR;Acc:AT5G42870] MYAVGKFGSFISRSVYTVSGPFHPFGGAVDVVVVQQQDGGGFKSSPWYVRFGKFQGVLKTREKVVTIAVNGVEAGFHMYLDSNGEAYFLRNGEPNLEEGEFAVSPVSSGDERDEAAPPPPLPVQDTQLRKSKSISCDSSTMEANAGDGKILARTSSRRVTILERMFGRKSIKDGPDGVDRVSSLERAEIAAELLDTNWSTNPPRGAKARRSLDEPSKSNLEDPVNGNQVETSKVVSPSCSIDQEKDMGSSNRGSVDSNFFSPQGGTDSLGDENNHYIQTTIVKEEVVEIYTRDSSVSIDGTDQAGIESSSNDPGTDKIISEPIDTQSEKIISEPIETQNDTGREMHTREVLSHVIFETHAGETNITIGKSEEISQFVTVKTCQDCSDANSPVYETAGLSSEMHDISSITSAQDACQEKVVIVSSSEIVETSYNVPDILVDKVCHAVGNPLDDSVQPKEQSGVSIEEIEHVSFEDKPLSYYGASSNTEDMTKLGIQDQQVPVFEDSGSQNSQEFVPDKDICVDTVVNDRSAHIGNDLACNHDFVFPAASSSVEEISNYVPDNHLDDVTKDFIVENKTCNGELNISLVQTSTTGDETTECISPSANIPNKVELQGSQIISDLSSLRKVEAESTTLEDTESRSSSASGVEIKLVPEAIYEPREEAEAVVSFSEFVEEIQFQFSDSESFADRKTTDDAASTKEAGAVEHDESDCDTEQQGGNNTGLGNNLENCSDSSRPETIPVPIPGSEFHSDDNNLEAKSLPNLRSHIHDLERSDSFQLSRSLQSNGENNGVEPVKSTTSDLPVQEPEDTGDSKENFVPPEPTNSAIADNLKIDPFNPCVELSLCRHLLSEGMGEDAACKAFDAEKVTLEKFRAMKQSLIRNNKLVVRIAGRYFPWDAAAPVILGMVSFQEEQSFEPQGMIKVERVEPNAAPGGWRIWPFSFKRTRSVNTVQPVSESTEEASSSAPVKEVERENNKPRAKRMERKVRSLTPTSEELASLDLREGRNVVTFTFSTGMLGKQQVDAHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHLTRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLGAIKALFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVNGMFPPISTSSEQEDYNTWNYWKMPLPAVDI >OGLUM11G19170.1 pep chromosome:ALNU02000000:11:22819478:22823221:1 gene:OGLUM11G19170 transcript:OGLUM11G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQPAASSPKRKKKHSKKPEDRNATVDDSLAAAASPSPKKKEKHSKKKREAIDATMAAASPKKKEKKHSKKQEDTNVSEKKREVVHVTVDASLTGAAAAGAAPVVAYFPTGYDPLAAGGGRKGREAPRTRLFRHTKHPSRIELVVGAATGGGGGPDFVGRSYAGEAVLPQLTGYALGVLDKASGTLKVVPIAANKILRLEPHLEVQKPAHSQHSGAVGEAGSSAGDADLKVQDITKAFGTQKDKAKDIKWQSLNEQRNDPSAFMDLDLGNADTSVGANESQEPTVRNIPPYDPAADTSERAYLFDEIIPKSIRPHLVDIIGHFESGEISSKGYGSFVSNRVNKLQELQGEDKERLAWILSYITHLLSLLARNSSMSKRHRKENQATSGPVIPQYVYRKMVLMFTEPGSSALSTEKHELLINYILVLTLYADDFRSDPKDICEDLKMTRQMIKPYYDQLGCKSSSAGAFKSSVMTLPAPLKFPKEATRRKRRRF >OGLUM11G19180.1 pep chromosome:ALNU02000000:11:22823938:22826941:-1 gene:OGLUM11G19180 transcript:OGLUM11G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQMAMPAGAAAAAVPPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPPQNPGSRPQMMQPGATPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMLMRPGTVNGMQSIPVADPARAADLQTAAPGSVDGRGNKQDATSEPSGTESHKSAGADNDAGGDIAEKS >OGLUM11G19190.1 pep chromosome:ALNU02000000:11:22835673:22838127:-1 gene:OGLUM11G19190 transcript:OGLUM11G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSELLTTFNEQVVFEIPKDCISNDPLVTERNTKLVKFYEEIAQNRYQRYHLIEAGAGKKKLTKSWENLQTKLKRARTYQQDVRQVGGKAVPIPAHFTDEV >OGLUM11G19200.1 pep chromosome:ALNU02000000:11:22837729:22838297:1 gene:OGLUM11G19200 transcript:OGLUM11G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASASLPAGTQPSVAELLDARAATPASPSLVTAVSAEPSGQRHRPPLQLAPDHLLCPAATAARWNGAPAVVSAAFSVSSPPLLHLTHVCVPMAACKLHTDTHRGQELRYLGHGRPGLISIHYLIDRERERDCLKSVAEVGDLSGAAEP >OGLUM11G19210.1 pep chromosome:ALNU02000000:11:22839588:22840172:1 gene:OGLUM11G19210 transcript:OGLUM11G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLDRKQIKRCVVAFLPPPEEEDAGEEIRVVPCPAAAAEEERRRSSKRKGKRCASNGSKPAGTLVPADGEEMILVPPGKLALSKNLVDKILSLERMELPHVADIVDDGNPNPSEADKALRRCVLDLDRDNKRHQDKLAACQAIIRRVRHGKGYAVVDNRLDFRVAVCRAEGVFLLPCHIADLIPVGFDLVSN >OGLUM11G19220.1 pep chromosome:ALNU02000000:11:22842609:22843261:-1 gene:OGLUM11G19220 transcript:OGLUM11G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSKKPWGCGTARTEYDRGVNTFSPEGRLFQVEYAIEAIKVSSPSSLLFLFAVAEGGGVSEPNLDSGEVEMLDSVGIRGFSLGRGSRFAG >OGLUM11G19230.1 pep chromosome:ALNU02000000:11:22843318:22847241:1 gene:OGLUM11G19230 transcript:OGLUM11G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAAAAEQQQEEGEHGPFPIEQLQASGIAALDVKKLKDSGLYTVESVAYTPRKDLLQIKGISEAKVDKILVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMIVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >OGLUM11G19240.1 pep chromosome:ALNU02000000:11:22853942:22857745:-1 gene:OGLUM11G19240 transcript:OGLUM11G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0BL74] MEEEVVVHTPNPSGSEDGSGSRDPRHHRQNSPSPPRRSPQWWENPDRSGGSALSPPPDGGRSRRAGVRRRLNYGDGGTPQGALQALGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLQEAETIALSILKQVMEEKVTPNNVDIAKVSPNYHLYTPAEVEAVIARL >OGLUM11G19250.1 pep chromosome:ALNU02000000:11:22892431:22899736:1 gene:OGLUM11G19250 transcript:OGLUM11G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAESIAVGAMGSVIPKLGALLKEEHKLTKEARGKIMLLIKDLEGLQLFLRRMFEVEDPDIQMKLMARNIRELSYDLEDSVDEFLIRAEKGELGLNPRGLKRMINKITRAQRQHGIASQIHQLQARVNEVSERRKRYMIDEAVSTASSSEAVSMPMVVVDPRLYTDASSTLVGLDRPREEITKRLMVEDESESALQLCVVCIVGMGGIGKTTLANQVYQTMASEFDCRAWVAVSHHPNIKRILRDILGQIQQVNLNEYQDTMDERLLIDAIRSALQDKRYLLVVDDIWSVSTWEIIKCALPLNSLGCRIITTTRILDIAESCCSHRNSFIYSVKPLSVMNSRVLFYRRIFGSESCCPSDLVEVSDRILRKCSGLPLAITIISSLLASKPCLREEWMKVLYSIDDTLERSGYEGTRRIIFLCYHDLPIHLKTCLLYLGIFPEDYVIDHDSIVRRWIAEGFIPGMQGKTAEEVGGSYFNELINRGMIQPICDSYDGKPGSCRIHDVILDLIISKSVEENFITLLGYESVGPKLSRKVRRLSIKDTNEDKCIPEMMDQSHIRSLSIFGRVGARFSFRNFISLRVLDLEGCKDLNNHNIMNIVGLHQLRYLNIRDTSISILPDQIGLLKFLTLLDLRNTQLQELPVSIVQLRRLAYLLCDIMIFPEGIEKMEALSCLSEVDISQSKISVVEELGNLSELRKLVIWQYRDMESDNTMRYERLASSLCRLYKLQSLCIHGNYGSVDFLDHVYPPLHELQRFQLNKRCFLRRIPEWFRSLSNLIYVCIDVEEVKNEDLQLLSDLPSLCYLSLSSISITAKELVIRQNAFPSLHEFHLDSAWSNLTFEPEAMPKLEKLVLSFCFLADKTRDFDFSIGRLIRLKKFCATIHAKSADASQINAVESAIRFAADSHPNRPIVDVITLPEHGGDEKLEENVEDETMTVDK >OGLUM11G19260.1 pep chromosome:ALNU02000000:11:22901881:22906492:1 gene:OGLUM11G19260 transcript:OGLUM11G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHLPGAGVDQSEDYSAAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWQGLFGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLGNTKFAVWGADSNTTPSASLCIANSQYPFNPCPGATNYKGSDLLDSFSSEDNNIKDDA >OGLUM11G19260.2 pep chromosome:ALNU02000000:11:22901881:22906495:1 gene:OGLUM11G19260 transcript:OGLUM11G19260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHLPGAGVDQSEDYSAAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEAAEASLLSQCEAGARSGCSITASRKCKPPWWQGLFGGAPTDYQERERCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLGNTKFAVWGADSNTTPSASLCIANSQYPFNPCPGATNYKGSDLLDSFSSEDNNIKDDA >OGLUM11G19270.1 pep chromosome:ALNU02000000:11:22917521:22920876:1 gene:OGLUM11G19270 transcript:OGLUM11G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPHESPLPPRSSLCALPPSTAGPPSPLPRLLCRTSALAVVPPRDSPPHRALADAVVVPPSARASPGTAPAGAAVVSPSVGAQPGTAPDGAGGSPFCRSRCGARHAGTPDSAQGPPRILGGAACSTSLGAGFSADIQDPASKERRYISQLIRSALQANPACSSADEPPNFTEARIGRFIKHQKY >OGLUM11G19280.1 pep chromosome:ALNU02000000:11:22930705:22933916:1 gene:OGLUM11G19280 transcript:OGLUM11G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BL79] MAHELPQTTSIHKHLDLDLFLHQVYDGPNKNQHLLIKPDSNSLLFGMLAVHDWPLYDDQDQSKGKLVARAQGHHMQIGQEKADQWFTIVQVVFVDGSEFMGSTLVVAGTYESGQKEKEKNNGIRLKTLSEQFTAYRQWVEGEPSALVNFIDYKASEVDAAINHTNRHLLGTSGFGTVYKAVIRGATVAVKIPNEIIDRGLLWAFTQEVAILRRIRYKNLVTLIGACIEKFALVYEYLPNGTLEDCLLEKHRGSFSWEKRVKVATSICSALLFLHDTKPDPIVHGDLKPSNILFNAENECKLGDFGISRHLEYTQHTATPLHETKEAKATWSYMDPEFTSSKQLTPQSDVFSLGIILLQLVTGEESAVSLRKKVVR >OGLUM11G19290.1 pep chromosome:ALNU02000000:11:22953859:22957867:1 gene:OGLUM11G19290 transcript:OGLUM11G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPLPSGCVDQSEDYSPAATVVRFDPPLPLLRAPVPSSAASGEPPVLAFRDAASWRAAWEATEASLLSQCEAGARSGCSITASRKCKPPWWKGLLGGAPTDYQERDRCEEREMAACLEAAREACVKFAKEKCIEPFRDARIASEGLLENTKFAVWGAGSNRTSSASLCIANSQYPFNPRPGATNYRGSDLLDSFPSEDNNIKDDD >OGLUM11G19300.1 pep chromosome:ALNU02000000:11:22960342:22963780:-1 gene:OGLUM11G19300 transcript:OGLUM11G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin family protein [Source:Projected from Arabidopsis thaliana (AT1G53860) TAIR;Acc:AT1G53860] MEPKSSSSSHYLQPSATLPSTRRNSFQGVGAEAGGGGMNPFGPTFSDPLCSLNLKETSEFVRSSFPMATMARSNSSNGATGNGGHGYHRETSTASSSSSSSASAQRRRAEQHQHQQQQVPATPGRPLLFFNSSSPAHHQLVSARRSVPSKWEDAEKWVRQASSDHHGGHHHHHGKGSKLQEEKKASAVRRSVDADVSALALCTAPAAEVFLKDKFTDNVEPSKESFVFRSAYCEPTKNTAAQAAAAGDDIDHRRDIGTEMTPLGSSTTSRCHTPIKSTSPARHNTPASRSGPLVPYAGGGGQDISDLADCHFAKLDLGAQFDAMLINWSSKEEEEEEVSKSLRHFEASVAAVGEKRGGAGDCRWEDDDRAKSCIRYQREEAKIQAWINLESAKAEAQSRKLEVKIQKMRSNLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLKRAAEQVRRAKATSHHHHHHHLAGSNASCGCFPCNGGSNIISGNLLNYY >OGLUM11G19310.1 pep chromosome:ALNU02000000:11:22967954:22968580:-1 gene:OGLUM11G19310 transcript:OGLUM11G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFESFTGRRDDRRLAFIKDGVELRAGPPPPATQQGDLRRPAPPPSLLAGRDGRHLQGRRRDVRRAQLRGRRCSGLPVAAAAVVFDAASPEDEDTSTSTAYVDADVFKALLYFMYTGTLPPPAPETMPAGPAAQDDDGAPAAAMAQQLIAAADRFCLDRLETMRLRLLCEELWTTGDNLLQCSQRRRLPWFKDMFLKFGGGAF >OGLUM11G19320.1 pep chromosome:ALNU02000000:11:22969628:22971173:-1 gene:OGLUM11G19320 transcript:OGLUM11G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRRQEDYYRYPTTSTIVASAPMPTGHHVLRIDGYSLTKAKFAAGEECDESCSFVVGGHAWRIRYYPNGAVVSGSGGGFVSLMLSLDHQPAAAVVKARFAFSLLDMDGEPVPSRTYASDGVVSFSANSSSTFGAERFIGHGELEASGHLAGDRLAVRCDVTVVKDIAVVKRDGPPTMSTLCPVEQDMFRCLLDTGDDADVAFRAAGGETIAAHRRVLERRAPELATLCRRRGGASCFMGLVGRHVIDVDGDMDAAAFKALLHFVYTDTLPETMAPREVPAMAPQLIAAARKYGLDWERLRLLSEDKAWGWRVDDTSMDTTAAAAPTTGDDPKRSQRRLPWG >OGLUM11G19330.1 pep chromosome:ALNU02000000:11:23024409:23026383:-1 gene:OGLUM11G19330 transcript:OGLUM11G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDILERIRHPNLVTLVGACREKFALVYEFLPDSLENLITGAGEEPDESEMVVGRHCFHGGSGRRRRKQQQPEKFPWEKRVEVAASVCSALVFLHNHRPDPIAHGDLKPSNVLFDKNGVCKLSDFGISRRLQVTDDTETPHHVTEQPMGSQSYIDPQFVMTHTLTPHSDAYALGIILLQLVTGKKDALRLRRWVLANANCKLSRRGGKTRRQQEGGEDVRWSRDMESELRWKSMERMVDKKLKLVSNRSKRDAMKMVSLGLECSNYERRDRPSLESVVLPSIESMKRSDANHHQLQEKQPPSRFLGCFVRCTPEHF >OGLUM11G19340.1 pep chromosome:ALNU02000000:11:23028023:23028316:1 gene:OGLUM11G19340 transcript:OGLUM11G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTSSAQAAGRRERPSEQPSGGGRCAGDARDERRWPTAEAARAQVTDGGSGTGSVGRRRSDGEGSHRCVLSSLFLPSCSIRMGAGEPDRSVSYGMA >OGLUM11G19350.1 pep chromosome:ALNU02000000:11:23035636:23036261:-1 gene:OGLUM11G19350 transcript:OGLUM11G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTRAAGGAGEEEARVGGAVGETTGKRRKAVDAAAMASSAGGGWPPTSPHHQRLLHRADSSPTSCAAASPWPRDAAVTLAIGQGSGRGSRKRRGAGAGDEAGLLGLRHGELGVAIGGRPKGILGSPGGKSGGNEMKWLKWQNKCKPSLDSGILTTPFRGMALWRNPLLAVAE >OGLUM11G19360.1 pep chromosome:ALNU02000000:11:23037578:23040277:-1 gene:OGLUM11G19360 transcript:OGLUM11G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFIEFNAWEIDDALRNSHMVGEGGYGKVYKAVPEDRTVAIKVHKRESLQGEREFNQECKIGEKTVAVKIPNNRDSRQPLPSMREFNQEANRRALKMQVAILKKIRHQNLVTLIGACPERQILIYEFLANGSLKDHLTESGQRRRFTWKRRVRAASSICSALIFLYNTEPNPIVHGNLKTSNILFSKDNVCKLSNFAMSHLLQYTSKPVSFWGGVKGFARMLIGSDTHKIQLDVSALGIILLQLVTAQKPKDLRKNVLSKLGDIIRFQGKSMEQQHEVLKSIVDPDLKKCQLDDAAEMLFLGLKCSDPNEKHRPDLAADVWPLIEEMKSSASHRQG >OGLUM11G19370.1 pep chromosome:ALNU02000000:11:23042794:23045326:-1 gene:OGLUM11G19370 transcript:OGLUM11G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BL88] MADQLPLPALHHHQQHGCCFRRNQLILDLYLDQVPNGGSKNQSVVLRPNAPSNFGMLAVNDWALYDGDQHDRKLVAHARGHHMQTSQHDVNHQWFISCQIVFADDSRHKGSTLVVIGTLVDGQKGDWAIVGGTGEFTLAQGVIYHDIVRNHASRRFRLLQIRAQYITMGSPVTRLGHVSNRFLRLRVSPNVVTHCSLYHSYINLTIVQPDVGCDHVGNIIGSLAK >OGLUM11G19380.1 pep chromosome:ALNU02000000:11:23051446:23056637:-1 gene:OGLUM11G19380 transcript:OGLUM11G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWVASAVIDLILVLQRLHRRKGSKGFAGIVSAREIFPHLLCKVHCVNGLTGEETSGEVRGINNRCNEKSATEERRKGGCSDIDKDTCDELCSIEDCCGEKNYGKECGKGDGDDLNLRIEAVRRGRAWSIATT >OGLUM11G19390.1 pep chromosome:ALNU02000000:11:23059020:23061551:-1 gene:OGLUM11G19390 transcript:OGLUM11G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAAVVSNFIFKWSQEKYILLRFRILMATLMVVHLFLVDCTYLAPIRYGRTVSSFSDAILMYVLGAVQAAPVKYGLFPVWALALVSFRSSFKGLTETYNTQVELGNVVKLLVVAYMNVTQGTEIGRVPFWIFWSLLVLKCFYRIVTLCRASKTLWHSRSSELLQAYMGPDQPHSNFVDNNTSSSTPNGAMEGCKYLVYGESEPEYSCRINATRDLNIDGLRNLLTLDTIYKREDVWTRSKKVCLAFALSRLLRCKLEGAKLHAGTVSMNRKLLIRNCDEPELLDLFNILMWDVGFLRDFLFTNYPMIFSKGFCSLGACLIMAALKFSMALWLSGDFFSEARHLSLDRSGSTHQHKLSATDLKITGVAIYFTALSDGYEMFKYCFLSDWMSLLAVHRWVNCGLDYLSLRCRALFHSVILSASLSTMLFLRGVEYTEQYVFLESYNSACKCSCLLHLLTVGRVGSSTKEDGKLATSIRTPQQVKTAVLSEAFQVLDHLVDDVHSLPRDCFAPMQQDANAPAPASALVELQYWSEIIQTTRAPKCSRVILILHIATSLCEIDLAREHGVSLSRSPFSAALSRLKFFLRSFCPHGIPYNGDRWIPYLVKEKLPSDDLWKNYMVANCLSRYCAYLLVSKPDLLPGNIWVSNKAFQQTVQCAREMLDGCDSLESKYDKLILASHEEATVVLPATDEGSEILRQGTRLAKKLINDEVKEKRWEILAKLWPRLLVHLSPSSNAQAHVKYLESKYFPELITIVWALFSHCGIEKSELWDAASLALMREQDAHVDNIRLWSTSRQPPAEVQETTGPSDPHIDNIRLWSTSHQPAGDLEDGGGEIQEINRGG >OGLUM11G19400.1 pep chromosome:ALNU02000000:11:23066060:23070473:1 gene:OGLUM11G19400 transcript:OGLUM11G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHEAGAYTGGRFHSDFPCEILEVTFHSDYTNDNDCNNRQGDHDSTKKDEGRNSDDGANNDDNNANSNDFGGLLLQPWLRHFVEQVADKKCSVERLTIQAEPRGLEEANSVAGRWSSLHPVHPGGGGAPVGHAVLRRRCCSSRAVATITNHAFASGFPCPVRSEKLNFQRTEGGEGRRVRQAGISDVAMPAIAHWRRETGRRRCLAGGRKRLQTRNAPLDSQNFNGGDH >OGLUM11G19410.1 pep chromosome:ALNU02000000:11:23067468:23070356:-1 gene:OGLUM11G19410 transcript:OGLUM11G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIATSLIPACRTRRPSPPSVRWKFNFSDRTGHGKPEANAWLVMVATARELQQRRRKTAWPTGAPPPPGCTGCKLLHRPATELASSSPLGSAWMPCHQYKELHRARDQAFATYLPAMVGGGGHQNPAAAQPVKREKAKVQKNPHQQSEEKPMDVAVGIVVVVVGAIIAIAAFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKASRSSGRNKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPSMQEFHQAIEILRNISHPNVVPFLGACIEKRAIVYRFGENSTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVEALGIVLLQLVTGDLDTKGLRKRVIYYLGDAKGFYKKTSSQQSEILKKFVDPELKRDRTSEDVARMLFLGLRCSDPISKNHPSLATEVLPQIESMKK >OGLUM11G19410.2 pep chromosome:ALNU02000000:11:23067468:23069078:-1 gene:OGLUM11G19410 transcript:OGLUM11G19410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGGHQNPAAAQPVKREKAKVQKNPHQQSEEKPMDVAVGIVVVVVGAIIAIAAFILLGAVMVTLAIVTIIVVCVVTAAQQYLEQANKNKASRSSGRNKFIVFKPSEIDAAVSKRAKWLRGTATYNVYRSDFDGMDIATTVPKGTLPSMQEFHQAIEILRNISHPNVVPFLGACIEKRAIVYRFGENSTLESHLKYLTWEIRVKSAASICSGLMFLHSRKPKPIIHGDLKPSNIIFRPGNACMLSDFGMCYLYSKEFGRLITDPCKIQLDVEALGIVLLQLVTGDLDTKGLRKRVIYYLGDAKGFYKKTSSQQSEILKKFVDPELKRDRTSEDVARMLFLGLRCSDPISKNHPSLATEVLPQIESMKK >OGLUM11G19420.1 pep chromosome:ALNU02000000:11:23072196:23072903:1 gene:OGLUM11G19420 transcript:OGLUM11G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRVSIAVNIADALVYLHNDCGRPIVHCDVKPTNILLDEDMSAHLGDFGIASLVLDSSLTSDGNSGCNSSIVVNGTMGYIAPEYAQSVCASTSGDVYSFGVVLMEMLIGKRPTDSMFENELTITKFVERNFPDHILHIIDVHLQEECKGFIHATTKTENATYQCLVSLAQLALSCTCRIPTERMNMREVAIRLHAIQTSYVDAIRQES >OGLUM11G19430.1 pep chromosome:ALNU02000000:11:23077041:23078200:-1 gene:OGLUM11G19430 transcript:OGLUM11G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFAVAVAPAAVGFDDIAARTGRTRAAIRRGANPDLFDLLALLASAPKLSTLNYPVRTPSTSVIFEQASYHVRVQLSLRRSAEACIMVAQVDDIDFPSFPCYNLTTNSCTPCETTCSLLFSLLPPTGASPLLPPINCRNSSRKVKPSLASLTDASPLSLTPLSEQPLRQPIFLSTICKHMRAQQPILAPPPFIPPNTLHVPTSNASDSAAGNDRLLQLFPPVVVPVADSNLVPVVEQPLLLGSTSATAPESARLMTARAWTRVAAQAPSSSGSASSRRSKSAKKTKKIEDLLHTPITTKPQPTSRKKVKEIASLCGLSSSSVLSDASLVSADTNYV >OGLUM11G19440.1 pep chromosome:ALNU02000000:11:23088383:23092140:1 gene:OGLUM11G19440 transcript:OGLUM11G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLELLLLLHGVGGIRCSTVPENSTDMLSLLTFRKAINDPAGALSNWDTRAPHCQWNGVRCTTKHPGRITALNLAGQGLSGTISASLGNLTFVRTLDLSSNNFSGQMPDLSNLQKMQVLNLSYNSLDGIIPDTLTNYRIYLELNQLEGNIPDELSQFTNVTVLGLADNMLSELSGNQLGGTLPINMGDHFTNLKIHYMSHNMLEGYIPASLGNASMLEEIVFQFNNFTGQIPTSFGELPKIRKFDLQANNLRAKDSESWSFLNALGNCTTLEMLSLARNQLHGVIPDSIGNLPTNLEILTLGGNNLSGIVPPSIGNLSGLIQLTLDVNNLTGSISPWIGNLKYLQSHTTLLGKPSTTLKAGSYLNDLEGTIPLEIGNLRQLIYLKLTSNKLTGKIPDALEMCQNLVTIQMDQNCFTGAIPISFENLKGLSVLNLSHNSLSGTIPTLLGDLPLLSKLDLSYNNLQGEIPRNGLFRNATSVYLEGNRGLCGGVMDLHMPSCHQVSHRIERTRNWARLLIPIFGFLSLTVLICLIYLVKKTTRRTYLSLLSFGKQFPRVSYKDLAQATGNFSRLNLIGRGSYSSVYRAKLSPVKIQVAIKVFDLEMRCADKSFVSECEILSFKKKECEILRSIRHRNLLPILTACSTIDYSGNAFKALIYEYMPNGNLDILSQKINIAVDIANALSYLHHECESSIVHCDLKPTNILLDNDMNAYLGDFGISSLILESRFASLRQSSPNSSIGLKGTIGYIAPEYARCGHASTCGDVYSFGIVLLEMLIGKRPTDPMFENELNIVNFVEKNFPEQIPQIIDVQLQEEYKGINQAMTKKENCFYVCLLSVVQVALSCTPLIPKERMNMREIAIKLHAIRASYAEATNREHLLCRRELQCVMEVV >OGLUM11G19450.1 pep chromosome:ALNU02000000:11:23105642:23108555:1 gene:OGLUM11G19450 transcript:OGLUM11G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNSPRARRDSGPGGAKAGRDNLLLAWNLTFLHTLNLSENDFYGQLPPLIHLHKLQVLDLSNNSLHDSISNSLTNCSALRILDLSRNSLIGKVPSKVDLLSNLSIFRLSWNNFTGIIPPTLSNVTNVERISLAYNQLIGRIPDELGRLSHMSILSLGGNRLSGRIPQAIFNLSSLQTLGLELNMLTKTLPANMCDALHNLRWLGLSGNMFEEWIGKLTNLQGLYLGANNYVGPIPSSIGNLTKLTELSLGDNALSGYIPTSLGNLQQLEALDLSYNNLQSSMPLEVVNLKQLTKLYLSSSKLIGQIPVTLGECQNLVTIQMGGNVLTGNIPLSFSKLKSLSMLNLFHNNLSGTIPIYLSDLQLLIQLDLSYNNLQGEIPTNGVFKNATAVSLGGNLGFCGGVVDFHMPPCPGISWRTERYYYLVKVLVPIFGFMSLALLAYCIIIHMKKTLKKIHLLMPVFGTKLRKVSYRDIVQATGNFSETNLIGRGSYSSVYRGKLNQVKTEMAIKVLDLEMRSAERSFLLECEALKSIRHRNLIPLITACSTIDHKGNACKALIYAFMPNGDLDTWLHHQEVQTAPKNLGLAERISIAINIADALEYLHHDSGRPIIHCDLKPSNILLDIHMNACLGDFGIARFYLDYISRSVGDSNSISAKGTVGYTAPEYAENGHVSTYGDVYSFGILLLEMLSGKRPTDHMFRNGLTIVSFVERHYPDQVVNVIDTYLLDECKAFTQEMLQIEHPAIFQCFLSLIQVALLCTHQSPSERINMREVAAEIRGIKMLYSRREVKVSNSFKRLINWASHGS >OGLUM11G19460.1 pep chromosome:ALNU02000000:11:23141513:23145232:1 gene:OGLUM11G19460 transcript:OGLUM11G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPRIPLASVLHLRHLASSRYRSAAGRFSSTGHRRSLAITRAVKSSLPRFLGSSSRLPVQLPTASPRVRSQWGIISSEQADLLLALLWLAPNHILFSTLIAADLPSRDNTKMTHVFNGRTRELWHLRWRDDHLLIADIQSPAPPCYETTQGGCGGMVDNASTKAVLEGMTKANTEGDSSTRCWRAACIIVVYHAWMFQEHLVNCG >OGLUM11G19460.2 pep chromosome:ALNU02000000:11:23141513:23145232:1 gene:OGLUM11G19460 transcript:OGLUM11G19460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPRIPLASVLHLRHLASSRYRSAAGRFSSTGHRRSLAITRAVKSSLPRFLGSSSRLPVQLPTASPPVLHPDRRRPSFQAALCGVVMAKSFQKDLNRIADKGQHQDDPCIQWPHSRAVAPEVAGYAYCFLSSCYETTQGGCGGMVDNASTKAVLEGMTKANTEGDSSTRCWRAACIIVVYHAWMFQEHLVNCG >OGLUM11G19460.3 pep chromosome:ALNU02000000:11:23141513:23145232:1 gene:OGLUM11G19460 transcript:OGLUM11G19460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPRIPLASVLHLRHLASSRYRSAAGRFSSTGHRRSLAITRAVKSSLPRFLGSSSRLPVQLPTASPRVRSQWGIISSEQADLLLALLWDNTKMTHVFNGRTRELWHLRWRDDHLLIADIQSPAPPCYETTQGGCGGMVDNASTKAVLEGMTKANTEGDSSTRCWRAACIIVVYHAWMFQEHLVNCG >OGLUM11G19460.4 pep chromosome:ALNU02000000:11:23141513:23145232:1 gene:OGLUM11G19460 transcript:OGLUM11G19460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVASPRIPLASVLHLRHLASSRYRSAAGRFSSTGHRRSLAITRAVKSSLPRFLGSSSRLPVQLPTASPPVLHPDRRRPSFQGQHQDDPCIQWPHSRAVAPEVAGYAYCFLSSCYETTQGGCGGMVDNASTKAVLEGMTKANTEGDSSTRCWRAACIIVVYHAWMFQEHLVNCG >OGLUM11G19470.1 pep chromosome:ALNU02000000:11:23147692:23150959:1 gene:OGLUM11G19470 transcript:OGLUM11G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANASTEGLMSVNQPVKLTMLMLLSLLLLSYGVGSIQCATVLANNTDMSALLDFRAIANDPTGALGSWNSSIHYCKWRGVTCGSKHPEQVTALNLTGYGLSGPIAASVGNISFLHTLDLSNNQFSGQIPSLSNLQKMQFLNLSFNSLEGHIPDSLTNCSNLRLIDVSRNSLEGEIPLRFDLLSNLLHLILSYNKLTGVIPPTLSNITGVQKLRLGYNNLQGSIPEELGNLPNLSKRSRKSYLSSQDFGENFPKVSYNDLAQSTRNFSESNLIGKGSCGSVYRGRLKEPDMEVAVKVFNLEMRGAERSFISECETLRSIQHRNLLSIVTACSTVDNTGNVFKALVYEFMPNGNLDTWLHYKQDGKANKRLDLNRRISIAVNIADVMDYLHHDCGRPTVHCDLKPSNILLNDEMTALLGDFGIAKFYADYGPAPRGSVSSVGVKGTIGYIAPDRLMH >OGLUM11G19470.2 pep chromosome:ALNU02000000:11:23147692:23152022:1 gene:OGLUM11G19470 transcript:OGLUM11G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANASTEGLMSVNQPVKLTMLMLLSLLLLSYGVGSIQCATVLANNTDMSALLDFRAIANDPTGALGSWNSSIHYCKWRGVTCGSKHPEQVTALNLTGYGLSGPIAASVGNISFLHTLDLSNNQFSGQIPSLSNLQKMQFLNLSFNSLEGHIPDSLTNCSNLRLIDVSRNSLEAISLAYKSYVWDTIIYREAFQKSLGICQIYRYYCWVGISYQILLGGNKFEGHIPTSLGNASWLNQIDFSNNTFTGQVPSSFGKLKNLRSLNLERNSLEANDSQSWDFLYAMTNCSSLQVLSLSLNQLRGYIPNSIGNLSSVLVQITMSGNMISGVVPPSIGNLQSLIQLTLDENNLSGTIEEWVGKLTQLQNLNLQLNHFTGPIPSSIGNLTQVTKVLLGTNQFEGPIPSTLGNLERPTVLDLSQNNLQGNIPPEITYLEELFYLDLSSNKLSGEIPDGWGKFQSIQTLQMAQNFLTGSIPQSFGKLESLNTLNLSYNSLSGVIPTALTALQSSTIDLSYNRLQGGIPTNGIFENTIAVSLNGNWGLCGGVTGFHMPPCPHVSERSKRSYYLIRVLIPTFGFMSLLLLVYFLFLLKKRSRKSYLSSQDFGENFPKVSYNDLAQSTRNFSESNLIGKGSCGSVYRGRLKEPDMEVAVKVFNLEMRGAERSFISECETLRSIQHRNLLSIVTACSTVDNTGNVFKALVYEFMPNGNLDTWLHYKQDGKANKRLDLNRRISIAVNIADVMDYLHHDCGRPTVHCDLKPSNILLNDEMTALLGDFGIAKFYADYGPAPRGSVSSVGVKGTIGYIAPGKFNTCLDHL >OGLUM11G19480.1 pep chromosome:ALNU02000000:11:23197180:23199679:-1 gene:OGLUM11G19480 transcript:OGLUM11G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAAVAMGVKSGIVLRRRRRAWLALVEGAPRGHACWVGHGLGISLNGTPWCDVELLCFSDSSRWMSKSRSDTQTCHIQSAHVKGGHINIAVDRSKVAKHKLKQGLRLILTTHFSVGKLKMSPGALIANFLIKWNLESYVLLRIRVIMGILTNLYLMFFFSSLFFTRIYRSMVNFLDPAADATLLFPVWALILVGLRSSIHGRSSSGTFFELRNVLKLLVVAYMNLTRGSKLWRFPFWFFWGLLALQCFYKILARHIASKSLWNGRVYGRNGNESNFNPEICNPETGRIQVVGLWRVAEKQKECTHSKS >OGLUM11G19490.1 pep chromosome:ALNU02000000:11:23201006:23203012:-1 gene:OGLUM11G19490 transcript:OGLUM11G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAAAMVVAAAATAVAVAHTLVFWLNRNSQAERILSLEADLNRQSENTLKEKEEHEKTRTELEVKEKQLEEERQNHTLTVQRHGEELERQSRELERQREELERQGRELKIKDGKLNRMKTLTIVYEKFRQWIEDEASNSSSGFTEFNHSEVDDSIPKDQKYHLGKGSYGIVYKAELRGKTVAMKILDKSSWQGEREFKQEVGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLSKEERKESFSWEERVRVATSICSGLVFLHNTKPNPIAHGDLKPSNILFDAENVCKLSDFGISRLLQHSTDTVIPNHITEVPKGSGPYMDPEFLSTGKLTPQSDVFALGIILLQLVTGQSATGLRKHMVDKLERQNLGKMDTRRQKMILEKLQILDDKLKLDDKFIQDAVRMLSLGLRCSYNERKRRPNLEAEVWPEIESMNKSECL >OGLUM11G19490.2 pep chromosome:ALNU02000000:11:23201006:23204100:-1 gene:OGLUM11G19490 transcript:OGLUM11G19490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLPLAGQLKEKEEHEKTRTELEVKEKQLEEERQNHTLTVQRHGEELERQSRELERQREELERQGRELKIKDGKLNRMKTLTIVYEKFRQWIEDEASNSSSGFTEFNHSEVDDSIPKDQKYHLGKGSYGIVYKAELRGKTVAMKILDKSSWQGEREFKQEVGILKRIRHPNLVILLGACSEKFALMYEFLPSGTLEDCLSKEERKESFSWEERVRVATSICSGLVFLHNTKPNPIAHGDLKPSNILFDAENVCKLSDFGISRLLQHSTDTVIPNHITEVPKGSGPYMDPEFLSTGKLTPQSDVFALGIILLQLVTGQSATGLRKHMVDKLERQNLGKMDTRRQKMILEKLQILDDKLKLDDKFIQDAVRMLSLGLRCSYNERKRRPNLEAEVWPEIESMNKSECL >OGLUM11G19500.1 pep chromosome:ALNU02000000:11:23207113:23209724:-1 gene:OGLUM11G19500 transcript:OGLUM11G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYIARSITCSAFTNRAAAVAGSCKGIFSNKVCDKIFSGFKGLGLFLATYFFVGRLKMSPGEFIANFLIKWNQENYILLRIRVIVAFLIGLYGLMMLFSGIFLFAMKRSMLSFLDAVTDAILVYVMGVMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTFFELRNSLKLLAVAYLNITHGSKLWHVPFWLFWSLLALSCCYRILARHVASKSLWNGRSSELLQEYMGANGNESNFNPERCNPETMEGYKYLVYGESQKSRKNGHTLSVKDLRTPITLDKIWQCECDDGMLLSSIKRQGKDLSLSFALSRLLRCRLEGAELHADTVSMTRKLISKRILAEDPENEQFGIRILELDVEFLRDSLHTSYPMVFCSGFLSLSFTILACLVKFLVVLWLYKDISKVYSLDLDPLSFYKDFSKRGLRLYIDETRITTYSLTTVIILETWEVLTYFVSNWTRLLAMCKFVNCRNRCLKFVLNMLFRFHYILNLVKRFDISCLQQCPTMFLRPFGFCSTMFKWEMTPFIKGRNPNESVKARVIQALRSMDLEGHPLSKHLPSPRLSVRAERYWLASVADVPRCSRVILVWHIATCLCEIKFNNDSFTGCCLKWMSMLPSTEVDDDTDDELDKSYAVTYYLSRYCMHLLVSKRKLLPEDILVSKKTLQDAVQCAREMLKGCKSFQSVYDKLMEDQPQKALVPDAHGINLSGNILQQGAIMANALIVNEEDETSRWEILAEVWAHLIVHIAPSSRIEAHAENLKSGSEFITVIWALFSHCGIEKSELWQ >OGLUM11G19510.1 pep chromosome:ALNU02000000:11:23243061:23245295:-1 gene:OGLUM11G19510 transcript:OGLUM11G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGAFIAYFLIKWNQETYVFLRIRVIMGFLIFLYMVMFFLSGIFFFSTKRTILSLLDALSDAILVYVIGAMQAAPFKNQLFPVWALMLVSFRSSINCLSSYGTYFELRNALKLLAVAYLNITHGSKFWHVPFWLFWSLLALKCFYRILARHVASRSLWNGRSSELLQEYMGANGNESRFNPGSCNPDTMEGYKYFVYGESQQSKKNGHGLSVKDLRSQVTLDKIWQCDDSFLPSSINMKGKDLSLAFALYRLLRCRLEGATLHAATVHMNRKLVSKRILSDNAGKELFDILELDVEFLRDSLHTSYPMVFCRGLLSLSFTLLLSLVKVSMASWLYAKIGKVYYPKSELDKARGSLTYSFRYAMHSVISGTELGITAGAMYTILMLEMFEMSRYFISNWSKLIAICHFVNARNKWQKYVVMNILFRFPAKILGHREGIIVTGQSVLLQPFSSRMSVWKMISFNFKRNQNKSTVHLKVKRNSSMGKSEKAAVIRALRSMDLEGHPLSRDLPSPRVSDRAESYWLACLEELPRCSHVILVWHIATSLCAINLANDNRINLTGKLRKAYDVANFLSEYCMYLLVTKPKLLPETILMSKKACQDAVQCAQEMLKDCHSYCDIYDKLMKEEQKALVPGTHDDDVNLSGNILQQGALLANALIKKEGQACLWEILAEVWGHLIVHIAPSSNVEAHAKDLKSDTEFITLIWALFCHCGIEKSELWQEKKGAKSGNDTPGLVNQSGPASGMS >OGLUM11G19520.1 pep chromosome:ALNU02000000:11:23248819:23253404:-1 gene:OGLUM11G19520 transcript:OGLUM11G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARVLKDRLDRWNDLDPQIEEKEEHVKTTELEIEEKQLEEERQNHILVDHRHVEEFERQGTEFETRCEELERQGRQLERQGEELKRMKTITIVYEKFRQWIEDKASNSSSGVSFIEFNPSEVDDSVPKDQNHCLGRGGYGIVYKAKLRNETVAMKILNESSRQGEREFKQEVAILKRIRHQNLITLRGACSEKFALMYELLPNGTLEDRLTNEKQRESFSWEERVRVATSICTALVFLHNAKPNPIAHGDLKPGNILFDDENICKLSDFGISRLLQQTNDTGTPNHITEVPKGSGPYMDPEFKNTGKLTPQPDVFALGIILLQLITGQSATGLRKHIVDKLEGKKLEKMDTRKQKMILEKLQILDAQLKLDDTSIQDDVKMVSLGLRCSNSERKHRPSLEIEVWPEIESMNKSECLYGSDEVD >OGLUM11G19530.1 pep chromosome:ALNU02000000:11:23259374:23261080:-1 gene:OGLUM11G19530 transcript:OGLUM11G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCGLPEEEMAAARPRSICVYDVPEFTARSVDCGSCMPDIHIQAKLSARGFFLGSYILIDLTVLTSEE >OGLUM11G19540.1 pep chromosome:ALNU02000000:11:23263556:23264814:1 gene:OGLUM11G19540 transcript:OGLUM11G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQRDRQKSITSGLNIKIWVFGLALDRSYKGLRVPWPWSIASREHATKEGRGGSGAVPAKGGGGS >OGLUM11G19550.1 pep chromosome:ALNU02000000:11:23265805:23272732:-1 gene:OGLUM11G19550 transcript:OGLUM11G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLHGQSIEAPYLIFFVSSSVGSTRKNEDVRTLVRENNISIPHNRKEPDHRPRPPGTQPPPHRPLRVHEAVGRLELASIRSTPIIRISGSRHRRSSAARCEKQIESRYELLTTSPYLDLTLRASIQRSEERKMIGLHEIRDLVSSLFVMLNQKKFILFRIEFLVVLVTVLFLVMFIMDIFRRHIHNATMKAIFTILDAVSDSIVIYLLGAMKTAPFKNQLFPVWALVLVNFRYSVDFISGYGVPDRRGRRFTEWRNVVKLLGSAFLNLSRGSKFALPLWCLWALQMLRSWYRFHSRTLAINSNWHGRSSELVSEYMREANNWKPEECSPRTMEGFKYLVYGERVKLQKPRYVLNINNRSTSLQKKEDLEATSQRRSSERGNHHATSGSKTITRSSLITLDKIWGCNRHLLCSCDNIPSPNNSGNIIQGKDQKDLSLAFALSRLLRCRLEDVKLQRGTFRININLVKRRIIEEKDANHAFGIMEQQLAFLNDYFNTRYPMVFWSGLTSLSWSLLASMVTFCVICWLSIDIRKVYKPPEGELVHLKQGVNVDMIITWVFMLFMLFKEIWEMVTYLLSDWTRLLLVCMYARWDDERTRNRCMENLILSFFKSNIIANRWHGQIDQYVFLESYDDRPRIWNLIHKISTGMVPKKDNGAKLSNPIDIPECVKHAILEKLNSIDLTAGHLPKVVISLLDDKRKSYRWACSELQTCTHTILVWHIATSLCEMELAKNEGVDLSKPGFLCYLLSCFTNCFSSSLYLMDEKKLPGKLQERYIIANSLSRYCAYLLVSKPDLIPDSFFVPNMIFQEAVTLAHDDILKGCESLQEKYDKLMPKEKNNTQNVGEENINEDVLRQGAKLADNLMKEENEDCWEILSGVWTELLIHLAPSWNASAHKKCLESGGEFITHIWALLWHCGIEKSMLWPVEEVPDNNAPGATPNNNAENSNVQPVKEMQQAAGDRQQMPATTTPNGGHRSGLANGQGNVVRKMQNIGNRCYFNAVLQSLLALSELRSRMLEQDPPPGRALHLELKKLFVDTINYKESTLETEKLFQLMCSRHEDINQGDMGDSNHALHSLLNDLINEEPEGMDFPSTVKSLFNGQVVKSVSSKQCGHHSITTEALVLSLAIPSNKPVSMKDCLDLYTTGEIDDWECKECSVSANENASASQTDSTVDDQTEQLNSGTHQKEQFSYSAGKKIITQNQHQGKLPLLDCNARQIDQYHNKPKEGKKIRRVATIKYRINKPPPVLTIQLKRFEFVHDDGSGKIEEHVIFQETLDITEYMDTRYLDNEEYKYCLVAVIVHGGQKLDDGHYFAYVRASRTGGQKRESSDTHSWFLANGEKVEEVLFENVLKCEAYILFYERVPHSKFKGSLETHTQINHGFREA >OGLUM11G19560.1 pep chromosome:ALNU02000000:11:23274038:23276668:1 gene:OGLUM11G19560 transcript:OGLUM11G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSIFSFMKRLFKMDKVTGMASVELWVLMTTFLLVSRFVLDFFGPWNADKSMVATIQIIEMLNYAMVQYTMGLMQLSAAKVNDYFQVWAVLLVTLQYSVKIGRPYSRSKQLPILDLMSSLWAANLIRLQTLLLLKIPLWIIWSLNSLRIISYFFSSDKASDFNQENTRLVSDYMRYEHTLDVPVVNGNEEMEMMQQYRYLVIGEDEALKQAQEEGRRAGTATPAQYRIRLDPGHDKLVTLDKIWRVVASSSSSSSSSQSGILGCSGNRDVCLSFALYKLLRRRFYDLPLHEARQEKTAQLVFDYILQDGATGYERAFRVAALELSFLQDLFYSKHAAMFAGGGFPAKTLLLSLSLVAATGYVAYPVRRIPDRMDQADRNTITHGVFVTRLIVALIVCKELSEIYLYVFSQWTKVLILCKHVRSLCLRHPLVETVTSKPVRSLSLRHRLVEMVTRMVFWFINRGEWNQNIGQYNLLISPVWPRFVFKIWWLSRFRFRFWGRFGFRICGLRFRFRVWGPMFMFRSHTIRYFWGKTSSTTKPEPVVKKALLDSFKLLKGCPIHLRPRRLESYFHNAFGSDEDRVQELKWAVDDLETDTHRILVWHIATCICEINLSGRNMAPKVSLLQVRPLVDRSAAPEAVWPHYATASTLSNYCAYLVTKGLVPDNGLVNGMVFREVRWETMRACFSRRSSLYDVHDELRKKGEEMVKRQKQRVEQEEDPATHGASSTTISEYPGEEDDEINEAQDGNGDPVPFEGGRPQENNSQEEVGDGDGDGEGEMENSIVLMGAKLAVQLMKSYETDRERMWRELAEFWTGFLLHLAASTRAAKHRTHLVCRGELITILWALLSHAGFLGRTSHAHTLLDPEDLDAADPLS >OGLUM11G19570.1 pep chromosome:ALNU02000000:11:23279923:23281065:1 gene:OGLUM11G19570 transcript:OGLUM11G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSPSAAASGGGGGGCEAVPSTSTIVAEMATGSHVLTVDGYSGTKGLAVGEHVKSGTFVAGGHSWHIKYFPNGATDETSEWVSVFVCLIRPAKTKGAAATVKARCKLTLLLDGRDGRAALPPPPPFTKSCELRTFSSGKGGSDQWGHKKFVRRKELEDPSKRLLRHDRFSVRCDVTVAVGIRTDDTTAKLPPPSDLHRHLGRLLKTNAGADVTFDVAGHKFAAHRCVLAARSPVFMAELLGPMKEEDTESHVVAIRDMDARAFKAMLHFIYTDSLPNVDDGGEAAAMAQHLLAAADRYDIERLKLICEDKLSGGVDATTAATTLALAEQHGCCRLKEACLRFMASPANLKAAMASDGFEHLARSCPSLLKELAANLAV >OGLUM11G19580.1 pep chromosome:ALNU02000000:11:23281346:23285251:1 gene:OGLUM11G19580 transcript:OGLUM11G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSASAGDAPPPTTSVTASTIVAEVVSGSHVLKIDGFSQTIGASDGGSYALEESGYLVGDSFSLRCDVAVVKDIRTEDDATTVKKLVGVPPPSDIGAHLGRLLAAGHGADVAIHVGGETFAAHRCVLAARSPVFMAELFGPMAMSRHNNEETIRVHDMEPRVFEAMLHFIYNDSLPEVDDDDDGEVAAMAQHLLVAADRYDMGRLKLICEDTLCSHVDASTAATALTLAEQHHCERLKEACFKFMENPSNLKAVMASDDFLHLTRSCSSLLKKLAKLAA >OGLUM11G19590.1 pep chromosome:ALNU02000000:11:23286247:23286484:1 gene:OGLUM11G19590 transcript:OGLUM11G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRVFEAMLRYIHKDSLSEMNDDEVAAMAQRTDLGGHAVQPRQRQHSITVMASWRLAWNSLRAVLLIWI >OGLUM11G19600.1 pep chromosome:ALNU02000000:11:23286813:23289958:-1 gene:OGLUM11G19600 transcript:OGLUM11G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAFCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDRVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRG >OGLUM11G19600.2 pep chromosome:ALNU02000000:11:23287151:23289958:-1 gene:OGLUM11G19600 transcript:OGLUM11G19600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFARRLGGIPWREIAGEAFSRVFLVAQAFCAVHVVNAHVCSFALVMGPSMLPAMNLAGDVVAVDRVSARLGRVASGDAVLLVSPEDPRKAVVKRVVGMEGDAVTFLVDPGNSDASKTVVVPQGHVWVQGDNIYASRDSRQFGPVPYGLITGKIFCRNPGLSLYLYLSEPTFFYPFAPEFIEDGLHILRFL >OGLUM11G19610.1 pep chromosome:ALNU02000000:11:23291487:23295705:-1 gene:OGLUM11G19610 transcript:OGLUM11G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQQRMKQAAAAAQQQQMMQQALLMQQQQAAAVAAAAQQQQAPLFPPHHPHPGLLAAPQIEPIVSGNLPPGFDSSTCRSVYVGNIHLQVTDSLLHEVFQSIGPVEGCKLIRKEKSSFGFVDYYDRRSAAIAIVSLNGRQLFGQPIKVNWAYASTQREDTSGHFNIFVGDLCPEVTDAALFAFFAGFTSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINELNGKWLGNRQVRCNWATKGANAGEEKQNTDSKGMIELTNGSSEGGKDNANEDGPENNPQYTTVYVGNLPHDVNSNDVHRFFHSLGVGSIEEVRVTRDKGFGFVRYSTHEEAALAIQTGNGQLIGGRQIKCSWGSKPTPPGTASAPLPPPAPAPFNPGMSATDLLAYERTLALSKMAANPALMSQHAALKQAAAMGMGAGASQAIYDGGYQSANAVFY >OGLUM11G19620.1 pep chromosome:ALNU02000000:11:23300872:23301201:1 gene:OGLUM11G19620 transcript:OGLUM11G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSSPSTSPVYAVAAALLLVYLMVAMGAGVVEAAVVPPSRCNPTLLTPCAGPALFGGPVPPACCAQLRAQAACLCAYARSPNYGSYIRSPNARRLFAVCGLPMPRCP >OGLUM11G19630.1 pep chromosome:ALNU02000000:11:23305180:23312299:1 gene:OGLUM11G19630 transcript:OGLUM11G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSGEIALIADGMNMIMETAVGGHAVAAVRSQSFLGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSLQQSREAYSAEESNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPALGSGLHPFRQQIVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISLFSDIGLDSHSASILISVLNASLMLPCITVAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQECL >OGLUM11G19630.2 pep chromosome:ALNU02000000:11:23305180:23312117:1 gene:OGLUM11G19630 transcript:OGLUM11G19630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSTVFSAVAVSIGYTLLGWDFTTVLEANIHMKKEFGLNNGPSIDGIILAVSVFGSIAITVFSGSLLDWLGRRAALIYSSLLLISGGLLMVWSPNIYILLLARLIVGSGSGLVFTCVPIYISETSPPNMRGSLGTMPQFMFFVGIVFSYCLIFWMTLIPSPNWRIMIGAIFAPSLVYFALLVFYLPESPRWLVSDGKISEARISLQWLRGKDDVSGEIALIADGMNMIMETAVGGHAVAAVRSQSFLGTSTNQMSRHSTFYWHLSDPLVDLLGSIHESMSELGAGRNSYFPVFNSFNIVEQERTSEQRGNDSLQQSREAYSAEESNNGDNLQASLLSQVASAETNDINTSFTSEGSSSYLRRHGTSTSGLAQDLISSLHDHDIEEDDEEIHIAALSSQPALGSGLHPFRQQIVRLSETADIKPKWRVLLQPGVRHALCYGMLIQALQQSAGISGLLRYTPQILEQVGVISLFSDIGLDSHSASILISVLNASLMLPCITVAMILMDVCGRRVLLLVSIPFLTLSVGAISLSNIVKMGSLPHEILFQLSLTICFCSYVIGLGPIPNILCSEMFPTRARATCASFCSLAFWFGRLLSIYCFPVMLSTIGLSGACAIYAFVCCLVLVFVYLRVPETKGLPLELIAEIFKFSRQEWQLPHHLPHFFPQ >OGLUM11G19640.1 pep chromosome:ALNU02000000:11:23312447:23315373:1 gene:OGLUM11G19640 transcript:OGLUM11G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLAFRLSTLFLLLLLAAGAIAVDPDGAAAPDTAAAPDTEAAALLRLKASLIDPTNALEAWSPSSPSPPCDETHRWPRVQCYNGVLIGLRLARLNLSGDFDFAALSRLPGLHSINLIRNNFSGPLPASLAAVRSLRALYLSRNAFSGPVPGDVFDAMSWLKKLYLDRNDFSGELPAGAIAGAPRLQELHLDHNRIEGRVPSKLPATLRLFNVSHNRLTGVLPEAVAARFNESAFAGNPGLCGAPGSGAGACAAAAPGPAHSAMPPMSAADYFAVQEETSVFVVMGIIMLVVLLVAGAMVLMLRQDEGTSTASSGYEHPAIGAPSGNLSVPHAAGAAASAQLVTMEQGGSGGGGGGGVGGVGGARKQVAEFVLMSNAAGEFGLPELMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRVGRAEFEEHIRMLGELRHPNVLSPLGYHYRKEEKLIVSEFMPRGSLLYVLHGDQSPERVVLDWPARMRIAVGVVRGLSYLHEKLGIPAMRLVSMTGADFDAPPPPPPHGNLKSGNILLDAHLEPRIVDYGFFPLVNTSQAPHAMFAFRRRAGRAWRTSRGWSSRSPAAAAAARRDRADERTNTVQWWRGAMIRDARSPPMHVELKRGRIPAVDAEEG >OGLUM11G19650.1 pep chromosome:ALNU02000000:11:23332137:23332895:1 gene:OGLUM11G19650 transcript:OGLUM11G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDGEMPALESQFNQCAITAEEEAAALPDSTETPCTNVASQDEESGSAAGESESESDSDDLDEVIADILEGGDDGGSADYYTGPLLPEGTMLLTKTLVDKILALRRRRFPMPPATGGERLWWVSPEFREELIAAELAAAAVFDASQDKFVECQAMIAEKRHPEHGYAVVEETGEVMTTICRAFFGRYNESDDDEDDDLLCDCVDANECKCGGDDWANEFIDEEDDDCSEDVDEKEEEKDESCCQRMIYRLR >OGLUM11G19660.1 pep chromosome:ALNU02000000:11:23346026:23348884:-1 gene:OGLUM11G19660 transcript:OGLUM11G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGHVLPGTLFLAVGAWHVWAAAARFAADPRGFRLRAWNPVEGGGAPPFLAHLELYVIAGGAFLDMCVEVLYSTHLHIFADGGINPAHLNDLEHGGMLLMFFLFGILALLSQKTRYLPLPEGALCLVASTAFMAELLLFYFHSTTHQGLEGYYHYLLVVVVALCVATTVLGALLPASFPVDIASGAAIALQGLWFYQTAFTLYGPSLPAGCRRDADGHIDCHTHAAQERAEQLANFQLFGLVFLVCAYALGCFAVAAARHGHPDLATMHAKHVAAMEAQLAGAGAGEGDRFVGSALPLEDTAI >OGLUM11G19670.1 pep chromosome:ALNU02000000:11:23352260:23357102:-1 gene:OGLUM11G19670 transcript:OGLUM11G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEQEARWLAAQGVAVGADLVAAALRQLEFLAAVDRRRWLYEGPLLERAIHRYKTCWLPLLAKHTQAAVVDGPLVVPLDCEWIWHCHRLNPVQYLKDCKRLYGRILDNSNVESSIRAESKHQSEKVWAEQYPKEPFELENTSSSDNSIYANAGAAEDISYDLVAAVKRQSSFFYQVDTPTMHDQRFLEEALARYKGFLYLIKTNQENKMKLFRVPTYDVDVMWHSHQLHPATYCHDMLKLIGRVLEHDDTDDDRSEGKKLDTGFSGTTEQFENAFGARYWKAGAMYRGNLPSPVTSNPQMFSGEVNGEFSVGKAESQITILETTVIELFLQIVDIKNLPPAIPKENVYIWFTKNQPDMFISDGGRLDISTKTGKSIGASIQCEPTGELILTVLVDRTSSKKPKKIGKVSISLQEFTWSDSKLSFERWFELKPHDGHASSTPVSVRVAASSTVPVRAQQVLSMIRTEPFSLKSILSPNSVKDQKMSCWTRFVYDCNTELIRLQIRDQKAKNGMVVARELVGVTKSSKKPFKLAEFVDNKWSLSSSNLCITNDMKPSKDGSILELKCDNKMIKLYQGKRLEFQRKCCNNHAEEDASAITAVKFSAEHPYGKAVALLDSKSELIMVKEDWFLLPWIVLSFLSQDINVKDGEKLILIGGAMAQKDAISEPDTAAMATSAETVAAPANCGTCGTACGSNMAGDKVVAAARCKAARCKAVAAGGGGQTESAGCGSGCGGGCGGGCGGGVAKVVEATKAGGGGVGGGHGKSGGCGSGCGGGCGGGGCGAMVVESSKDDVHAKSAGCGSGCGGGCGGGCGGGMVMESSKAGHVKSSGCGSGCGGGCGGGCGGGVAMESSTVGHAKSGGCGSGCGGGCGGMAAKSGGCGGGCGGGGCGGGCGAMLNAST >OGLUM11G19680.1 pep chromosome:ALNU02000000:11:23359375:23360455:-1 gene:OGLUM11G19680 transcript:OGLUM11G19680.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:stress enhanced protein 1 [Source:Projected from Arabidopsis thaliana (AT4G34190) TAIR;Acc:AT4G34190] MAISSVFLRPSLFSSPPAAAAASSPRRHAAVLRVTSSKRRPLFSRAATSLTVRCEQTAKPGGGGGAGAADVWLSRLAMVSFSTAVVVEVSTGEGLVANLGVATPAPTLALVVTSLAAGLAVYFIFQAGSRN >OGLUM11G19690.1 pep chromosome:ALNU02000000:11:23365192:23365545:1 gene:OGLUM11G19690 transcript:OGLUM11G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSFSGMANGGQVDNKLIQTFHKSFVQVQSILDQNRMLINEINQNHESRAPDNLTRNVGLIRELNNNIRRVVGLYADLSASFARTMDASSEGDSSGTLRSSDGAGRTGQKRVRPG >OGLUM11G19710.1 pep chromosome:ALNU02000000:11:23393661:23395110:-1 gene:OGLUM11G19710 transcript:OGLUM11G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYTVCATEAILNSIGITPSIWVSKVRDILTIIDRIIKQHSSSFFRHLVSHPVDLPGYPSDLHPTFRNGGKNLTPKGPETPMTWICTKVFFPEHFCNYQVICEEGRRGWEPLLMRERAAHCHSPVGRVPPPLAVGEKLGAAATLLREWIRENEREKERVLTSG >OGLUM11G19720.1 pep chromosome:ALNU02000000:11:23396066:23415299:1 gene:OGLUM11G19720 transcript:OGLUM11G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALCSGLGTLKYLVASSLSEACNNSVLLLRPRGCGKAAIRLNGMLHSDDNCATKASSDDNTEFMIDMLRCGAMMRKIDTEDRHQWHLMYGPVGSVESHKEEEVWFIKHLHQSGRAWERPKLMFDLKQTGSFLH >OGLUM11G19720.2 pep chromosome:ALNU02000000:11:23396066:23415299:1 gene:OGLUM11G19720 transcript:OGLUM11G19720.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIDALCSGLGTLKYLVASSLSEACNNSVLLLRPRGCGKAAIRLNGMLHSDDNCATKASSDDNTEFMIDMLRAWERPKLMFDLKQTGSFLH >OGLUM11G19730.1 pep chromosome:ALNU02000000:11:23439077:23440183:-1 gene:OGLUM11G19730 transcript:OGLUM11G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSPATSDAATGDVPEPSRSSSVVKAVSGYHVLKIEGYAAGVKGLGVGSFIDSGSFDVGGHRWCIRYYPKRSPASPGDGDWISIYLNLCSTAAAIGDVNASFTISLLDQDDDEHQPVAAHSRSCSSTVTFSSAATKAWGFPRFVERKTLEESPYLRDDSFVLRCDVTVFKENIIEPAAPTPLVAVPPPDMHRHLGSLLSGGHGADVTLQVGDETFAAHRCVLAARSPVFMAELFGPMGQNNKETIRVQDMEPRVFEAMLHFIYNDSLPKVDDDEVVAMAQHLLLAADRYGMERLKLMCEDTLCSHVDASTAATALTLAEQHHCEGLKDACFKFMADPDNLKVVMESDGYLHLTRSCSYVLNKLAST >OGLUM11G19740.1 pep chromosome:ALNU02000000:11:23489616:23490748:-1 gene:OGLUM11G19740 transcript:OGLUM11G19740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIKSSLTDSWLGIDGTPINEVPKGIGGLKFLNDLEGFPIGGGEYLTLRWCKSCVCLPTIGQLHNLKYLRIEGAIAVTKIGPEFLGCSMGKHRTIEETVAFSRLELLTFTDMPNWEEWSFVEDNDEAATAEPVANEGEANDAAAKPKIEAPVRRLQLLPCLKKLHLRNCPKLRAFLRQLGKVATSLKVLTIGEARCLKVVEDFPFLCDNLSIIGCNSLKRISNLPQLRDLCVARCPNLRCVKEFGSLQQLWLGVAMQDVSSLWVAEL >OGLUM11G19750.1 pep chromosome:ALNU02000000:11:23513996:23515030:1 gene:OGLUM11G19750 transcript:OGLUM11G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDKLALAVAHVAFPDGDLFTFPDLEPHGAGAGGEGGTAGYLAACGDRLLFADDEYGVLRLTSPLTGDTVVLPGLVIGGGVSVRDVPVVLADEAAPSGTAPRRWRDSEEMSVLKLVVCPVGGGGGGGLVVAAIVGREHFAKVALCTPEGFVWSISARDRWRWYDDMAFHGGRLYALTQAEDLLAFDVGDAGDGEAVVTGVERVVRSSVEALDVEDTRMHYLVTSLDGALLMVRREMADAGSTDGFEVFEADLAASRWVEVGGLGAGGEALFVGRLCSRAVRAPDDGDQIFFLDDTDGLSFRWELQPRPPYQVAAYDMVRRTFSMLMWKKPLEDGDMALPRRRR >OGLUM11G19760.1 pep chromosome:ALNU02000000:11:23531388:23535065:-1 gene:OGLUM11G19760 transcript:OGLUM11G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAAAAQKALLAQPVLILIILIVSSCPCVSSLAPSRTHNTSEADRQALLCLRSQFSDPLGALDSWRKESLAFCDWHGVTCSNQGAARVVALRLESLNLTGQIPPCIADLSFLTTIYMPDNQISGHIPPEIGRLTQLRNLSLGMNSITGMIPDTISSCTHLEVIDMWSNNIEGEIPSNLANCSLLQEITLSHNNLNGTIPPGIGSLPNLKYLFLANNKLVGSIPRSLGNRTSLSMVVLAHNSLTGSIPPILANCSSLRYLDLSQNKLGGVIPSALFNSSSLLSLDLSSNNFIRWSIPSTPLISAPIQRVILANNTIFGDSITKIPYLQELDLAYNNLTGTVPPSLYTISTLTYLGLGVNNLFGRIPTNIGYTLPNIETLILEGNHFDGPLPTSLVNALNLQVLEVRDNTFTGVVPSFWALLNLTQLDLGANLFESVDWTSLSSKINSTKLVAIYLDNNRIHGILPSSIGNLPGSLQTLYMTNNRIAGTIPSEIGNLNNLTLLHLGENLISGDIPETLSNLVNLFVLGLHRNNLSGDIPQSIGKLEKLGELYLQENNFSGAIPSSIGRCKNLVMLNLSCNTFNGIIPPELLSISSLSKGLDLSYNGFSGPIPSEIGSLINLDSINISNNQLSGDSAYTCSLQLLNLSFNNLEGMVPTYGVFSNSSKVFVQGNRELCTGSSMLQLPLCTSTSSKTNKKSYIIPIVVPLASAATFLMICVATFLYKKRNNLGKQIDQSCKEWKFTYAEIAKATNEFSSDNLVGSGAFGVVYIGRFKIDAEPVAIKVFKLDEIGAANNFLAECEVLRNTRHRNLMHVISLCSSFDPMGKEFKALILEYMANGNLESWLHPKVQKHRQRRPLGLGSIIQIATDIAAALDYLHNWCTPPLVHCDLKPSNVLLDEDMVAHVSDFGLAKFICNHSSAGLNSLSSIAGPRGSVGYIAPEYGMGCQISTAGDVYSYGVILLEMLTGKHPTDDMFKDGLNIHKLVDCAYPHNVVEILEASIIPWYTHEGRNHDLDNDVDEMSIMERCITQMLKIGLECSLESPGDRPLIQDVYAEITKIKETFSALDS >OGLUM11G19770.1 pep chromosome:ALNU02000000:11:23536500:23537792:1 gene:OGLUM11G19770 transcript:OGLUM11G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWLSTSMCPIKPCTRPDFHLTNECFPLHIFPIFYGSYKCMDAYYTHVDQMARMMRNNFVQKLNTGMQFSSNHARPIKFCLKKAFGCGKSLSR >OGLUM11G19780.1 pep chromosome:ALNU02000000:11:23541124:23542142:1 gene:OGLUM11G19780 transcript:OGLUM11G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTTTSFLPQTLLLLALVILPSTMADISDSTAPIGCHSCLCDEAAPPSASEGQMQLSIQTATGRRGSHPVIARCAGHPRRVGDSSDPSPLPGSTVHPPSTPCNYPGQSGCPH >OGLUM11G19790.1 pep chromosome:ALNU02000000:11:23576600:23577989:1 gene:OGLUM11G19790 transcript:OGLUM11G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIESERNEELSSEQKARLDEVIKQAELSLQSDEELFNQSLAVAAEKEYIDHKAAAAANLLGNNYIVVAGGLVNNTINGSLTTATDIQHQYAGSVLIDYPNPLDPGSGNFSMGGNGSVEAAVLYFGTNRNRDQDCAWLLGFRVPQMEVYVVCGPMTNFNNLDWGEIKINIERGGKSGSYYDKNTGTQINASLASDPKTSRYFVTAYFY >OGLUM11G19800.1 pep chromosome:ALNU02000000:11:23597497:23600268:1 gene:OGLUM11G19800 transcript:OGLUM11G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDKFDMLLRMLEECERRREEADQRRRVDFLSLKAAIGSWMPQEQKKAEDLQFLVEDEQSKVTPTTCSMECPNGSSPSTTARSIYDDEGATPMIILELGDGEGKDRMPFIISKDLPELTPIMCLTKCSSLDVEPDFTMAAVVTCSNTAMDSKELIATDGATGTTNIDPRVFSKETRTKCLLFGPDVNGVTDRGVIAFQSRMGVFKVVPISSQSMELMVDEKATCTDTTHLPKVMHPSHLMLGPNVNTDTVQARVAYSLLLGAPEGIASSGKATLVMAQKLNSNFCLKWVALNRCSTKCSKGYKKLLMSHPKRNPWPSPCSGGVVRRWKEWYVPWAAGNYLGIIFYFMPPWPPPTQIGTIILWLLVNTWLTEVELKPWPDPQPSQYSGGVMWTEQVPWPAPVFAHLMGYDFCSANCIRDQTPSIALYCAPKGDLNHENSDRAHKDTLVAIFNLEQFHYVGGEEKVTERMLYGLSTVSCNYLIIPAATMTTTFLSVPSSTQNIYGACWLEAQEGLDDIAKGRTYKICKSMRMTLNHVESWLQNPTNILYNGQLFNCPAGVHFGVRRISGIIARGAGENQNRKVKTCGYDSIFDFCENNPSDGSAAARFSIDGIDTRTDSEMIYASIANRDYWSVKLLEVIKEGCPIRHLLLGDVKIEQLLQCETFKYESYRYHGIQEVFFIGLGTRVGCTWAAGCTTGWSAHRQEVQASPS >OGLUM11G19810.1 pep chromosome:ALNU02000000:11:23607150:23611305:1 gene:OGLUM11G19810 transcript:OGLUM11G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTSTVIVYVDLDCRRCYRQIRKVLCKLQAVLQDQMHGRQGDQGHPDQTAAAAATAAADMHM >OGLUM11G19820.1 pep chromosome:ALNU02000000:11:23618446:23621572:-1 gene:OGLUM11G19820 transcript:OGLUM11G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNKLNGHISPDIGFLTKLIYLNLSMNSLDGVIPYDISSCSRLEVISLQSNSLEGEIPKSLAQCSFLQQIVLSNNNLQGSIPFQLGLLPNLSVILLSSNKLTGKIPEFFGISKSLTQVNLKNNSLGGEIPPALFNSTTLSYIDLSRNHLSGSIPPFWQTSLPLQFLSIAENNLSGKIPVSVGNISSLSSLLLSQNNLHGNIPDSLCEITNLRVLKLQYNYLSGIVPPALFNISSLTYLSLSNNQLVGTIPTNIGITLPNIIELIIGGNQFEGQIPMSLANSSNLKNLDIRSNLFTGHIPSLGLSSNLKVLDLGTNKLQARDWTFVSSLTNCTQLRMLCLDFNGFEGKIPSSIANLSKSLEVLLLTENKLTGDIPSEIGKLSGLNVLTLERNMLTGHIPDTLGNLQNLSLLSLSKNKLTGEIPQSIGKLEQLTNLYLRENELTGRIPANLACCKNLLELNLSYNNLYGSIPHELFSISTLSIGLDLSHNQLTGDIPLEIGKLINLNSLSISNNRLSGEIPSTLGDCLLLQSLHLEANFLKGSIPISIINLKGIIEMDLSQNNLSGEIPEFFGSFSSLKILNLSFNDLSGKVPKGGMFDNSSVVFIQGNIKLCATSPMLQLPLCESPTKRKKIFYILSILVPVTTVVLISFACVMVILLKKRYKATQTINKSLKQFKTFSYHDLFKATNGFSSTNIIGSGRFGFVYRSCIDFDVCTIAIKVFKLDQFGAPNNFIAECEALRNIRHRNLIRVISLCSTYDPAGNEFKALILEHMANGNLESWLHPKPYEQIAKEPLSLATRISLAVDIAAALEYLHNQCIPPLVHCDLKPSNVLLDDEMVAHVSDFGMAKFLYSGSSMASSTSYSIGGPRGTIGYIAPEFIMQQPNRLNFSHDQLQNIAEYGLGCKISCEGDIYSYGIILLEMITGKYPTDEMFTDGMNLHKMVESAIPHKIGEILEHSLTKDYFGEGTNNELVEMPRCVMHLAKLGLRCSVTSPKDRPKIEDVYTEMIAIQNMF >OGLUM11G19830.1 pep chromosome:ALNU02000000:11:23655751:23658879:1 gene:OGLUM11G19830 transcript:OGLUM11G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCDQRRRWAWPARLYAPTPHASPISLHPSLHASISIISSSSSLHTSCSFLLIYFSLHLHVRKEELNTLHTISMAEKVIMISTLILKVDLACHKCYNKTRKILCNLQDQERITTISYDSKNNIVVIAGTFDPQRLCCRIRCKGGKIIKDIHIVDAAGGGGKPAKMPDSPPPSLPPPVNTGKKKWKKDKRKEIPPPPPLAETPPPMNERPPTPPPVQPPPDRETSAMVPAIVEEEKPRDRVAELEPSSPHKEMPPPQPTTMEMPPPPVTCTPVVEKPRPPPCARPFYPVDMATPTMVEIPSWPAAPAPPSCCAPPPCYQGCYEGCRCGGCGRVYGYSVPSARPPPLLPPPCYSGGGGGGYTPYCGGYSGCRLVNEEDPTACVIM >OGLUM11G19840.1 pep chromosome:ALNU02000000:11:23660813:23666987:1 gene:OGLUM11G19840 transcript:OGLUM11G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLINGQERTTGPRVSVLLQVGQPTQLDPDPPSHAAVDVSDAGDLLRPLPSPAAAAAAADPPQLGRHLLRQRESPLHLGSCSSNAAMNYIIGAFKAPCDVFITFADERSRKQVAIKKDNGKTLMVPAFQSLETIAGEVSVAPVPGKRVEHQGVKIELLGQIELYHERGHFYDFTSLVRELEVAGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRPYATSVVECRDFCVRNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKIKIKNMELEIRRRESTGSGPGTYIETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFNVKYYLNLVLVDEEDRRYFKQQEITMYRLQETPQSS >OGLUM11G19850.1 pep chromosome:ALNU02000000:11:23667871:23673140:1 gene:OGLUM11G19850 transcript:OGLUM11G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRCFTQQLINAYTVLFRAGTVHAVSQSIEATPVDSSESSFDTYRSPPRPLPYDDPRFSPQRDWLVSRHGPSCHSPEESEPLRANDDEEMETPSSTNKSSKTNYDTKMKRSSSTHGEKLPAKEPGNYFTYFSPSAEDEDVCPTCLEDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >OGLUM11G19860.1 pep chromosome:ALNU02000000:11:23672209:23673017:-1 gene:OGLUM11G19860 transcript:OGLUM11G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGVSSGGGQSSLGYLFGGGETAPAAKAKPAAAAEKETTPAPVKKAAVAAAASPSAAEKMKEIPAGIQSTQANNYFRAQGQNCGNFLTDRPSTKVHAAPGGGSSLGYLFGGK >OGLUM11G19870.1 pep chromosome:ALNU02000000:11:23676081:23679257:-1 gene:OGLUM11G19870 transcript:OGLUM11G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVISARAGLSHSLSVTQTVPNRPLQASQLATRCTSPSFLSAKLCKTRPLVVAAAMEVSKEAPSVDFANRQPSKGVLETWCNADAVCFDVDSTVCLDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQVDDCLVKRPPRISPGIADLIKKLKANNTDVFLVSGGFRQMIKPVASELGIPPENIIANQLLFGTSGEYAGFDPTEPTSRSGGKALAVQQIRQNHGYKTLVMIGDGATDLEARQPGGADLFICYAGVQMREAVAAKADWVVIDFQELISELP >OGLUM11G19880.1 pep chromosome:ALNU02000000:11:23683820:23694421:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGAMAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAERSGLSLPLRRHVTSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMVKNNQGNLCTSSVNCRTETSNFQDECTSQFTTLNTEVDLGQTSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKILMSCASLLSAAIRRGVAGGRAAASPPSASAIVSRPATGSHILRIDGYTNTKSILATGESATSRRFAVGGHSFVMRYYPNGLDDGCADSISLFVDVAGGGNGSGVAGHARFSVLDHAGSPARRTDDASSEVVDFRTHFSGQRSLITREELERSPDILRDDCFAVRCDLTVMDEHLLTVDLDHEAVLAADADAAAVVPPSDLHRHLAELLWSKEGADVVIEVGDTTFHAHRWVLAARSPVLKAELSALPSSPATLRLAAAMDADAFRALLHFIYTDTLPDDADTDDDAMARRLLAAADAYGMERLRLICEDKLRRRIAMGNVAVTLALAEQHHCRALKEACVEFLSSPGNLKAAMATDGFEHLKATCPSVLTELVMKQLV >OGLUM11G19880.2 pep chromosome:ALNU02000000:11:23683820:23692619:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGAMAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAERSGLSLPLRRHVTSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMVKNNQGNLCTSSVNCRTETSNFQDECTSQFTTLNTEVDLGQTSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >OGLUM11G19880.3 pep chromosome:ALNU02000000:11:23683820:23692660:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGAMAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAERSGLSLPLRRHVTSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMVKNNQGNLCTSSVNCRTETSNFQDECTSQFTTLNTEVDLGQTSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >OGLUM11G19880.4 pep chromosome:ALNU02000000:11:23683820:23692660:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGAMAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAERSGLSLPLRRHVTSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMVKNNQGNLCTSSVNCRTETSNFQDECTSQFTTLNTEVDLGQTSEAKSRKTQGRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >OGLUM11G19880.5 pep chromosome:ALNU02000000:11:23683820:23692660:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRGAMAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAERSGLSLPLRRHVTSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMITIHNTQHRSGFRSNKQVTLTPQCGQVGLSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >OGLUM11G19880.6 pep chromosome:ALNU02000000:11:23683882:23692660:1 gene:OGLUM11G19880 transcript:OGLUM11G19880.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDRRRASGNGGGLLDGVQRRYPHPHARPPPPTAWRARPDVLLQAGAAMAATVPAAAGPDDLTACEAAAESSQLLGWPIDSAQDFEQEMEGNGIMVSAATGAMNSLLAKLAALLEEDYQMHKGMKREIAFLKDELSSMNALLERLADMEATLDPQTKEWRSQVREMSYDIEDCIDEYTRQLRHGRPQRPGGNGIMGFFSGYVQKVKDLVGRHEIAEQIQELKARIVEAGHRRKRYKLDSAVNCKSNHVVPIDRRLPALYAELDALVGIDGPRDEIIKLLDDGEQRMKVVSIVGSGGLGKSTLANQVYQKIGNQFDCKAFVSLSQHPVMGMIFETILYEVNDEVGTIRSGDKEQVINELRAFLKNKRYFIVIDDIWSAQAWKTIRYSLLENNCGSRILVTTRIGTVAKSCSSPCLNLVYELRVLSEDDSKRLFFRRIFGSEDKCPHQLKDIAVEIVRKCGGLPLAIISMASLLTTKSYVRAEWFKVRDSIGSGIEKNSDVEEMNMILSLSYYDLPHHLRTCLLYLSMFPEDYVINRDYLVRRWVAEGFIKANGGRTFEEEGECYFNELINRSMIQPVHTQYDGRVYSCKVHDMILDLIISKATEENFVTIVTDRKQMLVSKDKVHRLSFDNYGQEDVTLYSMVTTHVRSLNIFRYSEQMPPLSNFPALRMLDLDGNNNLESSYLEDIGKLFQLRYLRIRASNISLPDQIGELQFLVILDLLNCIGISKLPASIVKLRHLKCLVVHRVELPDGVGNLQALEYMSLVVVDYSTSVSSLQELGTLTKLRTLGLDWRIGDFHKEKLTYADNFVSSLGKLSRSNLQYLTLISPWSLDFLLDSWSPPPHLLQRLGITGWYLSRIPVWMASLADLTYLDIEVKVRQETLQILGNFPALQFLELYSNAADYGDRWLTVSNCGFRCLQKFKFVHWMNLVFEEGAMPMLETLEFQIIAHEARTECGFGPPDLGICHLSSIRNLIINIYCECARIEDVEALEAAIWLAASTLPNHPTLTLHRFREAEMVKNNQGNLCTSSVNCRTETSNFQDECTSQFTTLNTEVDLGQTSEAKSRKTQGRIDLRCKLVQECRRPAEAMDGFMVSVATGAMNSLIDKLTTLLGQEFRLHKGVQRDIALLNGELSCMNALLEKLAGMEVLDPQMEEWRNQVREMAYDIEDCIDRYIYQLHYEPQRPTGIVGFFHDYVHKVKELLARREVAQQIKALKDDIVEASHRRKRYKIDPELYSETTNVVPIDPRLPALYVEASNLVGIDIPRDQLINLVDDGDQSFKVISIVGVGGLGKTTLANEVYKKTGGRFDCQAFVSVSQKPDVKKILRSIICQIMEPYHASTNPDKAVISQIKKQDYSSTESGDVEWLINILRVFLKDKRYLIVIDDIWSTQEWMTIKFALFENTCGSRILVTTRIFTVAKSCCSPDHGTVYELRPLSEADSMCLFFRRIFGSEDLCPVNLKDVSTEIIKKCGGLPLAIITMASLLADKSDRREEWVRIRNSIGSGLEKKNDLEVMRSILSLSYSDLPLHLKTCLLYLSIYPEDYKINMHQLVRRWIAEGFIKDKSGRNLMVEGKCYFNELINRSMIQPVDIGIDGQPKACRVHDMILDLIVSKAVDENFSTSIGDETHRLASQAKIRRLSVDYSGQEVSVSWPSLMLAHVRSLSIFGYSEQMPPISEFKALRVLDLESSVKLQNSDLNNVVDLFQLRYLRIAASRITHLPEQIGELQFLETLDLRRTWIRKLPAGIVKLRRLSCFSANGAQLPDGVGKMQSLQELSGITVYDECSTNSLLELGNLNSLRTLKLTWYICESRKDRTHYTDSLASSLDKLVSSSLESLSIINGPFSGYIPFDSWSWSSSPHLLQELYIPKCCFQRIPDWMASMNNLYRLCVRSKQVTKQILQILGDLPALLDLELRSESDDPKKILIISKYIFRCLKIFRLYGSFVGLIFEDGSMQKVREISVVVRAHKAKSAFADHPDLGIRNLTSLMDLNVWINCEGARVQEVTVLEAAIADATALLPNHPTPHFFRENEENMVKDDLPNHPAPHFFRENEEKMVKDEAHMQEKMLE >OGLUM11G19890.1 pep chromosome:ALNU02000000:11:23742313:23748454:1 gene:OGLUM11G19890 transcript:OGLUM11G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKSGAADVQPSPSSCSTIVVTEASGHHVLKIDGYTRTTMMVATGEHLDSGEFHVGGYAWHLRYYPNGYDQEFSSSISFALVRTAGAGDNVRLHARAKISLLDLAGEPVARYSQPVDKCSTSKASDPWVCKSFIERDELEKSGHVVGDRLAVRCDLTFNVQDRLVKELVAVPPPLLRRHIGELLGDARTSDVRFKVGGETFPAHRCVLAARSPVFRAELLGPMREHAATTIRVDDMDAAVFAALLRFVYTDELPELDGGSAAAMAQHLLVAADRYDMERLKKVCEDKMVRHLDVGTAATSLALAEQHDCPELKKAILRFMASPARLKAVMASDGYEHLVTSFPSIATEILAICAVAAEANGSTSTIVATTKPTGHHILKIDGYSRTKAMVAAGDSIDSSRFHAGDHAWRIRYYPNGTDRSNQNPDAISVMLELQDAAAGRNNGAAAAAVKAKFVFRLLNKDGEPVPSRTYRSSVHSFPSSDGFKNWGFLRFITHGDLEKSGHLADDGFAVRCDVTVMGGIELRVEPASSLAVPEPDMHRHLGRLLSAGDGADVTFRVAGGEAFAAHRCVLAARSPVFKAELYSRGGFLRPAAAGRPGTRVIDVDDMDAGAFGALLHFVYTDTLPEMASADVPAMARQLIAAADKYKVERLKLVCEDKLSRRVVVGVMLADDTSMTPTPMSTPTTMTDSTTTGDDPQRRQRRARYHVLKIDGYTRTTVMIATGKHLDSGEFQVGGYTWHLCQSGDKCSISKKTDRWVCNSFIKRDELEKSGHVVGNRFAVRCDLTFNVQDLRVRGLVAVQLPPPVLGSQREVQGPRRDVPGAPVRPRGAASPVFRAELLGSSMKEHAARTIRVDDMKVPVFAALLYFVYTDELPEMEDDERAVMMAPHLLVPADRYDMDRLAEEGDKMVRHLDVGTAATSLALAELHGCPRLKEAILRFLVASPPEKLKTVMASEEYQHVITDFPSIATEIVLAMLAANSA >OGLUM11G19900.1 pep chromosome:ALNU02000000:11:23815676:23816842:-1 gene:OGLUM11G19900 transcript:OGLUM11G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADADSPPLLTTTAGAADDYCGSADSPAACTIVGKVERVCYNVRVDGYSKTKETTKNGSYIASTEFVAGGQPWRIRYYPNGYSQSTDGHVSVFVDRVGGVDVGLHADVQIDLVASRRHGDDAAPPETEVAGRFRCTFWPDSSFGFQRFISTEKLDKSPWCVRDDGFTIRCDIIVEGPPFVVAVKPSALGWHLGDLLGDADTADVSVVVGGDGGDGEETTFAAHRYVLAARSLVFKAQLFGPMKADNGAAIIRVDDMRADVFRAFLHFVYTDELPPGELDVAGDGDAENAAIMSQHLLVAADKYDLPRLRLVCERKLSESLGAGTAATTLALAEQHGCHDLKEVVLRFIRLPSNMEAVKCSDGFKHLLESCPSLHQELKSRHILS >OGLUM11G19910.1 pep chromosome:ALNU02000000:11:23819217:23819903:1 gene:OGLUM11G19910 transcript:OGLUM11G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKANTTTSPPSASTIALTATTGCHLLKVEGYSSYARLLRGKRFMSPKFSAAGHNWRLAFYPSDGNLVSFYLHLVDGRFSKDVTAEVQFSMLHHRRGDADHEMPYNHRKIVRHTFRSSSRCSNVNMCGVSWFLNAKGKTTAVDVKYTDEEDDEDDSIVVRCDIKVMNKPAIFLHADSLEDLGLICHCKDDTCKRLHETLPAMSDADQPVVVNNKWAFARFFSCFLA >OGLUM11G19920.1 pep chromosome:ALNU02000000:11:23834139:23835118:1 gene:OGLUM11G19920 transcript:OGLUM11G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLVTFVLDFSRTDIPVEMIKMLANMPDAVEILLRRFDVLDKLPGSTLFPQCLRQLDLFANVIKEDPMPIVEKLPCLVVLSLSGYQGRTMSCSAQGFPRLQRLDLSMFYTEEWIIETGALPRLSHLGLHWFRNMRKLPDGLVQLPSLKELVLKDPLISEDDITCKDLRGKGCKVTYTKYMLSGGFF >OGLUM11G19930.1 pep chromosome:ALNU02000000:11:23862983:23863936:1 gene:OGLUM11G19930 transcript:OGLUM11G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPVPDDALAAILRRLPPRSLAAVRCVCKQWRDLVNERALLLPHSAHGVVISYVDHHRPHLFSRPSSSSAAAASDGSSLCLPRAAVRNWQWHVMDHCDGLLLLSGNWGGELCVCNPATRRRATLPPPPQRACRDDVTAIPVGEYLVFDPAASPPHYDVFLIATVPGHPGPPPTPPAHIRLKPMAAAPFCLDERLASLRGASYSTVEEMMEDMAEATVDSPPPSPYEWDQQLYCLMCWQVEYQYLSTEWPPPSYKIDAFSSRTGRWEERVFVREGETATTLEDMKPWNYVYAGPWQGCSVFWQGALYVHSGGACVTR >OGLUM11G19940.1 pep chromosome:ALNU02000000:11:23881512:23885497:1 gene:OGLUM11G19940 transcript:OGLUM11G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGWMDDDDDDDYDCDDDDEEEEVATPASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYQGLRRGYPVYHHGSLYAHCRGAFVTRYSLSNGKYQVIEIPINMANYIWEKPYLGKSEMGVLFGMIHGGQLSVWILQESEGQMGWILSYQHDLRPFAKEVRSLRYNGNLTTGPWTVEENGTGMHRNRETLSAEDFEWDSDNDDFLAVEVRNEEYDDDDCEYFDILGFHPYKEVIFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >OGLUM11G19940.2 pep chromosome:ALNU02000000:11:23881810:23885497:1 gene:OGLUM11G19940 transcript:OGLUM11G19940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGWMDDDDDDDYDCDDDDEEEEVATPASSVDDDQYKLMEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYQGLRRGYPVYHHGSLYAHCRGAFVTRYSLSNGKYQVIEIPINMANYIWEKPYLGKSEMGVLFGMIHGGQLSVWILQESEGQMGWILSYQHDLRPFAKEVRSLRYNGNLTTGPWTVEENGTGMHRNRETLSAEDFEWDSDNDDFLAVEVRNEEYDDDDCEYFDILGFHPYKEVIFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >OGLUM11G19940.3 pep chromosome:ALNU02000000:11:23885289:23892626:1 gene:OGLUM11G19940 transcript:OGLUM11G19940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MANTGFRGSGIANPATQRCEKLPEHPVAGSVYKPSTYLVFDPAVSSSSHYEVFVIPSAPRKQKTKTVTCHRHSHH >OGLUM11G19940.4 pep chromosome:ALNU02000000:11:23883006:23885497:1 gene:OGLUM11G19940 transcript:OGLUM11G19940.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEWPPSPYLLEVFSSRSGRWEERAFVREGEKVTTVEDMMPLGYPYQGLRRGYPVYHHGSLYSLSNGKYQVIEIPINMANYIWEKPYLGKSEMGVLFGMIHGGQLSVWILQESEGQMGWILSYQHDLRPFAKEVRSLRYNGNLTTGPWTVEENGTGMHRNRETLSAEDFEWDSDNDDFLAVEVRNEEYDDDDCEYFDILGFHPYKEVIFLDQSFKTIAFHLNSSKIQYLGYSRPKCYYRNYTNGIYESFVYTPCMIGELHGVIGQSSS >OGLUM11G19950.1 pep chromosome:ALNU02000000:11:23887432:23890595:-1 gene:OGLUM11G19950 transcript:OGLUM11G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSPPSPPMALPVPDDAFAEILGRLPPKSLAVARCVCKPWRELVDGRGLLLRRLLPRSVDGVLFNYVGHRRPHLLSRRRPSSSSSSSVPASCGGGRVDGDLTASVPAGDRAWWAVVDHRDGLLLCDVYWGSRLFVCNPATRRWATLPQPPPEREPAAACAGGKYLAFDPAVSPHYEVLLIPALPEKAAEDAVDGDEAAAAYLSMEWPPSPYKVEVFSSETGRWEERVFVREEEGEAAAATTVEDMKSWEYVFARPRQGYSVFWKGALYVHSGGHFVTRFSMSSDKYQIIKTPIIIRNNMFVRPYLGKSKMGVSFGFIDDYQLSVWILKESAGQIKWVLSYQHDLWAAINQIDSFDFGGHQINGPWVLEETIPKYRTIENKETLSDKEWDSDNDDFLDTEVDDFLIDTEVDDEGHNDFAYFRILGFHPYKEVIFLEETLRTFAYHLNSSKIQYLGYSCPKYCYGRYTIHESFVYTPGMIGELNGHYAAGQSSPQ >OGLUM11G19960.1 pep chromosome:ALNU02000000:11:23894159:23899428:1 gene:OGLUM11G19960 transcript:OGLUM11G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAWRVVVDVILVNGRRCHRRGYYTGVALPAPVRGIFVSYVDYDRTHLFAHPSITPACPAIDGVLDFMPEHRYSGDGWSVSDHCNGLLLFSNCSRWLCVCNPATQRWEKLPDHAAGVGSSYKICTYLAFDPAMSSSHYEVLVIPSVPDPRWMMALCNHRGRAKDIDDSCRLMEWPPSPWRVDVFSSRTGRWEERAFVRDGEPAGTVEEMRLDPMEPTGVGPFQRYAVYQHGALYVHCRGDFVTRLSLSNDTYQVIKTPENHIKSTREAVPYLGRSPNGLCFGISDRDAQLWIWILRESHGKMEWILKYHDDLKPFAKQLSSYYGYRRKLFCGQPWIIEEANNRKHQNTKNKPDPKVNFEWDSDNDDFISIDGAVEGAVDEYCYTFFDMIGFHPYKEVIFLGDILTVFAYHLDTSKLQYLGHTRPKDYCQMYTNGIYGSFVYTPYVSGHFYAVITGAGAHPQHLHQMLRNNAAHAIDQPKEPAD >OGLUM11G19970.1 pep chromosome:ALNU02000000:11:23897530:23898273:-1 gene:OGLUM11G19970 transcript:OGLUM11G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREQMRMAILRQEQTFRQQVHELHRLYHVQKQLMKQMQIAKLNQAQAIAANAETKPKFEITFAENSTNHHHHHQFYSFQSSKIMSPPAAAADQEEEEECDLQLTLATGSSGGGDGTARGHKGKKEVRSSNSDSGTAASSTSTESELAQFKNHHHHQLDCAAAAPVASPAARFQGESKKRVVVVVDNEMSLLQPPWLNQCLSLRMA >OGLUM11G19980.1 pep chromosome:ALNU02000000:11:23912496:23914521:1 gene:OGLUM11G19980 transcript:OGLUM11G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >OGLUM11G19990.1 pep chromosome:ALNU02000000:11:23918955:23919449:-1 gene:OGLUM11G19990 transcript:OGLUM11G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSLSSRRNRLVLPLIVCPSCNVKTIVRCTAKTDANRGRVFYTCPDHEKDGSGCNFWYWEQGYINFLKRNGFIEDGTKGKSALRVDLEQDDFGRQDELEKNLIAVVPIARELLLAVKGMLVLGLFGVVIL >OGLUM11G20000.1 pep chromosome:ALNU02000000:11:23922060:23923391:1 gene:OGLUM11G20000 transcript:OGLUM11G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDARCANIWSSADARSEESEMIDQLKSMFWSSTDAEINFYSPDSSVNSCVTTSTMPSSLFLPLMDDEGFGTVQLMVSTGMDMCSDHQHQVITGNKRMFPMDEHFEQQQKKPKKKTRTSRSVSSSSTITDYETSSELVNPSCSSGSSVGEDSIAATDGSVVLKQSGNSRGHKQCSKDTQSLYAKRRRERINERLRILQQLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDTWMFAPLAYNGMNMDLGHTLAENQE >OGLUM11G20010.1 pep chromosome:ALNU02000000:11:23928015:23932625:1 gene:OGLUM11G20010 transcript:OGLUM11G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl-sulfate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLG8] MLARDLPIPCSSAGGRRAPAAAADGRLAGGVLRPPWGGVAAGVRLVAAARPMSVVTAAAAGSSWDRKAGRSEAAVPAAAPVDGKDDDDVLPVLNKLMTSTVGKSTNIRWHDCPVNQLDRQKLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLTYVLDGDNLRHGLNKDLSFKAEDRAENIRRVGLFGEVAKLFADAGLICITSLISPYKSDRSACRKLLPNSSFIEVFLNVPLEVCEERDPKGLYKLARAGKIKGFTGIDDPYETPSDCEIVIQCKVGDCPSPKSMADQVVSYLEANGFFQN >OGLUM11G20020.1 pep chromosome:ALNU02000000:11:23955537:23957228:1 gene:OGLUM11G20020 transcript:OGLUM11G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLVHLPQQLLVLLLFIAPFFFFFLIRSMRRRDGGSVRLPPSPWALPVIGHLHHLMGALPPHHAMRDIALRHGPLVRLRLGGLQVILASSVDAAREVMRTHDLAFATRPSTRVMQLVFPEGSQWVSRVSLADLYPSSRLAELISRRPRQMRRHRDEVYAFLDIIIKEHQENRSSSDDQEDLDLVDVLLRIQRKGDFPLSTDNIKTTIGDLFNGGSETTATTLKWIMAELVRNPRVMQKAQDEVRRALGKHHKVTEEALKNLSYLHLVIKEGLRLHPPGLPLLLRESRTTSQVLGFDVPQGTMILVNMWAISRDPMYWDQAEEFIPERFEHVNIDYYGTDVKYMPFGVGRRICPGIAFGLVNLELVLASLLYHFDWELPDGTELGNLDMKEEMGAIARRLHDLSLVPVIRHPLPVDK >OGLUM11G20030.1 pep chromosome:ALNU02000000:11:23967153:23971768:-1 gene:OGLUM11G20030 transcript:OGLUM11G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHEEQPYEGNGNGGDPPSAAAAANAEYPAPEGSPPAAAAAAAKPTGFSDGATDGGRSQHETQPHDGRSSKSRERDRERDKDKERDRDRGRDRRDRDRGDKDRDRDRQREHRDRSERREHRDRERSDDRDRRRGHDSERRRDRDRDGHRRHRSRSRSPSKGRDRRSRSRSRSRSKSKRVSGFDQGPQAAIPALAAGAAPGQVPVVAPAISGMLPNMFNLTQTPFTPLVIQPQAMTQQATRHARRVYVGGLPPTANEHTVAVYFNQVMAAVGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGASQPRPEQESMLLHVQQQAQMQKLMFQVGGGALPTKVVCLTQVVSPDELRDDEEYEDIVQDMREEGCRYGNLVKVVIPRPDPSGAPVAGVGRVFLEFADVESSTKAKNGMHGRKFANNQVVAVFYPEDKFAEGQYDG >OGLUM11G20040.1 pep chromosome:ALNU02000000:11:23972710:23982140:-1 gene:OGLUM11G20040 transcript:OGLUM11G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGAAAAATLLASSGDNDDKPRRRRNMYAYGCATLASMTTILMGYNLALMSGAQLFVREYMALRDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVVSTLFEMFVNVGILLGYVSNYALSGLPACTSAGASCSASARSLPCSSPRACSPCRSRRAGSPCAGATPTRARCSRAQIKHAAAAPPQEDDGGAWRELLLRPTATVRRILMCVIGLQFFQQASGVNVVVLYSPVVFKKAGMASNTSVMAATVAVGVAKTCSILVATLFSDRLGRRPLLLASTGGMAVTLTSLALTLCVASPSAASAVASVVAFVAAFSAGLGPMTAAYTAEVLPLRLRAQGASLGIAVNRLTCGVMSMTFISVADGITMAGCFFLYAGVAAAACVFVYARLPETRGRSLEDMDALFHK >OGLUM11G20040.2 pep chromosome:ALNU02000000:11:23972710:23974228:-1 gene:OGLUM11G20040 transcript:OGLUM11G20040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDGAAAAATLLASSGDNDDKPRRRRNMYAYGCATLASMTTILMGYNLALMSGAQLFVREYMALRDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSVGVGFSLVVAPVYNAEISPASARGVVSTLFEMFVNVGILLGYVSNYALSGLPACTSAGASCSASARSLPCSSPRACSPCRSRRAGSPCAGATPTRARCSRAQIKHAAAAPPQEDDGGAWRELLLRPTATVRRILMCVIGLQFFQQASGVNVVVLYSPVVFKKAGMASNTSVMAATVAVGVAKTCSILVATLFSDRLGRRPLLLASTGGMAVTLTSLALTLCVASPSAASAVASVVAFVAAFSAGLGPMTAAYTAEVLPLRLRAQGASLGIAVNRLTCGVMSMTFISVADGITMAGCFFLYAGVAAAACVFVYARLPETRGRSLEDMDALFHK >OGLUM11G20050.1 pep chromosome:ALNU02000000:11:23981462:23983339:1 gene:OGLUM11G20050 transcript:OGLUM11G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDAAPLLTPSGHNDDEPRRGRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDMALSDAEIEVLTGSMNVFMLVSILAAGWAADVLGRRGTIVLANAFLMAGALAMSLGATYAALMEARFVTSVGVGFARVVAPVYNAEISPASTRGVLTSLLDMFVNVGILLSYVSNYAFAGLPVHLGWRVMFAIGAVPPVFLAAAVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEADLRLEDINHAVEAPHDAGGGVWRELLLRPSAMVRRILATVIGLQFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAIGVVKTCFILVATLLSDRLGRRPLLLASTGGMAVTLTSLALTLRVASPSTASAAACVASVMAFVAAFSVGLGPTTATYTAEVMPLRLRAQGTGLGVAVNRLACGAVTMTFISLADGITMAGCFFLYAGVAAAACVFVYVWLPETRGRSLENMDIVFSK >OGLUM11G20060.1 pep chromosome:ALNU02000000:11:24001739:24003349:-1 gene:OGLUM11G20060 transcript:OGLUM11G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGDATATAAAPLLASPAKPGDEPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFVREDVGLSDAQIEVLAGSMNVFMLVSILAAGWAADVLGRRGTLVLANAFLMAGALAMSLGATYAALMAARFVTSIGVGFSLVVAPVYNAEISPASARGVLSSLLDMFVNVGILLSYVSNYALAGLPVHVGWRVMYGIGVLPPVFLAAGVLAMPESPRWLAMRGRHADARAVLVRTSDSVEEAELRLEEIKQAVEAPQESAGVGVWQELLLRPSAMVRRIVTCVVGLHFFQQASGIDAIVLYSPLVFKKAGMASNTSVLGATVAVGVVKTCFILIATLLSDRLGRRPLLLASTGGVAVTLTSLALTLHVASPSTASAAACVASVMAFVAAFSVGFGPMTATYTAEIMPLRLRAQGASLGMAVNRLTCGVVSMTFISLAGGITMAGCFFLYAGVAAVACVFVYVRLPETRGRSLEDMDVLFAK >OGLUM11G20070.1 pep chromosome:ALNU02000000:11:24007174:24007464:-1 gene:OGLUM11G20070 transcript:OGLUM11G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRIVTAAGAPEPSRVDGVEDGCSRASCHRRQRRQWLPSLPPGIGPTIAVMAVAPEAFTEMVDDGGSDGGGRRQWWRERKGKEKRGEGGEDETDS >OGLUM11G20080.1 pep chromosome:ALNU02000000:11:24009076:24009417:1 gene:OGLUM11G20080 transcript:OGLUM11G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEGFAELTRKCPLLEDIVLSGGGHRRPPLPLLALAVAELRHLRRLTLQGIGVSNDELTAIVYGCPRLELLDVCSCWDLCVDDDAQLLAKCARIRTLKLPPSEEDDYYYYYN >OGLUM11G20090.1 pep chromosome:ALNU02000000:11:24013751:24015272:-1 gene:OGLUM11G20090 transcript:OGLUM11G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGDDAAAPLLAAKHGGEPRRNTFAFACATLASMTTILMGYNLALMSGAELFIREDLGLADEQVEVLSGSMNVFMLASILAAGTVADAAGRRGTLVLANALLMAGALAMSLGGSYAALMAARFVTSVGVGFAVVVAPVYAVEISPASSRGVLSSLPEIFINAGILLSYVSNYALAGLPLRLGWRVMFAAGVVPPVFLAAGVLAMPESPRWLAMRGRDAEARAVLARTSDTPAEADLRLEEITRAVAAQAGVAGGVWRQLLVRPTPTVRRIMTNVMSLHFFQQASGIDVIVLYSPLVFKQAGMASNTSVLAATVAVGVVKTCSILVATLLSDRVGRRPLLLWSAAGMAVALASLALTLCVGAGAPSAAACVASAVAYVAAFSAGLGPVAPSYGSEIMPLRLRAQGAGVGVAVNRVTCGVLSMTFISVAGGITMAGCFFVYAGAAVAAWVFVYVRLPETRGRSLEDMDVLFTK >OGLUM11G20100.1 pep chromosome:ALNU02000000:11:24017126:24018882:-1 gene:OGLUM11G20100 transcript:OGLUM11G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHANFSIKAPLLVPNLHASITFSTPSPPLLLLPSFSGELTGGARPLDRAEMTTSRRLADRKTAKFQKNITKRGSVPETTVKKGNDYPVGPLVLGFFIFVVIGSCMPSNLIFILC >OGLUM11G20110.1 pep chromosome:ALNU02000000:11:24019608:24021837:-1 gene:OGLUM11G20110 transcript:OGLUM11G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSRRKFRRKKPSQNVDSTGLQTPRSSALENQEPLLNSEYSGHNSAIQNITEDLTVWDVVKGDIAIVASKMNAMTERLLEKLKIALRLLMEDIDDQSHVEQLVCLQKHVEIRPDLTSATLLTAHHVQLEMFVALKMGIPAYLHENVSVPRSRLAEIFAYERCKNISCQSVLPAEECDCDACCCRRGFCNLCMCVVCNGFDFDVNTCRWIGCDGCSHWTHAGCAIREEQIKTVITVEDGVAHYVTVFFCKACHGTSELLGWVRNVFQHCAKIWGTDALARELEYVQEVFSVSEDSKGKKLFEKCTDLIERLKVVQAESMGPEVLLQALQEIELDDAPEITENEEQMQQNTYPHETCNNQLSETAMTNKKARFSVEAITDDGEVEKAKEAEQQLQPMAAEQLRQKKQEQEEVPPPPASSGGGVAAPTTQNAMLCKILDALSGMPSPPSSESAAAVSKVHELLREALSMPRSSGCATAAAVDDDDDVAQNGRDRDDDDDDDRRQMMMLKEIYDMVIGMTKTTTK >OGLUM11G20120.1 pep chromosome:ALNU02000000:11:24031455:24036335:1 gene:OGLUM11G20120 transcript:OGLUM11G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYAVNRANNFLNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >OGLUM11G20120.2 pep chromosome:ALNU02000000:11:24031455:24033710:1 gene:OGLUM11G20120 transcript:OGLUM11G20120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAERVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNVGGYGMRMDARFGLLSGGRSSYPSFGGGYGVGMNFDPGMNPAIGGSSSFNNSLQYGRQLNPYYSGNSGRYNSNVSYGGVNDSTGSVFNSLARNLWGNSGLSYSSNSASSNSFMSSANGGLGGIGNNNVNWGNPPVPAQGANAGSGYGSGNFGYGSSETNFGLGTNAYGRNAGSGVVNTFNQSTNGYGRNFGDSSGGGGGGSIYGDTTWRSGSSELDGTSPFGYGLGNAASDVTAKNSAGYMGH >OGLUM11G20130.1 pep chromosome:ALNU02000000:11:24038976:24044702:-1 gene:OGLUM11G20130 transcript:OGLUM11G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLVLVVLPLGLLFLLSGLIINAIQAVLFLSIRPFSKSLYRRINRFLAELLWLQLVWLVDWWAGVKIQLHADDETYKAMGNEHALVISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLKDFPRPFWLALFVEGTRFTPAKLLAAQEYAVSQGLPAPRNVLIPRTKGFVSAVTIMRDFVPAIYDTTVIIPKDSPQPTMLRILKGQSSVVHVRMKRHAMSEMPKSEDDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWSCLLLYGAVKLFLWTQLLSTWKGVGFTGLGLALVTAVMHVFIMFSQSERSSSAKAARNRVKKD >OGLUM11G20140.1 pep chromosome:ALNU02000000:11:24048799:24055180:1 gene:OGLUM11G20140 transcript:OGLUM11G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding family protein [Source:Projected from Arabidopsis thaliana (AT3G12080) TAIR;Acc:AT3G12080] MAAMATTSTSATSSLLLPPLPSRTPTPRRRLPFAAAAAAPPRPFLVSSPPPPPAPRARLARRAAAGGLYGGGGEEGDGEDGEMEEEYYDDGDEEGDWEDDDVEEGEEMDVEAMEEEALGAVADLSERLSRELRIDDDVRDKRRIIRDKTSASKHIPDNLLPRVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGDREFMVIDTGGVITLSKSQAGVMEELAITTTVGMDGIPLASREAAIARMPSMIEKQAVAAVDEAAVILFIVDGQAADIEIADWLRRNYSDKRIILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGELLDMELDGVEEDGNYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTEDGQKYKLIDTAGIRRRAAVASAGSTTETLSVKRAFRAIRRSDVVALVVEAMACITEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHQSTTYYEQDVREKLRILDWAPIVYCSATHGSSVDKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQFRTAFRFVKFTLGKCFHAFLFFSHQRKLILNLQAAIRPPTFVFFVNDAKLFPETYRRYMEKKLRSDAGFPGTPIRLLWRSRKRPDKKGKSADDSLQSSVIPSRMALAT >OGLUM11G20150.1 pep chromosome:ALNU02000000:11:24085357:24089284:1 gene:OGLUM11G20150 transcript:OGLUM11G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFVIDGDDLEFTLSYEEDELSFLFEKSNELMLEDQEMFQRQIENFGYAFIYVGKRWKARIPRYGSQTAFKIEEMIELCEFYANMSNSKRNTIPAKMLKNAQSQEDFGVLDLIDQAQKNDKFFFANIAVKLKRLLGRLCTADPPQAPGLERKKVKKMVEHVIDGDDLDLVLSYENDEEYLLNESMLEDQETFRHQIENLGYAFIHVMREVILTNDEDQEECEIVTYAEEEDDIVTDDDDEDD >OGLUM11G20160.1 pep chromosome:ALNU02000000:11:24090396:24090715:1 gene:OGLUM11G20160 transcript:OGLUM11G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACAAAHWFFPLHTVWWRGCQCRGRAGGRAHGGGPPWTQATTISRGAHGGAAVDAASVSSTAAGSSHAAAAAASVSSATTTTL >OGLUM11G20170.1 pep chromosome:ALNU02000000:11:24092531:24092834:1 gene:OGLUM11G20170 transcript:OGLUM11G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPGYKNFSKELLACFLIDQLDDYLARKNKIHDKGVKPILELERICKEYKEKGYAEYWITDDEDEAARSSSGAGTEEGSTRGHEEEAYWRN >OGLUM11G20180.1 pep chromosome:ALNU02000000:11:24093820:24094407:-1 gene:OGLUM11G20180 transcript:OGLUM11G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKGTSDQRQIPSTTMDPSDEAPSGKRSHGQIPAPAPVTSDEGKAPVMEKKKKKTKMVRFTQAQINNCIAFKEEEMPDYDNMPSIIEILGDDLANCPQDYIDELKAIDDSREEDKAFWIKMQNEIRGEREWILRQYFTKGYAEYEVDIDDDDDDDEDNKVPARVAAPPGRRRFRNGVAMKKNLSGGGSVRKI >OGLUM11G20190.1 pep chromosome:ALNU02000000:11:24095854:24096288:1 gene:OGLUM11G20190 transcript:OGLUM11G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKTKMVRFTQQQIKNCMAFSADISDDDEESLPKLSEVLSKDILDRVPPDVLDSLIEFENTRGERKARWEKLRDDLHEERDAILKQYYTKGYAEYEVYDDEDDDEDEDNKVPARVAPPGRRRRFRNGVAVKKNRSGGNIRKI >OGLUM11G20200.1 pep chromosome:ALNU02000000:11:24098606:24104637:-1 gene:OGLUM11G20200 transcript:OGLUM11G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYKVIQHVKVEKSMAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLSSLATTVAFFLFNILLVLGNHHQHKLNGYSRANVIVTYVLFVGAVVLETVSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTSEEDQAKAVDGSVSAAEGEQGEVANGSSSAAGEEQPEVVHGSGSAADLTETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGGELVTGEEVQQQQQNFVEGYVNTINYVIGEDGMILNAISRQLSNAHMPQECAYRSGMFVLTNVAVGGELNKKAVMNVLVPHRADQQGLTIVSCNLMCVLNLIGPKFEAFSVRELSDFKLLGLDNAEDGFVRAATIELRPLKFADSLRKEKMEIAITSGALPVIWICEPAIMSSSSDQGKKKATAASAAEKEGKKPTTMAGSSSEGKKKKTTATKKKKELMSQAEIDSHIRYQTVEFREEDMVKVGDHMDEYFAKIIRDVNRIESRHMRARDNVLKQYYEKGYVEKDAYQKGYEAAGEDEESICR >OGLUM11G20200.2 pep chromosome:ALNU02000000:11:24098606:24104637:-1 gene:OGLUM11G20200 transcript:OGLUM11G20200.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYKVIQHVKVEKSMAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLSSLATTVAFFLFNILLVLGNHHQHKLNGYSRANVIVTYVLFVGAVVLETVSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTSEEDQAKAVDGSVSAAEGEQGEVANGSSSAAGEEQPEVVHGSGSAADLTETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGGELVTGEEVQQQQQNFVEGYVNTINYVIGEDGMILNAISRQLSNAHMPQECAYRSGMFVLTNVAVGGELNKKAVMNVLVPHRADRIKPSFVTSNCWGNFTSKRPDKRPLLGLQAMSTSSMVIERSLDNAEDGFVRAATIELRPLKFADSLRKEKMEIAITSGALPVIWICEPAIMSSSSDQGKKKATAASAAEKEGKKPTTMAGSSSEGKKKKTTATKKKKELMSQAEIDSHIRYQTVEFREEDMVKVGDHMDEYFAKIIRDVNRIESRHMRARDNVLKQYYEKGYVEKDAYQKGYEAAGEDEESICR >OGLUM11G20200.3 pep chromosome:ALNU02000000:11:24099797:24104637:-1 gene:OGLUM11G20200 transcript:OGLUM11G20200.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYKVIQHVKVEKSMAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLSSLATTVAFFLFNILLVLGNHHQHKLNGYSRANVIVTYVLFVGAVVLETVSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTSEEDQAKAVDGSVSAAEGEQGEVANGSSSAAGEEQPEVVHGSGSAADLTETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGGELVTGEEVQQQQQNFVEGYVNTINYVIGEDGMILNAISRQLSNAHMPQECAYRSGMFVLTNVAVGGELNKKAVMNVLVPHRADRIKPSFVTSNCWGNFTSKRPDKRPLLGLQAMSTSSMVIERSLDNAEDGFVRAATIELRPLKFADSLRKEKMEIAITSVDDDNQ >OGLUM11G20200.4 pep chromosome:ALNU02000000:11:24099797:24104637:-1 gene:OGLUM11G20200 transcript:OGLUM11G20200.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRYKVIQHVKVEKSMAGAEAVSAAWKEWALQALVLLSLMVQVTLLILAEFRRYIDSGVLRAFIWSAYMLADGTAIYVLGHLSVTSRSPEHELLALWAPFLLLHLGGQDKITAYAIEDNRLWLRHLQTLVVQVAAAAYVIYGSSIVIVGDSRTLLLLSATILMLMVGVAKYGERVWALRCAGSSPTGKYESDIARRRFSQMVPESFIRRLDPAETLLLNAHLLLDFAKDRFKGPLPRLFLCGPMNEGSRLQGEDELYKVAEMQLSLLHDVFYTKSEITHTWYGLCIRVLSSLATTVAFFLFNILLVLGNHHQHKLNGYSRANVIVTYVLFVGAVVLETVSLLRAMFSSWTCALLVKKGSEGSNVCNFLAHIPACLRRLVRAAYWRRRRSWSRSMGQLNLIQLCVHSRASRCSKIARWMGVEDWWNRLAYSGLPIPISACTKQLLLETMKAKQWGQEEFESRGLYRDPAWVAESKMEQRILIWHIATEIYLCWYKDQEKKQAEATSGSGSAAEEEQAAATGGSGSAAEEGQAEAASGSSSTSEEDQAKAVDGSVSAAEGEQGEVANGSSSAAGEEQPEVVHGSGSAADLTETAQALSNYMLFLLASRPHMLPPDASRNDYLVLCYAITRHLRYSTAEDVLHLLQLNADALRTNSSKPKFKLTCTNTNRLGDKMLRGGCSLGAFLIDRQDSPADGTGTLEMICQVWAQMLCCCGEQCSTDSHVKQLSSGGELVTGEEVQQQQQNFVEGYVNTINYVIGEDGMILNAISRQLSNAHMPQECAYRSGMFVLTNVAVGGELNKKAVMNVLVPHRADQQGLTIVSCNLMCVLNLIGPKFEAFSVRELSDFKLLGLDNAEDGFVRAATIELRPLKFADSLRKEKMEIAITSVDDDNQ >OGLUM11G20210.1 pep chromosome:ALNU02000000:11:24109276:24115572:-1 gene:OGLUM11G20210 transcript:OGLUM11G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G51350) TAIR;Acc:AT1G51350] MIYQSKLAPKFDVNNNEKNMEFLLTLLNSENENVTELAANIISHSCDSTTEQLALCAAGVPQRLVNHFGGSMNLRDACLDSLTAIIRNNWEVASRFALLDHGKALRSIVALIHDRSPRTRLLACLCLIALSHASPCHFQDRQIKTKLILVLLELIEEPGHVGDDAPLALTTLIKDSVELQKQALSTNAVQKLSNHLIANSLESRRAVTILLALAELCSKLEEPRSQLMSVQASTLILDALKHASVDIRVAACSCLKNISRSSKVLSAGKLSCDTFIAPLVQLLYDSSMSVQVAALGAICNIAVNLTPRKSILLQSGAVSQLVHLSKSMDPTLRLKAVWALRNIMFLLNPKDKDFILKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVNSFNYVIGEDGMILNAISRQLNNAPAPGVCIQGMFVLANVAAGGELNKEAVMNILVPRRADRVKQSFIVNFLLSKDKQLRVATLWCVLNLIYPKCEASSVRVVRLQNAGVISQVKGMINDPCLDCKLRVRMVLEHCLDNADDGFM >OGLUM11G20220.1 pep chromosome:ALNU02000000:11:24120647:24125899:1 gene:OGLUM11G20220 transcript:OGLUM11G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Folate-sensitive fragile site protein Fra10Ac1 (InterPro:IPR019129); Has 8455 Blast hits to 5700 proteins in 376 species: Archae - 6; Bacteria - 264; Metazoa - 3820; Fungi - 744; Plants - 645; Viruses - 76; Other Eukaryot /.../900 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G15030) TAIR;Acc:AT4G15030] MASSLGRLKSSIFDKEERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHDKNVDNRAPIKTDKDTLREGYRFILSEEDDVDSTWEKRLVKRYYDKLFKEYCIADMSQYKKGKIGLRWRTEKEVISGKGQFICGNRICDEKNGLGSYEVNFSYIEAGEQKQALVKLVACQRCAEKLAYKRQKEKEREKEKELSREKEMELKERDKRKREREGSEETEDTSEDESTEKYSRRKKDRKRSSRKSSNNDEGFEEFLEGMFP >OGLUM11G20230.1 pep chromosome:ALNU02000000:11:24126473:24129655:-1 gene:OGLUM11G20230 transcript:OGLUM11G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAGDLQAVMAMLSSSQMEEQCRLLRARSLLDEMRRAVADVHGALTATGATTSSVALAARTRSVCAPLRDALEAVLAMEGAATTNTLFRAIISSHRRRRRRRGAIINDLGQSLHHLRELSSLASAESSRSFLRYDSLEIERAVRANTIRDALAEPMVGRSELAEKMVRVLLAVGGEEDGPLVMPIVGGPGIGKTRIVQALFNDSMVREKFPVRRRENVSERFNLFKMRMPNIWFNSTKFQNFLDEFINKSLNGRKGKYLVVLDDVWNENEAQDWPEWDSLMQALPSNGAVIFSTRTPMLVSKTAAVVPRTFPYFLQPLQQEYTVQFVHQWLKRCWLDRSSEPFNIGMKIASKCDGVPLLIQSAGAILCRRPEAAFWQQFLEDFDVFFEGSGLYSSDEEGSDILESAYSSYKHLPSHLQSCFLYCSMFPLGFNFDAEELADLFATAELTGAQRIGFLEQLLNECFYPIEDSEYGGKFIYRMHKILHIFAVYMERKLSTVVTADKDFTQVQPSVRLMSLIIAPSTASFPRYIDQLKHLKALILLQDSRMLFSDQRCEIKEIDPMLCQSLKHLQALSLQATKIRKLPNKIELVPHLRYLNLSQTNIETIPSSVSKLRLLQTLILSHCEKLWKLHENICKLVQLHKLDLEGCLYLVTLPTKMSKMKKLQYLNVLNCYSLTAMPLAMGQLTNLHTLLGYFVPNNGSSAMSELQSLPDLNRLSLVNLEKVSDTEDARMAKLQEKEKLETLMLRWNMDAGNASRIDHEVLETLQPSQCLKTLEIVAYEGYVFPSWMTRAEPYLTSLVEIRLVNMRACEKALPPLGILPCLKIAEISGVDNLSSIGDNFYGHNGTFPSLEKLILSYMTSLEVWEQSSRMNLFPRLAELVIIQCPKLRALHMEFPSIEKLILWMNNKMLYSSKEGMRGVEKSLENLSISFCEELHASSGCEGLQALDRLKKLEICGCHELSCLPQGLQHLSSLTSLKIDNCNKLEILPEWLENLPFLQIMCLSGCPILHSIPEGLTCSDIIVEDCPNFKEPSGMSSVLCSWKAMFLIFIELFLKQLN >OGLUM11G20240.1 pep chromosome:ALNU02000000:11:24139300:24147809:-1 gene:OGLUM11G20240 transcript:OGLUM11G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLRVIDMLRTTRMEEQGELLGIRSLLEKLRSITVELDETSPPSVAYRIKIECIDLRGTLEILLSYQIRAESWHEVPAIFLKKIFFCRMTIRSLWRSLQNFTKIADDVKKGFIRSLVRYDSTKHAIQAKTNGNSVQYPIEWRSELVDKMTRVLLADRANEEELLMVLLIVGGPGMGKTHLAQALYKDARVRGKFNRQRWVNFKDAANMSLGFLNGTQHESSYLIVLDDVWYENAQEWDNLIKDLPSKGATILTTRSTAVSSRLATMPTCKPYYLPALQQEFSYSCLEWVASQSGHYPTELYEVCRVVVEQCDGVPLLLKHACDFFSTSAIELWEEFTIQDFLMVQPVKSHQLRLMRKFWQRLFDSDLQQDWLSKQNEVLESALVSFRRLTSGLRNCLLYCSMFPLDYIFDVEELADRLAAQGFIPPMETFAQWRTFLQPLLDDCFYAEQEYDHGVKPMYRMHRIFHMYVKYIEREFNSIVIADQGNSISVQNSIGHVGAQGPMYIVKPIHKIHGIFNLCTQCMERKFITIIINYIEDQDESIVQNSIRHVSLIVGPSTESIPVQFLRLKDLRTLILLPAGQKNMSDKKCEIKEIPQVLWQSNRNLEVLSLHGTKIRKFPHKIELLHYLRYMDLSWTDIRIIPSSISKLQFLQTLKLSHCEKLQKLHDNTSKLFRLQKFDLEGCHYLVQLPLHLSKMKSLECLNILECSSLTRMPCGISQLTNLQSLLGYVTTHNDGCSISELQSLANLQNLCLEGLEKVIDHSEARDSNLRDIVKLESLVLRWNVASENTSLTAEQVLECLQPNKGLKTLEIVAYEGEKLPSWLMSTEPYLNSLVEIRMINIRACRSLPPLGLLLCLRIAEISGAEAITCIDDSFYGSKGIFYSLEKLTFSHMHNLTEWKQAHTEDVFPRLAEVTIIQCPKLTALHVVLRSVKKLNLWMNINSLFNSKGGLRGVAESLRHVSISFCEELRASSDCMGLQDLGCLKRLEICGCDEMTHLPQGLKHLLSIRSLTIDNCSKLESLPDWLENLPYLRFLKACNSAVELKYMLKAVPIFQHNHQRVMDMLSSTRMEYQCHLLGLDALLGEVRDAFLGFSSLVTDIIGRRGEYLLSDARVSLAHLRGELEAIQGRLARAGAWYQVPTRSITNLLFRRAATRQLRRLMLDLSAIRGDLLPSVPRLNTPLDNFDPAGTWTDDVDSGDVRTPMVGRSELAEKMLQRILLAAADDDGPLVLPIVGGPGTGKTHLARFIFNDDRINKAFQVRHWVHLSPNFDLSKAAITSRWIDREDDCSYLQRVIFGVLRGGVDYLLVLDNVWNARQDQPWPEWDALLLAFPPNGKILLTTRTPSIIPRTAAVVRTTDPYFLQPLDQESSEQVMYQYLSPHHEHGIKLVEKCAGVPLLLEYTSFCMLNRYQLNLIRWERVLEGFWSIQQQEEVTDIFQRAYASYQHLPSDLRNCFLFCSLFPSEFNFNAEELADLLAAKGFIPSTVSEAQRIRFLQQFLDECFYPVQEYEHGGRHMFRMHKILHIFAQYADRVSSSIIRVGHSNAVQNDILSLFQKKIDILSPRNASLLVHPSTESLPIDMSKMKMLKTLILLQEMKMCSSVQQCEIKDIPQELCQTLQHLEVLSLEATKIRKLPNKFERLFHLRYLNLSGTDIEFLPSSISKLQLLHTLKLSHCKRLQKLHQNTCRLARLQKLDLEGCQSLTELPQNICKIKSLEFLSVLGCASLIRIPHRMGHLTNLQTLLGYVVSNSNGAMMSELQPLAHLHRLSLERLEKVLDMKDARDAMLQEKLELESLALRWNMDAEHANTAAYELIEILQPQQHLKELELVAYEGDRFPSWMTSTEPYLKSLVEISLLNLRECKLLPPLGLLPCLKIAEINGAEKISCIDYNFYGQNGTFPSLEKLTFSYMINLELWEQVGRTGVFPRLAEVTIIQCPKLRVLSMELPSVEKLILWMNNKMLYDSKGGLRGVAKNLEQISICFGEELRASSNFEGLQDLVMLQKLDLCGCHELTCLPQGLQHLSSIKSLAIDNCGKLETLPEWLEKLPYLQVIYLSGCHALHSIAKGLLQCHSIQIHIDDCPKLPEQSSGRKPVIQVKKQKEIIGDDEETHVEDDTYLEEFFFGPRGITGRDDEETHIEDNTYLEEFFFVPQGITGSTKFPSVKL >OGLUM11G20250.1 pep chromosome:ALNU02000000:11:24143193:24149267:1 gene:OGLUM11G20250 transcript:OGLUM11G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRRTSSSHSSPPSAPSSNARSPRCASVASAGAGDGDEERDDDCGGGHVQPAVGWAAPARSSPQNARASQPPATPAGEVVRGNGDEQKTKWLDAVHGG >OGLUM11G20260.1 pep chromosome:ALNU02000000:11:24149410:24151281:1 gene:OGLUM11G20260 transcript:OGLUM11G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALARTNTTAQGVEEDIIVPLLARLATIRAVLDAAPAPTPTPDRQDAPAPAMATAAAEEENARAEAAALLEKVRGEMSHLRAVFRRIDDADKSIRYGFDPVEERIDDALQHARPDAGHVREALLAVDAGIEAIRSSIREVYRFPCDGDERLDPPPAATAPATGVVMTRRMGEIRRGPQMRHLSLAIGGFEARLRGCVLTLAAFPECAVIKKRLLIHWWLGEGFVRSAAEGKSRFDELIAKGFIVPIPGHLCATVHRCAVRPWMRDLLTGVAKRSAFLDLDPGNDFTLARRACLNAGRMSSGFSAEARAIYNVDQKYLELDDAWFAGKKELRALQLGQWREFGPLEQIANPMDSHIELSGVEHLAGMECCKNLRYISFRGISRIESLPDSIGKLRDLTVLDLRACHNLEELGQGITRLDRLEYLDLSECHLLVGMPKGIGRLTRLEVLKGFVVANPSRREPCHLNELTKLNKLRKLGIVIGTMAVPTDDEFMKLGEFRALESLKIRWGVLASDNKGKIEASSHQKPIDKMKFALPPNLKKLDLRCFPLTDFAQWVMPKDVKKLYIRGGKLMTLGDEQGWEAEVLRLRFLNDLEYDHDRLKRSFRKLKPENTEIHACPKFIRGQ >OGLUM11G20270.1 pep chromosome:ALNU02000000:11:24152768:24153013:1 gene:OGLUM11G20270 transcript:OGLUM11G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPDKGKETAAAAVDPPSSSSPADPSSGSSPDKKKKNNNRVEATVAVAGDDGKKKTKKMVRMSQSHINLLMSFERWRRR >OGLUM11G20280.1 pep chromosome:ALNU02000000:11:24154609:24155121:-1 gene:OGLUM11G20280 transcript:OGLUM11G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGSSPSPAMAGGKKNKKTTVGRKVRMTQEEIDSYINYQTIRMPDEIFPIVSKERLACTDLSDKGDLPVPMDQIDDYVAKIFREINQIEDQFMKHRDGILNQYYRKGYAMRKATDDDDDDEKEEEEETSPADAQEEDAAGDEQARAPNPGRRRFRPGVSIKAGKVNKLN >OGLUM11G20290.1 pep chromosome:ALNU02000000:11:24165284:24172013:1 gene:OGLUM11G20290 transcript:OGLUM11G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGADLINLLLPDEILDEVLRRVAVSGAKRDLDACALVCRRWRRHDRATRRSAKLAASGARADEVLRLVAERFPALVEVSVDERISVEAAAAGPSCAAARSRRRPMYDVSPSGRRRRMSRSSNFGAHMSPFPLDQPGSDNETERTCLTDVGLTSLARVCKGLEKLSLVWCSSITSTGLVRISENCKNLSSLDLQACYIGDPGLIAIGEGCKLLRNLNLRFVEGTTDEGLIGLIKNCGQSLVSLGVATCAWMTDASLHAVGSHCPNLEFLSLESDHIKNEGVVSVAKGCRLLKTLKLQCMGAGDEALDAIGLFCSFLESLSLNNFERFTDRSLSSIAKGCKNLTDLILNDCHLLTDRSLEFVARSCKKLARLKINGCQNMETAALEHIGRWCPGLLELSLIYCPRIRDSAFLEVGRGCSLLRSLYLVDCSRISDDALCYIAQGCKNLTELSIRRGYEIGDKALISFAENCKSLRELTLQFCERVSDAGLTAIAEGCPLRKLNLCGCQLITDNGLTAIARGCPDLVYLDISVLRSIGDMALAEIGEGCSQLKDIALSHCPEVTDVGLGHLVRGCLPLQSCQMVYCRRVSSTGIATIVSGCPKLKKLLVEEWKNRTTKNTHTPTNAPLKSADRRLPMEKGTVGGDDPARGIGMCDRLLTFLAKNLSMNRQRTITDGPRNDSSNNNGGHEEERGGGGEDDDEFTIEIEKAEVLLVDEEDDSDDHKSITATILEEKSTEVETSNGGASVQKKEEEGEGDHRRRDDDPPPVAAAAAAPVKEEKKVKKTVTIKEESGAAAAAAASSSAVKRLLSKKRQASSSQLGGDQDGGQQTKPPARRPGLRPRMPSILRVPSNINEKSSSFIEERKKSFGGAGGKPEK >OGLUM11G20300.1 pep chromosome:ALNU02000000:11:24181311:24191372:1 gene:OGLUM11G20300 transcript:OGLUM11G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLK2] MEKLSMVTSLLCAITVAVLAVAVVGGEAAVVEHTFVVHEMNATHLCNTTKIYVVNGQFPGPTVDVTEGDTVVVHVINKLPFGLTIHWHGVRQMRSCWADGAGFVTECPIPPGNKHTYRFNVTGQVGTLWWHAHVTCLRATINGAFIIRPRDGKYPFPTPAKDVPIIIGEWWELDLIELDRRMMDGNFDDNPLSATINGKLGDLSNCSRMVEESFILDVKHGESYLLRVINTALFSEYYFRVAGHTFTVVGADGNYLTPFKTDMVTVAPGEAIDVIMVADAPPAHYHMIALANQPPEPDPQIPVFTSRGLVRYAGATANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLAHPERHRVPMHVDERLFVTLGLGSICRGQNTTCKRRRSPETIVVATMNNVSFAHPKTTALLERYYDGTSKGVYTEDFPIRPPRPFNYTNRDLIPPGPLEEALEPTFKATKLKRFKYNTSVEIIFQSTTLMQSDSNPMHLHGYDVFLLAQGLGNFNAKRDVRKFNYHNPQLRNTVQVPRGGWAAIRFVTDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCGNNADQTTMVASLLCTVSVAVLAVAAVGGEAGVVEHTFVVHEMNVTHLCNTTKIFVVNGQLPGPTVDVTEGDTVVVHVVNKIPHGLTIHWHGVRQLRSCWADGAGFITECPIPPGSERTYRFNVTDQVGTLWWHAHVTCLRSTINGAFIIRPRDGKYPFPTPVKDVPIIIGEWWELDLVELDRRMRDGNFDDNPLSATINGKLGDLSNCSGIVEESFVLDVKHGESYLLRVINTAFFSEYYFKVAGHTFTVVGVDGNYLTPFKTDMVTVAPGEAIDVLMVADAPPAHYHMIALANQPPEPDPQIPEYISRGLVRYTGADANNNGLPVPMPIMPNQHNTMPSYYFHANLTGLMHPKHRRVPMHVDERIFIILGLGTICRGRNTTCKRQRSLETIEVATMNNVSFTHPNTTALLERYYDGTPEGVYTEDFPVRPPRPYNYTNPALIPPGPLEEVLEPTFKATKLKRFKYNTSVEIIFQSSTLLMSDSNPMHLHGYDVFLLAQGLGNFNAKRDIRKFNYHNPQLRNTILVPRGGWAAVRFITDNPGMWYLHCHFEFHIIMGMATAFIVEDGPTPETSLPPPPPEFKRCDAS >OGLUM11G20310.1 pep chromosome:ALNU02000000:11:24183974:24186950:-1 gene:OGLUM11G20310 transcript:OGLUM11G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVGEFADFGMDVGTVSPSPLANVGGSQPRWKKPGEGYLKINVDGAFSGIAQNLVAGASSSEIAIAVAAGSGNLQNVSEPSQAEAETCLQAFKFASDAAQLHNEPNPDDNLPSRLRRPPPPPRWMPATDEGTASTVSASSAASTEAGRALDAVARRVRQRPHRLDDERSSAWASAQHTYPARTTAATTIDDDDGNDLTTMSSESEDDGDRRISRLRLPPVTARVAPQPGGAKGAMPRHGRPSLRLRCPASVTKLAMANCRWKMKNARRRRDGSEPWTSTRRRYDTSASSSTSRCDRELRTWRREVDLRLVDNRSRRVATAFWQLTHNAIAIAGEESLGAAAVAALVNLDRLELDLRHNAPCSRKRSAVAMANLLHCCSIAALRFRDYSSRSLLLQFRYKLDFDKSVDLFVHRSSKPKLSLDDDDEEEEETNCFGSQLANFFAENAMVLEEINVDDGNQ >OGLUM11G20320.1 pep chromosome:ALNU02000000:11:24191687:24193489:-1 gene:OGLUM11G20320 transcript:OGLUM11G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADDRLSVLSDDLLRRILHFVPSREAASTSLLSRRWGSLWRSTGTVNLAVRVVYDDVSGDDEAFSSRRDAFVRASQAALAAAAAGAGVTRLTLNVAGRCLSGVDKFLNYGGGGGGEEIGIVDAVVSHPAARHVEELRVGVAGPMYRTDGAEQRPWQEARRWRSDDIYTYTLSFASLPSATLRVLDITGCNFSDSKLALPDAGVAFPRLDTLRLRLCAVRLAHLQLLIDAAPALATVHLESVYFEFNIYLDYYGVYGGLVAVESRLLLRCPAATELAMEFCGSSSYINSHLDGGIGIDAPKLRSFRYTGHPRRFYLESPAPEMTAVNIHFIDGDHRFADRLWRFLGNFTNVKILKLTVQELGHLAVAGKARRAELLCKFGNLERLELEAPDFDKSVGLFMRHKSKMTAVSSSLIDEHHDDKFSNISGLSGKSFACLNSSLRRVNLQFQLGSASNCFGVRLIRFFAQNAMVLEEMCIDSGNRKLCEHMNLNVERWVGVDSSKIRLKDKNLTGSSWEFSRIHPDSAPEFERNATSFKVLPLERR >OGLUM11G20330.1 pep chromosome:ALNU02000000:11:24193635:24213607:-1 gene:OGLUM11G20330 transcript:OGLUM11G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKTTTTPPHPLAGEKVPLTAFDRAAFDVFVPLVFAYRAPAPSSEAVKEGLRVAVAAYPLAAGRLAVDVAVDGQGRRRRRRVLHVNDEGVLVLDATVEADLDAVLAANVATDLYPALPEHSFGAALLQVQLTRFRCGGLVVGLIGHHHVFDGHSMSTFCATWARAVRDGEAFIVPSPSLDRAITGVPRSPPAPVFDHRSIEFKVESPPVYADEVTKPKAIGAGGGDRLSALPDDLLLRVLHFAPAVEAASTSLLSRRFGALWRSTGAVNLAARVPGAGGRDAFTTVKYQ >OGLUM11G20340.1 pep chromosome:ALNU02000000:11:24217898:24225431:-1 gene:OGLUM11G20340 transcript:OGLUM11G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGSVRIYDIKLASLPSNTLRVLDLTNCRNLTPTTAAAAAAFPRLDTLRLRHCTANIDDLHRVIDAAPVLATVHLEFVYLVSDGHSSDTVWSTRLRFPAATVLVLINCDTTGAMEIYAPRLRSFTYKGDAVQPFDLISPAPPPPDMALVLKLKAPAPRHLSDMAFVRVFPNIEHLELDGAYDTWNKTAAAVASILHCCPVLRELKLNLVGTSKNSKQARSLGFLPGLSYYSFACLKNSLRRVSLQFKLANDNSKSFGVRLVKFFAENAIVLEELCILTEAASTSLLSRRFGALWRSSGAVNLAASVPCGSRYIRSAALLARRGAFVRAAEAALSAAAAADHRVTRLTVHVECDKEDKGSIASFLLSSENDERRGHRDVFDAVLSHPAARRVEELSVAAVHPHWGDKGNIVSSDGAGIYSINPATLPSNTLRVLDLTNCRSLTPSPAAAAAAFPRLETLRLRHCTANIDDLHRIIDAAPELAAVRLEFVHLMSNIHDVPFLVQLAMSSTTRLRFPAATALTLINCLTNGGMSGSVIDAPRLRSLTYKGAARSQFELTSPAPDMKMVHLHFNHYFHQRDYLRFIHNFTKVKVLKLKAENVDDMAVHGVFFPNIARLELDGGFNRWSKTAKAAAAIASMLHCCPVLCDLNLNLISTVSPDYFKKSKQVQHFFQRKSQLDFDRSIDDFMRNSISKRGDHRHNGDEVSGFIPGLTACSFTCLQNNLRRVSLQFRLDENSENFGVRLYNTDTHNARALTPMNAPRSHRIASPARAHDEMAAGGEDDRLSDLPDDLLRRILHFVPFREAASTSLLSRRWGSLWRSSGAVNLVEHVEDEEEEDVNLVEHVEDEEDFDFDDEEDDDEVTAEEPSARRRDAFLRAAGAALTAADGDISCDHVTRLSVDVDGPDGYCITNFLDCDESAAAYDIFTGMDVLHTVVSHPAARLVEELCLRVASESDSYGVHRRRRDKEEEPSTDLGVYGLSLASLPFEKLRVLDIAGCNNLSLPPPPAAAAFPRLQTLRLRRCAAKVTHLQRLIDAAPGLATAHLESVVFNTDDNNDNQSYNHRDTGACSSISLRCPAATSLALEWCGSTDYKFYYAHSRYSDDDDSCGGSIAIDAPKLRSFRYKGLPRPFHLKSPAPETTTTTTAVSLHFNSDYYLKEDTARVHSWRFIGNFTNAKTLKLKVDNLDHLAVADKASRSKLLCVLPNLVSVELEAAQLMNTKMNTKKSAVAIANLLRCCPVLSEFTMKLNSATTCTDRYWPNHRGRFQPDFYDSVDHFMRRKSNTTTAISSIDSRKGDGDRHVDEVPDIPALSRRSFTCLQRSLKKVSLKFKWSGDDCFGVQLVKFFAQNAMVLEEMRIDSGDRKLCDHMNLNVERWVGADSTKISLKRKNFANSTWEFSRTCPDSTPELETSTTSFIVLPLER >OGLUM11G20350.1 pep chromosome:ALNU02000000:11:24236530:24238023:-1 gene:OGLUM11G20350 transcript:OGLUM11G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDRLSALPDDLLIRVLHFAPAKEAASTSLLSRRFGALWRSSGAVNLAACVPDGRDAFVRAADAALAAADRAVTRLTLHVEGDDECSTYNSLRVGDHDVLDAVVAHPAARRVEELRVAAVHRGQPDEHDDAVMDDDVFLYILRFSSLPSNTLRVLDLTRCHKFSPPPPRTASSATAVAFPRLTTLRLRHCTYRVKHLHGIVDAAPELATVHLEFVLLTSDRHRRFGPVTWNTGLRFPAATALALIHCRGEEGAPGRSMEITAPRLRSFTYKGEAVRFDLTSPPSPPAPDTTTVVAADLHFTHGLGRCVDYSHFIHNFTNAKVLRLKANHLDDMAVAEVFPDLEHLRLELDGAYSGWSMATETAAETIAGLLHCCPVLRQLKLNFISDLPPDSCKNSKQVKHLCQKKCDADFEKSIDDFMRLIKFKSKQRLDIPGLSDCSFACLQNSLRRVGLQFRLGEDSDCFGVRLIKFFAENAMVLEELHVLTAETEISEST >OGLUM11G20360.1 pep chromosome:ALNU02000000:11:24240845:24242611:-1 gene:OGLUM11G20360 transcript:OGLUM11G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHAPPPEMAAGGDRLSKLEDEVLGHILSFLPARDAARASSLSSRWRHVFAAVHTVSMAEADADHPAIRGYVSPPYRRDLDPLAPPVFSAVVTTALLSRHRRLRHRPIPLRALRVDMMGYVRADSAAVDQWVSYAVQQAAADHGLEIDLRLGRPAICDRAYSLRDGANIPEDDDDDDDNAEDEQHDNAADDDEKKQEARDRSPSPARRAPSHSPSSSDYDDDVASSDDEEVRGYTPVFRPWRPVHTIPSMLFSCTVLRSLTLGSCGFVLPATVALPSVETLVLSHVKGPASDVQRLVSGCPRLADLTLEACGAVTAVTILGGARLRRLAIRCCHRLAAVAVDASELRTFEYRGAVPRGRDFLTLHHGDADARRRRGIACCHVDICGEEATEEEELTGLRRFLQLFADDATHLHLQSARLGAGADKDALASFPTFPNLRHLELWGSLPDDDAADAAAVTTVTTILNSTPGLEALSLVFHPRGNGDGGSCSQNELRDAHQLRYNPHAVLAAAAGAMAVPCLRSTVREINLVHYQGGMAQRSLAKFLLCNAPAIAELFCVSAEGPLFMLEQLKQELRGWLMNKSAKTSFR >OGLUM11G20370.1 pep chromosome:ALNU02000000:11:24243695:24248955:1 gene:OGLUM11G20370 transcript:OGLUM11G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutathione synthetase 2 [Source:Projected from Arabidopsis thaliana (AT5G27380) TAIR;Acc:AT5G27380] MSSCVTTPHHHHHGCFSGSRRRRRLLQAPAPRARPRLVVVAAAAAARHVAPPPGRAVASRAMSAEAPLGVAPAAAEEEMAAVVDEMAEEAAVWCAVHGLVVGDRAEPRSGTIPGVGLVHAPFALLPTRFPASFWKQARELAPIFNDLVDRVSLDGEFLQDSLSRTRQVDDFTSRLLDIHAKMMEVNKEEFIRTLLNRHGKVLGLDSKRIPQNWAATQFAEALSMAWTEFNNKRDGQTVSVVYFRAGYSPNDYPSEAEWRARLLMEQSSAIKCPSISYHLVGTKKIQQELAKPNILERFLNNKEDIAKLRKCFAGLWSLDNEEIVKTAIEKPDLFVLKPQREGGGNNIYGHDLRETLVRLQKEQGEALAAYILMQRIFPRASLTHLVQGGVCFEDLTISELGIFGAYLRNKDKVVLNNQCGYLMRTKVSSSNEGGVAAGFAVLDSILLTDE >OGLUM11G20380.1 pep chromosome:ALNU02000000:11:24251048:24254110:1 gene:OGLUM11G20380 transcript:OGLUM11G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSSAMLKPAPTPTPHPLAGEKVPLTAFDRAAFDVFVPMVFAYRAPAPSSEAVKEGLRMAVAAYPLAAGRLAVDVAADGQGRRRRRRVLHVNDEGALVLDATVEADLDAVLAANVATDLYPAPPEHSFGAALLQVQLTRFRCGGLVVGLIVHHHVFDGHSMSAFCATWARAVRDGEAFIVPSPCLDRAITSVPRSPPAPVFDHRSIEFKVGNKSSDSSGAAAAAVEKITNIGVRFTAKFVAELKARVGGRCSTFECVLAHAWKKITAARGLKPEEFTRVRVAVNCRRRANPPAPADLFGNMVLWAFPRLQVRRLLSASYRDVVGAIRAAVARVDGEYIQSFVDYVEAADARGEELAATAPEPGETLCPDLEVDSWLGFRFHEMDLGTGPPAAVLSPDLPIEGLMILVPAGGGGGGGVDLFVALADDRAQAFEQICYSKVK >OGLUM11G20390.1 pep chromosome:ALNU02000000:11:24254189:24255326:-1 gene:OGLUM11G20390 transcript:OGLUM11G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLRMAVAVYPHMAGRLALAVDDRDSRTTTKHQIDVVTIGAGSVKTSGRRFCRYSSPASGRRHHRRRNLAVHTTDDEFADNFGRCSTPLPEDQQQRRELDADAGAGVGFTHGAVNEADLPAAVDCRQMRYNLVPGAVTEVKDQGDCGSCWAFAAAAAVEGIASIRTNNLSSLSPPQLVDCVAASEPRLHQRVGVDGAGLRRAPRRHGVGGGIPVHGHAVHLPPRRQQQQHGGAARRLRRISRDVSAATGKEGACGILMRAGIK >OGLUM11G20400.1 pep chromosome:ALNU02000000:11:24260268:24264251:1 gene:OGLUM11G20400 transcript:OGLUM11G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCTEQPAVTRGQLLLLLLLLLLASSPLCSRVSTSARARSNGGGSSRRVVRHLPGFDGPLPFELETGYVEVDHIAGVRLFYYFIRSERRPAADDDPLLLWLTGGPGCSAFSGLVYEVGPLTFDVLHGRQGGELPRLLYKPESWTKRANVIFLDSPVGTGFSYAADDGGAGFRTGDTVAVRHILAFLRKWLQELHPDLLSNPLYIAGDSYSGLIVPAVALGIATSSPEPHQPSLNLKGYLLGNPVTDDNFDTPSKIPFAHRMGLISDELYKTTGYTMSIIWANNDTVREALGIHQGTVPSWQRCNFDIPYTRDIKSSIRYHLDLTTRGYRSLIYSGDHDMGIPFIGTQAWIRSLNFSVVDEWRPWFVDGQVGG >OGLUM11G20410.1 pep chromosome:ALNU02000000:11:24270212:24270778:-1 gene:OGLUM11G20410 transcript:OGLUM11G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRAAAWSCTESLGSESGDVGGCDEDDVVVDHHQQQLPQAAAAEGPQTSSPEKRRRTDQRRLPPAMPRAAEGGPFMRAERRGGRLILTEVAREPDRRREVFRASRAGGRLQLRFAGVEEEEGEGEEAPDDAEPAAPAETAESVATTSGGGGVVVSGYCCNGGGGGGGFCQVAGGAGRRLEIGAVMGT >OGLUM11G20420.1 pep chromosome:ALNU02000000:11:24271788:24272036:-1 gene:OGLUM11G20420 transcript:OGLUM11G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRATATAAGSATATTMVGDSAMADLVVGRTAVADLAMGRTAAVDPAIGRTTDPVTEEERRRRAKRSLRRSRGGGVPWSCGR >OGLUM11G20430.1 pep chromosome:ALNU02000000:11:24275774:24285014:1 gene:OGLUM11G20430 transcript:OGLUM11G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: transport; LOCATED IN: nuclear pore; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nuclear pore protein 84/107 (InterPro:IPR007252); Has 5399 /.../ hits to 5001 proteins in 612 species: Archae - 19; Bacteria - 730; Metazoa - 2186; Fungi - 823; Plants - 382; Viruses - 37; Other Eukaryotes - 1222 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G14120) TAIR;Acc:AT3G14120] MEVDPPPPPPPPMANYFDPESSGRREEYRRYRKRLSSSNASPLLGTAVSKFSEARLFCDGNSIQRRPNAGLLLEDIKQEAADISDFDSLDESKLFGSGKKRASLDASDAGFSSGRQAVRSALKSVKLEEDMPHEGERTSTIFASLLDSAIQGLMPFSDVILQFERTCRNASESIRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVVTDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYIKRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGKSRTLQAIELESGVGRQLCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQVDLVLSQYQTSRPEEKQFDDEMNGTQPMLNSAGPESWPHSVLDQQPRDISALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMFKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSREPKPIKYDEDIFDVAEMHHLQALQKAMVIQWLCFTPPSSIPDFQMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFEMENASISPEMLSAEEKSQAVAAAKETLELAFLLLYREDIPWLNAVESSPIEPSEHVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSETEVLHRQLKVDVNVSSKDPCCIQVSLLCLAVEGDGLGLHEANDGGLLAAIMAAGFKGELNRFQPGVSIEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASIALSEAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLLFERECYLSKMELEEEQLEQLPADG >OGLUM11G20430.2 pep chromosome:ALNU02000000:11:24275774:24284490:1 gene:OGLUM11G20430 transcript:OGLUM11G20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: transport; LOCATED IN: nuclear pore; EXPRESSED IN: 23 plant structures; EXPRESSED DURING: 13 growth stages; CONTAINS InterPro DOMAIN/s: Nuclear pore protein 84/107 (InterPro:IPR007252); Has 5399 /.../ hits to 5001 proteins in 612 species: Archae - 19; Bacteria - 730; Metazoa - 2186; Fungi - 823; Plants - 382; Viruses - 37; Other Eukaryotes - 1222 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT3G14120) TAIR;Acc:AT3G14120] MEVDPPPPPPPPMANYFDPESSGRREEYRRYRKRLSSSNASPLLGTAVSKFSEARLFCDGNSIQRRPNAGLLLEDIKQEAADISDFDSLDESKLFGSGKKRASLDASDAGFSSGRQAVRSALKSVKLEEDMPHEGERTSTIFASLLDSAIQGLMPFSDVILQFERTCRNASESIRSAGTGKLRMVEDRLMQQKAQLLLDEAASWSLLWYLYGKGNEELPGELFVAPTTSHQEACRFVVTDLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYIKRKNNDTTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQSWRAATLCPFGGIDLFPSLEAMLKNGKSRTLQAIELESGVGRQLCLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMTKSWLGVQVDLVLSQYQTSRPEEKQFDDEMNGTQPMLNSAGPESWPHSVLDQQPRDISALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNIAHLLDLLWSWVSPSEDDQNILRPRDDPDMIRFGAHIVLVLRYLFSDEMEDEFEEKLVAVGDLIINMYVRYLFSEQQEELVGVYASQLERDLCIELFVEMMELRLNSSLHTMFKLFLSAVEYLPFSSGDASKASLEEIIERVLSRSREPKPIKYDEDIFDVAEMHHLQALQKAMVIQWLCFTPPSSIPDFQMISGKLLIRALMHSNTLFREFSLISMRRVPELPVGPHKLLAILAEPLKQKENLISLEDPEVSDNLREFEDWHEYYSLDATYRSWLKFEMENASISPEMLSAEEKSQAVAAAKETLELAFLLLYREDIPWLNAVESSPIEPSEHVFLELHATAILCLPSGECMLPDATSCTALTSALYSTVSETEVLHRQLKVDVNVSSKDPCCIQVSLLCLAVEGDGLGLHEANDGGLLAAIMAAGFKGELNRFQPGVSIEISRLDAWYSDGHGSVESTAAYIIRGLCRRCCLPETILRSMQASIALSEAGDSLDHCDKLIELVASSESGIMHLFSQQQLQEFLLFERECYLSKMELEEEQLEQLPADG >OGLUM11G20440.1 pep chromosome:ALNU02000000:11:24284887:24288048:-1 gene:OGLUM11G20440 transcript:OGLUM11G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSGASESLLPRAGGDGSLAMVIASTGVAVLGSFVFGVSIGYSAPTQSKIREDLQLSLSEYSVFGSIITIGAMIGAVASGHLADISGRKGAMRTSALVCIVGWLAIFFAQGAVSLDFGRFCTGFGVGVFSYVVPVFIAEIAPKALRGGLTTLNQLLVCTGLSVTYIVGTMVTWRMLVIAGLVPSIILIVGLSFIPESPRWLAKVGRQKEFEIALQRLRGKDADVSIEAAEIKEFIETIENLPKAGVQDLFNRAYIRPVIVGVGLMVFQQFVGINGILFYASETFVSAGFASGDLGTILMGCIQAPITAVGALLMDRSGRRPLLLISTSGLLIGSLMSAVSFYLKIHGLFLEQVPIIALTGILVYIASYSIGMGAVPWVIMSEIFPINIKGIGGSFVTLVNWSGSWAVSFAFNFFMSWSSSGTFFLFALVCAVAILFIVKIVPETKGKTLEEIQASMNSST >OGLUM11G20450.1 pep chromosome:ALNU02000000:11:24290468:24294720:1 gene:OGLUM11G20450 transcript:OGLUM11G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPSLAPTMSSTLRRFSIRSGAWPQWPSAAAAAAAAPADGSQACALRPPIRTFSLSELKKATRNFSKENVVGRGGHAKVYRGCLPGGELVAVKRLSAPERGGRVESFLAELGHIVSLSHPNVARLVGVGVDGGEHLVFPFSRLGCLSGRLHGAAAGEEAMPWAARFRVAVGAARGLEYLHERCARRIVHRDVKPANILLKDDYEPMECPLVQKGKRNFAWALLNSQIVVLVFGLNFQICDFGLAKWLPASMTHHQVTTFEGTFGYLPPEYTSHGIFNEKTDVFAYGVVLLELLTGRRAIDAKKLSLLTWATNQPSSSSTSGIRTLFDIPLVISACARMQYATNHGVMIIHGGVRVRVIHRVSSSLQARPFLYGGGGDGDDDDDDAVRMMVDPALGGQYDAWQLAAVAYAAKICIQNSPELRPKMSEVTQILQENEEDRRSVEGSRRTFTLDRTVEMHETNGQDSATRRQLDDLRRHMALAFDFECEHTSSAEIEQLSDHSN >OGLUM11G20460.1 pep chromosome:ALNU02000000:11:24299818:24301011:1 gene:OGLUM11G20460 transcript:OGLUM11G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAARRPRALMLLAAVLLVAVPVAAATLHPVDYLALQAVRRALSDMPGSRFFASWDFTGDPCGFAGVSCSGDGRVVTLALGDPRAGAPGLSGALPAAALARLSELASLSLVPGRVSGELPPAVAALPSLRFLALAGNLLSGDLPATFSPMLRTVDLSKNSFSGRIPPSLLLIRSLRTLVLSHNSLSGEIPKLVSSPLVHLDLRNNRLTGGVPPLPATLVYLSLAGNRFSGRVGGVLRRLPRLSFLDLGGNWFSGEVPGEVFSFRISYLQLRKNAFSGELRPSGRVPAGATVDLSHNALSGRVPAELAPAAAVYLNGNKFAGQVPREIAAAAEGGRMRVLFLQDNFLTGIGVGGVPASAAVCAHMNCVAPPPPVVAACPAKGGRGRRRPPSQCGGRRL >OGLUM11G20470.1 pep chromosome:ALNU02000000:11:24326300:24327745:-1 gene:OGLUM11G20470 transcript:OGLUM11G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSAARGDGNGGGGGEPHVLVVPFPAQGHMIAHLDLAALLATRGGMAVTVAVTAGNAPLLEPLLAACPSVGVVTLPFPPSPLLPPGCGENTRGLPWRLFWMFVPALAALRAPLLDWCEAQHQRRRRVTAIVSDLFTGWARPLADELGAAHVTFSPSTAFFVAMAPPTWHPPSTPTPMPQSSADADADADADTDEAAAAALLFPHVADDPKPGDEAYEEIRQILLWSLENKCIVVNSFTALEAAYWASPLAARLRSRSRVLSVGPLSEAWPTCGNRGGRPAVAASEVAAWLDAFDDGAVVYVSFGTQHALSVAQAACVAEALARSSAAFVWATGGATAVPEGLEAATAAARGMVIHGWAPQVAILRHRAVGWFLMHCGTNAVLEAAAAGVAVLAWPMGADHFVNRALLEEAGVAVRLAEGGDAVPDAGEMAKAIAAVIGDEGKPFRERAVRLAAMAAAAVAEGGSSYRDLQELIHMLAKIE >OGLUM11G20480.1 pep chromosome:ALNU02000000:11:24335892:24337298:1 gene:OGLUM11G20480 transcript:OGLUM11G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPAGEDLTIRVVSRRLVKASDATIQPHVATVSNLDLYFNNYQASMVCLYPSNLPVAGVAGSFDAVVAAFEAGLPSLLNHFYPLAGRIVFDPVSRLPELHCHNQGAELVVGEVDAALGSLDFAGMDGSLRRILLPYPDDVMLSVQLLRFACGGFSVVWGNNHLPNDGHGISMVVRMWSELARTGRIGDGVVVNHDRSVFRPRSPPSYGAAVRATFAAYHDESRLVNVLTTQDSFVERLYYIEAGDVARLRDMASTGQRRASRVQAVSAFLWKALAGVVAASRVPEERCRMGWWVDARRRVASPALVPAMHSFFGNMTAYALGEAAVEEILERPLAEVAAMAREAIASIDYDAYVQELVDWVEEHKAEKMMEVSALGLGSPTVNQTVFASFPLDTDFGFGEATLAMPVWENGRVSSGTLAVGARPGGDGSWLVSAYIWPRLAAALESDDHRIFKPLTAAYLGFV >OGLUM11G20490.1 pep chromosome:ALNU02000000:11:24337981:24338952:-1 gene:OGLUM11G20490 transcript:OGLUM11G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEAAVPPAGEDLTIRVVSRRLVKASDATIHPHVATVSNLDLYFNNYQACAWSASNPTTSPWPPSSPPSRLASRRCSTTSTLSLAGSSSTLSPASRSRSCTATTRARSSSSARTTPRWAAWISLRRIMLPYPNVNRWFHTPTAEGNNN >OGLUM11G20500.1 pep chromosome:ALNU02000000:11:24352352:24353962:1 gene:OGLUM11G20500 transcript:OGLUM11G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKKRPAAAAVAAVDEEPTAGSTSSAAAAEADGGDATVINRSVEGDDGGEEAVAVEEERRESSSPEMDEAAAEKVKEMNRLLVRESVEERVRVAALAAGIGELEADAGAVADEERAVLRVALAVKLAAASDEAAATRARLDAARESLEAAEAAAAREAAGRGEAAARLEAAAAENGRAMELLATKEAELAAISAKLAESDAMVAQLEEQNSQLRAERGELEKRLEETKASSRRVHTQKTEVEESFEEFKKNTEKHKQQMEEKLGEKMAELKLLTSIKTEMAAKIESFEAELSMAMARSSELESGIDSSKSELAAAKTEAEKLRSEVAEIDEKHRMAEAKVNELQIEIEETMKTKDAEASAFRADKITMEKTLETLSLEMKKIHAEKDAAAAMVLQKSDESENLRAELKNLHKSISELRIRCNDLTDHSSRLQADKNSVMRALSNEKSESTKLRLKLRELESYVSNKDNEIGVLNSEAEDREGMVDGMSRQYEQLRIAAAEAHRRGKNGVWTWMCHPATTTVLAAASVVYAASRR >OGLUM11G20510.1 pep chromosome:ALNU02000000:11:24356926:24357408:1 gene:OGLUM11G20510 transcript:OGLUM11G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLM4] MVPKVFVPLCVVVLAAALAAGPPVADAASAHLHFYMHDVLGASAVQVVRGPRGMFGNTVVMDDVLTEGPAATSSVLGRAQGQYIVASTGSMDLMVTMNVVLSSGPFAGSSVTVVGRDDTGAAVRELTVVGGTGQFRMARGYVLWRTIRPDLLELDVYVNP >OGLUM11G20520.1 pep chromosome:ALNU02000000:11:24359431:24365508:-1 gene:OGLUM11G20520 transcript:OGLUM11G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAAAPVQEQEKCNGGGGGGGVVGELLGAAAAAAGAGEERRRSLISLGVGDASSHACFRRGGEFTADAVADAARSGVFDCYAPSCGFPAARRAVADHLSAGARHRTRDADVFMTAGGTGAITAIATVLGGAPGANVLLPRPGFAPYEAACELAGAEPRFYDLLPRRGWEADLAGVRAMADGATAAIVVINPNNPCGAVYSAQHLFQIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >OGLUM11G20520.2 pep chromosome:ALNU02000000:11:24359431:24365508:-1 gene:OGLUM11G20520 transcript:OGLUM11G20520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAAAPVQEQEKCNGGGGGGFRGAAVRCHPALSTEKKASIRGVVGELLGAAAAAAGAGEERRRSLISLGVGDASSHACFRRGGEFTADAVADAARSGVFDCYAPSCGFPAARRWSNVSLFLEKVKLINIHICNSRAPETSAVADHLSAGARHRTRDADVFMTAGGTGAITAIATVLGGAPGANVLLPRPGFAPYEAACELAGAEPRFYDLLPRRGWEADLAGVRAMADGATAAIVVINPNNPCGAVYSAQHLFQIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >OGLUM11G20520.3 pep chromosome:ALNU02000000:11:24359431:24365508:-1 gene:OGLUM11G20520 transcript:OGLUM11G20520.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVAAAPVQEQEKCNGGGGGGFRGAAVRCHPALSTEKKASIRGVVGELLGAAAAAAGAGEERRRSLISLGVGDASSHACFRRGGEFTADAVADAARSGVFDCYAPSCGFPAARRAVADHLSAGARHRTRDADVFMTAGGTGAITAIATVLGGAPGANVLLPRPGFAPYEAACELAGAEPRFYDLLPRRGWEADLAGVRAMADGATAAIVVINPNNPCGAVYSAQHLFQIAETARELGIPIIADEVYAHMVFGGSKFVPMATFAHITPVITIGALSKRFMLPGWRLGWLAFCDPNGALKHVRNATEMLLNVTSGPASIVQAAVPKILSNEHNEFHRNVVNLLESAADALYRRVNQIEALQCYSKPHGSMFMMVEVNTSLLFGVEDDMDFARELIKEESVLVLPGSVIGLKNWIRIFFGAPTSVILEACDRIEAFCQKRAVQVKLLKKKF >OGLUM11G20530.1 pep chromosome:ALNU02000000:11:24371148:24371752:1 gene:OGLUM11G20530 transcript:OGLUM11G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSSVICKVLLIVVTMVALLFSSGLADDGPGYEYCFLKCIDECNQTCKSSGYTHGGDCNTGPCCCLW >OGLUM11G20540.1 pep chromosome:ALNU02000000:11:24376191:24376582:1 gene:OGLUM11G20540 transcript:OGLUM11G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKFSSVIFGVLIFVAIATTLFSTGLAQGGPAYEYCLLKCIDRCDEFCKTMEYPNGGDCNTGPCCCLW >OGLUM11G20550.1 pep chromosome:ALNU02000000:11:24383543:24387171:1 gene:OGLUM11G20550 transcript:OGLUM11G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPTFHLTPDLAVSRLCFGTMTMGEQSRLPESLRLLDAAFDAGVNFFDSAEMYPVPQRSETHGRSEEFLGRWLRARRAPRDSVVLATKVAGPSGQMTWIRGGPASLDSRNIAEAIDGSLRRLGVDYIDLYQIHWPDRYVPMFGDTEYDPSHQYMSVPMEEQLMALGRAIDAGKIRYVGLSNETPYGLMKFLQLSRDSQLHSKILTVQNSYNLLCRNFDAALAECCHHERISLLAYSPMAMGILSGKYYSLDGGPQDARMNLFKGRYSEGESRYNLQNPKLKAAVKCLHCSSYKLTQEYTKIAAKHGISPAILAVAFVLRHPLVASAVFGATEISQLTEVLQATRIHLSEEIVAEINEVHARYPNPCP >OGLUM11G20560.1 pep chromosome:ALNU02000000:11:24387541:24388056:1 gene:OGLUM11G20560 transcript:OGLUM11G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLN1] MASSSNLSSAFALAAVVLLLAGGGGGLTAEAASAHLHLFMHDTLTGAAPTAVQVVNGPRSHFGDTIVIDDVLTAAASRSSAAVGRAKGQYVWASSGNPELLVTMEVVLTSGPFAGSSVTVVGRDDIAAPVRELSVVGGTGEFRMASGYVLWKTVSLDHPNAILELDVYVNP >OGLUM11G20570.1 pep chromosome:ALNU02000000:11:24397099:24401442:1 gene:OGLUM11G20570 transcript:OGLUM11G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAVAAVTSLLGQIRNEALFLGRVKSDVRFIKHEMESMRSFLEHLAETGGDHDPQVRTWMEQVRELARDCRSCVDIYLQRGNPAAVLGPRGGALRRYLCWAPWFVQSMVHQHYTGIELSELKERACDVAQRRKRYGVVVAPKLEAVPPPASSSEVEEDYNATSDNLAGGGSSNSDLGRTSALEGRSLENYCGEELAHWVMNTTTTMESLSASTTSIPSIAIVSPPIQEADDTAEMVAIHDALASVAATHFERSLLVYLPAMHHNPSRIRIKLSDILCYILYQCHMEKESWHSRWGREYLSMVEEYRASNPVNAMKCYIYKMKKIADICRGYTFKRVFQNVVQIGRMVTQRLNGELERGVDAMLGLTNKKPLCILLKALDYLEYGADFTDVRKYHELRVDRMPFQFQMLQLGEEKLLVATAQKLKGHIETNIPIHLSHATYESILREVFQTSNKNLQVQEGTAAPSPGVGTSHDVAAAAATPTTTTFDKDQIKQIIYIHMVQQEVLQELQDKQPPQVPEAGKSLVKAEQATPNPANQDDHEFTSAIEETKEKIAQIGVKIEERFLIECIVEEIKELLGGKRTLIIIEDDKNYVSQWYELRNSLKQLSCSGSAMIVTTQDTQRAKEICYPPREPITNSIVGMYHDILLKVTSQRVNGDASQIFRDILNKCCPSEFCMKTFAHALYTNPNRSNEDMCKLLSSLHSQQSSGINAEKMIKFSYNDLRKEYKSCLLYLSIFPHGYSIRRSTLVERWVVEGLITKEDWPSAIHHAERCFDTLIDRWLIYPNDIGAAGKIKSCIVGNLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNELQLRGSDRIDRFFKNLSKSSQLSMLKVLDLEGCCCFKGKEHYLKDICSNILLLKYLNLRGTDITKLPHQINNLYDLEVLDIRQTKVPAFATKHVLLLKLKRLLAGSSISETTMSIRDKEPLSSYVRIPLGIKKMANVEVLFNVKVWTGQELKDIGKLWQLRKLGVVIDDKDNLLKNLLTAISDLCECLRSLSITIVPCSTNREGTPSIGDLPEYISRCLKYRPKLLESLCLQGTTQKGELLTLLAERFTKLVKVTLSWTSLKQKNLEGLGDLPNLCYVRFRNKGYTDGKLTFIQQKFKNLKYFLVEGKNMRGIKFQKGAAPRLEKIVLSFTNIESLDGVGDLPRLEELELKRNRFLLSLSEVGETLEKYMLTFKKDEFQHLKYLLAEGFSKSFETNITFEDGATPKLEKIILNSFANIMSHPGVSSLPKFKELELKCNKPLLSSFGNANKISKVTLHSTLLKNADLQILAKIPSICCLVLLGNSYDESQLTFNKGEFLMLNLLVVKCPTITDISFTEGAAPMLEKIIWSFTKLNSLSGIDNLSKLKEIELIGDLVPDQVRIDINVHRKHPVLNHKPPEHQDQENGSEQGEKEDDPRFPACSWLSLKNKYWSDN >OGLUM11G20580.1 pep chromosome:ALNU02000000:11:24400545:24407601:-1 gene:OGLUM11G20580 transcript:OGLUM11G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVGHFPPTIWAYAQPTGPHNWAAIATGWATPAVVAVAPGKWPRRAPLRLHRWHLLLSTAARAASWPGPRFSPTAQMVARWRRQVVLSAPPAGGAADFPGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGDFVYGRAVDRPDDLLKHRSCSFSEADVGDGRALDNKKVEHEKLSFVEDNERRNLFLFNSSSSSLGRSPTPSRDSMLVKDNTIFSSLGAAPF >OGLUM11G20580.2 pep chromosome:ALNU02000000:11:24407743:24410551:-1 gene:OGLUM11G20580 transcript:OGLUM11G20580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGNDGDEDDDPIVPTDFTQRVSSSAQKFSRERGSRALLRRSAETVMLLAEVDVAASYSWSCCCSSSSSSSSSSSGACAPMVVDGEASSDRTDDAAAPPAALDDGLPGTSTPYLNRRWPTSWARSLSSRSRMAASPADVALEDAGAAAPAGVADRWVAALQVGVDAVAAVLRELPDLLHPSPDLLVVLVTGWLRLGQHGLTHRLRPAAPRRPHARVKLLPSPPDAACTWLILRRRWWRGEERGREVEREGKKRLTCGSHAESAATLT >OGLUM11G20580.3 pep chromosome:ALNU02000000:11:24401030:24410551:-1 gene:OGLUM11G20580 transcript:OGLUM11G20580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGNDGDEDDDPIVPTDFTQRVSSSAQKFSRERGSRALLRRSAETVMLLAEVDVAASYSWSCCCSSSSSSSSSSSGACAPMVVDGEASSDRTDDAAAPPAALDDGLPGTSTPYLNRRWPTSWARSLSSRSRMAASPADVALEDAGAAAPAGVADRWVAALQVGVDAVAAVLRELPDLLHPSPDLLVVLVTGWLRLGQHRSCSFSEADVGDGRALDNKKVEHEKLSFVEGKLAFIIRISQEH >OGLUM11G20580.4 pep chromosome:ALNU02000000:11:24401030:24407601:-1 gene:OGLUM11G20580 transcript:OGLUM11G20580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVGHFPPTIWAYAQPTGPHNWAAIATGWATPAVVAVAPGKWPRRAPLRLHRWHLLLSTAARAASWPGPRFSPTAQMVARWRRQVVLSAPPAGGAADFPGDMVTKQLEPIQFWKVFDAGERLYPAQGPGYLLHLRHSSVSEGDFVYGRAVDRPDDLLKHRSCSFSEADVGDGRALDNKKVEHEKLSFVEGKLAFIIRISQEH >OGLUM11G20590.1 pep chromosome:ALNU02000000:11:24401452:24414650:1 gene:OGLUM11G20590 transcript:OGLUM11G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAYGATPELRNIILFLENVRSLKLLGSLPRLMELELNGSNKPVLLPLLKESANRIAEVILRSTMLNQVDLQVLAKKPNLQCLELLDSSYNESKLTFKKEEFLKLTLLTVDCPAINKITFTDGAVPKMEKITWTLSRIESLSGIKNLPKLNRLQLFGDHVPYQVKEDIKALRMRLVYTHGFTQEQQKQAKRGAEEDQEKDDARFQLSCFTSKNWCISPNPMAEAAAGAVTTLLGLIRDEAQLLGRVKKDVQFIKEEMESMRSFLAHLTETEPPGDEHDEQVRTWMKQVRELAQDCSNCIDTYLQRGDPAIRHASWGRGAGILQRYICWAPWMVEKLLAQHYAAIRLRELKDRAHDVGQRRLRYGVEVPGKPSSKAAGGAAASSVRSEEASPSTTIGAQAPDEEDDDEEEEEQQQDQEYDAATSTSASSITVSAERRRRALEPRSLENFCADELTRWVKSVGTMGSSSSSPSLPSIAIVPPPPTPRPAQGGSTEVVVAAGANARDALASVATTHFDRSVWINLPAVHLHRSWHQISTKDILCYILRECESLNKRGKDHGQVNPKMEEIEGNITKNSAAEEEQEEKSKGEAVYGNKTEDEIPQIPDGSISKTEPVGILFRALQPNAVSNVATTGTNQPLDEQPLDEQNIFPETANKLKKHIESGQTEFSIHLDQAKYESILREVFPLATSKPQKVQEGTTAISSDSSSHVAAAAAAAAAAAAAAATTAATLEKVQIEQIIHKTKQDILQCILQELQQQQQLPEADKSLKEEGVTKPKPAVQDDHASAANEAKENKPLAAKDEAKENKAPVAQDEHAVAANKTKENIGKIKSKISNEATTATPQPPTTISTATTMLGKDQIEEIIQKVKQQVLQGLHHRPLPHPPRADRSFKGEPGIHKPGGDEYANAIKDTKQKIKQLTGKIMEHMTIQIICDKIKTLLGGKKTMIIIEDDKDYASQWREITNALHQLSSSGSAMVVTTPNIQKAKEICCPQQEPITNSIAGLYYDTLLKLTSKRVNKDANQIFRDILDKCYPSEFCMKIFAHALYANPNRSKEDLRKLLDSLDSKKSLGINAKKMIKFSYTDLRKEYKSCFLYLAIFPPGYPVRRSTIVGRWVVEGLITKEDWPSAVHHAERCFDTLIDMWLVYPSDIGGAGKVKSGIVGDLVHEFITRIAKKQHIVETRLSRHLARHFSIFNDIRLRGSHSIDKFLRKLPETSQMSMLKVLDLEGCQRFQRNQHYLKDICNNILLLKYLSLRRTDVTQLPTEINNLYELEVLDIWQTKVPEYATRHIMLLKLKRLLAGCIDSNRSSNVKNNISFRNEAPLSSSVQIPRKIKKMSSMEVLSNVKAPSTSRELKDIGKLWKLRKLGVVIDDKDSVLGNLLTAISNLHECLRSLSITIVPSTKGEGTPSNGDLPEYISRCLKYRPKLLESLSLQGTTQKGQLLTLLVECFTKLAKVTLSCTSLKQKNLEGLGDLPNLSYVRFRHKGYTDGKLTFNEHKFKNLKYFLVEGNNMRGVEFQKGAAPRLEKIVLSFTNIESLHGVGDLPKLEELELKRNKFLLSLSEEGETLEKYMLTFKKDEFQHLKYLLAEGFSKNFEANITFEDGATPKLEKIILYSFANIMSHPGVSSLPKFKELELKGKKPLLSSFENANKISKVTLHSTLMKHDDLQIFAKRPSICCLILLGNSYDDTLLTFNKGEFPKLDLLIVECPTITNISFTEGAAPMLEKIIWSFTKMNSLSGINNLSKLKELELIGDLVPDQVRIDINTHRKHPVLNHKQPQPQDQENGSEQGEEEDLKFPACSWLSLKNKYWSCN >OGLUM11G20600.1 pep chromosome:ALNU02000000:11:24416588:24419967:-1 gene:OGLUM11G20600 transcript:OGLUM11G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLN8] MELGLGMGMGLGDPPADYGSIAAVGMFVALICVCIVVGHLLEESRWMNESITALIIGLGTGGVILMVSSWKHSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAIGTLISFSVISLGSLGLISRLNIGSLDLGDYLALGAIFSATDSVCTLQVLNQDETPFLYSLVFGEGVVNDATSVVLFNAMQNFDLANFSSVKFLQFIGNFLYLFATSTFLGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDMEKWKIVGETFSPMKSIALSSTILFLVLVARAAFVFPLSFLANLTKKTEEGKISIKQQVIIWWAGLMRGAVSIALAYNKFTRSGHTQLPSNAIMITSTITVVLFSTMVFGLLTKPLIRLLIPARHLNRESSALSDPPSPKSFLDPLILNGSDVDPEIGVGIRRPTSLRLLLASPTRSVHHYWRKFDNAFMRPVFGGRGFVPFVPGSPTERSVPLLQGNEN >OGLUM11G20610.1 pep chromosome:ALNU02000000:11:24424587:24427306:-1 gene:OGLUM11G20610 transcript:OGLUM11G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27950) TAIR;Acc:AT5G27950] MEGHVIVPLEKLSLELNNGGIMLNHDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNNFMTKSPVTVENEKIVVRAVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGNNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGTVRDLLTPRQPLFRSTECNTSSIISILATKSGAVEVEGLTDVTIQDLKKANQWYCRGRRARSTLTRITIKRSSEGATEECSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIEYSKELSEDIKKLKQKRIAELDKEICDAEQELKDLNEQIKRAETSLEERKKLSSSVCQALSDEKGSPRSTLVVVGHIDSAESPQATEKTKSRASHGSVPHFMSPTVCSRQRHSSASHSATKTRLTKSVNRYPAAELSGSHSFSYSSCKNAAKARSVAFSSSMPKMKCLPLKSDQINMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >OGLUM11G20610.2 pep chromosome:ALNU02000000:11:24424587:24427306:-1 gene:OGLUM11G20610 transcript:OGLUM11G20610.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G27950) TAIR;Acc:AT5G27950] MEGHVIVPLEKLSLELNNGGIMLNHDKDISALQEEISALRSRQRHLDHRRQEALDKLIDLKGSIRVFCRVRPSISTNNFMTKSPVTVENEKIVVRAVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAYGQTGTGKTYTMEGNNGKLGIVPRAIQELFSHASQDSSSTYSFSISMLEVYMGTVRDLLTPRQPLFRSTECNTSSIISILATKSGAVEVEGLTDVTIQDLKKANQWYCRGRRARSTSWTNVNDVSSRSHWWVDELFNHKCSVTALGQYPILCALALFVCSLTRITIKRSSEGATEECSKLWLVDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDGSKVLMVVHISPSDDDIGETVCSLSFAKRARSIEYSKELSEDIKKLKQKRIAELDKEICDAEQELKDLNEQIKRAETSLEERKKLSSSVCQALSDEKGSPRSTLVVVGHIDSAESPQATEKTKSRASHGSVPHFMSPTVCSRQRHSSASHSATKTRLTKSVNRYPAAELSGSHSFSYSSCKNAAKARSVAFSSSMPKMKCLPLKSDQINMSNNSIDSTAASAPRRRESFISRPAQRAPLHQHRRRMSSLT >OGLUM11G20620.1 pep chromosome:ALNU02000000:11:24427419:24432384:-1 gene:OGLUM11G20620 transcript:OGLUM11G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVHNASKQQAAVRYLAQCCKPAVQQESTSNLQLTPSPLTVLALAFGSKSTLFLKGEGQAQEAAGSPQGVVLEEEIKVKGELNNHKFKW >OGLUM11G20630.1 pep chromosome:ALNU02000000:11:24433750:24436805:-1 gene:OGLUM11G20630 transcript:OGLUM11G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDARCHRRHRAAPANPSPESPPPLESMDRRRRLGHGVAGNKPRVRQSVLCSDRPKQSQGKRGQPFAIYTSMIFTRHDLFRVDKDVSEVSSSEQPNDSVSTLDYSTDRLNKPTYDAGSKQGSSKIAEDKTRDDSDDVEECLRKLKEYYSKGHIHSSIDDACNEVLAYEHAALTALALEWGMEPPEDPFRQQNSSVDDQEDEPHQQALSQQNLQIHRPRYEVSLEEIADDGKKWMGEEVMVAFKNYIEGKPDLSGHEYRLELQHQCFNVENYYENFHHYNFSVKMKKYDSDDWNETIYFAEVKMIFRRKYYFCCPLEPLENGHCYACRNQGMDELRHPATGGFEMGSPDTAFPYMYTSD >OGLUM11G20640.1 pep chromosome:ALNU02000000:11:24443866:24447762:-1 gene:OGLUM11G20640 transcript:OGLUM11G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAGGGGDPATGGPAEFPGEAKITLRPDFLLLQAAPLQAAARKSKRYAIQQSILYKEHKRQSRHQLRGAQPSTSQKPRHAEAGSKTHVRQSVLYSERKRQPRHERGGTQPPTLLTASEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTFSSFEDAIHYVLSVHPRTLSGLPVTEDDHHDGEAFELTTPAQSVPVQVPTSEASVDVVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFSVKTKTPGSTDWTSELYFAEVKTMFRQKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTMFPYMYIGDDTACPYFWLSESDDEFPNRVLDDSDDDDII >OGLUM11G20640.2 pep chromosome:ALNU02000000:11:24443864:24447114:-1 gene:OGLUM11G20640 transcript:OGLUM11G20640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFSVKTKTPGSTDWTSELYFAEVKTMFRQKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTMFPYMYIGDDTACPYFWLSESDDEFPNRVLDDSDDDDII >OGLUM11G20640.3 pep chromosome:ALNU02000000:11:24443866:24447762:-1 gene:OGLUM11G20640 transcript:OGLUM11G20640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDAGGGGDPATGGPAEFPGEAKITLRPDFLLLQAAPLQAAARKSKKPRHAEAGSKTHVRQSVLYSERKRQPRHERGGTQPPTLLTASEHDSTTVEPSRLKEASPSLSDELRELEEYRKTHTFSSFEDAIHYVLSVHPRTLSGLPVTEDDHHDGEAFELTTPAQSVPVQVPTSEASVDVVQNGNKWMGEEVMTAFEKYVEERDYLKGIEYKLDELCHQCLNVKNYNHIFHHFNFSVKTKTPGSTDWTSELYFAEVKTMFRQKVYFCWPLEPNENGHCNACKNQGMDDLKHPVIGAFDRGDNDTMFPYMYIGDDTACPYFWLSESDDEFPNRVLDDSDDDDII >OGLUM11G20650.1 pep chromosome:ALNU02000000:11:24450001:24456553:-1 gene:OGLUM11G20650 transcript:OGLUM11G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKTQVGAAARDEEPSVVVDADDADGGARSHVVAGEAAGGGGADGGSPASRAASPPPPTAAGGQGGGGGGPVSGGGGSAPGGAATAQREGAPPAAATSVQGAPRAPATAETVPQGSPRGPGVRTVGPVPSTAQSAPGGSATGQTATAQSSPRAATTTKLDIEEKGPAFGDARWRNKATGVDIDDSSRDDADGGLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLREEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSSQPLRGQLSGCFGIATDVGCDNQFQRSFKMLVSENQKKTDLESIRYICFLTNIMDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLDKYNEEQQCAMTCIMSKVGCHHNHSVDLVWGPPGTGKTRLTAGLAICMLNLRLRILVCVPLKRDIHIFLKSLQENYPSFNIGKALVLNNLLDKDMCNIISETTLANRASELYVALFVWKAWVKEMAALLGLDMYCRKKCVHHDEDLTCNKCEPIEFSLMSFKKKFGNTAVELRKCSTCLIKSLSTTSLSDLDVKNVNNLLIALSQFENLMQKKTVLSSIELPQLEGWSDLEDFCIRHSHIIISTPGCFARLQSLKMDQVDVLIVDKAAQIKENDLLVPLSIPPRHVVLLGDHQHLQPIVKTEGCKEAGCTRSLFQRLLHLSFTRHKLIKQYMMHPLIRQFPSEHFYKDKIVDGQSVESINLQFPAYTFFDVVDMEDFSCMGKKSMEAAVVLFLLQKLCEGLTNAAGRLNVGIVCFCSNQVNAIITQLGRKYQNHDRVNLEVNSLENMHEDWYDVIILSSLFDDKSELPTDNRINVALTKSRHCLWIIGQADILLQIPGTWKSLIHHSMQQNCVVVLDSKSLTMDMEPLSETTDQDGLVSTQSTTPNKDLEDHITLNTFWHVFETKKALQDFQWNLSVDNLKSQYEHHESTEFGSEEKNKRGKHRLESALDILKVHGFIGSNEVNSEEALFRISAHNRVDAAEPENLRSIEDGNVMVGCFRLSYNYFYLKPGEVYWYDKSKPYVHSRSNLPAAHAVMVIGHGKRMMDRGEGTSNNVVRRHVVIQNSEGKRFGFDGTGRVLRRSLTHLYQMKI >OGLUM11G20660.1 pep chromosome:ALNU02000000:11:24460667:24461133:-1 gene:OGLUM11G20660 transcript:OGLUM11G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCADQRLVHYLYACNYNSRVKNPYFSRVQMQQIKNADEVDGWIEERFKDQDDKLGGWNN >OGLUM11G20670.1 pep chromosome:ALNU02000000:11:24461098:24464720:1 gene:OGLUM11G20670 transcript:OGLUM11G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTLIRASIIHPFQAVRRRLLHRHRDDQTERRRGVARQHTQVVILRAALRTHSCPVATPPLGDVLALGCEMVGAGSDRSLDMCACMCVVDEQERVVFDSFVKPHIPITHYRYDTTGIRPEHLREGMTPKQAARRVQELLLNGEAAWKARGSHGRTRNLVGHGLDHDLESLGMDYPLPEAGHGETSNSRLINMLKYLTLAYLGYHIQLVDRHHHPYNDCVAVLRLYRCVAPCDPHPCVAVATPPSPSRHRHHRNVGRRR >OGLUM11G20680.1 pep chromosome:ALNU02000000:11:24467898:24473221:1 gene:OGLUM11G20680 transcript:OGLUM11G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRAGRGRGDAPFAGRSPRPRRPVRRGTRYPVSSSSSSSSSSSSVEGGEGLGEEGRSEEDRPRRRIIRLVRPGLAAWIPGCASADRRPRVRQSVLYSDRPKRSQGRRGQVQSKTKSLDEKNASEVSSELGTTTADIPKFKALMINDSLSRLDSTERSNESTYDAGTPGSNQVTSKFVKDKPKDDSDDVEECLRQLQEYYSKGHVHTSIEDAWNEVVAYEHVALTALALEWGMEPPPDPFQKPKSSLVDQEAQTLRAPQQTSDAAQHNIMSCKEEPSQQILPVHQPRTEVSIEEIVEREKMDGRGGHCYACRNQGMDDLRHPATGGFEMGSPDTVFPYMYTSD >OGLUM11G20690.1 pep chromosome:ALNU02000000:11:24474110:24476777:-1 gene:OGLUM11G20690 transcript:OGLUM11G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTGTIAYIQQSALYSQRKRRSGQKRGEQSPTLPVKERDDDVSRSELGPTSKDLSKVEALSLDDSPHASGSTDCVVVHVGNTGSDGLDSTFAQPAALEEAVSLSLSEEIREYEEYLKEHTFDSMEAAFEYLRTGQRVVLPKVEFSDDESSSEQFLLEKSEDQSTLEPEHDQSVVTQGQCDESSFEEITQNGKKWMSEEVMVAFEKYITRRDDLKEYDYQFDELLHQCFNVEHYHKIFHHFNFTVKMKAPCSTDWTSVLYFAEVKELLGHKIYFCSPLEPNEDGNCYACKNQGMENLKHPIVGVFDRGFPTQVFPYTYSSGSEDEAWL >OGLUM11G20700.1 pep chromosome:ALNU02000000:11:24481059:24487441:-1 gene:OGLUM11G20700 transcript:OGLUM11G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKQRRKGKKKVGAAGAAAAGEEAAARDEDAGTSSHAVAGEASSGAGGGADGGSPAPRAASPPPTAAGGQGGGGGGPVSGGGGSAPGGAATAPRQGAPPAAATSVQGAPRAPATAATVPQEGSPRRTVPAQSAPGGPATAQSSPRAATTTKLDKSVSNNIEEKGPAFGDAPCRNKATGVDIDDSSRDDADGGDANNMSLLSKTVLSWTIQDILLDNEVQKVPTKFKGLQHYLDVHSNLLMEEVRITIKSSLLKVETTQCFRDFVVSFAGPPSIYYIDIDLYGIDNCQHVVKDGDLFFLSSQPLRGQLSGCFGIATDVGCDNQFQRSFKMLVSENQKKTDLESIRYIYFLTNIMDNLNISKAMVTMSSGRCGIINSIIRRNEKCKKTCACAELCAFGIEDSSYLEKYNEEQQCAMTCIMSKSLQKVDPSFDFRGIAILNRLSNSESIKNGNKFHEMNLENRAQALYCCIFLWRSFVKELGFILGLKPYCKEKCDHNGCTICSKSKLAVFSFSSFKEKVCALAIDVEKCSQILIDSLSDILLSNYNIEILNKLLSGLSHLEDRIKNSDITQSGVEKEFGLASGIDFSWEEVGCNVAELNEIRMTCLGLIEVVMNSIELPQLDDRKDLEEFCIRHSRIIICTPVCSSQLRELKLDTIDILLVDDAAQIKEIDMLIPLSFSPRHIVMFGDHLHLQPMVKSEVCKEAGYASSLFQRLMHSSSENKRLTKQYMMDPSISQFVSENFYEGRLEDDSTVKSDDFNKLLKEFPVPAYGFFDISGVDELTGKGKGFVESSVIVFLLQFLCKGRTNAIGKINVGIICLYNNRMDALRNLLGIKYESHDRINIEVNSLGNLHEKWYDVVILSSVSDEKAELLEGSKMNVAFSRSRYCLWIIGEGKNLIASGDLWKKLIGYAKNLHRVATLNSNVLSKVMSQLNDRDKDIPTASALPNKDFTWSLSLNDLKTQYEHTVAEEFASEECKKRGTHRVETALKILKDDGVIGRDKVDLEGLTVVSSLAFADLEFQQGHMVKRWVCVASDILFESTSTSINSITEISKLLQDRLFKIASYEKVGINEPQKLRCLLEAGNIMVGHFRVSRDYFYLKPGEIYSYDKRVPYIHAKSNLPVSHAVMVIGDGRHREPMASAASGTSSSTEQPLYREHVMIQNSEGKRFGIDGLGRVDKLSFRGLYHITLPE >OGLUM11G20710.1 pep chromosome:ALNU02000000:11:24503312:24511098:1 gene:OGLUM11G20710 transcript:OGLUM11G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKKKVGAAAAGEEDAGTSSHAVAGEASSGAGGGADGGSPAPRAASPPTTAAGGQGGGGPVSGGGGSAPGGAATAQRQGAPPASVQGAPRAPATAATVPQGSPRRTVPPAQSAPSGAAAMAQSAPGGSATGQTATVQSSPRAARAATTTQALMNEGCLQLDKSVSNNLEEEGPAFGDAQRRKKAIGVDNDDSSRDDADGGRDPNKSLLSEIVLSWTIQDILLDDEAHKSKAKTDCQISNKHIELIQGPPGSGKTEITIALLQVLHHMNLKVLLSAPKTNIVKFLMNLDKCLFPLEDALVLDNLDSTELAKEFQRHCLCHRSQDFLLGITLFKKWLREMFVLLNLDPYCTEKCDHEPTRIRCSTNSLLVFTLSSFKEKFTKLLMRKEWLTNLKERFSEIYLSADIANDITNLLSLLKDFEDLLCHDKRVQWTFGLSSVPCKLGGNSAARKLNDLRLQCVDFIQRFRSSLKLPKFEERKSLEDFCIKHAKVIISTTQSTFRLHEAAMEPINLFIVDDAAKINECDLIIPLRLPVTHILMLGDDFNLQPSKHMLTEQYAIHPSIWQFPNEKFYEGRITNGATVVSPEYNKQFKGLKFPNYCFIDVTGTDGPSCKNTIELATIQYMLEIISQGLEDTEVIDVGVLCLCGSNVGGIKSSLGKKYATHNKINVHIESADSFEGETYHLVILSMLFKDENTILQIEKINAALTRARHCLWMFGEVDSVSDRGGIFAELVHDVCTEFTWSGRPKRTKYILAPLRDQGNSDTCTMHSCLGAMESMYKHQYACLEPPQDFSWILSTDNLKEEYENVVAKEIGSEEIEKKGKHRLATVLEILKEPGVLGSRKVVSNFKIKSHSQLPIKEDQEIKTVFDTVKDGKILVGHFHMSENFFSLRPGDIYHYDRQKPYLNPVSSLQASHAVMIIGSGVTMTKVKKRLQCAIHLSLQNSAGRLFGENGCGYVGLESIRGLYQLDI >OGLUM11G20710.2 pep chromosome:ALNU02000000:11:24503312:24511098:1 gene:OGLUM11G20710 transcript:OGLUM11G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKRRRKGKKKVGAAAAGEEDAGTSSHAVAGEASSGAGGGADGGSPAPRAASPPTTAAGGQGGGGPVSGGGGSAPGGAATAQRQGAPPASVQGAPRAPATAATVPQGSPRRTVPPAQSAPSGAAAMAQSAPGGSATGQTATVQSSPRAARAATTTQAKTDCQISNKHIELIQGPPGSGKTEITIALLQVLHHMNLKVLLSAPKTNIVKFLMNLDKCLFPLEDALVLDNLDSTELAKEFQRHCLCHRSQDFLLGITLFKKWLREMFVLLNLDPYCTEKCDHEPTRIRCSTNSLLVFTLSSFKEKFTKLLMRKEWLTNLKERFSEIYLSADIANDITNLLSLLKDFEDLLCHDKRVQWTFGLSSVPCKLGGNSAARKLNDLRLQCVDFIQRFRSSLKLPKFEERKSLEDFCIKHAKHMLTEQYAIHPSIWQFPNEKFYEGRITNGATVVSPEYNKQFKGLKFPNYCFIDVTGTDGPSCKNTIELATIQYMLEIISQGLEDTEVIDVGVLCLCGSNVGGIKSSLDENTILQIEKINAALTRARHCLWMFGEVDSVSDRGGIFAELVHDVCTEFTWSGRPKRTKYILAPLRDQGNSDTCTMHSCLGAMESMYKHQYACLEPPQDFSWILSTDNLKEEYENVVAKEIGSEEIEKKGKHRLATVLEILKEPGVLGSRKVVSNFKIKSHSQLPIKEDQEIKTVFDTVKDGKILVGHFHMSENFFSLRPGDIYHYDRQKPYLNPVSSLQASHAVMIIGSGVTMTKVKKRLQCAIHLSLQNSAGRLFGENGCGYVGLESIRGLYQLDI >OGLUM11G20720.1 pep chromosome:ALNU02000000:11:24521975:24526104:-1 gene:OGLUM11G20720 transcript:OGLUM11G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGDGGGGGGPATGGRAGRGGVPDRRPRKSRFSVLASMEDDSAEEELEEYMDDLVRPGSAAFPCDRKWRQGRAEFARRHGHTVAGARTLIRQSVLYSDRPKRSQGRRGRVKPQTESLAEKDESEVSNSELGATTTDMPKFKTLSLNDSPSALDSADRATVRASSMDSDLPDSATAKLPDVEEALSPRSERKRKIHLYLAEHTFDDLREGFAAMINGFRDPPKDAAQPNVELAESSKLYPCEFDAESSHDSESLSPAAEDVGRHNLSTEEIVQNGKRWMSEEVMLAFEKYIEGKNEFRDVVYHLDELQYQCFSVDAYQKIFHHYNFTVKMKKPTSEDWSVTCYCYGCVNQGMVALKHPANDEVKYEVGFFDTGCPFMFLSDDDSDDDERVFSEESIKEIFSGIFG >OGLUM11G20730.1 pep chromosome:ALNU02000000:11:24536827:24538518:-1 gene:OGLUM11G20730 transcript:OGLUM11G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSMDSDLPDSATTELPVVEEALSPRSESIRKINLYLAEHTFDDLREGFAAMLNGFRDPPEDAAQPNAELPESSKPCPRELDAEPFQDSESLSPAAEDHNVSTEEIVQNGKRWMSEEVMLAFEKYIEGRDEFRDVVYHLDELQHQCFSVDAYQKVFHHYNFTVKMKKPTLEDWLLPCYFAEVKQIYGKKSYLCCPLKPRDNGYCHGCVNQGMVALKHPNNDEVEFEIGYFNTGCPFIYLSDDDSDDHEWVPLGESIDEIFDSVFG >OGLUM11G20730.2 pep chromosome:ALNU02000000:11:24536827:24538518:-1 gene:OGLUM11G20730 transcript:OGLUM11G20730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSMDSDLPDSATTELPVVEEALSPRSESIRKINLYLAEHTFDDLREGFAAMLNGFRDPPEDAAQPNAELPESSKPCPRELDAEPFQDSESLSPAAEDHNVSTEEIVQNGKRWMSEEVMLAFEKYIEGRDEFRMKKPTLEDWLLPCYFAEVKQIYGKKSYLCCPLKPRDNGYCHGCVNQGMVALKHPNNDEVEFEIGYFNTGCPFIYLSDDDSDDHEWVPLGESIDEIFDSVFG >OGLUM11G20740.1 pep chromosome:ALNU02000000:11:24542017:24542687:-1 gene:OGLUM11G20740 transcript:OGLUM11G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLQ7] MTEMDGAARAVSLFFRIAVVGLSVAAAVVMATASQAFPFNYGGAVSYTKYPAFVYFVVAAVVSAVCSAAALYLSVVREAAAGWAVALLDVVTMGLLFSAAGAVFAVRRMAPLYLGVAGADTVAGRWVNGEFCHAAGAFCWRVTTSAIICAFAAAAVSVAVLTKGARHRGKH >OGLUM11G20740.2 pep chromosome:ALNU02000000:11:24542017:24542609:-1 gene:OGLUM11G20740 transcript:OGLUM11G20740.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLQ7] MDGAARAVSLFFRIAVVGLSVAAAVVMATASQAFPFNYGGAVSYTKYPAFVYFVVAAVVSAVCSAAALYLSVVREAAAGWAVALLDVVTMGLLFSAAGAVFAVRRMAPLYLGVAGADTVAGRWVNGEFCHAAGAFCWRVTTSAIICAFAAAAVSVAVLTKGARHRGKH >OGLUM11G20750.1 pep chromosome:ALNU02000000:11:24545818:24546381:-1 gene:OGLUM11G20750 transcript:OGLUM11G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLQ9] MARAKSSSSCSGRGGASLALRIATVALSVVSAVMTATAAAQPATGGGAAPTSGGVSYSDYSSLRYSLAATAICAALQAAAVWLEASGRAGEAKAAASLAELVDTAAQVLLYSSAALSFAVDDFGTCGRRVAGVCAAAGEFCLRVRASGAASLSAGVALSASLYLKDVPVSGERKPEPRCGHGCHCHH >OGLUM11G20760.1 pep chromosome:ALNU02000000:11:24550737:24551451:-1 gene:OGLUM11G20760 transcript:OGLUM11G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLR0] MCEGEKKKDSSSGALYCVNLALRIVVLGLAVAAAALMATASQCTIFLYYGGPLHTITYKDFGPFVYLVVASSIGAFMEAIAIFLTICKKKDGTPAKVLLPLLDAAVPVVLYSATAAAFAAGDMSYCAVGKRVGVCTTAAAGNFCNQVHIAMYVSLAAGVALLVAEIVKHWPDSGKKKEGGGGGCGSDSDSDKSTPCHHGCHSKH >OGLUM11G20770.1 pep chromosome:ALNU02000000:11:24552708:24553262:-1 gene:OGLUM11G20770 transcript:OGLUM11G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLR1] MSYGCQVSDDEPNGSKAVSLLLRLSTLALALTSAVVMATASECTVVQLNGVVATITYKDFPPFVYLVGFNIAAAMLEAAAIYLRLSTGGGDDDDEGFKGKLPGILLVVIDVAVQALVYTATGGAFAAVSAYGPQINACGAGAGRFCGQVHQSKLLSFAGSAAVGLAVVFRDVSLPFSLWPTSSD >OGLUM11G20780.1 pep chromosome:ALNU02000000:11:24572361:24581094:1 gene:OGLUM11G20780 transcript:OGLUM11G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQAANTVQVTAYAKVDAVHVGAVKQRPGVPVLVRVVAPPPAAASSERAPIDLVAVLDVSCCGGLGPVNRMDLLKKAMGFVIDKLGEHDRLAVVPVQASAAVAEKHDLVEMNAEGRKEATRMVQSSLTILEGRKDHDKKRPGFIVLISDGDDASVLRDAMNLNCSVHAFGFRDAHNARAMHRIANTSAGTYGILNDGHDGLADAFVTSVGNITSIVAVDAEVSVSCSGAESTAAKLTAIESGRFKHDINGGGKRGTIQAGALQAGTVRSFLVYVDNVGDDELEHLPSMLTVGVQYEDRSTTTSQNAEENQAGREMARRTAQVVVVRDGDEHSRLVAAEIVRVAAMRIVGEIIKNYGDNGRALAGAADELHKQWSLLKKSEFAKEAAPACFVSALDAEMSEMEATLRRSSGMSYMLSWQTCHSLQHLQHARSSSSPSATTSVAAAAKGNGGASAVAAAARQSFTAGGAAAMGKFVWSGAHHGGGGGGGERKRKYQSSEMEMIEQRLAYWTKVKCELPPMHHDGECPDHMTTIFRDASRDSIDRAMFHDVFLAPVSALASDKVQLSTFPRVDAIPRRECHPRLPVLVRVAVPATAARRAPVDLVTLLDISGGGGGGAPARRLDLLRKAMDLVIGNLGADDRLAIVPFHSSVVDSTGLLEMSVEGRGVASRKVQSLAVAGGTKLFPALNAAVEILEARCWEAKRERVGAVVLISDGDDRTIFREAINPRYPVHAFGFRGAHDARAVHHVADHTSGVYGVLDDEHDRVTDAFAACVRRVTSVVAVDAQVDLTCGAYSRASLLAVERSGDHRAHVDEDRRSGFIYAGALCAGDVKNFLVYVDVDREADGGGVTELLTAHGTYMDAARRKETTVHLDERMAVVQRRDKVPDVSRDVAAELVRVDTVKMVAAVLDRFKDKGSAAAAMELREGWCRVKASEDARAAGAASLAVLEREIDEIEASLVRCTGLSTMLSWLNRHKLQLHTAAAAAATARVSPAPPSSSNVVADAIAAGEGHMKEVAGAAVAGGTKRKCVEMDMIEERLAYWSKVKHDLPLMFPDHAAAAATSTATATAAEGTASTGDHVAAVFRDASLETINRAMFHDVYLAVLHASTVRRCGQTSQSTEHPHHDQDDS >OGLUM11G20790.1 pep chromosome:ALNU02000000:11:24587488:24589673:-1 gene:OGLUM11G20790 transcript:OGLUM11G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVSFSLQVLLFFSAIFRKRCRSRVLSVLLWLAYLSADSVAVYLLGRLTLLVGDAPGHQLVLFWAPFLLLHLGGQETITAFSMEDCALWKRHLLNLAVQVSLAIYVVGKQWRGDKQLVAPTVLMFITGTTKYAERIWALWRAQSTTLAARNHQQDALVRDNWALFFSDTYRYQKMLTSIISDKKERNFKRVMEVANTGSLLSMDFFMDLTHPKYIPHYDDEQPRNISFYYKDNELWRQHGSSDELVHMVYKLADIHLSMIYDRLYTKFRGGLMGVLCRLCTFALTCIALALFLVSRLAFDHKGIISSYSKADVTISYILLAGAITLEILAGLLWLMSSYSSWNFLRALLPRAEDALLYRQIVGHVHPESRVEWSGQLQQFNMIDMGIQETTRGRLERMMRCVDIIIDGGCSTEPAVKVSAEVKKLLVDKILAQLISDTDPESELDLTRFHGQWAQRWVEKRVQDHDFSESNPAHRALVKSKIQDSSFLTSACLWHLVTDICLDQGYTSVDEATARTCRELSNYVMHLIVNYEGLGTVDERQIFVLTASRMVEFFVDGPKDTRNRPGFFQKVGTTTSRRFFSSDSYPYLPYSLAPLVSSELREMEAAGRWELIATVWVEMLCYIAMNCGACSLHA >OGLUM11G20800.1 pep chromosome:ALNU02000000:11:24591650:24593374:1 gene:OGLUM11G20800 transcript:OGLUM11G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAMASSSGSGSSRSTNGMLEETVADVAALVEKWRSDDDGRRRRRSSLFLDGGVAEAGRFMSAAVELHRGMLVLASSDVEDTRGRGDERLVRAQGVLEDAMRRLQLELEILLSAVRSNADDDGDGEGAAAISGHGLDGDGAVVVGHIRLVAEAMMAAGYGMECVTTFMSHRRAEFAGAVRRLLGYAPSQHARFRKLTWDDVDGKVRSWHTAAGFAFNFAFSGERVLCHRVFAADAGVADRVFEGIASDHAADLLAVAEAAVARARRAPERLFHVLDVHATLVEILPAIVCVLGDKSEAAVRATAALRNAGGAARGILVSFEEAIQKSSTSVAAATGGAVHPLARYVMNYLVLLADYDDTLARIYQQGRGSTSPHSPSSSSSSNPIGRLVSVLLRKLDAMAGRHRSAAARSLFMANNTHYVSKKVRGSSKLVGIVGGEGWAAAQSAETRRHVDAFVHAAWRDVLVVGGEGADAAVREAVAAQRRWVAVADDEMGDAVRAAAAAAVVPAYRALYRRHGTAAWMTPGDVKEMIGRLFGGPRNAAAGARPVAAGGATPRRHRLRLTSSLEKLAHKQ >OGLUM11G20810.1 pep chromosome:ALNU02000000:11:24619827:24621346:-1 gene:OGLUM11G20810 transcript:OGLUM11G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSIPDRWTLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNSAELEASRRRWEERGLRVTATVCDVSARGDRERLVAAAAGEFGGRLDILVNNVGRTMFRAAAACSGEDFALLVATNLESCFHLSQLAHPLLLAAGGAGGGGGCVVNISSVAGTVGMPALAVYSMTKGGMNQLTRSLAAEWAGDGIRETIDPELIKSEMDRLPMRRLAEPEEVAATVAFLCMPAASYITGQVVGVDGGRTIT >OGLUM11G20820.1 pep chromosome:ALNU02000000:11:24647880:24650438:-1 gene:OGLUM11G20820 transcript:OGLUM11G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQTLGAVGSLLGELGKAIKEETALLSGVEGDIQFIKDEMDSMNGFLVHVTKTTNHDDQLRAWMKQVRDITYVADDCIKLYMRDVVPEEKAGLRGWLLRRVPSVCKPYCPCLHRNLTTRDQLARRIHELKDRVREIGERRQRYDVKLPEGGDAAVQSSSPSVSQQDSKMKEKRNEFVCALEDGQPPFRDAVRRLSRDGALIRHRAAPALVHGIIKMLDDGAHAHIIKMLLRSLYAHPYGTKELEKLSSNLREGADVAKKVMLFCYSKLSVHYKSCLQYLTTFEDEESVSRTCLIRRWLAEGLVSKDQHHGLNEDDTSMEEAGERCFDELLFRGFLSPAPGHHFPRSGGLKLKCCVLNASVKKFINDMARSENFVADVPTHLRHQLDIRRWARRRELPQEQHKPRWTQSTICCCYCPVPRIIKATTADHGRGSNINDPLLQLHQPMDEIVTLLRGLPTEYRLNVLDLGGCVGLKMSHLENICKMVPSLKYLSLRKTNVSQLPKKMNQLLHLETLDIRDTNIRGAAMRGIFLNELKHLLVGRNIIPDASHRADEAEALLSTVLMPPKIGNKMEILRHVQITDGQEAYHQLLRVAYLERLRKLGVVLDGREDNIKLLLKTIARRSDTLRSLSVWITAPPPEHIVIGEGGGVFVTLDSNEKPGDSASLFSLPSKLESLNLKCYKGKNNNTGYNIPPWIIRLQKLSKITLRHSLLNREGLRELGKMKSLRCLKLCHESYIEAEVTVKKGEFEDLRLLQVDKISNKMTKLVFEEDAAPKLEKIVWNFDTMTTPMGITVNNIKGIENLKNLKELWINGVNISIPSPSRSREWKGITTVTRNLWGNILGPVIEGLASEG >OGLUM11G20830.1 pep chromosome:ALNU02000000:11:24653562:24655329:-1 gene:OGLUM11G20830 transcript:OGLUM11G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRALRLFAAGGGGGGEECSRRAGGWMVQHGEKFAAGRHRQGEGGMIRVLLGREGDGILERNRN >OGLUM11G20840.1 pep chromosome:ALNU02000000:11:24662991:24665353:-1 gene:OGLUM11G20840 transcript:OGLUM11G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDDDRKVVHPMDQMVDQVLKKLPQEYRLNVIDLGGCQTLRTRRLNKICELVPSLRIGPKMEVLKHVQIQDGGDELVRVGALERLRKLGVVLDGREDNMARLYRVIGRRSDTLRSLSVWITAPSMAAGNGGFVTLGSKRDDDDDGNNTGSGAPSSVVLPEKLESLNLKCFKGNKFKPAGYSIPHCIQGHQYLSKITLRHSLLNKEGLRELGKLKSLRCLKLRHESYMETEVTLSEGEFLDLRLLVLDQVSVKMTKLVFEARAAPKLEKIVWNLDKTTRLWRSPLTTFQGSIILQG >OGLUM11G20850.1 pep chromosome:ALNU02000000:11:24665399:24665635:-1 gene:OGLUM11G20850 transcript:OGLUM11G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGLKLKSCVVPDGVNEFISSMSRNENFVSNLPTQLQHQVEIRRFAGQDLPPTLLLQQ >OGLUM11G20860.1 pep chromosome:ALNU02000000:11:24665829:24666644:-1 gene:OGLUM11G20860 transcript:OGLUM11G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVCDIAYVADDNIKLYMRDLQPPEEGFLAWLRHKPKYILTMRTRRRVAKDIKELKERVREVGKRRLRYGVDLPKAGDAVPPPPSDDQDDDDKMREDFRRALLGEPPPPPPEQHASFVISWAPPFLKKKAAAAVAPPLSPIENAINKISCSDAAINEALKDYLLKKTASSAMKMLLCTLYAYPYRANKKAVDDLLKKLEEDKGRRAAWDVMLLSYSMLSTPYKSCLQYLTTFDKEKSISRTCLLRRWVAEGLLDAAGYWSRRRDQPGRVR >OGLUM11G20870.1 pep chromosome:ALNU02000000:11:24669095:24669589:-1 gene:OGLUM11G20870 transcript:OGLUM11G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLFLSLRRHQQGTAASCKEVFVRGHSEECGFHVDDFLSDECSNEHSGDSSLGLDNADEDHDGDVEDEEEDELDFQFMDIAPGVSAAVAAGLGGEGAPCLPFAMVAAELGGAVDVEAAAAAVAAAAHDAMRQMDYERKISASLYTLTGVSECLRIRGAAAAA >OGLUM11G20880.1 pep chromosome:ALNU02000000:11:24677164:24679629:-1 gene:OGLUM11G20880 transcript:OGLUM11G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLTNGAVDSLLGVLSKVVKDEAKLLEGVEGDIQFIRDEMDSMNGFLLHLTKSRTPHNDQLRAWMKQVRDVTYVADDCISLYMRDLIPPEAGLWPWLRHIPKHLRTIPARHRLANKIHKLKDRVREVGERRLRYDVKLPEQHNSEESSSLLVARDSKVEEKRDEFLRAIDHVHHAPPSPSAIEDERFGRAIEMLPLDLGKDALEHLHDIKEKCLDGGHVASMKMLLRALYAYPYGTVEELEELSYRLKIKGADVAKLVMIFCYSKLPTHCKSCLQYLVTMGEEDKISRSCLVRRWVAEGLVPLKVVEPPLTTTMEEAGEVCFKELVFRGFLLPAPPPPQDDGVAVGTCSLNLKSCVVDEAVKKFIPDISKSENFVVDLPTHLQHQLKIRHMVRQTFPATASAEEKQQPLQQQQQPLNPMDGMVKLLKELPEEYRLNVIDLGGCQRLQKSHLKSVCKVTSLKYLSLRNTDVSSLPKKMEDLWQLETLDIRDTENMPATAMRRIYLHNLKHLLAGDNISTDGGGEGKKNESGSTVLVPHRIGKKTETLRHVRIKDGQADLARIGSLEQLRKLGVVLDGSQGNITRLLEAISKRRDTRRSLSVWITEPPPPPAAEHMAGDDVFVTLDHSQEEILAPSKLESLDLKCFFKGGNGGDKRGYKLPTWINEKLQALSKITLRYTLLRDDGLRILGKLKSLRCLRLLRKSYIESEVTLEEGEFGELRLLLLDHVSDKTALLIKARAAPKLEKIVWNLNKTKTLVTISPDDISGINNTAILRFKAADAAAPTKEKVDWNCNSTRKITPDIIRGSIEFNQVQEGAQEFLK >OGLUM11G20890.1 pep chromosome:ALNU02000000:11:24682264:24683744:-1 gene:OGLUM11G20890 transcript:OGLUM11G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVRDLAYVADDCVKLYRRDLAPPEPGFWPWLCHIPTYVSTMPTRHRLAKKIHKLKERVREVGERRQRYDVKLPDNQQGGDNRDDDDDESLVADGDDERRKLLLEDGLRALDVDGGQGQQQQIIEVENAIIGMLPKDFVSKDDIHKIVTRCLKESDLGLVPKDQQQQQGGGRRLQDGGDDSMEEAGERCFDELVSRGFISPAPPFPPAGLKIKSCIVDPSVKTFISNISKSDNFIDDLPTHLQHQIDIRKLAQKPQPQQHKPWWWCPLPTCINCIHDDDGGKPLPPMDERVKLLKKLPEEYRLNVLDLRGCTGLTMRHLTSICELVPSLKYLSLRKTNVFWLPSQMSNLLHLETLDIRDTRVQAKAMRNIFLQELRHLLAGGGGNIASDAATQLSTVKIPKKIGKNTEILRHV >OGLUM11G20900.1 pep chromosome:ALNU02000000:11:24703037:24704229:-1 gene:OGLUM11G20900 transcript:OGLUM11G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTITDSDPSLAVKQAGDRGVLVDSLSNNRTCIHADSDHSVLQVGRWFSRSQITPPSSFIFLFTAVVLLRWTVAVEVGTNVIRLPPVAHLAQPDLDVLDLHGQPVAFGEAPDKPGHPAEAAEEEALGGWPSCDALRVLLDAVLAVTGELHRLPDPWRALVVVVVVEGAGLLVQEEQEEGVHHLHLVLDELHVAADAAKQLCLVDEHGADAAQQRPHGALGRADHELRHCRRLVATKLDLLVGFQTFSAWERGSSLYINGESRGFKVC >OGLUM11G20910.1 pep chromosome:ALNU02000000:11:24703483:24706251:1 gene:OGLUM11G20910 transcript:OGLUM11G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVVSSAKGAVRSLLGRISTMLVNEAQLLGRVRRDMQFIKDEMEMMDAFLLLLLHQQAGTLDHHYHNQCTPWIRQAMELARDCQDCVEQYAQCIAAGPSSKSLLLGRLRRVSRLVRSLPERHRLAVQIQDIKVRLGEVSHRRKAYDICANLNSYRPPEQDNGGEQEDEAAWRRYLASAEPPADLKNTVNELVRWLMEDQPAGLRIIPVVGICVDTSAIIAERVYQHSSVTSLFDCKAWITVRDCQSHLQILKDILCQLVLPLNKFRSEMIGWNEEQLVEKLRCYLRGKIFLIVLHDVRDESIWSHIKLAFPDDCSAGSAIIITTDDDKVAESFSAYKIFNPDSPGYVLNFFLSKAIALLKHENEKQLRKILPCMLIHLEPETFFMKMLLRYLYYGRYATLRLRDALQHTSSLHDYWPKNMVYLCYNYLPDKYRSCMLYLSIFPPGCSIRRTSLVRRWIVEGLITDEQERSAVKQADHCFDALVGRLILCPRDIDASGKFRTCIVPDLVHDALTDLVARGSNITSVDTVLAPPELARHLSIRFSTKLHMSPSEPINSILSFLKSLHSSSQLGLLKVLDLDGCKGLKRRHLKNICGIYLLKYLSLRDTDITRLPKEIENLIYLETLDIRQTKISVFPRKSLVLPMLKHLFSGHTVYPSEDIIRQQESFSAIHIPHQIGRMTNMEILSHVKVSHGGMELIAVGQLLKLRKLGVVIHDIDKDGFDSLLHVIGKLNKRLRSLSVQIRSPSAADGSNGFDMSMMYSTYPRLLESLTICGIKSGLPQWIEHLHQLTKVTLHDTSLTESAIHVLGKLVGLRYLRLRHRSYIRGDLTISTREFKNLRFLFIEGPDIVSISFDEGAAPRLERMVWRFMTMVSLVGIGHLLSIRELELEGDSDLEKIGVILRDIKAHPNDPSLKHIPAAGN >OGLUM11G20920.1 pep chromosome:ALNU02000000:11:24721047:24723580:1 gene:OGLUM11G20920 transcript:OGLUM11G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQLPLPLSRFLPLSRRRPGLARFSLPATTSPARPPLLSAISSSRPCHSVAAAPWWRLTEAPPQPPPSTPPCPLPPPPPSSGARARKERRFVRGCEEIAGGHNGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPLQWSNRFGKKCQSVQATGLGLVLLEQRFFMHGQAADSNESKSSGRCKFFLTGRSTMMPLF >OGLUM11G20920.2 pep chromosome:ALNU02000000:11:24721036:24723580:1 gene:OGLUM11G20920 transcript:OGLUM11G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQLPLPLSRFLPLSRRRPGLARFSLPATTSPARPPLLSAISSSRPCHSVAAAPWWRLTEAPPQPPPSFDALLQIKEEIAGGHNGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPVCWSLVKKCQLQWSNRFGKKCQSVQATGLGLVLLEQRFFMHGQAADSNESKSSGRCKFFLTGRSTMMPLF >OGLUM11G20920.3 pep chromosome:ALNU02000000:11:24721036:24723577:1 gene:OGLUM11G20920 transcript:OGLUM11G20920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQLPLPLSRFLPLSRRRPGLARFSLPATTSPARPPLLSAISSSRPCHSVAAAPWWRLTEAPPQPPPSTPPCPLPPPPPSSGARARKERRFVRGCVGGQESWWDGLGIELLGFDALLQIKEEIAGGHNGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPVCWSLVKKCQAADSLCMVKQLIAMKVKAAGDASFS >OGLUM11G20920.4 pep chromosome:ALNU02000000:11:24721036:24723580:1 gene:OGLUM11G20920 transcript:OGLUM11G20920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQLPLPLSRFLPLSRRRPGLARFSLPATTSPARPPLLSAISSSRPCHSVAAAPWWRLTEAPPQPPPSTPPCPLPPPPPSSGARARKERRFVRGCVGGQERFFMHGQAADSNESKSSGRCKFFLTGRSTMMPLF >OGLUM11G20920.5 pep chromosome:ALNU02000000:11:24721036:24721693:1 gene:OGLUM11G20920 transcript:OGLUM11G20920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPLPLSQLPLPLSRFLPLSRRRPGLARFSLPATTSPARPPLLSAISSSRPCHSVAAAPWWRLTEAPPQPPPSTPPCPLPPPPPSSGARARKERRFVRGCVGGQESWWDGLGIELLGFDALLQIKEEIAGGHNGGVNLPGTCSFLMAFSTALHSQWILLRSACALTPVCWSLVKKCQVYFLPFPLPLMILLCFVATMEQSIW >OGLUM11G20930.1 pep chromosome:ALNU02000000:11:24744722:24747640:1 gene:OGLUM11G20930 transcript:OGLUM11G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGLSSTHSAVKSLVGRLTDVLSDQVQLLGGLRREVQFIRDEMESMNGFLLNHARRGRMDHQLQAWMNQVKDLANHSQYCVDQYLRCLGTTSHRSPGGLWGSVRRLPRFVSTLPARYRLAIQIQDIKIRVVEVSQRQQRYPLHGTATEQEPQSGMASDHSQQAFLTGDSEADQQEHLRRRILAEDDSGLFKEAADELTSWLTVEGDGRSDLRIIPIVGSRGMGKTTLAEQVYKGYSSRLADHKAWISVGSNQSPQQVLRDILAQIVGLHANNLQDMGTWGNSQIALMIQEQLEGKRFLIVLDDVCSESLWKDIEASLHCGNSAPSAILVTTSLPEVAQSFCPYRIYDLRYIQEEHNRSLVDFFLVRAANLISDNGHGKAGLKEEVLRSILVKCSPCIFSMKMLLRFLYANPNKTLQELHDFSNSLCFCSPLHLSSWLSNAEKMLTFCYNALPCDYRSCLLYLTIFPNDHNIRRTSLLRKWIAEGLIAERDGLSAFDVANRCFDALLAQRFVLPGDVGNSGKVNSCRVHNLVRDFIAWVIRDDNFVYTKLPVDLAHRLPIHNGERLQQVSRIKLRASHFDDCWSMTRCCFTTKSVDPLAGISMLLRSIQESAQLGLRLNVLDLEGCKGLEKYHLNSVCKIFQLKYLSLRNTDVSHLPKKIDKLQYLETLDIRQTQIKAFPGKHFILPGLKHLLAGCTNCPSKKNNLMEKESCSFSTVLMPQKIVRMGKLEILCYAEVSSGLTGLMGICQLRRLRKLGVLLQGNAACNLDYLFRQIDMLDRSLHSLSIRMERMKLAKDDARKRDDMVPASFPFSPPKFVQKLNISGIRSALLGWIGDLHQLSKITLHETSLTEHVLGILGQLGSLRCLKLQCNSTMGSSLSFRSGAFRNLVALVVQDNNLLDIIFDYGAAPRLERVILSIAAIDSLSGVQHLQQLKELELHGSARNIGEVEQAIAGHHNNPVFRHEQWNDLH >OGLUM11G20940.1 pep chromosome:ALNU02000000:11:24747687:24759029:1 gene:OGLUM11G20940 transcript:OGLUM11G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVISGRKANLFFLDASITVHALLGVIRKEAELLGGVRGDVQFIRDEMESINGLLRHLAGTKERASDHQVRAWIKQVMELAYDSNNCVERYARTRSGRRRRRGFLGRLRRAARLPWAMWVRRRVATRIRQLKVRAREVGERQQRYGVAVPAKKDGAAAAEDDGDNKRPLEYSSMPRKVAGGGDASRRRAAAIVSECGTDHMLKECTDELINWVDMGVAPDGRSGVELKRPKLSVAVIVAPDAADGAIVVDKVYRHYKPMLQPPSSGSGTPVTAAATSPFKCRLSVTLRRPTNLMEVLMDMLRQLQSEGCVESMGDDVQTWDLDTLKEKIKNSLRGKRLLLFLTNADYLDIWFPIEEVLASTDCDHGSAVVLSSKDREVANKLMPPLMNTSTISDNSNNGSASAAAAAVEVEVEEDKDLESNNSKSKSKSKLPLMKTVSYSHVDFHYKKANQLMLQLTSSYNTSDAVKQVLTRCDTDDFCAKVFLHALHNNPNRTADELKILTENLAPDRCSNDPLEKRVRLAAFCYYGLPDRYKNCLWYAAAFIRGSYDIRRASLTRRWIAEGLIIRSGQPTEQEEAEHCVDTLLSLNLLIPKERERGVIEGKVKTCSVNTPVIDIVNGSRSISASTVDDFLDTNQLPLDLDLHFSVRNGIRIRQLDAMDGSTMEPRPPAPKKQLESVMEFLRKLPSSSRLRLLRVLDLEGCGVIITNRHLNNICQIRKLKYLSLRGTNVVWLPKKLHQLELLETLDIRQTRVRVFDAKELDNLGEKLKQLRKLGVVLCGGSKANLKDLFTQINELHTTLRSLSIRMKPVGSWGSTEAVLMTPPLLLESLRICGVRDWLPRRMKELNNLSKLTLRDTLLNEGNLAVLGALKGLRCLRLRYHSFDSGGLTFSSDSFPNLVGLVIEDDMLVTITFAPGTAPKLAKIIWSFQRMESLTGVKNLQSLRRIELNLLAGNGATDDYPQLKQEIKEHPGKPVLVCQLIDPKKGGQVANRAVGAATT >OGLUM11G20950.1 pep chromosome:ALNU02000000:11:24762164:24764686:-1 gene:OGLUM11G20950 transcript:OGLUM11G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVAGLTRDCGGNVELYIHYVGGGGSGGGGVLAFLRRIPRLVRTIAVRHRIATRIREPKDRARDVGDRRLRYGVTVPPAPPDHLQNGGVHSSSSADDMLLRRGTRFEVFDHCQHPAIVRSTIIVTTRYVDHDYLEGFHHILQLNNLDYSLPGFYKPRALALSKCEHAPIQHVLEECYPHAFALHMFLHLLYVNPYRSKTEMEGLSKALAEHKNNTSRIMLMFCYNELPIKYKTCLQYLSIFPQGHSIRRTRLIRRWLAEGLVTERRLSANKDSGRPVQLEDQAERVFNALIDRGFLRPGETSAAGKIRTCTMHQKIHDFIATDVSSFMDSCLPLDLAHRFSINSGVTLEGPSCSSDILSLLDSLPGSDQWQLLKVLDLEGCTDLKNKHLKNICKILLLKYLSLRNTGVTQLPNIEKLQCLETLDIRQTKIRAFATKSIFLPMLKHLLASNKGSPSRSDNNSHGFEESPATVELPSGTRRMERLEILSHVDASNNVNDLIDIGQLLQLRKLGVVLDGKKAGSLALLFKQIEELHGCLLTLSIQINHPATSEGTVPETDKLAALVSPPKLLQSLNISGITILGKLRILRCLRLRHKSYTGTKLTFNTEEFQHLKSLVVDGCDITNIDFVNIGAAPKLEMIIWTFDSVDALPLSGIEHLPKLKKLELNGDEDMAEMRRIREAHPNCPVFKHNSSHQHEEAGSD >OGLUM11G20960.1 pep chromosome:ALNU02000000:11:24769788:24773382:1 gene:OGLUM11G20960 transcript:OGLUM11G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRERSSRRRMASSSTAAAALVVAALLLSSSPSPAAAAAAGRGGELRMKHSDGGYSYNRTLAHIFVQYASAVYTSDLTSLFAWTCPRCQGGTKGFEMIEIIVDVENCLQAFVGIAPDPQSIIIAFRGTQEHSVSNWIEDLFWKQLDVGYPGMPDAMVHHGFYTAYYNTTVRHEILESVRWARKTYGRLPINVVGHSMGGALASFCALDLSVKYGSQEVQLMTFGQPRVGNPSFAAYFSDQVPRTIRVTHQNDIVPHLPPYFCYLGEWTYHHFSREVWLHETIVGNVVTRNETICDGSGEDPTCSRSVYGRSVADHLEYYGVSLHADSRGTCQFVIGSTNTAYGSILEVDRTIIMTRYPQEWHAVESI >OGLUM11G20970.1 pep chromosome:ALNU02000000:11:24775855:24776187:1 gene:OGLUM11G20970 transcript:OGLUM11G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLASERAVVVFTASNCGMCHAVTSLLVGELGVNAAVHELDKDPRGRDMERELARRLNGGAGGGGGRAVPAVFVGGNLVGGANRVMSLHLAGELVPMLKNAGALWL >OGLUM11G20980.1 pep chromosome:ALNU02000000:11:24780946:24781370:1 gene:OGLUM11G20980 transcript:OGLUM11G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMVARLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPPPDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >OGLUM11G20990.1 pep chromosome:ALNU02000000:11:24787450:24787779:1 gene:OGLUM11G20990 transcript:OGLUM11G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVAMLASERAVVVFTKSGCCMCTAVTTLLGELAVSAAVHELDRDPLGKEMERELARRLYGSGGRGGPAVPAVFIGGSLVGGTSKVMAMHLKGELVPMLKSAGALWL >OGLUM11G21000.1 pep chromosome:ALNU02000000:11:24792266:24798173:1 gene:OGLUM11G21000 transcript:OGLUM11G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVKTLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >OGLUM11G21000.2 pep chromosome:ALNU02000000:11:24792269:24798173:1 gene:OGLUM11G21000 transcript:OGLUM11G21000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPARTKRSSHPNGEDQVTTSVSGRADDLAWRCSSDTFDLNGRAFENSENWAVLSTEGDKPIPRFDHAAAMVGSKMVVFGGDSGHHLLDDTKILSLDKLTWDSVAPKIRVSQGGHAPKFRPCKGHSLVPWGKNVILVGGKTDPPSDRISVWSFNTETDLWSYIEVKGDIPVARSGHTVIRAGPVLILFGGEDGKGKKLHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDVHALDFETMVWSRVKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPDVEPLLYEDSPPSSKELSDHLNNNCAPLYSTGSVARHSLATTVESSSGRKSLPDSLLQQPNLGSSSLRRQFRQEEECSLAHKLQKPIDDDRYKDVDDCSELPSVTNQKQRNDIYQSPDADAKAKRVGRSSSDINHQYDTKIANLIRRNMALEEQLSAAMASKDEAEKNLSLVIDSKEELEKRLSEKDREVKTLKEKVTGLELAQEESNSLSNTVHADNVRLEREVAFLKAELHSTRGVLAGERARAFQLQVEVFHLKQRLQTMEGRSPTPRKPQNL >OGLUM11G21010.1 pep chromosome:ALNU02000000:11:24798228:24802874:1 gene:OGLUM11G21010 transcript:OGLUM11G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor 1 [Source:Projected from Arabidopsis thaliana (AT3G62910) TAIR;Acc:AT3G62910] MEAFLAGFRAPRPRVPAVSAAARSLPPNTSFFSGGRRRRRLLSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCEKQIEETKVLQKENEDDPDMAEMIDSEMESLSNQLEELEEKLKLLLLPNDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQKYCERNSWKFKPVSSSEAEKGGFKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTGFLMGDIESAVQSCSSMEQKELLEEMATSVGAAKV >OGLUM11G21020.1 pep chromosome:ALNU02000000:11:24803498:24805149:-1 gene:OGLUM11G21020 transcript:OGLUM11G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02485) TAIR;Acc:AT4G02485] MAAAVDDDELRRRAAALREVFGDSSDSEPDDFPVGGAGREQWKWMAVEGVRGLWLCAAFLSADEQSRLLTAIRREGWFSDARNQAMRFGDLPSWAVELSALIHEAVCVGDVDVGCAVELNNEDEDVCPLPSDLLWRKPLFDQMIANRYEPGEGICAHVDLMRFDDGIAIVSLESPCVMHFSRAEQEVPICETLESAHAEPTKIPVYLNPGSLVLMSGDARYLWKHEINRKPGAQQWGGRELEQKIRTSITLRKLLPSPN >OGLUM11G21030.1 pep chromosome:ALNU02000000:11:24805386:24807733:1 gene:OGLUM11G21030 transcript:OGLUM11G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVLYLRGVPEDAEIEDAE >OGLUM11G21040.1 pep chromosome:ALNU02000000:11:24810383:24813195:-1 gene:OGLUM11G21040 transcript:OGLUM11G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAVLAACLSSAANVGAVTSAEVSYIAHRQLLAMKEAGVSEEGDLPSDDFDFDDRVGVAVGDFPNPRLRKAYIALQAWRRAFYSDPKGYTNNWTGNDVCSYNGVICYAAIDDPKIMVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSLLHEFDVSHNRFVGVFPHVCLEMAVLKYLDIRFNDFEGELPPALFDKELDAIFVNSNRFVGYIPGNLGNSTASVIVFANNAFVGCIPKSIGCMAKTLDEISFMNNKLDGCVPMEMGYLQNTYVIDISGNVLVGTLPTSLSNCSKLEQLDVSRNVFTGIVHESICELPVLVNFSFAYNFFNSESAPCMPSESSKVNLDDKDNCLGALRPAQKTTLQCAPVLARPVDCSKHVCPGHPTPGKPSEPPEKPPLISVPVGPPEKSPAYEEPPAAPSTPTSHGPPPPEEESPEEPPEEPTPSPTPSSPESPAKMAPPPAPAIKGETSPPAEYGAPPPPSSGWLPKSPERKKAPPPQAEPPTEYSPPATPESSPPPEGKSPPTPTASHSPPPAPEGHTPSPPKSGPPAGESPPTPESKALPPPTPEEYTPSPPKSTPPAEKSPPTPESKASSPPPPAPEGHTPSPPESTPPAEKSPPTPESKASSPPPPTPEGHTPSPPKSTPPAEKSPPTPESESSSPPPPAPEGHMPSPPKSTPPVEKSPPTPESEASSPPPPAPEGHTPSPPKSSPPEEKSPPTPPTSHTSPPTPEEYTPSPPKSSPPEEKSPPPHSPEKSPPSEAHPTSPPPSEKSPPTPAEESSPPTPEKSPSPPSGHEGTPPSPVKSSSPPPEAHVSSPPPEKSSSPPPEAHVSSPPPPEKSPPTPTPEISPPPEGKSPPSHTPESSSPPSEESEPPPTPTPKSSPPSHEEREVPTITYSGELIPTI >OGLUM11G21050.1 pep chromosome:ALNU02000000:11:24842718:24846978:-1 gene:OGLUM11G21050 transcript:OGLUM11G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKLCDLFNANFPGARKEFSRGTERRCSACLFLTTFHKVIGKNEVSQQHLAGTLTLPRFHPPPIFFLLLPPAPGIHFPHCTSKAPSPTSMELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATATVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLRGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFKSIGHGLTEEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFTIRRDHLVRMWIAEGVVQKTTHQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKTLDVLGSFSIEELPPAIYQLRRLMFLYFPENISLSDRIGELTSLLELSPVHVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIQVWSSEVSSIGILGEVLCNLHKLRRLILRGVHGIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFNINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMCRSDEDKMVLDDEEEQQSEDPMEDSDMEENRALDSMASESS >OGLUM11G21050.2 pep chromosome:ALNU02000000:11:24842718:24846978:-1 gene:OGLUM11G21050 transcript:OGLUM11G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIKLCDLFNANFPGARKEFSRGTERRCSACLFLTTFHKVIGKNEVSQQHLAGTLTLPRFHPPPIFFLLLPPAPGIHFPHCTSKAPSPTSMELAMGAIGSVLPRLAELLKEEYKLQKGVKNDVESLSRELAAMHIALERVAKVPREMVELDVKLWASNVRELSYAIEDAIDAFVVRVAEGSNLVDPINQGFFKRILRKTSDLIRKGKARREIAEGICDIKELADEVAELRARYKFDAAAAATTPATATVDPRILALYKDITELVGIEEARDELIGMLSRPADDDQLNIVSVVGFGGLGKTTLAKVVYDKLRGQFDCAAFVSVGQNPDLKKVLTDMIYDLDRQRYIIIIDDIWDEKLWEYIKCAFYRNKLCSRIITTTRKVTVSKACCSHDDAIYRMKPLSDDASKRLFYKRIFKHDNGCPPELEQVSIGILKKCAGMPLAIITIASLLANKQVQTRDQWHDVFKSIGHGLTEEPKVEDMTKILSFSYYDLPCHLKTCLLYLSIFPEDFTIRRDHLVRMWIAEGVVQKTTHQKDDVLVELGENYFYELINRSMIQPWDENDFMYYKDGYDNAIISCRVHDMVLDLILSLSNEENFVTILDQERGASSLSKAHRISLRDCNVVHTIPEATVPKVRFLSLLRAAVHMTPAITSFPILRVLDLYNCHFEESYHLKHLGNLFHLRYLRLHCGCITKLPNEIGNLQFLQTLDVLGSFSIEELPPAIYQLRRLMFLYFPENISLSDRIGELTSLLELSPVHVFRRTSSIDVNGDSFSLLKALGNLTELRDLTIQVWSSEVSSIGILGEVLCNLHKLRRLILRGVHGIVHLDSLPEFLDLPQHIHVLGIKPMYFFTVLPVWFNSPIDLPYLSFLDLSICDMRQEHVEKLGRLPALQVLWIQINRESEWLVIGAGAFPSLTDCTFIQYCGLVFQPGAMPKVRKLEFNINVVDSEDINFDVGLGNLASIEEVTIDLLCEDAVEWEVEEVENVLRHVADIHPKHPTLEMCRSDEDKMVLDDEEEQQSEDPMEDSDMEENRALDSMASESS >OGLUM11G21060.1 pep chromosome:ALNU02000000:11:24862435:24862881:-1 gene:OGLUM11G21060 transcript:OGLUM11G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARDDSAHNDDDTEAMDHRDSRVSAVTGAEESHSKLRPQASIGNHEFRSPLPPGRATELK >OGLUM11G21070.1 pep chromosome:ALNU02000000:11:24866348:24868215:-1 gene:OGLUM11G21070 transcript:OGLUM11G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNLNNSIDDLENPNADDILDLEKGLNAHVDEGTSTRKKKKKKKKNDDDDDDDDSADAQSAMGLIMLFLYYVFYVFYMMATCEEWWHAALGIGIATAFLLLSFCCLMPRNNKPANSQKKKKKTPLPDTTQTDLSTRLSHP >OGLUM11G21080.1 pep chromosome:ALNU02000000:11:24868834:24869071:-1 gene:OGLUM11G21080 transcript:OGLUM11G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLAAVAETMLVSVKKAMVPTPSVVARGGRSATAAGRGGADCHPRRKLDSLTSKGTSDELIPSA >OGLUM11G21090.1 pep chromosome:ALNU02000000:11:24869137:24869446:-1 gene:OGLUM11G21090 transcript:OGLUM11G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRRQRRRDGGGAGGSATGWRRERDEPRALTSWGRGRPELDADDGRDDGAEHDDISEFTSNANVFPLPPPPVTTSSASSPFPSPPALSFFAIG >OGLUM11G21100.1 pep chromosome:ALNU02000000:11:24870346:24873088:-1 gene:OGLUM11G21100 transcript:OGLUM11G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSLNPNSIVDLEKGTAAARKKRTACRDEDDDDDSTSTDAHTGMAVMMLLMYYAFFMFYMIGTCEKWWHAALAIGIASVFVLLSVCCMLPRNNTDSPADSQQNTQTGIGTRLLAAHQ >OGLUM11G21110.1 pep chromosome:ALNU02000000:11:24885313:24889480:1 gene:OGLUM11G21110 transcript:OGLUM11G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLKIKKLENSSGRHVTYSKRRSGILKKAKELSILCDIPLILLMFSPNGKPTICVGDHSSIEDVITKYAQQTPQERAKRKLESLEALKKTFKKLDHDVNIQDFLGSGGQTVEELSSHLGALQCQMADVEKRLSYWSDPEKVDNIDHIRAMEQSLKESLNRIRIHKENFAKQHLMSLQCAAAQFQNDMQLPLGLTGDPNTSSWFHGGGSAEAQQPMMLPEDPSLLHQRDIGCSASTSLQSYPGYFSMGKQSTDNAGGGEQHHHAAVQQQQQPEFSQADCLTSLQLGAQFPYPSAFDNAGLLSDRLFDNAAAAAAAAMDFGGHYDLPRPGDEASFQNWASAACGATMYDHQQQQQQQQQPAQLPAAATVEAPSFNHPSPHRQLMI >OGLUM11G21120.1 pep chromosome:ALNU02000000:11:24891608:24894808:-1 gene:OGLUM11G21120 transcript:OGLUM11G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRLVVVALVLLAVVELVHGDGRRWAPGSRPHSVTITEFGAVGDGKTLNTLPFQNAVFYARSFADKGGAQLYVPKGRWLTGSFNLTSHLTLFLEEEAVIIGTKDPSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLSDVVITGNNGVIDGQGSVWWDWLHSHELNHSRPHIVEFLHSEEVVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTSLDAPLTDGIVPDSCSNVCIEDSSISVGHDAISLKSGWDNYGISFGRPTSDIHISRVDLQASSGAALAIGSEMSGGISDIHVDHIRIGSSSKGISFRTTPGRGGYIAEVVVADVVMDSVHLAIEFTGNWSSHPDDHFDPSFLPVIDQITLKNMEGTNISVAGVLSGIEGDPFSAICLSNLNFSIADSAPSSAWTCSNVHGYSELVFPKPCSELHDTSTNSSICFSLSSYSALAVL >OGLUM11G21130.1 pep chromosome:ALNU02000000:11:24905145:24909302:1 gene:OGLUM11G21130 transcript:OGLUM11G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANGVASAAAAATAVLVYVALSSSGGGGEAARLRRPEEEDEEESKKRWPERAPASWREAAAVAARTVGFTYRETLGRWPLGDIAFGIRHYMRIQGNLQHEYTGRSCVPLEGPVTRQELIAILRYLRLCMFFTKKPYEVFLEFGGYGQSDILIRKSKARVMKPSFTIVCDKSTKSFILFIRGATSVKDRLTAATAAEVPFHHVVLKEGRVSNVVVGHVHCGMVAAARWIADQAIPCLSRAVEQFPDYRIKIIGHSMGAGIAAILTYMLRENKKLSSSSCIAFGPAACMTWDLAESGKEFVTTVVNRNDLVPSFGKVSAANLHTEVIGSSWAHDLLEQIQQTRILGFVNRSVTFMQSQFPFISNPRSKVADVDLMLSGTSEAETILSVDARAAIKKHSTLSFWSSAPSNRKTLESSLMNPTQSIAALMSTYVGTDKDTEEHKNQNSDTKELYRQDKEADAEKNLERFLEALRSSPSASQEPLQFYPPGRIMHMVVLPSPKEPSSIDQCSQDECVALYETPRSMYSKIRLARSMIRDHYMPRYIETMEMLIDKFEEEDSHT >OGLUM11G21140.1 pep chromosome:ALNU02000000:11:24914582:24915954:1 gene:OGLUM11G21140 transcript:OGLUM11G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTSSPKQQRSSSAGKKKEMMMMIKGEITLNEKEDEEQAKQIEVISILGPRCTGKTTLARKVYDMYRNKSPSSAKNTPPREVASTFRFGDSAATRGRILLVTSAPQVAKSCSSQRIFDFGDLPERHREYLVKFFLKRAVSLLATKTTAAAANAEEDDRRREVLRSSMSLTSFPQDEDDVHAGLFPYKAGRITVQNYLLEQLMMQASGGGDRRLQCIANYLKSLRRSSIVHLLNVLDLEGCTGFEEETDLRTICTEATHLKYLSLRNTGVTQLPKHIQNLQQLETLDVRGTNVSKLDVVLPMLKELHSGQSEWSLYRSRRRPEQGIIKFSAGEFSNLVHLFFQEDYIISVIFDHETAPRLETVVFDVKIITSLHGIRNLPSLKDLQIKGELRGEQAVQQAIADHPNSPDYESKIQGKDRYRYSPGLFVLCCICC >OGLUM11G21150.1 pep chromosome:ALNU02000000:11:24945097:24947293:-1 gene:OGLUM11G21150 transcript:OGLUM11G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPHLAPAGGAVNSLLGRLGDVLVEETKLLRGVRRDVQFIRDEIESMNASLIRYGQSNDPQVRAWMNQQVHDVAFDSEYYVDRRHQSSSAAGCGILGHIPRFVGNVATHIRDLQVRTHEVRKRLERRCILAGDDSGLFTKAAEEIIGWMSAEEIIGWMCAEDSSESTIVDRMLAFCYHSFPSHYRNCLAYLAIFPEDYAFKRTTLVRRWLGEGLISRTNVSGDSDLTEVANRCFDALSAHKFLLPAGDIEADTTGGRGRFKKCTVHGGIVRDFLSCIVGDEHVVDAELLPHMDRRISIQNYLLQQSRRNNPSGAIMNHLETLASSLQLLNVLDLEGCSGFTEEKESRYLRIICAKATHLRYLSLRNTGVSQLPRQIQNLQQLETLDIRGTDVRELDDIMLPMLKNLHAGKRESSNRSISTIWLPRNIRMMAKIVVLSHVKYTNSNGDQDQLMKYLLSQIERLHNSLRSLSIRTDGGAHCTADYNPAGSDMLSPPVLLQNLTISGIRGELPNWIGHLRHLAKISLQETYLPDDMLRVLGSLHSLQSLKLLRKALAELTFDFRAGEFMNLVDLLFEEDGWNRIRSVIFDHGTAPMLERVVLRVKKITSIHGIQHLPSLKDLHVIGDLYEVRQVMRDVKQHPNCPRFQY >OGLUM11G21160.1 pep chromosome:ALNU02000000:11:24970656:24971788:1 gene:OGLUM11G21160 transcript:OGLUM11G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVLLEKQHAAATTTATAARTAQVISKTAVLSTAGGGGSKIHGCYSSSSSSSRAPVVVATTASFLHRCFLCRRELAGGDDIYIYRGDRAFCSDDCRFRHILTEEEEDGEMSCRAKDAAVAAPTRRRSRNRRAVAGGGGRGFFAY >OGLUM11G21170.1 pep chromosome:ALNU02000000:11:24975775:24981917:1 gene:OGLUM11G21170 transcript:OGLUM11G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRQPKYVFQWCRHLRIPPLGLSSCPFSVLTASASVQSDCSSDDEKLNCAPSQHARKRSRTLCSDSVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDFSTYSEMIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLTAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWSEMTEMGVKPDARGYSSFLIGLCDCGKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKYGGLTPDKIHYTCLINGYCLKGEMQNAQQVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDRFSCSKLINDLCRVGNVQGASNVCQIMLEHNVVPDVISYSKLISIYCQSGDMDKAHLWFHDMVQRGLSVDVIVYTILMNGYCKVGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSEVNIADEELSVEIRKENEAPVVERKVKNISDTNIKRLCILHLSLLFKQTSLQISLSPRAIDGLVKGLEANQRLVGSDFTSLDIQNGNSLQFQILFLKNTEYNSHFKISFRATEAREV >OGLUM11G21170.2 pep chromosome:ALNU02000000:11:24975775:24984649:1 gene:OGLUM11G21170 transcript:OGLUM11G21170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCRQPKYVFQWCRHLRIPPLGLSSCPFSVLTASASVQSDCSSDDEKLNCAPSQHARKRSRTLCSDSVVQTLHCLKRRPAIAFAYFKDTQSIGFNHDFSTYSEMIQILSHSRQGKMLVSLFSELVSSSNASGPEILPLVDHHRRTCATPCSLSFMVDCLIKACITCYDVQATICLFSGICRLGVVPSVWTWNLLLKFIAETGEYEMVLTAYNEMKCFQLTPDVYTFAIVTRSLFQAKKVDEALQVWSEMTEMGVKPDARGYSSFLIGLCDCGKYDLAYVILQEINREKVPVEAMAYNMVMDGLCKEMRLDEAEKLLENKARQGSNPDVYGYSYLIRSYCKMGNLIKAVDHYEAMVSHGIETNCHIVSYLLQCFRKLGMTSEVIAYFLKFKDSGLHLDKVIYNIAMDTYCKNGNMNEAVKLLNEMKYGGLTPDKIHYTCLINGYCLKGEMQNAQQVFEEMLKANIEPDIVTYNILASGFCKSGLVMEVFDLLDRMADQGLEPNSLTYGIAIVGFCRGGNLSEAEVLFNVVEEKGIDHIEVMYSSMVCGYLLSGWTDHAYMLFVRVARQGNLVDRFSCSKLINDLCRVGNVQGASNVCQIMLEHNVVPDVISYSKLISIYCQSGDMDKAHLWFHDMVQRGLSVDVIVYTILMNGYCKVGRLQEACQLFVQMTNLGIKPDVIAYTVLLDGHLKETLQQGWEGIAKERRSFLLRANHNKLLSSMKDMQIEPDVPCYTVLIDGKCKAEYLVEARELFDEMLQKGLTPDAYAYTALINGYCSQGEISKAEDLLQEMIDKGIEPDELTFSEVNIADEELSVEIRKENEAPVVERKVKNISDTNIKRLCILHLSLLFKQTSLQISLSPRAIDGLVKGLEANQRLVGSDFTSLDIQNGNSLQFQILFLKNTGVHQRVFN >OGLUM11G21180.1 pep chromosome:ALNU02000000:11:24981955:24986695:-1 gene:OGLUM11G21180 transcript:OGLUM11G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLV9] MVAQKERASVAVKEERKSPVVSFLAPRKTSRGSTPEGRGADLHRRGEQSSGGEGILGSSIMAGSLAASAFFPGPGSSPAASARSSKNAAATGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >OGLUM11G21180.2 pep chromosome:ALNU02000000:11:24981955:24985625:-1 gene:OGLUM11G21180 transcript:OGLUM11G21180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BLV9] MAGSLAASAFFPGPGSSPAASARSSKNAAATGELPENLSVRGIVAKPNPPPAAMQVKAQAQTLPKVNGTKVNLKTVKPDMEETVPHSAPKTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGMVFRQNFMIRSYEIGADRTASIETLMNHLQETALNHVRTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPAWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMHKKTRRLSKMPDEVRAEIGPYFNDRSAITEEQSEKLAKTGNKVGDDATEQFIRKGLTPRWGDLDVNQHVNNVKYIGWILEVSAPISVLEKHELASMTLDYRKECGRDSVLQSLTTVSGECTSIGADKQASAIQCDHLLQLESGADIVKAHTEWRPKRSHAAAENA >OGLUM11G21190.1 pep chromosome:ALNU02000000:11:24988486:24991283:-1 gene:OGLUM11G21190 transcript:OGLUM11G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium/proton exchanger [Source:Projected from Arabidopsis thaliana (AT2G47600) TAIR;Acc:AT2G47600] MAHINMADTARSCDTYLLFNGETLLPNGVRAFIYTVVLAYCFIGLSAITGRFFKSMESIMRHSREVVTVDPHTNATIVKHEKVWNYTIADVALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDLFPIHAVCVVMPRAGSKKKISDLGVWLVELFWSFWAYIWLYIILEVWTPRVITLWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLARGERPEDWVPAEDASVDYDDNYDGIGDILPGQNEDIVDIFSAHSYSNEGYHHVSEKDVEESSIGLTLKNKWEDTHWFSIWWQQFVDAATLESSVSRKMDSTCLRVIRISWNLIIAPWKMLFAFVPPYEIAHGWIAFICSLIFISGIAYGVTKITDQISYVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLVDTMYNYFVYQKPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRVILGAELGGPRMWAWATSVYFMILWVVFIVLSSLRISGVI >OGLUM11G21200.1 pep chromosome:ALNU02000000:11:24996004:24998887:1 gene:OGLUM11G21200 transcript:OGLUM11G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G29830) TAIR;Acc:AT4G29830] MKLAGLKSVDGAHEESIWAAAWVPAADHRPAALLLTGALDETVRLWAPDDLASAAASPSRGHALGVVSLAAHPAGALAAAVSLDSYVRVFDVDSGSSVATLEAPPSEVWGIQFHPKGSALAAAGGGSGSVKLWDTEKWKPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAVRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTASDDCHIHIYDAKEKSLIGAMSGHASWVLSIDVSPDGMAVATGSSDRTVRLWDINTRASVQTMSNHNDQVWAVAFRPPGGTGVRAGRLASVSDDKSITLYDYS >OGLUM11G21210.1 pep chromosome:ALNU02000000:11:25010397:25012252:-1 gene:OGLUM11G21210 transcript:OGLUM11G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYREIENGILWEVDGKWVVQGAIDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVTFMKRYIKNLSAKLDAEKQEEFKKNIEGATKYLLGKLKDLQFFVGESMHDDGGLVFAYYKDGATDPTFLYFSHGLKEVKC >OGLUM11G21220.1 pep chromosome:ALNU02000000:11:25014945:25015615:1 gene:OGLUM11G21220 transcript:OGLUM11G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHNYPTRILIDSSGRQNGVSDKVRGPPAAFAHPAGMRLLPTWRDYSPRKGTAQPLANWHGIVKKLSEDNYQNLTAKAILEELHEQNITIYAEIIYRVSY >OGLUM11G21230.1 pep chromosome:ALNU02000000:11:25022036:25028171:-1 gene:OGLUM11G21230 transcript:OGLUM11G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPQGPVHMTHHRHESRHRGGAAAERCTTSASVSLAAAPPTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSPSGSASNFVSSPAACADPHPASRGGARRPPASTASSIAGEHPSLPTPHLIRCNLVTESHPNTLRIVALERKMLSGGERRRIIDQSRLRYWGGRHEAVLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSHLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRRMLSTDALRPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGHSEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGSSADLAAFVLGRQLHGSAMRLGFLSSMIVGNALIDMYSKCSDILSAREVFEGITFCDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACMKYKDAEMCIRISNKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTNSQQQAKKQMDHERPNDKGGTIDLGNGKGRTQLREASTDWAVCGGWAVVSGRADEICSLTKGKR >OGLUM11G21230.2 pep chromosome:ALNU02000000:11:25022036:25028171:-1 gene:OGLUM11G21230 transcript:OGLUM11G21230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQPQGPVHMTHHRHESRHRGGAAAERCTTSASVSLAAAPPTPVSSPAAGADLHPASRGGAGVLLLPPHSLTGEHPNPSPSGSASNFVSSPAACADPHPASRGGARRPPASTASSIAGGERRRIIDQSRLRYWGGRHEAVLCMLSPAATAVAAVRATAGSPAAVRGMHARILKEGLAHHPPNPAALVSAYAKSHLLPDALHLFDETPRRDIYIYSSLLTAVSHSASPELALPILRRMLSTDALRPDHFVISSVASVFARLRSRRLGRQLHAHFVASPYNGDDVVKSSLVDMYCKCGSPDDGRKVFDSMSAKNSVVWTALVSGYASNGHSEEALQLFRSMPGRNLFAWTALISGLVKTGESVGAVELFVEMRRDGVRIDDAFVLSIVIGSSADLAAFVLGRQLHGSAMRLGFLSSMIVGNALIDMYSKCSDILSAREVFEGITFCDVISWTTMVVGEAQHGRAEEALALYDRMVLAGAKPNEVTFVGLIYACSHAGLVQKGRQLFESMKNEYGITPGLQHYTCYLDLLSRSGHLLEAEELMTTMPYEPDEATWGALLSACMKYKDAEMCIRISNKLLELRPKDSSTYILLSNVYAVNGKWDSVAKVRKCMIGLEIRKEPGYSWIEAGREFRLFHAGEVPLDVREEIMVFLEEMVLEMRKRGYVPDTSSVMHDLEESEKEHHLFLHSERLAVAFGLIKSPPGSVIRVVKNLRGRSFIREGGHTNSQQQAKKQMDHERPNDKGGTIDLGNGKGRTQLREASTDWAVCGGWAVVSGRADEICSLTKGKR >OGLUM11G21240.1 pep chromosome:ALNU02000000:11:25027049:25028572:1 gene:OGLUM11G21240 transcript:OGLUM11G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGMLTGDRRCGGSRRTPGATSARRMGIGASGGRGDEIRGRAGWGWIRMLTGERMRREQENAGATSASRMEIGASGRRGDGSRGGGGQGDGGGGRAALCCRAAAVSALSHVDSTSQPAIFFYLTPLYFHLNPNLQGICQWVGRRVSVGRKKLEGGGRRLTWLPTAASAHIMDLRARGCSAGPNYNYNVIFTCTHEG >OGLUM11G21250.1 pep chromosome:ALNU02000000:11:25039454:25046055:1 gene:OGLUM11G21250 transcript:OGLUM11G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEGLLADFGVRPQGKAAPMVASRSRSTTTTGSAWPNPRSTPAPAPSYDGLFGAPPSAAATTTTSSPFDSLFNGPPTSSSSSSSAAARATVYDDDIFGAVPGLRPSSNSASSSSAARYDGDDVFGGGRRAAASPAFDDVFSSNRSAAAPSYDDILGGFGVKPQAGEGKRSVVVEDDDLLGGFGRKPHSEAEKKPVVVEKANGGNGFDDLIPGFSGSGPQRSRKIIDDNKDEPAVRTSKSTASVLDDPFVVLETNSASGSTYPSPSSFTDPLEHLNNSASSKGKNVDNTTDNDSLPDDSSAFNQVPKSDPLFTSEFNGDTKYMNPPSKARDSNPLHGSMNGNSARGSSTEDLGDAKTKSQSARYSDIYVDGSSSDRYATNGVGDQSPRSTESEDDIWLTVSEIPLFTQPTNAPPPSRSPPLLKQRPLQAKANGNYDGYVRQSNQNHNQYRDMPDQAEVSSLDEMEGFAKDKSQMPSYDDNFFGEAEQSEKTSSDREEKERQARLEQEQEMKLMEEKKREQRRLEKKRELEQQKERERQAMERATKEARERASAEARAKAEREATQRAQRAAVQRAQQEARERAAAEAKEKAARIAAEARERAASEAKERERAAAERAAAERVQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSSADDLESFFGAGARANSAPKQRTPTVDSMFDSQPQSRATTNGSQRSASTSASMRKAPSATNIGDDLSDLFGAPASSDVFQEVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDTLDFEIRRWAAGKEGNLRALLSTLQDILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGANLQQKYTAEKVFDILKEAWNKFNSEELF >OGLUM11G21260.1 pep chromosome:ALNU02000000:11:25046115:25051573:1 gene:OGLUM11G21260 transcript:OGLUM11G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:endoplasmic reticulum-adenine nucleotide transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G17400) TAIR;Acc:AT5G17400] MPSAAAGDGKGKRRLVGMPPARAAAADFAMGGAAAVVAKTGAAPVERVKLLLQNQAEMLRRGSLTRPYRGIADAFGRVLREEGVAALWRGNQANVIRYFPTQAFNFAFKGYFKSIFGYDKEKDGKWKWLAGNVASGSAAGATTSSLLYHLDYARTRLATDAIESQGSKRQFSGLLDVYKRTLKTDGIRGLYRGFSVSIVGITLYRGLYFGIYDTMKPLILVGPLQENFFASFALGWAITTFSGACAYPFDTLRRRMMLTSGQPLKYKNAFHAAKQIVSTEGFFTLFRGVGANILSGMAGAGVLAGYDQLHRFAGQHGYNFESKMKGALK >OGLUM11G21270.1 pep chromosome:ALNU02000000:11:25050083:25062609:-1 gene:OGLUM11G21270 transcript:OGLUM11G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGGEKLAILHKHRSSLADTMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >OGLUM11G21270.2 pep chromosome:ALNU02000000:11:25050081:25062609:-1 gene:OGLUM11G21270 transcript:OGLUM11G21270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSAAAASKRSSPSPSSSSAPPPKRPKAEAAPASPTASVPGRIEEDSAATKSAGSGEDAAAKRDQGGDKAAVAVVESSRKKKEQQQQQQQQQQQQATPWAKLLSQSSQQGTCELEVLGKKGTVQLNGRSITAGTKVPLKGGDEVVFSPCGKHAYIFQHPLNDKIPKMVPPSPVTLLEPPVAGVKRLRMENRTGDTSAVAGTELLASVSDQLKDLSAAPPASAGENNQRLVRPMASSASDKSKGNGIIPDKECENGENANEVNSNVEDSPLDVAAAPVVSPDAVPNDISQHNGFGSDAHLGAEIGKIATYKIRPVLRMIAGTTISEFDLTGDLFKALEDQRDLIRDLNSSASLPPSRCQAFKDGMKQGIISPNDIDVTFENFPYYLSDNTKNVLLSCAFIHLEKKEFIKQFSEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLLLPGAPSKDPESQKDAAKSDKSGDKAGGEKLAILHKHRSSLADTMHFRRPAVQPSSVHADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQQSSLSQRGPSYGYRGRVMLAFEENGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSADLLRPDFSGGEEVERLAMAELIEVISEEHKAGPMIVLLKDVEKSFTGITESLSSLRNKLEALPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGSRLHERNKESPKAMKHLNKLFPNKISIQLPQDETLLTDWKQQLDRDVETLKAKSNVGSIRTFLSRNGIECSDLEELFIKDQSLTNENVDKIVGYAVSYHLKHNKVEISKDGKLVLASESLKHGLNMLQNMQSDNKSSKKSLKDVVTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELAPGIDMDSLATMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNVAKAEGRPEPALYGSEDIRPLTLDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >OGLUM11G21290.1 pep chromosome:ALNU02000000:11:25080343:25083144:-1 gene:OGLUM11G21290 transcript:OGLUM11G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPALFSIVSAMIKLAMMIATAAKKARQNQTKCLELSDRARRVAGILSSYKPAATGEAAATRDMLGSLNEALDEAHKLVESCCGDGQMYRLVGSRAVDAKLDSVNNKISNCLTDLIAIFVVVDRNHHRASNSNNSARLKQTKINTAGNIFDHHTASDHGRRLNSRSLRVMNPGLSHHQLANNSAWGKQSEINTGGIRTASDRGSSNYSVRSLGESSSAKSSSGRSWTEINSANSSIVRSRTKINSAHSSIVRSQTEINSNRSSINSVREISSAKSIHKEKIDPLLAHSHHQANNSVRVSYTCKNGNKSVQQNGVNSSNSMRSEKASTAATARAPLQGSYSDAGVAGYPNGQGYALYQYSIDDDPTSCAVM >OGLUM11G21300.1 pep chromosome:ALNU02000000:11:25083167:25086803:-1 gene:OGLUM11G21300 transcript:OGLUM11G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAVVTAIVNLASKIDKAEQRAHRNKKRCHELAQRVKQVAQIVKGGHEQQVAAKDDDDPTLQARTEILNRLKKALDQALQLVKSCRRNGGVLSLLSKILGSDELEANFQDVNSRINDCEHDLNTATGVIMEKKINQILAHNHRRENKPREINAVKNSEKVGCSNHRHKEKKNDHRRHKDNREHNDKDKDHHRHKDDKTNYHGHKDNHVHKEDDKGHHKHKDVKKDHHGHKDNHGHKNEGKDHHEHKVNENDHRNHNNHGNRDDRKDNHRYKDKENYCRHKNEETNHQWHKNEEKDKCC >OGLUM11G21310.1 pep chromosome:ALNU02000000:11:25086345:25091492:1 gene:OGLUM11G21310 transcript:OGLUM11G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTPVAVLRSCSQSLIRLLTSWKFASNSSLPRILESKESTPPLRRHDLTSWSAWSRAFLSLLRISVLACSVGSSSSLAATCCSWPPFTIWATCFTRWASSWQRFLFRRRGAKAGMLQ >OGLUM11G21320.1 pep chromosome:ALNU02000000:11:25094080:25094545:-1 gene:OGLUM11G21320 transcript:OGLUM11G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGVVLPADKHEKVMTKAVTINSGLGTIGQGKDSDRTEFYGYIKEMFKLGFEGSRTLILLVLFNCYWFDPSQLRYTPNYGLVEVAHASS >OGLUM11G21330.1 pep chromosome:ALNU02000000:11:25094570:25095273:-1 gene:OGLUM11G21330 transcript:OGLUM11G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLFFSGRLGAGSIWRPSLPGSKRSVRVTTALQARVVNAVQLLGGHKTTRVRSGRDVVNQI >OGLUM11G21340.1 pep chromosome:ALNU02000000:11:25117994:25140230:1 gene:OGLUM11G21340 transcript:OGLUM11G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVFLNSVSAAAMAGHALAERRQRDGAERSADEIPTLSHDKEEYARCCRITMAVLSSLMLVAPTVFVAWELISWWLTIADFPVDEIVGDLSIVVMFYVFCFLLLLIEEESHFYTIIAVFLVVAALPLFFSIVFGDVAAMVFSWISVLALTVLLGYRLRLYSSYQQHKEMMTTRSDDKLDDQKQELAKSKDTPADDNHEPVDASSVPSPPPSAGSPPYIHPEEPILS >OGLUM11G21350.1 pep chromosome:ALNU02000000:11:25146803:25147165:1 gene:OGLUM11G21350 transcript:OGLUM11G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAAGRKKKGGTPEASDAAAAAPARTLGRGVGSATAALPFSRGGRGVGLAPPPRSLGRGGGPAVAAIPPGSFPSYSASMDGFPFPPPLDGSYGGGFPSSSAWLDASGGDESSRGSKLR >OGLUM11G21360.1 pep chromosome:ALNU02000000:11:25154252:25155861:1 gene:OGLUM11G21360 transcript:OGLUM11G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPVATTEIQHSDLGEKASLVAAAAAAAGEEHEHHTSDLLRRRAIRLRRAELSKLSEDPERDRRDREATLIVLTLLLALVMPILFWLSAGETPAPLLVWRLSLLLSTYFFLCANVLFVTKSFCAIVVDVYFGVLLAYYADHVLGTRIGTVTIYLNSIFTAAFAGYALAERRRSDGTEQSADNVPAFADEEEEEYARAVLISSAAVITITLLFPTAYVSWMILCPYATTVEDVLRDLSYTILAYLFFATTLVTRHLLRGALLGDGRFYVFIVVFSIITVLPLFFAGIFGDVAGIVVIWLGKADAAKQELDEISGDKLEHSSSPAASTHVHSNPHSSASSSAEGSPSTHH >OGLUM11G21370.1 pep chromosome:ALNU02000000:11:25157981:25158625:1 gene:OGLUM11G21370 transcript:OGLUM11G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMGFCVLHRSTGRTSWDRTGFASVLGATYLLPVARKAEKNTHLSKVAFGVTKNWTRVFDCFGGETLVIDMGSSYLKTCMFVFVLGINKDTIFIIYD >OGLUM11G21380.1 pep chromosome:ALNU02000000:11:25175773:25177956:1 gene:OGLUM11G21380 transcript:OGLUM11G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALASAYAMMVFPVFLRLMHKNMDNDESLVFKFKMVSTGLSILTSIYDCWSFGTHTFNDGKRKWYIVTVCIVCAACKFLQGAVRSYGPERMAKHLEAPYDKMTDMLNAMRGGIKQAREDLFDRFHSCWTTLKMKMHFN >OGLUM11G21390.1 pep chromosome:ALNU02000000:11:25187287:25189032:-1 gene:OGLUM11G21390 transcript:OGLUM11G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPIATTDMAESPVATTDMAEFPVATTDDHSAAEIQHSESDLGEKESLVDPDRSGDGRQAAAAGEEHTSDLLLLLLRRRLHAELRKLREVVKAELDRDARLARLILLSLLLALAMPILLWFSAGEPLLLVWRLSLLLSAYFFLCAALLFVTKGLLAVVVDFSYGALLAYFADHVFSPRVGMVVIFLNSISTAGLAGYALAERRQSDGAERSTDEIPTLSHDKEEYADCCRITLAMLSFLVLVAPTVFIAWELLWHIADYPVDEIVGDLSIVVMLYVLCFTLLITQQLLLRGALIGVENHMYTIITVFLVVAALPLFFSIVIGDVAAMVVFWIGILALTVFFGYRLRLYSSYQQHKVVMMRPDDKLDDQKQELTKGKDTSADDDHEPADASSSFSPRPSAPSPPAAGSPPTFTRSSLSY >OGLUM11G21400.1 pep chromosome:ALNU02000000:11:25226259:25228271:-1 gene:OGLUM11G21400 transcript:OGLUM11G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYGNNWSYHSQKKKGKKKKKKKKLCTFYLQEVRLCNGAAMGLPVVEAQRRGGRRAEEEDGAAMGAPAAQRRGGRCGEEEDGVAMGGTGSGGAAKRREARRWGAGGGGAAEEEGDYYLIIISGAHISLISFFFLTHPVERRNGVTARAGTARRAAEKVAALCQGLTTSDGESRRSPYARRDGKRRHRAREQHDAAADKDNEGGGEQDEELAVLGERERPPFLLRPLPREQPICTAFCSSAVADRCVAAAGSCWCGCGVGGANVAELCVVAAAALQSGCSSWWWWWS >OGLUM11G21410.1 pep chromosome:ALNU02000000:11:25227846:25233129:1 gene:OGLUM11G21410 transcript:OGLUM11G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCALSSPAIRFPSPNRSRLASSADASHLFLRRASTASTPSPCLPPLRRSSTAGAPHRHAVLFLAAPPSSSLRGRRPHRRAVLLFGAPASSSLRLHHRQPHRRAVAEPNLLKKFSRPLCVSRDPADWKPPVRISCCFQSMSSNY >OGLUM11G21420.1 pep chromosome:ALNU02000000:11:25237182:25237895:-1 gene:OGLUM11G21420 transcript:OGLUM11G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAGNIILGAMWKVVSATIKAVKTARRNKARCRELALRAKEVKGVLREYRKAASGGDAKTTTRGILCRLKAAIDDALKLAESCGHRSRSNNGGLLSRLQLHRLVASDGLAAKLDDVNGRITSCLVDLQAAIAVRSMMDNHRRANNPVRENNGGKGGRWRRGNNKAAGQPRRRHRAVDDVAGVPICHVHHHFMIEEEGSTSCSVM >OGLUM11G21430.1 pep chromosome:ALNU02000000:11:25240507:25241342:-1 gene:OGLUM11G21430 transcript:OGLUM11G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVGAIVKVVQAISKAVSTARRNAASCKELAERAQQVAKILPDSNSKAVARVDATAANILRRLRGALDDALQLVESCQSGGGCLSWPLMLVTGDGLVAKFADVNARISNCLVDLQAANGVRIEEKIDRQAVNGSRIEKKLDKLAVAAGSRDQPNQRRANNSSQREIINTGKNGNNHDGWNKGGGQQQNGGKGGKRRRGKKAAGPPPPQFRPRAGAGVPLCHVHPHHHHSMEEDPTSCSVM >OGLUM11G21440.1 pep chromosome:ALNU02000000:11:25243991:25250146:1 gene:OGLUM11G21440 transcript:OGLUM11G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTADITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKILGKISDQDWETIISHAQKCTPRPGIYSSCIQERNGSDEHQTFSKSNGSCYLKGSCSEQPSSTLRRNSFLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPYSAVEDSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWSCRFRGL >OGLUM11G21440.2 pep chromosome:ALNU02000000:11:25245492:25250146:1 gene:OGLUM11G21440 transcript:OGLUM11G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEEMRRMLKGQNEKIESMYRENQELREKVSFLTADITRLGGYLQQSPAPRMLSDQNSSMQLRLQFVNSCSNSKYSTRKIEADDETPLKVAIYDHNNEIMTCEPFSSMRVHIVAIHGDFDDDHKGHWTEEHFRSKIVTGRPGKEHLLSGKLYFRLQGGVGYLNSAKFQDNSSFVPSKRLKLGVMAADERISQRIQEGITESFAVKDVRGYSTKKNLNPSPCDPVYKLNKIAMNGDRHKLLEKNGIKIVGDFLSFYDRSPEDLRKILGKISDQDWETIISHAQKCTPRPGIYSSCIQERNGSDEHQTFSKSNGSCYLKGSCSEQPSSTLRRNSFLPGNPSTDDAVRDHLAELEKALLEDESWGDFDFNEAWANPYSAVEDSTGLSSVNGAHNNNINHGGLSAASEAGSVSYGGLSPPVSEVGSRRYMGYSPSPASKPWSCRFRGL >OGLUM11G21450.1 pep chromosome:ALNU02000000:11:25250643:25275579:1 gene:OGLUM11G21450 transcript:OGLUM11G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEILSFKSREKVWGKYEEIAVAGLLRCLGSPRYATAYPSQRTSSSAFSRLATTSEMAGRSSHRSRHRNLHDHGDEAADVRPDQLRHRRRLTQPAPQCHGIKLSKSDKLKEQNRRELRLVGPRVASRMGDMGGGTLAATAAPPHLSPLPCRRLNEWPAKLEAREDGGGDHHQSRHGHPRWRLAGATPMSKPALGRRRRRGRRRPR >OGLUM11G21460.1 pep chromosome:ALNU02000000:11:25250961:25251346:-1 gene:OGLUM11G21460 transcript:OGLUM11G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADIGGLITMIMQVAMTAAVGGAASHFGSRGQSREGARARPLRRLC >OGLUM11G21470.1 pep chromosome:ALNU02000000:11:25276992:25277177:-1 gene:OGLUM11G21470 transcript:OGLUM11G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHETSAVAQQYLTTESMWSREALGAFDSLDRLLEEINLQAAYRVRVEIGEKIDTVLALEN >OGLUM11G21480.1 pep chromosome:ALNU02000000:11:25277199:25283340:1 gene:OGLUM11G21480 transcript:OGLUM11G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDQNCSEPLRLQFVNSCSNDKYSTHKIEADDESPLQVAIYDRNNKIVTSEPFSSMGVQIVAIDGDFDDDHKGQWTEEYFRSKIVPGRPQKGHLLSGKLYFRLQNGVGYLNAKFQDNSSFVPSKKFKLGVMAADERISERIQEGITESFAVKDVRGYLTKKNPNPSPRDAVYKLSKIAKNGDRHKLLEQNGIKTVEDFLSFYNKSPDDLRKILGKISDQDWDLIISHALKCNPRPGIYSSCLQESNVSHEHEAFFRSNGSYYLQGSCSMQPSHTSQEQLDVQGTRQQISSTCNGLSSGGLSVIVPNRSKFQPDTSDQNLMHHGQLERIQVVDRQVSSVGNEVMSVSSMDNNMLEVSSSQQQHSLGHINTAEIDGNGLSHANPSDWNSSLDWIHGHADVQLESMVNAQRRENLLSEYVGRGEHDFTRTHCSGGSCSAAEQNWGHSHVTAAEQKWGHSPVSEAGSMNYNGAVNEAGSWSHRGLNPSRAAGSRRHRRHSFSPARGAGSRRHREARSSSYGEQVFGEASSSDCLWFTPLPPVLFSDNISNTSKYFTD >OGLUM11G21490.1 pep chromosome:ALNU02000000:11:25295716:25299055:1 gene:OGLUM11G21490 transcript:OGLUM11G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMSKGFIEERKVLQREMQLFYQNSQLQLNEQISEQQRRMEQIWGQFNTLISVLSLIFLVLLVLYFLVRMHDTSTPGDHVKKHTNHRCCNDKFSRHVITADDGSPIKVAIYDHDNKIITNGPLSSMQVRIVVMNGEFNKDNKVQWNRDSFLQNIVYGRPGKLPLFANELYLRLENGVANLYGAKFQDNSSFLPSKQFRHKLLQHKGIKTVGDFLCFFHKNPKELRKILGNISDQDWDMIINHALKCKPRPANYSSYTEEMNVHQEHESFHTSNGNCYLKGSCSMQPSPAPANLPVSENHTEQIDIQLSKQHASRFLQQIMDCHLVHHGEYLNKAKFHPNTLDQSVKAYGEFQTMQASQEVSTIGNEVLSVSFMDNNTLEGSSSQQRPSLKHNTMPDGDTMEEFLASLEKDLLQDDSRSDFTETYWGDAYNAVKQTGGLPRVNEAHNMSRGGISPASEVGSTVYGGISPASEVGSRSYTAFSPSPVSKRGSIGYGGLSPASEAGGRRCRTFSPASSRFKGP >OGLUM11G21500.1 pep chromosome:ALNU02000000:11:25344807:25345551:-1 gene:OGLUM11G21500 transcript:OGLUM11G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVGSIVGAVPKVASAIAKAVKTARRNKTRCRELAQRVKEVDDILREYKKVAMGDAAATTRKILGRLKDALDDALKLVESCGRSRDGLLFRLYRFVASDGLAAKLDDVNSRINNCLIALQAVNVAHLQKKMDRHLAVAAGGGDHRRTNNPREINAGKSGNKGNKGGQQHKQNGGKGGKRRKGKKAAGPPPQPQPCTPTGAVFPYYLVHSMEEDPTSCSVM >OGLUM11G21510.1 pep chromosome:ALNU02000000:11:25357282:25357788:-1 gene:OGLUM11G21510 transcript:OGLUM11G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINYLFHDSLVDLIYRYVAFHDKGYDIWKCLQFGLVKMLQFGLWKCFGYQLAAMVLRPTYMSVSTRTTATNEVLDRSCCADNHARCYSDSTLILSERVAHSKSATATVGGGRGRRELAVIDGTLRRKGSPCAYTTATTTSNSTFA >OGLUM11G21520.1 pep chromosome:ALNU02000000:11:25357806:25364670:-1 gene:OGLUM11G21520 transcript:OGLUM11G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPSLRHKHIICLYGCCVLEQEKRQLLPPFRKMKKVEERLIIYEYTNNGSLHDQPARYAAASVVLVVAGEGMRIETLLGVSRAIEYLQSYNERPVIHCDINSSNILFDAAWAPRLADFGASDPEVMRRPEVRRPLAGLGDTLREAHELVMSCQGMTMVGSTRSYLLVFPFVSHIYITRRLDRIYNILLPNDMSTMPSPSSASHSHQVELQENVVLHGDEGEKFTFAELATATNNFAADREIGKGGFGTVYIGYLLPDGRDEVAIKRTHKDETYGTTAKEFMAELRAGTGEAPASSTVPEDEEGGGAPDRLRVHQQRLASRPPARYNAAAVVLVVAGEDVMELEDAHRDTAGRVASHRIPVVLQRATGDHPPRHQLVKHAVRRHLGATLWRLADFGASVRCDHSTRSVPADAFYGKFGYIDLEYATTAIAKPTIDVYSFGVVMLEVLTGMRALFYQEEDVHKVFDCSEEDRNAIPAVLAEVTPPFIEVGEVLDRRPTPEPTARQLEAAELVAQTAARCMRLQGEDRPAISEVVASLEAALELARCDG >OGLUM11G21520.2 pep chromosome:ALNU02000000:11:25364678:25365511:-1 gene:OGLUM11G21520 transcript:OGLUM11G21520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGLGAGGDGGAAGRGGPLTAQKNKECEQLARRVFMIAELLPHLQDPEVMRRLEIRRPLAGLGDTLREAHARACDGMTMVYRLVTAGRQADKFREVQSRIDSYLLVFPFISHIYISRRLDQIYNILLPNDMSTMLSPSSGSHSHEVELQGKVVLHGDEGEKFTFEELAAATNNFAADREIGKGGFSTVYIGYHLRDWREVAIKRMHKDETYGIRDNG >OGLUM11G21530.1 pep chromosome:ALNU02000000:11:25376621:25379866:1 gene:OGLUM11G21530 transcript:OGLUM11G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLQWDGMERVATITQLTGVDALGLISTILQAAQAVRRNKETCQELVQEISLIRDLPRMLQDPEMMCREEIVNALSGLEGDAQGSACPCHFLQGLLSHVPYLHGLEASRPVPARQEEDRQAPPFLPHDQPCRPNPSSRKTCQQCCFVNMLIPGFHNCNDAQEVPASSSISHSNPEARSDSSYVIFVLLPAADATKAHIVPRGIELFTLAAVATATMNFSPDRKIGAGSFGNVYKGMLPDGREVTIKRKWADSSARGMEAFHAEVIVLSLLHHKHIIRLVGCCVMDKEEHRSLFRQKKMLEERILIFEYMKNGSLFDHLHGPSTSSSSSSYSPVVSSWKTRIEILLGVSRAIEYLHSYAVPPVIHRDIKPSNILLGSSWSPRLSGFDLASGCSPEGRENSITTAVVGTIGYIDPGYLRTGQVRLASDVYSFGVVMLVVLHSKEEHGDGDGPMDLVSHALPLIDAGQLLHLLDRWPAEEPTPRQLEAADLVARTAAHCLQENGDDRPTMSDVVTRLQAALELVRCDDE >OGLUM11G21540.1 pep chromosome:ALNU02000000:11:25384261:25385705:1 gene:OGLUM11G21540 transcript:OGLUM11G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSVSTIVRIAQEIAGAVSTVSRNRSRCRKLAKRVECIGDLLRELESSSAAAAGAGDDDDEATRRLLAVLEDALHRALELVTSCQDSGCPRSLIAGGRMAGLFDEVDGDIDRCLLELGVANRILITRLEGLLHRNALRSCDLAPPSSPSPATIGTETETTVTVRIGMPRVEHIEHRVHTVQGATNVASVVASSKDHLTDKSSAAPRGSVGVTDVAAVVASNRDKFTVKSSTATRGNAGVTGVAPVIASDKDKFTMRSGTATRGNTGVANVAPHAASNKNKFTTIRSDAATRGNARVTNVAPAAASNNNKFTVRSSGATRGNAGVTNVAAVVGSSKNQFTARNGATSVATLLQEPPSNGYGYWPYADEEGLTTGESYSDTAGGGRFDAVAAAATYYYYFPPFQHMFNEEDPTNACTIL >OGLUM11G21550.1 pep chromosome:ALNU02000000:11:25387043:25391965:-1 gene:OGLUM11G21550 transcript:OGLUM11G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVMGFCPYIQDLRRVLTGAVLEAEDILDDVEYHRLERQISSQPDDNLESNGRPPRRRNLVNMLQSALPKSVRLKHQLATNVPRLTVFLFPATTATGDTNVLGNNYVQIQAICNNPSLIVSGNMVLETQLEGDRPSDDAPPDMSKLELKKILKKIENIINDGHKILQLLNLPSHSNINKRQTVSDNSHIAVTTASPPFVVIGRDEDRDKILGLLHETENDGQHEPSRALSRSIIGIHGIPGSGKSTLAQYVCAHEKRDRQEKKAGHFDLIMWVRVSRNFSVDKIFSKMLEEATGNSCPQFNSLNTLEQKLEQALSRKRFLLVLDDVWYNKDDSQEDLQKILFPLKMNAIRMIFRAIGSDIAKKLKRSPLAARIVGGQLHMRPTIDFWKDARNQNLLNETMGALWWSYQHLDQQVRRCFAYCSIFPRRHRLERHELINLWVAEGFITTTEVGLEMEAVGRKYFEELVSVSFLQLGEKQAGRFGASEYFTVHDLLHDLAEKVARNDCFRVENGWTGDLPGDVRHLYIESYNKTLITEKIFERMFMRLRKLRVLSVKIITGSHVFSFPESIGQLRHLRHLCFRTTLIRQVLPSTIAKLRYMHVLDFGVCGDLVFPSGEDMSNLINLQHIIAMADLNCPNIGMLTSLQTLPLFPVKKEPGYELQQLRHLNKLRGKLHIHGLENIGSKEEALEAKLDGKERLKELVLVWDDESCSPEVEAEVLDGLCPPLELEKLEITDYHSLSYPDWMIGGHKGPKYLRDLELSGCSRLRPAPELFEFFVHLRSLWLWKSSWTFLPDNLKQLMSLHELKMYFCLNIQSLPELPQSLEEFGLGACDDEFMSCLIRHIGMYAKIAPERGVLYIDSVDNVRSKGGCSSSQEGYLKRYVLRSFVLACREEAPRLWRRDRSGRSQTRRCTRFRSGIASNRWGAAARASPSAEVEGEAGARELGDRRGVRGTRGGHGAEAAVERRRRRWGEAVSSSGRPMRRLGKGGSRQT >OGLUM11G21560.1 pep chromosome:ALNU02000000:11:25425737:25439878:1 gene:OGLUM11G21560 transcript:OGLUM11G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPPPPPPHGPVVVVPPPPYRRRLEIIDLPQGAAAPTTPTPGKWQLPRVPIAFIALYFIVLVEGKSNRRIRRVVRKENIISQGKVLQAFDNALQKHLNPIHRSLESLTERIDTLTHEVGQIKQSNFNHHANEHYRSEANQDAAGFAHYGEAVELRFLNKLKPRLYTQEKIRAEDGTAIQIALFKDNQIVESGPLSSARIEFVALEGDFNDVAPENWTECMFNQNIARSPKGPVLGGVCQIKLKNGEASPSGISFVVPSSKSRSGMFILAARVRSSDKAGFRIMEALMNPVEVQVYRNKANKNSDTPKLKDDECFKLKKNSKDWKEIVKHARKCDLEGNCNLKSYRDAVNSLKKQAYDALDDFAFDDKMKDNYPVSLSSAMNTSITDGDASIPLDRAGTNPPDLHVTSQDEGYRAGAVAQIFGGFSEVDIPIGCYTGQASEGTSSGGSALIGLTNVLQNVSDDINIAELIDSDIDPYHI >OGLUM11G21570.1 pep chromosome:ALNU02000000:11:25440214:25444512:1 gene:OGLUM11G21570 transcript:OGLUM11G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKSRVETVRQNKEDCELLAERADMILDLLRRVQASKVIEDPDMWKPTEGLKSTLRRAAAIVKSCQEEWSYAYRFCKGGRIARELRKVLKDLKFYILHLIGMITIINHDQNTRYYYIPETDVVKPQLKPPFHDSEVCSNNMRGNDATASNAGKPVALEETGLKKFTLSELEVATDNFSLEKQIGIGAFSIVYKGQLNEMPEVAVKRASYVNRIPFDQLENEAKIISKLQHTNIVKLLGYCSQEREKILVFEYMPGRSLDSFITGERAEELPLDWSKRSQIVKGIADGAVYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPPSTLELSDESRDFGPLNKWAWELWRGGNLMEFIDPSLRDETHSAAEIQRWVQIALLCVQRGPEERPDMWDVVLMLSSDSTVILPKPSRPAYY >OGLUM11G21570.2 pep chromosome:ALNU02000000:11:25440975:25444512:1 gene:OGLUM11G21570 transcript:OGLUM11G21570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEVCCCLGLAANVAQLAGLDVITLVKVIKSRVETVRQNKEDCELLAERADMILDLLRRVQASKVIEDPDMWKPTEGLKSTLRRAAAIVKSCQEEWSYAYRFCKGGRIARELRKVLKDLKFYILHLIGMITIINHDQNTRYYYIPETDVVKPQLKPPFHDSEVCSNNMRGNDATASNAGKPVALEETGLKKFTLSELEVATDNFSLEKQIGIGAFSIVYKGQLNEMPEVAVKRASYVNRIPFDQLENEAKIISKLQHTNIVKLLGYCSQEREKILVFEYMPGRSLDSFITGERAEELPLDWSKRSQIVKGIADGAVYLHKQCEPRIIHGDLKPGNILLDAALKPKICDFGTSKALRPGQDMDCTGIVVGSRGYMAPEYKQGGCVSLKTDVYSFGATLLEIIRGSRIPPSTLELSDESRDFGPLNKWAWELWRGGNLMEFIDPSLRDETHSAAEIQRWVQIALLCVQRGPEERPDMWDVVLMLSSDSTVILPKPSRPAYY >OGLUM11G21580.1 pep chromosome:ALNU02000000:11:25447683:25453293:1 gene:OGLUM11G21580 transcript:OGLUM11G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHPKRPPPAQPPDGLALVTTSKRQHLEAAGIGGGAVAPTSPAGKRQLRPSMLVLFFVAQVYGIDPIREENAISQRRTLEVFQKSLDNACQNLVKHINCSLQSLTERTDILCHEVEQLKRSNSNQRSRSEANREHAAIIEEVNQEQTVVRFATSEAHEGQGVELRFLNKLNEDAVVYTKDKITADDGNDIKIAIFKDNQIVRSGQLSSARIQILALHGNFNDHVPENWTEDQFDERIVKNTKSPVLGGVCQQVKLKNGEASLSDVYFDIPSGKTESGKLILAAKVHCSDRTGLRIKEAVTNPVKVQVHRNKHNRNSDRPKLKDEVYRLKGISRTGGRFEWLKNNQIYTVEDFLKALNKNEEKIRTECFKLKNNSKSKDWKDTVKHARECDLEGNCKLKSYSVKEQNVALFFNCVHDLVGAKFYHHYVTKDDFNSDQQDAVICLKQQAYDVLDGIHFDHKMEENGPVSLYSAMNTSIIGGDASVPFTDTAGQNPPNFHVAYPEVETAHHANIYQAHELHQAFRNNNNAFVRTSFQEQIPCEQYGLPAFQGNQADAVTQMDPGYPRNIAQTSEAPLGIPEGTPGGNNIIPTDNVPLPRNVSDHIYGELKDIVDFMSEPF >OGLUM11G21590.1 pep chromosome:ALNU02000000:11:25458062:25461968:1 gene:OGLUM11G21590 transcript:OGLUM11G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEVCCCLGFAANVAQLAGLDVARLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLCGACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVLAPALGLPAQYFKYNDRNDRGETLGISGKAQLVTEPSSVNEPVLHNIVEETSAEKKLSRCCWWHPWKSIATSSNTGMLVAQKEIGLKRFALSQLEVATDNFSLENQIGVGAFSIVYQGRLNEGLEVAVKRASYVDKIPFHQLENELDLIPKLQHTNIVKLLGYCTRKRERILVFEYMPNRSLDSFITGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEMKRWVQIALLCVQQSPEERPSMWDVLLMLSCDSVILPEPKLPAYYC >OGLUM11G21590.2 pep chromosome:ALNU02000000:11:25458062:25461968:1 gene:OGLUM11G21590 transcript:OGLUM11G21590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIIEVCCCLGFAANVAQLAGLDVARLVKEIKERVQTVSQNKEDCELLAERAELILDLLGRLQKSKVIEDPDMWKPTERLRSTLCGACEVIEFCRERSCTYRFCKSDHTAKELRKVLKALKFCVTHLTALATIINGDQTTRYFLVQQTPDVVQLQDGVLAPALGLPAQYFKYNDRNDRGETLGISGKAQLVTEPSSVNEPGLKRFALSQLEVATDNFSLENQIGVGAFSIVYQGRLNEGLEVAVKRASYVDKIPFHQLENELDLIPKLQHTNIVKLLGYCTRKRERILVFEYMPNRSLDSFITGERATKEPLDWPKRSQIVRGIAQGAVYLHKLCEPRIIHGDLKPGNILLDASLKPKICDFGISKALKADADKDCTGVVVGSRGFMAPEYKQGGCLSLQTDVYSFGATLLQIIRGKHISPSSLALSDESRNYGPLNKWAWNLWKDGNLMELIDPSLHDETHAAEMKRWVQIALLCVQQSPEERPSMWDVLLMLSCDSVILPEPKLPAYYC >OGLUM11G21600.1 pep chromosome:ALNU02000000:11:25464221:25483968:1 gene:OGLUM11G21600 transcript:OGLUM11G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKREPPPAPAHGAPPPKRLQIDAFRSGAEAFAQRAPTSSPVKRQLRRGMLVLFFVSVAQVKEERRNKNKNNARPARVAIHEESSTSQRKAPEDDAFQRGIMKAFDNALQKHLNPIYCSLQHLTKQTGTLSERIDTLSHEVGQIKRLISDRDTNERYRSEANQENAAVTDEVNQEQTALRFAANEVHEDQGVELRFLNKLKDHLVYTNDKITAEDGTAIKIAIFRDNKIVTAGQLSSARIEILVLHDKFYDAAPDNWTACEFDAHIVSSSKGAVLGGVLRVKLKNGEASLSDVSFNMPSSKTGSKKLILAARVLSSDKAGLQIKEAVMNQPVEVQVNRNKSNKKSNCPKLKDEVHRLKGISGKGERAKWLKNNGIHTVADFKKALNKDEEKICTECFKLNKDNKLWKDTIKHAKQCDLEGNCKLKLYRAEEQHVVLFFNCVHDLVGAKFRDHYVAKDNFSSDQQDAVNRLKKQAYDELDSIGFDHEMKNNYPVMTLSDDAYTPFTDTAQNPPDLHVTFQGAEIYHAHELPQAFPNNNNGSGQHFLHGYQGAMTQMDHDYAQFEIADMQCYTAQAPEGTSYGGNNMIGPANVPQNVIGDGSMDMFGCYAYIFPDNENQNERPRSSAYPGPECFNMKKDNMLWKATVEHAEQCDLEGNCKLKSYGVEEKDVILFFNCVHDLVGAKFCGRYVAKDNFNSDEQDEVNRLKIQAYDELDNIVFDHEMKDNYPVPLSSTLNTGINPPDLHVTCQVQDTAAVETAHHATFADANQLPQTFLNNNSACGFIIGSEQIPTTSGGNNLTGLMNMDENVSDDSVDAFALAKQRGPCTKLRGTDGPAHQITLKRKNRRSCRLPAVRRRHRRRATDKASPPQNQSGIDKVLALPVAGEVAAGFDFPGTHE >OGLUM11G21620.1 pep chromosome:ALNU02000000:11:25498457:25501079:1 gene:OGLUM11G21620 transcript:OGLUM11G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFEAEDDNDTDNDYIPGDESESDEGEEAESIKKQYKQLKKKIKAGQANILDDVAFEGYKTNPVMQDGAEEGGNEEVDSDESIEEIGSDGEVTTRASNYARIKDQIANSNRWNTVICPGILKKLNVYIAESAFCHAISNGAEAYEVKHHEHRFTVQLDKKECSCRYWQLSGLPCPHAIACIFYKTSQLDGYISDCYLVETFKKIYAHFLQPLEGMSSWPEDDRQPLNAPGYIKMPGRPKTERRREAHEPAKATRASKIGTIIRCRKCKQVGHNRSTCDKHNGEGSTTSRPQQVPNPDQYIVLSNTPHISTQSRKRKSVASATISAASMSKTKIPSNQKALQVVRVNATARVATHQGGSTTVNLQAIGSTSASVQIKSGKASVSVSAQEPGNGKGKKPTPGPLLLIPPWESAKL >OGLUM11G21630.1 pep chromosome:ALNU02000000:11:25502477:25506971:1 gene:OGLUM11G21630 transcript:OGLUM11G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKNDIRKNPLFRQQFHEMCAKVGVDPLASKKGAWAELLGIGDFYYELGVQIVDICIATRATNGGLIDLLDLRKLLCQKRKADLGSLTSDDCLRAIIISVGKKKLVRSVPTELNKDHNGILELAHAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTAGGDSSSPTPAAAADSSSPSLDLIPYIARRLTSLEDFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRPGPATVQHCHPGDALWRVASAPAPHVFDELISVNGTLYALVGLRLATLELSESSLELSFLGKVLLISVEHEERVVYRVFRWERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGRDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGSPPLNK >OGLUM11G21630.2 pep chromosome:ALNU02000000:11:25502477:25506971:1 gene:OGLUM11G21630 transcript:OGLUM11G21630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRTQLEEFALKHKVLGSGFEVISVGKKKLVRSVPTELNKDHNGILELAHAEGFVTVEQVKRKFSWSTGRAIDVLETLLKEGLAMIDDGHRDGKRRYWFPCATLSSDSIGADAKGEAPAPPLTAGGDSSSPTPAAAADSSSPSLDLIPYIARRLTSLEDFFSLRASYLPASRRLLASQSPLLLVSLYPSFAEALFHPRLRRLHRFRLPWGHHLPPSRYTLLYAHGFLVTATHRRQQLPAKAPPPPPLHRRAAPPPQGLRALLPRHPHGGPPRPGPATVQHCHPGDALWRVASAPAPHVFDELISVNGTLYALVGLRLATLELSESSLELSFLGKVLLISVEHEERVVYRVFRWERKWEMITNLGGRSLFLGLDGFAACVDEDHPGVGRDCLYAAGRRLGEWHEYSLADGTCDVCNADYPGSPPLNK >OGLUM11G21640.1 pep chromosome:ALNU02000000:11:25509941:25510495:1 gene:OGLUM11G21640 transcript:OGLUM11G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVGYASSARGLIKMIMAAVQTAKRNKKQCRELEERVRMVSAVLSRHRRHELQPSTTETTMAARLPPGAREAVDGLDGVLREAHELAVAFSQSGGGGKKTMRRRLVCWARRVVGARRDAERLASVLSRIDFYLSLYPAIAHADTACRVDRLLWTTTVNVVVSAAVAFAGFVVVSISMVSRKK >OGLUM11G21650.1 pep chromosome:ALNU02000000:11:25510891:25516280:1 gene:OGLUM11G21650 transcript:OGLUM11G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDDKVMNGRISILEELQNIATKKKYLKLTVGELGCGRSKRLAPRLLVARLLPGWNTLLHTLLPRGVVVVAVVGDEDDGVTGVVGVVGIDCVRLYDEPPLASPSPSKYSGTNGTLRPSRVQILTLNPLPTRITPFIS >OGLUM11G21660.1 pep chromosome:ALNU02000000:11:25513065:25514220:-1 gene:OGLUM11G21660 transcript:OGLUM11G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKDGDGEASGGSSYKRTQSMPTTPTTPVTPSSSSPTTATTTTPRGSNVWRSVFHPGSNLATKSLGANLFDRPQPNSPTVYDWLYSDETRSSHR >OGLUM11G21660.2 pep chromosome:ALNU02000000:11:25512989:25514220:-1 gene:OGLUM11G21660 transcript:OGLUM11G21660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKLWDDVVAGPRPETGLEKLRKAATTRPLVINKGCTATRPGAAIAELNNPIDRRLVDLSVTPCLLVLVVI >OGLUM11G21670.1 pep chromosome:ALNU02000000:11:25517533:25518366:1 gene:OGLUM11G21670 transcript:OGLUM11G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLSLISGVTTIVKLANDIDGAVKAASRSKKSCETLAERVADIGDLLKGVDTSSPSTAAVATRRLVGRLERALRRALLLVTSCQSTSRIYSLVAGGWQAEQFDKVNAEIDRWLLDLSLSSLALVSSIDHKLKADAAAAAATAGEPNTEVAPPTPPMQCRHGHDKRAAGGAAEKNGELICYGGEQDGKTETDAGEVTTTTTTIGVPAATAAYMHYELSPPPPPPCYGYHLHYCHCTHGHCHCAGGRHYSPSYYSDDSGDVRLRHMFSDENPNACSIM >OGLUM11G21680.1 pep chromosome:ALNU02000000:11:25520544:25521407:1 gene:OGLUM11G21680 transcript:OGLUM11G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSLVSNVTSIVSIAADIAGAAKTVRQNKKRCQRLAERVGDIGELTKDLAVDGGGSSSSSSSPSPAAAATRRLVVKLEEALGSALLLVRSCQASSRRTYYSLVAGGWQYAEQFDEVNAEIDRCLRDLTVAIVSRIDRKLNAAADTNTDIVDVDVDVVPDDANIGTRDGGADQVDDKDNNGELIVYHGEQDGKSNSGDDVVGVHHQLSPPPPPPPPYYGYYLYYWQCTDGLAGGYHQQRGGHYCHCAAGDGHGHYYSPSSCPWYSDRVDSIRQMFSDDNPNSCSIA >OGLUM11G21690.1 pep chromosome:ALNU02000000:11:25527192:25534035:1 gene:OGLUM11G21690 transcript:OGLUM11G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDLHIANDIAAVLFPATERVLGRADDLVLLVESLPAKLTARSTASRPSSPAPNSRTWRSSRRTTAVVATPCMATRLPTVDGKGEAATPAKRADVSGGQECGVEDVQRVETPAAEITIDTEIVKNKDQERGGSEREEEEAFAMAGTGSREEALLVLFDTAWQQGNLLTGGSGGTAGALALTSGRGGSGRQATPPDSAIQVSNERKMALVAQAATVAQFAGVDAYGLIKMIAEAAQTVRRNRATCLQLARRVKMIGDLLEQLHGAQLMQQPETRNPVEQLEETLRRAYLLVRSCQGRSYVYRCFMGGRHADELREVQSEITFYLQLFPIVSYVATLNWVRHLNKADPSCKEAPLVRPYFVPFVILSWDGSCLAVVQKVHLGIVYTWVGEAVADTVESVHELYTRQKKLYYMNNSTLRPSFLN >OGLUM11G21690.2 pep chromosome:ALNU02000000:11:25523904:25527384:1 gene:OGLUM11G21690 transcript:OGLUM11G21690.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTVMERPETSAPLRELQETLRRAHELVESCRRRGSYPRRLFAGKEQGESLREVRSKISIYLQLFPIICHIDGTRLLVRVIADGAAAAARSPRPEDEEDEVLMSLTNRPNPQARVEKFSYSQLVHATNDFSLDSQLEQGTLATLYKGKLHGNDVTIKRLSVLTSGQRLPECMSENELFKNEIKILPELQHKNVAKLVGFCTERRERTTVYECMQNGSLENLISNFVDELIVAILQTFAGAATARSILDWPTRFRIIEGIAQGLAYLHNYSRVRIIHRDLKPSNILLDSDMNPKISNFELAEMLSSGTDEQKTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVMVLEIISGKRWTQPNHRRFHKDLLTWAWVRSSCCGARVARRLKELVDPPLHAASFRGVALPRCLSLPARRRALSQQREMRRCVRAALLCIQESPKRRPDMPEVVHMLRPRGKATPPLPGRSRFTAARASSLHGGEKSS >OGLUM11G21690.3 pep chromosome:ALNU02000000:11:25523904:25527384:1 gene:OGLUM11G21690 transcript:OGLUM11G21690.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAVSMAANIAQLTGVDALGLITMIVEAAKAARRNKRTCLELARLVEQVGDLLRALQEQPGVTVMERPETSAPLRELQETLRRAHELVESCRRRGSYPRRLFAGKEQGESLREVRSKISIYLQLFPIICHIDGTRLLVRVIADGAAAAARSPRPEDEEDEVLMSLTNRPNPQARVEKFSYSQLVHATNDFSLDSQLEQGTLATLYKGKLHGNDVTIKRLSVLTSGQRLPECMSENELFKNEIKILPELQHKNVAKLVGFCTERRERTTVYECMQNGSLENPSNILLDSDMNPKISNFELAEMLSSGTDEQKTDNVVGSIGFSAPEYMHKGIFSVKTDVYSFGVMVLEIISGKRWTQPNHRRFHKDLLTWAWVRSSCCGARVARRLKELVDPPLHAASFRGVALPRCLSLPARRRALSQQREMRRCVRAALLCIQESPKRRPDMPEVVHMLRPRGKATPPLPGRSRFTAARASSLHGGEKSS >OGLUM11G21700.1 pep chromosome:ALNU02000000:11:25524700:25529035:-1 gene:OGLUM11G21700 transcript:OGLUM11G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRRSGRRRRASAAVGKAAAGERGRGQSSGGRARRSGRQWMGWGAPPCSAATTAVPQRVPHGAGDEGLEAVDRAVSLAGRDSTRRTRSSALPRTRSVAGKSTAAMSWTTRSCLDSQPINPVSCIAKLLFSPPWSELALAAVNRDRPGSGGVAFPLGRSMWTTSGMSGRRLGLSWMQSSAARTHRRISRCCDSARRLAGSERQRGSATPRNDAACSGGSTSSFSLRATRAPQHDDLTHACTQGHGYIHGMAKVPCSS >OGLUM11G21710.1 pep chromosome:ALNU02000000:11:25535316:25541427:-1 gene:OGLUM11G21710 transcript:OGLUM11G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPEGEEAVVATAAVVEDVLRGNGDGGGGGVGEVVGVGRSIDMEWRKAEEAAIRRYEAANWLRRVVGVVCGKDLAEEPSEEEFRLGLRNGIVLCNALNKVQPGSVPKVVEAPSDSADGAALCAYQYFENVRNFLIGLQDLGLPTFEASDLEKGGKGVRVVDCVLSLRSFSESKQVGRSAPLKYGGILKPSMSGKHFIRKNSEPFVKTMVRSYSAELLRDGVSLEQSLGLDFSLEHVERTTPDSIRMLVQTMLSDKKPEEIPSLVESLLSRVIHEFERRTANQNESVKHALDPNDDKLLSRADTPPEMESTCTCSTGNMDEEDHTSVSMKEEVSTAVLVNGENVVEHIQAKQTDKYFDQQQKHIKDLKSNLATMKSGMEHIKLQYSEDLDKLGKHVHTLSHAASGYHKGILEYIVEYDLSYLESVAGLEDRTITVMTPSKHGKDARKSFTFNRVFADMQPLIRSVLDGYNVCIFAYGQTGSGKTFTMVPNLSDSGPKVLTEEGLGVNYRALNDLFNIQAQRKDTFCYEISVQMIEIYNEQVRDLLQNETVDIKNSSQKGIAVPDANIVPVTSTSDVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSRTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEPDAIGESISTLKFAERVATVELGAAKSNKEGGEVKELKEQIACLKAALAKKDGETESIRSTQSSPDIYRMRMGSAPPAFRNPMEEVGNLETRSNGTPRQKKRNFELPDVENDTSSWLDTSSQKEAALGEWVNNSQFGSSNSLLELGPDATQDVVFYQRNSPEPQWSWAGSVATEDSDDFEVTTSCSSEQDMVRPTSAPKAPGSANGSASIARKAQPKGAKSTDIRSTNPAKRAAPLQKKINGPPSASIKNGKQSSLSAADGKRAPNGKVSAKK >OGLUM11G21720.1 pep chromosome:ALNU02000000:11:25545501:25549900:-1 gene:OGLUM11G21720 transcript:OGLUM11G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase l1 [Source:Projected from Arabidopsis thaliana (AT3G05740) TAIR;Acc:AT3G05740] MAGYEREKKRLLDLAADSGFERDLAADCLDRIVRLYGEGGQGFITVENCGDDFLGALADATNNNDDWDDLNAIENEACGNLNGMMKHGVIDDKEVEVRTPLFRQAESSDRQTRNNLDSFGFSSDDDFETLESHCDRSVSTQKKVNRGNNRCESSTSTSNRETLSYQQLYSLDDINFANLVIFGNKSFRPLQYEACRAAVSNMDTFVLMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIEDQIVALNFKFAIPAAFLNSQQTPSQSSAVIQELRSGKPSFKLLYVTPERMAGNSSFIGILIGLHQRGLLARFVIDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATASVCKDILSTLRIPNATVLKRSFDRINLNYEVIGKTKTPQKQLGDLLKERFMNMSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRSNVQGKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNLPAHCIVLYQKKDLSRIVCMLRNSGNFKSESFKVAMEQAKKMQTYCELKTECRRQTLLGHFGEQYDRQRCKHGCSPCDNCIKIPS >OGLUM11G21730.1 pep chromosome:ALNU02000000:11:25557335:25559622:-1 gene:OGLUM11G21730 transcript:OGLUM11G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRRSPCRYGRSSAVVCASQFFSSPVVVSRASEEEICCVYYSPTTLAAWFAEAHPSS >OGLUM11G21740.1 pep chromosome:ALNU02000000:11:25558658:25559707:1 gene:OGLUM11G21740 transcript:OGLUM11G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADHAATAIAIPGDPLAGGGGGVDSACSTPFVSAPSSPSREREREHHFASSHGACFFSAPASPTRGGGGGCLGEFDFDFSSRFPSPSAAAMSSADELFHNGQIRAVRLSAMLLQPQPLAPLVDGDGRASHLAEEEDAAAVEEDGAEADERGRIRSRSVRRKARSMSPFRTRWRAPSPAPAPESAEEVEAVATPAASRSSSSSSTASSASSTSSRGSRRWAFLKDLLHRSKSDGGKNHHHHDTAPPQPQSNTTTAPKRSPSSSPSPAAAASARGGRGAATGRSRRRSAHERLYEARRAEAEEMRRRTYLPYRQGLLLFGCIGLGSRGYGAVHGLARGLNAAAAVSSRS >OGLUM11G21750.1 pep chromosome:ALNU02000000:11:25565634:25567181:-1 gene:OGLUM11G21750 transcript:OGLUM11G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G09190) TAIR;Acc:AT1G09190] MPGDGEPPALSAAVTTPDGWHPRTAERRLLHLLHHSPPARRRPLELLAFAVRHCLPSSPPSPHHHSLAALLLLSSPPPPALPLLRLVPPHAPPPLPLLNAAIRALSASSPPLAFRLLSSLRRLHAPDRLSFLPLLGCVSSLSLLSAVHALLLRLGFLSHHAISLALLKPYPLHYARVLFDEMPQRNKCTVAYNTLITACFRADDLGAARHLFDEMQRYKRSRRSVVSWNVMIAGCAWCKKDDIAVLCFERMVREGEVAPDDGTLAAVLPVCGRLGNVGVGRWAHEHARKSGLLDRKVHVANAVMDMYCKCGDVHSAREVFKGMRQRSVVSWNTMISGFSLNGHGIEGIELYREMRTHGEMPNEVTFLGVLGCCAHAGAVDVGRDIFQSMQLEHGIEPVIEHYGCMVDLLGRSGLLEEAYALIQGMPMRPNAAIWGALLSACHAHSGISIAEVALKELINLEPWNSGNYVLLANLYAETGRWEEAGDARRLMRRMSVQKAPGQSLIEETEFQFTNT >OGLUM11G21760.1 pep chromosome:ALNU02000000:11:25567757:25569998:-1 gene:OGLUM11G21760 transcript:OGLUM11G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAARFLTAVSFLAAGVLFAPDALLGGSGRSGAGVAAARLAHVLCFATAWGAALWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISVCSAISVAAFAYLHPWKTASTIERYQLGFLISALGFDLSNLLVFTPMTTEMMMRRHKIEKDLGIGSEVGFSKNAEVAKTSPTLAAMNKKFGMIHGLSSLANIMAFGSLAMHSWYLASKLQI >OGLUM11G21770.1 pep chromosome:ALNU02000000:11:25571280:25577738:-1 gene:OGLUM11G21770 transcript:OGLUM11G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLLPLLVLAGAAAGGGVVPVAARSAFACAPGGPAASLPFCRRSLPARARARDLVARLTRAEKVRLLVNNAAGVPRLGVAGYEWWSEALHGVSDTGPGVRFGGAFPGATAFPQVIGTAASFNATLWELIGQAVSDEGRAMYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVAARYAAAYVRGLQQQQQPSGRLKLAACCKHFTAYDLDNWSGTDRFHFNAVVTRQDLEDTFNVPFRSCVADGRAASVMCSYNQVNGVPTCADAAFLRGTIRRRWGLAGYIVSDCDSVDVFYSDQHYTRTREDAVAATLRAGLDLDCGPFLAQYTEGAVAQGKVGDGDIDAAVTNTVTVQMRLGMFDGDPAAQPFGHLGPQHVCTAAHQELAVEAARQGIVLLKNDGRALPLSPATARRAVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVARYAARAAHQPGCTDVACAGSGQPIAAAVDAARRADATIVVAGLDQKIEAEGLDRASLLLPGRQAELISSVAKASKGPVILVLMSGGPIDIGFAQNDPKIAGILWAGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPQDYLQKVPMTNMAMRANPAKGYPGRTYRFYTGPTIHPFGHGLSYTSFTHSLAHAPSQLTVRLSAAASSTASASLNDTARLSRAAAVRVAHARCEELRMPVHVDVKNVGERDGAHTVLVYAAAAPPSEARDGSGAPVRQLVAFEKVHVAAGGTARVEMGIDVCDGLSVADRNGVRRIPVGEHRLIIGELTHTVTIALEQLGV >OGLUM11G21780.1 pep chromosome:ALNU02000000:11:25581545:25649792:-1 gene:OGLUM11G21780 transcript:OGLUM11G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSGGGGGAGGWAAGSDRDPREEKLERSMGPFAATAPGVDTAASSSSSSMYDLLANNVGLKYVCVGVLAVGESNLAAAAPSSVVAGAPVHHLLQLSPPLSQLPGGEELCVRQQGGRKEGEKLSSALRQKKIIKCQQRREQRGERKKKLVTCSLQFGIEQKQNKFHETEGGGDAGLSTASIATFVAVASAGKPAMDGSGQS >OGLUM11G21780.2 pep chromosome:ALNU02000000:11:25581622:25649792:-1 gene:OGLUM11G21780 transcript:OGLUM11G21780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGSGGGGGAGGWAAGSDRDPREEKLERSMGPFAATAPGVDTAASSSSSSMYDLLANNVGLKTNSMRLKVVETLA >OGLUM11G21790.1 pep chromosome:ALNU02000000:11:25588331:25589042:1 gene:OGLUM11G21790 transcript:OGLUM11G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHSAGGVHPCALGHHWRPDIAPHKATTKGEEGKTLFFVCYNPICGHRWREWHCYKLDRLMCSSRSRRSSLRGDASAPPPPPAPAATTLFSSPPPRHRPSGPPESWLAARIVAVGLLLPQNSVGWGTRLAPMVEEAGSGEGTPAASCQPLQGVEGRRDGHRRTVGNVE >OGLUM11G21800.1 pep chromosome:ALNU02000000:11:25599508:25606862:1 gene:OGLUM11G21800 transcript:OGLUM11G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVQYKVRTWKTSYEKTLRVDNSFFKRFPYLRVLDLTDSFVPSIPGCIGNLIHLRLLDLDGTNVSCLPESIGNLKNLQILNLERCVALHSLPSAITQLCNLRRLGLNNTPIDQVPKGIGKLEFLNDVEGFPVYGGSSNTKMNDGWNLEELAYLYQLRRLHMIKLERAAYRTTYPLLTDKGFLKFLYLWCTERTDEPYTVKDFSNIEKIFAQLIPPCNLEDLAIVKFFGRQYPFWIDSTHLAYVKSLHLFNCKFCMHLPPVGQLPNLKYLKIEGAAAVTMIGPEFAGRRASNLGRTVAFPKLEELLIRDMPNWEEWFFIDEATSTAKERVDDGDSAMPKEKALPPRMQILSRLRRLELSGCPMLEALPRQLAQINSLKEIELRWLDEYQSLYLNTYRVNSMVSEQAQRGERKA >OGLUM11G21810.1 pep chromosome:ALNU02000000:11:25621972:25631112:1 gene:OGLUM11G21810 transcript:OGLUM11G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSAVHNWVSWLKDAMYDADDIIDLASFEGSKLLNGHSSSPRKTTACGGLSPLSCFSNIQVRHEIGDKIRSLNRKLEEIAKDKIFATLENTQSSHTVSTSESRKSSQIVEPNLVGKEILKVSRNLVCHVLAHKEKKAYKLAIIGTGGIGKTTLAQKVFNDQKLKRSFDKHAWICVSQDYSPASVLGQLLRTIDVQYKQEESVGELQSKLESAIKDKSYFLVLDDVWQSDVWTNLLRTPLHAATSGIILITTRQDIVAREIGVEEPHRVDLMSPAVGWELLWKSINIEDEKEVQNLRDTGIEIVQKCGGLPLAIKVIARVLASKDKTENEWRRILANNIWSMAKLPKEIRGALYLSYDDLPQHLKQCFLYCIVFPEDWTIHRDYLIRMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVNTSFDKSQCKMHDLLRQLACYISREECYIGDPTSMVDNNMCKLRRILVITEKDMVVIPSMGKEEIKLRTFRAQQNPLGIEKTFFMRFMYLRVLDLSDLLVEKIPDCIGHLIHLRLLDLDGTSISCLPESIGALKNLQMLHLQRCESLYSLPSSITRLCNLRRLGLDDSPIDQVPRGIGRLEFLNDLEGFPISGGSDNAKMQDGWNLQELAHLSQLRRLDLNKLERATPWSSTDALLLTDKKHLKDLNLCCTKPTDEEYSEKGISNVEMIFEQLTPPRNLEDLMIVSFFGRRFPTWLGTTHLPSVKSLFLANCKSCLQLPPIGQLPNLNYLKIIGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEVLVIKDMPNWEEWSFVEEEEEEEVQEEEEAAAAAKEGGEDGTAASKPKGEEALSLTPRTSWLLPYSLVGSCANKLKEIITEEVILILGILILGIQEELAELQRKTELIHCCISGAEARRMEESAVDNWLGQLREVLYDVDDIIDLARFKGSILLTDHPSSSSRKSIACTGLSISTCFSNVQARHEVAVKIRSLNRKIENISKDRVFFTLKSTVPTGSSSVLRVRKSSHLLEPNIVGKEIIHACRKMVDLVLEHKGRKHYKLAIVGTGGV >OGLUM11G21820.1 pep chromosome:ALNU02000000:11:25664272:25669969:1 gene:OGLUM11G21820 transcript:OGLUM11G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHASRKLVSQVLTHKEKKAYKLAIVGTGGIGKTTLAQKVFNDQKLKGSFNKHAWICVSQDYSPSSVLRQLLRTMEVQYRQEDSVGELQSKLESAIKDKSYFLVLDDVWQHDVWTNLLRTPLYAATSGIVLITTRQDTVAREIGVEEPHHIDLMSPAVGWELLWKSINIEDEKEVQNLRDIGIKIIQKCGGLPLAIKVIARVLASKDKTENEWKKILANYVWSMAKLPKEIRGALYLNYDDLPQHLKQCFLYCIVYPEDCTILRDDLIRLWVAEGFVEVHKDQLLEDTEEEYYYELISRNLLQPVDTSFDQSKCKMHDLLRQLACHLSREECYIGDPTSLLDEYQSLGIEKTFFMRFTLPSTITRLSNLRRLGLDFTPINQVPRGIGRLEFLNDLEGFPVGGGSDNTKMQDGWNLQELAHLSQLRRLDLNKLERATPRSSTDALLLTDKKHLKSLHLCCTELTDEAYSEEGISNVEMIFEQLSPPRNLEDLMIVLFFGRRIDGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEWLIINDMPKWEEWSFVKEEDLQEEEAAAEAKEEEALFPSSSWLLPWLEKLELVGCPKLRALPPQLGQQATNLKELVIRRARCLKTVEDLPFLSGILSVQGCQGLERISNLPQRCRRAREKKVEVKVDPIGRTVAGRRSKGDGIRNRPGIVAAAVILASAAGVVAAAGVIAAAAVVIVAVAGVVSAPLQGPWLQWISRTPSAARSQESRR >OGLUM11G21830.1 pep chromosome:ALNU02000000:11:25672509:25673608:1 gene:OGLUM11G21830 transcript:OGLUM11G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQQCKQEMLIRVGMGEFKSLCQAGFFPEAKIKGHWKWSKTIKVVESETLGSATYGKNRKILQSNSGRSGGC >OGLUM11G21840.1 pep chromosome:ALNU02000000:11:25675114:25689243:1 gene:OGLUM11G21840 transcript:OGLUM11G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPGFSFGQNWRGGQWVVERRRPRPALRGGGSMKSADGGASGIRRKPNPVVHRAGSGYVFGRRNLLGALSRLSCEDNKPLSRHNQKNRSVFIHNGQVILPVTDQRSTNQSTSIAHPAMRRERGRKTTGPVPRLCSRFSGAGDVGLGGDLPRRSARSLAVVASTAINQELANRNVGSLSGHLALQKTPAYSIAIPIDPVHLSSHAKQVLQDVAGDPTLPSTKSVRCAACSHGEAVFFQT >OGLUM11G21850.1 pep chromosome:ALNU02000000:11:25692175:25702583:1 gene:OGLUM11G21850 transcript:OGLUM11G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIVDTLVGSCIKKLQAIVTDKAILILGVKDELEELQRRTDLIRSSIKDAEARRMEDSAVEKWLDQLRDAMYDVDDIIDLARFKGSVLLPDYPMSSSRKSTACSGLSLPSCFSNIRIRHEVAVKIRSLNKKIDNIIIKDKVFLKLSHTQHNGSGSAWTPIESSSLVEPNLVGKEVVHACREVVDLVLAHKANNVYKLAIVGTGGVGKTTLAQKIFNDKKLEGRFDHRAWVCVSKEYSMVSLLTQVLSNMQIHYEQNESVGNLQSKLKAGIADKSFFLVLDDVWHYKAWEDLLRTPLNAAATGIILVTTRDETIARVIGVDHTHRVDLMSADVGWELLWRSMNVKEEKQVKNLRDTGIEIVRKCGGLPLAIRAIAKVLASLQDQTENEWRQILGKNAWSMSKLPDELNGALYLSYEVLPHQLKQCFLYCALFPEDATIFCGDLTRMWVAEGFIDEQEGQLLEDTAERYYHELIHRNLLQPDGLYVDHSRCKMHDLLRQLASYLSREECFVGDPESLGTNTMCKVRRISVVTEKDIVVLPSMDKDQYKVRCFTNLSGKSARIDNSLFKRLVCLRILDLSDSLVHDIPGAIGNLIYLRLLDLDRTNICSLPEAIGSLQSLQILNLQGCESLRRLPLATTQLCNLRRLGLAYTPINQVPKGIGRLKFLNDLEGFPIGGGNDNTKIQDGWNLEELGHLSQLRCLDMIKLERATPCSSTDPFLLTEKKHLKVLNLQCTEQTDEAYSEEGISNVEKIFEKLEPPHNLEDLVIRDFFGRRFPTWLGSTHLSLVKYVLLIDCNSCVHLPPIGQLPNLKYLKINGASAITKIGPEFVGCWEDWTLNRDELIMMWVAEGFVEVHKDQLLEDTAEEYYYELISRNLLQPVYTYFDQSRCKMHDLLRQLACYLSREECHIGDLKPLVDNTICKLRRMLVVGEKDTVVIPFTGKEEIKLRTFTTDHQLQGVDNTFFMRLTHLRVLDLSDALVQTIPDYIGSLIHLRMFDLDGTNISCLPESIGSLQNLLILNLKRCKSLYFLPLATTQLYNLRRLGLAGTPINQVPKGIGRLEFLNDLEGFPIGGASDNTKTQDGWNLEELADLSKLRRLHVIKLERGTPHSSVDPFLLKEKKHLKVLNLLCSKQTDESYSKENVSNIEKIFEKLTPPHNLEDLAIMNFFGCRFPTWLGTTHLASVKSVILTDCQSCVHLPPTGQLPNLKYLRIDGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEVLAIEDMPNWEEWSFVEEEEVQEEEAAAAAKEGGEDGIAASKQKGEEAPSPTPRSSWLLPCLTRLYLVGCPKK >OGLUM11G21860.1 pep chromosome:ALNU02000000:11:25703593:25704271:-1 gene:OGLUM11G21860 transcript:OGLUM11G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRETDGCVGQGGDETHEAATGEGRHAVGGGRGCARVAGQPLLVPLQCGCPSHSPNAYAPMQYQINAGDTFWIVSTTKLQNLMQYQAVERVNPTLVPTNLDIGQIDMFPSVPRCVFPSVLSPQPGRLRLLPLPDDDEERDHDGGGTGATDGSACVSAIMAPSPCSAVAAASAR >OGLUM11G21870.1 pep chromosome:ALNU02000000:11:25722120:25731401:1 gene:OGLUM11G21870 transcript:OGLUM11G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMVISAVLDALIVSCIKKLQFIITDNAILVLGVEEELSELVRKTDSIRCSLNDAETTRLDHEAVNNWLGQLRDVMYDVDDIIDLARFKGSILLADHPSSSSSKPTRCNCPSCHCNIWTRHEVAVKIRSLNKKIANISNDEVLQDLRRRPHPRNGSIWTPIKTSSIVEPNLVGEEVILACRELVDLVIENKEKKDYKLGIVGTGGVGKTTLAQQIYNDEKITGNFDKHAWVCVSTDSTQTSLLEEVLRIMKIRYGKAKSVEELQNKLKSAIKEKSFFLVLDDVWESNAWTNSLQKPLHAAAKGIVIVTTRNEKVAQEIKVDHTHQVHLMSENVGWDLLWKSMGITEEKQVHHLRNIGIEIVHQCGYLPLAIKIIAKVLISKEKTNDEWKRILSNNSWSMNNLPDELRALYLSYSELSHQLKQCFLYCAIYPEHSTINRDDLTSMWVAEGFIDEQKGQLLEDTAVEYYNELIHRNLLQLDLSYFDLGGCKMHGLLRQLVCYLSREECFVGDPESQNGNTMSKMRRVSVMTEKDTVVLPSMDKKQYKVRTYRTSYSKSLRVYNPLFKRLTYLRVLDLTGTLVQSIPSHIGNLIHMRLINLDGTNISCLPESIGNLQNLQVLNLQRCKSLYRLPLATTKLCNLRRLGLLDTPINKVPKGIGRLQFLNDLEGFPIGSVTYNKKMQDGWNLEDLADLSQLRRLVMVKLERCTPCSSEDSFLLTNKEHLKVLYLECTEPTNEACSDEHVKHVENIFEQLVPPQNLKDLSILRFLGQNLPSWLCNVYLPSLKYLILSDCKSCIYLPPIWQLPNLERLKIVKATAITKIGPEFIGQFPRSREAVAFPKLEWLIINDMPNWEEWAFTEEDEISLAAMKEGGGDGTAVAEEEEISLAAMNEGGEDGNTVTEKGEASFPRLCVLPSLKRLDIDNCPKLRALPQQLGHEATSLKELSLVAASCLKSVKDLPSLSGFLSVCRCEGLERVTELPKVRKLFVSVCPNLSCVEELGSLEQLWLDVNMEDSSPWVHGLREQYRHLDVVNLEEFGLCSNSTYVIVGITK >OGLUM11G21870.2 pep chromosome:ALNU02000000:11:25722120:25729467:1 gene:OGLUM11G21870 transcript:OGLUM11G21870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAMVISAVLDALIVSCIKKLQFIITDNAILVLGVEEELSELVRKTDSIRCSLNDAETTRLDHEAVNNWLGQLRDVMYDVDDIIDLARFKGSILLADHPSSSSSKPTRCNCPSCHCNIWTRHEVAVKIRSLNKKIANISNDEVLQDLRRRPHPRNGSIWTPIKTSSIVEPNLVGEEVILACRELVDLVIENKEKKDYKLGIVGTGGVGKTTLAQQIYNDEKITGNFDKHAWVCVSTDSTQTSLLEEVLRIMKIRYGKAKSVEELQNKLKSAIKEKSFFLVLDDVWESNAWTNSLQKPLHAAAKGIVIVTTRNEKVAQEIKVDHTHQVHLMSENVGWDLLWKSMGITEEKQVHHLRNIGIEIVHQCGYLPLAIKIIAKVLISKEKTNDEWKRILSNNSWSMNNLPDELRALYLSYSELSHQLKQCFLYCAIYPEHSTINRDDLTSMWVAEGFIDEQKGQLLEDTAVEYYNELIHRNLLQLDLSYFDLGGCKMHGLLRQLVCYLSREECFVGDPESQNGNTMSKMRRVSVMTEKDTVVLPSMDKKQYKVRTYRTSYSKSLRVYNPLFKRLTYLRVLDLTGTLVQSIPSHIGNLIHMRLINLDGTNISCLPESIGNLQNLQVLNLQRCKSLYRLPLATTKLCNLRRLGLLDTPINKVPKGIGRLQFLNDLEGFPIGSVTYNKKMQDGWNLEDLADLSQLRRLVMVKLERCTPCSSEDSFLLTNKEHLKVLYLECTEPTNEACSDEHVKHVENIFEQLVPPQNLKDLSILRFLGQNLPSWLCNVYLPSLKYLILSDCKSCIYLPPIWQLPNLERLKIVKATAITKIGPEFIGQFPRSREAVAFPKLEWLIINDMPNWEEWAFTEEDEISLAAMKEGGGDGTAVAEEEEISLAAMNEGGEDGNTVTEKGEASFPRLCVLPSLKRLDIDNCPKLRALPQQLGHEATSLKELSLVAASCLKSVKDLPSLSGFLSVCRCEGLERVTELPKVRKLFVSVCPNLSCVEELGSLEQLWLDVNMEDSSPWVHGLREQYRHLDVYTWPRE >OGLUM11G21880.1 pep chromosome:ALNU02000000:11:25733141:25734479:1 gene:OGLUM11G21880 transcript:OGLUM11G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISQAIKEPLPSHGQHQLFGRDCNTSSLPSVATPQQRAAPTSSAETTEECVAHHKSEANPRGLGLAKHQGKKAFEPKRPSIVIDVKELPRSDAPKEDTTRNAAATRPKPEQEHHDNAFKKGNGANGCVIVIEPAKGTARLSPASAHYPHKHPHIDDQMIVQDDSQAVHPRRILPSPTLLCCTDQNRPRRPXQMGERHDNAFKKGNGANGVVVIEPAKGTARLSPASAHYPHKHPHIDGHTIVQDDSQAVNPRRILPSPTLLCCTDQNRPRRPRYRSSKLPAAIASTSTHSPLCRCHRRHKRPQPRYTIVKTPVGVARGCHCADKGLVSASSQHQTLTIAGLPLPLRRGHTGSHLGSTPSSSREGDGSGQGPPDPAAPIARLRATATSRLPRRRLAATPSPSRVAPHHRLRASPAGARVLPRAARCPSPPPSRR >OGLUM11G21890.1 pep chromosome:ALNU02000000:11:25743234:25750863:1 gene:OGLUM11G21890 transcript:OGLUM11G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNVGRELLWKSMNIRDEKDVQNLHDIGIGIVQKCGGLPLAIKVVARVLASKEKSEKEWKKILAKNVWSKTKLPKEISGGLYLSFDDLPQYLKQCFLHCIVYSEDLFIYRDYLIRLWIAEGFVEARKDQLLEDTAEEYYYELISRNLLQPVDTFFDQSICKMHDLLRQLACHLSSEECYIGDPESLVGNTICKLRRMLVVTEKDTLLIPGTGKEKNKLRTFITDQKPLRIEKTFFMRFTYLHVLDLSDSLLQTVPEYVGNLIHLRLLDLDGTNISCLPESIGALENLQMLHLQRCKSLNHLPLATTRLCNLRRLGLDDTPINMVPKGIGRLRFLNDLEGFPIGVGCANTKMQDGWNLKELAYLPQLRRLIMIRLERGAPYSSTESLLLTEKGHLKVLHLYCTEPTEESYSEEDVNNVEKIFEQLIPPCNLEDLCIVSFFGRSFPLWLGTTYLSSLKHLILMKCKHCEHLPPIGLLQNLKYLKIVGAVAITKIGLEFIGSDVSNSKTIEAVAFPKLESFIIKDMPNWEQWSFVEEEEGEAAKEGGEDRDSTKQKVKALSPRSFLLPCLKTLQLACCPKLRALPRQLGQQATSLNELLIRGASCLKTVEDLPFLSGVLSVGRCVDLQRVSNFPQARELYVSGCPNLRHVEELSNLERLWLTKDMQYICSLWVPWLQEQCRQVHGDELEVNEWDLPYQDFLMATLLDSLIVSIVKRLQDIITAEAILVLGVKEELRELQRTMSHIQCFLIDAEQRRSEESAVNNWFAELRDAMYEAEDIMDLVSFEGSKLLAQHPSSSRNSTGCTGLSMFSCLPNIQRHHKIAVRIRNFNSELEKIIKMAERYLKLRNMQPIVAVPSVRLMKTCHLVEPNLVGKETLHDCRRLVKLVLAHMDQKVYKLGIVGTAGVGKTTLAQKIYNDNNIKGIFSKQAWICVSQDYTEVDLLKEVLRAFSVYQEQGETISELKSKLATTVKDMSFFLVLDDVWNPEVWTYLLRTSLLAATNGVIIITTRHDTVAQAIGVEEMHHVELMSTDVGWELLWRSMNFVREKEVQHLRDIGMEIVRKCGGLPLAIKVIASVLATKDKSESQWRKVINRSAWSMGKLPTELRGALYLSYDELPRHLKRCFLYCALYPEDWLMLRDDLIRYWIAEGFVEEQEGQLLEDTAEEYYYELISRNLLQPVHLYFNNIICNIHDLLRQLAWHLSGDEIFYGDPQSLDANTLSKVRYASISTHDYSVILSDVDKEYIRARTLRIHCGKSTLVENTIFKRFPRIRILDLTGSPINKIPDCLGDLIHLRLLDLDETKINCLPESVRSLKYLQILNLQRCVSLHSLPLAITKLSNLRRLGLRHTPITEVPQGISRLKFLNDLGGFPIGAGRDSTKMQDGWSLEELRPLSQLRCLNMIKLERAIPCGGTDSLLKYKEHLRVLYLCCTGQIDQEYSEEDASNNEKIFEQLTPPSNLEDLSIVRFFGRSYPNWLCATMLSSLKHLELLGCKSCMHLPPIGQLPYLKYLKILGATVAKIGPEFVGFTVDNPGSIESTAFPKLECLVISDMPNWEEWSFAEKAASTLEEGHDDGDDARQKGKTAPPKLHLLPCLEKLYLYGCPKLRALPRQLGQVASSLKELHVRGANCLKTIVDFPFLSDFFSISGCESLERISFLPQVRKLYVGACPNLRCVEELDNLEQLGLPKDMQDMSLRWVHGLKEQCYQLHSEDLDVYTWLGDQEIPIYMKLN >OGLUM11G21900.1 pep chromosome:ALNU02000000:11:25760597:25760874:1 gene:OGLUM11G21900 transcript:OGLUM11G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRYIPFSMKRTSEPSQTVLQPLMLLMMVLTMDLHKLFFGPLTDDETLMLMKALHKLFFGPSRIDDDASFDGS >OGLUM11G21910.1 pep chromosome:ALNU02000000:11:25761280:25761631:1 gene:OGLUM11G21910 transcript:OGLUM11G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVTVIGMQQLSSRLATKSYRTLPKPHAAGRQADNHNGHAAQQWRRAAMTSVQGRPAKTGAVVDDGGGLPPVARTSSAT >OGLUM11G21920.1 pep chromosome:ALNU02000000:11:25764507:25808053:1 gene:OGLUM11G21920 transcript:OGLUM11G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLRRLDLWDCPKLRALPPQLGPQATKLKELLIRRARCLKTVEDLPFLSGYLSIGGCEGLERVSNLPQVREMLVGGCRNLRHVEELGGLEKLLLYKDMKEISQPYWVPGLQELHRQLHGDELEINDRLDHERGRWEAPPPPSLPPPGFAGGCSGGGEAGESRGREGAARLESPPASPLGRSDRGPPGMEDSAVHNWIFRLKDVMYDADDIIDLASFEGNKLLNGHSSSPRKTTACSALSPLSFFSNIWVRHEIGDKIRKLNQKLAEIEKDKIFATLESTQLADKGSTSELRKTSHIVEPNLVGKEIVHACRKLVSLVVAHKEDKAYKLAMVGTGGVGKTTLAQKDYTPVSVLKQLLRIMEVQHAQEELAGELQSKLELAIKDKSFFLVLDDLWHSDVWTNVLRTPLHAATSGIILITTRQDTVAREIGVEEAHHVDLMSPAVGWELLWKSMNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVTARKDTVVIPFTGKEEIKLRTFTTDHQLQGVDNTFFMRLTHLRVLDLRDSLVQTIPDYIGSLIHLRMFDLDGTNISCLPESIGSLQNLLILNLKSCKSLHFLPLATTQLYNLRRLGLAEGFPIGGASDNTKTQDGWNLEELADLSKLRRLHVMKLERAIKDKSFFLVLDDLWHSDVWTNVLRTPLHAATSGIILITTQQDTVAREIGVEEAHRVDLMSPAVGWELLWKSMNIQDEKEVQNLRDIGIEIVQKCGGLPLAIKVTARVLASKDKTENEWNTILAKNVWSRAKLPKEISGALYLSYDDLPHHLKQCFLYCILFPEDWFLKRDELIMMWVAEGFVEVHKDQLLEDTAKEYYYELISRNLLQPVDTSFDQSICKMHDLIRQLACYLSREECYIGDLKPLVDNTICKL >OGLUM11G21920.2 pep chromosome:ALNU02000000:11:25771850:25818377:1 gene:OGLUM11G21920 transcript:OGLUM11G21920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNSAYKYVGEKCSFLTGNNSGAGDEGGGAGDDNGGGEQGIAITDCHDSVGSLVGSCAKKLQEIITEEAILILGVKEKLIELHKRMEQIRCFLGDADRRGTQDPSIRNWLSQLKDAIYDVDDIIDLASLEGNKLLHDHSCSSRMSTACCGFSPLSCFSNIRVRHEIGEKIRSLNRKLEKIANDKTFATLENTPASNKSSTSKLKKTSHLIETNLVGKEIVHACGKLVSLVLTHKEEKAYKLAIVGTGGIGKTTLAQKVYNDKKLHGRFNQLAWICVSQGYSPVSLLRQLLKARKVCSAQDESVEQLQSKLESTIKDKSFFLSDVWTDLLRTPLQAASSGIILITTRYDTVTREVGAEQTHCVNLMSLDNGWELLWKSMNIQEEKEVQNLWDIGIEIVQKCGGLPLAIKVVAGVLASKDKTENEWRNILTRNVWSMTKLPKEICGGLYLSYDDLPQHLKQCFLYCIVFREDWVFERDELIRMWVAEGFVEGHKDQLLEDTAEEYYYELINRNLLQPDNGQFDLSRCKMHDLLRQLACYLSREECYIGDPESLVDNTICNLRRMLVVTEKDMVVIPCMGKEEIK >OGLUM11G21920.3 pep chromosome:ALNU02000000:11:25807250:25818377:1 gene:OGLUM11G21920 transcript:OGLUM11G21920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGNSAYKYVGEKCSFLTGNNSGAGDEGGGAGDDNGGGEQGIAITDCHDSVGSLVGSCAKKLQEIITEEAILILGVKEKLIELHKRMEQIRCFLGDADRRGTQDPSIRNWLSQLKDAIYDVDDIIDLASLEGNKLLHDHSCSSRMSTACCGFSPLSCFSNIRVRHEIGEKIRSLNRKLEKIANDKTFATLENTPASNKSSTSKLKKTSHLIETNLVGKEIVHACGKLVSLVLTHKEEKAYKLAIVGTGGIGKTTLAQKVYNDKKLHGRFNQLAWICVSQGYSPVSLLRQLLKARKVCSAQDESVEQLQSKLESTIKDKSFFLSDVWTDLLRTPLQAASSGIILITTRYDTVTREVGAEQTHCVNLMSLDNGWELLWKSMNIQEEKEVQNLWDIGIEIVQKCGGLPLAIKVVAGVLASKDKTENEWRNILTRNVWSMTKLPKEICGGLYLSYDDLPQHLKQCFLYCIVFREDWVFERDELIRMWVAEGFVEGHKDQLLEDTAEEYYYELINRNLLQPDNGQFDLSRCKMHDLLRQLACYLSREECYIGDPESLVDNTICNLRRMLVVTEKDMVVIPCMGKEEIK >OGLUM11G21920.4 pep chromosome:ALNU02000000:11:25808057:25809579:1 gene:OGLUM11G21920 transcript:OGLUM11G21920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVREKDTVVIPCTGKEEIKLRTFTTDHKLQGVDNTFFMRLTYLRVLDLSDSLVQTIPDYIGNLIYLRLFDLDVTNISCLPESIGSLQNLLMLNLKRCKYLHSLPLATTQLCNLRRLGLAGTPINQVPKGIGRLKFLNDLDGFPIGGGSDNTKMQDGWNSQELAHLSQLRRLDLNKLERATPWSSTDALLLTDKKHLKKLNLCCTKPTDEEYSEKGISNFPTWLSTSQLSSLTYLRLIDCKSCVHLPPIGQLPNLKYLKINGASAITKIGPEFVGCWEGNLRSTEAVAFPKLEVLVIEDMPNWEEWSFVEEEEEVQEEEASAAAKEGGEDGIAASKQKGEEAPSPTPRSSWLLPCLTRLDLVGCPKLRALPPRLGQQATNLKVLLIREAECLKTVEDLPFLSGRLMISNLPQVRELRAGGCPNLRCVEELGGLEQLRLYKDMQDISSPWVSMLQEQHHQLNGDEHVLEVNEWL >OGLUM11G21930.1 pep chromosome:ALNU02000000:11:25812647:25813876:-1 gene:OGLUM11G21930 transcript:OGLUM11G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWLLKNIGRRAPASMACVSSVFSPGGSGVQVLPATTAAGVALSETNKGYSELKQMYEAFCFNHPGLYDRVYLQHDHLYINKYFQDINKNSMLPGQDWTCCTIEWDSERDLPIVPRWTPSSRTRIMTVGDRNALLAAAIVVAGAATLVAGAAVVSRQK >OGLUM11G21940.1 pep chromosome:ALNU02000000:11:25818429:25823778:1 gene:OGLUM11G21940 transcript:OGLUM11G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSYLRVLDLSDSLVQTIPDYVGNLIHLCLLGLDGTNISCLPESIGSLQNLQIFNLQRCKSLDHLPLATTQLCNLRRLGLDETPINHVPKGIGGLKFLNDLEGFPIGGGIDNAKMQDGWNFEELAHLSQLRRLHMIKLERSTLCNCADSFMLTDKKHLKALHLSFIEPTNEAYSEERVTNAEKIFEQLKPPHNLEELCIFDFLGSRFPTWLGTAHLSSLEYLKLIDCHIGVHLPPMGQLPSLKYLSIRGATSITKIGPEFVGRGVSNLASTEVVAFPKLERLVIKEMPNWEEWSFTEEEEEEEDGAALKQKGEEAPYPTPHLLPCLNQLQLLRALPRQLGQQATSLHKVFLEEVNSLKTLEGLLFLSNTLQVVKCKGLESISNLPQVKELNVACCPNLRHVQDYGWTRICTRWLRYGSKGFKINVDISMEMSGRSTSGFESHIIRWHKKAERYIAHKEEKAYKLAIVGTGGIGKTTLAQKVFNDQKLKGTFNKHAWICVSQDYTPVSVLKQLLRTMEIQHKQEES >OGLUM11G21950.1 pep chromosome:ALNU02000000:11:25838373:25839773:-1 gene:OGLUM11G21950 transcript:OGLUM11G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIPTRANLALAALLILLTVAATTVPLASAKCIAKNKPEGEEGEPGGAGAGAVHASPEKKPGSTGGLTTLSVGESVPEIKKDSSDDGAAVNESKKPKTSGGLTTLSVDDSQAEPADSIAEPVEDGTDDGEDEQEEKKKKKKKSKSKSSDDDDDDDAKKKSKKKPKTKPKNSDDDEDDKKKSKKKPKNPDDDEDDKKKSKSKSSDEDNDGAKKKKKKKSKGKSSDEEDDEKPKKKSKSKSKSSSSDEEDEKKSKSESQAAAEKSAAKPKEEDEEGGSASASASTSAPKNEHHSGTMSLPDPDMIAQPVMQALNPVVKALCGKTDHADLCESSIGQLPQQPPAQLDDIGVLRLSMNALRAKVQEAISVATNRMGAASGDEVSKDAMDDCLQMYDDMKSNLDSADAALKKGDKDTAHTMLDSARTDVDTCEDGFSEREGLKPIMGDLDKILAELSSNTIAIASAIIE >OGLUM11G21960.1 pep chromosome:ALNU02000000:11:25840173:25856230:-1 gene:OGLUM11G21960 transcript:OGLUM11G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLDVRRFAAARAGELRSLHESVSSRLGARFTQPRSARRRTTGHLPSNKRRRRRSRDAEAADGPVEEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIARMVLHPSPQDKTPGLKHLHGQLCRVGCPHLEIVGPVTYMWRPFLREGDELETEDVDLSDSQIRSDERNSLRRQLWIWIHPSALSEGLETLRAACQQQMQESGDTISCCPLEGKMARLEVMGSNAMQSLKSILNPVSNPSISTKLVNKNSVTTSTDPLDSSTVSHLLKRSVFDNADILQSGAILSMIVRDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADEAPSQIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLLSVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAFLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSHLEGDDLKSVATVLSESIPVNSNSGGAESSPTSAPTSFQLLVPRTIQVLRQYVKIFDEKYLNSSDMETVTDKSSLVSGDNVKMRCSMNGLCLVRVLIRAFKEGSFEEGAVICAPLSSDLSAWNCKIRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLSNGDSTRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWTHESLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLQGRGSRTVLKWLKNGTVVHDASYFTPVELDDPEDSLLSIVRMVLNPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIRSDVRNSLRRQLWIWIHPAALSEGLETLRAACQHQMQESGDTISCCSLEGKMARLEVMGSNALQSLKSILNTVSNPSISTKLVNKNNVTISTDPLDSSTGSHLSKGSVFDNADILQSGAILSMIVRDPRDNSVEGTDSSKAVSLDKNNQLMEEYQVPNADETPSEIGNILSSIWLNPGNHDSALSDCRELWDSSLKINPPVDEKILCMEKHHRRIKFFCLDSGNDQGQTSQEKDSISRSCPFKMPCFPYDYPDSKAYSLFMAEEAAAFDKATNCRPSAMRPPRVPVPPLWHCIIANFLKGDGIVSILEVDDLKSVATALSESLPVNSNSGDAESSPIDAPTSFQLLVPRTIHMLRHHVKEFHEKYLSSSVMEAVTDKSSLVSGANVKTGCSVNRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTSNLQLSNGDSTRKAFRWPIGFVTMGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWTHESLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLKIFYKTITILFMFEE >OGLUM11G21960.2 pep chromosome:ALNU02000000:11:25840173:25856230:-1 gene:OGLUM11G21960 transcript:OGLUM11G21960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVPPPPRQLDVRRFAAARAGELRSLHESVSSRLGARFTQPRSARRRTTGHLPSNKRRRRRSRDAEAADGPVEEEEEGRPSRRVRRRRELAGNPAEGFSAAGDGARRLRTHLWHAKRFSMERRWGFVLPIGAHGRGRGSRTVLKWLKNGTVVHDASYFTPVELDGPEDSLLSIARMVLHPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGDELETEDVDLSDSQIRSDERNSLRRQLWIWIHPSALSEGLETLRAACQQQMQESGDTISCCPLEGKMARLEVMGSNAMQSLKSILNPVSNPSISTKLVNKNSVTTSTDPLDSSTVSHLLKRSVFDNADILQSGAILSMIVRDPRDNSVEGTDSSKTVSLDQNNQLMEEYQVPNADEAPSQIGNILSSIWLNPGNHDLALSDCRELWDSSLKINPPVDEKILCMEKHHRRMKFFCLDSGNDQGQTSQEKDSIGRSCPVILLKHAKGSLLSVGWSVILPLSWVKPFWFYLVSHGAHAIGLRERRWIASKLKMPCFPYDYPDSKAYSLFMAEEAAFLDKATNCRPSSMRPPRVPVPPLWHCVIASFRKGDGILSHLEGDDLKSVATVLSESIPVNSNSGGAESSPTSAPTSFQLLVPRTIQVLRQYVKIFDEKYLNSSDMETVTDKSSLVSGDNVKMRCSMNGLCLVRVLIRAFKEGSFEEGAVICAPLSSDLSAWNCKIRSEEEEEECLEKWELQLPQSHVSSYFSWLDPSTSNLQLSNGDSTRKAFRWPIGFVTTGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWTHESLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLQGRGSRTVLKWLKNGTVVHDASYFTPVELDDPEDSLLSIVRMVLNPSPQDKTPGLKHLHGQVMRGVCYENAMLCRVGCPHLEIVGPVTYMWRPFLREGGELETEDVDLSNSQIRSDVRNSLRRQLWIWIHPAALSEGLETLRAACQHQMQESGDTISCCSLEGKMARLEVMGSNALQSLKSILNTVSNPSISTKLVNKNNVTISTDPLDSSTGSHLSKGSVFDNADILQSGAILSMIVRDPRDNSVEGTDSSKAVSLDKNNQLMEEYQVPNADETPSEIGNILSSIWLNPGNHDSALSDCRELWDSSLKINPPVDEKILCMEKHHRRIKFFCLDSGNDQGQTSQEKDSISRSCPFKMPCFPYDYPDSKAYSLFMAEEAAAFDKATNCRPSAMRPPRVPVPPLWHCIIANFLKGDGIVSILEVDDLKSVATALSESLPVNSNSGDAESSPIDAPTSFQLLVPRTIHMLRHHVKEFHEKYLSSSVMEAVTDKSSLVSGANVKTGCSVNRLCLVRVLIRAFKEGSFEEGAVVCAPLPSDLSAWNRKTSNLQLSNGDSTRKAFRWPIGFVTMGFVHGSSGQDAVAVAFCEAKLLAVLRRQQWTHESLKRKDICVLVRNARSAAYRRALATIVLEQQEDDLKIFYKTITILFMFEE >OGLUM11G21970.1 pep chromosome:ALNU02000000:11:25860857:25863357:1 gene:OGLUM11G21970 transcript:OGLUM11G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLHLHPFLVLEAGSVRRLLVAAGQRARGSAAMLPKNAARIKCYLLLLVHSQFAMCFPHFATKISCY >OGLUM11G21980.1 pep chromosome:ALNU02000000:11:25875208:25886112:1 gene:OGLUM11G21980 transcript:OGLUM11G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELAVHVISLDGKLMNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKGSCDSQQPLQSAITSRITNLATRVTTRVRSRVRTGQNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWSGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIESCWDSDPQRRPSFQELLDQLRDLQKQYNLQAQLQRTAAAKMSVDDC >OGLUM11G21980.2 pep chromosome:ALNU02000000:11:25875208:25886112:1 gene:OGLUM11G21980 transcript:OGLUM11G21980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAELAVHVISLDGKLMNRYAEHLYGYSVPEAVGQDALELIVHPSDYGAANDIIQNIFMGKCWRGKFPVKHKSGERFNIVASNTPLYDDDGSLVGLICLSTDTRTLEEILGHSTSGKVYPSSAKPRVQLNGSKSGLLNKGSCDSQQPLQSAITSRITNLNCDDQFGGACESHYSEHDAREEQTSSEGSTPSGDVLHGAFVSEDNYSGKSSKTNSDDSGEGKLGLHKILSSTAEALWANRGIPWPWSGHGNDDAGKNRTNLPQFHEIQENGQSHKEVPEPIILPDCQDTEFVQEVKYEVSGSWWSFNASTSSMSSTGSTNSSAIERADREADCLDFEILWEDLAIGEQVGQGSCGTVYHALWYGSDVAVKVFSKYEYSEDMILTFRQEVALMKKLRHPNVILFMGAVASLQRLCIVTEFLPRGSLFRLLQKNAGKLDPRRRVHMAIDIARGMNYLHNSSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLTTKTGKGTPQWMAPEVLRNEPSNEKSDVYSYGVILWEIATQKIPWDNLNTMQVVGAVGFMDHRLDIPSDVDPQWASMIESCWDSDPQRRPSFQELLDQLRDLQKQYNLQAQLQRTAAAKMSVDDC >OGLUM11G21980.3 pep chromosome:ALNU02000000:11:25886018:25886844:1 gene:OGLUM11G21980 transcript:OGLUM11G21980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVESWVYKNFVGPVFLAVISHPVSSRPLGLVPTTTASALSPISDATTIPVPRRATAVPIPNRTAKPNRTSLPFPVPAGSRGDDYSSCRSPGWFPSGPTTAAGTSSVPQSNTSGASSAVSSSEHQPSCSLTSWTRESATIFDDDGDGNDFVEMLLLNSCFILEFLAKAKPRQSRDETTQSRNSGWHI >OGLUM11G21990.1 pep chromosome:ALNU02000000:11:25887227:25898235:1 gene:OGLUM11G21990 transcript:OGLUM11G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSHISDLKPVTGRVVSRGNGAGLKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRGGCTVTRASDGSVVGKARRSGAIYEVEFLKLRIWSFSRLLFSRSSRPRRLGLATAADSSEMGSYPAFQVSPEEAAKGKWYIATAATNHMTRDQSLISDLKPVTGRVVGGGNGAGLQVHGSGAVNTEMVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKAHRSGAVYEVEFLKDEKVEAATIRRSMKKTTEMEDTILLATAIIKKIIKFSYMYL >OGLUM11G21990.2 pep chromosome:ALNU02000000:11:25887227:25898235:1 gene:OGLUM11G21990 transcript:OGLUM11G21990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAGKGKWYIATAATNHMTNDKSLISDLKPVADGRNIIADGNGAGLKVQGRGAVNTETVVLPAEVWYVPEIDENLVSVDQLNELGLSISIGRGVCTVTRVSDGSVVGKARRSGGVYEVEFLKFLSSSPRALAASPPSASPPPADSSEMASYPVFQVSPEEAAKGKWYMATAATNHMTRDQSHISDLKPVTGRVVSRGNGAGLKVHGSGAVNTETVAIPDVWHVPGINANLVSVPQLSLLGLNISFDRVIFESIDEKVEAATIRRSMKKTTEMEDTILLATAIIKKIIKFSYMYL >OGLUM11G21990.3 pep chromosome:ALNU02000000:11:25887227:25898235:1 gene:OGLUM11G21990 transcript:OGLUM11G21990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASWPAFGVSPEEAAKGKWYIATAATNHMTRDQSLISDLKPVTGRVVGGGNGAGLQVHGSGAVNTEMVAIPDVWYVPGINCNLVSVGQLCQLGLEVSIFRGVCTVTRASDGSVVGKAHRSGAVYEVEFLKDEKVEAATIRRSMKKTTEMEDTILLATAIIKKIIKFSYMYL >OGLUM11G22000.1 pep chromosome:ALNU02000000:11:25897721:25898193:-1 gene:OGLUM11G22000 transcript:OGLUM11G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRMVSSISVVFFMLLLIVAASTFSSCYALTSIQTCNDLGDLACTDETCKKICGDRLEYYCKPGVTPTVCCCMNVTASVPSGVKNQSGHTLLN >OGLUM11G22010.1 pep chromosome:ALNU02000000:11:25907471:25909636:-1 gene:OGLUM11G22010 transcript:OGLUM11G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATSMHGWTMLDLVKGAMKMVTNKLGAGDRLVIVPFNGKVVAAGATRLMEMTTKGRADANAKVNQLKAGGDTKFLPALKHASGLLDSRPAGDKQYRPGFIFLLSDGQDNGVLDDKLGGVRYPAHTFGMCQSRCNPKSMVHIATATKGSYHPIDDKLSNVAQALAVFLSGITSAVAVNARVQLHVADNSGVLINKIDSGAYDKTIESGNGKASSKGTINVGVLSAEEDKKFIVYLDVPKLENAQAKPPQLLLTVAGEYSTPAGGRKVENMEESSVQVERPAPAGGATKTGDHLVTWSEAVMVEMVRVKVVSIVKEVLKKHEHDEEPDQKQMAKDLMEEWDKFIKETPAGKDAAERLKEKLPKHHVEDMRRSLTKEEHDGVLYLYSWLASHQTQQATTMASSPPAGVNGWFHQQYMKQVAVDAEFDACGIQPGVETTEIDATTTGCGCVVGLQLQDRIDRRLELWSNLKREAPLMFQPSEDAESHHLTAVFREASLDAINRAMHHDMYLAVVHASNLRRCYSGAGKQQLHGYGSSELPAHDDEALPATRAVEKHSE >OGLUM11G22020.1 pep chromosome:ALNU02000000:11:25914991:25916390:-1 gene:OGLUM11G22020 transcript:OGLUM11G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAGKTKKEATSKAAIDRQEVRVSTTPIRAAIARDQRKDDFEVLVTVEAPKVVAPEKRAPIDLVAVLDVSGSMNKEEFVRGKHMSSRLDLLKIAMKYIIKLVRDADRLAIVSFNHAVVSEYGLTRNSADSRKKLENLKI >OGLUM11G22030.1 pep chromosome:ALNU02000000:11:25933704:25937163:1 gene:OGLUM11G22030 transcript:OGLUM11G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKLSHRLFSALVSLLLHGKPISRSSSNTNTTLPHPSLLHKSSSSFPPMEKLAAKTLVLDVEGGLLRSSSLFPYFMLVALEAGGFLRGLVLLLLYPLLCVMGSDMALKVMAMVSFCGLRASRFRAGRAVLPKWFLEDVGEEGFDVMRSAMRRVCVTKMPRIMVEGFLKEYLEVEVVSGREMKVIWGFFTGIMEEEEEGGDQEEVLLEEKKMLVDVVGFSTSLEFLQHHLSHCCKEVYLVTREEKARWSALPRDKYPKPMVFHDGRLAFRPAAGDTLAMFTWLPFGAALAVARLAVALAVPYRYSTPILAATGLSWRLKGEAPAPLAGAGDGARRRGQLFLFVCNHRTLIDPVYVSVALDRPVRAVSYSLSRLSELISPIGRTVRLTRDRDSDGRAMARLLDGGDLVVVCPEGTTCREPCLLRFSPLFAELSDDVVPVGIAVDTAMFYATTAGGLKCLDPLYYIANPRTCYAVQFLERVDTSPARERRAPSTDMANLVQRRMGDALGYRCTMLTRKDKYLMLAGNDGVVNTDNSKHSAPGKKMQ >OGLUM11G22040.1 pep chromosome:ALNU02000000:11:25939584:25945183:-1 gene:OGLUM11G22040 transcript:OGLUM11G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16480) TAIR;Acc:AT1G16480] MLFLSLLPRRTRPPAIHRRRIHRPPPRLLLATTSSSCRVTTTASTQQCPPSTLALLADHPHPAVAEFPRAGFSRLGDHPLLARAIHGLAVRLALPLSAFHRNTLLAFYFRQRDASAAAALHLFDEMADRTPSTWYTAVSGCVRCGRDGTAFEMLRGMRERGVPLSGFALASLVTACERRGRDEGIACGAAIHALTHRAGLMGNVYIGTALLHLYGSRGIVSDAQRLFWEMPERNVVSWTALMVALSSNGYLEEALRAYRQMRRDGVPCNANAFATVVSLCGSLENEVPGLQVSSQVIVSGLQNQVSVANSLITMFGNLGRVHDAEKLFDRMEEHDTISWNAMISMYSHQGICSKCFLVFSDMRHHGLRPDATTLCSLMSVCASSDHFSHGSGIHSLCLRSSLDSSVTVINALVNMYSAAGKLSDAEFLFWNMSRRDLISWNTMISSYVQNCNSTDALKTLGQLFHTNESPNHLTFSSALGACSSPGALIDGKMVHAIVLQLSLQRNLLVGNSLITMYGKCNSMEDAEKVFQSMPTHDVVSYNVLIGGYAVLEDGTKAMQVFSWMRSAGIKPNYITMINIHGSFSSSNDLHNYGRPLHAYIIRTGFLSDEYVANSLITMYAKCGNLESSTNIFNSITNKNIVSWNAIIAANVQLGHGEEALKLFIDMQHAGNKLDRVCLAECLSSCASLASLEEGMQLHGLGMKSGLDSDSYVVNAAMDMYGKCGKMDEMLQVVPDQAIRPQQCWNTLISGYAKYGYFKEAEETFKQMVATGRKPDYVTFVALLSACSHAGLVDKGIDYYNSMASSFGVSPGIKHCVCIVDLLGRLGRFAEAEKFIEEMPVLPNDLIWRSLLSSSRTHKNLEIGRKAAKKLLELDPFDDSAYVLLSNLYATNARWADVDKLRSHMKTININKRPACSWLKLKNEVSTFGIGDRGHKHAEKIYAKLDEMLLKLREVGYIADTSSALHDTDEEQKEQNLWNHSEKLALAYGLIVVPEGSTQGSAVNTSSSIDACLEPSMGRSRSAAVQLSWTSAVVVLAVQSPKGDSTSKKQLVSSKIMRRSDGDQDVDELNMTSHFCSLPSVWANGDVMAGAEMLTSTTEP >OGLUM11G22050.1 pep chromosome:ALNU02000000:11:25946248:25946478:-1 gene:OGLUM11G22050 transcript:OGLUM11G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIAEEGKDLEELDGLVKSVGRQFESLESSVKTFLLSMTERMKKMVSQRPAMDLVQYIEKDAHEIVSALSQKPP >OGLUM11G22060.1 pep chromosome:ALNU02000000:11:25954105:25954642:-1 gene:OGLUM11G22060 transcript:OGLUM11G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVTSGGDSAAKLRPSWSKKLISSRRRRRRRRPAAFVCNPGPATATIASVAFPVDMFTADRAWRSGCYMLFFNAALDKQSDYTEQCPQCGESSSHSAFFSEDCTSIY >OGLUM11G22070.1 pep chromosome:ALNU02000000:11:25963480:25965386:-1 gene:OGLUM11G22070 transcript:OGLUM11G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWVAYAASIPMVSYTLGLMQSSPYKNSLFSVWAIILFIFLGNADSFSAYSLPDNDDWKRFYLKQLIQSFWVGWLVVSSGGSDFQYALWVIYSIVILKSGTRVASFKLASRSSMLSKSTKWVAPCRRRGEDKQNPREEPPEYHLRYNDDDRAKLVTVQDIWNCNGSLLSGGNGGRLKDVCLSMALSKMLNRRFAGFQVLAESNLHKTRDFLFGGQLHGDRCVERAFRVIEVELAFVHDYFYTKYFLIYSSHHLFVTLSFAMVPTCGWLVPAFPPDSWNSSVLFSKLIGCITSLRYFRSWEDKLGQYTLLKSFDYKPMNILYYATFSLVNKTKKGRKEDKRVRLSMDVKKTVIETLKKNIGLGQLGNCVISLHANEVEDEDQHGMGSTTTTTNQHVACSLSRYCAYLVAFAPELLPDHSFVSESIFDALVEEARELLKGKKTMQQRKEALRSQDHGDNRLLVVGGRLANNLIEIEHPGDRWKVLCDFWAEMMLYIAPSNDAKAHLETLPRGGDFITHLWALLTHGGILERPTGPAQNV >OGLUM11G22080.1 pep chromosome:ALNU02000000:11:25972877:25974190:1 gene:OGLUM11G22080 transcript:OGLUM11G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFQEFIMSSWVVLLISSGGHPNFQYLLWVMYAIIVIKCSVRVASLRLATGRDISDKGMLGKTHDFLFHGLLHGDNCFERAFRVIEEELAFVHDFFYTKYYAIYSGHHLSVLLSFSMIPFCSWLAYKLFRHFQTPNDELKLVFPAHNRNYDALITFVLVVGIAWCKVALISGLKFFRSWEDKLGQYTLLQNCNFKPINILHRVTFSLVDKTKNGRKKDAPIKLSMAVKRAVMEALKSSNGELTNGVTSLQANGELQKLSWSYTNHATTTHTILAWHIATTLCEAHDPMHQPSEEEQHRTETATNRHVASSLSRYCAYLVAFAPELLPDHCFISESTFDSLIIETQRLLEKVKTLQQRCEILKEVGAMPDINNNRRFRLTISGAQLGNQLIHEITSPRLRWKVLSDFWAEMMLYIAP >OGLUM11G22090.1 pep chromosome:ALNU02000000:11:25976672:25977409:-1 gene:OGLUM11G22090 transcript:OGLUM11G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTTSKSAGGGGGGGGETTLSSAIDSKRATETGSVTIQVAGKSINSFSRRRPHLVRTSPTTTPTAIAGSSPTGYPYVFLYLDRPAAAADVVTAEFVFRLLDGNGEMVRMKIPPSPVTFSFVHGYKQTLGLRKIHQEEQDGELVVVISKTRRCNIAVVTSARHCRHEAACIVVVVVDDCGGLVVVPPPDLHRHLGDLLASGLGSEQTCISWSVASCSGHIGTCSPHIDGSCSSHFSG >OGLUM11G22090.2 pep chromosome:ALNU02000000:11:25975852:25976667:-1 gene:OGLUM11G22090 transcript:OGLUM11G22090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSVFLTMLQFIYTDILPHIDEDDMTRTARNLLVVAHRYKIEKLKLICEDMLHKCIDGTMVKTVNFDSGFLKLHGTGQRFGLTVLKY >OGLUM11G22100.1 pep chromosome:ALNU02000000:11:25980351:25983375:-1 gene:OGLUM11G22100 transcript:OGLUM11G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSKYTANSIYQSNLDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECALRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWDTNIQVTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTGTLPRRLFCLAQCAPDLVEDICYNCLKNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAEPVAKVLVPALVAPLLALFICIIAFIILRRHIKGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSANDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIISGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTDSHTMEIMRCINIALLCVQENAADRPTTSEVVAMLSNETMSLPEPKHPAFFNMRLTNEDASTVVVAASSVNGITLSAIDGR >OGLUM11G22100.2 pep chromosome:ALNU02000000:11:25980351:25983375:-1 gene:OGLUM11G22100 transcript:OGLUM11G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTVLLILGCCFFFLPMPMVAAQPWMICGSSKYTANSIYQSNLDSLLSSSFLVVSGDSSSGALFAKGSRGAAPDTVYAVALCRGDANASACSGCVDAAYAAATARLCPLSKDAAVFYDECALRFSDEDILNMDAFGRVNTSAAVGVAPLVLMNITSEPMLSGWDTNIQVTKNFTQFFIKTMNYIVAQALSTTKHYAAIRVDMDDADASNTGTLPRRLFCLAQCAPDLVEDICYNCLKNFSDLATANFAGRQGGRILALRCNLRYDTDKFFAGKTNADEDEALIWGLQGRSSEFTIYDFSQVLEATDNFSEENKLGQGGFGPVYKGRFPDGVEIAVKRLASHSGQGLTEFKNEIQLIAKLQHTNLVRLLGCCYQGQEKILIYEYLPNKSLDFFIFDETRRALIDWHKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKAGNILLDREMNPKIADFGLAKIFSANDNEGNTKRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLILEIISGKKTSSFHRYGEFINLLGHAWQMWKDETWLQLVDPLLPTDSHTMEIMRCINIALLCVQENAADRPTTSEVVAMLSNETMSLPEPKHPAFFNMRLTNEDASTVVVAASSVNGITLSAIDGR >OGLUM11G22110.1 pep chromosome:ALNU02000000:11:25991866:25992843:-1 gene:OGLUM11G22110 transcript:OGLUM11G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTTSKSAGDGKPKPKTTSAAAAAAAVVSETATGSMTMRIAGYSQTKGIGVGNSINSSKFHAGGHTWYIAYYPDGDREEYSDWVSVYLCLARPAGAAAADDVVEAKFTLSLLSGTYGAVVEEKICTAKKFSFANGYWPSWGHTRFIKRKKMDSRLWSCLHLDGQSFYIRCNITMDITSGGRRCQAATTAVAVPPPDLHRHHAALGSGVGADVRIRVGGKLFAAHKNVLAARSPVFMAELFGNNGGKDQKEAKAAAAATGNGVIRIDDMDLRVFRAMLQFIYTDTLPKIDKGDTAFMAQNLLVAAHRYGIERLKSISVDMIRKGA >OGLUM11G22120.1 pep chromosome:ALNU02000000:11:25995800:25996231:-1 gene:OGLUM11G22120 transcript:OGLUM11G22120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVPPPLHLIASVTATILGSRAAASAFATTGEASATGVPPPPHLIASVTATILGSRAAASAFATTGEANATGSAPAARCLSVAQQEREKTLPLPSLQPHDFQWPAQAVEREEEARRRVVVRQPESPPLLPQAERHGGQYLFW >OGLUM11G22130.1 pep chromosome:ALNU02000000:11:25997594:26002739:-1 gene:OGLUM11G22130 transcript:OGLUM11G22130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAVAGGSSQQNLTSSSGSSDDKQPSSSSPMECQLKKYLVLLATLVATVTYAAGLNPPGGSWLEDGGGGGRWQLAGDAILQDTNYWRYIVFYWFNAISFAASLVVSLLFLLLHKGRPPPAPGDQAAHAHPGAGLNAPGGFWRSTEAAGDPVLPGLHPIRYKFFFFSNAISFIASLLAITFNVYYEKLDLKRIKFPLYGLTVTAILGLGGAYAAGSCRDSRHTGYVLGLIVPVLVCIFLQWFLVGSNAISLPFGTRGSKQYNNDLDKPCELIQLLAILVAIIAYQAGIDPPGGVWADNGASHSVGDPILLTTHPRRYKVFFYFNSVAFVASLVIMVMLQNEFLVRSHVLEAAMILDLFCLIGVYAVGSCRDTSTSIYTVALAGGVLIYVVIHILFSTLEKKSDKQGEEDKIKEHQLEKKRELLLLVAILAATLTYQAGLTPPGGFWENDEFGHRAGFPVLLDKFPIRYKAFFYCNATSFMASVALIVLLLNQNLYGPGIKCYALFVCMVAGMFSLIGAYAAGSSMHLRTSIVVLILVTVVFAAVVYVAIIGRGQRANINQHQSKQTQNQQTNKEDGMMDTPRQTQDQQEADMKKKADMMAKYLMLAGILAASVAYLTGLKPPGGLWRDEGNGHSVGNPVLYDIDKRRYNAFFYSNSTSFMASITVIVLLLRRMTKGDEHKLPLWPMHTAMLLDMLALLGAYAAGSTRNWCTFKDVILLLLPVLGFVVILFLWKKGGEEKHDGSK >OGLUM11G22140.1 pep chromosome:ALNU02000000:11:26006644:26011911:1 gene:OGLUM11G22140 transcript:OGLUM11G22140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAAAADLVNGGRPETDYQNQEIKIEKEKKTRTGNATGTVTEIEEETEIGTRTRKKTETKKGTGIGTGTRIGIATIGITVKEENTEIALMIMIATEAVILKGEETMNGMGVAGIGLAHALVLGAGIADLGLALVQKASELVDLIWRLQLKLWFHNFLLFQPQFPGTAIPGMFPNMLPMGVGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILGSSEVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASAEYDA >OGLUM11G22140.2 pep chromosome:ALNU02000000:11:26006644:26011911:1 gene:OGLUM11G22140 transcript:OGLUM11G22140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDHAAAADLVNGGRPETDYQNQEIKIEKEKKTRTGNATGTVTEIEEETEIGTRTRKKTETKKGTGIGTGTRIGIATIGITVKEENTEIALMIMIATEAVILKGEETMNGMGVAGIGLAHALVLGAGIADLGLALVQKASELVDLIWRLQLKLWFHNFLLFQPQFPGTAIPGMFPNMLPMGVGQFNPLVIQPQAMTQQATRHARRVYVGGLPPTANEQSVAIYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLNVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQLQKLVYQVGALPTKVVCLTQVVSADELKDDEEYEDIMEDMRLEAGKYGNLIKVVIPRPDPSGLPVAGVGKVFLEYADVDGATKAKTAMHGRKFGGNPVVAVFYPENKFASAEYDA >OGLUM11G22150.1 pep chromosome:ALNU02000000:11:26012499:26016266:-1 gene:OGLUM11G22150 transcript:OGLUM11G22150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAITVLGWLLSPIISLLVNRFISYLFDASPKIQELEIQTVPKLEQMLRKIEEERMHRKAKERSAVQNLDTLAKLVKSALYEAEDILDLIGYHQIEKDVIGDDEPQGSSSKWHPHIDDDAIHACKTSWIGRCITTLLEWAQRLYRSLRSRSAALLPISCSRCCGSASNSLLERLSCLSGQFDFIRCCQSLFIWSVNWFEVARSYRDWFYDATGITATGYQLEDGTAVYSFMPAIARWKLRKRIEKLENTVTNVEKSPYLTQTSSGAWNDIVNMNRRSITSSSTRKVFGRDRERDMIRSMLREDDSLPSSSSRKCYSVICIYGIPGSGKTTLAQYVCEYEKEDKDRYFDTVMLIYVSKTYRLEDIFRDMLEEITQSRHSEISDCRGLEAKLVENLRGKRFLLVLDDLWVNDENHEKLLSPLNVGKSGSRILVTAQSKEAALGSNRLIPISDLEEEQYFSMFMHYALDSTIFDDREYIPIGRKIAKKLNRSPIAAVTVAGQLWRNPDIRFWQTTANLDVLNKTKGALWWSYNQLVVDVRRCFQYCSIFPRRYELERDNLVRMWIAQGFVKDNDGNNEDVEDVGQDYFHDLHSCSFLQLKRKAPSDISTGEYFTVHDMFHELAKTIAGSDCVKIEKSITEHLPKHVRHLCIESYSEILFPEKILELKNLRTLIMCYSVEGMNQDDFERVLKKLTKLRVLKIANLINLRYMLTWYGLNIPDVGKLTSLRALYHFYVRKEKGYEIQQLEHLNNLRGKLFIDCIENVQSKEEAVRARLSDKVYLTELTLRWGGTDERCSKKALESYKKLFFPPVTEIKQHQPPELQEEVLEGLRPPSGITVLCIRDYSGVIYPSWLTGDGCDKEQEQDRPALQNLMFWSCKGSSDPPKIGEFFTCLHTLSVTDCSWNYLPVKLCRLKTLRELIVQECPNMMTLPKLPQSLKSIVISGCHPSLADTCLTPGHPNWRRIKHIDQQIIR >OGLUM11G22160.1 pep chromosome:ALNU02000000:11:26017609:26018114:-1 gene:OGLUM11G22160 transcript:OGLUM11G22160.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDEPATKAAGGEPKPSPATKAAGEQEKDDEEESSGGGGAEPEVSATPAVVVFSSSHMFIGEEKETREGYFGQLKCKKGENLVKWRIWQSNGLASVESGILTMPFRRMALWRNPLLGVAECLIPPREEIRFERRWGVH >OGLUM11G22170.1 pep chromosome:ALNU02000000:11:26025255:26034944:-1 gene:OGLUM11G22170 transcript:OGLUM11G22170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl hydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G06510) TAIR;Acc:AT3G06510] MPLPAFVAAAARLAVLAAAAATAANAASYARYRRRHLRRIPSPIDESADPLADFRAFPSSDADDSEEDNFFFGLATAPAHVEDRLEDAWLQFATETSCDDNGNVRDQRPVDALMASAAGDGGSQQSWRSTGGENIGDREQRKPLRVAMEAMLRGFEILAESGESAGGDNCSHNVAAWHNVPCPQERLRFWSDPDAELKLAKETGISVFRMGVDWARLMPEEPTEELKSSVNFAALERYRWIIQRVREYGMKVMLTLFHHSLPPWAGKYGGWKMEKTVTYFMDFVRLVVDRVSNLVDYWVIFNEPHVFVMLTYCAGAWPGGDPNAIEVATSTLPTGVYNQALHWMAIAHAEAYDYIHSKSKNERKPIVGVAHHVSFTRPYGLFDVAAVALANSLTLFPYVDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRILIQFNERYKRLNIPFVITENGVSDETDLIRKPYILEHLLATYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYFLFSRVVTTGKITRQDRMSAWRELQQAAVQKKTRPFFRAVDKHGRMYAGGLDRPIQRPFILRDWRFGHYKMEGLQDPLSCFIRCIFAPFSRQKKIHYIEDDSLGNGKFNFSTSILTGSDACGKNIG >OGLUM11G22180.1 pep chromosome:ALNU02000000:11:26028873:26029379:1 gene:OGLUM11G22180 transcript:OGLUM11G22180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVIADEIKAKAEVYYDDEICQQCTRLLLKEAGLPNGLLPLKDIMECGYVEETGFVWLKQKKRIDHVFQSLGRLVSYGTEITAFAEKGRIKKVKGIKTRELMVWVPVEEIALDEQKTGKLICKSIAGITKTFPASAFQIPEKEEKITCAIPKPVVLMERAHQVIKNN >OGLUM11G22190.1 pep chromosome:ALNU02000000:11:26036382:26038152:-1 gene:OGLUM11G22190 transcript:OGLUM11G22190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BM82] MDRPNLAVAVVGLLAVVAATLPAPSWQFFDLFLSPGPSHRSSGGGFGKWVLMNHEEYVEKKSLYAMKAAGDIGGKTIDASLSAAEEAKVTWVVDPKGKQGDTTFTTIAAALEKVPEGNTKRVILELKPGAEFREKLLLNITKPYITFKSDPANPAVIAWNDMAATRGKNGKPVGTVGSTTVAVESDYFMAYGVVFKNDAPLAKPGAEGGQAVALRLFGTKATIYNCTIDGGQDTLYDHKGLHYIKDSLIRGSVDFIFGFGRSLYEGCTIVSVTKEVSVLTAQQRTKTIEGAIESGFSFKNCSIKGQGQIYLGRAWGDSSRVVYSYTDMSKEVVPIGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTADQAKPFIGTHYIYGDSWILPPPDGKSAASTSTASKSTASAIPRNSTAPATAMVSNSTAPATPSNSTAPVTASNSTAPATASSSNPPATKSYSGPPATPSASSTPAKASR >OGLUM11G22200.1 pep chromosome:ALNU02000000:11:26040506:26042314:-1 gene:OGLUM11G22200 transcript:OGLUM11G22200.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BM83] MTMDRPNVAVAAVVLLVAATLPAPSWGQQQPPNGNKLITSTSGSGKGLSKWLAMNQEEYVEKKALHTMATAEELGGKKLDANLTAAEEAKVTWVIDPKGTPGDTTFTTITAALEKVPEGNKKRVILDLKPGAEFREKIFLNLSKPFITFKSDPKNPAVIAWSDTAATRGKDGKPVGTVGSTTVAIESDYFVAHGVVFKNDAPMAKPGAEGGQAVALRLFGTKAAIYNCTIDGGQDTLYDHKGLHYIKDCLIMGSVDFIFGFGRSYYEGCTIVSVTKEVSVLTAQQRSKTIEGALESGFSFKNCSIKGEGQIYLGRAWGESSRVVYAYTDMSKEVVPVGWDGWNIAKPESSGIYYGEFKCTGPGSDAKKRVGWALDLTEEQAKPFMGTHYIYGDSWLIPPAGTSTSSSSSASTSSNSTAEAPTASSNSTASANKKESNSTAEAPTATSNSTASATTSNSTASAKATNYSSSSPPAKGK >OGLUM11G22220.1 pep chromosome:ALNU02000000:11:26071307:26084852:-1 gene:OGLUM11G22220 transcript:OGLUM11G22220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFGEDDSRKLFFSIVSGSHSKCPPEVYDIVRKCGGLPLAIVVIASLLASQREKQEQWDYINRSLCYSLIANPNLEGMKQLLNLCYNNLPQYLKACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEEHDKEEISRACFDELVARKIIQPVHIDDNGEILSCVVHHMVLNFITYKSIEENFIIAIDNSQATTRYADKVRRLSVHFGNVEDATPPTNMRLSQVRTVATFGVLKCMPFIMEFRLLKVLVLHLLGDEDGITSFDLTKISELFRLRYLKVTTNVTLKLPTKMRGLQYLETLKIDGKIDAVPSDIIHLPGLLHLNLPAETKLPYGIGHMASLRTLGYFDLSCNSAENLWSLGELINLLDLQLNYSAINSDNVKNDMQCLGSILGKLRNLKSITISPSGSSYANVLHIDNATSMGICVDGSSSMSSPPALLQRFELLPCVCIFYNLPKWIGQLGNLCILKIGIREVTCDDVDVLGGLPALTVLSLYVHTKPEERIVFVGFSVLKYLKWSCSAAWMKFMVGAMPNLRKLKLVFDVHRADEHDNSVPVGIEHLSGLEEMSAKIRVARAADDRFVESALTNAIRMHPGRPRVNIRCIESDDGQDDDNVRAREEEEEEEGSTTRQKEHHVVKESSSESTCVVQEDPTATMFSRAGSSSSSSGAKTLAGGGAVGLSRYESQKRRDWNTFLQYLQNHRPPLSLSRCSGAHVIEFLKYLDQFGKTRVHTPACPLYGRHSDPPVGPCSCPLRQTWGSLDALVGRLHAAYEENGGRPETNPFAARAIRHYLREVRDTQARARGVSYEKKQRRQQQLMKPSSDSSAGARAPTMTRGRGRAAAAAPPARTAASASAVRAWVESQLPRGGAPFFFLRPPGGVDGSDGDGEGTLLVCIAAVRLIRLGCCFGGRYHHRMRMADHLKPRRRKKISMEGSMFNLPGRLDRLLLRHGSMLPKGAEEEIPLIKQDLEEIISVLHGHCSEPKLENHAMVVRCWMKEVRELSYDIEDCIDQYEHAATATRSRTGPNIRRRKFNQWHGKMIPWVPWKLKQRLWMANKIREFSLRAQEALQRHTIGDVSSTSSRQPMRFMESAGLVGINAAVNKLENLLDVCGEEKLKVVSIVGVGGVGKTTLANKLYCKLQRQFECRAFVQTSQKTDMRRLLINILSQVQPHQSTDNWKVHSLISSIRTHLQDKRYLIIIDGLWATSTWDVIKCALPDGNSSSRILTTTEIEDLALQSCSYDLKFIFKMKPFGEGDSRKLFFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLEKQEQWDYINKSLGYGLMANPTLEGMKQLLNICYNNLPQHLKGMTRKKFQVPILMSLWAEKSSSLYISMTVATIRFADKVRRLSIHFSNVEDATPPTNMRLSQVRTVAFFGVLKYMPFVMEFRLIKVLVLHILGDEDSIGIFDLTKISELVRLRYLKVTSNVTIKLPTQMQGLQYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTNLPSGIVHMTSLRTIGYFDLSCNSAENLWSLGELSNLRDLQLTYSEIHSDNLKDNMKYLGSILGKLRNLTSITLSPPGSSCPDNLHIDRDTKTRINVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELTVLSLYVHTKPAERIVFDNAGFSILKYFEFICSVAWMKFEMGTVPSLRKLKLGFDVHIADQHDIIPVGIEHLSGLEEISAKIRVACTAHDHCRRFAESALTNAFMMHPGRPSVNIRCVDWTFHDKDNDCVGTREEECRTPMKQEHFVKEDLSEKSAVLQNEHDEEAHKFVDRRYYSIMDAAEIRRCPWSINEEQEQPVLIYDARTKISQSSSMHGEFWAAVQRLTGPAATPAKTKRHLHLTTSPELEDGFLPVRSLVFPSAPDPRCNMKKKMMRAGPGGGRAVRSNWAPKS >OGLUM11G22220.2 pep chromosome:ALNU02000000:11:26071307:26084852:-1 gene:OGLUM11G22220 transcript:OGLUM11G22220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFGEDDSRKLFFSIVSGSHSKCPPEVYDIVRKCGGLPLAIVVIASLLASQREKQEQWDYINRSLCYSLIANPNLEGMKQLLNLCYNNLPQYLKACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEEHDKEEISRACFDELVARKIIQPVHIDDNGEILSCVVHHMVLNFITYKSIEENFIIAIDNSQATTRYADKVRRLSVHFGNVEDATPPTNMRLSQVRTVATFGVLKCMPFIMEFRLLKVLVLHLLGDEDGITSFDLTKISELFRLRYLKVTTNVTLKLPTKMRGLQYLETLKIDGKIDAVPSDIIHLPGLLHLNLPAETKLPYGIGHMASLRTLGYFDLSCNSAENLWSLGELINLLDLQLNYSAINSDNVKNDMQCLGSILGKLRNLKSITISPSGSSYANVLHIDNATSMGICVDGSSSMSSPPALLQRFELLPCVCIFYNLPKWIGQLGNLCILKIGIREVTCDDVDVLGGLPALTVLSLYVHTKPEERIVFVGFSVLKYLKWSCSAAWMKFMVGAMPNLRKLKLVFDVHRADEHDNSVPVGIEHLSGLEEMSAKIRVARAADDRFVESALTNAIRMHPGRPRVNIRCIESDDGQDDDNVRAREEEEEEEGSTTRQKEHHVVKESSSESTCVVQEDPTATMFSRAGSSSSSSGAKTLAGGGAVGLSRYESQKRRDWNTFLQYLQNHRPPLSLSRCSGAHVIEFLKYLDQFGKTRVHTPACPLYGRHSDPPVGPCSCPLRQTWGSLDALVGRLHAAYEENGGRPETNPFAARAIRHYLREVRDTQARARGVSYEKKQRRQQQLMKPSSDSSAGARAPTMTRGRGRAAAAAPPARTAASASAVRAWVESQLPRGGAPFFFLRPPGGVDGSDGDGEGTLLVCIAAVRLIRLGCCFGGRYHHRMRMADHLKPRRRKKISMEGSMFNLPGRLDRLLLRHGSMLPKGAEEEIPLIKQDLEEIISVLHGHCSEPKLENHAMVVRCWMKEVRELSYDIEDCIDQYEHAATATRSRTGPNIRRRKFNQWHGKMIPWVPWKLKQRLWMANKIREFSLRAQEALQRHTMYNNLGGITIASTTGGDACSATPWHPTHFREHTDNIRSVGIDADGMEAALNDLNKLKNLLASIPTASLEQFREHANKVCHIHPDMEAILNKLKNIPPGITTTSTTTRGDVSSTSSRQPMRFMESAGLVGINAAVNKLENLLDVCGEEKLKVVSIVGVGGVGKTTLANKLYCKLQRQFECRAFVQTSQKTDMRRLLINILSQVQPHQSTDNWKVHSLISSIRTHLQDKRYLIIIDGLWATSTWDVIKCALPDGNSSSRILTTTEIEDLALQSCSYDLKFIFKMKPFGEGDSRKLFFSIVFGSHSKCPPEVSETLYDIVRKCGGLPLAIVTVASLLASQLEKQEQWDYINKSLGYGLMANPTLEGMKQLLNICYNNLPQHLKGMTRKKFQVPILMSLWAEKSSSLYISMTVATIRFADKVRRLSIHFSNVEDATPPTNMRLSQVRTVAFFGVLKYMPFVMEFRLIKVLVLHILGDEDSIGIFDLTKISELVRLRYLKVTSNVTIKLPTQMQGLQYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTNLPSGIVHMTSLRTIGYFDLSCNSAENLWSLGELSNLRDLQLTYSEIHSDNLKDNMKYLGSILGKLRNLTSITLSPPGSSCPDNLHIDRDTKTRINVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELTVLSLYVHTKPAERIVFDNAGFSILKYFEFICSVAWMKFEMGTVPSLRKLKLGFDVHIADQHDIIPVGIEHLSGLEEISAKIRVACTAHDHCRRFAESALTNAFMMHPGRPSVNIRCVDWTFHDKDNDCVGTREEECRTPMKQEHFVKEDLSEKSAVLQNEHDEEAHKFVDRRYYSIMDAAEIRRCPWSINEEQEQPVLIYDARTKISQSSSMHGEFWAAVQRLTGPAATPAKTKRHLHLTTSPELEDGFLPVRSLVFPSAPDPRCNMKKKMMRAGPGGGRAVRSNWAPKS >OGLUM11G22220.3 pep chromosome:ALNU02000000:11:26071307:26076735:-1 gene:OGLUM11G22220 transcript:OGLUM11G22220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNGRTLSQISMEGSMFNLPGRLDRLLLRHGSMLPKGAEEEIPLIKQDLEEIISVLHGHCSEPKLENHAMVVRCWMKEVRELSYDIEDCIDQYEHAATATRSRTGPNIRRRKFNQWHGKMIPWVPWKLKQRLWMANKIREFSLRAQEALQRHTMYNNLGGITIASTTGGDACSATPWHPTHFREHTDNIRSVGIDADGMEAALNDLNKLKNLLASIPTASLEQFREHANKVCHIHPDMEAILNKLKNIPPGITTTSTTTRGDVSSTSSRQPMRFMESAGLVGINAAVNKLENLLDVCGEEKLKVVSIVGVGGVGKTTLANKLYCKLQRQFECRAFVQTSQKTDMRRLLINILSQVQPHQSTDNWKVHSLISSIRTHLQDKRYLIIIDGLWATSTWDVIKCALPDGNSSSRILTTTEIEDLALQSCSYDLKFIFKMKPFGEGDSRKLFFSIVFGSHSKCPPEVSETLYDIVCMLYLSMYQEDHIIWKDDLVSQWIAEGFICATEGHDKEEISSAYFDELVGRKIIQPVHIDDSGEVLSCVVHHMVLNFVTYKSIEENFIIAIDHSQATIRFADKVRRLSIHFSNVEDATPPTNMRLSQVRTVAFFGVLKYMPFVMEFRLIKVLVLHILGDEDSIGIFDLTKISELVRLRYLKVTSNVTIKLPTQMQGLQYLETLKIDGTISEVPTDIYLPGLLHLTLPAKTNLPSGIVHMTSLRTIGYFDLSCNSAENLWSLGELSNLRDLQLTYSEIHSDNLKDNMKYLGSILGKLRNLTSITLSPPGSSCPDNLHIDRDTKTRINVDGWSSVSSPPALLQRFELLPCVCIFSNLPNWIGQLGNLCILKIGIREVTSNNIDVLGVLPELTVLSLYVHTKPAERIVFDNAGFSILKYFEFICSVAWMKFEMGTVPSLRKLKLGFDVHIADQHDIIPVGIEHLSGLEEISAKIRVACTAHDHCRRFAESALTNAFMMHPGRPSVNIRCVDWTFHDKDNDCVGTREEECRTPMKQEHFVKEDLSEKSAVLQNEHDEEAHKFVDRRYYSIMDAAEIRRCPWSINEEQEQPVLIYDARTKISQSSSMHGEFWAAVQRLTGPAATPAKTKRHLHLTTSPELEDGFLPVRSLVFPSAPDPRCNMKKKMMRAGPGGGRAVRSNWAPKS >OGLUM11G22220.4 pep chromosome:ALNU02000000:11:26076952:26084852:-1 gene:OGLUM11G22220 transcript:OGLUM11G22220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFGEDDSRKLFFSIVSGSHSKCPPEVYDIVRKCGGLPLAIVVIASLLASQREKQEQWDYINRSLCYSLIANPNLEGMKQLLNLCYNNLPQYLKACMLYLSMYQEDTIIWKDDLVNQWIAEGFICAIEEHDKEEISRACFDELVARKIIQPVHIDDNGEILSCVVHHMVLNFITYKSIEENFIIAIDNSQATTRYADKVRRLSVHFGNVEDATPPTNMRLSQVRTVATFGVLKCMPFIMEFRLLKVLVLHLLGDEDGITSFDLTKISELFRLRYLKVTTNVTLKLPTKMRGLQYLETLKIDGKIDAVPSDIIHLPGLLHLNLPAETKLPYGIGHMASLRTLGYFDLSCNSAENLWSLGELINLLDLQLNYSAINSDNVKNDMQCLGSILGKLRNLKSITISPSGSSYANVLHIDNATSMGICVDGSSSMSSPPALLQRFELLPCVCIFYNLPKWIGQLGNLCILKIGIREVTCDDVDVLGGLPALTVLSLYVHTKPEERIVFVGFSVLKYLKWSCSAAWMKFMVGAMPNLRKLKLVFDVHRADEHDNSVPVGIEHLSGLEEMSAKIRVARAADDRFVESALTNAIRMHPGRPRVNIRCIESDDGQDDDNVRAREEEEEEEGSTTRQKEHHVVKESSSESTCVVQEDPTATMFSRAGSSSSSSGAKTLAGGGAVGLSRYESQKRRDWNTFLQYLQNHRPPLSLSRCSGAHVIEFLKYLDQFGKTRVHTPACPLYGRHSDPPVGPCSCPLRQTWGSLDALVGRLHAAYEENGGRPETNPFAARAIRHYLREVRDTQARARGVSYEKKQRRQQQLMKPSSDSSAGARAPTMTRGRGRAAAAAPPARTAASASAVRAWVESQLPRGGAPFFFLRPPGGVDGSDGDGEGTLLVCIAAVRLIRDT >OGLUM11G22230.1 pep chromosome:ALNU02000000:11:26095190:26096317:-1 gene:OGLUM11G22230 transcript:OGLUM11G22230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAMLNLPRRLEDLLHRHGSKLPKGAEEEIPLIKQDLEKIISVLHGHSEPKLEGQAMVVRCWMKEVRELSYDIEDCIDQYEHAGSRNESTIRRRKLSRRRASKNTWVVSEKLKRRLWMANKLREFSTRGQEALKCLDRYNNLGAIVGKAPTTSSSRGHVSCTSSTNWHLRDEHADNVQSVGDLSSSTSSRQQHTQFISESPNYIRHVGIDAAMNKIEDWLTDGEQYKNLKVVSIVGVGGIGKTTLANELYRKLRRQFQCRAFVRTSHKTDMRRLLINILSQVRPHQPPDNWKVHSLISSIRTHLQNKRCV >OGLUM11G22240.1 pep chromosome:ALNU02000000:11:26102324:26104949:1 gene:OGLUM11G22240 transcript:OGLUM11G22240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASAFLEAVMGKLFMVLEKQYSNYKALEREISSLQQEFRMVAAAMDDQVLSMGRRSKARTAVARLHAEEMLDLEHDVEDCIDRFMHLLTCKHNRGGVAHEVKKFMIRSSFANEIHEFKIRLGEVRQRVVNINDSHIACQSAGSSSSATAAVSTRSLVGIMKPMEELLSLLDEVEGEPNQMRVISIVGFGGLGKTTLAKAVYDSPQSKEKFRHRALVTAGGSPDQSSDWMRGILRDVLRQVRPGGDAMDVDGQHLESLLKEYLNDKRYLIVIDDIHVDQLRIIESTFPDSGTGSRIILTTDDQQVANTCSHGNGYVYQMKTLGKEDSKELAYSEFRSIEPEQQGPASLLAKCDGLPLALVSVSDYLKSFTDPTGELCAELCLNLGSDLKEDGHYSFSELRKVLLDNYDSFSGYTLSCLLYLGIFPNNRPIKKKVIIRRWLAEGYARSDNPYRSEEYTAEKNFRKLIDRNIIQPVDTRNNAEGKTYKTHGIMHEFLLNKSLAQRFIATSSHDHPRVGINTTNARHLSVDAGKQTECVASDEELSRVRSLTIFGNAGDAISYLRKCKLIRVLDLQECDDLNEDHLKRTCELWHLKYLSLGGNISELQRSIERLHCLETLDLRRTDIKFLPIEAIMLPHLTHLFGKFMLHKDDLNNVKKMSKLLKFFSSNKSNLQTLAGFITDEGKGFPQIVGHMKKLRKVKIWCKHVAGSSNYIADLSQAIQKFTRAPIDSDTDRSLSIDFEECSENFLGSLVLEACSEDYKYHLRSLKLHGKLLRLPPFVTMLSGLTELFISSSTLTQDHLSALISSKISK >OGLUM11G22250.1 pep chromosome:ALNU02000000:11:26118305:26122004:-1 gene:OGLUM11G22250 transcript:OGLUM11G22250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTCALWGAMFNLPGRLDELLRRHGSMLPKGAEEEIPLIKRDLEKIISIIHDHNHNHNDEEMEGHASGAIMVRRCWTKEVRELGYDIEDCIDQYEHDAAGCSSSRSIPHPRRKITRRRRRTIKMPPRLPDKLKQRLWMANMIGEFSLRVQEALQRHGTYNLGGSSSSTNDDASSDHRLSVGEYAHDRRHFGIHSSTAMDKLREWLDVDNGGEDKLKVVFLVGAGGVGKTTVAGELYGELRRRFECGAFVRTSQKPNITRLLISMLSQVRPHQSPKNWKVHTLISSIRTHLQDKRYLIIIDDLWATSTWDIIKCALPDGNNCSRILTTTEIEDLAFQSYDYDYKYVLKMKPLGEDDSRDLFFSTVFGPNSTCPTNLREVSCDIIRKCGGLPLAIVTIASLLAKLRKWEQWGYVNKDLCYSLMTNPTMEGIKQVMNLSYNNLPQHLKPCMLYLSIYQEDYIIWKDDLVNQWMAEGLTCGTQGHDNEEISGTYFEELVGRKMVQPVHINENGKVLSCVIHPMVLNFIKYKSIEENFITAIDHSQINTVIADKVRRLSIHFGNTKDASIPTNMRLSQVRTLAIFGFFKCMPFIVDFRLLKVLILHFWDDEDSTSFDLTKISELFRLRYLKIISNVTLKLQKQIQGLQHLETLQIDARVSAVPSDITHLTGLLHLNLPADTVLPDGIGQMTSLRTLSFYLNGNSIENVISLGELTNIRDLQFTCSSIQPDNLKKKMQCLGSIIERLRNLKSITLLPTRSSYANSLEDAGATSMRIPVDGLSSVSSPPAHLERLELLPRICILSYFPMWIGNLSKLCILKIGVRELVKNAIDVLGGLPALIVLSLYVHTKPEEIIVFDKTGFPVLKYLKFNCCVPWLRFKEDSVHNLRKLKLGYNAHRADEESTIPDGMEYLSLHLNEVSVKIGVADPEKYDKLSAELEYKLAFGFDMIHPTVTIRCVKHIFDCKVSKSRLAQEDYGKVEQPEILEEDTDVPDEVDEIKQDYGQEASKRADSK >OGLUM11G22260.1 pep chromosome:ALNU02000000:11:26150838:26184786:-1 gene:OGLUM11G22260 transcript:OGLUM11G22260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFNLPGRLDELLRRHCSILPKGAENEIPLIKQDLEEIISILHGHCSEPKLEDHAMVVKCWMKEVRELSYDIEDCIDQYEECIEQYEHITAARYYIRRHKFNRRHGNRLPPWVPERLKQRLWMANKIREFSLRVQEVIQRYAMYKNDLSGIASTASTTTIISDVSSSSSWHPAPGGKCGYFGIGAAMNKIEDWLTDGEHKKLKVVSIVGVGGVGKTTLADELYRKLGHQFEYRAFVRSSHKPDMRSILISMFSQIHPQQPPDNWKVHNLISSIRTHLKDKRYLIIIDDLWSTSTWDIISCTLPDGNSCSRILTTTEIEDLALQSCSYDSKYIFKMKPLGEEDSRNLFFSTVFGSRPTCPPELSEVSYDIVKKCGGLPLAVVTIASLLASQLEKQEQWDYINKTLGYSLMANPNLEGMKQLLSLCYNSLPQHLKACMLYFSTYQENTIIWKDDLVNQWIAEGFICAIEGHDKEEISRAYFNELVDKKIIQPVHINDNGEVLSCIVHYMVLNLITNTSIEENFIIAIDHSQATTRLADKVRRLSIHFSNVEDATPPTNMRLSQVRTLAFVGFLNCISFIMGFRLLKVLILHFWGDEDSISFDLTKISELVRLRFFKVTSNVTLKLPTQMQGLQYLETMKIDGKIGAVPSDIIHLPACLLHLSLPAKTNMPNGIIHMSLLRTLGYCDLSCNTGENISSLGELTNLRDLQLTYSSAIQFDNLKNNLQCLGSVLGKLSNLKSMTLSRAGSSYENTLQAESATSMRISADGLSSASPIEPLDHNQLSLDDLDAIKVIGKGSSGIIQLVRHKWTGQFFALKVIQLNIQENIRKQIAQELKISLSTQCQYVVACCQCFYANGVISIVLEYMDSGSLSDFLKTVKTIPEPYLAAILKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKYGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFISACIQKNASDRSSAQILLNHPFLSMYDDLNIDLASYFTTDGSPLATFNTSNDEQLATDMMTDGSILGKLSNLKSMTLSRAGSSYENTLHAENATSMRISADGLSSVSSPPALLERLELLPLGEFEGEFD >OGLUM11G22260.2 pep chromosome:ALNU02000000:11:26150838:26184786:-1 gene:OGLUM11G22260 transcript:OGLUM11G22260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAVFNLPGRLDELLRRHCSILPKGAENEIPLIKQDLEEIISILHGHCSEPKLEDHAMVVKCWMKEVRELSYDIEDCIDQYEECIEQYEHITAARYYIRRHKFNRRHGNRLPPWVPERLKQRLWMANKIREFSLRVQEVIQRYAMYKNDLSGIASTASTTTIISDVSSSSSWHPAPGGKCGYFGIGAAMNKIEDWLTDGEHKKLKVVSIVGVGGVGKTTLADELYRKLGHQFEYRAFVRSSHKPDMRSILISMFSQIHPQQPPDNWKVHNLISSIRTHLKDKRYLIIIDDLWSTSTWDIISCTLPDGNSCSRILTTTEIEDLALQSCSYDSKYIFKMKPLGEEDSRNLFFSTVFGSRPTCPPELSEVSYDIVKKCGGLPLAVVTIASLLASQLEKQEQWDYINKTLGYSLMANPNLEGMKQLLSLCYNSLPQHLKACMLYFSTYQENTIIWKDDLVNQWIAEGFICAIEGHDKEEISRAYFNELVDKKIIQPVHINDNGEVLSCIVHYMVLNLITNTSIEENFIIAIDHSQATTRLADKVRRLSIHFSNVEDATPPTNMRLSQVRTLAFVGFLNCISFIMGFRLLKVLILHFWGDEDSISFDLTKISELVRLRFFKVTSNVTLKLPTQMQGLQYLETMKIDGKIGAVPSDIIHLPACLLHLSLPAKTNMPNGIIHMSLLRTLGYCDLSCNTGENISSLGELTNLRDLQLTYSSAIQFDNLKNNLQCLGSVLGKLSNLKSMTLSRAGSSYENTLQAESATSMRISADGLSSASPIEPLDHNQLSLDDLDAIKVIGKGSSGIIQLVRHKWTGQFFALKVIQLNIQENIRKQIAQELKISLSTQCQYVVACCQCFYANGVISIVLEYMDSGSLSDFLKTVKTIPEPYLAAILKISDFGVSAIIASSSAQRDTFTGTYNYMAPERISGQKYGYMSDIWSLGLVMLELATGEFPYPPRESFYELLEAVVDHPPPSAPSDQFSEEFCSFISACIQKNASDRSSAQILLATDMMTDGSILGKLSNLKSMTLSRAGSSYENTLHAENATSMRISADGLSSVSSPPALLERLELLPLGEFEGEFD >OGLUM11G22270.1 pep chromosome:ALNU02000000:11:26186742:26191488:1 gene:OGLUM11G22270 transcript:OGLUM11G22270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASALLKSVMGRLFMALEKEYSKHKGLAQETHSLQQDLRMIAAAMDDQLRVLGRSDARNAVARLHTEEMLDLSHDIEDCVDRFLHRLTCNHKRGSGSNGAGPGGASSMVRRMAHELSKVQSRSSFADEIQKLKRRIREAHQRVMDIKSIVDVIAGGQPTTGAMSSSATAPCRNTCNPVGIGEPVEELLSLLDEVEGEPEQMRVISVVGFGGLGKTTLARAVYDSPGAKGKFSHRAWVTIGTSPERDSGILHALLQQVLPKDAIGVDGQHDLEALLKEYLKDKRYLIVMDDINMEQWSIIRSTFVDNGTSSRIILTTTIQSVANMCSHGNGYVYKMNTLGEEDSKTLAFPGFRSPELEQGSESLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKERDGHYSFSELRKVLLDSYDSFSGYALSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDSLRREEDIADENFSKLIDQNIVQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGINTNARHLSVHAGELTESVESDEELSRVRSLTIFGDAGHAICYVRKCKLIRVLDLQECNDLDDEHLKYICKLWHLKYLSFGSNISELPRSIEGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHRDDVNSVNKMTKLQKFFSSKKSNLQTLAGFITDESKGFLQHIGHMKKLRKVKIWFKHVAGSSNYIADLSQAIQEFTKAPIDRDIDRSLSLDSEECPENFLSSLDLETCSEGSKYALRSLKLNGELHRLPPFVTLLSGLTELCISSATLTQGHLSALINLNRLLYLKLVAYKLVNFEIKHGAFPSLRRLCFVVKSVTSALPTIEHGALPNLISLQLLCQGLVGLSGIEIKHMKYLKEVTINSGVAIQWEQAAKNHPNRPKILILRKVNPMESEEPERPCAIREQRKISVAQTTSLDDGLDSSLNKMRLSKPSSSRLQVFVHPVVITATEAAPQSSLANL >OGLUM11G22270.2 pep chromosome:ALNU02000000:11:26186742:26190795:1 gene:OGLUM11G22270 transcript:OGLUM11G22270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASALLKSVMGRLFMALEKEYSKHKGLAQETHSLQQDLRMIAAAMDDQLRVLGRSDARNAVARLHTEEMLDLSHDIEDCVDRFLHRLTCNHKRGSGSNGAGPGGASSMVRRMAHELSKVQSRSSFADEIQKLKRRIREAHQRVMDIKSIVDVIAGGQPTTGAMSSSATAPCRNTCNPVGIGEPVEELLSLLDEVEGEPEQMRVISVVGFGGLGKTTLARAVYDSPGAKGKFSHRAWVTIGTSPERDSGILHALLQQVLPKDAIGVDGQHDLEALLKEYLKDKRYLIVMDDINMEQWSIIRSTFVDNGTSSRIILTTTIQSVANMCSHGNGYVYKMNTLGEEDSKTLAFPGFRSPELEQGSESLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKERDGHYSFSELRKVLLDSYDSFSGYALSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDSLRREEDIADENFSKLIDQNIVQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGINTNARHLSVHAGELTESVESDEELSRVRSLTIFGDAGHAICYVRKCKLIRVLDLQECNDLDDEHLKYICKLWHLKYLSFGSNISELPRSIEGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHRDDVNSVNKMTKLQKFFSSKKSNLQTLAGFITDESKGFLQHIGHMKKLRKVKIWFKHVAGSSNYIADLSQAIQEFTKAPIDRDIDRSLSLDSEECPENFLSSLDLETCSEGSKYALRSLKLNGELHRLPPFVTLLSGLTELCISSATLTQGHLSALINLNRLLYLKLVAYKLVNFEIKHGAFPSLRRLCFVVKSVTSALPTIEHGALPNLISLQLLCQGLVGLSGIEIKHMKYLKEVTINSGVAIQWEQAAKNHPNRPKILILRKVNPMESEEPERPCAIREQRKISVAQTTSLDDGLDSSLNKMRLSKPSSSRLQVFVHPVVITATEAAPQSSLANL >OGLUM11G22270.3 pep chromosome:ALNU02000000:11:26186742:26190795:1 gene:OGLUM11G22270 transcript:OGLUM11G22270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAASALLKSVMGRLFMALEKEYSKHKGLAQETHSLQQDLRMIAAAMDDQLRVLGRSDARNAVARLHTEEMLDLSHDIEDCVDRFLHRLTCNHKRGSGSNGAGPGGASSMVRRMAHELSKVQSRSSFADEIQKLKRRIREAHQRVMDIKSIVDVIAGGQPTTGAMSSSATAPCRNTCNPVGIGEPVEELLSLLDEVEGEPEQMRVISVVGFGGLGKTTLARAVYDSPGAKGKFSHRAWVTIGTSPERDSGILHALLQQVLPKDAIGVDGQHDLEALLKEYLKDKRYLIVMDDINMEQWSIIRSTFVDNGTSSRIILTTTIQSVANMCSHGNGYVYKMNTLGEEDSKTLAFPGFRSPELEQGSESLLGKCDGLPLALVSVSDYLKSSSEPTGELCAKLCRNLGSHLKERDGHYSFSELRKVLLDSYDSFSGYALSCLLYLGIFPNNRPLKKKVVIRRWLAEGYARSDSLRREEDIADENFSKLIDQNIVQPVDTRNNSEVKTCKTHGIMHEFLLNKSLSQIFIAKSSRDHPRLGINTNARHLSVHAGELTESVESDEELSRVRSLTIFGDAGHAICYVRKCKLIRVLDLQECNDLDDEHLKYICKLWHLKYLSFGSNISELPRSIEGLHCLETLDLRRTEIKFLPIEAIMLPHLAHLFGKFMLHRDDVNSVNKMTKLQKFFSSKKSNLQTLAGFITDESKGFLQHIGHMKKLRKVKIWFKHVAGSSNYIADLSQAIQEFTKAPIDRDIDRSLSLDSEECPENFLSSLDLETCSEGSKYALRSLKLNGELHRLPPFVTLLSGLTELCISSATLTQGHLSALINLNRLLYLKLVAYKLVNFEIKHGAFPSLRRLCFVVKSVTSALPTIEHGALPNLISLQLLCQGLVGLSGIEIKHMKYLKEVTINSGVAIQWEQAAKNHPNRPKILILRKVNPMESEEPERPCAIREQRKISVAQTTSLDDGLDSSLNKMRLSKPSSSRLQVFVHPVVITATEAAPQSSLANL >OGLUM11G22280.1 pep chromosome:ALNU02000000:11:26191819:26193607:-1 gene:OGLUM11G22280 transcript:OGLUM11G22280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAASCAPEKTASSQATFDLLLLTATTSSLEGEKWRRQLRERRWGAKRGFDGDEEDKVCESFEQRCAHSFGDQVGIRRREQGDR >OGLUM11G22290.1 pep chromosome:ALNU02000000:11:26195568:26198764:1 gene:OGLUM11G22290 transcript:OGLUM11G22290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHNLMLLLPCLIFSTLLHIEAMSVAVVKVSTTPIFPTIPRAQTNKDFQVLLRVEVPPAADLNSHVPIDVVAVLDVSSSMNDLVAAVSPESNLQASRLDVLKASMKFIIRKLDDGDRLSIVAFNDGPVKEYSSGLLDVSGDGRSITGKKIDRLQAHGGTALMPALEEAVKILDERQSSSRNRVGFILLLTDGDDTTGFRWSRDVIHGAVGRYPVHTFALGAAHDPEALLHIAQESRGTYSFVDDDNLGNIAVALAVCLGGLRTVAAVDTRVSLKAAELSGGARIVRVDSGGYESSVACGGASGEVVVGVLYAGEVKNFVVHLHVPAASSTTLTFSSSECGYYCGAATVCDHCHHRHQQQLLAVGYSYSHAPGAAAAAVSVEGHGVFVERPEVAAVFVSVDGGRQQQIPLPSPVVMQHMVRFELLELVAGFAEDEMASKPATTKTKPRAADVLQGKWEEFRRARQFWGGVELDGVEREVDAMVASLRGGLAYVSSWVSSHQMQRATAMGSPEKVVAEFMTPAMVIMVEEARKLPPPPPPAAAAAEAARERPGGCDGGDDLHYVIRQRLELWSKVRREVPLMYQASSEQEDVQLTAVFREASLEAIDRAMHHDIYQTFQS >OGLUM11G22300.1 pep chromosome:ALNU02000000:11:26207268:26222547:-1 gene:OGLUM11G22300 transcript:OGLUM11G22300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGSWIVIAKGRLNIQIPQALKKYYVGGKIYKNRPCRPPFERQADVAYGVVTVPCAHFALSLLQPSQQSDDSSAPLCLPSLATGTNLSPSLRFDEIWYRIGCANHSVLLSFGGFLADLSLILLKIILFMFTTLEFGAIALSSPGSAGKEGGRRRYDKEEGQGAKIESNCQFIDQQHLNIKGTRVGVFFTDKLTSCHSEEVHNMSEAIVLAISKIDACLANGSASKNAISKLYKKENRVKELPNKMEQIKRQLDSTNEFILQQIGTEHPSDPLDENWIATARRLAIIVEDVMHKYLHHALRLQEEGSQRHPVKGPDYATVFGTMVDVINNIIIRIGCLLLIGEKIHPTSQPLPSQHSPAWLQDSFARSPSLNAYVKQKLIGTDGAVKQLLEFLMPREDESVLQAKVLSIMGLGGIGKTALAIEVYRNLQRQFGGNAFVTVSKKPDMKRILRDILCQISLQNYSADMNETQLISQIGQNLKDRRYLLVLDDLWDTKPWETIKSALPDGNSGCRVIVTTRLISIAKTCCSGPPYSLESCSLYLSTYPENHKIERDSLIRKWIAEGIIPEESEHSLEDVANMNFDELINRNVIQQVDNNFGEDTYEINSIMVYIIRKISQERNFATFIFDVDILGSCCSDLDNSDMDDICHMILLQYLSLSKTQITELPPEIANLRHLKTLAVTQTHITKLPPQIGKLQSLEALDVRDTRLKELPKELVEMQKLKKLDLRQTQINDLPMQIRKLENLRTLDVRQTQLKELPKDLIRLPNLTHLLFGQSVFHGGMKLLVGGNPSKSLKVLGAVDSRQCSTKIIEELSGLTELRELAVVCYDGPDDNQWNHNLLNSISNFRKLQSLTIYGDFNLSNVVFASSDPPQIRKLKVAGRCLNVPDWFGQFTNTAILDIRVCRLEENDLRILGNMSSLRRLVLTHVHLPTEELVVSSDTGFEKLEAFALDSRVPWVTFEMRAMPSLEHLELKLYAGPGGEIRSGIGPARKKIPSGIVHLGSLMKIIIRYSLHYKSSVSVANTIDAITKEAREHDNLMVLSVNVIAAEKRGAQVTIMELVVGASEATMRSLLSKLGGLLSHEYALIRSVRGDIQYIRDELMSMQAFLRDLRAGPEGHGDDHDHRMKDWMKQIRDVTYDIEDCIDDFAHRLSHDPGGDIVMCGFVVSRAYELLTWRPRRDIASNIAELKMRAQQIGERRTRYGVENPKKGDQNKSGPAATTINGFDAAGNQQHTNLELVGVGGGVLNEPVGVVDDMTNLAEWVTNKDIKEGVLSILGFGGVGKTTIATALYRKLGDQFDRRAMVTVSQSSDVEAILRSILAQVMPQSKDGEEQQQQEKRFIKEHRRPATSKMMKKKKRRRLDRSIAMRWHWQDDDGGGGSLLRRRRRNNNNRATQYSDDGRLQGDKFTVTAISNLLGCVLTKQSRHRAPQQGATSVGNFEKKKTSINIGTMKLEDLSKELKNHLKDKRYFLLIDDVWSVTTWEQIRKYLPSCEKGSRILVTTRFSTVATACKRKEEDRIHNVKALCGEEPGELFKQVVMSESKVNRDTIDFPPRIWEMCGGLPLAIVTMAGFVACNPEKQQQHWIEVCKSLVPESGKVLSQDGVTRILSHCYNDMPAELRTCCLYLSIFPKGSKISRKRLTRRWIAEGFVSEKQGLSVEDVAEACFNHLMRRKIIRRVEHSSNGKVKNCQVHDMVLEYIVSKASEENFVTVVGGHWLMPPPSSKVRRLAIQSGDSKCGSATDSMNLSHVRSLTMFGSLSQLPSNSFKFGIVQVLDLQGCKGFKQHHAKEICKMLLIKYLSLRRTDVKKLPKNIGKLQYLETLDIRETNVTKLPKSVCLLERVVNILGGNKRTRKALKLPEDVKKTMKALRILSGIEIVGESTSAADFHHLTDLRKLAIFKINVTRGDKLSEDLRSSIEYLGGYSLHSLVIDDDSSEFLESLGALSCPPKFLISLELSGTLVDLPRWITQLQDLTKLTLSVTALRTDNLRHICQLKKLFSLTFSLTEAKSHPLSTAILEDNKTYSDGEILVPAGGFEKLKILRFSAPLLPILSFQEKAMPSLERLELRFSILEGLFGIQNLESLKEVHLRVNYKAGAVTKSIVENVATAAKKENLPTAVHKDDKGPIIIVDQYYD >OGLUM11G22310.1 pep chromosome:ALNU02000000:11:26239992:26240258:-1 gene:OGLUM11G22310 transcript:OGLUM11G22310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSLNGIRVADEILRLVPDTAAFRTMLRCVKHWAKARGVYSNVASFLGGIGWAILVVCVCQLYPNTFPACCSRASSTSLRGGSGPAR >OGLUM11G22320.1 pep chromosome:ALNU02000000:11:26268575:26276257:-1 gene:OGLUM11G22320 transcript:OGLUM11G22320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSVATGALAPVLSKLSALLGDEHLELAERTRSDAMFIRSQLEAVHSLLLPRINWGMTGEDVDALCKDELMAAVRELSYDIDDAIDDFFLEGGDGGPFDDELKTRVDDVSKRFSDSRYWRPPVEQHQPSLTAATVDSPPPHARFVHNMMDVSEFVEMEQHLKELIKLLEQGADTSTYASRKILWSRCRKESGANRTIHLDGRGIRLNGCIGEVWVELDGEGANIRKLLSTLRNKAGHAQFVQVEDKGKKVEETTTMPCEFHEVKTVCILGLPGAGKTTLAKLLYSHHSTTEQQFQYRAFVSVSPGANLTQSLADIFLQVGADNDATPYCGTETPHQQYLIIIDDVWHWEEWEVIRKSIPKNDLGSRIIMTTRLNSIAEKCRNDDIDAFVYETETLDYVDAWLLCDKVARKSVTCMNINPCYDIVDMCYGMPLALICVSSALAEEIQALAGDEQQKWRALRRVEDGILDIPSLKPLAESLCLGYNHLPLYLRTLLLFCSVYHWLDGGIVERSHLVTRWIAEGFVSEEKEAEGYFDELVGRGWIKHREWNEYEIHPMMLAILRYKSKEYNFVTCLGMGSDTSTSASLSYSSPTMMIRRLCLQRGYPRKCLSSMDVSHIRSLVILGDVIGVPLDMFKRLRVLDLTDNLDIEDSHLKNICEQLESLRLLKYLVLMGTRITTLPQEIQKLKHLEILCMRNTYIRDLPSQIGELKHLRILDVRNTEVRELPWQAGQIWESLRVLTDDSEEGMQLPKGVCEDLIKGIPEADLAKCREVLSIPIVDRLVSPPVGIFKVIGLRKCIPEMFKDYFDVLSCLDIWLWKLEEEDHEFLANNMPNLQMLVLRFEAPAREPIIINNTGFQMLERFHVDSRVPRITFQEGAMPKLKHLEFKFYAGPPSNDPAVGITHLLSLQKVVFRCSKWYKSDNPGIKATIDVVKKEARQHPNWLISLLITEGDKEVPIEAHGRAVKVHCMPSSLAAVGSSSTLLLTTPSLAPAAAEPALVSKRKQPEIEYQLRICSRRSLTTAKKQKETS >OGLUM11G22330.1 pep chromosome:ALNU02000000:11:26280996:26284296:1 gene:OGLUM11G22330 transcript:OGLUM11G22330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVVGASEATMRSLLGKLGNLLAQEYALISGVRGDVQYINDELASMQAFLRDLSVLPEGHYHDHRRKDWMKQIRDIAYDVEDCIDDFAHRLPQDSISDAKCSFLVTKMYELLTWWPRRDIASRIAELKVRAQQIADRRNRYGVNNPELHCDSSYRPRARSAAQDIAEYQVTKLQIIGIREPVGMKTVMEDLEKWLTEPQPENGRAVLSIVGFGGVGKTTIAMALYRKVSGKFGCRASVAVSQNYDQDAVLRSILNQVSNQEEAGSSTESSRDTTASREENTAEPGTKSTLIKLKKAPSLSLLGRNDDKTPVRQQETMGYVQLRKELKRRLGEKRYILLIDDIWSAKTWSDVVIPFLPDEANNNSRIIVTTRFHAVGSTCSPRHKNVEATSSPRPGNDRVHKNVEATSSPRPGNDRVHTVNFLSDDESQNLFNASIPDSMKSADRDKELSSICGGLPLTIVTMAGLVACNPNKTDCDWRKLCKSLFPDPVTTLNLDGVTRILDCCYNDLPADIKTCLLYLSIFPKGWKISRKRLARRWIAEGFATEKQGLTEEEVAETYFNQLTRRNLIRPVEHGSNGKVKAFQVHDMVLEYIMSKSIEENFITVVGGQWQVTAPSNKVRRLSMQSSGSKNGNSTKGLNLAQVRSLTVFGNLNHVPFRSFNYGIIHVLDLEGWKGLKERHMTEICQMLVLKYLSIRRTEIAKIPSKIEKLEYLETLDIRETDVKELPKSVGQLKRINSILGGNKKTREGLRLPQEKGNKTIKNPLPQGKTKEPAKKGFLSQEKSKGIMKSLHVLSGIEIDEESAAVAASLHQLTGLRKLAIYKLNIEWRSETFQELRSSIEYLGSCGLQTLAINDENSNFIDSLDDMSAPPRYLIALELSGKLYRLPEWITSITTLNKLTISITVLTTETLEILRSLPSLFSLTFASSLRASKKDLDKIKDILENNKLATDGEIVIPAEGFKSLKLLRFFAPFVPKLSFSDKNAMPALEIIEMRFKDFEGLFGIEILENLRGVHLKVSDGAEAITNFLASDLKDNTEKPKVFVDGIVTA >OGLUM11G22340.1 pep chromosome:ALNU02000000:11:26288630:26291711:1 gene:OGLUM11G22340 transcript:OGLUM11G22340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEDQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASSESFNAPLPANRVNHITDSISFTPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRQRHVESWEIITMERSNIAENAEYAKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQETAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKAEATTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSLEPDKQVKRQFEFKTHDGSDSRLEPVRTVPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALNKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLLYSEQFEEDMVQEDGKPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDVIWEDGHPLIWNPIDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIEGXYIYIYI >OGLUM11G22350.1 pep chromosome:ALNU02000000:11:26293316:26293744:1 gene:OGLUM11G22350 transcript:OGLUM11G22350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAASHHGNVPAASETTATGHLSCRWSQQHLWRGAPAELRPPHRRPAHAPWPRRPPFLHRRRLLPCARTAFLSPPRRAGLGSSPTPPPGLVVAGQDQGAPPPVGVPDPAKGGLDPSSPSPPPGLVVAWLAPLPPPSLVVV >OGLUM11G22360.1 pep chromosome:ALNU02000000:11:26295179:26300101:-1 gene:OGLUM11G22360 transcript:OGLUM11G22360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTEDGGEVQPTETTTDDSSSPTTAEKEEQAASTGMEIVKAGNGNAQETGGLSLNYEEARALLGRLEFQKGNVEAALCVFDGIDLQAAIQRFQPSLTDKTTSKKGQTKSESGIENPATLVLEAIYLKSLSLQKLGKSTEAANQCKSVLDSVESMFQNGPPDIEQKLQETINKSVELLPEAWKQAGSHQEALASYRRGLLSQWNLDDECCTRIQKRFAAFLLYSCVEGSPPSSGSQVEGSFIPKNNVEEAILLLMVVLKKWYQGKTHWDPSVMEHLTYALSLCDQPSLLAKNIEEVLPGIYPRTERWYTLSLCYYAIGQKEVALNFLRKSLNKHENPNDIMALLLASKICSEERHLASEGVEYARRAIKLSESSDVHLKSVGLHFLGNCLGKKSKTVSSDHQRSLLQNETLKSFSESIALDRHNPDLIFDMGVEYAEQRNMNAALRCAKEYIDATGGSVSKGWRLLALVLSAQQRYPEAEVATNAALDETAKLDQGSLLSLKAKLKVAQSSPMEAVEAYRALLALVQAQKNSSGSSKTDAEGHNDSVSEFEIWQGLANLYSSLSIWRDAEICLQKARALKSYSAATMHAEGYMLEVRDQNKEALAAYVDAFSIELEHVPSKVAIGALLCKQGSRYLPAARSFLSDALRIEPTNRMAWLHLGKVHKNDGRINDAADCFQAAVMLEESDPVESFRSLA >OGLUM11G22370.1 pep chromosome:ALNU02000000:11:26301492:26302164:1 gene:OGLUM11G22370 transcript:OGLUM11G22370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNDVMRVVEILAMPQQLIVLLLLFHATAAPAGGQRAGCPSKCGEVDILFPFGVGVDCALPGFNVSCNHSFAPPRPYIANDNEFIDVTLETGETPSSDAIWQGLDLTGTPFLVSPERNEFTATGCDTLGMMYGREDVSFLTGCVTTCTSLGTAANDGDNCTGLGCCQVQSIPGNLTLLGMTLTANITDTKISAWNPCRYAFITERDRYV >OGLUM11G22380.1 pep chromosome:ALNU02000000:11:26305362:26321118:1 gene:OGLUM11G22380 transcript:OGLUM11G22380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAAADSSGPRYAPDDPTLPAPWKGLIDGSTLYYWNPDTNETQYERPVAAVPPLPTGPPPVTSTPMPTSASGAFSQPSMQSNQGGQVSQSQQERPGQTVYPQASHLGHQQLQQPTQQPPFQPTAQHQAPFQHSQRAPYQQQQQQMSQQPPAHQYPSTHPQHMPYQHGHYMQPQQQQFQQGPQYSYQVGQQQQMPQAAYNQGQQQPISQAAYNQSQQPAQAAGAYNQGQQPPVSQASYNQNQQSTQAAGAYNQGQQPSMPQASYNQVQPPQMPHATYNQGQQPPGMRIPQGQVQPQQSPSFHQPAQVSQVLQGSQSQGLQMPSQQGQLQHGFHLTTPQGKQPHHGHVGPQLSQVSLGQQSSTLKVDETGVTGGLDGKQTGFSLPLSQQRGQGPVLKQQLPSNHQLPGSHNQPNIPGAGGPSYPAKHHLGGSSPGEANNMNFLSSPAQMHQGSMDINYRQHPASGPVVPNHIGPSPVRPPMGFKMGSSEDHFERNELYSAGRMDGTNNLQQQPKLAALPPLNRPQDMRNGLPYPQPDNLGAFNMGPPHSVPNLHNHGPFPEASMRPPSRMFAPPNFPSIASADAYRQHHEVTAVGENVPPPFMTFEATGFPPEILQEIHAAGFLNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRYQNNPMLGPTVLVLAPTRELASQIQDEAVKFGRSSRVSCTCLYGGTSKGLQLRELERGADIVVATPGRLNDILEMRKISLHQVSFLVLDEADRMLDMGFEPQIRKIVDEIPRNRQTLMYTATWPKEVTKIAGDLLKDPVQVNIGSIDELVANKSITQYVEVVPPLDKQRRLEQILRAQERGSKVIIFCSTKKMCDQLARDIGRSFGAASIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAGDLVKVLEGANQHVPPELQEMAARGAAGAPRNQAGGMSRWDGPGGGGNRFESAVGIPGSYGGIRDGPGGFGGRDGPGGFGGRDGPGGFGGRDGPGGFIGREGPGGFGGREGPVGFGGQESPGGFGGRKGPGAFEGREGAAPGSFGGRGGRGPGGFGGRGGGSPGGFGGRGGRGDSPGFGGRGRGDFSGFGGRGRGDSSGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRFISDGRGRYDNRRGFGGKGRDRSYSRSPDRGRSRGYDRRSGSRSLSSRSRSQSRSWSRSRSRSRSWSRSRSRSRSPSRSRSRSYDQGAGPARRPRPRSGFDVLPPATGAGPASTGPGPVAVPAPGSAGPVPPQVPAQSLADASAMSPMSPGGLAQEGAPFNGGNDGGLVPAQGVLPFQGSDVAMPPNFAAAEAFPGPAVEQEAPDWSCCSRCCCRLGPSAAKSTSARVPGVIPKPINLPSQRGTATQGDKPMPPASNAWGSPSVMYHKNGGGSDLFSHISDRPSSRGSSTTSTIGSDFLDIPSVRGPKSSHSSVSHVLPPNHLPTAANRLQSTVTIARSSRPSRFPDSFTQVLKAPLRTNNRKRGLKMPEKGFTLIMDDFPVLGSVNSESNTRRGHNLQGRSTFGSGTQIAQHEQRKIHLTGVGEVISSSNYEHEHDLRTDYVNEGDAQVTAAILPWGAKHAQQHGTNAPKQSVPPPWFNYWHPPPDHPPDGNEMLHEGATTYGSDKPADPHMICSVEPLAYYGQFLLNQEAAPMQDPGYSGYISDNQDGYHCDMEADALVIIQPHILGKVKHGHSEGLQKQPLIKKDVALLEKIKCLNIKARKLRACKISELSSSKESMIERSKNTDEKADHVKKDVPFSSITSDTISAFDSTSSFSESSDFVPSNSANVPGSATITSSSELEATEFRKAGEPGKLGDHDAYGRVSTSRSRHDGSAKNMSSNISENGWEEHSTVDSLQVVMANAQQDKSFSRNLSLQVHVAAVDEMLNLLDNEIQLHSRTRELSAHHAKQVLEDQDWNSQQKAKSITELDELIRHSPEQSQKTNDAPLEEDNLHLRQKDGSHGTTTYCIASSESFNAPLPANRVNHITDSISFTPASNTTGISKDPVIHKVISPAKNTEINMMETAPKSTSQSQGNSAPKHWKIDNRQRHVESWEIITMERSNIAENAEYAKNIAETPTDAPSAEAQCHEDLSTVDKNSWRDASAATTASQPVFDKKNATKVPSAHKTLSGVVISNSMIPVTSVSGLTVGSIMLGDISFISVNQVGATAAREIHDTKNTHSRTTTIQQPSKKEQPEEGALNNMAVAAPTLLSGNHSIVQETAMIAEWSEMEKHKSVEKEQLNQWNLGKMLPAQSHRASYGNPGTFNFGAESRANKALYNFTADKAEATTKLDKWLDRESSWVQVKTGQQYTDGSASLMQHLTEQVDKIDQWQSLEPDKQVKRQFEFKTHDGSDSRLEPVRTVPLPVNNWEMRHASYSQKQNHVEGQRNVRTSDATNIYEGRDRTRAFYEVPSLSKSFSDALNKSQGTVVSEWMQDPYQGVYNMDNSRGFDSAFVDSSCNELIQNVDGDSEMDLYSEQFKEQVKFEDGHLLIWNPREWEYQLPSPPPHGQHSGSEMELCSDQIEGDMIWEDGHPLIWNPRDWEYQPLNLEPHHHGHGQHKESEMVLLYSEQFEEDMVQEDGKPLISNPEDWEYKQLNPELNQHDHAHRGSEMVLYSEQMEEDVIWEDGHPLIWNPIDWEYQPLNPETHQHDHGQPMGSEMVLYSDQIEGNVIWEDGHPLIWNPTDWEYQTLNPEPHHLDHGQHRGSETDLYSDQVEGDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGTNGGTRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRYYI >OGLUM11G22390.1 pep chromosome:ALNU02000000:11:26312718:26313293:-1 gene:OGLUM11G22390 transcript:OGLUM11G22390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQQRMLARLAGKTTILHRASCSTAGPGNASAAAKFGGIATSEPWKGSTPCAGTRPPSFPPLNGAPSCARPPGDIGDIADASASDCAGTCGGTGPADPGAASAPAATWAAAATTAPAPTPAAAPTT >OGLUM11G22390.2 pep chromosome:ALNU02000000:11:26311882:26312976:-1 gene:OGLUM11G22390 transcript:OGLUM11G22390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPVIVQVPAGEQDLLIQVQVQLQAQDQLKQVRLRFCSCCDLGCGCDYGSGSNSCCGSYYIQNGYHHHQVHPNGSSHQLDFLELQLHLLQPSLATLEGHAD >OGLUM11G22400.1 pep chromosome:ALNU02000000:11:26332327:26334056:1 gene:OGLUM11G22400 transcript:OGLUM11G22400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPTVLDWAIRGTNGSCSGSVAPACVSEHSYCANATNGDGYLYIDECKEPDRCSTGSRCHDTEGGYYCKCRFPRRGDGKINGKGCHLPKYIVVTLATVCIVIFLVFFVCWYERRKRRRHFNNNGGRLLNGMEIKHFSKKDLDKMTKNRTTMLGEGSFGKVYKGTHKNQPVAVKYSKGKRKLAQTHGKDIKYMNKNMFQNAFCWSKVPSSPQQDSSSRVSGPELVDELRVQSQIQHENVVTLLGCCMETEEPTLILEFIPNGNLEKKLHKDKQHPLSLSQRLDIAIGSAEALSYMHSSSDHQSIVHGDVKPANILLDDKLIPKVSDFGSAELTLKIKLVCGDLDYIDPVFLQTRNFTVKSDVYSYGVVLLELITRKRAKYDDGRSLPVEFVKHYKDNNERRKMYDQDMLSSMDALLQLYCTECLDRIAAITVRCLKNKVEKRPTMAEVVEELKQLREQISTRMS >OGLUM11G22410.1 pep chromosome:ALNU02000000:11:26336596:26337043:1 gene:OGLUM11G22410 transcript:OGLUM11G22410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYVTALLHATAAGRQRAGCPIKCGDVDIPFPFGVGVGCAWPGFDVDCNHSLALPDRSTGLIISHPININSMQ >OGLUM11G22420.1 pep chromosome:ALNU02000000:11:26339531:26373126:1 gene:OGLUM11G22420 transcript:OGLUM11G22420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVASLLLVSSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDVSCNHSFSPPRPYYLNIEIMDISVEAGEMRIYSPVVYNCYTSYNTTEYDTSSLQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGIPAWRESPCSYAFVAEQSWIAAIAVRCLKRIVEKRPTMAEVVEELKQLRASVHADLLTATHVXDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGTNGGTRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRSNTSYHIGHCFAGCMLSVALAPAAGDRTGGNLLWGHFYGRGQRWKLQCVGINISIEANMGGKEQLRESKIKSQHVQFQPARFQSCRQQELCGE >OGLUM11G22420.2 pep chromosome:ALNU02000000:11:26339531:26373126:1 gene:OGLUM11G22420 transcript:OGLUM11G22420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVASLLLVSSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDVSCNHSFSPPRPYYLNIEIMDISVEAGEMRIYSPVVYNCYTSYNTTEYDTSSLQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGIPAWRESPCSYAFVAEQSWIAAIAVRCLKRIVEKRPTMAEVVEELKQLRASVHADLLTATHVXDVIWEDGCTLIWNPTDWEYQPLNPAPHHHDQHSGRYHRGGDTYSEWGYDAGEPTYGTNGGTRKGGIHSEYQSKPVGSSDVAPDIQRNAGADDQSRRHPASGAAYRERRGQRWKLQCVGINISIEANMGGKEQLRESKIKSQHVQFQPARFQSCRQQELCGE >OGLUM11G22420.3 pep chromosome:ALNU02000000:11:26339531:26375882:1 gene:OGLUM11G22420 transcript:OGLUM11G22420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGVMLWYDLHALAAAVASLLLVSSVLVATSTAANCGRKCGDVRIPYPFGIGVDCAWPGFDVSCNHSFSPPRPYYLNIEIMDISVEAGEMRIYSPVVYNCYTSYNTTEYDTSSLQDDLRDTPFLFARSRNEFTAIGCGAIAFLWGRDDASYSTGCITTCASLEEAAHDGDHCTGLGCCQVPSIPPNLNILNISFGPGSLIGIPAWRESPCSYAFVAEQSWYNFSRQDFSRAGSKSFAESDGKRSVPTAVGV >OGLUM11G22430.1 pep chromosome:ALNU02000000:11:26378756:26383352:1 gene:OGLUM11G22430 transcript:OGLUM11G22430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVLLWRAAAAAAVATTAGQRAGCSSKCGDVDIPFPFGIGDDHCAWPGFDVVCNESFSPPRPYYGNIEIMDISVAAGEMRVYTPVVSQCYNSSNTTDSDRFESLQLNITDTPFLVAPERNEFTAIGCYTLAWLQGRDNWSFLTGCISTCASLETAADDGEPCTGLGCCQVPSIPPNLSTVALDWGNRTENPAWTYSPCNYAFVAEKDWYKFRRQDLSRVGSKSFANRTGEISVPMVLDWAIRRNGSCSSAHACVSAHSDCVDATNGAGYLCNCSRGYAGNPYVTGGCIVIIFAVAVLFVAFLVLHMKHEKKKLQENFNRNGGHILEHLGIRMFTKEELKKITKNYSTIIGEGNFGKVYKGTTDDNQEVAVKCSIKVDEANINDFANEVDIQSRVSHKNVVRLVGCCLQTDVPMLVFEYIGKGSLYDVLHGNGVNCNYVGKLKISLEVRLGIAIAATEALATCTHLLIRRTGLLTEKSDVYSFDIVLLELITRKKSKYDENKSLQIDFVTSYKTDNRAREMFDNEITSPEVIGVLDMISRIAFQCLKEDVDERPTMEQVLEQLHSVRKELIKGCKDMSIDQIDG >OGLUM11G22440.1 pep chromosome:ALNU02000000:11:26427925:26435084:1 gene:OGLUM11G22440 transcript:OGLUM11G22440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDEIRIRRLIAMPHIVVVVLCWFLHAPAAAATLSDLAVPATPAPAPVPAACPSKCGDVEIPYPFGIGDECAWPGFTIKCNHSFSPPRPYTGTIEIKDISLEAGEMRVYTRVAHQCYNSSHHEEHVSTWLKLHGKSRLSRKRNEFTAIGCNTVAFLDGRKNGSYSTGCISTCASLKTAADDGEPCTGLGCCQVPSIPPNLSVLNISWGDGFDDDLVRADSPCSYAFVAEKGWYNFTRKDFSRAGSKRFGHRNGKNMVPTVLDWAIRKNGSCPSAAGSAAGQVAPACVSANSKCVNVTNGNGYLCKCSEGYAGNPYVTGNDGCTNINECELRKADPAKYEKLYPCYRGSRCIDTVGGYDCKCRFGLKGNGKTSDQGCRPMIPAPIVAILATVCAVIAFLALLFLQKIWRRRWFFDNNGGRLLEGMGITIFTEKELDSITKGKCTKIGQGAFGEVYKGTYKDQQVAVKYSIAKGATRTQNAFRWPKFFVPTKVPSSRARGQEFVDELRIQSLIRHVNVVRLIGCCLQTKVPMLVFEFIPQGSLEKKLHGFERHTLSLLNRLDIAIGSAEALSYMHSSGLQSVVHGDVKPANILLDDNLIPKVSDFGSSELALKMKHVCADMNYVDPVCIQTGKYCSMESDVYSYGVVLLELITRKKAKYDDGRSLPIEFVNRYKDNNERRKMYDQDMLSSTDALYPYCMECLDRMAAVAVRCLKNKVEKRPTMADVVKELKQLREQICTRVSS >OGLUM11G22450.1 pep chromosome:ALNU02000000:11:26436621:26437061:1 gene:OGLUM11G22450 transcript:OGLUM11G22450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFEDWAGPPSNCRKLSVLEIDSNYFTGNLPDYVGNLSSTLQAFIARRNNISGVLPSTVWNLTSLKYLDLSDNQLHSTISESIMDLEILQWLDLSENSLFGPIPSNIGVLKNVQRLFLGTNQFSGSISMGISNMTKLHYYRSGLQ >OGLUM11G22460.1 pep chromosome:ALNU02000000:11:26438483:26439017:1 gene:OGLUM11G22460 transcript:OGLUM11G22460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYQKQVSSQTSRWNELFYSPASWPVTKYEPFSEDDRMADIDRYLPFLQRQTLVFYGGSGGAPLVFGGGGGGNDDAHLVFGSGGGGGAQGVVFNNDGGVPGGNDSGGRFGNRLHSGGGVPPLVFGNSDVVKECGRQRL >OGLUM11G22470.1 pep chromosome:ALNU02000000:11:26446552:26449494:1 gene:OGLUM11G22470 transcript:OGLUM11G22470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRLPVWIFVAALLIASSSTVPCASSLGPIASKSNGSDTDLAALLAFKAQLSDPNNILAGNWTTGTPFCRWVGVSCSSHRRRRQRVTALELPNVPLQGELSSHLGNISFLFILNLTNTGLTGSVPNEIGRLHRLELLDLGHNAMSGGIPIAIGNLTRLQLLNLQFNQLYGPIPAELQGLHSLGSMNLRHNYLTGSIPDDLFNNTPLLTYLNVGNNSLSGLIPGCIGSLPILQHLNLQANNLTGAVPPAIFNMSKLSTISLISNGLTGPVPGNTSFSLPVLRWFAISKNNFFGPIPLGLAACPYLQVIAMPYNLFEGVLPPWLGKLTNLDAISLGGNNSDAGPIPTELSNLTMLTVLDLTTCNLTGNIPADIGHLAQLSWLHLAINQLTGPIPASLGNLSSLAILLLKGNLLDGSLPSTVDSMNSLTAVDVTENNLHGDLNFLSTVSNCRKLSTLQMDLNYITGILPDYVGNLSSQLKWFTLSNNKLTGTLPATISNLTALEVMDLSHNQLRNAIPESIMTIENLQWLDLSGNSLSGFIPSNTALLRNIVKLFLESNEISGSIPKDMRNLTNLEHLLLSDNQLTSTIPPSLFHLDKIVRLDLSRNFLSGALPVDVGYLKQITIMDLSDNHFSGRIPYSIGQLQMLTHLNLSANGFYDSVPYSFGNLTGLQTLDISHNSISGTIPNYLANFTTLVSLNLSFNKLHGQIPEGGVFANITLQYLEGNSGLCGAARLGFPPCQTTSSNRNNGHMLKYLLPTIIIEVGVVACCLYVLIRKKANHQNISASKADLISHQLLSYHELLRATDDFSDDSMLGFGSFGKVFRGQLSNGMVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCSNLDFRALVLQYMPKGSIEALLHSDQGKQLGFLERLDIMLDVSMAMEYLHHEHYEVVLHCDLKPSNVLFDDDMTAHVADFGIARLLLGDDNSMISASMPGTVGYMAPGA >OGLUM11G22480.1 pep chromosome:ALNU02000000:11:26450130:26454503:1 gene:OGLUM11G22480 transcript:OGLUM11G22480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSSDMALGLLVWIYIVLLIALSTVSAASPPGPSKSNGSETDLAALLAFKAQLSDPLGILGDNWTVGTPFCRWVGVSCSHHRQRVTALDLRDTPLLGELSPRLGNLSFLSILNLTNTGLTGSVPGDIGRLHRLEILELGYNTLSGSIPATIGNLTRLQVLDLQFNSLSGPIPADLQNLQNLSSINLRRNYLTGLIPNNLFNNTHLLTYLNIGNNSLSGPIPGCIGYLPILQTLVLQVNNLTGPVPPAIFNMSTLRALALGLNGLTGPLPGNASFNLPALQWFSITRNDFTGPIPVGLAACQYLQVLGLPNNLFQGAFPPWLGKLTNLNIVSLGGNQLDAGPIPAALSNLTMLSVLDLASCNLTGPVPADIRHLGQLSELHLSMNQLTGPIPDSIGNLSALSYLLLMGNMLDGLVPATVGNMNSLRGLNIAENHLQGDLEFLSTVSNCRKLSFLRVDSNYFTGNLPDYVGNLSSTLQSFVVAGNKLGGEIPSTISNLTGLMVLALSDNQFHSTIPESIMEMVNLRWLDLSGNSLAGSVPSNAGMLKNAEKLFLQSNKLSGSIPKDMGNLTKLEHLVLSNNQLSSTVPPSIFHLSSLIQLDLSHNFFSDVLPVDIGNMKQINNIDLSTNRFTGSIPNSIGQLQMISYLNLSVNSFDDSIPDSFGELTSLQTLDLSHNNISGTIPKYLANFTILISLNLSFNNLHGQIPKGGVFSNITLQSLVGNSGLCGVARLGLPSCQTTSPKRNGRMLKYLLPAITIVVGAFAFSLYVVIRMKVKKHQKISSSMVDMISNRLLSYHELVRATDNFSYDNMLGAGSFGKVYKGQLSSGLVVAIKVIHQHLEHAMRSFDTECHVLRMARHRNLIKILNTCSNLDFRALVLEYMPNGSLEALLHSEGRMQLGFLERVDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLLDDDMTAHVSDFGIARLLLGDDSSMISASMPGTVGYMAPEYGALGKASRKSDVFSYGIMLLEVFTGKRPTDAMFVGELNIRQWVYQAFPVELVHVLDTRLLQDCSSPSSLHGFLVPVFELGLLCSADSPEQRMVMSDVVVTLKKIRKDYVKSISTTGSVALPAYTKE >OGLUM11G22490.1 pep chromosome:ALNU02000000:11:26451816:26455124:-1 gene:OGLUM11G22490 transcript:OGLUM11G22490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNLSRWFLSPETERSSPPPPRAPCPTQDSATAPISSSISSSSTNCSCRPNLLALASCKSPPRSRTPSTLPGAPELAEWRCCLRAAPPLPLATQAARYAASISEEGRISGVTTTSLITILCSGESAEQSKPSSNTGTRKPCKLLGEEQSCKSRVSNVRRYGSC >OGLUM11G22500.1 pep chromosome:ALNU02000000:11:26469114:26472539:-1 gene:OGLUM11G22500 transcript:OGLUM11G22500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLLLPPFNSIRLLMLVLPLTILYASGSIPRDGGSSSSGTGVDLSALLAFKARLSDPLGILAGNWTTKVSMCRWVGVSCSRRRPRVVGLKLWDVPLQGELTPHLGNLSFLRVLNLSGINLTGPIPPDLGRLHRLRILRLAHNTMSDTIPSGLGNLTKLEILNLYGNHISGHIPAELQNLHSLRQMVLTSNYLSGPIPEYLFNATPSLTHIYLGYNSLSGSIPDCVGSLPMLRFLWLSDNQLSGPVPPAIFNMSSLEAMFIWNNNLTGPLPTNRSFNLPMLQEIELDMNKFTGLIPSGLASCQNLETISLAENLFSGVVPPWLAKMSRLTFLFLDGNELVGTIPSLLGNLPMLSALDLSDSNLSGHIPVELGTLTKLTYLDLSFNQLNGAFPAFVGNFSELTFLGLAYNQLTGTVPSTFGSIRPLTDIEIGGNHLQGDLSFLSSLCNCRQLQYLFISQNSFTGSLPNYVGNLSTELLGFEGDDNHLTGGLPDTLSNLTNLRALNLSNNQLSDSIPASLMKLENLQGLDLTSNGISGPIPEEIGTARFVWLYLTDNKLSGSIPDSIGNLTMLQYISLSDNKLSSTIPTSLFYLGIVQLFLSNNNLNGTLPSDLSHIQDMYALDTSDNLLVGQLPNSFGYHQMLAYLNLSHNSFTDSIPNSISHLTSLEVLDLSYNNLSGTIPKYLANFTYLTTLNLSSNKLKGEIPNGGVFSNITLISLMGNAALCGLPRLGFLPCLDKSHSTNGSHYLKFILPAITIAVGALALCLYQMTRKKIKRKLDITTPTSYRLVSYQEIVRATESFNEDNMLGAGSFGKVFKGHLDDGMVVAIKVLNMQEEQAMRSFDVECQVLRMVRHRNLIRILNICSNIDFKALLLQYMPNGSLETYLHKEDHPPLGFLKRLDIMLDVSMAMEHLHYHHSEVILHCDLKPSNVLFDEEMTAHVADFGIAKLLLGDDNSAVSASMPGTIGYMAPEYAFMGKGSRKSDVFSYGIVLLEVFTGKRPTDAMFVGDMSLRKWVSEAFPARLADIVDGRLLQAETLIEQGVRQNNATSLPRSATWPNEGLLLPIFELGLMCCSSSPAERMGISDVVVKLKIIRKDYFSFTGAI >OGLUM11G22510.1 pep chromosome:ALNU02000000:11:26480244:26483109:-1 gene:OGLUM11G22510 transcript:OGLUM11G22510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATGAMGSLLSKLGELLKEEYNLQKSVKKDIKFLSRELTMMQAALCRVGEVPLDQLDDLVKIWAHEVRELSYDMEDVVDTFLVRVEQNPEQAEPGSSKGFTRKMVNLYKKGRSRRQIADEIKDIKDRVKEVAERRDRCRVDSVFASYATTIVDPRLSALFKKVTELVGINEARDELIKRLSKGSNASKKLKIVSIVGAGGLGKTTLAKTVYDKLVLGKEFDSYGFVPVGQNPDMKKIFKDILLELDKHKYMDIGSVILDERQLINELLQFLDKKRYLIVIDDIWGTSTWDLIKNALPDSNCGSKIITTTRIAKVAEEVGDIYNLQPLSDDNSEKLFYTRIFGADGKCSDNQSIEVSKNILKKCGGVSLSIITIASLLASKPKDDWSKVYDSIGFGQEDNMDVRNTRKILSFSYYDLPIHLRTCLLYLTIFPEDYLIKKDQLIWRWIAEGFIQEENGLGSFEQGERYLNELINRSMIQPIERYHSGIIDDCRIHDMVLDLIRSLSSEENFSTVLDKEQHILSQSNIRRLAIHKRILEHNPQAKVGMTQVRSFNAYMCGRMDWMPPLSSFNVVRVLVLDSCHFVESAHLERIGKLLHLRYLGLVNTAIAELPKEVGHLKFLQTLDIWGSGIEELPLTVGKLRQLIYLRTDSNTRVPAEVMGKLTSLQQLHLHSVNKSLDAIVELRKLEELRELGIWFDKMDHSARRVLVESVCNLRKIQVLGVWYKSGDEWTWLNGWEAWVPHPRLRQFFLNAVFLPRIPVWINSSRVAHLSYLHLGVDFIDVQDLKYSIQEDRGGCKENIESFLSIQWCMPPPPMKIEDMPIYTFGFDP >OGLUM11G22520.1 pep chromosome:ALNU02000000:11:26495347:26498170:-1 gene:OGLUM11G22520 transcript:OGLUM11G22520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSPSHLHLVILFFAIAASSLLSTATSTRASPADTAVGGIAAKVYTKVCDATRFAGLGLNMTEFRYCDASLPYADRVRDLIGRMTVEEKVGALGDWTDGAARIGLPAYRWWSEALHGLSSTGPTTKFDDLATPHLHSGVSAVYNATVFANVINSAASFNETLWKSIGQAVSTEARAMYNMGKGGLTYWSPNINVVRDPRWGRALETPGEDPYVVGRYAVNFVRGMQDIPGHEAVAAGGDPNTRPLKTSACCKHYAAYDLDDWHNHTRFEFDARVDERDMVETFQRPFEMCVRDGDVSSVMCSYNRVNGIPACADARLLSQTIRRDWGLHGYIVSDCDAVRVMTDNATWLGYTGAEASAAALKAGLDLDCGESWKNDTDGHPLMDFLTTYGMEAVNKGKMRESDIDNALTNQYMTLMRLGYFDDIAQYSSLDKQDICTDQHKSLALDGARQGIVLLKNDNKLLPLDANKVGFVNVRGPHVQAPEKIMDGDYTGPPCRYVTPRQGVSKYVRFSHRANTTIYYGGLNLNIEREGNDREDILLPKNQTEEIIRVAKASPNPIILVILSGGGIDVSFAQNNPKIGAILWAGYPGGEGGNAIADVIFGKHNPSGRLPLTWFKNKYIYQLPMTSMDLRPVAKHGYPGRTYKFYNGPDVLYPFGYGLSYTKFLYEMGTNGTAINVPVAGGHCKKLSYKSGVSAAPACPAINVNGHGCTETVSFNVSVTNGGDTGGSHPVIVFSKPPAEVDDAPIKQVVAFKSVFVPAWSTASVSFELNVCKAFGIVEKTAYTVVPSGVSTVLVENVDSSVSFPVKITFSV >OGLUM11G22530.1 pep chromosome:ALNU02000000:11:26508696:26511064:-1 gene:OGLUM11G22530 transcript:OGLUM11G22530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKRYTGGRSSTVDFQTGEILDMNVPVFRLRTGTY >OGLUM11G22530.2 pep chromosome:ALNU02000000:11:26510408:26511064:-1 gene:OGLUM11G22530 transcript:OGLUM11G22530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKRYTGMHSSPISWQ >OGLUM11G22530.3 pep chromosome:ALNU02000000:11:26510408:26511064:-1 gene:OGLUM11G22530 transcript:OGLUM11G22530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKRYTGMHSSPISWQ >OGLUM11G22540.1 pep chromosome:ALNU02000000:11:26537823:26541004:-1 gene:OGLUM11G22540 transcript:OGLUM11G22540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHLKRLAGIDLQILSAQVTQSTDFTELVNQQPWLSTMKLVVKPDMLFGKRGKSGLVALNLDIAQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLSTEKPMTPDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTIVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTEGFIHDLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVGATFSGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >OGLUM11G22550.1 pep chromosome:ALNU02000000:11:26542761:26550679:1 gene:OGLUM11G22550 transcript:OGLUM11G22550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPNKAAVDAATEGLSRIAAASEPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDLGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINALTVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADDFLSQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPLQGEDVSSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLISAANWIIGQLALCLPEAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVLPHIPEIVSNIANTIMKLLPPVPDPWPQAVEWGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAIAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSTGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSLGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKDDSNGYAIWASALAQISSSSFNPGLSSESEIKLGVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDEEEEDTDEDDEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >OGLUM11G22550.2 pep chromosome:ALNU02000000:11:26542761:26550679:1 gene:OGLUM11G22550 transcript:OGLUM11G22550.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPNKAAVDAATEGLSRIAAASEPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDLGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINALTVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADDFLSQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPLQGEDVSSKAVQNYFGVLMAFGGLQDFLTEKKDLTNTIIRNRILPLYSLDPCSPYLISAANWIIGQLALCLPEAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIELLGTIIESGQEKVLPHIPEIVSNIANTIMKLLPPVPDPWPQAVEWGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAIAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSTGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSLGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKDDSNGYAIWASALAQISSSSFNPGLSSESEIKLGVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDEEEEDTDEDDEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >OGLUM11G22550.3 pep chromosome:ALNU02000000:11:26542761:26550679:1 gene:OGLUM11G22550 transcript:OGLUM11G22550.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G17340) TAIR;Acc:AT3G17340] MEVEAQTSAAAAAAGDELRSLLSATLSPNKAAVDAATEGLSRIAAASEPRFPISLLAVAAADGDQGTKVAAATYLKNYTRRNIDLGLSSPELYKEFRDRLAQALLQVEPFLLRVLIEVFRQVIEKDFVKENLWPELVPQLKQVIQSSNIISPGQHPEWNTINALTVLQSVVRPFQYFLNPKVAKEPVPPQLEQIASEILVPLQVTFHHIADKVLLSRDETNLEYEQLLLITSKCMYFTVRSYMPSRVKQILPSFCKDMFRILESLDFNSQYEDRATTRLKTAKRCLIILCTLVTRHRKHADDFLSQMAHIVNSATRISSQSIHLHKLDPLSDRIISLSFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDITEWEDDTDEYMRKNLPCELDDISGWAEDLFTARKSAINLLGVIALSKGPPVVSAASKRKKGDKSKGKGERSSIGELLVIPFLSKFPIPLQGEDVSSKAVQNYFGVLMAFGGLQDAMSTNIYHSLMKALTMEDFDELSCYPVRASASGAIAELIENGYAPPDWLVLLQVVMKRISVEDENESTLLFQLLGTIIESGQEKVLPHIPEIVSNIANTIMKLLPPVPDPWPQAVEWGFAALVSMAQAWESSAPDENKDIEMRVWQSGQFAIAQTFSHVLQKAWLLPVEQMGLSVCSSLPPLSCVNDASILLEFIMRSITSMEETASMKVFELVAIWADIIACWDSWEEMEDQGIFNTIKEAVNFHQNFDSTGFFLKILPSRSENSSQSSVISWVSSFITRAIEAYPSATWRACSCMHTLLHTPNFSLGAEDTRMTLAVSFAQAAFSRFKSVSDSPSGIWKPLILVISSCYICYPDAIEQVLRKDDSNGYAIWASALAQISSSSFNPGLSSESEIKLGVLTLATVIERLLALSTGGTKLLHDCCVSLMESCIHLKEVQEDGDDGDGAEDLDDDDEEEEDTDEDDEDSDDDDDVREETEEEFLQRYAAAAAGESIEIVEDGDIDDETQDIELGSLDEMDVQQVVLSMMKIRPDLIRAQTFPDGLMERMAETFPEYEQLFHVHRQA >OGLUM11G22560.1 pep chromosome:ALNU02000000:11:26556965:26560401:1 gene:OGLUM11G22560 transcript:OGLUM11G22560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPSNDTDIAALLAFKAQVSDPLGFLRDAWREDNASSFCQWIGVSCSRRRQRVTALELPGIPLQGSITPHLGNLSFLTVLNLANTSLTGTLPGDVGKLHRLELLDLGYNALSGNIPATIGNLTKLELLNLEFNQLSGPIPAELQGLRSLGSMNLRRNYLRRLSQHWQQQLVRANTARDILVARAAGRIPPGLAACRKLQMLELGGNLLTDHVPEWLAGLSLLSTLVIGQNELVGSIPVVLSNLTKLTVLDLSSCKLSGIIPLELGKMTQLNILHLSFNRLTGPFPTSLGNLTKLSFLGLESNLLTGQVPETLGNLRSLHSLGIGKNHLQGKLHFFALLSNCRELQFLDIGMNSFSGSISASLLANLSNNLQSFYANNNNLTGSIPATVSNLTNLNVIGLFDNQISGTIPDSIMLMDNLQALDLSINNLFGPIPGQIGTPKGMFALSLSGNKLSSSIPNGVGNLSTLQYLFLSYNRLSSVIPASLVNLSNLLQLDISNNNLTGSLPSDLSSFKAIGLMDISANNLVGSLPTSLGQLQLSSYLNLSQNTFNDSIPDSFKGLINLEALDLSHNNLSGGIPKYFANLTYLTSLNLSFNNLQGQIPSGGVFSNITLQSLMGNPRLCGAPRLGFPACLEKSHSTRTKRLLKIVLPAVIAAFGAIVVFLYLLIGKKMKNPDITASFDIADAICHRLVSYQEIVRATENFNEDNLLGVGSFGKVFKGRLDDGLVVAIKILNMQVERAIRSFDAECHVLRMARHRNLIKILNTCSNLDFRALLLQFMPNGNLESYLHSESRPCVGSFLKRMEIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEEMTAHVADFGIAKMLLGDDNSAVSASMPGTIGYMAPEYAFMGKASRKSDVFSFGILLLEVFTGKRPTDPMFIGGLTLRLWVSQSFPENLIDVADEHLLQDEETRFCFDHQNTSLGSSLTSRSNNFLTSIFELGLLCSSESPEQRMAMNDVVSKLKGIKKVYSASMLAMQRPRQY >OGLUM11G22570.1 pep chromosome:ALNU02000000:11:26561047:26563077:-1 gene:OGLUM11G22570 transcript:OGLUM11G22570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKDNSNAVVFLAALMVHTKHRIHAHTYEAAPRKCVRVSVKPIIMKEAIATAILINAAVELALAMELALAITDVCFYAGLRACQVKMCGAYCLKYYGNLVDWKGAYCNEQGKCCCKARSISR >OGLUM11G22570.2 pep chromosome:ALNU02000000:11:26561047:26563077:-1 gene:OGLUM11G22570 transcript:OGLUM11G22570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALLGKDNSNAVVFLAALMVMAIAFSSSHTAQASDHGSNHAFCTQVHTKHRIHAHTYEAAPRKCVRVSVKPIIMKEAIATAILINAAVELALAMELALAITDVCFYAGLRACQVKMCGAYCLKYYGNLVDWKGAYCNEQGKCCCKARSISR >OGLUM11G22590.1 pep chromosome:ALNU02000000:11:26591327:26592249:-1 gene:OGLUM11G22590 transcript:OGLUM11G22590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSATAAFLLLSLLLLLQSAAAIPQGKRQNVAVFWGRNKAEGSLSSICDSGDYNIVIISFLSVFGHGKYWLDLSGHDLRHVGADIRHCQSKGVYMLLSIGGDGDGYSLPSSKSAADVAENLYYSVLGGDRPGAFHPFGDDTIVNGVDFFIDNGPADHYDDLANRINDYNQNIHDPIGIMLTATVRCSYPDPRMKAALDTKLFRRIHVRFYDDATCSYNHAGLAGVMAQWNRWSAAYPDGQIFLGLVAANVTGKNDMVAVGELRDKLLPAVQKTDTYGGVMLWNSYYDSLTHYGRYVKDLA >OGLUM11G22600.1 pep chromosome:ALNU02000000:11:26595091:26596031:-1 gene:OGLUM11G22600 transcript:OGLUM11G22600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRRRSSAATIAVFFFLLSLLAVFFQPAGAYYHPQGKRQTVAVFWGRNKAEGSLRQTCDTGDYNIVIISFLSVFGHGKYWLDLSGHDLRDVGDDIRHCQSKGVYMLLSIGGDGDGYSIPSSKSAADVAYNLYHSFLGRPRAGIFRPFGDDTIVNGVNFFIDHGPADHYDDLANRINDYNQNIRDPVGIMLTATVRCSYPDPRMKKALDTKLFTQIHVRFYDDPRCSYNHAGLAGVMAQWNRWSARYPNSRIFLGLAAANVTGKNDMVGVGELRRKLLPAVQKTESYAGVTLWNSYYDSKTHYGRYVKHLA >OGLUM11G22620.1 pep chromosome:ALNU02000000:11:26600628:26601503:1 gene:OGLUM11G22620 transcript:OGLUM11G22620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGRRSLFFPVGVVAILLLAAGHATAVNTGETVVFWGRNKDEGSLREACDTGLYTSVIISFLAVFGHGRYSLDPSGHDVSAVGADIKHCQSKYIPVLLSIGGQGGDYSLPTNASAADVADHLWDAFLGGGRAGVPRPFGDAVVDGIDLFIDQGGAEHYDELARQLFSHYKFEMLLTATTRCSYPDHRLDMALATGLFTHIHVRVFGSGDDASCTTRHRASWERWAAAYPGSLVYLGVVASPEQDANAYLPRKVLFSDVLSHIVEKPNYGGLMIWDRYYDKKTGYSAGKVL >OGLUM11G22630.1 pep chromosome:ALNU02000000:11:26604160:26610639:1 gene:OGLUM11G22630 transcript:OGLUM11G22630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRRSCIPAALAVFFLLLAGQSTAGEDQTAVIWGRHGDEGTLREACDTGHYNTVIIAFLSVFGHGRYSLDLSGHDIRRVGNDIKHCQRKGIVVLLSIGGQGGDYSLPSSRSAADVADNLWNAFLAGRRKGVVRPFGNAVVDGIDFFIDRGSGDHYDELARKLYSYGNNKGKGVMLTATPRCRFPDRRVEKALATGVFARIHVRMFGGDVNCTAAPRESWEKWAAAYPASQVYLGLVASSEQDPGYLSPKPLYYTLVMYIRDRLNYGGKMIWDSLLLVVVSCLAAPATADWYGPLAVYWGRHKDYEGSLREACDTGRYNTVIITFYNVFGYVKGRYGLDISGHPVAAVGADIKHCQSKGVQVLLSIGGQGGEYSLPSSQSAADVADNLWNAYLGGRRAGVPRPFGDAAVDGIDFFIDQGGADHYDELARQLHGRGVVLTATTRCAYPDSRLQKALATGLLGRIHVRMFGDNQCTMSPLDAWEKWAAAYPRSKVWLALVASWEQDEGGYMFQKDLYYGVLQFILNKPSYGGIAIWDRLSSP >OGLUM11G22630.2 pep chromosome:ALNU02000000:11:26604160:26612588:1 gene:OGLUM11G22630 transcript:OGLUM11G22630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRRRSCIPAALAVFFLLLAGQSTAGEDQTAVIWGRHGDEGTLREACDTGHYNTVIIAFLSVFGHGRYSLDLSGHDIRRVGNDIKHCQRKGIVVLLSIGGQGGDYSLPSSRSAADVADNLWNAFLAGRRKGVVRPFGNAVVDGIDFFIDRGSGDHYDELARKLYSYGNNKGKGVMLTATPRCRFPDRRVEKALATGVFARIHVRMFGGDVNCTAAPRESWEKWAAAYPASQVYLGLVASSEQDPGYLSPKPLYYTLVMYIRDRLNYGGKMIWDSLLLVVVSCLAAPATADWYGPLAVYWGRHKDYEGSLREACDTGRYNTVIITFYNVFGYVKGRYGLDISGHPVAAVGADIKHCQSKGVQVLLSIGGQGGEYSLPSSQSAADVADNLWNAYLGGRRAGVPRPFGDAAVDGIDFFIDQGGADHYDELARQLHGRGVVLTATTRCAYPDSRLQKALATGLLGRIHVRMFGDNQCTMSPLDAWEKWAAAYPRSKVWLALVASWEQDEGGYMFQKDLYYGVLQFILNKPSYGGIAIWDRNAQKEWHYRCQKC >OGLUM11G22630.3 pep chromosome:ALNU02000000:11:26610584:26612588:1 gene:OGLUM11G22630 transcript:OGLUM11G22630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKALLPVAAMLLLVSGQLAAPVTADGYVGQLAVFWGRHKEEGSLREACDTGRYNIVVITFFNVFGYQRGRYGLDISGHPVAAVAADIKHCQNAQKEWHYRCQKC >OGLUM11G22640.1 pep chromosome:ALNU02000000:11:26623068:26624095:1 gene:OGLUM11G22640 transcript:OGLUM11G22640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGRRSWLVPLAMVLAVSSCIAGPAMAAGKTGQMTVFWGRNKNEGTLKETCDTGLYTTVVISFYSVFGHGRYWGDLSGHDLRVIGADIKHCQSKHVLVFLSIGGAGKDYSLPTSKSAADVADNIWNAHMDGRRPGVFRPFGDAAVDGIDFFIDQGAPDHYDDLARNLYAYNKMYRARTPVRLTATVRCAFPDPRMKKALDTKLFERIHVRFYDDATCSYNHAGLAGVMAQWNKWTAKYPGSDVYLGLAAANVPGKKDNVFIKQLYYDLLPNVQKAKNYGGIMLWDRFYDKQTGYGKTVKYWA >OGLUM11G22650.1 pep chromosome:ALNU02000000:11:26625460:26626362:-1 gene:OGLUM11G22650 transcript:OGLUM11G22650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQRRRALPLSFVVIVLLILAGTGPAAADKSGELTVFWGRNKDEGSLREACDTGIYNTVIISFLTVFGHGRYWADLSGHPVAGVGADIKHCQHAKNVTVLLSIGGDGDHYSLPTPRSAKDVADHLWHAYLGGGRHGVFRPFGDAVVDGIDLYIDHGGSANYDELATRLGERGGVLLTATLRCMDGQETSGEAAAATGMVGRIHVRFYDDRRCSYDSSERRPFYGAWLGWTARYANASVHVGLPAAWDAASDGWINPAALVFDALPLVRGTPNYGGVVLWNRHFDRRSRYGQTIKGML >OGLUM11G22660.1 pep chromosome:ALNU02000000:11:26628337:26629242:-1 gene:OGLUM11G22660 transcript:OGLUM11G22660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRHMSLLTTTMLVAVVVFLPCLATATGKTGEIAVFWGRNKTEGSLKEACDTGIYTTVIISFFSVFGHGRYWTDLSGHDVSRVGADVKHCQSKNIPVLLSVGGDGYQYSLPTPNSAKDVADHLWHAYLGGGRRGVFRPFGDAVLDGVDLYIDHGGPANYDVLVRRLAGYRGKPVLLTATPRCVYPDANAAAALGTGLVRRIHPRFYGDAACTNKTDGEGRRSLFDWEDWDAWTSRFPASQVYVGLPAEETAADWINPESLYYGVMQRAQTASNYGGAMLWDRGADKAYDNYYGRALKDFV >OGLUM11G22670.1 pep chromosome:ALNU02000000:11:26629330:26640550:1 gene:OGLUM11G22670 transcript:OGLUM11G22670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMQKIRALGGRRQWRAGAACRQIQARTPRKSATKLHMETDCRKILIRHENPSPLERANVQEPKKIVEKVNGYPEEKYFRTAPPADGWGGSRWRGKQGSGGGGSFLSTNG >OGLUM11G22680.1 pep chromosome:ALNU02000000:11:26630072:26630968:-1 gene:OGLUM11G22680 transcript:OGLUM11G22680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQLRPSLYCSLLVLLIINGAAAAGKTGELTVIWGRNKDEGSLRSTCDTGLYTTVVISFLSVFGHGRYRTDLSGHPLAGVGADIKHCQKAKNVTVLLSIGGAGDQYSLPTAKSAQDVAEHLWHAYLGGGRRGVSRPFGDAVLDGVDVYVDRGRWGHYDELARRLRSFGREKPAVRLTASPACSLALFDDEVETMKTLSLFERLHVRFYNESSCDYNYFETRPFWGAWRTWTSRFPAARVHVGWPAMEEMSGFVDPQMLRQSVLSSVQDDANYGGVMLWDRYYDKVTGFGHAIKDIV >OGLUM11G22700.1 pep chromosome:ALNU02000000:11:26653066:26656509:-1 gene:OGLUM11G22700 transcript:OGLUM11G22700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVLDAFASYVQRMVAEMAREEMCMLLGVSGEINRLGVTLGDLKKFLADADRRNISDRSVQGWVRELKDAMYDAVDILDICHLRLRAMAMDLAACSCNPLLFCLRDPLFAHHIGRRIRAINRRLDDIKTRSAHFSFVNLASYSTKLRPAPNRETTGEPVRSGVVVGEKIKEDTRELVEMLTDKSSSSSSSRSSITVVVAIVGVGGIGKTTLAREVYNHDTIRDKFDKRIWLSVNQDWDKLELLRNAITLAGGDHRGEKSMAVLCPILTAALAGRRLLLVMDDVWSHKAWEDVLETPLSNAAAPGGGGGSRVIVTTRDERVARAMKALQPYHHVDKLGPQDAWTLLKKQVVSNEMDEANIDMLQDVGMEIIEKCDGLPLAIKVMGGLLCQKERSRTDWEEVLNDSARSIVGMPEELNYAVYLSYEDLSPCLKQCFLHYSLLPKNIVFGYDIIVGMWISEGFVHGSSSDELEESGRQYYKELIARNLIEPEKEYIDQYHCNMHDVVRSFAQCVLGDEALAAHAGEIGKIGQLSSEKFRRLCIESRGSESSGELQWSMLQEQRSLRTLIAIGQFKVNPGDSFSPFSSLRILHIQSANVAALVDTLYQLKHLRYLSIRYSDICGLPENIGKMRFLQLISLRGCENVKELPDSIVKLGQLRYLSLTGTSVDEGIPRGFCGLSNLRKLYGFPAHMRGDWCSLEELGPLSQLRDLAIKGLENVSSASFATMARLGSKKHLTYLTLGCSSRLDDDGLVTEKRRASEEEQTRIEVVFDELCPPSCVEILDIGGYLGHRLPRWMMSSTAAVALKFLRFLTMDDLAMCAQLPDGLCQLPCLQLLQVDSAPAIKRVGHDFLRPDRHRHPAAAAFPRLQRLELIGMVEWEEWQWEERADVHAMPVLELLLLSRCKLRLLPPGLAFHARALKKLHVYEVQHLISLESLPSVVELDAFHNPSLERITDLPRLQKLTIVKCPKLRVLHGVPAIQRVGLEDYRMETLPDYVENVSPRHLLLDCSLALLAAIAMGDSGLEFGKLRHIQHVNAHARDGHNPRKWYVLYTRQPSSFDTNIVDGSSIPAGN >OGLUM11G22710.1 pep chromosome:ALNU02000000:11:26658943:26670024:-1 gene:OGLUM11G22710 transcript:OGLUM11G22710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVTEDEINLLLGVSGEIASLDDKLRSLKNYLADAERRRITDDSTTLAKKVFNDETIQEAFDKKIWLSVTQEVNEVELLRTALKSAGAGAGDARDSNKTLLVPALVDAIRNKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSRRKEKKTLRFGLDSIVDA >OGLUM11G22720.1 pep chromosome:ALNU02000000:11:26672374:26674810:-1 gene:OGLUM11G22720 transcript:OGLUM11G22720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAVSSSPPAMTPSPGRCKPCTPSTTSTNCALKMHGYCSRNRSQPYVASSDDMEEVQIDGTLKDIGMEIIEKCGGLPLAVKVMGGLLCRREKRRADWEQVLQDFIRDEAFVVSTLDERGKGALKTQKFLRLSIETNDLQSNDEFEWRLIQGQGSLRTLIVIGELKINHGDSLINFSRLRILHIEDANCTAFSLVGSLHQLKHLRYIFLECNDIARQLQNIGKLKLLQYLEIISENLVRLPNSIVKLGQLRHLELLGTSISGIPRGFCGLTNLRYLYGFPAQADGGWCSLQELGPHAQLRELKLSNLENVPAISLAAKARLSEKSHLSYLRLDCSNRLGEDGLVEDEEGVSEEEQRRIEEVFDELTPPLCVENIEICGYFGEQLPRWMVSRATGAYERLMIVMIKNLACCTQLPDGLCWLPSLQYFEVTRAPAIKRVGPEFVTMHASSIQLQHAHPFRRLKEMRLIKMVEWEEWEWDQQITTVQAMPELGELRLKSCKLRHLPPGLSSQATALTSMCLTDFQQLNSIDNFASLVKLELQDNPDLERVTSLPKLQKLIIVGCPKMRALEGVPELRRLELKDYDMEQLPRYLQQSVSLVHLVLDCTLELLTSIALGESGPDWGKLSHIQHVKAYADQEDDERKWHMLYTREPYNFEINIGDNSSSSTGGKSA >OGLUM11G22730.1 pep chromosome:ALNU02000000:11:26677370:26700046:1 gene:OGLUM11G22730 transcript:OGLUM11G22730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRKSPAATAAVGSPRKTRSMAAGKQRAEAPAKAAKKEAAAAAAPPEQKGRKRAKKEDAEVAAAAEKDSGAVVSDGKRIVVEACTQCRQFKIRAAKPRRGCLEIREEGGEVFISLLNMPRPFTAMKKLDMDEVIKDIANKIS >OGLUM11G22740.1 pep chromosome:ALNU02000000:11:26678285:26697067:-1 gene:OGLUM11G22740 transcript:OGLUM11G22740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVLDAFASYVGDLLKQVAKDELNLLFGVSGEIATLHDKLRILKDYLADADRRRITDQGVQGWVTKLKHAMYDATDILDLCHLKAMQRGGGSSSSFSEPVGCLDSLLFCLRNPLFAHDIGSRIKALNVRLDAICKSAAAFSFLKLESYEDMAAPRRSSAADRRTDPVLERSAVVGEKIEEDTRALVRRLTDGKHKKQDAVMVVAVVGTGGIGKTTLAKKVFNDEAIKEAFDKKIWLSVTEDMNEVELLRTALKSVSGAGDGRESNKSLLVPALVDAIKDKRFFLVLDDVWSERAWDKLLKAPFSHGAAGSLSRKEAEYTTNEKET >OGLUM11G22750.1 pep chromosome:ALNU02000000:11:26700791:26703126:-1 gene:OGLUM11G22750 transcript:OGLUM11G22750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAYPLHHVDKLNPEDAWSLLKRQVASSEMEELEIDDTLKDIGMKIIDKCDGLPLAVKVMGGLSRRRGRRRADWEQVLQDFIREGFLHGDTDDLEKLGQDCYKELIYRNLIEPDAGYADERVSSMHDVVRSFAQNLARDEALVVSFRDEISKGALKSQKFLRLSVETNHDEFGWKIIQGQKSLRTLIVIGELKINPGDSLINFSNLRTLHIQDTNCTASLVESLHQLKHLSLQEIGPLTQLQELSLKNLENVPATSLATKARLGEKSHLSYLRLECSSRLGEDGLVEDENGVSEEEQRRTEEVLDELTPPLCLENIDIFGYFGQRLPRWMTSRAASAYERLTIVTVEDLACCTQLPDSLCQLPCLNVFQVARAPELMVHNCKLRCLPPGLSSQAMALTSMYLHDIQQLKSVGSFASLVKLELSNNPDLERITFVPRLQKLVIAHCPTMMSLEGVPALQRLHLKDYDMEQLPRYLQQDVSPRHLQLDCSLELLTSIALGKSSPEWSKLSHVQHVKAYADQGDIERKWHVFYTRDPYSFETNIDNSSSSS >OGLUM11G22760.1 pep chromosome:ALNU02000000:11:26709852:26713345:1 gene:OGLUM11G22760 transcript:OGLUM11G22760.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA [Source:Projected from Arabidopsis thaliana (AT1G07615) TAIR;Acc:AT1G07615] MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLRAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSRIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMTEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRACPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >OGLUM11G22760.2 pep chromosome:ALNU02000000:11:26709699:26713345:1 gene:OGLUM11G22760 transcript:OGLUM11G22760.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein Obg/CgtA [Source:Projected from Arabidopsis thaliana (AT1G07615) TAIR;Acc:AT1G07615] MWRRQHALLRRISLLKPPAATGIGCYYATEPEGRKPKTAPLQSRGMVDRFRLRAKGGDGGNGCISLRRSRSDRQGKPDGGNGGRGGDVILECSRSVWDFSGLQHHMKASRGANGISKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSLSVNKATRALDPWDIPDAVEHSPFSSSRIGNKMMKGLDSSRSSQHISSKKNTAENDRERGNRNHREKEPCYMTEFVRTEDYDGTSYPRQVRVDENDQSDDEDDEFWEDDEEELDMEEVTEEKEEEEDVRYSVAEMTKPGQRLIIARGGEGGLGNACILKEMWLSKAHKEEEMASLSTGHPGTETYLILELKSIADVGLVGMPNAGKSTLLSALSRACPEIADYAFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGVPPWEQLRDLVVELEHYQEGLTKRPSLIVANKIDEEGADEMYEELKKRVQGVPMFPICAILQEGVPDLRVGLRDLMDASDPQGIELSKIVVD >OGLUM11G22770.1 pep chromosome:ALNU02000000:11:26716197:26726119:1 gene:OGLUM11G22770 transcript:OGLUM11G22770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVPAADTSHLKEKKQRERSLQFLVVLFCIIWSLDAYQLLEIMPGKKITVLWLNLYMASLFCHSHRHPVFLELGIPSLCNSLQEQVSAGVLCSSSIFFC >OGLUM11G22770.2 pep chromosome:ALNU02000000:11:26716197:26726119:1 gene:OGLUM11G22770 transcript:OGLUM11G22770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKKYPDLEEKSSSTKATVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRHISPQRKKAERKSGKVLDSIAAHEHVEVAVIPPAATHFDEEPAS >OGLUM11G22770.3 pep chromosome:ALNU02000000:11:26716197:26720754:1 gene:OGLUM11G22770 transcript:OGLUM11G22770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKKYPDLEEKSSSTKATVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRHISPQRKKAERKSGKVLDSIAAHEHVEVAVIPPAGQSPT >OGLUM11G22770.4 pep chromosome:ALNU02000000:11:26716197:26717561:1 gene:OGLUM11G22770 transcript:OGLUM11G22770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSCGSSCSCGSNCSCGKKYPDLEEKSSSTKATVVLGVAPEKKAQQFEAAAESGETAHGCSCGSSCRCNPCNC >OGLUM11G22780.1 pep chromosome:ALNU02000000:11:26716780:26717170:-1 gene:OGLUM11G22780 transcript:OGLUM11G22780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLAGLRGRLELLRLLLRCHAQHHGGLGAAALLFQEQFEPQLQLDPQLQDIFSQSVIKLKQLKMKSTKEKKKSF >OGLUM11G22790.1 pep chromosome:ALNU02000000:11:26743594:26747538:-1 gene:OGLUM11G22790 transcript:OGLUM11G22790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLLAALLVVAAASFRAAEAALPSMAVGVNYGANADNLPPPKDVAAFLAAHTTIDRVKLFDANPAFISAFANTPISLAVSLPNSDLPSLADKQTGLDTARAWVRANLSPYVPATNVTLLLAGNEILLSPDPNLVLSLLPAMRRLAQALRLEGLTGVRVTTPHYLGILAPSDGIPSNARFRPGYDTKLFPPMLQFHRDTGSPFMVNPYPYFSYNNQTLDYALFRPNRGVYDPNTKLNYTSMFDAQMDAIYTAMKRLGYGDVDIAVGEAGWPTQADPGQVGVGVEEARDFNEGMLRVVSSGKGTPLMPNRKFETYIFSLFDENQKPGPIAEKHFGILNPDFTPIYDLGLLRQSSDSGAPNPSPNPSPNPSPKPAPSGGGKWCVPKAGASDTDLQNNINYACGYVDCKPIQSGGACFDPNNVQSHAAFVMNAFYQANGRHDYDCDFKSTGAVTSNDPSKLRELQIRLLTGRSKPWQCRCSGTNLESTSRLKSRKRWRSKLLPN >OGLUM11G22800.1 pep chromosome:ALNU02000000:11:26752368:26757653:-1 gene:OGLUM11G22800 transcript:OGLUM11G22800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEEALQAHDMAVPRIRKVLLPEDVQVPFQKFGPVRDVYLPKDYNTGEPRGFAFVEFAHSSDASKARYHMNRKMLSGREISVAFAVQTRKRPEEMRRIIGARHNSPQRKEECRTNSPGQPKGHDEKRKRRSYTPKYKDRQYADIGRDEMPPAPDSERPWALCRSPRPSPPGQSHSRSYSRSHSLHLHDHARTRSCSPAPGRQDDQYASPQRKEHQTKSSGQTKGHDDMRRSYTPEYNECQDADNGYDETPPAPDGERSSVLGRSPRPSPPGRSHCHSHSRSRSPELRGHARSRSCSPATGRQDNQSTSPQRREKHQTKSSGQAKEHDEKRRSYTPEYNDRRDADNGYDQTPPAPDGERSWALGRSPQPSPPGHALLPPEGKEMTSMLPHRERRSSKQNHHDRLKNMMRSEDPALLNIAIAVMLSSVMMSKFCSYMYLV >OGLUM11G22810.1 pep chromosome:ALNU02000000:11:26758799:26762332:-1 gene:OGLUM11G22810 transcript:OGLUM11G22810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMG0] MKPSPAANLDVRVERPRPPPVHPHRPGSLRARPYYRRWTPWIVAAIALSCVVVFLVSMYVNDCPRRNSGDCAAGFLGRFAFQPLKENPLLGPSSATLLKMGALDVTKVVHGHQGWRLITCIWLHAGVVHLLINMLCLLFIGIRLEQEFGFVRIGLVYLISGLGGSLMSALFIRSSISVGASGALFGLIGSMLSELITNWSLYANKVAALLTLVFVIVVNLALGILPRVDNFAHIGGLISGFLLGFVMFIRPQFAWINQRRVAPGQQPAPVKRKHKTYQYILWLAAAIMLIVGFTVAIVLLLRGYNANDHCSWCHYLSCVPTKRWKCNSSPTYCTVMQQANTLNLTCEGTNVHRSYLIADATQDKINQLCNQLCS >OGLUM11G22820.1 pep chromosome:ALNU02000000:11:26765171:26765650:-1 gene:OGLUM11G22820 transcript:OGLUM11G22820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSMLLQSFLIWLSSRASCAFSRMPPDATPPEPEPEPEPDGACGSMSGGSGDELEDMTCSSPDSTGEPSRVIIGSAIGIAAATVTAPVVVVAGTGGVPLHLPSDNVGLGPITLRRRRRRLLLDPLIRVTGLVAPGSVPRWIGCQLACPSSRCVAALGT >OGLUM11G22830.1 pep chromosome:ALNU02000000:11:26770804:26793713:1 gene:OGLUM11G22830 transcript:OGLUM11G22830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPQLEELVDLEPFSPSLFLDLPPTPHGDDPNDDDLILPFISRMLMEDDIDDKFFYQFPDHPALLHAQQPYAQILDAPSDDTTTNSSDDSASATTNNTTNSAAAANASWPYDPIELSQLLQSPPHPVSDNHDADVGDTRSAPEDDKDLKLLFSAADNMEMLNMAFLKGREEANKLVPTNNTLFAGFDGASLLKTEPAVDEPTLMFGRSGGSGRGRKNRHGEEDDLEAETGRSSKLMVPPQEDTAAASEMFDEIIFNGYEVIMKGMEELRVAMDSEAEKKARNGGGAGRRAARAKAAVVDLHTLLIHCAQAVATGDRRSATELLKQIKQNSSARGDATQRLACCFAEGLEARLAGTGSQVYKSLVAKCTSTVDFLKAYKLFAAACCIKKVSFIFSNKTILDAVAGKRKLHIVDYGLSYGFQWPGLFKCLSEREGGPPEVRITGIDVPQPGFRPADQIEETGRRLSNCARQFGVPFRFQAIAAKWETVRREDLHLDREEEEEEEEEVLVVNCLHFLNALQDESVVVDSPSPRDMVLNNIRDMRPHVFVQCVVNGAYGAPFFLTRFRETLFFYSSQFDMLDATIPRDNDERLLIERDILGRWALNVIACEGADRVDRPETYKQWLVRNHRAGLTQLPLQPQVVELVRDKVKKLYHKDFVIDVDHNWLLQGWKGRILYAMSTWARLAGTGSQVYKSLVAKRTSTVDFLKAYKLFTAACCVKKVSVIFSNKTIYNAVAGKRKLHIVDYGLSYGFQWPALFFLLGTREGGPPEVRMTCIDVPQPGFRPADQIEETGRRLSNCARQFGVPFKFRTIAAKWETVRREDLHLEEEEEVLVVNCLHGLNTLQDESVVVDSPSPRDVVLNNIGDMRPHVFVQCVVNGAYGAPFFVTRFREALFFYSAHFDMLDATIPRDNDDRLLIERDMLGRCALNVIACEGADRVDRPETYKQWQVRNHRAGLRQLPLETEVVELVREKVKSHYHKDFVIDVDHNWLLQGWKGRILGRNW >OGLUM11G22840.1 pep chromosome:ALNU02000000:11:26793717:26795639:1 gene:OGLUM11G22840 transcript:OGLUM11G22840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPEAEESLRFRWPAAEEEEFDNDMVLPYISRLLMEDDVHDHFFYQYPDHPALLRAQQPFAQILAASSSPSSAGGASSSSSSDAPPSRGFFDDEAATAKTTATFPTSAVHSVDHQYSGGLDMVNMAFLKGMEEANKFLPTNTLLLSTDSSTTLQLQGQGGHGMLGVGGAAHADADAAINSKKVNCRDDDLEAGTGRAAKLMAPEPEREEEGAREMFDEMMLQEHEICMKGVKQLSVKSKSSSKKARGRRTVIHTEPVDLHNLLLHCAQAVATDDRRSAHELLRQIKQHSSAWGDAGQRLAHCFAQGLEARLAGTGSQVYQSLMSQRTSVVDFLKAYRLYMEACCCKKVAFVFSNKTIYDAVAGRRKLHIVDYGLSYGFQWPGLLRELAARRGGPPEVRITGIDLPQPGFRPDQHIEETGRRLSRYADELGVPFKFHGIAATKKESVRLEELGEAEEDEVVVVISLCHFRNVMDESLQEDSSRSPRDEVLGNIRRMRPDVFIHGIMNGAYGATYFLTRFREALYYYAAQFDLLDATVGRESHERMLVERDIFGRAALNVIACEGAERVERPEMYKQWQARNQRAGLRQLPLNPQVVRLVLDKVRDKYHKDFVVDEDQRWLLHRWKGRVLYALSTWVAQH >OGLUM11G22850.1 pep chromosome:ALNU02000000:11:26795793:26796380:1 gene:OGLUM11G22850 transcript:OGLUM11G22850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTDHYLIYYSKTKHSHKQDMQLDVFVQGIVNGSQGITFLVTHPRANCGWCWSRTSLDGMPMNAIACEGGKDRVGIQAVASQEPTGRL >OGLUM11G22860.1 pep chromosome:ALNU02000000:11:26802362:26807483:-1 gene:OGLUM11G22860 transcript:OGLUM11G22860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPARPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCRCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKQPLPVLSQQKVLVMVLVHLVPDCINDIWSQFQLLHACTVVPGLPLFVLLRALHQVCTLTGDGVQSVRPQKVLLQRQPQPTIPWGGRVQYVKQSREGGTIVIIGDALDEHVWLHLADVTEGNIPGVWPVAEDALVHQSTETQEVIHHKHLIRLDVQVLGTNCLPLCCCNSLESEWHTKLIGTAAQAMPCLLNTLKWTKRRLEDVNDGDPHLRRPVFPASQPAEQVWPVQPTARAVINYVQPLLPLHSLHDCHGGKHECHLREAAGCHVELVHLEPCLKPLGEVVSHSLHGVACCQTRLQIAGCLSPWPVHTGSAATHQFVQQLASTSLLCPCNRQGS >OGLUM11G22860.2 pep chromosome:ALNU02000000:11:26797019:26807483:-1 gene:OGLUM11G22860 transcript:OGLUM11G22860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPARPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKTIIGLAPLPFDINYISIACSPLCEQEKYRPYYPQAAVMCQLAASTLCKHTKNTALQRVVMVMIPQGGLVRKSYQSNDGLDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKLMALTRKNKQAMLQLEQSKGYIRHCRGNADSENWTCATHVEWAKRMRLFQP >OGLUM11G22860.3 pep chromosome:ALNU02000000:11:26797019:26807483:-1 gene:OGLUM11G22860 transcript:OGLUM11G22860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALLSPARPLAGTTLSFGVPYQNKRRIPTMRVYCAADEEEEVNDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSQYSMQAYKKYGVAKGTILTAWRLCRCNPLGVRLYIRKDSIAYCLPMQLSQARVFRSSTAPDKGNLFVYSSIDSCHVEKLMALTRKNKQAMLQLEQSKGYIRHCRGNADSENWTCATHVEWAKRMRLFQP >OGLUM11G22860.4 pep chromosome:ALNU02000000:11:26797019:26802204:-1 gene:OGLUM11G22860 transcript:OGLUM11G22860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPFENALTTSLPGNILLASSVLPLLTHCKLVIAAEEPISSSMSIRETGYIRHCRGNADSENWTCATHVEWAKRMRLFQP >OGLUM11G22870.1 pep chromosome:ALNU02000000:11:26800991:26803693:1 gene:OGLUM11G22870 transcript:OGLUM11G22870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPKPEELVVAIEQPFSPSLFLDLPPTPHHDDDPNNVNDDLLLPFISRILMEDDIDDKFFYQFPDHPALLQAQQSYAQILDAPATSSSSDDTTINNNTTNSTSVPDTLAMPDHDADTQSAPDDMEMLNIAFLKGREEATKFLPTNNTLFSDLKAEPVLDIQPTFMFGPSDGGGGGRGRKNRHAELEEDDLETETSRSSKLMVPEQDDAAAADKIFDEIILNGYQMIIKGIDELRVAMGSQSQADKNGRRASRAKTAVVDLHTLLIHCAQAVATGDWRSATELLKQIKQNSMANPEDFFWEALLKENEAPSPPPVFFDLPATPLSNSEGTDPSSLDNQLLSYVSRMLMEDEMGSSAAITNLQCVNRGSTEEANNMLPGSEVVRAFSKGMEEASKLLPRNNSFRTLETVDQVSSDGHCRGRKKKNHDRDEQQLEEELGRSSKLAALTIAGTQEAGARELLDELMLHAHETCIKDMEKLRIDMDNEAEKKINKKDKKGSSSKVVDLRLLLIQCAQATATDNQQSAGELLKKIKQHTLATGDAMQRVAHYFAKGLEARLAGRGKHLYQNQMRMSLVEYLKVYKLYMAACCFTKVALMFAAMTIMQAVQGKKRLHIVDYGPRCGLHWPDLLRRLGSREDGPPEVRITIVDILQPAFRPFQRIEEAGHCLSSCANEFRVPFRFQAVAAAKWETVGAEDLHIEPDEVLVVNDLLSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGITNDNYGASFLSRFRAVLLYYSALFDILDATTPRDSGLRLALEQNLLGPYALNAIACEGADLVERPEKYKQWQARNHRAGMQQLKLRPDIVDTIRDEVNKYHHKDFLLGEDGQWLLQGWMGRILFAHSAWVRQSQDTSSG >OGLUM11G22880.1 pep chromosome:ALNU02000000:11:26815522:26818952:1 gene:OGLUM11G22880 transcript:OGLUM11G22880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPEDFFWEALLKENEAPPPSPVFFELPPTPLANSDGSTDPSSLLDNQLLSYVSRMLMEDEMGSSAAVTNLQFVNRGSTEEANNMLPSSEVVRAFLKGMEEASKLLPRNNSFRMLETVDQVSSDGHCRGGKKKNHDRDEQQLEEELGRSSKLAAMTNAGTEEAGARELLDELMLHSHETCIKDMEKLRIDMDNEADKTINKKGKKGSSSKVVDLRMLLIQCAQAMATDNQQSAGELLKKIKQHALATGDAMQRVAHYFAKGLEARLAGSGKHLYQNQVRMSLVEYLKVYKLYMAACCFKKVALMFAAMTIMQAVQGKKRLHIVDYGIRCGLHWPDLFRRLGSREDGPPEVRITIVDIPQPGFRPFQRIEAAGHCLSSCANEFRVPFRFQAAVAAKWETVGAEDLHIEPDEVLVVNDLWSFSALMDESVFCDGPNPRDVALRNISKMQPDVFIQGIINGSYGASFLSRFRGALLYYSALFDMLDATTPRESGLRLALEQNVLGPYALNAIACEGADLVERPEKYRQWQARNHRAGMQQLKLRPDIVDTIREEVNKYYHKDFLLGEDGQWLLQGWMGRVLFAHSAWLPLKREKVMMVKNHYHKDFVIEEDQWWLLQGWKGRILFAHSTWVAHD >OGLUM11G22890.1 pep chromosome:ALNU02000000:11:26834762:26838536:1 gene:OGLUM11G22890 transcript:OGLUM11G22890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVESSYRSEMMDGEGWKMIQPNTNKQLVQIKTRSSSMQVVKEEIEEEEVAGGRGRRRGGLRRSVSGRGYNSSNQKVIANANTNRDTNNLAMQTQVPTHTREGPTFTQLLLGEEDFDLPPYVPDDAKESNQFYQQTTNEFLNMNQLDNNGTGTAQLESREHMMTYGLSSGISSQLLGSQAIDVVDVVGCLTSPWGTQLHEGHLAHLMSYIRACRDLEQHTPPNFVMHQSIGPVLNHYALSAEMSYSMRYPENAVTRGPQNILGFLKNADTHTQRETHTETHVHTTERHTNTCTHTQTQTHACTHTQTHVYM >OGLUM11G22900.1 pep chromosome:ALNU02000000:11:26845725:26846569:-1 gene:OGLUM11G22900 transcript:OGLUM11G22900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related thaumatin superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G02140) TAIR;Acc:AT5G02140] MATPLLLVVNAFLIMAITACGGGGGNGGIQLIMVNNCGESVWPGLLGTAGHPTPQSGGFHLGAGEEAALEVPAGWSGRVWPRRGCSFDSRGRGSCATGDCGGVLRCNGAAGATPATVVEMTLGTSASAMHFYDVSLVDGFNAPVSMAAVGGGVGCGTAACGADVNVCCPSALEVRDREGRVAGCRSACRAMGGDRYCCTGDYASPSACRPTIFSHLFKAICPRAYSYAYDDATSLNRCHAKRYLITFCPPQPS >OGLUM11G22910.1 pep chromosome:ALNU02000000:11:26848003:26850166:-1 gene:OGLUM11G22910 transcript:OGLUM11G22910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDDTSFNNSLDLYCDEDPFDSTPPPPPPPPEQQQQAGTTTPDDIDDEVMEYYKAKQRCYALQIRDYCCYLQRHHLLLQQQQHGVAAARLKAAMGRLGLEAATAFNAANYLDRFLSINCHLKWEEWMVEVVSVACLSLACKLDEVTIPSLHDLQMEEAMGHSFRASTIRDMELTLLKALRWRLACVTPFSFLPVTTTTTTTRALLRSLLDPSFLRFDASLLAASALTLSSTTPQHPNHLLLNRLIHPFSQTISNKPMSIWQRQIKARSI >OGLUM11G22920.1 pep chromosome:ALNU02000000:11:26856545:26859325:-1 gene:OGLUM11G22920 transcript:OGLUM11G22920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSTVGAPASTPTNFLGKKLKKQVTSAVNYHGKSSNINRFKVMAKELDEGKQTDQDRWKGLAYDISDDQQDITRGKGFVDSLFQAPTGDGTHEAVLSSYEYLSQGLRTYDFDNTMGGFYIAPAFMDKLVVHISKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRVGVCKGIFRTDNVPDEDIVKIVDSFPGQSIDFFGALRARVYDDEVRKWVSDTGVENIGKRLVNSREGPPEFEQPKMTIEKLMEYGYMLVKEQENVKRVQLAEQYLSEAALGDANSDAMKTGSFYGQGAQQAGNLPVPEGCTDPVAKNFDPTARSDDGSCLYTF >OGLUM11G22930.1 pep chromosome:ALNU02000000:11:26861394:26863365:-1 gene:OGLUM11G22930 transcript:OGLUM11G22930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAFSSTVGAPGSGLVVKNWLRTAGYSHHGGRSRCRCRKIMAMAGGSSKEVDEEKQTEQDRWKGLAYDISDDQQDITRGKGLVDSLFQAPMGDGTVLSSYEYLSQGLRTYVQPGQHHGHISKNLMKLPNILGIWGGKGQGKSFQCELVFAKMGINLIMMSAGERESGNAGEPAKLIRQRYREAADMINKGKMCVLFINDLDAGRHDAVHGEKPDGERDADEHRGQPHQRAAPRDVQPPCPHHRHRQRLLHAVRAAHPRRAHGQVLLGSHPRGPHWRVQGHLPQRQGPRRGHHQDGGRVPIDFFGALRARVYGDEVRRWVAEIGVENIGRRLENVKRVRLADKYLSEAALGDANHDSGEFYGKAAQQSPVPVPAGCTDQRAANYDPTARSDDGSCVYN >OGLUM11G22940.1 pep chromosome:ALNU02000000:11:26864368:26866716:1 gene:OGLUM11G22940 transcript:OGLUM11G22940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDPAIPRLGVLNPVAPVSGGARSSLESRQLDFKTESIPKLQKNAKFQRSLSEEDQRIAFT >OGLUM11G22950.1 pep chromosome:ALNU02000000:11:26871197:26871934:-1 gene:OGLUM11G22950 transcript:OGLUM11G22950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQRGEEEWVMWGSAGRRRIIRQSASWEEQAFARDAAANANLGGCVWPPRFYTCSFCQREFRSAQALGGHMNVHRRDRARLRQRQNSSSSSPSHQEEEAEAPQDQQAGPYYTSFSKPSTTSTDNTTCSNDILLLARDQETIKKRVPRQQVQVVADQDEDEPAGRRYKRRRLGLVDQLPSSCEGGDHHHQVLIITPTTAAASPSSIIASASALVVGDQQHQQAGSVLDLELRLGTSTPPKAVVHA >OGLUM11G22960.1 pep chromosome:ALNU02000000:11:26876130:26876321:-1 gene:OGLUM11G22960 transcript:OGLUM11G22960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATGQGGEAATGRGGEAAGARRGGGDGNGEEERRRWRGLFGDGEVRRMRSDAIGEKERTVFW >OGLUM11G22970.1 pep chromosome:ALNU02000000:11:26876462:26876767:-1 gene:OGLUM11G22970 transcript:OGLUM11G22970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADDDRTTTTSHVWAARLEKRRRQGTVSVQHVAKASSDKLMRKFVDPDAHAKQITPPRRSLALRRKQSSRRVALGLSARDLELGAELVAPKRRRSIGGST >OGLUM11G22980.1 pep chromosome:ALNU02000000:11:26877857:26880666:-1 gene:OGLUM11G22980 transcript:OGLUM11G22980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASALDSAWEGLTGSFTEFQLATVVTFLLHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTPSYQNRCVLRLILYHVCVNLPVMVLSYPAFKFMGLRSSLPLPHWTVIVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYVMLHLGLILLHFVIVPVLLNNNFLGRYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRNAKAIEEKDGKHL >OGLUM11G22990.1 pep chromosome:ALNU02000000:11:26881705:26884159:-1 gene:OGLUM11G22990 transcript:OGLUM11G22990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNTQGLTRPGRHLLLLLLLLLRGCVSPGTRRRRRRIGGRRGQARMLRKGEAPGHQTPPHLHKDDGDDDDDAPSGFVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETREGEVRFPEISTPILEKICQYFYWSLHYSSGKETSEFQIEPEITLELMMAANYLDT >OGLUM11G23000.1 pep chromosome:ALNU02000000:11:26884430:26888638:1 gene:OGLUM11G23000 transcript:OGLUM11G23000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHGSKPDISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNVAADAAPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVRTVKSFYVGKDHVGDVPLTKKIAAGFTTGAIAISIANPTDLVKVRLQAEGKLAPGAPRRYAGAMDAYAKIVRQEGFAALWTGIGPNVARNAIINAAELASYDQVKQTILKLPGFKDDVVTHLLSGLGAGFFAVCVGSPVDVVKSRMMGDSAYTSTIDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKLFVRKPGS >OGLUM11G23010.1 pep chromosome:ALNU02000000:11:26889083:26890228:-1 gene:OGLUM11G23010 transcript:OGLUM11G23010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETVSVCGLHPGVAVTGFCPACLRDRLAGLHPPSDLRRCKSFSYYARSSSYLEPHPQQDALVLPDAADAPTNTKPALGSLGKRWQEWRRKSKLKHHRPPPATATDPALLARRSCDAFSTRTMLDEPRASYHPTLFIPRSDDQIPVEEEERAYVPGGSAQTRDYYLDSSSSSRRRRSVDRKSSSDAGDQIPRMVAAAANARVSPATELYHQPHTFHYHQPSFEPPPLLARERQEAKSKPKNKGIKGWSIWGLLHKKSSTTNSVGATASAFAGEARAAPPELRARGYDGQMLRCNSSVSARSSFSGIGSSFNGGRLGMRRADEVLLERNFSARYSSSSSCRYQFHKDDYSNSIANNSGAGAGSRPPRSSTLPRTSLGLYYY >OGLUM11G23020.1 pep chromosome:ALNU02000000:11:26908657:26911457:1 gene:OGLUM11G23020 transcript:OGLUM11G23020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMI4] MHCTALSPALSSPSPAAGHAANMAVLPESRRLTLLLMAACFLLQALSAHAITRHYKFNVVMRNMTRLCSTKPILTVNGKFPGPTLYAREGDNVLVKVVNHVAHNVTIHWHGVRQIRTGWYDGPAYITQCPIQPGSSFLYNFTITGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPAPHKEAVIVLGEWWKEDTETVINQAMQLGVGPNISDSHTINGHPGPLSECASSQDGFKLSVENGRTYMLRIINAALNDDLFFKVAGHELTVVEVDAVYTKPFKTDTLLITPGQTTNVLVRANQGAGRYLLSVSPFMDAPVQVDNKTGTATLHYANTVSSSMASLTLVKPPPQNATHIVSKFTDSLRSLNSKEYPANVPQTVDHSLLLTVGVGVNPCPSCINGTRVVGTINNVTFIMPSTPILQAHYYNIPGVFTEDFPATPLHKFNYTGSGPKNLQTMNGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGKGVGNYNPRTSPSTFNLIDPIERNTIGVPTGGWTAIRFRSDNPGVWFMHCHFEVHTSWGLKMAFVVDNGKRPSETLIPPPKDLPQC >OGLUM11G23030.1 pep chromosome:ALNU02000000:11:26916468:26916845:-1 gene:OGLUM11G23030 transcript:OGLUM11G23030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGATKWINAGANCCSDGDGLIWHEGGTTPTRDSGGAAVSFQQEESISANAHLLPCRHHLDLTVEVWALCCQPPSTNAALATDVTVVLMKIAATSQG >OGLUM11G23040.1 pep chromosome:ALNU02000000:11:26917962:26920784:1 gene:OGLUM11G23040 transcript:OGLUM11G23040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11210) TAIR;Acc:AT3G11210] MLGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKIFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIADHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKRDDWATACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFVEDSPYDLVSSSGQSTVNPSDWTFHRTIQWD >OGLUM11G23040.2 pep chromosome:ALNU02000000:11:26917958:26920784:1 gene:OGLUM11G23040 transcript:OGLUM11G23040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGNH hydrolase-type esterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G11210) TAIR;Acc:AT3G11210] MLGFAPAPGRPLFVLFGSSIVQFSFSNGGWGAALADIYARKADILLRGYIGWNSRRALQVIDKIFPKDSPVQPSLVIVYFGGNDSVAAHSSGLGPHVPLEEYIDNMRKIADHLKSLSEKTRVIFLSCPPLNEETLRKSTSTVLSEIVRTNETCRLYSEACVSLCKEMDLKVVDLWNAMQKRDDWATACFTDGLHLSEEGSKIVVEEILRILKEAEWDPCLHWKAMPTEFVEDSPYDLVSSSGQSTVNPSDWTFHRTIQWD >OGLUM11G23050.1 pep chromosome:ALNU02000000:11:26922577:26923515:1 gene:OGLUM11G23050 transcript:OGLUM11G23050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDGVVALYGGGKVSCKPPAATFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPALIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRIREGAAMIRTKGEAGTGNVVEAVRHVRSVMGEIRALRNMDDDEVFSYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSAGLGDAMVGINLNDQNVERYAARSH >OGLUM11G23060.1 pep chromosome:ALNU02000000:11:26923577:26933091:1 gene:OGLUM11G23060 transcript:OGLUM11G23060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGNLLGRKNSMWEYASITGRELSPQWLLKLAAATQTQAKDRKLKSKRDGQHHVSKQTRQVYRHCLEKTRDLKKG >OGLUM11G23070.1 pep chromosome:ALNU02000000:11:26924685:26935164:-1 gene:OGLUM11G23070 transcript:OGLUM11G23070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RECQ helicase L2 [Source:Projected from Arabidopsis thaliana (AT1G31360) TAIR;Acc:AT1G31360] MYEEDNIQGELLLVQAELDDIQGQISALIDRQEELYERESQLKAMLEVSKASNNTINNTPSVGPKDWSGSFLWDSRADDVRFNVFGISSYRQNQREVSFFILHPFKHIATFQIINAIMSGRDVLVIMAAGGGKSLCYQLPAVLHDGITLVVSPLLSLIQDQVMGLAALGIQAYMLASTTNKEVEKFVYKALDKGEGELKILYVTPEKISKSKRFMSKLEKCHHAGRLSLIAIDEAHCCSQWGHDFRPDYKNLGILKVQFPSVPMIALTATATSKVQIDLIEMLHIPRCVKFVSTINRPNLFYKVFEKSPVGKVVIDEIANFISESYPNNESGIVYCFSRKECEQVAKELSERGILADYYHADMDVISREKVHMRWSKSKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECILYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQFLLVGMCDNCTSSLELKEIDATRCSNESIDLKKEGIEQLVVQLILDRVLVYNSPSTATIETFIWSTSLVTLNKEEFQHTAYATNAYVALGPLWKPALQGNRPVKLSVAFHSQDKGSGSKRTKRNQMSNLEAKLDDLRRELSSSNGGVFPHAVLSAQQISLLNRQKPTTVAELEKLIGKVKTGKYGSAIIELMLLHVDSEVAGGKACASKRQKKDKEDVICVESNEEDV >OGLUM11G23080.1 pep chromosome:ALNU02000000:11:26946629:26948883:1 gene:OGLUM11G23080 transcript:OGLUM11G23080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMJ1] MECETGVNGSGPRGSDPLYWGKAAEGVAGSHLEEVKRMVVEYRAPLVKIDGAMLSVAKVAAVAGEAARVQVVLDESARPRLEASREWVFESTMNGTDTYGVTTGFGGAAHRRTKEFAALQKELIRYLNAGVFGASRSDGYTLPTEVTRAAMLVRINTLTQGYSGIRFEILEAIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRHNSAAVAPDGTKVDAAEAFRMAGIEHGFFALQPKEGLAIVNGTAVGSGLAAIVLFEANVLAVLAEVLSAVYCEVMAGNPEYTDHLIHALKHHPGQIEAAAIMEHILEGSSYMKKLAKDKEQGQGELDRLTKLKQDRYAIRTAPQWLGPQVEVIRFATKSIEREINSVNDNPVIDVARRKALHGGNFQGTPVGVSMDNTRLAIAAIGRLMFSQFSELVSSFYSNGLPSNLSGGRNPSLDYGFNGAEVAMASYCSELQFLANPVTNHVQTAEQHNQSVNSLGLISSRMTAEAVTILKLMSSTFLIALCQAVDLRQLEESIKAAVNKCVTNVAKKSLTLAMDDDDHLLALLGAAIDRVAVFTYAEDPCRSSLPLMQKLRAVLMEHALANNGDILLAKVAEFEQQLRAVLPDEVEAARAAVESGTAPNRISDCRSYPLYRFVRKELGAEYLTGEKTRSPGEEVDKVVIAMNQHKHIHPLLECLSEWKGAPLPLS >OGLUM11G23090.1 pep chromosome:ALNU02000000:11:26965631:26970913:1 gene:OGLUM11G23090 transcript:OGLUM11G23090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMJ2] MVKASIKPYFIAIVVQLIYTGMFLISKAAFNHGMNTYIFIFYRQAVGSLILLLTALLQRKNARPVMTLGVLIKLFFCALIGIMLGVNLYHVSLKFTSATVASAVDSSLPAITFFLAALLSVALCLAGVFTIAFFAGPSISPINHHRAFASDAGSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYQDTMVVTVTQCLFSTVQSFVVAVVAERDFSRWKLRFDISLLAILYSGVMVTGVSYYLQTWCLEMRGPMFFASWTPLCFVFTIFCSSFFLGEIVHLGSILGGILLVGSLYTMLWGKSKEGNETDDVTDDDIEKSTQIYPGEQQHTTTD >OGLUM11G23110.1 pep chromosome:ALNU02000000:11:27087329:27089884:1 gene:OGLUM11G23110 transcript:OGLUM11G23110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYLSIISHQCPSDWPTIGFPWIGKPHRQLTSCKVIVISPTGEGCPSHSLIGDRPKQTVSRGRNPHRSLRSPNPRLLMVQEEPNITTPDAGHPSPPSRLLSKHRPRRGAAAPRASLHPPAPPHSQPNLNLCHCCGVRFPPAPPGAKRRPVRPLRSLWRVVLLCTECLSLVRSAAVCSYCLSLDNLPPEDSSVTCRCCNRCVHPYCIAGEHRAALIQPIDVENFICVDCCPTVKPGGKNGGASSVHMLQAVAREPRKGDIVAESKENAVRKAMEMKLAFKRAKEALVSAAGGRGSQRTVGGKPDLPDEELALQLHLAMNGSQRFSRAGNTSGGDSAEQCKGHKSVIGGKNFYGDQELCVTNMMDQLDDDEAGVEPLCRIRRPSRRRLDPSVTIVLALEYVDGKHVKESMKGKRKGHLVTKKQNDLVDRYKRKYSKRNSKQTKNENPEFKDISGGKDKDDE >OGLUM12G00010.1 pep chromosome:ALNU02000000:12:2919:3521:1 gene:OGLUM12G00010 transcript:OGLUM12G00010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQQPSPPSSTVPPAPTAAAAGAVVQVHPAVMQLHHHHHHHHPYAAAAAAQSHHLQQQQAEWPVVDYCSTASASASATAADMAIPPCCRPLKTLELFPTKSTSGGLKEDCCSSSKSSSCSTSTN >OGLUM12G00020.1 pep chromosome:ALNU02000000:12:20011:43140:1 gene:OGLUM12G00020 transcript:OGLUM12G00020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGGHGGVERKEQQQQRGYQLPRDSRGSLEVFNPSSASSFRTAAAAAKSASPFLAIPDREEDNVVAQQRAVEWGLVLQTDHHTGLPQGVSARPSSGSARTSSEDTPQQQQSAAAIPRVSEELRAALSAFQQTFVVSDATRPNHPIMYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQALANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMSEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQVNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRAIVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVCILKNHICATDKSNTNRYGQSHCHVL >OGLUM12G00020.2 pep chromosome:ALNU02000000:12:24212:43140:1 gene:OGLUM12G00020 transcript:OGLUM12G00020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYASAGFFNMTGYTSKEVVGRNCRFLQGSGTDPHEIDKIRQALANGSNYCGRILNYKKDGTPFWNLLTIAPIKDEDGRLLKFIGMQVEVSKYTEGKKDTVVRPNGLSESLIKYDARQKDHARSSVSELLLALKNPRSLSESSNNTLKRKSQESLSMSMSEVPSKRSSESGSRRNSRSGTRSSLQKINEVPDQVNRTRKSGLRAFMGFLGMGHGSVEKNMLKPRDEDPLIDSDDERPESFEDEFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYNREEILGRNCRFLQGPETDRAIVRKIRDAIDNQAEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTEHVQDDAAKEGVVLVCILKNHICATDKSNTNRYGQSHCHVL >OGLUM12G00030.1 pep chromosome:ALNU02000000:12:43712:44406:1 gene:OGLUM12G00030 transcript:OGLUM12G00030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSAYKMAMAACSCCCHAMVGMPWNLWRTLRSTRRVRRVHCHDDDNVEEGGGGGLDGSLSDFGWSSSKDEEEEGSTSTEGGEYGGHGGGRRERRNQDQMRRSLRLRLMSFRSNYHVSVTSMPRGMKT >OGLUM12G00040.1 pep chromosome:ALNU02000000:12:53342:57188:1 gene:OGLUM12G00040 transcript:OGLUM12G00040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPINPSDLNRVEGVYPVRPPLPAAVAGYEGVGQVHALGAAVDSRLLSPGDWVIPSPPSLGTWQTYIVNPATAWHRVRSDVPPQYAATVTVNPLTALRMLRDFVNLAPGDTLVQNGATSIVGQCVIQLAKLHGLHTINIIRDRPGSQEAKDKLKQLGADHVFTESQLDIKNIKSLLGALPEPALGLNCVGGNAASVILKFLRQGGTMVTYGGMSKKPVTVSTSSFIFKAELRASVFEAIEEEDRVVENDDGGNPALLGSCNDRAKQLHASPSGRLLTALVSEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYEDFN >OGLUM12G00050.1 pep chromosome:ALNU02000000:12:58318:76787:-1 gene:OGLUM12G00050 transcript:OGLUM12G00050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTSASSQSLAHHQPEEPLATTHTHCHLFACSTSLCSTLSTVSLTDLIDLVARSLPSPHTHPYKKKLFSIHDFFRYAEFEGKRFFEELDRDDDGQVTLEDLEVAIRKRRLPRRYARDILRRTRRGANNNAGIHHVAFRPMKIMLRYLNADSEESISYSHFRNFMLLLPSERHEDDPRNILFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADCNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPESLPVQSATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTIKEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTKQQQQPTVLAIDSFCAALESLIVSVLAPFNSTSFSPFDCIYQSLPVTAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRVTSLEEIILRRTKATRFTHPLSNSSTEIAATRLEDSGTHTTCDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRYAYAVIFIQEK >OGLUM12G00050.2 pep chromosome:ALNU02000000:12:58318:70667:-1 gene:OGLUM12G00050 transcript:OGLUM12G00050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAANDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADCNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPSLPVQSATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTKQQQQPTVLAIDSFCAALESLIVSVLAPFNSTSFSPFDCIYQSLPVTAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRVTSLEEIILRRTKATRFTHPLSNSSTEIAATRLEDSGTHTTCDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRYAYAVIFIQEK >OGLUM12G00050.3 pep chromosome:ALNU02000000:12:58318:70667:-1 gene:OGLUM12G00050 transcript:OGLUM12G00050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAANDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADCNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPRYKQPVDPKSRFHLTKQLPTNFSAASSLPVQSATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTKQQQQPTVLAIDSFCAALESLIVSVLAPFNSTSFSPFDCIYQSLPVTAQDFGTWCDMQSHKKVAAVKPVASRPSSRLRSFSMLQEDSTAIDSPRVTSLEEIILRRTKATRFTHPLSNSSTEIAATRLEDSGTHTTCDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRYAYAVIFIQEK >OGLUM12G00050.4 pep chromosome:ALNU02000000:12:58318:70667:-1 gene:OGLUM12G00050 transcript:OGLUM12G00050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSEHPMVAAARAALAHLQLPLPPAPAGSNMDHHLLPHFLHRSPPSPCFLLLRTQHKPKPPQPQLHLLLCTAFDSLAHSLQEVLDKHKPKLHLDTLFLHGNLPFAKVGVPPPPPPPAPLLSPPSVSAHHQHQPATPTETETEDTAANDSPPPRTLPVRLLNIPVDRLRSTLSTLSLTELIDLVPHLVARSLPSPDTHPDKKKLFSVHHFFRYAEFEGKRFFEELDRDGDGQVTLEDLEVAMRKRRLPRRYARDFLRRTRSNLFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKGAGLPANEDNAAAMLRYLNADSEESISYSHFRNFMLLLPSERLEDDPRNIWFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLKSVAPTLSDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGEAIVGTMQKDGPKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVLNRDLEPWETIAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQLIVFSILRNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIQTESDSADCNKENNISQNRRHVLDCQTPCEGKGAATSKKRKNKPAGGFNLRKSIAWNPAFFTEQGVLDNTELSMLTGSQVKATRSPASGFSSTFSPLSRFGKSSNTSVLKEIGENSRGKFPSKCLSAENKGRKLFASSKASEQDERKALAGTQDKRSAKAIQKIPKKSEPSPSVVSRSRSTSSVTNVPKPTTRPATVTSECTHKVEGLPLKSKTERSSVTKSSGPTIGKDMVPPTVTTICQETNGSGKCETFSPYSQDNPSSSVVAPARISAKPSALRMPSPSVGFFTQGKASVSHSGTAQRNPERCFSGNISSVKPPSLPVQSATSDSTPNVLASSLPGVEDATVCSLKQSLSESTVPYSEKSGNISYQEMPDDDFSLAGNGATTELSFRDNDDGRNSMPNECSVALSVGQDLNAICCSSTEPAEDSCFLKVICSSSEPSVGSNLTTSCISSPGCTPNDLNSQSKSDNGETAVDIENSLSGETSGTVCSSEGNNCTSATDSLRKSDSCHQQNMLVQSIHCTDQMPQFDSSTGIKPSLAYSQLDSNNSLCSEVQLTSSEGPDIDSEMELDTDDSFTVEEPPLLHVGDECDHDYRSAECSHMNLAAPSPCVDQEALAGNLTEKVDTADGRTESHHCSTQERRPILSEEQDTEDKIEFDTKLSSSEGASSIERIKSVGKSRTNTISKDHLKNLVPFTEEWLAVMEAFGEEVLEQKTGAVQNSPTDKAAPEPSPWSPVKRKAQDVGPFDCTKYSKNVRTKQQQQPTVLAIDSFCAALESLIVSVLAPFNSTSFSPFDCIYQSLPVTAQDFGTWLEDSGTHTTCDQKKADTGKGACWDNLTVSQSVRKPNVSAKNSLSYDGYSWRKYGQKQVKGSEFPRSYYKCTHPTCPVKRKVEMTPDGRIAEIVYNGEHNHPKPHPPRKPTLSTSVETLVATNDAGLENKLEGCDQAIGSDAVVEALRGGCHCLDGFRNGNEISDCKKRYAYAVIFIQEK >OGLUM12G00050.5 pep chromosome:ALNU02000000:12:70671:76787:-1 gene:OGLUM12G00050 transcript:OGLUM12G00050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPTSASSQSLAHHQPEEPLATTHTHCHLFACSTSLCSTLSTVSLTDLIDLVARSLPSPHTHPYKKKLFSIHDFFRYAEFEGKRFFEELDRDDDGQVTLEDLEVAIRKRRLPRRYARDILRRTRRGANNNAGIHHVAFRPMKIMLRYLNADSEESISYSHFRNFMLLLPSERHEDDPRNILFEAATLVAVPPPVEISTGSVLKSALAGGLASALSTSVMHPIDSMKTRVQASSLSFPDLISTLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEAGKLVLKSVSPTLPDIQVQSLSSFCSTILGTAVRIPCEVLKQRLQAGIFNNVGKAIVGTMQKDGPEGFFRGTGATLCREVPFYVAGMCLYAEAKKNPAGELPPAGRRKSSCSSSW >OGLUM12G00060.1 pep chromosome:ALNU02000000:12:77274:77535:-1 gene:OGLUM12G00060 transcript:OGLUM12G00060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDHPLDALVAKIALLVLELPQGGSVLAVQATVLLGAAAPRSLTLGVTVHHAACDSASTTHFLHT >OGLUM12G00070.1 pep chromosome:ALNU02000000:12:78138:78443:-1 gene:OGLUM12G00070 transcript:OGLUM12G00070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRARLHGAASPSNMVAYTASTEPPCGSSGTSGAILATSLGSSVASSSTPAPSCSATVKVTASSGWSGGDEGRAAVEVLLVAVEMAAEGLIASVEATAEEV >OGLUM12G00080.1 pep chromosome:ALNU02000000:12:79353:82793:1 gene:OGLUM12G00080 transcript:OGLUM12G00080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAKLPLVDIGEEHSSCGGVRFTIAVGEKLKTISGLPLEEGMGAAIASPVEEEEALVFQDEEKKSHSRPVEEEEEEELVFEDEEKTELIRVQDFRRVWEHRFSPRYAFDDSTTVCPMRYTEGPIPRYARPDDALQIFSLQVIKAKDGLDWPLHVYGLVATRDSVDQKRNLLFKRTRDNCQLLTPQDSYLQLTGPSRAVLLIDPVTFEVDLKVKGKTETEDKVLSLRVFTHHMAPSYVKYSPMIRRCLSSKHSELELAYAVLADTVEATMVSVQVIEGSWPDHLRGLVVCRTASVEGGDFVLLDSRDGRMPIKCDGAIELSRHVVSVELRGKLVVDVVASQAGKSSNIVSRGRVVFTPEKAGSSSGVFNLGFCKVEACVCWSLLATEKQMRSGR >OGLUM12G00090.1 pep chromosome:ALNU02000000:12:85426:86356:1 gene:OGLUM12G00090 transcript:OGLUM12G00090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMK7] MRKRHKRMLEICSTTVDGMPVTPPQLPFDPKDRHRTQLYQTYHLVELVHPDDHNYLQLMFRETDMYFVAFRHLTRDADRNDTSGWFRFNEKDEFIVPSFIQSEEIFYDYGYGDLTICSVGPRCFTDIYHCLRRFTPANAKGTSDQRTRVLMTCCLMFSETQRFMQMQEEVLENIRKGQDGKINHLITLIHDWIVESRRRASTADQAEEEVPASAQEASSSTCSTVVPAAAAQEASPSGSVDYGLWLLKYDNGACLPLIQRQQQLKA >OGLUM12G00100.1 pep chromosome:ALNU02000000:12:103966:105330:1 gene:OGLUM12G00100 transcript:OGLUM12G00100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIWRPRQKRLVDMINEALSAKRKFEQCEILCVARTQVNYVTKLATDSLQTQIAKAAAVSAGKEKRENCTICLEDTDVSKIHAVEGWAHRFCFSCMKEHVKVKLLHGMLPACPQDGCTTKLTVEGSKMFLSPRLLAIMVQRIIVAQIPPTHKIYCPYPKCSALMSMRELIHPMQASSSKYTLVDAATLRKCVKCSGSFCISCKVPWHHQMTCYDYKRRYPHARLEDAYLQNLARQRLWRQCIRCKHMIELAEGCYHMTCVCGYEFCYTCGKEWKEKKATCSCPLWDERNISRDDPQGNAAIHDDPEDEYDDYYDEDENNYYVGEGLQYNVDYHRQYDGGDRHHGHFYQYNRWCQCRLLQLLISSY >OGLUM12G00110.1 pep chromosome:ALNU02000000:12:141128:141631:-1 gene:OGLUM12G00110 transcript:OGLUM12G00110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRTTTLMVIMCLVILSLTVDSANADCDCCISARAKACCYACIAASGSDTICKNTCCFPCTLTDSVVAKMKEMGDLTKMEEGQA >OGLUM12G00120.1 pep chromosome:ALNU02000000:12:233496:235546:1 gene:OGLUM12G00120 transcript:OGLUM12G00120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGISQVLRRYATLQSGAAAHHAATTRRSTTNPGMHTRAWRRGGHSLLGTTSARSGCSIITVNSGLSARLMPPPPPSIPHSNSRTMFATKYSSCSSARPSPGHILLPTPNGIILISRLPVRSVPAPSPPSRNLSGRNSSGRLHTFSSCATSATEKFTDLPAGMRCPSSDVSSTAACDSRKCAGGCRRSPSRTSALRGRNMSCCLLRMESTFCHRRKNSRLVTVGQKANTWKLMYEEKSSLCTASISRTRRRSSLLPKHMYVNSENMANWRQSTTATATPPPFPLAARSVVKRWSAHRRAGA >OGLUM12G00130.1 pep chromosome:ALNU02000000:12:233915:235471:-1 gene:OGLUM12G00130 transcript:OGLUM12G00130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVILLPLVVIITSTMLLLLIISTAKKRHHGTANLPLPPAPPSVPVVGPLLWLARARSNLEPAIRELHRRHGPILSLTFLSPRAAIFVSSREVTHRALVQRGHTFASRPPAIAPFTVLTSGQCTVSSAPYGPLWRSLRRNLTSGILGHGSRAPLYAPARRWALHLLTTDLAARGNGGGVAVAVVDCLQFAMFSLFTYMCFGKRLDRRRVREIEAVQRELFSSYISFQVFAFCPTVTKRLFFRRWQKVLSIRRRQQDIFLPLIQERRKRISSMDNDGSLVICCYVDTIISHKLPKEAGDRRLTDGELVSLCTEFLTASVDTIVTALQWIMVRVVEQPEIQAKLLDEINRVVSIDKEHVDEEDIKSMAYLKALVLEGLRRHPPAHFLLSHAAVEETSLDGHRIPAGRSVNFSVADVAHDENVWSRPEEFLPERFLDGGEGAGTDLTGSREIKMMPFGVGRRMCPGLGLALLQLEYFVANMVREFEWGMEGGGGGINLAERPEFTVIMEQPLRALVVPRRE >OGLUM12G00140.1 pep chromosome:ALNU02000000:12:244090:248587:1 gene:OGLUM12G00140 transcript:OGLUM12G00140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRVAQLCEKAGLYLRALQHYTELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGAYLTKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLFEEAFAIFKKFNLNVQAVNVLLDNIQSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATHFLDVIRAAEEANVYDDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRLDHTRVVDIMRKVCFLWQGLLLVYQFCSVSKF >OGLUM12G00150.1 pep chromosome:ALNU02000000:12:249473:251356:-1 gene:OGLUM12G00150 transcript:OGLUM12G00150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHSATPGRLLQARELASNQTQTHPKSQSSPVQSSASHLLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSAQQWSARSEEQFSNKTSVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGFSPEQAAPREKPLWWAELEIASSETA >OGLUM12G00150.2 pep chromosome:ALNU02000000:12:249473:251356:-1 gene:OGLUM12G00150 transcript:OGLUM12G00150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHSATPGRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSAQQWSARSEEQFSNKTSVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGFSPEQAAPREKPLWWAELEIASSETA >OGLUM12G00160.1 pep chromosome:ALNU02000000:12:262706:263400:1 gene:OGLUM12G00160 transcript:OGLUM12G00160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCLKFITFIKTKKKLTHLSYIKKFQRVYKTRRGNPRDGERTKNKGPFELEDCHKIFGGLDFFPVSCRITIEGLHLHKTIVPVGRLDPTVATQPREPQQRRDGCKAAGPTTTFLQTLDNELMLGVDVVLFSFTAEPCLSPTRRLGRGGRQDWKGKG >OGLUM12G00170.1 pep chromosome:ALNU02000000:12:264009:265753:1 gene:OGLUM12G00170 transcript:OGLUM12G00170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTFGHIPKSSFVGCDSGLQIFSIKLLLRNTSTTDHQLQWPLHVYGLVATRDSLDPRRNLLFNRTRDNCQILTQQDPFLVLTGPSRAIVLTDPVQFEVQLKAKSNNNTLHDHPDQDQIVNFGVVNSGYLPGQTSHCIGERSEVEFAISVLDRSIEATIISVQLVGGSSWPDHLQGRLVSRTANTNHQEIVLLDSQKQQDGKMPIDDDGFIQLSRRVVSVELAGQLIVQVLVFNSQQQQQQVVDNGNDNKKDEIVAKHEIVFDPKEASLSVETCELQLGGGGGGLCKLQISVAWSLVDRLPPVGYF >OGLUM12G00180.1 pep chromosome:ALNU02000000:12:280086:289579:1 gene:OGLUM12G00180 transcript:OGLUM12G00180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKTKQRRGIEESARMRMRDKGRLSDETPSEEGHEIIRFRRGWESLYSHPHRSFDATTFAPIRYTHVLILKHADCNYGLQIFSVKVNQLLLNEEEEEGLHWPLHIYGLIATRDSLDPRRNLLFNRTRDNCQILTQQDPFLLLTGPTRAVVLIDPVKFEIQLKAKGTSESEDKVLNFFGGVAAAGELRRLSVSRLLMGRHGRMIWEYRLASISDEAIKLLDSRSAHGGRVPICPDDGVIKLSRRVVSVELAGGLQVDVLALHNKQLVDGSKGGLLDVAAADKFTSLRFGTSVCERCSQGSIPELLTFRLD >OGLUM12G00190.1 pep chromosome:ALNU02000000:12:303352:307295:1 gene:OGLUM12G00190 transcript:OGLUM12G00190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSATYETYLAFLSKFPLVDKDWDLQLLPITAVHAAPAAAGPAAAGGLMPPATTVAAFSKPAASLMDSFVPFGGFLCDNYKENSLTANSCPQALRCQQCNDKYEQEVATIISASGITAEDHHQGGLPSLLQNGSMMGPNNGFDPVKVRDDRMVLNSKILNLRKKWNEYCLRLHQDCQRFNRDPYKPFPRYIGVPADKERSANPSKGSESVGVQKDVIKPCAVSAVHSSSTARPISSPSVTNKRNEDLVLNLQARHSKSDENLQERGMQSQHGTLSNADNPDDHASPSSAAPVETDLVLGTPRECSSKGSSSTCSKRVEDSERSVHLVPKKVDDLNLKHPQLSVQPNSCSWSSINVGKTSHSTLHSVASGGFSAFGQWQKRSPLAAQNSDLSNYKLLLERLFKVVGRQEEALSAICESIVRCRSTESRRGPSRNDIWLCFHGSDSMAKKRIAVALAELMHGSKENLIYLDLNLQDWDDSSFRGKTGIDCIVEQLSKKRRSVLFLDNIDRADCLVQDSLSDAIKSGRFQDMRGKVVDINDSIVVLSRSMIHGSKNGLEEGLSFSEEKILATRGHRLKILVEPGRAITSGCPSGKVVVSPRHFLTKIQASLCSGSISKRKLSMSDDQEKLQESPSSLKRLHRTSSIPFDLNLPVDEDEPLDADDDSSSHENSYGNTEKSIDALLHSVDGSINFKPFDFDKLADDMLQEFSNILRKNLGAECMLEIDVGAMEQILAAAWKSEDKGPVQTWLEQVFARSLDELKLKYKHVSSSTLRLVPCEDTLPTVKGDGLGVLLPPRIILDC >OGLUM12G00200.1 pep chromosome:ALNU02000000:12:308427:311766:-1 gene:OGLUM12G00200 transcript:OGLUM12G00200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATQEADCKASEDARLFFDAAKPPPFRIGDVRAAIPAHCWRKTPLRSLSYVARDLLIVAALFAAAATRIDVSVAWAAWPLYWAAQGTMFWALFVLGHDCGHGSFSDSAMLNNVVGHLLHSFILVPYHGWRISHRTHHQNHGHIEKDESWHPITEKLYRKLETRTKKLRFTLPFPLLAFPVYLWYRSPGKTGSHFLPSSDLFSPKEKSDVIVSTTCWCIMISLLVALACVFGPVPVLMLYGVPYLVFVMWLDLVTYLHHHGHNDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPEKSGPLPLHLFGVLLRSLRVDHFVSDVGDVVYYQTDHSLNGTDWAEDAKHK >OGLUM12G00210.1 pep chromosome:ALNU02000000:12:334208:335405:1 gene:OGLUM12G00210 transcript:OGLUM12G00210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPQQQSPPSTTTTSPPPPPPSTPPPASSSSAKPTRPLALDTLASSSRSLLSALRRSPVTTLVAAFFLLALFMYGEDVRTLAELSIDDYLYPDADFYNVSGLPPLILPPPTCDLSRGRWVFDNTSLPAYREKECTFLTKQVSCLANGRPDHLWQYWRWQPNNCSLPTFDARRFMEKMRGKRMMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSFNIFYAKEYRATLEFYWAPFLVESNSDNPNFHHIDQRIISPERIESHANNWKDVDYLIFNTYIWWMNNEDIKVRSVPTNFDVTTSFNQFLV >OGLUM12G00220.1 pep chromosome:ALNU02000000:12:351431:356739:1 gene:OGLUM12G00220 transcript:OGLUM12G00220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSASGGFYAINRRGQVLHATVNDATIVPFVSSQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAYESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQVIYWLSYFGLGPAQWGNPNGIKCVKETLPVLNYTKPLDLNHDMRMYDLVAKVAKNMKNVPVSLIDITRMSDYRKDAHTSLYSIRQGKLLTPEQKADPQKYADCIHWCLPGVPDVWNQILYTRILSKSSPPSTHPSLPPQ >OGLUM12G00230.1 pep chromosome:ALNU02000000:12:359932:361924:1 gene:OGLUM12G00230 transcript:OGLUM12G00230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIVDMAMPAQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWRF >OGLUM12G00240.1 pep chromosome:ALNU02000000:12:371789:379944:-1 gene:OGLUM12G00240 transcript:OGLUM12G00240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVAVPEWLNKGDNAWQMLSATLVALQGFPGLALFYAGAVTRKCALTSAFMALYAMAATMPCWALWAHNMAFGHRLLPFVGRPAPALAQDYMLTQALLPSTLHLHSNGEVETAAVAPLYPSASMVFFQWAFAGVTVGLVAGAVLGRLSVKAWMAFVPLWTTLSYTVGAYSIWGGGFLFHWGVMDYSGGYVVHLAAGVSGYTAAYWVGTRRKEEEEMTMAGGGNLVAMVAGAGILWMGWTGFNGGDPFSANTDSSVAVLNTHICATTSILAWVCCDIAVRGRPSVVGAVQGMITGLVCITPAAGLVQGWAALLMGVASGTLPCYTMNAAMSFKVDDTLGILHTHAVSGVLGGVLTGVFAHPTLCDMFLPEYIAIIRSTDDFDTSSVFAAFDKDGDGKVSASELRGCMAAALGEEVSEEEAAAILATADTDGDGLLDHHEFMRLSAAHQLQEPAEESLRCLREAFDMYAEEEETAVITPASLRRMLRRLGSEHQRLEMEECRAMICRFDLNGDGVLSFDEFRVMMLMA >OGLUM12G00250.1 pep chromosome:ALNU02000000:12:380962:384312:-1 gene:OGLUM12G00250 transcript:OGLUM12G00250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMM4] MENGEQGSLGLTCGGEEWGCGSTAASTTGGLCRRLAHSQGSLDGGGGIGIGIGGEGSDGGGIEGGGGGSGERERAGRANIEVISTKALICAPDSSAVTLSKTKKKPGLERKGKVVTDIKDAVEHYANAYVFTYDNMRNQKLKDLREQLKSSSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDTGLFFTNLPRDDVERLFREFEEHDFARTGSIATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGAVELVADHIVCEEGKPISPEAAQTLRLLGMQMATFRLYLVCRWSSDDFEVYKEGLAQLRAEADDSS >OGLUM12G00260.1 pep chromosome:ALNU02000000:12:386854:402214:-1 gene:OGLUM12G00260 transcript:OGLUM12G00260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFSEVEELVYLRWVNACLRYELRNYQAPSEKISARDLNKTLSPKSRERAKLLMLEYAGSERGQGDTDLETASSAPSSPRSEDFDNVSVDSSSSRYSFFSKRPNLMQKLKKWGRGKDDGSSLASPTQSFTSDSPKSASQKPKGPLEALMLRNAGDGMGITTFGKREQDPSDIMDEANVASSFHLMSKTVQGFADDKYPAYKDRHKLATEREKAIKEKAEKARVQRYGGVNSSGIVPSPRSALPPKLAQIKEKASTANVESSDQPSDNQNNPLVVTQLKLANIEKRAPRVPRPPPAPSATANTAKVVEFYQSLMKREAKKDTTSLGSTTSSVSDVRSNMIGEIENRSTFLLAVKVDVETQGDFVESLANEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDALREAAFEYQDLLKLEHKVSSFTDDPKLACEEALKKMYSLLEKVEQSVYALLRTRDMAISRYREYGIPVDWLSDSGVVGKIKLASVQLAKKYMNRVATELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDEESMKAFEELRSKMCTTQTSAPQIS >OGLUM12G00270.1 pep chromosome:ALNU02000000:12:389897:391979:1 gene:OGLUM12G00270 transcript:OGLUM12G00270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPRLPQALLPLPHRPPGQALPPTTSSSATTAIIISLKHILNIILNALWYHTAYPLPTHQGDIDDELPQDISDTRAMSRMASRSLDGLVTLLRAITTTGDTLSKHEAVEYLWSTQCDLTDKLQQQHTVTMTKKKNPYAAAAEASKHPQHTALGSFLLSLSGDGEKLDRLRHLLRSITDGSGRVISDADWEQLNAMMIQERLMITKKSACLLHLLLHTTWQNYPREAYVKRQRFARSNLEELLLKYCRQHPWEPRYKLDVICGVEEPKSYHWRSYHANFLASANGTNVLNGGEANPPVRKLFFAEFWDSQSGRLCSFCDEPRTILHPPCATGSHSNDDDDADADVIPDYNVDDAIRMYGSVAPELSEGRDLVESDIIYFDHEKDAANLTQVLNDPSFKEEDNNLGRRRKQ >OGLUM12G00280.1 pep chromosome:ALNU02000000:12:405458:407011:-1 gene:OGLUM12G00280 transcript:OGLUM12G00280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPVLRDAGAAVLTGATALAVLRFWEEVGNRALLDQKLCRKLVHITVGLVYFLMWPLFSADDVYAPFLASIVIAFNIIKVTLIGLGIVKDDGVINSMTRNGDPRELLKGPLYYACAMTLATVIFWRTSPISIAVICNLCAGDGVADIAGRQLGRIKLPYNPDKSYAGSIAMFLAGFLASILYMCYFHLFGFVEESWTMVIAFGVTSLSAAIVESLPISTRLDDNLTVPLASVLIGVLVFYYIGARNLCCMSADSSDISALVQNQMFLGRF >OGLUM12G00290.1 pep chromosome:ALNU02000000:12:412557:414196:-1 gene:OGLUM12G00290 transcript:OGLUM12G00290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSAGKEEGSESKTAAANNDGSSTSSTTEEEESGESQRRTSSSSSVRPYIRSKNPRLRWTPELHLSFVRAVDRLGGQDRATPKLVLQLMNVRGLSIGHVKSHLQMYRSKKIDESGQVIGGGSWRSSDEQQYHHLQMQGGGDGGQAYNLGHLSLPAALHHRHITAGSGTILQSRVANAWSPWRCHGSYWLRAGHHLLVGSKPYYPPPPAEARANTSSNHPDFVQGSSSSPDDHTMNHQRPVVLKEMIYNEGSNHQGGPLNLDLSLDICPRGEKRKREFSWRKHEEDHDHTTIAIGGDQEAESCATGLSLSLF >OGLUM12G00300.1 pep chromosome:ALNU02000000:12:422217:425808:1 gene:OGLUM12G00300 transcript:OGLUM12G00300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKASGIDEKCNLHLGKTKLPGSSMFRLGIKTRNIQKLRCSRESESFRAMGILILMLWMGIWDPEAMRQMRAESSFR >OGLUM12G00310.1 pep chromosome:ALNU02000000:12:426943:427823:-1 gene:OGLUM12G00310 transcript:OGLUM12G00310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAK >OGLUM12G00320.1 pep chromosome:ALNU02000000:12:439013:441773:-1 gene:OGLUM12G00320 transcript:OGLUM12G00320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMN2] MLPPRVAPSSPAAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEFNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >OGLUM12G00320.2 pep chromosome:ALNU02000000:12:439013:441773:-1 gene:OGLUM12G00320 transcript:OGLUM12G00320.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMN2] MLPPRVAPSSPAAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQIKSVFLLLLTLPSIFEFLFPFHFNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHSVASRCNDPQLTDFVESEFLEEQVEAIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >OGLUM12G00320.3 pep chromosome:ALNU02000000:12:439013:441773:-1 gene:OGLUM12G00320 transcript:OGLUM12G00320.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMN2] MLPPRVAPSSPAAAAAAAPTYLAAAASTPASVWLPVPRGAGAVAVCRAAGKGKEVLSGVVFQPFEELKGELSLVPQAKDQSLARQKFVDECEAAINEQINVEFNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEERDHAEKLMKYQNMRGGRVRLQSIVTPLTEFDHPEKGDALYGVWHFDQKLLEEEA >OGLUM12G00330.1 pep chromosome:ALNU02000000:12:444750:447816:-1 gene:OGLUM12G00330 transcript:OGLUM12G00330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSPASVQDYPDLQEDDDDDFQDDDDDDDDDDLDDEDEEDDDQEPSPSPSDEARLESVLRRLTAEEVRIRVHDVEIRGCCRTRRAAVEAAVGSDLPRAATVRDLVRAAAAAADRIRRLGAFDTVSITLDAAPPGIPGNAAVIVLVDVAEARGRAAGELGIFANKGTRSCSVQGSVKLKNLFGYCETWDASGDLGLDQTVELSTGVAIPRIGAIPTPLVARISFLSEDWLKSSLREHIMGVSVGLLSTMNHNLAYNLSWRTITDRALMSSNSIRGQLGHSLLSSIKYAYKVDQRDSRIRPTRGYAYLFSSQVGGLAPESKDARYIRQLSARGGDIAVTAFADLSFDIPLKPLRELGIHGHAFVSAGNLAKLTEPDLRKFPLAEFLQTFRSSAGFGVVVPTRLFRIEVNYCHILKQFDYDLGKAGIQLNFSSP >OGLUM12G00340.1 pep chromosome:ALNU02000000:12:449534:453138:-1 gene:OGLUM12G00340 transcript:OGLUM12G00340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTLTSYDYDDSWEDDASLHGNTFLEVIGTEMEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDKLFTVPHQGDFCKPGSWLLIKHKHTPKANHLQFNHQHHTWAEWTAKEGICVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >OGLUM12G00340.2 pep chromosome:ALNU02000000:12:449534:453138:-1 gene:OGLUM12G00340 transcript:OGLUM12G00340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTLTSYDYDDSWEDDASLHGNTFLEVIGTEMEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHSATPGRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >OGLUM12G00340.3 pep chromosome:ALNU02000000:12:449534:452147:-1 gene:OGLUM12G00340 transcript:OGLUM12G00340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWAIRVAYFIVFSFLVTARFGSCAPHSEEGRALPGYRESEQDQSIGSLSNWGEGKLIGRVFNLLLKENMFASETPSESKEHSSISESVPHDSAGFEPCRKCLAKTVHSATPGRLLQARELASNQTQTHPKSQSSPVQSSASHLVPRWAIYALPVAGVLFIAAVATAIYVFFSRRKKDNTVMPWATGLSGQLKKAFVTGVPSLERTELEAACEGFINVIGTLPECTLYKGTLSSGVEIAVLSTSVNSSQQWSAQSEEQFRNKISVLSRVNHKNFMNLIGYCACEEPFTRMMVFEYAPCGSLFEHLHIREAEHLDWKTRLRIIMGVAYCLEHMSQLDPPPLLPTNLSSSSIYLTEDNAAKIADIEFWKDDINKQDDQESVVYKFGILVLEVISGRRPFSEDDRLLVLWASSYLDGKRPLSAMADRTLVRSSSAAPEKDVAALCDVVRQCVRRPEAGKRAISMGEVARLVRGIAGLSPEQAAPREKPLWWAELEIASSETA >OGLUM12G00350.1 pep chromosome:ALNU02000000:12:453682:454158:1 gene:OGLUM12G00350 transcript:OGLUM12G00350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSGSGTPCASCKLLRRRCTSECVFAPYFPAEEAQRFAMVHRVFGASNVSKMLLDVPPPQRPDAVSSLVYEANARMRDPVYGCVAAISFLQQQVSQLQMQLALANAETAALQLQLQQQHQDQDDHQQQPCILENAAAHHQLMLQEAFLKKESMWT >OGLUM12G00360.1 pep chromosome:ALNU02000000:12:457772:461179:1 gene:OGLUM12G00360 transcript:OGLUM12G00360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVEHFIPDGRKIRVYNGSLISFKAFEYNATIDFYWSPLLLESNSDNPIIHRVEYRIIRADRIEKHANVWKDADFIVFNSYLWWRKQRDGMMMKVMYGSFEDGDAKLDEVEMVDGYEIALKKLTEYLGANINKNKTRIFFAGSSPAHSWASNWGGDDNNKCLNETEPIQIDDYRSATTDYGMMDKAKEIFGTLEPKGIHVQILNITQLSEYRKDAHPTIFRRQYVPLTKEQIANPSIYADCTHWCLPGVPDVWNEFLYAYIMHK >OGLUM12G00370.1 pep chromosome:ALNU02000000:12:464759:475813:1 gene:OGLUM12G00370 transcript:OGLUM12G00370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTEQQQQQGRRRSGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHDDSKKPLLLNNNHSTESNAMIQKTVEHPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >OGLUM12G00370.2 pep chromosome:ALNU02000000:12:464759:475813:1 gene:OGLUM12G00370 transcript:OGLUM12G00370.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTEQQQQQGRRRSGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHDDSKKPLLLNNNHSTESNAMIQKTVEHPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >OGLUM12G00370.3 pep chromosome:ALNU02000000:12:466528:475813:1 gene:OGLUM12G00370 transcript:OGLUM12G00370.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTATSPPMRSVAAAALVLTPTPTLNRLSFPFAHRHCPSTAAPRWRPARCRGKPAVEDVVHDDEEETWRREANPERKDGGEEMLGRGWFMVDEIGMDILTIALPAVLALAADPITALIDTAFVGHVGSTELAAVGVSISIFNLVSKLLNVPLLNVTTSFVAEQQAVDADYNSSVENSHIGEEISISQEKAGEQRKFLPAVSTSLALAAGIGLMETVALILGSGTLLDIVGVPVDSPMRIPAEQFLTLRAYGAPPVIVALAAQGAFRGFMDTKTPLFAVVAGNLVNALLDAIFIFPLGLGVSGAALATVTSEYLTAFILLWKLNSKIVLFSWNIVSGDIIRYLKSGALLIARTIAVVLTFTVSTSLAAREGSVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKKARIIGGVTGAALSTTLLLGFGYLSMLFTDDAAVLDVAQTGVWFVTVSQPINAVAFVMDGLYYGVSDFAFVAYSTVQISILIMSHCILFAGAISSAVLLVAAPKFGLGGVWAGLTLFMSLRAIAGFWRLGSKGGPWKIIWSETE >OGLUM12G00370.4 pep chromosome:ALNU02000000:12:464759:475813:1 gene:OGLUM12G00370 transcript:OGLUM12G00370.4 gene_biotype:protein_coding transcript_biotype:protein_coding MERSPTTTTEQQQQQGRRRSGAGWRRWAVLVATVWIQAVTGTNFDFSAYSSALKASLGVSQEALNYLATASDLGKALGWSSGLALIHLPLPAVLLLSAASGLAAYALQYALILDYLHLPYPLVFLICLVAGCSICWFNTVCFVLCIRSFSSSNRPLALSLSISFNGLSAAFYTLFANALSPFSPSVYLLLNAIVPLVVSLVALPAILCHPHDGHLHVVPKHDKHIFLGLYLLAFITGIYLVIFGSFNTTNSTAWVVLTGAMVLLALPLIIPASSSCSHVDTHDPEPTAQLNHDDSKKPLLLNNNHSTESNAMIQKTVEHPMQDCCLGTILEKGRMLVLCEEHSAKKLIQCVDFWLYYIAYFCGATVGLVYSNNLGQIAQSFHRESQLTMLLAVYSSCSFFGRLLSALPDFLHRKVSFARTGWLAAALVPMPMAFFLMWKLHDVNALVAGTALIGLSSGFIFAAAVSVTSELFGPNSIGMNHNILITNIPLGSLLYGQIAALVYDANGLKMSVIDNHNGMIDTMVVCMGPKCYSTTFFVWGCITFLGLVSSIILFLRTRTAYSAANGQQVINTTAKFRVDRTP >OGLUM12G00380.1 pep chromosome:ALNU02000000:12:470611:471316:-1 gene:OGLUM12G00380 transcript:OGLUM12G00380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWETSPEAQAMREALNPWRKHDDQEKR >OGLUM12G00380.2 pep chromosome:ALNU02000000:12:470611:470922:-1 gene:OGLUM12G00380 transcript:OGLUM12G00380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGWYLKIAAGGAAIGAAMELFMIHTGFYEKVTVLESEKRAWETSPEAQAMREALNPWRKHDDQEKR >OGLUM12G00390.1 pep chromosome:ALNU02000000:12:476376:476660:-1 gene:OGLUM12G00390 transcript:OGLUM12G00390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWFTGCARSKMLQRLSEFCFGKDLCCALNTASATDNSTYTLDNHETTGPQRWIHHSELEEVHTITVERINHLGDRHIRYPHSGVS >OGLUM12G00400.1 pep chromosome:ALNU02000000:12:476698:479635:-1 gene:OGLUM12G00400 transcript:OGLUM12G00400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRPGTRRRRRPRREWSHRFPAPRRARRSGAELAGVERDGTELGQVRERAEALRSNRSGSRNPLPVAAVRLLLLNTTTPSEPQLIGGYLLFLGVMPLPATPAHGAVHLPRHTGVSMDTSAEAIFVGPSPPVTADIEESLSDYTSMMEGWTKEHVLAARGLRNKVAPIRVEAMRLGIAADSAGITCFLDAFDECMKRVDLHLVTQKNSFQEFIGSPLQQTVPDTCAIVSSTKCVEVQHRREYETMHGTGSFPCNAAAPRKLRRACFCQKVWKPKEGARVGDVIDMIQRLGGARTTSAPAPAPYMLPVRSWQRHRWDVGGGLTADRIAELLDTRGPFIGTI >OGLUM12G00410.1 pep chromosome:ALNU02000000:12:495193:499581:-1 gene:OGLUM12G00410 transcript:OGLUM12G00410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDSFIIIHVRYALHHYNAKHPDEEFDAVKPLMESRVRFRGQVWFHINFWARSRKSKKIKRFFAEVRYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLPLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRLEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >OGLUM12G00410.2 pep chromosome:ALNU02000000:12:495193:499579:-1 gene:OGLUM12G00410 transcript:OGLUM12G00410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSMLSSVGHPPSLSPVAIALFHWFALSVKPFGSAGSLCHAPLFRRLSPLSSLVTTLYFDMIKAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDSFIIIHVRYALHHYNAKHPDEEFDAVKPLMESRVRFRGQVWFHINFWARSRKSKKIKRFFAEVRYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLPLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRLEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >OGLUM12G00410.3 pep chromosome:ALNU02000000:12:495193:499579:-1 gene:OGLUM12G00410 transcript:OGLUM12G00410.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSMLSSVAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDSFIIIHVRYALHHYNAKHPDEEFDAVKPLMESRVRFRGQVWFHINFWARSRKSKKIKRFFAEVRYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLPLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRLEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >OGLUM12G00410.4 pep chromosome:ALNU02000000:12:495193:499579:-1 gene:OGLUM12G00410 transcript:OGLUM12G00410.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPPSLLSSSSSSHLFQTSGKLGFRRLASGGRCSSPGSSRRPRRREGQSSVSMLSSVGHPPSLSPVAIALFHWFALSVKPFGSAGSLCHAPLFRRLSPAPSLNWGRIANSMMGSAQDWAFGWQPWTGPALEDLLPQLSHEEQLRLHNHLREHERILKWHNKNSPPISSLHSEGERDSFIIIHVRYALHHYNAKHPDEEFDAVKPLMESRVRFRGQVWFHINFWARSRKSKKIKRFFAEVRYKPPSSSSSVCSYLPFPVPGAERPPSSSSVSSDLPLPLPIPVVEACTIIEEPLGQYRKSCAFCRGHLDILHPMGRKFVCGNDKDRLEQQLLPCGSIGLEMPFTCRLGPASVNSSHGEKED >OGLUM12G00420.1 pep chromosome:ALNU02000000:12:546532:547844:1 gene:OGLUM12G00420 transcript:OGLUM12G00420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMAYAMKCSTPERASRGHGPSQARKSEMPLLVLILFLVLGSLSKAFVIMFEELSNHGMTFIIGARLQRRGRCCSWTPQPLLPSSSPSDGVLVQLSKQEFGNNKMG >OGLUM12G00430.1 pep chromosome:ALNU02000000:12:559276:561573:1 gene:OGLUM12G00430 transcript:OGLUM12G00430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLALPAAASSPSLPCYDGSRPEPRRAATVRPPMPLAGSGELIGGREKEPGETPAAAESSASSRLTARWLIPAEEIGRSGRMCRVAKQQRRCGNETNRGETGGGHRILLDMHFIYRIYIWLYTTGSL >OGLUM12G00440.1 pep chromosome:ALNU02000000:12:562972:565189:1 gene:OGLUM12G00440 transcript:OGLUM12G00440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tautomerase/MIF superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51660) TAIR;Acc:AT3G51660] MPCLNVSTNVNLDGVDTSAVLADASKTVATIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPDVNKKLSAGIASILESKLSIPKGRFYLKFYDSKRSDFGWNGTTF >OGLUM12G00450.1 pep chromosome:ALNU02000000:12:566938:567766:1 gene:OGLUM12G00450 transcript:OGLUM12G00450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMQ5] MAAASPPLLPTTILPANTTATVSPAPTSVSSADANPTLGGLIVASAFVVFLTSVGSLIFSALALGAAIVCAHGAFRIPEDLFLDEPDQANGAASVNLLSFITSATGGRV >OGLUM12G00460.1 pep chromosome:ALNU02000000:12:567478:567639:-1 gene:OGLUM12G00460 transcript:OGLUM12G00460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRASAEKMRDPTEVRKTTKADATMSPPRVSLSEKVRPKAASGGASEGRRR >OGLUM12G00470.1 pep chromosome:ALNU02000000:12:569088:573756:1 gene:OGLUM12G00470 transcript:OGLUM12G00470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPRRRRAPPAMLRPFPATAHHRCTLLLLLTVTLLPSLAAAAAAHHQHLHDHAAGDGVVISQADYQGLQAIKHDLTDPYAFLRSWNDTGLGACSGAWVGIKCVQGKVVAITLPWRGLAGTLSERIGQLTQLRRLSLHDNAISGPIPTSLGFLPDLRGVYLFNNRFSGAVPASIGNCVALQAFDASNNLLTGAIPPSLANSTKLMRLNLSHNTISGDIPSELAASPSLVFLSLSHNKLSGHIPDTFAGSRAPSSSSLKESITGTYNLAVLELSHNSLDGQIPQSLAGLQKLQVMDLSGNRLNGTIPDRLGSLADLKTLDLSGNALTGEIPASLSNLTTTLQAFNVSNNNLSGQVPASLAQKFGPSAFSGNIQLCGYSASVPCPASPSPAPSAPASPVQGVETTGRHRKFTTKELALIIAGIVVGILLLLALCCLLLCFLTKKRSGSGGKQTTSSKAAGGGAGGAAGGGRGEKPGSGAAEVESGGEVGGKLVHFDGPMAFTADDLLCATAEIMGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKDFESEAAVLGKIRHPNLLPLRAYYLGPKGEKLLVLDFMPNGSLSQFLHARAPNTPISWETRMTIAKGTARGLAFLHDDMTIVHGNLTASNVLLDDHSNPKIADFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKASAKTDVYSLGVIILELLTGKSPAETTNGMDLPQWVASIVKEEWTSEVFDLELMRDGDNGPAGDELVDTLKLALHCVDQSPSVRPDAREVLRQLEQIRPGPEGGAGPSDEGGAGHVAAASAGNE >OGLUM12G00480.1 pep chromosome:ALNU02000000:12:574198:577535:-1 gene:OGLUM12G00480 transcript:OGLUM12G00480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSGGDSQQFGKMVVESKFRRKRRRGRTTMILASTTDTEGMMDQEEEEEEEEDQPADVLEDRKHQPADVLEDRKHRDGSIYRGTDYWSIYYRIADTNETPLKPMMLSDPTTDCRPNWSGCIVHSGCSMLQIFSLKLVSMAAPAIGDGPIQVYGFMAVRDHMDCLRNYVFNRGRDKPFIVNLSDPFILLSGPKRGIGMETPALLEYDIRIKRGDGEDDDLQLIDGAATISKTELPPPYAQAYTRRIAGDYGSVNISLALLHNAIEATMHIQITEVRGSGGFDMSMACRVGQIPDEIKLFESVAIAKPCQLNKRFVLAIVKHGILVLDLKVKRSGASEEEEPVCMLRGLKAKAHGQVILPVIFDCATILVSVHWSNLPAPISYCHHTGFKYYNELVVPWSIALNLTYPETLTPYNFEGPKYIIWGDAQRLDLCYVKKRTDQYLELCYNASTNIVERHLDDGDFVLFNRQPSLHKMYIMGHRIKIMPYSTFHLNLFATSPYNAVFDEDKMNMHVPQSFDGDEMNMH >OGLUM12G00490.1 pep chromosome:ALNU02000000:12:578117:578539:1 gene:OGLUM12G00490 transcript:OGLUM12G00490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTAVAAALETSRRGSRTGAAAPKPSRADGIEDCDGDSSRDLPPQMASRTAVAMAVALETSRHGSRTEAAVAAPEPSRHRWCQGRRRRLLSPPVWMTPEPSRADGVEDGGSDNSIDLSLQMASRMTAAAPEPSGGGRG >OGLUM12G00500.1 pep chromosome:ALNU02000000:12:578954:579390:-1 gene:OGLUM12G00500 transcript:OGLUM12G00500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSCPSPATPSQAEDYQSWTLKQKLEDLINCDAIHGVMPKNPKYKAYFEEKFEEKLSKYVRVVLPKLRPAIQKDSVKQFYQVYNCWSGFNLRTS >OGLUM12G00510.1 pep chromosome:ALNU02000000:12:586379:587628:1 gene:OGLUM12G00510 transcript:OGLUM12G00510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGCSCWLLSLALLCSLAAAKEQYHEFVIRETTVKRLCKSQSIMTVNGQFPGPTLEIKEGDSLIINLINRGRYNVTLHWHGVRQMRTGWSDGPEYVTQCPVRPGQSYRYRFTVAAQEGTLWWHAHSSWLRATVYGALLIRPRDGTSYPFHVQPTRELAPILLGIACR >OGLUM12G00520.1 pep chromosome:ALNU02000000:12:592121:595756:-1 gene:OGLUM12G00520 transcript:OGLUM12G00520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQCKSNPREEKKGKRNKVSPLPATPHGSGFRSLFSIHYRIHFLKANFWFLTIVLDDPKMSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSPSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEV >OGLUM12G00520.2 pep chromosome:ALNU02000000:12:592121:595756:-1 gene:OGLUM12G00520 transcript:OGLUM12G00520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSTLTDSLHERTIVFGLKLWVVIGISVGASLLGVLLILIVCLTIQTWIKRSRRTFKEIPITQIPSASKDIKEVRAVEEFLPNDFVVHDGLLLAIQNEPVEPVDKDVNQFAQEDKTIQGEDNSPSVPLHYVDNYDGIQSVSTCEQSSSHAPADSVPLPGLPEFSYLGWGHWFTLRDLELATNCFSKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQSLAYLHEAIEPKVVHRDIKASNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYDRPTDEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEV >OGLUM12G00530.1 pep chromosome:ALNU02000000:12:597376:600356:-1 gene:OGLUM12G00530 transcript:OGLUM12G00530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGHGFPRSYLWAFTAKEKEAYSGENDERQQILQLIIEEKQPEISELPGGGIQVHQEIDAAVAELIEQEALDELEQRVGAQLEAPADQQNPVEQHQDEDDNNAAAAMRYYHDKIESIYSGDTGSAFVNGGVLKEIRDWVNAKRDTPLGEDEATTHMENTCHAIHDYLKLYFPAYRPQIGGKVRGHQVSRVWELGKRLGKGGGRCLACHKRVKGFNVTKLQNHLQGSVKRQGIQCPAINNYILNRLERILKEMNEDQ >OGLUM12G00540.1 pep chromosome:ALNU02000000:12:608536:612248:1 gene:OGLUM12G00540 transcript:OGLUM12G00540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTPTYRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPAISRLDLSLCPRLPDAALAALPAAPSVSAVDLSRSRGFGASGLAALVAACPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVLDMSNSYNVTHVGVLSIVKAMPNLLELNLSYCSPVTPSMSSSFEMIHKLQTLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKKLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGITHIAQGCPMLESINLSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMVSSAGLSEIATGCRLLSKLDIKKCFEINDMGMIFLSQFSHNLRQINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >OGLUM12G00540.2 pep chromosome:ALNU02000000:12:608536:612248:1 gene:OGLUM12G00540 transcript:OGLUM12G00540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPTPTYRVKRRRLDLSPPPHLNDLADELLFLILDRAAAHDPRALKSFSLVSRACHAAESRHRRVLRPFRPDLLPAALARYPAISRLDLSLCPRLPDAALAALPAAPSVSAVDLSRSRGFGASGLAALVAACPNLTDLDLSNGLDLGDAAAAEVAKARRLQRLSLSRCKRITDMGLGCIAVGCPDLRELSLKWCIGVTHLGLDLLALKCNKLNILDLSYTMIVKKCFPAIMKLQNLQVLLLVGCNGIDDDALTSLDQECSKSLQVTPSMSSSFEMIHKLQTLKLDGCQFMDDGLKSIGKSCVSLRELSLSKCSGVTDTDLSFVVPRLKKLLKLDVTCCRKITDVSLAAITTSCPSLISLRMESCSLVSSKGLQLIGRRCTHLEELDLTDTDLDDEGLKALSGCSKLSSLKIGICLRITDEGLRHVSKSCPDLRDIDLYRSGAISDEGITHIAQGCPMLESINLSYCTKLTDCSLRSLSKCIKLNTLEIRGCPMINLSYCSVTDIGLISLSSICGLQNMTIVHLAGVTPNGLIAALMVCGLRKVKLHEAFKSMVPSHMLKVVEARGCLFQWINKPYQVAVEPCDVWKQQSQDLLVQ >OGLUM12G00550.1 pep chromosome:ALNU02000000:12:620846:622286:1 gene:OGLUM12G00550 transcript:OGLUM12G00550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTCGPSGSRRQAKGSGADGVRPSPTSPPLPPRASSPPRLGRPSAEEREAGTPRSSERLLPEALRGPSTMVDWDSDSDEDSEFEWDTDGEFEASSAPAFWNTDAPGPSTRPMLQDANGKANGSAPSALVAYFLGMGFSREMVFRAIKEIGDTDTELILELLLTYQAIGSDPAVGNSSHSACDPQILEEEDEEEDVNWDEDDTVDNCDRAPYSDGSGDEVCT >OGLUM12G00560.1 pep chromosome:ALNU02000000:12:632801:635400:1 gene:OGLUM12G00560 transcript:OGLUM12G00560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTESRIRVRNDTLLTSSRNYVSPQGILEFYNFPIPSLPTASPNYQPSLLPEGVQFVLNTLPVYDKCIGDGDGFTAYVPTTDPRESANVPLEVHELVIARTQARKCRDYQSADALLSSLDEAGYKIISCSDDEVLARKYRIRMRGIDAPELKMPYGKESRNALVKLIGGKSVKIYVYDLDQFGRYVGDIYCNNLFIQEQMLKNGHAWHFKTYDKRPEFARWEREARAANRGLWASGNPEKPWVWRRDQRNARQDAIQVY >OGLUM12G00570.1 pep chromosome:ALNU02000000:12:636493:638760:-1 gene:OGLUM12G00570 transcript:OGLUM12G00570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFAVAALNVHDDTARFIVGTREGCIVAIDAPPLSMRIKKNNCVMRLLLAGVAPTNRLPPLTVKLLHARLLRLDLLAALSPLLLRALSSSSLHLHALRLHCLLPNPSHLTFPIALKSASRLPHPLRAGEQLHARSLKLPSHTNPHVLTSLLSLYAKCGLLHRAQRVFDEMPHPSTVSWTALITAYMDAGDLREAVHVVRNAFANGMRPDSFTAVRVLTACARVADLATGETVWRAAEQEGIAQSVFVATAAVDLYVKCGEMAKAREVFDKMRDKDAVAWGAMVGGYASNGHPREALDLFLAMQAEGMKPDCYAVAGALSACTRLGALDLGRQAIRMVDWDEFLDNPVLGTALIDMYAKCGSTVEAWVVFQQMRKKDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGVKLNDNTFIGLLCSCTHTGLIQDGRRYFHNMTKLYHISPRIEHYGCMVDLLSRAGLLQEAHQLVDDMPMPANAVILGALLGGCKIHRNTELAEHVLKQLILLEPWNSGNYVMLSNIYSNRGRWEDAAKLRLDMKAKGVEKVPACSWVEFEGKVHEFRVGDKSHPLSDQICKKLDELGLEMKTMGYEPTTEVVMFDVEDEEKEHTLVHHSEKLAIAFNLLVTGPGETIRVTKNLRVCSDCHTAIKLISRITHREIIVRDNNRFHCFRDGSCSCNDYW >OGLUM12G00580.1 pep chromosome:ALNU02000000:12:638794:641290:-1 gene:OGLUM12G00580 transcript:OGLUM12G00580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGGRSSSKFDGKLICKADDGSPQIYTGVGEGSSNNGGGGASATPGMGLGQAALLVLAFRDRANPDTHQQGLKEAAEPQIGEANTMAMTSQCDNNNSNRAVLVKPE >OGLUM12G00590.1 pep chromosome:ALNU02000000:12:641498:653832:1 gene:OGLUM12G00590 transcript:OGLUM12G00590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMARRFLNLVVESNRDGLYSLRRVPANRLFYPSRRAAEAATAKSEEEVKAYKEEHEGRRHPGLHFMERFGQFPSPMINFQASPTYEHSSRNLELATLLGDDENKILTVDNSGHTLLFDTVSYSVVKFPSLKSNKGRGAISLPVDSAAPQEPDGLYVMSPTADPLTSDCCFEGDDDGGDHYPYYKPTSRPHYQPPHYHGQPAAPAPPQQQPLGPHGVTPSTVGVATLAHDLLNFESTSMYVPDLNLWFGLSARHPYNLCAIDLSNAHLHPPDVLQTWLDLDIPKSWSPSKLNLISLGSGRFCAAKIFRSNMPAAAAFLDDSDDDDDYTAVDSHVIPTDFAVFTGLHMVRHNGKDGQEQIQMIKHKSIFYTFNSYNIEWMSQADIYKPCISTSLLTNPMPIHNNG >OGLUM12G00600.1 pep chromosome:ALNU02000000:12:666073:670598:1 gene:OGLUM12G00600 transcript:OGLUM12G00600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWTRHRHLLQSHSPLHLLHYCTSSTSISRDAFAIARALSMASSSSSHLHAHALKLGTLAHTFNMNYLLIYYARRGLLDSALKLFDEMPHRNLVSWTVMVSASTRNGAPHLGFRFFVSMIRSGFCPNEFALATMLTACHSMLAHSSNKLLIALSLHGVAVRAGLDSNPFVGSSLLLMYAKHGRIAAAQRAFAHIRNKDLTCWNAMLEGYVSNGFGHHAISTVLLMHHSGLAPDRYTYISAVKACSISAQWDLGRQLHCLVIHSMLESNTSVMNSLVDMYFRARQKETAASVFRKIRQKDTVSWNTMFSGFAHDEDDKAVFGYLIDMSRTGFKPNEVTFSVLLRLSGAKENASLGLQIFALAYRHGYTDNVLVANAVINMLFRCGLLDRAYGFFCSLTFRNIVTWNEIIAGYGLFSRSEDAMRLFRSLVCIGERPDEFTYSAVLSAFQEAHGARDHEQIHAIILKQGFASCQFVSTSLIKANAAAFGSVQSSLKIIEDAGKMELVSWGAIISAFLKHGLNDEVIFLFNLFRGDSTNKPDEFILATVLNACANAALIRHCRCIHSLVLKTGHSNHFCVASAVVDAYAKCGEITSAESAFTAVSSATNDAIMYNTMLTAYANHGLIHEALNLYEEMTKAKLNPTPATFVAILSACSHLGLVEQGKLAFSTMLSAYGMHPARANYACLVDLLARKGLLDEAKGVINAMPFQPWPAVWRSLVNGCRIHGNKQLGVLAAEQILRMAPSSGAYVSLSNVYADDGEWQSAEETRRRMVQNKLQKVHGYVAPEYANSGLLNEKSDVYSFGWFCWKLLQVEIPLTMIALQMRRSEEVVDPNLERKPSTKELKRALLTALRCIDLNAEKRPRMDQVVRMLDSNEPIPQEERRQRQNHISNNLETEPLRGKSSSGKSDAPENEMRPPRYKNRSFPPK >OGLUM12G00610.1 pep chromosome:ALNU02000000:12:671410:675343:-1 gene:OGLUM12G00610 transcript:OGLUM12G00610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPPTPLPPETANTSPAPNGATAGIRVENCYVFKSRLQEYAQKTGLQTPEYHTFKEGPSHEPVFKSTVVINNTSYDSLPGFFNRKAAEQSAAEVALMEIVKSIPANANIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKSASGLAPFICTVEIGGIQYIGAAARTKKDAEIKAARTSLLAIQGQSEGSANGATKYIVVPGKRVGKEVEKRPIETPKPLKVKKGGFKKKWNKRKFMKKDGQAVDVEKDEARVAGDAHDSDVLMQPTVITQEASCGTLFLQPCEEAKRVEAEPPRDIEMVQPDKENQHSDAALVQPDDEARVEQEPSRDISVVPPNEEAISGKQEPSIDAAILQPKEEASSVKQEPFIDTAMLQACKEAGSVELGPARDTVISQLNEQDRAVKQEPAGDIVVPQPDVHARVVKE >OGLUM12G00620.1 pep chromosome:ALNU02000000:12:684968:685342:-1 gene:OGLUM12G00620 transcript:OGLUM12G00620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVHSSSSSSAAPSAALPNHHTNHLVDDHLPVENGPDPRRDVPDEEPPPPPPPQVALLPQVVVLCEQRHEGFDEAAAAAAGPSTSGPVSKWRPKDRVMDAILPAAALQLFAGSCLGGGFIG >OGLUM12G00630.1 pep chromosome:ALNU02000000:12:687564:693636:-1 gene:OGLUM12G00630 transcript:OGLUM12G00630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQKLHISTESESAPHPLVLTTPDIVLAPNKSSHPPTMYYIDEQALQACGCWPANAEEKTWSNQGALILI >OGLUM12G00630.2 pep chromosome:ALNU02000000:12:687566:693125:-1 gene:OGLUM12G00630 transcript:OGLUM12G00630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQKLHISTESESAPHPLVLTTPDIVLAPNKSSHPPTMYYIDEQALQACGCWPANAEEKTWSNQGALILI >OGLUM12G00630.3 pep chromosome:ALNU02000000:12:687564:693154:-1 gene:OGLUM12G00630 transcript:OGLUM12G00630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEPTMEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQCSEQLLLGPMGKSPFWSVSMVSVDNGAPHPLVLTTPDIVLAPNKSSHPPTMYYIDEQALQACGCWPANAEEKTWSNQGALILI >OGLUM12G00630.4 pep chromosome:ALNU02000000:12:687566:693125:-1 gene:OGLUM12G00630 transcript:OGLUM12G00630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPSTLGQPSTSASSVDARYSADRTEDSQLFLSVPALNQAASYLAQTASYLTQCLPVSGYTAISEEGQELATLPPASTVGGSSFQASSEQSADSSPGEIDNTGSSSQEITEQMAPLRVFQNGASLFQGLVERARKTVRGSADDIGWLQQDQSLPPTEDGTARFLEILDAVSNHGPLYFVKTKSYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSKKRVLLLGHSKGGVDAAAALSLYWPQLKDKVAGLALAQSPYGGSPVASDILREGQLGDYVRLRKLMEILVSKVLKGDLQALEDLTYERRKEFLRQNPLPPEVPIVSFHTEASITPSVLTALSHVAHLELPAAADGNPTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLNEEPRDQADTSQCSEQLLLGPMGKSPFWSVSMVSVDNGAPHPLVLTTPDIVLAPNKSSHPPTMYYIDEQALQACGCWPANAEEKTWSNQGALILI >OGLUM12G00640.1 pep chromosome:ALNU02000000:12:694606:697836:-1 gene:OGLUM12G00640 transcript:OGLUM12G00640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAASTSEAEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKKQDQLRSAARQRHEDLGRNARFAQIWRSRKGDRNEVDESLREICHLYDAVQVDSDEEKHPTEPRITSFEEGAILCNFLPLIREHLPSAAEEIESDIISLAQSEDSDVYDIYTVKEVDDDTTMEGTSSAPYPLLQVDNDDDVCYDDDDPYGTDDSNAEDNPLYDYPEELSEDEDDDSNSENPFSELDGSDPEYEKEEVEEERDEDGR >OGLUM12G00650.1 pep chromosome:ALNU02000000:12:706734:708117:-1 gene:OGLUM12G00650 transcript:OGLUM12G00650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEAASTSEAEAEGRGKPIVVRVKRKPSQTRPDAFWLEINERPVKKAMLDFSSLSVSEPSSAPNKVSVLTASEEPRVKKLLVQHIETVHHSEAVQDVLHSLLHSDLDAKEIKSKTKEWNNRTKQDKPEDGS >OGLUM12G00660.1 pep chromosome:ALNU02000000:12:708293:711502:1 gene:OGLUM12G00660 transcript:OGLUM12G00660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSLSLVAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >OGLUM12G00660.2 pep chromosome:ALNU02000000:12:707712:711502:1 gene:OGLUM12G00660 transcript:OGLUM12G00660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPLPSASASEVEAASVAAAIAAARRQSQGRVCWFSRRQNKREQVGCLKIRANSSLHTHVDGYTRCRPRAQKKKKKSSDMAATVSLSLVVSASGFPYPFPSPLSKTLANPSSSTSLLLAAPLSRGATPLPLLRRDVSAAYGDDDMDDDFGDFDLDDGDGVGDDEDLDNEQDYDVDYDRLLAPVKAPPRPLSGEGDEEEEGDIAMVAAQSFVSTQDSASDTVVDYSVNEDEFHKIRLLHCDFFIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKNFGRYHVSEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRCNDPDFFLDFEEIYVIDSKARSITRAKVVVSVPEGKKRDRRNDLLLIRDGGESFRIIDKTKRDDATTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >OGLUM12G00670.1 pep chromosome:ALNU02000000:12:711825:713347:-1 gene:OGLUM12G00670 transcript:OGLUM12G00670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVDVQDVNVHTIIIRICLEWGTQMLIWYREFFLRLHVYCIHYFSPNKTSLYLLSDWYDLFGGCLLMACNAMYLVLDKIDSPNARPWSRGRF >OGLUM12G00680.1 pep chromosome:ALNU02000000:12:742521:744473:1 gene:OGLUM12G00680 transcript:OGLUM12G00680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDHKKATALEEKLELLRAVTKSSAANETSILVDASKYIKELKDKVSQEPEQLGSTSSSMPMPRVSVSSVELEKKRGFRINVSMEKSQPELLTSVLEAFEELGLDVLDADVSCADDTAFRLEALGSSQSEAAERSVDEQMVRHAVLQAIKKCMDGSSI >OGLUM12G00690.1 pep chromosome:ALNU02000000:12:744815:745522:1 gene:OGLUM12G00690 transcript:OGLUM12G00690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLCAWKAGRCTEERPMTRDGGGGFGSPWVDPAPPRRIWPEQAAPVRQRWETKVAASGDWAAGGSQRRRSACAEDAVAIGGRGSGGEGWGWGYDGWGWGVRRRLRGAGGGLSTASSSPPPPPAVGSGGYGLDGLCVVAGPGWRCADPRLVVGSVEPAAGYGLDGCGLRCQRPCPAPSSLPYPPSSLRRSITPPNLALLLALTSLALIHYLGVARASPLSGSLFDMLLA >OGLUM12G00700.1 pep chromosome:ALNU02000000:12:746376:747927:-1 gene:OGLUM12G00700 transcript:OGLUM12G00700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCVCSPMASMYRLPRNSICAACYEGAKAIIAFFNDDDDEQGDADQGSVKSRRGLAKLNSTTKGLRDTWEEVKRMRYREEDAKQRASFLQEGFAAAWKDGIHTDIAVRPGTGPPIKAHKAILAARSEVFRHILAGDDDCKAPAGDSLSLPELTHDELSHLLAFLYTGSLATCTEERHLHALLVAGDKYDVPFLRRACEARLAAGVEAGNVLRTLEVAELSSSAALMERAMETVVEHAEKVVFSPEYEEFAVRNAALCVQITRALLANKTFPAKTP >OGLUM12G00710.1 pep chromosome:ALNU02000000:12:750462:750886:-1 gene:OGLUM12G00710 transcript:OGLUM12G00710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSSSVQSWVEEHKLASIGGLWATAVGASVAYGRRKTPQMRLIHARLHAQALTLAVLGGAALAHHYYNPSTNTNNKSSSSLDYDFYSQLPAATTDDGQENERWSW >OGLUM12G00720.1 pep chromosome:ALNU02000000:12:752704:755184:1 gene:OGLUM12G00720 transcript:OGLUM12G00720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPAAATTTPPPSERHRSPKVKRQRSAAAQPLGDVTNLLLPSTPTNPITARPRPLPSDTTAAASTCSASPSHTPVSKPSSATAAEERSLVKSAISTVYTRRNTTQKRRTNDNTPFPAGTASCPPPATLARKPLRLRTKSTRMENTSSGKHMLPEDFVKKQRAYFEEVDAFELPEEEASETDLE >OGLUM12G00730.1 pep chromosome:ALNU02000000:12:755821:757493:-1 gene:OGLUM12G00730 transcript:OGLUM12G00730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLINGKLYSQKVHTSGEDQMGACQHDKIPFVNMVVSFTSRIQRLVTQKIVLNPCMDGACQPIDVC >OGLUM12G00750.1 pep chromosome:ALNU02000000:12:758809:760566:-1 gene:OGLUM12G00750 transcript:OGLUM12G00750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLLIMQRHHHHSLHQRKITDREKIDAVRSAARVADLLVATVDGGVQELYINERRIEIEARALLATIARYKKQTDRWLAATNAINSVLKEIGDYENWMKIMDFDCKNTFQNDYSIRKGTLLPSLNITLFRTGTHRLRL >OGLUM12G00750.2 pep chromosome:ALNU02000000:12:759744:760566:-1 gene:OGLUM12G00750 transcript:OGLUM12G00750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGGQLEAALLLIMQRHHHHSLHQRKITDREKIDAVRSAARVADLLVATVDGGVQELYINERRIEIEARALLATIARYKKQTDRWLAATNAINSVLKEIGDYENWMKIMDFDCKSINAAIRNIHHS >OGLUM12G00760.1 pep chromosome:ALNU02000000:12:782621:786008:1 gene:OGLUM12G00760 transcript:OGLUM12G00760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSTAAAAEGDRTLRCLCPVILGDVNRMLPKPVDPVRMMYLPIACGLVLPPQVLFICFTGQPNPPVLSRVPDSCNVALMVHDKIDSKWKPLLKPEELPTLETLVGAR >OGLUM12G00770.1 pep chromosome:ALNU02000000:12:783882:789884:-1 gene:OGLUM12G00770 transcript:OGLUM12G00770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSSRRDPEAAPNPPGIPPPPPPPAGLLSACLARIVALPHPHPANMAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERMKRF >OGLUM12G00770.2 pep chromosome:ALNU02000000:12:784081:789884:-1 gene:OGLUM12G00770 transcript:OGLUM12G00770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MERGSSSRRDPEAAPNPPGIPPPPPPPAGLLSACLARIVALPHPHPANMAAMATAPCFPATPGLPARGAVAARSRMAAGGSRSQRRRSSSGVFLCRSSTTGSTRMEDYNTAMKRMMRNPYEYHHDLGMNYAIISDSLIVGSQPQKPEDIDHLKDEEKVAFILCLQQDKDIEYWGIDFQTVVNRCKELGIKHIRRPAVDFDPDSLRTQLPKAVASLEWAISEGKGRVYVHCTAGLGRAPAVAIAYMFWFENMNLKTAYEKLTSKRPCGPNKRAIRAATYDLAKNDPHKESFDSLPEHAFEGIADSERRLIQERVCALREA >OGLUM12G00780.1 pep chromosome:ALNU02000000:12:789611:795958:1 gene:OGLUM12G00780 transcript:OGLUM12G00780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMU5] MCILCAVQRWSRRVATMLPWLVLPLILLWALSLRDAAVASVVAVLPHEISPLISAASTFFFILSAYFAVLPLRDEGAISLGLSTLPGLFAGSLLLTLVAAPVASLAFSLPSIPKPRALVFIHRFFSLSLLVFFVLWFASTPGHSPYISQVSLLNLIAISSTWARVIDIMDSESGSRLFGFIGAGATLGQLFGSLFAATMAWLGPCFWLIARSPYLMYISLFLWLSAVVSSFFYFQGRILTIAGVTVAICASPFIATLNMVALALWPTWVAVAVTETIRKVTTYVLTRPGRELLFTVVSQDEKYKAKVCIDVVVQRLGDATAAGIYSLLFSSLEKKASMVTLYALPLCFVWLLTAFHLGRLQTNLVKVQVASFASSIPR >OGLUM12G00790.1 pep chromosome:ALNU02000000:12:795296:801887:-1 gene:OGLUM12G00790 transcript:OGLUM12G00790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWWTSRVARVVAGEKDKHSKVVTSRGLRDRRVRLSVPMAIAFYDIQDRLGIDQPSKAIEWLIRAIDALLSLDCSLVFPNAAQLLTRWRRRPSSGQPSEEQACTRKATVAASPRKSGEKRRKTRSGCAARRAERIAKLRARREGWMPRREASTSAASGGGSTGEIEEDELKHGGERSNQVPI >OGLUM12G00800.1 pep chromosome:ALNU02000000:12:802280:805298:1 gene:OGLUM12G00800 transcript:OGLUM12G00800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >OGLUM12G00800.2 pep chromosome:ALNU02000000:12:802802:805298:1 gene:OGLUM12G00800 transcript:OGLUM12G00800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYKEQIALTKIPVTLLRSKHSSLCSACENITSEAVNFLSEKQIQDKIMTILHDTCSQTFSFEQKCLETMDSYATLVFAKIAEIKPDEFCKQYGLCRDMALLSAVKSESTCVFCHHIIDEIMSKLKDPDAEFEIIQLLLKECNKIEGHQQQCKRMVLQYVPLVLVNGEKFLEKNDVCAMIQACDAGKRKAFNLFSARKLVRDA >OGLUM12G00810.1 pep chromosome:ALNU02000000:12:806751:807373:1 gene:OGLUM12G00810 transcript:OGLUM12G00810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTTRHRLRDAAVASVVAVLPHEISPLISAASTFFFGGTWQMWGTPLVQESLGLRGPQTEWLLPNANQFNHGVSHLNGGTRSSVGSGLDDNDLWLQKAPFQQMPLDTRSLFLSHDVSENAIHNDLDFGSPNKSARLHPIGPPGHSWSK >OGLUM12G00820.1 pep chromosome:ALNU02000000:12:812244:815015:1 gene:OGLUM12G00820 transcript:OGLUM12G00820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein [Source:Projected from Arabidopsis thaliana (AT5G58375) TAIR;Acc:AT5G58375] MCPLRVILIFLSATIAGFFLIRGLNADPDLLHDDADASESPRERAPVPLHSKVGSALKTGFWTMVDMASGKYLWRTLVSPPTKCESEKAQ >OGLUM12G00830.1 pep chromosome:ALNU02000000:12:815621:816610:-1 gene:OGLUM12G00830 transcript:OGLUM12G00830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDATKSYIDHDGKRPHPGALHFMDRFRNFPCPLLNLQPTPMHHRNERSLDCVTLLGDNETKILTADNYGHTVLFDAASYSVVHFPKLNCSKGYDAMAVSINRAAPQQPDCLYVLNLKPHPTTSNHCFEVLSYGGSPIWRFLPPPPFTTTTQTTITSYTVVGGDTIYVSFKLCGTHAFDTVSRQWRPISSLWSMPFLGKAQYVPELKLWFGLSCHHPHSLCACDLTNIAQGQLHTWLDLDIPESWSPIQLDLISLGSGRFSVAKMFSAMTQDDEIDMEFAIVTGLQMVPPCGTKDDQQAPWMVKHKSIGYPFGYYNIKRVF >OGLUM12G00840.1 pep chromosome:ALNU02000000:12:819684:820883:-1 gene:OGLUM12G00840 transcript:OGLUM12G00840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRFLNLVVENARSGLYSLRRLPANHLFYPSTGAAEEATAKSQESFKAYVKEHHGKKHPGLHTMEMLGKLPSPMFNFEPTPWDGQRRHRNLEFASLLGNENRILIADHSGHTIVFDADSSTVFAFPNLISDKGCAAISLSIKNNNTNKNISGDMWDEDSLYVMSQSADPETKDYCFEVLNYTSSCKDFRGRTPYWSSLQPPPFANYMHADITSYTVVDSSTIYVSSMEPDATYAFDTVGRQWRRLGCWTMPFDGKAEYVPELKLWFGLSVDHPYSLCACDLLYDVAKPPTVQQQHTWVDLDIPESWLPYNIDLINLGSGRFCVVKIFRHMADDCTGLSDYDDDDAMDSDLIQGKFAVLTGLQMVRPCGKDGDDQGGVRMIKHKSMYYNFWDYEIEWVI >OGLUM12G00850.1 pep chromosome:ALNU02000000:12:828361:832326:1 gene:OGLUM12G00850 transcript:OGLUM12G00850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYTRGYHIWTNMEQGPPPTTQLSFCNDHDYELAGWVHPQLYFQVHDMVYKMEEERLLHEEDSRYYLLTNHAKKFLKPLVTLAGHNNQRGYSIAPSLTLDNIRVSLVSGTCTLIEQRFYYIGEVVGTERIDRATSYFAVKEIFQELIRRTETEDVMLNMCPSFTDMFHRIDRMRVLRPKTALLYEKVIVNHPSMMSTQGSVILMRDADMILRHQYKYAPKTSKIRDIANRHPLLRCWHQFNQQLQQQHELARQKKISENDSRSTIQNNGAERGKFNRVKIGSGLVGLCRDGVNHPYEPSVKQMLKRVNASRKCKISWPLTIKEVVDVMEINLPGFKPMLVEGLLSYLDWAYSSRRYCWPRDDRCDSLTIPVRISTGTQAKLLKLGREDIIIAFLIKYDEMHYAAGLDEPEGIQAKLLKLGNEDVITYLTDQEMRQKGGSKGPKEDADTHVVKEKV >OGLUM12G00850.2 pep chromosome:ALNU02000000:12:828361:832326:1 gene:OGLUM12G00850 transcript:OGLUM12G00850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGAGGAARARRRFSSSLSILRRSNHTPTNQISNMVTAGAAALSRGRGRQHTSPLDFIHLQLGPGLHPSLDCTALLNNNNKPQIQPGRCPSDDLPGLEQTQHIVVEVHTAALLNNNNNNKPQIEPGRSPSDDLPGLEQTQHMVVEVDNKKPQCPSRPPAFGCCGRWKSMALRPSNGFGLHKRISHMDKHGAGASPYNPTLELIRRTETEDVMLNMCPSFTDMFHRIDRMRVLRPKTALLYEKVIVNHPSMMSTQGSVILMRDADMILRHQYKYAPKTSKIRDIANRHPLLRCWHQFNQQLQQQHELARQKKISENDSRSTIQNNGAERGKFNRVKIGSGLVGLCRDGVNHPYEPSVKQMLKRVNASRKCKISWPLTIKEVVDVMEINLPGFKPMLVEGLLSYLDWAYSSRRYCWPRDDRCDSLTIPVRISTGTQAKLLKLGREDIIIAFLIKYDEMHYAAGLDEPEGIQAKLLKLGNEDVITYLTDQEMRQKGGSKGPKEDADTHVVKEKV >OGLUM12G00850.3 pep chromosome:ALNU02000000:12:828361:832326:1 gene:OGLUM12G00850 transcript:OGLUM12G00850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYTRGYHIWTNMEQGPPPTTQLSFCNDHDYELAGWVHPQLYFQVHDMVYKMEEERLLHEEDSRYYLLTNHAKKFLKPLVTLAGHNNQRGYSIAPSLTLDNIRVSLVSGTCTLIEQRFYYIGEVVGTERIDRATSYFAVKEIFQELIRRTETEDVMLNMCPSFTDMFHRIDRMRVLRPKTALLYEKVIVNHPSMMSTQGSVILMRDADMILRHQYKYAPKTSKIRGKIDNILKSLPYLGPDDQKSSIAEMSVIPNYDKLWTDIANRHPLLRCWHQFNQQLQQQHELARQKKISENDSRSTIQNNGAERGKFNRVKIGSGLVGLCRDGVNHPYEPSVKQMLKRVNASRKCKISWPLTIKEVVDVMEINLPGFKPMLVEGLLSYLDWAYSSRRYCWPRDDRCDSLTIPVRISTGTQAKLLKLGREDIIIAFLIKYDEMHYAAGLDEPEGIQAKLLKLGNEDVITYLTDQEMRQKGGSKGPKEDADTHVVKEKV >OGLUM12G00850.4 pep chromosome:ALNU02000000:12:828361:832326:1 gene:OGLUM12G00850 transcript:OGLUM12G00850.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAAGAGGAARARRRFSSSLSILRRSNHTPTNQISNMVTAGAAALSRGRGRQHTSPLDFIHLQLGPGLHPSLDCTALLNNNNKPQIQPGRCPSDDLPGLEQTQHIVVEVHTAALLNNNNNNKPQIEPGRSPSDDLPGLEQTQHMVVEVDNKKPQCPSRPPAFGCCGRWKSMALRPSNGFGLHKRISHMDKHGAGASPYNPTLELIRRTETEDVMLNMCPSFTDMFHRIDRMRVLRPKTALLYEKVIVNHPSMMSTQGSVILMRDADMILRHQYKYAPKTSKIRDIANRHPLLRCWHQFNQQLQQQHELARQKKISENDSRSTIQNNGAERGKFNRVKIGSGLVGLCRDGVNHPYEPSVKQMLKRVNASRKCKISWPLTIKEVVDVMEINLPGFKPMLVEGLLSYLDWAYSSRRYCWPRDDRCDSLTIPVRISTGTQAKLLKLGNEDVITYLTDQEMRQKGGSKGPKEDADTHVVKEKV >OGLUM12G00850.5 pep chromosome:ALNU02000000:12:828361:832326:1 gene:OGLUM12G00850 transcript:OGLUM12G00850.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYTRGYHIWTNMEQGPPPTTQLSFCNDHDYELAGWVHPQLYFQVHDMVYKMEEERLLHEEDSRYYLLTNHAKKFLKPLVTLAGHNNQRGYSIAPSLTLDNIRVSLVSGTCTLIEQRFYYIGEVVGTERIDRATSYFAVKEIFQELIRRTETEDVMLNMCPSFTDMFHRIDRMRVLRPKTALLYEKVIVNHPSMMSTQGSVILMRDADMILRHQYKYAPKTSKIRGKIDNILKSLPYLGPDDQKSSIAEMSVIPNYDKLWTDIANRHPLLRCWHQFNQQLQQQHELARQKKISENDSRSTIQNNGAERGKFNRVKIGSGLVGLCRDGVNHPYEPSVKQMLKRVNASRKCKISWPLTIKEVVDVMEINLPGFKPMLVEGLLSYLDWAYSSRRYCWPRDDRCDSLTIPVRISTGTQAKLLKLGNEDVITYLTDQEMRQKGGSKGPKEDADTHVVKEKV >OGLUM12G00860.1 pep chromosome:ALNU02000000:12:843550:843843:1 gene:OGLUM12G00860 transcript:OGLUM12G00860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCISSSLLLLVLLLLVFFAHHGSCSRPLPLPSPTPMQPQLKHESETASADTTTTTEEQVMQQQLSWLRSMKPRGRPQPSSPSKRTN >OGLUM12G00870.1 pep chromosome:ALNU02000000:12:851013:851459:-1 gene:OGLUM12G00870 transcript:OGLUM12G00870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLFTGALAGSILYAVCVLLLCCVDRRRRHAGAPPPDPKIWLPDHAHHRRRRDESSEMECSICLGELEEGERCCTLAACRHEFHKECIYRWLANRNTCPLCRHIPLPPASSPPPAPPPHHASPPPSNVPAAAHV >OGLUM12G00880.1 pep chromosome:ALNU02000000:12:852037:852462:1 gene:OGLUM12G00880 transcript:OGLUM12G00880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDIVDCLMWGIIFFFLLACIGVALCFLALTIATVVGLIRRRNDDANNKYDMLIERLLLRPKDDQDNEQCVICLSESEDDVDDGGGERGRWRMLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAVAAADDIISTADNMV >OGLUM12G00890.1 pep chromosome:ALNU02000000:12:862832:864690:-1 gene:OGLUM12G00890 transcript:OGLUM12G00890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRRGRERTTTKTAAFASCTTRRAGRSHQSFEEVDNDDRGTIDSNWSDLTGDYTTNFNNLLYAQGFHQDYPMNQYYPFGPVYYQMPSPPPARYQNRRSSNGHSRNNVYGYAGTNGIGPAPCPPGYLIMRPYSQIDDSHRARGTGTYFPNPNLSKDRSPSGRGGRGKTHFLSHNHQRPHHYGRADMSADLTPSEELRHIYDPDANDLGIPSSLHISIPSPSSEAPREIVHGNGFIQPPAKKLEFGTLGALPLEVTSQELGINRLNTASDSQPSASASPMSLAHNPGISSNQMRNAQPYHLKDNGDFPPLSS >OGLUM12G00900.1 pep chromosome:ALNU02000000:12:866500:867696:-1 gene:OGLUM12G00900 transcript:OGLUM12G00900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRRFVNLVVQGAGGLYSLRRIPANRLFYPSTRAAEEATAKSQESSMEEHGGRKHPGLHTMEMLEKLPRSTFAFEPAPVDRYHLRSLDFACLLGDESRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNNGLEPVPEDGLYVMTRSPDVHRIKDGCFEVLNYSSSSADFREMTPYWVSMPPPPFAGCMHAEITSYAVVHGTTIYISCKKPIHSTYAFDTVSREWRRLGSWTMPFHGRAEYVPELNLWFGLSARHPYSLSAFDLLFDDSSVAAKPPTVQHTWVDLDIPRSWLPWNIHLINLGCGRFCVAKMFHSISGDRTFCSYSESDDGTIEDSDPIHGSFAIFTGLHMVLPRGKHDDVQMIKHKSMYYQFFDDYIEWVI >OGLUM12G00910.1 pep chromosome:ALNU02000000:12:868631:868960:-1 gene:OGLUM12G00910 transcript:OGLUM12G00910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWKELGFELYSLCAIDLCSAETRPPSVVYTWPDLDMVAVSAEAHQHRLWQILRRQDLHFWWLLEQL >OGLUM12G00920.1 pep chromosome:ALNU02000000:12:869625:874410:1 gene:OGLUM12G00920 transcript:OGLUM12G00920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLVLVLVSDDGLLLYFARLLGGGRKTKTKTKRRRRRCLCLRLQATVSSFPPATIRWPRISRGLRFLGPLTKPRPTRRAHQCIPQQPRPPPTSASCAASLAGAPPAATSSTRCSPSSACSTALNFSPLSSLASRLRRRRSPSYSRHPRPSSRRHSSARDGMMSSI >OGLUM12G00930.1 pep chromosome:ALNU02000000:12:870264:870659:-1 gene:OGLUM12G00930 transcript:OGLUM12G00930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLAWHGDE >OGLUM12G00940.1 pep chromosome:ALNU02000000:12:874473:883438:-1 gene:OGLUM12G00940 transcript:OGLUM12G00940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGKIIYEKINARELIRTINDAGVALGTPPGLQQESSVLRNALQLLELVTMQYCPKHHRSPAKLKS >OGLUM12G00950.1 pep chromosome:ALNU02000000:12:877602:878889:1 gene:OGLUM12G00950 transcript:OGLUM12G00950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGEHAGAVHHVRDGEGVRPHRRLLRRGQDPQLRRRHHRRPPDHLRLPQAADQRHGRPEARPRRRHPLQVRRQHPLRHQPFHRLLQGALSGSTSGDATIIMERWIHRSAALILRLLSAIFVVVREIELEMHVTPPFCYYLLTCCFVAV >OGLUM12G00960.1 pep chromosome:ALNU02000000:12:883491:886065:1 gene:OGLUM12G00960 transcript:OGLUM12G00960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMW8] MESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLVITRLFEEIGALKSTYIKLQKAHIPYNRPKIAFADEIITYELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSDIMLLRRELDGLKSANSRLNKQISSSKPPVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSPDHRCPNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMEHPNSSFARFCRTKYLAAVSSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALRVAVTARRRCCGRGSVRMLYARRGSRYAVEYMDSVVAAAAAADAGRGEGDGVAFTVTPGMKVGETMVAEEACRRPAXVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >OGLUM12G00970.1 pep chromosome:ALNU02000000:12:890614:890820:1 gene:OGLUM12G00970 transcript:OGLUM12G00970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRXTTLLASYL >OGLUM12G00980.1 pep chromosome:ALNU02000000:12:891038:894812:-1 gene:OGLUM12G00980 transcript:OGLUM12G00980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGDRRSERSSVVGDNRNGYVETDPTGRYGRTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCTSARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYLLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPPQNHDDHNTIAHATAPPPPLPLACSNSSEEQEEEEAPAAKTTGMAITGKLNKEHDTIFLKVQIGGGSNVRNIYFPFDVANDTAMEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDDDDDDVNGQPNPFYYLSSSPTSSQGSLCGVGPTSSEGFPGPHGKVDWSRVWK >OGLUM12G00990.1 pep chromosome:ALNU02000000:12:895278:898283:-1 gene:OGLUM12G00990 transcript:OGLUM12G00990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSPMPEPTGGEAAARKRRHPPLRQIQREGRRQHGGALPSARSSRRGDGDPVTVRRGGPAAGDSVVTGRAINHITQDTKRETTAASRPALHHQKVTYCKHATIEAD >OGLUM12G01000.1 pep chromosome:ALNU02000000:12:899131:900592:1 gene:OGLUM12G01000 transcript:OGLUM12G01000.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYLSPCIPAYSPHPSHHQQPIRTDRSIDPATRSTVLARKHGPCTVGVGRPGGSSAPRRPARRRGHHLRPGQLRRRALPDLRPRRRRAVGGLLQRREEPQGGSKHDRRPAHRLQLPQERGPRHQGAQRRQRRQHPLQVRRQRPLHHQRFHRLLQGELSHRSETDHIYTAARRLPPSRFCLGRCVEPNSVSSTSGIISVFWNKR >OGLUM12G01010.1 pep chromosome:ALNU02000000:12:900935:903182:-1 gene:OGLUM12G01010 transcript:OGLUM12G01010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCVRERSASDSHNHSSLQRQFSHGHGQKIDDSGSGSGTRISHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGPHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMKDDLNPYAVPRAMGIYRRLESPLDITTSTIIRRIVANHEAYQVCNMVYWCYVILAGGVWFFCLVHAACSKVHNPSQINAICLLSTSY >OGLUM12G01020.1 pep chromosome:ALNU02000000:12:903372:904887:1 gene:OGLUM12G01020 transcript:OGLUM12G01020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALEATGKRLSDFADTLGLPFEFCPVADKAGNLDPEKLGVTRREAVAVHWLRHSLYDVTGSDSNTLWLIQRLAPKVVTMVEQDLSHSGSFLARFVEAIHYYSALFDSLDASYSEDSPERHVVEQQLLSREIRNVLAVGGPARTGDVKFGSWREKLAQSGFRVSSLAGSAAAQATLLLGMFPSDGYTLIEENGALKLGWKDLCLLTASAWRPIQASGR >OGLUM12G01030.1 pep chromosome:ALNU02000000:12:907351:908831:1 gene:OGLUM12G01030 transcript:OGLUM12G01030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERFXKKLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYEDFMERLYLSRHRKLCAKNSFDMEKYLELQKHCKCPLEGHYPHGPKFHDL >OGLUM12G01040.1 pep chromosome:ALNU02000000:12:910551:910912:-1 gene:OGLUM12G01040 transcript:OGLUM12G01040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSLSCQRNTDDDAAPPPPLVPVGGQQQAEYMSNQQREASQAAAAAETGAWAWQMNIKHDAAGVDDNVGFPISSQPPTLRPHNFMRFCATCNRTLSPQMNVYIFRYLVAN >OGLUM12G01050.1 pep chromosome:ALNU02000000:12:914155:915348:-1 gene:OGLUM12G01050 transcript:OGLUM12G01050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRFVNLVVQGAGGLYSLRRIPANRLFYPSTRAAEEATAKSQESFMEEHGGRKHPGLHTMEMLEKLPRSTFAFEPAPVDRYHLRSLDFACLLGEENRMLTADNRGNTVVFDADSSSVLAFPNLISPKRYNAISLSIINNDGSNNTGLEPPPEDGLYVMTRSPDVHSIKDGCFEVLNYSSSSADFREMTPHWVSLPPPPFAGCMNAEITSYTVVHGTTIYISCNKPIHSTYAFDTVSREWRRLGSWTMPFHGRAEYVPELNLWFGLSADHPYSLCAFDLPSDDSSVAAKPPTVQHTWVDLDIPQSWLPWNINLINLGCGRFCIAKMFHSISGHGTFCSYSESDDGTIEDSDPIHGSFAIFTGLHMVRPRGKHDDVQMIKHKFMYYQFFDDYIEWVI >OGLUM12G01060.1 pep chromosome:ALNU02000000:12:923588:923878:-1 gene:OGLUM12G01060 transcript:OGLUM12G01060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSDFAADFAVFTGLQMVRPRGKDDPREVRMIKHKSMYYIFNAYNIEFVL >OGLUM12G01070.1 pep chromosome:ALNU02000000:12:925330:926718:-1 gene:OGLUM12G01070 transcript:OGLUM12G01070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMX9] MTLGRMAKNCSPSDWWSKHSAEIYIFVGMESRGKILMERYELGRLLGKGTFGKVHYARNLESNQSVAIKMMDKQQVLKVGLSEQIRREITTMRLVAHKNIVQLHEVMATRNKIYFVMEYVKGGELFEKVAKRGKLTEVVAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVILFVLVAGYLPFQGPNLMEMYRKIQHGEFRCPGWFSRKLQKLLYKIMDPNPSTRISIQKIKESTWFRKGPEENRILKERTLNENTTKNVAPMLGVRRKKNAHEDVKPMSVTNLNAFEIISFSKGFDLSGMFIVKEWRNEARFTSDKSASTIISKLEDVAKALNLRVRKKDNGVVKMQGRKEGRNGVLQFDIEIFEVTTSYHIIEMKQTSGDSLEYRQLLEEGIRPALKDIVLA >OGLUM12G01080.1 pep chromosome:ALNU02000000:12:936163:936600:-1 gene:OGLUM12G01080 transcript:OGLUM12G01080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLKELPIWQAFGFSLLTGALAGSTLYAVCVVLLCCVDRQRRHAGAPPPDPKIWLPDHTHHRRRRDESSECSICLGELEEGERCCTLVACRHEFHKECIYRWLANHNTYPLCRHMPLPPASSPPPAPPPHHASPPSNVPPAAHV >OGLUM12G01090.1 pep chromosome:ALNU02000000:12:937180:937587:1 gene:OGLUM12G01090 transcript:OGLUM12G01090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEDFMDSWLMWGNIFFFFLALAITVEVDLIRLRRNDDGNNKSAHQYDMLIERLLLLRPKDDQDNEQCVICLSENEDDVDGGGGERGRWRMLPGCAHAFHKDCVVKWLRNRTTCPLCRSDVAVAVAVAAADNMV >OGLUM12G01100.1 pep chromosome:ALNU02000000:12:941517:943578:1 gene:OGLUM12G01100 transcript:OGLUM12G01100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCPSLQQQQQLQTWSLYTNSIQHFTCQSQPPSPRVPAPMESRTPRSSVARSTCNVPGLVLGFSKLCKITKICAAPEFADTKTEFGDYCGGYDQRLIITRLFEEIGALKSAYIKLQKAHIPYNPPKIAFADEIITSELDSVTALQSLCSWNGSVGSLINDRWSLVQELEAETRKKDSEIMLLRRELDGLKSANSRLNKQISSSKPSVNHHKDYSIVLKKLTTPSAVLELFKVASTSVHDFAELIFSLISSSDHHCTNNADEHSPYKRYSLEAYLSRTMLAVHDGAEDDDELDLARFDRIMRCCDPLDALMAHPNSSFARFCRTKYLAAVPSEMEAAMFRNNLDVRAFVSRGGHPRTWFYRAFATMARSAWALQVAVTAHRRCCGRGSVRMLYARRGSRYAAEYMDSVVAAAAADAGRGGGDGVAFTVTPGMKVGETMVACRVFLCHEQQDTISDETDSKFR >OGLUM12G01110.1 pep chromosome:ALNU02000000:12:944121:944606:-1 gene:OGLUM12G01110 transcript:OGLUM12G01110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIKWNQLVILIKSQINFERAYVSDHVICSIIGGGGGRENIGSAERAGFMGVKPLGDAILVEHVHTSRQQLSSSSPPVYDDAAACSLMTHSSSSSSLSTLLGTRSNNSSTGELGGSAMALRRRWRRRCLTRSAMAMATAINQTATMKQTRNNNAFPSVPA >OGLUM12G01120.1 pep chromosome:ALNU02000000:12:945804:946106:1 gene:OGLUM12G01120 transcript:OGLUM12G01120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLLSSNKKPCSSSSSLLLLVLLLLLVFFAHHGSCSRPLLLPSPTPMQPQLKHESETASADTTTTTEEQVVQQQQLSWLRSMKPRGRPQPSSPSKRTN >OGLUM12G01130.1 pep chromosome:ALNU02000000:12:949911:954639:-1 gene:OGLUM12G01130 transcript:OGLUM12G01130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGARRCGGRTSERSSVVGDNRNGYVETDPTGRYGRLSEVLGKGAMKTVYRGFDELRGVEVAWNQATISDVLRTPDALHRMYAEVSLLADLRHDAIIAFHASWVHPSRRTFNFITELFSSGTLRSYRLRYPRVSRRAVAAWARAILRGLAYLHSRGVIHRDLKCDNIFVNGHLGQVKIGDLGLAAVLRGCTSARSVIGTPEFMAPEMYDECYGVGVDVYSFGMCMLEMLTNEYPYSECDNPAQIYKKVTAGKLPDAFYRLTDADARRFIGRCLVDAAHRPSAEELLLDPFLSPSQNHDDHNIIAHATAPPPPLPLACSNSSEEQEEAAPAPAAKTTDMAITGKLNKEHDTIFLKVQIGGGGNVRNIYFPFDVANDTAMEVATEMVKELDIADREPTEIAAMIEQEIVRLVPGYKQHEYSYADDNDDDDVSGHPNPFYYLSSSPTSSQGSLCGVGSTSSEGFPGPHGKVDWSRDYCYYPPSSVSVSDDDDSSTSSLSAAVSASSLHQQQQHCSASSSRLGPASASASEDGGGGHAGRPRQREGEEERRRRRMSRNRSMVDMRSQLLHRTLVEELNKRLFFNTVGAVHDIGFRDPTAAASSSSSSSHHRRRSSNKIDHKHHYMF >OGLUM12G01140.1 pep chromosome:ALNU02000000:12:955106:960107:-1 gene:OGLUM12G01140 transcript:OGLUM12G01140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLYRTNVSHKGWEKKGWSSCRKIRFFAAARSSFCFLATADRSTTFIAYRHSSPSPLPTPVSSGGGTVVDYLSLFIPHQKVTYCKHATIEAD >OGLUM12G01150.1 pep chromosome:ALNU02000000:12:964991:965922:1 gene:OGLUM12G01150 transcript:OGLUM12G01150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMY7] MAGARRTMALVALVAVVAAAVVAERASAAVSCGDVTSSIAPCLSYVMGRESSPSSSCCSGVRTLNGKASSSADRRTACSCLKNMASSFRNLNMGNAASIPSKCGVSVAFPISTSVDCSKIN >OGLUM12G01160.1 pep chromosome:ALNU02000000:12:968517:971759:1 gene:OGLUM12G01160 transcript:OGLUM12G01160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTSTTTTASYHRLLRRFPRRSAAGGHQLHALLAKLGLLHHPEFLSALLSRIPPSPSALSLLLEASPAVLSPSLVCPVIVAFSSSPAPSSALILFNHASSCSLPTPLPTFPALLKSCARAFNRSSRAGVASVFVSKGMELHCRVLKLGCGKDRYVRNALVSMYGKFGRLGDARKAFDEMPDKNAVSWNALVGAHRAAADWMGAERVSQAMPERNLSWWNAEIARNVSIGYMDEASRLFREMPQRDVVSWNSLISGYTKLGKYTKALEIFQEMKENAIEPTELTLVLILGACAKDGKLELGTDIHINLQSKGIVSDGLVGNALIDMYAKCGRLDLAKKVFDRMSMRDITCWNAMIIGFSVHGCSYEALELFDSMKIEPNPVTFLGVLTACSHGGLVNEGRKYFNSMIEDYRIVPDVKHYGCMIDMLCRYGRIEEAYLMIKENPSTANSVLWKMLLAACRVHGHIDLAYKFFHELHELILTDNGGLVTISNVYAEAKRWDDVEHLRMKVRRNSALKHAAHSQMDVISVAHERWNIQQVPKQVPWRGAREADPDGQTQIGLQNAFFLGFILPDGNCNICYTMLVNDIPVKVLSFGVYGAMEIYMGTNENSSGDSVLTVRAELMGKKACSWLVT >OGLUM12G01170.1 pep chromosome:ALNU02000000:12:1002970:1003866:-1 gene:OGLUM12G01170 transcript:OGLUM12G01170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRGEVELDAVQDRGRRRRRLGASWRRGLRFIHWAWMGAMGCACLGDKGRRGDGDARTWAMAQLRVSWRESRRAVRGAAWRGAGPGTTRHGRDNVGAGVGPARCDARCDTTRCGCGAASAMRLGLGSDAAEGKEARLRCGTGVTGTAGSNVVHAVDGIERLADSE >OGLUM12G01180.1 pep chromosome:ALNU02000000:12:1008448:1010551:1 gene:OGLUM12G01180 transcript:OGLUM12G01180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ0] MARAQLVLVAVVAALLLAAPHAAVAITCGQVNSAVGPCLTYARGGAGPSAACCSGVRSLKAAASSTADRRTACNCLKNAARGIKGLNAGNAASIPSKCGVSVPYTISASIDCSRVS >OGLUM12G01190.1 pep chromosome:ALNU02000000:12:1011437:1012765:1 gene:OGLUM12G01190 transcript:OGLUM12G01190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLNPEIGPLQNSAHKFLAIDSWSNGYRCANEAYVGLQTLTLPTSSRIAFAAARIPFPRVPPPPFFHHPQPPRIPFRLPLRSSRR >OGLUM12G01200.1 pep chromosome:ALNU02000000:12:1020322:1020549:1 gene:OGLUM12G01200 transcript:OGLUM12G01200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAQLVLVALVAAALLLAGPHTTMAAISCGQVNSAVSPCLSYARGGSGPSAACCSGVRSXAAAAVGADGVRGGD >OGLUM12G01210.1 pep chromosome:ALNU02000000:12:1029114:1040602:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MAALNGKVVVAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKELNNAARATADRQAACRCLKTLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNNMYTICVYYPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >OGLUM12G01210.2 pep chromosome:ALNU02000000:12:1031159:1036355:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MEIMHIPSLPPSRSSPHIPPHSTPLSLASASPYGVPRLPRCGRLDTAGEGCEQKTGAIFINIVEDEFAR >OGLUM12G01210.3 pep chromosome:ALNU02000000:12:1035293:1040602:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MAAVNCKVVVAVIVVAMVVAAPGASAAITCGQVGSAIAPCISYVTGRSGLTQGCCNGVKELNNAARATADRQAACRCLKTLAGSIKSLNLGTVAGVPGKCGVNVGFPISLSTDCNNMYTICVYYPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >OGLUM12G01210.4 pep chromosome:ALNU02000000:12:1028813:1040602:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MAALNGKVVVAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSNMYTICVYYPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >OGLUM12G01210.5 pep chromosome:ALNU02000000:12:1035293:1040602:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MLQRSEGAEQRRPRHRRPPGGLPLPQDPRRQHQVAQPRHRRRRPRQVRRQRRLPHQPLHRLQQPAMRRLGDVVEAPALVLTPASMQQAGGRGSSGALDASMVVILAALLCVVICALGLTSLIRCALHCARGLSPTTATPTPSVSTAATAGLKKTELRRIPVEVYGAKQAGVPDGECAICLGDFADGDKVRVLPRCHHGFHVRCIDTWLAAHTSCPTCRDSILSVHGVVAGGQT >OGLUM12G01210.6 pep chromosome:ALNU02000000:12:1028813:1031398:1 gene:OGLUM12G01210 transcript:OGLUM12G01210.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BMZ5] MAALNGKVVVAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTLAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >OGLUM12G01220.1 pep chromosome:ALNU02000000:12:1042965:1045277:-1 gene:OGLUM12G01220 transcript:OGLUM12G01220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKWYLGGESAVSPTTPKTVIEVKVGICPCTAHVFELSPPVVPTSNLCIELAK >OGLUM12G01220.2 pep chromosome:ALNU02000000:12:1043299:1046565:-1 gene:OGLUM12G01220 transcript:OGLUM12G01220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKWYLGGESAVSPTTVKSLADQFAALLSA >OGLUM12G01230.1 pep chromosome:ALNU02000000:12:1047891:1051094:1 gene:OGLUM12G01230 transcript:OGLUM12G01230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGTNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >OGLUM12G01230.2 pep chromosome:ALNU02000000:12:1050953:1087271:1 gene:OGLUM12G01230 transcript:OGLUM12G01230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDLATVEQANLQMLPVNERDAGVAVDDIAELVQELVLHLGDVPLYHLAHHLAGVEVAVVADHLRAMEPLVVLPLQLLRQYARLGAADELLVLALLPPEVFHPLPRRHVVVELELIAVRPRHGAAHVDALEVFDRGPLGLGVGDGEAERAVRLGLGLEGDVVEHAGGGERVELVAQLRPGVDAAGAQRRASREAVRVPVRRRLPSVRARRAGGEKLDRKPPTPAGHPWPWAVLLPSSEAESRVKQLGIAIAVVKPAGGGGRRRPDAAAVGGGAACGRRGEERRTGSPAGRRSRVRGRGAAPDGVELGGPSVPAAAALKRAGEDDDQIAEKSVPAAVFGELGKRTTENREEESSSAQENEQLGTLERIKRRRQ >OGLUM12G01230.3 pep chromosome:ALNU02000000:12:1047886:1051094:1 gene:OGLUM12G01230 transcript:OGLUM12G01230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGTNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGRIIDFDLPITVRLTVTDTDPGQGDSAQGGTKPATVETGAVVTVPSFVNVGDDILIDSRTGQYMNRA >OGLUM12G01230.4 pep chromosome:ALNU02000000:12:1050953:1087271:1 gene:OGLUM12G01230 transcript:OGLUM12G01230.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDLATVEQANLQMLPVNERDAGVAVDDIAELVQELVLHLGDVPLYHLAHHLAGVEVAVVADHLRAMEPLVVVPPLDAPRHPLEQPGAHLPLPLHHPLQLPLQLLRQYARLGAADELLVLALLPPEVFHPLPRRHVVVELELIAVRPRHGAAHVDALEVFDRGPLGLGVGDGEAERAVRLGLGLEGDVVEHAGGGERVELVAQLRPGVDAAGAQRRASREAVRVPVRRRLPSVRARRAGGEKLDRKPPTPAGHPWPWAVLLPSSEAESRVKQLGIAIAVVKPAGGGGRRRPDAAAVGGGAACGRRGEERRTGSPAGRRSRVRGRGAAPDGVELGGPSVPAAAALKRAGEDDDQIAEKSVPAAVFGELGKRTTENREEESSSAQENEQLGTLERIKRRRQ >OGLUM12G01230.5 pep chromosome:ALNU02000000:12:1047886:1100217:1 gene:OGLUM12G01230 transcript:OGLUM12G01230.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRLRHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGTNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLTTFEESRLNESDVGDRQKWLKEGMDCNLLYWNGREEQNQQPWKQELLSQCPRL >OGLUM12G01240.1 pep chromosome:ALNU02000000:12:1066300:1066632:-1 gene:OGLUM12G01240 transcript:OGLUM12G01240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPNSMLWLALLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGGQHQTT >OGLUM12G01250.1 pep chromosome:ALNU02000000:12:1068837:1070034:-1 gene:OGLUM12G01250 transcript:OGLUM12G01250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESACLSYEQEAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHALRALNVALSVMNQPHASSSAAAAAVPVMSMIKAEAATPANSSSPAADVAADNHVVGKPRRSSSAAKRRRINGEEYKSSSWSQFTPVPHEDGFQWRKYGEKKIQGTHFTRSYFRCTYRDNRGCQATKQIQQKDNNDPPMFQVTYSNEHTCTTTRLINNINNPAALHNLTANPNGHHDSDDDDTIFTKMIKQEEQAAWLPPPPPADLATISNNFDETPGLHVCQEVPPSSSNSSVISHYADEFDHHQMLETTVMEEALGLGAYLDDPYFYDPNLLLIYESLMNCY >OGLUM12G01260.1 pep chromosome:ALNU02000000:12:1075924:1079269:-1 gene:OGLUM12G01260 transcript:OGLUM12G01260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSRWLPVQLLSAGAARADGGKPSPDWDAHGLAASALPVPLSRLDGKKRYKVSDLTFLNRRTRAAAAAAEAPLFDALRPGGVYTRAQLRDELDALATSGMFDHVTLQTKPKPDGTLGLTVSYAETEWPAVEHFKCINVGGPMARPDGDELELDDDMTARERMEHLRRQEREYQQLVRRAKPCVLPEKLQRELQGMVKRQRKVSSGLLKRMAGRIERWYHDEGFHCAQVVSYHGNLDAGEVVCEVVEGDITKVEYQFLDKLGNVVDGNTSIPLIDRELPQQLRPGHIYNNGAGKQALKNIDSLGLFSTIEVQPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVPGSQGRPTLASIQPGGTVSFEHRNICGLKRSLIGSVTSSNLLNPEDDLSFKLEYAHPYLDGIDNLSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWVDRIGFKANITESFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQMDQGLGIGSKNPFFNRHQLTVTKFINLNKQEKGSRKPPPAVLALHGRYAGCVGDLPSYDAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVEGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFGERF >OGLUM12G01270.1 pep chromosome:ALNU02000000:12:1079838:1103368:-1 gene:OGLUM12G01270 transcript:OGLUM12G01270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQRKVSSGLLKRMAGRIERWYHDEGFQCAQVVGYNGNLDAGEVVCEVVEGDITKVEYQFVEAMSSTATPASLSLIGSFLNSITVKLHLRPGHIYNNGAGKQALKNIDSLGLFSTVEVEPRPDETNQGGVIVAIKLKEHDPKSAQVITDWSIVSGSQGTPTLASIQPGGTVSFEHHDICGLKRSLIGSVTTSNLLNPEDDLSFKLEYAHPYLDGVDNRSRNRTFKISCFNSRKLSPIFVAGPNMYEAPPIWSFTKQSKFTYGLVVEEITTRDENNNICTHGSRQLPSGALSMIGPPTTLSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQYSEQSFLVGYRWIKASVLEARTLFNRHQLTVTKFINLNKQEKGPRKPPPAVLALHGRYAGCVGDLPSYGAFALGGPHSVRGYGMGELGASRNLLEVATELSVPITVKNRHTQVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGAVRAEYAVDHNAGTGAFFLRFERNPGLNLRDLAVVKLTMEGAGRIPGMARHILPARMMVVNVRMGSKVMESTNAKRRAPIPTPSSSSPSSLPSETTRPPQNLRFFSETEMALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSSKIVGSTPDSTVQSRKAISICFNSHGQTGEQPTFLSSSASLLSPSMQSYSSNQQPDMNTYSRQFQWADTSSSTSNAPVKMEADDYAEASASPSTTGALSRTLLPIGQSRCIESLTPSLDPLKGAKLLILLGTRAFLLPRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEL >OGLUM12G01280.1 pep chromosome:ALNU02000000:12:1103444:1104013:-1 gene:OGLUM12G01280 transcript:OGLUM12G01280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFTLDSASDDGRSTAQGQGWCAGVGGFLSSFFSAGAARADGGKPSPDWDAHGLAASALPVPLSRLDGKKRYKVSELTFLDRRTRAAAEDPLFDALRPGGVYTRAQLRDELDALAASGMFDHVTFQTKPKPDGTLGLTVSYAETQWPAVEHLKCINVGGLMARPDGDELEPDDDMTARERMEHLRRQ >OGLUM12G01290.1 pep chromosome:ALNU02000000:12:1104531:1106233:-1 gene:OGLUM12G01290 transcript:OGLUM12G01290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVGKTRLLTSLHARVCECPVVKGVKFVGDDYTHCEASGSGRCEINNGGCWKDSRHGRTYSACTNDGCKCPDGFKGDGVHKCEGKNAATEVGWNFLWVIFFGLVVAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVPNHSHHIEL >OGLUM12G01300.1 pep chromosome:ALNU02000000:12:1107944:1110115:1 gene:OGLUM12G01300 transcript:OGLUM12G01300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BN12] MAALNFKAVAAVMVMAMVVAAPGASAAITCGQVGSAIAPCISYVTGRGGLTQGCCNGVKGLNNAARTTADRQAACRCLKTHAGTIKSLNLGAAAGIPGKCGVNVGFPISLSTDCSKVS >OGLUM12G01310.1 pep chromosome:ALNU02000000:12:1113118:1114406:-1 gene:OGLUM12G01310 transcript:OGLUM12G01310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSPNSMLWLVLLVWAALLCGSCHGRFVVEKNSLKVTSPSDMKGTYECAIGNFGVPQYGGTMVGVVAYPKANKKACKSFDDFDISYKAKPGSLPTFLLVDRGDCFFTKKAWNAQNAGAAAILVADDKTEPLITMDTPEESGNTDYLENITIPSALITKSFGGRWARRGRGRGCGAGR >OGLUM12G01320.1 pep chromosome:ALNU02000000:12:1115741:1117033:-1 gene:OGLUM12G01320 transcript:OGLUM12G01320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTESVCLSDEQQAVAVREVAQVYELIKTQQPLLLVHQQPQQLAHGLLNHAMRALNVALSVMNQPHASSSSSAAAAAAGHHFPVMTMIKAESTPANSPAADVSDNHVAGKARRSSPAKRRRINCEDKSSWVYHTVVPHEDGYQWRKYGEKKIQGTHFTRSYFRCTYRDDRGCQATKQIQQEDKNDPPMFQVTYSNEHTCTTTRLINNTNNNPAALHSLTANPNGHPDDDSDDTILTKMIKQEQQAAWLPSPPPDLTTISNNFDETPGLHVSQEVPPCSSNSSAISHYADEFDHHQMGQQLETTVMEEALGLGADLDDPYFYDPNLLLIYENLMNCY >OGLUM12G01330.1 pep chromosome:ALNU02000000:12:1123113:1125327:1 gene:OGLUM12G01330 transcript:OGLUM12G01330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCCSVAGTWIPVEVYGAKQAGVPDAECAICLGDFADGDKVRVLPRCHHGFHVGCIDTWLAAHTSCPTCRDSILSVHAGVTGGQT >OGLUM12G01340.1 pep chromosome:ALNU02000000:12:1127443:1129630:-1 gene:OGLUM12G01340 transcript:OGLUM12G01340.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G05270) TAIR;Acc:AT5G05270] MLKKRFGTLGVVVGTEMATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTLLSCACYN >OGLUM12G01340.2 pep chromosome:ALNU02000000:12:1127550:1129630:-1 gene:OGLUM12G01340 transcript:OGLUM12G01340.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G05270) TAIR;Acc:AT5G05270] MLKKRFGTLGVVVGTEMATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >OGLUM12G01340.3 pep chromosome:ALNU02000000:12:1127550:1128664:-1 gene:OGLUM12G01340 transcript:OGLUM12G01340.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavanone isomerase family protein [Source:Projected from Arabidopsis thaliana (AT5G05270) TAIR;Acc:AT5G05270] MATVEVEGIPFPQEITVSKPLSLLAHGITDIEIHFLQIKYNAIGVYLEKDNVLGHLESWKGKKAEELVQDDGFFQALVSAPVEKLFRIVVIKEIKGSQYGVQLESSVRDRLVSVDKYEDEEEESLEKVTEFFQSKYFKPNSVLTFHFPNTPGIAEISFVTEGKGEAKLTVENKNVAEMIQKWYLGGESAVSPTTVKSLADQFAALLSA >OGLUM12G01350.1 pep chromosome:ALNU02000000:12:1130690:1131839:-1 gene:OGLUM12G01350 transcript:OGLUM12G01350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRRVLTLKNGRLPSLFNGAINKSGQRRAPIPTPSSSSPSSLPSETTRPPQNLPFFSETEMALDSVPSYPSDLGSSRARTPQQQRVSPRKEERTWTTDTYAPYDDGHQWRKYGEKKLSNSNFPSSGRTAPTHQYLPEWKCIVVYYNPNHVDA >OGLUM12G01360.1 pep chromosome:ALNU02000000:12:1144769:1145173:-1 gene:OGLUM12G01360 transcript:OGLUM12G01360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEKKGQCGSIGGFAGSIAFHAFNDELRDTCELIGPVRSLCLAVNPGTSCAAVSSISASLTALVVGSAAVVATVSPSVLRSPSMPCPSSCLAAPSSSLRGTWRRGQDKSSRIWWSR >OGLUM12G01370.1 pep chromosome:ALNU02000000:12:1144869:1145135:1 gene:OGLUM12G01370 transcript:OGLUM12G01370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLNTDGLTVATTAAEPTTRAVSEAEMELTAAQEVPGLTARQRERTGPMSSQVSRSSSLKAWKAMLPAKPPMLPACRRLLSSPANC >OGLUM12G01380.1 pep chromosome:ALNU02000000:12:1145644:1146948:-1 gene:OGLUM12G01380 transcript:OGLUM12G01380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSAGFGVTKFYYRCTYKNDMKCPATKQVQQKDTNDPPLFSVTYFNHHTCNSSPKIVGSTPDSTVQSRKAISICFNSHGKTGELPTFLSPSASLLSPSMQPYSSNQQPDMNTYSRQFHWADTSSSTSYAPVKMEADDYAEASASPSTTGALSRTLLPIDYRYFFVVP >OGLUM12G01390.1 pep chromosome:ALNU02000000:12:1167064:1174871:1 gene:OGLUM12G01390 transcript:OGLUM12G01390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTTVPAPQHGRRRQPHRNRAASAPRHARARSQPCLHPNPASATPSSPRHGLRAPASCRPAAVLFSRAHCPSPLRRHAASLQLHLLVVLCRTLRLLPRHRRRGHPRCAAARRQGPETGAKRRGGISGFRAAEDLSTKLNKVKGRDNTRLQLNQSKGHIYSFGLDVSCVAQIEKSPYLSRKGGPRNPDTNTVLSQRHGLVDGIDYFFILELNGPVDDPYTELGKNIMELILKRELLPGEDGLDGWINFEKK >OGLUM12G01390.2 pep chromosome:ALNU02000000:12:1167064:1174892:1 gene:OGLUM12G01390 transcript:OGLUM12G01390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKNCCPSVVPCTALHRELLAASRCLHPRAPSLPRVAASCYTPGAAPSRTASALPSRAAPHDADHRACAAARPSSPATPQSRRFRTTPRSGPQSAVPAPESGVGNPLLTQAWPPRTRIVPPGRGALFSRALSFSVAPSRSVAPAPPSCCAVPHSPPAPSPPPPRTPALRSSSTSRTRNWSKTTRGHFGGWREPTVMEGVFLVLLPLVGKYKSMGTLTPSIQGFRAAEDLSTKLNKIIYFSLLCCVPKHKAPPLMSMI >OGLUM12G01390.3 pep chromosome:ALNU02000000:12:1167064:1168532:1 gene:OGLUM12G01390 transcript:OGLUM12G01390.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKNCCPSVVPCTALHRELLAASRCLHPRAPSLPRVAASCYTPGAAPSRTASALPSRAAPHDADHRACAAARPSSPATPQSRRFRTTPRSGPQSAVPAPESGVGNPLLTQAWPPRTRIVPPGRGALFSRALSFSVAPSRSVAPAPPSCCAVPHSPPAPSPPPPRTPALRSSSTSRTRNWSKTTRGHFVLPSPSVPRHGARAFSAAKTNTTARLPPHAPPRLPRHRGTHPASTLSAPNPSTHRPQLAFSPSSGDNYDFGGECPHPISAYCGI >OGLUM12G01400.1 pep chromosome:ALNU02000000:12:1167467:1171501:-1 gene:OGLUM12G01400 transcript:OGLUM12G01400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILESFGHSDCQVVINMIEHQKALMVELRGMVMPILPSDNEQAKLALQLLGDILSCSDKAISMLELGGDTNKLTNLVGGKRKGDKHSMDNHNLEEEAKESVSKRRKNAEHTGSTVAQAPHNDGHQWRKYGQKWISRAKHSRSYYRCANSKVQGCPATKTVQQMDSSGNGTSKLFNVDYYGQHTCRGDGIANPYVVDTAHHSMEPINQNECNSPTLEHEAHEVQDEKFENLCMFLVLDVELLRSAGVRGGGGEGAGGECGTAQQEGGAGATLRDGATEKDSAREKRAPRPGGTMRVRGGHAWVRRGLPTPDSGAGTADCGPERGVVRKRRDCGVAGDDGRAAAQARWSASCGAARLGSALAVRDGAAPGV >OGLUM12G01410.1 pep chromosome:ALNU02000000:12:1177009:1182282:1 gene:OGLUM12G01410 transcript:OGLUM12G01410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHGHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLREIRGGCDAPVPVGYTAAAGVGWSRGDEGMSNAGNGDGED >OGLUM12G01410.2 pep chromosome:ALNU02000000:12:1177009:1179698:1 gene:OGLUM12G01410 transcript:OGLUM12G01410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRHGHLSPSGATAPPSSFAQLRRPSSCLAGPRPLRSRLTRVYALSSNDIRVGSNLEVDGAPWKIPEASISKETKQFTYKDGAQFVFMDLIYESW >OGLUM12G01420.1 pep chromosome:ALNU02000000:12:1182565:1193085:-1 gene:OGLUM12G01420 transcript:OGLUM12G01420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAYCMMMVGRERELVAELRHLLFPSPSPTTPASHSTTALAGDDECLPPGLTTTTTVSGGGGRRRGRKRIRRDNDNLKLLQADDDQEVLAAAVHGDANAKPLPNFTKTSRRKQQTTTSTMVTTVPDFDGYQWRKYGQKQIEGAMYPRSYYRCTNSTNQGCLAKKTVQRNGGGGAAGYTVAYISEHTCKSIEPSLPPVILDTTVRATNNHHPPAASSSCAAQSPAAAATSSSSDMMMTSTSSTSSETGNWSGQHGAYACRRQMIAADEEYCCSDTPATTTASGSDGGNSSTCADQEIDLMSGPIRSPLHIAADGNWVDDLLLVGIKEMKNSSNKRPLVADQWHPSSVCCDHRAALREIARGQSLVTQLRAIVLPALHSDERGDLAAQMLEGILDCSRKAISELQLQLSSDSPHDDDGHLDDKRRVRKIVSSSSDDDDHCSSKAAEDHNAKPLRQHKRRRFGDSVSLETPVPHYDGHQWRKYGQKHINNSKHPRSYYRCTYRQEEKCKATKTVQQREDLHHANSYNGDHPVMYTVVYYGQHTCCKGPAASADDHVVVEASQISTDSHCQSPGSSSSELQAAAHAGDSSQCSKISVTCSSSVVVEDCNKLLDMLPAADELTTDVLNQK >OGLUM12G01430.1 pep chromosome:ALNU02000000:12:1198799:1214421:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVERSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLSNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAFLKKPPCADSTTFGSSLGSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSYVSKPNALIAAPSICPRNNISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTNDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNLIGRISNFVIMYKKWTPGLQTPNRAAQ >OGLUM12G01430.2 pep chromosome:ALNU02000000:12:1198799:1214421:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVERSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLSNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAGSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSYVSKPNALIAAPSICPRNNISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTNDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNLIGRISNFVIMYKKWTPGLQTPNRAAQ >OGLUM12G01430.3 pep chromosome:ALNU02000000:12:1198799:1213212:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVKSIDPVHLLEIGIEGFYGLSTPELLPVNPDEYSGHAGTDFIRNHQAPGIDLASIHVYSDTWLPHSIKENHLQFVDKWMQQHIHDAANLLGMPIVVGEFGVSVKDGKFGNEFREDFMKTVYRIFLSSWKEGVIGGGCLLWQLFPEGAEHMDDGYAFLKKPPCADSTTFGSSLGSSSSYSYTSIKSMNEPKLGLWETLARKAKGILDEDGTAHKSDEYTKQKTPRKFDSSTGAQESRSRWSFENHSRTGDTGSRTRSEALAASVNQLGGRIRDALEEGLTIVDNKTSNIIEETKKIQIRRKQANSNSYMPNPAFDTLRPPNLSHDQAETAAQETQLKASRDVANAMAAKAKLVLRELKTVKADLAFAKQRCAQLEEENKFLREAKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSMYARENRFLREIVDFHQFTTHDVAPLDDDMEDSIPGEDSNHTYSEDMFPVVEAYLDREELSPVPSRPESPILSSCESSSPKSSNSKSSAANLPSYVSKPNALIAAPSICPRNNISMEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTNDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELVRSLSLSDDEEEFVDIAENSELNDALRSQMGSCRFSLGSKMNDGPRTDPHGRLIEELEMSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNLIGRISNFVIMYKKWTPGLQTPNRAAQ >OGLUM12G01430.4 pep chromosome:ALNU02000000:12:1198789:1200697:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDAEEPLDQNIMVSLNDIEKPILDEVSKENHILEEDGKESHILDVDGKESHILDVDGKQNHILDEDGKENHILNEDDKENLILDKGGEDTLDAGGANSYEERVLPSDDGLLKSGQSFQQCELAAVNMAEKDEGHLEETNMANHAEANSGIAAVLSNLSNDKFCAILPPSCDGRKEEKSNIHRVPSDIYVSKKKIAKFQCGRCSKALMLSFPATNSEDAKLSNKEVNRKPNKPVHNSVVGMEGGYSFSAECSRGDPVSISEECGASISRSFSGRTRAAVAASGSGKKVSDSALHRLMGYDSASQLLRRSRAFDDGYDSFESMVPVSNRVSRRKNLHQIERHNECL >OGLUM12G01430.5 pep chromosome:ALNU02000000:12:1200744:1201727:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKLRFVRCPGCLQLLVEYPTIAVYQCGGCGTVLRAKNRVAPATNTNAESGEHNEFSNISTGDSQNNKLICTDGQKISPSSNAQPGVVQEKITFASEEKTVSTSNSIDSNEHVNIECSLFDGDASNHDVRTEGINDEDKVTVSNSTLDLVRKVENVETDGNEKGSFTNDGSISNEVATTQSMVHMDGAGSDNNFTEVQSAAEGKCTLSDANLDSQEIVAICQPDNISVGTKERVQLYEGFHVESHEDLIEELLQIFIGEQNE >OGLUM12G01430.6 pep chromosome:ALNU02000000:12:1213291:1214421:-1 gene:OGLUM12G01430 transcript:OGLUM12G01430.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAHHHHWTMVERSGTQLWASGRPFIIHGFNTYWLMSFAADQATRPRVTAAIAEAAEAGLNVCRTWAFSDGGYRALQTVPFHYDEDVFQALDFVVSEAKRHNMRLILSLSNNWEDYGGKAQYVRWGKEAGLDLTSEDDFFSDPTIKSYYKAFVEAVVTRINTVTNETYKDDPTILAWELINEPRCPSDPSGDTLQVFITSCVFG >OGLUM12G01440.1 pep chromosome:ALNU02000000:12:1209487:1210101:1 gene:OGLUM12G01440 transcript:OGLUM12G01440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWFITTLSTVGYGDMHAENTGEMVYTTAYMLFNLGLTAYIIGNMTNPVVHGTSHTRKFISTFEAAASLESWGRAPRGGRPGGRKPYLAGRMRAAGNGR >OGLUM12G01450.1 pep chromosome:ALNU02000000:12:1210863:1211843:1 gene:OGLUM12G01450 transcript:OGLUM12G01450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQFVDRIRQAIFVAATMLPFGLQTDEDKKDGLPTLPENEINLIFGTGADDPPTTAALRPEFQRERLSQQSPEEESVLASMLMRPWPVTAISTASFEGDDERLNRIKRVFIKTERDHMLNPQQQDSMIKKWPPSEVLEIDTDHSPFFSAPEQLFNLIVKSL >OGLUM12G01460.1 pep chromosome:ALNU02000000:12:1214504:1214695:1 gene:OGLUM12G01460 transcript:OGLUM12G01460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMPIARAFMCMCASIFNVGGQRHSRLHRSYGEYETDMWVPLRAAPGKLETASLMATAAVDA >OGLUM12G01470.1 pep chromosome:ALNU02000000:12:1229486:1230878:1 gene:OGLUM12G01470 transcript:OGLUM12G01470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISRRRATSFDRALFGQVHHEKATRQPDSITQQPILLVHTPLPVRSTNRAIDHFLSQILCIHAWLPTDFAHQASIQATVALVQNLPCHLIIENTYDPSSDYDSLDHQSLCPCVLFPSLQTFFVKNFFSEMR >OGLUM12G01480.1 pep chromosome:ALNU02000000:12:1232410:1235608:1 gene:OGLUM12G01480 transcript:OGLUM12G01480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKLLTMFQEVAIVDKVTVIKDKASCALASRRGGGGCDGIVVRVLRFVAAAASSPASSAPSLWGRRRRRRRVGVVRSAVPGIAAAGLHNYVAGCDDPTKLESSEVEAIILRNWSFKTGSSFASFCWAGESSKKEARTDSGKVRKRSGFSWGRGAQGREAKQSRRTRKEEE >OGLUM12G01490.1 pep chromosome:ALNU02000000:12:1234201:1238703:-1 gene:OGLUM12G01490 transcript:OGLUM12G01490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASKVLGSSDNYPTKRNSDASPSSPFASTSTPCSRGGNNDDNKDTHHSYPLLPLLARSSLYAGAPRARAGSGQASVSPVAQRPSPSNNLSIIQSICDQEENKEQQDSPAQQKEAKDDPVLNDQFRRICARKVEWSTTSGNKTVRIVDSAWQFAQTS >OGLUM12G01500.1 pep chromosome:ALNU02000000:12:1238871:1241597:-1 gene:OGLUM12G01500 transcript:OGLUM12G01500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASYGPDLSARSTGGGGDLGVRRNYRWVWRGLRRTKASRRGTLQLDAAGKTGRASMSMRTEEDDKGGRLEPLLMMTPLPATARL >OGLUM12G01510.1 pep chromosome:ALNU02000000:12:1240212:1245629:1 gene:OGLUM12G01510 transcript:OGLUM12G01510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMHLPRSLQYPPSTPHPLHSTAFSHSLRPRRPNGPPPAFASAEFPGSVPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKVCTHEDSSDQSPDSAITNADQNQIGLPIVLLHGFGSSVFSWTHIMRPLARIAGAKVLAFDRPAFGLTSRTIWSGDDTKPINPYSMAFSVMATLAFIDQLGAKKAVLVGHSAGCLVAVEAYFEAPERVAALVLVAPAIFVPVFRRKGVKENGVGEQEWQNKKDSNGSNLPTNPLNRIWGKFLELCLWIAGFLMNMIRAIGGGVRSLYYKSVVSVLRSSVGVMLVRLIMDKFGILAVRNAWYDPSKVTDHVIQGYTKPLRSRGWEMALLEYTISMIMDSISSSKVPVSERLSEISCPVLVVSGDTDRLVPRWNTERVARAIPGAGFEVIKNSGHLPQEERPEEFVSVVERFLRRAFGRPNNEQEQVLQAAV >OGLUM12G01520.1 pep chromosome:ALNU02000000:12:1246898:1248924:-1 gene:OGLUM12G01520 transcript:OGLUM12G01520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTSQWVSSPDIPADLLIRIADDVFPLLKGVMVPKCGYIRKAVAAARGGATATVDLDLSALPGGADAFDKAARYCYGANFEISVRNAAALLCAAAFLDMHPTDGGLARRVEEFLAKVGLRTLPGAVAVLRSCEGLLPAAEEIGVVQRSADAIALRICNEVLFPTRSPPEWWTAELAALSPASFHKVITALRCRRAEPEVLVAAATAYAELLLAEVLAADGHAADHSGMHRALVESVVAVLPSTDDAPLPAAFLCRLLHVAITICASAKTCRDLELRVAAVLDQATAGDLLTVALDSAGERVQNVDTVRRIITAFVERDSAASSGGANGRSRRASLSGAGALQGGGGAMQTVAKTVDEVAAEIATEESLPISKFVGLAGAVPKEARATHDCLYRAVDIYLKAHPALEEMEREKVCSVMDPLKLSYQGRLHASQNNRLPLQAVLSALYYDQLKLRSGDEGGGGWDAYGNGVMRSSAAGSARKQAKEEASLARENEALRSELARMRAYVSGMQQQSKGSSSSRGKKGSWLRTLSRLNPFKAGIWGKDTSGIVDGKMDAMNSVKSKRRRFSIS >OGLUM12G01530.1 pep chromosome:ALNU02000000:12:1250955:1251215:-1 gene:OGLUM12G01530 transcript:OGLUM12G01530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGMRDGRGGGEHGRALLASISRGIGRIAMLGVGAQGGAERRRKTKTKKSSHSQSQWSSDGGGKTMMMSSRRRHKASSVTYAAAS >OGLUM12G01540.1 pep chromosome:ALNU02000000:12:1254265:1256157:1 gene:OGLUM12G01540 transcript:OGLUM12G01540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSPGGLELATPEGGSDEAGSRRPKTPDWGLTTLKIISLGSSEFMVASNGXRLLQDSGHRVSAVDLAGAAGSLVDPNHVRSFDDYNAPLLDLMARPAYRPATSAGGLSVVHAMHLFGDRIKQAIFIAATMLQFGYQTEQDIKDGVPDLSEHGDVYDLTFGLGADHPPTAVALRKEFQRIILYQQSPQEDSALASILLRPWPTALSTARFTGDDGGVESFIDRVRRVYIKTENDRMVQPEQQEAMIRRWPPSKVMVMDTDHSPFFSAPELLFNLILKSL >OGLUM12G01550.1 pep chromosome:ALNU02000000:12:1256992:1257836:1 gene:OGLUM12G01550 transcript:OGLUM12G01550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREHFVLVHGEGHGSWCWFKLRWLLESSGYQVTCIDLAGAGVDPTDPNTVRSFEQYDKPLLDLISAIPEDEKVYPEQEID >OGLUM12G01560.1 pep chromosome:ALNU02000000:12:1266649:1272266:1 gene:OGLUM12G01560 transcript:OGLUM12G01560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFSYVYIILWLRPERLRQKLRSQGVRGPKPSFLFGNIPEMRRIQQLAKSAHEQEAGSTDMFSSNYVATLFPYFLHWSRVYGSIYLYSTGSIQVLNVTDPNMVKELANCKSLDLGKPCYLQKERGALLGMGILTSNGDLWVHQRKVIAPELFMERVKGMVNLMMEAAMSMLNSWKNEVEDRGGSAEIVVDEFLRTFSADVISRACFGSSFSEGKEIFIKIRQLQKAMAKQSMLIGVPGSRYLPTRSNRGIWNLDSSIRTLILNISKKYEHDSSTSVNKDLLHSIIQGSKDGPFASCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVESLDICQGRPLDFDILRKLKKLTMVIQETLRLYPPASFVAREALNDMKLGGIDIPKGTNIRIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKRYKLQRFHFTMYYHWALNRCRSIIFPDNSYMISIHDDKIEKFSTLKNRTGISSAQNNTRLDKNWR >OGLUM12G01560.2 pep chromosome:ALNU02000000:12:1261263:1270514:1 gene:OGLUM12G01560 transcript:OGLUM12G01560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRTHASDYHPVASQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKMTVLNDDERDEITSTYRQNTRRRQISRTASIDFLEDAFLQEFSQLIDLARRQGRETDIDSSSVAPQHASFNSTPSQSQRWHASDDESDGLNYVDSVFGEIESAISLGDYGGDSDTSIEEHSVMTRRRISIQLDNDSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDEIVNTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVSVRQRANVTNLLDDMEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIISASHQTNGDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVQVTRQMAAGVIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPVVSLIGFALVLCFTNPARSGRRQLYCRSPSATELSSVLLVQHPMAEGCEDKEEVEEARHKGSQAYFSVRQHQGDQKNPTRTQIFTEARHQQLHLHPLPTLSPLSGNLCLANTTFSSAIARFCPMHVLGPCPNGTYMCAALHAAATPFANRVV >OGLUM12G01560.3 pep chromosome:ALNU02000000:12:1261263:1270514:1 gene:OGLUM12G01560 transcript:OGLUM12G01560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHRTHASDYHPVASQPDVQCLVCTRPFTLDAQVTDTFEALAICRDCKMTVLNDDERDEITSTYRQNTRRRQISRTASIDFLEDAFLQEFSQLIDLARRQGRETDIDSSSVAPQHASFNSTPSQSQRWHASDDESDGLNYVDSVFGEIESAISLGDYGGDSDTSIEEHSVMTRRRISIQLDNDSYMNTDTDIDPMNARLDQWDSDDQEDVEESGFDEIVNTMTQHQQQSHDIQLSGLSEDESEDGVWNWSVSVRQRANVTNLLDDMEEPEMRTTFVGNPDDYVDARQFEMLLEQFAEDNDSRRGAPPAATSFIENLPSVIISASHQTNGDVICPVCKDPIPTRARAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDAEYERSKQATTNVRDIQVVEEISDEQEVQVTRQMAAGVIEETNTSEHNVRVDEQPNSARRRSGWLFIAAAPVVSLIGFALVLCFTNPARSGRRQLYCRSPSATELSSVLLVQHPMAEGCEDKEEVEEARHKGSQAYFSVRQHQGDQKNPTRTQIFTEARHQQLHLHPLPTLSPLSGNLCLANTTFSSAIARFCPMHVLGPCPNGTYMCAALHAAATPFANRVV >OGLUM12G01560.4 pep chromosome:ALNU02000000:12:1267577:1272266:1 gene:OGLUM12G01560 transcript:OGLUM12G01560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSSQQWLALLPPIILCILLFSYVYIILWLRPERLRQKLRSQGVRGPKPSFLFGNIPEMRRIQQLAKSAHEQEAGSTDMYLPTRSNRGIWNLDSSIRTLILNISKKYEHDSSTSVNKDLLHSIIQGSKDGPFASCTPEDFIVDNCKNIYFAGHETTSTTAAWCLMLLASHHEWQSRARVESLDICQGRPLDFDILRKLKKLTMVIQETLRLYPPASFVAREALNDMKLGGIDIPKGTNIRIPIAMAHRDPSVWGPSADKFDPDRFANGIAGACKPPHMYMPFGVGVRTCAGQNLAMVELKVVLSLLLSKRYKLQRFHFTMYYHWALNRCRSIIFPDNSYMISIHDDKIEKFSTLKNRTGISSAQNNTRLDKNWR >OGLUM12G01570.1 pep chromosome:ALNU02000000:12:1264481:1266061:-1 gene:OGLUM12G01570 transcript:OGLUM12G01570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDIGRWTPSELGKPNYLKKSRKALFGGGLFTENGDEWAYQRKIIAPEFFMDKIKGMIQLIEDATVTVLEAWEDMIDDVGGCREIVVDDYLRNLSADVIARACFGSSFTKGEEIFCKLRQLQKAIAQQDSFVGLSALWKYLPTKSNQEIQMLDEQVRLLILDVAKEQHHYQDSHNSLLNAIIDGAQDGRSAAEAEDFIVGNCKTIYFGGHESTAVTAIWCLMLLATHSEWQERARSEAMEVTMVIQETLRLYPPASVMMREALTDVKLGNIEVPRGTIVQVPRLMLHLDKEAWGADADEFRPDRFANGVAAACRAAHMYVPFGHGPRTCIGQNLAMAELKVVLARL >OGLUM12G01580.1 pep chromosome:ALNU02000000:12:1275318:1285306:-1 gene:OGLUM12G01580 transcript:OGLUM12G01580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSYPSPVTPSEAEAGAEDYESWTLKQKIEDLVNCDPIHGIMPKNPKYKAYFEEKFQFSENACVKNCDPLSPHVSMHTKSGWSVLFVSRDDVIEGCYVSCNHHHLQVRHDDIVEHVSSILKSNGIAHSGESIDTGKLEYYQHGGGMSIGKSDSQRVGYGETIEADKSSSDIGEILGKQPPKGSAIDEVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASTKGTKSMDHPCNPIKSMGNLGSMGWPPLSSFGLATMWTCLFSSNSEGFKLATNELEAFEPATNGLEAVADILRLPWEHCGLFAIRTYAGKKGI >OGLUM12G01580.2 pep chromosome:ALNU02000000:12:1275318:1285306:-1 gene:OGLUM12G01580 transcript:OGLUM12G01580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSYPSPVTPSEAEAGAEDYESWTLKQKIEDLVNCDPIHGIMPKNPKYKAYFEEKFQVRHDDIVEHVSSILKSNGIAHSGESIDTGKLEYYQHGGGMSIGKSDSQRVGYGETIEADKSSSDIGEILGKQPPKGSAIDEVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASTKGTKSMDHPCNPIKSMGNLGSMGWPPLSSFGLATMWTCLFSSNSEGFKLATNELEAFEPATNGLEAVADILRLPWEHCGLFAIRTYAGKKGI >OGLUM12G01580.3 pep chromosome:ALNU02000000:12:1275318:1285306:-1 gene:OGLUM12G01580 transcript:OGLUM12G01580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSYPSPVTPSEAEAGAEDYESWTLKQKIEDLVNCDPIHGIMPKNPKYKAYFEENYQHGGGMSIGKSDSQRVGYGETIEADKSSSDIGEILGKQPPKGSAIDEVRDMFFPYWKSVLSRRLQLKIVPSCQLSRKDLLSAEASTKGTKSMDHPCNPIKSMGNLGSMGWPPLSSFGLATMWTCLFSSNSEGFKLATNELEAFEPATNGLEAVADILRLPWEHCGLFAIRTYAGKKGI >OGLUM12G01590.1 pep chromosome:ALNU02000000:12:1290710:1295104:1 gene:OGLUM12G01590 transcript:OGLUM12G01590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVHGGGTTGCGEEDQGSDAVGRGKEDQGGGAAGRGEDPRRGWRGSGPRRWPRRARRGGPRRQWQRRGSITGCGEEVYGGSLVGHGEEVDGGGGGVAGRGEEFHGGDGAFSLSRELPICHWGVTTDKNRRKLSNDVGQFRCLSHATSNPHPRLHTLDILPPSPAASGPRRPNGSPPAFASAEFPGSIPDSAQMPPRRRRRRSVAGIDQDDLLDPDALADPDSSFYEINGVRVHHKGEFSVSVGTWQLKAVGMLLRKEFLNQ >OGLUM12G01600.1 pep chromosome:ALNU02000000:12:1295253:1304444:1 gene:OGLUM12G01600 transcript:OGLUM12G01600.1 gene_biotype:protein_coding transcript_biotype:protein_coding GARSSSTAAPPPTSLPPPPPPPHHHRPSIHPRASLDRRPSAVVASRLLHTIAAPQSTLATPHIAASAPPSSPSRIRHRRPPLSRTHSVTRRRRLLPPPSSRRNPRPAPPSTAAPPPSSHPASSPRPAPTIPKQGVEGVVVVPISLRYFPADPHHP >OGLUM12G01610.1 pep chromosome:ALNU02000000:12:1304472:1305197:1 gene:OGLUM12G01610 transcript:OGLUM12G01610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQGVDKNVIDNSLVSNCDFPVVKKLDKCVNEEASVQSPFENKDTRSLGMVCDHENNKSGVAEVITPPENEAIESYISKSVADEDPSYGCQTPRESIFDPFAPGPEELACAPKKKVTKAPELPSRRQLSFDSGDYPVKRLSFEFDDAEEEDQFLERICMMFIDLIISNQALETTGKDLIGSNSPGSCETPSSEPLLTGIADTCPDAPLRRPLKAVQLSPSICRKLDFDSVSPRCLFVKENK >OGLUM12G01620.1 pep chromosome:ALNU02000000:12:1306344:1307045:-1 gene:OGLUM12G01620 transcript:OGLUM12G01620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTATAAGNGSGSILPTHTTTAPPFRAHKDADLESTTRRRRRRCLCCCLLVTLVVLLVLAITLLVLFLTVLRVRDPTTHLVSTRLTGLSPRLSFPATSVQLNVTLLITVAVHNPNPASFTYATGGHTDLTYRGAHVGDAEIDPGRIPSRGDANVTMALTLQADRFAGDLTQLVSDVMGGSVALDASTRIPGRVAILGVFKRHAVAYSDCHFVFGVTEMAVRSQQCSDRTKL >OGLUM12G01630.1 pep chromosome:ALNU02000000:12:1308057:1311737:1 gene:OGLUM12G01630 transcript:OGLUM12G01630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQISFPSSNHIKENKGTETTATDFNLVRTLAEEVGKTFDWTFAEVPCDCLLDMVVLGASTTKGAFGLSAPLFRESDSEPAAAAIEVLSQLFLPGLTADPFVKSVILEIPATNTPTFFLLLPSPDSLRELGDSDARLPPGAGDPAPLLPPTGDGCLYLDGTIIAGSLAVRRFSSLAATRLGTTHLDGAGDVALGVPLTAGEDAREAPFTEGDEGRDAPPLTEGDGSRCLDGAGDENRLLAAETAGGGAASXLQYIKFTANYYYCGLDGPPADTVHDNDHSHDDRNIDAWPDDEGEHVVAETDRSGHHAGVLPCDPRRLLAVAAVDLAAPHGEAAVDSEAGAGRSIRAELFLMEKTCCCDTEGELVLSKPSSSCAL >OGLUM12G01630.2 pep chromosome:ALNU02000000:12:1310641:1311737:1 gene:OGLUM12G01630 transcript:OGLUM12G01630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MILTCVLRIVLLSTLTFDFITEPAAAAIEVLSQLFLPGLTADPFVKSVILEIPATNTPTFFLLLPSPDSLRELGDSDARLPPGAGDPAPLLPPTGDGCLYLDGTIIAGSLAVRRFSSLAATRLGTTHLDGAGDVALGVPLTAGEDAREAPFTEGDEGRDAPPLTEGDGSRCLDGAGDENRLLAAETAGGGAASXLQYIKFTANYYYCGLDGPPADTVHDNDHSHDDRNIDAWPDDEGEHVVAETDRSGHHAGVLPCDPRRLLAVAAVDLAAPHGEAAVDSEAGAGRSIRAELFLMEKTCCCDTEGELVLSKPSSSCAL >OGLUM12G01640.1 pep chromosome:ALNU02000000:12:1309071:1313118:-1 gene:OGLUM12G01640 transcript:OGLUM12G01640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIQIHPSHGGGGGTGGRARRQAADQPVVYTVWKRSSIGFQGTDGFSVYDSAGKLAFRVDNYSRRRKAFAGDLLLMDGHGTPLLSLRPQILSLHNRWNCYRAQEEEGLDSTSSPSVSQQQVFSMRKSSALQSNDEAEVFMSTRTSGDSQPDASPSPSFRIDGCFSMRSCKIHGSNGEEAARITRKNAGVMSRPVSLGDDVFTLVVRPGVDVAVVMAMVVVMDRICRRPYTPMACSSSGNSVVHSGEIIKSKEKYHLNRSSSSLLXEAAPPPAVSAAKRRFSSPAPSKQRDPSPSVKGGASRPSSPSVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRTAREPAIIVPSRYRQPSPVGGRRGAGSPAPGGRRASLSPSSRRLSGEGSSKKKVGVLVAGISKMTDLTNGSAVKPGRKSWDNTSIAAAAGSVMKSKVKVDKSTILRTQEAMARRLSDVTTELSSNDDDSSVDEKPKPRKKIESPAVKTKAMAPKIMLHDPKWTDGSIPLDGVSDVLSKMGKEATERRDAAAIAAADALQEALITESVIRNLSKFSELTSASKTSNPLPTVDIFLAVYEDTLKWKKIAESISTNRTETASWENSATHWVEAALATDLEVLKLMNKAPESLSRKRGADKPKAPLVVEAPRTTISKRQSHGTSAKVQSKVLPTSSASCAWNKTQGVNETAELATTLCCEMHTWFLKFVDEAMDLGFHLFEDQNVASRGKQSSHITMVLSQFKKISDWLDGVGKIAEEATTKDKVEQLKCKIYGFVINHMGSAFDSSVSISSRN >OGLUM12G01650.1 pep chromosome:ALNU02000000:12:1314215:1328996:-1 gene:OGLUM12G01650 transcript:OGLUM12G01650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVTPGVLLKLLQAMHTDDRVAGDHRSPVLQESVGDDVFRLVAQPRLGAPLAMAIVIAIDEMLRGGSSSLLRRTCSARDIELAARGSEAREAHFIDE >OGLUM12G01660.1 pep chromosome:ALNU02000000:12:1326522:1328359:1 gene:OGLUM12G01660 transcript:OGLUM12G01660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPALSAVLRRAPTPFSLLPLPLAPPPPPTLLRRRPLLLPRAISSSSSPPPVQEMEAAYKFGPYKIDAREVFHSTPLSYAMVNLRPLLPVCPKREVKRFADLSSNETSDLWVTAKEVGVRLEQYHKASSLTFAIQVRSMYLCHCG >OGLUM12G01670.1 pep chromosome:ALNU02000000:12:1331186:1332033:1 gene:OGLUM12G01670 transcript:OGLUM12G01670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVVRFAWMSDLSLMGGVVRTGVVAFKHWALAMPSLLLVVAAQGMVLYMASNFLLQLAWPLSMMNLPAACGTAIGEDKPIEAISITGILCASPRQCTVRGNSKGLILPINSNH >OGLUM12G01680.1 pep chromosome:ALNU02000000:12:1336272:1336744:-1 gene:OGLUM12G01680 transcript:OGLUM12G01680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVHPNVAAAPRPPCITTTSIVSQQQQQQEEPPVVLTVWRKSLLFNCHGFTVFDAKGNLAFRLDCYDATSSRRADLVLMDAAGKPLLTIRRKRLSLYDSWIIYDGDGAATATATPLLSVRRHRRVGLRASKAIAHVTPLSSSLPLPEAYLVEGX >OGLUM12G01690.1 pep chromosome:ALNU02000000:12:1339690:1348891:1 gene:OGLUM12G01690 transcript:OGLUM12G01690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAKEYQLITLNYEEQIIGVYGFQQIRSRTMTKAMIIAQSTFGWTSRLKTSSARVSDNDAAPAFFLVILATSSPTLLRILQLLLLKLPSIEKPGTWPLIAFA >OGLUM12G01700.1 pep chromosome:ALNU02000000:12:1348392:1349810:-1 gene:OGLUM12G01700 transcript:OGLUM12G01700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQSVRRRPRQEDKITTTLLNEKMLSYAKQS >OGLUM12G01700.2 pep chromosome:ALNU02000000:12:1348088:1349810:-1 gene:OGLUM12G01700 transcript:OGLUM12G01700.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIHPSNQRQDAAAAAAASTAAARAAVYTVWKRSSMGFQGTDGFSVYDHAGTLAFRVDNYSRRRKLFSGDLLLMDGHGSPLLALTPQIISMHDQWNCYRASEEGQGKRTRSQQLFSMRKCSVMQSSHEAEVHMSGCTHASSDRTGHVPGFSIEGSFRRRSCKIRNSVGEEVARITRKKAGAASLSLTLAEDVFSLEVQPNVDCAMIMAFVIVLDRICWKPYTPMICSS >OGLUM12G01710.1 pep chromosome:ALNU02000000:12:1360027:1362617:1 gene:OGLUM12G01710 transcript:OGLUM12G01710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLRCHPNPLLAASVPAHLLRRFSALPDVDHPPLPTSTPTPASTCSSILDLQHAVCGEADLARIHSLVATTLSRPDDYPCLHGSRPLFSLAVSCLPRLRRLDLAVSLLCALLDSALASPAARGVWSWLLLAGAVGGATVAGVGREGADAEGLAGDVAVDGHLVSTQLLAFDDAQHRQPMHSSNGSYAAASVPITAACIADGRYTSLFTGTSYFIAIFLSDPACMNIN >OGLUM12G01720.1 pep chromosome:ALNU02000000:12:1362302:1362794:-1 gene:OGLUM12G01720 transcript:OGLUM12G01720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPHVSISLTGLAMVVLLIFSSSFLQAAQGSDKKMAMKYDVPVKRLVYRPSAMQAAVIGTEAAAYEPFELCMGCRCCASSNASSCVDTRCCYAIDCNIPGKPFGVCAFSPHTCDCGATNCTSQQQP >OGLUM12G01730.1 pep chromosome:ALNU02000000:12:1365316:1369398:-1 gene:OGLUM12G01730 transcript:OGLUM12G01730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREENAVVAAHAQVQQLHLLQHPVKNAVAERKHTRISSDMSDPSTPRKIEDAKNISIYNDVIDFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVRFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRTATPLSWATRMSIALGAAKGLACLHNAERPIIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREHSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAYYCLSQNPKARPLMSDVVETLEPLQGSGGSDGAVQSVLGSGVPSYRVNRRLTTNSVHCRALPNPKCSPAVPACRVR >OGLUM12G01740.1 pep chromosome:ALNU02000000:12:1378080:1378477:-1 gene:OGLUM12G01740 transcript:OGLUM12G01740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTEEEMPAAKKMKMTTEEVLLAYLSREEEDGKKRKKVVRRLGKEEVERLLSVTVTVPTLSEEAMEEDDEDDEEDVREREMLLRADRTLREAVMSMRKDQELIRSLFEAKGYVDVLDEVSDDDDMEMEPL >OGLUM12G01750.1 pep chromosome:ALNU02000000:12:1379613:1381354:-1 gene:OGLUM12G01750 transcript:OGLUM12G01750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRARVLAHAGGLAPWTPSVDTSNKVSNDRGYQSRELKPSLVKDDVAIIKHIQNNTKELKQGPVGKNLSSAEKRKFLVNTLLDLEDSKEGVYSTLDAWVAFEQEFPVASLKTYEQLVCALEKDNRADEACRIWELKIAHDLQSVPWRFCHLMLGIYYRNNRLDTLVKLFKNLEACGRKPPSKDIVRKVEDTYEMLGLVEEKKELLEKYKELFDKPSSNDRKKGRQFKKAEKNTKTG >OGLUM12G01760.1 pep chromosome:ALNU02000000:12:1381785:1383298:1 gene:OGLUM12G01760 transcript:OGLUM12G01760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDNVWKDLRYCILPEDPYERAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >OGLUM12G01760.2 pep chromosome:ALNU02000000:12:1381824:1383298:1 gene:OGLUM12G01760 transcript:OGLUM12G01760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRIKGVEYDYIEEDLRNKSNLLLECNPVHKKVPVLIYQGKPIAESDVILEFIDNVWKDLRYCILPEDPYERAMARFWSKFGLDKLSPPIWKWFTTQGKEQEDAYEAAMEQLLVLEKVLDEKKFFGGERIGFVDLSLGSLSYVIPIYEDITGVRLITSDKFPWLSAWMEGFLGLPLVKEHLLPLDKLRPRYQAIREAFLSK >OGLUM12G01770.1 pep chromosome:ALNU02000000:12:1388953:1391631:-1 gene:OGLUM12G01770 transcript:OGLUM12G01770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSANFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENELPEPPQRTSMEPSARVTTSQPANDLAELTKLQAEMAL >OGLUM12G01770.2 pep chromosome:ALNU02000000:12:1388953:1391631:-1 gene:OGLUM12G01770 transcript:OGLUM12G01770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKPKSKKKKEAASSALPTLDRLHETLEMLEKKECFLQKKASAEVERAKDYTKAKNKSDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENELPEPPQRTSMEPSARVTTSQPANDLAELTKLQAEMAL >OGLUM12G01770.3 pep chromosome:ALNU02000000:12:1388953:1391631:-1 gene:OGLUM12G01770 transcript:OGLUM12G01770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKLLSKPKSKKKKEAASSALPTLDRLHEIIMLESAKATTDTVDALRSGSSAVKAIHQSVSIDDIENAIEEANEHTENMRQIQEALATPIGASADFDEDELEAELEDLEEEELENELPEPPQRTSMEPSARVTTSQPANDLAELTKLQAEMAL >OGLUM12G01780.1 pep chromosome:ALNU02000000:12:1392897:1393327:-1 gene:OGLUM12G01780 transcript:OGLUM12G01780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGHRRDKRSRSRSQPGLGRLAEVVNSASGPDLFFFRGRRSGGLRLVRLYGSVTQCGASQPVAKVKCLLFSSYGGTWELGEPSLS >OGLUM12G01790.1 pep chromosome:ALNU02000000:12:1393912:1394082:1 gene:OGLUM12G01790 transcript:OGLUM12G01790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLDLVYYTFIVGFKIEVPAIIHVGIRSFNFGFTISCTLPPFLVDANEITFFKIT >OGLUM12G01800.1 pep chromosome:ALNU02000000:12:1394701:1397343:1 gene:OGLUM12G01800 transcript:OGLUM12G01800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTVTDPLEELWNHTMSMDKTHLMCFYPSKITMGGVWTGDNPLDFSIPLLLFQILLITSTTRAATLLLSPLRLPTYISQILAGFLLGPSVLGHLPHFSNLVFPVRSLFVLESMALLGLVYYTFIVGVEIEVSAITRAGIRSFGFAIGCTLPPFLVGALTGYVALSTDDKHKGDTFLNKLSFPIFLGSTFSSTAFAVLARNIAELKLAGTDVGQLTLSASLINDTFAWTGLTVATVLGHSRCTITQTTWTLTSGVVIFGASYLLLRPMLLRLARRAAEGEAVGEDRECWILIGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVALVEKVEDFVVGALLPLFFALSGLRTDTAKITNMHSAVLLMVAAMVAAVLKVIASIGVAGVFGMPLGDGTSIGLLLNTKGIIELVILNIARNKGIMSDQSFTVLVFVSALITAMVSPFLGMVVKPARRLVFYKRRTVAWAHPESELRVLACVHVPRDVPALLTLLDVVTPSSRSPVGVLALHLIEFIGRSSALLLINASAPSSSSYDASVHGRSHTEMQFKHISHAFMAYEEQSVGVSARTMAAVSPYDSMHEDITSAAENQHSALILLPFHKYRSVDGGLEVSHQAIQPLNCSVQSFSPCTVGILVDRGLAAVPGGGYRVVALFFGGSDDREVAALATRMVRNPTIDLTLLRFVQKGGSFTASEFDALKERKADEGCLRDFLERANEGGGATVEYRERGVFNASEMVGEIQSVEAMGNKDLFVVGKVPGGSGLTAGMAEWSESPELGPIGDLLXGIFFLETSWFHKKEISQNNRNHLRGQWSKLLKIIVTKQISKWHG >OGLUM12G01810.1 pep chromosome:ALNU02000000:12:1398276:1398752:-1 gene:OGLUM12G01810 transcript:OGLUM12G01810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHHHHHSLPPSPPPKRRCTALAAAVPALVVCSILLPLVFLLGLHRPGHGSEERAAVVISTQAQASGWEDEAQATQGNAAC >OGLUM12G01820.1 pep chromosome:ALNU02000000:12:1402983:1409268:-1 gene:OGLUM12G01820 transcript:OGLUM12G01820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDDEDDVWQKEVLLRANRLLRESAIRMRKNQELIRSLFEAKGYVDVEDEVSDDEIDMDMQLMELDGESTCAAPCEKKRLINSKLFRVGYGVAALLAYTSLGEGKKKKKKKKKKKKKKKVVKRLGKEEVERLLSYTVVVPTVSNKVLGSETVPARHKEILLQAVDSIKNSAVTILGMDKKLGLVSLARAWLELGNKNHMDMLVD >OGLUM12G01830.1 pep chromosome:ALNU02000000:12:1413251:1413610:1 gene:OGLUM12G01830 transcript:OGLUM12G01830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLLLFPSSSSSATHSSYSPSSSSHAITSLLPPLPSDHHLLLYLDHQEQHHLAAAMVRKRPASDMDLPPPRRHVTGDLSDVTAAAAGAPTLSASAQLPALPTQLPRGDGGEDSQWA >OGLUM12G01840.1 pep chromosome:ALNU02000000:12:1421823:1426195:-1 gene:OGLUM12G01840 transcript:OGLUM12G01840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BN86] MAQQATPDGHEMRCTLHQGNAVLPTPQVHNVISQEQRVVELHTQRRYQLKDLSWRSKDTTIDKKENQEVEHEENPKSCELEYGSYCLWSVEYKEVMKDFIVKRLKDQLFMARAHYPSIAKLNNQETFTRELKQNIQEHERMLSDTIADADLPPFFAKKLEKMEHTIERAKSCEVGCTSVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTVEYFKSTSIHTVQSNKQKLEDPTFHHYVIFSKNVLAVSTTINSTVMNSKDSGSIVFHLFTDSQNFYAMKHWFDRNMYLEATVHVADIEDHQKLSKDVDFHDMKLLRPAEEFRVTFRNHSQSFQKQMKTEYISTFGHSHFLLPDLLPSLNRVVVLDDDLIVQKDLSSLWNLNMGGKVVGAIQFCEVKLGQLKAYTEERNFDNNSCVWLSGLNVVELKKWRDLHITSRYEQLLQKLQKDSVTSFPLKVLPISLLVFQDLIYPLEDSWVQSGLGHDYGVSQTDIKRSVTLHYNGVMKPWLDLGIHDYKGYWRKYMTNGERFMTECNIH >OGLUM12G01850.1 pep chromosome:ALNU02000000:12:1428305:1432380:1 gene:OGLUM12G01850 transcript:OGLUM12G01850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRAAAYHLLLLRRAAHPPPSPATATGAACALRHARLFSPPPPTSRPAEADAEVTAAEARRLVRLVGVEALKRRLRDGREEVVGYGELLDACVEAGAARTRRDAEALARAMDEAGVVLLFRDKAYLHPEKRTRGNHY >OGLUM12G01860.1 pep chromosome:ALNU02000000:12:1445764:1457375:1 gene:OGLUM12G01860 transcript:OGLUM12G01860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHVIPIWLMNKTPLELGQLLDSDLLEMTRQTRFLKRDVHTKTKFQYNPNWINVLSGSQEGSYMWVALNYLLDRLGGDYSKTVGVIDLGGGSVQMAYAISSGTTANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDIGFINSEAPRAKSTPAAYKVAAEKVCRLSVEEAKAAYPRARLEATKEMTLVEKVKHGEYYIEAAWPLGTAIETPVARAAACVAAACGGGVTAGRTWMDIINNLLYVRF >OGLUM12G01860.2 pep chromosome:ALNU02000000:12:1445764:1457375:1 gene:OGLUM12G01860 transcript:OGLUM12G01860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAISSNTAANAPKVPEGKDPYVVKEYLKGKDYNIYVHSYLHYGGFASRAHILERKDGPFSNCMLRGFSGNFTYNGKQYDATAAPQGADYHKCREEVVKLLKVNAPCETKNCSFNGVWNGGGGAGQDDLYVASAFYYIASHVGFIDSDAPSAKSTPATFKAVAEKVCKLSVKEAKVEYPNVRDHAYLCMDLIYEYSLLVDGFGLHPSKEITLVDKVKHGEYYIDAAWPLGTAIEAVDPGLSSYAGRPQEAAKSIMPLLDKANHVIPIWLMNKTPLELGQLLDSDLLEMTRQTRFLKRLGGDYSKTVGVIDLGGGSVQMAYAISSGTTANAPEVPDGQDPYITKEYLKGRDYNVYVHSYLHYGARASRVEILKRKNGTFSNCMLRGFSGKYIYNGEQYDATAAPQGADYHKCRDDVVKALNLDAPCETNNCSFNGVWNGGGGAGQDELYVATSFYYMASDPVARAAACVAAACGGGVTAGRTWMDIINNLLYVRF >OGLUM12G01870.1 pep chromosome:ALNU02000000:12:1461837:1464657:1 gene:OGLUM12G01870 transcript:OGLUM12G01870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSGGAMPALPPGFRFHPTDEELIVHYLMNQAASVKCPVPIIAEVNIYKCNPWDLPGKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKSILSTPTSDNIGVKKALVFYKGKPPKGVKTDWIMHEYRLTGTSANNTTTTKQRRASSMTMRLDDWVLCRIHKKSNDFNSSDQHDQEPEGSTVDEQLEDIHDNNSSSQQPPAPPDMNNQQSDFQPMTAMSMSKSCSLTDLLNNLDCAALSQFLLDGSSDAIAELPAPPSPLIYPNQTLNYNINNNMPHALESRLDHHDGYVNNYNVNGLRRKRMMACSATSFDDGSSSSSDFLHVAKKPLLLPSDSRGSGFGGGYCNQQLSETATGFQFQNGNMLSHPFPLNQQLLLNNHLQMQ >OGLUM12G01880.1 pep chromosome:ALNU02000000:12:1469852:1471749:1 gene:OGLUM12G01880 transcript:OGLUM12G01880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTTSLVKLEQDGGLFLPPGFRFHPTDAEVILSYLLQKLLNPSFTSLPIGEVDLNKCEPWDLPSKAKMGEKEWYFFSHKDMKYPTGMRTNRATKEGYWKATGKDREIFRQPAAVNSSSYGGSSNKKKQLVGMKKTLVFYMGRAPKGTKTNWVMHEFRLHANLHKHHPNLRLNPKEEWVVCKVFHKKQGDEAINNQQQQPQYAAVDQYSAETPNSGSSVVQAGDIDGGDDFFQLDDIIDPSIYFVSNSSNILSAPPNNNNNAVYSVSASTTTTNTTAGSFQQQPNYYSLINKSSSSSSNYSAPLQQHVSSWNNTPGAGGAHGIGSSYYNLQQQKAAMVKALENVIAVPNFGTLLPSSNKLKGLSKSAMAGVTQQNPLGVPQYKIENYGDHYISRQ >OGLUM12G01890.1 pep chromosome:ALNU02000000:12:1472377:1478690:-1 gene:OGLUM12G01890 transcript:OGLUM12G01890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAWAAAVAVMLLLAQVSAAAPVMGPAFLWAPKNYGFSSDETKEMVYYQTVSPKSLVKSVLEEGGWSNLVCSREDHAKSVDVAVLFLGSKLQSSDISKEKQADSTLVDMLKNSFASSEFSMAFPYVAMSDDDKLEKSLLSGFAENCNNGLGNNHITYTDTCSVSEDLKKHHDMDSIHGLVASQTKKNPSGQTDLVVFCDGGFKDNTKSEGELLSELVTLLKKSGAKYTILYASQPYGLLESPSNLPLGRYLAEKTNTTKPGRGKCDGECLVKSTLLEGSFVGIVLLIILISGLKCMMGIDTPSKFDAPPESGNKMSELSKQR >OGLUM12G01900.1 pep chromosome:ALNU02000000:12:1479345:1481820:1 gene:OGLUM12G01900 transcript:OGLUM12G01900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEIALNTGQTTSILSPLIHKEIKKRTKKARHCISLPLLSSEHKSQTQMEAAVATPSLLFSSPTPRRPSSCLSLPPPCSSSYASNGAKLQQPRLQFVSQLTSRNSNGSGRRSISILSLRCSSSGTDSASSSATSERWVLEPAGDGDWRHIGYRVARPGGFQIASEAAVTVGRVPEQADIVLSVATVSGTHARLEKKEGSLLVTDLESTNGTYINERRLTPGFPTPIDPGSLLIFGDIHLAMFRVSKMVVDVPSDASGAEQEAAETAQVSAAAQQTN >OGLUM12G01910.1 pep chromosome:ALNU02000000:12:1481672:1487587:-1 gene:OGLUM12G01910 transcript:OGLUM12G01910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAARTTTTLQLNPKKQDQVRRPEIRIWAKEKLPSFKHMGMEAAVVSGLFKIVGDKLAPLVIREYSSLMGVTKDLQELQDLVEEIKSGLQVAGDNAIGNKPPSNWLKKLKDFAYDLEDLVHEFHLQSEKHDTDNDHDKYAVLKYMRTKPKLAMFQLKMANKIKTIKNRFAAIVEQRGDVNTILNAIPIDHNVHKNKIISEPSLLGNVDDSKIHVRDEEKHKIICKLIDDQQKISIVSIVGLGGTGKTTMATHICHDNKIKEHFEGSIFWVHVSQEFDNNKLVGKLYEAILKKTSYLRTDQQMVEAISNELNGNKFLLVLDDAWHKNQYDWERFMLYLKSGSPGSRILLTTRDQGVAEAVESTCIYKLAFLSDEDSWNLFQQSLRLAAKGLPSEFVEIGREIIKKCGGVPLAIKILAGVLRNKKTVDAWCALRDSNMWNVDDIEDRVFASLRLSYFHLPDHLKQCFVYCSIFPKGYKIYKHQLIGEWIANGFINPMNEIEQVEDVANDCFDSLLKVHFLQDLEVDEYDEMEICKMHDLVHDLTRQILQGEMVSHSQNATIGNSQKCRYLSLASCNENIEVKLFSKVHAIYISGDNFALNKPIKKRCHVRSIILESMGATNLLLPSIPKFEYLSYLRISHASCRAFPEEISHCWNLQALHVTYCRALTTLPESIGKLKKLRTLELSCLLDLESLPQSIGDCHNLQSFLLRGSGIREIPNSICKIKKLRVLNIMHCLSLRQQWSEFFGTLCNLQSINLAQIEGIHNLFSSFACHKLRTLTLSGTEITRLPQCLTLVSTLEYIDLQNCWGLLELSEGIGNLERLEVLNLKGCSNLGGLPVGIGQLTHLQRLHLFVIGGSSEHARISELRNLNLLTDNDLEIKNIKYVEDPDDAEKASLKEKSGILNLTLDWSSNGAEGCSDSLEEEPLLDMEKELRVLNGLEPPSQIKKLNIYNYKEPPVGGVVEQSICVHEPALEMITLKVRAFELA >OGLUM12G01910.2 pep chromosome:ALNU02000000:12:1482704:1487587:-1 gene:OGLUM12G01910 transcript:OGLUM12G01910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAARTTTTLQLNPKKQDQVRRPEIRIWAKEKLPSFKHMGMEAAVVSGLFKIVGDKLAPLVIREYSSLMGVTKDLQELQDLVEEIKSGLQVAGDNAIGNKPPSNWLKKLKDFAYDLEDLVHEFHLQSEKHDTDNDHDKYAVLKYMRTKPKLAMFQLKMANKIKTIKNRFAAIVEQRGDVNTILNAIPIDHNVHKNKIISEPSLLGNVDDSKIHVRDEEKHKIICKLIDDQQKISIVSIVGLGGTGKTTMATHICHDNKIKEHFEGSIFWVHVSQEFDNNKLVGKLYEAILKKTSYLRTDQQMVEAISNELNGNKFLLVLDDAWHKNQYDWERFMLYLKSGSPGSRILLTTRDQGVAEAVESTCIYKLAFLSDEDSWNLFQQSLRLAAKGLPSEFVEIGREIIKKCGGVPLAIKILAGVLRNKKTVDAWCALRDSNMWNVDDIEDRVFASLRLSYFHLPDHLKQCFVYCSIFPKGYKIYKHQLIGEWIANGFINPMNEIEQVEDVANDCFDSLLKVHFLQDLEGEMVSHSQNATIGNSQKCRYLSLASCNENIEVKLFSKVHAIYISGDNFALNKPIKKRCHVRSIILESMGATNLLLPSIPKFEYLSYLRISHASCRAFPEEISHCWNLQALHVTYCRALTTLPESIGKLKKLRTLELSCLLDLESLPQSIGDCHNLQSFLLRGSGIREIPNSICKIKKLRVLNIMHCLSLRQQWSEFFGTLCNLQSINLAQIEGIHNLFSSFACHKLRTLTLSGTEITRLPQCLTLVSTLEYIDLQNCWGLLELSEGIGNLERLEVLNLKGCSNLGGLPVGIGQLTHLQRLHLFVIGGSSEHARISELRNLNLLTDNDLEIKNIKYVEDPDDAEKASLKEKSGILNLTLDWSSNGAEGCSDSLEEEPLLDMEKELRVLNGLEPPSQIKKLNIYNYKGKHFSRWMMKQRESSCSDSLLEQIDPPHFTQLTKLVLEQFPNLENLQGLARLPSLNTFVLKGMPNLVELWTSSPALVSGEEGKPCCIDHEQVEHCFPFLSTLVMSDCPKLKVCPCFPPYLDSLTLERSNEQLLSQVSFSHPLHPLDKESSSICFSVDSPHLKKLELGGMAGSSSGWEVLQNLTGLESLSIYSSDLRQLGEIIRSLTSLQYLCISGCPVLAMLPEWLGGFRSLQTLVLKEIPLLASLPKSIMLLTSLEKLAIVECDNLKELPEVVNHLTSLKELDISSCRNLSQLPEGIQHLTNLEYLSIQVCLALHKLPEGLGMLGSLEGLMINILPVLTTLPESMQGLTSLRHINLMSCPMLTVLPESLGQLSALRSLYIQSCTGLRSLPSSIQHLTSLQHLVISYNPTLSRHYKNRVGKDWHIISHIPVVEIRD >OGLUM12G01910.3 pep chromosome:ALNU02000000:12:1482704:1487587:-1 gene:OGLUM12G01910 transcript:OGLUM12G01910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAARTTTTLQLNPKKQDQVRRPEIRIWAKEKLPSFKHMGMEAAVVSGLFKIVGDKLAPLVIREYSSLMGVTKDLQELQDLVEEIKSGLQVAGDNAIGNKPPSNWLKKLKDFAYDLEDLVHEFHLQSEKHDTDNDHDKYAVLKYMRTKPKLAMFQLKMANKIKTIKNRFAAIVEQRGDVNTILNAIPIDHNVHKNKIISEPSLLGNVDDSKIHVRDEEKHKIICKLIDDQQKISIVSIVGLGGTGKTTMATHICHDNKIKEHFEGSIFWVHVSQEFDNNKLVGKLYEAILKKTSYLRTDQQMVEAISNELNGNKFLLVLDDAWHKNQYDWERFMLYLKSGSPGSRILLTTRDQGVAEAVESTCIYKLAFLSDEDSWNLFQQSLRLAAKGLPSEFVEIGREIIKKCGGVPLAIKILAGVLRNKKTVDAWCALRDSNMWNVDDIEDRVFASLRLSYFHLPDHLKQCFVYCSIFPKGYKIYKHQLIGEWIANGFINPMNEIEQVEDVANDCFDSLLKVHFLQDLEVDEYDEMEICKMHDLVHDLTRQILQGEMVSHSQNATIGNSQKCRYLSLASCNENIEVKLFSKVHAIYISGDNFALNKPIKKRCHVRSIILESMGATNLLLPSIPKFEYLSYLRISHASCRAFPEEISHCWNLQALHVTYCRALTTLPESIGKLKKLRTLELSCLLDLESLPQSIGDCHNLQSFLLRGSGIREIPNSICKIKKLRVLNIMHCLSLRQQWSEFFGTLCNLQSINLAQIEGIHNLFSSFACHKLRTLTLSGTEITRLPQCLTLVSTLEYIDLQNCWGLLELSEGIGNLERLEVLNLKGCSNLGGLPVGIGQLTHLQRLHLFVIGGSSEHARISELRNLNLLTDNDLEIKNIKYVEDPDDAEKASLKEKSGILNLTLDWSSNGAEGCSDSLEEEPLLDMEKELRVLNGLEPPSQIKKLNIYNYKGKHFSRWMMKQRESSCSDSLLEQIDPPHFTQLTKLVLEQFPNLENLQGLARLPSLNTFVLKGMPNLVELWTSSPALVSGEEGKPCCIDHEQVEHCFPFLSTLVMSDCPKLKVCPCFPPYLDSLTLERSNEQLLSQVSFSHPLHPLDKESSSICFSVDSPHLKKLELGGMAGSSSGWEVLQNLTGLESLSIYSSDLRQLGEIIRSLTSLQYLCISGCPVLAMLPEWLGGFRSLQTLVLKEIPLLASLPKSIMLLTSLEKLAIVECDNLKELPEVVNHLTSLKELDISSCRNLSQLPEGIQHLTNLEYLSIQVCLALHKLPEGLGMLGSLEGLMINILPVLTTLPESMQGLTSLRHINLMSCPMLTVLPESLGQLSALRSLYIQSCTGLRSLPSSIQHLTSLQHLVISYNPTLSRHYKNRVGKDWHIISHIPVVEIRD >OGLUM12G01920.1 pep chromosome:ALNU02000000:12:1492792:1493241:-1 gene:OGLUM12G01920 transcript:OGLUM12G01920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALTRPPPGTVQCFGRKKTAVAVSYCKPGRGLIKVNGVPIELIRPEMLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEASKKEVKDIFARYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OGLUM12G01930.1 pep chromosome:ALNU02000000:12:1493389:1494143:1 gene:OGLUM12G01930 transcript:OGLUM12G01930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARISWAFASPFGGRLAVRRRRGCRSRLSGIRAATAVLVRLAGRWRALFGERGGFGIRGARRRITPLQFNVRYISMPCFR >OGLUM12G01930.2 pep chromosome:ALNU02000000:12:1493389:1494787:1 gene:OGLUM12G01930 transcript:OGLUM12G01930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRARISWAFASPFGGRVFRFLPSGGAAAAGVASPAFAPPPLSSYGWREGGALCSGKEAGLVSVALAGGLSKDEAAAV >OGLUM12G01940.1 pep chromosome:ALNU02000000:12:1495923:1498439:-1 gene:OGLUM12G01940 transcript:OGLUM12G01940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRHRPPGPRRRLLCGQSAVTDTTAAAKELSFVDENGRPDDPASSSAAAARCDPTHAAVRVFMYDLPPEFHFGILGWSPPTDGAADAAMWPDVGSGAAAPRYPGGLNQQHSVEYWLTLDLLSSSSPPCGAAVRVADSRDADVVFVPFFASLSYNRHSRVVPPEKVSRDKGLQERLVRYLMAQPEWKRSGGADHVIVAHHPNSLLHARSVLFPVVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDDRPTLLYFRGAIFRKEGGNIRQELYYMLKDEKDVYFAFGSVQDHGASKASKGMHASKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDALDYSKFSIFVRSSDAVKKGYLMRLIRGVSKHQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARKVPAIRLKSHRSRRFSRYDRGK >OGLUM12G01950.1 pep chromosome:ALNU02000000:12:1513369:1514376:1 gene:OGLUM12G01950 transcript:OGLUM12G01950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEQHINGSGNLDPSGVGAVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKRFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKGSSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTDKLCQPQALTKFCFFLMDALRERGARMKPLICACLAREPEKVLVVGVCGKPRLGAVKGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >OGLUM12G01960.1 pep chromosome:ALNU02000000:12:1515650:1516868:-1 gene:OGLUM12G01960 transcript:OGLUM12G01960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVEWLPAKFPAMLARSSLVDGAGEAADDRMGSRRRSPLFSLPSQGAAAKLEREKKDKMGQEICSAIPPDLQDYDRNFNIGREQTTKRKGQLFHLDY >OGLUM12G01970.1 pep chromosome:ALNU02000000:12:1516284:1516898:1 gene:OGLUM12G01970 transcript:OGLUM12G01970.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDCLRELLILRKAAAARSSPKQALSFAAAPWDGREKRGDRRRLPIRSSAASPAPSTKLDRASIAGNFAGSHSTSSIYYFYLFYK >OGLUM12G01980.1 pep chromosome:ALNU02000000:12:1527520:1530743:1 gene:OGLUM12G01980 transcript:OGLUM12G01980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQQDRSAAKPYANGSTAAAAAAAGRKENNKVVRYRECQRNHAASIGGXCRQQPSERSMRSATCSAAASSNSHFSIDDSGGAAWFISCCMLSSP >OGLUM12G01990.1 pep chromosome:ALNU02000000:12:1529074:1530125:-1 gene:OGLUM12G01990 transcript:OGLUM12G01990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKEGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIKRGNFTAHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLAAAANSTSSSNRHPIFADATFPSAAGGSHTVSSNSDVTQMAAIARRSPFADCPSSSYASSMDNISKLLDGFMKTNSPSPPPPPLQHYDGGYYDDVKPAVDVGGNPLLSSFDCMSGADLDCCFDVHQQHQQQQPASFMEYGGYGGGYGDESMQQLMNQAAPPLSSIEKWLFDEAAAEQVADLMDLSDGCCSVPMMF >OGLUM12G02000.1 pep chromosome:ALNU02000000:12:1536109:1539629:1 gene:OGLUM12G02000 transcript:OGLUM12G02000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAYPVQLLHRQATAATGGGQWHNLVAAYAAVRFLRPQGRSLVLYSGPDGGAQQRIVFAYPILPGDAFERMDGETLSWEEPECGDETYEM >OGLUM12G02010.1 pep chromosome:ALNU02000000:12:1537932:1540644:-1 gene:OGLUM12G02010 transcript:OGLUM12G02010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATEQHCGGGGGDKEKDLLSAVVGDIRSYSGSDPLRPWLRGMRKMEAALPPATLRAKLPRFLQKCAQEFQDDARYRDDSRYLRVWIQLMDYVKDAKPLLKKMEKNRIGLKRSAFYMAYALYYEKHKRFEDAENMYRLGTQNLAEPVGELQKAHEQFIRRVELYKRRKSRVQQERMPNKVQSIATSKNEVEGQSRSCTKPKSNPVQRSGSGSNPHLGFPHPLGRPLSRGTSGETMSLSRHNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPLEPETKLKRRSNRDKPSFNQEASAFEIFVDEDEPNKSGPSKLQDKNMKQDNPKLSQQASAFEIFVDEDDPYCNNQNMVQHRHFNKENTQVNQNASGFEIFVDENEAHGNGRNAMSHKSSGCPPKPSRDSKQQANFDFQKPFVGGFAILPDDEDEQLEKNDNGVKINSGTVQLTDDKDTSLCSRQTDSKIRCDDLRPAISGLREDTVFHRFVGSAVVGEPKVENACHHGLVEPTVNLKEAMDDINNMFGIPLNFKET >OGLUM12G02020.1 pep chromosome:ALNU02000000:12:1541321:1544964:-1 gene:OGLUM12G02020 transcript:OGLUM12G02020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNA8] MAAPVRSVLPVVLLGRGGVGRHLLRHILSCRPLHANQGVAIRVQGVVADDLHSNGFDDALLADLCAAKSAGSPLSSLLSRGQCQLFNNPEARRKVIDTASVLGKTTGLVLVDCSATYDTVGMLKDAVDRGCCVVLANKKPLTCAYEDFEKLVSNFRRIRFESTVGAGLPVIASVTRIIASGDPVSRIVGSLSGTLGYVMSELEDGKRFSEVVKTAKSLGYTEPDPRDDLSGMDVARKALILARLLGQQISMENINVESLYPSELGPDAMSTKDFLESGLVQLDKSIEERVKAASLKGNVLCYVCKIESTGCQVGLEELPKNSALGRLRGSDNVVEIYSRCYESAPLVIQGAGAGNDTTAAGVLADILDLQDLFHKTA >OGLUM12G02030.1 pep chromosome:ALNU02000000:12:1545082:1552806:-1 gene:OGLUM12G02030 transcript:OGLUM12G02030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNA9] MRQHLRVAMERTTEDDERLTDPLLEPKPAINGGGGGSNEEEEEVGSLGRRLVEENKKLWVVAGPSICARATSFGATVVSQAFIGHIGATELAAYALVSTVLMRLSVGILIGMASALETLCGQSYGAKQYHMLGIYLQRSWIVLFCCAVILLPIYLFTTPLLIALGQDPDISVVAGTISLWYIPIMFSYVWGLTIQMYLQSQSKNMIVTYLSLLNFGLHLFLSWLMVVKFHLGLAGVMGSTVIACWIPIFGQLAYVFFGGCPQTWTGFSSSAFTNLGAIIKLSISSGVMLCVELWYNTILVLLTGYMKNAEVALDALSICLNINGWEMMISIGFLAATGVRVANELGAGSARRAILAYIFTESQEVVDAVADLAPLLAFSILLNSVQPVLSGVAIGSSWQSVVAYVNVASYYLIGIPIGAILGYALGFEVKVEIARERLNRCIGTLANA >OGLUM12G02040.1 pep chromosome:ALNU02000000:12:1553068:1553409:-1 gene:OGLUM12G02040 transcript:OGLUM12G02040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPGDEHDDCRTAPLLEPKHAHGEGSNNGKQEEDEEEVGSLGRRVLVESKKLWVVAGPSICARFSTFGVTVISQAFIGHIGATELAGYALVSTVLMRFSSGILVTILSTHYT >OGLUM12G02050.1 pep chromosome:ALNU02000000:12:1558808:1562179:-1 gene:OGLUM12G02050 transcript:OGLUM12G02050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNB1] MEKPGDDDKLTVPLLEPKPATNKHQEDDDAEEDEVGSVRRRVVEENKKLWVVAGPSICARFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWLVLFCCAVILLPVYIFTTPLLIALGQDPEISAVAGTISLWYIPVMFSYIWAFTLQMYLQAQSKNMIVTYLAFLNLGIHLFLSWLLTVKFQLGLAGVMGSMVISFWIPVFGQLAFVFFGGCPLTWTGFSSSAFSDLGAIMKLSLSSGVMLCLELWYNTILVLLTSYMKNAEVALDALSICLNINGWEMMISIGFLSAIGVRVANELGAGSARRAKFAIFNVVTTSFLIGFVLFVLFLFFRGSLAYIFTESKAVADEVADLAPLLAFSILLNSVQPVLSGVAIGSGWQSVVAYVNVTSYYLIGIPLGAILGYVLGFQAKVEITRERLNRWYMDENGRSQNSIGNA >OGLUM12G02060.1 pep chromosome:ALNU02000000:12:1572707:1573305:-1 gene:OGLUM12G02060 transcript:OGLUM12G02060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLLLLLLLRIVASAPASPPATALFVLGDSTASCAATTLPLNLSLTSSSGNCLFPSAHRLLPDLLAAKMGLPPPPLISTLNGTAAEVAWGVNFAGEDGGRGAIFRLGAVGQQLRLATETLQLLRLEAPTPQDADAAAGRRPTRRRPSTQVITLLLLAEAGRCSYSP >OGLUM12G02070.1 pep chromosome:ALNU02000000:12:1573025:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRAIRISLLRLNHSPCAAQGEGKEGKTNWISQLIGVWEQAASTQLRNAGGSQPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.2 pep chromosome:ALNU02000000:12:1573025:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRAIRISLLRLNHSPCAAQGEGKEGKTNWISQLIGVWEQAASTQLRNAGGSQPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMLYAELQLISFTSFVGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.3 pep chromosome:ALNU02000000:12:1573025:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRAIRISLLRLNHSPCAAQGEGKEGKTNWISQLIGVWEQAASTQLRNAGGSQPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.4 pep chromosome:ALNU02000000:12:1572854:1576877:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKR >OGLUM12G02070.5 pep chromosome:ALNU02000000:12:1573462:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRAGGFMSLENLIYFARNYPDSFHSLLHKADGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.6 pep chromosome:ALNU02000000:12:1573025:1576874:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MIRIRAIRISLLRLNHSPCAAQGEGKEGKTNWISQLIGVWEQAASTQLRNAGGSQPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFSLLHKADGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKR >OGLUM12G02070.7 pep chromosome:ALNU02000000:12:1573462:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFSLLHKADGKRSEWEYPFAVAGVNISYMLVQMLDLQSGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.8 pep chromosome:ALNU02000000:12:1573462:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMLYAELQLISFTSFVGKMGTKVSSQFVQLLREDEMAFDNLFCMAFQMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02070.9 pep chromosome:ALNU02000000:12:1573462:1581365:1 gene:OGLUM12G02070 transcript:OGLUM12G02070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAIKRKPYTADIDRSEKQMETIIPDSVREPLLGNRTHESKSDRHEPNMQPNLWDGKGQERLGWMHIISTFIAQSVRKIGNALSQFGPLLAKFFSRSCASHGSHDEQAVLLDLSPLQEERLRFLRQRLNVPFDSSSVKHQDALKELWRLAYPSRQLPPLKSDLWKEMGWQNSDPATDFRIPFTVYYTRRMMLDAQWLTRQASYMEFNEVLKSMRIQLEQELTIGSISCVQEMPSFRLLKRRKKN >OGLUM12G02080.1 pep chromosome:ALNU02000000:12:1585256:1587833:-1 gene:OGLUM12G02080 transcript:OGLUM12G02080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVGGGRRRRWTCNLIWATRSFGKAIIQMSKCNSADLAPDIEHRSTELQREQMEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKEGQGGSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKNRNTSFDKGGSSIAETHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGHTYSHTMMTPVSRTTNSSSDDLDGFDTPKGRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSNYCDDDYVFQKMNADLIMDTVTFKNMVNFGGLLICNIRRY >OGLUM12G02080.2 pep chromosome:ALNU02000000:12:1585256:1587990:-1 gene:OGLUM12G02080 transcript:OGLUM12G02080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPLDFDPDSAELSPDITEYTTKLKQSHERARKLRADLAVEEQREQELSRVLKGIVTAPNFTEAHKEGQGGSVERLKVSKHLAEEAMNYFEECVSISTLDSTDFSSLEDPQINSVVNIPQKNRNTSFDKGGSSIAETHYPTDRHWHNEESDNQTQCSVSLTGSDVSGGHTYSHTMMTPVSRTTNSSSDDLDGFDTPKGRSSCFSFTHEPTKTVEGDDVQQYLRSFGRGISKDLREIRSNYCDDDYVFQKMNADLIMDTVTFKNMVNFGGLLICNIRRY >OGLUM12G02090.1 pep chromosome:ALNU02000000:12:1595254:1595997:-1 gene:OGLUM12G02090 transcript:OGLUM12G02090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRKQLLVHMTLQLLSTGVLRQCSISHWRNMRRRGRRWRVCRGRSTWPPSAAGAAVSPGVSPSTEALPGITTMGGGRHG >OGLUM12G02100.1 pep chromosome:ALNU02000000:12:1598080:1599928:-1 gene:OGLUM12G02100 transcript:OGLUM12G02100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTLHPADDRRRPGRPRKTPPPAPCPRRSRSASVEPRARGIGEYAAGNTRTNPLFDRRGGGEHSASPPQAAGCRGXRRPRRPRRRGAVRGRGEEDGGDGGGAAGVRAAGDVGGAARRGWPELRGGGERARPGVQREGGGAAGGAAAAAARRRHHEEGVLWAGPVRGYGGVLDEGDGVPHPAATRVVGPV >OGLUM12G02110.1 pep chromosome:ALNU02000000:12:1602169:1602619:-1 gene:OGLUM12G02110 transcript:OGLUM12G02110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTYLAMLNLGLHLFLSWLLTVQFHLGLAGVMGSMVIAYWIPVFGQLAFVFFVWNCGTTQFWFSSLVI >OGLUM12G02120.1 pep chromosome:ALNU02000000:12:1603696:1604097:1 gene:OGLUM12G02120 transcript:OGLUM12G02120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNCHTRTQYCNYHHITVDQESIITMKKKALYRMFAAIFLLHLLLAVTAAASPKTSTGLLRDGNNNAVAAVAARSSRRLLLQQQQPRAAAPTAAMATNTFRVNGVHQANGEPKVEFDASMKHNPGTNFNPRHN >OGLUM12G02130.1 pep chromosome:ALNU02000000:12:1605351:1613122:-1 gene:OGLUM12G02130 transcript:OGLUM12G02130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTKAEAKAETIGGGGGGSGSFSEQAFVEKLNKLNNTATRIQTLSNWCIFHRKRARKVVDTWEKQYNSANKDKKVSFLYLSNDILQNSKRKGGEFVNEFWRVLPGLLKDFYVNGGEDGKKVVGRLIDIWDERKVFGTRIESLKDDILGGSTHTMGNNVNSSNPSSNPSSVSKATRKDSGTVTRKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCNNAVSVLDRMDKDVDDACTQGIQQGSSLISDLQGQETVLKQCIEQLESVNMARITLINKLREALGEQEAKSELLRNQLHVARAKAEHAMQLKQRLGSALNNGAGSSSSPLMVTLPPGQTAAMMQNSAAMPIFPQFQPLHPATSLPATSSAVGDEPKKTAAAMADKLASLSAPEKVLSSIFSSLAAEQARNSGSTSGDLSAGPPGFESNKKPRLDNPIHASDMSAPPFFGQLPQVQPQIGATAALGGTQPPTQANQAAGSFPPPPPPLPLMPQFVQNTGGMFGMGPFGMVSGSAPPPPPLPNIMSAGFPRLSAPPPLPLPTQSQNQSQPQQQQSPQAPQQSPTSTGFFQPPGAGFFPPVQVQQSPSAQRQ >OGLUM12G02140.1 pep chromosome:ALNU02000000:12:1614500:1615450:-1 gene:OGLUM12G02140 transcript:OGLUM12G02140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALAPCRSAASLHSARLLPQQRTPTAPRILLPAGGLLLRPQPPLHHPQRRSSSRPDLRCRRRLLTARGDYDFYENYADEEGDEEEESEVIGGSFDAAVALFNGGEFHACHDVVEELWYTAEEPTRTLLHAILQCAVAFHHLFNQNHRGAMMELGEGLCKLRKLRLDDDDDTTSPFSRFEEEVAAALNFIYRTQKELAACTDDLCLTMDGSATSYQLLGNFAAGQKLYRLETATGADGDGDGVPTIIFSASSRLVRVKLPTLSATEQHLAALQCTSEYI >OGLUM12G02150.1 pep chromosome:ALNU02000000:12:1617109:1619325:1 gene:OGLUM12G02150 transcript:OGLUM12G02150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLSWRHHTLLQALLSRGPLSERDFHALFSAISGGKNPATHRHLFNDTLLKINKELAYLQFELRAGINQYDGTVYYGVVNNIADEESKLGSKFSVPQIAFYKGLLEAIVHEAGNDGSITNIDALNTRIENQVVIADASQGSQSRLPTSITNFSLSQKEKTLNELIQDRWLSYTPTGKIGLGIRSFLDLRSWLRSNDIPSCEVCNEACIKASSCPNEGCNVRIHGYCLKKKFSQRKASRACGCGTEWPRLEGEDDGAEDVNEPEEDQVPSANQHSRTRRRGVKSELVEENERAGPSARMTRRSLRSSKAEAVEAAQEVPSAAGPSQSTRASKRRKN >OGLUM12G02160.1 pep chromosome:ALNU02000000:12:1626833:1627442:1 gene:OGLUM12G02160 transcript:OGLUM12G02160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARHGATTMAAFQICAQVWLATSLLADGLAVASLALLASVFAKKDNYKVAVTTARVLQLAVVLSVGLTAFLAAGMWFGGGVFTSDAAVISTIYKGFVAGTQTINTLAFVFDGEWSGMASIRIG >OGLUM12G02170.1 pep chromosome:ALNU02000000:12:1639594:1639938:1 gene:OGLUM12G02170 transcript:OGLUM12G02170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSNPTNCRPHMWACNIHEEQFMMQIFSLKLSNITATVDGPVHLYGYFAVRDHLDLLHNYIFNRTRDDPFIMGQDNDVDSDNSLIPMPGPKRGIGNQARVLIEFDMKIKNGET >OGLUM12G02180.1 pep chromosome:ALNU02000000:12:1641521:1642649:-1 gene:OGLUM12G02180 transcript:OGLUM12G02180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRDATLFSSDVASLAAPNFLLDGVINFVMAHMTTKLGDESLLLVSPSVASLLANLQDYEPEAVADTAQALMDGANLPAATRLADALRPLLPAPPQGPPISGPTPQLSNGYDCGVYLLAVALAICRWWKKHPRTEEAAPCWFESVMDEVSAESVAAMRLNLAQEINLELIKQGDTTSSSSSWPSSSSRQ >OGLUM12G02190.1 pep chromosome:ALNU02000000:12:1648639:1651863:-1 gene:OGLUM12G02190 transcript:OGLUM12G02190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVATGGGGGGVGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTGVFLTGIAVLKAAKSSE >OGLUM12G02190.2 pep chromosome:ALNU02000000:12:1648643:1651863:-1 gene:OGLUM12G02190 transcript:OGLUM12G02190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLPLLLVHRSTPPTPRPTAPPLLHSRRLALPPRPASLPATTAVVHPRKGVRLSKLHAASCCDSASAAGVATGGGGGGVGGAKGEMDWRLLLAWYLLALDKHPITTKAVTSAVLTLTGDLICQLAIDKVPKLDLKRTFVFTFLGLVLVGPTLHVWYLYLSKLVMINGASGAIARLLLDQFIFSPIFIGVFMSLLVTLEGKPSLVVPKLKQEWLSSVIANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVTVK >OGLUM12G02200.1 pep chromosome:ALNU02000000:12:1659170:1659730:1 gene:OGLUM12G02200 transcript:OGLUM12G02200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLDSSTSSSPWLPPDLMPPPPPPPPAATLHRHFRGAAAAASTSRRIAKRRPRPSRRPPTTYISADPANFRRMVHQVTGADDLPPPPPSLSLQQTTELLLPAVHAAPAGSPGAAGALMLPTLDTSAFLLGRRAEPAAAAPPCDESVALVRGGAGNYGSNNNSISSSSSSGNCGGGFPTLDSWDLL >OGLUM12G02210.1 pep chromosome:ALNU02000000:12:1669082:1671378:1 gene:OGLUM12G02210 transcript:OGLUM12G02210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVRLPEQQRRRPLTIASLPEEIMSEILLLLPPKSILQCRAVCKAWRDVTSDRAFLLTHHRRQPPQRLLTFIRDVGSHHDDLDILDYCVEAVDFRTHQFQSLARFTGQDYDCSLEDSPFTVHASCDGLLLMSYNNYLHLCNPTTRQWLWVSPPALQHDKVVGLYAHGHPSEYRVLYYREFGLGRTFYITTWLTKGTEDTEFNKPFLFHGNLHWLPQSGRQNKIVVFDTLDEAFRWLHVPFKMHNVSSLLEIEGSLAMSNSHIGSSKVDLWLLQDYKHMVWVHKYRIELPVIEIRRLVEDDVWYLHIVSQEGDVLVDGSYWQFHYDMKGNLLEKFQCSGRMLNITPHILQESLVPHEEPNYQIDVIYGVMESKYPTGTGPITIRTQNCNMHSKHETGEEAV >OGLUM12G02220.1 pep chromosome:ALNU02000000:12:1671860:1674300:1 gene:OGLUM12G02220 transcript:OGLUM12G02220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSAPWLVSTASFRAGRSSSLHALVLTAGLARHSPNFSLLLRLASPLLPVSHRLRLLLSSPLPPTTFLANSLLLASSSSRCLPSALSLYALLFLSSSPPLLRPNAFTYPPLFRAAPPALALALATHSVKFLGAHAASCDRVLGAALLGVFARCGRIASCRRVFDRIAHPDLPAWNALLSAYARLRARDVACATSAADAILELFVRILSLAIEPNEITLVAVIGACGELGAVSHGVWAHTYAVKRRLAVNCIVATALVEMYAGCGRLDLAEQVFAAASDRDTRCYNAMLHGLALHGHGRAALSLFDRMHGAGVPVDGVTVLSVMCACAHAGLVDEGLDYFDRMEIEFGIEPRIEHYGCMIDMLSRAGRLNNAEKLIHEMPIVPNAAIYRSLIRACGIHGKLELGEKMIAELMRLEPDDSGNHVLISNFYARMNRWEDAMKARKEMKSMGIDKNPGSSLVDINGVLHEFLVGDKTHPASKEIYTMVEEIETRLIECGRRSSTSSALFDVEEEDKADTLSYHSERLAIAFALIASNPGAPIRIIKNLRVCADCHESAKLVSRVYGREIVMRDRTRFHHFRDGVCSCGDFW >OGLUM12G02230.1 pep chromosome:ALNU02000000:12:1689958:1692399:-1 gene:OGLUM12G02230 transcript:OGLUM12G02230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSEDEAENGKLPLELEPLRSLAPKFPTILGYDVETQSTNPLLVYATPFIPCSSSQQPQEAPASFSLPLPKSPVPIKATPISAAFPTPQHEDESSDQDYKPFCRQKKPTLPKRAKRPQQAEKSNDANIKRRSIRRSLDNEFNLCSSSSDNPKESVEGILMMFDSLRRRVLQLDEKEDASRRADLKAGTLMMQNNLRINNHKMIGHVPGVEVGDIFFFRIEMCIIGLHAPAMGGIDYISSKNKDETLAVCIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSKIKKNQIRVVRSAQDPFCNSGKIYIYDGLYRIEDTWTDTAKNGFNVFKYKLRRDPGQPDGISLWKMTEKWKANPATREKAILLDLSSKVEHLPVCLVNDVDDEKGPSHFNYVAGVKYLRPLRKTKPLQCCKCPSVCLPGDPNCSCAQQNGGDLPYSATGLVAKHTPMVYECSSNCQCSHNCRNRITQKGIKLNFEVFWTGDRGWGLRSWDPIRAGTFICEYAGEVIDETKMDIDVEEDKYTFRASCPGNKALSWNLGAELLEEKKVLPLALREYPSKLANSNHASVALIIVEDIKLLYEW >OGLUM12G02240.1 pep chromosome:ALNU02000000:12:1693782:1695499:-1 gene:OGLUM12G02240 transcript:OGLUM12G02240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASALNNPRLQAMLEEEKRKAMANEFVAKLTDVCWDKCITGSIGSSFGNSEASCLSNCAKRFLELKMLTMQRVSSPR >OGLUM12G02250.1 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKLYQFLEENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSSRGRASCQRVAECSRTDASLTSSLLNLFV >OGLUM12G02250.2 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKLYQFLEENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVVPVASELPNAAEQMQVLHLPYSICSFDLALV >OGLUM12G02250.3 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYLIYRENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVVPVASELPNAAEQMQVLHLPYSICSFDLALV >OGLUM12G02250.4 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKLYQFLEENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSSRGRASCQRVAECSRTDASLTSSLLNLFV >OGLUM12G02250.5 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVSSRGRASCQRVAECSRTDASLTSSLLNLFV >OGLUM12G02250.6 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKAYLRMQAASQLNSLLSSANTIEASQILFTHFSKCLSSELDLPQTALVEVDASWEVSRKIPDTLFGLFFEEINHAGAGGIWAELVVPVASELPNAAEQMQVLHLPYSICSFDLALV >OGLUM12G02250.7 pep chromosome:ALNU02000000:12:1698221:1723399:1 gene:OGLUM12G02250 transcript:OGLUM12G02250.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREPFLSAIFFFFLLLFCLGCKCIASELHLHTTQTAVLKVDASPQHARQIPDTLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSIFVATDRTSCFSRNTVALRMEVLCDNCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVNSPETVELTVSLTSSDGSQNLASSTIPVSGASNWTKLEQKLVAKGTNRTSRLQITTTKKGVVWFDQVSLMPADTYKGHGFRTELISMMLDLKPRFLRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLSEDLGAAPIWVFNNGISHNDEVDTAAIAPFVKDVLDSLEFARGSADSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIREAYPDIQMISNCDASSRPLDHPADLYDFHVYTDSKTLFSMKSAFDRSSRNGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEKNSDVVQMASYAPLFVNNNDQTWNPDAIVFNSWQQYGTPSYWMQTLFRESSGAMVHPITITSSYSGSLAASAITWQDSENSFLRIINFGSDPVSLTISATGLQARVNALGSTATVITSSNVMDENSFSNPNKVVPVKSQLSNAAEQMQVTLAPHSFSSFDLALAQSKLVAEMQAIVVVVVFLVLFFVGGGSSRCEAETLETASLHVDYSVARRMPDTLFGLFFEEINHAGSGGLWAELVSNRGFEAGANTSNIDPWSIIGDESSVHVTTDRSSCFSQNPVAVRIEVVCDDCPAGGVGIYNPGFWGMNVEEGKAYNLVMHIRSLELVELTASLTCSNGSQNLASNSVRETNLSTWTKIELQLLAQGTCRTSRLELTTRKRGVIWLDQVSLMPSETYKGHGFRKELMYMLLDLKPRFLWFPGGCFVEGNWLKNAFRWKETIGPWEERPGHYGDVWHYWTDDGLGYYELLELAEDLGAYPVWVLNIGMSHHDAVNGTMLAPFIKDATDSLEFAKGSDKSTWGSVRATMGHPEPFPLKYLIYRENYPMFYNAIKEAYPDIQIISNCDGSSGPLDHPADLYDYHIYENASTVFLKKNEFDRTSRNGPKVFVSEYAVNGEDAGNGSLLASLAEAAFLIGLEKNSDVVQMACYAPLFVNDNDRVWNPDAIVFNSWQQYGTPSYWMQTYFRESSGAMIHPIMISSSYSDLLAASAITWQDAENTFLRVKIVNFGPNAVNLTISSSGLQAGVDTAKSTVTVLTSSNLLDENSFSEPNKVVPVASELPNAAEQMQVLHLPYSICSFDLALV >OGLUM12G02260.1 pep chromosome:ALNU02000000:12:1713738:1714313:-1 gene:OGLUM12G02260 transcript:OGLUM12G02260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSHGIVNAFFLFAVALVAASQAQHATNADSFMSSACKIVAGSSSGVISVTFCMDALGSDSRSLSASHYSDLAIIAIDLLTSNTTSTKAKIDNILKDDGNGLKPGDATTVCFQSCQAAYTSMLQGQLGIFYNVQAGRFPEAMSALEKAANMVEECEKGFGKSNVKSLLTTENHDSFELAKLGALLLNEEH >OGLUM12G02270.1 pep chromosome:ALNU02000000:12:1725324:1725653:1 gene:OGLUM12G02270 transcript:OGLUM12G02270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNVNNGVSVLFLFAVLLIAASQLAAGTNSFLYGACKTIAGGSELLSVTFCIDALSSDNRSSNISSYKEFAVIAVDLLTANATSTKSEIDGKLRNGSGDAAATRCL >OGLUM12G02280.1 pep chromosome:ALNU02000000:12:1731455:1731979:-1 gene:OGLUM12G02280 transcript:OGLUM12G02280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSAADFCVHPMALLTRCHLSVLYRQITAPSIGQTVLIMTGQTAPYLGQIMPLVAGLTISLTVGQT >OGLUM12G02290.1 pep chromosome:ALNU02000000:12:1732100:1735339:-1 gene:OGLUM12G02290 transcript:OGLUM12G02290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQKKKKIPNPPAYRVSPPPIRRSPRPPPRRDRSPPRRPPARRHRVLRGCHHLLNPASHHPIARWPPSSTFTGLALRQVSKDDRSGPPLVRGPKPIDGTSICMIIKAQSGQQYGYRRKSGSRERTRHSSLQTHCTMASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >OGLUM12G02290.2 pep chromosome:ALNU02000000:12:1732100:1735339:-1 gene:OGLUM12G02290 transcript:OGLUM12G02290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQKKKKIPNPPAYRVSPPPIRRSPRPPPRRDRSPPRRPPARRHRVLRGCHHLLNPASHHPIARWPPSSTVCLLSPLHHRGTSPSRKKRHCTMASRRNVRGYAPLPTEDRDDSNLTDDVDLRFTYTPKSLRKIPWKSIALALFLLLLGCSLLFLSYFIFTGHMEGDNSQAYGLLFLGILAFLPGFYETRVAYYSSRGAPGYTFASIPDY >OGLUM12G02300.1 pep chromosome:ALNU02000000:12:1743747:1749257:1 gene:OGLUM12G02300 transcript:OGLUM12G02300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTSMKRGVIWFDQVSLMPSDTYKVHCIFILLLATDRGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDDIMSFSRLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCGNEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLIGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQAGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLLAEM >OGLUM12G02300.2 pep chromosome:ALNU02000000:12:1743747:1749257:1 gene:OGLUM12G02300 transcript:OGLUM12G02300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRSLESVELTASLTCSDGMQNLASVSIQEIDPSNWTKIEMQLLAQGTCRTSRLELTRGCFVEGDWLRNAFRWRETIGPWEQRPGHFGDDIMSFSRLAEDLGAAPIWVFNVGISHHDEVDTIIIEPFVKDVLDSLEFARGSAESTWGSVRAAMGHPERFPLKYVAIGNEDCGNEFYRGNYLKFYDAIRKAYPDIQMISNCDGSSRPLDHPADLYDFHVYTSAANLFIMKNKFDRTSRIGPKVFVSEYAVNEQRDAGKGSLLASLAEAAFLIGLEKNSDVVQMASYAPLFVNDNDSTWNPDAIVFNSWQQYGTPSYWVQTYFRESSGSVIHPITISSRYSDSLAASAITWQDSEDIFLRVKIVNFGPNAVNLTISSRGLQAGVNTAKSTVVPVESELPNAAEVMEAVLPPFSFTSFDLALDQYSKLLAEM >OGLUM12G02310.1 pep chromosome:ALNU02000000:12:1749184:1771055:-1 gene:OGLUM12G02310 transcript:OGLUM12G02310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLVVADALSDDRAKEAYGDVLGMVFSPIPFHLDALVANHEPPAVNESEIVPRTSLAESFKQMLFPSSDGQDHLHRPFFSSRADGEGLRRKPTPPLSSPSPSSSTPATASPPSSSPGPGANKTSPPARGVAMPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPDNKESYILTSDHQKDVKAVEWRPNSGKVIAVGCKGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELPIIHNLGCFSRVGNAYKTWINDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVRKARWVKR >OGLUM12G02310.2 pep chromosome:ALNU02000000:12:1749184:1771055:-1 gene:OGLUM12G02310 transcript:OGLUM12G02310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGGVTVCEINRDLVVADALSDDRAKEAYGDVLGMVFSPIPFHLDALVANHEPPAVNESEIVPRTSLAESFKQMLFPSSDGQDHLHRPFFSSRADGEGLRRKPTPPLSSPSPSSSTPATASPPSSSPGPGANKTSPPARGVAMPSFPPPGGVTVCEINRDLVVADALSDDRAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAEPAEVVPRTSLASTIAESFKQMLFPSCDPNLLQEIDTQKVSWNPHKHCLAFVSGKNQVTVHDFEEPVTIKRMLKLLNGGQIVERGGICLWSASYPGNVASVKSGVTSSSFGAFPRGSGGQWILVDILRGSSAELPIIHNLGCFSRVGNAYKTWINDGTFHLWETNTWTSEPWSSSNGYVSGANWDPEGRTALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIDKLAWDSSGERLALSFKDGNEMYHGLVAVYDVRRSPLVSVSLVAGAVAGVVHIPSYFVLIDSLRGVRKARWVKR >OGLUM12G02320.1 pep chromosome:ALNU02000000:12:1760353:1769684:1 gene:OGLUM12G02320 transcript:OGLUM12G02320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPAMVLLNRSVLLVNEVTDVMKEKGWSLELDEKAISDACDGITDWQEKEKARQKAIRAEIKRFRANQDAAEAILRKRKASMPPSTVTKFCTDTDLRKVLDGIELDPQVHDIPGLSFFRLRLSSARHPPDLQGTSVAGADHNILVFYVGPYRPGFPAPGFYLVYDAWANSLSAIHQLPYLGGGSIGSEAAVLRHAPPSDYILAELLLTGELPKASLWTWCSSGPSARQWIHKPIILPPEVCTPTYIFHADTTFSLGKFALCWVDLLVGILMTCDTLAPEPVFQFIPLPEGCYMEPPDPQDGRQVPQEYRSMCCGNDGVIRFISIDGYHQDLSINDMKNMLLRTWSLTLNPKEWKQEAALCIGDLWSDTTHQKLPALMPTWPVHSILHADVVFLYLSGPNTGNNTGETERYMVSINVQHREAISISKLSPDDSSPPPRYFPSSFNSYINKRSGREKGGDNVTVRTNKKAEYISGLSEDCLALAISLTTPMDACRCCAVSRAFQKAANSDSVWRHFLPKDYLSILARADDRVHFTSEKKLLVSLVKDHVLLDQHSKAIHYTLHAESLVGKNKPSQVLLAIIEIISDSMGRPSPEMEMDLLTRFQKPKFSPCFRFEEVAELLKVCWLDLCGRVNCRELSPNTEYAAYLVFKLTDDSYGLDCQTQEADITMDDQVVSAKRTISFYPRPRPSTRETLSNMGRIEEAGQAEEPSYPRERGDGWLEVQLGHFYNDLEDTGVVVIRLKEHIQLNWKKGLILEDLAAKTEEYDEAKIS >OGLUM12G02320.2 pep chromosome:ALNU02000000:12:1760353:1769684:1 gene:OGLUM12G02320 transcript:OGLUM12G02320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPAMVLLNRSVLLVNEVTDVMKEKGWSLELDEKAISDACDGITDWQEKEKARQKAIRAEIKRFRANQDAAEAILRKRKASMPPSTVTKFCTDTDLRKVLDGIELDPQVHDIPGLSFFRLRLSSARHPPDLQGTSVAGADHNILVFYVGPYRPGFPAPGFYLVYDAWANSLSAIHQLPYLGGGSIGSEAAVLRHAPPSDYILAELLLTGELPKASLWTWCSSGPSARQWIHKPIILPPEVCTPTYIFHADTTFSLGKFALCWVDLLVGILMTCDTLAPEPVFQFIPLPEGCYMEPPDPQDGRQVPQEYRSMCCGNDGVIRFISIDGYHQDLSINDMKNMLLRTWSLTLNPKEWKQEAALCIGDLWSDTTHQKLPALMPTWPVHSILHADVVFLYLSGPNTGNNTGETERYMVSINVQHREAISISKLSPDDSSPPPRYFPSSFNSYINKRSGREKGGDNVTVRTNKKAEYISGLSEDCLALAISLTTPMDACRCCAVSRAFQKAANSDSVWRHFLPKDYLSILARADDRVHFTSEKKLLVSLVKDHVLLDQHSKSLWLERTSLAKCYLLSSRSLAIAWEDHPLKWRWISLPDSRFEEVAELLKVCWLDLCGRVNCRELSPNTEYAAYLVFKLTDDSYGLDCQTQEADITMDDQVVSAKRTISFYPRPRPSTRETLSNMGRIEEAGQAEEPSYPRERGDGWLEVQLGHFYNDLEDTGVVVIRLKEHIQLNWKKGLILEDLAAKTEEYDEAKIS >OGLUM12G02330.1 pep chromosome:ALNU02000000:12:1771482:1771748:-1 gene:OGLUM12G02330 transcript:OGLUM12G02330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRQGRSNGDVTEEMGRCGSDDGWMRTSARAMKVDGEAAKEEEEEEASWTWMDTGDSVEDGAVKEVAREDAEAASPSPSPAPSATR >OGLUM12G02340.1 pep chromosome:ALNU02000000:12:1772355:1772755:1 gene:OGLUM12G02340 transcript:OGLUM12G02340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNGREGVYTLSGWLHLKQNDDLHPMRNVEQLEKCAGILHENLCLITENMKPFERLSHFGIPSALEVNLQEFVLKHPTFSTSQQEADIQSCLGGVTV >OGLUM12G02350.1 pep chromosome:ALNU02000000:12:1773335:1779405:-1 gene:OGLUM12G02350 transcript:OGLUM12G02350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAISEAADSRLITNTNLLHWLMRLHAAAQEAKDVLDEFEVDGSNIARKRKASDLILSSRSLKNLVIADESLKRIKIPSIIFKISRNGKFFSKLSLKNLVIPDESLTRLEHVVKTLTQLCATSATFIELIKMDDSKTNQLHKAAKASSHLPVDVPVFGRDEVKEFILNVIIGSPSHDHTESSIGTGKVRAARHNILVLPIVGMSGVGKTTLAQVIYNHARVKQHFEHRAWVYVSEDFTIKRTLQEILHSFQGHGGAIFNGDESMEATITKLRIKISGGCKFFLVLDNMWEEMCQEWSVLLTALSDEAPLPWESFWPLFQYHTFGGVEVPQQDDNRNMLLIAQGIAKKLDGLPLAAKVIGNLLRCRFSWDNWRRVAESDWWNLEEALQGILPYLRVSYQHLSPKQRQCLAYCSIFPRNYLFDKDRVVQMWLAHDFIQWNEIADVMRPDDVGRQLFDELVERSFFQPTFVSNKYVMPDLVDLHHLSYVKAPGKVWPKDARPLRKLRFLDLSFTKITKLKDLPTNLRVLHLRGYDADRVPQSITKLTNLRHLYVDGSALSKIQSIGQQTELQELESFIARKGQGFMIRELKNMREFTGRLCIRGIENIRSKDEAMEARLMDKKHVGALVIEGKRVPKFALEGLQPHTNIQELTIKFFQEQDFPDWVCPDNLVNLLQVNLESYHFLSTIPPLGHLPLLKLLTLRKLPSVKHVNGTSFGGFPSLEEFELHSMEKWEEWTEPDAAAHAYGSSLFLGHLRKLHLAYCPSLKRFPHLPCLSALKELKISKPGSWILALPACSQVLSSLITLAVEYCNHNVVMSAQQFKSLENIKLIKSEGLRLADGFQYFSKLRSARVEGRPQLLSAITTCVSVGFGQDCCVAHDEKQQQEASLLTHLRADDSLMYGDYFRTVGKLPSLRNLTICNESNGTNFSVKQELWFQQQNSLEHLCIQGFHALQRLPSFLVTMLSIKILELHGLHGLQSIPDNALPLTLQEFNINNCTSCLSTRVSKDGADWPYVAHVPYIRVNGTTVQNL >OGLUM12G02360.1 pep chromosome:ALNU02000000:12:1776720:1777713:1 gene:OGLUM12G02360 transcript:OGLUM12G02360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLALRLRVMFEPSTLRFLSRLMQAHQPVEKVGVGDERRAQDFQLGGRSAAQRTVHSHSACGNAASGLPPAVEPARRHAAASRRAGEWRLELGEGETRGMPDAPCAAAPWLEPGGWRRGGGDVGDAPGG >OGLUM12G02370.1 pep chromosome:ALNU02000000:12:1781133:1785504:1 gene:OGLUM12G02370 transcript:OGLUM12G02370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRREEGDDDVSVGKKEEEAGYCSSSSISRLPEACLAHAISFTTPTDACRCSAVSADFQAAASSNAVWERFLPPDYHSILARADDPCLESKNDLNIKITAQRRPFYLILLLKAAQQNKDALGIFLLSPLFAIDEE >OGLUM12G02380.1 pep chromosome:ALNU02000000:12:1785955:1787880:-1 gene:OGLUM12G02380 transcript:OGLUM12G02380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVYDNHKMPSSIYWPNPYYSYWQNNRNIYYNFTREAFFDASGHFLSSDNATFDAADLGEDAGIRFRRLTLDTDGNLRLYSLDETAGTRSVSWMAFVNLCVIHGVCGANAVCLYSPAPVCVCAPGYARADASDWTRGCQPTFNYTNGGGQLPAMKVVALPHTDFWGFDINSSAHLSLHECTARCMSEPSCVVFEYKQGTGECYTKGLMFNGRTHPAHLGTAYLKVPADLDMPELHVHQWQTNGDGRGLAIEEDIVGCSGSSSSEFLLNVSDMSSSSSNNQGKSIWFYFYGFLSAIFAIEGVFRPSQVSVLEEGYRIVTSHFRAYRYSELERGTKKFNNKIGHGGSGIVYKGSLDDERVVAVKVLQDVSQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGTHRILVYEYIENGSLAKVLFDRRDSSKFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEVMEPKITDFGLSKWLNRDGSGSEMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELAQLVIKLAISCLEEDRNKRPSMKYIVQMLISAEDEAHAFT >OGLUM12G02390.1 pep chromosome:ALNU02000000:12:1789402:1792659:1 gene:OGLUM12G02390 transcript:OGLUM12G02390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKNLRLALDLHEFISQQRNGYASLQLLSRWRHEVGLKIEIEAFLKKYPHIFDIYVHPIKNNQCCKVTPKMADLIAEEDAVIRENEPAIVKWLKKLLMLSTDGTLNMHALWLIRRELGLPDDYRCSILPNHQSEFSLRSPDTLTLVTRDENLAVADVEEWRIEKGFREKLGNWQRLPYTKAYENNELHPIHNVEWLKKHIVGILHELLSLTMEKMIPLERFSHFRRPFDMEVNLRELILKHPGIFYISTKGSTQTVLLRESYSKGCLVDPNPVYNVRRKMLGLILSGCRGIDEMDGPIQLVEEHNQESSNITCKGNYNPTPISVATLRWLTSGPANGLGVHSPDRETTAPIKGGGMAPS >OGLUM12G02400.1 pep chromosome:ALNU02000000:12:1792857:1800299:-1 gene:OGLUM12G02400 transcript:OGLUM12G02400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSPSARREGLKRDRSHIAITVVSTRATKGDIDAGTIEGLRPEARRQHPAEPVSAGRTHSDGLGSRPSPKRAVGSGKFEANRKARGIPKASHGPPEAPAPVRGPGGS >OGLUM12G02410.1 pep chromosome:ALNU02000000:12:1794174:1799370:1 gene:OGLUM12G02410 transcript:OGLUM12G02410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPFLTTSLAVLATLFLLAVPLSAATHDILPLKSSLFVEEYETNILQSSDGTFSCGFYNITNAYNITSAFTLSIWYSNSADKAIVWSANRGRPVHSRRSEITLRKDGNIVLTDYDGTVVWQTDDTLLPTQRILATTKLVSTTGLQVPGHYSFRFSDQSILSLIYDDTNVSGVYWPDPDYQYYENNRNLYNSTRIGSLDDYGEFFSSDLAKHQARVASDRSLGIKRRLTLDYDGNLRLYSLNNSDGTWTISWIAQPQTCMTHGLCGPYGICHYSPTPRCSCPPGYKMRNPGNWTQGCKPIVEIACDGKQNVTFLQLRNTDFWGSDQQRIEKVPWEVCWNTCISDCTCKGFQYQEGNGTCYPKSFLFNGRTFPTPFVRTMYIKLPSSLDVSKKPIPQSSIHDYTPSGLDCDHVNTITTEAVRNMNKIGGEEPKWFYFYGFIGVFFIVENDGGVKLKCFNLECSFVQTSKKHAIGAKYDKFSTDDGPAVWWVSLMDEPIGYFHESAFTAPFIKSFHNEMGGHVLDRRPGGRHTLTPMGSGMYPSDGLQNAACIHAYLAIAYTGADQVDDPVNTIVTHPKCYDIRQGRWP >OGLUM12G02420.1 pep chromosome:ALNU02000000:12:1820611:1821784:1 gene:OGLUM12G02420 transcript:OGLUM12G02420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQVVSAKRTVSLHPRTQETPLDMGRSEEVGRAEETVSYPRERGDGWMEVQLGHFYNHQGDGMVVINLQEIVQLNSKKGLILEGMEIRHSIGP >OGLUM12G02430.1 pep chromosome:ALNU02000000:12:1821399:1823042:-1 gene:OGLUM12G02430 transcript:OGLUM12G02430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFPPPGTVTICEINRDLVAADALSDDGAKDAYGDVLGMVFSPIPFQPDAIVATHEPPAVTEAAEIVPRTSLASTVAESFKQMLFPSCDFFLKDQCCV >OGLUM12G02440.1 pep chromosome:ALNU02000000:12:1835059:1859186:-1 gene:OGLUM12G02440 transcript:OGLUM12G02440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPTKNLQMSRSSQSKGSPRRRTPGKFWMALLAPAPDIAGCAAAATGDSNRKVLLNVSSSLSSHDAGKPVWPYLYGFLSALLVVEAIVIGFGCWLFSSKGLFRHSRVYAIDQEGYKLITSHFQRYTYADIKKATANFTGVIGRGGSGVVYKGVLDDERVVAVKVLKNVSRQSEEEFQAELSVIGRIYHMNLVRMWGCCSQAKHRILVSEYIENGSLAQRLFDHGFDDDVLDWNQRFRIALGVAKGLAYLHSECSEWIVHCDMKPENILLDKDLELKITDFGLSKLLNRDGSDAILTRIRGTRGYMAPEWVTNLPVTEKVDVYSYGVILLELVKGIRISEWVIHGIKVCEMDIRMVVRATRQKMESNEKRSIEDLVDYRLNGDFNHVQVKLMLEIAISCLEEDRSKRPNMNSVVQSLILVEGDADEPEQLFSARRPTAAGGNWGRNQALTLAEQMPTADAETVTSESTAVIQQDSTMVNVDAAGLHEQDELPHWMSQLFEKLDLEVDEDVVDEDICSIGNMTHEEEEADLERGIHSILKNHPIMKKLNKGIHPVVLAPHVLLEEEEEEEEEVVQEEDMAKGLAELDEYLSRHTYHTIEEATASFTGVIGRGGSGVVYKGVLDDERAVAVKVLKNSEEEFQAELSVIGRIYHMNLVRMWGCCSQGKHRILVSEYIENRSLAHKLFGRDGFDDDVLDWNQWFRIALCVAKGLAYLHSECSEWIVPCDMKPENILLDKDLEPKITDFGLSKLLNRDGSDAILTPIRGTRGYMAPEWVTNLPVIEKVDVYSYRVILLELVKGIWISEWVIHGIKVCEMDIRIVVRVTREKMESNEEKSIEDLVDYRLNGDFNHVQVKLMLEIALSCLEEDRSERPNMNSVVQALISFEAMSTSCSSSGALRAAATKALLLVVFVSLSRPFPCTARDSLLRGASIAVEDHATDVLLSPDGTFACGFYGVSPTVFTFSVWFARAADRAVVWSANRARPVHRKRSRLKLSGRRGALVLTDYDGEVVWNSTVSASATAARARLHDSGNLAIEDASGNILWQSFDHPTDTLLPTQRIAAGEAMVSADKLLAAGFYSFRFSDYAMLSLVYDNHEIYWQNSRKIYNFTREAFFDASGHFSSSDNATFGAADLGKNVAVRRRLTLDTDGNLRLYSLDEVAGTWSVSWMAFSNPCIIHGVCGANAVCLYSPAPVCVCAPGYARADPSDWSRGCRPTFNSGDGGGRPRAMKLVALPHTDFWGFDINSSENLSLDECSTRCMSEPSCVVFQYKQGKGECYPKSLMFNGRTFPGLPGTAYLKVPADLDMPEIHIHQWQKDGDLHAIALQEDIVGCGGMSSPEFLLNVSNASSSKSNQGKSIWFYFYGFLTAFFVIEVFVIAFGCWLFSNKGVFRPCQVSALDEGYRMVTNHFRAYSYVELRNGTRKFQSEIGRGGSGVVYKGILDDERTVAVKVLQDVKQSEDVFQAELSVIGRIYHMNLVRMWGFCSEGIHRILVYEYIENGSLAKVLFQGRNSSMFLGWKQRFNIALGVAKGLAYLHNECLEWIIHCDMKPENILLDEDMEPKITDFGLSKLLNRDESGSDMSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVLDGKEELEAELRSVVKMVVSKLESNMESLVADLMDDRLHGEFNHLQARLLMQLAVSCLEEDKNKRPTMKYIVQMLISAEDEAHAFT >OGLUM12G02440.2 pep chromosome:ALNU02000000:12:1826756:1835053:-1 gene:OGLUM12G02440 transcript:OGLUM12G02440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQRLHNITRVEFAISSSPSHLQAEGCENEKNIVMNKDGCYHNIERQLGDQFPKRHSHCCQTVESADVNCICRTFTAADKAKIALSKWVNVAKVTVFRRVRMKSAHEEAHLGAETQHIGTESRSKSSLLINPFTATAMGKSCSRATATVLLLLVVVVFLSSSRPFPCEARRDSLPRGASIAVEDHATDVLLSPDGTFAAGLYGVSPTVFTFSVWFARAAGRTVVWSANRGRPVHGARSRLALDGRRGALVLTDYDGEVVWNSTVXSRIRSPGWTTETKGGYEPRLQTVSQCR >OGLUM12G02450.1 pep chromosome:ALNU02000000:12:1859257:1859736:1 gene:OGLUM12G02450 transcript:OGLUM12G02450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAEFALHRNRQNMGRRYVEVFRCKKQEYYSAIAAEVNQGGFFDSEYRHSPPPPRPKKPAEDKSSMEYTEVLKLRGLPYSATTEDIIKFFVEYELTDENVHIAYRPDGKATGEAYVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRVRQ >OGLUM12G02460.1 pep chromosome:ALNU02000000:12:1861211:1866027:-1 gene:OGLUM12G02460 transcript:OGLUM12G02460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIAVDADVPSCASSSSSTAVPAYAGASPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGAGDEFLVVHNGIITNYEVLKETLIRHGFTFESDTDTEVIPKLAKFVFDKAHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQNSGKPFHDVKTLTTNGKPKELFFSSDLFAIVEHTKNYLAIEDDEIVHIKDGSVSILKFDHEKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRVKDSGVLLGGLKEKEYLKTIRRSRRLVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAFFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRRTHCGVHINAGCEIGVASTKAYTSQIVVMVMVALAVGSDQISTQVRRQAIISGLSNLPSNVSEVLKLDTEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCPSGSCRVIEVPEVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >OGLUM12G02470.1 pep chromosome:ALNU02000000:12:1865035:1867177:1 gene:OGLUM12G02470 transcript:OGLUM12G02470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLWTTKNSSPAPEDWGWLFLGAGTPCVAHLVWAIPACTLKAASRLDGMDAWSNPSQSSKGLGLVSKGQRGRSSSFFSCLTHSP >OGLUM12G02480.1 pep chromosome:ALNU02000000:12:1866745:1877605:-1 gene:OGLUM12G02480 transcript:OGLUM12G02480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIRKRRGRAVVVAATACMKGEVEEEEEETRGPTPPPPPHRSANVEKESSSPQGRTHGXRPTGTDADARARLRLAGDYAYLLASVHHHKMEQCEDRGGRYLRQVSQNFTFTVKPLTVTSFDLRNLIRGVLPELHSIDAEDDGRAKDEKHGKQQGGCIRANNKASTTQEYLQNEGKSVYLAAMKLKKLKPDRWSKKERKKEQALVIYCNYE >OGLUM12G02490.1 pep chromosome:ALNU02000000:12:1867929:1871339:1 gene:OGLUM12G02490 transcript:OGLUM12G02490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNH6] MIMASSQEFEANDPQQLHAKPKRLYQVWKGNNIFLCGGRLIIGPDAASLLLSMFLILGPAIVFSYQMESTIHRSQQRMHRAAQLIVIITTAADLFFLFMTSARDPGIVPRNTRAPPEVDEFLGSTTPSMEWSSGRTPRMRFRRSKDVTVNGFTVKVKFCETCLRYRPPRSSHCSICNNCVEKFDHHCPWVGQCIGLRNYRYFFLFVATSTFLCIFVFIFSWVNVYYERGYNGGSIWKALRKEVYSFVLIIYTFIVVWFVGGLTVFHLYLISTNQTTYENFRYHYNKKDNPYRKSIAANFVDVFFTKIPPPQNNFRSWVGEGALEAGFYTPYIALDLTDPREKIDLEMGNKDILVGGIQIPTVLQNIDYGSFEDNPDDKNRNEDDRLVPFASTWAQQANEGARTSEIATVEYKDEISEDGGKEIISSNTSSEQTSIEANAAASEDESNEDNAGKSNSSDRSSTQNLGDVNYSSVLLI >OGLUM12G02500.1 pep chromosome:ALNU02000000:12:1877522:1880938:1 gene:OGLUM12G02500 transcript:OGLUM12G02500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKLITWRWSRCKVTPPPPGRGPFAGTAACCGVSDDADDAAMATATSRSSNGSFVQAAAAAIMDDRYMEVQLASWTSDD >OGLUM12G02500.2 pep chromosome:ALNU02000000:12:1877495:1880938:1 gene:OGLUM12G02500 transcript:OGLUM12G02500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKLITWRWSRCKVTPPPPGRGPFAGTAACCGVSDDADDAAMATATSRSSNGSFVQAAAAAIMDDRYMEVQLASWTSDD >OGLUM12G02500.3 pep chromosome:ALNU02000000:12:1878477:1880938:1 gene:OGLUM12G02500 transcript:OGLUM12G02500.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKVKRRVGKYELGRTIGEGTFAKVKFARDTETGDPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKTKIYIVLEYVTGGELFDTIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKLITWRWSRCKVTPPPPGRGPFAGTAACCGVSDDADDAAMATATSRSSNGSFVQAAAAAIMDDRYMEVQLASWTSDD >OGLUM12G02510.1 pep chromosome:ALNU02000000:12:1877710:1880881:-1 gene:OGLUM12G02510 transcript:OGLUM12G02510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAACTKLPLLLLLVAVAIAASSASSLTPQHAAVPAKGPRPGGGGVTLHLDHRQVMVDNGIVQVSLSNPGGHITGVRYNGERNLLRFDGQPNSAGLEKSRREEASERLTGN >OGLUM12G02520.1 pep chromosome:ALNU02000000:12:1886005:1889775:-1 gene:OGLUM12G02520 transcript:OGLUM12G02520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMLDSTEFKVVSSSEDQVELSFRSTYNPSRPNSVRLNIDKRLVMLKGSSGFYCYAIFEHVRDWPALNISEARLAFKLNTDNLILKTCVHERFVRFNYMAISDDIQRFMPSATDRDAPRGAPLAYKEAVLLVDPKEPQFRGEVDDKYEYSLDNKDNRVHGWISSNHPNPMGFWVITPSNEFKSGGPLKRELTSHVGPTSLTMFLGTHYVGNDIVLKIEEGEYWKKVMGPVFIYLNSNPRRGDLHSLWVDAKLQAEAEARKWPYSFPVSPDFHKASQRGSVTGRLFVRDRYMSSKDMAAGMAFVGLATPGQPGSWATESKNYQFWTRATPCGSFSISNPPRSGPTLWEMGVPDRSAAEFFIPDPNPKYLNKIFITKDKYRQYGLWERKQGDGYVATTRQIRFRLEHVVADATYTLRVELAAAQMARVQVVVNGRADEALTTAAAFGDGNAIARHGAHGVQWSLEFAIKGYMLVEGEENVVFITQTRALSPFFGVMYDYIRLEGPSSSWRDPMTTRGR >OGLUM12G02530.1 pep chromosome:ALNU02000000:12:1900950:1903386:1 gene:OGLUM12G02530 transcript:OGLUM12G02530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNI2] MADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRVTIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEPEALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFDVQRKNYKLRLEKVKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFYRNLSRTLKDVVWKSDDLQNQLS >OGLUM12G02540.1 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRRPACGKKGTRYWIIRWISCIATRFLLGALNGMLAPIKTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.2 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRIGRKPIIKFSILSVRTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.3 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRIGRKPIIKFSILSVRTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKGVLSSQSQRGAANGISTTAMSFFKAIAPAGNFHASSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.4 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSFIATRFLLGALNGMLAPIKTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.5 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRIGRKPIIKFSILSVRTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.6 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAITIITGTSLLQNKAVPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRIGRKPIIKFSILSVRTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKVTKSKRCCKWHIYDGNVILQGNCSSRSSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.7 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSFIATRFLLGALNGMLAPIKTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQPAKQYPNIFSKSIFGRFPYFLPCLCISLIALVVLISCIWLPETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKGSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02540.8 pep chromosome:ALNU02000000:12:1903533:1924055:-1 gene:OGLUM12G02540 transcript:OGLUM12G02540.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPATKVYHDGCPGCAIEQRKEEHKGIPYKEFLFVGITTLASSLPISSLFPFLYFMIRDLHVARTEEDIGFYAGFLGASYMIGRGFASILWGMVADRIGRKPVIIFSIFAVIVLNTLFGLSVKYWMAVTTRFLLGALNGLLAPIKAYSIEVCRAEHQALGLSIVSTAWGIGLVVGPAIGGYLAQPVKQYPHLFHEKSIFGRFPYLLPCLCISLFALLVLLSCIWLPETLHKHKGLEVGVETAEASTQESAESHQKSLFRNWPLMSSIITYCVFSLHDTAYSEIFSLWTVSDRKYGGLSFSSKDVGQVLAVAGASLLVYQLFIYGWVDKILGPINSTRIAAALSVPIIAAYPFMTHLSGIRLGVALYSAAMIKSVLAPQGQRGAANGIATTAMSLFKAVAPAGAGDQMVFLLLNLTESMSEEAPPSPVMRPVFYDGCPGCAMERKLESSQGIPYKEFFFVGITTIASSLPISSLFPFLYFMEHRRPACGKKGTRYWIIRWISCIATRFLLGALNGMLAPIKTYSIEVCRPEHQALGLSIVSTGWGIGLVVGPAIGGYFAQETLHKHKNTEGEIEMIDNSRSTLEEDSHKQKSLYKNWPLISSIIAYCVFTLHDTAYSEALSIPILAAYPFMTHLSGLRLGIALYLGTILKGSLGPKNAKMQPSSQGSPPCPCAPPLPSSNLRPLFSSPPPLSFSPSCPSSLPPMGRKIKVKKKKASSKVWQPGVDTLEEGEELQFDPQAYNYLRGFNIGWPCLSFDVVRDQLGLVRSEFPHTLYGVAGTQAERASWNYIGIFKICNINGKKREPIPASAIDGDSDMDSESSSDEEDEAANEDTMPILHLKKVAHAGCVNRIRSMNQEPHICATWGDTGHVQVWDFSSFLNSLAESGAVAHNEDDRIHNHVPVKIFGGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTSNSWNVDTNPFWSSTEADIFASCSSDRTISIWDIRTGKKPCISVRAHNADVNVISWNRLASCMIASGCDDGSFSIRDLRLIKDDSLVAHFEYHKHPITSVEWSPHEPSTLAVSSADHQLTIWDLSLEKDAEEEAEFRARMREQADAPEDLPPQLLFVHQGQKDLKELHWHPQIPKCNHGRQLMDHLTKEQIAEFREAFNLFDKDGDGTITSKELGTVMGSLGQSPTEAELKKMVEEVDADGSGSIEFEEFLGLLARKLRDTGAEDDIRDAFRVFDKDQNGFITPDELRHVMANLGDPLSDDELADMLHEADSDGDGQINYNEFLKVMMAKRRQNLMEGHGSGGHRSSNSHKKSGCCGPNSSCTIL >OGLUM12G02550.1 pep chromosome:ALNU02000000:12:1941350:1953893:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCNSIQLCPSMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAIAYSRFLILSLSFSALPISSLFPFLYFMIRDLHVAKRTEDIGFYVGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVSIFPCQILGIYVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >OGLUM12G02550.2 pep chromosome:ALNU02000000:12:1941350:1953893:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCNSIQLCPSMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAIAYSRFLILSLSFSALPISSLFPFLYFMIRDLHVAKRTEDIGFYVGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >OGLUM12G02550.3 pep chromosome:ALNU02000000:12:1941350:1953893:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCNSIQLCPSMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAIAYSRFLILSLSFSALPISSLFPFLYFMIRDLHVAKRTEDIGFYVGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPSHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVSIFPCQILGIYVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >OGLUM12G02550.4 pep chromosome:ALNU02000000:12:1941350:1953893:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLCNSIQLCPSMGSGSEEAAPLLLPVAAATATAEERCPGCVQERRKASRGGRIPYTELFFVAVTTLASSLPITCLFPFLYFMVRDLQVAQTEEDIGYYAGFLGASYMVGRSFAAIFWGVVADRIGRKPVIVFSILSVVIFNTLFGLSTKYWMALTTRFVLGALNGLLAPIKVNTAWGLGLVVGPALGGYLAQPVEKYPHVFSKESVFGRFPYLLPCLGVSLFAAIVLISCIWLPETIHKHKSPDKDIKRIKELPLQQAYWDSPRKKSLFQNRPWMSTMISYCFFGLHDTAYSEILSLWAVSDRKYGGLSFSSEDIGQVLAVAGASLLAYQLIIYHWVHKFLGPIISLRIASALSILILSTYPFMTYLSGAELSLALYSAAMMKSALAITISTGICLLQNNAVLQEHRGTANGVSTTAIAYSRFLILSLSFSALPISSLFPFLYFMIRDLHVAKRTEDIGFYVGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >OGLUM12G02550.5 pep chromosome:ALNU02000000:12:1941350:1973524:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAALPISSLFPFLYFMIRDLHVAKRTEDIGFYVGFVGASFMFGRCLTSTVWGIAADRIGRKPVVVFGIFAVVIFNTLFGLSFTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPAIGGYLSQPAEKFPNVFSPDSLFARFPYFLPCLCISVFAAVVLIGCIWMPETLHKHKADANRSQTVEALESHLIDPKEKADQNGSLDCKKSLLRNWPLMSSIILYCVFSFHDMAYTEIFSLWAESDRKYGGLSLSSEDVGQVLAITGVSLLVYQLFIYPRINKILCIPILFAYPYMTYLSGPGLTIILNIASVIKNNLGVTIITGCFILQNNAVPQDQRGAANGLAMTGMSFFKAVAPAGAGIVFSWAQKRQHASFLPGDQMVFFLLNLFELLGLILTFKPFLAVPEQHDTN >OGLUM12G02550.6 pep chromosome:ALNU02000000:12:1954026:1973524:-1 gene:OGLUM12G02550 transcript:OGLUM12G02550.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVPLLKGDYHQGCPGCAYDRKKEVYRGLPYKEFLYLWMICLTAAQYDGPNPNSNPSGPSKSASHLLLHLPVSVSVSNLNPHLARRRLALLLPDPESSSCELALAVAAMAIKRTKAEKKVAYDKKLCQLLDEYTKVLIAVADNVGSNQLQEIRKGLRGDSIVLMGKNTLIRRCIKVHADNTGNKDFLELMPLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLEHRGTANGVSTTAMSFFKAIAPVGADSRGRKNVRMPSSFQNVLAVAVETEYSYPHADKIKEYLKDPSKFAVAAPVAAADSGAAAVAASKEEEKKEEPEEESDGDLGMSLFD >OGLUM12G02560.1 pep chromosome:ALNU02000000:12:1976434:1976957:-1 gene:OGLUM12G02560 transcript:OGLUM12G02560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHGRRRQAAEAAAPLLEKKGSTGTGVDDGYCIEGCPGCVVDRRKAASYGIPYGSFLFVWIVTLCTDLCTSYNR >OGLUM12G02570.1 pep chromosome:ALNU02000000:12:1979539:1980566:-1 gene:OGLUM12G02570 transcript:OGLUM12G02570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSHPLLWPDLGVGKERGGAAAVAATASAPPPSLPSSGWIGWEGGREGRGGE >OGLUM12G02580.1 pep chromosome:ALNU02000000:12:2002898:2004685:-1 gene:OGLUM12G02580 transcript:OGLUM12G02580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQELEGTAMLQETTLTEAIQMPQLSRPEIPKRNPKQNMTYTDMHKHIPKSINVESVQRNKMKAQVSYEHGPTTDVGEVLADATARHVNMVVRGSP >OGLUM12G02590.1 pep chromosome:ALNU02000000:12:2004706:2006560:1 gene:OGLUM12G02590 transcript:OGLUM12G02590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKSKQNRSSCTETLPKNLIACLPVQVRKQTEWLVDVGRWRPSPAEFQAAAALLPPHDRPAIHRFVREEDRKRALVSRLLQYSLVYHALGMPFHQIRINRTLEGKPYLNKNVNLPGFNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWMFWLFKIDEMHLASIAKGHPEDAIDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEHLIQGDA >OGLUM12G02590.2 pep chromosome:ALNU02000000:12:2004706:2006560:1 gene:OGLUM12G02590 transcript:OGLUM12G02590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKSKQNRSSCTETLPKNLIACLPVQVRKQTEWLVDVGRWRPSPAEFQAAAALLPPHDRPAIHRFFSSSSRPHRCRFVREEDRKRALVSRLLQYSLVYHALGMPFHQIRINRTLEGKPYLNKNVNLPGFNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWMFWLFKIDEMHLASIAKGHPEDAIDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEHLIQGDA >OGLUM12G02590.3 pep chromosome:ALNU02000000:12:2004706:2007099:1 gene:OGLUM12G02590 transcript:OGLUM12G02590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKKSKQNRSSCTETLPKNLIACLPVQVRKQTEWLVDVGRWRPSPAEFQAAAALLPPHDRPAIHRFVREEDRKRALVSRLLQYSLVYHALGMPFHQIRINRTLEGKPYLNKNVNLPGFNFNTSHQGEYVGIASEPLCLVGLDIVCISKPQRETALEFINNFTSYLTDHEWNCIVTADSHDGMLTEFYRYWCLKEAFVKATGAGVGFGLQRLEFHHMNWTNISLCIDGEEARKWMFWLFKIDEMHLASIAKGHPEDAIDSFRRTLSDVVIQEGELHTAIEIPEEAFTLLTVEHLIQLHD >OGLUM12G02590.4 pep chromosome:ALNU02000000:12:2006924:2010353:1 gene:OGLUM12G02590 transcript:OGLUM12G02590.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCTGGGKAVAGDEAEPGTSKAAPPSRGTSSKNGSAKQQPCSPAAKAAAAASSSKKPAGPIGEVLERPMEEHSREAFPQTAGAHARDKKQSTDARIELWGKGLARV >OGLUM12G02600.1 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVSTSWAIGLIVGPAISGYLAQPSEKYPILFLANSLFGSTHLVDSEEFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.10 pep chromosome:ALNU02000000:12:2010905:2013584:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.2 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAAYCNRALQAYAVEVCRPEHQSIGLSLVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.3 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFPKYFLVQFRLNQVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.4 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVVQFRLNQVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.5 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.6 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSSYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.7 pep chromosome:ALNU02000000:12:2010905:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITGASILIYQTFIYPHIVKVLGIINTSRVAVILSMALLCSYPPMTSLSRPWLSPSPPVAMPHLVRERLFFGDINDAIAALTTTTAEAGGFTHLLSVVSSASISFITDCRPGPSIPTEEVRRVVAGEEGAPPVSAVAPGRLMRVVERAGVGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDEGRKEGNVLVHCFAGVSRSATIIVAYLMRTEQKSLEEALESLKEVNESACPNDGFLEQLKLFEEMGFKVDTSSPLYKRFRLKLLGQSYKIGEKIGSYVFEDDPGLSGQPNSSTQDLPNKQTQQTAYRCKKCRRIIAVQGNVVSHTPGEGESCFQWQNKRKGERSYSKEQDCSSLFVEPLKWMTPVEDGALEGKLSCIHCGARLGYFNWSGIQCNCGSWITPAFQISKSKPIML >OGLUM12G02600.8 pep chromosome:ALNU02000000:12:2014035:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAGFPKYFLVQFRLNQVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITDSFYGTSL >OGLUM12G02600.9 pep chromosome:ALNU02000000:12:2014035:2023066:-1 gene:OGLUM12G02600 transcript:OGLUM12G02600.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDAATPLLEMAYQYHEGCPACAVERSKALNPGIPYMRFFHIWIIILVSCTYAPPIYRSLLHLYMHVEAYERGNMTEKSESSRSRLSNSILGLPISSLFPFLYFMIRDLHVAKRVEDIGFYAAYCNRALQAYAVEVCRPEHQSIGLSLVSTSWAIGLIVGPAISGYLAQFVKQHTGPAKDKSLFKNWPLMSSIVLFCIVSFDDMAYIEIFSLWSESDKQFGGLNFSSEDVGQVLAITDSFYGTSL >OGLUM12G02610.1 pep chromosome:ALNU02000000:12:2028791:2033163:1 gene:OGLUM12G02610 transcript:OGLUM12G02610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKASMKPYFVAIVVQLIYTGMFVISKAAFNHGMNIYIFVFYRQAVGSLILLPAALLQRITLGVNLYHDRTEYVKLRSSSGIAKVTSVALCLAGVFTIAFFTGPSISPINHHRAFASDTSSKTVVPRGVWIKWTFLMVVANMCWSLWIIFQAAVQKEYPDKMVVTVTQCLFSTMQSFVVAVVAXHMNKMDREEHLYTAFQYFDKDNSGYITKEELEQALKEQGLYDANEIKDVITDADSNNDGRIDYSEFVAMMRKGSGCAEATNPKKKRRDLVL >OGLUM12G02620.1 pep chromosome:ALNU02000000:12:2037358:2040157:-1 gene:OGLUM12G02620 transcript:OGLUM12G02620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNL5] MTLAYASVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLIVLALLALWCRLSARGSLDWLITLFSLSTLPNTLVMGIPLLKGMYAGAGADSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLVMEQFPDTAASIVSFRVDSDVVSLAGGGGGAAELQAEAEVGDDGRMRVTVRKSTSSRSEAACSHGTQSHSQSMQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGNGKQGDEEKGAAGGGGHSPQPVVGKRKDLHMFVWSSSASPVSERAAAAAAAGAVHVFGGGGADHGDAKGAQAYDEYSFGNKNEKDGPTLSKLGSNSTAQLRPKDDGEGRAAAMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNSLASYAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQVSCKFRLLHQNASLTAKESIVTQQLSLNSQAALPQGIVPFVFAKEYNVHPNILSTACSDFVWMIGQLSDARWTIIRGLLGSSDGQPRFLIED >OGLUM12G02630.1 pep chromosome:ALNU02000000:12:2043188:2047808:-1 gene:OGLUM12G02630 transcript:OGLUM12G02630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMYLDEPLDAEKGEAALRRLRDADPALYLSPSADLAAAAREASKHLYASLVPFSPAQPPPLSNLLAGPAFDAEQIWSQIELLSRPLIPHLRRQLRRLEKQPPSQPPPRTESKSADAEEKSEEEDEEDGEGDEELEELDDVDDEEESEEEEEEEEEDKEGLEDKAGNQVEDEFFKIKDLDKFMVKGEEAEYGGGAKQGKKKKKTENWMEEDSDEEEEEDLDEDEDNEDEEDDDEHLDLEDFDDEEEEEEGAVGDIMYKDFFEEADDQKVRKKGGSKKVQFKDEPDEPEVDDKNDDGNVSQDEQGLSTHEKARLKMHAKIEEMEKANLEPSTWTMQGEVNASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIIEGHFDDVEKPSPLQFKSPKEQKDLDESKSKKGLAELYEDDYAQKAGLAPAPLSISDELKNEANTLFKRICLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEAELTQAERKRRRANKKRRYAGSHKERPAKMQKD >OGLUM12G02640.1 pep chromosome:ALNU02000000:12:2049944:2055320:1 gene:OGLUM12G02640 transcript:OGLUM12G02640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNIAMVATIRRSFTAVLIHGICKANILIHPAMGWIWSSELFHGRFHQTTSNRRNELTCSIMTVAMQHVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >OGLUM12G02640.2 pep chromosome:ALNU02000000:12:2049944:2055320:1 gene:OGLUM12G02640 transcript:OGLUM12G02640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >OGLUM12G02640.3 pep chromosome:ALNU02000000:12:2051015:2055320:1 gene:OGLUM12G02640 transcript:OGLUM12G02640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLGLGDLPKLSINRLERFSPSACRASVDDSNTNNYKHRNGGNNQTIFHSSAHSWHMQGQYTDSSCNGVDMEFRALPRKVLWDLPRFVKIVEVGPRDGLQNEKNTVPTSVKIELIHKLVASGLSVVEATSFVSPKWVPQLADAKDVVEGIKHVPDVRYVSCVVGCPVEGTIHPSKVAYVAKELYDMGCSEISLGDTIGVGTPGSVLAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQLGINIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMDAGDYISKHLGRQSGSKTTTALRKLTT >OGLUM12G02650.1 pep chromosome:ALNU02000000:12:2054634:2075904:-1 gene:OGLUM12G02650 transcript:OGLUM12G02650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFCRSIAAANAFPYTLQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHAANDQLKLIGPVILSGILRSLDGSSTTEADSSSRDIKIFAYQAIGLLATRMPNLFSNKTDMAIQLFTALRLEEQSLRLTIQEAATALATAYKGASVVILKDLEALLLENSQMEQSEVRFSAAIGECNTHKVGDNVASKYQSISGSNDGLVFADFCFHTVLYQTPPQGVGCPAGLSVAQSDRVTGKQPLKGDTLTSRKLGILNVIEAMQLAPEIVYPLYLAAASDSQESVTKRGEELLKRKASAVNLEDSNLMKKLFTLFNVEKRKGAQEALTHLLGDQNDLTQDLASQGMSIVYELGDASMKEQLVHALVNTLSGAAKKKRAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAAINSKRGAAFGFSKIAKQAGEALQPHLHTLIPRLVRYQYDPDKNIQDSMAHIWKLIVADPKKTIDEHYDLIVEDLLVQSGSRLWRSREASCLALADIIQGRRYGQVSKHLRKIWITTFRAMDDIKETVRNAGDSLCRVVSLLTVRLCDVSLTTSSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALKPHLSELVSCMLECLSSLEDQRLNYVEMHAGNAGIQTEKLESLRIAVAKDSPMWETLDICLKVVDKESLDLLVPRLAQMVKSAVGLNTRVGVASFITLLVQKVMVEIKPYAATLLRLLYSAVLEEKSSAAKRAFASTCAAVLKYASPSQAQKLIEDTTSLHLGEKNAQLSAAILIKSYLSNAADILSGYNAVVLPVIFASRFDDDKDIGALYGELWEDIPSSERVTLQLYLPEILSLLCDSMSSSSWAGKRKSAKAIKKLCDALGESLSVHHNNILESLLKELPGRFWEGKDAILDALAALCLSCHTAMSAEDSGMPSVILNAVCAACSRKSKLYREAAFSCLQQVITAFKDPGFFNIVFPMLYEVSNRSVICKTRNSSSLTASSSAEQDETEGVSVSLDKVLNCVASSITVAFLQDIINQRKNILEIILNSLSPEESWQIKLSSFLCIKELCYKFQNSDGNNTWPEETTYLVEELFHSTAPKVVDVIRLVKIAQVHTAASECLLELSKLYRDFPLVDRKGPKFSGELAELCESEKSEQAKAFLKQCMDILKDFEDPTGLAMEMD >OGLUM12G02660.1 pep chromosome:ALNU02000000:12:2077998:2095641:-1 gene:OGLUM12G02660 transcript:OGLUM12G02660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQVKRSLVVPPPPRETEETPLTVFELVAPTYHVTVLFAFSPPNPTTRALLDALSATLPHFPLLTARLDRRGARRRPFFVTGRGGAGALVVEAEVSSDLADHLPLAPSPELARLHPPVNTDAPTPHVLLVQINRFACGGLVVASSAHHQAADGFSMSTFFHAWTDAVRRNGVHGVPRGAEDVPREGDGMKSIVANVIEELVVDVVKEVAGGGVPEHVFSISLRMKGGLPVKIRRKGHMRGTVRPSIQAAAPGPRWLRLAATLRPISPATAAVRYPLFPLACGHQVSAVTMAKEVDRFVEPVVVRHGETSWNSSRIVQGQMDPELNEIGKQQAVVVAHRLAREARPAAIYSSDLKRAAETAEIIAKACDVSNDGGESLNQLSERCISYLNKVAQNHIGERVIVVGHGAAILELCRHTDPPNSSIRRKIPNTSLNIFRISGVAGRWILERLLPRITPLPRRRLRRSHNPNPLISPAVAASLAGVLATRSTNPTWARTNHNDSMSERTIPPPVSSHGEDFAEVVVVRHGETSANALCIIQGQMDIELNEAGRQQAVMVARRLAKEAKPVAVYSSDLKRAAETAQTIATACNVSNLVLSPALRERHMGDLHGLKFDDAVRSKPDAYKAFSSEDRSQEIPGGGESLDQLSERCVSYLNTIAGKHKGERVIVVSHGASIEELCRHADPTSSVRRRIPNTSICVFNISGTTGHWILERFGDVAHLNEDDFPSGATARELTTGTLADAAALIRAGIRAVDRRYFQSFIDFGALHVDGGRDEEEQLQPANVDEPGVLSPDVDSDSWLHLELHRLDMGLGGRLAGILPAKVPEDGVVVVMPSLRKSGGVEVFVALWEKHANELTSIAYTMDYGLPPPGFRLKLHRGQLFWVAPFNQVYCVGRPDRPRWPTRIRPRRSGPASDADQPPPPPCKRARRVGTVSLDLLLSLVPTRAADAREETCAVCLRDFKERDLLRTTPCFHSFHERCIFRRLKDSSLCPIYRHSPDHHVALQDPTPLAHSALLRLLARSRRFDAVDDTLQSMSLAGAAPTRACLGALVAAYADAGMLGKATEMCERVREQYGSLPEVTHCNRLLKLLVEQRRWDDARKLYDEMLGKNSGADNYSTCVLVRGLCLERRVEEGLKLIEARWGAGCIPHVVFYNVLIDGYCRRGDMGRGLLLLGEMETKGFLPTLVTYGSLINWLGKKGDLEKIGSLFLEMRKRGFSPNVQIYNSVIDALCKCRSATQAMVILKQMFASGCDPDIITFNTLITGLCHEGHVRKAEHFLREAIRRELNPNQLSYTPLIHGFCMRGELMVASDLLVEMMGRGHTPDVVTFGALIHGLVVAGKVSEALIVREKMTERQVFPDVNIYNVLISGLCKKRMLPAAKNILEEMLEKNVQPDEFVYATLIDGFIRSENLGDARKIFEFMEHKGVCPDIVSCNAMIKGYCQFGMMSEAILCMSNMRKVGCIPDEFTYTTVISGYAKQGNLNGALRWLCDMIKRKCKPNVVTYSSLINGYCKTGDTDSAEGLFANMQAEALSPNVVTYTILIGSLFKKDKVLRAGLYFETMLLNHCSPNDVTLHYLVNGLTSCTPCVINSICCNTSEVHGKDALLVVFKKLVFDIGDPRNSAYNAIIFSLCRHNMLREALDFKNRMAKKGYVPNPITFLSLLYGFCSVGKSVNWRTILPNEFQQEEFEIIFRYKFLFDQYATESVCCEVSRVLQQYLAECKSLQRVEQKFANS >OGLUM12G02670.1 pep chromosome:ALNU02000000:12:2082564:2082824:1 gene:OGLUM12G02670 transcript:OGLUM12G02670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWAEAAILEPTEDAALMEAVEAGRRPQQVSLLEVPQAHGARLLPRVGRPRRDQAQEEIERHRAYSSSALARRRRRLIGVARRP >OGLUM12G02680.1 pep chromosome:ALNU02000000:12:2096146:2096793:1 gene:OGLUM12G02680 transcript:OGLUM12G02680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPARRLMDSRAAAQLAAPLSDPYAAETFEDLLRELGVDPSIHTVVRSAGRWMDPAAAAARVPMRFHRTLQRLGIDPNSDARSIRDMLQEFRRGVYQGEVYWAGRVIRPRPSPMLGRRRRAADGDAPMQPPSKYARVRAVSRDVLLGLALTKACDARQEECAVCLRDFEEKDMLRTMPCNHSFHDICLFRWLSESCLCPLCRYALPKQQQVQSC >OGLUM12G02690.1 pep chromosome:ALNU02000000:12:2097243:2098566:1 gene:OGLUM12G02690 transcript:OGLUM12G02690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYYCSMLFFLPPILYVSYHLTRILADKKKPTTHGLKAHPLLGHLPAFVNNSHRFLDWTTELIVGSPEMRMGFWIPGMRTGIITGNPADVEHILRTNFANYPKGEHAIGMLEDFLGHGLFNSDGEQWLWQRKNASYEFSKRSLRRFVVDVVQAEVADRFLPLLRRAAGDGRGGDIVVLDLQEVLQRFGFDTICMVAFGHDPRCLADGGVLEEAKSEFMHNFGEALDLTETKRLTKT >OGLUM12G02700.1 pep chromosome:ALNU02000000:12:2098793:2099875:1 gene:OGLUM12G02700 transcript:OGLUM12G02700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPQMAATKERQEAANPTTTTRTLVESVTNWIRVYSDGSVDRLGPPEAAAFMVLVPPYDDPRDGVTVHDVATDHGVDVRLYLTTTTPGGRRPVLVHFHGGGFCLSHAAWSLYHRFYARLAVELDVAGIVSVVLPLAPEHRLPAAIDAGHAALLWLRDVACGTSDTIAHHAVERLRDAADFSRVFLIGDSAGGVLVHNVAARAGEAGAEALDPIRLAGGVLLHPGFILPEKSPSELENPPTPFMTQETVDKFVMLALPVGTTSRDHPYTSPAAAVTAAEGAQLPPMLVMVAEEDMLRDAQVEYGEAMARAGKAVETVVSHGRGIGHVFYLNWFAVESHPVAAARARELVDAVKSFVDSH >OGLUM12G02710.1 pep chromosome:ALNU02000000:12:2100903:2103376:-1 gene:OGLUM12G02710 transcript:OGLUM12G02710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKAAEKLIRHWKILRGDNVMIIRGKDKGESGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVLDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERRKPRPTSPGPKDTPIEHVLEKTYDAKAGIGMPDL >OGLUM12G02720.1 pep chromosome:ALNU02000000:12:2110337:2112475:1 gene:OGLUM12G02720 transcript:OGLUM12G02720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAGRRCASTRTAVVEEYDPEADAWRVVGEAPRRRYGCAGASAGGVFYVAGGVAVSGEGARALEAHVCAGSVDALHVASGAWARPRALPGGGCVVGACGVGDHLYMVASHAVELSFWRWCGATGRGGDGRGWGGWVALEAPPMPRGSVGLGMAVRVAMAGLGTNRVAAVVSAAAVRGHNAGGGALEGMVLVYDIAGGKWSRAPDLPPGFRRAACAGVDLQIAMWSSSCFINHDEREPRDCTNRFGSASTNSALNFVTPFQLIRRSHRSSFVPLSSRKPHFPAAAVSISSILFAHTEMHARLLLRMHAPISFAPASSPDAASFAPAAADVGGAVCLGYGIAIAVGVLVFISTVMLASYICVRAKAGAAAVLLADDDDDGGAPAASAVVVLGLDGPAIDALYPKFLHVGVGDDDNACAGAQCAICLGEFVAGDALRRGPGCGHRFHAECVERWLRVSATCPVCRDSPLPSPMATPLAEAVPLAAHAR >OGLUM12G02730.1 pep chromosome:ALNU02000000:12:2128391:2134413:1 gene:OGLUM12G02730 transcript:OGLUM12G02730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSESTPIHATTLTPVSSAQMMIVVEVTKPSQRRAASHWATAREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >OGLUM12G02730.2 pep chromosome:ALNU02000000:12:2128391:2134413:1 gene:OGLUM12G02730 transcript:OGLUM12G02730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSESTPIHATTLTPVSSAQMMIVVEVTKPSQRRAASHWATAREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >OGLUM12G02730.3 pep chromosome:ALNU02000000:12:2128386:2134413:1 gene:OGLUM12G02730 transcript:OGLUM12G02730.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRTDNAASANSVEPEKSEECLEFDDDEEEEVEEEEIEYEEIEEEIEEEEVEEDEDVVEEVEEVDEEEDEEEEEESDETEGVSKTKGVHQKDVTEKGKHAELLALPPHGSEVYVGGISSDVSSEDLKRLCEPVGEVVEVRMMRGKDDSRGYAFVNFRTKGLALKAVKELNNAKLKGKRIRVSSSQAKNKLFIGNVPHSWTDDDFRKVVEEVGPGVLKADLMKVSSANRNRGYGFVEYYNHACAEYARQEMSSPTFKLDSNAPTVSWADPKNNDSASTSQVKSVYVKNLPKNVTQAQLKRLFEHHGEIEKVVLPPSRGGHDNRYGFVHFKDRSMAMRALQNTERYELDGQVLDCSLAKPPAADKKDDRVPLPSSNGAPLLPSYPPLGYGIMSVPGAYGAAPASTAQPMLYAPRAPPGAAMVPMMLPDGRLVYVVQQPGGQLPLASPPPQQAGHRSGSGGRHGGSGGRYGGGGGSSGSSRPEECVSETRLYMRILPVSQSAESDGALYRQFTPVSHMDYEISGPESEVDSESTPIHATTLTPVSSAQMMIVVEVTKPSQRRAASHWATAREAVRKYRQKKKAHTAHLEEEVKRLRVINQQLVKRLQGQAALEVEVVRLRSLLVDVRSRINGALGSCPIQAQCGVDNVLGCDGMAQCFAGKPELGVRQSCAPSTVNCHISSDSGQNLVVPHALSPSDVIGSFMVSSTSKDE >OGLUM12G02740.1 pep chromosome:ALNU02000000:12:2135907:2139265:-1 gene:OGLUM12G02740 transcript:OGLUM12G02740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSPKPVIALVLFVVCIVSCFEDATAQYSGSSNNGAAATGPMAAGGSCSLAVAAAVLAVAAFG >OGLUM12G02750.1 pep chromosome:ALNU02000000:12:2139875:2141539:1 gene:OGLUM12G02750 transcript:OGLUM12G02750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPAAPPSEAAAAADDVVLPYISRILMEEDIDDMFFCLYPDHPALLEAQQPFAQILLSSSSGIAGELNSAPMEDSAALMMQGSGDGRGRMGSKHGGDELEAEVGRASKLMATPEEEDDDDDGVGEMLEKMMLNGDEEMNAPRVPAEKNGGKAARRKRRQGKGEVVDLRELLMSCAQAVASGNRRSAGELLEQIKRHSSPTGDATERLAHYFADGLEARLAGAASLEHRLLASAEERASAMELLEAYQVFMAACCFKWVAFTFANMAILRAAEGRSKVHIVDYGGQYHGLQWPSLLQRLAEREGGPPEVRMTLVGHPQPGFRPARRLERTGRRLSNCARAFGLPFKFRAVAAARWETVTAEDVVGVDPDEAAVVVNDVLSLGTLMDESGVFDDPSPRDTVLGSIRDMRPAVFVQAVVNGAHGAPFFPTRFREALFFFSALFDMLDATTPEEGSHLRAVLERDVLRRAVVGVIAGEGAERVERPETYRRWQARNRRAGLRQVAVEADVVEAVRRRVRRRHHEEFVIEEDAGWLLQGWKGRILYAHSAWVVAEDGAH >OGLUM12G02760.1 pep chromosome:ALNU02000000:12:2149016:2151449:-1 gene:OGLUM12G02760 transcript:OGLUM12G02760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRRLAASALRRGGANDGGVLDAVRAEIAHELSSSTPSSPPSLQSQDIPDFATVSDPPRGQEVLLRRRDASEEVLVSAVLEPLRFEGEEPLPRDALMKVFVSKPDVKPVIRFDCRAFADEGDGGSADYDVTAACYHPFAGDAGEDKYEGPEFRDLDPRLQVALKGYLVARGVNSKLASSLHHHLVEKERWQYMNWLKTLEDMFSSH >OGLUM12G02770.1 pep chromosome:ALNU02000000:12:2153836:2160427:1 gene:OGLUM12G02770 transcript:OGLUM12G02770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNN4] MEKLDRYLQENFDVPAKNPSEEAQRRWRQAVGTIVKNRRRRFRWVPDLERRSLDKAKVRSTQEKIRVALYVQQAALIFSDGAKKKEYKLTGDIIKAGYAINPDELALITSKHDSKALKMHGGVDGISIKVRSSFDHGIYASELDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALLSVAVGLATEGWPKGMYDGLGIILSIFLVVMVTAVSDYKQSLQFKELDNEKKKIFIHVTRDGRRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYVSQDKPFILAGTKVQDGSAKMIVTAVGMRTEWGKLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFAILTFLVLLVRFLIDKGMTVGLLKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDKIWISEVSKSVTSNTISGELNSVVSSSTLSLLLQGIFENTSAEVVKEKDGKQTVLGTPTERAILEFGLGLKGDHDAEYRACTKVKVEPFNSVKKKMAVLISLPNGTSRWFCKGASEIILQMCDMMVDGDGNAIPLSEAQRKNILDTINSFASDALRTLCLAYKEVDDDIDDNADSPTSGFTLIAIFGIKDPVRPGVKDAVKTCMSAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFHSKSPEEMRDLIPNIQVMARSLPLDKHTLVTNLRGMFDEVVSVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACIIGSAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRKGESFITKVMWRNIMGQSIYQLFVLGALMFGGERLLNIKGADSKSIINTLIFNSFVFCQVFNEINSREMQKINVFRGIISNWIFIAVIAATVAFQVVIIEFLGTFASTVPLNWQHWLLSVGLGSISLIVGVILKCIPVGSGETSATPNGYRPLANGPDDI >OGLUM12G02780.1 pep chromosome:ALNU02000000:12:2160645:2161660:-1 gene:OGLUM12G02780 transcript:OGLUM12G02780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDDKKQKGPDVTVPSGYFFVPKPEQLIRDYLNHWITGRPIEELRDIVREADPDAYDGGDYGIGINADGELVLCGNGHGGIGTQGQMPLAMQNTNGEMTLFSPMNGYGVGFNEEVRQEPQVGGEVEMNDFFNDLFVDFDGAGDPNPNPNEGGDSHGHILCE >OGLUM12G02790.1 pep chromosome:ALNU02000000:12:2164927:2167510:-1 gene:OGLUM12G02790 transcript:OGLUM12G02790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPVSSTTSTCRPCRPAQAAASKPSTSSSPGTGVLVGVPRERGSSVSKAGIRGARLEAAARCSLVRQRPMLLATVAVGSLVAAGAANATEIGDSLLGSSGLALADLSIGDWFGNLLYSAGAFGSGKDRSEVVGNSVAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAVIMGLNLLESIFERFDRDRSGKIDATELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDTAFSGSATFTYEAFMLTVLPFLIA >OGLUM12G02800.1 pep chromosome:ALNU02000000:12:2167689:2178793:-1 gene:OGLUM12G02800 transcript:OGLUM12G02800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYPPNPGSGYPYGGAGGYGAPPPPYGSSPAPSAPPYGAKPPKEGKTSSSSAPYYGGGGGYGAPPSTQPYGSGGGYGAPPSSQPYGAPYGAPPPSSAPYGAPGGYGSPFASLVPSAFPPGTDPNVMQEEERRGLLWGVQLLKQFVNDIFNTRFGWRVGMKRLPARRLHSGKQREQNKQISHPYRYAEEVKAEAEGVRGGSSCAMAADGDSSSPSPGGRGGTPNFKMIRRCSLSLSTAISSGKPECYLAMEELGAAPAPRDWAPPPQFRKQGDELRRRLAGESYSFK >OGLUM12G02810.1 pep chromosome:ALNU02000000:12:2172429:2177059:1 gene:OGLUM12G02810 transcript:OGLUM12G02810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGEPERLRFFYYTAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKNVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVIKLVGFVDPFVRAIDGIAGERVSMRVST >OGLUM12G02810.2 pep chromosome:ALNU02000000:12:2172429:2175735:1 gene:OGLUM12G02810 transcript:OGLUM12G02810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKNVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVIKLVGFVDPFVRAIDGIAGERVSMRVSSLEAYYF >OGLUM12G02810.3 pep chromosome:ALNU02000000:12:2172429:2175735:1 gene:OGLUM12G02810 transcript:OGLUM12G02810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVIKLVGFVDPFVRAIDGIAGERVSMRVSSLEAYYF >OGLUM12G02810.4 pep chromosome:ALNU02000000:12:2172429:2175735:1 gene:OGLUM12G02810 transcript:OGLUM12G02810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVRACMPLPPAAVASSSAAPSTDAQAQRSPSSSSARVLVLGGTGRVGGSTATALSKLRPDLNILIAGRNREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGTGGAGPTILTTSFLLLAEDVIAYNKGEEIKLKPYSGALSIDFGKGVRKKNVYLLNLPEVKSAYKVLGVPTVSARFGTAPFFWNWGMQAFANFLPVEFLRDKNKVIKLVGFVDPFVRAIDGIAGERVSMRVSSLEAYYF >OGLUM12G02810.5 pep chromosome:ALNU02000000:12:2172629:2177059:1 gene:OGLUM12G02810 transcript:OGLUM12G02810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTICRVSFFFLAREKGESLASKLGEESEFVQVDIRDRNMLEEVLQDVDLVVHAAGPFQRENECTVLQAAIATKTAYIDVCDDTDYSWRAKGFHEQAKDCGIPAITTAGIYPGVSNVMAAELVHAARSENAGEPERLRHWWCWANNINN >OGLUM12G02820.1 pep chromosome:ALNU02000000:12:2180858:2181852:1 gene:OGLUM12G02820 transcript:OGLUM12G02820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLFSLLGRHNCHPVPLWEREFCIYVGGISWHRFCDNKRYVCMYKNIEQWDDSEAFDNFKNAKARFWANYHGQPSDISLPDPDMYIDKIDHNSKIDPELIADLNMVRLPFERDDELLPADGLGSTDTDNKCQQKQNQSGNWDIYVEKPTEVNKWEQDSRSNMDWGTKHESWNEWRSGWGSALADSSWGNWNNSNNHHSSNNRDSFNGVNRNRYQDPNSISGRKRNSGGHIQQRNSRQRNQTEGYQGSTPRW >OGLUM12G02830.1 pep chromosome:ALNU02000000:12:2183412:2186499:-1 gene:OGLUM12G02830 transcript:OGLUM12G02830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILGLVGGEEAELTDHLVAPPQQVDPLADPFLQISQTKPSSHSKRSHETAMRGLMGMRRRGGESRENLIEGGAGGEGVEVGRLVEEGREREVGVLLLRRRRGVEAHLRLHLVADFLHLDHSQSPSAREGRDRRLKTLPEQRRAKEGKRETSGGGRGEAPHLLTGRRCSDGALMIDPTAEIAVFRALTDWQ >OGLUM12G02840.1 pep chromosome:ALNU02000000:12:2183532:2186576:1 gene:OGLUM12G02840 transcript:OGLUM12G02840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEEVSNKMQAQMRLHPAAAAEEEDADLPLPALFDKASHLHSLASSSSLDQEGIRKGVDLLRRCDEMPEGVAIESRKVLLERASQGTTIFVMNKPSWMIETDPKEVGLGIYV >OGLUM12G02850.1 pep chromosome:ALNU02000000:12:2233713:2234127:-1 gene:OGLUM12G02850 transcript:OGLUM12G02850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLVCVQAQVHLRLVP >OGLUM12G02860.1 pep chromosome:ALNU02000000:12:2235849:2236034:1 gene:OGLUM12G02860 transcript:OGLUM12G02860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWRQWSACGLQLMMASTEISEVAAYALQMMASSERQHQMVEVKPEGWKMVEVVPGIKWW >OGLUM12G02870.1 pep chromosome:ALNU02000000:12:2246906:2257421:-1 gene:OGLUM12G02870 transcript:OGLUM12G02870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICLSTRVQSRGLGSGGGQKEVGPGVLNVAPRPRSSCGAHATVTGRVPRVCKCGRRGQGTRRGVGPTSASASASGLRLARAANVDSSSLTTERSLTDEQITV >OGLUM12G02880.1 pep chromosome:ALNU02000000:12:2257700:2258837:-1 gene:OGLUM12G02880 transcript:OGLUM12G02880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITMDLMSGYGRVDEQVAIQEAAAAGLRGMEHLILQLSQTGTSERSPAPAPAQEQHQQVDCREITDMTVSKFKKVISMLNRTGHARFRRGPVVAQSSGPAASEPAPVRSSPSAVSRPMTLDFTKAASGYGKDAGFSVSGISAASSSFLSSVTGDGSVSNGRGGGSSSLMLPPPPATSCGKPPLSSAAAAMSAGVGHKRKCHDHAHSENVAGGKYGSTGGRCHCSKRRKHRVKRTIRVPAISSKVADIPADDFSWRKYGQKPIKGSPFPRGYYKCSTLRGCPARKHVERDPADPSMLIVTYEGEHRHTPAATGQEHPPAPPPPLALPLA >OGLUM12G02890.1 pep chromosome:ALNU02000000:12:2278781:2280846:1 gene:OGLUM12G02890 transcript:OGLUM12G02890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFLQVFFKVSSEMVARARKLFDEMPARDVVSWTSLVSGHAGVGDVREVSGLLSYLRLDGCEPSAVTLAVVLPACTAKEDVVGGGQLHCYAVKSGLSDNLLVLNSILTHLCRMPAFDDEVALFEQSPRRDEISWNIMIPEYSSEGNISKVAEMYQRMRREEMSPSFQTSTTAVAAFAKRKCLREGKRLK >OGLUM12G02900.1 pep chromosome:ALNU02000000:12:2281013:2283433:-1 gene:OGLUM12G02900 transcript:OGLUM12G02900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTAAIGSEIGPGVSPIPPMNPSIPRRRAKDTALRREAERYGKIERCWHLLIKALGLDPCAPSGQALLVTAVALRATHHHRYRLPSGAPDPYLVFGLNPAVPTARDPAIIQSYYHQAFDLLNRSLCSHPLDPYYPAFSHAARLVADAWAVLSDAHRKASLDSRFEKPPPPPPPQPSAPPTAQPGRGTSAATTIPSDVAHGRRAG >OGLUM12G02910.1 pep chromosome:ALNU02000000:12:2286502:2286798:-1 gene:OGLUM12G02910 transcript:OGLUM12G02910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDHAANGRCLGERTHTDDDGGDSVFRTPPTAGYCLNGRRDDLPSGSISVEVGGRQILPPPTSMVMG >OGLUM12G02920.1 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEVLAQGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGDLRSLRLRNSFLEVFDLVTTHRVLRLIFCGLLSAKCAAVPRLIRLKIVDDGAACTAGGQVSRMMRRGFGPPGPQNLRGHFYLPAKVTVQIKSTAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02920.2 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGDLRSLRLRNSFLEVFDLVTTHRVLRLIFCGLLSAKCAAVPRLIRLKIVDDGAACTAGGQVSRMMRRGFGPPGPQNLRGHFYLPAKVTVQIKSTAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02920.3 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEVLAQGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGFGCGCCCWRSQRFEVAAAPQQFLGGLRLGDDASSSTGGQVSRMMRRGFGPPGPQNLRGHFYLPAKVTVQIKSTAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02920.4 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGFGCGCCCWRSQRFEVAAAPQQFLGGLRLGDDASSSTGGQVSRMMRRGFGPPGPQNLRGHFYLPAKVTVQIKSTAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02920.5 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEVLAQGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGFGCGCCCWRSQRFEVAAAPQQFLGGLRLGDDASSSTGGQVSRMMRRGFGPPGPQNLRGHFYLPAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02920.6 pep chromosome:ALNU02000000:12:2287964:2299674:-1 gene:OGLUM12G02920 transcript:OGLUM12G02920.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRREVGRDATAWSKAHPGRGPASSVRRQIRLGTIVWLADVSGYPKTTEGPLQWKNVVGAVGISFLPSVTGGSAAPMLLRLLLLLQGLSSAVVLPCMVMAALDGEAQRRFQHRLEVRDLAASSELLAKGFGCGCCCWRSQRFEVAAAPQQFLGGLRLGDDASSSTGGQVSRMMRRGFGPPGPQNLRGHFYLPAKDSLTTSRKEKKMNLLCAIAILPVILVALLDTTLLAIASSSLMNHSQPSMATLASCPKSCGQLSIHYPFGIGAGCFRQPDFNLICDNSTQPPKLFLHDGATEIIGDTDSSSDMDVGTTEWIDVKISATIPMLPAGIVHYNYSWNLSSFSIEYAILNITGCNFDTYIINPDTDTRTRICRNSCPKEEITEAVARQSCNGTGCCTFYIDNVANFQLSFIRGDEGSDGATVLWSIIDQPTCASAKDNRTDYACVSANSTCIDSFNSMEYLGYLCYCNSGFIGDPCVLHGCTRDEGYYPVQQKANCSRRCGNISVPFPFGLEEGCAARKLFQLNCTNVTSSTLQFDRGHVVTDIDFAEGVVGIKLASYFEEEEFSMYRSGEPDLYASFGEAVISVHWAAANLTCQEAQKNHSRYACVSANSTCLGVDSTYGYVGYRCKCMDGFHGNPYVVNGCEDIDECKKTLGICKGICHNDIGSYHCMECPDKTEYDVTAMQCVSRKKQNLLIGIVIGLSVGFTVLLFVLGGMLLLRRWKRDIQRQLRRNYFRKNQGLLLEQLISSDENASDKTKIFSLEELEKVTNNFDPTRILGRGGHGMVYKGILCDQRVVAIKKSKIIKQEEIDNFINEVAILSQINHRNIVRLFGCCLETEVPLLVYDFIPNGSLFGILHADASSSFRLSWDDCLRIATEAAGALCYLHSAASVSVFHRDVKSANILLDANCTAKVSDFGASRLVSINETHVVTNVQGTFGYLDPEYYHTGQLNKKSDVYSFGVVLIELLLRKEPIFTSETGLKQNLSNYFLWEKKMKLIRDIVAGQVLEEATDEEINIVASLAEDCLSLRRDERPTMKQVELALQFLLNKRLNSYRTVQANKEEMDPFIMTKVQHSTENSNVEFLSNKATISSYQTGLEHEFMSSATIPR >OGLUM12G02930.1 pep chromosome:ALNU02000000:12:2297768:2299497:1 gene:OGLUM12G02930 transcript:OGLUM12G02930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGGIAADLGKESNGGAHLNSDLGWEIEMTSKALEEEQEAEEHRRRAAAGHRREKGDPNCSYHILPLEWPLSKNLHQVGRRGREEEKGYDAGLPPSSLGSRIHRRARRWSRGGFGAAQTGAEMRLAAGDGCRAAAGVCLAPGGGVPPDFPSPPHPPLRSGGSLGGSSGGSTVEEKGVAAAMVTATALASGGGWRLSAGSAGEETGVTGSDGPGLLTGRSGSSLGGSGGDSTVEERELWRQQRTRAEEAGEVAARVEEAGELTRVGEERTGG >OGLUM12G02940.1 pep chromosome:ALNU02000000:12:2301779:2303326:1 gene:OGLUM12G02940 transcript:OGLUM12G02940.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEVAAPNRGNLMKILVSVRAFFSMPDGKPKRYIDRREIPIYINPDRYSLMDLSADLAKSIDWVLGNCNDEEYIEVRTDKHLWRLLVRHKPQNLLRLHCQVKDLEGPIAVSPSKNTLENRKSQDRTPCTPPQCIPLLAEQTQPTQECTPNECAKNECALNKCPLLEGPKCASKKKTAANVKDGFVDVDLGTKNSGSDAESLGSSDDSLAVDSDSSYTSADDSSSSDSDCEYELESCSEDSEVNDDVDSDTDDEVVYTHDIDKPCVDEGTFFQTVNQCKKAFTHHAVLKAYGFFY >OGLUM12G02950.1 pep chromosome:ALNU02000000:12:2317644:2317964:1 gene:OGLUM12G02950 transcript:OGLUM12G02950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVVVVMVIMVVVVAAEGVELEIRLLRELLRDKPPQDLAAVTNMESPIHMIPLIEVLDLGAARRRRWVVGDGTVVRVGDRKDRLGVGWGTGMEEEIEAAWWTRA >OGLUM12G02960.1 pep chromosome:ALNU02000000:12:2341820:2342526:1 gene:OGLUM12G02960 transcript:OGLUM12G02960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQELHCKYADLTLWNQNGIAANVCLDHYKLGRNGGDYPEGAASKKLCRNGFTTYMQAGPEPSLIYAFLVNEAEDVVVDEQRRLEERDELVEGLCATSSIVSATVESGVQKHLEPMSHVLPSEEASALIQ >OGLUM12G02970.1 pep chromosome:ALNU02000000:12:2356941:2357528:1 gene:OGLUM12G02970 transcript:OGLUM12G02970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLAHLFGSSSSSSSSKKENKVSSKKRRSGAKSCSFGSTTSSSSLAASSSDDSAATTPRSVLPTSAAASSSGTKKPAAAAVTREDLEVALRRIVSSKEELAAMLAEADYAGELVLEEIAAAAADEGEMKETFAVFDADGDGRISAEELRAVLASLGDELCSVDDCRRMIGGVDTDGDGFVCFDEFARMMMCGRA >OGLUM12G02980.1 pep chromosome:ALNU02000000:12:2374647:2377736:-1 gene:OGLUM12G02980 transcript:OGLUM12G02980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNR4] MAPSFRLSSAPESADEATAHKEIYDQLRRVAETFPSAPSLIGLPCSRHPDGWYTFTNGVVSSMVIKEHLTARATDIFLTTFPKSGTTWLKALLYSTLHRGTDELVAHSPHQLVPFLESQVFVNDRIPDLSSLPSPRLFMTHIPSQSLPDSVATSGCKVVYLCRDPKDCFVSLWHFWNRFMPWDIDEAHRQFCDGVSQFGPFWEHILGYWRWHVEKPNQVLFLTYEELAADTLGQLRRLAEFVGCLFTMEEQKHGVDRNIVEACALENMSGLEVNRSGTITIVDSTVPNNTFFRRGVVGDWRNHLTPEMARRIDEITKSKFKGSGLLLHPQFLQSHLTARTTDIFMVTFPKSGTTWLKTLLHSALHRGANDLAAHSPHQLVPFLETQVFIKDRIPDLSSLPAPRLLMTHIPSQSLPDSHIPSQPWDINEAHRHFCDGVSLFGPYWEHVLGYWRWHTKRPSQVLFLTYEELTTDTLGQLRHLAEFVGCPFTVEEQELGVDRKIVEACAMESLSRLEVNQSGTTDMVDKTYVNNIFFRRGVIGDWRNHLTPEMARRIDEITEIKFKGSGLLLHPQFLQAKRE >OGLUM12G02990.1 pep chromosome:ALNU02000000:12:2384084:2391335:-1 gene:OGLUM12G02990 transcript:OGLUM12G02990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTDSSSPELSLCSPYGPWTQGRGPTEELGLAPLECAAHVETGPMEKATRCLARATGLAAAAAGDGPQKRLAEAMVDCLARRLLRPVQAITDALIDPSVYLDRRSVRAARRGFFELSPFPKVAFVVGNRAIVEAVENESLVHVVGMSGPFTQPCQWIQLLHELRRRPEGPPRVVRLTVVHDDGELLAKMAEVLSDEAEELDMEFQFHGVVGQLEDLDFGNLRDVLEIKSGEALVVSCTLQLHRLLSADDDAMYSSRSAHLNQMASIAQLQQMAVSSCPPSTGGGGSVQYKDDDDDPYRSPATPLTFVSPPASTPHLQMPAALANFLSAVRALSPKIVVVAEQDADHNGVSFRKRFCEALHHYAAVFDSLDDAAAATTSAASHLWSPDERAQVERVVVGEEIKGVLLRDGAHRRERHDRLRQWAARMEMAGFTGVPLSYAAIRKGNDMVRRCGLRRCENRECGGCLLLCWSSRPLYSISAWRPAASGGAGSGSERSEYIHVVIFGPNLTMSRRATSYGLQAPKAQSTIRKGFGHLLLLPSPHTDPPCRVGRSAAAAAMSASLHRPALASLLQIEAGGCGVLGVFAPGPRLPCRGYHPCRSSSTLGSASLTPRRRHISPPGLRIRDVLVVHIVDLSCSAAHPWQWLKLLDDFHGRPGGAPELYLTVLHDDNDFLAEMQSLLSKKAESLEVSFRFISVIGRLETLDFSNLRSTFQIKFGVAVAISCALQMHRLLLVDDNLSSTSIAQLQKMANFTQPKQMASSVCSPASTLNYLQTPSPRTPKLLARLLSAIRALKPNIMVIMEQDADHNALLFRDRFNEVLNYYAALFDCFHAVAAANPGRTDERLRVERMILREEIKNILVCEGVHRHERHERLDQWAMHMEESGFHNVQLSFSAIREGKENLLSFGLKNCQNKEDRGCLLLSWGSTNLYSISLRLCCTDRGMFQDDMLSSATSSPASSVYSPSPSPSNGSWVQELSHDQQSVRLIGLLYQCAAEVSAGSFDRANLCLEHITQLASLDAPHALQRLAAVFADALARKLLNLIPGLSRALLSSANSADAHLVPVARRHMFDVLPFLKLAYLTTNHAILEAMEGERFVHVVDFSGPAANPVQWIALFHAFRGRREGPPHLRITAVHDSKEFLANMAAVLSKEAEAFDIAFQFXSHAAGLRGRMRKYDFRMRLCRPHAKIVIFADLLAHAFKA >OGLUM12G03000.1 pep chromosome:ALNU02000000:12:2395270:2430273:1 gene:OGLUM12G03000 transcript:OGLUM12G03000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLAAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSTEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM12G03000.2 pep chromosome:ALNU02000000:12:2395270:2430273:1 gene:OGLUM12G03000 transcript:OGLUM12G03000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLAAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSTEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM12G03000.3 pep chromosome:ALNU02000000:12:2398011:2430273:1 gene:OGLUM12G03000 transcript:OGLUM12G03000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLAAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSTEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLRLNIAHLTLRKALPYLLGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM12G03000.4 pep chromosome:ALNU02000000:12:2398011:2430273:1 gene:OGLUM12G03000 transcript:OGLUM12G03000.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLAAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSTEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM12G03000.5 pep chromosome:ALNU02000000:12:2424668:2430273:1 gene:OGLUM12G03000 transcript:OGLUM12G03000.5 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLSSPKPSSFSSANPTSTPRPRAQTLSPFRAAAPRFSHGLAAAANPSASRRCYHRAFARPVRASMAQPRRPEYVPNRIDDPNYVRVFDTTLRDGEQSPGATMTSTEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNKRDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAKEMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGKLIADIKANTPGIENAIISTHCQNDLGLATANTLAGAHAGARQLEVTINGIGERAGNASLEEVVMAIKCRRELLGGLYTGINTQHITMSSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEITDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVFWSLADVQATCGTLGLSTATVKLIGPDGDEKIACAVGTGPVDAAYKAVDDIIQIPTVLREYSMTSVTEGIDAIATTRVVVTGDVSDSKHALTGHSFNRAFSGSGAALDIVVSSVRAYLSALNKMSSFVGAIKASSEVSESQRVQTTE >OGLUM12G03020.1 pep chromosome:ALNU02000000:12:2422585:2423723:-1 gene:OGLUM12G03020 transcript:OGLUM12G03020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKTIVQKGMLGKPEPNDIEQPPPKRSRSKLYTHMSGEQKRLIEGAGFHGLVDLKCSKLRPDLCSWLMEHFNPATNQLVFPGRGAIDVNEESMKSVLGIPMGDKDVSYEMESEAT >OGLUM12G03030.1 pep chromosome:ALNU02000000:12:2425532:2436928:-1 gene:OGLUM12G03030 transcript:OGLUM12G03030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEAIPSMHGNMAKGHKPHSASALMNGFKWIHVKKYYIGGKIYKNRPCRSSFGRQTDMAHGVVTVLYFTTSLDSPHKTGHLVQGTQTSTSSTRMKVEQIYN >OGLUM12G03040.1 pep chromosome:ALNU02000000:12:2438113:2440428:-1 gene:OGLUM12G03040 transcript:OGLUM12G03040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQWEPTATVRLMDEAKKITFNLTVKQLVSIEPGPWTESLRREYVKLIDGFFSIPFPLAYLLPFTTYGQALKARKKVAGALREVIKKRMEEKAENGGSIGDDEGKKEKKDMVEELLEAEGGSFSEEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPAALAELKEEHSNIRDMKGKNQPLEWSDYKSMPFTQCVINETLRVGNIISGVFRRANTDIHYKDYTIPKGCKIFASFRAVHLNNEHYENARTFNPWRWQINNKLQNAVGANIFTPFGGGPRLCPGYELARVVVSIFLHHLVTRFSWEETEEDRLVFFPTTRTLKGYPINLRLLSESIC >OGLUM12G03050.1 pep chromosome:ALNU02000000:12:2461163:2464255:-1 gene:OGLUM12G03050 transcript:OGLUM12G03050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAALLLLAAAATVVVVAMALRWLLLLGGPAAGRLGKRARMPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVHSSYPSSIATLLGARSLLLTRGAAHKRLHSLTLTRLGRPASPPLLAHIDRLVLATMRQWEPAATVRLMDEAKKITFNLTVKQLHRAGTVDREPPXVDAKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPDLFYLARCGLGGLGVVAEVTLQCVERHQLIEHTFVSSADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVQCNPPSRWRTPKFTSKYGKDEAIQHVRDLYRESLKKYRTKAESNDPEVDQLSFTELRDRLLALDPLDKDHVIRINKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSETCFPAGTLAKPNMKDLDYIEELLQLIEKEDIPAPAPIEQRWTACSRSPMSPASSSQEDDIFSWHTGCRVTRYQDAHVPDTFGPMTPLAGGAHYQQGWAGRTCSMPSTTPSTSYC >OGLUM12G03060.1 pep chromosome:ALNU02000000:12:2475508:2476160:-1 gene:OGLUM12G03060 transcript:OGLUM12G03060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGKAWLGRTRVIDDEPVNPRWDERFHLYCAYFADNVIFSVKVSLPIGAALIGRAYLPFANLLSGEVITVDGDGKWWGTGTGVGDADVPCTYFKQHTGCRVTRYQDAHVPD >OGLUM12G03070.1 pep chromosome:ALNU02000000:12:2477079:2482995:-1 gene:OGLUM12G03070 transcript:OGLUM12G03070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGGNPRGGGSGGPRGFGGGGPRGCGGGGPRGSGSSKPRRGDGGLGCGRGDDSDLGLGYAGGGSGSDDGGGGLGFGVSGGGGGLRKRLGCGGDDGDGLRSASQMRSKKRRRGMWYSQRYGHLLEEGKNFVLDTQDGSDDDQFEFIPDSDDEAEDHQFSLDQEFVLKTEFQDCGEAEEKGGRIEECSKVEEKGGGIQDCGVTEENVGVIQDCKKVEEKGGEICGGSSMGHSHDGGTRTAARRGGWHIRGKWFERKSGYLLEEGKNIIFDSQDGPDLDEYEFWPDLDDEGGDLILDWFDGSMKVSTSSNAFYPQGGDLRQGTMANQGGGPSKHIMVEASNISGQSKDVSNPLMPYKEEIHGEEKIPNDKENGGDVFGGVDQIGYSQEEEYEPIDDTKLEMLRLLIPGYIQNKCKNMVSGVGRWSYAAYAFTNPISQDVHYTGTEGVYRY >OGLUM12G03070.2 pep chromosome:ALNU02000000:12:2477926:2482988:-1 gene:OGLUM12G03070 transcript:OGLUM12G03070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGGGNPRGGGSGGPRGFGGGGPRGCGGGGPRGSGSSKPRRGDGGLGCGRGDDSDLGLGYAGGGSGSDDGGGGLGFGVSGGGGGLRKRLGCGGDDGDGLRSASQMRSKKRRRGMWYSQRYGHLLEEGKNFVLDTQDGSDDDQFEFIPDSDDEAEDHQFSLDQEFVLKTEFQDCGEAEEKGGRIEECSKVEEKGGGIQDCGVTEENVGVIQDCKKVEEKGGEICGGSSMGHSHDGGTRTAARRGGWHIRGKWFERKSGYLLEEGKNIIFDSQDGPDLDEYEFWPDLDDEGGDLILDWFDGSMKVSTSSNAFYPQGGDLRQGTMANQGGGPSKHIMVEASNISGQSKDVSNPLMPYKEEIHGEEKIPNDKENGGDVFGGVDQIGYSQEEEYEPIDDTKNKCKNMVSGVGRWSYAAYAFTNPISQVSDHLTINKYLACKHVPFFW >OGLUM12G03090.1 pep chromosome:ALNU02000000:12:2491254:2493705:-1 gene:OGLUM12G03090 transcript:OGLUM12G03090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSPSHQSFTTIESAESTTAARDVSPPAAVSAARDVSPPPPAARDVSPPSAARDVSPPAAVSAPAARDVPPPPASVVPAAARDVPPPAVAMAAEMEVDDVDVHEVPECIASMIDRGSVESHRLFLARRTAMEMLRDRGYSVPEAEIARTLPEFRAWWAEKPGIERLAFTTTLVSDPSKKVQLVFCPPEPVKIATIREIYLQTKEENLSRLVLILQSKILSRAREAIKEIFKFKVDIFQATDLLVNITKHVLKPKHEVLSADQKAKLLKEYNVEDSQLPRMLETDAVARYYGFDKGTVVKVIYDGELTGKRVAYRCVF >OGLUM12G03100.1 pep chromosome:ALNU02000000:12:2506845:2507132:-1 gene:OGLUM12G03100 transcript:OGLUM12G03100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVKRKTRYRHGARGKASYDKPKPSITDPELEEMEDADQFESGHLGIVGGDSDEDQADCDQPMQETTEDLNQLGIVGDELDVGRGRSAYLVACH >OGLUM12G03110.1 pep chromosome:ALNU02000000:12:2508089:2508846:-1 gene:OGLUM12G03110 transcript:OGLUM12G03110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLLLADLLRRASSSHHHLHLVRALSASSPLPASDADLRKYAGYALLLLGCGAATYYSFPLPPDALHKKAVPFKYAPLPDDLHAGVVVLSHPSRVVVRRKPSLGSFEPRRTAAVFHRFSS >OGLUM12G03120.1 pep chromosome:ALNU02000000:12:2509100:2510330:1 gene:OGLUM12G03120 transcript:OGLUM12G03120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKRKPDDDDVGESTDEFANPFDDTMGFAKPVYLVAMRDDDQAAAYSVLKIDAAAVAGNDEPPRVRAVAVLTTGTEPGMSFVTARSRHGSWIVGVGGGLRAGTIIFDPGTSRTFHGSRLGYPKHKPVLISHGSKVYAISGTPRVKPSMDCKPWFESLSFNDVSSYAVIGSHILISPQPELVVGTYAFHVVNKIWEKIHDKNLPFVGQAVPLGGSLFAACPISNTASTSASVFHMSIKVSSSIPSLSIQESKVMASEDKITFPLFCPMGMGSFCCIRLAPSRSRHRQKTNCRRWRSPKTSCLKEVHVISTAFRMENIEAIMTHCQSQGSKAKDQLLTLQVKEQMHSCESKEILHGLLGSGMPVVAALSM >OGLUM12G03130.1 pep chromosome:ALNU02000000:12:2512624:2513428:-1 gene:OGLUM12G03130 transcript:OGLUM12G03130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDKVYAMSKSPSWIADPDFPPWFELLDLSQSKVVAATTGRGYHLEGCSWIKLPHPPCIPWKLCPVDYTLLPVVIVMSYMVIDAYILVSFNQPWGTYAFDTNSIKWHKVDDKKLPSTGCAAPHGSVFLGLSKDNRPINAYRINVTTSDKNHDPCLSIVVLPVKYMEHEVDAGSCFFSLEDGLFCSLNFSLDSNSVILSKNLDFFQPRRILENLSNREHFTIGGSRGNIVGCEPEVTVCNQWEHAFKISCSSHEFSPFAFAILSI >OGLUM12G03140.1 pep chromosome:ALNU02000000:12:2515707:2519723:1 gene:OGLUM12G03140 transcript:OGLUM12G03140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDMFGLMGAYAAGSSREAAMSAYIVVLVILVCSYVSAHVLLYGLTTAQVSAPDAPERVERARKYLLIFATLAATVAYQAGLSTPGGFWLGSLENQHLAGDPMLRGNHPYRFMVFFYFNTTAFVASLVTIMLLMSRTVSRHGFRSSALWVCVGAAMVGLMGAFAAGSCRSFKTSIYVIALVGAVLLYITIQSMVFFSEPVKDWLHRAGETLQKCLKLDELEQRNQQQITLSNQGNGDAYLLLKKSRMYLLLLGILAASVTYQAGLNPPGGFWQSDGTDGYRHYLAGDPVLHITYHRRYMVFFYSNATAFIASLVILILLLSNMISTQGIKYCALQVAMILNLFGLIGAYAAGSCRQASKSVYVSVLVLPVFLYVGIHVLVFMLEVSPTWATWRVEVREKLKQRMPEWLKNLLELEEHVEEEEEEWKLEKRRKLLLLVAILAASLTYEAGMNPPGGFWQEKKSGHVGDPVLNNDNYRHRYLAFFFCNTTAFVASLAIIMLLVNRKLSARGIRSYALRVCVILVLVGLMGAFAAGSCRKVKTSIYVFVLVLAVLLCIAFQVALVVSGSLRRLVDSLLSKLGAPLEEDAALGLRVSGEGDECSLVEDEALVLLEEKQASWEELEEVFSVFDGDGDGFISPLELENVMRRLGLQHDAGHGECERMLKVFDRDGDGMINFDEFKVMMQGVV >OGLUM12G03150.1 pep chromosome:ALNU02000000:12:2520512:2520802:1 gene:OGLUM12G03150 transcript:OGLUM12G03150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGHFGKDAMDMMRLEHALPCGDLHRPRVGSKTAEQSCSVCLKNFEEDDYIWSMPCSHTFHQLCVLGDRSCRVCHPPPPAPPSTDEKPEASRTTQ >OGLUM12G03160.1 pep chromosome:ALNU02000000:12:2522586:2525182:-1 gene:OGLUM12G03160 transcript:OGLUM12G03160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRLQARAGIDDHRLESLHKPRLGETREEECAVCLQDFGEKDEELRMMPCSHSFHQRCLFGWLAIRDNCPVCRSAMSSGRTVSAREAGGVCCCRRSMEVDASAVARALPRDFPPIENLSFEGFPRYTFKIGNRIHCTNLMYRPGSRTLLTQEEAHEIIREGLRSDIRRGRIELNADFLQQLVDQVRDHILQRQRRGTATAMDGAVEVEDAYRNGGFGAVPASSKAMAELQEAMASDAMERGCAVCLEDFEAGEKLTRMPCSHCFHATCILDWLRLSHRCPLCRYPTPTQDQSY >OGLUM12G03170.1 pep chromosome:ALNU02000000:12:2525632:2536957:-1 gene:OGLUM12G03170 transcript:OGLUM12G03170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQEHEEFLERFVQQTLHWLGSKYLQVITASTDPIIDVTHGIAKYPINSIAIFPLLGSLKQRAGGFGDQRKPAHSGSPLPGCTMLLRTGLNRPTFTSAMGCTPGN >OGLUM12G03180.1 pep chromosome:ALNU02000000:12:2537080:2537850:-1 gene:OGLUM12G03180 transcript:OGLUM12G03180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DTW domain-containing protein [Source:Projected from Arabidopsis thaliana (AT2G41750) TAIR;Acc:AT2G41750] MDFEPLPSAAGDATADETPPGRAVCSSGCGRPSTVCLCPYLPASPLPTSTTVVILHHPHALRRNPLSTLPLLARSLSNLRLIPGRRLLPSSAPLIAKPVLLLFPSPDAADLASWCRSTPPPARANPTLLLLDGTWKQAKEMHAASLSFLSSFAVPVSLPVDCGVDGDSMFEGELVVKKEPHKGCMSTMEAVARALRLLEPEGRGAEIEDTMVGVLRAMVAFQAEHLQHRPMKPRVKMRKKKDIKREEEMKRDARLE >OGLUM12G03190.1 pep chromosome:ALNU02000000:12:2538119:2538377:-1 gene:OGLUM12G03190 transcript:OGLUM12G03190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVQEQWVWCCARVEHGRGRAAGGLGERGEGAQVLNCMRCLPGGEKLTRMSCSHCFRGHCISDWLRVSHFCPLYQPKNNI >OGLUM12G03200.1 pep chromosome:ALNU02000000:12:2539336:2539912:-1 gene:OGLUM12G03200 transcript:OGLUM12G03200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAAAVARGLPRDFPPIESYVDRHGFFHNSWTVGSRSYSSIIEGVIRLDRAFFQQLHDHIQRQPRGTGTTMDGAYMDGVVEEDDAYRNGGFGSVPASSKAMAELQEAQASEARESDCAVCFEGFDQGEKLTRMPCSHCFHATCILDWLSLSHLCPLCRFPMPTEQ >OGLUM12G03210.1 pep chromosome:ALNU02000000:12:2544755:2544976:1 gene:OGLUM12G03210 transcript:OGLUM12G03210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLRDYEIHDGMGLELYYN >OGLUM12G03220.1 pep chromosome:ALNU02000000:12:2547365:2552554:1 gene:OGLUM12G03220 transcript:OGLUM12G03220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADDDDAPPSPPPSAFHPASADTPMSDATPSAADTPNLPDTPASASAEPETPFSDAALADASDADASGVAAPPDDDGTNPLGGAMKHMALAPPAPPSKKSKKKNSNSVWTRPNSRKGKKKAKQPANALAGGSGGANGRLPKPSSGEDELVLTPAPRFAAERNDDAPDRPVLLSRVFKSDKVEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEVKVLHLGSTGHTRLGWATNSADIHAPVGYDVFGFGYRDMDGTKVHKAWRANYADQGYGEGDVLGFYIHLPDGELYEPKQPFLVHYKGLPFRAEAPKAAEQKTPDPVPGSEICYFKNGICQGTAFVDIPRGRYYPAASMYTLPDQPNCQVRFNFGPNFEFFPEDFGGRLIPRPMSDVPYRPFELANGGPAENGTAEKNI >OGLUM12G03230.1 pep chromosome:ALNU02000000:12:2549669:2553321:-1 gene:OGLUM12G03230 transcript:OGLUM12G03230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPLKLTPIRKFPHGVVASPARWEMNLSATFRSGKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRAVSEDSVGYGGSASGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRNVSSDEDIDTLEQDNEVNFVTFHGYFLSLIEWQINKLCIL >OGLUM12G03230.2 pep chromosome:ALNU02000000:12:2551295:2553321:-1 gene:OGLUM12G03230 transcript:OGLUM12G03230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPLKLTPIRKFPHGVVASPARWEMNLSATFRSGKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRLRQHNGELKGGAKASSAGRPWNLACLIEGFVNRSEACEFESKWKNISRKMARKRSEPSMTSLLQHRDMALSRVKNDLACSHLKIKWHSS >OGLUM12G03230.3 pep chromosome:ALNU02000000:12:2550927:2553321:-1 gene:OGLUM12G03230 transcript:OGLUM12G03230.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPHGPLKLTPIRKFPHGVVASPARWEMNLSATFRSGKIPRALPPKSGEPAAAALASASCAPGNPSAEAAKGKAALGWCVYLIASSRISRTYVGVTTDFPRRFSKLPGYPRSPD >OGLUM12G03240.1 pep chromosome:ALNU02000000:12:2555086:2561663:-1 gene:OGLUM12G03240 transcript:OGLUM12G03240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQTRSTKEDQAVSFRTATAKSVYKWIIKPQSIIKSNEMFLPGRMAFIYNMEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDDIFVGDGVDYSVPNKEMSHSPVSQDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRS >OGLUM12G03240.2 pep chromosome:ALNU02000000:12:2555086:2561663:-1 gene:OGLUM12G03240 transcript:OGLUM12G03240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKNYYKEKLMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGADLRLADVHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDTQTRSTKEDQAVSFRTATAKEDGLTNDIPTTLHRSKADCAVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKERDTKGNNSLASGDYDEVARPGQTGSSLKHQFQKDMPPPPPPRNNNLSKNEEQSIPVARADEDDIFVGDGVDYSVPNKEMSHSPVSQDMDESPHNHQKQSYFTEEKPVYGPIPPSDPAQAWPQTNGYDAIQAQMVAAGYQGEWSGYQYGEQQMPYPEQYMQQSTQDYDVLADPNIAQDPRLMTQADKDRGLGSVFKRDDERLKQLREKDSREKDPNFISDSYSECYPGYQEYNHEIAGSDEEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGDKDGGDDGGHYDDDLPSGKKQRS >OGLUM12G03250.1 pep chromosome:ALNU02000000:12:2567363:2568567:-1 gene:OGLUM12G03250 transcript:OGLUM12G03250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGASHPPSSWHSTPTHHGLEGFASPVVWVPYADFITDSGASPPSSSGCSSPTSLQTRGLRLTHRPSALRQLTTDSGDSPPPLSKRSTPTRHGLRGSASLSARAFYADLTTDSGTSPPSSSGCSSLTRHGLGGFASLVVQALCTDSATGSGASPPSLSGRSAPTRHRLRGFAPSLSGRSAPTPPRARGLRLPRCLGTLRGLCHVLGGFAYLVVRVPFADLATNLGASPHSSSGCSLPTSTQAQGLDTPHCLGTTRRHRDGYCVFDRRLAPPSRPHMPPPGDPLPAQDDYCVTDWRLAPSGRPHTPPQGDPLPAQDGYCIADRKLAPSSQPPTPPPSDLLLAEDSRMSASMPGDFLPMHHGCFTATD >OGLUM12G03260.1 pep chromosome:ALNU02000000:12:2577740:2578472:-1 gene:OGLUM12G03260 transcript:OGLUM12G03260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSARPSTHYLKPPVARSSEDQVNRGYGDYSEGGAGRGTAWDGYQLERGGSALKGDLQHLCAVVNMGHLLGAANRGANFNVDCSRIGSGRGGYYSKGGAVRDGDQLERRSSILKGDQHRHAVEDGCRSLRAADGGGVQCPSATMLVDCLLVGSGREAMVCGIIERAAPTKPVMVYRETLEADAIGRGHGRGPQGGGGMEGSPDMVMP >OGLUM12G03270.1 pep chromosome:ALNU02000000:12:2582886:2587401:-1 gene:OGLUM12G03270 transcript:OGLUM12G03270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPNTAPSRRRPNRKTSPSSFQKAQVAHRRSRSNRTVHTRNGATQPLRRLPLLLPSPPSPRSAPTTSPPPPTGGQMAPSKQYSEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFITGSDLLIKRKSVVRITTGSQALDKLLGGKTQLAHTLCVSTQLPIHMHGGNRKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVISLFRVDFSGRGELAERQQKLAQMLSHLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRDVI >OGLUM12G03270.2 pep chromosome:ALNU02000000:12:2582886:2587401:-1 gene:OGLUM12G03270 transcript:OGLUM12G03270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPNTAPSRRRPNRKTSPSSFQKAQVAHRRSRSNRTVHTRNGATQPLRRLPLLLPSPPSPRSAPTTSPPPPTGGQMAPSKQYSEGGQLQLMDAERIEEEEECFESIDKLISQGINSGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFITGSDLLIKRKSVVRITTGSQALDKLLGGKTQLAHTLCVSTQLPIHMHGGNRKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIVDSVISLFRVDFSGRGELAERQQKLAQMLSHLTKIAEEFNVAVYITNQVIADPGGGMFITDPKKPAGGHVLAHAATIRLMLRKGKGEQRDVI >OGLUM12G03280.1 pep chromosome:ALNU02000000:12:2590912:2598923:-1 gene:OGLUM12G03280 transcript:OGLUM12G03280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMSYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >OGLUM12G03280.2 pep chromosome:ALNU02000000:12:2590926:2598923:-1 gene:OGLUM12G03280 transcript:OGLUM12G03280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDRLIGHVGSPNPSCEIKLVDVPEMSYTSEDEPYPRGEICVRGPTIFCGYYKDEIQTREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVGPLIQVGGDFYTRSKDRKLRHTLQKKSQICMHNCAKQNK >OGLUM12G03280.3 pep chromosome:ALNU02000000:12:2590912:2598923:-1 gene:OGLUM12G03280 transcript:OGLUM12G03280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MARQQQQREEERAPMGAAQRRLRAVSGHLQPPTESGGVDLAANPTAGEYAHVQGYSAVLPEKLQTGKWNVYRSAKSPLKLINRFPDNPDIGTLHDNFVYAVETFRDCRYLGTRVCVDGTVGDYKWMTYGEASTSRTAIGSGLIYHGIPEGARIGLYFINRPEWTIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVQAIFCVPQTLSTLLSFITQMPCVRLIVVIGGDNANMPSTPTATGVEIITYSRLLSQGKMSSQSFRPPKPEDIATICYTSGTTGTPKGVVLSHGNLIANVAGSSLVIKFYPSDVYISYLPLAHIYERVNQVSVVHYGVAIGFYQGDNLKLMDDLAALRPTVFPSVPRLYNRIYAAITNAVKESGGLKERLFHAAYNAKRQAIINGRNPSPMWDRLVFNKIKARLGGRVRLMTSGASPLSADVMEFLRICFGGEVLEGYGMTETSCIISAMNIGDSREAVDEDGWLHTGDIGLWMPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQSFIYGDSLNSSLVAVVAVEPDVLKAWAASEGIQHEDLRQLCADPRARSAVLAEMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEIADMYAQLRQAEQIKPKL >OGLUM12G03290.1 pep chromosome:ALNU02000000:12:2599432:2600804:1 gene:OGLUM12G03290 transcript:OGLUM12G03290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCKLSSMFSFDHLITFLTCLNARDWVTGCDFQILVVLICIRVGLIASRGALLSCIDRAAGPRMTRRCRRMKNI >OGLUM12G03300.1 pep chromosome:ALNU02000000:12:2611364:2612832:-1 gene:OGLUM12G03300 transcript:OGLUM12G03300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSRPRHLLRPLLRGLHATAQALARPEPHEFSNPSEHLGSWGEPAGDPREAWARLERLRKGYARDVRRLRGQYEIN >OGLUM12G03310.1 pep chromosome:ALNU02000000:12:2613739:2615244:-1 gene:OGLUM12G03310 transcript:OGLUM12G03310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAACADLSRAVARLSARCADPLLRRFDALFAALVRGGRLADPHRLRYSAARKMDRKARKMQRLVASTALLSQELDVLAELEQAAAGGLRRSGTRRKGAASGGGGEGEAARRVAQQRQEVDRLRAASLWNRSFDYAVRLLARSLFTIVARIAQVFGLEPKNVATMDDDAMISLATTRLSWTNSFVGSVHSLVYPSDFAADTHTPRRSLLDAKSGKLSNGGDHVRRFLVSRSQSLRQLKWPMAGKHLIGCMVSGSRSPDSERWKIHGDGDLPLSFSYYVSASNDDYSSINSPFQGDHTNSNLSIVFESSSHNWVMNAPAVTTLGAAALALHYANLIIFIEKLAVAPRHICPDERDALYNMLTDRIRASLRARLRPIAKNMAASSSSSSSACDPAMAAEWSDTVQRILGWLAPLAHNMLRWQSERNFEQRNVASSGTGVLLLQTLHFADQKKSEAAIVELLVGLNYLWKAGRELDAKAKKLVSGGGKRDEFTDYYSSNRMECR >OGLUM12G03320.1 pep chromosome:ALNU02000000:12:2620738:2622494:1 gene:OGLUM12G03320 transcript:OGLUM12G03320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRREEEFYASLFDSPKGGDAVKSRGQMIERKIEVLEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGLTVWPLNVQICVGSSEELPVSAFCRTSDGEWDAFRSIDMDVEARLMQQMKQSSAKQKNHVDSDELIALNAWHRIDRQTREAIKRNFLPDLLDIYEVCLSFSLIVLFKPIAILFVFLFATMVTRFV >OGLUM12G03330.1 pep chromosome:ALNU02000000:12:2629496:2629714:1 gene:OGLUM12G03330 transcript:OGLUM12G03330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQLGPSEYVMEVSGTYNSNVVVMSLRVATNLRAYGPFGRAEGTSFTASGRVVGFFGRSGELLDSIGVYTA >OGLUM12G03340.1 pep chromosome:ALNU02000000:12:2645598:2647954:1 gene:OGLUM12G03340 transcript:OGLUM12G03340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGSANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQMMMNGHGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >OGLUM12G03340.2 pep chromosome:ALNU02000000:12:2645255:2647954:1 gene:OGLUM12G03340 transcript:OGLUM12G03340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGSANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQMMMNGHGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >OGLUM12G03340.3 pep chromosome:ALNU02000000:12:2645925:2647954:1 gene:OGLUM12G03340 transcript:OGLUM12G03340.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEVLKIQTCVLKVNIHCDGCQKKVKKILHKIEGVYQTSIDAEQGKVTVSGLVDPATIIKKLNKAGKPAELWGSKVGVAAVNNQFQKLHLDGGGGGKGQPKDGGGKGHPKDAGGKGQKGGGGGGGGNGGGGSKDVKMMMPPQMPQPTPQQLQQLQQQLQMKGLKLPQFMDAAKMAPFAAAAPIKDPKSVKFNLPPEDDFGDDGSEFDDEFDEFDDEDDFDDDGLDEDYFDDPKMMKQMAMPPPNAGGGGDKKGGGKKGGGGNEIPVQIKGSANNAAGGGGKKDAGGKQNQGGGGGNGKNGGGGGQPNNAKGGGAPNGGGNHPAQGKKGGGGGGGGQGGGVGGPMGGMPAQQQAMMMRPNMMGGGAGFPGMGQMGGGPMTMPMAHHPHMGSAQGGGAGAVQGMPPAAFYQGGGGGGGGGGGGMPSGPEMLQAAAAAGNPMAQQQYMAMMQQQQQQQMMMNGHGHHGHGHHHGHHGHGGGAAPAGYPAMGYGYGRPPMPYPMHYPMQPHPHADPYNYFSDENPNSCSVM >OGLUM12G03350.1 pep chromosome:ALNU02000000:12:2648083:2649273:1 gene:OGLUM12G03350 transcript:OGLUM12G03350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMRDAQDQSTTRFHLSFKGELNFLEGEEMMMVLYNSRRKVAVVVSFLLHIRLWRCMVDEQCAKQNVLPNDYNTPSQGEDGDNNDNCGSNAMEERGWMIMMMAVVVVVVHVLCEEEEGSHRGEELLQFWPWLQPFPAPCTYIIDGEVNFFAN >OGLUM12G03350.2 pep chromosome:ALNU02000000:12:2649273:2650180:1 gene:OGLUM12G03350 transcript:OGLUM12G03350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGPYTRIAHFNPMTTVSTTASACPAHSTAWSLSLTTIDSTPNYRGKSCVVFVQVGKSSFLQSDPWSRRKDLIVGQAMEPDGRECYSLSMHVGQAGYVLLCMYGSIVVFIGAS >OGLUM12G03360.1 pep chromosome:ALNU02000000:12:2667088:2667452:1 gene:OGLUM12G03360 transcript:OGLUM12G03360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASPSAELIWKAWVLAKCRYLVGLRYKIGYGQ >OGLUM12G03370.1 pep chromosome:ALNU02000000:12:2668085:2671222:-1 gene:OGLUM12G03370 transcript:OGLUM12G03370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSGDVVEVPAELVAAGSRTPSPKTRASELVSRFLGGAEPAVSVQLGDLGHLAYSHANQALLRPRSFAAKDDIFCLFEGVLDNLGNLNQQYGLSKGANEVLLVIEAYKTLRDRAPYPASFMLSQLAGSYAFVLFDKSTSTLLVASDPEGKVSLYWGITADGSVAFSDNIDLLKGSCGKSLAPFPQGCFYSNALGVGGLKCYENPKHKVTAVPAKEEEICGATFKVEGSTILTALH >OGLUM12G03380.1 pep chromosome:ALNU02000000:12:2694207:2696212:-1 gene:OGLUM12G03380 transcript:OGLUM12G03380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELTRAGGGETRRGGGEEETETPQGRRRGVARGQAERRATAAVAASDGSGHGRSKGAGERRPRSIGGGRRARLRSIGVGGGKRWASVAAGERRLQVAAIGVNTEGEGGCVGSLITSPLLLPAALLIAVAVGVDAEKEGWRIRSLITLLFLPSIAAVGEVGLVAVALEINFGRGGTGGRRAR >OGLUM12G03390.1 pep chromosome:ALNU02000000:12:2696145:2699841:1 gene:OGLUM12G03390 transcript:OGLUM12G03390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSTSAAKRLARGVMRRPLEKAGAAGLAAMLAAAAALLLLVCAASLRCSAAVGSALAAPKKLLSGGVSIEEPRGGGAGGGGGGEECDLFDGEWVWDDGYPLYASRDCPFLDVGFRCSENGRPDDSYTKWRWRPSRCDLPRFNARNMLEKLRNRRVVFVGDSIARNQWESLLCMLAAAVPDKSSIFEVNGNPITKHMGFLIFKFREYNCTVEYYRSPFIVLQGRAPAGAPAVVKYTIRVDAMDWMSGRGKWKDADLLIFNTGHWWNHEKTIKGGAYFQEGNEVKMEMTVRDAYQRSMQTLFHWLRNEVNTNKTQIIFRTYAPVHFRGGDWKTGGTCHLETLPDVTPIKSLEPWADLLEPINHVLGNSITTKLVGLDILNVTQMTALRKDGHLSVYLSPSGPASHHRQDCSHWCLPGVPDAWNELLYALFLRRKMVMPHNVSSVGAKRLNTG >OGLUM12G03400.1 pep chromosome:ALNU02000000:12:2700888:2704436:1 gene:OGLUM12G03400 transcript:OGLUM12G03400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNW8] MAVLEEDPAPVPAADSTSGASDDEITVEETSFVHTEPPQDGTAPPVVTSDLEVLNDKVKKQVIKEGHGKKPSRFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMTGLGIGVSNMRSGERALLHVNWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDVKEGKARSDMTVEERIEAADRRKIEGNEYFKEKKFEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRFDEAIAQCTIVLSEDENNVKALFRRGKARAELGQTESAREDFLKAKKYSPEDKEIQRELRSLAEQDKALYQKQKELYKGLFGPRPEPKPKASNFLVLFWRWLVSLIGYLVKLFKRKDE >OGLUM12G03410.1 pep chromosome:ALNU02000000:12:2705015:2705766:1 gene:OGLUM12G03410 transcript:OGLUM12G03410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVVEDGDEFGDDGWEFKAAPSSDGGRANGDGIEVL >OGLUM12G03420.1 pep chromosome:ALNU02000000:12:2707711:2709878:1 gene:OGLUM12G03420 transcript:OGLUM12G03420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELQTTLKLVSPNDAAILDLYKETELVDAVHMTQSSSESVQSPSDMFSNNEMNSSFQTDENHSIKSSSDRTLIDFYHKLREETLTVIFRNRKDFKEICEKLPEGFCIEEHTAKDVFISELLDSAREVHLKDFEEEYHLIEKIPMHSVSTLHTLEQASKEEQRDYVRAWYRMLLFCAQELQHGVVLWQESCQSNVCNVIDYNMLSAGFTGDQFFIALGEIYRVAQILNLSLQSFKPWVLADPGMVSKMLVCWDGCLNAWTNNGLGTALRMVVDSNNLDAPVAKVLLESIIKIDEIEVATLQCSLPNSKMTCRHTLLPTSVLPGMEVIIWDGDHYFVKDANLWTNRISSDPPQFSHSYHLNK >OGLUM12G03430.1 pep chromosome:ALNU02000000:12:2710905:2714806:-1 gene:OGLUM12G03430 transcript:OGLUM12G03430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BNX1] MQSTNLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDAEYHQETLENLKVAIKSSKKLCAVMLDTVGPELQVVNKSEASISLEENGTVILTPDQGQEASSQVLPINFAGLAKAVKPGDTIFVGQYLFTGSETTSVWLEVSQIKGDDVVCVIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKEVIRKWGAPNKIDFLSLSYTRHVEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNNFDEILQEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKRTVKHVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNATNESVLKVALDHGKVSGVIKSHDRVVVCQKVGDSSVVKIIELDD >OGLUM12G03440.1 pep chromosome:ALNU02000000:12:2725412:2725882:-1 gene:OGLUM12G03440 transcript:OGLUM12G03440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G36570) TAIR;Acc:AT2G36570] MCPTLPTHQQPIAPATAVSSLHCRVVSGDGRQTAGGQPCLGPRLAPCLETTRLRDFNPCAPLESQQYTGQGPDERTSYKLDC >OGLUM12G03440.2 pep chromosome:ALNU02000000:12:2720112:2725403:-1 gene:OGLUM12G03440 transcript:OGLUM12G03440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G36570) TAIR;Acc:AT2G36570] MEKVKAHNKEELLRKQSSLLGTDASCTVVTMAMLLLLRSLEFLAIMAVASSLAMPVAAAAAAVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWIGVGCSGDGRRVTSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGPGKGGLSTGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGESGGEPPKKRKRGGRVGLEDDDDGGMFGHGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGGEDDDDDDDDGGGSDSSAGRRATGGGWTAAPHQPHGRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACVHREYRTSAIPHGNVKSTNVLLDKNGVACVADFGLALLLSPAHAIARLGGYIAPEQEDNKRLSQEADVYSFGVLVLEALTGKVPAQYPQPSPVVAADAAAADAQRKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTPRPEQRPSMADVVRMIESIPVDQSPFPEEDRDISTMSPSIGITTDDGDGRLSC >OGLUM12G03440.3 pep chromosome:ALNU02000000:12:2720112:2722537:-1 gene:OGLUM12G03440 transcript:OGLUM12G03440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT2G36570) TAIR;Acc:AT2G36570] MAMLLLLRSLEFLAIMAVASSLAMPVAAAAAAVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSTSNACAGGWIGVGCSGDGRRVTSLSLPSLDLRGPLDPLSHLGELRALDLRGNRLNGTLDTLLLGVPNLKLLYLSHNDISGAIPDAIARLLRLLRLDLADNSLRGAIPVAALANLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQLSGRVPDAMRAKFGLASFAGNAGLCGLAPPLPACSFMPREPAPTSPSVPSSPQSVVPSNPAASSSSVASSSPALATPESRDGPGKGGLSTGAIAGIVVGNALFLFAMLSLLVAYCCCSTGGESGGEPPKKRKRGGRVGLEDDDDGGMFGHGKGVQPGRPGSAGMRSDDGGDSDGARSKLVFFGVDGGEDDDDDDDDGGGSDSSAGRRATGGGWTAAPHQPHGRRSRFALEELLRASAEMVGRGSLGTVYRAVLSDGRMVAVKRLRDANPCARDEFHRYMDLIGRLRHPNLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHRMSGESPLDWTTRVRLLLGAARGLACVHREYRTSAIPHGNVKSTNVLLDKNGVACVADFGLALLLSPAHAIARLGGYIAPEQEDNKRLSQEADVYSFGVLVLEALTGKVPAQYPQPSPVVAADAAAADAQRKDKRCSTAVSLPEWVRSVVREEWTAEVFDVELLRYKDIEEEMVAMLHVALACVTPRPEQRPSMADVVRMIESIPVDQSPFPEEDRDISTMSPSIGITTDDGDGRLSC >OGLUM12G03450.1 pep chromosome:ALNU02000000:12:2733472:2739288:-1 gene:OGLUM12G03450 transcript:OGLUM12G03450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNGCATQFTTLSKHATKDHSASMWRRRSSATLPFPNSNGEKSFGNGGVPAPLSPHELVVLWKLCPHAGSTPPSASSDRQMAHSTSAHPPTIGTPNAAPTAPDLSVLASSSDAGLGGCGCTHSPESRQRARQRMRHRAAAWR >OGLUM12G03460.1 pep chromosome:ALNU02000000:12:2744467:2751038:-1 gene:OGLUM12G03460 transcript:OGLUM12G03460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSIASVWMKVRGEKLENWREKEKLKADKRAEDRELLGRKSSEMLLCVSISIHVPLCQCS >OGLUM12G03470.1 pep chromosome:ALNU02000000:12:2753039:2759401:-1 gene:OGLUM12G03470 transcript:OGLUM12G03470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFRRSGGGGPPRSPAAAAPFTVGWGWGGAGGDRVAAAVVVGLDRFSGPVGRMGPGGRMARSPQASPLISPLLSSHWRWERRKPATARRRGSGVGGGEARRRQGQRRGGEAAGSTARRQGQHGSPPLSPRSGRRGGREERWRRFPSLPDSAGGRPGEGGGGGGPPWGRRWRRRQSPSLPDLAGGRPGVGGSGSDGGGGGSGREWEGKGGVGGGGG >OGLUM12G03480.1 pep chromosome:ALNU02000000:12:2768363:2771929:1 gene:OGLUM12G03480 transcript:OGLUM12G03480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQQRQQATACSSEQQIVPWLKQLHNKKPQQQRKGEWYALSAGGSFTPHVIIVATGEDVAARIMSFSQKGPRSVCILAANGTISNVVLNQPGSSGSTFSYEGCFEILQLTGSFTIAEEGVRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNNLKQHQRRMGLHPQPSAAPAFPAPMAPPHPPPVLTAAMPISQAAPGNNGCRSPQVSISSIPPQAHAGVEQSRGAMNLNSSSSSTGFAMVGWPAAASSQSMVHRPSPDINVCLTPQE >OGLUM12G03490.1 pep chromosome:ALNU02000000:12:2774699:2775142:1 gene:OGLUM12G03490 transcript:OGLUM12G03490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYMRATHRDEEGKKVTEKVAVPETRHPDTARHFERKLDQQGLHRMERHPANGSRGIGAPPPKSGRGGKFTWEGPDSIVDSQLDPLPAAIDRNDPNYEEEEGDHEREADVVGEVEVAKVAGDARDGVARVDVVAPPQLQEKLQLQPQ >OGLUM12G03500.1 pep chromosome:ALNU02000000:12:2775611:2777853:1 gene:OGLUM12G03500 transcript:OGLUM12G03500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRTPHRIRSDSVARSLVAIPPSASPPCPPPQSPSASPTLAVFSAPSVPVRFPALSVAVRRPCPLHLRHRWRLLPLQRPCLLRRLPTARGIRSEAGTGRWRRGRQLVLPAGGVAAGGAARRICPTQAPASGGAVLDDDHGAVVYPVRRSVAPPAVQRWGDIPGQHNCGAPGCPEMIPAQAQFCCLRCKAAVHCCLFIFKGVAYQINENAHAAGGLILSNFELRHLYSESRSHQKGLVVGDLASSAIRSPEDADSGAKQTIGTVPGETYPPQERMILAHHFVLRSIRF >OGLUM12G03510.1 pep chromosome:ALNU02000000:12:2778294:2779156:-1 gene:OGLUM12G03510 transcript:OGLUM12G03510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEMIHLYLNNSTMALAIPREACLLGAPRCHGIGMLVGAFSICKEQEQVREAILEERLMLTAFLLTYSEPHAGEGVLGVQEGIDEYRRKLAAILYNSPSNKFWNHAQAISEEI >OGLUM12G03520.1 pep chromosome:ALNU02000000:12:2780411:2783498:1 gene:OGLUM12G03520 transcript:OGLUM12G03520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G28600) TAIR;Acc:AT2G28600] MAKGDDALARKRNKVRRKRMRSSENAVSARVAGIIASKRRRKSGKRRACEGMCFSLPTPEDPFNDRHGKKRKGDDEPTGDAPAAAAAGRDESKKKKKKKKDSSAKKQPAREAAAAAANAKSREKDGAEYDRPSKFLVVCLNAIRDAAASEDGGGGIHDTGSWGVELWNCCSAAPPTHVLDTSGECATREKTAWLVSTACDIVARKEKLGVVVSCPFLLYLVPSQEKAVQVRSICKPLKSLGIHSVSLHPGASIEHQISGLKSCEPEFLISTPERLLELIALKAIDISGVSMLVIDGLKCFTDLNVSDKLCSIRDAILSNPQITIFSDPSDRRVAALATKLVGGKKITRLCTNDSVTSRSAFITQKIHICPSKDQKAPKKQLQISCVIKICLFACHGKLMGFSNMQVKEILEQILNDHARKTAKVLLVTASDHEAQHLSSSLKLQNCTVTNDSHGNSFTICSSVGLINVLVKDWENITTANFEDFDTVLVADLPPSVDEYIEILAGASCHVLVGEVHCIFSSADALLAKPLSEVLTSCGQVVPEFLRKLASS >OGLUM12G03530.1 pep chromosome:ALNU02000000:12:2795062:2795340:1 gene:OGLUM12G03530 transcript:OGLUM12G03530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSFPNPFFPSGGEGMDLGEPATRRRSVGGSELEMRSKRVMVSTLVVARMAFFSIDRSCPLTTSSPE >OGLUM12G03540.1 pep chromosome:ALNU02000000:12:2798668:2799848:1 gene:OGLUM12G03540 transcript:OGLUM12G03540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTGRRSSPPVAAALALLLLLVLFFFSHCASAARPLPASAAAELVLQDGATGNGDEVSELMGAAEEEAAGLCEEGNEECVERRMLRDAHLDYIYTQKRNRP >OGLUM12G03550.1 pep chromosome:ALNU02000000:12:2809193:2809465:1 gene:OGLUM12G03550 transcript:OGLUM12G03550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYLTEDTIGMSLLPSSFNCHHRLPMPDSASRGLGVAASSCSVELCRCRAATASQAQIRRASGDLERSRVVVAPWRGGKRLTVGEGEHL >OGLUM12G03560.1 pep chromosome:ALNU02000000:12:2811319:2812146:1 gene:OGLUM12G03560 transcript:OGLUM12G03560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADDDVSTVSTAALTDGGHGQRHRFTFLLPSQNAMMPPAPPTSIDDEDDDFSPDDVAQILSHLLLNGVISGETALLLQILTVALHFDLGGGGGGGGHGQNNDEDAMMAAPPPSIDDEDDDDGSPLLDQVLCYLLLNGIISGERALQILQNANMPLDLDLDDGGANMPLDLDDGGGFRGVPASAAAVAGLEKQVFHQFDHHGGDDDDDDEAKDSAAGCVICMEEFVAGDEVCAIPCAGNHSFHHHCITEWLGRSNVCPLCRHALPVEEQDEGGAT >OGLUM12G03570.1 pep chromosome:ALNU02000000:12:2821082:2832083:-1 gene:OGLUM12G03570 transcript:OGLUM12G03570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEPSPPAAAAAAAGQTHAGRVDALERMLTRLALTEDSVASLLCRFLPYTTISLVSPGASVRELLMENGSLFDKLVKQRPDISIMMDLWRIYTESTSTVVQNYCIVYVEMGFERLLSEDKGSIAPDLLINISNVPEQHQGIILRLVLKAIGECDTHKVDQTVASKYKSISASNDGLVFADFCLHMILYQTPPQGIRCPAGLSVAQSDRVTGNLPLKGDTLASRKLGILDVIETMQFKLEIVYPLYLAAASDSQEAVAKRGEEMLILKASAVNLEDSDLIKRLFTLFNGTASSENIASELEVAPAHSSLRVRLMGVFCRSIAAANAFTHTFQCIFGCIYGNGTTSRLKQLGMEFTVWVFKHATNKQLKAISPFILSGILHSLDGSSTTEADSSSRDIRIFAYQAIGLLAFRMPNLFCNKTGMAIRLFTALRLEEQSLRSIIQEAATALATAYKGASNIVLKDFEALLLEYCQAEQSEVRFSAVRWAVTLYNMKHCPSRYICMLGASDVKLDIREMALAGLNLLNDERQSSTMATDISYPDIAEMVNYIYSQQPQLLHCYEQRNGKLLFPTDTFLAMIKFLMKCFNTHDGSDFLQEDLSNSTLAKMCVLLEHAMSYDGSSELHTLALKSLVDISSRQPKMVSSFYVNRLDLPRTLLHADTHEEAPRLLGIASTLLSIAALYVLPELTSIFDQNPPSGYGRQCQNTTDINEELGSCCNGRTNHSLEHEVCGGGNNSDNLGGDGCDEELVTGCHHRHGRIDHNLEFLIDRMMSWSVRDILKAPPVIKKAQTTFVSIDNYFESLCIEAVALTTAQLKMPLQLLGTANWHDVKLISEVQFPYYLLDIVHIPTDCYKNRCHTIRRGDLILLDPTSPYSKKPKGCFFAVAVEDEDEYFRSAFKVQIIRKSRPVDLVINYAALLDINIQGQVEFWSSIHQDIDNKCQCIINSILQAPLVVFDKCTSEKSSSNFDVPNPNFRDDLDEYQLKAFKDKFGPKYKVFRKRREISFLDMFKKEFILASKQLKECLRSLKIWLPKLCLHNESTTEMIKVLDEIEDLLGNRNLSDGSMRKAFEVEAVQTFDTGDCGIAEELNDKRMKCAQLLRKFKEDLQSIDFPTFKTREDLEEFCMKNSSIIFCSTNNSFHLREIQLKIDCLIIDSANLFNEYETLVPLCLPSLHSIILAGDEAKKPTVGNQVYQQDAFGVSLFQRLLDLGFNQHLLLDQYITGQHGRRVSSKSKMEQIRISSFRRIDIEAEGFEQIFERLLQGRMLVGSFKVSCNYFELAEGEVYHYDYARPIVRDGVTWSHAVMFVGFGDPAGDVLLDNHLAAIDTCDFELERMKHNSIGHLVYQNSYGKLFGFPHFRCGYGRVGLQSIVSVWEVTV >OGLUM12G03580.1 pep chromosome:ALNU02000000:12:2838780:2844262:1 gene:OGLUM12G03580 transcript:OGLUM12G03580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTADERETPISRRISFPLDRRVLGVKDEQETMRLQERLKDPRLQEEIRRILSKISAYEGTETISSPIPILVYSTSDPQLWEAAVRYQEQVGGRVRFPLLYLKVDNESGKVVDVGKYWDDRHGVGEPRGRFKSFKWFADKHKMFTAEAETASSTKSTAQLQQHSYSEEEDLTMYKSHQQLQFSEEKDTTIEENSEKKWHAHLIDEYIRGILEGNDNSDTEIEDDENVLSKSPVEVDPCAIGQFQHFKEMNSLREECVTPNPKNVDSSSKISSQQGHAKLAAGSSSVYIMASRFSHYKAVGYHEYADLINRINKGVQLYSNSMQELSQKGTDGLSEAFVAFRNYFAEKDVFEEIDYKFGEILHHCSRTVGIRKIYHHYNFTVEMKNDKDCWIPRVYFAEVKMKYGFKYRFCAPLEATDVGQCYSCKNQGIDKLKHPSRGGYSKGYDGAVCNHLGEDSSDEEDELM >OGLUM12G03590.1 pep chromosome:ALNU02000000:12:2845021:2846640:-1 gene:OGLUM12G03590 transcript:OGLUM12G03590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSKYHRDYPFLPAAASSSDGDGDGDGFYIGEPRNPAAGADSTDVFLFLAVPAGWLVRLAAFVGELVASAILGLVYPVAALIGWLRAVPAAVASLLRRAATGLLAAACTFAVLAAAFVVSLVLGFALVRHWVAEPVTARHPLYFDYTEAQPSAAVALGGGAAAAVPAGHAVRVSMALLLPDSYHNRHIGVFQIKSEAISASGITIASTTQPYLIKYKSSPVRLIQTALLCVPLTMGIRSESQDANLKLLHYSEGHGRHKRTVLIRVMLQPRAMTVHLPQVYQAEIVVQTTLPWTKELVRALKWTLCVWVSLCVYVFILVLAMICWSRSPSAFSSRDRRLYDHQVIENSGMDMGDSGERSDKELYGGVGVKWKQRIRKRKAQHGTLQGDRMELKFTEGSTSGVAMDGE >OGLUM12G03600.1 pep chromosome:ALNU02000000:12:2847782:2849037:-1 gene:OGLUM12G03600 transcript:OGLUM12G03600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALIPDSDLRERRSTSIPFRSGSMECLLGVCANASAVPVSLMGAPQGLLKNAANFSIGGHYPLHQLWDTASQLLGDRRSWASRSKVAATTHVSFRVVHFQDLVYRSFGEIAVWLGGQYGIHDSWWDCGRSQTVKGCRPSLAK >OGLUM12G03610.1 pep chromosome:ALNU02000000:12:2849454:2854439:-1 gene:OGLUM12G03610 transcript:OGLUM12G03610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRLAVDLLTSRKEFLHALENLRSLIVTIERPASGGGGEGRDSRLFHRLESVGDIRIGILTCFLSCRPSPTGDREATTSPVLVSPSLLLCLAAAQAAAGKAARPQGRRRRGFVLTLGHGRGTYSRAAEPARSMPPTGSGGGPAAGLSGVAAVSGGGGSGVEALAAAAEEETARSAPGSGGTAAGGGVWWRGWRRRRGWQGDDVDEVNLAAPAVDPAPGGLAVATVVATTTAAQATTAGRLRRVSSLDDDDKVREDDEMAAGMEGWRGDGVGEANLAAPAAEPTPEESGCRGDGGDELASTAARATTVGGLRRVPSELDDGEKGREDAKYGMADLLDD >OGLUM12G03620.1 pep chromosome:ALNU02000000:12:2856224:2856553:1 gene:OGLUM12G03620 transcript:OGLUM12G03620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGALFGAGRLTSIVAVDALFRLRLPSSSRSPSPSIALSRSPSPSIARRGLTSGRKEEEEGRVRKRRKKEAVEADIWDPMSYSRNGEGKWRDCWSEQEFGSSNQLES >OGLUM12G03630.1 pep chromosome:ALNU02000000:12:2874063:2875049:1 gene:OGLUM12G03630 transcript:OGLUM12G03630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSASSLLLPSSVRDFASCIGDGAACTAPSSTRTRRGSAAVQAQPSTLSVTASYRVALASSSSPPLQLRLTWAHSPLGPTLSFSPSASGRKVLVRRRRGSCSVPSSGEDEAVESESELSASSPRLALFWDLTAARFDPAASPEPVSGYYVVVAVESAEVVLALGDLAAEFVKAKFEGTTQIPMAAPFARGERVVVAVSSDAAAAVTHTARARFAEGGAEHEVSVGCAPGGGGGGGGDELWVSIDGKRAVQARRLRWNFRGNQTVFVDGEPVDVMWDLHGWWFRREPPAPGCAVVMLRARSALESRLWLEEEAAAPAFSLLVEAFKSPP >OGLUM12G03640.1 pep chromosome:ALNU02000000:12:2881978:2885756:-1 gene:OGLUM12G03640 transcript:OGLUM12G03640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGVSRSMVLTLLGFCVSVLFIVFVCSRLACALLRRRRGRARLRRASPLAVSGVLSIYVDRHGHHQPSSAAGAASGTGGLDPAAVAAFPTRAFSPAASSSASASTQCVVCLAEYEEKDVLRVLPYCGHGFHVACIDIWLMHHSTCPVCRISLCDYPDSKHTMSPVPSAVIIPLPPCSPEASRSDQCNCLFVGTGHSPRTSQVLRNEPDQVKLPVILETSTQGDPWIAVIAKSCRARGASSGRRTAYMFVHAWMSAGPIMAHTIDLNFVCSKHQQQQWFFFPAATGKSRVEPRDADAGLPRPSGISSEDLTSYMSREKHLEFAGTFMAAMDKVVIIDFPVVVAKPPPAS >OGLUM12G03650.1 pep chromosome:ALNU02000000:12:2889397:2890785:-1 gene:OGLUM12G03650 transcript:OGLUM12G03650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGGRPAARQQAARGKQMQRTFNNVKITLMCGFITLLVLRGTVGINLLTYGVGGGGGSDAVAAAEEARVVEDIERILREIRSDTDDDDDEEEEPLGVDASTTTTTNSTTTTATAARRRSSNHTYTLGPKVTRWNAKRRQWLSRNPGFPSRDARGKPRILLVTGSQPAPCDDAAGDHYLLKATKNKIDYCRIHGIEIVHSMAHLDRELAGYWAKLPLLRRLMLSHPEVEWVWWMDSDALFTDMAFELPLARYDTSNLVIHGYPELLFAKRSWIALNTGSFLLRNCQWSLELLDAWAPMGPKGRVRDEAGKVLTASLTGRPAFEADDQSALIHILLTQKERWMEKVYVEDKYFLHGFWAGLVDKYEEMMERHHPGLGDERWPFVTHFVGCKPCGGYGDYPRERCLGGMERAFNFADNQVLRLYGFRHRSLASARVRRLANRTDNPLVNKEAALKMDAKIES >OGLUM12G03660.1 pep chromosome:ALNU02000000:12:2896998:2902030:1 gene:OGLUM12G03660 transcript:OGLUM12G03660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDHGGDADLAADELQSLSFGSSERSRSGSTVSTATTVSTTTSGPPPPPPPPPPRAAAAPRLGAVSLSDIRFVRRLGSGDIGSVYLAEVKGARGGGAAVVAAKVMDRKELAGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFTESAVRFYAAEVVAALEYIHMMDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPIALAGGHYSASSSCIIPSCIVPAVSCFQLFPGRGRRRRHRRKKKTASGGGGGISGSSFPAGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFVFELLYGVTPFKGYDNEMTLANIVARALEFPRDPPVSSAAKDLVTSLLAKDPTRRLGATVGAAAIKRHPFFSGVNWALLRCATPPYVPPPFSVAAATAAANSLAAAAAADMSDDDSCPGTPVEYY >OGLUM12G03670.1 pep chromosome:ALNU02000000:12:2898488:2923189:-1 gene:OGLUM12G03670 transcript:OGLUM12G03670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGDEAEGSGGGGEWCQWAAVTVGQGLSRDGKALKLAFQHWIEAVSETGSICQRKIQSLTISRWNGGCVCAPCRLPWRGAARPRHAPGNYSTPAPPAGLPSCAPCATVHGWDPHHHGYTQCNSVSKAVLWMDPKGS >OGLUM12G03680.1 pep chromosome:ALNU02000000:12:2924883:2925335:-1 gene:OGLUM12G03680 transcript:OGLUM12G03680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSIPIRKDDEVQVVRGSYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVVVTKLKLDKDRKAILDRKASGRAADKAKGKFTAEDVAAAGASLQEID >OGLUM12G03690.1 pep chromosome:ALNU02000000:12:2932114:2933643:-1 gene:OGLUM12G03690 transcript:OGLUM12G03690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELPWGARCAAAAFFVSSLCVAALGVVLLLLRRWPWCGCHVCRAYLAGSWRREFANLGDWYADLLRRSPTGTVHVHVLGCTVTANPANVEYMLKTRFDNFPKGRPFAALLGDLLGDGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIVAQEVEARLMPVLANAADSGAVVDLQDVFRRFAFDTICKISFGLDPGCLDREMPVSELADAFDAASRLSAMRGAAASPLLWKMKRFLNVGSERELKKAIKLIDGLAAAMIRERRKLGVANSHDLLSRFMASSGDDARGAADDKFLRDIVVSFLLAGRDTVSSALTTLFMILSKNPDVAAAMRAEAGAAAGESAAVSYEHLKRLNYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVDEGARVMYHPYAMGRMPRIWGADCDAFRPERWLTGAGGAFVPESLFKYPVFQAGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGENGRCGGGAAAAPRFVPGLTASISGGLPVKIRRV >OGLUM12G03700.1 pep chromosome:ALNU02000000:12:2942523:2970573:-1 gene:OGLUM12G03700 transcript:OGLUM12G03700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVSVAVVRAFDLEVVGENGRRGSAATAPRFVPGLTTSISGGLPEITKTKYATARPAKRSLHRMKGMGTQACNTCKRFRYTSSVVHHSRVSSQTHATVRDLDGDARARESSDDDDDDDDGRVTLPSFHNDVTLSPSFSLSLSLSMAPSEEPSKEEEVDLDRRPPKRSTGGGGGQHPAAAGRGWGGARMWMEDAPTRDRPPRRSPPPPAPSP >OGLUM12G03710.1 pep chromosome:ALNU02000000:12:2968422:2969030:1 gene:OGLUM12G03710 transcript:OGLUM12G03710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLAAVAVVMAAAATVFVGAASGASYTVGEPGGGWDTQTNLTAWASTVDLRRGDQLVFRYDASAYDVVEVTRAGYLSCSAASPVSAALRTGNDVVRLDGAAGWRYFIYGVEGRCAAGMKLQVRVTDAGAGCNNTLPSPSLAPAPPGAPSPGITICSGGPPTVIMTPGVISYGAAPRSSANLSSSLLVAMVSLLLGIIVV >OGLUM12G03720.1 pep chromosome:ALNU02000000:12:2988924:2990681:-1 gene:OGLUM12G03720 transcript:OGLUM12G03720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BP02] MANSGKKLMDGGGVAFQTLPRAAGDDNADDDYVRPPPRPLVSTVHDKPATHPQQVHISVVGANRMRICWVTDDDDGRSSPPSVVEYGTSPGEYTASATGDHATYSYSDYKSGAIHHVTIGPLEPATTYYYRCGAGEEEELSLRTPPAKLPVEFVVIGDVGQTEWTAATLSHIGEKDYDVALVAGDLSYADGKQPLWDSFGRLVQPLASARPWMVTEGNHEKEKTPPPPPVAGAGAGVRLSPSRFAAYNARWRMPREESGSPSSLYYSFDAAGGAAHVVMLGSYAYVEERGEGTAEQRAWLERDLAGVDRRRTPWVVAVAHGPWYSTNGAHQGEGERMRRAMEPLLYDARVDVVFSAHVHAYERFTRIYDNEANSQGPMYITIGDGGNVDGHSDKFIEDHELAHLSEFREMSFGHGRLRIVSETKAIWTWHRNDDQHATVRDVVVLESMAGAKTN >OGLUM12G03730.1 pep chromosome:ALNU02000000:12:2994732:2995056:1 gene:OGLUM12G03730 transcript:OGLUM12G03730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTIFTTLEFSNLLRCGTVCATWLTTARALLRDGFYSHPETPCLLLLIADARSKLHLLNLITGDQISLLSVTTIE >OGLUM12G03740.1 pep chromosome:ALNU02000000:12:2995147:2995896:1 gene:OGLUM12G03740 transcript:OGLUM12G03740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAIYQLNELHNFIYMKAMTSSDPATGDFTIMLIHNPYMQLDHQTSNGIRSPSTTTSASPTASSMTASFYTITYHRMVHLIDINPDSSYVTGVIVQETLPMMYLIVYLARSPNHGDFIQIFRFTSSLETDQTDRFVDYDLGDNDVFIGRNYTACLSTKDYPGLMPNHIYFTDDDECSLQAFKGTPRDIGVYNYEDDTLSEVVSPQPWLKWPPPIWITPSFKDFPNTYTQ >OGLUM12G03750.1 pep chromosome:ALNU02000000:12:2998010:3001982:1 gene:OGLUM12G03750 transcript:OGLUM12G03750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BP05] MAMASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYHSGNIHDVVIGPLKPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADGYDMLLLPGDLSYADFYQPRWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRPFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAGVDRAKTAFVVALVHAPWYNSNRAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYGGGEDACGPVHVTVGDGGNREGLATRYVDPQPAASAFREASFGHGRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKDSISLY >OGLUM12G03750.2 pep chromosome:ALNU02000000:12:2998010:3001136:1 gene:OGLUM12G03750 transcript:OGLUM12G03750.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BP05] MAMASVAALRLVVLLAAAVPLLPPPAASLAVTSTYVRPTARATLSVLHDGDGRTPQQVHISAVGSDKMRVTWITDDDAPATVEYGTVSGEYPFSAAGNTTTYSYVLYHSGNIHDVVIGPLKPSTTYFYRCSNDTSRELSFRTPPASLPFKFVVVGDLGQTGWTASTLRHVAADGYDMLLLPGDLSYADFYQPRWDTFGRLVEPLASARPWMVTEGNHEVERIPVIHPRPFTAYDARWRMPHDAGASPSGSNLYYSFDVAGGAVHVVMLGSYAGYAAGSAQHRWLRRDLAGVDRAKTAFVVALVHAPWYNSNRAHRGEGDAMRAAMEELLYGARVDAVFAGHVHAYERFARVYGGGEDACGPVHVTVGDGGNREGLATRYVDPQPAASAFREASFGHGRLEVVNATHALWTWRRNDDDEAVVADEVWITSLASNPACNKKDSISLY >OGLUM12G03760.1 pep chromosome:ALNU02000000:12:3003860:3005580:-1 gene:OGLUM12G03760 transcript:OGLUM12G03760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSAAARPRHRDGEEREEEQHRERWQDEAEAEASARRQGFPSCRGAARHCSVRRKKRRAVYLLGAGAGAAARPRPPPPPRHALSPSPPRRPALGIRPAFPKRKIKNAGILRIFATLMAKALQSSFTASPLSSRLGTKGTRRRGGASPQPAAAVRRNAAAVRANLSCSASPVPEEAAASSQAAPPPQQQAQGPGSREMRLVAILATRRAGGRRRRASSSRCPVARR >OGLUM12G03770.1 pep chromosome:ALNU02000000:12:3006928:3008118:1 gene:OGLUM12G03770 transcript:OGLUM12G03770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRHLPPVLVVLLLSILSLSFRRRLLVLQGPPSSSSSSRHPVGDPLLRRLAADDGAGSSQILAEAAALFANASISTFPSLGNHHRLLYLRMPYAFSPRAPPRPKTVARLRVPVDALPPDGKLLASFRASLGSFLAARRRRGRGGNVAGVMRDLAGVLGRRYRTCAVVGNSGVLLGSGRGPQIDAHDLVIRLNNARVAGFAADVGVKTSLSFVNSNILHICAARNAITRAACGCHPYGGEVPMAMYVCQPAHLLDALICNATATPSSPFPLLVTDARLDALCARIAKYYSLRRFVSATGEPAANWTRRHDERYFHYSSGMQAVVMALGVCDEVSLFGFGKSPGAKHHYHTNQKKELDLHDYEAEYDFYGDLQARPAAVPFLDDAHGFTVPPVRLHR >OGLUM12G03780.1 pep chromosome:ALNU02000000:12:3011656:3011907:1 gene:OGLUM12G03780 transcript:OGLUM12G03780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVNLKLYLENVYIMEENERLRRKAQALNQENKALLAKLNTNHAAASSTSTTTQHRPPTAASAAGAGAGASSTLKSGKQQPK >OGLUM12G03790.1 pep chromosome:ALNU02000000:12:3018769:3020235:1 gene:OGLUM12G03790 transcript:OGLUM12G03790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKTSGVKYPDGWELIEPTLSELHSKMREAENDPHDGRRKCEALWPIFKINHQRSRYLYDLYYNRKEISQELYEFCLDQGHADRNLIAKWKKVCTLFFLGGAHVLPAPP >OGLUM12G03800.1 pep chromosome:ALNU02000000:12:3021461:3022057:1 gene:OGLUM12G03800 transcript:OGLUM12G03800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEANAATTHHEITTAAAVTAGERQQLNAGGEDDKNIGMIVTDDDDEDEDDELFELDIALIDHRDGEEYYTKRLVTSSGCVAVAAAAAADDDDDEEDGGGDALLANCLLPVSSVSRAVPVTASSSFVVVSSYPVGRRYHGGGGCGGDDDDDASWRWRRFFFTSGGGGRTRIGRHNSNNSARFSFSRFQSLANFQRY >OGLUM12G03810.1 pep chromosome:ALNU02000000:12:3022611:3028744:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQMHSTLSAWKPINPQMFVVSSLVCLD >OGLUM12G03810.2 pep chromosome:ALNU02000000:12:3022611:3028744:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQTSSAPLAWCADLSLVVRTGVQGQL >OGLUM12G03810.3 pep chromosome:ALNU02000000:12:3022611:3028744:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQMHSTLSAWKPINPQMFVVSSLVCLD >OGLUM12G03810.4 pep chromosome:ALNU02000000:12:3022611:3027936:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQMHSTLSAWKPINPQMFVVSSLVCLD >OGLUM12G03810.5 pep chromosome:ALNU02000000:12:3022611:3028744:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQTSSAPLAWCADLSLVVRTGVQGQL >OGLUM12G03810.6 pep chromosome:ALNU02000000:12:3022611:3027936:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.6 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQTSSAPLAWCADLSLVVRTGVQGQL >OGLUM12G03810.7 pep chromosome:ALNU02000000:12:3022611:3025587:1 gene:OGLUM12G03810 transcript:OGLUM12G03810.7 gene_biotype:protein_coding transcript_biotype:protein_coding MVRERTYQKRHPLFQVTIIFVHGLNESITSPITRSTTRGSTDLDMVGALEGQCFRWLCCESN >OGLUM12G03820.1 pep chromosome:ALNU02000000:12:3023768:3025132:-1 gene:OGLUM12G03820 transcript:OGLUM12G03820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVEPLVVERVIGEVIDSFNPCTKMIVTYNSNKLVFNGHEFYPSAVVSKPRVEVQGGDMRSFFTLVRTGLTLSWEFHRREIISYESPKPSIGIHRFVFVLFKQKRRQAVVVPSSRDHFNTRQFAEENELGLPVAAVYFNAQRETAARRR >OGLUM12G03830.1 pep chromosome:ALNU02000000:12:3030289:3032742:1 gene:OGLUM12G03830 transcript:OGLUM12G03830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRILIVLLVVLLGLAFQAILRPPPQKLCGSPGGPPVTSPRIKLRDGRYLAYREDGVQKDKAKFKIISVHAFDSTKDFPLQVSKELVDELGIYIVGFDRAGYGESDPNPKRDVKSEALDIEELADQLEFGHKFYVLGVSMGGYSIWGCLQYIPNRLAGAAMVVPIINYWWPSFPAELSRQAFKRLIVPEQRTLWIAHNMPSLLYLWMTQKWLPSSAAAMRHPEIFSKHDLEVLQKMMAMPLIENKSRQQGIYESTHRDLLVAFGKWEFDPMNITNPFPQNEGSVHIWQGYEDRLVLVELQRYIAQRLPWIQYHEFPEGGHMFMLVDGWTEKIIRALLVGEQL >OGLUM12G03840.1 pep chromosome:ALNU02000000:12:3034428:3035687:-1 gene:OGLUM12G03840 transcript:OGLUM12G03840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLVLLNLEFPDLFRSAAVCKLWRATARDMRRLGLYSHAQTPCLLYTTAAAGPRAAVLYSLADKTTSYTVPLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDTGNLNNYKLVYYDGGGNSHRSNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEDSEHKEVRTTGIGVFKVDYKKQDLDEVDDIGNNALFIGTSYSICLPVKDYPHLMPNHVYFDDDYGYLVHRKHLRRDVGVYDYTNDTAIDVWNPQPWLNWPLAPVWITPSFTKTAKYS >OGLUM12G03850.1 pep chromosome:ALNU02000000:12:3048437:3050010:1 gene:OGLUM12G03850 transcript:OGLUM12G03850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGELVDELGIYLLAFDRAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKLCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASS >OGLUM12G03850.2 pep chromosome:ALNU02000000:12:3048437:3050010:1 gene:OGLUM12G03850 transcript:OGLUM12G03850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIGLLVVLVGLAFQAILHPPPQKLCGSPGGPPVTSPRIKLSDRRHAYKEGGVQKDKAKAGYGENYPNPKRNVRSEALDIEELTDQLKLGQKLCVGNVDGRIPNLGCLQYIPNRLAGAALVLPIINYWWPSSPAELSRQAFMGLIMPEQRTLWIAHNINFLALPLDDPEVAPFFCGSHASS >OGLUM12G03850.3 pep chromosome:ALNU02000000:12:3048400:3050002:1 gene:OGLUM12G03850 transcript:OGLUM12G03850.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKWLPSSAAAMHHPEIFSKHDMEVLQHMIAMPVIEGYVHIWQGYKDSWCWLSCNGNFKEAFLGSIIMKSQKEDTCSCWQMDGLTKYSGHSWLENNSRSCEPVALTEDAILLCSPFFQVYFR >OGLUM12G03860.1 pep chromosome:ALNU02000000:12:3052661:3054805:-1 gene:OGLUM12G03860 transcript:OGLUM12G03860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22960) TAIR;Acc:AT1G22960] MLFSIHPCKSQALAASAAIFGLRFVSSSSSSSSSTALIPPPRTEESPFASLLASDPPPPEALREVLAAGDVHAALRGLPGLARQLFRWAEATPRGFPRCASAYAAVLVPLAEAKHLHAAYPVSVRAIHLGLLLPLVSLLLSFPTTVSLHYLLNLLLRLSTKFNTRARNPAPSTCSTLCLAAFREMAHHGVPPFIKECNLVLRALRDEARWDDMRSVYAEMLQLGIEPSIVTYNTLLDSFFREGRVDQAAKLLREMEARPGGCLPSDVTYNVVINGLVRKGELEKAAQLVDRMRMSKKASAFTFNPLITGYFARGSVEKAGALQLEMENEGIVPTVVTYNTIIHGMFRSGNVEAARMKFVEMRAMGLLPDLITYNSLINGYCKAGNLKEALWLFGDLKRAGLAPSVLTYNILLDGYCRLGDLEEARRFKQEMVEQGCQPDISTYTILMNGSRKVRNLAMVREFFDEMLSKGLQPDCFAYNTRISAELILGSTSEAFQLTEVMISRGISSDTVTYNIFLDGLCKSGNLKDAYVLWMKMVSDGLQPDCITYTCLIHAHCERGRLREARDIFDGMLVSGLPPSAVTYTVFIHACCRRGNLYSAYGWFQKMLEEGVRPNEVTYNVLIHALCRMGRTNLAYQHFHEMLERGLSPNKYTYTLLIDGNCKEGNWEEAIRLYSEMHQHGIHPDHCTHNALFKGFDEGQSKHAIQYMENVVLGA >OGLUM12G03870.1 pep chromosome:ALNU02000000:12:3055510:3069580:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMVYLEQIGRPETGHPEEAALGGGGPRASDEVEDVGLGGGCPQAEELVVVGQGVVDDGPQVAVRQVRVEPAVQLRHPPPAVLQPPAVLGVEHRRSCHNQMDSEKKNYYLGTSSLEILLHLSQPQLAALKLLDVLKFSWS >OGLUM12G03870.10 pep chromosome:ALNU02000000:12:3062696:3073368:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.10 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFG >OGLUM12G03870.2 pep chromosome:ALNU02000000:12:3062755:3068339:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >OGLUM12G03870.3 pep chromosome:ALNU02000000:12:3063258:3069580:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMVYLEQIGRPETGHPEEAALGGGGPRASDEVEDVGLGGGCPQAEELVVVGQGVVDDGPQVAVRQVRVEPAVQLRHPPPAVLQPPAVLGVEHRRSCHNQMDSEKKNYYLGTSSLEILLHLSQPQLAALKLLDVLKFSWS >OGLUM12G03870.4 pep chromosome:ALNU02000000:12:3055510:3069299:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMVYLEQIGRPETGHPEEAALGGGGPRASDEVEDVGLGGGCPQAEELVVVGQGVVDDGPQVAVRQVRVEPAVQLRHPPPAVLQPPAVLGVEHRRSCHNQMDSEVKNEKGDALPALVFPPGDPPSKRP >OGLUM12G03870.5 pep chromosome:ALNU02000000:12:3062755:3073368:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >OGLUM12G03870.6 pep chromosome:ALNU02000000:12:3062755:3068339:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >OGLUM12G03870.7 pep chromosome:ALNU02000000:12:3063258:3069299:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWTRAWCLLSGTRSPEGAEGTEAIMVYLEQIGRPETGHPEEAALGGGGPRASDEVEDVGLGGGCPQAEELVVVGQGVVDDGPQVAVRQVRVEPAVQLRHPPPAVLQPPAVLGVEHRRSCHNQMDSEVKNEKGDALPALVFPPGDPPSKRP >OGLUM12G03870.8 pep chromosome:ALNU02000000:12:3062755:3073368:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.8 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFG >OGLUM12G03870.9 pep chromosome:ALNU02000000:12:3062755:3073368:1 gene:OGLUM12G03870 transcript:OGLUM12G03870.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTALLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWILGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPTISQIEFAARWACEKRTKGKPVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >OGLUM12G03880.1 pep chromosome:ALNU02000000:12:3056289:3060387:-1 gene:OGLUM12G03880 transcript:OGLUM12G03880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACT domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G04740) TAIR;Acc:AT5G04740] MALAAAAAAHRLVAPAAVSPYYSARVSPGFLRLHDFPRSVGLAATAAPRIFCQSINSADVLGASSTTSDDAIPQPVVLIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGSVSTESAVTQTKFHIMRSGRKVEDPDTLEKIRLTVINNLLQYHPESSENLAMGEFFGIKAPEKKVDVDVVTHVIVEDDGPKRSMLYIETADRPGLLLEIVKIITDVNVDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLVNCLRYYLRRPETDEDSY >OGLUM12G03890.1 pep chromosome:ALNU02000000:12:3062421:3062955:-1 gene:OGLUM12G03890 transcript:OGLUM12G03890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAREEREEETTPTSVARTADRRRRETCFLLSDGNQHRGAKIRAQGQPKSGRCDPVASSCPLFSHTRAHLAGFSSTHGMKERATRAVVSEREKSSMGEEAAKRQQHPR >OGLUM12G03890.2 pep chromosome:ALNU02000000:12:3061616:3062421:-1 gene:OGLUM12G03890 transcript:OGLUM12G03890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSASVPPGHDGARGQGYAPCFCSRDKVGEMATTSSSEVSKASIVLCILLALGEMAYTSPAVVSVSVMASQNVEIMVNLVRH >OGLUM12G03900.1 pep chromosome:ALNU02000000:12:3066537:3072522:-1 gene:OGLUM12G03900 transcript:OGLUM12G03900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEARRGQNPHHVLGYGFHGTTLPNSMASANLFEQGGGGGGGAAYFGELEEALVHQVATLRRRAQQTATTTTSHHGHTTPFSTAAAAATATATARPPATLDIFPSWPMSRSSLPTPKDGCSNVTADTTDSESSSKNNGDQGAAAADMASQFDQIPQQQQKQHKKMAASSTHSDHRMTKTLDPKIMRRLAQNREAARKSRLRKKAYIQQLESSKLRLAQMEQDLERARSQGLLLGGSPGGNTSAGAAMFDAEYSRWLEDGGRRMAELHGGLHAHLPDGDLRAIVDDALAHYDELFRLRAAAAKADVFHLITGTWATPAERCFLWMAGFRPSDLLKTVAPQLDPLTEQQVVGICSLQQSSQQAEEALSQGLEQLHQSLAETVANGGSVVDEASLGSFMGYMALALGKLSNLEGFVIQADNLRQQTLHQMHRILTIRQAARCFLAIGEYHNRLRALSSLWASRPREILVADEGNCGELSIAAQPSESQFSAF >OGLUM12G03910.1 pep chromosome:ALNU02000000:12:3083536:3083925:-1 gene:OGLUM12G03910 transcript:OGLUM12G03910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCMVIQDRNREIKIMSMDVDGEILKLPPPPPPLNGVSSSSDDEALRPATADMADDPPGGAVVRVKLVVRKQELKKMLLHNDAAAISLNDMVSLMQKQAEADELLHQQESCGSVWQPTLQSIPEGSVF >OGLUM12G03920.1 pep chromosome:ALNU02000000:12:3092208:3093467:1 gene:OGLUM12G03920 transcript:OGLUM12G03920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPAAADWSELPADVLGLILLNLEFPDLFRSAAVCKLWRATARDMRRLGLYSRAQTPCLLYTTAAAGPRAAVLYSLADKTTPYTVPLPDPPIAERHIVGSSHGWLVTADHRSELHLLNPATGEQLDLPPVATIEHVRPLYDDTGNLNNYKLVYYDGGGNSHRSNDDDMHTVTHPPETFREFLYLKAVISSDPSRGDDYTVMLIHHPYLQLSFARSGDKKWTWIKMGNNECEWFEDCIYHDGVFYAQTVHGAIHAIDVVSASSSFTHRLILKPTMGELGTLYIVRTTEGDILQVLRVTEEDEDSEHKEERTTGIGMFKVDYKKQDLDEVDDIGNNALFIGTSYSICLPVKDYPHLMPNHVYFDDDYGYLVHRKHLRRDVGVYDYTNDTAIDVWNPQPWLNWPLAPLWITPSFTKTAKYS >OGLUM12G03930.1 pep chromosome:ALNU02000000:12:3093997:3094485:1 gene:OGLUM12G03930 transcript:OGLUM12G03930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSSYPSPNPLFHPPPSPPRRNPLLLPLHRQRRRRRRQRGWVAVVTGLGGGQSRRRRHVGDGSGGGLSFSSSLLHRCQLPSPPPLRAAVASTASPPRAQGRLDPAAATTGVAGSAAASTGEAGAATTTTGEVGSAAASTGEAGAAATTTGEVGSAAAMTT >OGLUM12G03940.1 pep chromosome:ALNU02000000:12:3098172:3099638:1 gene:OGLUM12G03940 transcript:OGLUM12G03940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNDAGGTSSKLNDRAEVSSKDKTSVSELEDGNVCSHHGIEEPNEESMQGIVMEQEELSDSEEDSQHVEFEREEMDDSDEDQVQGVDPLLAQNKEVSTSVGCGEYEGSNNQSQNQQMVSKQGAATQKPQRLSNATPAREKLKGDNAKRIGSRTSPRSSTSPTTEPNQTKTRRPKAQQESLSESCVVLQLVT >OGLUM12G03950.1 pep chromosome:ALNU02000000:12:3100375:3101716:1 gene:OGLUM12G03950 transcript:OGLUM12G03950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRAAIVLVVAASSVAVAFSHAEGNEGPDFTYIEGAMDGPSNWGKLSPEYRMCGEGRSQSPIDINTKTVVPRSDLDTLDRNYNAVNATIVNNGKDITMKFHGEVGQVIIAGKPYRFQAIHWHAPSEHTINGRRFPLELHLVHKSDADGGLAVISVLYKLGAPDSFYLQFKDHLAELGADECDFSKEEAHVAAGLVQMRSLQKRTGSYFRYGGSLTTPPCGENVVWSVLGKVREISQEQLHLLMSPLPTKDARPAQPLNGRAVFYYNPPGSAVSFQEFAM >OGLUM12G03960.1 pep chromosome:ALNU02000000:12:3104083:3111285:1 gene:OGLUM12G03960 transcript:OGLUM12G03960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARHILAAAAATALVLLCCFHLPATAMMADTIRYGPPRVPHRLGTVIAVDLGNTNSCVAGYGDEADAPPLFRLCIPTSVAFTGDGDALVGEAAKNHPAAISGFKRLLGTRFGSPEVRRAAEHLPYKIVDWCTMAHIEVNAGAGGAARSVYASDVASMVIAELKARAEARLAGGRKKVHNAVVTVPYYFSDGPREAAMNAARMAGLTTVRIIDEPTAAAVSHGLHHGRLRDGGNVLVLHVGGGTSAATVLTYDNAVFEAVASRHDAHLGGDDFDARIAGHFTQLIKRDHGGGVDDIAAAKLKSQCELAKRTLSSHDVAQVNLHATNLANGAAFSFSGSLTRAQFEELNHDLFEKVISLVDAAMAEARRAAAGFDVIDEVVLVGGSTKIPRIRELVKNYFAGKEATVKATASIGGGAVVVVEPEEAVVHGGGLLSHPMEDGYHCMGAGGRRQIGSPMDRCYHEF >OGLUM12G03960.2 pep chromosome:ALNU02000000:12:3104083:3108553:1 gene:OGLUM12G03960 transcript:OGLUM12G03960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVLKLSQMWVLFLVILLLVCPVYSLTHDGSAEIGTCRFDKTSVAALSCKQPDGKWRPPSVTCCNALLNAIDHLPASNESGVCCLCRYLQLRYPNDGHGLVSSYVLCQGKDRHIVTTWSSFPITSCYTVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPQAERRRSSGRRSSAQLKERRIFKVDLISFISTVGKSFQFAEPLFEMKQILLSSHPLERVEPVSMVTRLKTGGVPRLMPMKYLFLRMLSSPMTVEESAGLQDHF >OGLUM12G03960.3 pep chromosome:ALNU02000000:12:3104083:3111285:1 gene:OGLUM12G03960 transcript:OGLUM12G03960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMARHILAAAAATALVLLCCFHLPATAMMADTIRYGPPRVPHRLGTVIAVDLGNTNSCVAGYGDEADAPPLFRLCIPTSVAFTGDGDALVGEAAKNHPAAISGFKRLLGTRFGSPEVRRAAEHLPYKIVDWCTMAHIEVNAGAGGAARSVYASDVASMVIAELKARAEARLAGGRKKVHNAVVTVPYYFSDGPREAAMNAARMAGLTTVRIIDEPTAAAVSHGLHHGRLRDGGNVLVLHVGGGTSAATVLTYDNAVFEAVASRHDAHLGGDDFDARIAGHFTQLIKRDHGGGVDDIAAAKLKSQCELAKRTLSSHDVAQVNLHATNLANGAAFSFSGSLTRAQFEELNHDLFEKVISLVDAAMAEARRAAAGFDVIDEVVLVGGSTKIPRIRELVKNYFAGKEATVKATASIGGGAVVVVEPEEAVVHGGGLLSHPMEDGYHCMGAGGRRQIGSPMDRCYHEF >OGLUM12G03960.4 pep chromosome:ALNU02000000:12:3104336:3108553:1 gene:OGLUM12G03960 transcript:OGLUM12G03960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVLKLSQMWVLFLVILLLVCPVYSLTHDGSAEIGTCRFDKTSVAALSCKQPDGKWRPPSVTCCNALLNAIDHLPASNESGVCCLCRYLQLRYPNDGHGLVSSYVLCQGKDRHIVTTWSSFPITSCYTVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPQAERRRSSGRRSSAQLKERRIFKVDLISFISTVGKSFQFAEPLFEMKQILLSSHPLERVEPVSMVTRLKTGGVPRLMPMKYLFLRMLSSPMTVEESAGLQDHF >OGLUM12G03960.5 pep chromosome:ALNU02000000:12:3104083:3108553:1 gene:OGLUM12G03960 transcript:OGLUM12G03960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKGRSASAPASPCVVLGDDAADAAAVAKVDRKYGSMLNAGSPLQILYTDQLADAKGGLKANAPCEITSVTECTCPLELQGMLKEECVDQYKLLSDRLLAKIVPKVARVKKPVSSSEVDAEFGVSAYSKYGTRTVSTSLRVCRQEKTSSSGMSNPVQKDHPVRNAHGTGNEVFKIPWIFGSVAFCVILLIFLWYLWWSKAASNACQLQSLPLNRNWRLSSDGGPQAERRRSSGRRSSAQLKERRIFKVDLISFISTVGKSFQFAEPLFEMKQILLSSHPLERVEPVSMVTRLKTGGVPRLMPMKYLFLRMLSSPMTVEESAGLQDHF >OGLUM12G03970.1 pep chromosome:ALNU02000000:12:3107043:3108147:-1 gene:OGLUM12G03970 transcript:OGLUM12G03970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNKYFMGISLGTPPVFNLVTIDTGSTLSWVQCKNCQIKCYDQAAKAGQIFNPYNSSTYSKVGCSTEACNGMHMDLAVEYGCKRMILVSTARYGSGEYSVGYLGKDRLTLASNRSIDNFIFGCGEDNLYNGVCQQTDYTAFSYCFPRDHENEGSLTIGPYARDINLMWTKLIYYDHKPAYAIQLDMMVNGIRLEIDPYIYISKMTIVDSGTADTYILSPVFDALDKAMTKEMQAKGYTRGWDERRICFISNSGSANWNDFPTVEMKLIRSTLKLPVENAFYESSNNVICSTFLPDDAGVRGVQMLGNRAVRSFKLVFDIQAMNFGFKARAC >OGLUM12G03980.1 pep chromosome:ALNU02000000:12:3118396:3118910:1 gene:OGLUM12G03980 transcript:OGLUM12G03980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAEEEEGGSKAKTGGGGRTPRAAVPDHEPGSPKVSCIGKVLSDRERARRHRRWSLETRPRGGGGGCCPGLGSLFVRRSRSRKNVVECVDDQSPPPPPPPPPTAAALRRREEKVVLMTEEAAAAPAPALGGMTRFASGRRAADWAAEMEMDGHVARSGPL >OGLUM12G03990.1 pep chromosome:ALNU02000000:12:3122196:3125437:-1 gene:OGLUM12G03990 transcript:OGLUM12G03990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >OGLUM12G03990.2 pep chromosome:ALNU02000000:12:3122196:3125437:-1 gene:OGLUM12G03990 transcript:OGLUM12G03990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQHHHIRWNHHGQQGSDGYTWIQFRHNINWSSFCDNHLDDYRLSQPSHLPIPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDRVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPNGALDEKDGSEK >OGLUM12G04000.1 pep chromosome:ALNU02000000:12:3131815:3132136:-1 gene:OGLUM12G04000 transcript:OGLUM12G04000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSISFAESGETHTKIYGSALNLCFVPRWGLPDYQVECTSNESLGSSCHGIAVAPCRSAPFLLLSFIRKSTHLVQLNSHFNRVALCFQILSFGNVLGFIP >OGLUM12G04010.1 pep chromosome:ALNU02000000:12:3139992:3143523:1 gene:OGLUM12G04010 transcript:OGLUM12G04010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDGVLWGDGVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >OGLUM12G04010.2 pep chromosome:ALNU02000000:12:3140189:3143523:1 gene:OGLUM12G04010 transcript:OGLUM12G04010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >OGLUM12G04010.3 pep chromosome:ALNU02000000:12:3140166:3143523:1 gene:OGLUM12G04010 transcript:OGLUM12G04010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVRDRAEDFKESVRVAALGHGYTESQLAALMSSFIIRKPPPKLPFTKAAIKTLESIRELEKFIVKHRKDYVDMHRTTEQERDNIEHEVSVFVKACKEQIDILKNRIHEEKGGSTKTWLGTSDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRTMRFQDAINRAMPRKRIQKKRETKAAEPSKPNLVLKSDVSKVEDQEVSTAPLRVQEQLLDDETRALQVELTNLLDAVQETETKMIEMSALNHLMSTHVLQQAQQIQYLYDQRGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYSK >OGLUM12G04020.1 pep chromosome:ALNU02000000:12:3144906:3145216:-1 gene:OGLUM12G04020 transcript:OGLUM12G04020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALNPIIQSTTRHAGQSGQWQQQAGRQWLDPRARRFALIDSSNLPSDHKCFYQKSHPIATTYSKWFIGS >OGLUM12G04030.1 pep chromosome:ALNU02000000:12:3146773:3150706:1 gene:OGLUM12G04030 transcript:OGLUM12G04030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSKSDKKKVLDFAAWSFNITTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLPDLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNAKGLAAAAIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFGFTSIALFFLTLSCVIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNFQVVLGMILAVVGMIWYGNASAKPGGKERRSVLPVRSEKPSGALDEKDGSEK >OGLUM12G04040.1 pep chromosome:ALNU02000000:12:3151062:3159148:-1 gene:OGLUM12G04040 transcript:OGLUM12G04040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLRHFKWTSKPWIGFKLSSGRTTITKLLMIDKAMASDPSPLQDFCVADKNSPVRVNGFPCKDAKDVSVDDFFLAANLNKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVIEGSLYVGFVTSNQANGENKLFTKTLNKGDVFVFPEGLIHFQFNPSYDKPAAAIVALSSQNPGAITIANAVFGSNPPISDDVLAKAFQFHQLNMASSNFFLLTALIALVATQAMAFDPSPLQDFCVADRNSPVRVNGFPCKDAKDVNVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGTLYVGFVTSNQANGENKLFTKTLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITIANAVFGSNPPISDDVLAKAFQVDKKAVDWLQAQFWENNHN >OGLUM12G04040.2 pep chromosome:ALNU02000000:12:3159153:3159868:-1 gene:OGLUM12G04040 transcript:OGLUM12G04040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNFFLLAAFIVLVATQAMASDPSPLQDYLFRYDLCMFLNIVRVNGFPCKDAKDVFVDDFFLAANLDKPMDTTKSKAGSNVTLINVMKLAGLNTLGISMARIDYAPKGQNPPHTHPRATEILTVLEGSLYVGFVTSNQANGENKLFTKSLKKGDVFVFPQGHIHFQFNPRYDKPAVAIAALSSQNPGAITIANAVFGSHPPI >OGLUM12G04050.1 pep chromosome:ALNU02000000:12:3167581:3168390:-1 gene:OGLUM12G04050 transcript:OGLUM12G04050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGGALRRLFEKPLPVPENPTLLEALSACNHVHHRKKLVDPASFTEIFGELHFQEKPVDVAAAAAARFLPPPPPVRVASWVDVADDKSKDDSSLDALLRPKSSAAAADVAVKRSASFCLKSSESSLLLCTEGLGTESTVDADDMVKDGDGDGEAIRRGEEETDGVEEDDGAGREKRGTPTPAPTPTTFPPPIRSIGRGGKPCVCFRSFRADGRFVLMEVVIPGKELLQATREGGRLTLRFANAAAAAAVGGGVVEAHDDVNDDDVDR >OGLUM12G04060.1 pep chromosome:ALNU02000000:12:3170475:3174695:-1 gene:OGLUM12G04060 transcript:OGLUM12G04060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVALFRGPTNLASPASRSSSSSSSSSSLRYLATSDGDVLPRRRSSGSGSSPGSTGSLGIQERREEEGGESEEEEEEWSFLALLLALLRKSLLGCSAEDGGGGEGMEIGWPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEELVRDQLNGGIVPEGIDVHCLAGLIKMADPLTALMYAVQVMNFLKMLIQKTLKDREESDLDDLSLPQKDPSDENGHQTTGLSLDSHPDEGSRRPSFVSEEPLLNSPVHSTEEKPNKTNLAEGKFADSSCPENVALTSMETEGSTSCSQPALAAAAAAPRATAMNLLQGKGSRSLNSRRTRKGKVQFGTRAAPASEKSKGASIVSRINSKVERIEAWR >OGLUM12G04070.1 pep chromosome:ALNU02000000:12:3181751:3226437:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.10 pep chromosome:ALNU02000000:12:3183441:3188413:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.10 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.2 pep chromosome:ALNU02000000:12:3181751:3216309:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.3 pep chromosome:ALNU02000000:12:3181785:3226437:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.4 pep chromosome:ALNU02000000:12:3181785:3216309:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.5 pep chromosome:ALNU02000000:12:3181751:3223925:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKKNSGGPKSFKKKVPGKGSFRGNRRTERPNVKPSRCRWRRSQPSAGAGAGLMGDDVAGCGSVLGRRNRCAATASTGGNESAAAARGIFCGGQEKRWGDCGACERMGGMESRMIPIVPLDIGRLDSIWYHQHTRPPTMDRLLLFRPRKPASSSSSVAAVADGDLLERDVLWPASSAPGLLAALPDDEGSKKKKRAGHAAVRSASRPVPETAPLTPTAGAARSAPVRIPSEAAAAAGRRGRWAAAQSSVGGEDGDAAMVVPPHEVVARRAAAHSSVKI >OGLUM12G04070.6 pep chromosome:ALNU02000000:12:3181751:3223081:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKKNSGGPKSFKKKVPGKGSFRGNRRTERPNVKPSRCRWRRSQPSAGAGAGLMGDDVAGCGSVLGRRNRCAATASTGGNESAAAARGIFCGGQEKRWGDCGACERMGGMESRMIPIVPLDIGRLDSIWYHQRRHIAGDPPSW >OGLUM12G04070.7 pep chromosome:ALNU02000000:12:3181751:3226772:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKKNSGGPKSFKKKVPGKGSFRGNRPNEEFMMDMLEAKQVAQAPTAFQELEWHRNEQRDQMSSRRGADGGGRSRLPVPERASWATTWLAVALFLPHDVQKNYYLLM >OGLUM12G04070.8 pep chromosome:ALNU02000000:12:3181754:3188413:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.8 gene_biotype:protein_coding transcript_biotype:protein_coding MACTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04070.9 pep chromosome:ALNU02000000:12:3181785:3188413:1 gene:OGLUM12G04070 transcript:OGLUM12G04070.9 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDNECVLNIQTLPGEYFCPVCRTLICPNEALQTQCTHLYCKPCLAYIVATTQACPYDGYLVTEADSKPLMESNKPLAETIGKVTVHCQYHKSGCQWHGNLSDCITHGTTCAYGNSPVVCNRCSTQIVHRQVQEHAQLCPGLQPQAQAQHTDSSMMQSSATGTQAAVQGPSAVASAVPMMPVTAAPTTVPSTTTARAGTDSAIAVASAGSTTVSTVAVAPSLPVAPTAASQGQALAPQTPTAEQYQQQLQYQQYYQQHYPGYNPYMQQYQQYGQYQQYTQPQTQIAPQNVAQAPAQSAPYAQPQVLQPNQPQQMVPFQPQNQPHLTQLQAPAAQSQSQQYPPLQSAAQTQIVQAQPQSQVSFQQPQPHAQPTTHTPVPTQLGSQPFAMPPTQATPSEVQPHVQPHIPQHHQVMAQQQQPQLQHLPQQQHPNAQQQSYPQMQAYHQPPPMSRAQPQNPSVHAVTGHQSFSQPQPAHQMQQGAPLQRSLHVSQQQMPSAQHHALAHTPQGQQPTMMAQGTQQTPQHQHVGHHALRPEIYASIPPQAAPQGFPLNTPAPSQTGQSYQQGMPSSQQLMHAPLQSQGQQFMQQHPTHTSAGWSMNYVAPQEQFQNQSGGPVKGLQAGVMNQQPPMRMASDNVGATSELHGAGQSFGQGSSSLKKPTSESEKSENATNATGNTEVSGKNGSAESALVNPISLDGSDGSDKGKGKGKVDFSAWESNSHDPDARGGKGTRSGISNDLVKGGSLQQAPQHPYGPDSMLPQHMRQPGHMPYMQGLPNQMRPPKHSFPENSRPPMQQPFEMAPRVLGPNQNQMQISQSIRPDGAIVRPPMGAPMPGLHDSTVPPFAPEYVGQPHPLGTKKNNSVGNGPNGGSRALFEGGFNSSQKHSKSCAANPGRNNVSHKDFEDNMKQFPVPTHLDGEGHQRGPRPFEGGLGRPDGFADILPGRPPLTNHPGPFPIGFGEDYPRKPNSTVSYPDFISPGAEFGHCGMDGIPTLRNAGPFLQGMTGGPGGLHKDQLGSSNFPGSGHHDFDNSEFPRTRFHPGDAFVPRNLHGGGWGGGQLHGIEPSDYGYRGHMHADDPNIPIDYSRHGFPKESAHFGSSGHLRDGDVSWCRICNISCGTVENLNIHVETREHHQHAMDIVLKMKQDVAKRRKNSFRNSGGPKSFKKKVPGKGSFRGNRR >OGLUM12G04080.1 pep chromosome:ALNU02000000:12:3193974:3196624:-1 gene:OGLUM12G04080 transcript:OGLUM12G04080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLLLITCFFLSLLRAAAGGNSASASASASSLPHERDALLALKHGVTSDPAGLLDSWRRDEDCCRWRGVRCSNQTGRVHELRLGSSSSTALVGQISPSVLALQHLEHLDQHLSLNNVNLTTVMDWPRVMNMIPSLKVLNLSGCSLATANQLLLPVNLTNLEVLDASSNSFHHPMSASWFWNITSLQYLDLSSNRLYGQIPHKLMMTSLQFLDLSSNGDGDKNMGVMATDLSNLCSLKVLKIRWALLYGDITEMFKNLSSNCSPNQLKELDLGVNQLTGTLPKWIGQLTSLVKLDLSSNNITGPLPASVGRFTDLKFLDLSDNHLTRNVPHEIDLAKNKFTGRLPAWIGNLMLLRILRLSHNKFVGDIPMNITNLACLQYMVLSNNEISGSLPSYLSNLTAMRKTNMTGMCYVGDIDNFHLISLSAVLKGQERNYGSISRVFDTNMTSIDLSSNNLTGEIPEEIIALNVLVYLNLSRNHFIGVVPSKIGEMQSLESLDLSRNKISGEIPATLSNLTFLSYLDLSYNNLTGRIPSGTQLDSLYAANPFMYIGNIGLCGHPLQNNCSRDNASKQGRHQGGTKEGHGIEFFYLGLGCGFITGTWMAFGVLLFKRSWRIAFFQLPDKLYDKVYVLVATWARRTQTDRLP >OGLUM12G04090.1 pep chromosome:ALNU02000000:12:3212788:3213624:-1 gene:OGLUM12G04090 transcript:OGLUM12G04090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDALWCVSSHDDGWLMHMDVFKPCIMACDNRHFLKNSFSNATIDIPCRFDRPINAFVVDNRYSTWPERFTLHKIIVCSPDLVVAASRLIDNNIVSFRPGIDTSWSVLPYDDDDGDHKRMYEDIALYRGKLYALTSNGDLLVHEIRDNNTLSRAELVIRGAAAEPPLSHLQWQYSIDDIVRQYRVISCKYLVISCSGTLLMFRCTISPMLGTSANVDDYEIKFKVFEADLEGGQWLEVKSLDGQVVFLSKACSKAILSFDHGDPRFGGNCIFFLAVG >OGLUM12G04100.1 pep chromosome:ALNU02000000:12:3218548:3221403:-1 gene:OGLUM12G04100 transcript:OGLUM12G04100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLSKATPTAAAATAPPPASRQPLWFDLPTDLAGVVLLRLPSHADRVRFGSVCRQWCHAATTAPPPLPPALPWLNFHDGTLQSFPGGERRRFSRLNRHTICAGSTAAGWLLFRRPGHGPRRRHYLKNPLTGEVVRLPGHCHCGDPARLRRQHLPGSPTAYFYIRKVIVCGGGGLVVARISYSHDNADDVVACCRPGTSPSWSAGPWEGKGYHDMAFHDGKVYTVAGRGDLFAHEITTHRKTKQPMVSDLATQIIQVGLFESFLLDGTYAAVRCKRLHYLVMSKQSNGLMFISRAWVMRRSSLVQAAPWQFVRRAMEVMWKQFVFAAHSRGAMGLCFGIDTRSSPPPSPPHPRPQPPPPGSSDLPTDVAILPSPPHPRPPPPPCWSDLPTDLAGQWRLGALRQHPLPPPPPWLLILRSPCVYQSLPDGELRPVPGANSSRAIPYFSSYDDGWLLEYQCFGRRDRIRNPLSRAAIDIPRCFDQRIRSLDYFLGDNDGSHSINPAEYTLPKIIVCSPGLVVAAVVHSTCIAAFRPGIDHSWSVISGDEVMSTTGGDDDDDDDDDYPWMRRKYEDISLYRGRLYALTSKEELLVHGIINDDDIDTVSANAVLSRAEHAIRAVHHHPLTLLERIQNFSSDESRYLVISCSGKLLMIRCTTKYSPDGSSSMGDGSSSMGGTTIKFKVFEADFWRVWLEVNSLDGQIIFLSKACSKAIPRSPGNGDPRFAGNCIFFLGEDITWR >OGLUM12G04110.1 pep chromosome:ALNU02000000:12:3234026:3234949:1 gene:OGLUM12G04110 transcript:OGLUM12G04110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSGGGGGARIVSDPAATPGFRFYPTEEELIGFYLRHRLAGTRADDVARVIPVVDVYGYHPSQLAAMAGVATAGDREQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSFVFSSSATAAARVIGVKRTMVFYQGRAPSGTKTRWKMNEYKAVAAAADDDDHNAAGVAVQLPPMAPPPSSSACVRLRNELSVCRVYVSTGTLRSFDRRPLDAPPVISHHQPQLQQQQRQLPSSAAAAATNGNLIALAGGYECSHDSSGGSSEDAAIDWSSLITAATDSATAAVDFSFNDDIDFSPAAVGPWAPQL >OGLUM12G04120.1 pep chromosome:ALNU02000000:12:3241455:3242961:1 gene:OGLUM12G04120 transcript:OGLUM12G04120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDHSGGGGEQRQCRRGFAVDVVELGAALALADMAGAAVKPAMQLQLQPTAAQEEDEEMATTRLSLQLGNNVGSIIQSSSCSSSGSSAGRPAPPPPPPPATAYGTKPRHMLTEEEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSTQNESMKKERETVMQEYLSLKETNKQLKEQAQHHLSLSLF >OGLUM12G04130.1 pep chromosome:ALNU02000000:12:3246074:3249331:1 gene:OGLUM12G04130 transcript:OGLUM12G04130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPAPATTAGAGGKVTPNLAMDAEGTRLLNLTVLQRLDPAVEDILITAAHVTLYDFNIELNQWSRKDVEGSLFVVKRNKQPRFQFIVMNRRNTDNLVEDLLSDFEFELQPPYLLYRNAAQEVNGIWFYNQQDCDAVAGVFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPSPSSTVLVSNAPDESLTDYFSGAVNVGNVSITPMVGRTHQPIESVASSHVPLIIPSAAPTHQMGVPSGAASAPPLPVIDTNVHSSHSTNLVTPAFFAPPSSSSASLVAPASSFMPTASPFHPNSADAHRPAYGHGTPLLQPFPPPTPPASLTPVHNDEPVISRDKVKDALLRLVQNDEFINLLYQELQNAHA >OGLUM12G04140.1 pep chromosome:ALNU02000000:12:3250019:3251002:-1 gene:OGLUM12G04140 transcript:OGLUM12G04140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVCSAAAVSLDGAVAGATTTTAIEDIPGDVLSLVLRRLDDGASLAALGCACSAFRELAADAETWRGLCLATWPSLRDVDGLDECGGVTGGGGYRALFADAFPLPAEARGLVPSSASLLPARLVSAVDLHHGEVCLMSRVVETDASSEWFLGSPFRVDALVQEGFSAPAPITPAELTLSWILIDPATGRAVNASSRRPVSVDREWLAGETVARFTVVLGGGVVALDAAVTCDDRHGHVREVSLRAEDGDGGGVSGRDVLAAVAEAMEGARHGRGAEAAAWRRYEAFARGRAARKVKKARQDGAVDFFCSGVAAAAFVGVLSTLTLR >OGLUM12G04150.1 pep chromosome:ALNU02000000:12:3270858:3271463:-1 gene:OGLUM12G04150 transcript:OGLUM12G04150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTFCVTLASRHGATAMAAFQICAKVWLATSLLADGLAVAGQALLASVFAKKDHYKVAMTTARVLQLAVVLGVGLTAFLAAGMWFGSGVFTSDAAVISTIHKGVPFVAGTQTINTLAFVFDGEWRGMASIRIG >OGLUM12G04160.1 pep chromosome:ALNU02000000:12:3276922:3284260:1 gene:OGLUM12G04160 transcript:OGLUM12G04160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGALMPSPDETCCVGGGGGSSFSSLRAYGRALAQTPRRLAARACAAASPGEEMSRVRARSGADMARALRWPDLVGLGLGGMVGAGVFVTTGRATRLYAGPAVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIAVPGLPKGFNEVDLVAVGVILLITVCICYSTKESSSVNMVLTAVHVLFIMFVIVMGFWRGDTRNLTRPADPEHNPGGFFPHGAAGVFNGAAMVYLSYIGYDAVSTMAEEVERPSRDIPIGVSGSVVLVTLLYCLMAASMSMLLPYDAIDTEAPFSGAFKGSSGWGWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGVFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVAAAEDDEEGRRRGAVPTLAFLLSFSLVALCFTLVWKLAPRGGARTGLLVACGAAAAAAVAAFRALVPQARRPELWGVPAMPWVPAASVFLNVFLLGSLDRPSYVRFGFFTAAAALVYVLYSVHASYDADEAAAALDGAKVLDEGCKV >OGLUM12G04170.1 pep chromosome:ALNU02000000:12:3290937:3306718:1 gene:OGLUM12G04170 transcript:OGLUM12G04170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGIWFHYHPLCQRTKALTLACSGIYFATRAFCDKPNHRIVHKDKNLVRVERDLIDAFTLHELLQLCAKRRSLLVGKSCHGLAIHFGLVTDTVTCNILINLYTKCGQNDCARRVFDAMSVRSIISWNTMIAGYTHNREDVEALKLFSRMHREGTQMTEFTLSSTLCACAAKYAIIECKQLHTIAIKLALDSSSFVGTAFLDVYAKCNMIKDACWVFENMPEKTSVTWSSLFAGFVQNGLHEEVLCLFQSTQREGMQLTEFTVSSILSTCASLALIIEGTQVHAVIVKHGFHRNLFVATSLVDVYARCGQIEKSYEVFADMEEKNVVLWNAMIASFSRHAHSWEAMILFEKMQQVGIFPNEVTYLSILSACSHTGLVEEGRHYFNLLLSDRTAEPNVLHYSCMVDVLGRSGKTDEAWKLLDKMPFEPTASMWGSLLGSSRIHKNIRLARIAAEQLFRLEPENGGNHVLLSNVYAASGNWENVVVARKYLRDSGAKKEMGRSWIEAKGKIHVFVAGEREHPGITDVYNKLEEIYHEMRKISHRANTQCDLHDVHADQKEELLKHHSEKLAFAFGLISLPPNIPITIYKNLRICGDCHSFMKINAKSLSEISIDFTILRMAHVLVGTSGDLGLNEEYQSSTSGALIIHCGVGALVVHVAVHCRRLIRLRLRAAVEVNAEQPEPPRRRRRRRRAAALGVCVSLRLSCKLFHRERLTDVIEKGRGGDDGREKLGYLKERSIQLDELEKHPGQAGCRRRRRGGGGMQVAGARAVTGWGRAPVSTGRRAGEPEAGVPG >OGLUM12G04170.2 pep chromosome:ALNU02000000:12:3298194:3306718:1 gene:OGLUM12G04170 transcript:OGLUM12G04170.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGKRLTDVIEKGRGGDDGREKLGYLKERSIQLDELEKHPGQAGCRRRRRGGGGMQVAGARAVTGWGRAPVSTGRRAGEPEAGVPG >OGLUM12G04170.3 pep chromosome:ALNU02000000:12:3298194:3304853:1 gene:OGLUM12G04170 transcript:OGLUM12G04170.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G04780) TAIR;Acc:AT5G04780] MGKRLTDVIEKGRGGDDGREKLGYLKERSIQLDELEKHPGQAGCRRRRRGGGGMQVAGARAVTGWGRAPVSTGRRAGEPEAGVPG >OGLUM12G04180.1 pep chromosome:ALNU02000000:12:3296733:3297862:-1 gene:OGLUM12G04180 transcript:OGLUM12G04180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGLGAADRLFIGCRRRGESASAPPPAVRVTPPPPALNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYHRSVDQDHSDILHAGESQRDADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSTVPNYWGS >OGLUM12G04180.2 pep chromosome:ALNU02000000:12:3296629:3297862:-1 gene:OGLUM12G04180 transcript:OGLUM12G04180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHPLAQGHPQAWPWGVAMYTNLHYHHHYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGGDSGEKGLLLSFEDESGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVHFERVRGLGAADRLFIGCRRRGESASAPPPAVRVTPPPPALNGGEQQPWSPMCYSTSGSSYDPTSPANSYAYHRSVDQDHSDILHAGESQRDADAKSSSAASAPPPSRRLRLFGVNLDCGPEPEADQATAMYGYMHHQSPYAAVSTVPNYWSGQLVDEMMRRSEAFACRILTTNSETSYYYHQPTTTTL >OGLUM12G04190.1 pep chromosome:ALNU02000000:12:3309701:3313728:-1 gene:OGLUM12G04190 transcript:OGLUM12G04190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAQASASSTSSPRFSMASRAFSRQELDDLRALFVSLAAQSQTGGRAISRPVFLEYFGIRGALGDRLFQLVARESGGGEGVTIEDLIVSKATYERGTRDEVDEFIYQLCDVTGDEVLERSDLETILASIRETIFSENKEAGEGSNKRTFEAFINSAVFSKDAEGVARKSMSLSDFRSWCILIPSLRKFLGSLLMPPDSGRPGFQVPALHYLENISPDLLLLNKEYAWHIGGGFSQQEVQEWRLLYHSSLHGQSFNTFLGNVTNGDAQTVIIVKDTEGFVFGGYASHPWERHSDFYGDMKTFLFKLYPEASIFRPTGANKNLQWCAVNFSSDSIPNGIGFGGQPHHFGFFLSANFDQGHSFTCTTFTSPALSKTNRFRPEVIECWGIQTKGALDEKAELVKGTVLERFKEDRNMLKLVGLANASD >OGLUM12G04200.1 pep chromosome:ALNU02000000:12:3314931:3315958:1 gene:OGLUM12G04200 transcript:OGLUM12G04200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGTTMELNITLANMLPSRNISAKAWSGCPRTPRHRRHRSAYGSDEMDAPLLRSLPSLGRALLSSSPAPVRMLSAAASDALVEIKPGEISMVSGIPKEHLRRKVLLWTYSDLCSVDRFSSPRRSVWESLEMSRFELGRNVLVRARPHRSARSSPRNTLFASARPRNDVMLKKLGGISPVRLFCDKANMRSTGRRDKPSGMELSRRFWSNSSCTIFVRFASDGGMWPESELWLSRSTVRFGNASRYRGTPPTIEVVVVEVRDVEGGAIAERVRYLAGERVVA >OGLUM12G04210.1 pep chromosome:ALNU02000000:12:3325849:3326220:1 gene:OGLUM12G04210 transcript:OGLUM12G04210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNGNGGGGGGSTRGARLELQLNLSPPAVGMEVDGNDDSDSSSPTSCVSSDGRSSAGGSPGDKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPSLVDLLQNQDAAAADADADKKRGGKRK >OGLUM12G04220.1 pep chromosome:ALNU02000000:12:3353792:3354814:1 gene:OGLUM12G04220 transcript:OGLUM12G04220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGGLTSLFSRLAVAAADSPSCAKNPPHTASFRGFYYVDEPCTTAGGGGGGRSPAAGRLRKGGDEMYKTVNSVFFDDSADAAHAVADGCAFSGEDDDDDDRFSTTTAADEEWSEAVIRSLGRRTSTDRFFFDAGPGRPAATNSILATVRPRRPPPPPPPPPPAEEEKEKAAAEAAQLPGKSSSTSSSQLVEESVAVAVESEDPYGDFRASMEEMVAAHGLRDWDALEELLSWYLRVNGKHNHPLIVAAFVDLLLALAAAPSSSSSDTTTTTTTTSDTSCSTASTSTTSNGATSVTAAATAAEQCGGGGGGGDEEAGCSSSSSCCAASDHDHEEVSAIS >OGLUM12G04230.1 pep chromosome:ALNU02000000:12:3365972:3366715:-1 gene:OGLUM12G04230 transcript:OGLUM12G04230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAASRARRGGGGGGGRHFPVGGGRWRHVPVVDTGCGCRPRRPRQLLMSLPSFLRPSALKPPVPRSTSSHSSSFFPSSASTASFSSSSAATYTTTYSSSSATNHYPYYGKAAAPVTVTTNNHGKSSSSSSSAAAARRRPSRKKRYEKMAAAEEEEDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILTAFAEVCAQLAAPPAPAPPPPPQPTAAYHYHYHHY >OGLUM12G04240.1 pep chromosome:ALNU02000000:12:3399417:3400304:-1 gene:OGLUM12G04240 transcript:OGLUM12G04240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLNVCVYTTAGCIKFLADMGSWGDELTALNLICKRPETQQNLWTKFYNTRICSLSGCSTSASSHGNKTCKPLKQQQQQQNEIKTLV >OGLUM12G04250.1 pep chromosome:ALNU02000000:12:3406680:3414310:1 gene:OGLUM12G04250 transcript:OGLUM12G04250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BP94] MLGELLSRILLLLFGYAMPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPEGSNQEDSDAQPAAAACSVVGKKNHPAGNVGGQFLPDDPQQRNKKSGGWSPFATKRRPPSPPRPPQESLFESNPEAAAVAEVLKATINPRPRRGAQNGKNYY >OGLUM12G04250.2 pep chromosome:ALNU02000000:12:3407145:3414310:1 gene:OGLUM12G04250 transcript:OGLUM12G04250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BP94] MPAFECFKTVEARPNDAHMLRFWCQYWIIVAMVIAFESLISWMPMYGEIKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLLSFYMKNFADKGTAFFMDVLRYVVSDKPEGSNQEDSDAQPAAAACSVVGKKNHPAGNVGGQFLPDDPQQRNKKSGGWSPFATKRRPPSPPRPPQESLFESNPEAAAVAEVLKATINPRPRRGAQNGKNYY >OGLUM12G04260.1 pep chromosome:ALNU02000000:12:3413744:3414241:-1 gene:OGLUM12G04260 transcript:OGLUM12G04260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESSSPSSSPSPPRKFRRVRSPLANGGGGGAAGDFELRHWRTPPKRARSSAAPPWAPPEIEIPCGGGEAAGRGGGYTSLRDILMSPGYAASCSPAACGGGGGGGSCGDIHMIRHPLVKHAAYAYLQMTPSARDDPGRRHRRRWRGPLCRLLLGCLSFIGALFRP >OGLUM12G04270.1 pep chromosome:ALNU02000000:12:3419437:3424068:-1 gene:OGLUM12G04270 transcript:OGLUM12G04270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGAGSSEVAARVLLQRYQPFAPPPGEYHQFGSGGAAAAAAGDMTEAVLIRTPLKRKHDREENEAAESNDWMMSPGYTNPAGSPVPTPLSGKGSKAFAKSKAAKGQKSCPQTPLCASSPGNPVTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALSLQEHSVDQQISEMRDKLRGLTEDENNQKWLYVTEDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRTVQPVSMDSLENPRTPLAAEPNKAAESQPNIQDGLLMPSDAPSSSQDIGGMMKIVPSELDTDADYWLLSDAGVSITDMWKTAPEVEWEGIEKFNAEDFLEVSTPRQQDKPSSDIMDGDSCIS >OGLUM12G04280.1 pep chromosome:ALNU02000000:12:3426979:3427647:-1 gene:OGLUM12G04280 transcript:OGLUM12G04280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARAGGGGTCCSCLCAFLVCIGVAVLIYWATYQPHRIRAAVESAELSNLTVVVRNGTADGGGSGGVVYYRLAVNVTMYNPSGRAGVHYDAIRPRLLLLLAGGASLGAANATVPGVFHQPRMSTTVVAIDFDRSGGGGVAVAGDVAAELDKEIKGSGGGGEVGFEMVIDARLRYKLGFIPIRARPKVRCPVRIPVKAERRGGGGGGVTGFLRSGDWCTVKY >OGLUM12G04290.1 pep chromosome:ALNU02000000:12:3430023:3430601:-1 gene:OGLUM12G04290 transcript:OGLUM12G04290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGNQERTCCGSLFTFIVTGGFVVLIYWAIFQPHHIRATVASADLTNLTVAGAAVSYKLAVRLNLYNPSLRVNIYYDELDSELRFRGERLGHATGATPAEFYQRRKSSDDVTFEFAGTGVAVAGDAAGELGKEKGKGSVSLEVAVDGKVRYRFGSIKIRQKPRIWCSLTIPVTADGGGRLDSGDRCSVKY >OGLUM12G04300.1 pep chromosome:ALNU02000000:12:3430855:3436826:-1 gene:OGLUM12G04300 transcript:OGLUM12G04300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSRSMEGSPRRRLSITLATISMAISSSDVHLWTRCPASDRMPLSMAHAVWVIVMGFFTGVWVDKCDRFALWWEAFSFHNNEVVSAAQILSSDVAAIDLVTFIVSNPTEHLEEN >OGLUM12G04310.1 pep chromosome:ALNU02000000:12:3436747:3439972:1 gene:OGLUM12G04310 transcript:OGLUM12G04310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVASVMESLLLGDPSMERLRLFMLGATHSQRHTLMSSRRGGESGGGDSPRRRVEAKSTTPRLQPGSAGGHSAAPSAEMSSRGWGESGGGDSLRLQGGSSSRKMAKSRPDNIAAARLSKRACCGVLRRRRGDRLGVQQGARTRSPVLGKAQTVVLCSSSKDALNRIAVAGGLDLDPKRSGVEIQKSKSKSKICMLQD >OGLUM12G04310.2 pep chromosome:ALNU02000000:12:3436747:3440124:1 gene:OGLUM12G04310 transcript:OGLUM12G04310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEIVASVMESLLLGDPSMERLRLFMLGATHSQRHTLMSSRRGGESGGGDSPRRRVEAKSTTPRLQPGSAGGHSAAPSAEMSSRGWGESGGGDSLRLQGGSSSRKMAKSRPDNIAAARLSKRACCGVLRRRRGDRLGVQQGARTRSPVLGKAQTVVLCSSSKDALNRIAVAGGLDLDPKRSGVEIQKSKNPMLHYYSSTLSN >OGLUM12G04320.1 pep chromosome:ALNU02000000:12:3452509:3461930:-1 gene:OGLUM12G04320 transcript:OGLUM12G04320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVRFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSLHEHQKMTMMLMEDTLTLNIHPKAWRLQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKLLKCSNDAYSNLLIADQNGQLVLSQLKVHLKPTAEILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >OGLUM12G04320.2 pep chromosome:ALNU02000000:12:3452509:3461930:-1 gene:OGLUM12G04320 transcript:OGLUM12G04320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVLLRPRGGGEMGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVRFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKLLKCSNDAYSNLLIADQNGQLVLSQLKVHLKPTAEILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >OGLUM12G04320.3 pep chromosome:ALNU02000000:12:3452509:3461930:-1 gene:OGLUM12G04320 transcript:OGLUM12G04320.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVLLRPRGGGEMGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVRFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAANRLCFNQVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >OGLUM12G04320.4 pep chromosome:ALNU02000000:12:3452509:3461930:-1 gene:OGLUM12G04320 transcript:OGLUM12G04320.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVLLRPRGGGEMGVAELPRVMDALARRASTLRDALGRSQGNTESMVAILGSFDHRLSALEAAMRPTQVRTHAIRMAHENIDKTIKAADAILSQFDLARRAEATILRGPHEDLEGYLEAVDLLKSIVRFFASNKSLKNNDGLLNRVNNILSKSALKIEEEFKQLMTTYSKPIEPDRLFDCLPKPPRAPKDDNDADGGHTHSEHPSKGLETGICRTPTLMPPRILPLLHDIAQQLVQAGNQQSCYRIYRDSRGSALEVSLRKLGVEKLSKDDVQRMQWEALEAKIGNWTQFMRIAVKLLLAGERRICDQVFDGINFNKDQCFAELAGSSVLTLLSFGDAVAKSKRSPEKLFVLLDMYEVMHELQPEVEEIFEGRFCSEMREAALGLTRRLAQTAQETFADFEEAVEKDTSKTIVQDGTSTLKILFQEFETGSETESQLAVVTMKIMQALQNNLDGKSKQYKDPALTYIFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRVVQQNANQYKRVAWAKILQTLSVQATGSSPAELSSSGVSRAMIKERFKSFNMQFEELHAKQTQWTIPDQELRESLRLAVAEVLLPAYRNLVEHGKNPNKYIRYSPENIDQALGEFFDGQQFGEQKR >OGLUM12G04330.1 pep chromosome:ALNU02000000:12:3465039:3465470:1 gene:OGLUM12G04330 transcript:OGLUM12G04330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKWRSTSSWPPMLPVIMMAMAILAVSFNGAAAQPPPDTNVLCVSKCGTCPTVCSSPPPPASSSSSSSSGNYNPVLSPPKGTGSGSVGGSSSSPSAPLAKGGQPGGSNYYYFFTSGGSSHGCAAALLLPPLVSLAVAALSQ >OGLUM12G04340.1 pep chromosome:ALNU02000000:12:3472278:3474114:-1 gene:OGLUM12G04340 transcript:OGLUM12G04340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYALEMDDLHRRWLPKEILDDIGFADDGDAPPPPAAIEGLAMHLAGILGSGARKAAAPPPTAAASPASYHNQLHRVPVCGQVLVAYGGAAGWPFTPYSSPAQWQVAAGLVNGGAVDHRRRLGSPPPKMRGGGGGGTGVFLPRTYVYHAKEESPAPAATKASSRDGKASNDLLVEEQQKQPGQGEEEEEGSPAAKAKIEQQCPPPMTGTASSEVMRVRPNAAAAAALPELAALPQEWTY >OGLUM12G04350.1 pep chromosome:ALNU02000000:12:3477971:3483311:1 gene:OGLUM12G04350 transcript:OGLUM12G04350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVQVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRMTMRGTRGYKQAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGLIEDPLSFEVEKTRIRTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQMTDLEKLSWPRSSQRIKIRISLTTQESILVSGEWETYTILLFLGSGVIFCSVKLMGQAQTKTMG >OGLUM12G04350.2 pep chromosome:ALNU02000000:12:3477971:3483311:1 gene:OGLUM12G04350 transcript:OGLUM12G04350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVQVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQMTDLEKLSWPRSSQRIKIRISLTTQESILVSGEWETYTILLFLGSGVIFCSVKLMGQAQTKTMG >OGLUM12G04350.3 pep chromosome:ALNU02000000:12:3477971:3480997:1 gene:OGLUM12G04350 transcript:OGLUM12G04350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVQVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQMTDLEKLSWPRSSQRIKIRISLTTQESILVSGEWETYTILLFLGSGVIFCSVKLMGQAQTKTMG >OGLUM12G04350.4 pep chromosome:ALNU02000000:12:3477971:3480184:1 gene:OGLUM12G04350 transcript:OGLUM12G04350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPVQVVPRAACSMKCPSGTGHALCGCGIGGQARRSARSVPKAVQKDEMFDKVVEAALDCRNVQEWEEDVWRAAFKSKDKFYGSSSRVPAMTLSKKAKQSSTFDHKNGTTGLRSSIEAKKRFSYLVTSKRKCACKKSAAALNIMDGSTVASAEKHKGMASGQMTDLEKLSWPRSSQRIKIRISLTTQESILVSGEWETYTILLFLGSGVIFCSVKLMGQAQTKTMG >OGLUM12G04360.1 pep chromosome:ALNU02000000:12:3488121:3495116:1 gene:OGLUM12G04360 transcript:OGLUM12G04360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDALRRLCEEARWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEASEAGCESGGAVCTLVRKIMASQVHVVGEGTIGRAAFTGNHQWIVHETANDHGLRSEVAAEMNNQFRAGIKTIAIIPVLPRGVLQLGSTSVILENISSVQQYKKLCCQLNNRSSMVASASAKNDLSQKVQSRSLHGLPSIHPYEQCYGHDARALSSSTSANTGRNTSLLKVAQRNDQAIREQVLYAPDMRFRQQLPYSDRRVDINTHSSAMSSGFISSISPSVEKYPLLTNNIGQVEHGNMEESAGPRNVLLKSLSCRNPVVHENTNTSLFHGGDEVPAFLNSHGSFDFLQAGPRVVEANLYNNGTSSQVLDQRCSSTAGMAGYKPSVSYKFPHSAQFIVKMENPRRQSFQDPAAPSSGSDVQVSSGLKTTTRQFNPEHMCQNKKTNEVNDSSAAVSTQDAKNMDRHKILDISNERTSSFLMDPSTENDLFDIFGTDFHQLHRSLDGDLSWNTAKPQSSDRDAPESSIYLDSSPAFGAQEDEFSYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSLTDIPSTSYCGSKETKQCKSSGAPPLLIKNELAVSNFVKQPCFLEKAEDGCLSQNNGVQKSQIRLWIESGQNMKCESVSASNSKGLDTANKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMVFLQSVTKHADNLKDSNESKIHGGGENGPLLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGGGAGDNPNNVKIPPGIVQHPVIPATGHLR >OGLUM12G04370.1 pep chromosome:ALNU02000000:12:3494261:3498124:-1 gene:OGLUM12G04370 transcript:OGLUM12G04370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYFTGGGAGTDVVQAAGTDGLQTLYLMNPSYVGFTDAAAAPGGGAAAANMVFLNSAVSTLTPASFGHHHQPTPAAQHFVGIPLQSGYNLWGPDATGGNDVSPPRHGAQQQAPAAAGTSAAAVSPVLSLSSREAAPPVTVAAAAVPGGTDQEKVVMRSRYLKAAQELLDEAVSVSKGAATAVKKKEDSEGGVSGGGGGAEDGGGSKSGAAAEMSTAERQELQMKKSKLLNMLDEVEQRYRQYHRQMQGVAAAFEAAAGAGSATTYTSLALRTISRQFRCLRDAIAAQVRAASRGLGEDCGDDEGGGGGGRTTVGSRLRFIDHQLRQQRAMQQLGMVHAAAAGGAAGGGWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKVMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEETKAKEEEEEEHDAAAAAAGDRGGVVEQAPSKPDDSAGIGMSSSSPAAAASRSVGVHAGDQHAQASFYGGGGGGDDPFQCRIKKARTTTADEPAAAAAFHVSGEAAVSHRELLMKFTEAGGEGVRTGHPHVNDDDDDVPGGAGGYSLFTAAQYGHQFGSDHFAFAGHGGGGGGGVSLTLGLPHGADQTPASFLMGAGAGSDGGGAPVTTAGYDMNMQSTKSLAAQLMRDFVA >OGLUM12G04380.1 pep chromosome:ALNU02000000:12:3498219:3500391:-1 gene:OGLUM12G04380 transcript:OGLUM12G04380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGYKIFCVDAGYPASGELDAGYPIGWIARLRARTGRRRKREEEETHSYSNIQYLARVPAQSLRQIHSFVIGIIH >OGLUM12G04400.1 pep chromosome:ALNU02000000:12:3533344:3539955:1 gene:OGLUM12G04400 transcript:OGLUM12G04400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGTPQHFMCPISLQPMQDPVTSPTGISYDRRAIHRWLAAGHSSCPVTGHPLSLSDLTPNLTLRRLIHSWHHSTTTPFPVERSTPSPPPLREVDDDDVVERLVMEMEGGGGGGSWCPPSCDLLREAAAVAAGSGVARRRMVGAGVLRRVLRLVVWCGGRGSSSGEAAAMVEMFDACLALFHALDVSADELRPLVADGHDLVDAVTRVMATLEAGDANATRARESAVRLLEAVTEAADAPFWLEFN >OGLUM12G04410.1 pep chromosome:ALNU02000000:12:3555550:3556026:-1 gene:OGLUM12G04410 transcript:OGLUM12G04410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSVSFKAIRRRTGELFLGNCPLCGTHLEERTSRTLKNPNKKFVKCPNLEHTPYACRFFMWEGQYEQFLADGRVGLGHQTEHEKFNVEAISSMGIEGLELKGFAALGQMLVYLAVVQALLLLVILVVVISK >OGLUM12G04420.1 pep chromosome:ALNU02000000:12:3560781:3565332:-1 gene:OGLUM12G04420 transcript:OGLUM12G04420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPEKGNQNHDENIMGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPTKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTCPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNDSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDHKHHVNLGSTKSLKRDYIPSTCGDHYKDNTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTNSCKKVWVLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLLDKGMDQLVKEIVEKDMALSAVIGEAHMLMFPSTLLPEKYQTFQGKHYLWGVFKRRGGDEQQAAAMAAEQRRGSDQRVNQEQENTQFQDDETQQRIKKPNSNLQETPAITKKQQPPAPPSSPSSSVTAAHIGVDVVQEKSTAASGGRSAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMVEDT >OGLUM12G04420.2 pep chromosome:ALNU02000000:12:3561385:3565332:-1 gene:OGLUM12G04420 transcript:OGLUM12G04420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKLPEKGNQNHDENIMGYDDKFKAIIADERTYKWNYLKDKQKKVTLQIPVLYPTKSSIRPPFTQLTDKNIGPMAKGDSKSNHVLAPMLRKEGLLANSIEKSNPQLTCPIRAHPIHALVRTKKGIPARSVLKSNPQPIRPKQMRGSVRGVSLKGQSTMANDNSGEELKSCKVKNDSISKESAKELMVHKGNSYEDNKCDKICGEVTMGDHKHHVNLGSTKSLKRDYIPSTCGDHYKDNTKGNEVPNPSPLTKDGPKKHDRDECEDYKNLRPTKKRRRYIVNVDEEDDYDDKNPMDIKDSTKVAMPIAAESIKRQFYHCIQPIDEPIWSGIFKIGGNDYIPFSAHLSTNSCKKVWVLSVSIPSIVQVTKLSRSVVWPKSLEASSPTDDSIGLLDKGMDQLVKEIVEKDMALSAVIGEAHMLMFPSTLLPEKYQTFQGKHYLWGVFKRRGGDEQQAAAMAAEQRRGSDQRVNQEQENTQFQDDETQQRIKKPNSNLQETPAITKKQQPPAPPSSPSSSVTAAHIGVDVVQEKSTAASGGRSAVPAGSHGRVIGLVVRQTPGVEELIQQMRRGGALLATMEGEMMGGFEANDDDGAKKFKMVE >OGLUM12G04430.1 pep chromosome:ALNU02000000:12:3569998:3579207:-1 gene:OGLUM12G04430 transcript:OGLUM12G04430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEDLLRDGKDGGLRISDECSEHSTADHVNQIKLIKRKLEGCIQGRIPNVFGREEDYVGNVESTRGRNGQMGKKHKTNLDVSEDSRHLASNGSIVQKQCRGFEDYEDGQDSRLVKDGISGVSIPTILGAIKGHCSLPVDEPIWSGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSKLEVWPASLGTSSFTDENIALPDGKLDQLVKEVIEYGLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEPQDPEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRIEDLLRDGKDGGLRISDECSEHSTANQIKHVKRKLEGCSQERILNALGREEDDVVNVESTRGRKG >OGLUM12G04430.2 pep chromosome:ALNU02000000:12:3569998:3586912:-1 gene:OGLUM12G04430 transcript:OGLUM12G04430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIFKIDSKEYVPLAGHLSTKSCENVCMLSRSLRALVNVTKHSKLEVWPASLGTSSFTDENIALPDGKLDQLVKEVIEYGLALRAVMGKTEMLIFPSTMLPKQYQAFQGKHYLWGLFRPRKDIVGVAEEQAAHAMCLENQEGSKDGTEQVEFHGVPDPNMDTEPQDPEAAEMQDAADRNMAPPIGGSNASRANHPSMAATQPANREQIDPSLGIPQGRIEDLLRDGKDGGLRISDECSEHSTANQIKHVKRKLEGCSQERILNALGREEDDVVNVESTRGRKG >OGLUM12G04430.3 pep chromosome:ALNU02000000:12:3578669:3587163:-1 gene:OGLUM12G04430 transcript:OGLUM12G04430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEPSTRREEKGNKEVAKEHPNPLQKNARPMCSEDLLRDGKDGGLRISDECSEHSTADHVNQIKLIKRKLEGCIQGRIPNVFGREEDYVGNVESTRGRNGQMGKKHKTNLDVSEDSRHLASNGSIVQKQCRGFEDYEDGQDSRLVKDGISGVSIPTILGAIKGHCSLPVDEPIWRYNIFFRLKFEVPFMWIAFRTLLFVDLLPYEGKI >OGLUM12G04430.4 pep chromosome:ALNU02000000:12:3568258:3569997:-1 gene:OGLUM12G04430 transcript:OGLUM12G04430.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHKTNPDVSEDSRHLASNDSIVEKKCRGSEANEDGQDSRLVKNGISGVSIPTILEAIKGHCSLPIMNLSGDGGGATEATGSEAGGGPM >OGLUM12G04440.1 pep chromosome:ALNU02000000:12:3594401:3600692:-1 gene:OGLUM12G04440 transcript:OGLUM12G04440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNRRSLEVTLDDKTVVGKQPENQSSLDTNHDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHEKSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLILLDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDRRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCALNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVLEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTVVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRTPSELP >OGLUM12G04440.2 pep chromosome:ALNU02000000:12:3594401:3600692:-1 gene:OGLUM12G04440 transcript:OGLUM12G04440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITVCEVCGVLGYKNLLLSCKNCNGAAVHRYCLDKVDFDGTVDWSCDECHPRHGKGTNRRSLEVTLDDKTVVGKQPENQSSLDTNHDKPGMNGGNDDYVSDDLMLERNKERFQLHDEANNDIHLKSMSANVPRSSTLHEKSVARNVSSSANTGLPMDSNCVPSAHIDIGNPRDSSVRLILTGENRRESSMLLDGACSGSLSKDSSKEKIDREANSSHMEPSDAVKNFCKDNPMKRRKLILLDDDDDDVGVELSDTVQNVVKDNPSKRRKLILPDDDDVEVELCNTVQSIAKDNPGKTIQLIVLDDDDDKQEDAENLNHLSLEFEGPIEKHKIHIGYATGQRCLEDDEHGLLDSLDRRSLENTCPTKKRRRYICPSDDEEEEVIKGSTTTGCALNDVANTASQHVDAKDHHLQSRMAFASDFTKHQYYIYSQPMGEPAWSGIFMTDSNVPIMLAAHLSTKACQRVLEFARSLQPVVEVIKLPRLKAWPERWDKSGPTDDSIGLFFFPHSMRPNEELDKLVKEVIESDVVLKAVLGTVELLIFPSILLPEQYHEFQGKYYLWGVCKARKHNPDTVVLVEEQCGLVSASEEGSSDKESYVMKHVEDRLPADCNPEAREGDIKTALGEGCFSSDSCLSSNKASPVKGGSPCFMQPGLGDKPHEPGVADQKEDEQDFTSLPRRNDQNATNPPIDSLPSATRLFGFVTARSERCQQLIQEMVKEGALLFSVPEDMTINRSTISKSNGVGAAQAPDSGCQHVQERCEPIEFVPIDHNDPDSASEACLDLFPVRHEQIGLTSQVDVKEVELDLSLGAFRRTPSELP >OGLUM12G04450.1 pep chromosome:ALNU02000000:12:3605138:3607809:-1 gene:OGLUM12G04450 transcript:OGLUM12G04450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >OGLUM12G04450.2 pep chromosome:ALNU02000000:12:3605140:3607774:-1 gene:OGLUM12G04450 transcript:OGLUM12G04450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRYDEIVGSGAVKTVYKAFDKLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >OGLUM12G04450.3 pep chromosome:ALNU02000000:12:3605138:3607809:-1 gene:OGLUM12G04450 transcript:OGLUM12G04450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >OGLUM12G04450.4 pep chromosome:ALNU02000000:12:3605140:3607774:-1 gene:OGLUM12G04450 transcript:OGLUM12G04450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVEAEAEEQPPDEDGDEEGYVEADPAGRFIRLEGVEVAWSQSRIDDSVMGSSKKMKQLNTEIQLLKTLKHKNIEKMFASWVDEEKKTVNIITELFTSGSLTQYRRKHKKVNMKAMKRWAIQILTGLEYLHSQKPAIIHRDLKCDNIFINGNHGKVKIGDFGLATFMQQQKKSIKGTLEFMAPELLTGHYNELVDIYSFGMCMLEMVTCEYPYSECQGMAHIFKKIDEGKKPAAFYKIKDAEVRSFIENCLAPVENRMSATELLKSSFLQDDDLISVSLVKNMSEDGQQPVSCMLRKGEFLLTGNVDVASHVDLWLRFPDPSGCFKSVEFPFNLTEDTSLSVAVEMVEQFGLTQDSRPIIAQLIDAFLVILIPEWTPCVAIRQVVSEGANGLTIEKR >OGLUM12G04460.1 pep chromosome:ALNU02000000:12:3614446:3614938:1 gene:OGLUM12G04460 transcript:OGLUM12G04460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGVEMEGCAERSGRDVGKGKEATEPNPSTGAVPGIGSNRSQPSPPYPSARILVDEELRREMQKRGGAAWSRERCAGRSGTEVGRESRRRHVDGINPGGGGGEKRERMRERRERNLGGDKESVFCGTKPSL >OGLUM12G04470.1 pep chromosome:ALNU02000000:12:3621862:3624308:-1 gene:OGLUM12G04470 transcript:OGLUM12G04470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like protein 7 [Source:Projected from Arabidopsis thaliana (AT4G26560) UniProtKB/Swiss-Prot;Acc:Q9SUA6] MVVADLVWAVDSYGVRLVDWGAAGCSLQLQMVDSSEGLRRLAALLFKCCSLDSSNRPNGLQDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNRKDSMFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDEIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRSLLLTCLCILFLFGILGHCC >OGLUM12G04480.1 pep chromosome:ALNU02000000:12:3628224:3633192:1 gene:OGLUM12G04480 transcript:OGLUM12G04480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPASGGGRGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSPAISDPTPPPPPPMAATPAPAPRPPGGAHMRSLSLDTAFFEGFSLQGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >OGLUM12G04480.2 pep chromosome:ALNU02000000:12:3628224:3632704:1 gene:OGLUM12G04480 transcript:OGLUM12G04480.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFPLPPASGGGRGHHRRAHSETFLRLPDADLLLDPEGDFSFSDLDFPSLSDDSPAISDPTPPPPPPMAATPAPAPRPPGGAHMRSLSLDTAFFEGFSLQGGGGGGGGGSGGSGGHKRSGSMDGVNSPFEGESALSGGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKIAAGQAPNMNGNPFNGGLQQQIPPYYTQQQQQQQQMPYLGGHHAQQRHPSHQQSSSNGGQSLSGQSLNDSMDFM >OGLUM12G04490.1 pep chromosome:ALNU02000000:12:3647602:3649290:1 gene:OGLUM12G04490 transcript:OGLUM12G04490.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMSYPCSLLIPFSTQFEEISSSSLLLWSPQAEENPHENANMYEFDADHSHDQIHQDHQFLDMMVIQESANEFDGNHSHDQIHQDHEFLETMVIQESANEFDGDHSHDQIHQDHEFLEMMAIQESANDLLQLQDDFSVPNADPLAASFEFDERLAVAGHENGNVVAAQEESAGDLLLAGAMAVDAGDAVHASAIMSRLDDLLADIAGRRSCEATSPVDHLAYYFARGLKLRISGAATPASSPPPPAANWSSPAYRMLQELTPFVKFAHFTANQAILEATADDLDVHVIDFNVGEGVQWSSLMSDLAAAGRHRSSKPPLFHLTDVITSGAGTPRTADARRWLSEFAESLHLPFRYTSLHVHDGDDDDELHHELAMICNGSSSPPVILTCDDTTTTTNTPLRSRLKLILLGTITILQPKLVILIEDELSRISKNPPSPSLAAPPPFPEFFSDAVAHFTAVMESTASCLVSYDDEAWLSLRRVGEEVVGPRVEDAVGRYGSLAGGAQMMEGLRAREVSGFSVAQGKMLAGLFGGGFGVVHQEKGRLALCWKSRPLISVSLWCPK >OGLUM12G04500.1 pep chromosome:ALNU02000000:12:3691995:3694694:1 gene:OGLUM12G04500 transcript:OGLUM12G04500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASAFNGVRYFCDAHTRVATMIPPIPPMEPSLIPPVISIGVVQDPIRPDYTMVACLVCTNSSPQFMELRCWTYTSGSQWVVKPLTNCLQHPVWGSQGGVLSHMSKIWFIDLPLGLLFCDPFIEKPKLTYVALPEGCLMLVPDIRSCHNLEKRRCVNISQDKICYVQLDGEACLWSLLYSESESPEWQLEYKAPLADIWGDKIYKTSGLTPGKVPAIAMIDPTDCAVLYFIEQDVLFSFDIRSKRVLMSKSLEMRTDFCYPSQFLHSWLLPSNMFEENGPVESDNLPSNDLDEQSDSDDDEESDNEDDEDEEHGRQNSWVCAQEVISSGQAAWEYFESQLEAVQGNQDGEQ >OGLUM12G04510.1 pep chromosome:ALNU02000000:12:3696236:3700649:-1 gene:OGLUM12G04510 transcript:OGLUM12G04510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLVLLRRIPVRRHCGPSSSRLLSSAAAGAGGGAGGVGRRGPYQQQQEEEEESKAVKVSVWWDFENCNLPNGVNPGRVAPRVTAALRAAGIRGPLSITAFGDVVQLARPSQEDLVATGVSISHVPNSGKNSSDRSFMADLVYWIAQNPPPAHFFLISGDKDFANILHRLRMSNYNILLASPGTATTSVLCSAATIMWPWEALVKGEDFSPKRFNHPPDGLAGSWYGHYKGALDDPFVDTEPEELVVVPSDLKHGSIPKYVVNAIQQVLKSYPNGVNLSDLRLELKKKNIYLGNDFFGHKKFSCLLQSLPNFVEFISPPVGANQPCVVAANRKLMGPDKQNFESSTESNVGDNNLNRAIHNDKPPPLPVSTSFSEKNAKTENSSQNIAKKLQTASENPPTFAVSSSQSDVLPEDQKEHPAANLNAQIESPEDHKEVDRTTASGIPSSSGVEDNVNKEGLFKRIWLLWKGPESAKSEVSHLESTSTVVVGDAQSPQQEHNANEHRRLLKRVYKKSCRNGSTDGSDSVTTGSASTSSHDDRSKKLKHVDDMRSLERDTSNSEPCDRSVSVSVDKAEKGDGISKMDKGLFSWVTGWLKFGKSDADNVTANRNVIDEASTDSLKGPESLKVSACGSGEEEVHEIFTKSFFWDVLQQQLSKPLGSELVSKAKTREELVHQLHKLDCWPLKGLAEKDLHQLVNILVSEKKWVEETPSRYFPFRLTLPHKRTCIPSNSRKFDGLSSLFSNGKPLPGKYTGDQSTNSPLPREETLSDCHKLLKDLLLEYEYGFNISIFKLRFAQKHGYELDPQKLGYPDLKSLLQIMPGVRVKFPRVLPAENGNGQAGSKGNGNQSNGDDFVWEELGPVSATTETVQTVDKEMCYRPPTLSDDDFSEDENHADQQPRKEAEASSLLQIIDSWHNSKDVSSKKTQDIDGLVDCSRSDHGYLDNLTGGNPPKPTRPQHKHYSFVSDSEEDTEKDKLVESVLGSLQKARTSKLHN >OGLUM12G04520.1 pep chromosome:ALNU02000000:12:3702751:3705980:-1 gene:OGLUM12G04520 transcript:OGLUM12G04520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLFVDPMYFYLLHTGLKSCVTMDMQIGVGVTAVRTVADLFYLAHMILKFRTAFVAPSSRVFGRGELVRDPDQIAIRYLKNDFIIDLAAMLPIPQVIIWFVIPAVNNSSANHTNNTLSMIVLIQYIPRVFLIVSLNSKIVKSSGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSIERQYTCWMDVCTRENGTNPAIPKCYMSYLDCKTLEDPIRMDWHSRSEIDHQCLLPEATYVYGLFADALNLDVAKVNFWDKYLYCLWWGFRNLSSYGQNLENSTYRGETIFCILICIMGLVFFSHLIGNMQTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPLELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFVIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRAEDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELSKQEELYYMQGQGGDDGDGHDDSDSAPLLCAGAGAGGDHRDGAAAGTAHLGATFLASKFAKNTKKSAAAHHGKARMEDVSSIKFPKLAKPDEPDFSLSSDDVL >OGLUM12G04530.1 pep chromosome:ALNU02000000:12:3719848:3721035:-1 gene:OGLUM12G04530 transcript:OGLUM12G04530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAKTSTQAPCTAASTGFHASPASLGWCGGAPWPRALGRGRPARRGPWWGSWGAELDAAQKCGPAAESTMASVLNPCRSATIVVEVASRCRRRLHRRQSSPSTAVIPEVAIIVVWIRLRPTSVASVPTGFGWRGAARICAASAASAYASLGYGCRLAVVVAIRRRHHRHPLRLPSSFPFATVEEEEGRENPEEEGKKEEGGIIEADISTSKAFMMHGNIGI >OGLUM12G04540.1 pep chromosome:ALNU02000000:12:3721955:3728125:1 gene:OGLUM12G04540 transcript:OGLUM12G04540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Noc2p family [Source:Projected from Arabidopsis thaliana (AT3G55510) TAIR;Acc:AT3G55510] MAKKLGKKARKFARKHLQSAAKRNRKQRSQFARRPRRGGSGRGNERDGDDEMPQRAIDNVMNNGDAAALVNGLEFPEDECELNSDLSDSDGYLSEDSECLYYSDSEDGNAVNDCFVQDGLDGENDKMNTEIKKQKKKLNKLMDKDPEFANYLEKWQSELKSDGSKEDSDEDEMDSVDNDADSSDENLSNDKILTRKTISEWCQLVAKEPKAPSLRSLLNAYRDACRFGVSKSPSVQRIQSTEVFHQIITFVLSEADNIFHALLEISDDANKGKIMNLRSAKKWKTIEPLVKSYLQNSLDLLSQLTDNQILAFVLTRLRASAVLFSAYPSTSRRLLKILIRLWASGDHNLSLSSFLMIREVASLLPECLDLCLNKSYNTYLASSKLVNEGNIKHIDFLMDCLVELYSLDVQKSCERATTSIGQLNAILRQACKTKEKEDLRKVDNWQYINCVNLWVRFLCCNYKDYNMHPLLSSVVQIIRGVAHLFPGTRYLPLRLRLVQMLNELSSCSQMFFPIPSLLFDCLEFRDTSQKEQGQKAKINFSSLLKVPKNMLKSRDFHEECILSAIDVLSAHFAQWCYHVSFPEVATIPLILLKRLHEQTTIESLRRPIKRLIDQVDENKNIVERKRDGVSFSPNDTTSVESFVQDLQAEKSSAKISQFYKIVPKKLRDWL >OGLUM12G04550.1 pep chromosome:ALNU02000000:12:3729292:3731100:-1 gene:OGLUM12G04550 transcript:OGLUM12G04550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPE0] MESAEVAASSTFGPTPEMEKFLCDLLLDTAQPIAERFRALFSLRNLHGDGPRCALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLAADPAVEVQETCELALRRIEQQKNAGVSESTTISPFLSVDPALPAKQGLSVHQLREILLNEQESMYERYAALFALRNDSGDAAVSAIVAALGAKSALLKHEVAYVLGQLQNKAASDALSTILKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFETPGVQQES >OGLUM12G04560.1 pep chromosome:ALNU02000000:12:3733265:3742681:-1 gene:OGLUM12G04560 transcript:OGLUM12G04560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPE1] MHAPNPGKQGFDHTQMPGNLSMHVNQSTDSDHLSEFQFGELGKVDHHHHHHHRQHAKNGMSDDEEHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEEHYTLQGDKSALPMHKRLRHMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDLATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELELGTFSICFMALYQSSASSRVPPTLVQPLPLVLSFPSSSSSSSDPHASSASASLPRRPPLAAAGATPERGEAEMSFRSIVRDVRDGFGSLSRRGFEVRLVGHRRGRSHSAVHELRDGHAAAAAAADVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRDGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRAIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OGLUM12G04560.2 pep chromosome:ALNU02000000:12:3733265:3742681:-1 gene:OGLUM12G04560 transcript:OGLUM12G04560.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPE1] MHAPNPGKQGFDHTQMPGNLSMHVNQSTDSDHLSEFQFGELGKVDHHHHHHHRQHAKNGMSDDEEHGVNEDATDSQSGKGKKGAAWQRMKWTDSMVKLLITAVSYTGEDPGADSGAGKRNSAIMQKKGKWKAISKVMGERGCSVSPQQCEDKFNDLNKRYKRLTDILGRGTACKIVENHALLDCMSNLSDKMKDDARKILSSKHLFYEEMCSYHNNNRVSLPEDPALQRSLQLALRCKDEHDLRRGTSGDADEDDQSVDSDSEEENDEEHYTLQGDKSALPMHKRLRHMTDQEDVGFGNSSSSHGCSRRSDSHGISLDINKAFPDGTNLALAQKDLATQSADLEEQRLQIEVQAVYLAKQRLKWERFSKNKDRELEQMRLENEKMRLENKRLELEVRHKDRVPPTLVQPLPLVLSFPSSSSSSSDPHASSASASLPRRPPLAAAGATPERGEAEMSFRSIVRDVRDGFGSLSRRGFEVRLVGHRRGRSHSAVHELRDGHAAAAAAADVVQSSCWANLPPELLRDVIERLEASEAAWPSRKNVVACAAVCRTWRDMCREIVKNPEFCGKITFPVSLKQPGPRDGAIQCFIKRDKSTQTYNLYLCLSSAVLVESGKFLLSAKRYSRATCTEYTIFMSADNTSRSSNMYIGKLRSNLLGTKFVIYDTQPPCNTANVSQSGKTSRRFYSRKVSPKNPSSTYSIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLARSLDESFGSISFSKSSIMDRAIRFSSSRYSDISVGGPMVGGQALGDSDESKERPLILRNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAATQPAAGAPTPSQPAPPPPPDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OGLUM12G04570.1 pep chromosome:ALNU02000000:12:3747683:3749497:-1 gene:OGLUM12G04570 transcript:OGLUM12G04570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G15720) TAIR;Acc:AT4G15720] MTATSNAAAGAATLMPLLIHLLRGASDLASVSATHAKLLKLGAASSVASSNHLLAAYGRCGCTALARHLFDGMRDRNVVSWTALMSGYASAGRPRAAVALLRAMARSGVPPNAFTFSTAASSCARLADAGLGRQVHARAEVAGYACDSVVSTALVDMYGKAGRVGDARAVFDAMPVTARNAVSWASMLSVYAQNALGHDAIQLFAELRTNGEDMAPNHFMLSSVVNACAGVGRLGIGKCIHGMVLHHGHEDNDVVAVALVDMYSKCGIYEYSKKVFDRINQPSVIPYTSIIVATAKYGLGRCALALFSDMVGRGVQPNSVTLLGVLHACSHSGLVDTGLQLLRSMRSEYGIDPCASHYTCAVDMLGRAGQLEAAFELADEAQVNGNDALMLWSSLLSACRNHKRLDLATMAGQKVSEFTQDVAGALVVMSNAYASAGQADDAAAVWSNMRRRGIRKDPGCSWIEIKDISYVFYAGVVSPAGSRAGEVMLLLDELEGKMREKGYKGRIGSARVLDADEEEDGNHHHQEGRGVMVGVHSELLTLGLGLLVIPKGMAIRVMKNLRMCRDCHEAFKVISAIVEREFVVRDLNRFHHFRMGSCSCNDYW >OGLUM12G04580.1 pep chromosome:ALNU02000000:12:3752344:3754557:-1 gene:OGLUM12G04580 transcript:OGLUM12G04580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPALLTEAPLNPKANREKMTQIMFESFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELDAAAAAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >OGLUM12G04590.1 pep chromosome:ALNU02000000:12:3756893:3766655:-1 gene:OGLUM12G04590 transcript:OGLUM12G04590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFTPCTENPIHVPANPAYPHRVHWTTVTDTWAPRRSHHVDEAHAAVPAGGHHASLRAPEEVATWDTLTAYETTLLPLVSPRISIPTPRAPLSLSPRVFSLLSPPTLLSFSLLSSRAPATQAAPTASSSRTFWNSRGGEGKGAGTLGEASRCARGDEVSGGGGGGGGGGGGGGGGGVAAAAAEGGGGGGVEEGVGESSSPPRDPAAAPAASGGSGGGGGGGAGGLNICNQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTFDNYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPPFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >OGLUM12G04590.2 pep chromosome:ALNU02000000:12:3756893:3766655:-1 gene:OGLUM12G04590 transcript:OGLUM12G04590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFTPCTENPIHVPANPAYPHRVHWTTVTDTWAPRRSHHVDEAHAAVPAGGHHASLRAPEEVATWDTLTAYETTLLPLVSPRISIPTPRAPLSLSPRVFSLLSPPTLLSFSLLSSRAPATQAAPTASSSRTFWNSRGGEGKGAGTLGEASRCARGDEVSGGGGGGGGGGGGGGGGGVAAAAAEGGGGGGVEEGVGESSSPPRDPAAAPAASGGSGGGGGGGAGGLNICNQVYERLVAEGNEEAAAPDFRAQLEAHFMRLPHSYQLDINVDKAEDVLVHQKVLAEAKDPDRRPAFHVRFLRIEEVDPTYDSDASEDGADDGDDLSVRQDTSYAHIHEVVFSTVDKPKLLSQDTDGLHKALEASILRNEGSWSGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAVKILRSEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKQHNVLDLPTLLKFAVDVCRGMCYLHQRGIIHRDLKSANLLMDKDHVVKVADFGVARFQDQGGNMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYNTMTPLQAAVGVRQGLRPGLPENAHPQLLDLMRRCWEGIPSNRPPFSDILAELEDLLARVQGTSGEASQRQDDSGAKD >OGLUM12G04600.1 pep chromosome:ALNU02000000:12:3812156:3814269:1 gene:OGLUM12G04600 transcript:OGLUM12G04600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAKRCTDILLSPRPRKTMRIDINSCRSRFLLPYIPDEVMFDVLLRLPSKSLMRFKSVCKAWHAMISSPIFINAHLEWSKLKPSSLLMAPGFYQKQKNGQNIAFLMGLYKYQGGNNNVLDTWTRPVHCDGLLLVSNMSKKMIIYNPSTREIVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDETSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVFRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVLTSWKTYICHANTLGHVFLLVN >OGLUM12G04600.2 pep chromosome:ALNU02000000:12:3812156:3815504:1 gene:OGLUM12G04600 transcript:OGLUM12G04600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMIIYNPSTREIVSLPKGSRNLHKGTGIGFGFDPRSSKYKVARVFYQRDDETSMLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVFRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVMDFHLFNYVESLVSIREF >OGLUM12G04600.3 pep chromosome:ALNU02000000:12:3813324:3814269:1 gene:OGLUM12G04600 transcript:OGLUM12G04600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCKFEVLTLGTINVWRQTEDPPYPIGKSTPVHVKGAIYWMVFRTSLCPDPPNTLVRFCLTDEKFSLFPCPCNVKPSCLTGLGDELYCGYFFSQPLQLEIWGCSVVGQKPEWTRRCALQIPPDVIKRPVASPLVVFHGKMLLLALKKVYKYDIQACKLEKIPLVVEDFMCYDRENNMYQTYSKKEVLTSWKTYICHANTLGHVFLLVN >OGLUM12G04610.1 pep chromosome:ALNU02000000:12:3815932:3819666:1 gene:OGLUM12G04610 transcript:OGLUM12G04610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIKINKIIAATFFMVLVIMSCALTSTLTSTLACQASSRKCNRMTASCHKYARLEKCCCTFHAKRRREKPPVMAPVKINKFITVTFFMVLVIMSCALTSTLACQGGTECTVETPHCTMDSCNAKCKAEATSRKCNRLTVSCHKYATLEKCCCTFHAN >OGLUM12G04620.1 pep chromosome:ALNU02000000:12:3820300:3821732:1 gene:OGLUM12G04620 transcript:OGLUM12G04620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGHRHVDSKGKAGAEELLIMAPFKINKTIAATFFMVLIMSCALTSTSACRRVVRSAPLKNHTAQWIRAEKNAKT >OGLUM12G04630.1 pep chromosome:ALNU02000000:12:3824667:3825906:1 gene:OGLUM12G04630 transcript:OGLUM12G04630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTEHQGSSSSPSTFSFGRSLLSLRRDHVAMPSGEEADLEAFQRHFAASLGELLPGEVEGGGGGGGGGGGGGGEEILSVAWIRRLLEAFILCQEEFRAHHLPAAPARAAFPWAPPLLTLQERLAEEGKRKDRRNSCGLLKEIHVLEKSTQKLTDAIDAAPIPLFGDREADVREAAAELAAVCAAMRDGLEPLERQVREVFHRIVRSRVEGLDSSMHNAD >OGLUM12G04640.1 pep chromosome:ALNU02000000:12:3826565:3827531:-1 gene:OGLUM12G04640 transcript:OGLUM12G04640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPSRRADLRRRDTAATTGGGAYHHIGDRQVLSMTVFPGSLNTAHSSRYQLSTTLCHSTNSAEIHNLLLEDEDIPPSLVMPSKQEQFCCCDFHGIDYLEDYYRYGKTCFETGQDHMAVETIKLMLATYTLVTELDDNLQYSTNFHAPQHKRLN >OGLUM12G04650.1 pep chromosome:ALNU02000000:12:3828289:3833698:-1 gene:OGLUM12G04650 transcript:OGLUM12G04650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRMRCLVGGGVEDSPRGAVRRVSPALRRVHNANASAAAAAGAEGKSGLPFRSPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISATWEEGGSKTPASPARVVQYEASDVGADDALGIWKIDDADNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASSVILKFELIYAPTLENGSDIQASSATSSAAVHEFRVPRRALLGSHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKSSLKIPDQGLGPTSHHIVKALLTSREMLLEELKKISDAIGKTVEDLDVADLSLGKYEAVQPAKSGLPNSNKVFPATTKGVGHLAGILHDFLEKPNSAVDGANDAMLYTLPKEELLELFLTVSSQLSLLWNAFLKFHRINKTKILDYLRDIWALDRKSEWSIWTVHSKIEIPHRYLRSTDDESSHRHSLLRVSGSRKFHDDPVQNSASRAELHRKSIAQMKINTLSVQDMQIYADPSRVPVVLIEQHVMVVPQHGSSKDLATNSSEQKDTIVLPKLQGDSLALKSSAVRVKLIMVYKKQGHHLDLRLVRNQWLLLDPGAECLMSEANEDKTSGNFKEMGGRLAGEVVAFLKKKVDKLAKYGGCKELKLSFVGHSIGNVIIRTALAEPALQPYLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTFFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDNSRKGQVFTEMLNNCLDQMRAPTSETRIFMRCDVNFDQSAQGRNLNTMIGRAAHIEFLETDIYAKFIMWSFPELFR >OGLUM12G04660.1 pep chromosome:ALNU02000000:12:3834466:3854463:-1 gene:OGLUM12G04660 transcript:OGLUM12G04660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVTSFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAASEKNSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVHVHGPKFEDLGNRLQKYAHANHAVTSSPGAHPY >OGLUM12G04660.2 pep chromosome:ALNU02000000:12:3834466:3855321:-1 gene:OGLUM12G04660 transcript:OGLUM12G04660.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVHVHGPKFEDLGNRLQKYAHANHAVTSSPGAHPY >OGLUM12G04660.3 pep chromosome:ALNU02000000:12:3848848:3854463:-1 gene:OGLUM12G04660 transcript:OGLUM12G04660.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MHRVGSAGNTAGSSRPRKEKRFTYVLNDADNKKHCAGINCLSYLNASTSGTSDYLFTGSRDGTLKRWEPKNGVTSFSATFESHVDWVNDAIIVGQNLVSCSSDTTLKVWNCLSDGACTRTLRQHSDYVICLAASEKNSNIVASGGLGGEVFIWDLDSSLAPVAKSVDAKEDEAPNGNSGPALTTLCNVNSSSNLASTNGQSHGYSPITAKGHKDSVYALAMSDTGNTLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRALLLDPTGRYCLSGSSDSMIRLWDLGQQRCIHSYAVHTDSVWALASTPSFSHVYSGGRDQSVYLTDLSNRESVLLCTNEHPILQLSLQDDMIWVATTDSSLMEVMELLHGNLEGKSRLYKDPSLSNIFLMNNGRYMLQKIRGSPETNAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGSVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFAQTFSAGRQSEKYVKLSADDVEAIIDELFDGNATSMTRRRT >OGLUM12G04660.4 pep chromosome:ALNU02000000:12:3834468:3848676:-1 gene:OGLUM12G04660 transcript:OGLUM12G04660.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G05090) TAIR;Acc:AT3G05090] MLIRSVEQVPIHKEPSSIIPGVPAITQHEILNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVAGAQEDLKRSGSHGLSNGDTSTGKDVSLKNLPHPRSEVDDGAENHASQMLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTESDIPWWIVDCVEHNRFPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLDRPLDGNADSTFGMGLTSGQSQFSALDSSSRLGLKPWQKLKPSVLAPEMSLATVRAYVWKKPEDLILNYKVVHVHGPKFEDLGNRLQKYAHANHAVTSSPGAHPY >OGLUM12G04670.1 pep chromosome:ALNU02000000:12:3847400:3848369:1 gene:OGLUM12G04670 transcript:OGLUM12G04670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCWLPWLIIILLPLPPCDGSSGADVDPTAGFAAVELTGDMFKLHKPYDLPPEQRYEFRDGVRRMWVLCSDRPFSPGSTTKPRSEILLNKTYTSGVWQFEAYGYMPSGTTGVSILQVFGASGRNTTLMLHVYGGRLMYYHDEAQVVDGDIYDRWFRLNVVHDVAGVGKLAVFVDGERRLAVAGHGGYRHYFKFGVYVQRDPSHYMESRWRDVKVYTKIVD >OGLUM12G04680.1 pep chromosome:ALNU02000000:12:3857359:3858841:-1 gene:OGLUM12G04680 transcript:OGLUM12G04680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDLAVQVSRRPVSGEDFAFATSDTDAAFLVLAHLPGYDKEEVEVVVGDGGREVGVVVGARKDDAFAVEAAVVGRRLRVAHRQVVEGFCRVFDVPPGVEVGRITVGFEEDDELLVVIMPKFRPVPAVSGGDEGRRLDVESADSECGSSDVEDFDVQSGPEERQDDDVAVETEVELDDDDDEDDEDELSSLELEYEDWINVDSSESQPEPEPPRDVAVETPVAVEEEVAVETPVAVEEPPPPPPPSVVDIECDVVFEPAYRELPVETPIEVVGPPHAEPEPPSDVPDPIDILCVVEEPKPPATVDEPEQPKPPAAVEPPVQEPPAEETPTATEPEPDPPAAEEPPAAEPEQEPQPETPPVESETPAEEQAPVQEPPAADETPAAGEPEEQPKPRSSDSEDYSTSGEEGDGANRGGGRRRPRGQGPRRRRRRRRGGFPLGMVVGPAVILLALAAAAARRRRQQRGAGGQ >OGLUM12G04690.1 pep chromosome:ALNU02000000:12:3859486:3864230:-1 gene:OGLUM12G04690 transcript:OGLUM12G04690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRRRDSDSRSSHHAPHKSSSFSQPSARDDKPRDAIDRNLSLGSAGHHHHHDGRRLDLHHPPPAGDAIKEEYEEEERGGAGAPCGGGDGGGGDGGGGGDGGGGDGGVPDLAALSVEIDAFVAAGQDGGEALSDATLERFAAAVEMEIAQSESAVDKWATGANGEPRALLAAISRIAALAAALAKAPDGKHATAGAHRVTAVLHRAMAFLEDEFLALLDDPRVPKATTFDQVQHEVDRCVLPASVDVGAGVGESAPPYPPETVDRLRSMADAMVTAGYVTECTQMFLVARRNASDASLRALGYEKASIDDVVKMTWEALEAEIATWTKAFRHTINVGLSTEHDLCARVFAGRHAAVGRGMFADLARCVMLHMLNFTEAVTMTKRAAEKLFKVLDMYEATRDASPVIDAFLTADDGNNSTALTDLKHELNSVRSRLGEFAAAIFRELESSIRADAGKQPVQSSDNANPLVSI >OGLUM12G04700.1 pep chromosome:ALNU02000000:12:3865745:3868168:-1 gene:OGLUM12G04700 transcript:OGLUM12G04700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGRLRRALAAFGGGADVWDLVDAALASAARDRPAELRARRDGIVERLYATAAGGRCGNCDAPRSAEPEAAAAAAASQASPDEDADVDGLDDDEGGGMESKILAIRDFLEDPDQSEDELVSLLQSLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSGGDGGSSVLTDGDSPDKIQGRSYQNAQVSDFKYSPSPQRHNGLSSERSSNNNGVESMIEKRRTSPAPTYHNNKQNNSIGYSTTSSSAPVRTVREQKDNLMDLEKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNAFIRKGGGGLPGKHR >OGLUM12G04710.1 pep chromosome:ALNU02000000:12:3877188:3898574:1 gene:OGLUM12G04710 transcript:OGLUM12G04710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNRSSISAPMALVKLRSTLASQPTTHRRFHLHPTQRRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTTSVSNPFAAKPSTGFGSTSTILFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVAFGQSSSSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGDFGQPSAPAFGSTSTGAFGQPSAQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSCPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGMTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGSTPGFGASSSGMSTSACNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGTLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHKGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPGKNVR >OGLUM12G04710.2 pep chromosome:ALNU02000000:12:3877188:3898574:1 gene:OGLUM12G04710 transcript:OGLUM12G04710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTTSVSNPFAAKPSTGFGSTSTILFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIDQWPSRGTVDRQPIPKNLVDTDKHKGPLAISPTRSTSIENGIQRDDRASNEPDTVTRHGNGTSVERLVPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHDYGSIKFIGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVAFGQSSSSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGDFGQPSAPAFGSTSTGAFGQPSAQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSCPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGMTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGSTPGFGASSSGMSTSACNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGTLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHKGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPGKNVR >OGLUM12G04710.3 pep chromosome:ALNU02000000:12:3877188:3898574:1 gene:OGLUM12G04710 transcript:OGLUM12G04710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNRSSISAPMALVKLRSTLASQPTTHRRFHLHPTQRRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGSSLFGQKPSFGGFGSSPSQSNAFGGTFQQTQPAFGSSTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTTSVSNPFAAKPSTGFGSTSTILFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHKGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPGKNVR >OGLUM12G04710.4 pep chromosome:ALNU02000000:12:3877188:3898574:1 gene:OGLUM12G04710 transcript:OGLUM12G04710.4 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNRSSISAPMALVKLRSTLASQPTTHRRFHLHPTQRRGGGGEEGDQEAAAAAAAAAGLVPEQAAMFGSSNPFGQSSTSPFGQTSSNPFGAQTGFGQASTSTSNPFAPKPFGSPTTTFGAQTGGSPFGTTSTGAFGQPSTPAFGSTSTGAFGQPSTPAFGATSAGAFGQPSTPAFGTPSSSPFGSSAPAFGASPAPAFGATSSTFGSGFTFGASSTPAFGATTTPAFGATTTPAFGTTTPAFGSTSPSLFGATSAPAFGSSGFGSSGTPAFGASSTPGFGASSSASFGTSTSAFSFGSSPSFGQTASTFGSTPFGTSTSPFGAQTSPFGSQTAAPTFGQTSFGNQAGGTRIQPYTQTPDADSATSGAQPTAKLDSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAATPSFPSPLNNQFPQNPSNAFQTTSVSNPFAAKPSTGFGSTSTILFNSPFNNTSAASSSPFASTTSSPLFTQTSSSLFANSTPGFASSSPFGASLSNPSSFSTGLSLVNTQSAGLFSSSPAFAQQPFTQASSGFGLSTPAFSTGSLFSTPTPGMTGGLFGSMSSPFSSTAFQQSAPTPSMFSFQPQTQTAPTGGFPGISNTMNQAPFGQPTPSQSNMVMQPALVTNPFGTLPAMPQMSIGNGGSAPSVQYGISSLPVADKPLTNRTSLSMVVPRHLSQRRIKVLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHKGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPGKNVR >OGLUM12G04710.5 pep chromosome:ALNU02000000:12:3877188:3897040:1 gene:OGLUM12G04710 transcript:OGLUM12G04710.5 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSNPFGQSSSSPFGQTSSNPFGAQTGGFGQANTTTTNPFAPKPFGSPITAFGAQTGNSPFGTTSTGAFGQPSAPTFGSTSTGAFGQPSAPAFGSMSTGDFGQPSAPAFGSTSTGAFGQPSAQAFGTPSSSPFGSSTPAFGASPAPVFGATSSTFGSGSSLFGQKPSFGGFGSCPSQSSAFGGPFQQAQPAFGGSTFGAASTPTFGTTTTPSFGATTTPAFGMTTPAFGSTSTSVFGASSAPAFGSTGFGSSTTPGFGSSGSTPGFGASSSGMSTSACNFGSSPSFGQTIPTFGSTPFGTTSSTFGSQTSTFGSQTTAPAFGQTSFGNQAGGTRIQPYTQTPDADSATSGTQPAAKLNSISAMEAYKAKSHEELRWEDYQRGDKGGPNPSGTPAVTPSFPSPVNNQFSPNPPNAFPSASANNPFAPKQPSTGFGSSVFNSISSNTAPASSSPFAPTISNPFPTQTNCSQFVNSASSPSLFGTINQNSFSTSGTNSQSVGLFGPSPSIVQQPSQASSGFTSSFPSFSGSLFSPPTPVATGGLFGSGPSPSTPTLQQPVPAQMPSILFQPPAQTASTGGFPGVSNTNQAPFGQPTPSQSNMVMQPALVKNPFGTLPATPQMSIGNGGSAPSVQYGISSLPVAEKPHTSRASLSMVVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLVIRPIDQWPSRGTMDRQQIPKNSADIDEHKGSLAEREFNKTAISPTRSTSIENGIHRDDRASNEPDTVTRHGNGASVERLAPKLVHADYYTEPSLEELAAKERAEPGYCSRVRDFAVGRHCCGSIKFIGETNVRGLDLESIVEFNNREVIVYKDDSKKPPVGEXIYKR >OGLUM12G04720.1 pep chromosome:ALNU02000000:12:3913549:3915120:-1 gene:OGLUM12G04720 transcript:OGLUM12G04720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMAMMVSWPAIAAAFIVAASLSSPAAMRASAAAGGGGGNNPTAGFAKVDLTGGDFQVQRPYDVPESRRFRYRDGVRTFWVYDSDKPFNTATHTNPRTEVRLRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNEEGAEHATILMLHVYDGVLRFYDGPAIESNIYDRWFRLNVVHDVKASTVVVYIDGKQKFSTNVIPSESYYFKFGVYMQHRDWSNCMESQWTNVTVYTKSY >OGLUM12G04730.1 pep chromosome:ALNU02000000:12:3917780:3918460:1 gene:OGLUM12G04730 transcript:OGLUM12G04730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSVAVVLVVVSAQALAAVADAARVHAGATAFSPAVALGGRLDGGGGGGLVECWSAVAELRSCTDEIVLFFLNGETTRLGAGCCRAVRTVTRDCWPAMLAAVGITAEEADVLRGLCDAEAADSTPPPPPPDTPMKSERVHTTDDL >OGLUM12G04740.1 pep chromosome:ALNU02000000:12:3922861:3925416:-1 gene:OGLUM12G04740 transcript:OGLUM12G04740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDCDTIQATSAGSYRFRSPTNTGSRARRRGRYSSWCCWLTPSQMTSWWI >OGLUM12G04750.1 pep chromosome:ALNU02000000:12:3970019:3970501:-1 gene:OGLUM12G04750 transcript:OGLUM12G04750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIVAFLLESNVALHLNVLMFSICYIQIFMACAVANDELLVIGGQEEDFMAKPESPIFKCVRSGFDKDHF >OGLUM12G04760.1 pep chromosome:ALNU02000000:12:3970560:3977390:-1 gene:OGLUM12G04760 transcript:OGLUM12G04760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCLHPLVRACIRSSPRAAQVEPGRGATKESGVPPQPGWEADFASAHPGPRVQAGNQTHAPHPADRMIVLGASTYALTKLLTIDQDYWHGWTIFEILRYMPEHNWSVYEEALKINPVLAKMMVSGVVCSLGNWIAQVHSHVDIYNFSDNTWGGRFDMPKEMAHSHLGMVKNGRYVYVSPQCRGPTARHFVLDTETKEWHDLPPLPVPRYALATQLWRGRLHVMGGSKEDRHEPGLEHWSIAVKDGKALENE >OGLUM12G04770.1 pep chromosome:ALNU02000000:12:3977748:3977966:-1 gene:OGLUM12G04770 transcript:OGLUM12G04770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPLRRSPDLPFAVVCALLFAAVGATGSGGHETDAAGSGSVQLVAAGSVGILADEGREEKQPRWGIRDQ >OGLUM12G04780.1 pep chromosome:ALNU02000000:12:3982542:3989786:1 gene:OGLUM12G04780 transcript:OGLUM12G04780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVTKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWAPTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDGFSEFGSSPLLVGIMLSSLEKSFCLVDIGPNPENRDEAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCMQSLEVMIQFSH >OGLUM12G04780.2 pep chromosome:ALNU02000000:12:3982542:3989786:1 gene:OGLUM12G04780 transcript:OGLUM12G04780.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIAAAADDSIVYKLSALLEEVRPSAAALRAASEAADAVAGLVKRIPTQQATHEAVAGFVRDLGLAGEKLAFTFRPPEVVRVAGSHAAGGAVARPDVSADLLVRLPKECFHEKDFLNHRYHAKRCLYLHVIEKSLRSSPLIQKISWSTFLDEARKPILHVYPAKEIAELPGFYVRIIPTASFLFNVTKMNLSTRNNVRAYTKDGINLPTPKYNCSILEDMFLEENVEFISSSVADWKALQEALVLLKVWAPTSKMWTKGLVIQPTKKRTITKEDMVCFLKTFDVVICDVSGHVNLASRMTKSAFIELQDEAACALNCLDKCKDGGFEELFMTKVDLGAKFDSCLRINLKGNLKITTSSFCLDDLAWRKLEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWNIMDAIKFRKFWGEKAELRRFKDGTIAESTVWESESWEKHTIIKKIADHVLTKHLSLQKEDLIHVVDQLDFCLLVGGQDPVSSSGALFEAFDSLAKKLRLLGDVPLKISTVQPLDPAFRHTSVFPPEPHPLAYEKRSSQRLPNFTATCMQSLEVMIQFSH >OGLUM12G04790.1 pep chromosome:ALNU02000000:12:3990737:3992386:-1 gene:OGLUM12G04790 transcript:OGLUM12G04790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDPNTVMCLLDRRVPLVNEVTDVMKERGWSMHLDGEAISAACAGIRDWKELEKARQRATLAEIRRVRADQAAAEAVVRERRASKPPSAVTKFAPDAHLCEVLDGIEPDPRVVAVPGLSYFGLRLSSTQPPGDNMEDTSVAGADHNILVLYFGSYRPGIPSMGMGGFYLVYDAWADSLSAIPQLPPSWPLCGSIASGVLGVLRHALPSDYVLAELVLTGDLPKATLWTWWSSGPLARRWIDKSVILPPEVCTPTAYDGNMFCADTIFSFGTDTLCWVDLLTGILTCNMLAPEPVFQFIPLPEGCSIRQLPRLQHGRQVPEECRSMCCGNDGLIRFVCIDGYHQGRPININENVFLRTWSLTPNLKEWKQEAAFCIGDLWSDTTYLKLPPLTPTWPVQSIIQDDVVFLYLADTMSMFAHAQNTEQYMVGINVQLRQAFSLFKFPAESTFLPRIFPSNFTSYLNMRTQWNELLREKREIELIEMWDNEMTSFE >OGLUM12G04800.1 pep chromosome:ALNU02000000:12:4002092:4005765:1 gene:OGLUM12G04800 transcript:OGLUM12G04800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKSAFLLKMGESLEDQGMFVTASEDEVNVLTSGYSFLLKIFHERDGDGKAQNVPSEDKELFLRSQHSSMINGLHGRYQVYGPVVRFLRLLSSFDWTFSPMIIDINNDFNLKDEKEINVLKRMASYAKSSAELLTNLIIQGQSGQYTWECVFRTPLSNYDAVVLLHQEKLCRPHQVLFPAETPNGKLVICGKPCKDFHPYMPLNKGVVKSLHDSREKILVNFDPTTYFLRDLKSAFPKTFKLWYDSIGGDAIGLTWENSKKRGRDEADETMLDPASILKEVGNVGKGLVRGVYLLKAPKLQ >OGLUM12G04810.1 pep chromosome:ALNU02000000:12:4012146:4031910:-1 gene:OGLUM12G04810 transcript:OGLUM12G04810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSVAGADHNVLVLYVGSYRLGIPSMGMGGGGGFYLHWLWVLGVLRHALPSDYVLADLVLTGNLPKATLWTWWSSGPFARRWIDKSVILPPEVCMPTAYNSNMLCADTTFSFGTGTDTWVDLLTGILTCNMLAPEPVFQFIPLSEGCSISLLAFNMGGKYQRSVVPCAVATTASSGPDTSLRPPLTKPEANGPPTQRRAKQARSAKPKAKLAEQMKLGVKQARCGTKLKTWAKDHRSEATPAQKPSYRNWPTWAQFRSGCI >OGLUM12G04820.1 pep chromosome:ALNU02000000:12:4013946:4019763:1 gene:OGLUM12G04820 transcript:OGLUM12G04820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAQSLVSNVGTLVGQEFNQLRGVGVEVARLRNELATIHALLRMQSEADEAAVDHFAREWLKQLREVAYDAEDCVHLYHFRIRCRSGQLSVWTNCKRLLTTLLARRQLAGDIRALRALASSINEQHCSYGFSLDSLRRSTADAAALGTMPASARVVVVDADDHDEFVDNNGQATELANKVSALREGVDDDKKRRVFSIVGFGGLGKTMLAREVCRRLETVFHFQAQVAVSQTFSGKDLQGLLRRVLRQITQPIVDRETVDDAEITQLQAAAASSQPNVNIDTMDVDDLATELKKRLDNKRYLILIDDVWSIAAWDAIRSKLPVTDSNCGSRIIVTTRIHTVAKACSAASDYIHHMKKLDDTESKQLFISKAFGSKSPCPDDLKDAMESILKKCSGLPLAIVSIASLLANYKPPEGKEMWETIKNSIGSQMENNPTLEGMRQILTLSYNHLPHHLKACMMYLSIFPEDYMIAKDRLLKRWISEGLIVEKRGLCQMDLAEGYFNELVSRNMVDMVVSKATFNLHQGIREDQFRVHDMMLEILVSKSLESNFVSLVGGQYEGMSYTDHTIRRLSIHGGVEAGKDPSSSSKKMAAHRGTGGDSIKGMMMQHVRSLSIFDPEAHNILSRLGEFTLLRVLDLEDCTGLTNKHMSCICRMYLLRFLSLRGTDIKVMPSRIGDLEHLQMLDVRQTQLKDLPKSVTKLEKLEHLLFFDQGDSGWTLPQGINKMKALRQLKKAAVVFDAKVAEEIGELGQLQELAIFVDTGKKMNNGVVKKLASSLSKMYSLRWLDIGNLDAGKWPFAPIMEFLHDIKPPPQLLRYLRICGHMERLPDWVESLHDLVELQLRWTHVDGFQLFNVLCKLPNLKRLFLGSYFIHGKHMIVRSSQTFRELKELILGYTPSSCDVYEFEEGSMPNVERLVVYFGDQTKKIVGIDHFKKLKEVEYIGLKDKLRPVLEPVEQMNNKRDVSQRITIKVRYDW >OGLUM12G04830.1 pep chromosome:ALNU02000000:12:4038708:4040099:1 gene:OGLUM12G04830 transcript:OGLUM12G04830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGFSHLDGDAAISAACAGITDWEEKKAAEERAIEAESLRYRAERDAAAEAIVRRRKAAADGVTKMSFLSPGDLYERDRDILEGIDPDPPVVADVPCVSSLVFRLSSARTPADFEQATTVAGADHNVVVVGAGHGLPGHRFYLVYDAWANSLSAIPGLPSPFGAGPIGYGVAVLRHASPGDYVLANILLSYTGGDGGYLRFPTANDADLWTWWSSGPSARRWIRKPVILPPETCTATYIFHADTTFSMGGNTLCWADLLIGIVACDMLAAADEPAFRFIPLPEACSSKPTEARHHRYGGARLPEERRSMCCGGDGGVIWFVSIDDGEHPNDLTLTTWSLTMAPELKQWKQEAAFSIGDLVYSDELIKKTPPPQLPPLKAPTWPVHSIVDDDVVFLYLAEVKSIHPTSINMERYMVSVNVRRREVFAIFEYPSDGLPPPPFPPRIMPSNLSCYLNKTLCPTT >OGLUM12G04840.1 pep chromosome:ALNU02000000:12:4041817:4042209:-1 gene:OGLUM12G04840 transcript:OGLUM12G04840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSVAVVLVVFSAQAIAAVAVADAAAFPPVVALGGRLDGGGGLAECWSAVAELRSCTDEIVLFFLNGETTRLGAGNCRAVRAATRDCWPAMLGAVGFTAEEADVLRGLGDAEAAAADDSTSPAPSAA >OGLUM12G04850.1 pep chromosome:ALNU02000000:12:4043110:4053664:-1 gene:OGLUM12G04850 transcript:OGLUM12G04850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKVVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEEMETRGRGRRGRRPRVMWSPVAAKARGRQKAGEMVTDSGAGRGGGGKQPRGDRVGGDAAAEEEGEPAADAPRRRSRRNVVNSSDADGAEGDWVKVGEAVDNKRKQMQKQKQVAGDVGEEITVSVQDGVSGRSTRSSRLLTAVTVVQSPVVEKKRGRKRKGDVDEQICLEVQEHCAEVQDDGRKVRSGSMTVTTVSPPVVECRRSRRKAEDPIVEKAAKVNVSTRSTISSSVLASIASPIVLENKRQKKERVHLDVELPTVPVVQANVAPSTRSLRNRVVQVKDSVMMETEVCKKLENKRQSCRPSTQYQQSAFVEEEDEQMVHDPSMSPKLRRSSRRQSVANELLLINSISESNNANRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRNTGRGRDKIAKSVGKVSLSESSGEALPTEMQLEVIEPLRRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLEKESNGLTTEIMPETHIRISKRKSFLPNMLNGEKMDDCEMVRNEELKHFKGNDPGKKLAIKEPARRSTRKSVVPAMFDRETKGLAAEMNPEVHVRRSTRKSVVPNMPNNDNKYHDELVRTVVGVAAAKQLEAKKPVRSNEGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVHTPASKGPCAKESRTDALQEIISFEESNADADDMVRGSTQDGDEGCHEQWVNSEVHGSDSSDAAHEYVDFRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLGDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITIPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSHACNIANWTAVKGTEDIQNVDIALSDDGLEASKSMTVSEEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSPGNETDNGSFKALEFELNYLPTVNDERGKQAEEAFGSDVNYHQCSNGYKEADKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSFGLKSLFAEEGNQQRYLIDDGNISAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDDENIAAKVDSGSKSSDGRHSSFRLNGNKSIIFKTSTFYTRVDCDLEDAAAQLIGEGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNAAFVAAETDGKKDLDNITVGPHMESDGIHTEMDVGLVSDNPENKLALEPVQQGDAEEGKFEKPSPGSAIPDFKHQQALVNEVIMHSVENKRGSSTAEQSPSSPQSMSLQESMEETMGYVSLAFAGVHSENGVDESNVDDQTPVPEPVEDHGTHVDSILVNKSGESNGNEGRLIVRELVMRVKTAIVYILLILVLSVKNQMTMDLDAKGNSDAVLSSSVIVPANDNDVHVSSNISQLESTDCLDEPTLFFNMGVHQGPNEKCNKRMEDQVPSGVSTIDISVPATANGLESGLTLLPANETSNLQDDQLNSELESTQVGQSGISCAENSTNILELGTVNVVDKGSPCDHSLPKDCPMDHYQQHEGLNDIPADKSLEASDMYLGNSVFRIEGILEKPAINLATPDCKLQGTLLEFSLKNDAETPNSKHSPFGLQSLFSEENMDGSGAQDNAGFPCAENKVDESNNSHGKCRVEKPVSAEPVRCEGSHDNLGIVKEIGSCVSSCQQVNEQEEFSESSHKKRWVAPIQLDLADDVNQTEREIISSELVCEKEEKMEVMSSDIDIPVRESHGTTHASPVSKPQICDPKSSQIFDDAHPSSNPSQLELPDVFHQDHEVLCSERNDQILPGIPSSPFSEAVSIKIPENETMLLEAAETSELLDEKLNPQPGCDELAEHDLSGVKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGDSVVGTCETNEQRCQVDSKEENNEHKADQVTPCIPTFDMSGAASTKGSEGGITLLPDAKLPVFTDVQLNSKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLEEPCNACMDSCNGQTIPEDTPGPKSPEDYQDDSVSGSVGDMFEPSPTERAEHETTLVSPAEMLVFKFGQHNNPNLVSVGGHNHSCDEDSADMFSTEPVASNNQHEQELPNDVSAPVSLKESAICQEDISVHTESCPGKSLPVPEDISAPKSPEECTIHQDDSVPRSAVLSQTSGRRRINEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDHPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >OGLUM12G04850.2 pep chromosome:ALNU02000000:12:4043110:4053664:-1 gene:OGLUM12G04850 transcript:OGLUM12G04850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDFESMKRRELQALCKRHGLSAGGSNAALVARLAATLSGAATEEKVVGVVVGKGCMKRSGGGSEGFGAAKTVTFALEEEEEEMETRGRGRRGRRPRVMWSPVAAKARGRQKAGEMVTDSGAGRGGGGKQPRGDRVGGDAAAEEEGEPAADAPRRRSRRNVVNSSDADGAEGDWVKVGEAVDNKRKQMQKQKQVAGDVGEEITVSVQDGVSGRSTRSSRLLTAVTVVQSPVVEKKRGRKRKGDVDEQICLEVQEHCAEVQDDGRKVRSGSMTVTTVSPPVVECRRSRRKAEDPIVEKAAKVNVSTRSTISSSVLASIASPIVLENKRQKKERVHLDVELPTVPVVQANVAPSTRSLRNRVVQVKDSVMMETEVCKKLENKRQSCRPSTQYQQSAFVEEEDEQMVHDPSMSPKLRRSSRRQSVANELLLINSISESNNANRAQTDAKGLKTAQPLMHNAAKTSMKNVVVRKDENAGLTKRNTGRGRDKIAKSVGKVSLSESSGEALPTEMQLEVIEPLRRSRRKSVVSTLLEEGTKCMHGSVRGDVLVKQPTEKRPVRRSTRKSVVSAMLEKESNGLTTEIMPETHIRISKRKSFLPNMLNGEKMDDCEMVRNEELKHFKGNDPGKKLAIKEPARRSTRKSVVPAMFDRETKGLAAEMNPEVHVRRSTRKSVVPNMPNNDNKYHDELVRTVVGVAAAKQLEAKKPVRSNEGGFKLGKRRRTSMEMSCSSGNNTKVSDRQKSRKQQKVHTPASKGPCAKESRTDALQEIISFEESNADADDMVRGSTQDGDEGCHEQWVNSEVHGSDSSDAAHEYVDFRTESIIHLSGISSEELGQSSSITELVSRAGISSENKVLLDDAEADLGAAVAQTLNANSNEEVLGDLDNPAAIAEELSSATALPLLDAEDHTDKNEIITIPEQLLGALSKLSSVDQLPPCTSDADALVIVNDSHACNIANWTAVKGTEDIQNVDIALSDDGLEASKSMTVSEEVLSCAVATVLEHGTLAEHDFERTCMKNGDASLSLFDSGSPGNETDNGSFKALEFELNYLPTVNDERGKQAEEAFGSDVNYHQCSNGYKEADKCPEVHSDAASEKSNQGDDLCKNLSTVKGESPLASNFHVEDAAEHGSMLQIEINAERGSSDGMDSSFGLKSLFAEEGNQQRYLIDDGNISAEVDSGNKLSDERHSSLGLKSLFAEEGNQQHNLIDDENIAAKVDSGSKSSDGRHSSFRLKSLFAEEIDSGNKSIIFKTSTFYTRVDCDLEDAAAQLIGEGDNALDVEQGVAYDKIMLSPLNDIGACSSYGRNPSIGQRSLYAQERGGSNVTNAAFVAAETDGKKDLDNITVGPHMESDGIHTEMDVGLVSDNPENKLALEPVQQGDAEEGKFEKPSPGSAIPDFKHQQALVNEVIMHSVENKRGSSTAEQSPSSPQSMSLQESMEETMGYVSLAFAGVHSENGVDESNVDDQTPVPEPVEDHGTHVDSILVNKSGESNGNEGRLIVRELVMRVKTAIVYILLILVLSVKNQMTMDLDAKGNSDAVLSSSVIVPANDNDVHVSSNISQLESTDCLDEPTLFFNMGVHQGPNEKCNKRMEDQVPSGVSTIDISVPATANGLESGLTLLPANETSNLQDDQLNSELESTQVGQSGISCAENSTNILELGTVNVVDKGSPCDHSLPKDCPMDHYQQHEGLNDIPADKSLEASDMYLGNSVFRIEGILEKPAINLATPDCKLQGTLLEFSLKNDAETPNSKHSPFGLQSLFSEENMDGSGAQDNAGFPCAENKVDESNNSHGKCRVEKPVSAEPVRCEGSHDNLGIVKEIGSCVSSCQQVNEQEEFSESSHKKRWVAPIQLDLADDVNQTEREIISSELVCEKEEKMEVMSSDIDIPVRESHGTTHASPVSKPQICDPKSSQIFDDAHPSSNPSQLELPDVFHQDHEVLCSERNDQILPGIPSSPFSEAVSIKIPENETMLLEAAETSELLDEKLNPQPGCDELAEHDLSGVKDTEDSSDTEFMRYSIFRFPADGQIDSCQEMELPNDQSATKAREESAFSEGDSVVGTCETNEQRCQVDSKEENNEHKADQVTPCIPTFDMSGAASTKGSEGGITLLPDAKLPVFTDVQLNSKLDGEHNLSGGKDTGNIFDNRSVDDSYHEQELLNDLSAPKSLEEPCNACMDSCNGQTIPEDTPGPKSPEDYQDDSVSGSVGDMFEPSPTERAEHETTLVSPAEMLVFKFGQHNNPNLVSVGGHNHSCDEDSADMFSTEPVASNNQHEQELPNDVSAPVSLKESAICQEDISVHTESCPGKSLPVPEDISAPKSPEECTIHQDDSVPRSAVLSQTSGRRRINEISTKLLSFKISSTVKPSHIAMNAPSTKQVDNLSESAIALLRNRENTLAIKTDHPVKPNPDRSVAKNSSRRPLQPIGRRPEGH >OGLUM12G04860.1 pep chromosome:ALNU02000000:12:4058080:4058565:-1 gene:OGLUM12G04860 transcript:OGLUM12G04860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRELQALCMVHGLPTGGSSADLGADRLATLALLPGRGDAAVEAKVAAAKWGGKGCLKRPGGGGGSGPPKKVKFILQEEEAAAVAVAVVDAGVEVRRRSQRLAGNPPGDASC >OGLUM12G04870.1 pep chromosome:ALNU02000000:12:4073850:4081713:1 gene:OGLUM12G04870 transcript:OGLUM12G04870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVSKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPVVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >OGLUM12G04870.2 pep chromosome:ALNU02000000:12:4073850:4081713:1 gene:OGLUM12G04870 transcript:OGLUM12G04870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRSSRHRGKVKSFPKDDVSKPCHLTSFVGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPVVIVGLVAYVKTPRGLRSLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKKEIQMQLEKMKKYASIVRVIAHTQIRKMKGLKQKKAHLMEIQINGGTIADKVDYGYKFFEKEIPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHAACTEFDRTEKDITPMGGFPHYGVVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFFGKLKA >OGLUM12G04880.1 pep chromosome:ALNU02000000:12:4082290:4084651:-1 gene:OGLUM12G04880 transcript:OGLUM12G04880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCTVDEVADRGEQRRQHRRPLDADAGGDEAAVATTSSSLLAAPMLSRRRQAEEMAAMVSALASVVAGGGGGTSLPAKRPAEREPEDGAAVEGVWWSYRSELAAAAAAPSPPAAAAAPFPAGYVPSPGQHSPASAAAAADEEELPSPSSADSGGGGGGAARKRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEDAARAYDGAALRFRGSRAKLNFPEHATLPPPPPPAAHRCPPPPRPDALLESQDLSPGAGGGDPYSQYARFLQGSGGDGGEPAALPGGGASQRTPPATTLLYSFGGEAASAAYLWQPESGGGGGMGWPATSPAAWSEYGLYPPPERRDTDT >OGLUM12G04890.1 pep chromosome:ALNU02000000:12:4095345:4098949:-1 gene:OGLUM12G04890 transcript:OGLUM12G04890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ssu72-like family protein [Source:Projected from Arabidopsis thaliana (AT1G73820) TAIR;Acc:AT1G73820] MEARRKMRFAMVCSSNMNRSMEAHSLLGRAGLDVASYGTGTHVKLPGPSLHEPNVYDFGTPYNVIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDVIFSFEERVFDLIVDDMHNREQRMLKNALIINMDVKDNHEEAGVGAKLALDLCQKLEGADEDWEEIIDDLIITFEKQHKRKLTYNMAFY >OGLUM12G04900.1 pep chromosome:ALNU02000000:12:4099959:4106837:1 gene:OGLUM12G04900 transcript:OGLUM12G04900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAASRLAAAATSSSTLELFSRHLAAASSAWGVASSRAPYPGRERRSASWWCPTRSFHATRGMNARDYYDVLGVNKDASASDIKKAYYLLAKKFHPDTNKEDADAEKKFQEVQRAYEVLKDDDKRETYDQLGAEAYERQASGGGPDDFSGSHPFGDIFGDMFDNPFAMRGGRDVKVPIELSFMEAVQGCRKTIAYEADMEVVFLLALYLKHVKPVEVLIFMQRGIMSIETTCSRCGGSGKIVKNFCKTCKGEQLVKGKKSVKLDIMAGIDDNDTMKVFGKGGADVERNKPGDLYVTIKIREDPVFRREGNHVHVDAIISIAQAVLGGTVTVPTLTGNVSVKVRQGTQPGEKVVLRGKGIKARNSPAYGNQYIHFNVRVPTDVTQRQRELMEEFDKEECNEGERVAAASG >OGLUM12G04910.1 pep chromosome:ALNU02000000:12:4145405:4146811:-1 gene:OGLUM12G04910 transcript:OGLUM12G04910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFTHLKANGQYAERLAEVQSIGAIYGQELVGIPQCRWQRRPVLSVEAPVLRSKSRGDSVFVVGGERSTGGEAGGGRQKREAEAAMAAATLVNSGEDGGLVRFNEARGVPGWRRPKLGRPGAAARGAAGSGRTEQWRREATPATDWMG >OGLUM12G04920.1 pep chromosome:ALNU02000000:12:4147445:4149917:1 gene:OGLUM12G04920 transcript:OGLUM12G04920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPFVPSPISLLSRICSSVPRSAPAPAAQMTGDGSGAAVEEGGTGRHGGGQGGGMWKKAAQATMEGGGSGCRWSSKVATPSPPPAQMISYTSLEVMRSIGNSSKKALAEDGASSRGGRGVEWQWSKERWAAMEQEALARSGYESFSEAMVSSSSHADGESYSRSVKDCLDCISPSRAARTTMAAAAMAPPPGSLCALLPLP >OGLUM12G04930.1 pep chromosome:ALNU02000000:12:4165784:4166686:-1 gene:OGLUM12G04930 transcript:OGLUM12G04930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDHNSTLSWKEYIVPPKMNYWEDNLGEYVLLEGFNHRPWVWNLLSWLTLCLVEPRRQGQKRGRTKHLTREVSGAVLLSFKSSSGRLTNGISTLRRHGLSSRLGWACTFPNLTDQILVWHVVTTRCDWASGRGRSRRDDDHQNRLVARRLSNYCAYLVAFVPEMLPDPSYIAQQIFDTTVQQARDHFDGCRTTSSVLARLQEIQDKERCGAASERQASSTIIEKAALLGGQLRMAMDNEERLWQVLAEFWAEFILFLAPSDNVDIHVEMLGAGGEYMTHLWALVYNAGILTRPDGGASV >OGLUM12G04940.1 pep chromosome:ALNU02000000:12:4166806:4167428:-1 gene:OGLUM12G04940 transcript:OGLUM12G04940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRRAGKKRGRGEEAGAAVGGVERARQRWRESGREAAGTAGAVGRAAGESGSGAAALVMLQLFTAGVFVVLVDLHERGRRSSGGRRAAGDYDGAGGLVGERRGDKRSAATSSPMRRATPSPAGLISALEDEVDGLLVVGAVPGWERRRGGLVIVVVGQGGLGALLAGEERVPAELHSRACRRQWRPSARERGKAKSP >OGLUM12G04950.1 pep chromosome:ALNU02000000:12:4168876:4174381:1 gene:OGLUM12G04950 transcript:OGLUM12G04950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSPDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGTRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAQNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >OGLUM12G04950.2 pep chromosome:ALNU02000000:12:4168962:4174381:1 gene:OGLUM12G04950 transcript:OGLUM12G04950.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYFVGLLVPIAVSLLLRKRRMVGKMRALPVDVGGEPGYAIRNYRFKQPVETHWEGVTTLAELFEQSCKDYVNMPLLGTRKLISREQESSPDGRSFEKLHLGEYDWKCYAEVFKSVCNFASGLIRLGHQKTERVAIFAETRAEWQIALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVVCGQKELKKMIDISGQLDTVKRVIYINEEGISAEVSLAQKSTSWIIEPFEDVGRLGDTAPVDANMPLPSDVAVIMYTSGSTGLPKGVMMTHRNVLATLSAVMTIVPEIGKKDVYLAYLPLAHILELAAEALIAAVGASIGYGSPLTLTDTSNKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDTKGGVAKQLFDVAYNRRLAAVNGSWLGAWGLEKLLWDMLVFKKVRAVLGGKIRFVLSGGAPLSGDTQRFINICLGVPIGQGYGLTETCAGGTFSEYDDPSVGRVGAPLPCSYIKLIDWSEGGYLTSDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGTRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMIHADPFHSYCVALVVAAQNELENWASQQGVTYTDFVDLCQKPEAVKEVLGSLSKAAKQARLEKFEIPAKIKLISEPWTPESGLVTAALKLKREVLRKTYEDDLAKLYA >OGLUM12G04960.1 pep chromosome:ALNU02000000:12:4181254:4182979:1 gene:OGLUM12G04960 transcript:OGLUM12G04960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEGGIGEPPGLARERRPRLRRSVAATGDMDGDGDVGGGGGGGGVRYVLALPAMASLAVLIAHLDAAVPVPRRPRSYLPRAVPMAWWAFRLPVFRPPPPPPPPPAKNPVKEEEGVARVVVVVAPPPPPVDPGEEEAGKRAAKRARRCLNCDAVETPQWRSGPMGRSTLCNACGVRLRAVGSLPEHRAPAARTTTAAPASPPDSPIWTPGHKPPSSSPDIYLVRRTPKLPVTRPPRTKQAPPTAPAPAPPPPPPQPASPKTKTKAKAKKPKRKRSCVHCGSTETPQWREGPTGRGTLCNACGVRYRQGRLLPEYRPKGSPTFSPSVHAANHRQVLELRRQQRQSTNPSTPPPPPVSAAEPIPDEQKEEVVSVPVAAAAPATDGGAASSLDALLLDGPSAPLIVDGDDFLVS >OGLUM12G04970.1 pep chromosome:ALNU02000000:12:4185121:4187313:-1 gene:OGLUM12G04970 transcript:OGLUM12G04970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPJ7] MSSVFSGDETAPFFGFLGAASALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >OGLUM12G04980.1 pep chromosome:ALNU02000000:12:4188772:4194549:-1 gene:OGLUM12G04980 transcript:OGLUM12G04980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHSWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYNPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMVGYDKAGLPIGLQFIGRPWQLKINSLFPFKLKLVFAFVLNRHLKNEACKKHYKKPEVFYDLLKKD >OGLUM12G04980.2 pep chromosome:ALNU02000000:12:4188772:4194549:-1 gene:OGLUM12G04980 transcript:OGLUM12G04980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVYKPAAEVNLGPDSDEFYISPNVKAPRVAGLLVKIFVWILEMPIIGSMVLYILKKDNLINKLVQDAEIPEPPLFTSTHSWEDIPEQNVCLTKPDLSPPERVQEAVSCLPASLESTLAGSPPSSPKRWTIRDFNRAYSSGEVTPVQVAKRFLAAVKECSGPGLNMAFFISYNPEDIIRQAEESTLRYQRGTPLSAMDGILVAVKDEIDCLPYPTTGGTRWLGRARACAADAAVVAQLRACGAVLAGKTNMHELGAGTSGINPHHGSTRNPHNPGRVSGGSSSGSAAAVCAGLCPVALGVDGGGSVRMPAALCGVVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTPELNLPLLKSSLSIKNIKLAKYAKWFNDSSEDIRSCCDKSLQMLHAHYGWETLDVTIPEIEEMRLAHYVTIGSECTASLAKYLDKLKRSEIGWDVRVALGVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYKLQDDALKSGELDYINGAALVRYSIAGNFLGLPAITVMEACKKHYKKPEVFYDLLKKD >OGLUM12G04990.1 pep chromosome:ALNU02000000:12:4200476:4206615:-1 gene:OGLUM12G04990 transcript:OGLUM12G04990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTPRLSFPSAFELASCSDLPAHSRLLRVVVEFEETSNLQLGELLINEKQHTVLMVMDRTSWLWRRKPSDKSPGGAENTVSVSSHSEHYSDDQEVLRPVSNNASPHLGQSPGMPSRVRDDGTQETGVTKPSNEKLALGFKLNDSSPRHGQSSEPQSSSNVRDEDVKENLKSLNDKLAAAFLTINAKEELVRQHAKVTEEAVLGWEQAESEVAALKKLLEASAQKNGSLEVQVSHLAEKNASLEVQVSRLDEALKECVRQLHLAREDQAEKVHDVVTKSQELESENSKLQNRITELKKQLETTKLEASNMSIDHDPQEKFQAIKKENMDLKSKLLVQSKDLKILSLERDLSNQAAETASKQHLENVKKIARLEAECRRLHHLTRKATLINDSRPLANNTCVESLTDSQSDSAERMAAVDNELRNSDSWASALVAEFDQFKNGNADEKNLVNNPVVIDLMDDFLEMERLAALPESDRTSSTFDMETDSDKAVTRNSSSKLETEELRNQVADLHAQVEKIESEKKELEMALMEARNQLDISCNALVAAKNRLVEMQMELDLANDSKHDALRDFEGLNSEKKALEFQLESKSVRVEELLQVVASLEENTDKKELESQLELLSAEAKELRLTVTSLEEKIEAERSLSVQHQAEAVAACNAKESLEEQLYSANTEVERLHVIVKELEDEVEKEKMRQEGLVAELEMKMETAVEAIKESLEAQLCAANTEVERLNGIVQALENDIEKEKALHKELTAQLEVKFEEEKARSVQTVKESMEAQLCSSNTEVLKLRDIVKALENEVEKEKALHEDLSAQLEVKIEAERTFSVEAIKESFQSELQSVNSEVVELRGMVTALEHEVVKEKTFSAELQMQLEALEAIKRVLESEIESAHQDNRKLNDKVKSFEAKLKKQVSSAADFTAKEEAMQSERRAMKQQLEAAKMEVGKLTNKVSLLQGEVLQERLLSEEFEQEYHKLEARLSRDSRDAKLWRLANSNGGLKAKQVDIYIYILKSCNLHYTIYPWLNTLAWYLSRNGSNYKSLCLSQEKELANAAGKLAECQKTIASLGRQLKSLTDIDNTIVEPERLEPREIREMPLDFRNSDADFAVFADELYDFDLPKVNSSCFSPLPSIQPSSPPSEMSVFAGGLSSLSSFRSKRRK >OGLUM12G05000.1 pep chromosome:ALNU02000000:12:4211544:4216112:-1 gene:OGLUM12G05000 transcript:OGLUM12G05000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTASATAPPSRRTRSRPPSASSRKSDDPSAAAANGNGKASSKPTSPGQLTGERTVRKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDAQGLLSGIVTDKDVATRVVAEGLRVEQTIMSKIMTRNPTYAMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSAIAAAVEGVERQLGGNFSAPYAFIETLRERMFKPSLSTIVTEGTKVAIVSPSDPVYVATQKMREFRVNSVVVATGNTLQGIFTSKDLLMRVVAQNLSPELTLVEKVMTVNPDFATLDTTILDALHIMHDGKFLHIPVLDREGQIAACLDVLQLTHAAIQLVVEGGNDTVNDVANTVMQRFWDSTLALESPDEECDSRRSVDGFHLLNVSLTCFPLIQFSSTFPSEVSLLLASETGDGKSSIYPPVIGNSFAFKLQDQKGRVHRFTCGSESLNELASSIKQRLSITDEEGTMQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIDLSESSTEVTKPSQQLAAARRSRLSPVRVGLMAGVVALSGAAVMVYLKRAKV >OGLUM12G05010.1 pep chromosome:ALNU02000000:12:4218422:4222661:1 gene:OGLUM12G05010 transcript:OGLUM12G05010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSVVGVHGSAADSGRGRSVQTAAERDAEAEATRDTEAAAVEPPEWLQTLPVAPEYHSTLVEFADPIAYILRIKPEAPPRMTPSAASSNAANPSPTFPTCLQQVGLSAKNRRAASRRVWESGERYTLEAFRAKAPEFEPLRHAALPKNPTHLQLKALFWAVCASRPFSVEYGNDIPGSGFASPHRLWVPHCRRLRVPLPCRSRLRADHPHPPSPTPPCASTAPSVAIIAPP >OGLUM12G05020.1 pep chromosome:ALNU02000000:12:4226269:4228278:1 gene:OGLUM12G05020 transcript:OGLUM12G05020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSGGQSEVEATRKPQRHEPGGSVGQPVAVARLLLLRQGAAEHVPQDVGRPAGERRGGAGRAAAARQGELAGAARQVHQRRRGRRGQRGHVRQELHLLKIIFD >OGLUM12G05030.1 pep chromosome:ALNU02000000:12:4232434:4259556:1 gene:OGLUM12G05030 transcript:OGLUM12G05030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGRAIYTVGKWIRGTGQAMDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRVHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >OGLUM12G05030.2 pep chromosome:ALNU02000000:12:4256140:4259556:1 gene:OGLUM12G05030 transcript:OGLUM12G05030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLGSTIQGGLRVEEQLSRHRTIMNIFEKEPRVHKDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGSNIQDNSLVHVAKANISGKVLPTIIGNNVTIGHSAVLHACTVEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKTFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPNKAQKAVAH >OGLUM12G05040.1 pep chromosome:ALNU02000000:12:4260373:4264620:-1 gene:OGLUM12G05040 transcript:OGLUM12G05040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYTERAAALLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFSDVVGSPYYVAPEVLQKIYGPEADVWSAGVILYILLCGVPPFWAETESGIFRQILRGKLDLESDPWPSISDSAKDLVRNMLIRDPTKRFTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREENLVSAFTFFDKDGSGFITIDELSQACEQFGLSDVHLEDMIKDVDQNNDGQIDYSEFAAMMRKGNAGGANAGGVTSTGGTGRRTMRNSLRVNLGDILKPNEN >OGLUM12G05050.1 pep chromosome:ALNU02000000:12:4272981:4275439:1 gene:OGLUM12G05050 transcript:OGLUM12G05050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYAIWNQLSPPLLHPNRASQVNPMYRALVCNHHHPSALLAPSPCQKAFCWHTPSA >OGLUM12G05060.1 pep chromosome:ALNU02000000:12:4274190:4278911:-1 gene:OGLUM12G05060 transcript:OGLUM12G05060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWALRRAGNPLRVRAHQALSARGCANLEVILNADAKNVEEHHEGDCQKLDCCHQPKPLVCQPSFSSGRFMWSRGFSSQAGASSGDKQDELEEGFSDLEVPPEAHKKDLDLTSDESSDEDTIDAIGLSEVEADAKPEEPIKKSQSTLLKALLESPRVDVAGALKKWLNDGNTLDRSELFYVLLILRRRKLYIKALQLLEYVEESKLIDLGERDYASRVDLVAKTHSIYKAEKYIENVPASHRGEIVYRTLLANCVAIANVKKAEQVFNKMKDLGFPVSVFSCNQLLLLYKRVDKKKLGDVLTMMEKENVKPSLFTYKLLVDTKGAARDIEDMEKVIQAMQADGIEPDLLFQATIARHYIFGGYREKAEAILEQMVGDDINENRSACKFVLPLYAFLGKNDDAERIWKVCEANARLDECMSAIEAFGKLGDVEKAEEIFDNMFKTWKTLSSKYYNAMLKVYANKKLFDKGKELAKRMGDDGCRLGPYTLDSLVKLYSDAGEVEKADSILHKLSYKNKIKPMYTTYLMLLDSYSKKGDVHNAEKLFSKVRQMGYTGRIRQYQLLLEAYLNAKTPPYGFKERMKADDIFPNRAVASLLAATDPFNRKNAMSELLD >OGLUM12G05070.1 pep chromosome:ALNU02000000:12:4280601:4283901:-1 gene:OGLUM12G05070 transcript:OGLUM12G05070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLLLPPLRLLPATRLRATSRPASPRRGLSYSYPRRVAAVLRQCRAAAPPPPAAPDAVPRWHAALAAAAGLYPAYVTAGAAVAVARPDAFRWFVALAPGSYTFALGLIMLAMGLTLELREFLALLRERPLSILFGCAAQYTIMPAFGAIVSRVLGLSPPISVGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLGAVFLTPLLTKILAGAYVPVDAVKLSLSTLQVVVAPILLGSSIQSAFPSVVKFVTPFAPLLAVLTSSLLACSVFSENFVRLRSTIADASYGNGGLFSGDIGVVMLSVFLLHCAGFVVGYTTAAIGGFKERQRRAISIEVGMQNSSLGVVLATAHFSSSLVALPAALSAVIMNIMGSTLGLVWQFMTPPDSKNENR >OGLUM12G05080.1 pep chromosome:ALNU02000000:12:4288167:4289573:1 gene:OGLUM12G05080 transcript:OGLUM12G05080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2 and C2HC zinc fingers superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34370) TAIR;Acc:AT1G34370] MDRDQMMNTMRDQAANLTSMNPLFYPFMADDALLGMAPPPPQQLLPSVSIQHMDWSPDTMLDNLTFIEEKIRQVKDVIRSMAGRRASSSSAATPEQQLVNADLTCLIVQLISTAGSLLPSLKNSSFLSRTTPPPAAPAGAAQAVSLAAGESSSSARNNETNREDEEEQMGSPDYDELFKGWTNGGAMDECVGAAGDEQDARENPAAAAAAAEEEKYEVLQLEEDEILAPHTHFCGICGKGFKRDANLRMHMRGHGDEYKSAAALAKPPPPPEGEEQPPQPERRYSCPHAGCKRNRMHASFQPLKTILCVKNHYKRSHCEKRHVCGRCGAKRFSVMADLKTHEKHCGRDRWLCSCGTSFSRKDKLFAHVALFQGHAPALPPPPPPPTSGRRRHKQEEPEFTWGGGGGDEFLDVKGIAGVGSGSGGGDEFFSAGSFGAMDFGFEQLDASLAMLLPSEQFAGDHQEENGDK >OGLUM12G05090.1 pep chromosome:ALNU02000000:12:4290316:4294443:1 gene:OGLUM12G05090 transcript:OGLUM12G05090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G71990) TAIR;Acc:AT1G71990] MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPPLFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGAPGYGANSTAAAAASITGGAGWGGGGGRCEGVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKINDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >OGLUM12G05090.2 pep chromosome:ALNU02000000:12:4290316:4294440:1 gene:OGLUM12G05090 transcript:OGLUM12G05090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:fucosyltransferase 13 [Source:Projected from Arabidopsis thaliana (AT1G71990) TAIR;Acc:AT1G71990] MLFPKRINYMAPMLASAVILLLLVSGYFELPSISSYSAAPAPPLFATALDAVGTRERSPFTSLLSAFADWDAAVGCPRIRAKLDAVGAPGYGANSTAAAAASITGGAGWGGGGGRCEGVRTRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEAARKPTGFEDIFIGYHAKDDVQVTYAGKSFHTSRSYHVSTEKINDALIYWSSSRCLPHRDKVAKDFLSLVPHHSFGKCLNNVDGPDMALSMYPVCSTNDNGKPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAPNVWDFIPPNSIIDASKFSSLRELASYVKAVANDPVAYAEYHAWRRCGTLGNFGRSREMSLDTLPCRLCELVSKRGGRNADAL >OGLUM12G05100.1 pep chromosome:ALNU02000000:12:4294890:4304542:1 gene:OGLUM12G05100 transcript:OGLUM12G05100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPL3] MRKKVDERIRTLIENGVRERQRSMFVIVGDKSRDQIVNLNYMLAKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYKDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQAAARFNERFLLSIASCKSCVVMDDELNILPISSHMKFIQPVTNNEDSEGLSERERELKDLKDQFREDFPVGPLIGKCFTMDQGKAVINFLDSILDKSLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGMNALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAPNNGPNSSRLFKKIELNESIRYASGDPIESWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVVQVCLEGQISRKSAMKSLSEGRSPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSAVRLGYGSAAVDLLTRYYEGQMTLFAEDEEENEEPEVRITEAAEKASLLEETVKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIPSAVTGEHTCMVLRPLNSDDIEVNESSKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDFSDHEPSDYYTNITSKILGDMLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVTLHGAQAAVLFCMGLQDKDIGATKEELGIEREQVLSNFIKTMKKLYGYLHNIAGKEIEATLPRLKEIDTAPLKSLDEDLDEAAREVKEQRRAIDEDDVDPKFLQKYAIDADDDEIEKALNGGKISASGVISVKSNKTKADKQEKRKEMKKSKRKGNDGEKSESKKKRS >OGLUM12G05110.1 pep chromosome:ALNU02000000:12:4309509:4324045:1 gene:OGLUM12G05110 transcript:OGLUM12G05110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSWWVVAACVVLAAAAASSPAAADPRDGFTAVSLGDGNFQLQWPYDVESSSRYSFDGTVRRLWVFSDDKPFKPQSGTNPRTEIRMTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGRGTATTLMLHVYGGDLWYYHQQVVETNIYDRWFRLNVIHDVAASQLTVFIDGRERLRVAGKGGDSHYFKFGVYMQTNPSNRMESRWKGVSILNKTYLGERNFQLQWPYDVKNSSRYSFDGTVRRLWVFSDDKPHTPRSKTKPRTEIRMTGYDYSSGVWQFEGTGYVPSGTTGVSIMQVFGGGKTATTLMLHVYDGDLWYYHQQVVERNINDRWFRLNVLHDVAASQLTVFVDSRERLRVTGKGGDSHYFKFGVYTQVINPIRRMESRWRGVRILNKNHATS >OGLUM12G05120.1 pep chromosome:ALNU02000000:12:4325502:4330830:1 gene:OGLUM12G05120 transcript:OGLUM12G05120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFSVAASHNEDSKQCAIENRPQVQVMRVAADELRRQGIARKLKFYVLQQFNDESICASYAAIGCLCACSTSALLSSGYGGRKGSTCLSSYSSSYGFFILSYGMCAGYKLMSVMMSLQEI >OGLUM12G05120.2 pep chromosome:ALNU02000000:12:4325502:4330830:1 gene:OGLUM12G05120 transcript:OGLUM12G05120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGFSVAASHNEDSKQCAIENRPQVQVMRVAADELRRQGIARKLKFYVLQQFNDESICASYAAIGCLCACSTSALLSSGYGGRKGSTCLSSYSSRYYFNLLPNLTHRVDDLALCGFEIYGFFILSYGMCAGYKLMSVMMSLQEI >OGLUM12G05130.1 pep chromosome:ALNU02000000:12:4332542:4339813:1 gene:OGLUM12G05130 transcript:OGLUM12G05130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotide adenylyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT1G22660) TAIR;Acc:AT1G22660] MSLRLRGLLLPLLRRRSCSPLLLRRRRLLRGWPPPLVSAIPSRAFGSSGLPARACASSGFSGMAAVSPPTPQQQQQRCVVEVREGVELTEKEERIFRRLLDVVRHFGLGTQLRVAGGWVRDKLLGKDSTDIDIALDNMTGQNFCEKVNEYSELLGEEQKGIGVIQCNPDQSKHLETARMLIFDIWIDFVNLRSEKYAENSRIPTVEIGTAKEDAFRRDLTINSLFFNINTNTVEDLTGRGIEDLKKGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLTEDLKDAASDEKVKSELGSKISKERIGHEVDLMMSDKHPVNAMCYIRDLGLFYVVFAFPEKPDPPVPDKCDRLCVSHIEVAWNLAHSIGCSVFSGGSDSKSQDEHRRLCFYSALFTPVRNTIYLDKKSKKIPVTNYIIRDSLKLKASDADTVVNVHAASEKFAELINLLESNENLTTVKEKLDDEYLEIPTDSVKRVLAGLILREIKDFWRVALFICTLTYPEVSSGGDSLGQPDELHQRKEKYTRAEQLDGVWKMKPLLDGKAIMGIMQVKGGPLIGKWQQRLLKWQLAYPRGTTEECIEWMKQSQSKRQKV >OGLUM12G05140.1 pep chromosome:ALNU02000000:12:4342588:4349497:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELPASRVWGEESSAGHAQGRSLPSSTTRGEKLPAGRAYGEESLTGCARRSCLPAGHTGVGRGELADRLCAEEEVPAGHARERSSLASPRLPVTPGERCRRPATRRGRSSPTGAAQGDDFSASRAREEESSAGHRHPPRPWYRLQRPSAWLSTPDLPEKEIRKKRRLRWLTADASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQNWIRECEHQVAAVAIPVLHNKTSS >OGLUM12G05140.2 pep chromosome:ALNU02000000:12:4342245:4346622:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLASLPKSHKLKANGTNSWTEKEEINAGEGEEADPRTPHSPSQPANPTFPAAAAAMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFFVAVHRSLPSSTFGA >OGLUM12G05140.3 pep chromosome:ALNU02000000:12:4343319:4349497:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKELPASRVWGEESSAGHAQGRSLPSSTTRGEKLPAGRAYGEESLTGCARRSCLPAGHTGVGRGELADRLCAEEEVPAGHARERSSLASPRLPVTPGERCRRPATRRGRSSPTGAAQGDDFSASRAREEESSAGHRHPPRPWYRLQRPSAWLSTPDLPEKEIRKKRRLRWLTGEGEEADPRTPHSPSQPANPTFPAAAAAMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >OGLUM12G05140.4 pep chromosome:ALNU02000000:12:4343319:4349497:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.4 gene_biotype:protein_coding transcript_biotype:protein_coding MKELPASRVWGEESSAGHAQGRSLPSSTTRGEKLPAGRAYGEESLTGCARRSCLPAGHTGVGRGELADRLCAEEEVPAGHARERSSLASPRLPVTPGERCRRPATRRGRSSPTGAAQGDDFSASRAREEESSAGHRHPPRPWYRLQRPSAWLSTPDLPEKEIRKKRRLRWLTADASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >OGLUM12G05140.5 pep chromosome:ALNU02000000:12:4343319:4346622:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLASLPKSHKLKANGTNSWTEKEEINAGEGEEADPRTPHSPSQPANPTFPAAAAAMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >OGLUM12G05140.6 pep chromosome:ALNU02000000:12:4343319:4349497:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.6 gene_biotype:protein_coding transcript_biotype:protein_coding MKELPASRVWGEESSAGHAQGRSLPSSTTRGEKLPAGRAYGEESLTGCARRSCLPAGHTGVGRGELADRLCAEEEVPAGHARERSSLASPRLPVTPGERCRRPATRRGRSSPTGAAQGDDFSASRAREEESSAGHRHPPRPWYRLQRPSAWLSTPDLPEKEIRKKRRLRWLTADASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >OGLUM12G05140.7 pep chromosome:ALNU02000000:12:4343319:4346622:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRLASLPKSHKLKANGTNSWTEKEEINAGEGEEADPRTPHSPSQPANPTFPAAAAAMESGQSGDGASHGHTSTVVETAKEEALAGGYDEEEEDCTPLPNGLICSRQNWETMYGKFGAFEDETDASPMRNTDRPVPPYYLPISMMQFFSVKVIEIMGGLQWPLHVYGIVATRDSQDNKRNFLFRCDREHCQTLASPQDSCLRLTRPSRAIVMVNPVVVEVDLKVKGSRGPPSEDKVLSEHAFVHNHTGHRIKPGFPHRQLESTEDSTMEFAFAHLQSAVEATITARVVEGSPDFRARKLIVRMEATDHGGDDTAVVKEEIFCARKTSRSQSYFMLGFCKLSIIIAWSLLP >OGLUM12G05140.8 pep chromosome:ALNU02000000:12:4346635:4348945:-1 gene:OGLUM12G05140 transcript:OGLUM12G05140.8 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSRRLAADGPATAHSTPPATRSPAAVRRVLARLLQPHAAAPLRRPRARPPLALEAGGASRGVMSLKAVGCGWR >OGLUM12G05150.1 pep chromosome:ALNU02000000:12:4349992:4356889:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLSISNIFVSPTGKVKFKNISRVKLEMDLLRNNYLSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKRDISFSWSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDLLARECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNRQLLKLRKFIDEKMNAYEQNLIDQYLKHGYAFDEEHK >OGLUM12G05150.2 pep chromosome:ALNU02000000:12:4349992:4356889:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLSISNIFVSPTGKVKFKNISRVKLEMDLLRNNYLSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKRDISFSWSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDLLARECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNRQLLKLRKFIDEKMNAYEQNLIDQYLKHGYAFDEEHK >OGLUM12G05150.3 pep chromosome:ALNU02000000:12:4349992:4356889:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLSISNIFVSPTGKVKFKNISRVKLEMDLLRNNYLSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKRDISFSWSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDLLARECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNRQLLKLRKFIDEKMNAYEQNLIDQYLKHGYAFDEEHK >OGLUM12G05150.4 pep chromosome:ALNU02000000:12:4352368:4356889:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLSISNIFVSPTGKVKFKNISRVKLEMDLLRNNYLSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKRDISFSWSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDLLARECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNRQLLKLRKFIDEKMNAYEQNLIDQYLKHGYAFDEEHK >OGLUM12G05150.5 pep chromosome:ALNU02000000:12:4352368:4356889:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATANNPGPLDLVPGFSPEDMDNPIHNAALSYVSYDRYVLDTSEVYFDDCIPLESYLNIRPMVTSDSYQFRSFGETNPSVTYLVATESCKKVIRTMLKGLRTNELRNLCFLDLSISNIFVSPTGKVKFKNISRVKLEMDLLRNNYLSAHQIIRNLFLSEDGSVPEDIQHLLNLILHEFDKRDISFSWSMYDHIRFVMPPDDLRAILTNLPYADVWESKVKTNRILKKPYDFNPDGRIVPADKPSQTCLNKRQREFLHALGLTPIRGQKSLTPDQIALIETLFFFDFLRNRTSHRMDPWRSLILGYNAVDSDGSPYQAIAGHDATSMQEDLLARECWLEESGKKVVKYKMPNVVIKQMMSYPYTYPEYAYTEEELAQRSASNRQLLKLRKFIDEKMNAYEQNLIDQYLKHGYAFDEEHK >OGLUM12G05150.6 pep chromosome:ALNU02000000:12:4349992:4351237:1 gene:OGLUM12G05150 transcript:OGLUM12G05150.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDMEAEGASGELGHKRADLEKPTEEERASNGGGDAAKTPSEDHHDSARRRAMAMENDDDDDDEYYDEPLPNPLDAYRQSWARSYGTNGATFEDETDLPPMPNTDIPVLPPSAQPMETMQVFTVKVTQITGGLRWPLGVYGVVAVRDSMYHKRNVLFRRCRNECQTLTSLQACANPTPLSYKSCSVSKFTT >OGLUM12G05160.1 pep chromosome:ALNU02000000:12:4367459:4368145:-1 gene:OGLUM12G05160 transcript:OGLUM12G05160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCGCTTPVAMVVITLIDVVCFVVGADGDSKIQSRSYQNAQSASPHPPVRPLPPCPKRSSAQYDEHGVLSEMSRPSPHLQCIMKLD >OGLUM12G05170.1 pep chromosome:ALNU02000000:12:4368226:4369980:1 gene:OGLUM12G05170 transcript:OGLUM12G05170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKFACLSLDEQLHSDEEEEEEEEEEEEVEEEEDIEEEEVVEEEEEEIEEEEFLSIIKVVFICYNAQ >OGLUM12G05180.1 pep chromosome:ALNU02000000:12:4372480:4374354:1 gene:OGLUM12G05180 transcript:OGLUM12G05180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSKIKLLCWIDYMRGILFYDPAAIVVSFLPFPVDHETPRRNKECFWLYRGVSVLDASGVLKFIDVARDDGLGFESLKRDAGFTVTCYSLVLGEHKKKKKKKHRRTMEWREDYKITSNELWSLPPTHLTHVPSIGH >OGLUM12G05190.1 pep chromosome:ALNU02000000:12:4376626:4382632:-1 gene:OGLUM12G05190 transcript:OGLUM12G05190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGLFNVTEATIARSWKEACCGPTLQQGRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVRAD >OGLUM12G05190.2 pep chromosome:ALNU02000000:12:4376900:4382632:-1 gene:OGLUM12G05190 transcript:OGLUM12G05190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKPVAAQHYNKATWIIVWAVVIKMPNFFFRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >OGLUM12G05190.3 pep chromosome:ALNU02000000:12:4376900:4382632:-1 gene:OGLUM12G05190 transcript:OGLUM12G05190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSASAAGAGGGSAAAAGLKTYFKTPEGRYKLQYEKTHSAAVLHYNHGGKTVSQLTVAYLKEKPAGQGSTPSTPSSSSGMRSAAARLLGSGNGNRTLSFVGGNGVSRAVSGSSRIGGGLGTSGGIGSSQAVANYDGKGTYIIFNTADTLFISDLNSQDKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGLFNVTEATIARSWKEACCGPTLQQGRCTSVAWVPEREGIFVVSHSDGNLYVYDKCKDGNTECTFPAIKDPAQLMISHAKSSKSNPIARWHVCQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGKSYYGALLCCTWSSDGKYLLTGGEDDLVWSMDDRKIVAWGEGHNSWVSGVSFDSYWSPPNSDGTGENTVYRFGSVGQDTQLLLWDLALDEIAVPLRHPSSGSPTFSSGSPSAHWDNACLPTGVLQPSPRMRDVPKLSPLVAHRVHVDPLSGLVFTNESILTICREGLIKIWVRPDQNENNHQSNSSELVLGNPVSKDRVITTSNKATGSSFKQSSAVVFT >OGLUM12G05200.1 pep chromosome:ALNU02000000:12:4386339:4387162:-1 gene:OGLUM12G05200 transcript:OGLUM12G05200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLLLAIEEVMMSLLADDGLVLCLGAKLISEYFRPAPSSIKFRSERSNSSTSTISDQQQQQCCGFLLLCGGDGVGRRHARQNRDDELEASCGIKAARQTAASSPSRRSSSMYET >OGLUM12G05210.1 pep chromosome:ALNU02000000:12:4407277:4408530:1 gene:OGLUM12G05210 transcript:OGLUM12G05210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHGGGGGGGGAAPSSSNSGGGSGGGGGGGGGRENHPHHPFYYSGPAAAAAAAAAAQQQQQTFMGALAITPVVAEQPQGSSGGGEKKVVAPTTPAAAGAAATTTLAKRPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSSLNISIRSGAASASSASNPNRASPFPALALHPHHQQHDVSAMLGYHHHLLPPPQQQQESPQDPGGAGAFMRKRYREDLFKEDDDRQDPSAPKAREQQPTTPQAAAAAAAAAMWAVAPNTAAPGGAFWMLPVSASSAAAARPTEQPMWSFAGGGGGAATVQAPLQFMSTRVNYPGSAGAGMSDTNLGMLAALNAYNRGGAGDQQPQQQPEMDQQGRNDDDDDDGDDSGDEDNGGANNNNNSSQ >OGLUM12G05220.1 pep chromosome:ALNU02000000:12:4417622:4417873:1 gene:OGLUM12G05220 transcript:OGLUM12G05220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPP0] MPANGDGPARGSAAAAASLRRRRTTGGAGAGARGGTSTMLQFYTEEAAGCKMSPNAVLIMSIGFFAVVALLHVFGKLYRTSSN >OGLUM12G05230.1 pep chromosome:ALNU02000000:12:4421684:4424316:-1 gene:OGLUM12G05230 transcript:OGLUM12G05230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSGRNGTGHGQKRTTEEEIPAGGDAKRSREEGGRNTTTACQDYQSMDEDEDNDYYNDDSHFLDSYRTTWATNYGKNDGSSFEDETAIPPMQHTDGPVLPISSWPMDVLQIFSVKVTEIKGSDLEWPLRVYGIVADSSLTLTGPSRAVVLINPVMFEVDLKVITTTMVACRQDDKVLSYNAFFYDGVAHMSNTGFARRSTEYSTMEFVFAHLIFAFVPSKAQPISGPVSPLAPLASMISGDGKVAVVNDDDDSGPLVVLQRRVVVVEEKGSLILGVEAAEIGSEEIVTRQVDIRPRHALRFNLGFCRMSIMIAWSVLP >OGLUM12G05240.1 pep chromosome:ALNU02000000:12:4431079:4437302:1 gene:OGLUM12G05240 transcript:OGLUM12G05240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQLLADVSPIRKLAQRKPKALQGPPLHPKLPSQPPPPNPTHPLPPLPSPPPPPSPSNRNHRHPASRVGAARGGPRSDPATPIPVSPRVSVMRRGGGGGGGGGGGGGGGGRGGRGGHARRDARPRDDRGRDAPRDDRVRHGDRRPHDYRPRRTPSPGRDHRIRRTPSPYRDRRRIPDYHPRRTPSPHRNRDRDRHAAGRPRGRGDDDRTRDPPRGRSPPPPPPPPPPYRGDDRGRYDGPPDYMLPDHPSSLRPARKDGEFFGGRSGNKDSEFFGEPGMTLRVCSTEMGRTSSLYLDRRSPPLPLPPPPPPVRVASPPLYTLVPPAETGFLTGGSAMKAGENFGMGSSRSLLDGGEFQYRDRLDDPYVERSREREIDRLYAGRSLHLERDGEMDRLYPSKGVLGADLAPSAYAGSSSSLLAKERPYRVHDGPSYEPTNGYAMEELGRLSHDTLGHVSGHAHRFSGSPLEHDSGRDGKILLDITRQKHSNHSPRDASVEYGRRDPVNAYLPPENLRGNGSQVSSPSVRRMFGSSSLTGRKDERIDHQVRLPLRMAEDEDTFHGMHDGMERDVQHSYHGDALTRHRRTRNSDLRYSRSPETERLKLGRHPVQHGYSSFDDTHEFSDQEVSPVVSRGRPRKAMYRDHVTEHYQADDSPLGRECYDDDVDSYDLSPQRMAEPYDMVDDQDKYDARYDLPNNRNVFSRITLRDDTNDGWTDEDQENNHQSNSITYGHSQNKPISQRLSRPTGHSQFGGFPMHGRGRGKSAKKRLRSALPQFHVGSTQGGDGFVRPNKRFKLTEVNHDDPEMSHEDAPEDEDISMQKDPPEGSEEFTKQVHQAFLKYTKLLNESPTMQKRYREAAKGSLSCCVCGSVPRKFPDIDALISHAYDTCKMGLKTKHLGFHKALCVMMGWNWHVAPDTTKTYHSMPDEEVNAMKDDLMLWPPVVIIHNSSIATKAKATDAKIVSNEEIEGVLTEIGVACDKAKISHGRPANQSVFLVKFLPTISGFQEAMRIHEHFTAKSHGKEEFQQIKGCKGKKDAPVDELEELLYAHIAVAEDLGYLDEETKKRCLVRSKNDIEAKADATLNLDS >OGLUM12G05250.1 pep chromosome:ALNU02000000:12:4441118:4446370:1 gene:OGLUM12G05250 transcript:OGLUM12G05250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALYARGGGVGCGAKAAAAARRPGRGGGGGRRRAAAVLLLLLALAHLVFERLWPDIRDDASSASAAASSLSSTSWRRSMLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKQHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPELLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAGPIRVLAEDMVERMVKRSTLTGGKFVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >OGLUM12G05250.2 pep chromosome:ALNU02000000:12:4441118:4446370:1 gene:OGLUM12G05250 transcript:OGLUM12G05250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSVALYARGGGVGCGAKAAAAARRPGRGGGAAAAQPGSVYRSHLVFERLWPDIRDDASSASAAASSLSSTSWRRSMLMTSHYQNPGELWMPCVNRKLIRPELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPAFHFNSVWRDHSKFGDIFDEDHFIETLKQHVRVVKELPVDVLTRFDHNISSIPNMRTKAYSSPNHYMQKVLPELLELGAVRIAPFSNRLAQSVPSNLQALRCFVNYQALRFAGPIRVLAEDMVERMVKRSTLTGGKFVSVHLRFEEDMVAFSCCTYDGGLKEKTEMENARERSWRGKFHRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMAPLRQMFPLLATKDTLALPEELAEFEGHSSRLAALDYSVCLPSEVFVTTQGGNFPHFLMGHRRYLFGGNAKTIKPDKRKLVLSFDDPNIRWNRFKRHMQDILHHSDMRGTALRKPNDSIYTFPMPECMCQQDGMM >OGLUM12G05260.1 pep chromosome:ALNU02000000:12:4449698:4450039:-1 gene:OGLUM12G05260 transcript:OGLUM12G05260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAAPLLPSWRAAAAAWVALCLVPVVLSLAVLWLPLLCCAVAVVRFRRVRMMRSSAATGGRRRCGGGGGGRWPEKGEGEDSGGDRGMLLQKYLEDQMELVGEFAADPPPVES >OGLUM12G05270.1 pep chromosome:ALNU02000000:12:4464887:4465423:-1 gene:OGLUM12G05270 transcript:OGLUM12G05270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKGSPAPERAGTPSERRRRWCWRRRRGGGDARIGGGSGSAGSPAAEEDEAARPFLGMPGWSSHEKGTTTTEAVVVTSLVTAARDDEQRRERDGDVENTEAVEEDEVEEEEARRRWWRRRGMVVDDDGDDGEGSGRGGGGSGSGIVVVVGGGGGSGCGMARSSGEGDRESDSGMDG >OGLUM12G05280.1 pep chromosome:ALNU02000000:12:4464942:4465670:1 gene:OGLUM12G05280 transcript:OGLUM12G05280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPLPPPPPTTTTMPLPLPPPPLPLPSPSSPSSSTTIPRRRHHRRLASSSSTSSSSTASVFSTSPSRSRRCSSSRAAVTSDVTTTASVVVVPFSWELHPGIPKNGLAASSSSAAGEPALPLPPPIRASPPPRRRRQHHRRRRSDGVPARSGAGDPFTAAIAECTREEDSADDLPGRRRSDAAAAASSEHRWRWLAGGSGFVGFLDLYGCKSAMAVADAAILARRRPVVARSKPSRATRRDK >OGLUM12G05290.1 pep chromosome:ALNU02000000:12:4468976:4469255:1 gene:OGLUM12G05290 transcript:OGLUM12G05290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPFPVVDKLFRQIRFLLVHDAYEAAEEQLGDAHEVGKEQIGLIDRMGKICLGRLGLPMASCSRGGQKAFSQADSCSACM >OGLUM12G05300.1 pep chromosome:ALNU02000000:12:4477941:4478495:1 gene:OGLUM12G05300 transcript:OGLUM12G05300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPP9] MAAMLISRRSIQLVLVVAAVVAIAGAVHAAAGETTATTTHIKVYWHDVVSGPSPTAVQVARAATTNSSASFFGAVVVIDDPLTSGPDLNASSPVGRAQGTYVSAGKDKVALLMNMNFVFQSGRYNGSTVAIMGRNEVFAAVREMAVVGGTGVFRWARGYAQARTHTLDMKTGDATVEYNLYISH >OGLUM12G05320.1 pep chromosome:ALNU02000000:12:4486811:4487188:1 gene:OGLUM12G05320 transcript:OGLUM12G05320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKEEFVDLRGMAMGGGGTGGWQGGDAAAEVVELVAGGGPVLVVGAKRSDRIRRRPVMADPVARSHWRWGRRRGQAVVLCGGGCDRGGGGIVSFPWQRLYAREGERVGTRKQEKLGLSVMHES >OGLUM12G05330.1 pep chromosome:ALNU02000000:12:4488087:4489919:-1 gene:OGLUM12G05330 transcript:OGLUM12G05330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSCFNPQPAISHGIPFDSFLLQDELHHHADLDHPFEAEGITVHGSELEGGSVLPFATLHDLDHEFFRRGSRKDFIDNASSIFLLNPKTEVSHLVRDVQVGAFGAYEMNGRLISRNKASRKGIKKADAVKGHWTVEEDRKLVKLVEQFGLKKWSLIGGMLPGRVGKQCRERWFNHLRPNIKKDTWSEEEDMVLIQIHKEVGNRWAEIAKRLPGRTENSIKNHWNATKRRQFARRRNRSTSKSGSTVLQNYIKSLAISPQESQMNNERSESNPSDMMITQGTPCCFDGNNCSQSHTSEENIVPSCGDFAAEMWSGLFDTKEDEEDEAQYLLYDMDTHVDMNCIFSNMDYGSNIEPGLASVVKAECSAESWAVNLKETD >OGLUM12G05340.1 pep chromosome:ALNU02000000:12:4514864:4516217:1 gene:OGLUM12G05340 transcript:OGLUM12G05340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLIAHINQHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDELIIKLHELLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGLDPLTHRPLNAAAAVAGHHHLAAGGSSCSPDATSGHSSCSDGDEYRGGIDLNLSISPPSSSSQPSSPPPPPHEAEARRAGATASYTYHHHYSETREKICLCLNHLGLHGGDECSCGGSSASSSSSPPPATGSSRAFTFANASSSTV >OGLUM12G05350.1 pep chromosome:ALNU02000000:12:4524631:4528281:-1 gene:OGLUM12G05350 transcript:OGLUM12G05350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAX-interacting protein 2 [Source:Projected from Arabidopsis thaliana (AT2G38270) TAIR;Acc:AT2G38270] MATSSAAAALRLPASQLPLSARSSSSSTLRFPPRRPARRGGLAVSAFTKLSEASPVAIPPEPAQPLPDEEALPPKPGVYGVYDPAGELQFVGISRNVRASVEGHRRKVPADLCGSVKVSIADEETPDRTVLTNAWKSWLEEHITATGKAPPGNVAGNHTWVGPPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKDNKVVAFIKGSRSAPQCGFSQRVVGILESHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQVFVGGELVGGCDIVSSMAEKGELAALFKK >OGLUM12G05360.1 pep chromosome:ALNU02000000:12:4530426:4538945:1 gene:OGLUM12G05360 transcript:OGLUM12G05360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPQ4] MLLPTSASACRGSGRALLLALAAALLALATPRPASASESDHKYKVEEPVKLWVNKVGPYNNPQETYNYHSLPFCQPSENPAHKWGGLGEVLGGNELIDSQIDIKFLRNEERGSICTLELDSKKVQQFSDAIDNSYWFEFFMGFVGETDKNNENKRYLYTHKSILVKYNDNRIIHVNLTQESPKLLEAGKKLDMTYSVKWLQTDVTFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRSLAFLSAVVGIGTQLAALILLVIVLAIVGMLYVGRGSIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMILTASLFPFLCFAIGFVLNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFLSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYLGSTLFVRRIYRNIKCD >OGLUM12G05370.1 pep chromosome:ALNU02000000:12:4536520:4539503:-1 gene:OGLUM12G05370 transcript:OGLUM12G05370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELAMVTAARAIAEWDHPAADITNLVISTNAGAHTPGADERLAALLGLRPARHPLHARLLRLAKDIADNTRGLPKEKFLATPLFAMAPAGFFDLSILPDDSKSTTTNTSIVARALDLGYSAVALDHPHRGLLTDSHAPIASSLRVSPSASLHRRRHPFLQYTRITLSLDSAAACASALAPSAARLLRTYDIVAARPLTQAAFDHLCQATFDHLDIVSIDFSHKLPFRLKLPMLKLALQKGLHLEIAYSPLIADAASRRQAIAEAKLLVEWTKGKNLIISSAAHTASEIRGPYDAINLSSYLLGLSTQRAKAALSVNCRSLVSKALRKKHFYKETIRIDRLLPNKQLNSANFKLADWISWDPMPHELDLLSLELPAYKGEPQSLDINPEPSANEDD >OGLUM12G05380.1 pep chromosome:ALNU02000000:12:4542661:4544776:1 gene:OGLUM12G05380 transcript:OGLUM12G05380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIFU-like protein 2 [Source:Projected from Arabidopsis thaliana (AT5G49940) TAIR;Acc:AT5G49940] MQTTTVPMAAAAAVAPSTTTSSSASFKRPPLVVGAIAGLDPVTAVQLPLTAGNVESVLDQVRPYLTADGGDVALHEIAGNVVRLKLQGACGSCPSSLITIKRGIERRLMEKIPDVAAVEPVTDKETGLELNEENVEKVLNEIRPYLAGTGGGGLQFLMIKGPIVKVRLTGPAAVVRTVRIAVSKKLREKIPSIQIVQLLS >OGLUM12G05390.1 pep chromosome:ALNU02000000:12:4548139:4552509:1 gene:OGLUM12G05390 transcript:OGLUM12G05390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase family associated with various cellular activities (AAA) [Source:Projected from Arabidopsis thaliana (AT1G21690) TAIR;Acc:AT1G21690] MAPLVPSSQPWVEKYRPRQVKDVAHQEEVVRVLTTTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSARKGGYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSNRILHICNEEGLSLDAQALATLSTISNGDLRRAITYLQSAARLFGSSISSTDLISVSGAIPEDVVKSLLASCKSGEFDVANKEVNNIIADGYPVSQLISQFLDVIVNADDIPDEQKARICKKLGEADKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >OGLUM12G05400.1 pep chromosome:ALNU02000000:12:4554372:4562484:1 gene:OGLUM12G05400 transcript:OGLUM12G05400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKATSSADARAKWRKRKRNANTSAADHSDDSDSAAAAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFHRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLISIMSLALLFRDDLQIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQVHPQMAFLQQQMQQQQQKQQQQQQMQLQQQQQQMQLQQQQQRQAFLQQQQQRQLQMLSFGGRLPLSAMNAPSTSAAPNVMFDNPDMPGPSNQG >OGLUM12G05400.2 pep chromosome:ALNU02000000:12:4554372:4562484:1 gene:OGLUM12G05400 transcript:OGLUM12G05400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKATSSADARAKWRKRKRNANTSAADHSDDSDSAAAAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFHRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKFWLMQNASGSVFKLPQLFALGSLPQSGEAGDLPFINTANPVMSLIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQVHPQMAFLQQQMQQQQQKQQQQQQMQLQQQQQQMQLQQQQQRQAFLQQQQQRQLQMLSFGGRLPLSAMNAPSTSAAPNVMFDNPDMPGPSNQG >OGLUM12G05400.3 pep chromosome:ALNU02000000:12:4554372:4562484:1 gene:OGLUM12G05400 transcript:OGLUM12G05400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKATSSADARAKWRKRKRNANTSAADHSDDSDSAAAAAAAAANDDNDDDDAALHAATAAANGGGGTLGGGDDDPVVDLREAEVHPTAIERVSAFPPAFHRVVNRLHPSVLAVMAAERAAAAAGAGAGGGGAAVPALENISHGQLQVLSSVLPDHPSLSNDPDKPSSYVCTPPLLMECRGVAKQFDGKLLMVPKHSDWFSPMTVHRLERQVLPQFFSGKSPGHTPEKYIMLRNRVITTYLERPARRLAFSECQGLVTSTPELYDLSRIVRFLDAWGIINYLAAGSVQRGLRMAATLIREEPTGELHLMSAPLKSIDGLILFDRPKCSVRAEDIASGASISSSPGMENGDAGFDEKTLLERLSESFCSFCAQPLPSLHYESQKEADIALCSDCFHDARFVTGHSSLDFQRVDGKKDGLDNDGDSWTDQETFLLLEGIDKYKENWNAVAEHVGTKSKIQCLHHFLRLPVEDGLLENIKVPEASFSSKVQNNGSLPQSGEAGDLPFINTANPVMSLIAFLASSLGPRVAASCASEALIVLTGGDSRISSIGNDVMGHAARPNCDSSLAVSSENVRHAARCGLSAAATKCKLFADQEEREIQRLSATIINHQLKRLELKLKQFADIETYLLRDSEQSERMRQGLQAQRIRMMSGLRLASPRGNTMASNPLSQANIRPPGIPGSMPQAGTPAFYSNNMQVHPQMAFLQQQMQQQQQKQQQQQQMQLQQQQQQMQLQQQQQRQAFLQQQQQRQLQMLSFGGRLPLSAMNAPSTSAAPNVMFDNPDMPGPSNQG >OGLUM12G05410.1 pep chromosome:ALNU02000000:12:4555546:4559413:-1 gene:OGLUM12G05410 transcript:OGLUM12G05410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITKITAKSPNENDESHIKYQPDAATLGPNEEAKNAICKSSLNSKARDIIEIYNKLTRSGENDPCKLGKGCAQKLQKLSDNLSNNVFSSKPASPFSIPGEDEIDAPEAISSARTLHFGRSNKIKPSIDFNGADMRCSSPVGSSLISVAAILKPR >OGLUM12G05420.1 pep chromosome:ALNU02000000:12:4560765:4564870:-1 gene:OGLUM12G05420 transcript:OGLUM12G05420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OGLUM12G05420.2 pep chromosome:ALNU02000000:12:4560765:4564870:-1 gene:OGLUM12G05420 transcript:OGLUM12G05420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGLMKQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQEGAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRYELNGNALFQGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OGLUM12G05430.1 pep chromosome:ALNU02000000:12:4580690:4581503:1 gene:OGLUM12G05430 transcript:OGLUM12G05430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFMLRKMVELIAQGVKIDKGFKEVHLNQVAKNLTDHYGLDTSGTQVYNHIREWRSRWVRIARLKDLSGALWDDQNNMIVLEDEHYMGHTKDKSKDVEFLNVPLENYMPMAIIFGGTQATGRFAMGSNEALGGPADMADGGLGVMESGNGVGAGCASVAGASAVGPVSGNFIPTMNDIAGGLTSSGKRKRTPVVTEEEGAFLTNMTGAICMRWLLPYALQPILRCTLNSTMQ >OGLUM12G05440.1 pep chromosome:ALNU02000000:12:4611029:4615134:1 gene:OGLUM12G05440 transcript:OGLUM12G05440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVVMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSLL >OGLUM12G05440.2 pep chromosome:ALNU02000000:12:4611029:4614863:1 gene:OGLUM12G05440 transcript:OGLUM12G05440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWWARPAGFALLVVVVLAAAASADRPARGGLSVTAGAEESSAVFPLYGDVYPHGLYYVVMSIGNPPRPYFLDVDTGSDLTWLQCDAPCVSCSKVPHPLYRPTKNKLVPCVDQMCAALHGGLTGRHKCDSPKQQCDYEIKYADQGSSLGVLVTDSFALRLANSSIVRPGLAFGCGYDQQVGSSTEVSATDGVLGLGSGSVSLLSQLKQHGITKNVVGHCLSTRGGGFLFFGDDIVPYSRATWAPMARSTSRNYYSPGSANLYFGGRPLGVRPMEVVFDSGSSFTYFSAQPYQALVDAIKGDLSKNLKEKYGNACLGILNGSEVGLKDLNIVGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSLL >OGLUM12G05450.1 pep chromosome:ALNU02000000:12:4615219:4616904:-1 gene:OGLUM12G05450 transcript:OGLUM12G05450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDPPPLPLPPPPPPPATPQQNTAVELPPGVYFNPTREEAMHHYLNRWIAGKTIPEMEAGFVAGADVYGDGPDALRRRHRPGYWCNYVYKWFFLSHRKRQSSRRTTGNKRAERVVAAGGRWKVEQGKKVLGGGGGGGERDSLVFYSSNSTKESKTSWIMEEYTSSVADGEEGRMESVLCKIYLSPRAPAGEKRALFGEDGVAVGPDGRKRNARVTVLATLFDDVATLLGQPVAAAPPLPAPGDQLGHGHFDDVAARFGQVAVAALPASGDLGHGYFDDDAAALFGQVAMAPAPAPGHHQQGNVVAEALPESGYLGHYHHDGHLAQAAAPEQDHYLGYHSHDAHVAEATPEQGYLGHHQGHLAAAAADQDYHDDAHGDLGHDQGHFAAALTPEECDEIVGAYEFHPEMVQMLSMGFAVPDEQLVPQLLDATGGGYDMASSATAAAAAAPTPRIRPNAAEAMAATATAETMPPPLDAVAAELFAPPRGLPPELAFSALPSVQQEPSCDDDDNFGEVVAEAMPPLIGENAGVDAGSDEPLPDLSGIMPELDFGHDFFSNQRRE >OGLUM12G05460.1 pep chromosome:ALNU02000000:12:4617214:4619648:1 gene:OGLUM12G05460 transcript:OGLUM12G05460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRGEGGGFLRDCGCGHAVAGSPPSAVEASPARRQCAAAIFVLRRLLAWAALPPSSTISRRRRGLEFVSGGYLHFPGLVALSIEETSGDCKTTLY >OGLUM12G05470.1 pep chromosome:ALNU02000000:12:4630952:4633330:1 gene:OGLUM12G05470 transcript:OGLUM12G05470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPR9] MPSSLLILNLIFFSFLAPISAVAGHANYMHKGSSLSAEHASDVLRSTDGTFSFGFYNLSSTVFTLSIWFTNSADKTIAWSANQDRPVHESGSKVMLNKDGSMVLTDYDGTVVWQISSSAEAVRAELMDSGNLVVKDQGGSILWQSFDHPTNTLLPMQPVTATAKLVSTDPLHPTSYYTLRFDDRYVLSLAYDGPDIFNLYWPNPDQSSWTNYRISYNRSRSGVLDKLGKFMASDNTTFYASDWGLEIKRRLTLDYDGNLRLYSLNESDGSWYNSWMAFSQPCEIHGLCGWNGICAYTPKIGCSCPPGYVVSDPGDWSRGCKPAFNLTCSNDGQKMSFVRIPQTDFWGFDMNYVMSTSLHACRAMCLASCSCVAFVYKVYPNGCFLKSDLFNGKTVPGYPGAAYIKVPQSFLSRSQAHVSELANRHVCNASKTQMFNYATQSNKGTGTIWYYYYWFLAAFFLVELCFIAFGWWFMAKTHSARSAVWAAEEGYRVVTDHFRRFTYKELRRATRNFKDELGRGRYGSVYKGILDDNRIVAIKKLKDLKQGEAEFQTEVSVIGSIYHMNLVRVMGVCSEGSHRLLVYEYVENGSLAMFLFGSKGLLQWHHRYKIAVGVAKGLAYLHHECMDWIIHCDVKPENILLDQDFEPKISDFGFAKLLQRNQTDPNMSKIRGTRGYIAPEWVSGVPITEKVDVYSYGVVLLELVMGLRMSELPANGSADEGAALRQLVWTVTEKIKTGDQTLIDGVVDPRLNGNFVRSEVLLVLEFAVLCLEKERNQRPNMNHVVQKFLSYE >OGLUM12G05480.1 pep chromosome:ALNU02000000:12:4638170:4648216:1 gene:OGLUM12G05480 transcript:OGLUM12G05480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPS0] MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKPLGVVLIISAWNYPFLLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIQAILGFPRGK >OGLUM12G05480.2 pep chromosome:ALNU02000000:12:4638170:4648216:1 gene:OGLUM12G05480 transcript:OGLUM12G05480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPS0] MAAARSVGMEAEVAALRGRFAAGGTRGAEWRAAQLRGILRMAAEAEAEVCRALHADLAKPYTESYIALVKSSCKFALKNLKKWMKPQKIELAFYFIAMLSIDPIIGAIAAGNAVVLKPSEVAPATSSLLAELLPRYVDGSCIKVVEGGVAETTTLLEQKWDKIFYTGNGKVGRIVMASAAKHLTPVVLELGGKCPVVVDSNVNLHVTAKRIAAGKWGCNNGQACISPDFIITTKSFAPKLLEALEKVLEKFYGRDPLRSSDLSRIVNSNHFNRLKKLMDDENVSDKIVFGGQRDEHQLKIAPTIFMDVPLDSGIMKEEIFGPLLPIITVDKIHESFALINSMTKPLAAYLFTKDSKLQEQYEAAISAGGMLVNDTAVHLTNQYLPFGGVGESGMGAYHGRFSFEAFSHKKAVLVRRFAGEAAARYPPYSPAKLKILRGVLKGNLGAMIQAILGFPRGK >OGLUM12G05490.1 pep chromosome:ALNU02000000:12:4647257:4656372:-1 gene:OGLUM12G05490 transcript:OGLUM12G05490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLHLVSPQEPGRRPASSSAAEAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYTGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGENGPGAPGGQSWTSEWLKFDNSYFKEIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAEDQDAFFEDYAEAHAKLSNLGAKFDPPKISPPTTGAANHSQNATKTLPLPTSAAADMAVVHRLLRRGLSAASPLPSLQELGRRPASSSAAAAGDAAAELRGAREDVKQLLKSTSCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFEIELKHAANAGLVNALKLIQPIKDKHAGVAYADLFQLASATAIEEAGGPKIPMIYGRVDVAAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKVSEIKD >OGLUM12G05490.2 pep chromosome:ALNU02000000:12:4647257:4656372:-1 gene:OGLUM12G05490 transcript:OGLUM12G05490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRILRRGLSAASPLPSLRGLHLVSPQEPGRRPASSSAAEAAAGDVEAELRAAREDVRQLLKSNPCHPILVRLGWHDAGTYDKNITEWPKCGGANGSLRFGVELVHAANKGLLKALFLVIPIKSKYTGVTYADIFQLASATAIEEAGGPKIPMIYGRADVADGEECPPEGRLPAADPPSPAEHLREVFYRMGLSDKEIVALSGAHTLGRARPERSGDIKERRDEDLLVLPTDAVLFEDSSFKIYAEKYAADQDAFFEDYAEAHAKLSNLGAKFDPPKVSEIKD >OGLUM12G05500.1 pep chromosome:ALNU02000000:12:4659798:4663403:1 gene:OGLUM12G05500 transcript:OGLUM12G05500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFDRDRYQRLDGNGGGGGGRRSSPSSCSTATIVLFVALCLVGAWMMSSTGNVPMAVSPEDKPPAVVKEDDASSIDVTDGVKGRGGGGDGGDTPRTTDEAADDVGKKVQDAGDTAKTTDDVGDTGVKGGGVDTQTATDAVAKTTTGGTGAGESGKPAGDKVGDGETTTTSKNQTFSDENGKTEGGEVVSPEDPDKQSADDAPTDGKDTGDQASGDADEAPSTDTKGKKNSTAEEPRDTKDAGENADEASTETKADKSSDDAPTDAKATGDGGTPSKNQTSFDDENGKMDGIETVAEDGKVTEKSSEQVPTNGDDGGGGEAQTTDDDTATGASSNNQTISDLNDDSNTTTTTTTTLAAVDSSNGTASQTTEDDAAANSTAAAAEKINPAAEQELLPSGQAELLNETASAVAQNGSFPTQASESSAEKKARDRNKNKSNGSDTAAAAAAAVAHGWKLCNVSTGEDYIPCLDNEAAIKKLKTTKHYEHRERHCPAAAPTCLVPLPGGYRRPIPWPYSRDKIWYHNVPHTKLASYKGHQNWVKVSGEHLTFPGGGTQFINGAAHYIDLIEEAVPAVAWGRRSRVVLDVGCGVASFGGFLFDRDALTMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGGAYDAVHCARCRVPWHIWGGKLLLEVNRLLRPGGLFVWSATPVYRKTPEDIQIWHGELLASSPSSHLICLVLTPRRVAPRRAAPHADMAALTKSMCWEMVKKTNDTVDETAMVIFKKPTSNGCYSNREKPEPPLCDADDDPDAAWNITLRECMHRLPTNKSVRGARWPELWPERMSAAPYWLSHSQVGVYGKPAPDDFAADEEHWNHVVNSSYLAGVGIDWSNDMNVWVMNVVPVDSADTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKKRCKLLPVMVEVDRILRPEGMLIVRDGRDTVAEVESILRSLHWEVRMTVSKQGEVMLCAERTMWRPKEIEKAATTS >OGLUM12G05510.1 pep chromosome:ALNU02000000:12:4667539:4668363:1 gene:OGLUM12G05510 transcript:OGLUM12G05510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPS5] MVSPDLIRNVVGIVGNIISFGLFLSPVPTFWRIIKNKNVQNFKADPYLATLLNCMLWVFYGLPIVHPNSILIVTINGIGLVIEAVYLTIFFLFSDKKNKKKMGVVLAMEALFMAAVALGVLLGAHTHQRRSLIVGILCVIFGTIMYSSPLTIMSQVVKTKSVEYMPLLLSVVSFLNGLCWTSYALIRFDIFITIPNGLGVLFAIMQLILYAIYYQTTPKKQDKNLELPTVAPIAKDTSIVAPVSNDDDVNGSTASHVTINITIEQYASLVIKHN >OGLUM12G05520.1 pep chromosome:ALNU02000000:12:4677494:4704725:-1 gene:OGLUM12G05520 transcript:OGLUM12G05520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19720) TAIR;Acc:AT3G19720] MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHVPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCVLDGSLLGGYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRNIVVQQGSCVKSIKKSVTCIQFNPVDDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRYVVCPSEDSHVYLSPSRRQGGVSCTDDVCSMPAKSGELGSSGTPLTHSGQLGSPAPGGGKGGGAGADGNAWGLVVVTASLQGEIRVYQNFGMPFRIRGQELRTKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLIVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQLGFRLLYIMKRLIPISAFLLEKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKNRAGLRHFFDSFVAQEQLSVNTLTAHSTGLHEQSSGLNDNKQDRPKGDFKSSNSSESNSPTVASETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQ >OGLUM12G05520.2 pep chromosome:ALNU02000000:12:4677494:4704725:-1 gene:OGLUM12G05520 transcript:OGLUM12G05520.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19720) TAIR;Acc:AT3G19720] MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHVPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCVLDGSLLGGYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRNIVVQQGSCVKSIKKSVTCIQFNPVDDRFFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGAIIGSHKGSCRFYKTTDCKLDQEAQIDIETKKRKSQAKKITGFQFAPGNPSEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQISAAYTSDGRYVVCPSEDSHVYLSPSRRQGGVSCTDDVCSMPAKSGELGSSGTPLTHSGQLGSPAPGGGKGGGAGADGNAWGLVVVTASLQGEIRVYQNFGMPFRIRGQELRTKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLIVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQLGFRLLYIMKRLIPISAFLLEKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKNRAGLRHFFDSFVAQEQLSVNTLTAHSTGLHEQSSGLNDNKQDRPKGDFKSSNSSESNSPTVASETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKVSLHLLFISYLFGSSKLQFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQ >OGLUM12G05520.3 pep chromosome:ALNU02000000:12:4677494:4704725:-1 gene:OGLUM12G05520 transcript:OGLUM12G05520.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G19720) TAIR;Acc:AT3G19720] MATPPESLSPAAAAVEDDWEEARGLLYEAYNELQGLAAELGGAAAPAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCHVPRCRLLAGSGAGDDEDEEAGVAGRAMPLADIQAYIEAENMRLENDPSQFSEKEIIIRIEYKHCPNLTIIDTPGLILPAPGRKNRVLQSQACAVETLVRAKIKHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKILQFARASDVEVFLHPPTCVLDGSLLGGYPFFTSVPSGRVGSCHEAVFRSNEEFKKAISLRELEDVASLEDKLGRGLTKEEKNRIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRGATRKLREVNQEISDLDEAKMKEKARLFHDSFLSKLSLLLKGMVVAPPDRFGETLINERINGGTFTGSENFLIPNKLMPNAGMRLYGGAQYHRAMAEFRLIVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVLAVAKARDTFEPYLHQLGFRLLYIMKRLIPISAFLLEKDGENCSSHDVLVKRVKAAFDRFAESTEQSCRERCMEDLVSTTRYVTWSLHNKNRAGLRHFFDSFVAQEQLSVNTLTAHSTGLHEQSSGLNDNKQDRPKGDFKSSNSSESNSPTVASETRLVDLLDSTLWNRRLAPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLREDLESAFEDDLDSIFDVTQLRHSLGQRKRDLEIELKRIKRLKEKFAEINKKLNSLQVRQ >OGLUM12G05530.1 pep chromosome:ALNU02000000:12:4686909:4688719:1 gene:OGLUM12G05530 transcript:OGLUM12G05530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVWVMNVVPVDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKLKKRSLHTTFFRHFPHSELS >OGLUM12G05550.1 pep chromosome:ALNU02000000:12:4719069:4724530:-1 gene:OGLUM12G05550 transcript:OGLUM12G05550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVSGVPLLGAVAISAKKMYPGPDDRTEYKKRYIHLGSYTYPSVREYISRFLRLSSPSPARVSSLDLAVLVLLCSGAGALFHFLPPSLPPSMAPSPLAFPPQLTNPFDPIAGVRSVTRRSETRRCRRCQWSTRLQKTELAHTICVTSQTVYASAYTYEDMEALLSDLQSKMEKEPFKMLIINSVTTLFNTELCNGCAFDGCQIKTLEMMYCLRMIANKFHIGVYVTNHEEMGLTDAKIKRSLKQGLYFMEVKDLRKLNNAVQVTTGNSSLGDMHQGGDMHEDVFLGLQDFRTKIRVSYPNSWFYLDASSDDKIKQLSQMIEKRISLSRESFYLTYLGRKLEPESTLRELGLVVSLITFELHVRLRGGCPDKGNQSLREFIASNSVCWITMSHGKNLYSNRLVREVLVRYPGQKGMRQVVKLVMQPLAILSQHAVKDLDETSVCEDYKKISKLFLDMLELNKGHPLYLSHLCSKMKSANVTSAKSNTFQLFLRVHPSLMTYSTRSSLLWEMKREIDGLLPPNAKNIQSAIDQILMNRDWTLIAKQEAAFSPTYNRSYKKSSSECFKFIRNWLTHGIENFNTSDPRRFTTEDMDYLLEIVFKDFLADIVWTLLQNNIGNLNRWSSIDGENHTLNARCGFETNGSRVALPQGRLRRR >OGLUM12G05570.1 pep chromosome:ALNU02000000:12:4736464:4739460:1 gene:OGLUM12G05570 transcript:OGLUM12G05570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIDEMPDLRSWNPDDEEEEEEKEEMPAVVDYSPHHRRRPSTFTRCGVIFDTDLIVRKPVEGAATGGRASHRTSTGFPFSVSLNLAVPPALSSIYLHCAEAVMPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFAVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDVGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRSQSFAVTIRTWTLQMPQMVWKLDDVLDVKQLWGSASFKKYDLHQWVPEYPVSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSKS >OGLUM12G05570.2 pep chromosome:ALNU02000000:12:4736566:4739460:1 gene:OGLUM12G05570 transcript:OGLUM12G05570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIDEMPDLRSWNPDDEEEEEEKEEMPAVVDYSPHHRRRPSTFTRCGVIFDTDLIVRKPVEGAATGGRASHRTSTGFPFSVSLNLAVPPALSSIYLHCAEAVMPPTLEHGYFGRSSLVAADATHLLLLVVVPVKIQGMYDHEYPEEYFVYTADALRPSLADALCPTLTRLPRFPDNRQRLAGDIGILNHAAAAGDGFSFAVASLQTFMEWQSGEGSAAILHLQEMAKLSVLQCSVGSDLDEDNTKNNDSRWVVKNLAMPFDSQGDFGPRQWKSNIAFAYAGKLYWADYDVGLIYCDVLESSPKLQLIKFPVPVRKFELGVSGPDDNCGNSESFRTAGVSNGKIKFVDVDNCRSQSFAVTIRTWTLQMPQMVWKLDDVLDVKQLWGSASFKKYDLHQWVPEYPVVSLLDPHIVHFVLHKHMYHDQVWMIAVDMRAKSVKSCKNYPKGEKEDGYKGLSFNIDFICSMLSKS >OGLUM12G05580.1 pep chromosome:ALNU02000000:12:4741668:4746033:-1 gene:OGLUM12G05580 transcript:OGLUM12G05580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sirtuin 2 [Source:Projected from Arabidopsis thaliana (AT5G09230) TAIR;Acc:AT5G09230] MVLTGAGMSTESGIPDYRSPNGAYSSGFKPLTHQEFVRSIRARRRYWARSYAGWRRFRRAQPNSAHYALASLERIGRVHSMVTQNVDRLHHRAGSKPVELHGSVYEVACLDCGTSIDRESFQEQVKDLNPKWALAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFDIPSCNQCGGVLKPDVVMFGDNVPEERAESTKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAITIGETRADSILSLKINARCGEILPRILQMGSLSVPNVS >OGLUM12G05590.1 pep chromosome:ALNU02000000:12:4751235:4755172:1 gene:OGLUM12G05590 transcript:OGLUM12G05590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALTRMKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAIGVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFVLWSLICGLIPLDPKREVILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAAGGMLFFPSLVKHMGAQSVFFVEAVLGVAWSVIWLKFSSEPPRTDLPKVSMPKVASREKIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQLSLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSITKTRKLLNTIGFVVSAVALMALPLFRTPSGTVLCSSISLGFLALGRAGFAVNHMDIAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEGAKASNMDLTNSETWKTVFFVPGYLCIFSSVIFLIFSTGEKIFE >OGLUM12G05600.1 pep chromosome:ALNU02000000:12:4755695:4758663:1 gene:OGLUM12G05600 transcript:OGLUM12G05600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDLYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKTETAG >OGLUM12G05610.1 pep chromosome:ALNU02000000:12:4759706:4762628:-1 gene:OGLUM12G05610 transcript:OGLUM12G05610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSPMSRRAPPPVLALPARGWGGRTVVVVGVRDDAEGRELLTWALAMVASAGDRVVALHVATPAAAAAAADQEGAMRMAARRIRATESLAALLRAYHDFCDLNQISLELRICHGSSIKKALVNEASSYGAAHLILGVTNNSRSHLRPPSSSSSAAVAKYCAKRVPPSCSVLAVGNGRVVYRRDAAQQQLNQCISPLVETPRRIYRKLVRAATTITREKSQDDAAIAGGGRHLRRNISTPGSAPVSPVVAFTARQARSPEVAAGWPLLSPDLKSALPEWTEMSVARWAMQLPSRCPAPSPLNPRNNSGDQATSPAITASEIPSPATDEAAEQVAQELASLRNKYSSKYTMFSYSELARMTCNFSPDRIIGKGGASEVYKGCCDDGKEVAVKVLRSSDKVMEELVSEMEIVSSVRHGNAMPLAGFCLDDGGGGAKLMLVYDYMARGSLEEILHGEKEGKDLFGWPERFKVAAGVARALVYLHGGDGDGRPVIHRDVKSSNILVSEDFQPKLCDFGLALWAAEAASPVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELVSGRKPVSSGGGKGKESLVMWANTIIQGGKLTDLVDPSLPTDGGGIAGEVERMTLAAALCIRRSPQRRPSIANVLKLLDGNGDAVRWARSQAGLSAGDDTDDGDGASPEKKDIQSYINLALLDVDDDSASVSSGGGDFTAANVSLEEYMKGRWSRSSSFD >OGLUM12G05620.1 pep chromosome:ALNU02000000:12:4772021:4773185:-1 gene:OGLUM12G05620 transcript:OGLUM12G05620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVAEPGPVRSEGLLLQCPYCDSEAMHKLAQLLLPGLAAVCIDCTTGDLFRKPSVVAVDMRKEMVDYVTQRSETFISDSLIESEASQDEEKEMPEDPFEVVSIFMDDFSSTKRNIIGHVSGWLMSDSREDKIDDFVQEMEMTRFWPLERREAIAEVLLKNVDLKTKYHCPEKYENEQRLADHKAQCSFRPVTCPNDGCRAKVSVRCMTDHDSACLFKILTCEQSCEKRLMRRDMDRHCVTVCPMRPMKCPFGCDSSFPERNLEQHCSEFLQAHLLKVLKAIHKKGFTDEGFKDHALLLEKQDIDGKLGKSREVRSLTNVVKNLEAKMKDGGSS >OGLUM12G05630.1 pep chromosome:ALNU02000000:12:4791267:4793130:1 gene:OGLUM12G05630 transcript:OGLUM12G05630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVSVEAGNAGEAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPATGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGVVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATRLSVATTTMYIAQRGVPRGSARWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >OGLUM12G05640.1 pep chromosome:ALNU02000000:12:4802715:4804343:-1 gene:OGLUM12G05640 transcript:OGLUM12G05640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQKVVKPMEVSVEAGNAGEAAWLDDDGRARRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVMLLFAFVIYYTSTLLAECYRTGDPTTGKRNYTYMDAVRANLGGAKVTFCGVIQYANLVGVAIGYTIASSISMRAIRRAGCFHHNGHGDPCRSSSNPYMILFGVVQIVFSQIPDFDQIWWLSIVAAVMSFTYSGIGLSLGIVQTISNGGIQGSLTGISIGVGVSSTQKVWRSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMKSATKLSVATTTVFYMLCGCMGYAAFGDAAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFVQPIFAFVERWASRRWPDSAFITKELRVGPFALSLFRLTWRSAFVCLTTVVAMLLPFFGNVVGLLGAVSFWPLTVYFPVEMYIAQRGVPRGSARWVSLKTLSACCLVVSIAAAAGSIADVIDALKVYRPFSG >OGLUM12G05650.1 pep chromosome:ALNU02000000:12:4821527:4823281:1 gene:OGLUM12G05650 transcript:OGLUM12G05650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G33760) TAIR;Acc:AT2G33760] MDPPPPRRRHSPEFDSLLVAGPRLGPLKQAHARLLVAGHGGSLPLVTKLATLAVAAGAAPYAHLLAASHPACDSFLLSSLARAAAHRGLPGEAIAFYGRLLAAALPFSSFALTAAAKACADLSALRTGMAVHAHSVLLGFGSDRFVQTALVVLYSKCGQLPVARKLFDAIRDRSVVAWNAMISGYEQNGLAERAIEVYREMQVDQVVPDSATFVTTLSACAQAGALDLGREVERRIVSDQMDVSVFLGSALVNMYARCGLVSKARDWFDRLQERNVVTWTSMIAGYGMHGHGCEAIKLFHLMRLEGPTPNDVTFVAVLAACAHAGLVNEGRSAFDSMKRVYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIRDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHRVLLSNIYALSGKMNHVEKVRNVMIKRRLKKQIGYSLIELGGTSHLFRMGEKSHQQTREIYQYLEELIHRISDAGYVPETDSVLHELEEEEREVALRYHSEKLAVAYGLMMSSGSTTPIRVIKNLRICGDCHLAIKFMSSVESREIIVRDKHRFHHFKDGKCSCLEYW >OGLUM12G05660.1 pep chromosome:ALNU02000000:12:4825565:4826143:-1 gene:OGLUM12G05660 transcript:OGLUM12G05660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQRQAAADQAGRAAGGACVWVVAAVLFLAVLAGGGCLVFYLALPPAEVPEWLPVAGLSLVALPWAFWIATCAYRLCCCCCSSSSSPEQANAAAERQPSSSTRPAAVAPLPSSTNLKSAVRSAMGSYSHSGTRRVHFGDSTVLGEKAAGAGAGEPAVVEEVEEEEEEKECSSATSSHESEAPIAQSMPSSR >OGLUM12G05670.1 pep chromosome:ALNU02000000:12:4826476:4833545:-1 gene:OGLUM12G05670 transcript:OGLUM12G05670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:A0A0E0BPU2] MAALLHLQSSAAAAPSFLLLRRRGGGVAPAGSSRRRRACFRVEAKIREIFMPALSSTMTEGKIVSWTASEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEDEIPAAQSKAASLSSSSSSSPPPPPPQESTPPPPPPPPPAPVAAAVSAPAPPSPASQGGLRVVASPYARKLAKDLNVDLNSITGSGPGGRIVAKDVEAAAAAPKKAVPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTFTTDALDALYKKIKPKGVTMSALLAKATAMALVQHPVINSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLPDADKGAIMAVGSSQPTLVGTKGGSIGIKNQMQRSHPTLHGGAMAATAASPERARAEVDTSSAFRSVKEAVAVFGERILVGENRNGGGGYGGGDRRAGREGRTRSNTLAIAASFAKLEGGGGGGDGVRVSNHSKPNAIGVNAKLPVASDAAPPAMYLVPSSSPPFFASSPSLANDDDGVSAASASDAMVMGSIRKVEEEAARARQEVVQLKRRLAETELAMATLSAKLHRALSKLAHMEADRAAAERARIQRRDGRDMALAVWAASGGGDREEEGFVVRVLDECGETWEEADDIGDXPRPPTRRRRRGGSRWESFSGSARPTSSAPAPAAAARWAATAARRKVQKEKPIVPLIVPLINGIIFSRKKRNKDKESLEL >OGLUM12G05680.1 pep chromosome:ALNU02000000:12:4835343:4838685:-1 gene:OGLUM12G05680 transcript:OGLUM12G05680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRPCFGRNNGFLLLLVQILLFLFLFFDSSMVATAASAPAPLNTTQVSIMKELSGLVTASAKWNTSDSNPCRWDGVSCSSSSNSISVVTNLTLSGYGLSNSTIFATICSLDTLQILDLSKNSFTNSVEQFFTSSCSMKAGLRSLNLSNSQLSMPLSNFSGFPLLEVLDLSFNSFIGDVSTQLSSLLKLRSLNLSSTNLAGDVPTSMTPSLEELVLSINNFSGSIPIALFSYRNLTVLDLSQNKLTGDVPDEFFKLPKLRTLLLSGNMLIGTIPVTLSNITSLSRFAANQNDFTGFIPRDITKNVKMLDLSYNNLSGVIPSDILSPRGLETVDLTSNNLEGPIPSNLSSTLYRLRLGGNILDGTIPGTIGDALALAYLELDSNQLTGSIPSELGKCKNLSLLNLASNKFQGPVPDAISSLDKLVVLKLQMNNLSGPIPSAFFGLASLSTMNLSQNSFTGEIGELSKQTKLSVLNLQGNKISGSIPNSISLLTSLIELSLGNNSLTGTIPTMPAKLSAVLNLSHNHLSGFIPSNIGSLSDLEVLDLSYNDLSGEVPASLVNMPSLTQLVLSYNHLSGTIPKFPQHVDITTDGNPDLTNGTGNSDNTPTSGKRRTHNTVIIVVAIVGALVGLCLLAAIVTISYSKRIYRVEDEGPSTEEVVARIINGHLITMNSIHTSAIDFAKAMEAVSNHSNIFLKTRFCTYYKAVMPNGSTYSVKQINCSDKIFQIGSQGKVAHELEVLGKLSNSNVMVPLAYVLTEDNAYIIYEHVHKGTVFDFLHAGRSDVLDWPSRYSIAFGLAQGLTFLHGCTQPVLLLDLSTRTVHLKSMNEPQIGDVELYKIVDTLKSSGSLSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGSPDQREQILDTRVSKTSAAVHSQMLSVLNIALACVAFSPDARPKMRSVLRMLFNAK >OGLUM12G05690.1 pep chromosome:ALNU02000000:12:4839497:4843042:-1 gene:OGLUM12G05690 transcript:OGLUM12G05690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFTPASSSPLCTSGLEGGGELAVAVAAAAVAASTRGGSRLRRRSPQAPKADAVFFEETELLVQAKTVDQSKSETDADATQRGLQDLNEKLQLVSSSFFDPASTKVT >OGLUM12G05700.1 pep chromosome:ALNU02000000:12:4845081:4849966:-1 gene:OGLUM12G05700 transcript:OGLUM12G05700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19 family protein (CHCH motif) [Source:Projected from Arabidopsis thaliana (AT1G09794) TAIR;Acc:AT1G09794] MAQQSKEPCKKEACDIQACLSKNMFDSKKCVRVIQLLQSCCEQCEYKSTHCDDSGGSNKPVLSLKKMNGPVFAGVVVDELKSKG >OGLUM12G05710.1 pep chromosome:ALNU02000000:12:4845465:4846013:1 gene:OGLUM12G05710 transcript:OGLUM12G05710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFTFPSVAPERCNAGKKPPFSPHFATPAPWFGGGGGVVVDAVVYDHRRSFSAVEKGEEEDTVRGGWYYCYDDGGCGNVSARFAGGEETKTMDMLWEDFNEELSRAAAAPPCPLSKEWTKEAWLAGDGTPEMRRHAAAAAAVASGSVVRRRRLSLLMMLKLLKKLFLAHKSNAASRKAPPI >OGLUM12G05720.1 pep chromosome:ALNU02000000:12:4850241:4855303:1 gene:OGLUM12G05720 transcript:OGLUM12G05720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase 14 [Source:Projected from Arabidopsis thaliana (AT4G33470) TAIR;Acc:AT4G33470] MEQLWVPSLPILGGRILPMLRHYCGFGSHHPLTWRSLQITGRKQKHNGCWIAYCLPSDNGTSISDTNGVRKDLALPDNLLRDAHILYCTSPAMGHNKEAHPETNKRVPAIVDALEKLELTSKHRGSQVLEIQDFQPASLDDIALVHSRSYITGLEKAMSRASDEGLIFIEGTGPTYATQTVMIYAHSLLFFFPQCFSRWKTFQECLLSAGAGITLVDSVVAASKLGPKPPLGFALVRPPGHHAVPEGPMGFCVFGNIAVAARYAQNQHGLKRVMIIDFDVHHGNGTCDAFYDDPDIFFLSTHQLGSYPGTGKIHQVGQGNGEGTTLNLPLPGGSGDYAMRCAFDEVIAPAAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLAARIREVAAELCGGRCVFFLEGGYNLESLSSSVADTFRAFLGEPSLAARFDDPAMLYEEPTRKIREAIDKAKHLHSL >OGLUM12G05730.1 pep chromosome:ALNU02000000:12:4855563:4859232:1 gene:OGLUM12G05730 transcript:OGLUM12G05730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEELRTSFSDLVVGSSSRTDSQVESSGDPSSEGGVQVTCFTEDLHDVILHFQLIRFSKQIYAWVGCNTAKFGHLYAAASTRPGNGVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLIEKLRGLGYVRPRAGEANTSTAQ >OGLUM12G05740.1 pep chromosome:ALNU02000000:12:4860167:4861358:-1 gene:OGLUM12G05740 transcript:OGLUM12G05740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPKLTAKELKSQQARERYTALSVEEKAALVQRNPENRERKNSASTSGTDVAAIVYDVSPIDHYANFPNSVRKHILFVIFVLMDAEVRNSLFKEPVLHDAIKIGVNFRNQELLQPAEQNNAPGEPEVVIVEDDEVVIEPLPKKKRTGNKG >OGLUM12G05750.1 pep chromosome:ALNU02000000:12:4863718:4864134:1 gene:OGLUM12G05750 transcript:OGLUM12G05750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPPIVQHLGYRHIGQVQQHDRRWAAERGSRDNVDDGDRDYGSGGWGGRRLRLRPMGKRPAAAVGKKEEAAAAAVEKKQDPAAASPARMRRSGDRAPARMWLQYTWGGGGEASEVCARGGAKSSHPAVGTKSCGGE >OGLUM12G05760.1 pep chromosome:ALNU02000000:12:4865431:4869953:1 gene:OGLUM12G05760 transcript:OGLUM12G05760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSPPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >OGLUM12G05760.2 pep chromosome:ALNU02000000:12:4865344:4869953:1 gene:OGLUM12G05760 transcript:OGLUM12G05760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWRAAAMASSQLARRAARRLLSSQHRRHCAAPACPWLLGSPPPVLAPSPVAAAAAGDRRGFCSVRRFTGESNAAAAAAVEEAENGLVAGGDQAIDFPGGKVSFVAEMNFLPESQRERINCYRVLDDDGRTISGSRFQEVSKELALKMYSEMVTLQVMDTIFFEAQRQGRISFYLTSHGEEAINIASAAALTIDDIVLPQYREPGVLLWRGFTLQEFANQCFGNKLDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTSEQFRSDGAVIRGQAYGMRSIRVDGNDALAVYSAVHTAREMAIKEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNGWWCDEDESELRNNVRQELLKAIQVAERMPKPPLAELFTDVYDEVPSNLREQERLLRDTIKKHPADYPADVHI >OGLUM12G05770.1 pep chromosome:ALNU02000000:12:4869584:4872740:-1 gene:OGLUM12G05770 transcript:OGLUM12G05770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPSPPPAAAGNQYGAEHAAAKKAVALAARLCKKVQQDLLKLDVQTKADRTPVTVADYGSQVLVSVVLKIELPSNSFSMVAEEDSEDLRKDGAQEMLGHITKLVNETIINDGSYSITLSKEDVLVAIDGGKSEGGPSGRYWILDPIDGTKGFIRGDQYAIGLALLDEGKVVLGAMACPNLPFKSIDHNGGSSGDQVGALFSATIGCGSTVESLEGSQPQKISVCSISNPVDASFFESYERKHCMRDCTSSIAEKLGIQAPPVRIDSQAKYGAVAQGDGAIYWRFPHKRSKEAVWDHAAGSIIVTEAGGLVKDASGNDLDFSKGRYLDRDAGIIATNKYLMPLVVKAAQEAMKEEGILG >OGLUM12G05780.1 pep chromosome:ALNU02000000:12:4873213:4878756:-1 gene:OGLUM12G05780 transcript:OGLUM12G05780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRRTSVVGCRNAEGADADASDAAAGKECGEVGGEAHLTENMVCTLGAARSRHRTVAKDIPRGSARSPGSWRWQSGRRRPTTRCGGVIQAWCAAHSFERFPTPHPPDDSCRVAALVDEGTTMLGGDGRQRQLAALREIKAIATESDRNKRCVEATPGAVKFLVSVVVQSHAAASTYTSARSDDDLLDSVINSRMSTSSPEEEALGVLYSLKLSEPTLRRILGKDNGGFLDTLASVLLLKAMTSAMPPERLGCRPRRRSIRSRTPLRYRWRAFLEEAGEPDDEASAAAAAAGEEQEDDAVASISRQRLARRSLYTPTPAGSGTHPYTCMAAARVGLVSVSVSHALHASSSSPSLRPPRLRLPPYLPRHHHHLLPTFPPNYPRPSSAAAARLRASATMSQAAGNPYAAELAAAKKAVTLAARLCQAVQKDILQSGVQSKADQSPVTVADYGSQILVSLVLKMEAPASSSFSMVAEEDSEELRKEGAEEILENITELVNETIVDDGTYSIYFSKEGILSAIDDGKSEGGPSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKVVLGVLACPNLSLGSIGNLNGGSSGDQVGALFSATIGCGAEVESLQGSPAQKISVCSIDNPVEASFFESYEGAHSLRDLTGSIAEVHESYLGNLVFECWQKLGVQAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGLVTDASGNDLDFSKGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEQNQAASPL >OGLUM12G05790.1 pep chromosome:ALNU02000000:12:4878772:4879680:-1 gene:OGLUM12G05790 transcript:OGLUM12G05790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAAGGRAGGQPLELRIRRLDMPLASFLLPIDYTMAAAAVLPTVAGELATDETKHSQISTGLAAWAQGRAGWLLGGRGSSGPSAAARALQLYLFGRVGEGGPWRRGFLASEGDREEDKYCPLGRRSLLHTRLRQRWEGVVNGAARAERRWATVAGDASLRCIEGGDDERHLVTPTPIVVASTELRLAMPAALKMLGSYSTTASKPVRCWKK >OGLUM12G05800.1 pep chromosome:ALNU02000000:12:4885527:4888480:1 gene:OGLUM12G05800 transcript:OGLUM12G05800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLSEVHALALLLERFCTTPGCKAYLVVSGGGHYPCKEAAAVLKWPKVVCKERRFKIFDLAVGALSGPSHSEVPVLQAVYSSMRGIIRMHNPSVIVAVANVDAKIKDAFRMAADSAINHIAFVLLPRNSISKVLWMATLRPTSLPNWNRMRISGNIITQNRAKSLRRLLASLRNTYYVGDEVPISFNMDSRVDAATLNTVNSFDWPHGGKTLRRRIIQGGLIRTQRAGGQQLRPPRRLTPFSLSMRARRPVFPAKQT >OGLUM12G05810.1 pep chromosome:ALNU02000000:12:4888046:4906180:-1 gene:OGLUM12G05810 transcript:OGLUM12G05810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVGVHWVQDYSVGRVTDDQGRRAFALGVQLPGGYSEVRVLRDLSMTLNGINGFVKEGTVVGIYNAEPHSIHAIWKFDPINKKDLPPEAEFALELFDDDMVIDNAFRAPRYRAPTPPSMPLHYCRRLAHTSAWRRTKPLPLSPALSPIASILGAQRKCGGQPRVAALDGTGKRGEDSASH >OGLUM12G05820.1 pep chromosome:ALNU02000000:12:4906209:4906995:-1 gene:OGLUM12G05820 transcript:OGLUM12G05820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGQPIRIYCKGDTTLNVAVRGNELRLVRDDPNDESQVCDGVGKLTDDEERPAFALVNRTTGHALVNGGDLELGLAPYSGHVAVELSVLWSLGHPRADGFMEIRTLRDVRYTLDGVHGFVDGGYRLNGIHGIPEHGTLVAIYHSQPTADYAVWKIAPVGHQEPHSHSESDDALES >OGLUM12G05830.1 pep chromosome:ALNU02000000:12:4908415:4909229:1 gene:OGLUM12G05830 transcript:OGLUM12G05830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLLEVTEAQDNMGPMWLQEDVTGHWLTFPIIGRLQFPRLQILEVYQLQMMHLDFVHFYDMFVNISSSMELFYWYFTVWHARDASTSTAAPSWTSSHIVEA >OGLUM12G05840.1 pep chromosome:ALNU02000000:12:4912155:4914365:1 gene:OGLUM12G05840 transcript:OGLUM12G05840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHLSVAQNVTRAATAWAASPVGLLVRVEALVTASCALLATLVFLGSGRRTSRSAAFRFVVWLALMLSYPAVSYTIGLMQSGSFRNDMVVVWACFLLGCADGIAACSLDGADQQARTMISQATQVFYVMLLLISYLGSLQLQLKVLLSLLWLLNVAKLVLRLRGLLAAGRDRVLTADNWLISKYMAHEKVSSIWDFDPATMRGYRYVVTGDDKKNVQYQYGAAEYKVDDELVTVEKAWEQHDGSLLSDDDKLKDLCLSFSLFKLLRQRLNLNGKPFHEPKDIRTLVFVRRGLAGGDSCEDHDRMYRVIEVELGFLFDFYYARYPSPKQTLVPETATFMAAAALSLSTLFSPALLHHHHHHPPPPGGGAVDYTTTSVDIWLARLVISLFLVLELSQYLSLVLSDWHRVKMLCRYVRHRPWWQGHPILEKFLWLTCRATLTRSYWSNSVGQYSLLHSCLENQSSCLLTRVPLHRWVKDQLATTRAVTRRSLPVAVKRQIHRLLRSEWLSNVKYGDRTLQRNDMLQVFDWSTSRYKFGTMGSILIWHIATAICDDELSKLFAAAGGKARPRAAHNAVAADSREVATVLSNYCAYLLLQAPELVTDEVHDERLLMEAVQEAIQNYLRNIGCRRSKDAMFASLREFMPADEANFTGEAVLADGAQLGYQLLSAMADEAALWNLLAEMWVELLLAVAPSENVTGHVKKLATGGELITHLWALLTHGGIIKRREKPYYDSR >OGLUM12G05850.1 pep chromosome:ALNU02000000:12:4974947:4975192:1 gene:OGLUM12G05850 transcript:OGLUM12G05850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQELVRVAASAKVCTKHGGFAQVDLQAIVPHSESSTTASVRLTSGKATVSVSAEERTKNLPKKKAGGALILLPWETKKL >OGLUM12G05860.1 pep chromosome:ALNU02000000:12:4989356:4993859:-1 gene:OGLUM12G05860 transcript:OGLUM12G05860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHQTHEDDTGVEDVVTTMKPETALSGRHISVEAAAACGGTSSAARSYAGLDLLLQENFSAPRRVLQLHALLLTSGALSLPHPHPAATAAFPYNCLIHAHLRLRGSASPPWGPLRIFSAMLARGVRPNRHTFPSLLKSSASFDAATPTLHAQCLRRGLDEDRFVACSLLSAYGRDGHLVRDARKVFDDMASPDLATCNAMLDVLCLSGDMYGARCLFDRMVVRDVVSWTTIISGLTRIGCHWDAVEMFRAFLLQNKGRLSEATLVSVLSACANLDAVEGLAVGMAVHGHVVRHEVQFTAFLGTALIDMYGKYGKLSCCSHIFHLVRDKEVCTWNALLSALANHGKEAEALVKFEMMRSEGFLPNHITFVAVMTACARAGLVETGLDFFEELFTEYKVIPMMVHYGCVVYLLGRAGRFLEAIQIIERMPFMADDSVWGALLGACKIHGNIELSAQIREKLIVLGGQQPGRYVTVRNMYLEEGNWYAATRMGEVMQEAGIKKIVIPIHRNPSEVGTERGGGGGSDLASGGAEMSSSMSDGSSSTHESKASPVPYRVGPLEYQPAVMCQCRPPAKAARWISWSTDNPGRRYYKCQNARQGGCDFWAWYDGPTSSFIRELLNDLRDRVNSLRRENEVMRKEVEQSRDKVEVQSKAIDDARGVVAVKNAEIMCLKAKNHKLEKERKVFVICGVISETV >OGLUM12G05870.1 pep chromosome:ALNU02000000:12:4996290:4996718:-1 gene:OGLUM12G05870 transcript:OGLUM12G05870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATVLLLLLVLAAAAATAPTTSMATIPTGRSRFLLAHQHRAAGAGDPYYYRPLPSMYGCSEKSAAMCVAPGSPGPTCCGGRCVDTAASGDHCGGCNKACKHGRTCCGGRCVDLLFDRDNCGSCSNRCSKRCTYGLCNYAQ >OGLUM12G05880.1 pep chromosome:ALNU02000000:12:4998856:5001612:-1 gene:OGLUM12G05880 transcript:OGLUM12G05880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCVAHSLHCIVYAGGKCITIYNFFLADGVDRISGLSNDLIQRILHFVLAREIVSTSMLSTRWRSL >OGLUM12G05890.1 pep chromosome:ALNU02000000:12:5001674:5002081:-1 gene:OGLUM12G05890 transcript:OGLUM12G05890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATILLFLVLAAATAAMAATSASTAPVGMRRSRFLMTQQGQGADPYYYYSCSKKSAAAVCLAAGSPGATCCGGRCVDTGASGEHCGGCNKACKHGRSCCGGRCVDLLSDRDNCGSCSNQCSNKCTYGFCDYAI >OGLUM12G05900.1 pep chromosome:ALNU02000000:12:5051574:5051916:-1 gene:OGLUM12G05900 transcript:OGLUM12G05900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSMSDGLSSTRESKVSPVPYRVGPLEYQPAVMCRCRPPAKAARWISWSMDNPGRRYYKCQNARQGGVISGHGTTGRRQASSGSS >OGLUM12G05910.1 pep chromosome:ALNU02000000:12:5075240:5077388:-1 gene:OGLUM12G05910 transcript:OGLUM12G05910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEMVADDQKAKVEATGRMPSIEMEPKTLTLDQLKFAREAALYVLSTKPAEEAIRIFTEGLKPVHLAAGGGGVRKSSTVAADSSSDDDLDIGCFDADSGKAYCRHHGGGRRRRRRSSSAVEKDVATAPF >OGLUM12G05920.1 pep chromosome:ALNU02000000:12:5098340:5102164:1 gene:OGLUM12G05920 transcript:OGLUM12G05920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPGSSPAATAAAHRHRRSRRRHPQGPPPRQPWCCSFALDPLSAVHRSPAGTTTAAPPPPHPHHRRRSKHAATTAPPLSRRMRSPGRVSPVDDATAYGGAAASASACSSARLSSVSECPPPPPPPPPRPRPPPPAVEALRIRLVSKGVILEVAEVERVRRECRVVGRILGGGGGEVAVEGKVEVEAIREAVEMMLEDADEAAAMRRLSRAGVARAIAVLEVSFSLMFDRGVTNCLKYLEAVPWSEPEEERIKSLLSQYPCNKTVSEDLLARLQPQEPSSSAELVVELMDSITKGTNNNARKDLRTLVDGILSRTSIYIKSDKELDMMNIYSICHTCLNCLVELFGEASDLGPSGQTTISVGKGPHERICKQVENLTWLLQILIDRQMGEEFVDLWASQNTLSTMHERFSPMVRYELSRISATIFIAMGSGKLHCTSTSRLGIFEAWFRPLLVDFGWLRRCPKGLNMATLEDGIGQALLTLTLKHQQVLFMEWFETFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >OGLUM12G05930.1 pep chromosome:ALNU02000000:12:5106556:5113852:1 gene:OGLUM12G05930 transcript:OGLUM12G05930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESSVFTLQHLILPTYSLASSSITGAIILHGPHHGAQNSTSTGASLPSTMLSQFFSSATTTATTPHAHIRWFSFCFAPEYDEWSNMCFTFVDGVAALAGEAGGRPEL >OGLUM12G05940.1 pep chromosome:ALNU02000000:12:5112945:5113548:-1 gene:OGLUM12G05940 transcript:OGLUM12G05940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETCFRAWATLHAPQPPSSGGSRDRLLLSGAGSSQSKPRLSVASPSQLRPASRFACQCSNAVDEVVVADEKNWDSMVLGSEAPVLVEFWAPWCGPCRMIAPVIDELAKEYVGKIKCCKVNTDDSPNIATNYGIRSIPTVLMFKNGEKKESVIGAVPKTTLATIIDKYVSS >OGLUM12G05950.1 pep chromosome:ALNU02000000:12:5115252:5117050:-1 gene:OGLUM12G05950 transcript:OGLUM12G05950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPLQHHYTSSQERSGGGILELVEQEISGGGEGDSLRRRSSSTSPAFPSTQTAGEPELKVCLSCRLQELYLLHEIDRARRWS >OGLUM12G05960.1 pep chromosome:ALNU02000000:12:5120519:5126150:1 gene:OGLUM12G05960 transcript:OGLUM12G05960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polynucleotidyl transferase, ribonuclease H-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G25800) TAIR;Acc:AT5G25800] MVYLHKSPLHHRGNTNISPSTTLSRSWVRPPQIRGLPCIILPPPRQSPRSAADAHMAAPSGSGEAAPASSTYYDVYGPDDVQGLVTWVIGDGMLPSWVFVKNKPLIPKVVLLYVPGLDAALYMSQSRHLSSLKEFCGNPKPVLASSCIPDERHTIDALLTCRVKRKRALKTTDQSHESDGQEKLSSLDDLKDIPFPIKYYTLSEKDLEDNGYNFSLEGFVPTVSAPPGSSPYAILALDCEMCVTAAGFELTRVTLVDIKGEVVLDKLVKPANPITDYNTRFSGITAEMLADVTTTLQEIQEEFVGLVHKETVLVGHSLENDLMALRISHDLIIDTAVLYKHNRGHRFKIALRVLAKKFLNWEIQNTGSGHDSVEDAKAALELALLKIKYGPDFGSPPSTSRRKLASILHESGKKCSLIDDASVLERYSDASCNSIAVFSDDDALSRSMKEVKNDKVSFVWTQFSKLISYLRTRAQDPDKVKSCVAEAIALQTCDRKTAQKRKKHQTCPELKEILIGLDKKIRKLYSVLPDNAMLIICSGHGDTPLVQRHYSDDILADSVYCRKDGAPCIPTNIRTSG >OGLUM12G05970.1 pep chromosome:ALNU02000000:12:5129908:5130344:-1 gene:OGLUM12G05970 transcript:OGLUM12G05970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLPSLLFWLPIPPVMLGLEEAAALRKLKEIGGMNSPAMGVAHQGVNVNRNGGVIEAECLVEWAGWEARTIVRAAPP >OGLUM12G05980.1 pep chromosome:ALNU02000000:12:5155629:5159897:1 gene:OGLUM12G05980 transcript:OGLUM12G05980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVNGANNGNGHHGHGHGNGNGAAAAGRSTSVSRKIESEGAVLMPGVYDALSAAIVQKTGFYAGFISGYAVSGSFLGTPDVGLLTPPEMAEVARRICASAPNTLFIADADTGGGNALNVKRTVQDLMAAGAAGCFLEDQAWPKKCGHMHGKQVIPAEEHAVKIAAAREVVGDRDFFIVARTDARSVTGLDDAIRRANLYIDAGADACFVEAPRSDEELMEICRRTKGYRVCNMLEGGKTPLHTRQELMEMGFHLIKSPLTTVYAAARALVDVLAALKRAETTRDELHRLTTFAEFNNLVGLDSWLDIEARFSVNSSVPKPLPAPETTTTTEMEKAKAKAAAVVAGNGATNGATNGVH >OGLUM12G05990.1 pep chromosome:ALNU02000000:12:5162308:5163602:1 gene:OGLUM12G05990 transcript:OGLUM12G05990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSTSVVGLKPAAVASSSPALPKRVHVAAPPKLAQQSGGRRAALLGLAAVFAATAAGQGQARAGVFDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >OGLUM12G06000.1 pep chromosome:ALNU02000000:12:5176691:5178363:-1 gene:OGLUM12G06000 transcript:OGLUM12G06000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALVLIVGAGPSGLATAACLGQLSIPYVIIEREDCTASLWRKHTYDRLKLHLAKEFCEMPHMPYPEDTPTYTPKIQFLRYMDDYVEHFNICPKFNSSVESCLYDDVQKYWVVTTHDQVNGMVSKYAARFLVVASGENSAGNIPSIPGLEDFSGHVIHSSSFRSADSYAAQRVLVVGCGNSGMEIAYDLSSHGANTSIVIRSPLHVMTKELIHMGMKLASWSLPVKFVDFILVVLAYLWFGNLSKYGIVRPNMGPLLLKAKTGRSAVIDVGTVELIKKGDIKVFGPISCIKGNVVEFDDGKESYFDAIVFATGYTSTANNWLKNGEDMMNKEGMPKKDFPNHWKGSNGLYCVGFARRGLSGIAHDAKNVANDIKAFLDSMAPF >OGLUM12G06010.1 pep chromosome:ALNU02000000:12:5183619:5184296:1 gene:OGLUM12G06010 transcript:OGLUM12G06010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVTPASSAAGAPPPPPPPTTTCSTSCRFVLPPTRRHLLASSASSLLLAAAAAAAPRAASSEDDDAVTSYDPVTAAERAASASVSRRVGEAVRLLDLGRDLQARGEFPAALASFTAVATEYGDLSLSGYARVGRALVLYEVGDRDDAIAEMEDASVALRGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLAYVRDTKHWPPSLVDSLRHFITLS >OGLUM12G06020.1 pep chromosome:ALNU02000000:12:5187268:5192875:1 gene:OGLUM12G06020 transcript:OGLUM12G06020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPEATGGFCGGGGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLAMLYSMAIMRQVHSYRDLRFCDVWGRTLKLEIVMLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMAELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >OGLUM12G06020.2 pep chromosome:ALNU02000000:12:5187268:5192875:1 gene:OGLUM12G06020 transcript:OGLUM12G06020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAPEATGGFCGGGGGGGGLSTGRKLVPWSSWAEWRHVRDGLFSASPAAALRRIAAWRSRGTLPVPVDVTAAFVEIRLRDPFFRSVMAVDDALESEEMLAMLLVNGFVENPHKKTGYSISELAEAVGIPRVLVDIRHESSHRTLPSLRLLRLAAIKAFDWLKCIYWDSQTNAIPDVQVEVRSKLHEINNFMKGKDSMKAKSGSKRKRSEKMISRNIKYVRRLYYACPSEVAFVILDFFQRGAPESSENSDVLETDKDVDQSSDIHSEISNNDMRTIITKLSEKEPRLLLGILKSVIETIETMEDLENKGEYNASLPAKVELLSSHVLWLVTKLKELKDSGCIGVVHEIGVLSSDRNAVPRFCLAKLLRKLLSLSIIGERCIIDAALVLIEMATNNVQEKLRKLPMLSLGKVARDSTLPEPTKETESVEEATEKLEMFKSRLKQKDLRLAENDTGASLNTIMPEKRNRWSTAKSWTPCPIGMIPCSFSSVAVLPTLDVVDHESRDEILEQHVSVEPDDHTERIGYYSDPEKQLDAERIPELSRPSPEECEISDMAELAFPLKGRLLVGGVWKMVSEEELLFIKSKMKILL >OGLUM12G06030.1 pep chromosome:ALNU02000000:12:5193341:5196758:-1 gene:OGLUM12G06030 transcript:OGLUM12G06030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLTIKRVPTVVSNYQEDAAATAGERPRAGCGRDCLGDCCLPDSKLPLYAFKASPKKPSSQEDASNDEFFVNLLLGLWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDAAKFNFTKVGQEEVLFQFENGGGDDSFFVESSPISVADRAPNVVAINVSPIEYGHVLLIPRVLDRLPQRIDQESFLLALHMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLTVPFPVEKAATKRIFLAEGTMNSGVKVSKLMNYPVRGLVFEGGNSLSDLANVVSSACIWLQDNNVPYNVLISDSGKKIFLFPQCYAEKQALGEVSQELLDTQVNPAVWEISGHIVLKRRSDYEEASEASAWRLLAEVSLSEERFEEVKAYIFDAAGLVQSDEEEVSEDEDATYTPVSIAPPAVAEGCLVLQ >OGLUM12G06040.1 pep chromosome:ALNU02000000:12:5210402:5215836:1 gene:OGLUM12G06040 transcript:OGLUM12G06040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAGLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKKLLERLRSRGKFIALHLRYEKDMLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPDYIEQVEPVSSGSCSDEDMRWFQLKLVVSSS >OGLUM12G06040.2 pep chromosome:ALNU02000000:12:5210402:5217616:1 gene:OGLUM12G06040 transcript:OGLUM12G06040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAGLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >OGLUM12G06040.3 pep chromosome:ALNU02000000:12:5210346:5215836:1 gene:OGLUM12G06040 transcript:OGLUM12G06040.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MLAFTGCTYGLSESEADELRIMREKTSHWKLKDINSTEQRSGGNCPLTPEEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEVLATKEELGKFNDHASQVAALDYIVSVESDVFIPSHSGNMARAVEGHRRFLGHRKTVTPDRRGLVELFDLLQKGELMEGPKFSSLVTEMHKNRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPDYIEQVEPVSSGSCSDEDMRWFQLKLVVSSS >OGLUM12G06040.4 pep chromosome:ALNU02000000:12:5210346:5214796:1 gene:OGLUM12G06040 transcript:OGLUM12G06040.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAGLLAASAALLLVLSLRQVHRVGLPPRDHPPAQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >OGLUM12G06040.5 pep chromosome:ALNU02000000:12:5210402:5214796:1 gene:OGLUM12G06040 transcript:OGLUM12G06040.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-fucosyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64600) TAIR;Acc:AT5G64600] MANPRGGGGGGARHHLPLRPRRLLRSPISRCAGLLAASAALLLVLSLRQEPNEQLWGSDGYGSHACVTPTSRYMAPKGSERYMTVRSNGGLNQMRTGICDMVAVARLVNATLVIPQLDKRSFWQDTSTFKDIFNEPRFIKALEGDVSIVNDLPQSLQSVPRARKHFTSWSGASYYEEVKQLWKDHKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFSDPIENLGKAWAFS >OGLUM12G06050.1 pep chromosome:ALNU02000000:12:5215669:5217229:-1 gene:OGLUM12G06050 transcript:OGLUM12G06050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mog1/PsbP/DUF1795-like photosystem II reaction center PsbP family protein [Source:Projected from Arabidopsis thaliana (AT1G77090) TAIR;Acc:AT1G77090] MMNSSVLFLPGSSLFLTKQLVPATKGRASAAAAVRCSSGPNLSEAHEEEDGVASLMGRRHAMASAAAACGVSVFGFAGESMAVKQGLLAGRIPGLSDPDEKGWRTYRRPDDKSGGHGVGWSPIIPYSFKVRDGWEEVPVSIADLGGTEIDLRFANSKQGRLFVVVAPVRRFAELDDATIEKIGTPEKVIDAFGPEVIGENVEGKVLSMATAEYSGRTYYQFELEPPHIFITATAAGNRLYLFNVTANGKTTVPISKLYDIFFRTPNAE >OGLUM12G06060.1 pep chromosome:ALNU02000000:12:5221511:5221978:-1 gene:OGLUM12G06060 transcript:OGLUM12G06060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKVEMVSESELEDIVNNSVDGGLVDRKGSLHHVQTFPGRLGLLPFHGASPLLLTSLLLDSGRGEFHGKQ >OGLUM12G06070.1 pep chromosome:ALNU02000000:12:5227739:5241688:-1 gene:OGLUM12G06070 transcript:OGLUM12G06070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLQEAAAVDEAVSSMMSLLGNAMSSEKKGSAAAAAEQRVEWLRSQLIGKDAEFDTPFGRRLLTYADHTASGRSLRYIEDYLLNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGGGAGDALLFCGAGTTAAIRRLQEGFSRAALVLIVAINFNDIQNYKKIKSLAEVVEVGVDGDGLVDVAALRRALASPRYADRPMLGSFSACSNVTGIAVDTRELARVLHQHGAFACFDFAASGPYVKIDMKSGEVDGYDAVFLSPHKFIGGPGTPGILLMNKSLYRLNSQPPSMCGGGTVAYVNGFNEEDTLYYDDIEEREDAGTPPIVQKIRASLAFWVKEYIGYETMELHERVYSEMAMKRLLDNPNIKVLGNTTVDRLPIFSFLIYPPVEDSLFLRVEPGCYNSLENKTNKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGHILLDVNNELSLRIRSAILEGYSGLKPGWTRLSFAYYLSKEEFKFILSAIEFIAAYGHRFLPLYKFDWITGNWTFREQAIKYHVLREELSLATSVQYAENIKSRIAKNLDKKPEPNHMRFETYLENARKIARSLPNINQQIVSIPKGVDPDMVLFHI >OGLUM12G06080.1 pep chromosome:ALNU02000000:12:5256132:5256341:1 gene:OGLUM12G06080 transcript:OGLUM12G06080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVVGACRMAVGDFASIYTQLNIELAEQAAPWPDWPASSDMTGEYHGVQSRKNGSEAAISIIKIGHV >OGLUM12G06090.1 pep chromosome:ALNU02000000:12:5277616:5278242:-1 gene:OGLUM12G06090 transcript:OGLUM12G06090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDHNGRAAPSPVARGTTLHHAAALLGCGSEAVPHGRPARSPVPRNGIVAPPWSRILIVRRSVY >OGLUM12G06100.1 pep chromosome:ALNU02000000:12:5286966:5287454:-1 gene:OGLUM12G06100 transcript:OGLUM12G06100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTALRRRRRRSGPTALGAAIARARRRLVVHLPLGEIQAKLPVMEAAVRPIRVPWEYIDCAVGPAAAVHSLEPPLLAASTVTDDLPGYLAKALHFLSDNCGIASQWLADIVEYLEDRSLAATIAFSHLAATAAASSPAASSFLSRRFSPAAAAGE >OGLUM12G06110.1 pep chromosome:ALNU02000000:12:5302211:5308582:1 gene:OGLUM12G06110 transcript:OGLUM12G06110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTAAAEAAVDEAVVAGKGGAMPTSTQDLLFRPSPTTTLLTLLRDTSEKRAAAAEEQKMEWLRSQLVGKDVEFDTPFGRRLLTYADHTASGRSLRYVEDYLVNEVLPFYGNTHTEDSHVGRKTTRLMHRAARYVKRCMGGGAGDALLFCGAGTTAAIKRLQEVMGVAAPAAAAPFRARLAAGLRRDERWVVFVGPYEHHSNLLSWRRSLADVVEIGLDGDGLVDVAALRRALASPQYADRPMLGSFSACSNVTGIVVDTREIARVLHQHGAFACFDFAASGPHVKIDMKSGKIDGYDAVFLSPHKFISGPGTPGILLMNKSLYRLNSQPPSTCGGGTVNYVNGFNEEDTLYYDDIEEREDAGTPAIIQKIRASLTFWVNEYIGYDTMDLHEQIYTEMAMKRLVDNPNVKVLGNTSANRLPIFSFLIYPPMVDSVFHGDDRLAIVRRKRLPLHGRFVTKLLNDLFGIQARGGCACAGPYGNILLEINNELSLRIRSALVEGYLGLKPGWTRLSFAYYISKEEFKFILDAIEFLAAYGHRFLSLYKFDWRSSNWTFSKQAAKELSAATGVLLGEDLQFKAEDKSDNNKPEPNHTKFATYLENAKRIALSLPDINQQIVRYFVLSRDGVTKRDRHKDSRKKRPMHACR >OGLUM12G06130.1 pep chromosome:ALNU02000000:12:5315922:5316161:-1 gene:OGLUM12G06130 transcript:OGLUM12G06130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLDCFGVLERKRSKPSPKQQEAEDAKMQKGAVEVEGGSSKAGEKMEEKEKSKKGKECRGAPLLVPHFPCRSTHGLL >OGLUM12G06140.1 pep chromosome:ALNU02000000:12:5341312:5344074:-1 gene:OGLUM12G06140 transcript:OGLUM12G06140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTILSADRGDRTHDHVVKSHALYH >OGLUM12G06140.2 pep chromosome:ALNU02000000:12:5341913:5344074:-1 gene:OGLUM12G06140 transcript:OGLUM12G06140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTISAL >OGLUM12G06140.3 pep chromosome:ALNU02000000:12:5342372:5344074:-1 gene:OGLUM12G06140 transcript:OGLUM12G06140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVAVVRIYLVLGQEKPCEVFLEFGGYRQSDILLRKSKTWRYKLQRFHLTMYC >OGLUM12G06150.1 pep chromosome:ALNU02000000:12:5352082:5356646:1 gene:OGLUM12G06150 transcript:OGLUM12G06150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthetic bifunctional enzyme TH1, chloroplastic [Source:Projected from Arabidopsis thaliana (AT1G22940) UniProtKB/Swiss-Prot;Acc:Q5M731] MAAAPQQSVHPSLPSSTSTLRLLISSSPRRPPPPPPRARRYNRLAASASAAREMPWPHVLTVAGSDSGGGAGIQADIKACAALGAYCSSVVTAVTAQNTAGVQGIHVVPEEFIREQLNSVLSDMSVDVVKTGMLPSIGVVRVLCESLKKFAVKALVVDPVMVSTSGDTLSESSTLSVYRDELFAMADIVTPNVKEASRLLGGVSLRTVSDMRNAAESIYKFGPKHVLVKGGDMLESSDATDVFFDGKEFIELHAHRIKTHNTHGTGCTLASCIASELAKGATMLHAVQVAKNFVESALHHSKDLVIGNGPQGPFDHLFKLKCPPYNVGSQPSFKPDQLFLYAVTDSGMNKKWGRSIKEAVQAAIEGGATIVQLREKDSETREFLEAAKACMEICKSSGVPLLINDRVDIALACNADGVHVGQSDMSAHEVRELLGPGKIIGVSCKTPAQAQQAWNDGADYIGCGGVFPTSTKANNPTLGFDGLKTVCLASKLPVVAIGGINASNAGSVMELGLPNLKGVAVVSALFDRPSVVAETRNMKSILTNTSRT >OGLUM12G06160.1 pep chromosome:ALNU02000000:12:5357756:5358247:1 gene:OGLUM12G06160 transcript:OGLUM12G06160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRILPGFFRRRRSSAVVPAATKEEMLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKQRWIAEEKRRIEKETKRQQQRRSSGFAVVVVVDLDKELGEEFERTRFYEELRLQQAEARRAAASKVVTTTEEEDDDDDVPARGDEGYLERRRELLGRYRLT >OGLUM12G06170.1 pep chromosome:ALNU02000000:12:5383993:5387266:-1 gene:OGLUM12G06170 transcript:OGLUM12G06170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRAKDEMYAVGWCHADIDNTTCKSCVTDALRKVQVVRHRTGRSHSKGYDYTIYALAECSSELTKLVKGKNIIEGSNDLGGENKRIIEGSGDSGCENDTCKTQCTSTLVSFDEITSNAQGKKIALFLDYDGTLSPIVNNPEMAFMSPEMRETVRDAAKIFPTAIITGRSRRKVLEVRPEDVADKGKVIKYLIGTLGLNDSNVLPIYIGDDETDEYAFKVLREQKNGYGIDSIFVLVIINVPSKLVSLDQGRNRK >OGLUM12G06180.1 pep chromosome:ALNU02000000:12:5390806:5391360:1 gene:OGLUM12G06180 transcript:OGLUM12G06180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKRQHNQHGTLKMFLKEENLDMEGFIWKSMLRHVTRAFGRACRNTSSSSWWSIKRAKHLLERRPKPWSNIARRSAKGEAKDPRPKGDEEKGLC >OGLUM12G06190.1 pep chromosome:ALNU02000000:12:5408275:5408955:1 gene:OGLUM12G06190 transcript:OGLUM12G06190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWILPSCFFPRRSAAAIAAAVVPVATKEELLELERRLWDIAPAAAYELQKRRHWTPEQVAREAEKNRWIAEKKRRIEKESKRQQRRRNSGDSAAATTTVLLDGAGVNLDKVLGEDFERKRFYEEIRLQAETRRRATPEEEPSTAAVVVTEEDDEESDDDDVPARGEEGYLERRREILGRYCLTPAHDPAGSRADIKIGEEDGGSWSPFLIARNLGRRITLRAAD >OGLUM12G06200.1 pep chromosome:ALNU02000000:12:5412507:5413636:1 gene:OGLUM12G06200 transcript:OGLUM12G06200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVCFHGGGGGGGGGRLTKSFNVISDFTKILIGRRGGDHALARRRRMRRCKDAAPAAAAAAAAAVASASAKIAPEEEGEGGGGGKEEEFCDKCCSALSGGGGAEEEAAAEGEREWVAEPEPGVLLTLAPRADGVSNRLRRIRFREEVFDAWAAQCWWADNHDRIAELYCLVKPDDDDDEEEAIAAAEEAMLPATPCQSEAEDDDDDDGAAGAESSSRSPSTSTFSGGPSSGSGGGSTGTLGSPILGLVTAPNTTGGGEHDAVRDQHQPTAATWREWVEEYEPGVFITVGAYPGHRLQLRCVELSREKFGEVKARVWWEENKARLHHLYSF >OGLUM12G06210.1 pep chromosome:ALNU02000000:12:5414106:5414360:-1 gene:OGLUM12G06210 transcript:OGLUM12G06210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGAAAAAGGGVVVSPSAAKDSWPELVGVSSEAAKTKIGEERPELDVQVVPADAFVTTDYNAGRVRVFVDSDDKVARPPKIG >OGLUM12G06220.1 pep chromosome:ALNU02000000:12:5414410:5426805:-1 gene:OGLUM12G06220 transcript:OGLUM12G06220.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVHHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSAKSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQPHL >OGLUM12G06220.2 pep chromosome:ALNU02000000:12:5414594:5426805:-1 gene:OGLUM12G06220 transcript:OGLUM12G06220.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein FLX-like 3 [Source:Projected from Arabidopsis thaliana (AT1G55170) UniProtKB/Swiss-Prot;Acc:Q9C717] MRVAPRSHTAMLEEEIEIQEAEFRRLMADHRALAEERLALHRELQAGKDEVHHLNTIIADISAKKETYIGELVDKRRKLEAELRANESLRDEIVQLRGEIDKHLVVRKELSAKSASIMHELTREQSNKQQIPMLKAEIDALRQELVHARSACELEQKGNFQLVEQKKAMEKNMISMAQEIEQMRAELANSEGRPWAPGATYGMKLGSPEVTFPTPYGDNYNIHVGGSEKGHSHLPESSSWGTYDNNRLQYQP >OGLUM12G06230.1 pep chromosome:ALNU02000000:12:5429298:5439766:-1 gene:OGLUM12G06230 transcript:OGLUM12G06230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNASPSPEEDDQSYEEHADFSQSEHAESAVEIMRREREERRRKLKREQHDDGPRLNRQPIRNDHMNQNKIIRHGRIKEPPQGWLDCPGSGEPIDRIVPSKVPLDETFNESVPAGKRYSSKQVVNKQRKAGRDIGLVIDLTNTTRYYSPTEWTRQGTKYVKIACKGRDAVPDNESVNTFVYEVMAFLDRQKQSRNPKYILVHCTHGHNRTGFMIVHYLMRTQLSSVTEALNIFAQRRPPGIYKVDYIQAIYSFYHEIPENIVCPPTPEWKRPSDLDLNGEAKQDDDDDGNVDRAPSPNHEDDKVITNDDILGDAVPYDQQDFLRSICFRLLELVPSGRANAQFPGSHPVSLNSENLQILRQRYYYATWKADGTRYMMLITRDGCFLIDRNFCFRRVQMRFPLRNSNEGFHHYTLIDGEMIVDTVPDLGLKRRYLAYDLMSINSQSVVKLPFSERWKLLDDEIIRPRYHDKGRSPSYKYDMELFSVRRKDFWQLSAVNKILKEFIPKLCHESDGLILQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEIGSENRQFIFLYERGRKKLMDSARVMKLTRLRYQGKLLSALGIKKRTVMRSITDNITEDKLLEEIYEIMNLPMYADRKAKAHARSMAQQRRK >OGLUM12G06240.1 pep chromosome:ALNU02000000:12:5439793:5441449:-1 gene:OGLUM12G06240 transcript:OGLUM12G06240.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDQPSPTKRSARPKQTRGGFCRVVSFFLSGVGQHAVHSVHARQNIAAPKAASVSSSPPSAPPRAPPPTRSNSIASASSTTSTPVSVGGGGELPNPRLAQAPQHPPQKPRGSPFPQLHRLSSAAGEIGRIPSARAAAGRS >OGLUM12G06250.1 pep chromosome:ALNU02000000:12:5441813:5442392:-1 gene:OGLUM12G06250 transcript:OGLUM12G06250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEIKTLQVVKFSGVYYTLPLHLVAPAVQHVLGRKTTILRMRGATLDENNMLHLEIEFLSRHAAMKAMEHCNDIDPRHKRYIQVKWNDTNLDVSANNEEPVLQELSYLEPQPDSTEEKKVTSTIFQGFNRYLPWQ >OGLUM12G06260.1 pep chromosome:ALNU02000000:12:5460039:5464104:1 gene:OGLUM12G06260 transcript:OGLUM12G06260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKLSKCSSGGCQLDYDFSSPCEYILSLKMCLLDAIHGFYIRALAVLPTGDDSMRRGRLLRALLVSGHCYGPLDPVSNIILNAVLYDAAYPPQPHEGDGKAELPHDIFDTRAMRDMASCSLDGLVALLCTITTTTTGAPLSKHEAVEYLWSWQCDLTEKLQQTVMAKNPYAAAAMASKHPQHTMLGALLVSFSSEKLDRLHYFLRSISDGSGCVISGDDWEQLNKMIKTQLTTIITTREVLPFNPQALSASLRVSAYVNSHSFARSKLEELLLRYSRQHPWEPRYKLDLICGVEEPRSARCGCYHANFLASAHGSVLKFNGETTVAPTTVRRLFFAEFWDSQPGRFYESHAKPMCCPVQDSSPCFGRCIFCGEASTIVHPPCAARSHLDDDDYAPVLDYDVQAAIRGFQKPNQILRHYGVMSHCDIPSIRPAIAGGESGR >OGLUM12G06270.1 pep chromosome:ALNU02000000:12:5471378:5477005:1 gene:OGLUM12G06270 transcript:OGLUM12G06270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAVGAAPSPSPHAELGPIARPRDAPHRHGHQRTSSESVLIDEQPSWLDDLLDEPEAAARPHGRPGHRRSSSDSFTLFDGGASAAAAGMYDNVFDGMRGRGGGGQQVGSWGGVPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGMPVPMREMNGGHHGPPNAFGDHGHGSLPNGVDRKGPGDSAHDQRMGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKTLKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHIPQQQGPTHSRSNSRDLDSQFANMSLKHGDPNSGRDAVPGLRI >OGLUM12G06270.2 pep chromosome:ALNU02000000:12:5471369:5476940:1 gene:OGLUM12G06270 transcript:OGLUM12G06270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMANARFQKQALLPPRSPFPAAVGAAPSPSPHAELGPIARPRDAPHRHGHQRTSSESVLIDEQPSWLDDLLDEPEAAARPHGRPGHRRSSSDSFTLFDGGASAAAAGMYDNVFDGMRGRGGGGQQVGSWGGVPEFFPEQSSFGRPQGQGGRPWDSRLMLRQGGGGGGGMPVPMREMNGGHHGPPNAFGDHGHGSLPNGVDRKGPGDSAHDQRMGAERKEGAHLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQALQTEGVEVSAEMDFLGQQNIMLDLENKTLKQRLESLSQEHLIKRFQQEMFEREIGRLRSLFQQQQQQQHIPQQQGPTHSRSNSRDLDSQFANMSLKHGDPNSGRDAVPGLRI >OGLUM12G06280.1 pep chromosome:ALNU02000000:12:5477652:5481075:-1 gene:OGLUM12G06280 transcript:OGLUM12G06280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >OGLUM12G06280.2 pep chromosome:ALNU02000000:12:5477652:5481351:-1 gene:OGLUM12G06280 transcript:OGLUM12G06280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >OGLUM12G06280.3 pep chromosome:ALNU02000000:12:5477652:5480286:-1 gene:OGLUM12G06280 transcript:OGLUM12G06280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTSQRRPAAAVRQGEAIMEHGSVTDSTASTFSIVEEDHTLANSVRFVLNQDPRVAFCGYSIPHPADNKVNIRVQTTGDPAKDVLKDSLQDLMVMCQHVRGTFDTAVTQFRQNNPTGMNIDQNKKK >OGLUM12G06290.1 pep chromosome:ALNU02000000:12:5503023:5514198:-1 gene:OGLUM12G06290 transcript:OGLUM12G06290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTVHELADQLDLGVADAKLSCSPPETPNQLHSSMGCTVHWNVSGGKWHCRHHRGGWELDLRAHRRLPSRRRPPPLPPRPVDARVVRAFQPPAMPAHARASLSMPAQGRCTPASWSSAGLPSGFPTVAAAGGRHRDAVLLLSPSLRRPAYR >OGLUM12G06300.1 pep chromosome:ALNU02000000:12:5514326:5528895:-1 gene:OGLUM12G06300 transcript:OGLUM12G06300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMPAQHMQKKRHAEKSNNLALNQQPNAHEVATANGDKKRDGPPQPGRDTIFGLPYSSRHGPFRQPCGPRRGQDGRAGEVGRDARPKRSRGRAPPELGGDGALAAAAATLNRRHRARPPHTRPMGRRWLYGDVTAAGRNVREAPSEAVFTDSVDGSSSSSDAASTDEWLVTMSPSRRP >OGLUM12G06310.1 pep chromosome:ALNU02000000:12:5515398:5527680:1 gene:OGLUM12G06310 transcript:OGLUM12G06310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEDTGVHALSNVCHVDVERISKRKPGEVKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTGEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >OGLUM12G06310.2 pep chromosome:ALNU02000000:12:5515398:5526944:1 gene:OGLUM12G06310 transcript:OGLUM12G06310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEDTGVHALSNVCHVDVERISKRKPGEVKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTGEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >OGLUM12G06310.3 pep chromosome:ALNU02000000:12:5515398:5527680:1 gene:OGLUM12G06310 transcript:OGLUM12G06310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEDTGVHALSNVCHVDVERISKRKPGEVKNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGSEPLSVFEKTSAWHIPEDLNVQAMKANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTGEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >OGLUM12G06310.4 pep chromosome:ALNU02000000:12:5515398:5526944:1 gene:OGLUM12G06310 transcript:OGLUM12G06310.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase family protein [Source:Projected from Arabidopsis thaliana (AT1G09800) TAIR;Acc:AT1G09800] MSAATPDSAAAAKRPIDPSHADPSPAAKLQRSSPPDPSPPAEAQGDATDGGGEVAGVRSGEMAGARNPQAQRYLVAVEYVGTRFSGSQQQLNQRTVVGVLEDTGVHALSNVCHVDVERISKRKPGEVANSPMRTLDELSVTEVFPSMFFPSSMERSEMESLDGHLVYSRTSVVESSGKGSDASSTGEQSRFENGEEFGKRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTEDVERILNLKAVTAAPPMAPACGLYLANVKYDLSV >OGLUM12G06320.1 pep chromosome:ALNU02000000:12:5534079:5538525:1 gene:OGLUM12G06320 transcript:OGLUM12G06320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRAVVYDAEAVDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLVGFSCVTYYTSTLLANCYRYPDPVTGTANREYIDAVRCYLGPKNVMLCGCAQYVNLWGTLVGYTITASASMIAVKRVNCFHREGYGAGDCGASGSTYMVVFGVFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGGAVRGTLAGADLDFPRDKAFNVLLALGNIAFSYTFADVLIEIQDTLRSPPAENKTMKRASFYGLSMTTVFYLLLGCTGYAAFGNDAPGNILTGFAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESYVACQWPDAKFINATYYVRVPGRWWPAATVAVAPLKLVLRTIIIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLGIRRGEPRWWSLQAMSFVCLLISIAASIGSVQDIVHNLKAAAPFKTVN >OGLUM12G06330.1 pep chromosome:ALNU02000000:12:5544363:5545769:-1 gene:OGLUM12G06330 transcript:OGLUM12G06330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIEPLEVSLEAGNQADSALLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLPWATAQLGWVAGPAVMVVFGGVTYFTATLQAECYRTGDEETGARNYTYIGAVRAILGGPNAKLCGIIQYANLVGTAIGYTIAASISMQAIKRAGCFHANGHNVPCRISSTPYMLIFGAFEIVFSQIPDFHEIWWLSIVAAVMSFTYSGVGLGLGIAQTVSDGGFRGTIAGVTNVTATQKAWRSLQALGNIAFAFAFSNVYTEIQDTIKAPPPSEAKVMKQASLLSIVATSVFYALCGWMGYAAFGNAAPDNLLTGFGFFEPFWLVDAANVAIAVHLIGAYQVYCQPVFAFVERKASRRWPDSGFVNSELRVGPFAISAFRLAWRSVFVCFTTVVAMALPFFGVIVGLLGAISFWPLTVYLPTEMYIAQRGVRRGSALWIGLRALAVAGFVVSAAATTGAVANFVGDFMKFRPFSG >OGLUM12G06340.1 pep chromosome:ALNU02000000:12:5550088:5550677:-1 gene:OGLUM12G06340 transcript:OGLUM12G06340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASIDVTRDFTKILIAREGGNHALERRGGAGAAAGRVGGWGAAHAGAVAARQTEQPTGRRGVRRVGGAGSAGIDTVGMLVGLTPTTSPSLGHTEPMVAASWAPWDDSIDTPSPLPHQILDFARKVFVRYVQRH >OGLUM12G06350.1 pep chromosome:ALNU02000000:12:5563594:5564672:-1 gene:OGLUM12G06350 transcript:OGLUM12G06350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDSGGRRWLRRRRCSGPRYRTPGNTLTSKEVLHLHIMLHVAGHRIGWSPPVMEVVCYFSFARRLYDMNNNMMDGCYCAMSSPSLYHATTPSLDSIITYRSPPGCPLH >OGLUM12G06360.1 pep chromosome:ALNU02000000:12:5568268:5570316:-1 gene:OGLUM12G06360 transcript:OGLUM12G06360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPCSKSPWLPQLLPPATLPRQAQHAPWQVRKPLVAPGKHRRPTPAACLETVVLFDGPWCAGTFAAAGNGVNGLLKKAYELSVLYDAEVTPIIFSNRAMASAMTNSESSNIITMVLREAATMVPKVSA >OGLUM12G06370.1 pep chromosome:ALNU02000000:12:5569978:5570169:1 gene:OGLUM12G06370 transcript:OGLUM12G06370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYSQGKLPGARSMLTTPLPAAANVPAHLPLARKWCSPWAIEEDDSLEAGRRGGAAVLAGCH >OGLUM12G06390.1 pep chromosome:ALNU02000000:12:5636109:5636633:-1 gene:OGLUM12G06390 transcript:OGLUM12G06390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGPTFSTSPLSPLYLLPLLPNSKRVCGDLTALSGEHTIGWAYCTTFPSQHLRQHRRERHLHLTATYRPLAGTTTSCHSSCRRPTPSSFDNAYFTDLLSHRVLLRSDQELYGSGAGNSTTDVFVCAFAANATTFEDDFAAAMERPGNLSPLNGKNGERRGPDQLPASELIMNM >OGLUM12G06400.1 pep chromosome:ALNU02000000:12:5640862:5643270:1 gene:OGLUM12G06400 transcript:OGLUM12G06400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTGNIVPMQSMNTTSEAGYFPLSVTRECDPCTCCKGSNCFASSCCYESTCNQTQPPNHCIIRRISSCGCGSRCI >OGLUM12G06410.1 pep chromosome:ALNU02000000:12:5654655:5657387:-1 gene:OGLUM12G06410 transcript:OGLUM12G06410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFIGCICSLALLLLCSHVLQLLSDARRRLPPGPRPLPVIGNLLDVAGELPHRSSSRVAQRYGPLVTLRLGTTLAVVASSPATAREVLHRHGASITDRGTPDAWRTDGHETNSIFALPTRHHRWRALRRLGAEQLFSPRRVEKQRPLRRDAVRGLLRHVSELAAASGGGTGTAVVDVGRAAFAAMASLLFGSLFSVGIDAATSCRFRDAAREFALLTLTPNVSEFFPVAAMADLQGLRRRTARHITWMYQLIDGHVERRMRGRETAGFTNGGQ >OGLUM12G06420.1 pep chromosome:ALNU02000000:12:5663728:5669212:1 gene:OGLUM12G06420 transcript:OGLUM12G06420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGTGGGERDPLRSERGVDDNELGAQDRELVAAASAIAAFEHSSPILSRRSWLVAECAIDDRKLVSAVAAAAGDFEQASWLCVDELIILYASYLEQS >OGLUM12G06420.2 pep chromosome:ALNU02000000:12:5663728:5669212:1 gene:OGLUM12G06420 transcript:OGLUM12G06420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKNFIPTIYMYLLHDTEEMKNCYFKLTKEFKRSWWWLRRCAWAPLRSQCAIDDRKLVSAVAAAAGDFEQASWLCVDELIILYASYLEQS >OGLUM12G06420.3 pep chromosome:ALNU02000000:12:5663728:5669249:1 gene:OGLUM12G06420 transcript:OGLUM12G06420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKNFIPTIYMYLLHDTEEMKNCYFKLTKEFKRSWWWLRRCAWAPLRSQCAIDDRKLVSAVAAAAGDFEQASWLCVDIHLVFLNKSMDATQ >OGLUM12G06430.1 pep chromosome:ALNU02000000:12:5666052:5669563:-1 gene:OGLUM12G06430 transcript:OGLUM12G06430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMGGRPPRFNGGSTSNHQQSSNHQQASNHQQFTQEAPIPQSQSTDHELTEDEVNLILQAMAKERLSFERAINDMEHKLLSKILTIQEELTEIKMHDNLPTRVSNLEADDYIITKEDEEVLHFVRNSYIWATVAVIADIPLAINFLLPNVNGGWLYDTVIDAYGYIANIANDNVGVVTTFQSNLLFDEFEDFDSRFDHPWVSQVGKICVMRHMVFVPFNVMTSHWSLLVVNSLKKEIQILNSAVSMTSLRDEEKEERIVTNLQLCTERAVEGGLVTLIEPINITLWKKQYYTDIPHLSSYG >OGLUM12G06440.1 pep chromosome:ALNU02000000:12:5676459:5679628:-1 gene:OGLUM12G06440 transcript:OGLUM12G06440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAASSVKGSLNVGGAKAFSNNLFRDYLKSSVSYPVSEISSPIRAQHVAYGSNLIVRGSKSRDFSSRLVSTNGSSKVSEERVTVLVIGGGGREHALCYALERSPSCDAVFCAPGNSGIAQSGDATCIPDLDISNSDAVISFCRNWGVGLVVVGPEAPLVAGLANELVKAGIPTFGPSSEAAALEGSKDFMKKLCDKYHIPTAKYQSFTDPSDAKKYVEEQGAPIVVKADGLAAGKGVVVAMTLEKAFEAIDSMLVDGSFGSAGSRVIIEEYLEGEEVSFFALVDGETALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTEKLKCKVMESIIVPTVKGMAAEGCKFVGVLYAGIMIEKKSGLPKLIEYNVRFGDPECQVLMMRLQSDLAQVLLAACRGELGSVSLTWSPELATVVVMASEGYPGVYKKGTVIRNIDEAEQVSPAVKIFHAGTALDRDGNFVAAGGRVLGVTAKGKDIEEARAKAYDALDVVDWPEGFFRHDIGWRAQKYGRMVAH >OGLUM12G06450.1 pep chromosome:ALNU02000000:12:5690900:5691677:-1 gene:OGLUM12G06450 transcript:OGLUM12G06450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMVSEGVGWIWVDERREWVRRSNPAGNGQGRPRRRAPGFVTSASARPTGRWEVADRWAPAIGARVVRQDVVEASSSSASDCGQEGSLASDTMMTRAASLGTIQRYEPKRPSSDEVGRRRIPRLGSSVVTTPEEEVVA >OGLUM12G06460.1 pep chromosome:ALNU02000000:12:5691393:5695473:1 gene:OGLUM12G06460 transcript:OGLUM12G06460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT5G20300) TAIR;Acc:AT5G20300] MALHSWNNHPRTDRWGPPVSDLPSPGRPRTRRRDKSGRSPPRTTLSVSGWVGPTHPLSPLIHPNPTNSLRHHHPHPPRRRRRGLRASDQARDWISYRLGSSVLSARPFSLSSHHDGAAAQPRGDGDGAMQNEIVETVSANRFPSNDIRASDSEVTFSPSYEPDHDNTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSAMINSIFDEQKVATNALVPATERIRRIDGTIKGIKVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHVQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHPSSRSEDVGIDMTEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFRNLPWNTTGGGISITKFGSKYFTGAKLEDSITVGQRVQLVGNAGRMVGYGQVAHGGGLEMTFRGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLIQFFLRRRTASTDKGEQQFEFDTYSDE >OGLUM12G06460.2 pep chromosome:ALNU02000000:12:5691500:5695473:1 gene:OGLUM12G06460 transcript:OGLUM12G06460.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced gene (AIG1) family protein [Source:Projected from Arabidopsis thaliana (AT5G20300) TAIR;Acc:AT5G20300] MMSFRDWISYRLGSSVLSARPFSLSSHHDGAAAQPRGDGDGAMQNEIVETVSANRFPSNDIRASDSEVTFSPSYEPDHDNTKSDPLKHVEALQIKFLRLVHRTGVPPTTDVVAQVLYRLHLANLIKAGESDSKRTNLAINKARVIAAEQEAPGGPDLDLPLRILLLGKTGVGKSAMINSIFDEQKVATNALVPATERIRRIDGTIKGIKVTVIDTPGLLPHYHRQRKNRKILHAVKRFIKRSPPDIVLYFERLDHINSRYCEYPLLKLITDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDSYARYCKNVVQRHVQVAASNTQMENPVVLVDNHPMCRRNTKGERVLPNGKVWVSELLLLCGATKLLAEANSLLKFQDSFLLSQANTRLPSLPHLLSSLLKPHPSSRSEDVGIDMTEVSDDEDESDQLPPFRVLKKSEYEKLSKEQRSAYLDELDYRETLYLKKQWKEGIRRQKLVEAQNVDASNAVGDDYEESVSPEVVHMSDMEIPSSFDSDYPVHRYRYLITDDMVFRPVLDPQGWDHDIGFDGINFEVSQDLEKNTTASIAGQMRKDKEDLYIQSECSVSYSGKNGRSLIGSMNMQTANKDLVCTVNGDARFRNLPWNTTGGGISITKFGSKYFTGAKLEDSITVGQRVQLVGNAGRMVGYGQVAHGGGLEMTFRGKDYPVREESITVAATALSFEKETVISTNLQSDFRMGRGSKVSVSANLNSRNLGRFCVKTSTSDHSEIALVAAVTLIQFFLRRRTASTDKGEQQFEFDTYSDE >OGLUM12G06470.1 pep chromosome:ALNU02000000:12:5696049:5702666:-1 gene:OGLUM12G06470 transcript:OGLUM12G06470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINCLKCSNSPESRLWAIPHSAMASAGDRRGGGGPPGSGDDSGGGWETVEKRVKKPAQQVGKGQWGQWNSPNAAPAPTAPWSGSGAFHHSGNTLVRHSDRRPARGTPRPPPQNRSTGAELQAPRGVVTAPLANGWQWGARSCPPGTESKEGGLPLSGCDPETDNAEGDDTSDDDNDDDMSDDLSDDYDSDASEKSFETRKNHKLFKGFFEVLDALSVEQLNEPTRQWHCPACKNGPGAIDWYKGLQPLMTHAKTKGSIKVKRHRELASLLEEELSRRGTSVVPSGEQFRKWKGLREGTDREIVWPPMVVVMNTVLEQDEDDKWKGMGNQELIDYFSEYAASKARHAYGPNGHRGMSVLIFDSSAVGYMEAERLHDHFVRQRTDRNTWNSAHKVTFLPGGKRQLYGFLATKDDMETFNRHCHGKSRLKYEMRSYNEMVVTQMKQMSEDNQQLNYLKNKMVKKEQHSKLVEDTLSVVTQKLRETMEENTIVRNKAKEKHLEYEKEMKYQEEFFHDQIEKIHKATEEKEIKFEKLLQEERAKARQSDVDSGSTEDRRQRKEKIQNFIDCQVKDVEEFEAERDKLIKLHEEKKVKLKKEYLAKEFELEKELDTALTALMDKHKPDIFKSSTSPST >OGLUM12G06480.1 pep chromosome:ALNU02000000:12:5702750:5707515:-1 gene:OGLUM12G06480 transcript:OGLUM12G06480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGRRGGGGRPGSGSSSNGGGSGYSWVEKKSKKSEKSVGKGQCAPCTSSNAAPKPATAWQARSGNGSLHPPGNGRVQHSDHRPAARGSPRSLPQNKHTETKLQAPCPVVTAPLANGLQWVPKSRSSGSESNMDDAPTSGSDPEMDNGATHPVVTATLANGLQWVPRSRSSDSQSNKDDARTASSDPETDNVAPRPVVSAHVANGLQWVPRSHSSGSEMDNGEDYDSYDDDSDDDMVDDTNGDFDSKAAEKNFETRKRHKLLKNIFELLEKLSVEQINEKTRQWHCPACKNVRGGVTWYKGLQPLMNHARTKGSKRVKLHRELAALLEEELYRTGVSMAPSGEFFGIWKGLRENTDRPIVWPPVVIVMNTRLEQDKDGKWKGMGNQELLSYFSKYRVKEACHAYGPDGHSGMSALIFEGSAVAYKEAERLHYHFVDQRTDRYAWLNHRIVIPGGKRQLYGFLAEKEDLEAFNRHHGKDYLKYEMKSYNEMVVTQLKQMSEDNQQLNYVKNEMVKTEQHSKEVEEALGVETQKLQGAIEDNIILKRKTKEMLSECVEQMEFNAKFYHEQIERLRKDTEEKENEFERLLQEELARAIECDVDSETTENCRLREERIQRIIDCQVKDAEEFDAEQDELIKAHEEKKANVKMEYMAKDVELEEELYAALTSLMEKHKPDIFQPSST >OGLUM12G06490.1 pep chromosome:ALNU02000000:12:5721195:5729585:1 gene:OGLUM12G06490 transcript:OGLUM12G06490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPAPKLQPDERSNLLSLLAAASRPLADVAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGLQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEQVVIELAKDSKLVYHCGMTPQKLPDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >OGLUM12G06490.2 pep chromosome:ALNU02000000:12:5721195:5729585:1 gene:OGLUM12G06490 transcript:OGLUM12G06490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSALAPAPKLQPDERSNLLSLLAAASRPLADVAADFLARFPLERRLRVGAALGFLLEDKKMLHPTGRLIAFAILHQSYSSEPANPYVPLLLNAACDETSEKSERAFVQLLLTSASGNNNIEVLKQSAVDYINGSDSASQALLPREQLEKLFSSNSVQSQPQTSSFSAGTVRCAIPDPDVPQSCVNSSEPSISLPGTKPKSASDDRDFALAGLLQEKSWGRLGLQWIRPTPPRLHILDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPSQQEDLVEHNPLIAVEILSKLMNSPDIDGYLDVLVHMEMSLHSMEVVNRLTTAVELPTEFVHEYISNCIQSCQNVKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >OGLUM12G06500.1 pep chromosome:ALNU02000000:12:5731309:5731809:-1 gene:OGLUM12G06500 transcript:OGLUM12G06500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHPLLLLLLAAAAVVVLAVAATPAAASGNGTSTPTAYEMLERYDFPRGILPVGVEGYELREDGSFEVYFPRDCEFMLARTWLVRYGARIAGAAASGRLTSLQGVYVKVLFVWLPVGEVDRSGDTLSFYIGPVSTSFPLSDFAHSPHCRGYDHLPAAAAAAAAL >OGLUM12G06510.1 pep chromosome:ALNU02000000:12:5737150:5742376:-1 gene:OGLUM12G06510 transcript:OGLUM12G06510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGVEILCSVVAVVARVLGRALMTICSPKFSTAGLIGSPTTAWGGGGAKTKVHVESENQQLPPPPSPPALVLPAFKRNCTDQEQRAPATSTKAARGRPPRLVIPAAAPVAVACGGVDPFGGRETDVATETEVKGEGFCLASRRGVRHAMEDGYGVITRHKIEGGSQLAFYGVYDGHGGRAAVDFVADKLGKNVVAAAAAATTMSRHQAAGSSSPSQQRREEEDDVTAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGELYVSNVGDCRAVLGSRGGVATALTSDHTPGREDERLRIESTGGYVSCGGSGVWRVQDSLAVSRAFGDAGVKQWVTCEPETARVSLTADGDRRFLVLASDGLWCKVSNQEAVDTVAAAAAAGGGVAGSTDPCKELVAMARSRGSRDDITVMIVDLQPFLPV >OGLUM12G06530.1 pep chromosome:ALNU02000000:12:5758719:5764965:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKKGRSVVGHNQTPKPSSNLEGVQTRKRRKQDDPKDNDGSFHSAAANKSDESGPAQGKGTRSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVRSSGLHPTDSMPTDAMPLLLTLTSGPPIDAPQPETTAVVHESAGEASTTTFDWSKLTEMGYRVVEVIQTWSSEVKRLKTIFVEEKDKNEHLKTMLGEEKDKNERLKIMLALDKDKNEHHKTMLAEERDRNERLKIMLVWERDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNTRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKTMLSEGKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDHLQLSFPKNERLPLGLQRVHTRLDECIALIDRITQKDTRLFGVKMLVVIFQTGGAGPDSYPSSVSMARGRGGRTKGGSVGRSGKRGKPSAMAEEPEGGAARKRRKQDDPSKNELVLVAGDLPPAPKRRILKRTANKVSSASSAHSGGEVATSATPTATASLTPAAAKVAPPTVVYAD >OGLUM12G06530.2 pep chromosome:ALNU02000000:12:5758719:5764965:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNSEDNDGSFHSAAANKSDESGPAQGKGTRSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVRSSGLHPTDSMPTDAMPLLLTLTSGPPIDAPQPETTAVVHESAGEASTTTFDWSKLTEMGYRVVEVIQTWSSEVKRLKTIFVEEKDKNEHLKTMLGEEKDKNERLKIMLALDKDKNEHHKTMLAEERDRNERLKIMLVWERDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNTRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKTMLSEGKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDHLQLSFPKNERLPLGLQRVHTRLDECIALIDRITQKDTRLFGVKMLVVIFQTGGAGPDSYPSSVSMARGRGGRTKGGSVGRSGKRGKPSAMAEEPEGGAARKRRKQDDPSKNELVAGDLPPAPKRRILKRTANKVSSASSAHSGGEVATSATPTATASLTPAAAKVAPPTVVYAD >OGLUM12G06530.3 pep chromosome:ALNU02000000:12:5758719:5764139:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGKKGRSVVGHNQTPKPSSNLEGVQTRKRRKQDDPKDNDGSFHSAAANKSDESGPAQGKGTRSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVRSSGLHPTDSMPTDAMPLLLTLTSGPPIDAPQPETTAVVHESAGEASTTTFDWSKLTEMGYRVVEVIQTWSSEVKRLKTIFVEEKDKNEHLKTMLGEEKDKNERLKIMLALDKDKNEHHKTMLAEERDRNERLKIMLVWERDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNTRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKTMLSEGKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDHLQLSFPKNERLPLGLQRVHTRLDECIALIDRITQKDTRLFGVKMLVVIFQTGGAGPDSYPSSVSMARGRGGRTKGGSVGRSGKRGKPSAMAEEPEGGAARKRRKQDDPSKNELVAGDLPPAPKRRILKRTANKVSSASSAHSGGEVATSATPTATASLTPAAAKVAPPTVVYAD >OGLUM12G06530.4 pep chromosome:ALNU02000000:12:5758719:5763901:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNSEDNDGSFHSAAANKSDESGPAQGKGTRSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVRSSGLHPTDSMPTDAMPLLLTLTSGPPIDAPQPETTAVVHESAGEASTTTFDWSKLTEMGYRVVEVIQTWSSEVKRLKTIFVEEKDKNEHLKTMLGEEKDKNERLKIMLALDKDKNEHHKTMLAEERDRNERLKIMLVWERDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNTRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKTMLSEGKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDHLQLSFPKNERLPLGLQRVHTRLDECIALIDRITQKDTRLFGVKMLVVIFQTGGAGPDSYPSSVSMARGRGGRTKGGSVGRSGKRGKPSAMAEEPEGGAARKRRKQDDPSKNELVAGDLPPAPKRRILKRTANKVSSASSAHSGGEVATSATPTATASLTPAAAKVAPPTVVYAD >OGLUM12G06530.5 pep chromosome:ALNU02000000:12:5758719:5763901:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.5 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNSEDNDGSFHSAAANKSDESGPAQGKGTRSRVPIGPNHQAKLPDCTFGKKDGNVAEDSADSLSCLYLGSDRTENIGNNQAPVRSSGLHPTDSMPTDAMPLLLTLTSGPPIDAPQPETTAVVHESAGEASTTTFDWSKLTEMGYRVVEVIQTWSSEVKRLKTIFVEEKDKNEHLKTMLGEEKDKNERLKIMLALDKDKNEHHKTMLAEERDRNERLKIMLVWERDKNEHYKTMLAKEKDKAEHHKNMLEEEKDNNTRLKTMLVEEKDKNKHHNIMLEEEKDKNERLKTMLSEGKVKNEHLKSMLAEEKDKNERLQLRLHGEEKDKNELLKTMLAEKKDKNDHLQLSFPKNERLPLGLQRVHTRLDECIALIDRITQKDTRLFGVKMLVVIFQTGGAGPDSYPSSVSMARGRGGRTKGGSVGRSGKRGKPSAMAEEPEGGAARKRRKQDDPTPKRRILKRTANKVSSASSAHSGGEVATSATPTATASLTPAAAKVAPPTVVYAD >OGLUM12G06530.6 pep chromosome:ALNU02000000:12:5753465:5758714:-1 gene:OGLUM12G06530 transcript:OGLUM12G06530.6 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLTPTLGPPTNPPRPETTLVVHESAGGASSSSFDWSKLAAMAQQAAEGIHTWSSEVERLKTNLTEEKDKNECLKIMFVEVKDKYEHLKTMIAEEKDKYEHLKTMLADEKDKNERLKAMCNSSGPCPSFASTDAMPLLTPTSGPAVDAVGYAAVNKVCFDGVSPNCDDRDPASISTSEYIQPKVIIRGTDPKLNN >OGLUM12G06540.1 pep chromosome:ALNU02000000:12:5782597:5787066:1 gene:OGLUM12G06540 transcript:OGLUM12G06540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLARSVLDVVLSSVGPAVADEVARFLGVPKEVQFIRNELEMMQAFIKTASSSLHPDAAAAAGGGDNDILRTWVKQVRDLAYDIEDCLLDFALYAARISSSPMGSSWLRPGPLAARRRIADRIRELKASVEELNQLRLRYHIVVDDHHHPSRTYHERVVAMLPGGYGSSSDELAFQESEIIGRAGEKEQLKDLISRCSGSPSPSVVAVWGMGGMGKSSLVRMVHNNPAVLDVFDCSAWVTVPHPLDGADEFRRRLRKQLGLGLGAAAGDDQNVIQDYLREKRYIIMVDDLLSQEEWDQIWQVLKPLNNKGSVVIVTTRRKDVAGHCAGLAPEEHGHVYELKRLDDKQSKDLLCRKVYRTPNYTLPEDMKPHISRILKGCWGLPLAISTIGGLLANRPKTGMEWKKLHEHLGVELESDQLQDITKVLVSSYHGLPYHLKPIFLYLSIFPENNEIRRTRLLRRWIAEGYIANNRDMPVEVVGERFFNELINRSMIQSSKVSHGLKVDRCRVHGMMLHIILSKSIDENQLFVIKKHCNEVPQSKIRHLVVNRWKKRDEKLENINLSLIRSLTVFGECPASLITPEMRMLRVLDLEDTANLKNEDLKHIGKLRHLRYLGLRGTDISKLPSSLQNLLYLETLDIQDTQVTQLPDGIAKLEKLRYLLAGVNFSRDLLQKMPQFGMENHNSNLLGNLASCLYCYNAQSCEISGMDQFSVMVPEGIEKLRNLHMLSVVNVRKSKDVAGKLERLTNLQRLGVTGLGQEEGKELWNSIKNLNRLQRLEVRSESLDFLVNNKDIDATPPKYLVSLRLCGLLDELPVWIKSLNDLTKVKLIGTQLKQDDIHRLKDLRNLASLGLWEKSYKEKSLIFNDGTFRKLIFLDIDGLEIIETVNIEKGAMPELQQLWVNRCQKLSDDDNGLSGVLHLLNLNELVLKKCGPKEKLVQLLQSQLSTHVKRPKFLVGKSISPTSSEASTSTATQTG >OGLUM12G06550.1 pep chromosome:ALNU02000000:12:5805977:5820643:-1 gene:OGLUM12G06550 transcript:OGLUM12G06550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAEKIDRRSCILIKARSTTRSALMAVVLEALASNLSNVLAKMARKEVGVLLGISDKIDSLRVRLDGLKEFLADAERRRITDLHVQGWVKELKDAMYDATDILELCQLKAMDQDSRRSNNPSLLSLRNPLNAHHIGSRIMALNQRLDGIKQRAEQFSFIKLDRYGDCSRTAQGHGLRRTTPELDRSGVVGNKIEQDTRRLVELLTREEEEASAASISSNVRVVAIVGVGGIGKTTLAQNIFNHQDIKEKFDKIIWLSINQEFSDPELVRTAITGAGGEHSGHQELSLLQPILREAISGKKIFLVMDDMWSVHAWNNSLRIPLVNSAAQGSWVLITTRDERVAREMKAIQPYHRVDILSWQDAWLLLKKQVVSTLKDEYAIEKLKSTGFRILERCGGLPIAIKAIAGLLSHKEINEIEWGKVLRSPSWSVDGMPEEINHAIYLSYDDLAPHLKQCLLYCSLIPSYYKLKSHLIVEIWISEGFVNGKLNELEELGKEYFNELIIRNLIHEMPYSELGMHDVVRSFCQHLAKDEVLSSHMGQLRVSDLDSKRYRWLCIENELDWSALQEQNSVRTLFLYGRTEIKFKANDLCSKFSNLRVLSILYAQHGSFDSLCQLKNLRHLYFFRTDIRSLPDGIDKMKFLEFIGITRCEKIRQLPGSIIKLERLRCLNLMSSNIKSIPRGFGRLTSLRTLYSFPVQMGSSSSKDEWCSLEELGPLSQLRNLHIKGLENVSASSSAAKAMLGAKKHLAIWWLECTDRQRDDGFLKEEGIISIDEQRRIKEVFDELCPSYCLEQLYIRGYFGWQLPKWMTSKASVRLDRLTSLKLDGLPCCTKLPDGLCQLSCLKLLQIRRAPAIERIGHEFLQIQQHNGDCHPSRAAVAFPILETLEFTVVLELEEWVWEEHIQAMPLLHELTLDRCKLRQLPLGLASHARALSVLKLFMVHNLKSLQNLPSVVELHLYENPDMESIRGVPKLQVLSINYCPKLKLLEGIPALQKLYLLDFSMKTLPGYLQDVKPKICHCISMGASCSDWDKISHIQKISGYAGEMRIRRRWYVFYTREPFKLDTNIVCSSISRGIIDGLLKDKERRTKELRMVKLLCALLAVVSTNNSIDMINGSGDPTRESTASAAILL >OGLUM12G06560.1 pep chromosome:ALNU02000000:12:5835417:5838816:1 gene:OGLUM12G06560 transcript:OGLUM12G06560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNARESEDEHCLYAQELVFAYNRSMVLRAAIQLGLLDALAADELAGKIQATDGVAVDRILRFLASFDVVRCSTETSPDGGGAALRRYTPAPVCRWLTKNNGEGSLAPFSVFIIDEDHLLPWQHIAEVVASGGPAPSERTHGMPYHEYIGKNKRLGGLFDHAMAQHSAIRVRKMLERFEGFDGIQRLVDVGGGDGSTLGMITSRYKHIRGINYDLPHVISQAPSLPGVECIAGDMYESVPNGDAILLQWMLLMFSDEDCIKILKNCHQALPEGGKVIIVEGLLPETPNTTPAARDSFTMDMILFVLFKVGKHRTEEEFAKLAKESGFTGTFRSTYIFLNFYALEFNK >OGLUM12G06570.1 pep chromosome:ALNU02000000:12:5839272:5843612:-1 gene:OGLUM12G06570 transcript:OGLUM12G06570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVFAGKAVATAAISFWINKAFTYLKEYKVEGIEDIKNRLLQSMPKIQVLLDIVNPRYVKEQSSALDAWLWQLRYAVEEAEDVIDVLEYYKLKEMAKEHKVSDWGSSFSKVKHKVIKSVKHVSSLDKNLKQFTHRGTLKRLREAVEGLDKVASDIMSILTVTEHLKEVASCSQQQEYSTNDDRATGLTLTPPKFVGREKEKEKIVRWLTKASTDASGNLMSTNHIPILSLIGHGGMGKTTLAQRVCEEMVRKNFKVIWVCVSDSFDVTSVTSKILESATGAKPNANCLETLQQNLIHKLKYSTDFLLVLDDVWEDKKIDKWEKLFAPLRTGKSGSKILLTTRMQSVAVLAAEAMGIETEFLTIEGLEEGENLELFSHSVFSGQNPQDFPNLKPVGKQIVKKLGGCPLVTKVVGGHLRSKMSFQHWNNFLQEGLEHFKGSEDDIMEVLRLSYYCLPTELQICFRYCSIFPQDYAFKMKDLVLMWIGSGLISQAGNKPPKRLEDIGEQILAQLAGKSFFETNIKVDPYSQRKEKYYIMHDLMHELARYVSSGECARIISPGMLENDKDTVRHLCISGIDYLSTEEVKKILHFKNVRTVIIEGPGLIDSNLFHAVENVIEQSKSLRLLQSNLENTFHLPKIAHLKHLRYIDLPRISPDTISGLVRLYHLLLVKCFNGSREEPKQVRYLGNIDHLRYVNYGKTLEFPIGRLTSLQELHNYRIQGGKGNKISAISNLSTLRELEVLGLENVESHEEADNVKLNNKKYITLLSLAWSARAAVENGKDELLLNHLEPHANIETLRISGYGGVKSPIWIENLRVKNLVSLELARCLYWEKLPSLGELLSLKHLWLECLPSLQQIGQSSDVSISGCIDLSLPPNLDNMIVRRCKELRALPILPTTLVHFETSNVGLTKLPRIGKECNENLETKSSRLLVVVVEECKCLNSLEESLLVQGDYIKSIHVLRIADCEDLEAAPLAFEQMNELRELDIRNCPKLRTSRGVGDTFLPLTLQKLNVNYCGELELPLIGALQGLTRLSELVLEKCKYIESLPSDDVFKSLKSLKFLEIIGCENLSSLGGLGSLQYLIKLKISACSKLMAIGSSQTPDASSSGEEPVVVTTSTLQIDYLEIDLPDILHLEPLKGLCHTKGLVIRGGTQLESLPEEWLLRNRGKLQSLKIYSASSLESLPLHMRDLCSLNFLLLYGAGKLQSLPDFPSSLQKLDITCCCKELEEKVGVNESPEWNNISHITRVHIGDSYFMDGKKCSEETLDRQQ >OGLUM12G06580.1 pep chromosome:ALNU02000000:12:5848165:5855252:1 gene:OGLUM12G06580 transcript:OGLUM12G06580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSSLAAANHPLLPSKPLSSPKPLTRARPLSRALHAGAAAAARGASSSSSQAELRPDSKNAPALSAELRSLARVGRLPSALALLDHLSHRGVPATASAFAALLTACRSLAHARQVHAHLRVHGLDSNEFLLARLVELYLALGAGEDARKVLDGLPRASSFSWNALLHGHVRRGRGQAGAAVADGFAEMRAAGADANEYTYGCVLKSISGSAAPSMAMATATHAMLIKNAYAGAPGMLMTGLMDVYFKCGKVKPAVMVFEEMPERDVVAWGAVIAGFAHKGMKREALEHFRWMVEDGIKVNSVVLTSVVPVIGELRARNLGREIHGFVLKKFQHRKDVANIQAGLVDMYCKCGDMVSGRRVFYSAKKRNVVSWTALMSGYASNGRPDQALRCILWMQQEGIRPDLIAVGTVLPVCTKLKALREGKELHAYALRRWFLPNVSLCTSLITMYGKCGHSDYSQRVFHVMDKKTVQAWTALVDAYLKNGDPSTAVDVFRSMLLSNRRPDAVAISRMLSACRDIGASKLGKELHGQALKLRMEPLPLVAAGLVSMYGTCGDLKAAQRVFNRIESKGSLTCTAIIEAYAINQRHKEALELFAWMLSNRFVPNNGTFDVLLRICEAAGLHDEALQIFNSMVQEYNLEASEHNFDCIIRLLTAADRTSEAHREKQINTRFFVLAFGMCLHTKLKLAYSCLLPKIFGRNSVKIGLNLIHSFKKASYVLMGCLCSKGAKDDTDATSENKTPLRNSAAASAKTATGTNDGNSAVIDGQLKENASKLQLGDGEKAIVALDVRTGSGNNAQLKGLSGEHVAAGWPAWLANVAPKAVEGWVPRRADSFEKLDKIGQGTYSIVYKARDLETGNIVALKKVRFVNMDPESVRFMAREIKILRTLDHPNVIKLQGIVTSRVSQSLYLVFEYMEHDLSGLIATPGLKLTEPQIKCFVQQLLHGLDHCHKNGVLHRDIKGSNLLIDNNGVLKIADFGLAISYDPKNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPMDDYCKKSKVPETAMFKPQHQYRRCVAETFKVFPTSAVVLIDSLLSLDPEARGTAASALQSDFFTKEPFACDPSSLPKLPPSKEYDVRLRQEEARRQKTAALAGRGAESVRPGNENCVTNRTISGVNGELKQQTHTSSKSNSEAFNQEDSVPGFRVEPRERPTAVQLPGYSSTWNNMEGDNDQPTVPGRACCSVRVANPCGIRKKGSSHSLIPQFGATDLRSTVEATDHNDSPDRHDENKNPEVKDTMNHGRKYRRIHHSGPLMPPGGNVEDMLKEHERQIQEAVRKARVSKPGSPGLLEHEKLSWIQRRKRRVALMVLLWFCIKSMQIGMMQKAIWYIL >OGLUM12G06590.1 pep chromosome:ALNU02000000:12:5855920:5858354:-1 gene:OGLUM12G06590 transcript:OGLUM12G06590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRRLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWREMENATDDGLETHFVVGDEEYLPIKESSQDTVISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >OGLUM12G06590.2 pep chromosome:ALNU02000000:12:5855920:5858354:-1 gene:OGLUM12G06590 transcript:OGLUM12G06590.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRRLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWREMENATDDGLETHFVVGDEEYLPIKERLALKPDGLFLAAILGGETLKELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >OGLUM12G06590.3 pep chromosome:ALNU02000000:12:5855920:5857154:-1 gene:OGLUM12G06590 transcript:OGLUM12G06590.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MASAYPNYPLTCPFPDAISRELRIACTIAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDRYTVKYNSALELVEHLRAMGETNALFQRNPILKKDTALATAAIYQSMFGLEDGTVPATFQVIYMTGWKEHPSQQKAKRRGSATVSFSDLQKQFGSNQN >OGLUM12G06590.4 pep chromosome:ALNU02000000:12:5857191:5858354:-1 gene:OGLUM12G06590 transcript:OGLUM12G06590.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G22800) TAIR;Acc:AT1G22800] MATGLAAATARRRLLHLPRHRWAYLSSSAATTEGLDGGDGSGGGRVKIFDRDLKRRHRDRAAWAMGETDALADAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRSLRGRGGIEKLIMMDMSADMVRKWREMENATDDGLETHFVVGDEEYLPIKESSQDTVISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLK >OGLUM12G06600.1 pep chromosome:ALNU02000000:12:5858501:5867172:-1 gene:OGLUM12G06600 transcript:OGLUM12G06600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSWPSERRMTLATALVWRRPSSTLAATSRPSRMLVFPCATRASTARLAIAFPSSDMRMAF >OGLUM12G06610.1 pep chromosome:ALNU02000000:12:5858636:5859806:1 gene:OGLUM12G06610 transcript:OGLUM12G06610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGPLARPSLLFLSPSSFCGGGGCAAGRCASPSVRAHGGGAGGGAAGGRCCRREAHPLEITLERAPQQPPHSPHHRRRGGSRRRRSWSTASSTPWRAPAAAELAHHAAAHGETGGGGGSNDEDGAADDRIDANFFGDARDEEPEPEPAEDDAAPARRRPSSPEQEPAGQLLALQRAAAAKEAKKRKKAGARPPARRQDAGKRRGGKLATAGEARPIEIRREWATRIQELELRVKQLVVKHHHHPQ >OGLUM12G06620.1 pep chromosome:ALNU02000000:12:5866443:5866991:1 gene:OGLUM12G06620 transcript:OGLUM12G06620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTMTMGGIRCPVCFAAMAAGQAIFTAECSHTFHLRCVPGGAAVCPVCAAPWRDAPSPPTTSSIPAAYDDDDPVEPVAPAARNSSGGGGGVLVLKTHCEYPALSRGAARDGFAVLVHAKAPAAAGRAPLDLVTVLDVSTSMTGDKLALVKRAMGFVIDSLGAADRLSVVAFATDARGCSA >OGLUM12G06630.1 pep chromosome:ALNU02000000:12:5866997:5867878:1 gene:OGLUM12G06630 transcript:OGLUM12G06630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDGKAMAKRAVDALVAHGNTNIRDGLDVAAKVLDGRRHTNAVASVILLSDGQDNETMGYRGRFHTTDYKAAATSYDALVPPSFARAGAAGGERCAPVHAFGFGTDHDAAAMHSISEITGGTFSFIENLAVIQDTFARCIGGLLSVAAQKTRISVECLDPGVRVRAVKSGRYESRIDAEGRAATVDVGELYADEERRFLLLLDVPRADGDAAAATRLASVRCTYRDTATGQSVDVAGEEDAVVLRPLDATGVAPSMEVERERVRLEAADDIALASAAPTARRRGSSTRGGRR >OGLUM12G06640.1 pep chromosome:ALNU02000000:12:5867911:5871216:1 gene:OGLUM12G06640 transcript:OGLUM12G06640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAALVAELRELSERVADEREYAQTGRACVLAGMSSHGQQRASSVQLAKGSSVYGCSSAFATPEMQRMEKLSVILHEQQQQAAPPPRPPPPPAAAASKAGGSLKARLGAAVPLLPEELIKRPNMAEASSSTHRRRSQAQISKIAPRSDVWNHFTRFTDGDGRAKARCKHCPLVLGAETKSGTSTLRARWKRHEHRKEIPGGAESSAQHPAPPPPRPSSGPEEATSGDLARMIALHGYDPSLVEDDYFRSFLRRLNPNFEVPSRLAIEEMCDAIFDETREDIFSSLCDFSSSMRWVRSEQSKGMCCIRRATPIDDEWNLHKVIIDDEVCLDPDIREFAIKKVMVRFSNDEVLNNLFMMVWETKDNDINLEHELRNEIEKNQNYTNPNRRELICTTYMDSVIHSIARLLVVHQEFKDDDIIYNLLHLDLTRQERHQLLSQLGLDYHLWAYDEKWYSEYCSLEVLRNKGSSLTDSVFEELSYMLWREIYRSIQRISAPDCHTSSNLCLIELFKLREVFKDQKARASGENAISYNESNGFPGAKDGNYVADVLGEAMVAIEKAIQDSYPVWSMLNM >OGLUM12G06640.2 pep chromosome:ALNU02000000:12:5869857:5871216:1 gene:OGLUM12G06640 transcript:OGLUM12G06640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASSSTHRRRSQAQISKIAPRSDVWNHFTRFTDGDGRAKARCKHCPLVLGAETKSGTSTLRARWKRHEHRKEIPGGAESSAQHPAPPPPRPSSGPEEATSGDLARMIALHGYDPSLVEDDYFRSFLRRLNPNFEVPSRLAIEEMCDAIFDETREDIFSSLCDFSSSMRWVRSEQSKGMCCIRRATPIDDEWNLHKVIIDDEVCLDPDIREFAIKKVMVRFSNDEVLNNLFMMVWETKDNDINLEHELRNEIEKNQNYTNPNRRELICTTYMDSVIHSIARLLVVHQEFKDDDIIYNLLHLDLTRQERHQLLSQLGLDYHLWAYDEKWYSEYCSLEVLRNKGSSLTDSVFEELSYMLWREIYRSIQRISAPDCHTSSNLCLIELFKLREVFKDQKARASGENAISYNESNGFPGAKDGNYVADVLGEAMVAIEKAIQDSYPVWSMLNM >OGLUM12G06640.3 pep chromosome:ALNU02000000:12:5867911:5871218:1 gene:OGLUM12G06640 transcript:OGLUM12G06640.3 gene_biotype:protein_coding transcript_biotype:protein_coding MCCIRRATPIDDEWNLHKVIIDDEVCLDPDIREFAIKKVMVRFSNDEVLNNLFMMVWETKDNDINLEHELRNEIEKNQNYTNPNRRELICTTYMDSVIHSIARLLVVHQEFKDDDIIYNLLHLDLTRQERHQLLSQLGLDYHLWAYDEKWYSEYCSLEVLRNKGSSLTDSVFEELSYMLWREIYRSIQRISAPDCHTSSNLCLIELFKLREVFKDQKARASGENAISYNESNGFPGAKDGNYVADVLGEAMVAIEKAIQDSYPVWSMLNM >OGLUM12G06650.1 pep chromosome:ALNU02000000:12:5873130:5873402:1 gene:OGLUM12G06650 transcript:OGLUM12G06650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEQVGARVRGSRLSVSLPRALGGGAMAGSGGLRGCAPTTRAERSMVARTGLVERGVDAPRRGEERRYSHGRWRQYVRTAKEENCAAQP >OGLUM12G06660.1 pep chromosome:ALNU02000000:12:5879483:5881163:1 gene:OGLUM12G06660 transcript:OGLUM12G06660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPQHKRVDDEEAAAADTGKAKEHGKEEEKQHGHSRIADAVEYVNFGAVQVGTAYLEKKYEAATGEKAVDKMVRLIGKEDTFKDRDQEDKNKGVVYQF >OGLUM12G06670.1 pep chromosome:ALNU02000000:12:5900708:5908912:1 gene:OGLUM12G06670 transcript:OGLUM12G06670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSKTHSASKKMDDGSSPASTSATAAAAAAAANLESTSFDDGRSHSSKITPIELVVVTDPEEEPPPASRSHGHGPRRRSWRRRPCPPLAKKAAAEFVGTFILIFAMLSTIVTDAQRGGVEGLVGVAASIGLAVAVLVMSLAHVSGCHINPAVSVAMAAFGRLPPAHLLPYSAAQVLGAVAAAAAVDGILHPASRGWMVSVPKVGTVEAFFLEFVTTFVLLFVITALSADPNAVKELIAVAVGGTAMMNVLVAGPSTGASMNPARTLGPAIVAGNYTQIWVYMVSTPLGAIAGTGAYFAIKL >OGLUM12G06680.1 pep chromosome:ALNU02000000:12:5911872:5914857:-1 gene:OGLUM12G06680 transcript:OGLUM12G06680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFAFAFAAHMDTVMTPLPSYEAHCASVVYTVCPCFAVASSAARPPDGTTSQPAAPAAGRSSSCASSGRSMARTSYTNGQWFGEKNSAPIRCNDGDTAWLA >OGLUM12G06690.1 pep chromosome:ALNU02000000:12:5913415:5914020:1 gene:OGLUM12G06690 transcript:OGLUM12G06690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAEEEAASASAMAHDDCVLAAACRVFDGEPVELSAKVLLLRLRPVEAIAGLHGGYWCTVIASGMDRSAAVEVLAQVEAPCAEHGACLASESASLVVERDCDDYPWHVARRRAHTTMPLHVPADGRGVLLAEPLAVRVAGARHGAPRGGARGRPPRRRRRRLARRAVRRPRSRRRHREARADSVDDAGTVRLIAVHVQ >OGLUM12G06700.1 pep chromosome:ALNU02000000:12:5914820:5916398:1 gene:OGLUM12G06700 transcript:OGLUM12G06700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAKAKAKLELISHQGQNGVPSLSRWLGMTKRRMRPTCSTRNALEKVSSTWRSTSWTNTSVAPAPGALARAAMPAIAPILPGDSGVHPVGASTMMRSHSSSWNTGGSPRRARRHDAAAAAARLGWDVQAGSWRRAMSSSASVTRDSSPGCPALTEAIQAASSSRNTVRPPWLSAAHMALSRPRPNTRKLHGARRCSTRSDTPISAAVCCGVTFACTIACATAAGSFPHPASAAVAVADDVERQRKIWGWWLKMTTMKMGFGDEDKRPINLVLSYNSR >OGLUM12G06710.1 pep chromosome:ALNU02000000:12:5914864:5915739:-1 gene:OGLUM12G06710 transcript:OGLUM12G06710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPMHVRKAIHFVSMKAKLQSFGGLRLLLVGCLAALLLLFAVRTLSFTTSSATATAAEAGCGKLPAAVAQAMVHYATANVTPQQTAAEIGVSLRVLQRRAPCNFLVFGLGLDSAMWAALNHGGRTVFLEEDAAWIASVKAGHPGLESYHVAYDTRVTDADELIALRHEPACTSQPSLAAAAAASCRLALRGLPPVFHELEWDLIMVDAPTGWTPESPGRMGAIYTAGMAARARAPGAGATEVFVHDVDRHVEDTFSKAFLCDGYLVEQVGRIRRFVIPSHRDKDGTPFCP >OGLUM12G06720.1 pep chromosome:ALNU02000000:12:5920556:5924020:-1 gene:OGLUM12G06720 transcript:OGLUM12G06720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSFIDGPVSKACRRSSDSHAQRAIRSRLVGLGLQRCHSEPDAHHQPRRFSAVHHVRVDGGDIASLHCLPPNPSRTDLQLQVASSVLPSRPSLPGAATTTRSGRRLDRDACRHFVALPLPTTASPISWCGGDGDQAGVLVMENDNELHSGLASLLLKLEAPLPCGAMD >OGLUM12G06730.1 pep chromosome:ALNU02000000:12:5963378:5965267:-1 gene:OGLUM12G06730 transcript:OGLUM12G06730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVFAGQSATAEAIANLIGKASSYLGSNPDRLQESMRVLRLELPIMCDTLVPAGLSRDHREPCLRMWLWRLRDAVEELEDAVDEHAYYEKKTKEREVNGDDDDDLGSSFSKMKQRFIKSLTNGRTLKRLRKAMEALDMVTVDIADWLQSDEQLDAHLPRQYSPLTSSMTSDDVFGRKKETDVIVRWLIDPLDYDDAPEAQVSPNNHHVSVISIVGHGGVGKSTLAQLVCNDMRIKHHFDWVAWLCVTSSFDVGRIMREIVECVTRSRCSSDSLENMQHILQDKLNSTKAFLLVLDDVWEENLHEWEKLFSVLRGINTRIKILLTTRTQSVATLVESVTGCEDQHLRLHELEEIGNLQLFCHHALADLKAGSEDYAVFLSIGAQIAKKLGGTPLAIKVASSYLRSHLTLDYWRSFLQDMDNFGAAVPDIMDVLKISYYKLSAELQSCFRYCSLCPKNHPFRKEELVRTWIWSGLMFPQVGKKDGELYLAQLTANLFLDRFGGENEEPAYYVMNDIMHDFATYVSQGECKRLTEAADSRNMKSSVRHISIAGINNFTVVDVKQLLRLTKLRTIIIEDCGNVEEDVVYSMAEVVKNSKSLRLLECSLFKMCHLPDRLSSLKHLRHVKTSML >OGLUM12G06740.1 pep chromosome:ALNU02000000:12:5990017:5991969:1 gene:OGLUM12G06740 transcript:OGLUM12G06740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLVFAGKSVATPAISFLVNKAFSYLNEYRKAEGLEAVKKRLEENIPKIQSVIDVVDPDYIKDKSEALDAWLWQLRDAVEEAEDAIHELEVERDQNKVSHQASSSFTRIKHRFVQSVKHVRVFGKTSNSPLKRLKKTLVGLDEAAKGVENFLTLVKIHQNTRSNSNNPEQDNISFRAKGKDLNADRVFGRENEKEHIVGWLTNTSSEDNQVVMNNNHVPIMSIVGHGGIGKTTLAQLISHDPRIKKHFDTVIWVAVPMSFDAKTLFDKIIQSVTLSKPSVDTYEALQQHLKKEIETIKYLLILDDVWEDKDISKWENLFSSLRTGVCGRKILLTTRMQSVADLASAVMRCERELFPLCGLEEDENLRLFNHHAFINPDPQEFEDFQQVGKEIAKKLRGCPLVTKVVAGHLRAHMKVQFWNTFLHEHLDNFDGSMENVLKVLKLSYYHLVPGLQVCFRYCSIFPKNHEFKKEELVKMWIAAGLISQTTGAAERAQDAAEEYLDLLNRKSFFELKLRNFRFGRNECHEYFVMHDLMHDLATWVSSGECARIADVAGSKKLKPTVRHLSVAGIDGFPVDAIKSLSQFKNLRTIIIEDCHDIQDETAREVEKVIESLKALRVVQSHMFSRSRFPGKKANLKHLRYVSVSMN >OGLUM12G06750.1 pep chromosome:ALNU02000000:12:5996678:5998606:-1 gene:OGLUM12G06750 transcript:OGLUM12G06750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLAGRSVTAMFIVNLIDKALPYLDEDRNSDEVLQPLMMNKLREDWLMIQLMFDALTANQSGETRSLGDLDVWLWNLRDAIEELEDAIDEHEYHKLREKAKEQEVCELGSYFSKMKQVVTNFLTHSDSTLERLRKAIEDLQIVTSADAAHLMGRTTYRQQLDTHVLPQDRETGFTPVVPNKMFGRDEEMNMIVQWLIKPLDGNAETQVSSTNPMHVPVMSLVGPVGMGKTALAHHVCTDASVRNHFDVIAWVCVSTSFDAERVIGDIVEQITCSPYKYTHVEETQYILRDKLMSTKSLLVLDNVWEDQDISQWERLISVFSASKIGSKILLTTRLNSVATLARRITGCEERVMSLYVIEQNEISLLFNHFALGSLEVGAPNYAALQSIGAQIAEDLSWSPLGTKVAALHLRDNLTPEYWRKFLQDVDNFRATTTRDMAVLKISYYSLLTELQVCFRYCSIFGKNHPFRKEQLVQTWISSGLISTQFRGEKKENLGELYLARLTAKSFFDRFGRENDEHAYYVMNDMMYDLAAFVSRGECARLVFAIDFRRVKSSVRHINISGINNFSVGDVKELLRLKKLRTIIVEDCGHVQEEVVSAMAEVVKNSKSLRLLECSLFKKWHFPDGLSGLKHLRYVKISML >OGLUM12G06760.1 pep chromosome:ALNU02000000:12:6008798:6009659:1 gene:OGLUM12G06760 transcript:OGLUM12G06760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSSSHSSRRRRRPSIPLIKCPSCGVKQILELTATTEANRGRIFFTCPDHVKDGSGCNFWYWEEAYIKFLKRSGFIDEAARAELLNEAKMKDGDELKKSIVQEIEKEPDVGHLKQLEDMIFILTKKKGLLKLILAGGKTMQKKCDVSNEVQCAMCCE >OGLUM12G06770.1 pep chromosome:ALNU02000000:12:6018486:6023809:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRDNGAQIFELAETFNGLNWQAINANNRELVILELIDGILSLLDGVPELSHPCIKVFATLLDASVVGSTPPRRIDMAHQVVVALDRSDAETQIKLVILKLINDILSLLDCIPELPQPCIKVFATLLDVVEGLVGKEGDSGGCN >OGLUM12G06770.10 pep chromosome:ALNU02000000:12:6015599:6017500:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.10 gene_biotype:protein_coding transcript_biotype:protein_coding MECTLAGRSVSAMFIVNLINKASAYLKKDRKSDKLQPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHDYYKLREKAKEQELRKAIKDLERSTARLLEQTKYRPQLDSHVLRQDHEIGFSPVMLNKMFGRQEEMDMIVQWLIKPLDGNAETQVSSTNPMHVPVISIVGPGGMGKTILAHHVCTDARVRNHFDTIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEEMEQILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEERLMSLFVIEQNEILLLFNHFALGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRDNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGENEKNLGELYLARLTAKSFFDQFGGEGDEPAYYVMNDMMYDLAKCVSRGECARLVVPADFRHVNSSVRHINIAGINNFSVGDVKKLLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISMLQN >OGLUM12G06770.2 pep chromosome:ALNU02000000:12:6018486:6023809:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDKPNRPSEPKRPNTGKAHPDLRLDSSSPPPDRPHNGGRWRRRRAGGASRQAARAAGAGRLLATRLSRLSESTGNICSSS >OGLUM12G06770.3 pep chromosome:ALNU02000000:12:6019219:6023809:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFRDNGAQIFELAETFNGLNWQAINANNRELVILELIDGILSLLDGVPELSHPCIKVFATLLDASVVGSTPPRRIDMAHQVVVALDRSDAETQIKV >OGLUM12G06770.4 pep chromosome:ALNU02000000:12:6018486:6019458:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQVVVALDRSDAETQIKLVILKLINDILSLLDCIPELPQPCIKVVEGLVGKEGDSGGCN >OGLUM12G06770.5 pep chromosome:ALNU02000000:12:6015599:6023809:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.5 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSIGRSVSAMFIVNLINKASAYLKKDRKSDKLQPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHDYYKLREKAKEQELRKAIKDLERSTARLLEQTKYRPQLDSHVLRQDHEIGFSPVMLNKMFGRQEEMDMIVQWLIKPLDGNAETQVSSTNPMHVPVISIVGPGGMGKTILAHHVCTDARVRNHFDTIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEEMEQILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEERLMSLFVIEQNEILLLFNHFALGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRDNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGENEKNLGELYLARLTAKSFFDQFGGEGDEPAYYVMNDMMYDLAKCVSRGECARLVVPADFRHVNSSVRHINIAGINNFSVGDVKKLLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISMLQN >OGLUM12G06770.6 pep chromosome:ALNU02000000:12:6018486:6023809:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDKPNRPSEPKRPNTGKAHPDLRLDSSSPPPDRPHNGGRWRRRRAGGASRQAARAAGAGRLLATRLSRLSESHRPGCHGNLQR >OGLUM12G06770.7 pep chromosome:ALNU02000000:12:6018486:6019458:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQVVVALDRSDAETQIKLVILKLINDILSLLDCIPELPQPCIKVFATLLDVVEGLVGKEGDSGGCN >OGLUM12G06770.8 pep chromosome:ALNU02000000:12:6015599:6023420:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.8 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSIGRSVSAMFIVNLINKASAYLKKDRKSDKLQPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHDYYKLREKAKEQELRKAIKDLERSTARLLEQTKYRPQLDSHVLRQDHEIGFSPVMLNKMFGRQEEMDMIVQWLIKPLDGNAETQVSSTNPMHVPVISIVGPGGMGKTILAHHVCTDARVRNHFDTIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEEMEQILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEERLMSLFVIEQNEILLLFNHFALGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRDNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGENEKNLGELYLARLTAKSFFDQFGGEGDEPAYYVMNDMMYDLAKCVSRGECARLVVPADFRHVNSSVRHINIAGINNFSVGDVKKLLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISMLQN >OGLUM12G06770.9 pep chromosome:ALNU02000000:12:6015599:6023420:-1 gene:OGLUM12G06770 transcript:OGLUM12G06770.9 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHSIGRSVSAMFIVNLINKASAYLKKDRKSDKLQPLMNKLHEDWLMIQPMFDALTANQVESFGYLDMWRLRDAIEKLEDAIDEHDYYKLREKAKEQEVSELGSCFSKMKQVVTSFLMHSGSSLEKLRKAIKDLERSTARLLEQTKYRPQLDSHVLRQDHEIGFSPVMLNKMFGRQEEMDMIVQWLIKPLDGNAETQVSSTNPMHVPVISIVGPGGMGKTILAHHVCTDARVRNHFDTIAWVRVSTIFDVERVIGEIVEQVTFSPCNYAHLEEMEQILRDKLMSTKALLVLDNVWEDQDISQWEKLFSVFSASKTGSKILLTTRLNSVATLPGLITGCEERLMSLFVIEQNEILLLFNHFALGGLEVGAPDYAELQSIGARIAKDLSWSPLGTKVAALHLRDNLTPEYWCKFLQDIDNFRATTTRDIAVLKISYYSLLPELQVCFRYCSIFRKNHPFRKEELVQTWISSGLISAQFRGENEKNLGELYLARLTAKSFFDQFGGEGDEPAYYVMNDMMYDLAKCVSRGECARLVVPADFRHVNSSVRHINIAGINNFSVGDVKKLLRLKKLRTIIVEDCGHVQKEVVSAMAKVVKNSKSLRLLECSLFKRWHFPDGLSGLKHLRYVKISMLQN >OGLUM12G06780.1 pep chromosome:ALNU02000000:12:6018453:6022136:1 gene:OGLUM12G06780 transcript:OGLUM12G06780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVLVFAGKSVASPTISFFANKAFNYLQNQYRKAQGIEDMKIRLQRNIPKIQSVIDIIDTDYIKESSEDLDTWLWQLRDAVEEAEDVIDELEYYELKEKEKDQKVSHQGSSFTKMKRKCLQSIKHISVFGKTSDCPLKRLKNAMEGLDEAVKGVDNFLALVDQIKRTTLDNSQRVDEMNRTNMAFSVLVFAEKTVATPAISLLVNKLRDAVEEAEDAIDELEYYELKEKEKDQKVSHQGSSFTKMKSKCFQSVKHISVFSKTFDCPLKRLKDACGRFRCTRTTLDNSRVDEVNIYREQGRTLTVDRVFGRENEKEHLVGWLTNTSNRDNKVVMSNNNVPIMSIIGHGGIGKTILAQLIPQQSRIKKHFQTVIWVSASTNFCAATLISKIIQSVTLSKPNVETYDALQEHLAGTLQTIKYLLILYDVWEDKEISVWEKLFAPLRTGVRGRKIFLTTRMQSVADLASAAMGCRRESF >OGLUM12G06790.1 pep chromosome:ALNU02000000:12:6025612:6031694:-1 gene:OGLUM12G06790 transcript:OGLUM12G06790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSVGVQGLLPRINVVRHLCAIGRDADLELEKVEDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGKCCDIAKLQDSIAQGTSLALPPSLSVTNRATVLCNHLRNKKFLLLLDDLWNYIDLEAVGIPLPLGRGNQRKVVLTSRSEAVCVSMACQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQSGRRVHCSVAATPHIGAPTPTAAVAVVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYSFDGLRDDEARGCFLACTLFSPFYIEKKRLIRWCMGLGFLDPANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNHSYLDPWKVSSIGQMTNITFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICELPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNISVQLKICYFSPWCDLAARCPRAFYTIRTIPG >OGLUM12G06790.2 pep chromosome:ALNU02000000:12:6025612:6031694:-1 gene:OGLUM12G06790 transcript:OGLUM12G06790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAWTIVPGIIVSLIVLVVTKLWDPIAQVYGYPFDAERRVQKLVDEFSKLQDQLGELGILDPKPSSAVLSGWLQRAAGCKDKVEEIKRRHESVKSVGVQGLLPRINVVRHLCAIGRDADLELEKVEDLIAKGEGHLKEAGAAPHPIPIPIPLLPPPAAEFDNGQLAQSILDTAAAGTWGVGIQAMKPHLTSVLDFVREDGGGAPGVLGVWGMGGAGKTTLLKLARDPRVQTLDHIVLAEAGKCCDIAKLQDSIAQGTSLALPPSLSVTNRATVLCNHLRNKKFLLLLDDLWNYIDLEAVGIPLPLGRGNQRKVVLTSRSEAVCVSMACQGVTIRMGCLDQQDAFKLFEDKVGSATINADTRIPELARQVAEMCGGLPLVLCVIGRSMCTKKNYKLWVDAVNRLEKSKVHNNLVGDDDIFNILRYSFDGLRDDEARGCFLACTLFSPFYIEKKRLIRWCMGLGFLDPANGFEGGESVIDSLQGASLLESAGSYSVDMHDIIRDMALWIVRGPGGEKWSVLNRAWVQDATIRKMNNGYWTREEWPPKDTWPELEMLAMESNHSYLDPWKVSSIGQMTNITFLELVSLDTFPMEICELHKLEYLCIKGGSMSRLPIELGKLSKLKQLHLRQSCSLGEIPTGLISQLVNLQVLDLFCSSIDYPYRPKSAAGGLYNFLGELAEARASEKLKILGICLDATRDNRAFLKQLMQKQVRIRSLCLSFINPISPGHDQPQPATSRYMIAELQPFSNDLGELAISSSDILQELVATSDGKELIQNLEHLCLENLNVLERVIWLNAARNLRRVDIKKCAKLTHATWVLQLGYLEELGIHDCPQFKRLIDHKELAENPPDHVIFPRLTYLDLSDLPELSDICELPCEFKSSLALLVENCDKLMNISFHYPPGHDQKNIRVFCDNEWFNRLECKPNIMKSYLSQNISVQLKICYFSPWCDLAARCPRAFYTIRTIPG >OGLUM12G06800.1 pep chromosome:ALNU02000000:12:6083404:6084665:-1 gene:OGLUM12G06800 transcript:OGLUM12G06800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAEVMHPARPGCMLRLVHGDAGGAMTNFQCDGCRDPGKGGPRYTSGDLVLHTSCARATPALQHQLVEGVMELLLVAPAGGGVCSACYDTVRGFHYYCSRRTSKGEHPKLHPGCAKLPVSMALQSSDAFELSTEVSHRCTSCREMEGFYRPWCYRSTNPEQRMYLHVKCIKEIQDEAEERMMVRLRERADRNVRMERRVCKTLVIMVEF >OGLUM12G06810.1 pep chromosome:ALNU02000000:12:6125177:6127543:-1 gene:OGLUM12G06810 transcript:OGLUM12G06810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMEEHLGNTTSTTFLHQPRNLWKGPRGTVLRIEALALVAIVLTFFVAVLGSCRRWSNHWIVQKGFLAANVLSLSLGTYSIGIMQSSSVKSEMYPIWTVCLFTLLACTDSVTSYNGLDYKSPLLKMLFQLGLYCGYVLLMSISTISTDVGNIAIGMLSAITFVKGFHRSLALVLQSRMRDMEAKTVGLQEPRFLSRGRDYGEERENMIVDFPPDLENLVYGSERPALSNTVHMADIDLICQEKDELQLCSDVCVAFSLSHQLQRYILGLSEHVDNKVDLSEDIIDYKWALKVIGVELAFLYEVFFTGNAFLHFYEAKAASFWALASFIGICFVGVAVAIPRTMTSHRTTSLGSGATVVVDTTTADLFITLVILVSLALLQLMHLIWCWTSNWARLAFACECARNQKKGIGIQWSWWMRLKWFAITRTNWFDKYLWQDKLGQCSLAGKAGREWKFLGNSITSMGGRQMHGLQYIGHVLWDLWGSDANKGVAFRLDDDVRASITDFLGQIRSDMIDHHWLSELRENGVDIDELPYMIVEDKNSFVHVMFLNTASFGFIYAHSVMVWHVATCYCELAEQEKQDAMLNQSTEDTAAEAGCFEKAAAPCYRKKQAATGRGGERAKNRRVANALSKYCTYLVVSAPELLPGPAAHAKRAYDVFAEEAKMAPREAMTGNYSFLIGTDLGMQLLGERPPRDGVGHCSDPWKALALVWVQMLVYAAPYGNVEAHMRHLTQGGEFITHIWSLLYHLGIRKWQPPKEDKDTHKSLEDEGEGSEAEPRRDVEEETSSLP >OGLUM12G06820.1 pep chromosome:ALNU02000000:12:6132718:6150505:-1 gene:OGLUM12G06820 transcript:OGLUM12G06820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGKRIRRTLRSPTPPDVLVLPTGHEDEHGPHGLRAPFLCIDQLLFDVDGFAAVLGTPLLLIQFMDAVADPASCWTRASHPSRCSSSESAGEPAVSPVWSRELLYARKPPKPTFQLREFNDIAAAPPAIWSCAPSLSAPATSPPHLHGRMTSFDVLAAFVWRARPRALEIPAGEDEQADVGERVEHGEAATGVRCGEGGPPAATSAEEAARTTGVAAVAEQAITGRREKGGRKR >OGLUM12G06830.1 pep chromosome:ALNU02000000:12:6170194:6175657:-1 gene:OGLUM12G06830 transcript:OGLUM12G06830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIFPLLFLLPPSTTTTTSSSSCCCSCHFVLSSTPPLFFPQRGAEASYGGGGGGGGGSPLFDELAAAAGRRSVSGAGRLKIPVSGKEGRRIMGLLGMVGDSFGCSATGERLVSAARDGDIQEAMALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVDINLRNCRGQIHKKDCFSGATAIHFAALKGHTRCLRLLVADYVPSLPEFWSVMHAKCTDETNKEAFDAVALRRLINNKSDGGVTPLHLAALHGHAECVQLLLDLGASVSEVTINDGSTIDLIGSGSTPLHYAACGGSAVCCQLLVAAGANMRAQNTNGLTPLMVARSWHKSSVEGILTKRPEVPVRILPSSYLSLPLMSIVKIARECGWRKTSVSSVCHDPCAICLDTECTVSAEGCGHEFCTKCALYLCATASSSTSIRGVPGSIPCPLCRHTIVSFVRLASTTPIKELPWTNKSLALCAAGASTGSKYAGPAAITSSKYAGSLHRRSEMRSLRSSSVDLGCSSFRTASSGKLSSIKLNCTGADETMPCLVNCFRPDVQRSSSYRERIRRYSQFS >OGLUM12G06840.1 pep chromosome:ALNU02000000:12:6175479:6176206:1 gene:OGLUM12G06840 transcript:OGLUM12G06840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPHSSSSPCPCQGTAPRCGKNKGGVEESTKWQEQQQLEEELVSVAEAAGEGRRGGEEEQKGVEFGRLFVTFALRLYQKFRIQKLPTGSFFSFLFSTTAFDFESISVFKSFSRIKVKEI >OGLUM12G06850.1 pep chromosome:ALNU02000000:12:6187642:6190692:-1 gene:OGLUM12G06850 transcript:OGLUM12G06850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIDSFVGLCLNELQKAITEEAILILGVKEDLMELQQRMEQIKPFLNDAEQRGIEDSACGKWLGRLKDAMYDADDIIDLARSEGSKLLADHPQGSSGKPNSCTGFSPFSCFSNIRKRREIGVKINNLNKIIESIAKDKIFLTPEYSAQSTGKSSSASKVTISSHLVEPNLVGKEVMHACSKLVNLILANKDKKAYKVVIVGTGGVGKTTLAQKIYNDITLKGNFDKQAWVCVSKEYSKASVLRQILRIMEVRHDIDESIGELQSKLTSAIKEKSFFLVLDDVWQSDVWTNLLRTPLHAAATGTILFTTRYDSIPQELGAGYIHRVDLMSVDVGWELLWKSMNITDEKQVQNLKDIGIEIVRKCGRLPLAIMVIARVLASRDQSQNEWKKILNKSTWSMDKLPSEISGALYLSYEDLPQHLKQCFKYCVVYTEDSDIYHDDITKMWIDEGFIEEQEGQLLEDTAEEYYYELVHRNLLQPDYSNFLHNVCKMHDLIRQLACHLTRDECFVGDPESLGGNILCRLRCISVVTEKDMVVIPSIGKEQVKVRTFAIASWSLRVEDTIFKRFLHLRVLDLTGSQIQSIPSYIGNLIHLRLLDLESTSVTCLPESIGSLKNLRILNLPGCGGLQTLPLATTQLHNLRCLCLRQTPINQVPKGISRLKLLNDLEGFPIGIDNGNTSTQYGWPLEELVPLLHLRRITIIKLERAVHRSTDPILLDKKSLKILSLRCTKHKNRPYLEVDVNNIEKIFELLIPPHSLEDLVIEGFFGRRYPTWLCSTYLSSLKYLNIIHCKLWVHLPPLGQLPNLRYLRIVGATSVSKIGPEFVGRLAGNPTFSEAVAFPKLEWLIIDNLPNWEEWSIVEQYSLATTEGANDGAEAKRKREAMFPRLQLFPRLEKLDIARCPKLRALPEQLAQAGSLRILQLRKAGRLKIVENLHFLSDLLLITGCGCLERVSNLPVVERLNVRRCPRLTSVDRLGSLRQLSLGARMRKISLLWMPGLQQQCQQLHGEALDVIIR >OGLUM12G06860.1 pep chromosome:ALNU02000000:12:6215546:6219117:1 gene:OGLUM12G06860 transcript:OGLUM12G06860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferases (NAT) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G28030) TAIR;Acc:AT4G28030] MASASRLLPPTPPPHSAASPPARHLHPTLAAPLSRRPINPPRLRCRAASAAAAAGAAATTTTGGGGGALVLEGSGAGAVAVREFVTLDELRAAVRLRIRTFYEYATDSYGAEDLRKSLADREYDALQDRISGKMINFQRVSCINGTVPLLPSLVSAEELCSTCKFVEDGEERVVVGSLDLNQCLWLPDELTGKRPGVNESSHTRAYLSNVCVAKELQRNGLGYALVDKSKKLAREWGITDLYVHVAINNEAAQKLYNKCGFVYESEEPAWKARFLGRPRRLLLWLDLKKDAL >OGLUM12G06870.1 pep chromosome:ALNU02000000:12:6220083:6241089:-1 gene:OGLUM12G06870 transcript:OGLUM12G06870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAMLWKSANHGIPVDEEDSIIMRIITGRACKTQPAFCIRHVGLLRGVPAKSPADLPPP >OGLUM12G06880.1 pep chromosome:ALNU02000000:12:6230184:6231253:1 gene:OGLUM12G06880 transcript:OGLUM12G06880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYHSFRAEESGEIYLRSSELDTGKIPASKPVPFRHNTNSNSVPNPGSKTGKSDDYRCEMGTLLSLYLQQECDKHRKEQEEGDSPILLQMTVSVSDIKGADKWSTKADLAGCVC >OGLUM12G06890.1 pep chromosome:ALNU02000000:12:6237844:6238422:1 gene:OGLUM12G06890 transcript:OGLUM12G06890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAPRKFKKAFMERLLLSLQVAGLTSKSMGLRERRDAVRLSSDVAMASARGRAAPWARALVARHAAERRNEPLLRRIMGGDVYERAVSSAGAAVARSRRIVRRSQRVACSSRRKRRSLAMAAASGGGGALAARRMVKGRLRLLRRLVPGGEALRGFSLLSETLDYVVCLKTQVELMHSLCKGSQQKLHLQLG >OGLUM12G06900.1 pep chromosome:ALNU02000000:12:6242650:6245035:-1 gene:OGLUM12G06900 transcript:OGLUM12G06900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRCSNAVFAAFNVVTLLLGAAVLAAGIYYGAPHRGGGGVTECERFLRAPALALGGAIVAVSLAGLAGACCRATPLLWAYILLTGLLILAAACFGVFALVVTNAGAGRAVSGRGFREYHLGDYSTWLRRSVEDGGHWARIRSCLVDTGVCRSLKSNQTLDEFVNSNLSPLQSGCCKPPTACNFTYQNETYWIKPPTPSNYSDPDCNSWSNDQSELCYGCQSCKAGVLGNLRSSWKKIAFVNAAFVALLLVVYSLGCCALRNNRRHKYSLVGK >OGLUM12G06910.1 pep chromosome:ALNU02000000:12:6250765:6252300:-1 gene:OGLUM12G06910 transcript:OGLUM12G06910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAANSSDLMFRSATSLGFGVLVTACDNEIFACGCIDHMQNSDFRRTLGADSGALLVRHGSLVSVKKYKKSNGERNNVEKTSMPLKTLLGHRIVPHLRRSSRASPHLFEPWTVDNAKSLRLEMNNRSQFPIRQFFLHAWGRVDDGTMRLCSPQPAPTLQPAGHIRTATLLQWLAPTTYQEPRQSHIGRARRLPPLLVGLSSISRLVSSKARPKS >OGLUM12G06920.1 pep chromosome:ALNU02000000:12:6252502:6252696:-1 gene:OGLUM12G06920 transcript:OGLUM12G06920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSGATTLGKGGSAALGSGYGNNNGGWYGRWIQPAGSRSGTVLRRAGSAALGRGCSDDYDK >OGLUM12G06930.1 pep chromosome:ALNU02000000:12:6253999:6256785:-1 gene:OGLUM12G06930 transcript:OGLUM12G06930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAVILFAVRKIGVALGNEATSQAVSYFRKFVTQLTELQGSMGRIRRELRLMHEFLCRMDVRNRNNQTYEIWVEEVRVLVHGIEDIVDEYLHLIGQKLDTGWSTYLKKGIKGPNVVVSLNRIASLVKEAEVNLVHLFQAKDRWVLLPSDSNDNSSYIVERSQHLAATSCSISDEDLVGVDDYRRDLEKWLEDDEPAHLVIALLGMGGLGKTALAANIYRRAKEKFECHAWVSISQTYSRQGVLRNLIGKLFKDIEDVPTDIATMDITSLEEKLHLFLVEKKYLIVLDDVWSTEAFTDLSNALVHNGTGSRLVITTRDSEVAGLASKNYVVELKTLPSDKAMELFCKKAFRSDTDDKCLAKLNDISVEIVSKCKGLPLAIVSVGSLLFVREKTTEEWKRINDQLSWEIINNPRLDHVRNVLLLSFIYLPSYLKSCFLYCSLFPEDYPLKRKKLVRLWVAEGFIVEKGESTLEEVAEGYLKELVYRNMLQLVQKNSFGRIRRFKMHDIVRELAVDLCRRECFGITYEDGHHGRSLEENDERRLVIQKFHEDVGQSVLAVYRLRSIIVLDKSTPSSIILSSMLDNSRYMSVLELSGVPIEIVPNAIGNLFNLHHLGLRGSKVKFLPESIEKLSNLLTLDLSGSDIRCLPRGIVKLKKLRHLFAEKLHDATWRNFRCCTGVRFHKGLGNLTSLRTLQGLEAQEESIRHLGELRQLRSLRVWNVKGAYSGCLCTSLVKLQFLSNLYIVASNENEALQLEEMNPPPPNLQRLFLRGRLADDILQEESPLFHHAARRNLYELRLYWSQLEQDPLPSLSLLLNLTDLRLTNTYIGERLVFLSEWFPNLRILFLRDMPNLKWLVVQKDAMKKLQRLTLVNLKNMRDVPLGIQFLMPLKYLGFLEITKEFLELLQSSSIKDFRWQHSLRDSETSVDI >OGLUM12G06940.1 pep chromosome:ALNU02000000:12:6267671:6271500:1 gene:OGLUM12G06940 transcript:OGLUM12G06940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWQLASYKDPLFPSCWKKRSKSPNQPAKVCRIASLIKQRSDKRMAETAILLAIKKISIAVAGEMLSLSRPIIAKKSELVVALPTNMELVKDELEIINAFLKKVKTRDCRDNVLETSITQVRRLAYDIEDIVDQFIYVVGEHQGKGFWGNLKKFVKKPESLFSLDRVATEVEKVKLKLKELSSRRDRWVQSTICRPDAEIPNYDDEQGVYQFRHSQVPDYDDNELVGVDEYRETLTKLLYSEHCSLRIVAVCGMGGLGKSCLVYNVFKRERSHFDCSSWISVSQSCKMDDIFRNMLNQLLGDSSEVNYDTSRMGIEVLKEELKRFLEDKSYIIALDDIWRAPVLLEIRDTLFNSGKGSRLIITTRIDEVAAIAEDACKIKLEPLSKYDAWILFCRKVFWKTENHACSPELQKWGEKIVNKCEGLPLAIVALGSLLSLRDKTEAVWKCFHSQIIWELQNNPDISHVEWILNLSYRHLPNHLQNCFLYCAMFPEDHLLRRKKLIRLWIAEGFVEQRGSISLEEVAESYLIELVHRSMLQVVERNSFGRIRRFRMHDLVRELAIKMSEKESFSSLHDDTSGVVQVVSDSRRVSLIRCKSEITSNLASSRLHTFLVFDTTMLQCSWSCFVPPKSKYLAVLDLSGLPIEAISNSIGELFNLKYLCLNDTNLKSLPKTITRLHNLGTLSLERTQVTSFPEGFAKLKKLRHVLVWKLLYNEHSSFSNSLGMGTIEGLWNLKELLTLDGIRANKKFVSRLGYLAQLRSLYISDVRSNYCSELCSSL >OGLUM12G06940.2 pep chromosome:ALNU02000000:12:6270967:6271331:1 gene:OGLUM12G06940 transcript:OGLUM12G06940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLLRLHVKASNQDELLRLESLQLPPELQTLQLTGKLTGGVLKSPLLFSANTEFMLVRSYRRPNPISLQAIKFNKFTSSENTGAGVPHVGKACAVVEHRCASRAFVGLVAVGHA >OGLUM12G06950.1 pep chromosome:ALNU02000000:12:6271577:6271788:1 gene:OGLUM12G06950 transcript:OGLUM12G06950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDMVEVREIYMDEGTLISLEYLKLDGLKQLAHVPDGIEFLILKGGEIYKKVLEWED >OGLUM12G06960.1 pep chromosome:ALNU02000000:12:6274379:6276978:-1 gene:OGLUM12G06960 transcript:OGLUM12G06960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1218) [Source:Projected from Arabidopsis thaliana (AT1G68220) TAIR;Acc:AT1G68220] MAGFATSRPASLALAALLAFLNLFAFLLAVGAERRRSTVRAPRAVLSLSVSLPVWWWWSLNSGAAQGKVVPDEYDLRSYCLYDTDASTVYGVAAFFVLLLAQVLVTAATRCLCLGPALSSRGCAVASFVLSWITFLTAEACLIGGSVRNAYHTKYLGYYMKHDLVTCATLRKGVFAAAAALMLINLLASLMYYWSYSRAATGGFIKHQNEVGMSMTDYGLDKGGSMP >OGLUM12G06970.1 pep chromosome:ALNU02000000:12:6302522:6303623:-1 gene:OGLUM12G06970 transcript:OGLUM12G06970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTTTKQKAGNNWQLGEVASAYILVVSQATKDSVLFGYYTCELLRGDLCYFIHCKCSHKDGLFFDLRRQPRDD >OGLUM12G06980.1 pep chromosome:ALNU02000000:12:6343334:6346500:-1 gene:OGLUM12G06980 transcript:OGLUM12G06980.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRQRIRRRRPREGRIRRPREELGSRRWAASTAIACSGGSGGAVAEAKDSGAPEAEGPSARPAARRWRKPRRRAGVDGVGGGGGDLREGVNARGFRQGSQCEGVQARLAAWLAPRRLLSSGGKVLGEEEKASENIYIKY >OGLUM12G06990.1 pep chromosome:ALNU02000000:12:6392241:6393767:1 gene:OGLUM12G06990 transcript:OGLUM12G06990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLTPSLQDLLVSSLMILLVLLYIAYWRSKYQSLFPVDWPIVGLLPTLVANLHRLHDKITEYLAASGHSFTGNGPAASNMRLFVTCDPDNVRHIFTTNHDNYPKGHEFAEIFDIMAGAFFTMDGELYRRQRAKTHSILSDPRMVASMASSCVDKVRDGLLPLLTSMASTRTPFELQDLATRLMFDVTAMPVFGVDPGRLSPDMPSMHASAAMDTIMEVALFRHTMPMSCWKAMRRLKIGPERKLAAAHAALDVFVSEMMEKARKQEAAPSSMSMDVLSSYINDPEYVGLLHSILITYMVAGRDTVGTTLPWFFYNLAMNPRVVSGIREELAHNIATSTCNGDGVPMMFSPEDTKSLVYLHAALFETLRLYPPGWIERKTVVADDVMPSGHEVRAGDAVLISIYSMGRMESLWGEDCRVYRPERWLYDSRGGRKMRHVPSHKFLSFNSGPRMCPGKNIAVMQMKIIAAAVVWNFDLEVVEGQAVVPKLSCLLQMKNGVMVKVKKRAV >OGLUM12G07000.1 pep chromosome:ALNU02000000:12:6393942:6409358:-1 gene:OGLUM12G07000 transcript:OGLUM12G07000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSCSLAPVAGGETDPENTEKRLHQGGVHVGMSRRRRRGAATAWSCEFDGYGGSVELRAQRQLCKIQVGGCSGGVEPRGRRRYLSCADGRRRKPLLAVASSRSGRRRRTRLWYGSAGKKQLDVPLSMQLFVKNRG >OGLUM12G07010.1 pep chromosome:ALNU02000000:12:6409323:6409855:1 gene:OGLUM12G07010 transcript:OGLUM12G07010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGIHRWEERHHVVDTETSGPRRRRARLRAWRRQATAGGRRGGSSSSSTAERQRAQSRRIWHGMAAGAAVAGDYWWEARRRRIQPSLSTPRARIRPRWGVSGADAGVATLDPVRILHG >OGLUM12G07020.1 pep chromosome:ALNU02000000:12:6409538:6432597:-1 gene:OGLUM12G07020 transcript:OGLUM12G07020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTAAAACHRSPLGPCAAQPATCCVGREEGEERAGDEMGGEGEEAEGEEEGDRSEREEAPDQVVSLKEIVNQVNEEEADVHGGRGDGPKTTPQPVAHGWTMVATPPAAPSASFVAILAILYLCISSASSSPLVAATNRAFANRCSVEVAAAAPPYPLESELAVADVTPCAWGRSGGRIHRRLASRTQSAITATPPLPSPVQDPHRIQRRDARIRAGYAPPRPDPCAWGAERRLDAPPPCLPPVVARHRRPRSHTMPDPSTLCPLPLSGGAGARAATPPARSRLSSPCPQPCPPSPRPCHRQP >OGLUM12G07030.1 pep chromosome:ALNU02000000:12:6417478:6428619:1 gene:OGLUM12G07030 transcript:OGLUM12G07030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLRRGFRAIAAATMHIRLLLINLQRNLDDGECVVRKDPSIGCDGWWPPPASSGVTDI >OGLUM12G07040.1 pep chromosome:ALNU02000000:12:6435407:6435694:-1 gene:OGLUM12G07040 transcript:OGLUM12G07040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRMPSLLQLSSSYALPREHSRVVVGAAPTRRCNYCMPPLLHPRTVVAEALSSASLTCRRCSTRMPPPQLSRAIAAEALCVRRLRSSRQALSP >OGLUM12G07050.1 pep chromosome:ALNU02000000:12:6435819:6445419:-1 gene:OGLUM12G07050 transcript:OGLUM12G07050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFYRTDTYNCLKLVKLKNKARSSIHQYSLKVDDDHKRRAKITQQNQGQRADVLADDRAGLRRRKVTPTTRTGFFCAPDSVATQERSQIQWCAVSVHELLPRLTPSEQTTSPI >OGLUM12G07060.1 pep chromosome:ALNU02000000:12:6456209:6456625:-1 gene:OGLUM12G07060 transcript:OGLUM12G07060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRYDCSLIEDGARDEDMPSLIFRTATIVSKIGQGEQGGALMFLCNGICTWRLPTSIMEMRSQRCLGNEKHGEDGTSVRTPGDSGNTANGTLGWRRVAQPLDV >OGLUM12G07070.1 pep chromosome:ALNU02000000:12:6465148:6465588:1 gene:OGLUM12G07070 transcript:OGLUM12G07070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTLAPPFPLPLRYRPPLPHPISLMLTPPSTLRPHPCHRRRPGSERRRWWAPGGGGGGEAEEGTFAPALSEIGGGELLTPEPANAAVVALSAALAWAGASLLLQLALISFAIFAARRQVLLCRRAPPLRPHRSPVTVTVSGH >OGLUM12G07080.1 pep chromosome:ALNU02000000:12:6466380:6467120:1 gene:OGLUM12G07080 transcript:OGLUM12G07080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRNQFCCCLLQSFYTLPNPLPRSSRRGASLRRRDEEDDSDDDDRKEEAVELEMLRSERRAARLPRSHSTGQSLVASAAAAAESGDHDRFTLRLPQHVRKGQNGHKNNYLDEKLIFCK >OGLUM12G07090.1 pep chromosome:ALNU02000000:12:6470457:6471837:-1 gene:OGLUM12G07090 transcript:OGLUM12G07090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTADRVFGRENEKERIVGWLTSTSSEENEVVMNNNPVPIMSIVGHGGIGKTTLAQLIAKENRIKEHFKTVIWVSVSTNFHAETLISKIIQSVTLSKPSFDTYDALQEHLARTLETIKYLLILDDVWEDKEISEWEKLFASLRTGVYGRKILLTTRMQSVADLASAVMRCERERLPLCGLEETENLELFNHHVFTYPDPQKFEELQEAGEKIAKKLRGCPLVTKVIAGHLREDMTVQFWNKFLDEQLGYFDGGMEDIMKVLKMWIASGLISQTTGEAENARDVAEECLAQLTRKSFFNLKLRNFHFERNECHEYYVMHDLMHDLATWVSSGECARIFDANGSKKVKRTVRHLSVVGINSFPADIIKSFSRFKNLRTIVFEDCHDIQDNTVCSVEEVVETLKALRVVKAHLFSRCHFPGKFANLKHLRYVSVSFN >OGLUM12G07100.1 pep chromosome:ALNU02000000:12:6504462:6506114:1 gene:OGLUM12G07100 transcript:OGLUM12G07100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDNMVSEPTICGIPGQEARWMTHSGSSDVQRLDPSIGGGCTGGACQLGAGAGAALRVQVSQVLYPVTSEVLHQVYNGYGAVAVQVLATSCWGVEALVWFRSSCDVERARSDTNERNIYDGCCLLDVQHTQSFPGNGANVMPTKCSTLGPSYATTTSGAKSIPAATERVFPATKASLAPSTSSTTMMTLAPSTETKDVGAGMDKAALKSEETTQDLYTKMMAMIDKLLETCRDTKEDYTMSVDSNGDAAAQCVNIDPVPILLEVSNEANSTQLVNTNKLCLVKVKSTKDLKKRKKEKVDGDAGGMVTDDCVAFTNVDTKLISVFRPFRDVSLILCRSNYIVVANLPAVSSECEVCYDDFVLGPDFTARLLVVPSWRPAGPLDFRFLPWPDIINQGSEGVVVKLLQPWPPPTQAEVKAKKKVLNLYGQKSEVQIIVTVCSVSKAAIKGLQLLGERMLQEEQLKCEVVKSSWYSFSNLLVGDIMDIALPMQSLRQVILSYGLAQSQNENSVIQEAMSCCQFKFLANYVMSPSQWKRYSGFSC >OGLUM12G07110.1 pep chromosome:ALNU02000000:12:6506137:6506931:1 gene:OGLUM12G07110 transcript:OGLUM12G07110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQQIDGVDKRMLYYHQISTVFCSVSKDVVYDVTWTPIVPSKWIHGVAIGRIGLLSTFALMHFLETWTMQLATKLGVIKFGLDKLPNHSVGSIMAMALLLVQSLEARFIEWAIRFFKSKWKMGKYRGLEGSGS >OGLUM12G07120.1 pep chromosome:ALNU02000000:12:6514270:6514707:1 gene:OGLUM12G07120 transcript:OGLUM12G07120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAGTLAPLFLLPLRRRPPLPHPISLMPTSPSTLRPRHRRRLAVALAANGGGGGDGDGGPPAEEGEEKRRKGPSLPALSEIRWGELLTPEPANAAAVALSAALAWAGASLLLQLALISFAIFTAAVKYSFVAALLLFVLIALL >OGLUM12G07130.1 pep chromosome:ALNU02000000:12:6515052:6518445:-1 gene:OGLUM12G07130 transcript:OGLUM12G07130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVQMRRIENPVHRQVTFCKRRGGLLKKARELSVLCDADVGVIIFSSQGKLHELATNGNMHNLVERYQSNVAGGQMEPGALQRQVAEQGIFLLREEIDLLQRGLRSTYGGGAGEMTLDKLHALEKGLELWIYQIRTTKMQMMQQEIQFLRNKEGILKEANEMLQEKVKEQQKLYMSLLDLHSQQPTQPMTYGNRFFSI >OGLUM12G07140.1 pep chromosome:ALNU02000000:12:6523385:6523987:-1 gene:OGLUM12G07140 transcript:OGLUM12G07140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLAMGTREVSRWLVEARNDAAAMEDEWGIGDDRSATEARDQAAAMTMEGGGSGKWEEELTLRGSRGAGRTKDMEKGGSKQGYGEGSSSGLVGHVVEE >OGLUM12G07150.1 pep chromosome:ALNU02000000:12:6554376:6560042:1 gene:OGLUM12G07150 transcript:OGLUM12G07150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >OGLUM12G07150.2 pep chromosome:ALNU02000000:12:6554948:6560042:1 gene:OGLUM12G07150 transcript:OGLUM12G07150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >OGLUM12G07150.3 pep chromosome:ALNU02000000:12:6555770:6560042:1 gene:OGLUM12G07150 transcript:OGLUM12G07150.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRIEIKRIENTTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNNNVKATIDRYKKAHACGSTSGAPLIEVNAQQYYQQESAKLRHQIQMLQNTNKHLVGDNVSNLSLKELKQLESRLEKGIAKIRARKNELLASEINYMAKREIELQNDNMDLRTKIAEEEQQLQQVTVARSAAMELQAAAAAQQQQQNPFAVAAAQLDMKCFFPLNLFEAAAQVQAVAAQRQQIIPTELNLGYHHHLAIPGAAAADAPPPHF >OGLUM12G07170.1 pep chromosome:ALNU02000000:12:6568867:6573218:1 gene:OGLUM12G07170 transcript:OGLUM12G07170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G47830) TAIR;Acc:AT1G47830] MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >OGLUM12G07180.1 pep chromosome:ALNU02000000:12:6596025:6598097:1 gene:OGLUM12G07180 transcript:OGLUM12G07180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSGAQGELPLPMHAAASPYLGLHHDHHHHHGGGGGGGGGGGMNGRHMSPPTPPAAAEESKAVVVVSSSATAAARYRECLKNHAAAIGGSATDGCGEFMPGGEEGSLDALRCSACGCHRNFHRKELDAAAAPPLHHHHHQLLGVGAHPRGHGHHHHHLLVAALPPPTRMVMPLSAMHTSESDDAAARPGGGAAARKRFRTKFTAEQKARMLGFAEEVGWRLQKLEDAVVQRFCQEVGVKRRVLKVWMHNNKHTLARRHLHPSSAAAGDDDDGAPPPHPDPGGASSPPPPHHLRLHELIGDHYGSGAPEELKKLDFFWERRGGGGGNPLRNKMKIHLASASTSIRLQLSPTETL >OGLUM12G07190.1 pep chromosome:ALNU02000000:12:6605319:6610351:-1 gene:OGLUM12G07190 transcript:OGLUM12G07190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33290) TAIR;Acc:AT1G33290] MAPSPTRLLHLPLLAPKPSPPRCLVSRRSRPRPAGDAARCGCATEAGGGGGGGGSVVVEDDLYELLQVLPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEEAQRAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVAQPSMQHRVMIEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGEHLANIIKNPTLSDLIGGVETVTLGDEEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRTERSVDMLLHGKKPLVERVVGIDSKDLSSYSTATPAKELVLFIEKMK >OGLUM12G07200.1 pep chromosome:ALNU02000000:12:6613282:6617180:1 gene:OGLUM12G07200 transcript:OGLUM12G07200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYMRGDLLTRTRKLVKGMAKPAPAWLKAMEQAPPPTFPRTDGKIKKIELPEDVYVKRFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKKDGVNEDDAMAVADMEYAAEKKAKKKAYKELKEIARIEGKRPPPNPYPSAIKEIQAEEKKYVRERFHNPKILEIVKKMKEDKELFFKDREASRAGQ >OGLUM12G07210.1 pep chromosome:ALNU02000000:12:6620166:6623043:1 gene:OGLUM12G07210 transcript:OGLUM12G07210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGCDACEQAEAAVLCCADEAALCRRCDAAVHSANRLAGKHPRVALLLPSSSSAAAGDDDHHPTCDICQEKTGYFFCLEDRALLCRSCDVDVHTATAHAAAHRRFLITGVRIGGSVDAAAAADVIVSPTSSSIAPAGSASSNHAVAGAGAAGNNNGRSPAPVRFSGGDGGVEPEQQWPWSDVFAADDDDDVSAAMEQCYYHGISEPHSSSLTG >OGLUM12G07220.1 pep chromosome:ALNU02000000:12:6623636:6628788:-1 gene:OGLUM12G07220 transcript:OGLUM12G07220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPMVEGCVGPSELHLRKELTALQKARFLRDPETSSTWRSPLDSRPLAAASNIVHNSNGVGDSIAPKHTESPTPSKGEKKQKKVYLYNWRQYSNKSSESGMKLDEDINLESPHNSNGVGLKSNACQDDPVNIYNFQSSTSCTPIKRVARRSRVLLSKKGTARDPAVSKLLDLHVNSTEQTEDTENSEVGYFSRPTSPLFAACGCVSASNTSRLLKVGRREGSSFSCTPISTRSYYKNGRANSSTIGSWDGRTATSFDGDESNQSTLWRSRRSHVPGYSSKRNKCKGSKRSYSPSLSDILRRKGSGLLCGSQTLHRKKRSFGSLKWGHSKTSAHGMSLLDGSCDFGSSSFDSSSDELSTNVGEFDLEALSRLDGRRWSSCKSQDGVDPAVHGADLAMADRRSLSQKYRPKLFSEIVGQHIVAQSLSNAITREKIAPAYLFQGPRGTGKTSTARIFSMGLSCLAPGENKPCGICKECTDFLSGNGTNLIEVDASNRKSINRIKNLLENIPPSATSSRYKLFVVDECHMVSSKLWSAFMKFLDEPLPRVVFVFITIDPDNLPRAVISRCQKYVFSKIKDIDIVCRLRRICVKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGIDPMALMSQLAGLIMDIIAGTYKLADSTANDMAVGGRSLTGGELERLQQALKILSDAEKQIRLSSERSTWFTAALLQLSCGHSSEMNQARSSTTECHKSMNDAVTEAGRESSSSRAASHSISAFGVSKKLLDPKATSLHSSPQALASHSSRSRLNGNFAYGGECMSADRFLQDSTQRSNYSEQKVLVNGNLESLAHIWMRCIENCHSKTLQQLLFDHGKLVCVRQCEGCVLAFIAFEDSSIRSRAERFLSSITNSIETVLRCTVEVRMGLLPDFIASGLTSEMGPNVRRAESDVLSCSSNSDRLKGTLNTSSRNFDYSDEVKKGLEQFKFASAGDERLQSASVTSVNSGKTKATHMSKISMIDEQRLESAWLQAAEKHTPGMVNQTRPDRHQVVPQIVSPYERRSSMALVVPSGQEDEDLSHGIKAMKIVDSYGVQKDQNGRNVNRNVVSPSKLHSDDYYMENCDKESIVLNHSYRLKFIVARKLDDLVALAFSTVGKLKDQRG >OGLUM12G07230.1 pep chromosome:ALNU02000000:12:6647473:6656029:1 gene:OGLUM12G07230 transcript:OGLUM12G07230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNFPLPFSPSPKKEKSSSIGALFSPRSGPCNPDRTAPRKKKTQVRCGSRGRTDRAAPPARHGRTSTDRGETTRRDLRLRLHPPPLCLLAGHLLTPARLVGAGVGPPSRGRLLCPVATRRLIASASDNPNRGDSAPHPISCGARCWALLSGELRRLGICSWLISSDVDADGCGWRVEWSSSSRRHGRSETLSLIEEFWIAVNTGFAIHALTTGLPSLIAAPFAKVYDTTGANNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRRGLVSVEDDSTFDTSIACDSCDLWYHALCVGFNPEMATEDSWLCPRCVSTEVKHKADAILKQNFSGDCSTGSDRTTIDASFSGRVSVSVADEGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSISHNRYSEMNLVHTVSSEPTERPLEFSPIRESAHALFRPEQGNMSNVQAPSCSFSQTSKVPENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSATKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANISLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGKVLSSSIISKEASSRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTDICNIDTEESLNRTGHSDLRASPRQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDLHDENGQNLHTVTSSQQSKHTGDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPADTNMPDEGAGHVGGAKEVKVPASDLCNHSCQANSSPTEGKKDSKIPILDSTEDRSCSNNAELNNRLPNRNKLSTDPAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >OGLUM12G07230.2 pep chromosome:ALNU02000000:12:6647473:6656029:1 gene:OGLUM12G07230 transcript:OGLUM12G07230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNFPLPFSPSPKKEKSSSIGALFSPRSGPCNPDRTAPRKKKTQVRCGSRGRTDRAAPPARHGRTSTDRGETTRRDLRLRLHPPPLCLLAGHLLTPARLVGAGVGPPSRGRLLCPVATRRLIASASDNPNRGDSAPHPISCGARCWALLSGELRRLGICSWLISSDVDADGCGWRVEWSSSSRRHGRSETLSLIEEFWIAVNTGFAIHALTTGLPSLIAAPFAKVYDTTGANNEDEYSLTSGDDDWLQGESNTLSFPSYYIDAEAVVCLDDGDCKIRRGLVSVEDDSTFDTSIACDSCDLCGDCSTGETALVVSMVGVHSEIRDGLKTEQKGLNSNSYPSYSKDDLLNETVADAKILRNSDGFSISHNRYSEMNLVHTVSSEPTERPLEFSPIRESAHALFRPEQGNMSNVQAPSCSFSQTSKVPENSGEENALFRNNARSTVIESPQLSSPAGNVARSNDIDMIDAGEVQQMRSAPVGQLTSMHDGESISDMEEVKYKESGDEIGHPAKRARSEVPEQEINMIGNSGISPTDDRTTSSATKAAIGDTPEFLRSDKSVPDIMSIVEGEDYSRDPGRELARPVGRRAGDKPGLRVKKIFRKEEGKKSTAVVQKLQQEIREVVRDTGTTILEKENAFDEKLLTAFRAAIGKPADEPAGRANISLIKSRRALLQKGKIRENLTKKLYGSSAGRRRSAWHRDWEVEFWKHRCSSGINPEKIETLQSVLQLLKKSSEMDKEIAQDKKGVNTDSILSRVYLADASVVPRKDDVKPLSAVAGCPPNNSKAPNKPTPGTETTKISSPNSTGKVLSSSIISKEASSRRENKNSQAAPNQQNQSAGDIKHDKRKWALEVLARKNASSITSKDKSEVADDLKGNYPLLAQLPVDMRPQLTTGRHNKVPLSVRQAQLYRIAEHYLQKANLSVIRRCADTELAIADAVNVEKDISERSSSKSVYVNLCSQATRQPAKGKSENDASDLIEKSESENGPLPQQVQTENTDICNIDTEESLNRTGHSDLRASPRQTIKGEIGGDLVPEKTVGFSNVEEALKMAGLLDSPPNSPERKNTIIEGGCIVDTDSEPSKKLQSTSDSIVRDISSHKDADDSSMLIDLHDENGQNLHTVTSSQQSKHTGDEHQKLILGEESTDVTANKIVSVNLDEAGCSAEHGNSNGSNKEIPADTNMPDEGAGHVGGAKEVKVPASDLCNHSCQANSSPTEGKKDSKIPILDSTEDRSCSNNAELNNRLPNRNKLSTDPAQSGDDSKKSARDPDNHKPDSSGSIHKKVEMFVKENIRPLCKSGVITVEQYRWAVAKTTDKVMKYHSDAKNANFLIKEGDKVKKLALQYVEAAQQKVT >OGLUM12G07240.1 pep chromosome:ALNU02000000:12:6657092:6662618:-1 gene:OGLUM12G07240 transcript:OGLUM12G07240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKNKLEEGMLQIRAVLDVINPDQLKEHSVALDQWFWKLRDAVEEAEDAIDEIEYYELEEKAKDYKVSDWGSPLAKWKHKVVKSIKDVNVLDKSVNQFTHRATLKRLKKAMDGLDKAAAGTTKFLEVVRCLNGATSSSQKLEHLASSNDRQTGSMLTADKFVGRESEKKRILEWLTKDTSVKESEIVPRANQIPIFSVVGHGGMGKTTLAQSICQQDEVVNHFMVIWVTISTSFDATSVTRKILESATRKEPSNKHLEALQQDLKEELNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLKTRMASVADMAAKAMGVARDCLILGGLEEDENIELFNHHDYSHFKKSGEKIARKLGGCPLDREFHKEDLVHMWMCSGLISQATSDSLNFEDIGEHILADLTRKSFFDLKSRIYRYGLDQEEYYVMHDLMHELARNVSYGECARITSPVKFKDIRDTDTMYTLQKIIESTKSLRLFHSRLRITFDFSSKFGKLKHLRYINIVDMSSKELAVCNKTREIYGEPLPLESIQRLAIYHVCGP >OGLUM12G07240.2 pep chromosome:ALNU02000000:12:6657092:6662618:-1 gene:OGLUM12G07240 transcript:OGLUM12G07240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMKNKLEEGMLQIRAVLDVINPDQLKEHSVALDQWFWKLRDAVEEAEDAIDEIEYYELEEKAKDYKVSDWGSPLAKWKHKVVKSIKDVNVLDKSVNQFTHRATLKRLKKAMDGLDKAAAGTTKFLEVVRCLNGATSSSQKLEHLASSNDRQTGSMLTADKFVGRESEKKRILEWLTKDTSVKESEIVPRANQIPIFSVVGHGGMGKTTLAQSICQQDEVVNHFMVIWVTISTSFDATSVTRKILESATRKEPSNKHLEALQQDLKEELNSVKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLKTRMASVADMAAKAMGVARDCLILGGLEEDENIELFNHHDYSHFKKSGEKIARKLGGCPLVIKVTCGHLQGNMSVDYWENFLHIHLEHFKGSDIDIMKVLKLSYQHLPTELLICFSLFPEDREFHKEDLVHMWMCSGLISQATSDSLNFEDIGEHILADLTRKSFFDLKSRIYRYGLDQEEYYVMHDLMHELARNVSYGECARITSPVKFKDIRDTDTMYTLQKIIESTKSLRLFHSRLRITFDFSSKFGKLKHLRYINIVDMSSKELAVCNKTREIYGEPLPLESIQRLAIYHVCGP >OGLUM12G07240.3 pep chromosome:ALNU02000000:12:6656874:6675302:-1 gene:OGLUM12G07240 transcript:OGLUM12G07240.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDYNYINITGSSLFIMGKECYGESEETKRRLLYADHEKIFSWLDESKHVQGIGSVSRWFSEQMFCGR >OGLUM12G07240.4 pep chromosome:ALNU02000000:12:6662652:6675302:-1 gene:OGLUM12G07240 transcript:OGLUM12G07240.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTDYNYINITGSSLFIMGKECYGERIQQPQIEYETLHNPFQKGKQFIHIAASPASTEAFPSNQQFSTPPWLLLLQWCLQGNRWQLLSSRRLSPGP >OGLUM12G07250.1 pep chromosome:ALNU02000000:12:6676251:6679028:-1 gene:OGLUM12G07250 transcript:OGLUM12G07250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAAVVFAGKSVAAPVIKAIITRALNYLDGYLAKSMEEMKNKLEEGMLQIQAVLDVVNPDQFKEHSVALDLWFWKLRDAVEEAEDAIDELEYYELEEEAKDYKVSDWGSPLAKWKHKVVKSIKHVSVLDKSVNQFTHRGTLKRLKKAMDGLDKAAAATSSSEKLEHLASSNDRQTGSMLTADKFVGRESEKKRIIEWLTKDTSVKESEIVPSANQIPIFSVVGHGGMGKTTLAQSICQQDEVVNHFMVIWVTISTSFDATSVTRKILESATRKEPSNKHLEALQQDLKEELNSAKFLLVMDDVWEEGKRDEWEKLFAPLRSGKNGSKILLKTRMASVADMAAKAMGVARDCLILGGLEEDENIELFNHHDYSHFKKSGEKIARKLGGCPLVIKVTCGHLQGNMSVDYWENFLHIHLEHFKGSDIDIMKVLKLSYQHLPTELLICFRFCSLFPEDREFHKEDLVHMWMCSGLISQATSDSLNFEDIGEHILADLTRKSFFDLKSRVYENDPEPHEYYVMHDLMHELARNVSYGECARITNAVRFIDIQDTVRHICISCIPQFSIDVVKKISQFKNLRSIIIDTESRLGKDTKYTLQKIIESTKSLRLFHSRLLIRFDFSSKFGKLKHLRYIDIVDISSIGIYHIAKLYHLLVLSFLCPSTVFPCRRSLLCVAKQVRFMVNLYRLRHVAYSFNESYRCKFSGILPISRLESIRRLSIYHVKESRGNKVSLIRNLHCLRELYVKGVENIENHEEAINAKLNEKQHLHSLSLEWSPHTGEHDTVDELVLQHLEPHTNIRNLRICGYEGCIVPFWIENLSVRNLVSAKLQSCINWEQLPSLGELALLKYLSLINLPKLQQIGQHSHMSSSSSMELLLPPSLHTLEIEQCPKLQELLFYLLVCYHFK >OGLUM12G07260.1 pep chromosome:ALNU02000000:12:6686028:6686289:-1 gene:OGLUM12G07260 transcript:OGLUM12G07260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKLGEGMLHIQAVLDVVNPDHFKDHSVVLDRWFWNLRDAIEEAEDIIYLNKNIKKKLDYGSK >OGLUM12G07270.1 pep chromosome:ALNU02000000:12:6686331:6688503:-1 gene:OGLUM12G07270 transcript:OGLUM12G07270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGHQLTHGPNVSVSYTPLLLKPTSRVATAAAAAAAVHHCRSHRCSAPPTSNSHRRRRLPSDLAHAPALFAGPSEGGRSQDWIHPQSWLRLLTTSTPPLRASIRSATTSFNSPSNQQFSTLRWLLRLQWCLQGNPWQLLSSGRLSPGP >OGLUM12G07280.1 pep chromosome:ALNU02000000:12:6690735:6697967:1 gene:OGLUM12G07280 transcript:OGLUM12G07280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWKSARLHELLAEEEEQVPAMASSKPILYGAWISSCSHRIRIVLNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDLVVSDSLAIALYLEDKYPQHALLPKDLKKKALNLQITNIVCSSIQPLQGYAVIGLHEGKLSPDESLQLVQHYIDKGFKAIEKLLEGSNFKYATGDEVQLGDVFLAPQIHAGINRFQIDITKYPILARLHDTYMEIPAFQAALPKNQPDAPSC >OGLUM12G07280.2 pep chromosome:ALNU02000000:12:6690735:6697967:1 gene:OGLUM12G07280 transcript:OGLUM12G07280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEKKPVLYSEWMSSCSYRVRIALNLKGIDYEYRAVTRGDPDYGKINPIKYVPALVDGDFTVSDSLAIILYLEDKYPQHPLLPQDLKKKALNMQIANIVCSSIQPLQCYAVIGLADGKTSANESLQIVQHYTDKGFRAIEKLLEGCRSKYATGDEVQLADVFLAPQIHAGITRFQIDMSKYPILARFYKAYMELPAFQAAVPENQPDAPSS >OGLUM12G07290.1 pep chromosome:ALNU02000000:12:6706176:6713373:1 gene:OGLUM12G07290 transcript:OGLUM12G07290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSPWIIIFLLIVLVQVFVASADTNAQDTSGLKGIAGSWDSAPSNWAGNDPCGDKWIGIICTGNRVTSMLKTVKFRTVRDAFWGHSVLIRITVPGLILQQELARPPSFNHWNLEQAPKLNSCRLRLYRSLNSNKFTGSIPPSLGGLSKLYWFDLADNQLTGGLPISNATSPGLDNLTSTKHLLLDNNKFSGSIPSTLGLLNTLEVLRFDNNAQLTGPVPTNLNNLTKLAEFHLANSNLTGPLPDLTGMSSLSFVYLENLRISGEVPQSLFSLPSIQTLRLRGNRLNGTLNIADFSSQLQLVDLRDNFITVLTVGTQYKKTLMLSGNPYCNQVNDDAHCKATGQSNPALPPYKTTSNCPALPPQCLSTQQLSPTCICSVPYRGTLFFRSPGFSDLGNSSYFIQLEGTMKTKFLNLSLPVDSIAIHDPFVDANNNLEMSLEVYPSGKDQFSEQDISGIGFILSNQTYKPPSNFGPYYFLGQTYSFANGALQTSKSNTNHIPLIVGASVGGAAVVAALLALTICIARRKRSPKQTEDRSQSYVSWDIKSTSTSTAPQVRGARMFSFDELKKVTNNFSEANDIGTGGYGKVYRGTLPTGQLVAVKRSQQGSLQGNLEFRTEIELLSRVHHKNVVSLVGFCFDQGEQMLVYEYVPNGTLKESLTGKSGVRLDWKRRLRVVLGAAKGIAYLHELADPPIIHRDIKSSNVLLDERLNAKVSDFGLSKLLGEDGRGQITTQVKGTMGYLDPEYYMTQQLTDRSDVYSFGVLLLEVITARKPLERGRYVVREVKEAVDRRKDMYGLHELLDPALGASSALAGLEPYVDLALRCVEESGADRPSMGEAVAEIERIAKVAGAGGAAAAESAASDSMSYAASRTPRHPYGGGGGDSASEYSGGGLPSMRVEPK >OGLUM12G07300.1 pep chromosome:ALNU02000000:12:6715750:6716106:-1 gene:OGLUM12G07300 transcript:OGLUM12G07300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQMFREQQMQRGGRHHQHHTTREQEQQQKQQQRRRRLMNNAANGGGGGDGGRSYFSTEAILVLACVTVSLLVLPLILPPLPPPPTLLLLLPVCLLALLVVLAFMPTDMRTMASSYL >OGLUM12G07310.1 pep chromosome:ALNU02000000:12:6737691:6745340:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.2 pep chromosome:ALNU02000000:12:6737748:6745506:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.3 pep chromosome:ALNU02000000:12:6740372:6745340:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVEQMVGRRFLKDMGRLGGSGIGGLLLQGRNRNQSRGCAAARGQAAQKGGSNVMGFGPGVLGSLDRIRILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.4 pep chromosome:ALNU02000000:12:6740372:6745506:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVEQMVGRRFLKDMGRLGGSGIGGLLLQGRNRNQSRGCAAARGQAAQKGGSNVMGFGPGVLGSLDRIRILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.5 pep chromosome:ALNU02000000:12:6740372:6745340:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.5 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVEQMVGRRFLKDMGRLGGSGIGGLLLQGRNRNQSRGCAAARGQAAQKGGSNVMGFGPGVLGSLDRIRILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.6 pep chromosome:ALNU02000000:12:6740372:6745506:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.6 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRVEQMVGRRFLKDMGRLGGSGIGGLLLQGRNRNQSRGCAAARGQAAQKGGSNVMGFGPGVLGSLDRIRILEKVKATYDLPVVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMANSAEKIRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWLREANCPVVADVTHALQQPAGKKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEPLRNLEELLEELIAIARVTKGKKPLKIDLTPFKE >OGLUM12G07310.7 pep chromosome:ALNU02000000:12:6737748:6740282:1 gene:OGLUM12G07310 transcript:OGLUM12G07310.7 gene_biotype:protein_coding transcript_biotype:protein_coding MDASSVALYGQLKAAQPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGLPLVFKSSFDKANRTSSKSFRGPGLEEGLKSFGSVPMGTEIAVVPNISEDLAEISKKMMDLAAQLRALAAHRASGLSQGCQTIIPACGILAFTGAHLQTARKSAATSGDVAPWQPVADSLLYSVVPTTMARDGLQAPSTDGGSANGWCMAGASVGNFVAAHACAAWLTIQGRWEWRSATTWRQRDSWRGASASSGNGANSGCDRFNLAQEGSDVRTA >OGLUM12G07320.1 pep chromosome:ALNU02000000:12:6746737:6750222:1 gene:OGLUM12G07320 transcript:OGLUM12G07320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRKALNLFDSMEGGGGGDCRRPDSDVHEGTAVASRPSSSKRKATEDLEEDLQPEEESRPSPPAKGSSCLPAACHEDGVIPAFVIPGSKHRDGSIYRKDAHYWHGLYHLDDTSETRLEPMTPSYSEQDCKPCVTNCQWHIGGSMMQIFSIKLAEISNFATRPAGAGAIQLYGFMAVRDLLDPLRNYVFNRTRDNPFTICDISYPFIQMTGPKRGIAMNARVMIEYDMRIKRGESEQDDLVLVDGAATFSEITNFIPYIYRINGDCGMAVDIRLAHFSRAIEATAQVRVYELKDGCGSLNLTITCHVSYMPPQIKLFQGPIDKLGDQNRFVVAAKLNTLMITEFKFVHQHGSISQRFESRVMPHGSKFHCAKLADLATIALLEPMTPSYSEQDCRPCVTDCQWHIGCSMMQIFSLEIAEISNFATGAAGAGAIQLYGFMAARDLLDPLRNYIFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDMRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRINGDCGMAVDIRLAHFILAIEATLQVRVYELKDGGGSLNLTITCRVSRITPQIKLFQGPIDKLRDQNRFVVVATLNTLIITEFKLTHQHGSISWRFESRVVPHGSMSHCATFADLATIGVEIFWSILPTSLQEGF >OGLUM12G07320.2 pep chromosome:ALNU02000000:12:6746737:6750222:1 gene:OGLUM12G07320 transcript:OGLUM12G07320.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNRKALNLFDSMEGGGGGDCRRPDSDVHEGTAVASRPSSSKRKATEDLEEDLQPEEESRPSPPAKGSSCLPAACHEDGVIPAFVIPGSKHRDGSIYRKDAHYWHGLYHLDDTSETLLEPMTPSYSEQDCRPCVTDCQWHIGCSMMQIFSLEIAEISNFATGAAGAGAIQLYGFMAARDLLDPLRNYIFNRTRDDPFTIRDVSYPFIQMTGPKRGITMNSRVMIEYDMRIKRGENEQDDLVLIDGAATFSEITNFIPYIYRINGDCGMAVDIRLAHFILAIEATLQVRVYELKDGGGSLNLTITCRVSRITPQIKLFQGPIDKLRDQNRFVVVATLNTLIITEFKLTHQHGSISWRFESRVVPHGSMSHCATFADLATIGVEIFWSILPTSLQEGF >OGLUM12G07330.1 pep chromosome:ALNU02000000:12:6756342:6758216:-1 gene:OGLUM12G07330 transcript:OGLUM12G07330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWGGLGQAATVAQLVGADVGGLISTIIQAAAAARQNKEECEKLARLVVMIADMLQDAKVRQPLAGLEGTLREAHELVAYCQRRSRAYLFFRARAVANKLRDVQSSIDSYLQIFAFTSHIELTRRLNQIRDLLTPCDTPALLSPSPSAGRSDTPQFVDAANIIRRLSHTVQEFTFEELVAATDNFAQEIGRGGFGTVCLGVLVDGREVVIKRKTMGSKSEEEFLAEVTILSQLRHRHIVRLLGWCVVAASEEEDEEERLLVMEYMNNGSLYDHLHSALPKWSSSPVRASWRMRIEILLGVSRAIEYLHSYTVPPVIHRDIKPSNILLDSSWAPRLSDFGTAVSCDDAERCCVVAGLTPKGTPGYFDPEYSSTRVLKPTSDIYSSGVVMLEVLTGKKAMLVSEKKSLVCFALPIIQANKVWKVMDCRPAMEPTPRQFQAANLVAETAARCLLLKGDKRPDISEVVARLETALDLVRSDGR >OGLUM12G07340.1 pep chromosome:ALNU02000000:12:6805823:6809105:1 gene:OGLUM12G07340 transcript:OGLUM12G07340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRINSKSKCFSRRQGRQFKKKKNPPFASMAKNPKAAKNRRPTTRRSRAAAAATATASADIEDLAAPSGSRAVVGPTTAPVAAAAVRAELLRWYDANRRDLPWRRAAEPAGRGSGRGEEQRAYAVWVSEVMLQQTRVPVVVDYYSRWMARWPTVDSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVISRLYAIPDNPKESSTVKRFWQLTGDLVDPSRPGDFNQAMMELGATLCSKTKPGCSQCPVSSNCQALTLSNQNASVKVTDYPRVVPKAKPRSDFAAVCVVQIAQCLDEGIADSTGNDNLFLLIKRPEEGLLAGLWEFPSVLVSAEKTDALNRRKEMDKYLKQVLIIDVKRRSSVILREDIGQHVHIFSHIRLTMFVELMILNLKDDGDELCLEGQDSSKLRFIDENAVESMGLTSGIRKVYNMVKAFKEKKLKEQRQVPTRKRSRRLK >OGLUM12G07350.1 pep chromosome:ALNU02000000:12:6810719:6813745:-1 gene:OGLUM12G07350 transcript:OGLUM12G07350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSKRVAHHLTSLLTVMHILLQVQATPTLAVRTETSPETTPIPCLPDQASALLRLKRSFNATAGDYSTTFRAWVPGSDCCRWEGVHCDGADGRVTSLNLGGHHLQADSVDPALFRLTSLKHLDLSGNNFSMSQLPVTGFEHFTDLTHLDLSNTNIAGEVPAGIGSLMNLVYLDLSTSFYTIVYDDENSMMKIPALDSFWQLKAPNMETLLANLTNLEELRMGMVDMSGNGEGWCDHIAKSTPKLKVVSLPWCSLSGPICASLSAMRSLNTIELHYNHLSGLVPEFFTSFSNLSVLQLSKNKFQGPFPHIIFQHKKLRTIDLSNNPGITGSLPNFSQESSLENLFVSSTNFTGTIPSSINNLKSLKKLDLGASGFSGALPASLGSLKYLDLLEVSGLHLVGSMPSWISNLTSLTALQFSNCGLFGQVPSSIGNLSKLTKLALYNCNFSGELPLEILNLTQLQTLQLHSNNFVGTMELTSFSKLKDLSVLNLSNNKLFVVDGENSSSLVPFPKIKLLRLASCSISTFPNILRHLHEITSLDLSYNQIQAAIPQWAWETWKDLYFLVLNISHNNFTSLGSDPLLPLQIEFFDLSFNSIEGPIPVPQGGSTMLDYSSNQFSSMPLHYSTYLGDTLVFKASKNKLSGYIPPSICTTVRKLQLIDLSYNNFSGSIPSCLMEDVTELQVLSLKGNRLVGKLPDSIKEGCALEALDLSDNLIEGKIPRSLVACRNLEILDIGSNQISDSFPCWMSKLPKLQVLVLKSNKFTGQVMDPSYAVDGNTCEFTKLRIADMASNDFNGTLPEAWFKMLKSMMTRSDNETLVMENQYSHGQTYQFTAAVTYKGNYMTFSKILRTFVLIDVSNNTFHGNIPETIGELVLLHGLNMSHNALTGSIPTQFGRLNQLESLDLSSNELSGEIPKELASLNFLSTLNLSYNMLVGRIPNSYQFSTFSNNSFLRNTGLCGPPLSRQCDNSKEPTTMPYTSGKSIDVVLVLFTALGFGISFAMTILIV >OGLUM12G07360.1 pep chromosome:ALNU02000000:12:6811542:6812110:1 gene:OGLUM12G07360 transcript:OGLUM12G07360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISKFLQATRDLGIFPSIKLSLKSNASSAQPSLILSVVQIDGGIYPESLFLEALKTSVSPRYGPFNAVEAEIKEFYLKRKQRIRSKTCKVVV >OGLUM12G07370.1 pep chromosome:ALNU02000000:12:6870482:6870940:1 gene:OGLUM12G07370 transcript:OGLUM12G07370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGLPQCRSAKAPTCHLPSCARCCSQLSEQQDPVDMSPRLPDLAASSSWPLDPLVFWLMNKGKRSSHDGEYVTNEEESGGRRGWRRWRREGPSPKPPSSSFTPLSIGYGGSILRRASLHWIRWRVATCSRPPVPACEVETETEVVENRRSR >OGLUM12G07380.1 pep chromosome:ALNU02000000:12:6897691:6900735:-1 gene:OGLUM12G07380 transcript:OGLUM12G07380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRLVRPHHLAKPLLTMLHILLQVQAIAALTDDATAPVIQCLPDQASALLRLKNSFNKTAGGYSTAFRSWITGTDCCHWDGVDCGGGEDGRVTSLVLGGHNLQAGSISPALFRLTSLRYLDLSGNNFSMSQLPVTGFENLTELTHLDLSDTNIAGDVPAGIGSLVNLVYLDLSTSFYIIYYDDENKMMPFASDYFWQLSVPNVETLLANLTSLEELHMGMVDMSGNGERWCDDIAKFAPKLQVLSLPYCLLSGPICTSLSSMNSLTRIELHYNHLSGPVPEFLAGFSNLTVLQLSKNKFEGLFPPIIFQHKKLVTINITNNPGLSGSLPNFSQDSKLENLLISSTNFTGIIPSSISNLKSLTKLDLGASGFSGMLPSSLGSLKYLVLLEVSGLQLAGSMAPWISNLTSLTVLKFSDCGLFEEIPSSIGNLKKLSMLALYNCKFSGKVPPQIFNLTQLQSLQLHSNNLAGTVELTSFTKLKNLSVLNLSNNKLLVLRGENSSSLVPFPKIKLLRLASCSISTFPNILKHLHEIAALDLSHNKIQGAIPQWAWETWRGMYFLLLNVSHNNITSLGSDPLLPLEIDFFDLSFNSIEGPIPVPQEGSTMLDYSSNQFSSMPLHYSTYLGETFTFKASKNKLSGNIPSICSARRLQLIDLSYNNLSGSIPSCLMEDVTALQILNLKENKLVGTIPDNIKEGCALEAIDLSGNLFHGKIPRSLIACRNLEILDIGNNEISDSFPCWMSKLPKLQVLVLKSNKFTGQIMDPSYTVDGNSCEFTELRIADMASNNFNGTLPEAWFTMLKSMNAISDNDTLVMENQYYHGQTYQFTAAVTYKGNYITISKILRTLVLIDFSNNAFHGTIPETIGELVLLHGLNMSHNALTGPIPTQFGRLNQLESLDLSSNELYGEIPKELASLNFLSILNLSYNTLVGRIPNSYQFSTFLNNSFLGNTGLCGPPLSKQCDNPRESTVMPYVSEKSIDVVLVLFTALGFGASFAITILIVWGRHMKNQR >OGLUM12G07390.1 pep chromosome:ALNU02000000:12:6986627:6989662:1 gene:OGLUM12G07390 transcript:OGLUM12G07390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKRVAHHLPSLLLTAMYILLQVQATTNTARTVVPPVRCHPDQASALLRLKHSFNATAGDYSTAFQSWVAGTDCCRWDGVGCGGADGRVTSLDLGGHQLQAGSVDPALFRLTSLKHLNLSSNNFSMSQLPVITGFERLTELVYLDLSDTNIAGELPASIGRLTNQVYLDLSTSFYIVEYNDDEQMRYHSESVWQLSAPNMETLLENLTNLEELHMGMVDLSGNGERWCENIAKYTPKLQVLSLPYCSLSGPICASFSALQALTMIELHYNHLSGSVPEFLAGFSNLTVLQLSKNKFQGSFPPIIFQHKKLRTINLSKNPGISGNLPNFSQDTSLENLFLSNTNFTGTIPSSIINLISVKKLDLGASGFSGSLPSSLGSLKYLEMLQLSGLQLVGTIPSWISNLTSLTVLRISNCGLSGPVPSSLGNLKKLTTLALYNCNFSGTVLPQILNLTRLQTLLLHSNNFAGTVDLTSFSKLKNLTFLNLSNNKLLVVEGKNSSSLVSLPKLQLLSLASCSMTTFPNILRDLPDITSLDLSNNQIQGAIPQWAWKTWKGLQFIVLNISHNNFTSLGSDPFLPLYVEYFDLSFNSIEGPIPIPQEGSSTLDYSSNQFSSMPLRYSTYLGETVTFKASKNKLSGNVPPLICTTARKLQLINLSYNNLSGSIPSCLLESFSELQVLSLKANKFVGKLPDIIKEGCALEALDLSDNSIEGKIPRSLVSCRNLEILDIGSNQISDSFPCWLSQLPKLQVLVLKSNKLTGQVMDPSYTGRQNSCEFPALRIADMASNNLNGMLMEGWFKMLKSMMARSDNDTLVMENQYYHGQTYQFTATVTYKGNDRTISKILRNLVLIDVSSNAFHGAIPDTIGELVLLRGLNLSHNALTGPIPSQFGRLDQLESLDLSFNELSGEIPKELASLNFLSTLNLSNNTLVGRIPDSYQFSTFSNSSFLGNTGLCGPPLSRQCDNPEQPIAIPYTSEKSIDAVLLFTALGFGISFAMTILIVWGSHMRKRH >OGLUM12G07400.1 pep chromosome:ALNU02000000:12:6986815:6990593:-1 gene:OGLUM12G07400 transcript:OGLUM12G07400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTTSVPSPNPPSGGGPWTREKGRREGLVAATKARQVRGERVGGLSRVTDGRLAPAFAACHRKRMRFAGGDLISPELSNHFGQKGLQNSVKPTKQNKFTNVVQINGGTFPESLFLDALKVTVSPRYGPFNAVETEIEVFHIKREKRIRSEAQAGGEVQVDLVRKAPDASWQLARNVGVREIKINQFGETLESGDHRELGHAKVVAGEVEVNLNNAGSTLPACNWWPPRSSEVTRPSAPPQPTPSQRQQSVPATHDWKAVE >OGLUM12G07410.1 pep chromosome:ALNU02000000:12:6998168:7004705:-1 gene:OGLUM12G07410 transcript:OGLUM12G07410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAYHLMPPLAMLLILGLADHASSTEAPAACLPDQASALLQLKRSFNATIGDHSAAFRSWVAGTDCCSWDGVRCGGADGRVTSLDLSHRDLQAASGLDDALFSLTSLEYLDLSSNDFSKSKLPATGFEKFTELTHLDLSNTNFAGLVPAGIGRLTRLSYLDLSTTFFVEELDDEYSITYYYSDTMAQLSEPSLETLLANLTNLEELRLGMVVVKNMSSNGTARWCDAMARSSPKLRVISMPYCSLSGPICHSLSALRSLEVIELHYNHLSGPVPEFLAALPNLSVLQLANNMFEGVFPPIIFQHEKLTTINLTKNLGISGNLPCFSGDSSLQSLSVSNTNFSGTIPSSISNLRSLKELALGASGFSGVLPSSIGQLKSLSLLEVSGLELVGSIPSWISNLTSLNVLKFFSCGLSGPIPASIGNLRKLTKLALYNCHFSGVIAPQILNLTHLQYLLLHSNNLVGTVELSSYSKIQNLSALNLSNNKLVVMDGENSSSVVSYPSIILLRLASCSISSFPNILQHLHEITFLDLSYNQIHGAIPRWAWKTLNLGFALFNLSHNKFTSIGSHPLLPVYIEFFDLSFNNIEGTIPIPKEGSVTLDYSNNRFSSLPLNFSTYLTNTVFFKASNNSISGNIPPSICDRIKSLQLIDLSNNNLTGLIPSCLMEDADALQVLSLKDNHLTGELPDNIREGCALSALDFSGNSIQGQLPRSLVACRNLEILDIGNNKISDSFPCWMSKLPQLQVLVLKSNRFIGQILDPSYTGGGNNCQFKKLQFADMSSNNLSGTLPEEWFKMLKSMIMVTSDNDMLMKEQHLYYRGKMQSYQFTAGISYKGSGLTISKTLRTLILIDVSNNAFHGRIPRSIGELVLLRALNMSHNALTVKYHLLHILVSWNLHICVSLKIGMKTVWKFPRSLAAISDLHRLFQLVSEDLITPSPPGGWIFDFGKSFASQVSDLFGCPVHFSPYFNSSPFILVVDFVRFNFRLTVKSVAIALQACLGGTPHGFNVLSLKANCFSFQVCNKSVGLYVNSFRDFTCKDFHVRFFLWGNGGPNWRREFDLWEKEENDLWSVVSYKKKVEHSVNLRVNKAVKSHMNHSLGKRQIDKPSVFKRLFLPNGDPFPVHQCSSSGTVVPPLVIQPGSDSKFIVHSPKIAHTVTSAIIPEVIDHSSPRISPSASLNSGQSRESLFCNRCLAHGHGPANCRSLIHCRSCFKYGHFARACLAQKSSRVWRVKPFWKAKPSGSSVFVVSDFYFLGSHDPPPSLEFMDNSPSPIKRRRASPIDSRVVRALPFSGVVNDDSERVIEIVDKVVQPRAKKAKNKVPISNEHLRRSPRFVGQEKVDLGFDTPKKKTKVQPISKALSLGPVGKSSKDLPPTIPIQQLQKIGVDKCGMLPEEVAQDKLLKPKK >OGLUM12G07420.1 pep chromosome:ALNU02000000:12:7024728:7025495:1 gene:OGLUM12G07420 transcript:OGLUM12G07420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFIPSMGTLIWSEDLDVEPTVSKPHYSINLHSTPHTSFRDLCDPSRFVATHGCRTIQQALSTSKELTWTQMATLKLWEISRRKARHN >OGLUM12G07430.1 pep chromosome:ALNU02000000:12:7025899:7026204:1 gene:OGLUM12G07430 transcript:OGLUM12G07430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGVNMRKELGTVFHNGGSHEMGLSNGYGVFNLANGSHRVGKAGQTLQSRTIVEYSVLPVKEIYHQGDSYS >OGLUM12G07440.1 pep chromosome:ALNU02000000:12:7035098:7035304:-1 gene:OGLUM12G07440 transcript:OGLUM12G07440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRTTVDRRLAAVLVMMLFVLAAALLEAPVMASAARVLLQSGQLPTPYPTCNPGQYPCPSRTTVP >OGLUM12G07450.1 pep chromosome:ALNU02000000:12:7036993:7037199:-1 gene:OGLUM12G07450 transcript:OGLUM12G07450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALRATGGRRLAALLVLMLFVLAAALLEAPVMASAARVLLQSGLLPTPYPTCNPGQYSCPPPTTVP >OGLUM12G07460.1 pep chromosome:ALNU02000000:12:7065633:7065920:1 gene:OGLUM12G07460 transcript:OGLUM12G07460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRATARGAGLPAPFVVVVVVSVLLLLLGSLPLHEVAAAEDEDEIGGGGGGARGPHWRGCCNQYKERGETGGSYTGRGRFGPCIPTQPCPKPIP >OGLUM12G07470.1 pep chromosome:ALNU02000000:12:7071936:7074869:1 gene:OGLUM12G07470 transcript:OGLUM12G07470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPIQCLPGQAAALLQLKRSFDATVGDYFAAFRSWVAGTDCCHWDGVRCGGDDGRAITFLDLRGHQLQAAVLDTALFSLTSLEYLDISSNDFSGSQLPATGFELLAELTHLDLSDDNFAGQVPAGIGHLTNLVYLDLSTSFLDEELDEENSVLYYTSYSLSQLSEPSLDSLLANLTNLQELRLGMVDMSSNGAQWCDAIARFSPKLQIISMPYCSLSGPICQSFSALKSLVVIELHYNYLSGPIPEFLADLSNLSVLQLSNNNFEGWFPPIIFQHKKLRGIDLSKNFGISGNLPNFSADSNLQSISVSNTNFSGTIPSSISNLKSLKELALGASGFSGELPSSIGKLKSLDLLEVSGLELVGSMPSWISNLTSLTVLNFFHCGLSGRLPASIVYLTKLTKLALYNCHFSGEVANLILNLTQLETLLLHSNNFVGTAELASLSKLQNLSVLNLSNNKLVVIDGENSSSEATYPSISFLRLSSCSISSFPNILRHLPEITSLDLSYNQIRGAIPQWVWKTSGYFSLLNLSHNKFTSTGSDPLLPLNIEFFDLSFNKIEGVIPIPQKGSITLDYSNNQFSSMPLNFSTYLKKTIIFKASKNNLSGNIPPSICDGIKSLQLIDLSNNYLTGIIPSCLMEDASALQVLSLKENNLTGELPDNIKEGCALSALDFSGNLIQGKLPRSLVACRNLEILDIGNNQISDSFPCWMSKLPQLQVLVLKSNRFIGQMDISYTGDANNCQFTKLRIADIASNNFSGMLPEEWFKMLKSMMTSSDNGTSVMESRYYHGQTYQCTAALTYKGNDITISKILTSLVLIDVSNNDFHGSIPSSIGELALLHGLNMSRNMLTGPIPTQFGNLNNLESLDLSSNKLSNEIPEKLASLNFLATLNLSYNMLAGRIPQSSHFSTFSNASFEGNIGLCGAPLSKQCSYQSEPNIMPHASKKDPIDVLLFLFTGLGFGVCFGITILVIWGSNKRKQQA >OGLUM12G07480.1 pep chromosome:ALNU02000000:12:7078262:7081655:-1 gene:OGLUM12G07480 transcript:OGLUM12G07480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGLLPVCMSIVVSLISSSTVVSAASSGRSNSSSDMDDLAALLAFKAEVSDPLGILAGNWTVGTPFCRWVGVSCGGRRHQQQRVTAVELPGVPLHGGLSPHLGNLSFLTVLNLTKTNLTGSLPVDIGRLSLLRILDLSFNALSGGIPAALGNLTRLQLFNLESNGLSGPIMADLRNLHDLRGLNIQTNHLTGFIPNDLFNNTPLLTRLVMANNGLLGPIPGCIGALPRLEVLILQNNNLTGPVPPAIFNMSTLRTIALDSNGLTGLIPSNDSFSLPVLQWFSIDTNSFTGQIPQGLAACLHLKHLSLRQNLFEGALPPWLGKLRNLNFVGLGWNQLDAGPIPAGLSNITMLNTLDLNWCNLTGDIPSDIGQLGQLSQLYLSRNQLTGPIPASLGNISSLQRLVLSVNQLDGSVPASIGNINSLTALVIAQNRLQGDLKFLSTLSNCRQLSILQINSNYFTGSIPDYVGNLSTTLQAFVAYGNRVSGGIPSSISNLTSLEMLDISESQLQGAIPESIMMMENLQLIQLEENRLSGSIPSNIGMLMSVEKLYLQSNELSGSIPNGIGNLTKLGKLLLSDNQLSSTIPSSLFHLGSLFQLDLSRNLLTGALPADIGYLKQINVLDLSANRFTSSLPESIGQIQMITYLNLSVNSIQNSIPDSFRSLTSLQTLDLSHNNISGTIPKYLANFSILTSLNLSFNKLQGQIPEGGVFSNITLESLVGNSRLCGVARLGFSPCQTTSSKRNSHKLIKFLLPTIIIVVGAIACCLYALLKKKDKHQKVSGGNVDKIDHQLLSYHELVRATDDFSDDNKLGSGSFGKVFKGQLDNGHVADFGIARLLLGDDNSMISASMPGTVGYMAPEYGSLGKASRKSDVYSYGIMLLEVFTRKRPSDAMFVGELSLRLWVRRAFPADLIHVVDGQLLQDGSSCTNTFHGFLMQVVELGLLCSADSPEQRMAMSDVVVTLKKIKENYIKTKATAGTAS >OGLUM12G07490.1 pep chromosome:ALNU02000000:12:7095103:7097473:1 gene:OGLUM12G07490 transcript:OGLUM12G07490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEVASSKKLFSASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTIGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >OGLUM12G07490.2 pep chromosome:ALNU02000000:12:7095132:7097473:1 gene:OGLUM12G07490 transcript:OGLUM12G07490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEVASSKKLFSASDVVGHASRKDCWVVIHGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEDVGHSTSAISMMNNYLIGSIKDYVPPSASEATTIGGNDVPPNFRRMPQKKGPPAPNTFLDFLLPLFVLGLAFAAWYYLTFIAKA >OGLUM12G07500.1 pep chromosome:ALNU02000000:12:7100757:7102078:1 gene:OGLUM12G07500 transcript:OGLUM12G07500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPINVAAYMAAFFILTTRRWWTAVSRGGHDGWWVEPRRTVEATGSAKEDTGGGGRQRSRGGRRPVDRAEEDGGGNGGDDXRASAAAGLAICRSCG >OGLUM12G07510.1 pep chromosome:ALNU02000000:12:7134994:7136811:1 gene:OGLUM12G07510 transcript:OGLUM12G07510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKKDGTAVLFVMSLMVIAMVLSSCQAAIHFNLHCADLPKCTEHECMADCHRRGFQVGVGLVDCMDGRPDQCCCRHGLLDHRDDKLTTN >OGLUM12G07520.1 pep chromosome:ALNU02000000:12:7150452:7154909:-1 gene:OGLUM12G07520 transcript:OGLUM12G07520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63770) TAIR;Acc:AT5G63770] MDLVGSLLVNMTHLVDTSGLQFFGWLITAGSFGLAALIYALLRLQRAASLYWIKAAAREKKAAWKSLRCPSSGHTWTEDYFRGGQPSTCCVCLSSLTTAQGVGSRGADAVVVHRCSVCGVAAHSGCSRGAEKDCKCVSQHGASTLLHHWSERWVELDDNPEMSSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPLSVKEVAQGPAITGMLNSIKEGLVTSSVRGRIKRRGNKKRMNNHPGGKASPVPTNGSILDSVLEGFARLQNLNGKYALANHKSSGDSIKQTHGYGSPNGGKKQYEIIDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPIQIFELSASQGPEVGLRFFHNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVSILPLGTGNDLSRVMRWGGGLSSVEGQGGICALLNDVDHAAVTVLDRWNVAIKEKNGAEDQCTKQVKFMTNYIGVGCDAKVAYDFHTTREEKPDKFCSQFVNKLIYAREGAKDIMDRSCSDLPWHVSLEVDGKNVEIPEDAEGVIVLNIPSYMGGVDLWQNDNEHDDDFGLQSMHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >OGLUM12G07530.1 pep chromosome:ALNU02000000:12:7170298:7171966:-1 gene:OGLUM12G07530 transcript:OGLUM12G07530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANYPKYVLYGLLIVGSWLLSCLLHFQVFHLSLFPYPSYLLSRRVVLPPALDARFLPPLPDVAGDDDGGVVRRRSSSPAKAAVEASCDGRYVYVLEVPRRFQMLTECVEGPKVFDDPYHVCVVMSNSGLGPVIPPAAAGNATVDGDIIPNTGWYNTDQYALEVIFHNRMRRYECLTGDMAAATAVYVAFYPALELNRHKCGSSATERNEPPREFLRWLTSQPSWAALGGRDHFMVAARTTWMFRRGGAGDSLGCGNGFLSRPESGNMTVLTYESNIWERRDFAVPYPSYFHPSSAREVSAWQATAXTARAARRPWLFAFAGARRANGTLAIRDHIIDECTASPPGRCGMLDCSHGLEGSITCRSPRRLVALFASARFCLQPPGDSFMRRSSIDTVLAGCIPVFFHEASTFKKQYQWHERDADADNDNATVDRRRYSVVIDPDDVVEGRVRIEEVLRRFSDDEVAAMREEVIKMIPRFVYKDPRVRFEGDMRDAFDITFDEIMARMRRIKNGEILGWKLDGDDDVVAKDS >OGLUM12G07540.1 pep chromosome:ALNU02000000:12:7175539:7178011:-1 gene:OGLUM12G07540 transcript:OGLUM12G07540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPRRPGSDRRYPPLRFRKRKRSSSDSRNSKLNNKPGSDKWEDVCSICDDGGDFLCCEGRCLRSFHATEKHITEINQCTTLGLTEEQWKIFRQSDEKSEPLYICKNCKYNQHQCFSCGLLGSSDLSSGAEVFQCEDEKCGHFYHPKCLARLLYPDSSMQPLNFEEEVARGLKFLCPVHKCHVCKGGENKNDMENQFAVCRRCPTVYHRKCLPSDIVFEDDESNGIVQRAWDDVLRDQILIYCMKHEIDPELGTPARNHIIFPDCKNLLTRGPSKPKGQEDAPAVLDIPEEDMSPDHSSEPSQPPPQPAAETDQTDQDLSNGFNSFAPKALFPLPYPGSCGWLDD >OGLUM12G07550.1 pep chromosome:ALNU02000000:12:7237176:7242085:-1 gene:OGLUM12G07550 transcript:OGLUM12G07550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHAHKRKMATKAYADSSSDDLMEKVLIKIFRKEAKKAKGLAQSDGSFSRFSATYFSKVVSSLSAHQRTIVENYGFKNLLFFDSDSVPKKFSAWIANKVDLKTSEIILKDRVIRFSEESIRDVLGLPFGGLSFGKDRKAERTKKELSDEQFITSFLIVALACFLCPNSSLCPSVKFCILILLIFGERNVPTGCPRIAVWKEGMISRYSDLDKIDDGIFGLRPLKPRSKHDEALSFRDKLDSVLGDLLPDYLKIQITTMLNNHCCSTHVHNIDSLGDFVISVIKLLADESVGSPIHLDDNEIRNDNASGSADTEVNINADHSDLRDVNAVCDKSASTSAIQEGIVQCSTHASTIAAVAAAVKDVALKFKSRLPQLNGSENVDRTVDLFKPSYKNLFPEVDVCNTVRNRSSDMDNETDGNITPLSGHHTISFHSVEDTPEELVGSKYKDQGTSRTPSSQIIKKRNSPDLIIVGESNFSDRCNKMTAESDQIYNASNLQSSLTHDKSTSGGKIPPHGPRRVLAPGRYSCDPFVQFRSRFPVSDEESRHFIALCRLADSTKWQSFDAVNIDNVKVAFYSFGNSLKKGGPVSASVIAVFCRVMFQNNHPSKSKKNYFFPSIGEQLVVDHCLADVVKVQKSFDGAAKARRLDLCDMLLFPINYLQHWFLFIVDIKDRMFVFLDSEYDEYSEYFENLKTHLKLWSMFIKSVLDFKGFKIVFPPVPRQEYECDSGVFTMKFMEIWSPRILLPNEFSKQDIDKIHVKYANQIFFYEKNKLLQTEIGDVVVNWFNNEKFAHQQGA >OGLUM12G07560.1 pep chromosome:ALNU02000000:12:7246755:7255074:-1 gene:OGLUM12G07560 transcript:OGLUM12G07560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQEVADQIAAAAAERGLQRSGSASRLNAGAPEFVPRVAAPVVPPPPPPVIRVFAAAPPPPRAAFFAPPPTHHRPYEYYAPVRGGGFTAAAAAEEQEVEVDPDAAEAAEPLVDGLPDDVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPITVIAGFNKIKALVHNNSMLAAALRTSSKLVVSDDGKRVKRLQSFTVSDMQDLQSRIVVAENLPGDPCYQNLMKIFSAVGSVKTIRTCYPQSSNGNSPATNRSAKLDMLFANKLSSKRQPWYSRKSTAPLLLAKHSSRTSSSTVNGGSISSGAADSTTLARSTAARRIHLVAPSSFDNNDDSGRLAALSSFDDNDRYLVAPRHGQAERPVRRRHEEHLHAWLGDAFELHAFVEYETLEDAEKAILELNDEKNWRNGLRVRLLNTCMTKGAGKGKKGVHEADGNGEEDVSTSNQSNEKQLEEPSQLSDMLPDHLFDDSSNDKEGPRRGRGRGRGGRGRGRGYHQHNNNHFNSQNYHNGQHHNHHGNNHHHQGSNRGGAHHVGTPPNQQQTKPEQNPQLPIGATKQPPGPRMPDGTRGFTLGRGKPQPLLPVLCAAVEP >OGLUM12G07570.1 pep chromosome:ALNU02000000:12:7258481:7262574:1 gene:OGLUM12G07570 transcript:OGLUM12G07570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPALLSAPPPPPPMDEEASAGAHHAFPGPAPPPSPEGAPPRRRRPAVRVTSEFDSDARLFSHRLSCRVMDGLAKLRVRVHHGVGGAGAAAVEPPPPEVALLARNFSVAVDTASRSALLRGAADLAGSLRLSAKHDTKEQKGEVAVRANLGDSPCKIELSSVVPPDGLPRTTFIFPNGEVSVKQKYLDEGDRILSVNGIVRSHVLNGVCTALYSDNEMNIKYRYKDEELSFIPSLSLPSNSLSFAFKRQFTPSDKLSYRYQFDTNYWSAIYKHKASKHVKWKAGYESDQRLGWASLWVGDAGGKTKEVPMKAKVQLTLKVPQDNVKNSAIMFHVKKRWDF >OGLUM12G07580.1 pep chromosome:ALNU02000000:12:7260079:7267279:-1 gene:OGLUM12G07580 transcript:OGLUM12G07580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDHAAAAAAAAAEQDVMRDFAPADGDGDGDGDGDGDADAENDPKEPVLGMTFESDEAAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGYLRARGLPDVFGIRSARCFFKFSPNAN >OGLUM12G07580.2 pep chromosome:ALNU02000000:12:7260079:7267279:-1 gene:OGLUM12G07580 transcript:OGLUM12G07580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDERGDGDELIADYVDCLMSLDTNSRSCQNDALILEGAPDDVDDHAAAAAAAAAEQDVMRDFAPADGDGDGDGDGDGDADAENDPKEPVLGMTFESDEAAKMFYNEYARWLGFPFRVGRSRRSKGMEEVVIMKRFVCSREGAHKKKQPSTSGEATSKRERASMREGCNAMMEVVRDKDHWVVSKLEKAHNHSLGIGTRYGCFFKFSPNAN >OGLUM12G07590.1 pep chromosome:ALNU02000000:12:7295738:7296175:-1 gene:OGLUM12G07590 transcript:OGLUM12G07590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTAGYGQDGAQVARRWPREVPRSSSDPPPATAEQDGAKACGSALHAMKGEPPGWHVHGDIHEQSFGARVGIVRAILDEIDGELVPSGLGGVIGVRYYREMEE >OGLUM12G07590.2 pep chromosome:ALNU02000000:12:7295450:7295728:-1 gene:OGLUM12G07590 transcript:OGLUM12G07590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWWSKEEDVEHAQAAGRRDAGGGGCRGEDGSARTPMAKAQSVAGCGHATSGVARTAAVEPRRADDRDGGAKSRGQRRVDGESSG >OGLUM12G07600.1 pep chromosome:ALNU02000000:12:7309004:7311656:1 gene:OGLUM12G07600 transcript:OGLUM12G07600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVTNRRVILKRYVQTGLPSEDDMEVVTAKTTLAVPAGSEAVMVKNLYVSCDPYMRGRMTRHEVPSYVPDYVPGEVITNCGVMKVVSSGHPDFKDGDLVWGVTGWEEYTLVNNPKPYLHKINYPEFPLSYYTGVLGIAGLTAYGGFFEVSKPKKGDYVFVSAASGAVGQIAGQLAKMTGCYVVGSAGSDEKVKLLKTKFGFHDAFNYKKELDLEGALKRCFPEGIDIYFDNVGGAMLDAVLPNMRIGGKITTCGMISQYNLERPDGVRNLFYFVSKSLRMEGFLVSNYIAIYHRYEKEMAGYLREGKVVYVEDIVEGLETAPAALIGLFTGRNVGKQLVAIAWE >OGLUM12G07610.1 pep chromosome:ALNU02000000:12:7319384:7320534:1 gene:OGLUM12G07610 transcript:OGLUM12G07610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPKKACGAVGQIVGQLVKITGCYVVGSVGSDKKVNLLKHKFGFNDAFNYKKKLDLEAALKRCFPQGINMYFDNVGGTTLDAVLPNMRLGERITFEKEIAEYLKEEKVIYMEDVAEGLDAAPEALVGLFTGRNIGKQLVAVAQE >OGLUM12G07620.1 pep chromosome:ALNU02000000:12:7321216:7326838:1 gene:OGLUM12G07620 transcript:OGLUM12G07620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLMRRCSSYRGCSSSTNGGRGRGVGGCVKATAYARVTRVGDDGMDETLLSNLPYNSDHGYSSGGNSCSTSERPARPAVQVSRAACGSNLAARNRFGFTGAIPSFLTIYVCKINSKYLSGLSSNKKMVGKETTGQIALDDITPMDMALKLDILRICEYVMWTSDLQD >OGLUM12G07620.2 pep chromosome:ALNU02000000:12:7321216:7324420:1 gene:OGLUM12G07620 transcript:OGLUM12G07620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLMRRCSSYRGCSSSTNGGRGRGVGGCVKATAYARVTRVGDDGMDETLLSNLPYNSDHGYSSGGNSCSTSERPARPAVQVSRAACGSNLAARNRFGFTGAIPSFLTIYVCKINSKYLSGLSSNKKMVGKETTGQIALDDITPMDMALKLDILRICEYVMWTSDLQD >OGLUM12G07620.3 pep chromosome:ALNU02000000:12:7321216:7324420:1 gene:OGLUM12G07620 transcript:OGLUM12G07620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLMRRCSSYRGCSSSTNGGRGRGVGGCVKATAYARVTRVGDDGMDETLLSNLPYNSDHGYSSGGNSCSTSERPARPAVQVSRAACGSNLAARNRFGFTGAIPSFLTIYVCKINSKYLSGLSSNKKMVGKETTGQIALDDITPMDMAL >OGLUM12G07620.4 pep chromosome:ALNU02000000:12:7321216:7326838:1 gene:OGLUM12G07620 transcript:OGLUM12G07620.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRAVVREALPKNLITHVPGADLKRGVVPEALLLPISCARKRSEARKRNRGSTGARRRKNTSKRKQANRSDRYSRRDSASCCTRVVGDCAQLPHTRRGIVDASLRRQSWERGIVVAKRIDVTYALAWPARLGARARVAFRFPPQPVNREPPITPYLS >OGLUM12G07620.5 pep chromosome:ALNU02000000:12:7321216:7323486:1 gene:OGLUM12G07620 transcript:OGLUM12G07620.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAEGWRRLMRRCSSYRGCSSSTNGGRGRGVGGCVKATAYARVTRVGDDGMDETLLSNLPYNSDHGYRAFIKQKDGWKRNNRSNSFG >OGLUM12G07630.1 pep chromosome:ALNU02000000:12:7339052:7343193:1 gene:OGLUM12G07630 transcript:OGLUM12G07630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATTVSNKRVILKRYVTGFPSEEDMEVVIGDAPLMAVPAGSKAVVVKNLYISCDPYMCNRMTCHEVLTNYGVMKVISSGHPDFKAGDLVWGITGWEGYTLIDNPESLSKINHPDLPLSYYTGVLGLPGLTAYAGFFEICKPKKGDYVFISAASGAVGQIVGQLAKIAGCYVIGSAGSDEKVCVISSALHYRMSHVHASQDDRPQNHIHMKLRQSRNTCCHWATGCCSLQGEASSASAVWPVAGVIRVGGGVVGGGGAASVVWLWPASAWPASSAPSASAASSSVVVAASVAAAAKMVGRGAEDGAREEVRRDGGGEVATRVESTSRGWRPAVARSTRPPGCVASLLSRVNLLKTKFGFDDAFNYKKELDLNAALKRYFPERIDIYFKNVGGATLDAVLPNMRLRGRIAACGMISQYNLDNPDGVHNLFYIVTKRLRMEGFLVFDYNEMYYRFEEEMAAYLKEGKITYVEDVVVGLDAAPAALIGLFTGRNVGKQLVAVSQE >OGLUM12G07640.1 pep chromosome:ALNU02000000:12:7408312:7412040:-1 gene:OGLUM12G07640 transcript:OGLUM12G07640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPSRRQRRPRQSAASPPASDVGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAETSCDSGNAIVMGAAVCEATLDRVRNCLYLTMKSLTIVTALGMTPLFCAVLDGQEIIVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSKGTPLHVAASNGKSSIVHILLELHANPNTMLRDCYTPLTAVLSASADKLNESECLKYVKLPVKV >OGLUM12G07640.2 pep chromosome:ALNU02000000:12:7408312:7412040:-1 gene:OGLUM12G07640 transcript:OGLUM12G07640.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHEPSRRQRRPRQSAASPPASDVGGMPPQMEFLMACTDGDVARLKEVVDSMDEDDRESLATVRMEGYGPLFEAASSGKIDLCKYLVEELGFDVNAETSCDSGMTPLFCAVLDGQEIIVKYFLDKGADPNKKDAAGFAPLHEAAKKGHVGIARLLLSKGASVDVSSSKGTPLHVAASNGKSSIVHILLELHANPNTMLRDCYTPLTAVLSASADKLNESECLKYVKLPVKV >OGLUM12G07650.1 pep chromosome:ALNU02000000:12:7444302:7502609:-1 gene:OGLUM12G07650 transcript:OGLUM12G07650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGGGTARATAVPGGGRGGSIGDDGGRRAGRAATASGSGRDGSGCDGAGQLGGQVATTPVSNLPSSGRQQHPNLRWIRRKGRWQVAVPKGRRQAASGSGGTLPSTGFGGMGGGGQWQRPPLRRILREGRWLAAGCGERRWRPSLCRIGWEGRWWVAVAPSPMSDPARGEAGGGSERLPLMFPGLLGKHSRVARRRMTFLSPVTDPGLGPPKPWPQAWEKKPTRRYAVSVGRSSTSLVGESAMGWCRREDVVEATGREAALSGLRDGDELLANIEAVVDPNECRWRRIEPLDNIEYAGVYWVKASPIGQAALCPEKFAT >OGLUM12G07660.1 pep chromosome:ALNU02000000:12:7481169:7486567:1 gene:OGLUM12G07660 transcript:OGLUM12G07660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAVSNKRVILKRYLTACEIGQLGDEMEVVTAEAVPLSVPAGSSAMLMKNLYISCDLYLRNRMIRHEVPTYISDFVPGEVVTSHGVMKVISSGHLDFKAGDLVSGMTGWEEYTLINNPESLFKINYPEFPLSNYTGVLGMHGLTAYVGFFEMSKPKKGEYVFVSSACGAIGQIIGQLAKIKGCYVVNLLKTKFGFDDAFNYKKEPDLEAALKRLAATHLRCFPEGMDIDFENVGGAMLDTVLPNMRLGGRITMCGMISQYHLERPEGVRNLMYIITKQLRMEGFVIFDSITVYRQFEEEMAGY >OGLUM12G07670.1 pep chromosome:ALNU02000000:12:7491313:7497141:1 gene:OGLUM12G07670 transcript:OGLUM12G07670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVSNKRVILKRYVTGFLSADDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYILDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFDVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKVNLLKSKFGFDDAFNYKKEQNLEAALRRCIPGGIDIYYENVGGKMLDAVLPNMRLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDHYGTYYRFEEEMAGYLKEEKVSYVEDVVEGLDAAPAALIRLFTSRSVGKQLVAVARE >OGLUM12G07670.2 pep chromosome:ALNU02000000:12:7491313:7497141:1 gene:OGLUM12G07670 transcript:OGLUM12G07670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATVSNKRVILKRYVTGFLSADDMEVVTVEAPPLDVPTGSKGVVVKNLYVSCDPYMRNRMTRHELPSYILDFVPGEVLENFGVMKVISSGHLDFKAGDLVWGMTGWEEYTVINNPESLFKINHPELPLSYYTGILGMPGLTAYAGFFDVPKPKKGEYVFISGASGAVGQVVGQLAKITGCYVVGSAGSDEKVNLLKSKFGFDDAFNYKKEQNLEAALRRCIPGGIDIYYENVGGKMLDAVLPNMRLGGRIVACGMISQYNLEQPEGVRNLYYIVTKRLRMEGFHVFDHYGTYYRFEEEMAGYLKEEKVSYVEDVVEGLDAAPAALIRLFTSRSVGKQLVAVARE >OGLUM12G07670.3 pep chromosome:ALNU02000000:12:7491313:7497141:1 gene:OGLUM12G07670 transcript:OGLUM12G07670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASNKRVILKRYVTGLLSEDDMEVVTMEAPPLAVPAGSEAVLVKNLYVSCDPYMRNRMTRHEMPSYVPDFVPGEVLANFGVMRVISSGHRDFKAGDLVWGITGWEEYTVINNPESLFRINHPKLPLSYYTGILGMPGLTAYAGFFEVSKPKKGEYIFISAASGAVGQIVGQLAKIIGCYVVGSAGSDEKVSLLKTKFCFNDAFNYKKEPDLEAALRRYFPEGIDIYFENVGGETLDAVLPNMRLGGRIAACGMISQYNLERPEGVKNLFYIVTKRLRMEGFLVFDFYDRYYQFEEEMAGYLKEGKVAYVEDVVEGLDAAPAALIKLFTGRNVGKQLVAIARE >OGLUM12G07680.1 pep chromosome:ALNU02000000:12:7521678:7526020:1 gene:OGLUM12G07680 transcript:OGLUM12G07680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVVSNKRVILKRYVTGLVSEDDMEVVTVEAPALAVPAGSKAVVVKNLYISCDPYMRNRMTYHEEPSYVPDFVLGEVLVNFGVMKVISSGHQDFKAGDLVWGITGWEEYTVIDNPETLFKINHPELPLSYYTGILGMPGLTAYGGFFEVAKPKKGEYVFISSAFGAVGQIVGQLAKITGCYVVGSAGSDEKVNLLKTKFGFDDAFNYKKELDLEATLKRCFPDGIDIYFENVGGAMLDAVLPNMRVAGRIAACGMISQYNLEQPEGVYNTICIVTKRLRMQGFLVFDFYDKYYQIEEQIAGYLKEGKVAYTEDVVEGLDAAPAALVKLFTSSSIGKQLVAVARE >OGLUM12G07690.1 pep chromosome:ALNU02000000:12:7534005:7534852:1 gene:OGLUM12G07690 transcript:OGLUM12G07690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQK4] MASYYEITSRGALIKGREFNFSNLYLYHIYNSSEPNQQQIIDNVSSTAMGGLTVNNWTVYDGVGSDATLGIFEEDRDWAIVGGTGEFAMASGVIKKTVYERTPEGTIIELTIRGFCPDF >OGLUM12G07700.1 pep chromosome:ALNU02000000:12:7537729:7540014:1 gene:OGLUM12G07700 transcript:OGLUM12G07700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDASRGIVVVPNTSFASNDDSVVADSTVYSARGHDAGDGGRAMVRYSDTKAVAAAASRKITCWRIIGERSVLRMRREYLEAVLRQEIGFFDTEVSTGEVIWVPRNPLDPT >OGLUM12G07710.1 pep chromosome:ALNU02000000:12:7542346:7546233:1 gene:OGLUM12G07710 transcript:OGLUM12G07710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQRATRFTYRLRMLHRAITRLRMLRLLGAIALLMKMSGIHTHRDGTIYKNERYWDSVFCIDVTNREETNNSTNVIFSEAQVEPVRFSVTERCKPNPENCQYYSTCGMMQVFSLKLAKTTTNSSPIQLYGYIAARDVVDSMLNYVFNRSRDDPIVVRQGSIIELTGPKRGIGMVADVIFEFDMRIKNGEKEEDDLQLIDGIIEIDDNVVTMIGTPRTFRLSGDCGSVDMSMAIFDNAVEATVEVAISELHYGFDLSISYVLSELEGNIENFSFSVVLLVSRVA >OGLUM12G07720.1 pep chromosome:ALNU02000000:12:7548472:7559492:-1 gene:OGLUM12G07720 transcript:OGLUM12G07720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPMWAPRVSLTSLLPSSLSFSSSSLLSSFLSPLFTSRTPSQRRSISGAGREQSNGCEGGGRKAAARRWSAVVAREVTARCPPPVGQRALPPLPSAPTRRCSTPPGRPDELASSLVALPWAALSTGTARAATPLPPTRRPGVRRRSSPTRRSPHATPSRRSPRATARDEGMKLDTGYISPYFITNQKNQKCELDDPLILIHEVSNLHAVAKVLELALKVCAVKAPGFGEIVSTRTKVLRLVFHFH >OGLUM12G07730.1 pep chromosome:ALNU02000000:12:7561322:7561522:1 gene:OGLUM12G07730 transcript:OGLUM12G07730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRSAHFFPRARGLLLAASVYPDDVVNGGGGGVNALMSDKLRVLVDAVIMHYEQVVPSQELRHQV >OGLUM12G07740.1 pep chromosome:ALNU02000000:12:7561588:7563640:-1 gene:OGLUM12G07740 transcript:OGLUM12G07740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLAGRDGARVANCGVKSIDGGQRACERGGTTEAEPTKARGGRRAPSNSKSVVAGELHRVERKEAGWPRSSARSLKAGTQRRRGESSWGMEWTATRSRAAEWRRWQTAWEECCSAACHLIILLQLGQRLPAVAAGIGVGLAVDTAQE >OGLUM12G07750.1 pep chromosome:ALNU02000000:12:7570865:7572344:1 gene:OGLUM12G07750 transcript:OGLUM12G07750.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQL0] MAGINCSIVPCSALMEGKEFNFSNLYLHHTYGGPKPNQSTIINNNGSTGLGMTAVNNWAVYDGVGSDAKVVAHAQGLHIYAGDWHNSFSLVFENERLKGSTLQVMGVPVEGGEWAIVGGTGEFIMASGVIYKKVHERRSEGNIIELTIHGFCPNLKGTKCLATKVGPWGGNGGTPQDITENPKRLESITVRSGEVVDSISFSYFDQAGQKRVAGPWGGPGGNPNTIELASSEFLKEVSGTFGTYDGSNVVTSIKFVTNVKTYGPFGKQNGTPFSIPVQKNSSVVGFFGRGGKYLDAVGVYVHPL >OGLUM12G07760.1 pep chromosome:ALNU02000000:12:7577603:7578124:-1 gene:OGLUM12G07760 transcript:OGLUM12G07760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTELRKVFKMFDKNGDGRITKKELGESFKNFGIFIPDDELDATMDKIDANGDGCVDVEEFGLLYRSILGDDAAGRAPRTAVAAAIGGEGGAGDDEDEGMREAFNVFDQNGDGFITVDELRSVLSSLGLKHGRTADDCRRMISMVDADGDGRVDFKEFKQMMRGGGFAALGG >OGLUM12G07770.1 pep chromosome:ALNU02000000:12:7579689:7584136:-1 gene:OGLUM12G07770 transcript:OGLUM12G07770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNI-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G20210) TAIR;Acc:AT2G20210] MAAAEDAAVPSPPPSLLSLCLEAVASHLTAGAGGVGQAGGRWGRDHFDGGEGGGDTMITPEEVAEALPWELLHRLASLLPPAALEALHHAAHDRCCFSAATAAVGDRRGIKRSRCEDFNPEWQALFRLRWPCCDNAGHDGLLTVDWQRQYWEKHLQECLDEAAESALLPSFCGSIDELTIPAKIVSCILHCKDIPQQYSRLAYHCSRFGCYARCLRLQSVLCTAEISGLLQGSKLEKLMFVRIISDLEVNGVCMLLSCHAETLLSLEFIHCQLSPAVMDKICNSVLQKGSVNHGIQNFSIKSSRICESNTLNISAGLLDFLSMGKSLQLLSLNDTKMQPLFAKIIVHTLLGSSSGIQTLEISENNIAGWLKTMDKRFACFSSALESNISLNSLTLLNLRGNNLNKGDIEDLCKILVKMPNLRDLDISDNPIMDEGIRLLICFISRTLRKEKSLSRLRAENCDLTNIGVTELLECLSSVSEPLNLLSIADNHLASSVAVALAKFLGSGVRDLNIEDIGLGPLGFQILEEALPADVALSHINVSKNRGGIRAARFISRLIKQAPGLVSVNAGSNLLPPESMEVICAVLKQKNICNLERLDLMGNMHLSDAAFPAALEFRKHGKQILIVPSQPGACAPYDDDP >OGLUM12G07780.1 pep chromosome:ALNU02000000:12:7597399:7598056:1 gene:OGLUM12G07780 transcript:OGLUM12G07780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSNYKARFAPGRQDLVTKWTGKDMKNWRDSTSKNLIIVSALVATHSLPYSTYQLSTVGDNGKANLTRNVTYNAYIVLDTVAVLASVLATLLLVYGRTSESQRSWEYLINAFLAALAAVETKRSFTRLVFYMVYYGTYSLILLLVTLGGPASSLPVLVKFVINIPRRSRLVISRHYPMTGSFVVNAIIFSVINYIAIIVPLVIYSYYTGPDA >OGLUM12G07790.1 pep chromosome:ALNU02000000:12:7603206:7607369:1 gene:OGLUM12G07790 transcript:OGLUM12G07790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQAEDLITVLYKARWHLLSSLNSEGETPLHRAARAGHVHAVQRIIAGVKENLENLAENQLMDIIATRNCAGENALHLAAMHGHAQVVTTLLKDAPDARLSSVLTEANNASALYLAVMSTSVATVKALLAHECNDTSAQGPKGQNALHAAAVLQNREMVNILLEKKPELASGVDDMKSTPLHFASSDGAYSIVHAILYPKSKSLFGDPAGQSLVAMQDSEGSTALHIAALMGHVNVVRLLIKASPDSADIRDKQGRTFLHIACADEGWQRPTVRYVVKNPMLHDLLNSQDKEGNTPLHLAANHGKFVDVYALISSGKVHPDIMNAEGETAFDIAKNTVSFFFMLHDPYTPATYNDQLGFSVEVRLMEGGVESSLV >OGLUM12G07800.1 pep chromosome:ALNU02000000:12:7619184:7623940:-1 gene:OGLUM12G07800 transcript:OGLUM12G07800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLLQPVALGTTFAGRVSGQRWKSHGTRRPPSMLAMSLSRPVKMAAFVGLRSVHSFSVTPVTNFRSTVASYRSRRGRRARFVTRSMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVRVPEPTVDETIEILRGLRERYEIHHKLRYTDDALISAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELDKELKQITKDKNEAVRSQDFEKAGELRDREMELKAQITALIDKSKEMSKAETESGETGPLVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHQRVIGQDEAVKAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYSRIKSLVVEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIAEIMLKEVFDRLKAKDIDLQVTEKFKERIVDEGFNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGQSGLPELSTPAVTV >OGLUM12G07810.1 pep chromosome:ALNU02000000:12:7626492:7629448:-1 gene:OGLUM12G07810 transcript:OGLUM12G07810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKQESRAAARKAGGRFSKNEPQIQAIFWMAKKLLQCRLLYKLLSKKTRFVIILGDCLKQFSFFSKFQEDKNVCSKEIATLLMVEEKDSEELTSETENPYAVSDFSKLSPMANPASPPECGHSEFENNMTSLHNHLMAHLSLCSLNMKEVTTCTDREVPSTILNCPLKGVNKEASTELVIEWRLGMGNFPLVQSECGDSSCDSSVSEQSSVTSSPCTSFTAHSDTRSEDLDGVDIWVSSLDLDEEDSDLFQEKEQDLGFLSCDFPSPSFSAVRRSLQFGPSSSSPATSHGKQANDSDEPIFWPFEHTSYYSPEFDKFLLVSPRRVTMDVGSAEFRRLNPIVQRLHKNKLSSARKSIGPHHGSVSLGAKGTKSSQDKVQNAAAVPSWLSRTTKTSTKASSSKHQQLSNCEKRKPSHLKISPPRKDRYPQLQSGHTVQELEASDHRKLAVEKILIEQFIGLDEFDGHEGISSDSSDNQLSLFLSPR >OGLUM12G07820.1 pep chromosome:ALNU02000000:12:7645677:7646033:-1 gene:OGLUM12G07820 transcript:OGLUM12G07820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKDLNEMFSVADVEAVPTANPRRFTNLLWKQLDHLGNAGFDPVLFCVDAYGNVLYLHDDSASPLAWDIDHWFHAPK >OGLUM12G07830.1 pep chromosome:ALNU02000000:12:7646058:7646276:-1 gene:OGLUM12G07830 transcript:OGLUM12G07830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHAWQSIEGIKPSPFTVPRVLVIVVIHASPMSLQETMTRSSLPSRSPPPLPPPPRRSWWWRRGYWCGGE >OGLUM12G07840.1 pep chromosome:ALNU02000000:12:7647300:7648059:-1 gene:OGLUM12G07840 transcript:OGLUM12G07840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAAVVLVKHEDLKRNGDIVSLFRRHEAKAKRIATFPDPVDEEAKIKEEESPSVEAAPREFWSVEQERPVKNSWSRHCGMQVGVIYYKYLRIERPNAIT >OGLUM12G07850.1 pep chromosome:ALNU02000000:12:7648636:7652283:1 gene:OGLUM12G07850 transcript:OGLUM12G07850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVSRPSGADKRRRCGSSTAPHTRGGRRVIGAANMRCLSTVSSVSDAARAVMSNEPATVLGNSGSSGNGGVMAAEEMLRRYEIGEELGRGEFGVTRRCRDALTGERLACKSISKRKLRSSVDVEDVRREVAIMRSLPAHANVVRLREAFEDADAVHLVMEVCEGGELFDRIVARGHYTERAAAAVMRTIMDVVQHCHKNGVMHRDLKPENFLYANASENSPLKVIDFGLSVCFKPGARFNEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSHIDFQREPWPKVSDNAKDLVRRMLDPNPYTRLTAQQNEEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPTEELDAIRELFNMLDTKKKGHLTLEELRKGLQVIGHNIHDTDVDMLMEAADIDGNGILDCKEFVTVSIHLKKIRSEEHLPKVFSFFDKNGSGYIEIEELKEALSPRGDQKSIDDIFLDVDIDKDGKISYEEFELMMSAGMDWRNASRQYSRAVYNTLSRKIFKEVSLKLDHSGPLVAAGK >OGLUM12G07860.1 pep chromosome:ALNU02000000:12:7648773:7653334:-1 gene:OGLUM12G07860 transcript:OGLUM12G07860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERLAGAPALGLKHTERPKSMTLRGEFSDALAYRKFSDQMHAIRMEMDELKRERERDLDDVHDGAHDGGGGALGVVAAGDDAVEELAALADLHDEVDGVGVLERLPEPHHVGVRRQRPHDGHLPPHVLHVHAAPELPLADRLARQPLPRQRVPAPPRHPELPPTQLLPDLVPPQHLLRRHHAAVAAAAAVAQHGRRLVRHHGARRVRHGGDRGEAPHVGGADHPPAAAGVRGGGAAAAPPLVGAGRPGHAAVAHPLPLLRSSSSSSSPPTTLPRHRKRTATVASPELLWTYE >OGLUM12G07870.1 pep chromosome:ALNU02000000:12:7663614:7664812:1 gene:OGLUM12G07870 transcript:OGLUM12G07870.1 gene_biotype:protein_coding transcript_biotype:protein_coding XGARAEPDRRRPGGAQGVRGSRVRVQLRRDPRALRHAPGSRALLLHEPALPRRPPPVAPLAAASAAADALAVDSQLEDLQPRRQPGRGEGPAQVLGAGRRVHRQALQLNTTTPP >OGLUM12G07880.1 pep chromosome:ALNU02000000:12:7700867:7706209:1 gene:OGLUM12G07880 transcript:OGLUM12G07880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILGYMLLACQAHFPSLHPPPCDAAAGQCAAVSGRNLSLLRLGLYVIPLGEGALRVCGAALGGDQFDGGEVGGDDPAAAAAAEARGKASFFNWFAFCISLGGLVGLVLVVWVQNNEGWDLGFALAALMALVAMAVVLAGLPFYRHRVPTGSPLTRILQVFVAAFRKRNVTMPESLVEMHESSDGSTIELLDKTPDFKFLDKAAVDDGDRRRWSACTVTQVEEAKIILRMLPIFLTSVLGYVPIPLLLTFTVQQGGAMDTRLAGTSVPPASLFVVPIVFQMLILVAYDRAAVPWLRRATGYAAGVTHLQRVGLGFASSAAALALAAAVESRRRRCLGVAEPAMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAVFFCILGVASWLGGALIQAVNRATAGGAGHGGWLDGADLDASHLDRFYWLLAVFELVAFFLYLYSAWRYTYRHHPRVQPSMEDAKVSATATTTTTKAEV >OGLUM12G07880.2 pep chromosome:ALNU02000000:12:7700867:7701999:1 gene:OGLUM12G07880 transcript:OGLUM12G07880.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQGLVDWRGRPVDPRRHGGLKAVMFIYVLIVMTNMGNIPTMLNIVSYLHGTMHMGIADASTTAANFYGAICVFSFLGAFISDSYIKRFYTILIFAPIEILASILNHSYIFRII >OGLUM12G07890.1 pep chromosome:ALNU02000000:12:7707265:7712285:-1 gene:OGLUM12G07890 transcript:OGLUM12G07890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGHAADKPTPPPPPPPRPVLLAGASGDAKLCRAAALSREEVIRRRRRGLLQLHSLYRAQLWALADELSARHAEYWWEHGSSPDAGNAVGGGAPPPPHPAVNGGGAAVEIAAARAGCSAANCGAKAMPFAAYCFDHILFDPKQLLYKPCAFVTNRRYIAISRFHILILV >OGLUM12G07900.1 pep chromosome:ALNU02000000:12:7713755:7714069:-1 gene:OGLUM12G07900 transcript:OGLUM12G07900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCGASASLDFADSAWLLHVPLAPVVSTPDSGHQLPDVPRAASQAIAEGVRTATATATSGDAASTAPPWLHRHPFCVSQTMQILTLLFCSTRLLDVNVKAYQ >OGLUM12G07910.1 pep chromosome:ALNU02000000:12:7718177:7723981:-1 gene:OGLUM12G07910 transcript:OGLUM12G07910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGGNGAADGGGEHLELDFFPSPLLDNFDVLELLDLSVLGDVDDDGAPPAPAPVQLSVDGAGGGRINKPGSSVDDLVDWSTAFGNNCSKIDGEYGGASTSSFAAPAPAPPQEDYCSGCQVLREVVHSNGLEITKLCIHGGVASGEFYHAILDVYRVSASAPAPALAHHSIIKGRGYDWVKQYLTEYALRRAGGGFAVVQDSLSAFHDALCTTMAPCSSHVGDDDAHRRASSSAAAAAEERTNGNGDHGQLVVHNAAVLPMLESSRCLVAADQAATTNNNGSGDRRLVVLDTTAIQPPASGCILHMAFPSKSYQSSRPTTLPSRYQECTCNPVAYEMDGVRSSLAPICWPELLGYNVTNREKTKQLQLGGIAPYFELPIAKAAKKLDICATALKGICRKHGVLRWPYRKVRSIDRQIATLRRSGNGDATRNEIETLIASRRRIVAGLDQ >OGLUM12G07920.1 pep chromosome:ALNU02000000:12:7728138:7729448:-1 gene:OGLUM12G07920 transcript:OGLUM12G07920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVVTKSSPSVLVRPSEPTPAATIRPTSTDMTRLGMSFTSLHVFERGVDEPAETIRRALSRALVHYYPFAGRLASGGGDDGDDVVFSCTGEGVAFVRATANFTLEDVNFLGAPVVVPLADLAVRYGGPCRAASDPLMMMQVTEFACGGLVVAATWNHGVADACGLAQFLRAVGELARGLPSPSVVPVRYDESLPDIPQLATILLKRLAAGVKFEHVDFAYCDVIIPWSFVNRVKAEFGSRHAGDRPCSVFEAVTAAMWQCRTRAINGHGSGGAALAPLVFAANVRKHVGAKDGYYGNCIMSQVVVATADAVANGDVVDLVKLIKEAKERIPVLLSTKTLGLDDDDDDDGGGELVAALCGYGALYASSWAGLGLDGIDFGGGRPARVIPDSEVKMLPSISPCAPCSMKDGHGVNVVASCVTDEHLEGFRAQLARLQ >OGLUM12G07930.1 pep chromosome:ALNU02000000:12:7777274:7778152:1 gene:OGLUM12G07930 transcript:OGLUM12G07930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPCTIVAPAAYPVVTLVAHTIEHRMRAKRRPPHEFRHTSKVALPEYNAPEEGEIPKNAKVYLILSRGLEKKKNGTRTPAP >OGLUM12G07940.1 pep chromosome:ALNU02000000:12:7832500:7853626:-1 gene:OGLUM12G07940 transcript:OGLUM12G07940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADSMDDVAGEEVVVVADSMDDEAAEVVEVEQNKKWWHPLAAGGARARLIGCVKDRARRANGGDLVWRWMDRRRRRLGRRHSGGQSCDAARWRLRQRFLGGPESCDARRAQPRWTCDGRRACGNGDTRWAQPRRTSYGNDDDLGPQVLIQEGLGRGRGIYGRRRAVCGESNRGRGTCGGSGRGDPALPPLPLSDPVVTLPSPLLQATSAPSSLEAATTMATGGGSGGSKHGCAGGGYADS >OGLUM12G07950.1 pep chromosome:ALNU02000000:12:7853636:7853920:-1 gene:OGLUM12G07950 transcript:OGLUM12G07950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVPDILYEADDEDSMASDSMDEASEEELVVSDMHVVVDSMDVMVGKEVHVVADSMDDEVGEEVLLVVADSMDDEAGEEALLVVADSMDDAAG >OGLUM12G07970.1 pep chromosome:ALNU02000000:12:7891207:7893123:1 gene:OGLUM12G07970 transcript:OGLUM12G07970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSRDPLVVGSIIGDVVDHFAASALLRLFYNHREMTSGSELRPSQVAGEPAVQITGGRDGRALYTLVMVDPDAPSPSNPSKREYLHWLVTDKEAIRVKTVRQSIYAPGWRSNFNTRDFAACYSLGSPVAAAYFNCQREGGCGGRRYRS >OGLUM12G07980.1 pep chromosome:ALNU02000000:12:7904174:7905243:-1 gene:OGLUM12G07980 transcript:OGLUM12G07980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSGGWRRLRQRRGFKPRCQTPGNVLTNKEVFTWAKRNNRRLLHVGDIDKTSKNSVVRCGGIYASKFVTFKGNIHAIKFVIFEKAYVGG >OGLUM12G07990.1 pep chromosome:ALNU02000000:12:7907803:7908307:1 gene:OGLUM12G07990 transcript:OGLUM12G07990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMVAGLLDDALGAPESVEAAPGERDGNCGAVEMAEAADGGDGGLIWIDQLGGMLGALSNAEMATRARAVLAGDGGRAGEVGDVGGGYPVRLCLSFNGALGAPVIATMTWTRASMADEAKVGGRKARPQCDLVMS >OGLUM12G08000.1 pep chromosome:ALNU02000000:12:7915761:7920376:-1 gene:OGLUM12G08000 transcript:OGLUM12G08000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRCGMAGGGGANGCTNLQLGQDGEDLQSMTRRWQLTQGTATMLPPGPELTMVPPFFVSSATTATGVAAAPVLPPSIMVGTTTTVGWVVEMLSSRFTLCSLGLIQLFFKVFLFRSRHCSQSRGRGSLSWSSRLACDTGSSQVKCFLFFLELPSDSDDELLVLLVVEETKPGAGHVGPSESSGCSSILFTLRLIMNQEGILETGSKKEAGDSRVDGRFY >OGLUM12G08010.1 pep chromosome:ALNU02000000:12:7918909:7920663:1 gene:OGLUM12G08010 transcript:OGLUM12G08010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFLRVEKYLSVKRMELQPELSLGPTWPAPGFVSSTTKSTKSSSSESDGSSRKKRKHFTWEEPVSHANLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWIRPKEQSVNLELNISTTQPTVVVVPTIIDGGSTGAAATPVAVVAEETKKGGTIVSSGPGGNMVAVPCVNCHLLVMLCKSSPSCPNCKFVQPLAPPPPAMPHRKLDAIKPLETLSLLH >OGLUM12G08020.1 pep chromosome:ALNU02000000:12:7922845:7924836:-1 gene:OGLUM12G08020 transcript:OGLUM12G08020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPPVPLPNPAGSAAAAVLPRPAWNTNRNLVVTHPLLSLLESCASFRRLLQLHALLTVTGLAAHRFPASRLLAFCALSTPPRLAHAAAILARASPGPNAYMLGTMMRGFLRARLPARALGLFRRVVRDRLPADARTFVFAVKAAAAAAESEHGGTPSGGEAIHCAALKCGFVGESVLVGNALVHFYANHKSLDDAGKMFDEMPERDVVSWTTLVDGYARAGLADEAWRLFCRMVVVGGMRPNAVTLVAAVSVIGQMGLLAFGIMLHKYVTEGGVARSVNLDNALVDMFGKCGCVRYAREVFDGMEVKDVYSWTSMVNAYAKCGDLESAEQLFEDIPRRNVVSWSCMIAAYSQLNQPEEAVWLFREMIAAGVDPIDATLVSVLSACAQLGCLDLGRWIYENYIVSNKIGLTVNLGNALIDMFAKCGDVGEASKLFDEMAERNVVSWNTMIMAHAVHGQSEEAIRLFEQLKGENIVPDQITFLGLLASCSHSGLVSEGRRYFKEMEMFYRIEPRVEHYACMIDLLGKVGLLEEAFEVARGMPMEADEAGWGALLNACRMHGNVEIGACVADKLVELDPSDSGIYVLMSQIYASKNKWDQVKMLRMTMRDRGVKKNPGCSSIEVEGKFHDFLVADVSHACSEEIYSALKNIYFHLKQEGYVPPT >OGLUM12G08030.1 pep chromosome:ALNU02000000:12:7924870:7933555:-1 gene:OGLUM12G08030 transcript:OGLUM12G08030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLEQPTIHHRPAQNTQRWPPEVSPLPSAAQGDAARPPVPSASRTRRSWWWLPRRAAGGAHGRRWERSTPRRRSCTGAPELTGGDMGRGGGGGGGGARPCALEFVRGARRERERESVVASSSWGRVGHVGPTDMSLTGGSRKCLIGLLGRLVTWSGPPGFVWCCGGREFAGVIRVTATDMWAHYSSAHVNTRSPVAEFLFLSGVSARRLVSFLISKFRASSPRRAAGMS >OGLUM12G08040.1 pep chromosome:ALNU02000000:12:7926573:7931554:1 gene:OGLUM12G08040 transcript:OGLUM12G08040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPVSSGAPVQERRLGVLLSHLRPCAPPAARRGNHHHDLRVREAEGTGGLAASPCAADGSGETSGGQRCVFCEIVKGNKPAYKLYEDDVCLCILDTKPLSTGHSLIIPKRHFPSLQATPPSVIAAICCKLPLLSSAIVKATQCDAFNVLVNNGKVAGQVVFHTHVHLIPRRKGDNLWSSETYERNSIKHNQETKDLVSGIKELLFPPQDDSAEGSTIPKEL >OGLUM12G08050.1 pep chromosome:ALNU02000000:12:7934742:7940405:1 gene:OGLUM12G08050 transcript:OGLUM12G08050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVGQAYFRGDNSGVRIVAATTVRAPISDPPSPPPSLPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSDENKYLRSPPIILQKVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >OGLUM12G08050.2 pep chromosome:ALNU02000000:12:7934742:7939861:1 gene:OGLUM12G08050 transcript:OGLUM12G08050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVGQAYFRGDNSGVRIVAATTVRAPISDPPSPPPSLPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSDENKYLRSPPIILQKVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIMLMLFLKFS >OGLUM12G08050.3 pep chromosome:ALNU02000000:12:7934742:7940405:1 gene:OGLUM12G08050 transcript:OGLUM12G08050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVGQAYFRGDNSGVRIVAATTVRAPISDPPSPPPSLPLSLAPPAAGDPPAGARSPDLAASAASNRSPQLPLPLFLSRQSPTPLCCTGLGVGWSGRSIQDSSDENKYLRSPPIILQKVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >OGLUM12G08050.4 pep chromosome:ALNU02000000:12:7934742:7940405:1 gene:OGLUM12G08050 transcript:OGLUM12G08050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVGQAYFRGDNSGVRIVAATTVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIGNEERRSKFKTNFESCPKEKTILGT >OGLUM12G08050.5 pep chromosome:ALNU02000000:12:7934742:7939861:1 gene:OGLUM12G08050 transcript:OGLUM12G08050.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFMVGQAYFRGDNSGVRIVAATTVLEAWRMPRREGGRSRSAAYLVLFASCLLAVAAASHQEFHEAAGSRTLLMSHEHTNQVHCSRERSRAAWKAIDEYLMPFVEKEKYELPSKCRLHPGNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFHNRHNNLVDNSQGRCLADLCGALHCDLMLEFKKPKSKCSATAAARNRHLCEIVAFLLIKGNLPAVFMKQTNRFYLALCALTIMLMLFLKFS >OGLUM12G08060.1 pep chromosome:ALNU02000000:12:7943277:7956784:1 gene:OGLUM12G08060 transcript:OGLUM12G08060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Secretory pathway Sec39 (InterPro:IPR013244); Has 1807 Blast hits to 1807 proteins in 277 species: Archae - 0; Bacteria - 0; Metazoa - 736; Fungi - 347; Plants - 385; Viruses - 0; Other Eukaryotes - 339 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G24350) TAIR;Acc:AT5G24350] MAAGERWATSSRPRATVDGGDMHGRRRAFGGGGGGRGSVPGFGRPAAKSEAPALNLADGGATTTASAAEPDNREAVAFACRLARNDSAIRLTVIPTTSVVDHIGIYDNDGGGGEEEVLSIVAHDDDPDNSRGLVPGDNLTAQQEYEVTSSLLSRHGAGEDPRPPPHATSTSAGAAAPCDAYLVLRSSSLYASVISISNLLNVTAAAVIESNAVDLVAADPLVLVPVVGDLLPTRWLAYLRGEKKFGRWPGPSQPIFIPVVTSHREEEKKKEKKKRGGDSSNPRLEQELPTPGEISGCEARSGGGRWSAVAAAGMAGEDEALYEIRRLASGSHEIPREGEITGTSGAAGGVLSYLSLQGVSKLKERWTRNSALGWSKKRSRINAALLFVSRNADYVGVAVGNRITVLRKGDGYASPCGVYTNNDRMAFFTNGAWLEAQGILGVVDDLNTLHLIKENGEALTRRTSNQLKLSYPIVNIVVHDGSSSERPGFYIFTSDGMVHKFDYMQDHEANLQKVAILIQDAVSAKTPQLPHSVSCVDYHQDHSLVVLVGNPNAFLSSNGSSGACFLYVLHFNGNLEFSLSFPSLQLEGTFFPPKDQATFASSAKVRISPQSKHIATLDLNGSVNIFVLANDKRSASLHPPRNGTQLSDVKDISWWTDNILMVVKEKGSINMYSISGNRVVSEDGHVLSTPQLEKARAVEGYTFILQSSRYEGNTTFEEVDSNSMPNLQNVSRNNQRSEMDKIIWSLISFSKITVPEKYSILIRGNRYKEALDFACKHNLDKDEVLKAQWLSSDGDVHDIDTYLANIKDQVFVLSECLNKVGPTEIALKALLSFGLRITDRFKFSKLDNSIDTSAWDSRIIRLRLLRYNDLLETFLGINMGRFSAVEYRKFRLMPLVETAVALAESGKIGALNLLFKRHPYTISFDILHILSAIPETVSVQSYSQLLPGKSPPSVVILRDGDWVECEQMASFINTCSDQLEKNGEFKTELLLKHSAGFSWPSIAELYEWYKSRARDIDCLSGQLENCLAMIELGCQKGIVELEPFFDDIKCLYEVVYSDELSEFIMNLAMWEDLPNYEKFKIILKGAKEGTVVQRLEEKAIPFMKKRSHLICLSNEEKHRESYLIRWLKEVASQNELSICLAVFENGCGDSPIHGLFKDIAEMIETAVHCIYLCSATNQWNTMSSILSKLHHKMKREKSMLASEEDYNFKDAKQALGTCVVSFDDMQYVCTRILSGLSSPGDSYSHDSINYQLDNIKSLDMLEKKLKVAEGHVEVGRLFAYYQVPKPIHFFLSTHLDEKNAKQIIRLLLSKFGRRQPVRSDNEWANMWRDLKHFQEKAFPFLDSEFMLVEFIRGLLKAGKFSLARNYLGGTSAVSLSIEKAENLVVQAAREYFFSASTLSCNEIWKARECLNLLPNSISVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEITDVAKLLGLKNEEEIAAVEEAIAREAVVNGDLQLAFDICLTLTKKGHGAVWDLCAAIARGPQLDNLDTSTRGKLLGFSLSHCDEESVGELLNAWKELDVHDKFEQLMISTGTNPPNFSTDGSSITPLPVQSVQDILDLREDISDDRGIDHVGIVKQMLSKVCTDLSNEDAYRWESSLAESRKLLSFSALELPWLLKLSNDEEHDGKKQSLKTDHPIRRYQFSTKVKAINCIIHWLAVSGFSPNDDLVMSLAKSVIEPPVDEEDYVLGCSILLNLMDPFNGVKIIEEELKKRECFQEISSIMNLGMTYSSLNSLKKECSTPEQRRNLLLEKFHEKFTSVESDELDQIDEANATFWREWKAKLEEERRLADQAMMLKQVLPDVDTSRFLSGDVNYIKNVLFSFIDSVKLEKKHILKEAVKIAETYGLRRTEVLLRFLGCALLSESWDNNDILSEISEFRDDIVNSAKGVIDMIHSDVYPEINGYNKQRLSYIYDILSACHSYLKRSSEIELTYPEHVHTHKFEPFQYYKVLAEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVRALADMVQALVSMYVDVLAKGLISRQGVYKHYVLGLLASLEGRTEARSDNIDSEKLQAVLSEIELNYDSCKEYIQVLPATDISCIIIRYCMLCFPCDLTRNHPQEPSWKKPLDVLVMLWIKLIDDIPVESMDACPYGRAEYLDSNRLSHCMRVFRQLLVDDKITVCRGWDAISMYVKIGLGDEIPMEISYFCRSMILSACAFESVAQVYHGGQEQLENESVDPSNPLDLLELYSATLDDCLSDLIKSPSESQILLHKLLSSLSRSTEKHAGTLEMIRSGVWGKLISFSENMQLDSQLRVYALQLMQCITGRNLKSLPNELVSQVEPWELWYEPGTGSSVADDNNSPSSSITGTLVALRSTQMITTVLPNANITPDNLGTLDSAVSCFLHLSESASSVETIAVMEAVLEEWEQLFSSKEEYVPPQESPKETNDWSDDWDDGWEALPEELESPTKKHGRTSLSVDPLHTCWMEIIRKLVELGEPHKVIELLDRASSRNSMLIEDDEANRLLELISAMEPLMALKIMLLLPYETTRLRCLQMVEAKMREGTVSTSSNADDHELLALVLSSGVLQRIVTEVEYSKLFSHICHLVGHLARSSQNDLLVKWNDEANAPGTSKTNKSLLFARVLFPSFISELVLRGQYLLAGFVISRWMHTHPSLGLMDVAEASVRRYLNGQIVQAQQLGGTDVFLTDNELSVSHALSTLRSNLVSLVQAALATLPNQDL >OGLUM12G08070.1 pep chromosome:ALNU02000000:12:7944920:7959522:-1 gene:OGLUM12G08070 transcript:OGLUM12G08070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLPTTADGEGVAATLEPDTTRAMSRLAARKEAMGGSTSYLCNKACPVKTNQVSSHAGLRDIHQAKGWVEEALSDKCKKQDTAESRVPRLSGLNRINKGEKNIFDVIDITRQESRCVDIRQHLFFITMAEREAQQLATVN >OGLUM12G08080.1 pep chromosome:ALNU02000000:12:7959419:7960150:1 gene:OGLUM12G08080 transcript:OGLUM12G08080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLAASLLIALVVSGSNVAATPSPSAVVGNGDIASTAQEMQRARYFTFVMLIRMVQEKIPHNTTFLMPNDRMLSTATIPESQVMEFLSRHSIPAPLMFDDLIKLPNATIVPTAHSSQTITITNVKHQKIYFNNIELISPDVCRIGDLFRCHGINGVIRPIVPRGKGSACPGHLAPATAAPGPASGANRSLETSSLTFPNMSSATSPSLQPAAESPQSSDTSTSQIASSYTTLILVLVFSIF >OGLUM12G08090.1 pep chromosome:ALNU02000000:12:7959556:7965435:-1 gene:OGLUM12G08090 transcript:OGLUM12G08090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGADAPTKTSKASAPQEQQPPASSSTATPAVYPDWANFQGYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYAMASPNGNADPTGTTTTAAAAAGGETDGKSSEGKEKSPIKRSKGSLGSLNMITGKNSTEHGKTSGASANGAISQSGESGSESSSEGSEANSQNDSHHKESGQEQDGEVRSSQNGVSRSPSQAKLNQTMAIMPMTSSGPVPAPTTNLNIGMDYWANTASSTPAIHGKATPTAAPGSMVPGEQWVQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRAEVLKQENASLRDEVNRIRKEYDELLSKNSSLKEKLEDKQHKTDEAGVDNKLQHSGCRDGLVAELMLGKVSEDVSKDRFAPEAGPGAAVAGASLMRSSNMSGAGMECLDRNSITWLSGMVAVDSILSLGIRKVVLCGIFSCTILMSMTKVK >OGLUM12G08100.1 pep chromosome:ALNU02000000:12:7971091:7973782:-1 gene:OGLUM12G08100 transcript:OGLUM12G08100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVSRRHSPLLLSLFALLDVLVLAQGPPDPVERGGRSSGLSDEVREEKEGEGRLSHGCHEDRLCYWNEGLLDLLLPRATADFSLIMNISGMANSSLNIFLMFMIPNTMKGWLTILTLEGLPTCKIDLIDKKSTSHFQWLNALKSQESVLVSIICSLYVLCTFHTVFIKNLDKI >OGLUM12G08110.1 pep chromosome:ALNU02000000:12:7993479:7993858:-1 gene:OGLUM12G08110 transcript:OGLUM12G08110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLGTSTPQALALRSDLAASAEPQTCNPSGRLRAETSAPAKMALAASAEAQAIQPSGWLRARTSAPVHVALFLGTSAPQALVLRSHLATSAEAQVLQTSGWLRVGPQR >OGLUM12G08120.1 pep chromosome:ALNU02000000:12:7993953:7995055:-1 gene:OGLUM12G08120 transcript:OGLUM12G08120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKDSVGYALEQMPPPGDSFGQRYWMCRNYAYNPEKPKPVPKGKKGKAKMPVKWIYKEMTEFYKGMIQTGREHQKEREERQRQRAAKEKAKRERREELDLREFARLNREKEERAKDQARKWPSPSPVNMFSWLADRSAPAKMALGLGTLAPQALALRSDLATSVEPQTCNPSRWLRVRTSAPAKMALCQGTSAQAKMALCLGTSAP >OGLUM12G08130.1 pep chromosome:ALNU02000000:12:8005524:8017594:-1 gene:OGLUM12G08130 transcript:OGLUM12G08130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNSELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVSQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKISKNTSVVDIRKLLYAKKNKSQKDDNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVSQNEAVITQQSQQYHEASIVRTNHPEQVEAVQEFQPQCLLSTVQHDTAGNNPLFQPNLPRGLANANNDFLLNVNNTSHVRQIDAPITIDQQYHEASILRTNHPKQVEAVQEFQPRCILSTVQYDTAGKHPMFQSSLPRGLANANNDFLLNVNNTSHVPQIGTAITIDQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKAPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >OGLUM12G08130.2 pep chromosome:ALNU02000000:12:8005524:8017594:-1 gene:OGLUM12G08130 transcript:OGLUM12G08130.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNSELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVSQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKISKNTSVVDIRKLLYAKKNKSQKDDNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLALNASYVLQTGEVITIHGQSQQYHEASIVRTNHPEQVEAVQEFQPQCLLSTVQHDTAGNNPLFQPNLPRGLANANNDFLLNVNNTSHVRQIDAPITIDQQYHEASILRTNHPKQVEAVQEFQPRCILSTVQYDTAGKHPMFQSSLPRGLANANNDFLLNVNNTSHVPQIGTAITIDQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKAPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >OGLUM12G08130.3 pep chromosome:ALNU02000000:12:8005524:8017594:-1 gene:OGLUM12G08130 transcript:OGLUM12G08130.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNSELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVSQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKISKNTSVVDIRKLLYAKKNKSQKDDNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLDIFTTTKHQILNTSQVSQNEAVITQQSQQYHEASIVRTNHPEQVEAVQEFQPQCILSTVQYDTAGKHPMFQSSLPRGLANANNDFLLNVNNTSHVPQIGTAITIDQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKAPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >OGLUM12G08130.4 pep chromosome:ALNU02000000:12:8005524:8017594:-1 gene:OGLUM12G08130 transcript:OGLUM12G08130.4 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEEQDLCGINVSERGKMNSELELLMKQCRNMAEHYHELEKDLKLHDVLLLLQILPAVSQDLGWIRLYINNAADQDNTQLLHQQWNAQLAINPPAKDLQHILFLTYLPRGPGNQQKVLRETFNNHKWELKEKISKNTSVVDIRKLLYAKKNKSQKDDNSLPCIALCLVYQSNKSPMLYLIQSLVHRLQIQVRPSAQIEQKKIDADNGKYSEDEDDYKRSHKYLMKGKYKVVDEHSYNNIQSAITLRDTIVRRLDTWLQQHQDIASTIEALLPFQNDLDDFILEKMNDMLSVVHRTLVKRKGTLKGSQVFEENKRACLRQEPAIESSQIKDFSNLALNASYVLQTGEVITIHGQSQQYHEASIVRTNHPEQVEAVQEFQPQCILSTVQYDTAGKHPMFQSSLPRGLANANNDFLLNVNNTSHVPQIGTAITIDQRYPQASSIPINEAQQGEALQCLEQAWLEEVIKAPEFKELLKSCSKYDDQENDFPSEMRINELPSSPSVMAAYNSQDEILDPEVPPLLGSFAVKLTETEPLDSYNDLKGHFEDIENWEAS >OGLUM12G08140.1 pep chromosome:ALNU02000000:12:8019277:8019796:-1 gene:OGLUM12G08140 transcript:OGLUM12G08140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKRRTVSLSLIFSLPPSFHSHLLSLSPSQQRERPLADTAATATATAEVAGDSGDSGGQLGTAFDKEARGREPAAPVRGRATTGSVRRLLGATSGGATAADEATTGSGAIPVPRRAPPARRRRRRPGAAPPPPGC >OGLUM12G08150.1 pep chromosome:ALNU02000000:12:8021891:8028898:1 gene:OGLUM12G08150 transcript:OGLUM12G08150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRFHVTDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPVWHYNLKKEYSFPVSVMHLAKKVGGQILWQESSHKLLGRLDCSSNERC >OGLUM12G08150.2 pep chromosome:ALNU02000000:12:8021891:8028898:1 gene:OGLUM12G08150 transcript:OGLUM12G08150.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMGRFHVTDPGSLGLLSSVSTAAVARARWKKRVRHGLLREHTPATCRRPRPKPIFPNPVWHYNLKKEYSFPVSVMHLAKKVGGQILWQESSHKLLGRLDCSSNERC >OGLUM12G08160.1 pep chromosome:ALNU02000000:12:8040527:8045642:1 gene:OGLUM12G08160 transcript:OGLUM12G08160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDQRRRPLLRQPPPRPSPPRLPVSIAALASSASNLAPPPIAARRLHRRRPPSSPSTVAAHGASKPSPHAQLIPVAHDHAAHLSSVATSTSHLTSISSAPPAIPRRSPPRPPSVGRRRLHACSHYSAVLVGAAQDYTSLPIDPALFHWSSLANLMQRQKCKLQACRTLALVVLEIILEGLEVKVIDESIQLRLWEFNMTKGSRDGIRLSASDATMFVQKACQTHSRRHSE >OGLUM12G08170.1 pep chromosome:ALNU02000000:12:8050511:8058903:1 gene:OGLUM12G08170 transcript:OGLUM12G08170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPTAILLLLLLLALPPPSAVVALTADGLALLALKAAVTDDPLSVLSSWSESDPDPCRWPGVTCSNVTAAGGEPRRRVVGVALAGKNLSGYIPSELGSLLFLRRLNLHDNRLAGGVPAALSNASSLHSLFLYGNRLTGALPAALCDIPRLQNLDVSRNALSGGLPGDLRNCRSLQRLILAGNSFSGEVPAGIWAEMASLQQLDISSNGFNGSIPADLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTSFLNNPGLCGFPLQVPCRAVPPPTQSPPAPTTTTTTTTPSSASAAAAAASEHHQPIRTSLIALISIADAAGVALVGVILVYVYWKVKDRKKQGRSSTVAAGDENESRHGLCRCIWGHRGIDSDTDTEDSSASENGGGGGKYGEGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVGNGATPVAVRRLGGGGGGGERCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLAAAMRGRPGQTPLSWSTRLKIARGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCADDVVDAPPAAPSSGGLLGGAIPYAAKPASSVAADHRGGGYRAPEARAAGARAAQKWDVFSFGVVLMELLTGRGPGDHASPSTSASFSAPSSSSAATTDRSGSGEHGHGAGVGGAVVPEVVRWVRRGFEEEARPVAEMVDPALLRDAPPLPKKEVVAAFHLALACTELDADLRPRMKAVADTLDKIASS >OGLUM12G08180.1 pep chromosome:ALNU02000000:12:8061415:8065830:1 gene:OGLUM12G08180 transcript:OGLUM12G08180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGCGAATAPAGVGLLHHHEKAGALFSAAGSVVRVQQLSGKGSKSQAIRCAMPSASEHGGISTATASSSDQKKSGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGDIELEGLEKKAKASGASQLVVKDLKEEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPIPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSKPEYLEIGIIAGVPVSINGKDLSPASLLAKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELESLTLDRETMQWKDMLALKYAELVYAGRWFDPLRQSIDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >OGLUM12G08190.1 pep chromosome:ALNU02000000:12:8075911:8080619:-1 gene:OGLUM12G08190 transcript:OGLUM12G08190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRTKTRKDASFHVDFNIFIQEISPWPPSESLKSLKSVVLFWENGERNSGKTNAVAPSIGSGTTVGKVEFNEFINLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDTSVPVPLNSKRSLRNNVQPMVYLRIQPLDGDNSSVSSRDALSKEASVDRDSKELMSEYTEDTEFASFTDDEEEEAPYPYRSDGNLRAGSNRSQESLKGKDVRTVGNEGSRSPFDSQREMPSSSTKVRSEEVEKYPIQVQKANGHPGNLSLLSDLPGEQTPSFPAQNALRAGRKMSFAYGMSDSNQRNFGERTYSTLTSDRAKNMRYSMRVPDFSGSVINKKVDSQKEEVKEVDSQDIAVSNDTRTDTYDGAQAQVPIRISNNRNDTKVRELELRVELLEAELRETAAAEIGLYSVIAEHGSSSNKVHTPARRLSRHFIHALKNWSRDKMGSAARSASSGLVLVAKACGYDVARLSFWLSNCVVLRAIVSETSKQSNGNAINNGSKTGPRRNSVSMWESLNRKKGKLLSPEFDNWEDVDTFIAALKKIESWIFSRIVESIWWQAFTPHMQSANISSEPMPSSNAKKCYGRITVVGNQQQATLSIDIWKKAFKEASEKLCPVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPVPSGKFSFGAGVQLKNAIGSWSRCLSDSFGMDMDDYPEVESGDGDNGAAELRKPFYLLNALSDLLMIPKDVLMETSTRKEACTLILFNKCTLFPSELVKFSFLLCPTFSSSIIKRILDGFVPDDFCPDPVQHSLLEALESEDHLEGNTKGIRAVPCSASPILYPYPASGAILSMIGDPRKSGSAILRKSNTSDDELDELSSPLTFISKASSNPLAKLKQIGNPNSARYRLLHEVWKLDDQ >OGLUM12G08200.1 pep chromosome:ALNU02000000:12:8090672:8092489:-1 gene:OGLUM12G08200 transcript:OGLUM12G08200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLPLSFSFTQKGIEFPKFLQTAVVVGLSVAAGHRSSYPRATRLFQSRSY >OGLUM12G08210.1 pep chromosome:ALNU02000000:12:8096712:8098349:-1 gene:OGLUM12G08210 transcript:OGLUM12G08210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVDFEEGWRLLATSLAKQRSITDGSMSKSSSEDDNMQLYMMVYNMCTQKPPHNYAQQLYERYKTDIDGYNSSMVLPSMRQINGETLLKGLVDRWRNHKKIVISETRFFFYLDRYYISRKSLVPLEQLNLCSFRDQVYSELKDKITRTVVDMINDERDGKVIDRDLLKDVLDVYVQIGLGMECYEVDFENAFRESTRNYYSNKAQTLILECNGADSPEYMLKAVECLQAELERVSHYLHSSTEPKLMQTSTVIVMMTAEKN >OGLUM12G08220.1 pep chromosome:ALNU02000000:12:8129662:8130190:-1 gene:OGLUM12G08220 transcript:OGLUM12G08220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKWRFLQRAPTVPLSDFVDAVRAIEQCARCCYSESTAILDDDGDGFAEMLLLDGCFILEFSAKLSRLSAESKCAMDSAAAGTIITICLAATAHGGIIIIVPYAATAGSNAPAPVPAPDEEVEEK >OGLUM12G08230.1 pep chromosome:ALNU02000000:12:8130194:8133530:1 gene:OGLUM12G08230 transcript:OGLUM12G08230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPTAVVGPDGHQLGLGVKKVVKRHKRSAAKEKQSTPANQSTSVVATLDEIKKLREEVLKEAIEEGLDYLSRGE >OGLUM12G08240.1 pep chromosome:ALNU02000000:12:8137838:8141991:1 gene:OGLUM12G08240 transcript:OGLUM12G08240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLEDVPSMELMTELLRRMKCSSKPDKRVILVGPPGCGKGTQSPLIKDEFCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKTSCQKGFILDGFPRTVVQAQKLDEMLAKQGTKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFAPPKTPGLDDVTGEPLIQRKDDTAAVLKSRLEAFHVQTKPVIDYYTKKGIVANLHAEKPPKEVTVEVQKVLS >OGLUM12G08250.1 pep chromosome:ALNU02000000:12:8145971:8151021:-1 gene:OGLUM12G08250 transcript:OGLUM12G08250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-dependent exopeptidases superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G60160) TAIR;Acc:AT5G60160] MASAAVAVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFSQLSEREEWAGLQPGRKYFFTRNHSTIVAFAIGAKYAAGNGFHIIGAHTDSPSLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIVREKKDGAVSYAHKLVRVQEPVMRIPTLAIHLDRNISSEGLKINNQNHLVPVLATSVKNEMQKLVAENGSESSESKNTKHHPLLLQLIAKEANCKPDEICDFELQLCDTQPSTVAGAMKEFIFSGRLDNLCMSFCSLKALIESTSSEESLAHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSRLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHHLPIQDFVVRNDMGCGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDIKHSYEHFKAYFEEFTELDSKVKVDC >OGLUM12G08260.1 pep chromosome:ALNU02000000:12:8158285:8159908:1 gene:OGLUM12G08260 transcript:OGLUM12G08260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREASSPPPPPPPPAVVHGAGSSLSGQKRKAAMDDGSGEDDNVLPWLKLSLGPVAYGVATGVVDDDSSSCAPAVTTSIEVRPPEATGVVSGSAAQPSIEHVPADDAVVTPSFVASAAGVLFTGCASGLIPNGAVSVFPCFNFLGPSMSSSSLSHLHQQFSSTRRQSNASMARSSRTRGGDDDMAPSNIAAPNVTNGGGNNNNDGNALSDPLYPWATNEPAKHHSLTELARRDITTIQGDARCRRCDARKARWMNPVVPNCDDCGHEKCLRPVIAAEKERINWLFLLLGETLGLCTLDQLKYFCAHTNQHRTGAKDRVLFSTYEELCNQLVPGLITRRDQLRMR >OGLUM12G08270.1 pep chromosome:ALNU02000000:12:8164471:8165828:1 gene:OGLUM12G08270 transcript:OGLUM12G08270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVDYANVSWKRKPSFFLLFSSSSSFPLSHRKPREEREKREQGDGAPVAERWRRQRRVVFPLVAHPKRRSASEGSEGGGRNGEDKDWSGQERKSSGGEIGKIGSPRRGKPGWRKDLASGAPVREIGRWRGGGRHGRKERESLESSAVERERATAEVGSERRRDTWLWWRRRGEVDDGAGFVLPLAEKGGAHTRRPWQRWAVEVEEYGTTLQLGKTAAAAAGPWRRRDAVGGGVPMAPVAAPTGEEERGGWLGRLGWASAQLGRQPKKE >OGLUM12G08280.1 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGNTTAYLVVNPQKLTCTLTLTTTAL >OGLUM12G08280.2 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDVFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVPDA >OGLUM12G08280.3 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFG >OGLUM12G08280.4 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGNTTAYLVVNPQKLTCTLTLTTTAL >OGLUM12G08280.5 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.5 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEVKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGVYPKTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDVFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHECRSPFNHCDVVTSTNKNLTGPRGGTIFFRRGKN >OGLUM12G08280.6 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.6 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGYFDTSLGRYLQDSLLNEVKHNCLSCGEPPEAHMYSYTHHNGTLTVLVKSLPLDVTLSGKDQGRIWMWTRCLRCNGKPTQRVIISSSARNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGMGPKVAMFRYSSVEIYSAFKPPLTLEFHNPNKKECREVEFNNVLRKWRLLLSEAENKIQILKSGGSGQALGENTKVSVHEELFLEVNRILAQEKYEFGTFDFLVKSGTCAHEILGLNWLHQLLLLGIYIWDVRLQHILQYCKANAASPDSTIQIKTPENKPKNYEITSVHGDTLSLTNVGMERQEERIDTCHSFDSSFGGMILENEQLTEKSVIQEPGSHVSPDHREDGGSYEVDKYAHISDSFCLEKLIDLPVKNNELPELARGNEMYPVAKPSKCFDVFLNLFDFLSNDARKWVWGSFSHLEKEYKKELQGGSLDKFHLINKYIPTFSSLVQLKSQMDMVQFIVGPGGSILSIVEEEASSMIAYALLISEQQGIYSEAAIVKDEVIAGRKIDKVTPINSIGDTPVPSAILSPNDSLEQDHNLSRNVSSLSSEESTSGFYDSFLSALKDLHPEFCLNNEKLTLKSKYTVLCIYAKQFYDLRKICCPSEIAYISSISRCKEWNAQGGKSKAFFSKSMDDRFIIKQIKKTEFDSFLKFGLEYFKHFGVSQASVNPTCLAKILGIYQVKEIRNGKEARTNFMVMENLLFGRNIIRRYDLKGALFSRYVLDSKNPENVLLDQNFIEDMRAMPIYIEGKTKNLLERAIWNDTAFLSRMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLFVPKNLSPTVISPKEYKIRFRAFMSQYFLSVSSPKTTTGLELPSGGHECRSPFNHCDVVTSTNKNLTGPRGGTIFFRRGKN >OGLUM12G08280.7 pep chromosome:ALNU02000000:12:8182547:8196560:1 gene:OGLUM12G08280 transcript:OGLUM12G08280.7 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMCHSCELEDATMDGVDDGLICSTSCYKMCGHADKSSIIDGEIGCPSMEISPCNTPYGTPLFSRESSCSSFASCFSSLDDYLVETDSEEEIEVLDTGQLHPGILFSDESMEQRTVGSVQVEECQVSHAAVVDDDSSISIPTNQNISSGQQQLEIHLDATNENSVPSNVIIDANVTDPHQDVISNGGLIEACYGVPLDDIDLKQSNILDGEEITSLPMADNEMTPLNDQIMDQIDDMKEISTIVYNNTISAEQHANSGSEFEKGNESSENLYPLVMPSFDTDPHIWLPPDPVNKDDDTDIVANNDDNSDNNDSWVQSSFNISFDAKRNKTSCEDQLQKAMSEVMNGQFKILVSRFLAAEGLSLSDGEADKNWLDIVASLSWHAALLVKPDANVGNAMDPCMYVKVKCIASGSIEQSEVINGLVFKKSAAHKQMRANMKNPRLLLLQGVVGHSSAGLLSMDSMKQENDHLEKILSDVITKCKPDAILVEKAVSRNVNEYIHKQGVTVVSDMNIRRLERIARCTGSPILLLQNVLATPNLIKQCESLHFEKFIEEHNITGGGKRSAKTLLFLEGFRKPLGCTILLKGSTSEELKKVKRVLHFTVFAAYHLILETSFFADQRLFATGKNAMEKGNCLKTDRQLLVPCTAAPSSKFCSDIAQNSDPTQHALNILASDGQYVNQDDFVNPEKSVCMHDSKIETSREHADRKLDDSNNIQSYSSLPVPDPSRNLIGDMSLDFAKLASCDDFAGSTSGAPSNNGVLQTNGADGKDCLETISDGISTGTRTSLDSQNILISMSSQHIRNQAICEQSHLSRITYYGNTTAYLVVNPQKLTCTLTLTTTAL >OGLUM12G08290.1 pep chromosome:ALNU02000000:12:8198358:8199285:-1 gene:OGLUM12G08290 transcript:OGLUM12G08290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTSAARAHHKAVIRRTPSSSHHVNLRSCLVFLAPFALQTKLWSNVKKADRASKTKQHTNHHIQLSLNKHTGSSSTANQKGLSKICATTTKV >OGLUM12G08300.1 pep chromosome:ALNU02000000:12:8207500:8215239:1 gene:OGLUM12G08300 transcript:OGLUM12G08300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MASSASVSPAAASHHRLLLPCSPRRLPRPRPRPRPRLLRSARPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >OGLUM12G08300.2 pep chromosome:ALNU02000000:12:8207500:8215239:1 gene:OGLUM12G08300 transcript:OGLUM12G08300.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubisco methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G14260) TAIR;Acc:AT5G14260] MASSASVSPAAASHHRLLLPCSPRRLPRPRPRPRPRLLRSARPRLVACHADTLLPSSSPAAAAAACASTASANGFSDWLREHGLPPGKVAILDRPVPCFREGKDLPLHYVAAGQDLEAGDVAFEVPMSLVVTLERVLGDESVAELLTTNKLSELACLALYLMYEKKQGQDSFWYPYIKELDRQRGRGQLAVESPLLWTESELNYLKGSPIKDEVVARDEGIRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTAVGDSVRLVVDRPYKAGEPIIVWCGPQPNSRLLLNYGFIDEDNPYDRIVIEASLNIEDPQFQEKRMVAQRNGKLAIQNFHVSPCTERAVLDQLVGYLESRLADYPTTLDEDDAMLADGNLEPKKEVATRLVRLEKKLLHGCLQAANEFINDLPDHTVSPCPAPFAPELK >OGLUM12G08310.1 pep chromosome:ALNU02000000:12:8223116:8223584:-1 gene:OGLUM12G08310 transcript:OGLUM12G08310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREQCDKLVPGIGTIIKDHAKYANVMVMILTPQMMCFPADVVRKIEEADAAADDAREKVDCSLYYSPRAPAADSPSAARPPRCYAAR >OGLUM12G08320.1 pep chromosome:ALNU02000000:12:8255512:8257699:1 gene:OGLUM12G08320 transcript:OGLUM12G08320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEASQLTETWRGQKRDEAGMGDNGDSGIPLISRLNKGVDEDSEDEGGETGDDLFNYEGLLGSKGGAVVITCRGVQP >OGLUM12G08330.1 pep chromosome:ALNU02000000:12:8264424:8265154:1 gene:OGLUM12G08330 transcript:OGLUM12G08330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLGAQGTGNSESTKAAVGEVVYSDEVSTQLANLATTKVLSTEVAVQLAGTDEVVTNGKEQQQEPVSIAQELQHVSASLLSPVEHVLDCNVQSELFPENSRLAGDGRVASTKNLMAVLATNEDQLSASRAATSKARDAAQAVGARSPTRRRPRRPVDPIPTRQSERQKAMANADAPVANRAELLKKVHNLETLTGVSLGKNDLEIKESIN >OGLUM12G08340.1 pep chromosome:ALNU02000000:12:8269817:8270380:1 gene:OGLUM12G08340 transcript:OGLUM12G08340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAAVVMTTTMMETRGLFAADPTVGRDVGSGCGSEEASRRWIRRWEVRGRRIREQEGTRTMDPAVGGMRASDPAVGGYSGGGSSGGEARGWAGGAWLRVWAGNEAQTAADPVAGRLAVASGLVELGHDYVYGRAAMTRLACAGPAACRPQQGQLISDIDIAPPHRQEELDAGVKECVEVVDFFILV >OGLUM12G08350.1 pep chromosome:ALNU02000000:12:8272863:8277278:1 gene:OGLUM12G08350 transcript:OGLUM12G08350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMVVSAYKGVIDSVLAKLRELMGGDMCANLIGVSSRDILFLRDELPAMSALLEKLDDAEELDSEAKNWRNQVREMTYDIEDCIDDFSKNITSVDAKTGFLYKASQFLKTCRAHLEAAWQIKELKTRLQEINERRKRYKVGHYISNTTSVTVDPRISAFYKETAGLVGIDSLKRELTKLVMDEETQLKVMSIVGFGGLGKTTLASQVYREVGVQFNCKAFVSVSQKPDMVRLLTSLLLQLKQHPSHACGVQELIDNIREYLMDKRYFIVVDDLWDVPSWNIITCAFPQNNQHSTVIITTRHGDVARTCSSDHGSIHNMKPLSAQNSRELFFNRIFGSKDYCPSYLEEVSCKILKKCGGLPLAIVTVASILACQPTRLKEEWEYIQSSLATNKFARKSTLEDMMQILELSYKSLPHHLKACFLYLGAYPEDCVISKVDLIKRWVAEGFVSHSPGQDAWVVAESYFNELVNRSMIQLPYQGYYNEVSHCKVHDMMLDMILMRCKEDNFISVIQDPRAVIEVQDKIRRLTIDLNGAMGDTMDMNITRKVSQVRSLGVFGGSKWIPPLLEFKFLRVLFLEFFLREMIIDLTGINQLSQLRYLKVECKECLMDGDIPSQVIIVLPSQIQRLQHLETLELPWVSECSIPSISGIIDLPRLTHLVLRQHKGGLPDGIGKLKSLRTLHGFNLPVSSLENIDALGELTSLADLSLHCGKQDTKSTTPGWMTALSCSIEKLGNLKGLYVRSNSLSCCADAMSSWFSPPFLNLEKLDLLDWTFSKVPRWIGQLHSLRELALGGKKILQEDVSMIGTMLPFLTHLSLRIVTCNIAVKESRIMIEGSIGFAALRFFCFDSNRMSHLEFGVGAMPQLKRLLLALDPWEWDEATPVGLKHLLYLEEIRVLTASTAVASAGSESMNGKSALVKGVFHDAANALQSRPAFTVLPRIRSLSDHVNCCKINMETVACK >OGLUM12G08360.1 pep chromosome:ALNU02000000:12:8282883:8290275:-1 gene:OGLUM12G08360 transcript:OGLUM12G08360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSDNGKAPEKGGEASGPSSAPQEGEISNEPQRRRPLSGRTTGPTRRSTKGNWTPEEEDDIIVQMVNKLGPKKWSTIAQALPGRIGKQCRERWHNHLNPGINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYMSSGLLTQVSCLPLNEYSAHCNSSPALTQQNSEDSGSYAVREVENSSVCSQSSLAKVSCSQVHNANVALGCDLQVNANVDNNEAHDSQSSVGHEACYTSVGAVATAIPEVHYHVSSSNFDPDQHLQEEFAQGLNLHMSMDEVPSNSSFADNPTICSIENHERSLEPYDVAMEMPLSMLPSDSGAEQKLHFMSEADFNSPNCLKSELWQDISLQSLLSGPDAVETDSFSRSNHQSDVYSSQADNEFLAPPYLLQTSNSSSVMEATYGQSPQMSVPPSLICSNVMTDVPSDNRSEPKEMTVSQAEMVTQSSSSSGDAEMSANPGSSNGSDIPSMMERVPECADQHVTNAEEPEASIEKEPSVTPSATADEKQDEGALFYEPPRFPSMDVPFVSCDLVTSGDLQEYSPLGIRQLMRSTMNVCTPMRLWGSPTHDESPDILLKSAAKSFICTPSILKKRHRDLVSPIPDKRIEKKSGTEKDCGVSDTSSIGIQTCFINATKDDAVITKSVLRIERSASSKPLEKKLEFSDENKENLDNTIEQAKDGQSAGNDKHIDEQARGERRTATNITTTYDDLPGNLQPAGILIEHNGDDLVSPDYGKNTMKQKQNTNMESLSVCKEGVSAKKPAELIVEKSSACINVDYEYVNILADTPGIKRGLESPSAWKSPWFVDMQFQGSYFVSPANGSYDALGLVKQINVQTAAALAEAREVLASGGQSENINSDKENLENPDAKKEPGATTKLQAKIKTEGKILDFNECATPIRSSDKKAGSSLGRSLSSPIPSSHLLKSFR >OGLUM12G08370.1 pep chromosome:ALNU02000000:12:8300950:8314182:-1 gene:OGLUM12G08370 transcript:OGLUM12G08370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component sec10 [Source:Projected from Arabidopsis thaliana (AT5G12370) TAIR;Acc:AT5G12370] MPSATDPPAALPLTLDLDDFKGDFSFDALFGTLVDELLPEFRGDDAPGAPPPPPPVLGAAPPVFPAVDELLGLFKHSCKELVDLRRQIDKRLQNLKKEVATQDAKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVPSAVGSANASRGLEVAVANLQEYCNELENRLLARFDTASQRREMSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMSIDIQVVLGEEGPQADHICIAEGLSVLYKEIADTVRREATTIMAVFPSPNEVMSILVQRVLEQRVTAILDKLLIKPSLANLPPIEEGGLLHYLRVLAVAYDKTKELAKELQSIGCGDLDIEGLTESIFVSHKDEYTEFEQASLRQQYQSKMAELRAEAKQQSESTGTIGRSNGAAVTTSLQQQISVTVPATVAANVRSIFACLLDQVSQYLTEGLDRARESLNHAATQRDRYVIGTSVSRRVATAAANAAEAAAAAGESSFRSFMIAVQRCASSVAILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFSALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGEFVRSFNAPSIDEKFELLGIMANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTAKIASMLNSIMAE >OGLUM12G08380.1 pep chromosome:ALNU02000000:12:8316426:8322201:-1 gene:OGLUM12G08380 transcript:OGLUM12G08380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEWFSRLSPSCAIATAAITSVLLALGSQEHPTRVDMGMSTRYVPSALALPEPCVLSLHGQKKDKRRSMAMAMAKLFIIGLSTTITCSSAVVFKGVVMVVERRKYHKHLYEPSSNRSGQRWVVNAEEAATKQMQRGQRMTLTA >OGLUM12G08390.1 pep chromosome:ALNU02000000:12:8326887:8327282:-1 gene:OGLUM12G08390 transcript:OGLUM12G08390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVLPRQCSDRCVPEDQKWWICTPKTQQTVVPSARGPTATDQVLPRQRSDRSACPRPKNGGSACPRPNGRIPLTSPSSRPSSLLLLSLSLRSMSLWLSLTTASRCVGGLRGEDEFCRRRCRPLPTGSSVE >OGLUM12G08400.1 pep chromosome:ALNU02000000:12:8328188:8332880:1 gene:OGLUM12G08400 transcript:OGLUM12G08400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAASAGRRNHEAPSSRAFSSGVRETVTGVETNDDGAEREAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDFSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPGYTGNLFHDFTDVIVPLYNTAARYRGDVQLVVTDGNAATRRWLARYGAVLRGLSRHAPLDLAAEAAGGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTRFLRRALSLPRDAPTRPGGGHGDATKPQPRPRLLIISRRGTRLLLNTDAVARAAEEVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLARADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVEIDRFKPVLLRALNSLAR >OGLUM12G08400.2 pep chromosome:ALNU02000000:12:8328188:8334345:1 gene:OGLUM12G08400 transcript:OGLUM12G08400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAASAGRRNHEAPSSRAFSSGVRETVTGVETNDDGAEREAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETTTRADSVDQPRHPLCDFSDHRTDVCDLAGDIRMDANASAFVVVVDPAVGADGPTYKVRPYPRKGDATSMGRVTEITVRTTAAAAPPPRCTTTHAAPAVVFSISGYTGNLFHDFTDVIVPLYNTAARYRGDVQLVVTDGNAATRRWLARYGAVLRGLSRHAPLDLAAEAAGGGGEVHCFGHTVVGLRAHGELIIDRERSPDGLGMPDFTRFLRRALSLPRDAPTRPGGGHGDATKPQPRPRLLIISRRGTRLLLNTDAVARAAEEVGFEAVASELDMAGADHDDVARVARLVNSFDAVVGVHGAGLTNMVFLPPGAAAVQIVPWGGLRWLARADFGEPAVAMGLRYIQYEVAAGESTLKDKYPRDHEIFTNPTALHKKGFTFMRHTFLNGQDIIVEIDRFKPVLLRALNSLAR >OGLUM12G08400.3 pep chromosome:ALNU02000000:12:8328188:8335737:1 gene:OGLUM12G08400 transcript:OGLUM12G08400.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGGGLWRPRVESRGTFRRRLLTVTAGCFLFFIVFLLSSRHDAIVLLDTRLGVGRPTAASLRSPAAADTLPDAASAGRRNHEAPSSRAFSSGVRETVTGVETNDDGAEREAERDNAAPAAAAAISGGDQEAQPGTAAVDAAPGHDKSLRTAAATGTSPPRHEQPGETIGGERA >OGLUM12G08410.1 pep chromosome:ALNU02000000:12:8342376:8362521:-1 gene:OGLUM12G08410 transcript:OGLUM12G08410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQKAVKDATLTLNKEISFKGNFPQEAKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPVSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNRQHNDSISQTASGDNYQLGSTAYASPKIENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEEAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPISISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKVFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSSMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTEEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTENDSQEVPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >OGLUM12G08410.2 pep chromosome:ALNU02000000:12:8342376:8362556:-1 gene:OGLUM12G08410 transcript:OGLUM12G08410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIENDNFLLEPSEDFDESISKLSYFPDVKLGVNIPTRRESHDLLDVDGDKNDYEWLLTPPETPLFRSLDDEEEQSAGQDSRGRTKSKPIRISGSSTVDNTQRSSRSSASPIRLSPSPRSMSRTRPSSAASRSSPPFALQPPTPSRRPSTPPSAKTLTPPRRSPSPASTLTPPRRSPSPASRRMSTGSTPALNRTRGPSPVKTNRISSSPKLQGWHSNVPGFSHDAPANLRTSLPDRPVSHSRGGSPSPPISGRDMGSRGRRQSLSPTPSRRASSSHSIERDRLSSYSKASATSSGEDDLDSMQSLPVAYSTSPAVKKSLSMMKTRTIASSKKPSTTFSTSSVPKRSFDSAVWLMDHRKAPNDMFRPLLSSVPTTTFNAGKGNVVQRPMFSHNSYMTTSSNASSEHSASFGPYVDNDQEQHDQISEWDDNHQVHGDIFMFDKLDELNEETSHEETTKFVESDRQDIDMEKGWAASQTSGTNSSHVGYGEMATCTRCGNVFKVMDVDRQGDYCEECGLLLSICSAGPVTQTLQEAHQQDEITANCKSYAESGTSIASDCVEYREEASLGHQFNDEPPADCIKACSPLQSMVDTNEEMLLAHEVSDNQQASAEHEHFRDQINSHSESLPQCLPELNRQHNDSISQTASGDNYQLGSTAYASPKIENTDATGISVLLLQKSSSNKWPVVEGRTLSATNILCSEPYYTRDSISAMRRSFGRDSSSATSSIDLGSSRQSDVRFERLRSGKRGDFEKARMSSTMSHQSVASVSDMSISGSSASLCPQSDVIGDTCFPIDTLERSASRTTVSIEEHDSSCMDALSSGMECSSAVQPIINDEILVDLNTSGFHRLSETEDILIKNHNMEMVADNDHLSTNLCLSDIEMPSDALESSAAEESYIPKTEEDTSTKAHCYTISTLEHPSDENNFDDLQMQSEAVQSSNEENKSNGCCTLAVSEDDVLVSGTDTNIKELPNDESPEAVEGSRKEIQRCFTLEEATDTILLCSSIVHDLAYKAATIALDHEQERVHAEPTRPSVTIVGKSIPKEDGLLKLTHRRTPNRKVKRKRLEGETTITENAEKKDDISTDHSPVRSSSGITRTSESMKPPKLESKCNCIIIPVQSTPTAAPLHCLLLAAATHRIHLQQHGNPSQPIPTRRGAPNAAAAAAFPAASSRICAPTHTTRLPRFGASACREAECGEEEEEEAESMPPSPSLRRSPSKEISHRRGHSFGSTVPAKPKDDELALFNDMRKNEEDNFLLESSDNFDETISKLSYFPDLKLGVNIARREESRDFLNTDGDKNDYDWLLTPPETPLFRSLDDDEDKLAGPAPRGRAQTKPISISRSSTMENAQRSSRSSASPNRLSLSPRSSSSNTALTRTRSTNSSSRCSPPLSLQSSTPSRRSPTPPGNKTLTPPRRSPSPASRRMSATSSGPTLNGTRGASPVKTNRRSSSPKFQGWQSSDPGFSFDAPPNLRTSMSDRPLSRSRGGSPSSFSGLNMVSRGRRQSMSPTPSRRTISSHSTERDRFSSYSKASATSSGEDDLDSMQSVPIDYSSSPAVKKSLAVMKTRTIASSQKPSKSFSPSSAPKRSFDSAVWLMDHRKAPQSMFRPLLSSVPTTTFVAGKGNVVHRPTFSHISSVTTSSNASSEHGATFSPCVDIDHERHDLVGQWETNDSSRIHEEIFMFDKSDELNEGSNCHQHSLSTTCSGLENSSGRVNCVESTKEGLDMKSRRTADQISCGFASSSEVGDGEMATCTRCGKVFNVMYLSGDNYCEECDFGDGIFSAGSKIQTTEGLHQKDHKFTHSKVCIPSEDRRPIALDCVEDSSDVSLDHQLVSNEPPADYLQRYSTESVVHTNEEKMLGKHLMNLKENISPHDIGDSSMGNSNDISPHTCSVSDYQEAEAAHVTEYKLFRLQKGNQNHEMAQCLSESDCQHNGFISGMVASGSHELGSTGPPSLKVENAEGNGISVLLLEETRSKKWPIVEGRTLVTTNIHCLEPYYTADSVSSMKRSIGRDSSSAASSIDLGPSGQSDVRFERLRSGKKGDFEKSQISCQSIASVSDMSISGSSASHYPQSYVNGDGCHPIYSLETSALTAAVFTEEHDGSCKEALSSAIECWSVAQAIVNDDCEVEDEVTQNQDKERMARDDNLGVDMCSSYTEVPSDMPQSPAADGSFIEKTENDSQEVPAIADYSVATPEHPCDENNSDIPRILSETVAASDDESKLDDCGVSSVPEEGALVSARDETKDEGRRKQFQRCFTLEEATDTILFCSSIVHDLAYKAATVGLEREQEAEFAHAPRPTVTMVEKFIPREDGLLRGPQRRTTRRKVERKIPEGDGESITDTARTEVITKEPAPVRSSSEITTFDSLKPPKMESKCNCTIM >OGLUM12G08420.1 pep chromosome:ALNU02000000:12:8386997:8390232:1 gene:OGLUM12G08420 transcript:OGLUM12G08420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVADEQSGGCSGCHKWWGHPPGRLTGRVSRCSSRLGSWRKMMVPPHDLELGWLPFTRDGEEDRVCCQYYGRKW >OGLUM12G08420.2 pep chromosome:ALNU02000000:12:8386997:8390232:1 gene:OGLUM12G08420 transcript:OGLUM12G08420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQVFVADEQSGGCSGCHKWWGHPPGRLTGRVSRCSSRLGSWRKMMVPPHDLELGWLPFTRDGEEDRE >OGLUM12G08430.1 pep chromosome:ALNU02000000:12:8389243:8410568:-1 gene:OGLUM12G08430 transcript:OGLUM12G08430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQRPEEEEDEEDAAAGSSCRVTATNGHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVRGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMERVGVRPPTVEVRWRDVCVEAECQVVSGKPLPTLWNTALSRFSLLAAKLGFSHHQSKVQILEIVSGIIKPSRITLLLGPPGCGKTTLLKALTGRLNKSLKETGEIEYNGVKLDQFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKAISMEGLQRSMQTDYIMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPPGASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGLMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >OGLUM12G08430.2 pep chromosome:ALNU02000000:12:8389241:8410568:-1 gene:OGLUM12G08430 transcript:OGLUM12G08430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQRPEEEEDEEDAAAGSSCRVTATNGHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVRGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMERVGVRPPTVEVRWRDVCVEAECQVVSGKPLPTLWNTALSRFSLLAAKLGFSHHQSKVQILEIVSGIIKPSRITLLLGPPGCGKTTLLKALTGRLNKSLKETGEIEYNGVKLDQFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTEGHNTRFYNPNVSQNTNLKEIPAELAKWSLNNSKHYLVLFGLRPSTMSCRIVTTVGPNFSTQLDFLMGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPPGASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >OGLUM12G08430.3 pep chromosome:ALNU02000000:12:8389241:8410568:-1 gene:OGLUM12G08430 transcript:OGLUM12G08430.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNGGGVDPPQRPEEEEDEEDAAAGSSCRVTATNGHHHQQQLVVSGELEGGGGGGREKEDDELKRKWAAIERLPTADRLRLSLLSSTRGGGSNGDVSEGGGGAASSELEVVDVRGLGAAERRAVVQRLVADVKHDHVRMLRKQRERMERVGVRPPTVEVRWRDVCVEAECQVVSGKPLPTLWNTALSRFSLLAAKLGFSHHQSKVQILEIVSGIIKPSRITLLLGPPGCGKTTLLKALTGRLNKSLKETGEIEYNGVKLDQFVPAKTSAYVSQYDLHVADMTVRETLDFSARFQGVGSRAEIMKEVIKKEKEAGITPDPDIDAYMKAISMEGLQRSMQTDYIMKIMGLDKCADVKVGNAMRRGISGGEMKRLTTGEMIVGPCKVLLMDEISTGLDSSTTFQIVSCLQQLAHISEYTILVSLLQPAPETYDLFDDIILMGEGKVVYHGPKNLIMTFFESCGFKCPERKGPADFLQEVLSKKDQQQYWSRSEQWYNFITVDQFCDKFKASQVGQSLAEDLSKLYEKSKANKNALSCSIYSLSKWHLLKACFDRELLLMKRNAFLHITKAVQLGLLAIITGTVFFRTHKNFDIVSANYYMGSLFYALILLMVNGIPELVMSISRLPVFYKHRDHYLYPGWAYAIPAFILKIPASLVAALSWTSISYYLIGYTPEAPRYFRQLLVLFLVHTGALSLYRCVGSYCQTIAVGPIAATMSLLVILLFGGFLIPRPPGASQAIISNDKIRICHGRDQEKSKDIKIGMRRMALPFTPLTISFRDVNYYVDTPPEMRKKGYMGRKLQLLRNITGAFQPGILSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQQTFSRISGYCEQNDVHSPQITVGESVAYSAWLRLPAEIDTKTRKEFVDEVLEIIELDEIRDALVGTPGVNGLSREQRKRLTIAVELVSNPSIVFMDEPTSGLDARAAAIAMRAVKNVAETGRTVVCTIHQPSIEIFEAFDELMLIKRGGELIYAGPLGQHSCKVIQYFQSIPGVPKIKDNYNPSTWMLEVTSTSMEAQLGVDFAQIYTGSSICKDKDELIKGFSMPPPGTSDLHFPTRFPQKFLEQFKACLWKQFLSHWRTPSYNLVRIVFMAFSSIIFGVLYWQQGNIRHINDQQGLFTILGCMYGITIFTGINNSQSAMPFVAVERSVMYRERFAGMYSPWAYSFAQVAMEIPYVLMLALLFMLIAYPTIGYAWTAAKFCWFFYTMFWTLLYFVYFGMLIVSITPNLQVASIYASSFYMTQHLLSGFVVPPSQIPKWWIWLYYISPMSWTLNLLFTTQFGFEDSSNILVFGETKPIAAFVRDYFGFHRELLPLSAIILAAYPVLFAILYGYSISRFNFQKR >OGLUM12G08440.1 pep chromosome:ALNU02000000:12:8431827:8436334:-1 gene:OGLUM12G08440 transcript:OGLUM12G08440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVSHRHETINAAAGDDDDQACMYALELLGGSVVSMTLKAAIELGLVDELLAAAGAAVTAEELAARLRLPAAAAAAAVDRMLRLLASYGVVRCATEAGPDGKARRSYAAAPVCKWLAAGSSGEGYYLKEAVSEGGTAFDKAYGMPMFQYLAQDGNEPSNTLFNQAMASHSVVITNKLLQFFRGFDDGAGVDVLVDVGGGTGATLRMITARHPHLRGVNYDLPHVIAQAPPVEAVEHVGGSMFDHVPSGSAILLKWILHLWGDEECVKILKNCYKALPAKGKVILVEYVLPASPEATLAAQEAFRLDVMMLNRLAGGKERTQQEFTDLAVDAGFSGDCKPTYIFTNVWALEFTK >OGLUM12G08450.1 pep chromosome:ALNU02000000:12:8455780:8470436:1 gene:OGLUM12G08450 transcript:OGLUM12G08450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITASATLPSLRPPPCGQSADCVPATLGQELFFFTGIYLCALGIGGAKAVLIAFGPEQLDGGGGGGEKERKASYFSWYYAVANVGMLTAGTLLVWVEDKVSWGFGYGLCASFVAVAVAVLAATAPMYRILPPAAPASSPLKGVLQVLVVAFSHKAKLSLPDDPTELHEDDGVKNSLLHPVFGLWTRLPLSATRIWKTATRARRRLCTVTQILLRLIPIWLTSAVYFVANTQAQDHQGTETDCRNGAFSVPAASLSSFQMAFVAAFVALYSRAVAPAAAFTPLQLMGREY >OGLUM12G08460.1 pep chromosome:ALNU02000000:12:8495303:8498317:-1 gene:OGLUM12G08460 transcript:OGLUM12G08460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lojap-related protein [Source:Projected from Arabidopsis thaliana (AT1G67620) TAIR;Acc:AT1G67620] MLSAARSGALARWHPRETLLPRLLSSSSAAAGAASLPARQAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGSCADYMVVATGRSDWHIKQKQKGSDRILMPSVEGQQAGKWIVIDSGSIIIHALEERAREYYDLESIWTKEVSPNISVQVSPTYYYLVVISPQQLVSNACSGN >OGLUM12G08470.1 pep chromosome:ALNU02000000:12:8519728:8520237:-1 gene:OGLUM12G08470 transcript:OGLUM12G08470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVIALAFLLLLTISLSKSNAARVIKYNGGGSGGGGGGGGGGGGGGAGGGNGNGSGSRYGYDYGRGGGQSGGGQGSGGGGGGGGGGGGGNGSGSGSGYGYGYGQGNGGAQGQGSSGGGGGGGGGGGGSGQGSGSGYGYGYGKGGGGGGGGGGGGGGGGGSGYVGKHE >OGLUM12G08480.1 pep chromosome:ALNU02000000:12:8581259:8582038:-1 gene:OGLUM12G08480 transcript:OGLUM12G08480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKIGVIAFVLLVSIGLSNAARVARYTTEGGGGGGGEGGGGGGGDGGGGGSGYGSGYGEGYGQGGGTSGGGYGQGGGGGGGGGQGGGSGSGYGSGYGQGGGASRGGYGKGGGGGGGGGQGGGGGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGASGGGYGQGGGGGGGGGQGGGNGSGYGSGYGSGYGQGGGAHAGGYGQGGGGGGGGGQGGGSGSGSGYGSGYGGGAGGRT >OGLUM12G08490.1 pep chromosome:ALNU02000000:12:8596344:8596786:-1 gene:OGLUM12G08490 transcript:OGLUM12G08490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVLVQSYGRYDGVNCVYRWTPAFGCGIAGAAAVLAGQAVVAAATGCWDRCRRARSDHRRVAVVFSSVLSWHSEVTIDHRRRALLATVSAAAFVVGAFRSQSGERRQREDGVETYYRCTVLVAGMFAGA >OGLUM12G08500.1 pep chromosome:ALNU02000000:12:8599615:8601066:-1 gene:OGLUM12G08500 transcript:OGLUM12G08500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSPWAVKKGLLCGRTHSGRSSVTGSAATARRRLAPPSPPPPPPPPPPPPPRRDPPSLSRSPSASADAPSFPSRSRHPVRGRVVRLHQLSVLRLPVKIRHRAAVLRRLRLLFEIHSTAQPFLCKSVSATKGDGNIALDKLWHKRKAEIKHQ >OGLUM12G08510.1 pep chromosome:ALNU02000000:12:8612272:8613288:1 gene:OGLUM12G08510 transcript:OGLUM12G08510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKGGCCLAPRYGAASAAAAAGAGGQAAMAWQMGRIMLKFRPIAPKPAAMAPAPTPAPVAGVGAGKGKRKAVSGSGGGRRGRKPKKAATVATLAAAHAPAPTPSVAGKVVGHCKEMEREKEKEKSLSSPSSSSSGMTSVESSPPPPPSAMLPLLPVRPLDTTMTTQAAAPGEQPPPPVAAAHAAAQSVVVAPPPRALLPAAAVVTVEDVTSVWRDGGSGAARAGDDGDGAPAFVSDQWGRVTWKNAAFHRAVAPDAAAPDQARVALAAKDGDAAAAVPAWGTCAGFTCRVRVHPSPSSPRRGSVVAPCDVWCLDAGGCYLWRLDLQAALSLSLGALP >OGLUM12G08520.1 pep chromosome:ALNU02000000:12:8626492:8629513:1 gene:OGLUM12G08520 transcript:OGLUM12G08520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAYYAGLVAGTPPPPCRPGRLRRRSHQAIRVTNGVNMDSRAKLAAPVAVVTGASRGIGRAIAVALGKAGCKIEESGGTAITFSADVSIEAEVESMMRAAIDTWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAAGVMMMKKKGRIINITSVSGIIGNIGQANYCAAKAGVIGLTKAMAREYGSRNINASTQLAVIMTLQLQSEEQKKQDLKHLLIELVPNLALQVNAVAPGWVTSDMTTKLGDNVERKALETIPLGRFGKPEEIAGLVEFLAVHPAASYITGQASTTAENGLQICDQMNMF >OGLUM12G08530.1 pep chromosome:ALNU02000000:12:8630437:8631213:1 gene:OGLUM12G08530 transcript:OGLUM12G08530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAEAAAESMFLPFLAMFAAIYLVGYLVVFRRWGGAQRAEASSCFASLFHGTPAAALALRAVLSNPRGCCVAAGDLAAPNTRADELALDFSTAYFAVDLAHYLVLLPGERLFVAHHLATLYVLATCRAAARRGACALLAMEVLAEATSLAQNLWTLAGMRRAGSPAAAAAHAWLSLPFYAAYTAMRAVLGPAWFVRMVRFYYASDGGGGGEALPAWARASWTVVIGAGIVVSVLWVSNLWLEFFRENKRKESSKEQ >OGLUM12G08540.1 pep chromosome:ALNU02000000:12:8632757:8637447:-1 gene:OGLUM12G08540 transcript:OGLUM12G08540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha 2 [Source:Projected from Arabidopsis thaliana (AT1G67630) TAIR;Acc:AT1G67630] MEEEIRAEFESSGFSIGGADPGAAAEILSTLLTYCINYKMSPADLVSNWEVYYLNRQLNGLKLESSYLDGFLSHLQNEVKDRIIKEETSLHIYSSNDVDMLLSSTHTDEEGFLDTPSTKQEKPNGESCNSELTPLTTDRPSSSRLAKTNGDRITPFSQRVNKFTQQYVLNSDNVASVPSKDEIESTEDEVIRRVQPSKRCTLQVQRSQPEPGCRFMYDRIEDRFNYLEDRIRRSGSLFSASGLCGEPADATLASEESMFSVGMVICDGEGHLNEKSILLQGSVEHSRGQRVRLDLKDVDRFSLFPGQVVGIQGHNPSGHCFVVSKLNDSIPNFVDAQLPRAKKQAVDSEGNQSSDVVSRVLSSMGPFIDSDHPEIKKGAVDQSFQDIFLFEILRKLQDFTQYLGHNVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQIHFGCCTMDILKHLSGEEISRKPPGEKHGDRIGRICTHILKQQSYYPLYPPPAGVPLDFSLANGALEIASAPDVLLLPSDLAPFVKVLSLDESSEEPKRFICMNPGRLAKGIGGGTFVELNYNEDTDKTSASLIRI >OGLUM12G08550.1 pep chromosome:ALNU02000000:12:8651258:8651641:1 gene:OGLUM12G08550 transcript:OGLUM12G08550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWRVAAAGGLLLILLVAQQASAQAAEKVISVSAVVQPNTKKKPPHQQPKIRKCTEAQKQDILHECRGYVTAGSHIILPDLHSACCDAARSVQNLDMDCIVDLLTSEERSRYNANRIKHLNEMCRP >OGLUM12G08560.1 pep chromosome:ALNU02000000:12:8678994:8687870:1 gene:OGLUM12G08560 transcript:OGLUM12G08560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSQLGAMACGAAPSTSPLAARRSGQLFVGRKPAAASVQMRVPRAGRARGVAMRVACEKVVGIDLGTTNSAVAAMEGGKPTVITNVEGQRTTPSVVAYTKGGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMAEVDDEAKQVSYHVVRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKKVVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQTNISLPFITATADGPKHIETTLSRAKFEELCSDLIDRLKTPVTNALRDAKLSVDNLDEVILVGGSTRIPSVQELVKKITGKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDAKLNELKEAIAGGSTQSMKDAMAALNEEVMQIGQAMYNQQPNAGAAGPTPGADAGPTSSGGKGPNDGDVIDADFTDSN >OGLUM12G08570.1 pep chromosome:ALNU02000000:12:8686189:8686581:-1 gene:OGLUM12G08570 transcript:OGLUM12G08570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRRVLKHGVIPRDAAQVVGIRGFAIASKAKKGGKGAADAAKTPVLSKELKSTTVFGANILKEGSDPKLQPDSEYPEWLWHLLNKRPMLSELRRKDAKTLPYEDLKRFVKLVNRARIKEQNALTAKN >OGLUM12G08580.1 pep chromosome:ALNU02000000:12:8688456:8689775:1 gene:OGLUM12G08580 transcript:OGLUM12G08580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRIEGGARRQRRDEGNDKNYGEPAPLTVLAGQRTYGAAANREGSADKHGRTVSGVSTCRSGIGERRRSEWGCGARLREKSGDTQDRLQREAALLKTVVTDFYNTRFG >OGLUM12G08590.1 pep chromosome:ALNU02000000:12:8690430:8693466:1 gene:OGLUM12G08590 transcript:OGLUM12G08590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSAMNTSRAEEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMSELGWGPGVAAMIMSWVITLYTLWQMVEMHECVPGRRFDRYHELGQHAFGDKLGLWIVVPQQLVVEVGVCIVYMVTGGKSLKKFHDLVAPPSAPPIRTSYFIVIFGCLHLVLSQLPNFNSISGVSLAAAVMSLSYSTIAWAASLHHHNHNNGAAAGGVDYSLTAATSAGRTFNFLSALGDVAFAYAGHNVVLEIQATIPSTAERPSKGPMWRGVVLAYGVVAVCYLPVAFAGYYVFGNAVDDNVLITLERPAWLIAAANMFVVVHVVGSYQIYAMPVFDMLETFLVKKLRFKPGMPLRLIARSLYVLFTMFVAIAVPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIMKPKRFGLSWCINWFCIIIGVLLSVFAPIGGLRSIIVNAQSYKFFS >OGLUM12G08600.1 pep chromosome:ALNU02000000:12:8693920:8697233:-1 gene:OGLUM12G08600 transcript:OGLUM12G08600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGSAPAFRTGLPKKHELKSKQKLEKKLSFYTKVKDAVTSLNATKTICKKSKQRSRQKKLKAYDLSMLSEFLPETDASNLHTEAKLNCKSKQALVQREAAQLNAVLTNPQFQLDPFAAIHQHLLSTQPPSARKESNSAKQGKDPKDKKRKKNKKKNASSASEAMDI >OGLUM12G08610.1 pep chromosome:ALNU02000000:12:8715656:8720652:1 gene:OGLUM12G08610 transcript:OGLUM12G08610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIHSEDAALAGLRVAERAYWKEFVDRVLKDTSSIDITASNIAVPTRLPVELQVLIKVMIHQILNTQPGAIPPLAFHWCCSQLLQHLVNSVRTQGQPTVEPNVHGHQRCRGAGWSSGIPERLADRNVSEDGGIGGVDSRRNIREMNGKIRETNASRESPRILKPTGEPNPSTGGIRIQNNRQEQPAEMTIFHPWKNITDRTSAYELSHIDNLVNHEDDETKRQKEQSVHITLLYEINLINEELFDTVISITGHKHGGTVIKFSYNAVSLARDMELPFAAYGTSPLKPAKLFVPADYPRSSPVYEDGDEQHQGMYSVISGMVDKVFQRALRILPVPMSIRDMARQWGISVRTVTNGGGTFSSGFGQWESCTDEFASP >OGLUM12G08620.1 pep chromosome:ALNU02000000:12:8722815:8733553:1 gene:OGLUM12G08620 transcript:OGLUM12G08620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGSLLDQVRHAMPSWVLKNIEKLAGHYGAEFNSLRAFEDRVFNETSSIDDYISKISTKMAILERPQSSSGIQHQGQMGNQTRLPTTVMQEQPQPQQQPHLMSDNHHQTHGGWQSNVARVQMITGVGEVNWREEMFQKISVLKNSFFSELADFDRLLHNCQKTEEQLQSLPKKQADQYKRIAKLKDAVRSALDLLQLQKSSIDEGMKVKFCKYESSIHSLLRFYRETKAKINEMNANRHNNQQEQPAGLPRQRITHRTPSSARRQNRTDNVIGQSEDKLRCRVESVVAKKKPIDRLINALRHSVEDDRTDVKRQKTRHVNSALANEIDAMNAKLIDTVVRIAGEKDGGTEIEFSYTAVSLAPDMKQLFDAYGTSPVKPVKLFVPADYPRSSPVVSNNNDDGDEQRRGMFGEISGMVSAAFHCALRELPPSMSVKQMASEWNSCVQMIMKKFAIRHGGGTFSSRHGQWMDCTVE >OGLUM12G08630.1 pep chromosome:ALNU02000000:12:8768427:8768675:1 gene:OGLUM12G08630 transcript:OGLUM12G08630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRAAADGARRRLRRQLSMPGSGRPQQQTKEASAALVRLPCNKGKASRFKRSCFSEEDDAASAAMLLLACVVCAPSLPLIN >OGLUM12G08640.1 pep chromosome:ALNU02000000:12:8775539:8776865:-1 gene:OGLUM12G08640 transcript:OGLUM12G08640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDLNVCNADFSPKIITKLAPKGWQSGTMGTGSDYPYPYPRGNSCPRVYPLLHDEQGTLPMPVAHRHHVPTGMLVYPSQTHSSTFQPQISTSRETLSQFKERVQLYQVQQYKHYKFINTRWWHLY >OGLUM12G08650.1 pep chromosome:ALNU02000000:12:8827397:8827858:1 gene:OGLUM12G08650 transcript:OGLUM12G08650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVSAAGEGAHHPPSSQLRAAPRSLISSPSPRCQEGRDPWLCAAVGLVAIPPLPATDLVAISRSSGELDDRRLDLEAVGGGRSQRGQDGHGTLTPRSSPCQSSMANLEVVAGMGGEGGGSCDGDRKPYMACSPAAPSHDPCSLVRPASISAN >OGLUM12G08660.1 pep chromosome:ALNU02000000:12:8831621:8835830:1 gene:OGLUM12G08660 transcript:OGLUM12G08660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVVFGILCKIGSILGSHLTQALVSRLGKEVSVLIEVESIVRQIRSEFRLMQSFLQDGQEKESSSRQAETFLQEVQQIAFEVEDILDEFVYYFGRKETPSVELLKNFFRKSESVMPLCRIAAELKEVQNRLQNLRNLKLQYNIDLSEESASSIRNEDSKDHTLHHIMHNKKLVGFVNEREKLQELLMADERSCSIISIWGMGGSGKTTLVKTVSETKASKNHFDCQIWVTVSQTYDITEIMRKIIQGALKDTCSADLGSMSSEGVVLMLQETLQGRAYMMVLDDVWDTNVWFSLEAFLDESSIRSKVVITTRINDVASLAEDKRQLQLRGLDEAESWDLFCMWAFRHGEDQTCPPAMDRVARQIVGRCEGLPLAITAVGNLLSFKRLDLMEWEKFYNQLNWELHNRLDNQGLSMVTRLLGLSYKHLPVHLKNCFLLCSIFPEDYMIRGKRLCKLLVVEGLVEPRKNMTLEEIAMEYIEKLVDRCLLQVARRNKLGRVWELQMHDIIRELAISISEKEGFCMIHNKAQRSVVECEPRRLSIHENSVRVQLSINASRVRSFYQFDIDCSSVSKVQWVSRTARYLKVLELGSVPIRKLPRDIGNLFNLHYLGLRRTKIKQLPESIDRLQNLRTLDIFLTEIASLPRGVTRLRMLRHLIAGKAVASYFGLEDVFTGVKVPNGLWRSLDLNVLTGISASSNLVEQLASFTQLRSLKLTDVKNIHYTKLFSSIRKMQLLKNFLIGTANSDEYVSLEALDPAPQNLEILFVKGRLHDRVICSDLFEANRLTLMELTLENSRLSVDPLPSLSNFCNLTLLGLFNHYIGETLLFQAEWFPKLHTLTLAELQNVSSIDNTNSHGFGMSGISNSEPCHGLMDPTKGL >OGLUM12G08670.1 pep chromosome:ALNU02000000:12:8896967:8898327:-1 gene:OGLUM12G08670 transcript:OGLUM12G08670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKERDTSANAPRREKYAQCVDQARLSPASHLGVAAPHHTKPVARCIVSMVACCQAFMVTLRAARSMAMHRLQGLFPPQHLDAPPFIPSRHQPSCLMSRLPAIATGCATTCGHPLHTHRRHGHGLSGFAPHYLLYASCGLATAITESR >OGLUM12G08680.1 pep chromosome:ALNU02000000:12:8906796:8928604:-1 gene:OGLUM12G08680 transcript:OGLUM12G08680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSVKHITEMALKIKHAVETVQRNKEDCIQIRRRVMRVSDVLMLLQETENMLSNPAIRAALEDLADTLHDAHTLVVSCQEKNVVCLFCAATTLSNKLRRVNDQISDQVMVGILATTVHITIALTQSQGDRQHDVMYALPPRDMTDDIEPEPEPPKNEEPRPPPPRPMEPQPEPEPPPSPPEEPTTLLSLQTPPLPRKEPSPSHPPPLPRKEPQPPPPRPLPRKKPSPSHPPPMPREEPQPPPPPPLSRKKPSPPSPPPLPQKVPSPPPPPLAPPPEKPDASLIVEYAPSPEEELTSPLSPQFGGVPAHEYNGYFTPARKISASIGKQKFRKRTLMKLSFPELESATHHFTTRIGQGGSAIIYKGVLRDGLEVAIRKQANARPNRYDEMPEMRRLIHLCSMLEHKNIVKLLGYCHENRGFDTSNEFLLLLDWSSRFRIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLKDDEVNGSVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNVRLSGGMNMLFDPRLCDESQLKEIKRCMDIGLLCTQNKATKRPTMQDVLKMIQGKKKVPTPKQPGYIKRVRAAGRHKQV >OGLUM12G08680.2 pep chromosome:ALNU02000000:12:8906796:8928604:-1 gene:OGLUM12G08680 transcript:OGLUM12G08680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVSSVKHITEMALKIKHAVETVQRNKEDCIQIRRRVMRVSDVLMLLQETENMLSNPAIRAALEDLADTLHDAHTLVVSCQEKNVVCLFCAATTLSNKLRRVNDQISDQVMVGILATTVHITIALTQSQGDRQHDVMYALPPRDMTDDIEPEPEPPKNEEPRPPPPRPMEPQPEPEPPPSPPEEPTTLLSLQTPPLPRKEPSPSHPPPLPRKEPQPPPPRPLPRKKPSPSHPPPMPREEPQPPPPPPLSRKKPSPPSPPPLPQKVPSPPPPPLAPPPEKPDASLIVEYAPSPEEELTSPLSPQGVLRDGLEVAIRKQANARPNRYDEMPEMRRLIHLCSMLEHKNIVKLLGYCHENRGFDTSNEFLLLLDWSSRFRIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLKDDEVNGSVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSDSGRDARHQASIEWAWNVRLSGGMNMLFDPRLCDESQLKEIKRCMDIGLLCTQNKATKRPTMQDVLKMIQGKKKVPTPKQPGYIKRVRAAGRHKQV >OGLUM12G08690.1 pep chromosome:ALNU02000000:12:8918374:8921482:1 gene:OGLUM12G08690 transcript:OGLUM12G08690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYFYAFAKIPLRTCGHMIANPDEDGEDEIPEGALWSKVEGADEGMKEQMKSLKVLYERPKCVGGRRLRRRQMMSGLGDGQFVAVLAVLLILWSRDTQQCWLGTDDDKDAHELRNDVAPRVKDGARSLPIVPC >OGLUM12G08700.1 pep chromosome:ALNU02000000:12:8937718:8938122:1 gene:OGLUM12G08700 transcript:OGLUM12G08700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQAFPSCCPVAANVVAGAHRIPPPELLHRPPLERMVVLAGDEHHPTLPLPSVVGEKMKNSGARSSPFDAGQRLHCQCRPGHGHSVCHTMPLTNVAGGARIRPSATAAPPSAAAVAAPVPADDAAAACAAWRR >OGLUM12G08710.1 pep chromosome:ALNU02000000:12:8939519:8939806:1 gene:OGLUM12G08710 transcript:OGLUM12G08710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPRCGGCGLALHGPVSLICTGVGGERGEAATGVWEPWSSAVRERGRALRAVSSLRPLVGDQGGAPPAVSSSTRVRRPEILGADNSLPPRWRRR >OGLUM12G08720.1 pep chromosome:ALNU02000000:12:8944431:8946004:1 gene:OGLUM12G08720 transcript:OGLUM12G08720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLVPLDPTCWEGGEKRLRARCFSVGARGGGNAISGLGVDVGQAGSGSVGARGGDDGVAWLAGDVGARRGREEKEKNRPWEKRDGRQGLHVSEVETDILGNTKLQC >OGLUM12G08730.1 pep chromosome:ALNU02000000:12:8952417:8953958:1 gene:OGLUM12G08730 transcript:OGLUM12G08730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGVREFRQFVRMTPERRAEYLVGMAGVLTLVTSIVLLLSGSTYGYSPKVCTGRGVFFSPTIALGLLLMAAFICGMCGQRYGGDECLFGCYLLGLLIAFPLLLAFIIFGYVAVGGIDLGGVSVRDYNLEEYSGWLRGRVADLHYWETTSACLHDGNVCSGMTRLVRDPDTGIFVPELSPYERWLKEHGIKKGVHVMSPIESGCCKPPSSCGFTYVNGTTWISTPAAAGAPAAAANVDCSRWSNNQQTLCFQCDSCKAGFLDDIKKAWSFDALYPILALIGAFLSCFAGVKYWRPRLDTGYSLIRERAVA >OGLUM12G08740.1 pep chromosome:ALNU02000000:12:8990894:8992566:-1 gene:OGLUM12G08740 transcript:OGLUM12G08740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSILKHITQIALTIKEAVDTVHRNEKDCDQIKRRVARVRDVLSWLQETGNITSSSNPAMSAALEDLADTLHHANTLVVSCQEKNVVCLFCAATALSNKLRRVNDHISDQMMVAIWAILAATLHATCALGLVQGDVKHDVMYALPVTEITDDIEVTLAKKEEPKLPPPPMEAEAES >OGLUM12G08750.1 pep chromosome:ALNU02000000:12:8996271:8999559:-1 gene:OGLUM12G08750 transcript:OGLUM12G08750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATHHFATRIGQGGSTTVYKGVLRDGLEVAIRKYENPHPNRYDEKPEMHRLVHLCSMLEHKNIVKVPGYCDENRGVDLSNENTPKEVVGEQLDWFSRFQIIQGITQGIIYLHTHSGKPTIVHLDLKPDNILLDSDMNPKIGDFGLAKVLEDDEINASVRGTLGYMPPEYIVEGVISVKNDVYGFGVTLLETISGMSKSGRDTRHQASIEWAWEVRNSGVMNKLFHPSLCDNSQLKEIKRCIETGLLCTQKKPTDRPTMPDVLQMLHGMKKVPTPKQPGYIKRVREAERYKQVWAERL >OGLUM12G08760.1 pep chromosome:ALNU02000000:12:9104624:9109897:1 gene:OGLUM12G08760 transcript:OGLUM12G08760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVAIQLYSLLFKLILRRRLSSLSASASSSSFGVSSRAAADHHHPSPPSNPSFSSAAGADAVATKDLHPDPLSSLHLRLFLPNPHHSATPAAPAAGANAPPPLRRNSFPQPAHDARSPASAVGQELSRRASASFSGVSPSAAPCYGGYLPTARSGRRLPVIVQFHGGAFATGAADSAANDAFCRRVARLCDAIVVAVGYRLAPESRYPAAFEDGVTVLKWIAKQANLAACGRTMARGAGSGGADSFGAALVEPWLAAHADPSRCVLLGVSCGANIADYVARKAVEAGKLLDPIKVVAQVLMYPFFMGTSPTQSELKLANSYFYDKSTCLLAWKLFLPEGEFSLDHPAANPLVPGKGPPLKLMPPTLTVVAELDWMKDRAIAYSKELRKVNVDAPVLEYKDAVHEFATLDVLLKTPLAQACAEDIAIWVKKYISLRGHELSY >OGLUM12G08770.1 pep chromosome:ALNU02000000:12:9156135:9162168:-1 gene:OGLUM12G08770 transcript:OGLUM12G08770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQY4] MGVKGGLHTTGAKWFMIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIMEWNYTTLLYPLFRDQEVDGQLTKDYRDEFHSNCGLRRMASWLLKLEIPCSRNERVNHPGTSKNLLLTRQGIHYCKVLREQDRPTEDVARLGLLPEEAAKARSDEYCPLGEPVKKAGAEIQASASVTCFSTFVTTMALAWAFAQLDYQSSIMANEGTQTRSRQLEEQVRAMRESMDKIQTDLAERMQQQSEEFQAQKQLQQQQFEKQVVESIF >OGLUM12G08780.1 pep chromosome:ALNU02000000:12:9168547:9172168:1 gene:OGLUM12G08780 transcript:OGLUM12G08780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQY5] MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKIVTACGFLFNIGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQGNSSDDPAGNVHSRTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTTLQISWAAVQAFVVAVAVERDFNKWKLGWDVQLATVIYSGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEANGILAEKPEFEMQGKEAQMPGDAGTKV >OGLUM12G08780.2 pep chromosome:ALNU02000000:12:9168547:9172168:1 gene:OGLUM12G08780 transcript:OGLUM12G08780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQY5] MGNGGIKAYAAIVLIRLMYSGMHVMSKVALDQGMSPFVFVFYRHGSAALVLIPAVLILERPKAKQVTLKIAAKIVTACGFLFNIGLNYASATSSSALYNVQPVVTFILAVVFGMESMKLKKLHGNVKAAGILFCVTGVTVLAFYQGPMLGSFNHHHLFQQGNSSDDPAGNVHSRTQWVLGIFLMTLSNVLAGLWTVLLGPLIEETSKLMNTTLQISWAAVQAFVVAVAVERDFNKWKLGWDVQLATGVVVTALSYYMQMWTITKRGPVFLAMSMPLTFIFTIIISSFILGDPTSLGSIFAGTLLIGGLYNVLWGKNIEEQDEANGILAEKPEFEMQGKEAQMPGDAGTKV >OGLUM12G08790.1 pep chromosome:ALNU02000000:12:9174508:9176926:-1 gene:OGLUM12G08790 transcript:OGLUM12G08790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTCRSNFSPPPSQTSFTDSVRHRRRRRCPALPRRPATSPPRLRPPRRRTAPPRRRPRPRTAAE >OGLUM12G08800.1 pep chromosome:ALNU02000000:12:9198011:9233078:-1 gene:OGLUM12G08800 transcript:OGLUM12G08800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFENWAGKSRRLTKSPQAPRCRLVYKVKFALPKYDCKDEGISEEVAPAYRNGGRARRGCSTDLRPLYKASTLDYATVPICLELRGLGASSINAYAEHPWSYPRR >OGLUM12G08810.1 pep chromosome:ALNU02000000:12:9206210:9206389:1 gene:OGLUM12G08810 transcript:OGLUM12G08810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDGAVDAHGESWDAERLYVCNGSVLPTAVGVNPMITIQPVAYCVANGIADSISDKTT >OGLUM12G08820.1 pep chromosome:ALNU02000000:12:9231823:9232784:1 gene:OGLUM12G08820 transcript:OGLUM12G08820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQZ0] MFKSFNQHHLFQQGSSTSSAGNTHYSKKQWALGIFLMTLSNVLGGLWNVFQGPLIEDTSKLMNTTIQISFASVQAFVVAVSAERDFSKWKLGWNFGLAAIIYSGVIVTALSYYMQIWTIAKRGPVFLAMSMPLTLIFTIIISSFILGDAVSLGSIVAGILLIGGLFNVLWGKNLEERDELNKIGPAIPDLELQDKEAQVPNDRSTN >OGLUM12G08830.1 pep chromosome:ALNU02000000:12:9248950:9254025:1 gene:OGLUM12G08830 transcript:OGLUM12G08830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISPGRTTPPLPPHPSSSSPPRRRRRHDDDSPRHRRDDRKRRASPSPSPSPSPSDRDADRHRGKSRASPPRRPRDSDPAESNGGGAPKPGGDNGGDRSPRRARVPDADAEEGGRRRRRRSTDSDDERGDRDRHRRRHRRRSPSSESSDGGRSRRHRRDESSRRQRDERRRDRGGREERRRSPDRKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESEKKRSKRRKR >OGLUM12G08830.2 pep chromosome:ALNU02000000:12:9249123:9254025:1 gene:OGLUM12G08830 transcript:OGLUM12G08830.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLSWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAVGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDELDPETNLNVFRANPNFAEDEKAYENLKRSILGAESSDDEEGSDDASDEDAEEESDDEEDEEQMEIRDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNEKLNDPNMQDSFDSIFPKDHPKNTRFSINFFTSIGLGGITETLREYLKNMPRLIMQQQKPASSESGSSDSGSASDSSSSESESSSDESEKKRSKRRKR >OGLUM12G08840.1 pep chromosome:ALNU02000000:12:9253332:9261835:-1 gene:OGLUM12G08840 transcript:OGLUM12G08840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAVAVAQAAASAAVDADTCRSRAPDERIGLVGFIVGEERRLTDSCCLTFLEQACSAGRLYMEGLVVVGGKEGLVVEVGGNYSMDYDYDWVLNSTSTNS >OGLUM12G08850.1 pep chromosome:ALNU02000000:12:9262843:9266343:1 gene:OGLUM12G08850 transcript:OGLUM12G08850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADADEETPLVEESTAAIISCAGARSARFLLPRAGATAAALPGCPPCAGPVLGDGHRVEFHGWPCSSALWRRWVERLRPRHEPLWREVGILDAVLATAAACRVRRDGGLVLQLAAFWCGATSSFAFPWGEATVTLEDVAVLGGLPLLGAPVTARLPGALAGDVAALEAVRAALHRSKCKNSTYSTWLHHFLGRDGGDGDGEDAEAEAQSLLEHGAFLATWLSLYVLPAPPFKAVRPQVFPLAARQARGQSVALAPAALASIYNDLTALKRHLASSTKWRHPFVAWAPLHVVQLWAWERFPELRPDKAATSAHATADGHGAPPPWAARWHNARTELQPAHIHAVLMSPMEFEWRPYGSSGFALQLDKVGIWIHGRDIARSRELLSFAQCLRPCELVGLRCVEHYLPHRVARQLGFDQDVPGNVPRASSNSSVAWATYKMEPQDVKFTLPRHEPGVTVEYAQWWEPYSSACAGAVANAAKMKQFDGVDCPRKRKAEGFVDGNSGKRRHLETAENPEDEIPLIHRQNSIIMTMNGNSNHVEVVGIGKDSMASWARDGGNGSPLHKSTQQALSDAEAVLETTVGEDEASDHVIAEDKKNSSTGDGESEVCCLVEDAASDDSNKAIGPAASVTRKSIPKDVVVISDDEFDEELSSKDDEMNTMHLSSDPMETTKCTLQELDVKREVVITGNGEQGSPLLKELQERKMRR >OGLUM12G08860.1 pep chromosome:ALNU02000000:12:9268136:9271270:-1 gene:OGLUM12G08860 transcript:OGLUM12G08860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDLSPRRGWRASLSGWTLRGGADCGCARWTRIVVAAHGPVTADDVRRLGIEVNIVGARFSSFHGVLDTLASTRSFAASRSPPMSRSSSKKGVTPWRAVGLSAWCATARARLACGKMGSFEDGIITKPHPAMASCETPTREWLNVNFREETLTCRTHNITPLATFPTPSSFEGAFSLPALPCSSPLPLLLMPPRAPAASAARLHAHVLELHGCGGGGGGLALRRTHAASLVSGALATSLPLAGALLLSYAALSDLASARLVLRHHPLRLRSAFLWNSLSRALSSASLPSEALRVYNLMLRSAVRPDDRTFPFALHAAAAAVASAEDKGLELHASALRRGHLADVFTGNTLVAFYAACGKACDARRVFDEMPARDVVSWNSLVSAFLVNGMFHDARRALVSMMRSGFPLNAASLVSVVPACGMEQEEKFGLSIHALAVKVGLNTMVNLTNALVDMYGKFGDVEASMRVFDGMLEQNEVSWNSAIGCFLNAGLYGDVLRMFRKMSEHNVMPDSITLSSLLPALVELGSIDLGREVHGYSIKRAMDLDIFVANSLVDMYAKFGSLEKASTIFEQMKDRNVVSWNAMIANLVQNGAETEAFRLVSEMQKSGECPNSITLVNVLPACARMASLKMGKQIHAWSIRRGLMFDLFISNALIDMYSKCGQLSLARNIFERSEKDDVSYNTLILGYSQSPWCFESLLLFQQMRSVGIDYDAVSFMGALSACTNLSVFKHGKEIHCVLVRRLLSGHPFLSNSLLDLYTKGGMLVTASKIFNKITKKDVASWNTMILGYGMHGQIDIAFELFELMKGDGLDYDHVSYIAVLAACSHGGLVDKGKKYFSQMVAQNIEPQQMHYACMVDLLGRAGQLSECAEIIRDMPFPANSDVWGALLGACRIHGNIELAQWAAEHLFELKPEHSGYYTLMINMYAETGRWNEANKIRKLMKSRKVQKNPAYSWVQDQDGNKLQAFVVGDG >OGLUM12G08870.1 pep chromosome:ALNU02000000:12:9277079:9281686:-1 gene:OGLUM12G08870 transcript:OGLUM12G08870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQZ7] MARCGRRSPASPAVAIALIVFLAYGGGGGGVCEAAPASAVVKSVPGFDGALPSKHYAGYVTVEEQHGRNLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGSWLICTNVLDFIHDAGSMISYHKNLTGHGYRAFIYSGDHDMCVPYTGTEAWTRSLGYGVIDSWRPWRLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >OGLUM12G08870.2 pep chromosome:ALNU02000000:12:9277079:9281686:-1 gene:OGLUM12G08870 transcript:OGLUM12G08870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BQZ7] MARCGRRSPASPAVAIALIVFLAYGGGGGGVCEAAPASAVVKSVPGFDGALPSKHYAGSCRVAAAACRYVTVEEQHGRNLFYYLVESERDPAKDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAKSLPKLHLNPYSWSKVSSVIYLDSPAGVGLSYSKNTSDYNTGDLKTAADSHTFLLKWFQLYPEFLSNPFYIAGESYAGVYVPTLSHEVVKGLHDGVKPTINFKGYMVGNGVCDTVFDGNALVPFAHGMALISDDIYQEAQTACHGNYWNTTTDKCENALYKVDTSINDLNIYDILEPCYHSKTIKKVTPANTKLPKSFQHLGTTTKPLAVRTRMHGRAWPLRAPVRAGRVPSWQEFARGSRPSGVPCMSDEVATAWLNNDDVRAAIHAQPVSSIGSWLICTNVLDFIHDAGSMISYHKNLTGHGYRAFIYSGDHDMCVPYTGTEAWTRSLGYGVIDSWRPWRLNGQVSGYTQGYEHGLTFATIKGAGHTVPEYKPQESLAFYSRWLAGSKL >OGLUM12G08880.1 pep chromosome:ALNU02000000:12:9295907:9299903:1 gene:OGLUM12G08880 transcript:OGLUM12G08880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIACVQLGLATGGLTAWGSPTIRDEPPGGLLRQDKLAIPRVKGAECYGRGAIPVIWNCNYGDAGNKIHEVLLHHPEYLQQNAMMVFKVAMWRCMTPMKKKQLTHDVSVGNWKPTKNHTLFKRLPGSGATMNMLYDDQIYGKGYIDDTNVFISNYQYNLDLMGVGRKHSGDNRDCTEQVAFNPSNKKPDDQQQQSYNITQFSNSRYTSPIEKKACYREDRTTYLLLTLLSTPDGQKRDVSDDYSSLLKKADRCL >OGLUM12G08890.1 pep chromosome:ALNU02000000:12:9342354:9349084:1 gene:OGLUM12G08890 transcript:OGLUM12G08890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEHLLGISCDYLPKGLIIWLVKHFDVSSRTLILPNGHNFTINPFCVHQILGIPIGGYSIINRCDDDNIRRLIIDETKCKGSVPTINELIDLLTKDLLGDKFKRIFMLFAITTFLCPSSYDHASPDYFSAISDVSQISSYDWCGAVLDKIVFSIAKFKSGTSSTIGGSLLAFALIYFELLDTNILPTTNTVPRLQLWSTEIVKKYESIDSFGRDSCNYGRLPLKDINCTPFRRRMLNPSKSPEIPYELEQLLLAHSTISDHSKLRSDIHTAIKDMYQDIFNAIQPVVGKHLTNIIQLILPQSVAQEGNKSLHKKAGAQSNHEFNQIANSTSAQPFQAESNAQHHVEVQTDLHHVDTLTIVKPNGDHVETQTIVKPNGQRNRIKTICKRQRFSIPHLPVIDEAQLDNDNLISFSSEDIIRQIRPHHTDLSFDIPCNPNILDTVFSFAQEHSYMQFNHYDSSSSFDIRSLVSQELTELGTELVDYPSTQERKIEDHVNFDEFCRPKSNEVHFIKQGITHHLNQGCSTHSSVHPLTDSCRTPQAEPKIRKNQTSHQQYLQRENFSATSNQQEQPSIQGFVQHNKICGNSTLALAQREIFEDIINRIPYFGKSPRIIQINNNWVDHKTFGCCMQVYGRINKYVMDMMAEAVMTEQFEMDKLGHLPKNLWSRHIVKCDTASLFQDPYINPCEISNLFTEPNQGYKLDIMDMEDTKVLREMLTLYMITHRYNTKHNPETIAIMKKYGLAAQ >OGLUM12G08900.1 pep chromosome:ALNU02000000:12:9369944:9381215:1 gene:OGLUM12G08900 transcript:OGLUM12G08900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGPDKPIASIAIHAKEELLAVASGHKANPRFLQRAAAAALNSSSAAVAMGVLKELSSATALELQESSATAAASLR >OGLUM12G08910.1 pep chromosome:ALNU02000000:12:9395239:9400414:1 gene:OGLUM12G08910 transcript:OGLUM12G08910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPSPDPYKNKSEEEEEEEEEEEEEEEESPPSRSGGLMLRTLPGWGKGILSCFHEKMWPPIDEAGFRGQGYMNAAEDIEKYYVAASERLPLEEIPDLVGCINAGGLCVGLADPVTNIILNAIALLQQPSPPSQSPTTPPSQNQNQNQLKTKKIYRWFPIATKSYDSLCAFMTAYFNYLSRTQAQRYLYLACQDLALAINLVHYDRFPSYSQEQQRCLLPDGGLIKVALRAAALQARHPAPDVLAGLMTAQLQNNNTMLSPINVREIKDLLAHQWPGYPSPTNIGFRCRPDGITCIPSRNGGCLIQDCLEDGIVACISISRVQPSQQQLYLSQLTFQDEGIDARLSSCLIGPVIAKLQEQDDEIEVNYDDHPSEHILSLRMCLLKTIHSFYIKALAKLPSSTRSARLFRALLVAGHCYGPMDPVSNIILSTIWYDIAFGADVGIPQGIFSTRPLSRMVSRSLDGLVAISSFTTCESEHEALYNINSLGCDLSTYLFDTVDDESLSSTQETAVSNSVLFAAAAKAAKHPQHEAFVSFVKSLLLDKPSNRILSHDWDKLNSFLRSVMPFSTRNDMDAQRTLFSFASLEMFHPSAPLVMAPSASQMMSRDKQAIVCTELNKMLDEYCYQHPWEPSYKLDVVCGVMQSSRCAYYGNSMLYHANFLASSDDGICTSSQTEATLFFAEFWEPSLSKDVVALFVRVK >OGLUM12G08920.1 pep chromosome:ALNU02000000:12:9470075:9471040:1 gene:OGLUM12G08920 transcript:OGLUM12G08920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAPPPSLPPAIPLPLPTWQPDPSPAVLPWPDLLAGAAAATRRLIAAHSRHFLALSSLLLLPLSLLLLSLPAPFLPPVSPSVSLRSTPPPPQALPLPLLAAAALLYLAAFAAAAASAHAGFFGRPVKLLASLLSVPASLLRLLLTALPAAPLLLLPLLPLPFPLTAALAVLGLLLLVPFWSLAGAAAVVESSAGVSPLRQSCRLLSGARLAALSAFLVFGAGIGVTLWGFGGVAAETYDTSAGWAGMAPVVVKAVVRTAVLVVLMLYGMVTNVVLYMHCRALHGELTGEIYNEFANSYVFLPFDEGKDRHVVSVVTVWP >OGLUM12G08930.1 pep chromosome:ALNU02000000:12:9494381:9498358:1 gene:OGLUM12G08930 transcript:OGLUM12G08930.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR03] MHLCLLHYDTCTSLCKDNMACCSSLLRLMILPVAFAVLVIASVAHGAVVEHTFNVGNLSISRLCQPEMIITAVNGQLPGPTINVTEGDTVVVHLVNESPYNMTIHWHGVFQRGSQWADGPSMITQCPVGPSGNYTYRFNVSDQEGTLWWHAHISFLRATVYGAIVLNPRAAAPFPAKPDAEHVVLLGEWWNANVVDLERMAFLTGIPARNADAYTINGKPGDLYNCTAANQTEVFRVRRNETHLLRIINAALNTPLFVKVAGHGFTVVAVDASYTTPYATDVVVIAPGQTVDALMVADANATASPGGRFYMAATPYDSAVPSGPPFSQTTATAVVEYVGEADDAVPPVLPARPDYNDTATAHRFWSNLTALVLPGKPTVPLAVDTHMFVTVGLGVSDCQPAQLLCNRSAPPVFSSSMNNASFVAPTAISLLEAHFSNASAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHIHGLNFFVLAQGFGNYDEATAAPLFNLVNPQERNTIAVPTGGWAVIRFVANNPGMWYMHCHFEAHIEFGLAMVFEVLDGPTPETSLPPPPADLPRC >OGLUM12G08940.1 pep chromosome:ALNU02000000:12:9505333:9506811:1 gene:OGLUM12G08940 transcript:OGLUM12G08940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSHQHHHVLVLQLILLLPFLHLVVPGTPAAAGKFSDVLASGRNVSDGDVLVSPGGSFTLGFFSPAATRRRYLGIWFSVSPDAAVHWVANRDHALNDTSGALTLTDSGVLLLLDGSGKVVWSSSATAPPSATTSAAARLLDSGNLVVHGQGSGTALWQSFDYPTNTLLPGMKIGKNRWTGAEWYLLSWRSPADPSPGSYRYVTDGDEALPENVVLDGNGTEVYRTGVWNGRRFNGVPEMASFADMFSFQLTVSPGEVTYGYVAKAGAPFSRVVVTDDGVVRRLVWDAATRAWKTFFQAPGDSCDSYAKCGAFGLCDSNAGATSICRCVKGFSPASPAEWSMREYSGGCRRDVALDCGTDGFAVLRGVKLPDTRNASVDMGVKLDECRARCVANCSCVAYAAADMSGGGSGSGCIMWTKPFVDLRFIDNGQDIYQRLAKSELKGITLSEEKQYLKGN >OGLUM12G08950.1 pep chromosome:ALNU02000000:12:9518105:9526862:1 gene:OGLUM12G08950 transcript:OGLUM12G08950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLQQSADESIRSGLPEGLTAVKVLKQSGCSTYRRELRSMFLLRHANLVRLLGFCNQDTGQAFRALVYEYMGKKSLDIYIFGDKVQRATLGWLRRLNIIYEIAEGMKYMHVGSGVQMIHRDLKPHNILLDDNWTPKISDFGLAMLFSPDEAKQHTQLIALQGYTAPECFSSSDVTPKSDVYSFGVVLLEIITGRQNEISQRLLPHVWNFWDNHRSHCPNHGPGCTLELLDRDVPRPDEESLRRLQICVTVGLLCVQDSRDDRPDMPAVVDMLKSQDLPRINPSRQTLHGMEVGESSGTTATEDLP >OGLUM12G08960.1 pep chromosome:ALNU02000000:12:9534120:9535853:-1 gene:OGLUM12G08960 transcript:OGLUM12G08960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLKHANLVRLLAFCKHDAGQPFRALVYEYMANKSLNVYILGDKAKRVLLDWTLRLDIIIGIAEGIKYLHEEHVIHRDLEPQNILLDSNWTPKISDFGLAKLLCPHEATQYMQYTAAKGYTAPECLLERGYKPSTSSDVYSFGVTLLEIISGKQNCMSQQLLPHVWNYWDNHHGPDCTVQLLDPDVPQPDEQTLRRLQICVTVGLLCVQYSPEDRPDMSAVVDMLKSQDLPQINPKRPTLHAMEMVNRRAHLK >OGLUM12G08970.1 pep chromosome:ALNU02000000:12:9535879:9544843:-1 gene:OGLUM12G08970 transcript:OGLUM12G08970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILNHQHRLLVLQIFFLLFLSPHIVVPGSPAAGKFSDVLANGRNVSDGDVLVSAGGSFTLGFFSPAGSGAAQPATRSRRYLGIWFSISPEAVHWVANRDRALNDTSGALKMSDAGVLLLLDGAGKVVWSSSSSSSAGSSSSSTAQLLESGNLVVHVQGSGSGSGTALWQSFDYPCNTLLPGMKIGKNRWTGAEWYLLSWRTAVDPFPGKYRYVTDADGALPENDLLDGNDTKMYRTGVWNGKRFNGVPEMARCTSSFADMFSFQLTVSPGEVTYSYVAKAGSPFSRVVVTDDGVVRRLMWDAATRAWKTLFQAPGDSCDSYAKCGAFGLCDSNAGATSICRCVKGFSPVSPAACSMREFSGGCRRNVALDCVNGSGTDGFEVLHGVKLPDTHNASLDMGVKLGECKVRCLANCSCVAYAAADFSGSGCIIWTNPFVDLRFVDDGQDIYLRLASSEIEDGTGTHVLDDIPSVGYYTIKDATGTFAKNRIIGEGNFGTVYKMRIADDSSKHCGKGPEKNRL >OGLUM12G08980.1 pep chromosome:ALNU02000000:12:9560962:9561420:-1 gene:OGLUM12G08980 transcript:OGLUM12G08980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKAKPAASTGLWDVLVCNGLALERMNGRLAMVGFVLELAMEAPRGGGLLDQAGSGGVLAWFATTAAVRPSRPRRRRCLCPSPCQGGGCSSWPPSPLLPSPRPTPPPAPASIRHRAEGRLLVVAAAVAASSSPAAAAPHPLPAANQREREKE >OGLUM12G08990.1 pep chromosome:ALNU02000000:12:9562329:9565347:-1 gene:OGLUM12G08990 transcript:OGLUM12G08990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR09] MARSWSLLLLPFALALVASVAQAAVVEYTFNVGNLSISQLCQQEMIITAVNGQLPGPTIVATEGDTVVVHMVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVRPGGNYTYRFNVTGQEGTLWWHSHFSFLRATVYGALIIKPRGGAKAYPFPAPDEEVVVILGEWWKTNVYDLQQRSLVTGNPAPHADAYTINGKPGDFYNCSAPNQTHKFELKQNKTYMLRIINAALNTPLFFKVANHSFTVVAADACYTKPYKTDVVVISPGQTVDALLVPEAGVAAAVGGRYYMAVIPYNSAVNAADPSFLYSLSNSTAIVEYGGGPATSPPMVPDMPEYNDTATAHRFLSNMTALVPNRVPLAVDTHMFVTVSMGDTFCGPEQTMCMPDDKGTIFASSMNNASFILPNTTSMLEAMYKGSIDGVYTRDFPDTPPIVFDYTADASDNNATLKHTFKSTKVKTLKYNSTVQMVLQNTRLVSKESHPMHLHGFNFFVLAQGFGNYNETTDPAKFNLIDPQERNTVAVPTGGWSVIRFVADNPGVWFMHCHFDAHLEFGLGMVFEVQNGPTPETSLPPPPSDLPQC >OGLUM12G09000.1 pep chromosome:ALNU02000000:12:9578554:9587399:1 gene:OGLUM12G09000 transcript:OGLUM12G09000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILVPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIGAMHRACLLP >OGLUM12G09000.2 pep chromosome:ALNU02000000:12:9578570:9587399:1 gene:OGLUM12G09000 transcript:OGLUM12G09000.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILVPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIGAMHRACLLP >OGLUM12G09000.3 pep chromosome:ALNU02000000:12:9578569:9587621:1 gene:OGLUM12G09000 transcript:OGLUM12G09000.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILVPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIDDYRKENLVMKRLWEKNNMERYIAEMRKESKRRR >OGLUM12G09000.4 pep chromosome:ALNU02000000:12:9578554:9586413:1 gene:OGLUM12G09000 transcript:OGLUM12G09000.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G61770) TAIR;Acc:AT1G61770] MSQIGSASEGSNSMAAAASPRLLLLVLLLLLVPVSNAIYCDEDDCYDLLGVKQDANVSEIKKAYYKLSLKHHPDKNPDPESRKLFVKIANAYEILKDESTRGQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIISVFQYLNQFGRLKALEFERTGGISSKKKGHKQMDKKVEEVLSNEVELQIQGVEKPSVWRLYGVQFILVPYSIGKVLSWKFCWFWRYRIKKLPYAWEDACYLTRTSLKIPANTWQNIGNHHFHWFYLCCTSLYVVSFFMLFFSNIRTFVRSDASPNLLN >OGLUM12G09010.1 pep chromosome:ALNU02000000:12:9590502:9590735:1 gene:OGLUM12G09010 transcript:OGLUM12G09010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEAIAAAGEGGRRNEESRMGWSWWPTGAAPPSDSPTICISTSRSELLPLLVEVEVEVATPAALLADVTVAARKRT >OGLUM12G09020.1 pep chromosome:ALNU02000000:12:9601373:9604653:1 gene:OGLUM12G09020 transcript:OGLUM12G09020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHWHGVLQRGTPWADGPAMVTQCPVQPGGNYTYRFNVDGQEGTLWWHAHVSFHRATVYGALVIRPRGGAKAYPFPKPDKEHVVILGEWWNATVYDMERMAFLTGIPAPHADAYTINGKPGDFYNCSAPNRTSTLDIVVGEKLGMISHGDCVCLLAETAKFEVRQNGTYLLRIINAGMNTPLFFKVAKHRLTVVGADACYTKPYKTDVVVVSPGQTVDALMVASAAVGRYYMAASPYDSAIPQGPPFSDTTATAILQYAGARRKTVRWRPPVLPRRPAVNDTATAHRFFSGMTALLRHGKPSAVPLAVDTHMYVTVGLGVSLCQPEQLLCNRSAPPVFSSSMNNASFVVPKNTSLLEAHFSRQPAGVYTRDFPDTPPVVFDYTGDESDNATMQFTTKSTKVKTLRYNATVEMVLQNTRLIAKESHPMHIHGFNFFILAQGFGNYDKKRAERRFNLVDPQERNTIAVPTGGWAVIRFVADNPGMWYMHCHFDAHISLGLAMVLEVLDGPTPETSMPPPPADLPRCS >OGLUM12G09030.1 pep chromosome:ALNU02000000:12:9664439:9667149:-1 gene:OGLUM12G09030 transcript:OGLUM12G09030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTTSLGLHRRPLFPPQITSLTLHRTQITRQSAITISSILARRRARDSREESSAQLRPSCHRLRIARELTGGTARHLRVGVSSSLPATGTGRVLPTRQSTPLLLIPNHSFDWDGGFGSGFGVEEPELCISPPASIFWVMEEHHSQGGCTGAEVCKNQYVPVCVGAYGGSFVLGMGGARRAALGPAHRLTCRDPTASTSAQKLEERAVGGRF >OGLUM12G09040.1 pep chromosome:ALNU02000000:12:9698490:9700503:-1 gene:OGLUM12G09040 transcript:OGLUM12G09040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEKTPIVYMPATPSRRWRMFRVTQLLRETTKRVIGTKTTSRADLTLSCFSTVSNLQRLAGKVAVITGAASGIGKATAAEFIKNGAKVILADIQDDLGRSVASELGPDAAYTRCDVADEAQVAAAVDLAVRLHGHLDVFHSNAGIPGRIPQDDALSVDLAGFDRVMAVNARPALAAIKHAARAMAPRRTGCVICTASGAGVVPMPVLAMYSVSKATVVRAMAEPLARHGLRVNAISPGATRTPMMLNEIPRLAKASPGLSGELRRMVVEGASDAVKVLEPEDIARAAVYLASDEARYVNGHNIVVDAGYSVHKGAENSPAH >OGLUM12G09050.1 pep chromosome:ALNU02000000:12:9741997:9742862:1 gene:OGLUM12G09050 transcript:OGLUM12G09050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARAMQLLPCAIAAPAAYPVVTLDTHDIEHRMRAKLALSKYNAPEEGEVPKNAKVYLILSRGLEKNNGIRTPAP >OGLUM12G09060.1 pep chromosome:ALNU02000000:12:9785871:9810265:-1 gene:OGLUM12G09060 transcript:OGLUM12G09060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPSGEGSGIIGQRRFREIHDTQQQLGATTLDLLILCTGGHRMPWLPPTACHCCALPLCVG >OGLUM12G09070.1 pep chromosome:ALNU02000000:12:9810404:9810601:1 gene:OGLUM12G09070 transcript:OGLUM12G09070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERAMGEVARVREIGLGGDDDPGDSRSVAARPSRAEAVDKSEIGGGAEDTGEAGLGRRRGRRLG >OGLUM12G09080.1 pep chromosome:ALNU02000000:12:9811736:9832818:1 gene:OGLUM12G09080 transcript:OGLUM12G09080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDDDSQWVEVVMINSYALFMGYLSMAIRGMGFLVVLWTTVILLGGFVSMLEKKDFWSLTVITLAQTTGTDVRDSRTAVTSKSDREGQNNKDEVMGVGDWLTRTFYMSTSNAFVSGTIGNMTESTVTEGRVRARSTEWPELTKVELKLELQCAGLEEVKLDWWHDLDGLLTADGELECGATLNAAPVQGRARCSVGQGLDHRPAAAHSRVFDVFLNEKLTYFRKSFFGLVGTISAMLVKVEKGSFCRMEGVRLMLARVLLVLQLVVLVVILSPLAVFYLFGLLITAGLSLWRLLQRDYGAGEEAANLAPALNVLYSLALVQGALFFYYFTSRLLGRRLANLVAGVYSFSGEGEEEDDGGRASVVEYMRQTRNGCEKDPSSVRGRNLVTFAVTMMKESSSSSSLSSGDYSSGARILDKLLSQAWLREQHELIRQLVGSSTDLMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEIMLARFPQGIRCIYSLLDVTTTTPRNQQQDDDDDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSADLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMEILNCDGCNEKRLYILAINILTQLPMAAKNKVVDEASSMSVESRRKFTKLLLLIYTDEEKDAFMRQMAGEALAMLSERSKSDATIILKASDSTLKDLTAMLLDVNSNRGYRICAAEILEHLYIRYTEQDGYLNNLTEAMKDVLPKVLGEIFLVSWTHKEKQPGMTEKGTEGVNFSAQKADIESQDPVACQHEKVKEENEKVKEENEKVKEQDEKVKEQTVDMKLYAALLSLSAAIFQRLVNDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMHSLLKASEKMLELEGFMIFSSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >OGLUM12G09080.2 pep chromosome:ALNU02000000:12:9832298:9835986:1 gene:OGLUM12G09080 transcript:OGLUM12G09080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLICRLFHRGCHHDDLHGLRHSSMAMQNAITEIKDGLDKVTKSVLALRAGFEAKKVRGETTTMTTTPSLSATWSEKMTDGSTAWLED >OGLUM12G09080.3 pep chromosome:ALNU02000000:12:9811736:9832818:1 gene:OGLUM12G09080 transcript:OGLUM12G09080.3 gene_biotype:protein_coding transcript_biotype:protein_coding MADNVDDDSQWVEVVMINSYALFMGYLSMAIRGMGFLVVLWTTVILLGGFVSMLEKKDFWSLTVITLAQTTGVFDVFLNEKLTYFRKSFFGLVGTISAMLVKVEKGSFCRMEGVRLMLARVLLVLQLVVLVVILSPLAVFYLFGLLITAGLSLWRLLQRDYGAGEEAANLAPALNVLYSLALVQGALFFYYFTSRLLGRRLANLVAGVYSFSGEGEEEDDGGRASVVEYMRQTRNGCEKDPSSVRGRNLVTFAVTMMKESSSSSSLSSGDYSSGARILDKLLSQAWLREQHELIRQLVGSSTDLMEKLLQTLRCTGTRDRGVREHAARIVAHLAGEIMLARFPQGIRCIYSLLDVTTTTPRNQQQDDDDDDDDDDSAQSSDHYKKLMVQGLVILHKLAAAEHNRRIIINSTQGRQLLSMAMAPVSADLLHRIDHEAWNDIVACSLQLMCRLVTAPGETGDKLRSQVLNDKDAIGTMEILNCDGCNEKRLYILAINILTQLPMAAKNKVVDEASSMSVESRRKFTKLLLLIYTDEEKDAFMRQMAGEALAMLSERSKSDATIILKASDSTLKDLTAMLLDVNSNRGYRICAAEILEHLYIRYTEQDGYLNNLTEAMKDVLPKVLGEIFLVSWTHKEKQPGMTEKGTEGVNFSAQKADIESQDPVACQHEKVKEENEKVKEENEKVKEQDEKVKEQTVDMKLYAALLSLSAAIFQRLVNDDKDLAELTDKIAPGGGTAFSFAGKLKEMVEGNSEQATANCLRMLKITTRMIISLINLNGAKVGADLESLMHSLLKASEKMLELEGFMIFSSSDRTESTNPANILASLVKEAQELLEKKRQAQTTPAPSMETS >OGLUM12G09100.1 pep chromosome:ALNU02000000:12:9860004:9861185:-1 gene:OGLUM12G09100 transcript:OGLUM12G09100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSSRVICRVYIYKKEAGSLILESMYHSWGSSGSGSGSSTSSSSSSRSPFNSVRMDGAVLPASSRQPPPSSEHSPTEEELPRATAAVGRYSAEERRERIEKYRSKRNHRNFERKITYACRKTLADSRPRVKGRFARNSTTGDAADGSQSTGLAEVVSPPLATTNAMCNDDDDDGGSGDLPEWWPAMQEALARQEDDDEDLLAAYLGVSSINLYSPRGHSS >OGLUM12G09110.1 pep chromosome:ALNU02000000:12:9877852:9881611:1 gene:OGLUM12G09110 transcript:OGLUM12G09110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGFKYQEEYVTNKRGMKLFACQWSPLDHEPKALIFLCHGYAMECSISMRGTGVRLAKAGFTVHGLDYEGHGKSEGLQGYINSFDDVVVDCSNYFASVCERGECKGKKKFLLGESMGGAIVLMLHRKEPTNWDGAILVAPMCKIVEDMKPRPIVITILSKLSNVIPTWKIIPTEDVIDKAIKSEEWRQEVRNNPYCYKGRPRLKTGYELFMASLDIESTLDKVTLPFIIVHGGDDAVTDPSVSEELYTSAQSKDKTLKLYPGMCHALTSGEPASNIDIVFLDIIKWLDERVSVS >OGLUM12G09130.1 pep chromosome:ALNU02000000:12:9885637:9886262:1 gene:OGLUM12G09130 transcript:OGLUM12G09130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAALAPLRHAAGEARPMQRRVSRRAVQQICSQYEQRGRGCGFVAQYGKGCIGAINNHAGDFLSARKKSHPISKAEAISRFGYYCTTGVSTKLPSRRDAEGPDAKCYIRGAVNSGQIVFMDRSDTFAQVEGPTMNFTLDRELNDLPQTLYGIRKLKKV >OGLUM12G09140.1 pep chromosome:ALNU02000000:12:9897566:9904750:-1 gene:OGLUM12G09140 transcript:OGLUM12G09140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGAHPPSFASSLGRCRVLPVVVVRQPGGAARPSPLLAPERCAAAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTGRLLDIHAKMMKLNKKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLGPESIVGNTAIAQHAEALAGAWAEFNNQSSVVLVVVQPEERDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKENVLERFLDNKDDIEKVRKCFAGLWSLENDSIVISAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVREGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >OGLUM12G09140.2 pep chromosome:ALNU02000000:12:9897566:9898714:-1 gene:OGLUM12G09140 transcript:OGLUM12G09140.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDEEGELRPDGTLTIDGLPVAVVYFRAGYTPNDYPSEAEWRARLLIECSSAIKCPSIAYHLVGTKKIQQELAKENVLERFLDNKDDIEKVRKCFAGLWSLENDSIVISAIESPELFVLKPQREGGGNNIYGDNLRETLISLKKDGSNELAAYILMQRIFPPASLCYLVREGTCIRENAVSEFGIFGAYLRNKDRVIINDQCGYLMRTKAASLNEGGVVAGYAFLNSVFLT >OGLUM12G09140.3 pep chromosome:ALNU02000000:12:9898906:9904750:-1 gene:OGLUM12G09140 transcript:OGLUM12G09140.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARSGAHPPSFASSLGRCRVLPVVVVRQPGGAARPSPLLAPERCAAAVGTAAPKVEGGGRRSSEQGQLAVAPARLVDELVEEALVWSSQHGLVVGDKNHPRSGKAPGVGLLHAPFALLPMSFSKVYWDQAVELAPLFNELVDRVSLDGDFLQETLARTKEVDSFTGRLLDIHAKMMKLNKKEDVRLGLTRSDYMVDGATDQLLQVELNTISTSSNGLACGVCELHRNLIRQHERELGLGPESIVGNTAIAQHAEALAGAWAEFNNQSSVVLVVVQPEERYMYDQYWITVALREIYPWVLGRIKETVNYC >OGLUM12G09150.1 pep chromosome:ALNU02000000:12:9909028:9911590:-1 gene:OGLUM12G09150 transcript:OGLUM12G09150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DHHC-type zinc finger family protein [Source:Projected from Arabidopsis thaliana (AT4G01730) TAIR;Acc:AT4G01730] MGRGESTAAAAATMAWPRWRRRHGWQLPLHPLQLVGMAVFAVLVAAFYVVLGPYLGSTVAGNTLLALFSSSAAGAAALYVRCTAVDPSDRTHAKKMKRRRQLARARAGRRGGGGGGRLPRLRYGYILWRYVVRLLRRVEVRVMNRWVRRSYLEQWNSSVQLDPMLPFAFTSLDDIVSPHAAAGHDISYCPVCDCEVKLRSKHCKTCDRCVDGFDHHCRWLNNCIGRRNYASFILLMFFVLLMLVIEGGTAIAIFVRCFTDSKGLKMEMEHRLHIRLPKGAHAALSMVFVIFTLYSTVALGQLFFFHVVLIRKGMRTYDYILAMREAAQAFDPFDDSDSSSDESIDFDSPERPSFLPRIFCRKDELSESTRKLSIRIENEPSDGTRRKDDIQINPWTLIKMSKEKAMAAAERARERIRNKLPSTTTSPMKPLPVETKRGPLNQERKHIMTGKEIVPVFTKSWLSGSPTARLSSPRRRFSGSPSPKPQRHRSNFDLRLAEVSRELESHISKQVLCSVVMKGVEDEDSPS >OGLUM12G09160.1 pep chromosome:ALNU02000000:12:9912956:9961008:-1 gene:OGLUM12G09160 transcript:OGLUM12G09160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHHKNRAEPYMAMEKSRVLIVGGTGYIGRRIVAASLAEGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAVRQVDVVVSAMSGVHLRSHNLMLQLKLVEAIKEAGNVKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSFLPPKERVNVYGDGNVKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLTKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATILYPELALLSRILSICENSITPCLFEFMVFVQHTSQLKYTLFY >OGLUM12G09160.2 pep chromosome:ALNU02000000:12:9912956:9961938:-1 gene:OGLUM12G09160 transcript:OGLUM12G09160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAAGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKRFLPSEFGMDPSRMGNALEPGRVTFDEKMEIRRAIEDAKIPHTYVSSNCFAAYFCPNLSQLTSFLPPKERVNVYGDGNVKAFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTHNELIAMWEKLSGKSLTKFHIPAEEFLAPMKDMQFAFQVGITHFYHIFYEGCLTNFDIGDNGAEATILYPELALLSRILSICENSITPCLFEFMVFVQHTSQLKYTLFY >OGLUM12G09160.3 pep chromosome:ALNU02000000:12:9961479:9961938:-1 gene:OGLUM12G09160 transcript:OGLUM12G09160.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLIVGGTGYIGRRIVAASLAAGHPTFVLLRPEIGLDIDKLQILLAFKAQGARLLEASLDDHDGLVAAIRQVDVVVSAMSGAHIRSHNLMLQIKLVEAIKQAGNIKMKTLLLEHPTKTEIS >OGLUM12G09170.1 pep chromosome:ALNU02000000:12:9994293:9994700:1 gene:OGLUM12G09170 transcript:OGLUM12G09170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTLFFFLLSFLLSFLPLTRVAFFAAGGGAMREVLLTRWEGRDDQVLLYGLLPAGVDHGELIGRARFCLCPTGDDEGAAAASRRVVEAXGRRARSEDDRTTAHTAGWRRGTAGRRRAEAARVERMQEKERGLKL >OGLUM12G09180.1 pep chromosome:ALNU02000000:12:10010186:10012669:-1 gene:OGLUM12G09180 transcript:OGLUM12G09180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSRMGNALEPGRVTFDEKMEIRRAIENANIPHTYVSANCFAAYVSPNLCQMKTLLPPKERVGVYGDGNVKVFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPQDNCLTQNELIAMWGKLSGKSLTKFHIHGDEFLASMKDTDFAHQVGVTHFYHIFYEGCLTNFDIGDNGAEATLLYPDVQYTRINELASLSQILSRYENSITPCLFEYMVFVLFTTQLEYTLFY >OGLUM12G09190.1 pep chromosome:ALNU02000000:12:10035981:10053224:1 gene:OGLUM12G09190 transcript:OGLUM12G09190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLVEANGVTRTLVLNRPKQLNALSSEMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVSSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >OGLUM12G09190.2 pep chromosome:ALNU02000000:12:10038925:10053224:1 gene:OGLUM12G09190 transcript:OGLUM12G09190.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADSDQVLVEANGVTRTLVLNRPKQLNALSSEMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVSSDRLSLLEESLKKVDTSDPFAICGIIDQYSQQPSLKEKSALNRLEIINKCFSKKTVEEIIASLEQEAPNVADEWIASAIQSMRKASPTSMKISLRSIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >OGLUM12G09190.3 pep chromosome:ALNU02000000:12:10035981:10053224:1 gene:OGLUM12G09190 transcript:OGLUM12G09190.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLVEANGVTRTLVLNRPKQLNALSSEMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVSSDIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >OGLUM12G09190.4 pep chromosome:ALNU02000000:12:10038925:10053224:1 gene:OGLUM12G09190 transcript:OGLUM12G09190.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPPADSDQVLVEANGVTRTLVLNRPKQLNALSSEMITCFLRCFTAYEEDDGVKLLIVKGKGRAFCAGGDVAAVVRSINNDSWKYGAHFFRNEFLLNYIIATYSKPQVSLLTGIVMGGGAGVSIHGRFRVVTDSTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVGLTGVRLDAAEMLACGLATHFVSSDIREGRTQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILLDRDRNPKWMPPRLEEVHDEVVEKYFSKVDDPEWEDLDLPPRRSHGRRLVPKL >OGLUM12G09200.1 pep chromosome:ALNU02000000:12:10053388:10054199:-1 gene:OGLUM12G09200 transcript:OGLUM12G09200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALEPWRVTFDEKMEIRRAIENANIPHTYVSANCFAAYFSPNLCQMKTLLPPKERVGVYGDGNVKVFFVDEDDVGTYTIKSIDDPRTLNKTIYIRPXI >OGLUM12G09210.1 pep chromosome:ALNU02000000:12:10068017:10070248:-1 gene:OGLUM12G09210 transcript:OGLUM12G09210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFGRQSFKREEELGWGGVHPSSACHLFDEMPSRYAVSEEEVLLVMSKEKVTREEALHLIHELRDAERRIDEKLDRLLEMFGVKLDGGINGAEEYNTFTEELTPTTEAVASPPPQESPSAPTKCSTVGLNIKGGTEQAMVVSLTNTCVSEGVPGSDAIVEAFSPRSFINSKLVTVMPTKCLIICEELSTGGEEDNVATNDWVEYTVATTRLTSMPTNFRNMWFNYTTISTKSVTALGSIHVTGYAPLPSRTAAVAVYKARVFSGPQPSPWPGPWLSQGRRGVAKFLPPWPSPNIWCGCLFSFGNSGELSQNHFKSFQMEQMGVIDNYGMNRFDKELGLCMIRLRACWNFWHLYFCCKQLSTEATIVHSRDQRIHWHAQCWTIPIPIWVQSNISDGLLKPKLLPGSVPSHVNVMAELKLLLEQWKLKAFSSWATKGQDFYSAISWGANWTELQQLLCRSECILQWNQLRQMYELLLQRELPKLGWSIIVQFKPIDLRPYTQYLGKENYWARATISYGSKYLLAVKRLWARLKEDYSVVPSWMNWNYVRRILWSLGCLLSSSATHLISVDWTISESCKSSCKITLEDGEIDEYLLYTKDQEFSYEQLIVHKEEFATVWVYQSASFIKSKGYSMTVTIAASTSFTNSIPVPLAGQVWKEFSWTLYCWNYGFVRKLQFCFVVFLISVKQAAAMDILDRNLEESDPTRLKTWPVHPKYVQRQCINQSDEICLSAPDLQIPWDPGGSH >OGLUM12G09220.1 pep chromosome:ALNU02000000:12:10084064:10087141:-1 gene:OGLUM12G09220 transcript:OGLUM12G09220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEKSRVLVVGGTGFVGRRIVAASLAAGHPTYVLLRPEIGLDIDKLQMLLAFKARGARLLEASLDDHDGLVAAVRQADVVVSAMSGVHFRSHNLMLQLKLVEAIKDAGNVKRFLPSEFGMDPSRMGDALEPGRVSFDEKMVIRRAIEDANIPHTYVSANCFAAYFCPNLCQMKTLLPPKERVGVYGDGNVKVFFVDENDVGTYAIKSIDDPRTLNKTIYIRPQDNCLTQNELISKWETLTGKSLEKFHIPGDEFLASMKDLDFASQVGIGHYYHIFYEGCLANFEIGDNGAEATQLYPEVQYTRMDEYLKRYI >OGLUM12G09230.1 pep chromosome:ALNU02000000:12:10092378:10092712:1 gene:OGLUM12G09230 transcript:OGLUM12G09230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCGREARRRWIRPPLCATAGWIRRDCGCSGDGRLRGGRHGGGAAVDPATAACSSHGSFIVLLTMTDGQQMEFI >OGLUM12G09240.1 pep chromosome:ALNU02000000:12:10092750:10093164:1 gene:OGLUM12G09240 transcript:OGLUM12G09240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSAEMGLAPSPVSMAAIWVVGSGVVGTMVEETAAHHPQQSHPSLSRRRQCTVAFTLCGGDRLEPRRPVTCSHRSSMGWRWKPASNHVHVQRREDGRSSLWSLAPRNCLPQESSEADVFRSEATA >OGLUM12G09250.1 pep chromosome:ALNU02000000:12:10099994:10103296:-1 gene:OGLUM12G09250 transcript:OGLUM12G09250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR45] MSHIQRSCAGLLRCPIAGAVETGARVFAELDDLDTAAFESPSAEEEEAAKCPQSVMRSADEFHGRGRVVELSCGLTLGSHITVFENWILDADGRSKESTTTWLNRLIGQKEMNFDWPYPFVEGRLFVLTISAGLEGYHVNVDGRHVTSFPYRPGFVLEDATGLSLSGDLDVQSGPYPLHTQALPQSYLDMSTVWQSSPLPNEPVDIFIGILSSGNHFAERMGVRKTWMSAVRNSPNVVARFFVALVHVVSARYVMKCDDDTFVRLDSIITEVNKVQSGRSLYIGNINFHHRSGRRRSIHPMQMVLDISSDIAGAIVSEFRDRKLRVLSYSFLHTRDENPGSATDRDKSDGRRAAFVQVTSSVVAPRRQFDACRDWHLPDADDNGCVPARDPSLILGK >OGLUM12G09260.1 pep chromosome:ALNU02000000:12:10112856:10113035:1 gene:OGLUM12G09260 transcript:OGLUM12G09260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPWELVEYLMAVTWVALARWVAACVSLADRIACSLRCRHRRRRPSPASSTVVVSTFL >OGLUM12G09270.1 pep chromosome:ALNU02000000:12:10150530:10154775:-1 gene:OGLUM12G09270 transcript:OGLUM12G09270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPWSVAAAAVALLLLSPGKNQMVRVSAQPTKTRCVVDTCGDVGVPYPFGIDGGSCSFLPGFNLTCDRTKQPHRLFLGDGSHLQVTEISLANYTVRVLNGVGTVNFTFAGHNDSTATWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGAEPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDDLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKPPTPVDVNSTCPKDPARSECRSSNSFCRNIANMYRSGYISTNVRCRASASVSVQTRQETTVAVVRVVRVAIPTPKMAASSPGLQRKWIGAGWICFGVSPNGPFSRLLGMLMRNQRGGRDGITLNRNASDAGAERNVYFSGGGVYRHGMAPSCGSYTASACPIYVECGTAGVVIGLWCCRCKQAARALAMAVVVATNVEATIWQRQSWKGVTAKMASCGAYVVHIGQAIYGRLTWMTLLHI >OGLUM12G09270.2 pep chromosome:ALNU02000000:12:10143283:10154775:-1 gene:OGLUM12G09270 transcript:OGLUM12G09270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MICPWSVAAAAVALLLLSPGKNQMVRVSAQPTKTRCVVDTCGDVGVPYPFGIDGGSCSFLPGFNLTCDRTKQPHRLFLGDGSHLQVTEISLANYTVRVLNGVGTVNFTFAGHNDSTATWAGVGVGQDDGPYIVSEEHNQLVVTGCNIMASLLGNSGSNVIIGCSSFCSITDWWGAEPIVHSGAGGACSGLGCCDVNITIGRPSYDLQLRWLDWDHNYDDLLPIAVRIAERGWFDGMSTKLLRKNSRSAVPVPVVLEWAVASVHKPPTPVDVNSTCPKDPARSECRSSNSFCRNIANMYRSGYISTNVRCRASASVSVQTRQETTVAVVRVVRVAIPTPKMAASSLSVGIGVGSGAGLLFLVFGARFATREIKHRTAKRVKQKFFKQNRGHLLEQLISQRADIAERMILPLVELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPFLVYEFISNGTLYEHLHVDGPISLSWEDRLRIATETARALAYLHWAVAFPIIHRDISLIIFFWIVPLQRRYRILELQDAFQWINQELQQLSKEHVDT >OGLUM12G09270.3 pep chromosome:ALNU02000000:12:10143283:10150449:-1 gene:OGLUM12G09270 transcript:OGLUM12G09270.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFNDLGGDVKAISDGWWLRRSLSVGIGVGSGAGLLFLVFGARFATREIKHRTAKRVKQKFFKQNRGHLLEQLISQRADIAERMILPLVELEKATNNFDKSRELGGGGHGTVYKGILSDLHVVAIKKSKEAIQREIDEFINEVAILSQINHRNVVKLFGCCLETKVPFLVYEFISNGTLYEHLHVDGPISLSWEDRLRIATETARALAYLHWAVAFPIIHRDISLIIFFWIVPLQRRYRILELQDAFQWINQELQQLSKEHVDT >OGLUM12G09280.1 pep chromosome:ALNU02000000:12:10156462:10157017:-1 gene:OGLUM12G09280 transcript:OGLUM12G09280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMSSWPKSSARPGSGWLRLQAAAAALSPSSQPATMSALYFTAGAAFPLLAAWFIAGYVSRGLLLPPSDHEAAPPLPILSLATASGTVPPLPLLLAHNSHMFAGGERGILQWFDIGWKWHFNDAIPWNGIVVKPTLGSGRMSTFSYAVWSFVRCHISHLILQY >OGLUM12G09290.1 pep chromosome:ALNU02000000:12:10158861:10159410:-1 gene:OGLUM12G09290 transcript:OGLUM12G09290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDDEPWWLMAVAGERWPTALEAKALLQASLPDAVQHLNQQGDDGWLLLHNVELISIPHRYILP >OGLUM12G09300.1 pep chromosome:ALNU02000000:12:10164849:10165193:1 gene:OGLUM12G09300 transcript:OGLUM12G09300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSMSSWPKSSARPGSGWLQLQAAAAAVSPSSPAVTVSTLYFTAGAAFPLLAAWFVASYVSRGLLLPPSHHEAAPPLPILSLATASGIVPPLPLLLAHNSHMFAGGERGILVS >OGLUM12G09310.1 pep chromosome:ALNU02000000:12:10197083:10197952:-1 gene:OGLUM12G09310 transcript:OGLUM12G09310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAERLGSHRARELTVLLAVEVEGIVKLQQLHASRRGVPGAALRPWVWVLPSFTSNGSMSGLVDRERPHGRRKVSTGSFLVAKGAHLSVFLFFFFSLSSSFFLPFSSSFLLPSELRGGRGGALALRWMEDCEAGNTRSRATRTQGGWLPVERIRGETRTAAVRACSGRERGNYKNGFTSSRQARWREGLAGNALVKEIG >OGLUM12G09320.1 pep chromosome:ALNU02000000:12:10254237:10255346:1 gene:OGLUM12G09320 transcript:OGLUM12G09320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAVVGAGVSGLAAAHELATSCAGGVDVTVYEKEDCLGGNFARTVGVDGGAGGEVVHLHLGFMPFNSWRRRWDDGFTSAGLGGNGSVGVGLTDGGYTDSYSFDADPSPSHYTATPKKVSCLSVIKILGATQTVGNYVGRLCVGKGINRQQIVYRQRSTVGYLPPIRPYCQIVSRHI >OGLUM12G09330.1 pep chromosome:ALNU02000000:12:10256939:10266162:1 gene:OGLUM12G09330 transcript:OGLUM12G09330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCNSSTWSACNITRTRSGSVCVTYWLNLLQNIESTRTFLVTWNPSYVPDHVLLKWNTNHFVPTVAASKASLELDQIQGKRGIWFCGAYQGSGFHEDGFQAGKAAAQSLLGNKIDPLTNPKQMVLSWIETGAHLLVLRFLKQYISVGNLILFEEGGTMFSFGEACEKCNKKSVLQVQDPLFYWQVATEADLGLADAYINGCFSFVDKREGLLNLFLILIASRDAHRSSCRNSSRRGWWTPLLFTAGVASAKYFLRHISRKNSVTQTRQNVSQHYDLSNDFFSLFLDKSMTYSSAIFKDEEESLEEAQLRKINLLIHKAKVGQDDEVLEIGSGWGSLAMEVVKQTGCKYTGVTQSVEQLKYAQRRVKEAGLEDRITFLLCDYREIPCHKYDRIICCEMIEEVGHEYMDEFFGCCESLLAENGIFVTQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSAASRLCIEHVENIGYHYYTTLIRWRDNFMANKNKILALGFDEKFIRTWEYYFIYCAAGFKSRTLGDYQIVFSRPGNTKMGSGF >OGLUM12G09340.1 pep chromosome:ALNU02000000:12:10279973:10280329:1 gene:OGLUM12G09340 transcript:OGLUM12G09340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFDEDLAAAAATKIGASVVPSPFAAASCFAEARGGADDGRRAEEGVEPRNPGGCDPQRRWVGETTLVTRRTRVGDADRWFDASSEFDAAVPHSIDAAPGGQQRWRWVDGVAALGG >OGLUM12G09350.1 pep chromosome:ALNU02000000:12:10280342:10280694:1 gene:OGLUM12G09350 transcript:OGLUM12G09350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATLGGWGGGAGQMGRLEDVRWRCWADGERGKERIEGVGPTPDGKPSWLANFDALNSGAGNERRQGGKSGGM >OGLUM12G09360.1 pep chromosome:ALNU02000000:12:10291683:10293533:-1 gene:OGLUM12G09360 transcript:OGLUM12G09360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENAYGCKTNPRDTPRQRRRRSSCGAGEVEQRDELATWGRRRRMRRRQIWCLPCTMPPQPPQPAVDDDVLGAPLPPAMKVFITDAVADPLAAGHGGCRCNHTPFRLHRAPLPLSLSLTAPATELLAVATFPAAAAAGSGERLATATGRAAVPCDLGEESEVGGGDPDDLGEEE >OGLUM12G09370.1 pep chromosome:ALNU02000000:12:10307810:10310887:1 gene:OGLUM12G09370 transcript:OGLUM12G09370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREAAESPNPRSAPRRAAAAKDASSFLSPRFRSAAAQAGWDEESLLHAALVVEDTPVRESRRRRRASTTSSSAGGGGSAGSNTRKRRSWRQPPGSIPPVVFLLDDDEKKPDTTADGKKEVKEEEKKAVVVGEKEVCSEKAASTSELPCMDRLREELSCAICLDICFEPSTTPCGHSFCMKCLKHAAAKCGKRCPKCRQLISNSRSCTVNTVLWNTIQLLFPSETEARRASIASSSESNDDAVQQISQRSNSVAQGGMRSSSSNGIGYVTQRSTRSSTTNSRSFTTTGSRSTFIAQQGSSTATGRGFVRASHLVPSARVVSMRSHQSDDAALAYRLQQEEFMTAFESEGERQPPRSSSSSTVSAARANLRAMASRAIRLRARGWPV >OGLUM12G09380.1 pep chromosome:ALNU02000000:12:10329759:10331426:-1 gene:OGLUM12G09380 transcript:OGLUM12G09380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIMASTVSLALLVLSAAYVLVALRRSRSSSSKPRRLPPSPPGWPVIGHLHLMSGMPHHALAELARTMRAPLFRMRLGSVPAVVISKPDLARSALTTNDAALASRPHLLSGQFLSFGCSDVTFAPAGPYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTSPAKPVDLSECFLNLANDVLCRVAFGRRFPHGEGDKLGAVLAEAQDLFAGFTIGDFFPELEPVASTVTGLRRRLKKCLADLREACDVIVDEHISGNRQRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQEEVRRVVGDSGRVEESHLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDIPAKTRVFINTFAMGRDPEIWDNPLEYSPERFESAGGGGEIDLKDPDYKLLPFGGGRRGCPGYTFALATVQVSLASLLYHFEWALPAGVRAEDVNLDETFGLATRKKEPLFVAVRKSDAYEFKGEELSEV >OGLUM12G09390.1 pep chromosome:ALNU02000000:12:10371178:10371997:1 gene:OGLUM12G09390 transcript:OGLUM12G09390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMRPRHGDGPRDRHRRCSSSVGLRALPEALTGGYGPAYRSALPRRGRRLLRAALLGQPLRLLPRRRRRTRLQEYNGYGEECSRQDGEEVRRGGSQDGVPQRPGLHTTAPRWLPLLLEPLRLSTSDTGLAPTDHCSAEPPPRSHVLPIRACHAGPSLSPPTPSLSNVPPNILRDAWELVIWGLLQGGVIFTQVLNRSRFPVYSHSHAIATVLCESNVASNFDWNHGWLGWRVADFKAVQ >OGLUM12G09420.1 pep chromosome:ALNU02000000:12:10465601:10471018:-1 gene:OGLUM12G09420 transcript:OGLUM12G09420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63290) TAIR;Acc:AT5G63290] MLRSTFPLVSHLPSRKPPPIRPRLPPVRPYASSAASPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPPTSPHGGDGDDPRIADYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPNETDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRVAFIRLSDPDGRVGV >OGLUM12G09420.2 pep chromosome:ALNU02000000:12:10467760:10471018:-1 gene:OGLUM12G09420 transcript:OGLUM12G09420.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Radical SAM superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G63290) TAIR;Acc:AT5G63290] MLRSTFPLVSHLPSRKPPPIRPRLPPVRPYASSAASPPPPPPPPASAYVHLPFCRKRCHYCDFPIVALGTSSPPTSPHGGDGDDPRIADYVRLLLREVAATRPVSDDGVPLETVFFGGGTPSLVPPRLVAAVLDALRARFGLSASPEVSIEMDPGTFDAAKLRELVGLGVNRVSLGVQAFQEDLLRACGRAHGLREVHDAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHVSVYDLQIEQGTKFGQLYTPGVYPLPNETDSANFYKIASKRLSEAGYNHYEISSYCKTGYECKHNVTYWQNRSFYAFGLGSASYINGIRFSRPRRMKEYAEWVQKLENGVWSHESGNSGIKDMAMDVVMLSLRTAWGLDVQSFSKTFGRSLTESLCNTFRPFVESGLVIAMDMERRALQPSEFELDLQHDGENGSRVAFIRLSDPDGFLLSNELISLAFGIISP >OGLUM12G09430.1 pep chromosome:ALNU02000000:12:10481310:10482401:1 gene:OGLUM12G09430 transcript:OGLUM12G09430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQSKRTFAPASLEVPPPALTPQSMVTSSSPCFSMGYNNQACWGVDSHPLGGFLNLLKKNTQSGAQVVINGSSSQPINVGNDTNVQAIAEDLFANILINAF >OGLUM12G09440.1 pep chromosome:ALNU02000000:12:10486718:10492326:1 gene:OGLUM12G09440 transcript:OGLUM12G09440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G34160) TAIR;Acc:AT1G34160] MSVSAAARHLESLLPRLASLRQYLQFHARLLTSGHLGAHPGLRARFLDRLALSPHPAALPHALLLLRSLPTPATNDLNAALRGLAASPHPARSLLLLAGRLLPALLPRPDALSLSFALKASARCSDAHTTVQLHALVLRLGVAADVRLLTTLLDSYAKCGDLASARKVFDEMTVRDVATWNSLLAGLAQGTEPNLALALFHRLADSFQELPSREEPNEVTIVAALSACAQIGSLKDGMYVHEFAKRFGLDRNVRVCNSLIDMYSKCGSLSRALDVFHSIKPEDRTLVSYNAAIQAHSMHGHGGDSLRLFDEMPTCIEPDGVTYLAVLCGCNHSGLVDDGLRVFNSMRVAPNMKHYGTIVDLLGRAGRLTEAYDTVISMPFPADIVLWQTLLGAAKMHGVVELAELAANKLAELGSNVDGDYVLLSNVYASKARWMDVGRVRDTMRRNDVRKVPGFSYTEIDGVMHKFINGDKEHPRWQEIYRALDDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLIATPPGETLRVIKNLRICGDCHVVAKLISKAYGRVIVIRDRARFHRFEDGQCSCRDYW >OGLUM12G09450.1 pep chromosome:ALNU02000000:12:10493610:10496869:-1 gene:OGLUM12G09450 transcript:OGLUM12G09450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSASVGALNPLLTKLSTLIEGEYKLLKSVKKDIIFLRNELSSISVLLEHLSNKEDKLDGPTKEWRNNMLELAYDIEDCIDLFIHKLSCGDANANFVQKIGSKIKKLWGKHQITECIQELKNRVMEEDQRRNRNQIDDFISELSVVEIDPRLPALYEEVERLVGIDGPREKIIKWIMTKGKPLEQRKVVSIVGLGGLGKTTLANEVYKTIQGDFKCTTFMSISRTPNMRKVLVDMLKGLGSNGDVSEDEQNLISHLRGFLKDKRYLIVVDDIWDTEDQWMQVHNSIGATLNSDVEVRKILMLSYYDLPYPLKNCLLYLSMYPEDYVIDRQELIWRWIAEGFIIEAKGQTREQVGENYFNELINRSLIQPGDIQYDGRAEYCRVHDIVLDLIISLSTGQNFVIIVDEHQHWSSFKKIRRTWFPSNGTDNRIVKEITNNCSHVRSLFFDSPKPEQIPLFKKCHALRVLVLDGCMSLESQHINSLTYLFQLKYLKLNVANVTEMPKDIGRLQQLETLIIRGGGHVNEINIPSSVCRLQKLERLIVDYPMRLPDEIGFLQALEMLSLYIKYSIKCLQELRRLTRLRHLRISCQGIHHFGGDVARFERYKDAFYMTLDELGKNSLQSLHVHVTTEFSDTLMDSCCSSAPGLRELSTSGVGISKLSEQMVSLSNLAYLKIFYNTRSIDQKDINLFGCIPKLLYLQVIFAQDSGNGLTVGCGGFPCLKELMFRHSRLRWLLFEPGATPKLQRLSIGLFAQKAASNLGFEQSFVHLSSLQHLIVVLDCSDATTRDVKALEDAIRKASRLAVLLSKF >OGLUM12G09460.1 pep chromosome:ALNU02000000:12:10513079:10533906:1 gene:OGLUM12G09460 transcript:OGLUM12G09460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGTPVALRAFRCGTSCSPVPDTQAQHSYLVRVCRSAVPRSFLLSAWITNPMKKNVSSTITCLIKAHYPGTYRPLDKHGKQVPEDEAVVIAHYHNFPAHTRITILKEFLLRFKFADGREEDCARLFYRKAVERFSQALSHEKSEAKRSLEKHIENMRATELQQDGDAPSHDDFDVDDPQLWKAFPPYWIEQKWWDMLCDLWSDENVKKVSAQNRKNQMEGGGVHHTCGSRSVAMHKQAMIIENAGTDVDDIDVFERTHRHAKGKGQYANKKAEQLAVVYNDCVKEGENNQVDKQYVWVQLTKGRKRGRYYGLPGIIDRNQVGNSASAPSGSMETQPLYTQQQVQDIVQQAVTNAVHNAHQELASRIERLEQTVDKDKAETHSHDANGPSSSVVPEFLHALLMKLFSTGS >OGLUM12G09470.1 pep chromosome:ALNU02000000:12:10534847:10536329:-1 gene:OGLUM12G09470 transcript:OGLUM12G09470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR68] MPVDAGSMAAPSKPQHGAIGPVPFKDVIGDAMAQRSSPEEEEYAGVVSSLPSYPKLRLRHYQGMWLMEYTLPGIMAIQRSFVPRRHGDVVLASPGKCGTTWLKALAFAVLARGAYPPASDQHPLLRLNLHDCVPFMEGAIAEGWGGKIDDLPSPRLMSTHMQHAALPKSIADEPGCKVVYICREPKDILVSAWHFFRIIEPDLSFQEVFEAACDGKFLTGAIWDHIIGYWNACKANPEKVLFLVYEDLLQDPANIVRKLADFLGQPFSSTEEEAGLVTDIVRLCSFDNLKSLEVNKTGEASFTFPNTSYFRKGKAGDWKIHMTPEMVECFDTIVKEKMHGSGLVFA >OGLUM12G09480.1 pep chromosome:ALNU02000000:12:10597083:10597403:1 gene:OGLUM12G09480 transcript:OGLUM12G09480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRTFSFSPDDVATAPPWPRDELRRARGAAFVWRARPRALEIPAGEDEQADVGECVEHGEAATGVWCGGGGPPSATGADTGGETIFTPSWKLPFNPGFPIGSKDL >OGLUM12G09490.1 pep chromosome:ALNU02000000:12:10617299:10618236:-1 gene:OGLUM12G09490 transcript:OGLUM12G09490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNAFVKSSCNKLLLNFKNMNKVMRKEFIPRDYELILLHHLKHVKQGSKSTQAYHGELCSFMCRANIIDEINAIEYLKTYLNPRIVAAIDEKYSPTDNRGGREEDVIDVGCGIAT >OGLUM12G09500.1 pep chromosome:ALNU02000000:12:10636196:10647924:1 gene:OGLUM12G09500 transcript:OGLUM12G09500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAAAQQEEESLHLAETNKQKADQLRKEIATIQESLTHVKAATEQAHEEEAQILAEKDVTRKTYKQALEEAEKKLSSLKKDFDPAVYKSLKEKLDETNSEISSMQKKIEDARAQDLESIATVSTELDDAKEMLQKVAEEESSLRSLVESLKQELEAVKEEHDQLKQKDTETESIVGDLHVKLQKCKSELEAAVAAESKATTASDDLMLALQQLSSESKNALQEAEVMQKSAADLRDEAEAARVALAEAEQKLQSALKEAEEAKSAEAKALDQIKQLSERASAARASTSESGAKITISKEEFESLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKRAVEGELRRWREKEQKKAAEAQPAPEAQAHGTASSPVQKASAGKANEKNDGPHKNSRTLLKKSFMLPNITSMFHKKKNHADGSSPSHLPGDKSV >OGLUM12G09510.1 pep chromosome:ALNU02000000:12:10637276:10638489:-1 gene:OGLUM12G09510 transcript:OGLUM12G09510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMALPHAPRRDSGNRTSPSPAARLQRCFLSSLRSVATTLPQPPSAQQQQHSPVLLSATTVAFLHALRGLH >OGLUM12G09520.1 pep chromosome:ALNU02000000:12:10644302:10647779:-1 gene:OGLUM12G09520 transcript:OGLUM12G09520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSSHLSRRATAAASPSIPPPSPPHPPQRLRCGWVGRAAPPTRRAPGVCSVVSPSKPGVAAVDVPAATIPDAAATGVGVAERTSVSSLLEVVADDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETDSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >OGLUM12G09520.2 pep chromosome:ALNU02000000:12:10644302:10647779:-1 gene:OGLUM12G09520 transcript:OGLUM12G09520.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSLASSSHLSRRATAAASPSIPPPSPPHPPQRLRCGWVGRAAPPTRRAPGVCSVVSPSKPGSLCGSSAGVAAVDVPAATIPDAAATGVGVAERTSVSSLLEVVADDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLLELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPQLREIIDSEFSETDSLATAIELVHRSGGIKRAHELAREKGEIAIQSLQCLPRSEFRSTLENMVKYNLERID >OGLUM12G09530.1 pep chromosome:ALNU02000000:12:10655900:10672063:-1 gene:OGLUM12G09530 transcript:OGLUM12G09530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLPKLSALIEGESKLLKGVKDGINFLKDELSSMNTLLVKLANNEERLDEQVKDWRNKVRELSYDVEDCIDLFMHKLESDGYDKADLVKKTTSKIKKLWSRHKIGKLINKLKARVQEESDRRWRYKFDESAVNLGKVQIDPRLPALFVEAERLVGIDGPREKIVEWLKKDDSEPQLKVVSIVGFGGLGKTTLANQVHHKIKGQFDCSTFVPVSRNPNIAKILTDMLKELGSSVDTSDDERQLIYKLRAFLHDKRYLIIVDDIWSTKAWEFVKSALPENNLCSRIITTTRDTNVATSCCYTVAGHIHNIQPLSEQDSRELFLKRVFRDVSAFPPYLEEVSCGIIKKCHGLPLAIISVASLLVGKPNIVEQWEEVYNSIGSAFTQQGMIDILLLSYYDLPHYLKTCLLYLSMFPEDYRIEREVLIWRWIAEGFISEVKGQTLDQVAENYFNDLVNRSMIQPIDIQYDGRASACRVHDMILDLIVSLSKEENFTTLMQGEGYNCSNKIHRLSVQSNCLGNKAMQEIMGKCSRLRSLNYYGVQDVGADLQTLNCLRVLVFENCHGIGTQHIKHLESFFRLAYLSISSDGITELPEQIGDLKYLQTLDIRRSGIKKLPPTIGRLQNLARLLVGNDVELPNEIGDLQALQELSDAGKYDSIKFVQQLRRLTRLSVLRIMLHESNKLGDHNTEMYKETLKSSLAMMGKHALQTLHIGCNGFLRGKLMDILCCTVPSIRKLVIYRVRISHIPEQMACLANLTHLSIWVCSIKQEELLILGGIPSLLFIKLNSQHAPEERLIISSQQFRCLKEFEFGNYYHGGGLEMLCLRGAMPDLRRLRFYIRAEETGSKIGFEFGFEHLASLQQLSVTVDCRGATRQRVEAAEAAMRDAASIHPGRPALEISRRWERDMIKDKDDHEEIVQVE >OGLUM12G09540.1 pep chromosome:ALNU02000000:12:10684871:10688612:-1 gene:OGLUM12G09540 transcript:OGLUM12G09540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELTSMHTLLVKLANNEENLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLWSRHKIANLIEELKARVIEESDRCLRYNFKEVADNFSHVQIDPRLPALYVEAEKLVGIDGPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKMKGQFDCSSFMPVSRNPNMTKILADLLKELGSRVDTSDDERQLICKLRTFLQRKRYLVIVDDIWSTQAWEVIKCALPENNLCSRIISTTRNSDVATSCCSSLAGYIHNIQPLNDQDSQKLFFKRIFGDESACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSHMKEQWEQVHNSIGFVFSQQGIRDILLLSYYDLPINLKTCLLYLSVFPEDYKIDREELIWRWIAEGFITEVKGQTLDQVAENYFNDLVNRSMIQPVDIKYDGRADACKLHDMVLDLIISLSTQENFTTIMEGQQYKCSSNKIRRLTVHSKYLEDEVMQEIMTNCSQVRSISFYELQDQEVSLLSTLNSLRVLAFNNSHHLGNKSIKYLGRFFQLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEAVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLRAISIQLHDSARLGHHDMARYMEALKSSLAVMGKQGLQSLKISYNDTVIGDKLMDLLCYSPCLRKLAINSCSISRLSKKMALLVNLRHLDIGVSSIKQDDLCVLGSIPTLLFVRLFVKNGPDERLAIISHQFRCLKQFNFVSFGGGLDMLFMQEAMPELRWLSFEFRAHESDCKTGFEFSLKHLASLEHLKVTIFYHVATRSRVEAAEASVRNAASAHPGCPRIEIIRIFEDRMRDDKVDKEEILKDIDGHEVALREI >OGLUM12G09550.1 pep chromosome:ALNU02000000:12:10712580:10713291:-1 gene:OGLUM12G09550 transcript:OGLUM12G09550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIKQKNLWVLGGLPSLLKLELHLLYGPDERLIISSQLFQCLKKFKLKYELGGGLSMVCEKEAMPKLQMLRLRFKAMETKSNTGFELRLEHLSSLRHLSVTVDCDDATRRRVEAAEATIRNTVRIHPRCPTLEIKRKWESDMVKDERMRMRMRWRGGSAL >OGLUM12G09560.1 pep chromosome:ALNU02000000:12:10713299:10716994:-1 gene:OGLUM12G09560 transcript:OGLUM12G09560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDILLLSYYDLPYHLKTCLLYLSITELPDKIGDLKNLQTLDIHGSKIGKLPAAIGRLQNLLYLHVNSDVELPDEVGDLQALQVLSDAFSYNSIKFVEELRRLTKLRSLHIGLHSSLKL >OGLUM12G09570.1 pep chromosome:ALNU02000000:12:10742956:10743370:1 gene:OGLUM12G09570 transcript:OGLUM12G09570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNRRPGNAMRVWKWSILRESRVKTGFLLERALTAFLMLRSEGFCSTRGCLVVLETSFIMLSKLLAFGKLGNDDSCEVFAGFPFN >OGLUM12G09580.1 pep chromosome:ALNU02000000:12:10745422:10749198:-1 gene:OGLUM12G09580 transcript:OGLUM12G09580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIVSVSTGALSTLLPKLSLLIQGEYKLLKGVKGGISFLKDELTSMHTLLVKLANNEENLDEQVKDWRNKVRELSYDIEDCIDLFLHKVSSSNAKAGLVRKTAAKIRKLWSRHKIANLIEELKARVIEESDRRLRYNFEEVADNFSHVQIDPRLPALYVEAEKLVGIDGPREKIIEWLEKDESQKLKVVCIVGFGGLGKTTLANQVYHKMKGQFDCSSFMPVSRNPNMTKILADLLKELGSRVDTSDDERQLICKLRTFLQRKRYLVIVDDIWSTQAWEVIKCALPENNLCSRIISTTRNSDVATSCCSSLAGYIHNIQPLNDQDSQKLFFKRIFGDESACPPYLEQVSHGIISKCHGLPLAIISIASLLAGKSHMKEQWEQVHNSIGFVFSQQGIRDILLLSYYDLPINLKTCLLYLSVFPEDYKIDREELIWRWIAEGFITEVKGQTLDQIAENYFNDLVNRSMIQPFDIKYDGRADACKLHDMVLDLIISLSTQENFTTIMEGQQYKCSSNKIRRLTVHSKYLEDEVMQEIMTNCSQVRSISFYELQDQEVSLLSTLNSLRVLAFNNSHHLGNKSIKYLGRFFQLTYLSIASRGITDLPEQIGGLQNLLTLDIRGSSVEKLPSTIGCLKNLVRLLVNEAVKLPNEVGDLQALQQLSFAGNYNSIVFVEQLKRLANLRAISIQLHDSARLGHHDMARYMEALKSSLAVMDKQGLQSLEISCFDTVIGEKLMDLLCYSPCLQKLVIHGCCIGLLSKQMALLVNLRHLEIWVRNIKQDDLCVLGSIPTLLFFRLFVVCGPDERLAISSHQFRCLKQFIFVSYGGGLEMLFMQGAMPELRWLSLEFRAHESDCKMGFEFSLKHLASLEHLKVTIFCHVATRSRVEAAEASVRNAASAHPGCPIFEINRCGEQSMVDDKVDKEEILKDIDAHEVVQRDMNN >OGLUM12G09590.1 pep chromosome:ALNU02000000:12:10752069:10756252:-1 gene:OGLUM12G09590 transcript:OGLUM12G09590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVSVATGVMGSLLAKLSALLGEEHGLLKGVKGDIRFLRDELSTMNVFLTKMASVEDSLDVQVKDWRNKVRELSFDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKEDKSDDERQLIDKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKCDTKDQWEQVHNSLSSAFSSQWMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQYLRVTSYGITQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCISRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYAGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >OGLUM12G09590.2 pep chromosome:ALNU02000000:12:10752069:10756252:-1 gene:OGLUM12G09590 transcript:OGLUM12G09590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVSVATGVMGSLLAKLSALLGEEHGLLKGVKGDIRFLRDELSTMNVFLTKMASVEDSLDVQVKDWRNKVRELSFDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKEDKSDDERQLIDKLRVFLENKRYFIIVDDIWSASAWEHVRLALPENSLCSRIITTTRNVNVAKSCCSGFQGSVYNIQPLNEQDSKKLFLKRLFHSDSNFPTHLEEVSHAIIKKCHGFPLAIICLASLLASKCDTKDQWEQVHNSLSSAFSSQWMSDILLLSYYDLPYHLKTCLLYLSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCISRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYAGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >OGLUM12G09590.3 pep chromosome:ALNU02000000:12:10752069:10756252:-1 gene:OGLUM12G09590 transcript:OGLUM12G09590.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVSVATGVMGSLLAKLSALLGEEHGLLKGVKGDIRFLRDELSTMNVFLTKMASVEDSLDVQVKDWRNKVRELSFDIEDCIDLFMHKLNRGDDKVNIVLKMAKKIRMLWSRHQIANQIQELKARVKEESDRRLRYYFSECNAHVDGTKIDPRLPALYVEEEKLVGIHGPMEEIIELLMKEDGSGQKLKVVSIVGFGGLGKTTLANQVYNKIKDQFDCSAFISVSQSPNIKKILFDMLKDVTSRDNSDDDKQIKVVGVKEDKSDDERQLIDKLRVFLENKSVFPEDYKIDRDELIWRWIAEGFITEVKGQTLDQVGGSYFNELINRNMIQPIDIKYDGTANACRVHDMVLNLIISISSEENFLTVVDEQGYKYLGNKIRRLSFQSNSVENDVNVVQKIMDNLSQLPDQFGNLHYLQTLDIRGSGIEKFPPTVVRLHNLARLLVGNKVQLPDGIGDLQSLQVLSSARLYKPLKLVEDLRRLTKLRTLEIVLHGSDTLGAHEMGRYEEALESSLTVLGKHKIQSLEISCCDYLRDKLLDLLCCTVPNIQKLVISGNCISRPSQQMLSLVNLAHLDIYFQRIKQEDLSVLGSISTLLYLRLKLHFVPDERLCISSQQFQSLMEFRFIYYAGGGLRMLFQQEAMAKLRRLQIRFRAEEMESNAGFEFSFHHLSSLEDLHATISCYRATRSSVEAAEAAIRNAASIHPGHLKVSIIREWESRMAGLRER >OGLUM12G09600.1 pep chromosome:ALNU02000000:12:10765646:10769640:1 gene:OGLUM12G09600 transcript:OGLUM12G09600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADLDFSPPPPPEPALSPEPEILAPDHQSWKAEMMSALGESVSFGRFLAEPLEWGKWSAFAHNRYLEEAAHQSRPGSVAQKKAFFEAHYARKRKTDATGSDVDPDEDAAAAAAAVSSARSSSSSCMTDEPAAEETTSCVVSSGVVAAGPVEEMEELDVITDGGVGSSCGVDADEAAHHKQDGVHAAESRDVLQAMEKQEAATHDPCADNSVPADADDKQPLKESSIVNQGSAESVKRRRLPSLLQKPAKFSSPSSGSKGPTSSAKRRSRLHSAKENSSPPNNESDQQATSSVPQNRSILEAFQKSKNFGRCETGNAASSSKNLGTTIAARISQLESATGPVKHTDSALSQVKPPIEAFPKDVPEITSRTSQLEEQRSSHVTRVKEKLFGFTSQSAHQKANTPRKEKGKTQNESFKARPLPNFYRRNKQAKDSSHQSSQDVNNSHAHPTSKEASKDKQICCFPLRRLG >OGLUM12G09610.1 pep chromosome:ALNU02000000:12:10770039:10771418:1 gene:OGLUM12G09610 transcript:OGLUM12G09610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKPLLLAILCCICLYSSGAIVAAARELGGDAAMAARHERWMAQHGRVYKDAAEKARRLEVFKANVAFIESFNAGGKNKYWLGVNQFADLTSEEFKATMTNNKGFSTPNGVRVSTGFKYENVSADALPASVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKLSTGKLISLSEQELVDCDVDGNDHGCEGGEMDGAFQFILSNGGLTTEANYPYTAEDGRCKTTAAANVAASIKGYEDVPANDEPSLMKAVAGQPVSVAVDTSKFQFYGGGVMAGECGTSLDHGVTVIGYGTASDGTKYWLVKNSWGTTWGEAGYLRMEKDIDDKRGMCGLAMQPSYPTE >OGLUM12G09620.1 pep chromosome:ALNU02000000:12:10772441:10777911:1 gene:OGLUM12G09620 transcript:OGLUM12G09620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSQSRGSKSGGGWSPEPEGGQPPRRRLAPETPPLRGARPQSSPCHDARRLFGSKKVAFMARSAALSLAMQLRSNDVDAAEGMDAVAGENKGRRRHSNAIFRVSGGGNLGMVFRYSLSVSVIPPRPMEVKKLIQNLVFFG >OGLUM12G09620.2 pep chromosome:ALNU02000000:12:10772441:10777911:1 gene:OGLUM12G09620 transcript:OGLUM12G09620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLSQSRGSKSGGGWSPEPEGGQPPRRRLAPETPPLRGARPQTKNHPEAEDKSNSHAKIKGYMFQINMISRHGL >OGLUM12G09620.3 pep chromosome:ALNU02000000:12:10772454:10777457:1 gene:OGLUM12G09620 transcript:OGLUM12G09620.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQVSRRRPPPVALPPKRGGALPAARTADATRTLRHTHAVVHAKALAVSRQQERRRLEPRARRWPAPSPPASP >OGLUM12G09630.1 pep chromosome:ALNU02000000:12:10773243:10777486:-1 gene:OGLUM12G09630 transcript:OGLUM12G09630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYFDSIFLMDHPKNTRFWINFFTSIGLGGITETLREYLKTMPAMQQQKSESSSDESGRNPTTASIPSAASTSLDRSCIANERAALLAIKATFFDPNSRLASWQGEDCCSWWGVRCSNRTGHVIKLRLRGNTDDCLSFYRDKLKGEMSYSLVSLQKLRYLDLSCNNFNWSQIPVFLGSLPSLRYLNLSYGFFYGSIPPQLGNLSKLSYLDLTSYNYPDNQLYSVGLSWLSHLSSLKHLVMNHVNLTTAVDWVDEINMLPALKVLYLQQCGLRKTVPFLRRSNLTVLEVLDISWNNFHTTIAPNWFWNISSLTFLNIRPCGFYGSIPDEIGRMASLEEVYFQGNNLMSTMIPSSFRNLCNLKVLDLQGTNTSGDIRELIERLPNCPWNKLQQLGLSHNNIGGTLPNWSEPLANLTVLLLSNNNITGAIPSWIWTLTKLNFLDLSSNKLNGIVKEDQLGNLTDLLFLGLGNTHLQIKFSGNLPLWMGKKFLPILSLLRLRSNMFSGHIPTELTKIDQLQFLDLAENYLSGSIPDSLVNLSAMARTSGYSVLLDEIVLTGQGAMYDIIFFYELNNFTGAIPQDIGALVALKSLNFSWNLINGEIPETIGQLKQLESLDLSHNELSGEIPSSMQDLNALGTMNLTYNNLSGRIPRGNTMGSYDASSYIGNIGLCGPPLTRNCSGNATSKELPGNHVDLEHISLYLGMAIGFVLSLWVVLCLLLFKTSWRKSYFMFVDRQQKKIYVSVKIRYAVLKRKLGTNNH >OGLUM12G09640.1 pep chromosome:ALNU02000000:12:10783517:10783914:1 gene:OGLUM12G09640 transcript:OGLUM12G09640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDDVAPAHGGGGSGRGRRVRKTDAGEEGGGVRPQRGGGRGEVEEERKRCSTMAGEVALRQRRNGEIWRVADGRGTKKRRSGRRERIRLGLINLAWFLWVQVSVF >OGLUM12G09650.1 pep chromosome:ALNU02000000:12:10784913:10786817:-1 gene:OGLUM12G09650 transcript:OGLUM12G09650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDSL-like Lipase/Acylhydrolase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G33811) TAIR;Acc:AT1G33811] MQTKARSPLAALVVLLVVTMAAAAQPQQQLVPCMYIFGDSLVDSGNNNNILSLARANYQPYGIDFTGAAPPGRFTNGLTVVDMLADMLGLRPPLIPAYAMAQPADFARGLNFASGAAGIRPETGNNLGRHYPFSEQVEHFRAAVRQMGPNAGSPERLGRCIFYVGMGSNDYLNNYFMPNYYTTAQSYDPAAYAADLLQEYSRQLAALHALGARKFVLAAVGDIGCIPYELARISNNQDDDDAAPSSDSGTGISISLGGVGLTVGGGGGGGSTQAANASRSGGNGGGGACNEEINSAIAIYNRGLLSMVKRLNGGGGGGRMAGATVVYLDTVRTGRAVAASAAAHGFEVLDRGCCGVGRNNGQITCLPMQQPCGDRSKYVFWDAFHPTEAANRIYAARAFNSSAAAGDAYPINVSQLAAI >OGLUM12G09660.1 pep chromosome:ALNU02000000:12:10797485:10799014:-1 gene:OGLUM12G09660 transcript:OGLUM12G09660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIPYLTLRAATDLHNTLGAEMFFKAEYCHVRCNAHIQYGTRLITSTIANLLSTYMLLNNTMKLQVIRIGGKTESLHGFLQAFCDATKAFSADKHPTFHLFLKMVHLRCFT >OGLUM12G09670.1 pep chromosome:ALNU02000000:12:10800199:10800618:1 gene:OGLUM12G09670 transcript:OGLUM12G09670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCYYKTRQGIIKQEEFTLSVDDKPKLPTDYSNAPIPTYKVAQIQDWLIRSIYIPPNMVDPKFIHVT >OGLUM12G09680.1 pep chromosome:ALNU02000000:12:10807959:10814937:1 gene:OGLUM12G09680 transcript:OGLUM12G09680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIAVLPLHTYWDSIICCYIVIHLNVPCVIVMRWQRFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSVQNDLPKQLKTVRYFPVDDRKYCYTMNVSERTRYLVRATFLYGNFENSNIFPKFDLSLGATPWTTVVVYDDTTPKVVEAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYENQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHISIRTDGEEPPEEVMRTAVVGQNGSLTYRLNLDETPGNSWAYAYFAEIEDLAPNETRKFKLAIPEMPEYSTPTVNVEENAPGKYRAYEAGYMNMSLPFVFKPVFQKTDDSSKGPILNARTIWLSWYHGILRKVGRKRVVTHAYQHHGPGYNAVQKKRPGTLSGKNITGSIPVELTKLPGLVEFHLEDNQLTGALPSSLGDLPNLKQLYIQNNKLSGEIPQALFRKGIIFNFSGNSNLHVAHNTITHPVIIIVCVVIGAFVLLVAAVGCYLFAYNRKKKPSDAPAKQLSSPLSEVTTESVHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLADGREIAVKLLINDSYQGTREFLNEVTLLSKIHHRNLVSFLGYSQQDGKNILVYEFMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGSQQLTEKSDMYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVVLEQNSRFDELLELPGLR >OGLUM12G09680.2 pep chromosome:ALNU02000000:12:10807959:10814937:1 gene:OGLUM12G09680 transcript:OGLUM12G09680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIAVLPLHTYWDSIICCYIVIHLNVPCVIVMRWQRFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSVQNDLPKQLKTVRYFPVDDRKYCYTMNAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYENQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHISIRTDGEEPPEEVMRTAVVGQNGSLTYRLNLDETPGNSWAYAYFAEIEDLAPNETRKFKLAIPEMPEYSTPTVNVEENAPGKYRAYEAGYMNMSLPFVFKPVFQKTDDSSKGPILNARTIWLSWYHGILRKVGRKRVVTHAYQHHGPGYNAVQKKRPGTLSGKNITGSIPVELTKLPGLVEFHLEDNQLTGALPSSLGDLPNLKQFFSGNSNLHVAHNTITHPVIIIVCVVIGAFVLLVAAVGCYLFAYNRKKKPSDAPAKQLSSPLSEVTTESVHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLADGREIAVKLLINDSYQGTREFLNEVTLLSKIHHRNLVSFLGYSQQDGKNILVYEFMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGSQQLTEKSDMYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVVLEQNSRFDELLELPGLR >OGLUM12G09680.3 pep chromosome:ALNU02000000:12:10807959:10814937:1 gene:OGLUM12G09680 transcript:OGLUM12G09680.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIAVLPLHTYWDSIICCYIVIHLNVPCVIVMRWQRFISLDCGGDGDFTDDIGIQWTSDDKFVYGGKTANLSVQNDLPKQLKTVRYFPVDDRKYCYTMNVSERTRYLVRATFLYGNFENSNIFPKFDLSLGATPWTTVVVYDDTTPKVVEAIILASAPTLSVCLSNASTGQAPFISTLELRQLNGSLYETDYENQFFLKLSARINFGAESNASVRYPDDPFDRIWRSDLVRRANYLVDVAPGTERISTKRHISIRTDGEEPPEEVMRTAVVGQNGSLTYRLNLDETPGNSWAYAYFAEIEDLAPNETRKFKLAIPEMPEYSTPTVNVEENAPGKYRAYEAGYMNMSLPFVFKPVFQKTDDSSKGPILNARTIWLSWYHGILRKVGRKRVVTHAYQHHGPGYNAVQKKRPGTLSGKNITGSIPVELTKLPGLVEFHLEDNQLTGALPSSLGDLPNLKQFFSGNSNLHVAHNTITHPVIIIVCVVIGAFVLLVAAVGCYLFAYNRKKKPSDAPAKQLSSPLSEVTTESVHRFALSEIEDATDKFDRRIGSGGFGIVYYGKLADGREIAVKLLINDSYQGTREFLNEVTLLSKIHHRNLVSFLGYSQQDGKNILVYEFMHEGTLKEHIRGGPAYVKVTSWVKRLEIAEDAAKGCSPTIIHRDLKSSNILLDKNMRAKVADFGISKPVVSGSHVSTMVRGTFGYLDPEYYGSQQLTEKSDMYSFGVILLELISGQEPISDDHFGPHCRSIVAWATSHIESGNIHAIIDQSLDTGYDLQSVWKIAEVATMCVKPKGVLRPSISEVLKEIQDAIALERGGRELVPSIQHPVSKCSPSVNMDSVVLEQNSRFDELLELPGLR >OGLUM12G09690.1 pep chromosome:ALNU02000000:12:10817094:10817704:-1 gene:OGLUM12G09690 transcript:OGLUM12G09690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR97] MAPSVMASSATTVAPFQGLKSTAGMPIARRSGNSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >OGLUM12G09700.1 pep chromosome:ALNU02000000:12:10821644:10822352:-1 gene:OGLUM12G09700 transcript:OGLUM12G09700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASWPTRAAVMARRSTANQRLQIWEWRLSEVWGVREERKAGASSTCCDTFGRTTLHGGSGESRRVGIRLDVSFAGVLSAGDTVSAEQREGCCAGAAGGRLGRAERCRVRVQPRGGVDWLRPAPGAAARPALQADVGLAVSASALQRRGRGRSGSSCSSAQLARQVLVKMPAWREREETGGEMGHWCFWQSGMWGPGLLGSPLRKVEKKERRGDFPENFGES >OGLUM12G09710.1 pep chromosome:ALNU02000000:12:10860228:10863306:-1 gene:OGLUM12G09710 transcript:OGLUM12G09710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BR99] MPRSQPHNRQLQLGFSSSSSSSRGVDDDGEWPSAAKDRSAKNKGGRDWCGEGSDDGEEGEEEEEDGVLSAFLCPITMEVMRDPVVVETGHAFEREAIARWFSECASLGAAPRCPVTMEVVDGADVKPVVALRAAIEEWTSRRETAALRRACRWLTKAASEKEALRGLDAVMRGWKLARVGKRVVRRDGMVPMVAAMLRNGSARVRLKALQALREFAREDDEYRDSVSEGDTIRTIVKFIDFEDCQERELAVSLLCELSKSEMVCEKISELNGAILILGKVACSKSQNPALAEEAEMTLENLEKCEKNVLQMAENGRLEPLLNLLIEGSPEKQLRIASSLEKIVLSNDLKNLVAQRVGLLFAGVVENGTLPAKEVAFKVLDHISTNTESAKVLIEDGILLPLFRVLSVDGVKFLPPRLQEAAAAVLSNLVACGIDFGTVPLDGNRTIVSEDIVHSLLHLISNTSPPIQCKLLEIFVMLSSSTTTVLSIISAIRSSGAITNLVQFVESDHQESRAASIKLLCKISFDMDHEIAQVLRSSPTLLGCLVRIVSENDANADEQDAALQILANLPKRDRRLTMELMEQGAFKYIARKVLNICRRGTANNIVDNTMLEGLVKVLARITYILREEPRCVALAREYNLASLFTSLLRLNGLDGVQLLSAKALVNLSVESRYMTGTPNFDEHEQKSGLTWFGKKPPGIQLCRVHSGICSIRDNFCILEGKAVERLVVCLSHQNKKVVEASLAALCTLLGDGVEITEGVSVLYRANAVEPIFEILKGNPTGTLQQRVTWAVERILRTESIAKAASSDRGLSSALVHAFQNGDSRTRRIAEASLKHINKLPTFSQIIDKHPSRRGSSIGSMEHYFRSDR >OGLUM12G09720.1 pep chromosome:ALNU02000000:12:10937264:10942604:-1 gene:OGLUM12G09720 transcript:OGLUM12G09720.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRA1] MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFNDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKNDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESVPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSATTVQSIVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPQDSVLTRRLLQDGAFSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDDPDIIAVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >OGLUM12G09720.2 pep chromosome:ALNU02000000:12:10937264:10941229:-1 gene:OGLUM12G09720 transcript:OGLUM12G09720.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRA1] MAEGQDGYFDSSTDSLRVEPIYESFLCPLSKQVMRDPVTIESGATFEREAILKWFNDNGSGGRRLVCPVTNKELSSTELNPSIALRNTIDEWMHRNEAAKLDVARKSLTSDCSEGDILQALEYVAEICQRSRSSRHLVRKVGLISLITDLLKNSSPKVRQKALGSLRFVAKNDNDNKNEIAAGDNIRTIVKFLNHGHSQEKEQAVSLLYELSEYKPLSEKIGSVSGAILILVGLSSSKVENLLTVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSSDTQLSMAAHLGELVLSNDVKVLVAQTAGSTLVNIMKSGNREAREAALKALNQISSYDTSAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSATILANIVASGASFESVPLDHNRQNLVSEEIVHNLLHLISNTGPAIECKLLQVLVGLTTSATTVQSIVDAIKSSGATVSLIQFVEAPQREVRLASIKLLNNISPFMGQELAEAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLIADLPPQDSVLTRRLLQDGAFSTIITKVTMVRQGDIRGGRFVNPFLEGLVRVVSRITFILDDDPDIIAVARDYNLTPLFTDLLQMNGLDTVQIVSATALENLSRQSKLLTRIVPAPNPGFCFSIFPCLSQKSVATGACRVHVGICSARESFCLLEGKAVEKLVACLDHNNEKVVEAALAALSTLLEDGVDIEQGVMVLCDAEGVNPILEVLCENRNEALRQRAVWAVERILRIDEIAYEISGNQNVGTALVEAFRHGDSRTRQIAERALKHVDKLPNFSGIFSKMGAA >OGLUM12G09730.1 pep chromosome:ALNU02000000:12:10949431:10953885:1 gene:OGLUM12G09730 transcript:OGLUM12G09730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASLLRSFSSQGRVRRAKNGRAQRLVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGSPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVHGLIEELEKKARPVKGSGDIKAVASISAGNDELIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAVLQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELSETDSIYDTEKLAERIAKLSGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTTVPAIKETIEDHDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKIKDGEWEVGYNAMNDKYENLIEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKAPVAEPAEGTLTV >OGLUM12G09740.1 pep chromosome:ALNU02000000:12:10958147:10963777:-1 gene:OGLUM12G09740 transcript:OGLUM12G09740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPTGVRAALRIVRRAFGMVCDQWSSLLPVVVGIFLLNLALTLFMVVNLASPLADLHVMRPFYDIDQANLTAGVTTAAADTVTCSMVAAPGGGAHGHGAASPMWELHLTGELFWSLSMAVAMFSFSRVCRLQQEPEGMEVLQHARTWRDYCSIALAVLGWQTISYYAFGAMQAVDREDLLREFDAIFGCGYLLVIVVVSRENIHGFLAIEKAWGLVFQRFKVVSCISIGFLITLASMDHIYNKEIKTGLSQYHARKAILSSEAVKEDTTAEILTFSLVAALLDVIMQLVVCPALEASLTQTIQNSLATIEERVAALPRP >OGLUM12G09750.1 pep chromosome:ALNU02000000:12:10983680:10986710:1 gene:OGLUM12G09750 transcript:OGLUM12G09750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISFDN >OGLUM12G09760.1 pep chromosome:ALNU02000000:12:10987802:10997916:-1 gene:OGLUM12G09760 transcript:OGLUM12G09760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFLFSKAMLFLQGSLPHLSCNFKRAGQHRRGIRSLQKECQSVGFAIVVWEVMGYFVFGTLQANALEIVYHLLLKYRLVYHQHHVVTAEVSRHDDTTADVVRFSLVAALLHVIMQSFVCTMVLALYRETRNNNRHDIRRNDAAAHND >OGLUM12G09770.1 pep chromosome:ALNU02000000:12:10997954:10998250:-1 gene:OGLUM12G09770 transcript:OGLUM12G09770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVGRERDPTGASTALRIVREAFAETRGKRWRLLLVAFVMLCVNFVLMILLVKMASQQAVNLDALRPFYEVEENSTKSAAAGGGDGKLGISVAFVGT >OGLUM12G09780.1 pep chromosome:ALNU02000000:12:11006174:11006377:1 gene:OGLUM12G09780 transcript:OGLUM12G09780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGEHTEGLAAVAVDGEGLAADSGWDEGLVTPTMTTTRNWKYRGNMMRPWRKYAVEMRNPMRKGK >OGLUM12G09790.1 pep chromosome:ALNU02000000:12:11021288:11025832:-1 gene:OGLUM12G09790 transcript:OGLUM12G09790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDGDPPPPPPSPSPSPSPPPPPPPQPTPPERMVAAERTKEKVAYDGENEELQQIRMMASEPKKQPELVEVVQDPVWQQEDIEEAFALAEQEQANAEQAHVLAEQHNNPVVEQQGDALAEQNNKPVVEQQEQNNNPVVEQQDDDQARLSLETLIGENRWEEALRRLERMVRDGEANNGPVPTAPSHDIYRAHPELVLLLRGEEYLRIKRLPNAAADATRFYHEHIQNLYRSGTTGSSFVDSGVLKDIQDWENGSRATPSGHQSEIHMQETRQAVNDYIKLYFPAYRPQILRVNGRSLSRAGELGEKIQNNCRCLACHKRFNSWSVSNLKNHIQGLRQEKDGKQCPAFNNYILDRLKEVLALDNKAKKKPPKDQERARQPTHGRRDDGGGDGAAPAAAAGLSAAS >OGLUM12G09800.1 pep chromosome:ALNU02000000:12:11040730:11048578:-1 gene:OGLUM12G09800 transcript:OGLUM12G09800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENADNGYPILPIEAKHVAAA >OGLUM12G09800.2 pep chromosome:ALNU02000000:12:11040730:11048578:-1 gene:OGLUM12G09800 transcript:OGLUM12G09800.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:PQ-loop repeat family protein / transmembrane family protein [Source:Projected from Arabidopsis thaliana (AT5G40670) TAIR;Acc:AT5G40670] MSSWNSVGMEVLYQVLGWVAFFAWSFSFYPQVFLNFKRKSVVGLNFDFLVLNLTKHSSYLIYNAALFFSPFIQRQYHETYGDKEMIPVAANDVAFSVHAVALTAFTLFQVFIYERGNQKISKVCISITAIVWTAAIVCLIVAWPKSNWLWLIDVFNSIQVGMTAIKYIPQAVMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLEVVFFDILFIIQHYVLYPVKRDENGKAIISERVAPLIRPSGKPEEDTDNGYPILPIEAKHVAAA >OGLUM12G09810.1 pep chromosome:ALNU02000000:12:11059876:11067375:-1 gene:OGLUM12G09810 transcript:OGLUM12G09810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADADARAPPKSDPGATPIGSISPSSAAPAAGEDEVEVEVEVEEQLAGLAIADQGEELLLPKPTGWEDGPVVAGDEVSGGEKLPGEVAAAVGVEGAAADSRPRFPRRPGEPDCTYYVKFGSCRFGMKCKFNHPARKKKSRVKGSNGGSGSGGSNSSSNKASSPDDEQAPKEEYGSYVPDISPEVDSLGFADKGSASNLENFKKYSYEIIDVKKGRVEPKELKVAKEKRKEFISEGSSQEECKYYSTPGGCKFGKACKYLHRDGKEGKTDAEKVDLNFLGLPLRPGEKECPYYMRTGSCKYATNCKFHHPDPSNVASKDPQLEHENGDAPQQDVQGSSSQPNASIWPDQRTVNEHHVPFIAPSPSYSAGMLPPQGMYPPPEWNGYHQVPLNPYYPPGVPFQHFPAAPINHPMYKAPEIPGHQQVPSEEYPERPGQPECQHFVKSGFCKFRMKCKYHHPRSPVPPAGALSPLGLPIKPDQPVCTYYGRYGVCKFGPACAYNHPFNFSPVPAAGPPLLPAQYPTPGNYTL >OGLUM12G09820.1 pep chromosome:ALNU02000000:12:11091909:11093716:-1 gene:OGLUM12G09820 transcript:OGLUM12G09820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRSRLDDISSDTNCYPVKVILSKEALPDWWITTTDGRLAGKGNSLTDSSIRRDCIFSRSLLLSGYWI >OGLUM12G09820.2 pep chromosome:ALNU02000000:12:11091370:11093716:-1 gene:OGLUM12G09820 transcript:OGLUM12G09820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPIRSRLDDISSDTNCYPVKVILSKAALCCLVDTGFDLCNDHIREKYYLIDNQESTHLLCW >OGLUM12G09820.3 pep chromosome:ALNU02000000:12:11091370:11095514:-1 gene:OGLUM12G09820 transcript:OGLUM12G09820.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRDHSSGPAELSPDCFDPEAPAALCCLVDTGFDLCNDHIREKYYLIDNQESTHLLCW >OGLUM12G09820.4 pep chromosome:ALNU02000000:12:11094330:11095514:-1 gene:OGLUM12G09820 transcript:OGLUM12G09820.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRDHSSGPAELSPDCFDPEAPDDPATCFSHDEKDLESNETIWALYERWCSFHSAEHDHDDMVRWFGCFKDRARRIIEFNKSSKPYTWGVGAWGLNIFGDMTPEELFEFGNN >OGLUM12G09830.1 pep chromosome:ALNU02000000:12:11093321:11103820:1 gene:OGLUM12G09830 transcript:OGLUM12G09830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase, ATP-dependent, SK12/DOB1 protein [Source:Projected from Arabidopsis thaliana (AT1G59760) TAIR;Acc:AT1G59760] MATLKRKADSAAAELASPPPKAPRGSEALAESPALAGEPVACVHDVSYPEGYDPSAPATHLLNGGAGAEGAGPAKTFPFQLDPFQAEAIRCLDNGESVMVSAHTSSGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWIIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKSKFREDSFQKALNALVPASENDKKRENGKWQKGLLTGKPSEDSDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNDDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQIRCEDGDPEKLLRHSFYQFQADRALPDLEKQVKELELERNSMIIEEEENLKSYYDLLQQYKNLKKDVRDIVHSPKYVLPFLQPGRLARVQYSTDEQSTFSIDENITWGVTINFEKVKTHSEDRRPEDSDYTVDVLTRCSVSKDKSGKKTMKIIPLKDRGEPVVISLPLSQIDGLSSIRMHIPKDLLPVEARENTLRKVDEVISRFAKDGIPLLDPEEDMKVQSSSFRKASRRIEALESLFEKHDVHNSPHIKQKLKVLHAKQELSAKIKAIKKTMRSSTALAFKDELKARKRVLRRLGYITSEDVVEMKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFFQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETQLEAKLEEAVSKIKRDIVFAASLYL >OGLUM12G09840.1 pep chromosome:ALNU02000000:12:11105836:11115960:-1 gene:OGLUM12G09840 transcript:OGLUM12G09840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPPAVEPPEWLRTLPVAPEYHPTLAEFADPIAYILRIEPEASRYGICKIVPPLPRPPEDDTFRRLQDAFAAAASSNGAGGDPSPTFPPTFPTRLQQVGLSARNRRAASRRVWESGERYTLEAFRAKAAEFEPPRHAAPPRNPTHLQLEALFWAACASRPFSVEYGNDMPGSAFASPDELPDAANATDVGETEWNMRVAPRARGSLLRAMARDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNFLHFGKAKTWYGVPRDAMLAFEETVRVHGYADDLNAIMAFQTLNEKTTVLSPEVLLSAGVPCCRLVQKAGEFVITFPGAYHSGFSHGFNCGEASNIATPHWLQVAKEAAIRRASTNCGPMVSHYQLLYELALSLRPREPKNFYSVPRSSRLRDKNKNEGDIMVKENFVGSVAENNNLLSVLLDKNYCIIVPNTDFFVPSFPVALESEATVKQRFTAGPCSISQQGAENMAVDHVAVDKVTDIQDMSGSLYPCETSLVGCSNRKLYETKYGQRDAAALCLSTSEIQSRGIDKARSHPAGGILDQGRLPCVQCGILSFACVAIIQPREAAVQFIMSKECISSSAKQGGIGASDDTSNWIDQSHEISPPPGPASGTDDNVKHTVSLAHVSDRCRELYASNTDGCTSALGLLASAYDSSDSDDETTEDVLKHSKKNDSVNQSMDTQILETSASYSSTVRCQKTNSHSHEEECEARATSLMKPVSHNSRPISQSNRDTDIDQFIELGKSGTQCSGYLDLVDDLTTSVLKSSSDTCVSAAKASMDPDVLTMLRYNKDSCRMHVFCLEHALETWTQLQQIGGANIMLLCHPEYPRAESAAKVIAEELGIKHDWKDITFKEATEEDVKKIRLALQDEDAEPTGSDWAVKMGINIYYSAKQSKSPLYSKQIPYNSIIYKAFGQENPDSLTDYGCQKSGSTKKKVAGWWCGKVWMSNQVHPLLAREREEQNSSVVYGKAMFTTISHGKVQDEASTRCNTSNRTPSKRTSRRKKGVSAEKSKPKNKRSTASDEASMHCSGLGMNSGVIHDQTENSDDYDKHGNGDEIEEGTNPQKYQQRKLQNVTRKSSSKKRKDEKRTDSFHELYDEDNGVDYWLNMGSGHDATLGNSQQQSPDPVKVKSGGKLQGKRKSSKYKSNDDLLNEENKLQKMNKKSSSKKQKNDKINRQLQEDQTEDDHMDHLVDVAVADEVTLDNEDKITEDKIDDVKVKSRGKSQNGKRKGSKHQASDGLRAGNKVAKFPCDIEGCDMSFSTQQDLLLHKRDICPVKGCKKKFFCHKYLLQHRKVHIDERPLKCTWKGCKKAFKWPWARTEHMRVHTGVRPYECQEPGCGQTFRFVSDFSRHKRKTGHSSDKRRKNST >OGLUM12G09850.1 pep chromosome:ALNU02000000:12:11123332:11124627:1 gene:OGLUM12G09850 transcript:OGLUM12G09850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEELEEGFPMVTGAGSVVAVELALEEEIHAGGCLIEHKLLQLPALKAMEVPRTERSGCGRPLRCLDEPHAAGCGHPSSRPELRCPVKWRIAKLMMMVSCTLVTGINVDGSTSGGELWHASACRALARFSANPCMHMCLHGAWILTRRHARVGEDWTVVAMGGMALASAEMTGCCR >OGLUM12G09860.1 pep chromosome:ALNU02000000:12:11124882:11125242:1 gene:OGLUM12G09860 transcript:OGLUM12G09860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISWRQCSGTQKRWGWRWLGDECGCHLLRCLFRRYRRRAHKVATRARRHGSAPVSTCSVPSARTTTAAASLQHDAGVRRNAAE >OGLUM12G09870.1 pep chromosome:ALNU02000000:12:11130021:11138650:-1 gene:OGLUM12G09870 transcript:OGLUM12G09870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48425) TAIR;Acc:AT3G48425] MKRFFQPVPKDGSPAKKRPAASASSDSDSLGGDAPALSPAAAACAIGEGDSPPAPREEEPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVVLRALSSPPFKDYRVWWSLSDSKYAGTAMIIKKKFEPKKVSFNLDRTSSKHEPDGRVIIAEFKSFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >OGLUM12G09870.2 pep chromosome:ALNU02000000:12:11130021:11138650:-1 gene:OGLUM12G09870 transcript:OGLUM12G09870.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAse I-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G48425) TAIR;Acc:AT3G48425] MKRFFQPVPKDGSPAKKRPAASASSDSDSLGGDAPALSPAAAACAIGEGDSPPAPREEEPRRFVTWNANSLLLRMKSDWPAFCQFVSRVDPDVICVQEVRMPAAGSKGAPKNPGQLKDDTSSSRDEKQVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKLNGYIPPNKEDCGQPGFTLSERRRFGNILSQGKLVDAYRYLHKEKDMDCGFSWSGHPIGKYRGKRMRIDYFLVSEKLKDQIVSCDIHGRGIELEGFYGSDHCPVSLELSEEVEAPKPKSSN >OGLUM12G09880.1 pep chromosome:ALNU02000000:12:11181819:11216470:-1 gene:OGLUM12G09880 transcript:OGLUM12G09880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARHVASYMGRFAPRCGYAGNTTCTAATWTRPQLPHAPSGPLVILRRGIAMIPGDHSPGPADLSPDCFDPEAPGSFQGNLAKFIPKPIRISSSARIGLFLAFIGLQSSEGMGLVG >OGLUM12G09890.1 pep chromosome:ALNU02000000:12:11236082:11237156:1 gene:OGLUM12G09890 transcript:OGLUM12G09890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRISSNRPWCGKLVVIEFGASWCEPSRRIAPVFAEYAKEFAGVVFLKFDIDELEEIADSYDVDGVVPTFTFVKAGQKIDMIQGARRRSRPRLTDEDVGILRGRECDIPAQGLRGLIEYSYQQVATSFQEKNFFSCCTSWVHKNFLNSFRVLVCVLLKLPDVTGPASSVGRHTRSLRSKIQIHTASPYFLGS >OGLUM12G09900.1 pep chromosome:ALNU02000000:12:11310809:11312899:-1 gene:OGLUM12G09900 transcript:OGLUM12G09900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAFRWCGGAMDNMVSQVCQPTNQLENSNDVTRQPADQAGNGRIRLINTGINRPRPRGVTVNTALTKLRARGVPLDIHFPRQFGKVCGRHASIFKSEVTVCVRQEAPLKLKKWKNIEKAFHGTSSSIWTLLKGKFPEISLDDYECVMAQVERQYNIRCYNLYRTYRTTKVRPIHVAPEDWQWLIDNLWSDEQFQRSKQNSINKSKQEMKSLVGTINIETYERNPETGAWPSAVDVWRAIYMKANGTWSIPNGAEILNNLEEAAETHKERIAAAPIPLAEHFALVLGRKPNHSHGVGIGAVNQGAQERYRIHARAEAADQRASDAQNQAAALLEEANNQLRDELRFQREELNSQKKTVEEQSVDMERLMDRKLEETMNRMMARMGAADAASSIPSSFTSTPTN >OGLUM12G09910.1 pep chromosome:ALNU02000000:12:11340403:11349637:-1 gene:OGLUM12G09910 transcript:OGLUM12G09910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSAATAFTKCVVGKLLEVLDTRYKMLRDLSHETASMQNDLLLLAAFMDDQLRRSSSSPAAERPTAVLRAYTKLMRELTHDMEDSIERFLHRVAPRDDHGGAGAPSWPRRATRWVATLRTRLRFAAEIRQLKKRLEDETKRLRNAVEAAAAAGGGGHSSAAPALAAEAPRGGHVEPNPVGMEKPIEHLVQLLDEAGAGGGPQQLRVIAIVGFRGSGKTTLARAVYSRSGRQFRERAWVDASRWTDSGRCASENMMYLIVLDDIGLEQWNAVESIFENNGRGSRVIVTTAILSVANSCTAYKSGANGGCCIRRHGCVYKMQTLGEAHAKELALGGGDQRPPELEHGSATLMAKCDGLPLALVSVANHLRCLGNLTGRHCADLCHLLGSLLLDERNVPRLAGTAAAADSFARLRRVLMDSYAGLPDYAARTCLLYLAVFPNDGRRLKRSVLVRRWLAEGYARGGEDVLGNSTDVDVADGHFRSFIDQNIIVAHPADDDDDNDDHRTRRCRTHGIVHEFVLHKSIAESFIFSSRAPPRRKRVRHLSIQGGGGNTTTAALSTTDLSCVRSLTVFGDGGDAVSNLRKCKLLRVLDLEQCATALSNDHLADICKLWNLRYLSIGMSSNITMLPDKIRRLKLLETIHLSKTKVTMLPLQVVGLPCLAHLVGKFKLLLPDQRGKKTVVISNELEKLAKKSNLQTLAGFVADESQQAFPQLMRHMRKLIKVKIWCEFGGEANGVSTTATDHLADAIRSYIEAPKVEETDARSLSIDMEQCSKQLIRSCHGESKLLHSLKPPCRSYLTSLKLHGDLFRLHGLISMLKNLYELCLSSTTTTLTRDLVSTIGGLPLLLRLKLVANHVEHFAIGAGEFRSLQHLLLVVHRRNPILPKIEEGALPQLVSLELLCKHLRGLSGIQIRHLQRLKEVALDSRVGEDTRREWEAEARRHPNRPSILLLKNRYSTVLSDDTDRLDDQMDGELARDAAATEKSAPDDAAGIQEEIAEVESESSAFQLANATMNNSVTGKESTAHDADEEGLGSTTAD >OGLUM12G09920.1 pep chromosome:ALNU02000000:12:11361430:11370380:1 gene:OGLUM12G09920 transcript:OGLUM12G09920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTVACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYDLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASVVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKKYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGYLTEVSHDIIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIMCKSIEENFSITLDHSQTTVRHADKIIGFFEYIFNSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSRDISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIQRHTASPYFLR >OGLUM12G09920.2 pep chromosome:ALNU02000000:12:11361430:11370380:1 gene:OGLUM12G09920 transcript:OGLUM12G09920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTVACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYDLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASVVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKKYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGYLTEVSHDIIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIMCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKYIFNSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSRDISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIQRHTASPYFLR >OGLUM12G09920.3 pep chromosome:ALNU02000000:12:11361430:11370380:1 gene:OGLUM12G09920 transcript:OGLUM12G09920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTVACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYDLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASVVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKKYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGYLTEVSHDIIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIMCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELIQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFNSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSRDISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIQRHTASPYFLR >OGLUM12G09920.4 pep chromosome:ALNU02000000:12:11361793:11370380:1 gene:OGLUM12G09920 transcript:OGLUM12G09920.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAVSASQGVIMRSLTSKLDSLLLQPPEPPPPAQPSSLRKGERKKILLLRGDLRHLLDDYYLLVEPPSDTAPPPDSTVACWAKEVRELSYDVDDFLDELTTQLLHHRGGGDGSSTAGAKKMISSMIARLRGELNRRRWIADEVTLFRARVKEAIRRHESYDLGRRTSSSRPREEDDDDDREDSAGNERRRFLSLTFGMDDAAVHGQLVGRDISMQKLVRWLADGEPKLKVASVVGSGGVGKTTLATEFYRLHGRRLDAPFDCRAFVRTPRKPDMTKILTDMLSQLRPQHQHQSSDVWEVDRLLETIRTHLQDKKYFIIIEDLWASSMWDIVSRGLPDNNSCSRILITTEIEPVALACCGYNSEHIIKIDPLGDDVSSQLFFSGVVGQGNEFPGYLTEVSHDIIKKCGGLPLAITITARHFKSQLLDGMQQWNHIQKSLTTSNLKKNPTLQGMRQVLNLIYNNLPHCLKACLLYLSIYKEDYIIRKANLVRQWMAEGFINSIENKVMEEVAGNYFDELVGRGLVQPVDVNCKNEVLSCVVHHMVLNFIMCKSIEENFSITLDHSQTTVRHADKVRRLSLHFSNAHDTTPLAGLRLSQVRSMAFFGQVKCMPSIADYRLLRVLILCFWADQEKTSYDLTSISELIQLRYLKITGNITVKLPEKIQGLQHLQTLEADARATAVLLDIVHTQCLLHLRLVLLDLLPHCHRYIFNSIPKWTGKLNNLRILNIAVMQISQDDLDTLKGLGSLTALSLLVRTAPAQRIVAANEGFGSLKYFMFVCTAPCMTFVEGAMPSVQRLNLRFNANEFKQYDSKETGFEHLVALAEISARIGGTDDDESNKTEVESALRTAIRKHPTPSTLMVDIQWVDWIFGAEGRDLDEDLAQQDDNGFCMLPESSSRLQSRDISIEEDMPTGAEGTVIVPHTMEQFALHMSQAKQSHKLVVIQFTTSRCPASRYIAPAFTEYAKEFAGAVFIKVNVDSDELESVTDWYDIEGIVPTFFFVKDGEKIDKIPGANKELLRAKIQRHTASPYFLR >OGLUM12G09930.1 pep chromosome:ALNU02000000:12:11378328:11378837:1 gene:OGLUM12G09930 transcript:OGLUM12G09930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAESPTLGESLAHVPRGERWAMAVLLQLMLPIGTTSSLAYGYHLGFPSFFSSVPWRLPLLLSMGAYFSVLYATTSYAQLFLPRTPVRVYDNIFDVGTWGGFFIICIMVCVVLGLRVEDARVLVACTCVVAAFVVGVVVVWVWLARTYGGDEDEDEASSESTSARLPV >OGLUM12G09940.1 pep chromosome:ALNU02000000:12:11379893:11385344:-1 gene:OGLUM12G09940 transcript:OGLUM12G09940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCEAGGSGGRSPPSGSGGGGRRKTLAEVMATRAPRPASIRPEATRAAEAAAREVLLRVAPTEEAERRRQDVVGYLRRLLGTALGCEVIAFGSVPLKSYLPDGDVDITVLGNTALDGTCISDVHSILESEEQDSGAELEIKGLHFIDAEVKLIKCVIENIVVDISFNQIGGVSTLCFLELADRKVGKNHLFKRSIMLIKAWCYHESRILGAHHGLLSTYSLETLVLYIFNIFHKSLHGPLEALYKFLEYFSKFDWDKYCISLNGPVLLSSLPNLAVEPSSIQDDLLFGKKTLPEVSDGSNINFCLKHLNIIDPLKWSNNLGRSVSRGSFYRIRGALSFGAQKLGQILMLHSDLIPTEIFGFFANTLKRHGRGERSDVGNNDSIESLLDPEHALEKDAPDFTNSDRNQDENRNPNLQRTSNRYFRDAKDRPWNKIWFTNFDIQYYNMVSGDSLMSHSTSSTENGNHNIKQRCSRSLMEQQNYANNQPHILTPSTHKNTLDVINSCRAELNRSDLHEEKVILSPFSPSNLLDLSGDLDLHLRCLQKIQYHLESMFDGLVQLIQEAFLSGLLDEDSFKIPTTSFSNSTNERPTGLLPVASVDTGTRNLCPVSYFPSTGDVSHKSHTEDQVNAVCQKNVVLSSGTCIISNRFASSPSPPADLESYPVSWFHNTQEIMAHGTGMQTLKNVSLLPGTDVLSNVVGQLPFLAADSENYSFSRSHNTREKRVMRGTGTFLPRMSYYTYKERILSEKGRRERERLPDRPWKIKTNPTSYLHQHTSPEMGCSGASTGGITIDQNSSQQDYSRSSVPAEGGVFQKRALINHVSEQIQTSLPWDVHNNQHGYVYSDMNMVDNQNPGTTSEGLVGHNSESRELPIHHPPEVQFSETMASSPCILLPHCIGNGQGNLLQESNTCQPFPPATDVFHSTKTKQDENLEFESFGPIPFSPPCAKFGEAFPLPTSKGPAETESRPDGLYQLRDEADFPPLQTRSC >OGLUM12G09950.1 pep chromosome:ALNU02000000:12:11395777:11396454:1 gene:OGLUM12G09950 transcript:OGLUM12G09950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAMVLIVLLVLVVLPSSTLCSRAGPSSKHGHGGGHAKRVPPPASVPPPPPPPPAPAALVRATCNSTSYYDLCVAELSADPSSATADVRGLSSIAVSAAAANASGAAQAASALANATDAGTTAGVAGDGGGAVVQRLLATCAAKYGDARDALAAAKGSIAQQDYDMASVHVSAAAEYPQVCRTLFGRQSPGDYPPELAATEVALRQLCSVALDIIALLSSSSN >OGLUM12G09960.1 pep chromosome:ALNU02000000:12:11413564:11416059:-1 gene:OGLUM12G09960 transcript:OGLUM12G09960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANREEPYSTTGGTAAKAPPVAMDAKLLMAECPEDRKLLKELVHKEDASKMMVVLASTTNQPAAEKPAAARAVMNPLLLAAASFGACEVFYFLFCREDAREPLPTMTARAFHAMLAGDASGADGRWPSTHQQALDEVEEGGAGAAIAVGHQSTRRLPPPDAPLLEGITVEGDTALHVVATHGNAANFLECAEIICNRARRLLLATNDKGDTALHCAARARRLEMASRLIALAKAREDHEVERGQAASFGKVLLRTENERNETALHDAVRAGDGDMVRRLMDEDPDLALFPVQGTSPLYLAISLRNGTIAEILHEKSNGNISYSGPHRQNALHAAVLLRHTAVLELLLKWNSNLTKQGDENGSTPIIRVPHSLSMLLNTGEVDPFYQSDKNGMFPVHVAAAVGAELTVAFLLDKFPNSAGLRDAKGRTFLHVAVEKQSLAVVRFACRTTSLQWILNMQDKDGNTALHLAIQANHLRLFCALLGNPEVNLDLTNHSGHTPLDLSRSMLPRGMKYTANTEELIYLTLKQVGSEHYHDRRDHIEEIYSRRVVSKEDLAEELDKDARIDKNTRHWFGSM >OGLUM12G09970.1 pep chromosome:ALNU02000000:12:11431942:11438055:-1 gene:OGLUM12G09970 transcript:OGLUM12G09970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF3411) [Source:Projected from Arabidopsis thaliana (AT5G24690) TAIR;Acc:AT5G24690] MASLAAFHPAAPRAGAHHPRRPNPATGLLRLRLPAPPRRRARAAPRLAVSASSAGAGAASPSPAAGWDRSDAASSLERCLAASGSAAPASAPTRAPPAMKGGKQYGSFGAVTLERKVDLSKGRKKITPELFNREFVDAVMQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLAIFARPTHSRSFSRALPGWLSRGLVGRTLADPSFPHKIAFEFMATFFSSVWWEMNIRKERFEQEWDLAVVNALTASCCNVMVLGLLAPCRSYGSTSRFDFQNAIEKLPNNIFENSYPLRQFDLQKRISAFFYKAAELSLLGVVAGSIQGGLSKALSARKERRLSVTIPSVSTNALGYGAFLGLYANLRYQLLCGLDQYMVRRFDVLGVAIFFSTALRLTNIQIGESSRRTWLGEEADPQYSDRLLRAYKRPVEVAGDQQDSRWFISKDAIVSGLGLLGIKQGGTEAALSKPRRKRVVRKKVAST >OGLUM12G09980.1 pep chromosome:ALNU02000000:12:11441336:11444369:1 gene:OGLUM12G09980 transcript:OGLUM12G09980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAVFHPASATAAAAARRHHPPRPPHLHLHLPLHHQPSSPRRRFAAEFAVGGADGGTGGGGGRRARGGGDVDVAAVGAALRDARTADEVETLVKGFLDDGGGGEEHLPLQAYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGVPPNIVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSAYKKAGDAFAALKFITKLREMYNKGELAVNREDWDREFVKFEKLTVRVCYMAMRRSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALQVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKIEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSSLYRYRTERWIM >OGLUM12G09980.2 pep chromosome:ALNU02000000:12:11441336:11444185:1 gene:OGLUM12G09980 transcript:OGLUM12G09980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLAVFHPASATAAAAARRHHPPRPPHLHLHLPLHHQPSSPRRRFAAEFAVGGADGGTGGGGGRRARGGGDVDVAAVGAALRDARTADEVETLVKGFLDDGGGGEEHLPLQAYTSVIRGLGKERRLDAAFAVVEHLKRGSGSGGGGGGVNQFVYNCLLGAVKNSGEFGRIHDVLADMEAQGVPPNIVTFNTLMSIYVEQGKIDEVFRVFDTIEGSGLVPTAATYSTVMSAYKKAGDAFAALKFITKLREMYNKGELAVNREDWDREFVKFEKLTVRVCYMAMRRSLVGGENPVGEVLKVLLGMDEAGVKPDRRDYERLVWACTGEEHYTIAKELYQRIRERGDGVISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLDKMQQKGLKPGSREWNAVLLACSRAAETSAAVDIFKRMIDQGLTPDVVSYGALLSALEKGKLYDEALQVWEHMCKVGVKPNLHAYTILVSIYIGKGNHAMVDSVLRGMLSAKIEPTVVTFNAIISACVRNNKGGSAFEWFHRMKVQNIEPNEITYQMLIEALVQDGKPRLAYEMYMRACNQGLELPAKSYDTVMEACQDYGSLIDLNSLGPRPVKKVEPIRIENKFSSSYYVGDLPSSTKHFGSTGTSSLYRYRTERSQ >OGLUM12G09990.1 pep chromosome:ALNU02000000:12:11446309:11450439:1 gene:OGLUM12G09990 transcript:OGLUM12G09990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIAILMIVCSDWCSLLKDDVLGFGDPFIRIGAISVDPSLFTRIGQSFQRSQRHCFGGSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEASSVLFFLSNATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >OGLUM12G09990.2 pep chromosome:ALNU02000000:12:11446309:11450439:1 gene:OGLUM12G09990 transcript:OGLUM12G09990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIAILMIVCSDWCSLLKDDVLGFGDPFIRIGAISVDPSLFTRIGQSFQRSQRHCFGGSAPGEFPLAANPSIVLHVLTSCNLEPDDLAHLEASSVLFFLSNATCSFFRKPANFPPDFQLSMSELAALDMCQKRAIFKPMTQQEREMFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTTSGAVYTFGSNSSGQLGHGSLEEEWRPRIIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYAAQGSRVVTTPQLVESLKDIYIVQAAIGNFFTAVLSREGHVYTFSWGNDMKLGHQTEPNDVQPHLLAGPLENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQALNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVESLVNVRAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKRTNERVAQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGTPERVEIDLS >OGLUM12G10000.1 pep chromosome:ALNU02000000:12:11451357:11451634:-1 gene:OGLUM12G10000 transcript:OGLUM12G10000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRENRLDTEAPGKLQCNSEERIISTGTSFSLDDPTPIKPMVRLDWYLIPHGTSSRMGKMLQWM >OGLUM12G10010.1 pep chromosome:ALNU02000000:12:11461503:11461797:-1 gene:OGLUM12G10010 transcript:OGLUM12G10010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPHAQQRPSVAMAWRGGGEGKELAAVGSGLTGGRFFADEWPDVGLGFFVRPHQKRASHLPRQHGEYPLDGMVSIGKYPQLWDVFPLNFTFAVSLS >OGLUM12G10020.1 pep chromosome:ALNU02000000:12:11461816:11462333:-1 gene:OGLUM12G10020 transcript:OGLUM12G10020.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAGSPAARGGGADERPRREKDLTGGKHERGATTASWQVGGRNEKGSRSHGEDEAIERQSTNEASHFASSVYHGVASRAAGDRLRRHGPKRWRRPKGGPAASGGWGEKAGTARRCRGSAGQRRRRWRRWVVGGGGARAGRLGRARGQRSQHGGGPEQDEDGGWWQSGSGA >OGLUM12G10030.1 pep chromosome:ALNU02000000:12:11463736:11464362:1 gene:OGLUM12G10030 transcript:OGLUM12G10030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRPGFGKTDSVTRDYFVIFPIMSRAHRLGHIDGNWPEVKLINDYAMFMGYLSMAVTGTGFLVLTWSTVVLLGGFVSTLSTKDFWSLTVITLVQTRSARHLNQILVFQVN >OGLUM12G10040.1 pep chromosome:ALNU02000000:12:11476793:11479430:1 gene:OGLUM12G10040 transcript:OGLUM12G10040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLSFQIKKTETYSVYHRIFDVFLNGNIRLIGYSFKRLWEASVFIALPHTRTELGFRGAVRVLVFTIVLCPLFLLYMFGLFVSPWISLWRLIFQDYGETAGDSSKANLWPALVVLYSLALFQGVLFYYRTISAYEENKLVRNVADAYGFDEASRGSVLDYLHEIKVGCEKDPSFAGGRNMITYAAQLMESTSPNRYLSGVRILDTLIRFNSGAIGSGFPGQSMLISNTIGSASSGSILHNLVQMLDSKSPYDEEIRLRAARIVEHFVIDIRLDKILQGIQCISSLLDLKPFHQPDEPLEEYGHRISVGEEGQMQVRGIQILLKLSDNENNLRIMSNTDDLVSKIVALINDKELHLQKHDKWSRDIVDPGLKLIKRFMSATTRSNNILWREISTSLEAISALESIIDYDKCDEEVKKQAIRVLAQICWDTSSVMSDQNRERFIGSLIDMFLHKSKGSQFENLAGEELAQLSFGFGSCATIILEKYGPNMIDCVGKTDSGLYNSIHRKIAADVLKHLYGNYSIDDEHFQNLKEAMIDLLPKVLREVLGWGLTETHIQRVPSYTAPAGSGLAATQDNDGRLQEALASLCATVYNRMVNTDADLADRFEDIAARMCDLAAEPLKTFSDLIQEAMQRQPPYYPPEHAMRSNDENPNECCIS >OGLUM12G10050.1 pep chromosome:ALNU02000000:12:11481584:11487799:1 gene:OGLUM12G10050 transcript:OGLUM12G10050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSKFVHSDFILPTWTAAAGASDSSPPPEPVLLPRVECRCIPAAGAAASSPPPEPPCPVPTSAPSAAAAAAEVRRRGRSGLSVATSADVVLYRGFSQYSTSTLPATTTTTLDALFIRRILQSHAAFLLMMMMCGITNLRRTPVTVEQEGTICAVDVGAEGITLLVKITLLLAINQSSMMINFIAKWEANM >OGLUM12G10050.2 pep chromosome:ALNU02000000:12:11481584:11487799:1 gene:OGLUM12G10050 transcript:OGLUM12G10050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSKFVHSDFILPTWTAAAGASDSSPPPEPVLLPRVECRCIPAAGAAASSPPPEPPCPVPTSAPSAAAAAAEVRRRGRSGLSVATSADVVLYRGFSQYSTSTLPATTTTTLDALFIRRILQSHAAFLLMMMMCGITNLRRTPVTVEQEGTICAVDVGAEGITLLVKITLLLAINQSSMVLYFFSTLPSIKTRPHVCWSCIGAGAHTSYWHKGHTAEKKETDFNINWHVKQILVFMKIRVFAPIDIHDMCLACAH >OGLUM12G10050.3 pep chromosome:ALNU02000000:12:11481584:11487799:1 gene:OGLUM12G10050 transcript:OGLUM12G10050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSKFVHSDFILPTWTAAAGASDSSPPPEPVLLPRVECRCIPAAGAAASSPPPEPPCPVPTSAPSAAAAAAEVRRRGRSGLSVATSADVVLYRGFSQYSTSTLPATTTTTLDALFIRRILQSHAAFLLMMMMCGITNLRRTPVTVEQEGTICAVDVGAEGITLLVKITLLLAINQSSMVLYFFSTLPSIKTRPHVCWSCIGAGAHTSYWHKGHTAEKKETDFNINWHVKQILVFMKIRVFAPIDIHDMCLACAH >OGLUM12G10050.4 pep chromosome:ALNU02000000:12:11481584:11486334:1 gene:OGLUM12G10050 transcript:OGLUM12G10050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSKFVHSDFILPTWTAAAGASDSSPPPEPVLLPRVECRCIPAAGAAASSPPPEPPCPVPTSAPSAAAAAAEVRRRGRSGLSVATSADVVLYRGFSQYSTSTLPATTTTTLDALFIRRILQSHAEKIKEVVPDAIKSVTNSHIHKAYFIWSFFTDRLIWKNFSAFLLMMMMCGITNLRRTPVTVEQEGTICAVDVGAEGITLLVKVGPHLSLSLSL >OGLUM12G10060.1 pep chromosome:ALNU02000000:12:11484417:11486280:-1 gene:OGLUM12G10060 transcript:OGLUM12G10060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAPTSTAQIVPSCSTVTGVRLRFVMPHIIIISAVLGEAAVEDNVGAGGDGKSAPAAAADLGGGGGGGWGRGRNRTRRLWRRGRSSGSGGGDAAALHAGKKHRLRRRRRIRGSGGGSPRGEDEVFTRGAMSSRVEEEEE >OGLUM12G10070.1 pep chromosome:ALNU02000000:12:11518842:11519084:1 gene:OGLUM12G10070 transcript:OGLUM12G10070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGQSRGHRLGLHIDSDWPEVLLINDYAVFMGYLSMVVTGTGFLVLTWSTVILLGGFVSMLSNKDFWSLTVITLVQTR >OGLUM12G10080.1 pep chromosome:ALNU02000000:12:11543495:11551955:1 gene:OGLUM12G10080 transcript:OGLUM12G10080.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin fold modifier-specific peptidase 1/ 2 [Source:Projected from Arabidopsis thaliana (AT3G48380) TAIR;Acc:AT3G48380] MCAEPYGPKVCVFAGCRQLPGSGQPRNRSRPARDETAANHPTPTHTAPPMEAAGSRRRPTLRLLCPKKSLVSPPSPSLRWLLGSPRFLPPLTVAAALRSLPDDASSPDLQREAEELRGLLVRGFDIVGAVHVGSADAGGALELARAVRERLYGERASHGMVGGCVELGSGEIRFVVSEGDGVEAVEVTEVVWEDDPGRLLWEKGCLLRCELPLKLPLYVRSDDTSGIEARFYSLIESTASKLRDPHVSYLIEGPRTTPGESHYSIILHGNDLNSVPHLSRNGSTEEYDANIVSCSKFFPAKRSLSLTRENADAIQITILSNQSFNSSKASTPAVEYFPAPALASLRAINLKLDILCYTSVDFPVAAAVSELVIPGLADQLSIMKKAIVSELTTQQPQLSPYHFVPPGLLIPVTTIYDTRYGEIEEKQSSSLLRDVHREIPSSGVSGGIISLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIMSWYRLQQYSSINVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGDELPEKCRELAIHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSIDSKGRSFFLKDKFYNLLLPQRPNMV >OGLUM12G10090.1 pep chromosome:ALNU02000000:12:11553841:11574257:-1 gene:OGLUM12G10090 transcript:OGLUM12G10090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) TAIR;Acc:AT1G13170] MNPLCCIAPVSLDSAVEHHHQPPRILAAAAAAPTSPTPPAAAGAAVAAQVVLDAAQAAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGDSAASPAVAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDVLLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTTQYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLQVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYIGGYWEAREKGNWDGIPDIFGQRSASPGWHISGTKPQILILVFKS >OGLUM12G10090.2 pep chromosome:ALNU02000000:12:11555231:11574257:-1 gene:OGLUM12G10090 transcript:OGLUM12G10090.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:OSBP(oxysterol binding protein)-related protein 1D [Source:Projected from Arabidopsis thaliana (AT1G13170) TAIR;Acc:AT1G13170] MNPLCCIAPVSLDSAVEHHHQPPRILAAAAAAPTSPTPPAAAGAAVAAQVVLDAAQAAVAGVLHKWVNYGKGWRLRWFVLEGGVLSYYKLRVGGGGDSAASPAVAARVIGEGGALRRAREEAAAAGKQWKPFGEIHLKVSSIRASKSDDKRLYIFSGTKTLHLRCETEEDRNAWIQALQAAKDRFPRSLTSNDFSPMSDVLLSTEKLRVRLLQEGLNETVVKECESIMMTELLELHNQMKSQQQQYSILIDRLRQLETEKVELERTVVHETKEREAHGYANGRYSGGSASESDPDTASQVADVETDEDEVMYFDTRDFLSAESLRSASCRRRELLTNGCNGLEHIDPAANVVKTTQYPSVKRRDKLPEPKEKENPVGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRTLQVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRIKGSGDYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGQKVAMLIGKWDEAMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPNLAKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWQPRWFSKDDDDSYRYIGGYWEAREKGNWDGIPDIFGQRSASPGWS >OGLUM12G10100.1 pep chromosome:ALNU02000000:12:11568447:11568865:1 gene:OGLUM12G10100 transcript:OGLUM12G10100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVVMAVGGRSGWQGGAEGRQRESSSPSPPTPRPRILVVGVKGGEGLQGEAGRRGRQGDGWCLLTSPPPLHAWLQQAAPATQARGEEVEDDDNNADDAKSGFVDPRASLTGVLASSCPAHWPPHRLQVIGGVADR >OGLUM12G10110.1 pep chromosome:ALNU02000000:12:11585488:11585956:1 gene:OGLUM12G10110 transcript:OGLUM12G10110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASSFSRASSSRVSELPLVKCPFCESDSTVVERTCKKEENFNRKFYRCLTGQYEGFLHGWTNCSAHRTEDDVPKLVKASLKGLHDGIEKIRCEMK >OGLUM12G10120.1 pep chromosome:ALNU02000000:12:11585549:11587615:-1 gene:OGLUM12G10120 transcript:OGLUM12G10120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQEAVESALAAAPPMPKWIPKGPPTAAADSADQATSTAAPAADQAPSSVDPAVDQAHAAAAGDQAPAQVAMQVDDNPGPVTRSSSVAALVHASPFKANKRKAVVTRTAKKLMDISQEATH >OGLUM12G10120.2 pep chromosome:ALNU02000000:12:11586438:11587615:-1 gene:OGLUM12G10120 transcript:OGLUM12G10120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSQEAVESALAAAPPMPKWIPKGPPTAAADSADQATSTAAPAADQAPSSVDPAVDQAHAAAAGDQAPAQVAMQVDDNPGPVTRSSSVAALVHASPFKANKRKAVVTRTAKKL >OGLUM12G10130.1 pep chromosome:ALNU02000000:12:11592575:11593036:-1 gene:OGLUM12G10130 transcript:OGLUM12G10130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEPASPSADSGVTSLTSTANNVAVVVRGGDSDDSDDSDSSDGVGYDSDDLMDDIFEQFIEKDELLDRIGARLVAPLLPAATRAQRRQVLEQREQAREELRRGVARSRELTRKIRRLKRMANADVSGYPAARREAHERETRRLAREIFGSDA >OGLUM12G10140.1 pep chromosome:ALNU02000000:12:11598169:11600310:-1 gene:OGLUM12G10140 transcript:OGLUM12G10140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFRPYPTLSLAPAAAAGGNLSLSDHRRAASPRNILLRRLNKVGRTLMGGLGNNLFGAVNSSVETVTRPSHCDAICQQIRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >OGLUM12G10150.1 pep chromosome:ALNU02000000:12:11601016:11605070:-1 gene:OGLUM12G10150 transcript:OGLUM12G10150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKEMMDERKKATGRQESIDFFDVLLEELKEEKHAMSENVDLDLIFLLLFVSFETTASGITAILCFLSDNPKALQELTEEHDYIQKKRPDLNSEITWEEYKSMKFTSHDTAEPAGGASKDFMAFGGGRRLYVGADFAKLQMAIFLHCLVTKYRWKVIKGGKMVLGPGLQFPEGFHIQLLPEG >OGLUM12G10160.1 pep chromosome:ALNU02000000:12:11652323:11658431:-1 gene:OGLUM12G10160 transcript:OGLUM12G10160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSVAAKMAFFPPTPPSYALVDDPAAGVTTMTGQPHRENVEVLRLRTRRGNTVAAVYVRHPDAATTLLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLHRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIHGTADEVVDWSHGKQLWELCKEKYEPLWLKGGKHCDLELFPEYLRHLKKFVNTVEKSPSPRNAWRESLDRIEPSRKSVDCFEPSRRSVDFFEPSRKSTDRREKSRPTRDRMRGVEHRYSNVEKTDKLKFSFDHMEKSRRSIDIFERPKKNIEQLDCGRKSVDRLDRIWAS >OGLUM12G10170.1 pep chromosome:ALNU02000000:12:11675419:11678700:1 gene:OGLUM12G10170 transcript:OGLUM12G10170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGGAGEDVPGGGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQTSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >OGLUM12G10180.1 pep chromosome:ALNU02000000:12:11679759:11690218:-1 gene:OGLUM12G10180 transcript:OGLUM12G10180.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic NIFS-like cysteine desulfurase [Source:Projected from Arabidopsis thaliana (AT1G08490) TAIR;Acc:AT1G08490] MVSAAAAASSLRCFPPSLGNRGPGAATARGGLVRLSSRRARSTAGVAAPSREAESAASLGDLTRVDFPILDQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGATLKYVGLTKEGVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYEILPKFLTSSPLTGSSPSTLTGTHAHHHSAQNLINRRTAYGRLAPLARGGGHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIDFLTSEH >OGLUM12G10180.2 pep chromosome:ALNU02000000:12:11679759:11690218:-1 gene:OGLUM12G10180 transcript:OGLUM12G10180.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic NIFS-like cysteine desulfurase [Source:Projected from Arabidopsis thaliana (AT1G08490) TAIR;Acc:AT1G08490] MVSAAAAASSLRCFPPSLGNRGPGAATARGGLVRLSSRRARSTAGVAAPSREAESAASLGDLTRVDFPILDQEFDGSKLVYFDNGATSQKPSCVMKTLDEYYRFYNSNVHRGIHVLSAKATDAYESARTKVANFVNAANSREIVFTRNATEAINLVAYSWGMSNLKQGDEIVLTIAEHHSAIVPWQFVSQKTGATLKYVGLTKEGVPDIEQLKGLLSNKTKIVVVHHVSNVLGSMLPIEDIVTWSNRIGAKVLVDACQSVPHMPVDVQRLGADFLVASSHKMCGPTGVGFLHGKFDLLSSMEPFLGGGEMIADVFQDKSTYAEPPSRFEAGTPAIGEAIGLGTAIDYLSQIGMQKIHEYEHGVAIRSGHHCAQILHRTLGINASARASLHFYNTKEEVDVFVDALKDTIDFLTSEH >OGLUM12G10190.1 pep chromosome:ALNU02000000:12:11695084:11698849:-1 gene:OGLUM12G10190 transcript:OGLUM12G10190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGKMPEKVRSVKFMGSQSECEIVLDQSQPSEGPTTRSKRARADGGTTKHSVFTRCSPKFANDACCALSRIHRQALATLGLGDLAKMTLNGLEQPDLTCWLMDRTDPKSMTIDISENKKIVITPWKVKTVLGVPLGGEPLQLPDQDIMSDALADLAIELDLPPKSDITASRLIEEIKNRPKDSSMVRYFIMVIVNKMLLPSTGLYIRPKDTWIGSDTQKVARINWSKAVFDALRDSLILWHKNKTGPRQQTYIRCCVAFLVLLYIDNLKVPKDSLTVDRCQTPRIQLYTKQLVEDISQEDRVTDSSGNYVFGNLPMSGILGSCYSHPDYDKEKEPRGDNSGTPFADELVSAVEISFPSMFDTVGPHLSGLQDEHKQRVLDALGEYDRQSKLSADAIAKQIRLVQTCHARVSDHIVSIIRGESRNQPPLGPQPQPASHSQPDSQHGPVASPTSEEAQDHHIHNTPDISPTNSPAPQPCRIITPDAAFNPTPQITSTEPHPHLPGELFPTMDKTAIADENQALTPQPDADFQGGCDVGIPLQGIIDFTMTSEGTCTTQSHSADGFEGHHDLPDVDDEHGIETDTSMQGNTAVNVTTEGTNKAKSPSAHQNDGHHHHPDADVENNSDFDIPTPGFLLI >OGLUM12G10200.1 pep chromosome:ALNU02000000:12:11711126:11713715:1 gene:OGLUM12G10200 transcript:OGLUM12G10200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIGHCRGDTNSTTCKNCISQALRDVQMVCALRMQAIIHYDLCSLRISSEKIHFDRNDMVHLIAMRSDKSYIKIQQEFDKAVILLITAVASKASNLSTKFASGQEMFLVECLSALLSFEEITANAHGKRIALFLDYDGTLSPIVDDPERHSCPLRKESSEQRFV >OGLUM12G10210.1 pep chromosome:ALNU02000000:12:11765193:11767791:-1 gene:OGLUM12G10210 transcript:OGLUM12G10210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRG5] MAETMTTKTKPWMAERLLLPLSMVLVQLFTIGALLLAKLSFNSGMAPFVLLAYRNLIGTVTMLPFAFWFERQMMKKVNYKVLGWIFFNALFGLEKLKLKTRSGMIKVIGTVICVVGTMVVSLYRGKLLHLWPTHLLKPAQLRAIGGSDDSTFPTHHNMLIGTLFLCGSCLSYAFWFIVQAKVNKEFPSKYFSTMLACLMGTIQAVVIGIAVDRDRSAWALHWDLQLITIIYSGVFNTAATFCLITWAVSRRGPTYPSMFNSLALIITVVLDSMLLGSDISVGSLLGAFMIIIGLYSFLWGKGKEIKQQQQQVPTNTDADQSKITYPKSNGEVRIMLDT >OGLUM12G10220.1 pep chromosome:ALNU02000000:12:11773951:11774256:1 gene:OGLUM12G10220 transcript:OGLUM12G10220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRGAGDRACCTRGEREQPRLQRAEGVGGTAAACEGRRRRDSRRGWERRWRRTGRERAAAPRRYRRLVGVLRDGDARLADVLRGHGGGISPGAVRTGSSA >OGLUM12G10230.1 pep chromosome:ALNU02000000:12:11816983:11822017:-1 gene:OGLUM12G10230 transcript:OGLUM12G10230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASALPAEDVVVSSSYSRPAAAVASAPQARTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >OGLUM12G10230.2 pep chromosome:ALNU02000000:12:11816983:11822017:-1 gene:OGLUM12G10230 transcript:OGLUM12G10230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLAVVGKVGTFLLWLLFLVLQTATKIVGSLLAAGPPPADAQEAAAAAAARRRSPPASPHSGGGATDPYQPPQLWDPPPPSSPYSAGHAADEYSSSSSYRRRGASALPAEDVVVSSSYSRPAAAVASAPQARTVSSAPPLRAVETRSPAPSRAAAAVGGKRPKLERKYSKIVDQYRSLDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKRSFNGMSLHHIGDSPNPYEQAITIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFSPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTSIMSKKISQSKKETEFALSALMEIPLQYKATLELGILGRRFAKSPERVPLPPPFASYNTISRAAPSRANSFRSMPSHPRDEAPVDSAITASVTSPPSVDARAAEPQSHMYLGNLFSNVQLCPVCLSKPRDMAFGCGHQTCAECGPQVEHCPICRRPIDTRVKLY >OGLUM12G10240.1 pep chromosome:ALNU02000000:12:11826070:11841098:-1 gene:OGLUM12G10240 transcript:OGLUM12G10240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCPSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKKITQHNDVHCIEGPEIVEKQPLPTDDEMLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQISKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQVEQPRCKRQLRHGSPQARKRDLKMEMSSRGGDDVFELDDVAASTISISCCCPPPAPALSPISARTLSVLSGNTTFQWSGTTSKKKGQKWGMYNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFESLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >OGLUM12G10240.2 pep chromosome:ALNU02000000:12:11826070:11841098:-1 gene:OGLUM12G10240 transcript:OGLUM12G10240.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPAQAVRLRLVFDNQRILRRSLRESGLRRCWLLLPPELSTVSDLAAHIAARFRLRRSCPSCVILSIDGFVLPPFESTCIFRDNDIIRVKQKASKKITQHNDVHCIEGPEIVEKQPLPTDDEMLAIEYKKDDDSNQQEGVQYNHQNVVNAASHYNTRNDDITLKRKCQDGERGSPGTSKKKKLKVANTGKHTGCSNEDKAHQDQDHSGSKKLKSPCIDDAKKVMLAEADVTLEKEQISKRDNQTKLNSETKEDDCNTQSDIKKVSRSARRKKLKRQLRQKAKEQLKEKEHCQEQPTVADCPSSNNRDVLPSPSSNQNNSSLPFVRHEADEEESDTSDDIVPVVVRPGHIRFESAGGESDKSPVKEIQTTFQWSGTTSKKKGQKWGMYNSNKKSSDISYHGRITGTDTEVNHHVAGNSKTSDNDFGLASNQKVGESSHVGSASEKIVAEKGKSSSEPLDFESLYPLTRLPKVGKVLIYDPISLRIILLPVPEYPFTAGEKNGEDESEMLVDMSPYKEDGSLEIEYSSLLDIRLLKDTDSVQPAVSTPLTETGIKGGSHAQKPANLDNHKGKIHSEKLPNNTKDPEATQEKTQNTVWEENGEVANDEPAVQENGWGTWTPNASTSAWSYRALRSSALGPTLAHLRGKNTKRGRPYNRKYGK >OGLUM12G10250.1 pep chromosome:ALNU02000000:12:11848766:11849176:-1 gene:OGLUM12G10250 transcript:OGLUM12G10250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAVQGRRIQQLHGRGATATAWSGSGVDEPWEGGSTVAGPRERHREGAAAALSVSYTGRGDGGSQRQRREEGRWEADPAPMSLGRVDLSPVLGSGTGEGAVAALGGRGAWEGRWGADPAPESPERADPPLAIHG >OGLUM12G10260.1 pep chromosome:ALNU02000000:12:11854572:11856686:-1 gene:OGLUM12G10260 transcript:OGLUM12G10260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMDGSGVPETAGVEAASSSDARGDNRHMPSEDCVAGINSALQHPTVRFLREQMEKAGCLVLPRMIRAMNCMSTNHNGSYGSGLGITVCCDHMRSQDEINQLLIHELIHAYDDCVVKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQECVKRRALMSVKNNPYCSGTTAKDAVESVWDICYNDTRPFDRVP >OGLUM12G10270.1 pep chromosome:ALNU02000000:12:11877652:11877981:1 gene:OGLUM12G10270 transcript:OGLUM12G10270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDSTEIASVERDVAEITNMELIGVEWDGRNSLAWIWWWTTSSSSPSAAHPTAGVALPPPVDAALSSTDDASHTLSLPNRWRRLVGERVRRWTGDDDDEQRHGIRVVG >OGLUM12G10280.1 pep chromosome:ALNU02000000:12:11880171:11886175:1 gene:OGLUM12G10280 transcript:OGLUM12G10280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDNMIWETASSNGVAMDLGRSAHHQLIEEDGDLEAEATLGSERSFRAPNARTASYRKSSVVKIRGLNPPRNKQAHRARQDGHRKSVDSSHSNHSSIRQLANTMVNNVAEEKEEEEEVNSYERSVPTPPAKTDEEVKMPGFSKFRNKSSAAMSRVGSPCMSASEARSVRSRRTEETQVRSNDVVGSNFSGCGISYCWSGASKYRELYYDSDGPEQPLLSPEGTDAAFQENVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCLSLEEQRPCGFCKECVILFSGRSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIVDECHLLPEDAWSAILKSLDEPYRHAVYIMITSDIDSLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFISAKSNGSLRDAEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMASAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALAEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTNESNLIAESNDMHAHSAIGYTDDWVSKVNSSSNFCHACNSNKSNCSERHCRRLKLENIWRRAIGKCQSRSAKNFLRKEGFLSSVHVTEELAIAEVGFSHPDHISRAEKMQNLIESALQNVLGCNVEVKFKLVPRPVRKDARSKRQSFSLLSCSGRKQELSDSAVTDEDETVRHGARETPSKGYSSSQQQSPFIMQRTDSKPTVHGCEDDARSTLTSNRSMTDDMTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKRFFSSDAAHTICLKIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSNSHATCSSRDDNLWNKNSRFGSHLLCWRGPKQAI >OGLUM12G10290.1 pep chromosome:ALNU02000000:12:11908513:11911540:-1 gene:OGLUM12G10290 transcript:OGLUM12G10290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLTASAVFRSNQELTSLARSGQLAAARRLFEEMPRRNVVSYNAMVSALAHHGRLAEARRLFDEMPRRNPVSWNTMMVACSQHGRVEDARELFDAMPARNEYSWTIMVSCYVRAGELTLARELLDRMPGEKCAACYNTMISGYAKNGRFEDAIALLQEMPAPDIVSWNSVLGGLIRNEEISRSVQFFDEMPDKDLVSWNLMLEGYVRAGDLDVASAFFSRIPSPNVISWVNLVNGYCQAGRMGEARELFDRMPERNVVAWNVLLSGYVQFSQVEAAYNLFIEMPEKNSISWTTMVSGFVRSGKLQEAKDVLSKMPSDNVGAKTALMHGYLKSNLIDDARQLFDGIVVRDAVCWNTMISGYVQCGMLDEAMVLFQQMPNKDMISWNTMIAGCAQGGQIRKAASIFRKMKRRNTVSWNSIISGFVQNGLFVEALQHFMLMRRDAKSADWCTYACCLSASANLATLHIGRQFHSLLVRTGFISDSSPGNALISAYAKCGRMLEARQVFDEMVVQDIVSWNALIDGYASNGNGSEVIAVFREMEANGVRPDEITLVVVLSACSHAGLIDEGLHFFNSMIKLYSLKPVAEHYTCMVDLLGRAGRLREAFELVQGMQIQPNAGVWGALLGACRVHKNHEIAWLAAEKLFELEPCKASNYVLLSNICVEAGKWDDADKCYGASWKPRHCLWKWQEQKDFKALLELSLEFGSKVEIRRAFQKRILSSSRNRTW >OGLUM12G10300.1 pep chromosome:ALNU02000000:12:11911621:11912775:-1 gene:OGLUM12G10300 transcript:OGLUM12G10300.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYNSVTPPSGHCRSITPCSLRANTENHPCPSACLISTARHRCPSPLLVARLGCLLPSSAPPPISTARTPSPALSTNSRISDSHKVKRVTSSGTNQTTPARTPAAHLLPSPGNKLATAAHQGTGHRASLAAQHLRPPPPEAAGGGRARGSRPEELLHCWRSSAG >OGLUM12G10310.1 pep chromosome:ALNU02000000:12:11915580:11917742:1 gene:OGLUM12G10310 transcript:OGLUM12G10310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFDDAADKADSMAMYKAGLVSPRENKIVDRSNAKREALQSIFDNVTEIECSGSSSSGRRRRRSGTSGEGAMGEMRT >OGLUM12G10320.1 pep chromosome:ALNU02000000:12:11930767:11937871:1 gene:OGLUM12G10320 transcript:OGLUM12G10320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTQMKKKEEKKLAKSSSAGVPTPERACAVRGVVAGRAASSSSSPHHQSTTSLIESNRIGIEAGAHLTKKIIRSNRAELVLLFSSRSLAYLNLATAALPATPTTPRSGDPARRGAHWSGASWCCNGGPPLIRSTPPRPMDSARSWLQKLQPRDKDRGKPASPTAARKDGGAAMAEDGEEAISSTTATKVAAAKQFIENHYKDQMRSLEERKERRRMLESKLADPDVSEEEQNNILKDFENREREIMRSRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDEEFLYLVMEYLPGGDMMTLLMRKDTLTDDESRFYVAETILAIESIHKHNYIHRDIKPDNLLLDQTGHLKLSDFGLCKPLDSSSFPNLSEFDYAVGKNINPSMDGDKLLSSSAAPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDLWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNADLRLGTKGAHEIKAHPWFKGLEWEKLYQMEAAFIPEVNDELDTQNFEKFEEIAPMQTSSKAGPWRKMLSSKDTNFLNFTYKNLELSDDPEHPGIAQVKKKNNKPTRRTFKSILHEFADTEEEPQSSSLNSTPSQLDQLPESLEPSPHSSISSEDSQSRHR >OGLUM12G10330.1 pep chromosome:ALNU02000000:12:11939935:11948456:-1 gene:OGLUM12G10330 transcript:OGLUM12G10330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKGTSRRKSGWGRELDPDVGVEIWREAMFLSPNESKISELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLYSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHVVFGASELDCGGLKRKTQVPSVGSGLPETTWHSAYGVKTGRFKTF >OGLUM12G10330.2 pep chromosome:ALNU02000000:12:11939935:11948456:-1 gene:OGLUM12G10330 transcript:OGLUM12G10330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKGTSRRKSGWGRELDPDVGVEIWREAMFLSPNESKISELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLYSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHVIHAETKYQGLFRKTQVPSVGSGLPETTWHSAYGVKTGRFKTF >OGLUM12G10330.3 pep chromosome:ALNU02000000:12:11940007:11951281:-1 gene:OGLUM12G10330 transcript:OGLUM12G10330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKISELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLYSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGQQRDYQTSSCTMKTQVPSVGSGLPETTWHSAYGVKTGRVRSDHNISIF >OGLUM12G10330.4 pep chromosome:ALNU02000000:12:11940007:11951281:-1 gene:OGLUM12G10330 transcript:OGLUM12G10330.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQAMFLSPNESKISELVKSLREKKIGIVAHFYMDPEVQGILTASKKHWPHIHISDSLVMADSAVKMAEAGCEYITVLGVDFMSENVRAILDQAGYSKVGVYRMSSDQIGCSLADAASSSAYTHFLKEASRSPPSLHVIYINTSLETKAHAHELVPTITCTSSNVVATILQAFAQIPGLNVWYGPDSYMGANIADLFQRMAVMSDEEIAEIHPSHNKKSINALLPRLHYYQDGNCIVHDMFGHEVVDKIKEQYCDAFLTAHFEVPGEMFSLSMEAKTRGMGVVGSTQNILDFIKNHLMEALDRNIDDHLQFVLGTESGMITSIVAAVRELFDSYKTSQQSANIEVEIVFPVSSDAVSNTSVNGSHHLYSSTVTDLDNVSVVPGVSSGEGCSIHGGCASCPYMKMNSLRSLLKVCHQLPDRDNRLVAYQASRFNAKTPLGKLVAEVGCEPILHMRHFQATKRLPDKLVHHKAETKYQGLFRKTQVPSVGSGLPETTWHSAYGVKTGRVRSDHNISIF >OGLUM12G10330.5 pep chromosome:ALNU02000000:12:11948608:11951281:-1 gene:OGLUM12G10330 transcript:OGLUM12G10330.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSSLAAAAPSLVAPPLHHKPHLAFPPHHPSPARGSIGVRCAHSPSPHPLRPSAATADEEVSLPPSLRVSRLAEEFRVSPDAADRARRLLARAAALPRLGEADRVAANRVMGCVAQVWLVGRCDGAGRMRFAADSDSELSRGYCACLVSALDGARPEEVLDVDPADLAPLGGAAAGTGARSRASTWHNVLIGMQKRARAAIAAREGRPAGEPFPSLIIGRDGAIRAQGTYAEAQDMAKNVNYSSFHGCRPAGVDVRRRLVVEAEASGWRRRPTAVEAVAEASRRGAEQATTPSGGQAASGRRSEGGGRRVRK >OGLUM12G10340.1 pep chromosome:ALNU02000000:12:11951921:11952533:1 gene:OGLUM12G10340 transcript:OGLUM12G10340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDPDLLAAFCGAVDTYAWVLEEAKHHNDDDVVVGLGLRPTSASARTPWETVPAGTSSALLGLDAALPHSCRRSYSWMTDIRAANEVVMRHRYRREHREEGNENRLY >OGLUM12G10350.1 pep chromosome:ALNU02000000:12:11965340:11979041:-1 gene:OGLUM12G10350 transcript:OGLUM12G10350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNRKESGKLIYLLLFDDDEEDGNLPQRAVPFYRVVPLPEGHRQ >OGLUM12G10350.2 pep chromosome:ALNU02000000:12:11965294:11979041:-1 gene:OGLUM12G10350 transcript:OGLUM12G10350.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKKYKLPMSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNLIYSCLMMTRRMEIYHKELCRSIEWFLSRRATGSENLSWIRLYPGQCSV >OGLUM12G10350.3 pep chromosome:ALNU02000000:12:11969329:11979041:-1 gene:OGLUM12G10350 transcript:OGLUM12G10350.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVGGGGGGGAGGGGGGGGADIASLLDKAKELDQLRKEQDEVVTEINKMHKKILSSPEMVDKQVDATLIRLRALYTRAKELCESEVSASSALVGLLDGLLQSGTSAAQRKKIEVGEQKKKRMKSDTDTTRFSSASMRSQLDQATNLKGEQVAAKVKSDEEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESAQKVEN >OGLUM12G10350.4 pep chromosome:ALNU02000000:12:11965294:11968818:-1 gene:OGLUM12G10350 transcript:OGLUM12G10350.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIIPFPKRGDPSSAPDFGQGRQVLAVYPSTTALYRATVASNLIYSCLMMTRRMEIYHKELCRSIEWFLSRRATGSENLSWIRLYPGQCSV >OGLUM12G10360.1 pep chromosome:ALNU02000000:12:11988682:12008137:1 gene:OGLUM12G10360 transcript:OGLUM12G10360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEIEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSVQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMSMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANTLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGTTVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >OGLUM12G10360.2 pep chromosome:ALNU02000000:12:11988682:12008137:1 gene:OGLUM12G10360 transcript:OGLUM12G10360.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEIEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQNFDLFRWRNLLKSVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSVQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQCVEHLVDFTTLKNDHDSTSMSMVDHHEINPRDFFIPTQENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANTLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGTTVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >OGLUM12G10360.3 pep chromosome:ALNU02000000:12:11988682:12008137:1 gene:OGLUM12G10360 transcript:OGLUM12G10360.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEIEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQKDEEFTAQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSVQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANTLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGTTVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >OGLUM12G10360.4 pep chromosome:ALNU02000000:12:11988682:12008137:1 gene:OGLUM12G10360 transcript:OGLUM12G10360.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:helicases;ATP-dependent helicases;nucleic acid binding;ATP binding;DNA-directed DNA polymerases;DNA binding [Source:Projected from Arabidopsis thaliana (AT4G32700) TAIR;Acc:AT4G32700] MASGSSRANIDQFFPAKKRRPSSRKDEPPSGSPRGAKGSLEGYLVRSPSTAAAASLDSPRGGGDAAGARRSLSAAMDVDVGHPATAVATDEGDFELKRFAMEFLSLSHYCSVIPSVMGGASNGEAGKQQKRSAIQSFLAPCSNASAKKQRVAHSGDLEALKDVGHKTPFREKCGTQYGGPEALEELGEGTKLSSEGFVALQRCSFTPNTGQKRVGFSTAPGAGETPMSVSTNSLISPGEEFWNAAIEFADGISARAEKGPGRPECDADDKSSCAVALCSKILPRSGNGGSDHENTVGSNETKQMDRSSYKEEPVAANNHHVNSSPLPVKHLDFFHEDEIQVPGLKIEEKGGAVDLSQKSQMKNRENLTHSVDNVNKSTFDMHIDSSATIHDECLSKLTTEGKNHPTRVGDSGSCLTRRDLNQLIYSEDKLLTAYSDHGKPSKDCTNKFASQEIEANTPTSSVPQKDHSKLSSWLPPELCAIYMKKGIPELYPWQVECLLVEGVLEKRNLVYCASTSAGKSFVAEILMLRRILFSGKMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTSVAVCTIEKANSLVNKLLEEGRLSELGIIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGEISGSSSGKMDATHGLQIIGMSATMPNVAAVADWLQAALYQTEFRPVPLEEFIKVGNQVFDKDMNVVRVLPKVADLGGKDPDHIVELCNEVVQQGHSVLLFCSSRKGCESTARHVAKFLKVASVGSSDVGSEFPDATFAVEALKRSPSGLDPVLEETLPSGVAYHHAGLTVEEREIVETCYRKGLVRVLAATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMSGRAGRTGIDTKGESILVCKPEEVKRITGILRSNCTPLESCLSEDKNGMTHAIMEVVAGGIVQTASDIHRYVRCTLLNSTKPFEDVVKSAQDSLRWLCHKRFLEWNNETKIYSTTPLGLAAFGSSLNPEESLVVLDDLSRAREGFVLACDLHLVYLVTPINVDVEPDWELYYERFMQLTSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGKPQRAGIRNRSPTRGSGGNALINEQALRVSKRFYVALMLSRLAQEIPVTDVCEAFKVARGMIQALQENAGRFASMRLGWHDLEGLVAKFQNRVCFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSTWSGQGDSGLRRMQLGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVQVPQFTTPLLPTIDEPPTRDSMVSVGRGQASDDTNNCFSYGSQRASTERTLGNDMHPGSSVQITESARIVNNANIVVQVASPFSIETKLSSRNVADKGPVNAYNFPGGFDSFLDQWSTVSEFSFDLHYVKKSIKSSSTYFDILGLAVCWENSPVYYCNFPKDLMMAGSNDSIEMWDELTRRWNRVIEIMRQNSVKKMTWNLKFQIQALKYPCVSCQRLSRLHLDYKMLKNVEVLDNLYVFLQPVSVHSGLDICLVAWVLWPDEESKTVPNLEKLVKRRLHNEAAAAANRDGRWRNQMHKAAHNGCCRRAAQTRALCSVLRKLLVSQNLNDLLETIEGPLVNVLADMELWGIGADMDACLRARHIIIRKLKELEKEAYKLAGKSFSLNATADIADVLYTHLKLPVPKGCGKGKLHPSTDKQSLDHLRDQHPIISVIKEHRTLAKLLNGTLGSICSRAQLCSKSQRYIIQGNWLQTSTATGRLSMEEPNLQENWLLVTADYSQIELRLMAHFSKDPALIELLSKPDGDVFTMIASRWTGKAESLICSKERETTKRFIYGILYGMGANTLAEQLECSPEDAAQKIQSFKRFFPGVSSWLHEAVASCRHKGYVETLMGRRRFLSKITAGNSKEKAKAQRQAVNSICQGSAADIIKVAMIKVHSAITNGSTVGTTVDSIDVAMQNFSEIRGRCHLILQVHDELVLEVDPCMVAEAVRLLQTVMENSASLLGPSVSFLLLRATLNLYKTGYIASVTESIVENED >OGLUM12G10370.1 pep chromosome:ALNU02000000:12:12008937:12024978:1 gene:OGLUM12G10370 transcript:OGLUM12G10370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRJ3] MDGWMDRPSRPRGPEDKADSHASLPARIFTGEPQPLLPNPGLESTAGLPVSRRSTNSGFGDITNGGRIKCMQVPYILSFFFKVWPVDGKKKFETLSYLPSLTAEDLLKQIEHLLYDVGFIYRGNHRSPGYYDGRYWTIWKLPMFGYSDNSQVIKELEEAKKAYPDAFIHIVGFDNVRHVQCISFIAYKPPERFNPHEGQARLVLKPLLQRDSALAPHQAES >OGLUM12G10370.2 pep chromosome:ALNU02000000:12:12008937:12010241:1 gene:OGLUM12G10370 transcript:OGLUM12G10370.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRJ3] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSTNSGFGNVSNGGRIKCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >OGLUM12G10370.3 pep chromosome:ALNU02000000:12:12023764:12024978:1 gene:OGLUM12G10370 transcript:OGLUM12G10370.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRJ3] MAPTVMASSATSVAPFQGLKSTAGLPVSRRSNSAGLGSVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >OGLUM12G10380.1 pep chromosome:ALNU02000000:12:12018962:12019306:-1 gene:OGLUM12G10380 transcript:OGLUM12G10380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQACAGDGEYYSHTNIWEESGEGKVIVKESASSSLVLLQINHSPSVEPRFINIISPSSYLSSTTQRRRSTVQILCIAWHYLLEGANMHRRVVQLRSLDGKTVKWSTDEYVMDAL >OGLUM12G10390.1 pep chromosome:ALNU02000000:12:12056571:12057558:1 gene:OGLUM12G10390 transcript:OGLUM12G10390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRJ7] MAPSVMASSATSVAPFQGLKSTAGLPVNRRSSSSSFGNVSNGGRIRCMQVWPIEGIKKFETLSYLPPLTVEDLLKQIEYLLRSKWVPCLEFSKVGFVYRENHRSPGYYDGRYWTMWKLPMFGCTDATQVLKELEEAKKAYPDAFVRIIGFDNVRQVQLISFIAYKPPGCEESGGN >OGLUM12G10400.1 pep chromosome:ALNU02000000:12:12064365:12066462:1 gene:OGLUM12G10400 transcript:OGLUM12G10400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSNGDSYIRPPKASSSGTKILPKPVLPCSSPIFLNQMPFSMPAITPVHHSGSLSNSGLNSALSRGFNCSRCLGAGHRPSPAKRQKVAIVGRHVARSLQFASDESSLQNEIQATPKPRKQRQKGPISTENLRRSPRFLGQEKALLPTISKGLPPLVRVAQLQKIGVEKCGLLPEEVAEAKLLKAKK >OGLUM12G10410.1 pep chromosome:ALNU02000000:12:12083413:12095802:1 gene:OGLUM12G10410 transcript:OGLUM12G10410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast sensor kinase [Source:Projected from Arabidopsis thaliana (AT1G67840) TAIR;Acc:AT1G67840] MPLLDTPYLALRVRLPRFPTPHNPRPAVAIEAQHSFPHGLLARAACQPLRHVAAPAEGEGEEVEDLGTPSAAAVAEAIRRASSASPVRFRRVHREENEKLRGEGGFTEPSADFRRLCGEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPETNVSKGDTVILVGNFTISAGLRAAEAFLVKHQMEIITEFGAVVLPMVKHPFVVGFLVAELPELVGCTKNSETSDIQIPSHSFLDKSSDITPYTKGEAWDFQTSGDQANSYAQLVTEWKNSALMISRTLAMAYVMDQIRGPLANIRALAKMLSVHTKINEISYDIVEDVMIQGDHLKDALQQIQDAVYLTKVNIVRYNEETLKKIQGSPSSRTLPHYQSDPKNSSQKIDLLSSHDSDNGDMVIPMPPLWLAPLQPQDARPCDLSVVLEDLVGAAQPLAFRQQRTLDVTGISHPLQVAVEESALRQALSNLIEGALLRTQLGGRVQIYAGEAPAGGILVVIDDDGPDMQYMTQMHCLAPFGSDLADGLTVAREILENYGCVLRVISPRRPDAIIGTGGSRIEIWLPTSQTELSEITEGA >OGLUM12G10420.1 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSFISRNEGQPIVSSIANVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.10 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.10 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.2 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSFISRNEGQPIVSSIANVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKVSHYSWLSNIEFSNCFCSAIIGKQTGSSTSAEEQKQKNILHCWISWLFSDIVIPVVRTYFYVTERESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKVYIVVADVSKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKLSSGGGIFVDQGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.3 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSFISRNEGQPIVSSIANVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.4 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.5 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIQCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.6 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.6 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSFISRNEGQPIVSSIANVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKVSHYSWLSNIEFSNCFCSAIIGKQTGSSTSAEEQKQKNILHCWISWLFSDIVIPVVRTYFYVTERESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKVYIVVADVSKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKVICNRSKNSLYRFDSNASIGNGNSIYDLSIQLSSGGGIFVDQGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYLDITIISTITVKMHSSTKYIHSKLCHYMRPKCHPIFYDSNINSPGTIRVNIYQAFLLCAMKFHCYIRSISDANVSKLELLQVIKRTFRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.7 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.7 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.8 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.8 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIQCCWLPKSIKNLIRNSKRCQYKKLFLKHCSVKCKVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLQRESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10420.9 pep chromosome:ALNU02000000:12:12096484:12112485:-1 gene:OGLUM12G10420 transcript:OGLUM12G10420.9 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRRRAAPGGQVPPELRLAYGARALTLGRAVFSLLPSPRHRESPCPACRGRVASGCLACRRWEHLLRDGDPVAYRRLITRAVCAIAADDLSAPPPPRYTPGNSGHSQARLVREMMKSIVADQSHGTKNVLCNGLHEGGQSICISDLVSSSSWSILLHRIGDLLMCYLLRSLVFHSMWFCEILYLQVLLRENINPKLQKQNVIHTCKIVTQQSCETCGSIRRAESKDPSEGCNCPKFPSDGRSGECCNCYTHNTRKRKRLYSWQRRSKKKQVCSVDESSAEWSKLNGSNFNMSNGPSENLAGKMNDQAQSVELTVDNTSLARSNDDSSSEIKVINATILSSEKSPCSVFDIRGSQGLSCHYSLSEVQYQSTCPQVGPSSYLHLNSCSICFNCIISNASKHLSLDSFISRNEGQPIVSSIANVAPDVTKNDGKAHYPPGGKAAYYDRSFSRLEAYSTHQQVASFVWAVLKRIVPKPLLGNSFGKRSLRTNIWKFIKLRRFETFQLSDCIGDLKVSHYSWLSNIEFSNCFCSAIIGKQTGSSTSAEEQKQKNILHCWISWLFSDIVIPVVRTYFYVTERESKRYDVFYYPKSVWRDLTSNAIASLNKKNFRILRGEPRKAVRHLNCSSRVRFLPKAKDMRPLVNLRAKSKDANLNKCHLIMKKLRDEKPEMFGSSVFDYNNVHQNLSQFISSKRSQLMKKLKVYIVVADVSKAFDCVSHDMVLKMIDDAFKCDEYTVRKCSKVICNRSKNSLYRFDSNASIGNGNSIYDLSIQLSSGGGIFVDQGTICRILKEQFHHLLYEQIKCNILKIGQKYYLQQVGIAQGSKLSPNLCSLYYGHLENSVLSKFLHDSKLNAGEAFSEPEYLLMRFIDDFIFISFSLEHAQKFLNRMRRGFVFYNCYMNDSKYGFNFCAGNSEPSSNRLYRGDDGVSFMPWSGLLINCETLEIQADYTRYMHSLIVRRMQDVELHYNVRPVLKLRRKETIWLGLTAYIRVLQQKQSRYKDMLTLLTAELGRYCHLGHECDTLRYAVDDSHSSMFWKFKF >OGLUM12G10430.1 pep chromosome:ALNU02000000:12:12151848:12160954:1 gene:OGLUM12G10430 transcript:OGLUM12G10430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G47790) TAIR;Acc:AT2G47790] MEGGGEDTAAAMAMEVEIEAEAVLCMGTSSPATSNSSSPSPNSGISSRRLGLKNSIQTNFGDDYENSTLAVSLSTNALKLYSPATGQFLGECTGHSGTIHEISFSAPSSPQVICSCSSDGTIRAWDTRSFKQISLLRGSQELFSFSFGGSSGNLLAAGSNSQVLLWDWRNSKQLACLEESHMDDVTQVKFAPHQHSKLISAAVDGLICVFDTDGDMNEDNHLLSVMNVETSVAKVGFFGNTYQKIWCLSHIETLSTWDWNDGSRELNIDDARSLATDRWNLDHVDYFVDCHYSLPDDKLWVIGGTTAGTIGYFPVRAGLEGAIGSAEAILEGGHTDVVRTVYPAANTHTGQNRGIFGWTGGEDGRLCCWRSGEIAEMNKSWISSSLVSRVQKKAKCRQQPY >OGLUM12G10440.1 pep chromosome:ALNU02000000:12:12163465:12163950:1 gene:OGLUM12G10440 transcript:OGLUM12G10440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCSRRLLCSLFLAAAALCGVTSAAAPRLGHHLPVHVPGLRPQAGRHHQRPHAEPHHQRRLEGQYRHQHQELAADGERRHPLAQHPADQQAVGGRHGGHHPATLSRSARRRYRSRRATQGARLRPFRCLLLAEAGELHARRRRRGVHHFTYDGDTRRTYS >OGLUM12G10450.1 pep chromosome:ALNU02000000:12:12271627:12272154:-1 gene:OGLUM12G10450 transcript:OGLUM12G10450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDVAEPWGLSAWPPLTSLLLRAMRKRRTWAALFLAVYASLLCSSWRLVESVRAWYYSAAGGAGAVAAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLITWITVLVLLAFAGKPRRSLVAEGRRATADIARLALRVLLREGNAVAALCAAASFAALLLGRRDDDPAEGSGS >OGLUM12G10460.1 pep chromosome:ALNU02000000:12:12275252:12275515:1 gene:OGLUM12G10460 transcript:OGLUM12G10460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEYEIPDLNLDPGVQEVLQDEGDGIPDLNLDPAVQGEDAFQYEDEKLPDNQCFGAHEDGHPDPAMQVVEPSNGWSAQEICHLNM >OGLUM12G10470.1 pep chromosome:ALNU02000000:12:12360646:12361035:-1 gene:OGLUM12G10470 transcript:OGLUM12G10470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPAAPARRRRRRSSATAHGGCGKQWRRQRRSRPTRWRSSELRDGVEMAHGDERRTPELRWREKRRRERERGLSLEALPAAAAREGDGDDDGAAPDIGPKQRQRRLLTVEMAAALEKGGKRGGGRWYL >OGLUM12G10480.1 pep chromosome:ALNU02000000:12:12362180:12362778:1 gene:OGLUM12G10480 transcript:OGLUM12G10480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLTAFMKNFLKKEAEMSKPRPRNTPVKPGAQARKPVKSAAKKPQVTPKMKKVWRVKQTTFGPSPPEPDRVEGCNIYSCSGLADDEEVEEEVAH >OGLUM12G10490.1 pep chromosome:ALNU02000000:12:12364117:12367426:1 gene:OGLUM12G10490 transcript:OGLUM12G10490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLAAVGEAGSDGQALKAVGSHGGKFMAGQSGGLTDDGGDGGDGAVGRNPSPCYQHPFATSLYLLLLRYTSRCRRRALPPSPTSSGAFEVVSSRTGVIVDRTILAENRKHDLCMQARFSVVMRTEAKLGKVWDKYGADHLHLR >OGLUM12G10490.2 pep chromosome:ALNU02000000:12:12364117:12367426:1 gene:OGLUM12G10490 transcript:OGLUM12G10490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLAAVGEAGSDGQALKAVGSHGGKFMAGQSGGLTDDGGDGGDGAVGRNPSPCYQHPFATSLYLLLLRYTSRCRRRALPPSPTSSGAFEVKLGKVWDKYGADHLHLR >OGLUM12G10500.1 pep chromosome:ALNU02000000:12:12375969:12393733:-1 gene:OGLUM12G10500 transcript:OGLUM12G10500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:catalytics;carbohydrate kinases;phosphoglucan, water dikinases [Source:Projected from Arabidopsis thaliana (AT5G26570) TAIR;Acc:AT5G26570] MTSLRPLETSLSISGRPRRGLVLPPPGVGAGVLLRRGAMALPGRRGFACRGGSAASAAERTKEKKRRDSSKQPLVHLQVCLEHQVKFGEHVGIIGSTKELGSWEEQVELEWTTNGWVCQLKLPGETLVEFKFVIFLVGGKDKIWEDGNNRVVELPKDGKFDIVCHWNRTEEPLELLGTPKFELVGEAEKNTGEDASASVTFAPEKVQDISVVENGDLAPEAESSKFGGQWQGSKTVFMRSNEHLNKEADRMWDTTGLDGIALKLVEGDKASRNWWRKLEVVRGILSESFDDQSRQISCFEDGGHHRPNKHAEISRQIFRELEMMYYGKTTSAKDVLVIRKIHPFLPSFKSEFTASVPLTRIRDIAHRNDIPHDLKVQEIKHTIQNKLHRNAGPEDLIATEVMLARITKTPGEYSETFVEQFTIFYSELKDFFNAGSLFEQLESIKESLNESGLEVLSSFVETKRSLDQVDHAEDLDKNDTIQILMTTLQSLSSLRSVLMKGLESGLRNDAPDNAIAMRQKWRLCEISLEDYSFVLLSRFINTLEALGGSASLAKDVARNTTLWDTTLDALVIGINQVSFSGWKTDECIAIGNEILSWKQKGLSESEGCEDGKYIWSLRLKATLDRARRLTEEYSEALLSIFPEKVMVIGKALGIPDNSVSKLCTVLQKAIREVLGSTGWDVLVPGVAHGTLMRVERILPGSLPSSVKEPVVLIVNKADGDEEVKAAGDNIVGVILLQELPHLSHLGVRARQEKVVFVTCEYDDTVTDVYLLEGKYIRLEASSINVNLSIVSEKNDNAVSTEPNSTGNPFQQKLQNEFSLPSDIEMPLQMSKQKSKSGVNGSFAALELSEASVESAGAKAAACRTLSVLASLSNKVYSDQGVPAAFRVPSGAVIPFGSMEDALKKSGSLESYTSLLEKIETAKVENGEVDSLALELQAIISHLSPSEETIIFLKRIFPQDVRLIVRSSANVEDLAGMSAAGLYDSIPNVSLMDPCAFGAAVGKVWASLYTRRAILSRRAAGVYQRDATMAVLVQEILQPDLSFVLHTVCPADHDPKVVQAEVAPGLGETLASGTRGTPWRLSCNKFDGKVATLAFSNFSEEMVVHNSGPANGEVIRLTVDYSKKPLSVDTTFRKQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >OGLUM12G10510.1 pep chromosome:ALNU02000000:12:12516493:12536207:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNEQFTNSIGDAPLHRCQHSPHLATAAFSSSVAAASGDPRCGAQPRLDGTVRLSTDRLDASGDGVAGGSFPHCGRPPPLWPHPGGLLPNRRPLALRRSIFPCPRPRLASAREFARRRLRRSPSREQRYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHLLKRLSREEGEQRRGPVVAAACGGAAMRLDGDIRGARCEEAFGAVALAAPPLPSRCCRTWVRLISD >OGLUM12G10510.2 pep chromosome:ALNU02000000:12:12516493:12536074:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNEQFTNSIGDAPLHRCQHSPHLATAAFSSSVAAASGDPRCGAQPRLDGTVRLSTDRLDASGDGVAGGSFPHCGRPPPLWPHPGGLLPNRRPLALRRSIFPCPRPRLASAREFARRRLRRSPSREQRYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSEILAAEAAE >OGLUM12G10510.3 pep chromosome:ALNU02000000:12:12517031:12536207:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHLLKRLSREEGEQRRGPVVAAACGGAAMRLDGDIRGARCEEAFGAVALAAPPLPSRCCRTWVRLISD >OGLUM12G10510.4 pep chromosome:ALNU02000000:12:12516493:12535617:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNEQFTNSIGDAPLHRCQHSPHLATAAFSSSVAAASGDPRCGAQPRLDGTVRLSTDRLDASGDGVAGGSFPHCGRPPPLWPHPGGLLPNRRPLALRRSIFPCPRPRLASAREFARRRLRRSPSREQRYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMFEGRGSEEFSAELCVALQRCLLGGKSGAGAAIDLSSLIVVDGKVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHVSRQFISVLDSEILAAEAAE >OGLUM12G10510.5 pep chromosome:ALNU02000000:12:12517076:12536207:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MPHARYAPAAPRTMVGLSEGEKHFIRGGIAQDLRTDGRRRLQFRAISVETGVIPQANGSARVRLGATEVIATVKAELGKPSILHPDKGKVSIFVDCSPTAAPMVEVPKNFLLSSVLHCKDAYSVVKVGQVCWDLYIDGLVVSSDGNLLDALAAAIKVALSDTGIPKVNVSLSATTDEEPEVNVSDEEFLQFDTSSVPVIVTLTKVGKHYIVDATSEEESQMSSAVSVSVNRHGQIRGLTKRGGAGLDPSVIFDMISVAKHLLKRLSREEGEQRRGPVVAAACGGAAMRLDGDIRGARCEEAFGAVALAAPPLPSRCCRTWVRLISD >OGLUM12G10510.6 pep chromosome:ALNU02000000:12:12535468:12536207:1 gene:OGLUM12G10510 transcript:OGLUM12G10510.6 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWQKICWRRGPVVAAACGGAAMRLDGDIRGARCEEAFGAVALAAPPLPSRCCRTWVRLISD >OGLUM12G10520.1 pep chromosome:ALNU02000000:12:12537942:12538256:-1 gene:OGLUM12G10520 transcript:OGLUM12G10520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSSKGDDETSLLPNTRLEKPIQAVAKMNQGNGHGNDAGRLLRPDRSPPGCRASSVLSVDI >OGLUM12G10530.1 pep chromosome:ALNU02000000:12:12567872:12573463:-1 gene:OGLUM12G10530 transcript:OGLUM12G10530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKEDIENVVVVHCKAGKARTGLMISSLLLYLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGENQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFTTKKHPRTKELMVP >OGLUM12G10540.1 pep chromosome:ALNU02000000:12:12613166:12644727:1 gene:OGLUM12G10540 transcript:OGLUM12G10540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRRLPPVFGLPGREGEWIRDALGMLLVQGIALGLTVVTGIDGDGWRLVLEKIEGISPMTASWLDYSRLCAPGTLNTLVARGLGQRRLQSTGTAKWPTAALWTSRAASSLAQATN >OGLUM12G10550.1 pep chromosome:ALNU02000000:12:12643981:12650512:-1 gene:OGLUM12G10550 transcript:OGLUM12G10550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRKKDWRGTGQREYRAKKKAEKVEVNIESVVPTISDIDYVLTNHDVTAQDIILVSSENETLVNMGGFSIKKHHLSCLLTKDEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIIEEHIDNFITNIVKNYLFHELLFSTPKKKVIHVLDSLCEDFDRVDLHIAIQGVQKHLDIITRRKDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFLTGDKFSHPVTQKDIELFSRQRERSDMKESKVRSATNDHKYSSLLSVTATGFGISPMFHKDIDLAGSVVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHILMYEQNECASNIPSGARDFLHCIANAKH >OGLUM12G10550.2 pep chromosome:ALNU02000000:12:12643981:12650512:-1 gene:OGLUM12G10550 transcript:OGLUM12G10550.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRKKDWRGTGQREYRAKKKAEKVEVNIESVVPTISDIDYVLTNHDVTAQDIILVSSENETLVNMGGFSIKKHHLSCLLTKDEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIIEEHIDNFITNIVKNYLFHELLFSTPKKKVIHVLDSLCEDFDRVDLHIAIQGVQKHLDIITRRKDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFLTGDKFSHPVTQKDIELFSRQRERSDMKESKVRSATNDHKYSSLLSVTATGFGISPMFHKDIDLAGSVGSWSKIHYEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHILMYEQNECASNIPSGARDFLHCIANAKH >OGLUM12G10550.3 pep chromosome:ALNU02000000:12:12645255:12650512:-1 gene:OGLUM12G10550 transcript:OGLUM12G10550.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKRKKDWRGTGQREYRAKKKAEKVEVNIESVVPTISDIDYVLTNHDVTAQDIILVSSENETLVNMGGFSIKKHHLSCLLTKDEWVNDDVISAYIHCIKEAQSKTDKKVYYENPFLIAMLQRDAVYIIEEHIDNFITNIVKNYLFHELLFSTPKKKVIHVLDSLCEDFDRVDLHIAIQGVQKHLDIITRRKDLPSHEWIDLNVVTWPIIEQLQVKIQLDGSSCGLFMLKFMEFLTGDKFSHPVTQKDIELFRYKLAGILLCWKANMAADASNVEQVEDTDNEDDVDSGQSSKRKIGHEGI >OGLUM12G10550.4 pep chromosome:ALNU02000000:12:12643981:12645196:-1 gene:OGLUM12G10550 transcript:OGLUM12G10550.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQELIGGLFQYIQQINCAETLDSWPHTIKLSLKTLQSVLKDGPLERDCFNMAIRKFMYENVRSLHKTSEAITKHCLDIQFWTATGFGISPMFHKDIDLAGSVGSWSKIHYEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHILMYEQNECASNIPSGARDFLHCIANAKH >OGLUM12G10550.5 pep chromosome:ALNU02000000:12:12643981:12645196:-1 gene:OGLUM12G10550 transcript:OGLUM12G10550.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQELIGGLFQYIQQINCAETLENIWVQSSWPHTIKLSLKTLQSVLKDGPLERDCFNMAIRKFMYENVRSLHKTSEAITKHCLDIQFWTATGFGISPMFHKDIDLAGSVGSWSKIHYEVAKCKSILIPVCAVGSYILVILDQESRTLYLLDPNPLNPMYENNPTMRYTKKLLNVTNYFNRAMRVACPGSRWTEDIDLWRHIYVTNPVADSNLSGCLVHLFMRSWKDGALHLPSFKDVDELRKQFLLHILMYEQNECASNIPSGARDFLHCIANAKH >OGLUM12G10560.1 pep chromosome:ALNU02000000:12:12698165:12698431:1 gene:OGLUM12G10560 transcript:OGLUM12G10560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARKVVSSMAAAAALMILGEGGGGRCVSRGGERGGGRGWAWSGRTPCRPTAAEQSRKAMGQCWRVLTAISAGKVREEHVEEAGTFN >OGLUM12G10570.1 pep chromosome:ALNU02000000:12:12704084:12712092:1 gene:OGLUM12G10570 transcript:OGLUM12G10570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAGAGGGGGEGGGNGGGTSPGGVSAAAPAIGPHHLGVAAAEEAMWQMTLGGGESMESTPYPERIGEPDCSYYMRTGLCRFGMTCKFNHPPNRKLAVAAARMNGEYPYRVGQPECQYYLKTGTCKFGATCKFHHPREKAALANRVQLNVLGYPMRPNEKECAYYLRTGQCKFASTCKFHHPQPSNTMVAVRNSMYSPGQSATSPGQHTYPGAVTNWTLSRSASFIASPRWPGHSGYAQVIVPQGLVQVPGWNPYAAQMGSSSPDDQQRTPVTTQYYGSRQSETGGMGDHGMYQSYQGGSVPVGVYTVQGENIFPERPDQPECQFYMKTGDCKFGAVCKFHHPKERLVPAPNCALNSLGLPLRPGEPVCTFYSRYGICKFGPNCKFDHPMGTLMYGSATSPRDDVSSMHYQLSPSPGHPGILLDGGSGRSHRVPQSDSQQIPSGDGNSEREAS >OGLUM12G10580.1 pep chromosome:ALNU02000000:12:12713158:12717013:1 gene:OGLUM12G10580 transcript:OGLUM12G10580.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARAKGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPATGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQASSYQLPW >OGLUM12G10580.2 pep chromosome:ALNU02000000:12:12713158:12717044:1 gene:OGLUM12G10580 transcript:OGLUM12G10580.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARAKGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPATGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQASSYQLPW >OGLUM12G10580.3 pep chromosome:ALNU02000000:12:12713324:12717013:1 gene:OGLUM12G10580 transcript:OGLUM12G10580.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:15-cis-zeta-carotene isomerase [Source:Projected from Arabidopsis thaliana (AT1G10830) TAIR;Acc:AT1G10830] MASHLLLRLPAPPPLLLHFPQRRVTLPQSRVSPTAPLLGARLVFSPASAVRPARAKGSSIGGAGEDDSDGEVDGAPRLVGEDSAAFRLGDQRVASWVYFGGILAVVLWGLNVLWIDPATGVGTRFLEAVAAVSDNHEVTMLLLTIIFAVVHSGMASLRETGEKIIGERAYRVMFAGISLPLAVSTVVYFINHRYDGIQLWQVQGISGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKFHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGVWNGDRRLASRYGEAFEVLKKRTSVIPFAAVIDGRQKLPKDYYREFIRLPYLAITALTLGAYFVHPLMQASSYQLPW >OGLUM12G10590.1 pep chromosome:ALNU02000000:12:12721958:12725994:1 gene:OGLUM12G10590 transcript:OGLUM12G10590.1 gene_biotype:protein_coding transcript_biotype:protein_coding RCRLLAAAGEPGSPSAGAFSTAAAASSRAASGDLGSPSAGSSSRAPARELWWAQGGNNPIQERWDGILAQGSPGGSYALEIIQLNPDSRNVSCAKGSKAATETR >OGLUM12G10600.1 pep chromosome:ALNU02000000:12:12763298:12763513:1 gene:OGLUM12G10600 transcript:OGLUM12G10600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKQGTTSAGAAAAAHRIVVVFLLLLLQLAFFHAFAYDPLNGNKAACNPNCPAPGGPYSHGCQNIYQCQH >OGLUM12G10610.1 pep chromosome:ALNU02000000:12:12778225:12779627:-1 gene:OGLUM12G10610 transcript:OGLUM12G10610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDGGDNWSAAIYGISGVKFEIQYISGIAKGIFDAVLKGHIDFQSVLKISDSAKDLIEKKKLSHCSSERLKDHEVLSIFDIFLHLNFEDHAELRSLPSHPHSHLKMVYITGFYGQKDQLELALYILRNSVRLKSMKINPKPIIAEYLGEVRESEGFFFLDGYQVALKFLCKEDRYNVVDVKEVGLSVIESAPDL >OGLUM12G10620.1 pep chromosome:ALNU02000000:12:12784820:12794229:-1 gene:OGLUM12G10620 transcript:OGLUM12G10620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRP1] MAVGKNKRISKGKKGSKKKTVDPFAKKDWYDIKAPSVFNVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQNDEDQAYRKIRLRAEDVQGKNVLTNFWGMSFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMVNQASSCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFVRKVKILKAPKFDLGKLMEVHGDYKEDVGTKLERPAEDEVVVGQEVTAEHTQPTSNTAATCCRTRPQSPQPRHHTTSPPHTASTGVRWCPLPPPVSQSPHARTRQLLDGLTPDLSPTTTNYFAALQAARGREERRGPLPAAPATAGATTISLSLQGVGGVWITARIIGGCRGLIRLLPRSHKSSEKHPMLEQKGASMGLRSKQPKALAFRCYAASHRSLTLAVWSLAALVVVVNFHLLIIHKEDESTSTHEINRSIVSELEEVEEEKFRVSPPRSRRNPRAVRRKGEQKPPSVVDEFLDESSAVHDMFFPERNMAIDPINGGNDSMYFYYPGRVWLDTDGNPIQAHGGGVLYDEKTETYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWRNEGVVLRGEKKNVTHDLHKSNVLERPKVIYNDRTGKYVMWMHIDDTNYTKASVGVAISDSPTGPFSYLYSKQPHDCESRDMTIFKDDNGKAYLIYSSEDNSELHIGQLTDDYLDVTDNMRRLLIAQHREAPALFKYEGTYYMITSGCTGWAPNTALAHAATAIMGPWETLGNPCVGGNDIFRSTTFFSQSTFVLPIPGLSGSFIFMADRWSPSELRDSRYVWLPLTVGGLPDEAADYSFMFPLWSRVSIYWHRRWRLPEGWRDS >OGLUM12G10630.1 pep chromosome:ALNU02000000:12:12799710:12801230:-1 gene:OGLUM12G10630 transcript:OGLUM12G10630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSANGRIGEDERRQELGLCYQWRRDGQCEQPSCRLISITDDQSKWQAVCGFNSEVPCSIPNVLTIYS >OGLUM12G10640.1 pep chromosome:ALNU02000000:12:12805088:12805874:1 gene:OGLUM12G10640 transcript:OGLUM12G10640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGKTELSGSRKSFSLADLRKFAKPQVTLRTSRSVITICASEKWTSSELRGLIQKEQICSDFRT >OGLUM12G10650.1 pep chromosome:ALNU02000000:12:12807654:12810790:1 gene:OGLUM12G10650 transcript:OGLUM12G10650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENDLMICIRRHPRLLLPIAPLPRLLTDRASTLPHPHPHLRSALFPAPLPRRHHRRISVAVALPSPIIPFSAARSRRRIFHHRRATVAATGSSAPTAANPSIWRAEQGRGGAPWSCCISCVLRN >OGLUM12G10650.2 pep chromosome:ALNU02000000:12:12807822:12810790:1 gene:OGLUM12G10650 transcript:OGLUM12G10650.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMIVDIDQDLFRVSL >OGLUM12G10650.3 pep chromosome:ALNU02000000:12:12808164:12811038:1 gene:OGLUM12G10650 transcript:OGLUM12G10650.3 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAISESMDSSKVIFFNKACVEEKGKRGKFVGEEIDRLQWAPVVLSVELNLALSIQIQRTGVRIANERRKTASKSLVPILYMAVMIVDIDQDLFRNICVKSNTHNRLRLAFSSPIAPPPDLPQ >OGLUM12G10650.4 pep chromosome:ALNU02000000:12:12808164:12810790:1 gene:OGLUM12G10650 transcript:OGLUM12G10650.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAISESMDSSKVIFFNKACVEEKGKRGKFVGEEIDRLQWAPVVLSVELNLALSIQIQRTGVRIANERRKTASKSLVPILYMAVMIVDIDQDLFRVSL >OGLUM12G10660.1 pep chromosome:ALNU02000000:12:12811352:12811811:1 gene:OGLUM12G10660 transcript:OGLUM12G10660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCFPCLPSPLDSIPSNADLAAQRGAPIPSAAPSWISRHARTSIQIDATSPISSAPPPTIRHRTQIVVEDSLPVVDDFIAAISDFFALDLRHWAPSTGIQIAVCSLRSGMFMLNSQIHVYLLFLLFVAVCSNHFFLGNGFTNCSS >OGLUM12G10670.1 pep chromosome:ALNU02000000:12:12817366:12817890:-1 gene:OGLUM12G10670 transcript:OGLUM12G10670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLGRTSKGRQHIDNKERRQVTFTKRRGGLFKKASELALLAGASIAVVVFSETNLAYAFGDPSVDAVLLSYGPVPGEDAEPAPVHSGGLGKDVDLEMLRQAVGETRAEVAAEKARMRGVTAKILQAKAEGRFWWDVDVGEVGEAELPEFARALKELRAKLDGHANGPPPRQQQ >OGLUM12G10680.1 pep chromosome:ALNU02000000:12:12819556:12825095:-1 gene:OGLUM12G10680 transcript:OGLUM12G10680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPLGRTSMGRQRIEIRRIDNKERRQVTFTKRRGGLFKKASELALLTGASVAVVVFSPAKHVYAFGHPSVDAVLRSYASVPGEAAAVAPVPVHGSSGGEDVDLLGLRLAADDTGAQVAAEHARMRDVAARIVQAKAGRWFWWEAHVDALGEAELPEFVTALKKLRDNVGRHANALLAPQPPPLPLQQKQRRRRSPSGPGCPPLAATPRAAPNSCRQVTATSRSSAVRRSSKTYTIPSASTPAHKTSRSSAVRRSSKTYTIPSASTPAHKISGCCHSPEEDHDPLSLLALLGSWLSLLVLLGSWAVQPEDFWFSAPKKGIMVFALPGEPGLTEVAGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQPPKPKPAAASTDQKSEADSSTGTVAKGNNASSAEVSNKESARNDKDDVFSDSEAEDGSSKGRREKVSRNVEGTTNAAKASETSSVQKEASASASRIEKVSITSEQGSARTPDAAPLKSGVSSKSSSTTAPPPPPAAADSSMSEFKAIAADASVFSFGDEDDYESE >OGLUM12G10690.1 pep chromosome:ALNU02000000:12:12838743:12843829:-1 gene:OGLUM12G10690 transcript:OGLUM12G10690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARGALRRLIPSHLQPVSRRAPPPPPSAADEGPFPDPYALLVHDPIDLLSSLWRRAFAHPLPAPFPNLSGYASRLDLWLLSYQRACAHATGSFPPRHAVQLPTLHSLLRLRAAALRRHPAFPWGASTHLLIRSPADPPSTVPISRRKLEARLANAPPPFQDRVVQELLLLLLEPVFEPRFSPKSHAFRPGRGPHTAIRSVRSHFAAYLWFISADLTGVVDALSPDTILSCVQKAVSDRKVLSLLKSALNAPVRPGSVPPREKELDGLAKKRLKRKVLRKSRKKKVLNENDPKPDPYWLRLFFEFAPEQACHAPNYGHCGILSPLLANVCLNELDWWLEERIHEYFRPSSHDSIWKEAGDEGCHNPAWPEFVPSSGKEKTRKMDYLRFGSHVLIGIRGPREDAVEIRRQLMEFCESTFGLRPENSMVEIEHITRGIEFLDHVITRRVIYPTLRYTASGGNIVSEKGIGTLLSVTASLQRCIRHFRKLELVKGDRDPEPLPCSPMLYSGQAHTNSQMNKFLETMADWYRYADNRKKIVGFCAYVIRSSLAKIYAARYRLKSRAKVYKIASRDLSRPLRESTRNDAPEYSDLLRMGLVDIIEGVQFARMSSIPSCDYTPFPRNWVPHHELVLREYIKLQDPKFFCELHKTIKRKEINSPQDDVSRMVWYYKVYGVYDAKRSLQKLNDWKNTDEAANKENQILLGSVSMVLHQVKPSDSHPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTFHVAPSPAPVPNAVPIQDAAGREARSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLKVEYSSISSPGPNPNTPNPPERKSPVSSPPLLAPSRGRSGEEAPISIWRAERGGGAVVLLHPEQQVKPSDSHPPPATPVDSQDSTAPPVRTPDPVSQATSNDSATQFASTVHVAPSPAPVPNAVPIQDAAGREAQSSIFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFSRLTSGLGLRLSPKGPQQDESAEGSTTPTTAQSGVFGSFTKGIVDSSKNAVKAVQVKAXCVPTTDPGIGTDKHRRFPISKIGGLQIITVCP >OGLUM12G10700.1 pep chromosome:ALNU02000000:12:12849791:12858156:1 gene:OGLUM12G10700 transcript:OGLUM12G10700.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVDGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSASRRKERTILRRQCHFLEHNAACRRLVHRVAHRKENVQVDQSALKSDAISMSKEELSKT >OGLUM12G10700.2 pep chromosome:ALNU02000000:12:12849791:12858156:1 gene:OGLUM12G10700 transcript:OGLUM12G10700.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVDGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELKEAATVSDETMRMQ >OGLUM12G10700.3 pep chromosome:ALNU02000000:12:12849791:12858156:1 gene:OGLUM12G10700 transcript:OGLUM12G10700.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVDGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSASRRKERTILRRQCHFLEHNAACRRLVHRVAHRKENVQVDQSALKSDAISMSKEELSKT >OGLUM12G10700.4 pep chromosome:ALNU02000000:12:12849791:12854771:1 gene:OGLUM12G10700 transcript:OGLUM12G10700.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G15980) TAIR;Acc:AT2G15980] MGGDPTADPSSAYFIDADHPYAAAAASALTSHRSRSKWSHLSSLAVPDPLPASAVAAVPLLLRRRPHVALSFHLFATRRLLPSGSPPPLLLSVSAAHVAAASRLRRAAISLLSSAARHYPHSQIFAALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTSAALLRSLPSAAAALDMYREIYTRPSSRINSQLLPTVHTFNSLLLAFYREGKCDVFKVVLQEMDRYSCVHNVCTYNIRMAAYCDSKEVEKARGLWDEMVDGGIQPDVPAYNTMISGYCGVGEVGMAEEMFKDMEMGGIDPSVTTFEWLVRGHCRVGDIDAAMLVRADMSRRGFQMAAEVVEEVVNVLCQKKRVKEALGVLKEEMRKEEFVPSRECYEVLIRELCEQGEVEVAMRLQAEMAGMGFKAGSEVYCAFVCAYEKAEDYEMAEKLRKELSIKRWLNGKEIDQKYN >OGLUM12G10710.1 pep chromosome:ALNU02000000:12:12852693:12856541:-1 gene:OGLUM12G10710 transcript:OGLUM12G10710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTVAVFKMFEKTWALWIANFKVILSSVEREDGEEEPRQLYRVYLEEDELPRGGFKVDEEEFLMRRAHAVFLVCKSAMVDSSMYDPDRYFLRILAYLRENRVDLWTLMEMELSLMYDILYTKAAVIHTWTGYCIRIVSSLTVAASFLLFQLYGKEGQSSRADITITYVLLSSSLLMEMASLLSALWSTWTFSFLCATRWTSLRHAALCSKKWHCLRNMVLSFRRLAYSTGIWSYLSLSRRWSGTLGQYNMLDACTARPPLLGKLVVRLVFSRLSKKLGFSRLAEMLGFGRLAEELSYNVVTADIPKGLKDMVIEYIKFMIKDRTVNTLGIFREQWGKVAIKRWLEDKQVDDEYKEYLEKRLGAELHEEEKKGGTGRGASPLTKTPQD >OGLUM12G10720.1 pep chromosome:ALNU02000000:12:12860390:12861382:1 gene:OGLUM12G10720 transcript:OGLUM12G10720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHEAKITVASIDAQIYPWLLHLSLSRAHGENVRTLFLLATSFLRGSAISDGLFHGGCGGSDGGDIFLNGGGGGCGCGGLFLRSGAVDSVSEFGMLLLARRSRKAVMVKAQASLLQKRGGATAELAILAHFRCPISLDLMRDLVMATMGITYDREGIEAWLDTGRAVCPVTHAPLWLEDLVPNHAIRHVIQDWCVANRSHGVERIPTPKIPATPIQASEMLFNVAEFGAVWRGGARHRAVAPISATSFVSQCKSF >OGLUM12G10730.1 pep chromosome:ALNU02000000:12:12860578:12861258:-1 gene:OGLUM12G10730 transcript:OGLUM12G10730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAGILGVGMRSTPWDLLATHQSWMTWRMAWLGTRSSSQSGAWVTGHTARPVSSHASIPSLSYVIPIVAITRSRIRSSEIGHRKCARIANSAVAPPLFCSSDACAFTITAFRDLRASSNIPNSRSITRDRVDGAAAEEEAAAATAAAAAVEEDVTAVAAAAATVEEPVADGAAAEERGREEEEGANIFAVRAREGKMTEIRNKIRSPEVMYDISGVGNQIYWEIG >OGLUM12G10740.1 pep chromosome:ALNU02000000:12:12888249:12893987:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHRHAGALPRSPGPRDRRGTASLACGTGWFVLAPAQPFRGLGIFLQINHSQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKLLYRLIGIFTMCMLSISLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.2 pep chromosome:ALNU02000000:12:12888249:12892014:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.2 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIYESMIIYPCYGYNVLHLNQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKLLYRLIGIFTMCMLSISLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.3 pep chromosome:ALNU02000000:12:12888249:12893987:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHRHAGALPRSPGPRDRRGTASLACGTGWFVLAPAQPFRGLGIFLQINHSQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKVVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.4 pep chromosome:ALNU02000000:12:12888249:12892014:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIYESMIIYPCYGYNVLHLNQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKVVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.5 pep chromosome:ALNU02000000:12:12888249:12892014:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAIYESMIIYPCYGYNVLHLNQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKVGRLSISLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.6 pep chromosome:ALNU02000000:12:12888249:12893987:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHRHAGALPRSPGPRDRRGTASLACGTGWFVLAPAQPFRGLGIFLQINHSQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKVGRLSISLVPILFGLGNYKKLIRKGKQLILHGLNEESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.7 pep chromosome:ALNU02000000:12:12888249:12893987:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.7 gene_biotype:protein_coding transcript_biotype:protein_coding MGAACGSAGPAHRHAGALPRSPGPRDRRGTASLACGTGWFVLAPAQPFRGLGIFLQINHSQDLAYIFRQEVYGEAAVRSQVPPLIGNGCKHRQIRFTEKFFLGDTVVHKESEAVRLIKRRLLYLGPETIEEGSLLVLKVSPHSVSKEDHFDPHTTVGNFFFWQEDNHDRSHQPHVDEKKHTGLEDLLNSCACPKSCF >OGLUM12G10740.8 pep chromosome:ALNU02000000:12:12893564:12894014:-1 gene:OGLUM12G10740 transcript:OGLUM12G10740.8 gene_biotype:protein_coding transcript_biotype:protein_coding MWERRSRTSACGSFAALSRPSGPARYRIPGLRDRLVRPRSRATVPRIGLARSDPTLRIHPTLTRAPLPTPMAGWPDLRLLPQP >OGLUM12G10750.1 pep chromosome:ALNU02000000:12:12893080:12893673:1 gene:OGLUM12G10750 transcript:OGLUM12G10750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVHHRRQGIEIRPEGSSLAVDLSSVNTDREADWALDHCHRREVIPGEAAACGGDRASKRVEAIDGGGDGEAKGDARRRGLRRGGGVGGVGGEVKGVGGATVKIGGGAGGGAAGEGLIDGAEGGAAGEAKGEVQRGQRWRLSAWAAMADYRRRRVRGEEEGLGLGEEAQVGPSGHRGGEGRAGQGGVNPERWIGAS >OGLUM12G10760.1 pep chromosome:ALNU02000000:12:12898316:12899346:1 gene:OGLUM12G10760 transcript:OGLUM12G10760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSVAVLYQNWRLMSTEYQTGSLLPPVARSRVPWIFGPTADGAIFANFMDKRSLCATNGDMSHAASLVYSRDGWLLFLSLPGEKKRDCQMVLMNPFSGETFTMPPAPLGLRCGRPKASFTYWSSYSVYLRGPYLVEFEGRVLSVCAPKPFEPDGGGKFFVGELTVGENRAALVELDDSELSNKSWFLGPVQSFRARIKGHRVYNFAYKPQWDGEDIEKNHHYHVHYHDLLKKKFRYLTVDEFSSGHSWVDLGGVLVTRYPKTQA >OGLUM12G10770.1 pep chromosome:ALNU02000000:12:12902199:12905734:-1 gene:OGLUM12G10770 transcript:OGLUM12G10770.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BRR9] MAMASHHLAQPPTRAALSSRPTYPLSSHHHSSRLQLPLVSGARRSRLSPAVATSPVAAPAMDAVADWGLTTLEEADPEVYDLVEREKRRQRAGVELIASENFTSLAVMEALGSPLTNKYSEGMPGSRYYGGNEVIDEVEELCRARALAAFHLDPEAWGVNVQPYSGSPANFAAYTGLLQPHERIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSETGYVDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAIADKCGAMLLCDMAHISGLVAAQEAANPFQYSDVVTTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGALYDYEDRINFAVFPSLQGGPHNHQIAALAVGLKQTMSPGFKSYIKQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVEKVCDLCSITLNKNAVFGDSSAMSPGGVRIGTPAMTSRGLVEEDFVQIAEFLHQAVTICLDVQKERGKLLKYFNEGLENNKDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >OGLUM12G10780.1 pep chromosome:ALNU02000000:12:12923651:12924125:-1 gene:OGLUM12G10780 transcript:OGLUM12G10780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKQVDVGQPAERRLAGVMAAVLPRAATMAPARGTEAVVCSLHNSEQQQQQDNGVQAKWESFNAAARRGWGGGVVVKQRGGEEGCCSY >OGLUM12G10790.1 pep chromosome:ALNU02000000:12:12924143:12925277:-1 gene:OGLUM12G10790 transcript:OGLUM12G10790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGLSLLIGGCETVEMHAHGNGTTTVATKCDMDAGPKQREAASDGRGEDEGALRSRFGTWDSWWSSVPGHPMTAHDRVAPGTRWFLGCNSVEQRGNDPSVHEQQKNMAVMLDNDDVLCDMQMESRSRARTGR >OGLUM12G10800.1 pep chromosome:ALNU02000000:12:12935060:12942506:1 gene:OGLUM12G10800 transcript:OGLUM12G10800.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETRRQAAEASRRATKLLEHTLGGRVPVAGFIAASMPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANNDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >OGLUM12G10800.2 pep chromosome:ALNU02000000:12:12934357:12942506:1 gene:OGLUM12G10800 transcript:OGLUM12G10800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANNDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >OGLUM12G10800.3 pep chromosome:ALNU02000000:12:12934357:12938211:1 gene:OGLUM12G10800 transcript:OGLUM12G10800.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANNDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >OGLUM12G10800.4 pep chromosome:ALNU02000000:12:12934357:12938211:1 gene:OGLUM12G10800 transcript:OGLUM12G10800.4 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTPDTAHNSLSNDRSATPEPPVGSGLNHAGSEMFQPTERKGRLKGSAPHDTLSNAGTAASAGMGTSVLVPVKATQFESGSAITHTKDIPRPAGDISNAIISTGTLIPQKVSQVKLVKDISQQTNTQKLVGSTSNTGDRNDPMISAKVPQLQLVRDTTLQMVTGKPASIATSASHGTAVPISRQVPWVKLVKDVTPQMLTSRLGSAAVKVDYRTAVAIPQKLSQLKLVKDITPHTATQKPATIAAKAIHQKKRKANNDPGESPLARHKPTINDVPPSPFERSSVSSIPHHFSKAMLTDNLRSLTKLHLADELPRTLTTSTKNDDMRFLTRSELMENLRFLAKNHNFSNVATNDGN >OGLUM12G10810.1 pep chromosome:ALNU02000000:12:12939149:12939385:-1 gene:OGLUM12G10810 transcript:OGLUM12G10810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKACADPNSTQLLKTANALGASSSKALSITKVREQGTMVRVEALKNRVTDEWHGALVQSRVWAFEPAYDGISEPKCA >OGLUM12G10820.1 pep chromosome:ALNU02000000:12:12941931:12947693:-1 gene:OGLUM12G10820 transcript:OGLUM12G10820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSVREAIYYSAHLQLPDTMLVSEKRTHAEHVIQEMGLDDIMDTRIGGRITKGISGGQRKRVSICVEMLTRPRLLFLDEPTSGLDSAASYHVMSHITSVAAGEGMTVVAAVHQPGEVFELFHSLCLLAHGRTVFLGTVSDATEFFNLNGFPCPCLRNPSEHFLGTINKDFDEEIVEDSRYRRKTAAKAIDTLTNAYQSSAYSEKTTNQIIQMKEMGGAPFRTRERASFCTKLLALTKRSFVNMHRDMGYYWMRFAVFTVACTCVGTVFHHIDNSYNSIQTRCNVIMYMTIFLTFMAIGGFPSFAEDIKVFRRERLSGHYGVTEFVISNTLSATPYLAVMIIIPGAILYYLTGLTREGSNIAYFVVTLYMCIMLVESIMMVIAAVVPDFLMGIVVGSGVQALMMTNGGFFRLPNQLPKPVWKYPCYYISFHKYAVQGFYKNEFVGQTFASDQLIMANATITGHQVLEALQVEMWYSKWVNLAILFGMAVIYRMMFFAIVKVAEGIRPKMRRMKCCL >OGLUM12G10830.1 pep chromosome:ALNU02000000:12:12955592:12959627:-1 gene:OGLUM12G10830 transcript:OGLUM12G10830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCICVIHLIEFLVLNHKNLSNTFKDITLLIAQVKLIMIAQVKLIMQRVLCVSKSCV >OGLUM12G10850.1 pep chromosome:ALNU02000000:12:12965419:12966981:-1 gene:OGLUM12G10850 transcript:OGLUM12G10850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLWPNGGSTGSSVDVSLTSGRRRPTSAGSGSGSGGGGRAEVYFADVEEVRPAPADACREDPAVYLTWEDVCVTASGAGSRATPARILEGISGHARPGEVLAIMGPSGCGKTTLLDALAGRLGPGMSKTGLILINGRQEKLAFGTSVNILSVI >OGLUM12G10860.1 pep chromosome:ALNU02000000:12:13067752:13084330:-1 gene:OGLUM12G10860 transcript:OGLUM12G10860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGITGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAGRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSSTYSEKTANEIGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >OGLUM12G10860.2 pep chromosome:ALNU02000000:12:13067752:13081861:-1 gene:OGLUM12G10860 transcript:OGLUM12G10860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRAGSMKVIYAEFHSPKFKTRRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGTMESSKANRKTAAEATNILTNAYHSSTYSEKTANEIGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >OGLUM12G10860.3 pep chromosome:ALNU02000000:12:13067752:13081861:-1 gene:OGLUM12G10860 transcript:OGLUM12G10860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRAGSMKVIYAEFHSPKFKTRRLGPEMNQTGVILINGRQEKLAFGTSAYVTQDNVLMSTLSVREAVYYSAHLQLPDTMLASEKRAHAERVIREMGLSDTMDTRIGGRITKGISGGQRKRMSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHITRVAAREGMTVIAAVHQPSGDVFDLFHGLLLLAYGRMVFFGTVSNATEFFTQSGFPCPHLRNPSDHFLRTINKDFDEGGTPFRRKEQASFLTKLLVLTRRSFLNMHRDIGYYWMRLGIYLGIGICLGTIFYQVGYSYSSIQSRCEVIMYTTALVTFMAIGGFPSFVEDIKLFRRERLSGHYGVMEFVISNTLSATPYLAVIAVIPGAMMYYLTGLTRGAEHFAYFVATLCMCTLLVESMMMIIAVIVPDFLMGIIIGAGIQGMMMLNGGFFRLPNELPKPVWKYPCYYISFHKYAVQGFYKNEFIGLSFPSDQLIEANATISGLQVLKERLQVEMGYSKWVNLAILFGMMVTYRMIFFVIVKIAEELRLKLRGIRFRRLK >OGLUM12G10860.4 pep chromosome:ALNU02000000:12:13083250:13084330:-1 gene:OGLUM12G10860 transcript:OGLUM12G10860.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPLPRWAPTPSPSRPLWRWGWGGGATPDARADGGITGWTSSFFAAVFPWTRRRRVDDRWAPSAGGGVGTFDGVEVPLPAAQAVSLPRAAADVVDDPAVFLTWEDVRVTVPGRTRGSPPARILDGITGHARPGEVLAIMGPSGCGKTTLLDTLAGKP >OGLUM12G10870.1 pep chromosome:ALNU02000000:12:13113598:13113857:-1 gene:OGLUM12G10870 transcript:OGLUM12G10870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSIENLLHIVNPLQHSGRSTSFPHWQTSSEHAPSHSIRLSIAITPMKAQPQTAAPRILV >OGLUM12G10880.1 pep chromosome:ALNU02000000:12:13197811:13198533:-1 gene:OGLUM12G10880 transcript:OGLUM12G10880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKRLEPALWRACLRLTVTSRPLTSRHRPRRRPRALLRAAASETLTPAGSGDEVRRTMTTSGSVSSSPAERGGVQIRAAAAARPGGEESRRRRSEEGRAGSARPRGRRHRRSRYLATRAAVPPSRSSPSGPDKERRGRAVRRRAPPWSRVRESLIVVHMLRSASPTSVSPGSSLPCRFGMRRRHCPRRRSSPARWGKRGEGEELEVEAASELAGVCAFLPAVLAPCRLPSWSVACEENG >OGLUM12G10890.1 pep chromosome:ALNU02000000:12:13234742:13237400:-1 gene:OGLUM12G10890 transcript:OGLUM12G10890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:asparaginyl-tRNA synthetase 2 [Source:Projected from Arabidopsis thaliana (AT3G07420) TAIR;Acc:AT3G07420] MAASSSSTSFSSSSSHEGDAGSSYIECFKYSRRATLRSVVGRPDGGAGLVGERAVVGGWVRSSAVVRARRAAAGPASPSRKPEVEATGLTCTEVLMSRVPLIRCIARLMAGGITAAASAGGSARRPAVGTALVRINDGSCVADLQIVVDSALWPLDQITATGACVLVEGKIEQVEGTLPQYVVQMKVEKILHIGPVDSEKYPLSNAHSSPDLVRGYPHLAARTATVASTARVRSELVHAVHAFFQSNGFFHVNTPTITTTTATSAGNRGKMLRLTRLFSKSDNGNRITPEAVRAAIKEKTKQVEALKRSESNREALEAAEQDLQRANALSRQLKQGASAESSQDEFFHRPAYLTPCHTLHLETYACALSSVYTFSPVFQAESESLDSDRSLAERWTVDVELAFAELEDAISCADDCVKSLCSTVSKDCSDELKFLSSNQAGDATSSVIEAAVSSPWQKIKYTEAVNTLLQVTDKTFESKLELGMPLSREHLSYLADDLYKKPVIIYDYPKQLKPFYARLKEDLKTVSAFDLVVPKVGIVACGAQKEERMDNLTSRIEESGLQVEQLEWYLDTRRHGTVKHSGFSIDLESLILFVTGLKDVRDAIPFHRTKGHAKC >OGLUM12G10900.1 pep chromosome:ALNU02000000:12:13251892:13255959:1 gene:OGLUM12G10900 transcript:OGLUM12G10900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLFSLALSPRLLLPLRRLDPKPLLRPKPLLLLSTAMGSPARAQPSSWRSAGRPPPQQQWSCATCTLDNPGHSRACDACGNSRPVEVDGDAVAKAQTPTLPTMSTPPARASTSSGCGAGRQPTERKWSCAACTLDNPGHSRACEACGNSRPMEVVAVDDDDEDALDLGALAGASFLPLQRRSMKRERAASPEVVGVCADEGDGAKGGEDKPAKKKACAEIILDKKTFKIMTYNVWFHEDLELCRRMDALGDLIKNHNPDLICFQEVTPNIYLLLQKSDWWQEYRCSLSNSMAMQRKYYCMQMSKLPVESFDCTPFSNSIMGRELCVAHVKTGGAVKLVLATSHLESPMPGPPTWDQMYSTERVAQANESLKILGSFRNVIFCGDMNWDDKGDGPFPLPAGWTDAWIELKPGDDGWTYDTKANSMLSANRKLQKRLDRFVCKLADFKINSIQMIGKDAIPGLSYVKEKKVRKEVRKLELPVLPSDHFGLVLSITLES >OGLUM12G10910.1 pep chromosome:ALNU02000000:12:13258201:13259613:1 gene:OGLUM12G10910 transcript:OGLUM12G10910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLHLLLELGFRFNPSPEEVVTYYLPRLIAGHPPKDTESCIHRANVYGAEPRELAAQFAPVARSSNGDRFFLTECKRIKGKVSRVAGGGSWVSQTSKDIKNREGIKVGEAKNFRFKKDGTNTDWLMEEYHLCLRQASDLEPVLCRVYVSPRAAKDSAAHQESAALTPQEPAPPLAHAPAPAPIQEPAALPRQELAPAPPRLEAVITQQQATMKMRGSVPASKAARQSCVTASAPPARRVAPQPAPPSLRTAPAAVAPPRQVPVITQQQAPPLKRPAPPVPSPPCAKKIRGPVSASPAARQSCVAASAPPPWCVPPPPRPAPPSRRVMAPLPPYPMDPFETPPSPHAPRHDPFEPPPSPDPPIQSYAIDPPIQSYAMDPFEQPPSPYAPHGVDDMDEFTRSLEAQLEEADGDEIAAATVAPPMAQNVAPDDDMDEFTRSLEAQLEEADGDDKIDDDEIDEEIFQIPLKD >OGLUM12G10920.1 pep chromosome:ALNU02000000:12:13264334:13264744:-1 gene:OGLUM12G10920 transcript:OGLUM12G10920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTAMKSTAGNVPRKLLVMKVARKSAPMMAGLKKPHRFNPWIVALREIRTYQKSTELLICKLPFQRLVQEITQDVRSYVCFQSSAMAALQEAAETYLVGLFEDTNLCVIHAKRVTIMPKDMQFARRIRGEKA >OGLUM12G10930.1 pep chromosome:ALNU02000000:12:13316214:13323520:1 gene:OGLUM12G10930 transcript:OGLUM12G10930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTAHTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM12G10930.2 pep chromosome:ALNU02000000:12:13318883:13323520:1 gene:OGLUM12G10930 transcript:OGLUM12G10930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHHHESLRQRKQTAHTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM12G10930.3 pep chromosome:ALNU02000000:12:13316214:13323520:1 gene:OGLUM12G10930 transcript:OGLUM12G10930.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTAHTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM12G10930.4 pep chromosome:ALNU02000000:12:13318884:13323520:1 gene:OGLUM12G10930 transcript:OGLUM12G10930.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKAENIESWHPAGGQLEVALLQIMQRHHHESLRQRKQTAHTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM12G10930.5 pep chromosome:ALNU02000000:12:13319838:13323520:1 gene:OGLUM12G10930 transcript:OGLUM12G10930.5 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPPLISQMTFCSTSAHTERAKMDAVRSAARVADLLVATVDGGVQELYINEKRIELEARALLATIAWYRKQTDQWLAATNAINSVLKEIGDFENWMKIMDFDCKSINAAIRNIHQS >OGLUM12G10940.1 pep chromosome:ALNU02000000:12:13322056:13322454:-1 gene:OGLUM12G10940 transcript:OGLUM12G10940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEQDKDKALPKPKLPKPKPKPKPKPKPEMSTWSASASAPEHDQTAGPYGTVRATTGKGEEKETLTLNLKEKGRGEKEACSPERRWRPEERTMPSPLPSPPGRAARHPCRRVCVFIAPPLLSRNFSTPLE >OGLUM12G10950.1 pep chromosome:ALNU02000000:12:13364330:13365404:-1 gene:OGLUM12G10950 transcript:OGLUM12G10950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDWECRFTPRKLASLNKWSSRAGLSPMELQSCAGEVTDEVTAIAWGGRIRYLHLAFGGSSCWRYVVGLREGNKNVGAIVRGFHDGVATGVFSLVDSREAAEAPTASHGDGLESQCGGSHAAVGSITLSLGQKHIVLINDSFAPNEGGYESEEMSRRNGL >OGLUM12G10960.1 pep chromosome:ALNU02000000:12:13373594:13374516:-1 gene:OGLUM12G10960 transcript:OGLUM12G10960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSAPRRPSHALLPPSAAGGDGFVAPKRRQIHSPAGSSSILPSTAITSPPPSSPLPSPTSVGREEAPDLLLAVSCPAPLLPRAAIAPFPELPPSPYRRCRPAASHVIKEVDPASLVVAVARSGLPRRRSGPRRRGGGHAPPPLPRPSPLADAVVEATTAGGGEVTTATGDGGEGREERREGLEERWRDREGREAERPRDKGGTEEVL >OGLUM12G10970.1 pep chromosome:ALNU02000000:12:13374254:13374553:1 gene:OGLUM12G10970 transcript:OGLUM12G10970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALGSNGAGQETARSRSGASSLPTDVGDGKGEDGGGEVMAVLGRMEEDPAGEWIWRRLGATNPSPPAALGGSSAWEGRRGADPPMAIHTHPPSSSLTV >OGLUM12G10980.1 pep chromosome:ALNU02000000:12:13375599:13376534:1 gene:OGLUM12G10980 transcript:OGLUM12G10980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRRRHIPAFGEWNQQCEELPITQYFDSAMEHGLVVTAGHYYHATAGELLFKVRSTKNGMENQAARRRDGPSVVVDGGVVVHGSRRPRVVRAVDEDLYKVPSELLRKKAKGVLLLHPI >OGLUM12G10990.1 pep chromosome:ALNU02000000:12:13392050:13392892:1 gene:OGLUM12G10990 transcript:OGLUM12G10990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQSAMYIGTEIPATSAGDRLGRHAGTGSAPMYLALLCITSAPITYFINRLFQKFIPGIDPFVGTSDHGTEVQFLGVNDIGTDTCTTLAADWCQ >OGLUM12G11000.1 pep chromosome:ALNU02000000:12:13394627:13394981:1 gene:OGLUM12G11000 transcript:OGLUM12G11000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVDPVAARGVVGESLAGKDVGVGSTAWRGAGSRQRRRRAVAVEEAYLCGAEVQRLGTNDTDSNTSTTLAAKM >OGLUM12G11010.1 pep chromosome:ALNU02000000:12:13396747:13398044:1 gene:OGLUM12G11010 transcript:OGLUM12G11010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKGRRRHVVPAFGEWNYYYHHQQYDDENHHQAPPEVMRSAPAVAVAAAAADDEWYAYGGGAAAEACSDVWFRYSPPPRRPTPKKARRPEGRVAPEKAAPYDDGGGKGRQQQQAARAARAYHSGGVAVARTPARGGATCRVVKRPVDADLYQVPPPEFVSRRPRRKRAVSSLWMGCLGLNCVA >OGLUM12G11020.1 pep chromosome:ALNU02000000:12:13403468:13416755:-1 gene:OGLUM12G11020 transcript:OGLUM12G11020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSHQNNWEGHRRLPPLPVVAPPTGSSGGEGTAAARRLPPLLRRIRRLLPVAACHLSPPTARCPPPSPLPQIERGWEEGRGTWRRRRSVEEY >OGLUM12G11030.1 pep chromosome:ALNU02000000:12:13417249:13423206:1 gene:OGLUM12G11030 transcript:OGLUM12G11030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTFDGSYGMPSSRRPGRDGRGACGSPAAAAPSPPPDPTGAGEEARSWRTVWRCNASDHKPTRAPSLDNPSSGGCGSSCPEFGDSRSGGLRRQQRQIQAQGEWMASPPSSNRLCALPSAVEADLLYGEHVLLLILLLTGLEAPELTEAFREVAPPHLHLLAVVSGSLRALSWSTPLPSFAKDGKCQLGVALAADEVQAARRSSPFPRPPSRTADIVARLCATLRCAPQSAKQEPLSGRRCTRAPACRTTVVPLARRRREMGSRERDLGARVLPFSPVGASSGVGTIGAVH >OGLUM12G11040.1 pep chromosome:ALNU02000000:12:13441093:13441852:1 gene:OGLUM12G11040 transcript:OGLUM12G11040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARRRPRRVPAFGEWNYYHGGDELPSAAAAGGAPDDQEASSDVWFRYSPAPRKPAPKKARRRAADNRQKPVGGGNKRRPARTSSSDSGAATAASNTPAKLQQAAATAKVAVVRRPPAVDADLYQVPPPDFLPGEPIRRKKAGRSMWMGCLGLSC >OGLUM12G11050.1 pep chromosome:ALNU02000000:12:13449370:13450731:1 gene:OGLUM12G11050 transcript:OGLUM12G11050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKLDFLLELGFRFNPSPEQVVTYYLPCLVAGQQPKDTEGCIHSADVYGADEPRDLAGKYAPVARSSNGDRFFFTGCRRMKGKFSRSAGGGTWVSQSSKDLKNREGIKIGEVKNFRFKKDGKNTDWLMEEYHLCGQESGDVVEPVVCRIYVSPRAAPDSVSHQESAVLQPQEPAPLPVPAAPAPPRQVPVVTQQAPPPPPPLVPVITQDAPPLKRPAPVAAPPCAKKMRGDVSAFPVVRQSCVAAPRCAPRVVAPPPRHPPIQTYPTDPFESAPLDPFEPPPAAASVTGGHHTPQLSVPVPATPEQGLSLAASNSPELDPANIGIDMDELMRYLGNTPLDGVLPSQLFVLPTNDDEDVELAKVLEDGLQGGGEKDGNGNPPLCVTPPPPPPRPASWPVMAPPPPHPPILTCPKDPFEPNDDEDEDENDFASMLRRRVFAPSVAEEVDFGGM >OGLUM12G11060.1 pep chromosome:ALNU02000000:12:13454588:13454788:-1 gene:OGLUM12G11060 transcript:OGLUM12G11060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGIAEYLSAVEATQCQAYVTERIKAVVKIHHSAITTATLIAIASIATTMTEVMTTGTEAEYPMT >OGLUM12G11080.1 pep chromosome:ALNU02000000:12:13466565:13469664:1 gene:OGLUM12G11080 transcript:OGLUM12G11080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKEKELKIRDGTKQQDSSGQDSKAERLGIKFQEKHKSEATYIASRECEHQNVNVEDNILQNGKAEVNENSENIDEEEQTMNDENIWKILI >OGLUM12G11090.1 pep chromosome:ALNU02000000:12:13469793:13470055:1 gene:OGLUM12G11090 transcript:OGLUM12G11090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLPRKKKRKVQNNTKEDGQEQKKNVAPSKRKSQKDNTMGGKSCNDEVQNSSKKMKKN >OGLUM12G11100.1 pep chromosome:ALNU02000000:12:13502788:13504186:1 gene:OGLUM12G11100 transcript:OGLUM12G11100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDLLLKLGFRFNPSQEEVITYYLPRLIAGHPPKDTEGYIHRADVYGANEPRDLAGKYAPVARSPNGDRFFFTGCKRVKGKFSRSAGGGAWVSQSSKDLKNREGIKIGEVKNFRFKKGGNKTDWLMEEYHLCGKEAGGVVEPVVCRIYVSPRAAPDSVVHQESAALPPPQELVPPPQEFAPPPYPAAQAAPQAPAPPRQVPVITQQQAPPQKRPAAPVAEPPCATKKMKGAVSAKPMAPQSSVTASAAPPRCAVAPSQHHPPFQTYPTDPFEPPAPAASVTQPSVPATPEQGPAYVPDPADIGMEMDELMSFLDSTPVDGILPSQLFEYDELAKELEDALQGGGEEDGNDNPPRCATPPTPPPPRPASWPAMAPLPPHPPILTFPKDPGGCDKQSQGGYRVLLKDMGDDQIDQQWLKVYLKDYHHLMKSCKL >OGLUM12G11110.1 pep chromosome:ALNU02000000:12:13504806:13509241:-1 gene:OGLUM12G11110 transcript:OGLUM12G11110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKILRGNNPISFPVPAFVFALSLLVLKRSVGYEPIVGLEFCNPLFVSQSGPKMHRFSLYWRTCYAKV >OGLUM12G11130.1 pep chromosome:ALNU02000000:12:13565029:13572650:1 gene:OGLUM12G11130 transcript:OGLUM12G11130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVKCSPCNGCDLPPSPLYDQAQSSSSGELPCSSRLCKALGSRRVISDQCTDDPPLCGYRYVYGLSGDHYTQGVLGTETFTFGDGYVANNVGFGCSDTISGSHFGGTAGLVGLGRGTLSLVSQLGASRFAYCLAAKPNVESTILFGSLATLDTSAGDVSSTPLVKNPRRYTLYYAVEQMPPLVLHFDGGADMSLNGGNYLTTFPKGLVCIAIMSSSDESIIGNIMQANFRLLHDLDSMTLSFQATDQC >OGLUM12G11140.1 pep chromosome:ALNU02000000:12:13574723:13576585:-1 gene:OGLUM12G11140 transcript:OGLUM12G11140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSINSLFWPLFFLFFLAWQLPRGVFTCTPADRSALSGFSDSLDTGIAAWPGGGGGAVNFSSDCCRWPGVHCRLFGASELRVVRLDLAGRGLAGGLNLQGGSLARLEKLSFLNLSLNSLHGPIPPELLLRMPRLRVLDLSHNSFTGELGDAAASSDPGYSELVHLDVSFNSLSVLRDGVFRRLPRLRNFSAESNLLAGTVPHTLSSCSELEHLNMENNSLHGALDLNFTRLPRLRAVRLAWNLLRGRIPASLSHCRHLRVVNLRRNFLFGPVPSAFRRLQSLAFFNVGNNSITGIAQALQVLQDCRALSVLILTIAAWPGGDGGDTGGVRGFPRLQLLGIASCALRGAVPPWLRVSAHLTVLDLSWNRLTGTVPPWLGGFDALYRIDLSGNALTGDIPLALTRLKSLAAGDDMKASQQKLRLSDYGVRLYNWHVDRGELWYDANIPPSLDLSQNGLAGAIPPEIGDMRQLNILNLSCNALSGPIPATLASLASLQALDLSHNELAGEIPASLTGLTFLSCFDVSYNRLRGVIPNVSQFSTFPCSSFVGNPGLHGEYCDGDALGRVGTGANGWWSYDTVGEIFGLPFLLGFADGLVVTVLFAYVMSPYQRTLQGKVGVARN >OGLUM12G11150.1 pep chromosome:ALNU02000000:12:13584537:13587618:-1 gene:OGLUM12G11150 transcript:OGLUM12G11150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPDAALPLPSPSPSRRFSSPVRARASCAAGPPLLPLPSLLPPRSLLRPRARAHASCAAGPPLMRSLPIPASHCLPHPHASATPRACSPREDDRRVPQQLLPPPPLPSALPLHYLMPEGSTPIVLTTHRRLPSHAHTRRGGGGGGAGAVPVAKLEDAATLIDSVETFIFDCDGREADRRSARDARHARSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAYVAYLQSIDFPKDKKVYVIGEDGILKELELAGFQYLGGPSDGDKKIELKPGFYMEHDKDVTTIPTSTRHLFHMYRMVVGDSVSTASSVCCATPVDLQSAKRRPMCTIFLYTLILDMAKSGVEFEAINLSSKSMNLHQLDSSDIVLSLQKARGLFGRFSILRKLRCSKIPSTWMVPRGSLASPCMLPLSLYLPISFKQEVPQQRVGII >OGLUM12G11160.1 pep chromosome:ALNU02000000:12:13589991:13593474:1 gene:OGLUM12G11160 transcript:OGLUM12G11160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPALTTSPASPYIRSLQQIRHSHRRSLFLSNMKMPLLLLIAIVVVSLSRGNGEQTDLTRETFPAGFVFGTASSAYQVEGNALQYGRGPCIWDTFLMQPGVTPDNSTANVTVDEYHRYMDDVDNMVRVGFDAYRFSISWSRIFPSGLGKINKDGVDYYHRLIDYMLANNIIPYVVLYHYDLPQVLHDQYKGWLHPRIVRDFVRFADFCFKTYGHKVKNWFTINEPRMMANHGYGDGFFPPGRCTGCQPGGNSATEPYIAAHNLLLSHAAAAIQKGKIGILLDFVWYEPLTDKEEDHAAAHRAREFTLGWYLHPITYGHYPETMQNAVKERLPNFTREQSEMIKGSADYIAINHYTTYYVSHHVNKTSISYLNDWDVKISYERNGVPIGKQAYSNWLYVVPWGIYKAVMHVKEKYKDPIIIIGENGIDQPGNETLPGALYDFFRIQYFDQYLHELKRAIKDGARVTGYFAWSLLDNFEWRLGFTSKFGIVYVDRSTFTRYPKDSTRWFRKMIKSEV >OGLUM12G11160.2 pep chromosome:ALNU02000000:12:13589535:13590098:1 gene:OGLUM12G11160 transcript:OGLUM12G11160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAHPMAEYKGNIREIKVVWGFRDKEYIQRRRSYEWVEGGPVEDWWKIAGHGQQLDLNPVFPNLKAIISLSCPLVQTVFNPSPD >OGLUM12G11170.1 pep chromosome:ALNU02000000:12:13594257:13597122:1 gene:OGLUM12G11170 transcript:OGLUM12G11170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplast RNA binding [Source:Projected from Arabidopsis thaliana (AT1G09340) TAIR;Acc:AT1G09340] MAATASLKSSLLLPSPISDFSSAALSISTQARRRSWQPRGARMQVAAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKVLHLKGDRQDFDFVKTSLAAKGFDVVYDINGREAVEVAPILDALPNLEQYIYCSSAGVYLKSDLLPHFETDAVDPKSRHKGKLETESLLETRDVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPGAGNQITQLGHVKDLATAFVLALGNPKASKQVFNISGAKYVTFDGLARACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKATLELGWKPEYDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLVSV >OGLUM12G11180.1 pep chromosome:ALNU02000000:12:13601346:13605076:1 gene:OGLUM12G11180 transcript:OGLUM12G11180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLKPPNPKTNLSTRPTTNRNRSPVSPLRSRPVQVAPPASTKRSSPTSRPLRPRVATPPSPSFRRRRRRALAATPTDLDPPTPRANRRRIRPRIRGIRAGSAMILEQFDEPAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKLLMYKKPHDPNVSIPVDAIMGALRHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >OGLUM12G11180.2 pep chromosome:ALNU02000000:12:13601346:13605076:1 gene:OGLUM12G11180 transcript:OGLUM12G11180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLKPPNPKTNLSTRPTTNRNRSPVSPLRSRPVQVAPPASTKRSSPTSRPLRPRVATPPSPSFRRRRRRALAATPTDLDPPTPRANRRRIRPRIRGIRAGSAMILEQFDEPAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKDPNVSIPVDAIMGALRHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >OGLUM12G11180.3 pep chromosome:ALNU02000000:12:13601346:13605076:1 gene:OGLUM12G11180 transcript:OGLUM12G11180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVLKPPNPKTNLSTRPTTNRNRSPVSPLRSRPVQVAPPASTKRSSPTSRPLRPRVATPPSPSFRRRRRRALAATPTDLDPPTPRANRRRIRPRIRGIRAGSAMILEQFDEPAAEKADAAMVVAPPSNFGMVDTGVYRSGFPDPASFGFLRGLGLRSVVYLCPEPYMETNAEFLKAEGIRLFQFGIEGNKHRTGCLVGCFRKLQNWCLSSVFEEYHRYAAGKSRLSDLKFIESFDVNCMTDCLLRLIYHYHGCLQKSKRLAYSER >OGLUM12G11190.1 pep chromosome:ALNU02000000:12:13605792:13607739:1 gene:OGLUM12G11190 transcript:OGLUM12G11190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I subunit l [Source:Projected from Arabidopsis thaliana (AT4G12800) TAIR;Acc:AT4G12800] MATAYAPMASQLMKSSLVCSKPRGLSGASLTRRPRFTVKAIQSEKPINGDPFIGSLETPVTSSPLVAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVAILSVCLTMYGVASFGEGEPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVLWAYFLLYVLDLPYFFK >OGLUM12G11200.1 pep chromosome:ALNU02000000:12:13610920:13611162:-1 gene:OGLUM12G11200 transcript:OGLUM12G11200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCLRLRTVATRRRRPGAASARSSTLQGGDAGRGQWTMRRRRQLGVACCDEAAAKRRCRVGAVTAGNGVRRGRLVGTAH >OGLUM12G11210.1 pep chromosome:ALNU02000000:12:13611427:13611906:-1 gene:OGLUM12G11210 transcript:OGLUM12G11210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLPPPQWRAAASPRRCWFDASLLDWLSCDCERGGTGNDAASSASRWENNGEVEVEGAVALEDEEDEVGGRTEVSVVVEEDTIGEAELRSGVMRRCEGREQIKVWCMAGARRLTIASTSLPPALGQGGLPGPIPSHRRAPSGYRDACTREREERKRE >OGLUM12G11220.1 pep chromosome:ALNU02000000:12:13632212:13632499:1 gene:OGLUM12G11220 transcript:OGLUM12G11220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATAVAPKSSRREGGRRQPAATVSLTVVDLLCGGRARAPLPPSPMMSPMPRMGRRRRWQRQRRPMGLKTTVATAVAPKPSRREGGQWRRNGGGF >OGLUM12G11230.1 pep chromosome:ALNU02000000:12:13632524:13634782:1 gene:OGLUM12G11230 transcript:OGLUM12G11230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVAPSLPATRSRRQQPAVREVDGGTTVVASEPSRLGETLAKRRYLGSALRRVTVFRAVIVAAPVQHWARLLPRTPYNIDRGSSPIISVTTIQTPGNCLPKPGGESAADHRASAT >OGLUM12G11240.1 pep chromosome:ALNU02000000:12:13650062:13651991:1 gene:OGLUM12G11240 transcript:OGLUM12G11240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIVVKLDLHDNKDKQKAMKAVSSVVGIDAISMDMASRKMTVIGTVDPVDVVSKLRKASWAAYIESVGPAKEPEKKEEKKEEAKKEAGGGGGDGKKEGGDGKKEEGGDGKKEGEGKKEGDGGGDKKEGEGKKEGDGGGDKKDGDGDGKKEGDAKAAKKEEGGGGDGGGKKPAAVAPMPMPMPLHHLPPQYFNMEYMNQYHRPPPPPPAYPYVPPPQYYYVRNMSMEENPNSCAIC >OGLUM12G11250.1 pep chromosome:ALNU02000000:12:13682454:13683180:-1 gene:OGLUM12G11250 transcript:OGLUM12G11250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSTKATSAKVAFYVCSSLCDFVDFDHPSQILFFKVDFVIVFLGDGHDDDLRGDGEPALFLHSKNLKESHGQARFGGQELTATRSSGLEFAANKSNLSMPLGGGGEADEDMVQY >OGLUM12G11260.1 pep chromosome:ALNU02000000:12:13684443:13686540:1 gene:OGLUM12G11260 transcript:OGLUM12G11260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQNKEDEASAANIDPKLPISESDEARAHAANVATDKLMSVSANLAQLLPTGTSLSASFTDQGECFRANRWLSLGLVVFLSATCVFFAFTESPELAKRGLGYVDFVHAFFSAVVFLSVAFSDVGLQKCFFPNAGKNDKELLKNLPLGMAVLSSFVFMIFPTNRRGIGSHCSSSEHIDDSSKSGKKIDGSSSNSAGCH >OGLUM12G11270.1 pep chromosome:ALNU02000000:12:13737503:13739463:1 gene:OGLUM12G11270 transcript:OGLUM12G11270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEAFSQSIESRWIDEELELDVVDLLYSGHGAGAFLFLTRGENIRVFRQPIFPLGDMQTTVLYFERRGDDDDDDDGRPVLDRYLVESRGKLLMVVRLGDREPGRLPTTTFRVFEREDELFNNYWTKLPDHGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVYKDAAQRRYPCSDNGRWSGAPPPAEVERCFPEQGPSNYSPPIL >OGLUM12G11280.1 pep chromosome:ALNU02000000:12:13747562:13748887:1 gene:OGLUM12G11280 transcript:OGLUM12G11280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFPPPGPPHPAPMADGGEPPPPWADLPIHIVRGIASRLLCKIDRRFGEGVCRSWREAFLQVGPPPPPLPLLVVANAGEHAFHCVPSNWRTHPIPIPMPRFAHHRYFGSYDGGWLFLSISQSSRHGLHNINKNVNSKRFRFSLPDQRLYQIRPTTAEADKFIVIVAATLSCQPTEPGCVAAGIIDLHSFPDHPRYIAFWKIGDKSIPAMNQEPEVVEDLLYSGHGAGAFLFLTNGEHIREFPQPIFPPPGTAKRVRNELYFKPRGDDGGGGRPVLARYLVESRDELLMVVRLGTRKSCTARPRRGRSQSPPPPPPTPTSAFQVFQREDQKVKSINDIDGVVRVEHSWIKLADLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFYDRTTVFKNDDKRKYHRSDIGKWSGSPPQVRHCLPEQGPRKPPLRFGFSPK >OGLUM12G11290.1 pep chromosome:ALNU02000000:12:13788467:13789928:-1 gene:OGLUM12G11290 transcript:OGLUM12G11290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVEALKHIPHHLAYQKVNETGIFTDIFINEVWDNSDAPPPPPPPPFHRAHSRSNRAPRAPADDIGGQTRAAGQVPAPASNFSQGSSSKTISRYLGVFGNMALRAQHALARNAYPNLGDLLAFFTLLPKLALPAPPVATAHASIVFEQDGPTLGLLPSIDCRYSTKASIPVSPIAVDVTPLPLAPLTSQPAIKQVFLAQTIYLLQLEPLLSFLPSPPSICTMPPTLPITEYPRFDVFGMSQSMATSPHVEGAYSLIRRPLAERVASYDWKAKEVHDAQKELDDALNKPNDPRIDQLLPLVGMLPNLNITVYVRRNARIRDTYNGKWINPVARANDRVAAQNKTGSCSNNGSKSRPKKKLKKLEEIVEMPILATPQPTPKKKIKELANFCGLDEKSWFLKLRRNLVGRRSKLTQMQEQCPLNRFLPIGPVDSSFGCFQLS >OGLUM12G11300.1 pep chromosome:ALNU02000000:12:13826025:13828349:1 gene:OGLUM12G11300 transcript:OGLUM12G11300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGESPPWAELVPDIAVDQAANHVLLNLITHQQLNLPNLLRFHSFMLPALTFDFEVAFVAATLSSQPTDQGCVGAGIISFNRVPHDPRHIAFWCMGDEAFSWSIQMTRNIGGALELDVVDLLYSSHGAGAFLFLTRREDIHVFRQPIFPQGDVMQSTPLYFERRGDDDDDDGRPVLDRHLVESRGKLLMVVRLGDREPARLPTTTFRVFEREDELFNNYWTKLPDLGGRMLFVGRGCSRSYEAADGYPGMEGVYFLDDRSFHDPMVVHMNAADRQYPCSDNGRWSGAQPPAEVERCFPEQGRSNYSPPPKNVFLLTIY >OGLUM12G11310.1 pep chromosome:ALNU02000000:12:13835974:13836966:1 gene:OGLUM12G11310 transcript:OGLUM12G11310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYECLGKIGEGAAGVVHVARDRTTGETVAVKRLHGGIGCGEEEWLREARCLQACRGHPHLVELRAAHREMRRGGGGGGGACCYVVMEYVDGPSLSRVVREERRGRPFPEAEARRLMRQLLDGVAAMHAAGVMHRDLKPDNVVVGPRGDLKICDFGMSRVTAAGAPPYTSPVVTLWYRAPELILGSQEYDSLVDTWSLGCIMAELLAGAPLFPGRSEMDQLNRVFDTVGMQDMKSWPGFARLPRAESALCSRARPPSRLREMFPKLSAAGFDVLSGLLACRPDRRLTAADALRCAWFTEADTPPDATPVTCGSARFTPCVSGVADAIVV >OGLUM12G11330.1 pep chromosome:ALNU02000000:12:13840359:13841345:1 gene:OGLUM12G11330 transcript:OGLUM12G11330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITREPSLCAATAAASGNDAAAIAVVRSARFRKERARASDATRAAERKKRRALHQAARTLAPAHKQAATPIPATTRRPSAVVATSMPTAPSRTTAPSLATILVPATTSAPKPATASSPTKVPTLSVNPILSIIGVPSPTTTSSLATTTTPTAVLFPRSTLSSASTPSFTTTSSPVTAPKSSTKYTVATEIVLSPVFPPSCQTTSLCTGGMPISANRNVAFKKEGSSICAATRAAERKKRIVLQRTFVLPNQATTPNPATMPAVVNRADVISLPNTPSPDVMPTIAATGQPNTANWPTTQSNEESRNLGEFALELTRSNFEFYKGKSW >OGLUM12G11340.1 pep chromosome:ALNU02000000:12:13873756:13874466:1 gene:OGLUM12G11340 transcript:OGLUM12G11340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVGPTPNQVLMPIPAVTSPPSLLVETSTHVAPSRTAAPNLAIILVPATTSAPRKATASSPTKVPILPVVPILTIMDTPSPNTTSSLATGPSSAAALAARSTLSSGGRPIVVRATTFKKDRSRAGAATRAAECKKKKTMLQTSVVSIQAIAPGPTAKVIPATTSKRNPVVAPSMPVAFSRVDEMSPPNTPSFDLVLTLAATDQPKTTNGSTAQRNKVSKSTENLNLELTLGTLG >OGLUM12G11350.1 pep chromosome:ALNU02000000:12:13878821:13879667:1 gene:OGLUM12G11350 transcript:OGLUM12G11350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIDKFGASTAAALGDDVTATAVVHATRFREERARSSGATRAAEHKKRRPLHQTAMAAGPAPNQVAMPIPAATNPCPVLEISTPAAPCQIAAPSLAIILVPAATSATRPAAASSPTKVLILAVVPF >OGLUM12G11360.1 pep chromosome:ALNU02000000:12:13893733:13895244:1 gene:OGLUM12G11360 transcript:OGLUM12G11360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHPPHLGVMTPPRSSLPRDDSAAALLAPCLLPLPPTEMSSPPPPHSTAACPRRRCSPSRRWIRRPTPYAHRPPAIRCRLASIAVSIGCRPLPRRRLLSLLDQGRQPPSGVIHCHGRPPPNRAGQHPISNACEVNHVQRMLVEAWGLITNQTYFRGSNLLPEFSSLVMEPVQVQNSSTMEVGKHTYAECIVEAGNVIEGPMMIMVFVTSNIDLMVPAFNTTGD >OGLUM12G11370.1 pep chromosome:ALNU02000000:12:13898441:13905975:1 gene:OGLUM12G11370 transcript:OGLUM12G11370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVAVLVAGTVWLCASSAGFMGGPYGGYRVQDVDVNKLWTTAGSNGWRASSAPRSYWPPPPAETNGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWRDKSGFPGIYDVPHFLKTLKHDVHIVTSLPGIMSKGKTKKLKAHKIVPPRDAPLSWYTTLALEEMKKHGAIYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKISNEIVNKLRSEGHFMSIHLRFEMDMLAFAGCIDIFTPEEQKILIEYREKNFAKKILVYKDRRIIGKCPLTPEEVGLILHAIGFDNSTRIYLASGEIFGGDRFMSPFRAMFPRLDNHSSVGPEKLEENTRGLAGSAVDYMVCLLSDIFMPTYDGPSNFANNLMGHRLYYGFQTTITPNRKALAPIFMDREEGHVTGFEDRVRQVMFNTHFGGPHKRIHPESFYTNSWPECFCHMNPMNPSDKCPSDDPHDVLGGQLQNEEIEDVDLKTTDKIESITQIEETMI >OGLUM12G11380.1 pep chromosome:ALNU02000000:12:13917686:13918312:1 gene:OGLUM12G11380 transcript:OGLUM12G11380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDGGENASDLFNLHRPVITGVPHDVASALNTLEEILSRGSPTLEAYQREDIRETRVLQEEKVRTTMAEVRYIDGLVDEHMDAVEGTRVRLHAARDIKQQLLEKITAAAADGGEQTVDVASLELELSEAEESEAALLAEFMNQWQSVLAVHKHRGVAKSRFEDEVVALMAIPQLPGHSEDQHLVGDAEERYEDSVLLLDEFLDMQY >OGLUM12G11390.1 pep chromosome:ALNU02000000:12:13948340:13948847:1 gene:OGLUM12G11390 transcript:OGLUM12G11390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAWRPEWRLQWCSGQSGAGVATGRLGDGGGASTDATGGVVAVRKRKGMMAVQREQAAVGHLPHCRWLTGETQAFDETSSSSGFSFCQNQRGGQRVAGRRRPGLAFKGGGKVQHLVWKLIGGGAPVRWGGGLMLPLPVRWFLS >OGLUM12G11400.1 pep chromosome:ALNU02000000:12:13961514:13962728:1 gene:OGLUM12G11400 transcript:OGLUM12G11400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSSVVISRFLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFDREVAAMEACRGHPYIVQLRAHGRCDDGEAVLVMEFVGPTLRHVLRRERRGRTRRSELEVRVAMRQLLMHDAGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTAEPPPYSNPIGTRWYGAPEILLGGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >OGLUM12G11410.1 pep chromosome:ALNU02000000:12:13974736:14015910:-1 gene:OGLUM12G11410 transcript:OGLUM12G11410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta galactosidase 9 [Source:Projected from Arabidopsis thaliana (AT2G32810) TAIR;Acc:AT2G32810] MAASRGPPLLGFRALALALLLAILLLLGCSAPAAYAGAEGVLRQVVWRRGDDGGGGNFFEPFNVTYDHRAVLIGGKRRMLVSAGLHYPRATSEMWPSLIAKCKEGGADVIETYVFWNGHEPAKGQYYFEERFDLVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPFKAEMQTFVTKIVTLMKEEKLYSWQGGPIILQQIENEYGNIQGNYGQAGKRYMQWAAQMAIGLDTGIPWVMCRQTDAPEEIIDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGGALPHRSAEDSAFAVARFYQRGGSLQNYYMYFGGTNFARTAGGPLQITSYDYDAPIDEYGILRQPKWGHLKDLHTAIKLCEPALIAVDGSPQYIKLGSMQEAHVYSTGEVHTNGSMAGNAQICSAFLANIDEHKYASVWIFGKSYSLPPWSVSILPDCENVAFNTARIGAQTSVFTVESGSPSRSSRHKPSILSLTSGGPYLSSTWWTSKETIGTWGGNNFAVQGILEHLNVTKDISDYLWYTTRVNISDADIAFWSSKGVLPSLTIDKIRDVARVFLNGKLAGSQVGHWVSLKQPIQLVEGLNELTLLSEIVGLQNYGAFLEKDGAGFRGQVTLTGLSDGDVDLTNSLWTYQVGLKGEFSMIYAPEKQGCAGWSRMQDSTMFSTPKGTDPVAIDLGSMGKGQAWVNGHLIGRYWSLVAPESGCSSSCYYPGAYNERKYHIPREWLKESDNLLVLFEETGGDPSLISLEAHYAKTVCSRISENYYPPLSAWSHLSSGRASVNAATPELRLQCDDGHVISEITFASYGTPSGGCLNFSKGNCHASSTLDLVTEACVGNTKCAISVSNDVFGDPCRGVLKDLAVEAKCSPPSTTKEPRGEM >OGLUM12G11420.1 pep chromosome:ALNU02000000:12:14028324:14031974:1 gene:OGLUM12G11420 transcript:OGLUM12G11420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRDTVGDALNTGRCSFCSTDEIAPKSETLARSPPTPQPSPSPAMATHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAVVLEEETGAREEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFSLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTNRMEP >OGLUM12G11420.2 pep chromosome:ALNU02000000:12:14028324:14031974:1 gene:OGLUM12G11420 transcript:OGLUM12G11420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRDTVGDALNTGRCSFCSTDEIAPKSETLARSPPTPQPSPSPAMATHHHHRPPPPPSPRRLLRPVSVPAPPHPRRRPRPPLASLQPPPPPLPPLPGKRSSDPVEANRESAAAAAVVLEEETGAREEEEGYVASVGAGAYPAAGLPAHLRAGVGDPVFFLLAFVAVATSAAFTSMVAVAIPTMLAMRRAANSFSLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTNRMEP >OGLUM12G11420.3 pep chromosome:ALNU02000000:12:14029987:14031974:1 gene:OGLUM12G11420 transcript:OGLUM12G11420.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAANSFSLLADAALEELPSTMAAVRLSGMEISDLTLELSDLSQEIADGVNKSAKVAQAVETGLGQMRDLAMQQATSMIEERANLQTIPNSAKKSNGSSTRQRRQEKGKDHSTNRMEP >OGLUM12G11430.1 pep chromosome:ALNU02000000:12:14031549:14045088:-1 gene:OGLUM12G11430 transcript:OGLUM12G11430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPPPFGGPPAAVAASQPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQPMPGGMLPNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNFSRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQAANAIPSTPLSQVRDQVTSTCINILQSYRKHCASVSSSGQLILPEALKLLPLYTLALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNSENTLDFGIYLLENGEDGFVYVGNAVNPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRPCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLEPQKKLISDDDTPKGMEQVLSKALIEMALGDFVADFPGFRNILKHRFMPR >OGLUM12G11430.2 pep chromosome:ALNU02000000:12:14031549:14045088:-1 gene:OGLUM12G11430 transcript:OGLUM12G11430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRPPPPFAPQNPTPAPNPAASSLPASFSNLHVSRAPPPFAPPGGNGPVPSSIRAPQAPPPGARPFPGSPPPPSQPPPPFARPAAPVQQQPPPFGGPPGVMPSQPLQQQQQQQRPAFGGPPSGAPPAQAQRTPFGGPPSAMSQGPPPFGGPPAAVAASQPPPFGGPPVAAAQPPPFGRPPSAAAAGQSAPLGGALFAAAQPPPFGGPPGAAPQPAPTGGLRTPYGGPPAPSQQVPFGGAPQWPGTQPPPFGAQAAPSSQPPPFMGVPGNAPPFRPPGWQGQARPGAMSAGMQPMPGGMLPNALGQGMPSTPSMPYSPHAGAQVSTPSKIDPNQIPRPMPETSVVIFETRQGGQAAVPPAASSEFIVKDTGNCNPRLMRCTLNQIPCTGDILTTSAMPLALMVQPFALPHPSEEPIQLVDFGGMGPIRCSRCKAYINPFMRFIDQGKHFVCNLCGFSNDTPREYLCNLGPDGRRRDADDRPELCRGTVEFIASKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRDQQQPLMLIVPDIQDVYTPLQTDLILPISECRENLEQLLESIPNMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSVGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLTTQSYVDISSISVVPSTTGGRVYHYYPFSALSDPAKLFNDLRWNFSRPQGFEAVMRVRCSQGLQVQDYSGNFCRRVPTDIDLPAIDSDKTIMVTFKHDDKLQENSECAFQCALLYTTVYGQRRIRVINLSLSCTNVLSNLFRYADLETQFTYVVKQALIKSVGLRTDGRLDDRSYWVSTVSSISVLLAIPLVFPRMIALHDLASRSDDDSLIPNPLTLNSENTLDFGIYLLENGEDGFVYVGNAVNPATLEQIFGVSSLAGVPNQLVLEQYDNELSRKVNEVVNEIRRQRCSYLRLRPCKHGDPSGDFFRSLLVEDKAPGGLSYVEFLEPQKKLISDDDTPKGMEQVLSKALIEMALGDFVADFPGFRNILKHRFMPR >OGLUM12G11440.1 pep chromosome:ALNU02000000:12:14129021:14130565:1 gene:OGLUM12G11440 transcript:OGLUM12G11440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMNVEMWGAVWSALASLVFLWPMLQNHVPAGLRHWLTAMADKLASHLSPYLHITISEYGDHRFRRSDFFLAVEAYLSHACARRARRLKADLGRDARSVQVSVDDHQEVTDSFRGATLWWYPSSKSNKSSVISFYPGEDERRLYRLVFHRRHRDLVLDGYLPHVLAEGRAVTVRNRQRRLFTNNASTSWNPYRRGKGVWSHVPFEHPASFDTLAMDPGDKDAIVDDLVAFRDGKDYYARVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLYIETTGKSIIVIEDIDCSIDLTGKRKKSSGDNKASDGGGEGSDDKPKLPTEADKDDGGSKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCGFEAFKVLASNYLGVEQHELLGDIRRLLEEADMSPADVAENLMPMSERKKRDPDACLAGLVEALNMAKEEAQANKAAKEKEEMKAKEQATTNGEDEGKDKRTSEDNKANGDI >OGLUM12G11450.1 pep chromosome:ALNU02000000:12:14160599:14165841:-1 gene:OGLUM12G11450 transcript:OGLUM12G11450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESRGSIAFFATYRPPVPLDIFSCPVPPSSAHDELRLSDGDSYNYNGRPIPPAALKTLLRRPSVASKLGRLSADVDVDVDAGRVSGLVFVSERKDGLETLHVALRFAGDDKPKVLALADIFGDADFCGVRMEDVGGVGGGYKKGSRTVDHSLVYVSTKEPVKDRRRPWTVVYKTNLKTGNTERLTPADAFDLSPAVSPSGKRVAVASFQGKPWDGEVEDLQTDIYLMNADKPPLGRSPQPVIRNGGWPTWGSDDVLFFHRRVDTGRVIPLPSSKRHERYWSVFRYTISTGEIARVTPEGLDAVTPAAISETKVAVATIRQKSELTDERRSPAQHRHIEIFDITGESVQITLKTRPLADHFNPFVLDGGSRMGYHRCTSELLQEGEGSIPRHFHKLQSPHEDVGLFRVSGVFPTISKDGSMLAFVDNEFKAVWVADNQGLRIIHKCTGPNSVFSPMWNQKKDTLYVCMGPSFSAKDPLEIHAFFDVSQRTGRRHRHRRLTEGGFNNAFPSSSPDGSRFVFRSTRDHMDDDPKKRSKNLYIMEDGELGEGGGRGVTRLTFGGRVTDTHCQWSPRGDWVVFASNRGKPDGLPDADPDDKPDGLGYFAVYLVSVSKPDVVVRVIRSAGDTIAGHVNHPVFSPDMRSIAVTSDLAAVSADPISLPLFVHSVRPYGDIFVVDIDPDDIEKNKDVDAFRRVTHSRYENSTPAWTMFATDDPHAQWNVLVTNRDIISYKPACPYAYPGGGESWHMTGHLCLGQHKRCC >OGLUM12G11460.1 pep chromosome:ALNU02000000:12:14186894:14190708:1 gene:OGLUM12G11460 transcript:OGLUM12G11460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFNDDELTAPSNSGSTTTRPTAVEVSEAGKVKLAVYKNDEAPLEENTQQALLELTGADSTVNRPGLDLVAVLDVSGSMKEHGKLEKMKTALLFVVKKLSDVDRLSIVTFSDGPDKVCPLRFVTESSMDGFKVLVDGLSAHGWTNIRGGLEMGIQVVAGRRLSAGRVAAMMLMSDGVQNRGGDAREVNLGSLPVYTFGFGADHDPTVLNAIATKSVGGVFNFVKDQVSLSKNFSQPLAGLLTVVVQELQLTVTPIPGNSTIVKVDPGSYPQIQNIAAGSFTITFGNLYGREVRKVLVDLVLPAVKEDGIDCDILEAKYTYISSQGKPFDSPSEIYSIQRTGDADPDAQNPEVQSEVARRQHAGYIKEARTMADANDLRNAMYKLAEAQNKLEDIVLDKAKPMVDMLRTELQQLRRLMESPELYKKEGRPYALSAETSHDRQRMASRGDVEDVRLFATPRMDKYLAQAKLFDEDPAAPIPSADEDAKEELAANPLAPLAGPLAFYIKAAIQALQSLEKIISVAATPR >OGLUM12G11470.1 pep chromosome:ALNU02000000:12:14192055:14192591:-1 gene:OGLUM12G11470 transcript:OGLUM12G11470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQETTSRPVGGEPVEERPRGGELDEREVELDEPIADGESVVEAELGCVSVHSRRGLAMVGNQGLGKGPEAKRWQWRSDELFAGALLRAAVVGLEEDGEEVGGLEEKEGEPVVGEAVEEAAPGGGAGGGGVVGLPAPPATALPASRTAHSRPAWPLRPPPCRRLRAPSSHSALAVLD >OGLUM12G11480.1 pep chromosome:ALNU02000000:12:14194076:14195044:-1 gene:OGLUM12G11480 transcript:OGLUM12G11480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTSSVVISRFVKLDELASGGCGVVYRARDRRSGEIVAMKCIRSYRDDCGELVDRSDFGREVAAMEACRGHPCIVQLRAHGRCDDGEAVLVMEFVGPTLRHVLRRERRGRTRRSELEVRVAMRQVLSGAKRMHDAGLMHRDLKPDNVLVDARGNLKICDLGLSQSTASPPPYSNPIGTRWYCAPEILLGSTDYDERVDAWSLGCIMAELLARKPLFRGSSDREQLGEIVDVLGMNDIKRWRGYKGQRLLGGCGPDSFLRGFFPSPADARMLRRPPLSEAGFEVLSGLLTCNPEKRMTVAQALRHRWFKEADSASLRHRR >OGLUM12G11490.1 pep chromosome:ALNU02000000:12:14310384:14310941:1 gene:OGLUM12G11490 transcript:OGLUM12G11490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPRRRSNGAWEINLVRRSPATARTDRCSRLLLLWSGFVGVVVVLYLFVGHVWASVATAVLLAAAGWFTWYYFGAAPAPPVLPDHQQPAAPVEARGLSQEDIEAIPAFEYRRGSSGGAVAQCAVCIAAVKDGDTVRRLPACGHAFHAPCVDGWLRDHATCPMCRADVVKVAGETTPATEEEPPV >OGLUM12G11500.1 pep chromosome:ALNU02000000:12:14347165:14347803:-1 gene:OGLUM12G11500 transcript:OGLUM12G11500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVARRGVVVLRLRHGEPPLSAAARSGGRCSRLLLLWTFAVGFAAGLFMFASHVLAAVAAAVLFAAMCLYTCLCLNNAAPEEEEEQQQQPPVLLLPGQALRVAVMPPSVGRLQQQAVNGGGGGGGLRQEDVEAAIPAFEYSKGSGGAAEAEQCAVCIGVVRRGETVRRLPACGHAFHAACIDGWLRAHATCPVCRADVKVAGGGGGGAPV >OGLUM12G11510.1 pep chromosome:ALNU02000000:12:14350517:14357466:-1 gene:OGLUM12G11510 transcript:OGLUM12G11510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQSVVGEITKLPSRSLLVSLPLPTASASASADPHPSRERASERAGRRRSSCCFRRIHPVLMESEAGSAPNGCTSTVKGSEERHSLERRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAVQNRNHAKEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLTVGASKSQFFERKTNKIANASKSNSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRGQFSKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHIPFIAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQRCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPPHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASISTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTRNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGKLQSEIPKQLPEMSSQNKISSIWDRQ >OGLUM12G11510.2 pep chromosome:ALNU02000000:12:14350517:14356183:-1 gene:OGLUM12G11510 transcript:OGLUM12G11510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAGSAPNGCTSTVKGSEERHSLERRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAVQNRNHAKEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLTVGASKSQFFERKTNKIANASKSNSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRDLGKENLHRKVPKVKQLVNEVPQKPNNLKDHIPFIAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQRCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPPHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASISTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGKLQSEIPKQLPEMSSQNKISSIWDRQ >OGLUM12G11510.3 pep chromosome:ALNU02000000:12:14350517:14356183:-1 gene:OGLUM12G11510 transcript:OGLUM12G11510.3 gene_biotype:protein_coding transcript_biotype:protein_coding MESEAGSAPNGCTSTVKGSEERHSLERRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAVQNRNHAKEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVSDTHVNATSSEDKSSEEVEDVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLTVGASKSQFFERKTNKIANASKSNSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRGQFSKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHIPFIAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQRCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPPHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASISTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGKLQSEIPKQLPEMSSQNKISSIWDRQ >OGLUM12G11510.4 pep chromosome:ALNU02000000:12:14350517:14357466:-1 gene:OGLUM12G11510 transcript:OGLUM12G11510.4 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQSVVGEITKLPSRSLLVSLPLPTASASASADPHPSRERASERAGRRRSSCCFRRIHPVLMESEAGSAPNGCTSTVKGSEERHSLERRHDDGSCISEIKLISNMVVKKKRGRRAPPSSRRLSGNKVISGEDAVQNRNHAKEDQAGNSSDVALSPSSRKTEGQDQSTNPKDLFEKACHQATEMVTESTTGCKKSFWEEKGSDNRRGRQATLCVKQDGLDIETTGKDVSASEAYEKSSTLEDTSVGHAAAKSVNPEDNSLDPMDNVKVCDICGDVGEEERLAVCTRCNDGAEHIYCMRVMMEEVPEGEWLCEECENELEYEKKKKLEKSQLTVGASKSQFFERKTNKIANASKSNSYEDETSKALEGKISKPDTALKNRSSFENEVENENGDKKELNSTNQCNNSNSKRKEEGAGIISSIKQSITERCGLSMGAESRKRLPLSRESSFRLDVEKGKQAATKVPTSLAFDAAKNLGPPLRGQFSKSTSFNNSKVPKVKQLVNEVPQKPNNLKDHIPFIAKKEGPVGILAKSPFFKKPKSCESANKAKSSILPPTEESKVVNPPVSHNVTSDRDTSILGCPSVTASMTTQVSSKEESKAQHLTTGYSEVNKQLVAKAPGSTTVSSAEKSSGILGSGAQRKVIQNTDPAHRDDKVKDPTSLRPGGSSINRSMRCQRCNEAGHSTQFCSVDKLSLSAVKPMSERNMKDSSAKRNKTFEATNMIAAEKAASRPADQSEHIVKCGPPHNPMCRPKDLLSTSFGHVKKPSQLYGQTNEQDMRNTSSNKASTDGSKLKPNECQTVSVKTGRLVDGSLTMPDALMDKSSTVPELDFIWQGGFELRRTGRSPELCDGFQAHLSCSASPKVLEVAKKFPSKVQLEELPRQNSWPTQFQENGPSYENIGLFFFARDTDSYENYYSKLVENMLKNDLALRGNIETAELLIFPSNILSKNFQRWNMFYFLWGVFRVRKKDQMNIPPDVPFSTCEPNLNTDRMDVDESISVLTSGPSFSEGQNNGAKSDHDLVKSVSCVDYQCPQSTETNYQRCSNGETESNQPVSRNESEDHHQVPITASISTNNSTDLATEQQKLSCSGDEDTKDSSNSIACEAIIDVNTVPVTCSVSSVYEIGKGIRVINLNEADNLVDVDINSSEVNSGTVDLISHTTATPHKRNVEVANWADEVNGKLEQKKIKLDNVGSANSSLSENTSDGRLSSKVHPLVSSSFDDSVDQSLAGSSKCNGKRIFPLDLNTVDAGNVVNISDDEEMPERDAPDFELELTDNNSPRKTMFSFLSPKVEENRSKEHSLPTDSPGSLSLSLAFPASREHAGKLQSEIPKQLPEMSSQNKISSIWDRQ >OGLUM12G11510.5 pep chromosome:ALNU02000000:12:14356183:14357444:-1 gene:OGLUM12G11510 transcript:OGLUM12G11510.5 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVHPVLVRTVTVLQS >OGLUM12G11520.1 pep chromosome:ALNU02000000:12:14362683:14369581:-1 gene:OGLUM12G11520 transcript:OGLUM12G11520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKDINISYFEILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSMCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >OGLUM12G11530.1 pep chromosome:ALNU02000000:12:14372091:14382597:-1 gene:OGLUM12G11530 transcript:OGLUM12G11530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase family protein with ARM repeat domain [Source:Projected from Arabidopsis thaliana (AT1G50240) TAIR;Acc:AT1G50240] MGIEDYHVIVLVGEGSFGKVYMGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDSFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALHYLHSNRIIHRDMKPQNILIGKGSVVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPENMSAHFKSFLKGLLNKSPQSRLTWPALLEHPFVKDDSVEPAADNGTVPYEVKGSEATWKADEIQTSRKQPPVTDPQSRNTVENREIVYDKQNNKKVEGPKHAAEDHHGSATGPECSALDKLEKTSQTVKGANAIIEDSEALLTALSPIKIWLSNTSTSPRELNIDDANQSLRIVKNLIEAGSYQSFAAIDDIICMFLECTSVIIKTKISDAYSFAVKILVSTIDPSGRISRESTACLALMLSRVISGLKTSISSESPKPMEESLIKIINHARSSQLLELLCECLIASGSDIVSGSTNMVPAACEACKAIWYLAHAVDIMSLGTHNFSFPLANSWRQVHAKLDGKMQDQGSLPASQKKDSLSDIDSTHLINIFVKSFLASKPMQVAVYHCLHNGLESAIHASLQLISRACLLDASFCAMICGPINPSSNVNEVEHGGDGTIVSDMFSLLSMCGSYLNKESKQNSNQKCRLSNPHALVVHCCLALATIAACLKSEGNSSASIILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLISLENGGQSRSSLCETALALFPRMATIHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGCVGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKEPSDEKEGSIHRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHVKLLTDLLSDTHLKALAAWTGLGGGKKGVRELINSVVDILAFPFVAVQSSPNMPSTSASINSGFLLNIASPGGRIGTENKEMLKTIEKNMAQYIQVLLEVSVPGCILHCFDHVNMEDLSRPLAIVAKMVGYRPLASQLLREGLLNHSRVEKLLKGPIAKETLLDFLMIVSDLARMSKDFYEPIDKAGMVGYLKNFLSNEDPDLRAKACSAIGNMCRHSSYFYSSLATNKVIELVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTKLLLAPEEDKTKGNAAGALSNLVRNSNILCEDIVSQGAIQALLKMVSSYSTVALSPSRKDVLTESPLKIVLFALRKMCDHAACRLFLRSSELLPVIVHLRQSPDPAISEYASAIATKACQP >OGLUM12G11540.1 pep chromosome:ALNU02000000:12:14391348:14394860:1 gene:OGLUM12G11540 transcript:OGLUM12G11540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSTSKLTHSVYTCIHGCTNPVCKPGASNEFGPISTFLAFLPRNHKIPSSAMLLPSIYMCVFLHSSLHNSELYILSRVSCPVVSLAYSAKQAEEERWQTATTSHQPLPWKNRPMLKTSMEELHPRSL >OGLUM12G11550.1 pep chromosome:ALNU02000000:12:14463961:14464654:-1 gene:OGLUM12G11550 transcript:OGLUM12G11550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVHLVFVPLMAQGHLIPAVDTALLLATHGALCTIVATPATAARVRTHRRLGPAVRLEAVLEEKSGELAFPRMRQVFMLNNTHAIVRRAVRSNLAMFLPSGWAHNEEAASIDNDKVCRSTF >OGLUM12G11560.1 pep chromosome:ALNU02000000:12:14478150:14478494:1 gene:OGLUM12G11560 transcript:OGLUM12G11560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHRRGRSHPFFVGADLNPPPSSALVKRTEGSEGTGHRSSGDARTQAVTPGPIVASPPVLGAASRPRLATPALPSPARHRSGRTLRLHGRAMPLTAPAAGDAAPRRADLAPPRL >OGLUM12G11570.1 pep chromosome:ALNU02000000:12:14479847:14485265:1 gene:OGLUM12G11570 transcript:OGLUM12G11570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTAPTLGLTMPNVVDPPQISFAAKDMDLTEWEGDILAVLVIETDVSKATSSSSRFTNAAAALAKLDGELGGLLSEASAEEEFAGRAGQSVALRLPAAPGLHGFKRVCLVGVGNNMPSSAAACRSTGETIAAVAKSAQARSAAVALASPPPGWVQGENLRLNAAAAVASGVVLGLHEDRRYKSDSKKVHLKQVDLIGFGSGQEMGRKLQYANHVSSAVIFAKELVNSPANVLTPAVLAEEASNIASSYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHLCYKPPGGNVKRKLAIKFVCSGGYNIKIGAVTTIELMKKDMGGSAALFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKIIDLATLTGYCRIALGPRIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQFVDEKVKWMHVDMAGPVWNYKKQEATGFGVSTLVEWVLINSS >OGLUM12G11570.2 pep chromosome:ALNU02000000:12:14479847:14485265:1 gene:OGLUM12G11570 transcript:OGLUM12G11570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHTAPTLGLTMPNVVDPPQISFAAKDMDLTEWEGDILAVLVIETDVSKATSSSSRFTNAAAALAKLDGELGGLLSEASAEEEFAGRAGQSVALRLPAAPGLHGFKRVCLVGVGNNMPSSAAACRSTGETIAAVAKSAQARSAAVALASPPPGWVQGENLRLNAAAAVASGVVLGLHEDRRYKSDSKKVHLKQVDLIGFGSGQEMGRKLQYANHVSSAVIFAKELVNSPANVLTPAVLAEEASNIASSYSDVLTATILDEEKCRELKMGSYLAVAAASANPPHFIHFGGYNIKIGAVTTIELMKKDMGGSAALFGAAKALGQIKPPGVEVHFISAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACKLGVDKIIDLATLTGYCRIALGPRIAGILTPSDELDKEVAAAYEASGEKFWRLPLEESYWEQMKSSVADMLNTGSPLGGAITAGLFLKQFVDEKVKWMHVDMAGPVWNYKKQEATGFGVSTLVEWVLINSS >OGLUM12G11580.1 pep chromosome:ALNU02000000:12:14491170:14494486:-1 gene:OGLUM12G11580 transcript:OGLUM12G11580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRDHLALKSIAAGELHNFYRGLLQRADPPAASLPWLTTSAIGPYFGKKMDLELIYGKKWQCSKEAALDSSTVSTTYPLDLRLMKEDTHNIWLAPVVGYVFEVIRWEYNLIYSVMVQ >OGLUM12G11580.2 pep chromosome:ALNU02000000:12:14491170:14494362:-1 gene:OGLUM12G11580 transcript:OGLUM12G11580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEVISAIGPYFGKKMDLELIYGKKWQCSKEAALDSSTVSTTYPLDLRLMKEDTHNIWLAPVVGYVFEVIRWEYNLIYSVMVQ >OGLUM12G11580.3 pep chromosome:ALNU02000000:12:14491170:14494570:-1 gene:OGLUM12G11580 transcript:OGLUM12G11580.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPPLFSSAHASGWSSIRKMDLELIYGKKWQCSKEAALDSSTVSTTYPLDLRLMKEDTHNIWLAPVVGYVFEVIRWEYNLIYSVMVQ >OGLUM12G11580.4 pep chromosome:ALNU02000000:12:14494010:14494486:-1 gene:OGLUM12G11580 transcript:OGLUM12G11580.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGRDHLALKSIAAGELHNFYRGLLQRADPPAASLPWLTTSAIGPYFGKKMDLELIYGKKWQCSKEAALDSSTVSTTYPLDLR >OGLUM12G11590.1 pep chromosome:ALNU02000000:12:14552321:14553856:-1 gene:OGLUM12G11590 transcript:OGLUM12G11590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICNTVDKVINRFIDLPEQRPTVDPRRVLSGNFAPVDELPPTSCHVIRGSIPSCLAGGVYIRNGPNPQHRLPRRTHHLFDGDGMLHSLLLPSASSTPLSEPVLCSRYVHTYKYLLERETGGPVLPNFFAGFYGVAGLARAAVMIARVLAGQINLNKGFGLANTSITLFADCLYALCESDLPYSMHINPANGEVTTLGRCDFGGDLSFRMTAHPKKDPVTMELFAFRYNVFQPFITYFWFDRAGSKVADVPILSLQKPSVMHDFAITERYAIFPESQLIVNPMDMVMRGSSLVGLDRTMVPRIGVLPRYAKDESDMRWFEVPGFNMLHTTNAWEEADGEEIVLVAPNILSIEHMLGNMELMRARVDMVRINLCTGDVSSTALSPESLEFGVIHQGYVGRKNRYGYFGVIGPLPKIKGIRKLDFDLVGSGDCTVGRRDFGLGCFAGEPFFVPDNIDGYGNEDSGYVVCYTHEEDTGESWFVVMDAKSPELDIVAEVQLPSRIPYGFHGIFNY >OGLUM12G11600.1 pep chromosome:ALNU02000000:12:14561029:14569854:-1 gene:OGLUM12G11600 transcript:OGLUM12G11600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLPSTTVFWTKSRSTNRQLSEPQGDITPTHSLGLFLVLQKLELHQAAATPSDVSSVTGGIIRDLSMMVIPIEHHMVHRGHGIFDFQQAKEVGQTFSM >OGLUM12G11610.1 pep chromosome:ALNU02000000:12:14615752:14615975:-1 gene:OGLUM12G11610 transcript:OGLUM12G11610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEPECAGGKTSWPEEAKKVILKDKPDADIVVLPFGTAVPEDFRFNRVRIFVDTVADTPRVG >OGLUM12G11620.1 pep chromosome:ALNU02000000:12:14621890:14622456:1 gene:OGLUM12G11620 transcript:OGLUM12G11620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADGGGWRRWWLWRWRWRLSTMVPMAAMVEGHHPADGGESLAEPFGRLTTATPFGVVPLLGGVHTPFLSLPYSPGENLASVPNEQWRRTTSHPPWGHRFGETSSCKDIVIDLCIGFELQS >OGLUM12G11630.1 pep chromosome:ALNU02000000:12:14624180:14625001:-1 gene:OGLUM12G11630 transcript:OGLUM12G11630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHCQRRRIFFDYTSLFSGNCVLLWQFSFYAVLFPEDFSASLLRHWRLIYGGLLPWPHGIGNIVACPGVWQTLWDVSSFTVRLHRLFGVIYPNDCRDRVTIIVSSVSSRTLVHDALPCVHDHSMAPHARSAARLPRHQLPDFGYIDHGYSTHGFINHGSLAPFYLLLNDELV >OGLUM12G11640.1 pep chromosome:ALNU02000000:12:14625339:14625915:-1 gene:OGLUM12G11640 transcript:OGLUM12G11640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTARRRRECAAEPEIPSGQHAIRPRVSRHCRPAGLYPERVRVAHRLVDICASLTSASTLLRLHRSAPRIDGLITRSSARLRRHLATDRHRARVYAIKLRVAAASPPWAAVPPPVVHLH >OGLUM12G11650.1 pep chromosome:ALNU02000000:12:14629340:14629950:-1 gene:OGLUM12G11650 transcript:OGLUM12G11650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0BS27] MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASHADDDDN >OGLUM12G11660.1 pep chromosome:ALNU02000000:12:14635700:14636141:-1 gene:OGLUM12G11660 transcript:OGLUM12G11660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCRRRMTSPLSSLVAVLLIVVAVQSRAWALDQLDDMVPMKTAKPVVVAIAAGGGSGVVGTLAAPLCLQCRCCSKANPSNCQLTSCSSTFNCDPAGKCTLVQQRCGC >OGLUM12G11670.1 pep chromosome:ALNU02000000:12:14637514:14637852:-1 gene:OGLUM12G11670 transcript:OGLUM12G11670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREVDSRKRRSHSLIGIGKTDQSSSSLFSFDLAAACVKVGRMVALNLKTDLGDYYDSIFDVEGVKM >OGLUM12G11680.1 pep chromosome:ALNU02000000:12:14638610:14639044:-1 gene:OGLUM12G11680 transcript:OGLUM12G11680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYCRRMTSPLSSFVPVLLLIVVAVQSQAWALDAQVADDMVPMKPAKPVVVAIGGGGVVGTLAAPLCLQCRCCSKTNPSNCELTSCSSTFNCDPAGKCTLVQQRCGC >OGLUM12G11690.1 pep chromosome:ALNU02000000:12:14670527:14670912:-1 gene:OGLUM12G11690 transcript:OGLUM12G11690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFQICAQVWLATSLLADGLAIAGQALLASAFANKDHYKVAVTTARVLQLAIVLGIGLTAFLAAGMWFGASVFTSDAAVISTIHKGVPVRWSS >OGLUM12G11700.1 pep chromosome:ALNU02000000:12:14672572:14674110:1 gene:OGLUM12G11700 transcript:OGLUM12G11700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIFSAIVGDVIGRVISLVVSNFNGDHSTEVKLQRICRMLIKIHSVVEEAKGRQITNHGTLEWLSELIDGAYQGRYLLDTIGCGEPDLDDKNRDEVDPKPFSLSKFNPAKRVRVAAFTVRNILSRHDIGVDEIDRVVESLQSMCGDLKEFMMLLQACQPIHRPLATNIFIEGQMFGRHVEKEMIINFLLHEDDLPRGKLGVLPILGDIGVGKTTLVQHACDDARVRSHFTTILLFNFSHTYKMEMCEPKPVLRPKHVIGDIGNSDDPLHELEQSFFNKRFLIVFEDVDIHKKNMLEELLKSLNCGKQGSKIIVTTSNKHVTTIGTVQPIKLKFLPCPEYWFFFKARAFAGTDVQENPRLVAAGKSIAAKLNGSFFGAKIIGAILKENPDPKFWCTVLQRDIGGLSLLGDGLGYIADLVEILLPSRLSVKEVFVSKNPLSSETELARLQGLCLPCPSSAPLATHSSELSLAKATSYERVLLCKAVLPFYSLYYTAKCAVDSENCYSKFSVV >OGLUM12G11710.1 pep chromosome:ALNU02000000:12:14675603:14679544:1 gene:OGLUM12G11710 transcript:OGLUM12G11710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAAGSNNRQVAPDDNMPLGEAGYASSRDEFFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETPPTPPSPATTMRLADLLRERQESFTCYDGPAAISRTGSSCGAGNGEQWSHCCIPSFVPRTSVSYAKGRRKRR >OGLUM12G11710.2 pep chromosome:ALNU02000000:12:14675734:14679544:1 gene:OGLUM12G11710 transcript:OGLUM12G11710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGRGKSSKAKKGSSTPLQSKEKIAANVETVAAGSNNRQVAPDDNMPLGEAGYASSRDEFFFEACPWLESDCEDEFYSINGDGTPARSFRTNSSNHAIQPEPRKLPTLGAILKAEPLRPPPPPQETPPTPPSPATTMRLADLLRERQESFTCYDGPAAISRTGSSCGAGNGEQWSHCCIPSFVPRTSVSYAKGRRKRR >OGLUM12G11720.1 pep chromosome:ALNU02000000:12:14735445:14737504:1 gene:OGLUM12G11720 transcript:OGLUM12G11720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEKMHEMEQVSSHLKSLKTVYGLLHRDPTDETLDETSRAFMTKMLDDITRQTLLRQAKEIHFRTIWSFHLDIMLSPGLERKLSIQSDHRRTRGDAVPVAVPVVKPIASFSPSLHACERSSRLRTHGAARRRDGRHGQDDRLLARGASPVAVRVGAEGSSTRRLERLDSGLSTSMVSRRGTPRAARGAATPKLSSSTDAAATTRSRIRPKRDLTESSLRRAGEADEDESPRRRRGKGKEKADNDAASVSMGRPSRPPRRALNWINSGSTYSSSSSSYPPEPTSSTSGSTSSWLPPRDKAPSWVPPPPPPPPQRRGNAPSWVPPPPQPRGIAPPEYVFQVSGASRISRHLRRQERLERRVERMRRFKEKLGTVFNHLHHHHFGPSGSNKGAPPLFSRDVHGNGHHRPSPWKVLAGVLHRATRRGEKKTRNVPADRRGGGIGHALLHMWDKRRAMAKQRGDGGVRRALFQMWGKRRAAAKRRGGGVGLALFQMWVKRRATAKRSGGAHTLFHMWGKRRATAGAGMWGTGSRLKAKKLHWWQRVRPRRRSGHGKALR >OGLUM12G11730.1 pep chromosome:ALNU02000000:12:14739682:14743175:-1 gene:OGLUM12G11730 transcript:OGLUM12G11730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BS36] MEEEQSPRLAAGEPERKLEDGVTDADAEDPGCTGNAAMSSLEQPLLKRSNTLTASHLAMVGAKVSHIESLDYEIIENDLFKHDWRRRSNVEVLQYIFLKWAMAFLVGLLTGVIAYWAGFLYFAGVNFGLTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSICAVSSGLDLGKEGPLVHIGACLANLLSQGGSGRHRLRWRWLRYFDNDRDRRDLITCGASSGVCAAFRAPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGLFGEGGLILFDVGDVAVRYHAGDLLPVTIVGVLGGVLGALYNHVLHKVLRVYNLINEKGRAAKLALALAVCALTSAMLYLTPFAVPCTPCDPAFGGACPTLGKSGNFKRFNCPEGHYNDLATLLHATNVDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLFTFGIAVPSGLFLPIILMGSAYGRVTALVLSRFARIDHGLYAVLGAAALMSGSMRMTVSLVVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEAKPEPWMKDLTVGELAAAKPRAVALQVVERVSTVVEALRSTRHNGFPVLDRPRPGVSELHGLVLRSHLVAALRKRWFLPERRRTEEWEAREMFSAAELADKCGGGVDELEISAEEMGMYVDLHPLTNTTPYTVVETMSVAKAVVLFRSVALRHMLIMPKFQGPEISPIVGILTRQDLIAHNILVILFSISLNV >OGLUM12G11740.1 pep chromosome:ALNU02000000:12:14778466:14778756:-1 gene:OGLUM12G11740 transcript:OGLUM12G11740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLAEMLMQLLLVASAVAAFVVGYAMADFQLMLLVYAGGVVLTALVTVPNWPFFNRHPLKWLDAAEADRHPRPQVSAAPSTAGKKKAGKNK >OGLUM12G11750.1 pep chromosome:ALNU02000000:12:14790396:14790900:-1 gene:OGLUM12G11750 transcript:OGLUM12G11750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHWKVICFNMVIHKFMYENIQTIHKTKEATLNHCLDLQFWIAIGFGKSPIHRNNIDLAKTISIWSEIHYKLSKCKSILIPVRHARSFIVVILDHESKTLYI >OGLUM12G11760.1 pep chromosome:ALNU02000000:12:14792667:14793117:1 gene:OGLUM12G11760 transcript:OGLUM12G11760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKLMYENIQTIHKTKEAISNHCLYLQFWIAIGFGKSPVHRDNIDLAKTVGSWSEIHYKHSQCKSYAMLEALSWSYWT >OGLUM12G11770.1 pep chromosome:ALNU02000000:12:14843277:14843929:-1 gene:OGLUM12G11770 transcript:OGLUM12G11770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMAKEGQKMLVKSTDNAHDQVIQSRVRSKKTRPSPMEVGSTVFLMTAKYPNKEGVAYANLLSCNPYAQVGGVELGNQFWKVRINHPIRKNEELVRKING >OGLUM12G11790.1 pep chromosome:ALNU02000000:12:14873151:14875584:1 gene:OGLUM12G11790 transcript:OGLUM12G11790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHREFPSPIKTRGWGLPVAVCLAAPEAPRPPQAIATPEALQPSPAIAVQVRWKPAAAAYLTVQGRGKCSRRHTGDLSSTRAQLLPRPSPHALLDRTAAHAGIDTIAAVPALAAHVGTATVAEHTEAVAGRRLNTTELGEGMGLGPYPFTQGHGCHLTGVKSEGKRCVRFQKEGAKMQTLKSRGKTAVDIQNEGMDAIAP >OGLUM12G11800.1 pep chromosome:ALNU02000000:12:14886968:14890443:-1 gene:OGLUM12G11800 transcript:OGLUM12G11800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEWPYPLLSKEIDARHRAKKISHGNSCSSLVVLIPRTAGWQKRQADNRYRRSMHSRMTPWIEAWSQALNDVTRIRLLAPEDPDERGPPTIDQIYDMRLALPAHLTTDIAGELVRDAKTLWEKLRDGMAGTKEEVMATVDSLRRKGNDGRAGVRSTSFREPQTIPTIPEITEISERLGGFGSTQAQPERVPTHSSTPITTQWQGGFALFAGPTQSVPLHAPTYGTNPWQGQSMDYGGSFRPELMSGFRPYTASYGDMSSFGGGSSSVPNELWTSQTDDAPPVTQPT >OGLUM12G11810.1 pep chromosome:ALNU02000000:12:14905328:14905974:-1 gene:OGLUM12G11810 transcript:OGLUM12G11810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLTIETPADEDDDISYVPSPSDSETDEPAMAFTSSRGVAATALGSMSGGAAAVLGESAGGSVLLRGAWSRWGGLAGVASATGVVDGVSEEKTSGIEQARRVAACARVHLGDAGAEVGEDAIHCGSASKARSRPSAIFGDFACDFACVDEEKHRGKYVISQDP >OGLUM12G11820.1 pep chromosome:ALNU02000000:12:14976762:14977842:1 gene:OGLUM12G11820 transcript:OGLUM12G11820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSFMEFIYGWVVDSNDFPLPIALVEISGRCKTNDHGKKDAIELFELLGTKSGRYLYELDSHLDIHERTYGLVWVLETGGVAERLMMNVVFFMGDGDLVVLVVGKIAFVLSNMLYEDMKAGPDRVLVVYDQTS >OGLUM12G11830.1 pep chromosome:ALNU02000000:12:14992057:14992365:1 gene:OGLUM12G11830 transcript:OGLUM12G11830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVAVAAVNCSSDGGHFLRRNLQRRRHQFLLTFLPQAAMAAITSGGPIHSSFSQAAPLLLISPKRHHPDQGRVTGIVFQLEPGKILVKNFVNVY >OGLUM12G11840.1 pep chromosome:ALNU02000000:12:15001657:15002043:-1 gene:OGLUM12G11840 transcript:OGLUM12G11840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNADNEVVDARPAMKTTKAAKLWSERTAAAPCRCSGKKSTSDEAMLSRAPLSTTTTSGGVDHRQWHCGPRGQRSGNPELDGIDACSITIGCRSRSSRTPERVIKPKMRGADDEVEAESMPMSKTCRC >OGLUM12G11850.1 pep chromosome:ALNU02000000:12:15001907:15002125:1 gene:OGLUM12G11850 transcript:OGLUM12G11850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLVDFLPLHRHGAAAVLSDHSFAAFVVFIAGRASTTSLSALVIDRQPLGFFFVYFENRRRVSKLPLSPL >OGLUM12G11860.1 pep chromosome:ALNU02000000:12:15002379:15002733:-1 gene:OGLUM12G11860 transcript:OGLUM12G11860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAESLRKMMPKSRCSQAVKDETSRQVCQTWGQLGMNTRAGDANIVRAR >OGLUM12G11870.1 pep chromosome:ALNU02000000:12:15062596:15063867:-1 gene:OGLUM12G11870 transcript:OGLUM12G11870.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G18480) TAIR;Acc:AT1G18480] MATAAATAAAADVPSCRDLPAAVSAFADAFVDFAVSGIFFPSTPTPSPPPPPTPTTFLPSPTRLVAIGDLHGDLPKSLSALRLAGLVPPHDPTSWSAGPTLAVQLGDILDRGGDEIRLLYLIRRLAISAAGQGGALLPIMGNHEVMNVSGDFRFATPQGLREFSAWAGWYRAGLAIKRRCARGGDGGDPPPKNPFLGIPKEFPGVKPEFWDGIRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGERGANAVAPEFVRGRDAVVWLRRFSDGVNCDCQRLEGVLGMIPGAKRMIMGHTIQTEGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGTNVRVITTDPAEAWQYRKQGAEKAAIATAVKEKKGEVKEGLALLVRESHGLKEVQAKAA >OGLUM12G11880.1 pep chromosome:ALNU02000000:12:15078087:15080406:-1 gene:OGLUM12G11880 transcript:OGLUM12G11880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQSNDHGAGLLDAQLELYANTLAVVKSMALKTAMDLGIADAIHHHGGAATLPQILTRVTLHPSKIPCLRRLMRVLTLTGVFAVEKPTAADEPPVYALTPVSRLLVSSGNLQQAPIMSLLLHPSCITPFLRIGDWLQRELPGPSIFEHTHGRSLWEVADGDAAFSKVFDDAMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAAQAIARAFPEVKCSVMDLAHVVAKAPGGTGVEYIAGDMFESIPPANAVFLKWIIHDWGDDECVKVLKNAKKAIPSKDAGGKVIIIDVVVGAGPPDQKHIELQALFGAYMMLINGVERDEKEWKKVFIEAGFSGYKIIPVLGFRSIIEVYP >OGLUM12G11890.1 pep chromosome:ALNU02000000:12:15163762:15165413:-1 gene:OGLUM12G11890 transcript:OGLUM12G11890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIQSNGQHATSSLDALSELYGNTFSVIKSMALKAALDLGIADAIHHHGGAATMAQIATRVKLHPSKIPCLRRLMRVLTLSGIFAVQKPAPGDAAAEADEAPMYTLTPVSRLLIGAGNQRHMMSMLLHPCFIAPFFRISDWLQLELPEPCMFKHTHGQSFWEMTNEDAAFNTVVNDGMASDSAFMMDILVREHGEVFQGISSLVDVAGGNGAAARAIAKAFPEVKCSVMDLAHVVADAPRGTGVEFIAGDMFDSIPAANAVFLKWIMHDWSDNDCVKILRNCKKAIPSRDAGGKVIIMDIVVGVGPSDQKNRDVQILFDALIMFVNGVERDEQEWKKLFVEAGFSSYKIMPVMGFRSIIEVYP >OGLUM12G11900.1 pep chromosome:ALNU02000000:12:15198317:15198895:-1 gene:OGLUM12G11900 transcript:OGLUM12G11900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIEEPSGKLFPHFMIPEATAGITSSCIGRESVRVIACLLCDDGVLEKQEIVVQSNFNILRSLASGKNDDDDEEDATLLSLLHQQLVIRELFLDARGLGHVGICCNLK >OGLUM12G11910.1 pep chromosome:ALNU02000000:12:15204584:15208331:-1 gene:OGLUM12G11910 transcript:OGLUM12G11910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQSNERGACLLDAQLDLYVNTLAVIKSVVLKAAMDLGIADAIHHHGGAATLPQIVTRVTSLHPSKIPSLRRLMRVLTLTGVFAVEMTEPVDGAAADELPAAVYALTPASRLLLVGSGNHHQQASLMSMLLHPSFIASFLRISDWLQRELPGPCIFEHTHGRSMWEMADDDAAFNKVVNNGMVSDSRLVMDVVVREHGEVFRGISSLVDVAGGHGTAARALAEAFPEVRCAVLDLPHVVAGAPVGTGPGVEYVAGDMFESVPPANAVFLKWIMHDWVDDECVKILKNCEKAIPSRDAEGKVIVVDMVVGVGPPDQKHLEMQTLFDAYIMLINGVERDEQEWKKVFIEAGFSDYKIMPVLGSRSIIEVYP >OGLUM12G11920.1 pep chromosome:ALNU02000000:12:15301850:15304419:-1 gene:OGLUM12G11920 transcript:OGLUM12G11920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAKSNGYHASDATSSLDALSELYSNTFAVVKSMALKAAMDLGIADAIHHHGGAATLSQIVTRVTLHPSKISCMRRLMRVLTLSGVFAVQKPAPGDAAAPANEAEAPVYALTPRELPGPCIFKHTHGRSLWEMADDDAAFNTVVNDGMASDSIFTMDILVREHGEVFQGISSLVDVGGGNGKAAQAIARAVPEVKCSVMDLAHVVAEAPGGSVTGVEFIAGDMFESVPPANAVFLKWIMHDWGDNDCVKILRNCKKAIPTKDKGGKVIIIDIVVGTGPSDQKHRDVQILYDAYIMFINGAERDEQEWKKLFLEAGFSDYKIMPIMGFRSIIEVYP >OGLUM12G11940.1 pep chromosome:ALNU02000000:12:15334358:15334585:1 gene:OGLUM12G11940 transcript:OGLUM12G11940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVEEEDPERLTMTSADDEGVDVQIAMKTTKAAKLRTERTTQPQLYVDAAARSPRAAALQPEGGDAAATQSLMA >OGLUM12G11950.1 pep chromosome:ALNU02000000:12:15401635:15403246:1 gene:OGLUM12G11950 transcript:OGLUM12G11950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGFLGGRQPELKKKTMEVGFPRSRRPELKKIERQDKAAAKKCQLCRYITLSTTGDGSSGCGLRPETAAAAVPGDGGSGYGCARRRWRQWLCLETGTAASPGGDSCDGGGCARRLRRQWPTPPLPQAARAPCPRLPARDRQAFLWFSDIPY >OGLUM12G11960.1 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQESHCSMVYFKEARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.2 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.3 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIEVCDGNGDMATATSRHLSRLSVSWKRSSIVWTSCGYLSHLGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.4 pep chromosome:ALNU02000000:12:15426538:15434031:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNDQILLIGTSCVKKMKISSVICINEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.5 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQESHCSMVYFKEARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.6 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQESHCSMVYFKEARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.7 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIANSCQPAIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11960.8 pep chromosome:ALNU02000000:12:15426538:15434765:1 gene:OGLUM12G11960 transcript:OGLUM12G11960.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSHAGGGGAGSGEPTNWRKEADERLRRLHSLQFGADVALEGKDPAGAQVLALRLLGFLDSQALPGDGGAAGHEASFVAPIRAAASSSVAAAIRARAGRSDSAVFKLAEKDVGCVFAKTGEVNIEKIKCSKYFQALLQKSKGHAAEQMRTTDCQESTIEEGPHVEENSADMENEKLSIRASKLVMQRKLTSLCSHKPLKTNGVQDGNMFKSVSNISKESVAVENGVRTNHTDNKYTAYMDLEDDDRPRGLLQNAKRKHAGFRSPICERANSPLSNDEADAPANEFVTAKTKLEMDSVQKYGHNGTQGASVSPQCDNNPNNRNYGVRPTWNSRRGLRGNFVPPIRNNGGSTSNMTSRVIGKNDDSMGDSTRKCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDKDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVACCRQPAVIFVDEIDSLLSQEQQTDLKNWMKQHGGDLQNVYIFPFLHQARAWIIRNLLEKDGLFKLTEEETNIVCKLTEGYSGSDMKNLVKDASMGPLREALQQGVGITKLNKEDMRPVILKDFETALQEVRPSVSSSELGTYEEWNRQFGSLAN >OGLUM12G11970.1 pep chromosome:ALNU02000000:12:15437927:15449894:1 gene:OGLUM12G11970 transcript:OGLUM12G11970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGKGGGGGGKGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFIPNTGRGVQGATSHCLGQNFAKMFDITFENDKGSRSMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPLQVIVIPVPYKDVDTTAIVNECKKTVETLEKAGIRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDMANNQKNLFETAKQKRDACLKVVNTWDEFIVALNDKKLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFEQPDLPEGTTCFASGKPAKKWSFWGRSY >OGLUM12G11970.2 pep chromosome:ALNU02000000:12:15447346:15454843:1 gene:OGLUM12G11970 transcript:OGLUM12G11970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTEEILCAKNALAASLESSADHRSLPATNEHSVWLEEIFNRSALCKEFWVGEDLVVDALAVVGQDLLNGLCGLDRDSGLLNNDLVRLGDISNHPSSTLPVGEVSSLACSKTTGLGGGVDRYKDDVCLGDMLLHISAEEEVPASALLHDLIEARLVDRELFAVPGINARLGNVNNHHLDCWAFEGNDGHGWAADIASSNAADLHHLLSLINPRSMHEIMKNLTRSQELKTDLEENTDREEKWEAASCMQREAAYDMHSEGGLSSLYAPSHKPSRSDLPPLRKIYRVKAGQKSGINEQV >OGLUM12G11970.3 pep chromosome:ALNU02000000:12:15449194:15453965:1 gene:OGLUM12G11970 transcript:OGLUM12G11970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGVRSGAHRGVRRGERGSGSRSVSVSARGSATDGGERIEIEVRRRPSCLVGVGVESSGGGEGGEWLQTEEEKCIKRLADGVDDEPNLPELLGIDHIAPIKDECRLLHVVKDLLVVQGLELVPLGEDADTMGPLGGLICIPHHAHLLECGGAGRLQVHWVVPVELAHGEVPLDLVLGDLWVVDADLCLVTQQTVAHINGWCLPGVTGVLLERKAKDGNLLAGDCVEHGGHNAVSSLACSKTTGLGGGVDRYKDDVCLGDMLLHISAEEEVPASALLHDLIEARLVDRELFAVPGINARLGNVNNHHLDCWAFEGNDGHGWAADIASSNAADLHHLLSLVLKL >OGLUM12G11980.1 pep chromosome:ALNU02000000:12:15452496:15453938:-1 gene:OGLUM12G11980 transcript:OGLUM12G11980.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BS68] MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIDAWNSEQLPIYEPGLDEVVKECRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGKKAVQALKEVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYSVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCHGLLGDKAQISIYDPQVTEDQIQRDLAMSKFDWDHPMHLQPTSPTAFKQVSVVWDAYEATKGAHGVCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >OGLUM12G11990.1 pep chromosome:ALNU02000000:12:15475426:15477012:1 gene:OGLUM12G11990 transcript:OGLUM12G11990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLESCSYVLPLLTCCLFLLYSITCYALAAAAVAGGGGSCGGSDDHAAPRSYPLVGCLLDFYRNRRRLLAWYTGLLAASPSQTIVVDRLGARRTVVTANPANVEHVLRANFGNYPKGKAFTDVLGDLLGGGIFNADGERWFAQRKLVSHEFSARVMREAVGVALEREARARLLPALDAAAEGGGGVVVVDVQELLRQFAFNVICRVALGGEVALALPLSRLAAAFDAAAAISARRGAAPVAAAWKLKRALGVGSERRLREEVKVIRDAIMEFVRDSSSRRRREQLLAAARGRDDLVSRMAAAGYPDEAICDMVVSFIMAGRDTTSSALTWFFWLMTRHRDVEREVLDEVDACMGDGGDGGGLAGVDLEGSRRARVLHAALCETMRLYPPVAWDSKHAAEDDVLPDGTRVGRGDRVTYFPYGMGRMEAIWGADAGEFRPGRWLAAAAAGGGVSPFKYPVFQGGPRTCLGKDMAFVQMKFVASAVLRRFELRPVAPEGSPEFVPLLTAHMAGGLKVTVRRRQRQNGTCET >OGLUM12G12000.1 pep chromosome:ALNU02000000:12:15526007:15533258:1 gene:OGLUM12G12000 transcript:OGLUM12G12000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIESSE >OGLUM12G12000.2 pep chromosome:ALNU02000000:12:15526007:15533754:1 gene:OGLUM12G12000 transcript:OGLUM12G12000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIESSEITSYYYVPEKIKP >OGLUM12G12000.3 pep chromosome:ALNU02000000:12:15526008:15533290:1 gene:OGLUM12G12000 transcript:OGLUM12G12000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGGGGGGRKSSSPAGKPAEAARAGSLLAGLPSRGNFVADSIASSMGGLPVYVCLHDTAPPEGQVIDTDTTNILIRSLQLSKQKNEAKDVGSRTPGESSKGKRSASRLLDGKNPSKRANTGSTAGSSAHGELGSVFSEQTLQSFTVEKLRILLKERGLSPKGKKDELIARLIESSE >OGLUM12G12010.1 pep chromosome:ALNU02000000:12:15541096:15544565:1 gene:OGLUM12G12010 transcript:OGLUM12G12010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYYHRERERERESWKWIPFKSRCVGSAALATTSNSKPKKTKREAAAMAMRQGALRGPSDYSQEPTRHPSLRINAKEPFNAEATRRDLVASYITPVDLFLKRNHGPIPILHDIDSYYVTVAGLIERPAKLYLNDIKKLPKYNVTATLQVDFGVLVDWWCCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDVLQLIGVPYHTEVTPSGGKHVEFTSVDQCPEEKGGPYKASIPLGHATNPAADVLVAYEMNGEVLKRDHGYPLRVVVPGVIGARSVKWLDRIDIIEEECQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDTNAIIPGEVTVTGYALSGGGRGIERVDISTDGGKTWFGAVRYQKEGVPYVTGDISSDKWAWVLFKTVIDVKGDTEVIVKAVDSSANVQPESVETIWNLRGILNTCWHRVRLLTIHNLRSLL >OGLUM12G12020.1 pep chromosome:ALNU02000000:12:15553065:15556575:-1 gene:OGLUM12G12020 transcript:OGLUM12G12020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLPPQHLLARSCRCYSTCYGRRYASHHLPTNRALAAAVCLLSSQIMSSHPSPTGAEVGDPFLPSYSDVKRICLPSCPVLFLNLRGSVLSRCCSAGRGGACSSFNLSGTVQFADTKHFNVVHSSYSFSAGGHLFLPFCLLQWIAPLEAPNQIQRNEQAVTCYLWIWEDLDKYVEEMVAYSRTGKYDYLRETVIRDEKKQNTMLSRLLDVEESQLRLRRDEVNQAKTQLAEMKKMFQAEKSQRHCFLFSCNVYVCLSLVVGRN >OGLUM12G12030.1 pep chromosome:ALNU02000000:12:15556913:15558281:1 gene:OGLUM12G12030 transcript:OGLUM12G12030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEHVGVEPNQLTATAKNARAISHYENAGEGGGEGLLPLEPAAGLHKIFLRFDLDSDRSLTKLELDMLLRSLGLGPAAGTRSMPSSPPWSSARSFARSISARPPGTRSMPSSPAWSSARSSAYSAFVWQSTVDFNVLASFLTELIVGPCRPTVTLDQAEVAEALRAFDCDGNGFISATELARSMADTVTPTPTASSSFYLTLGPIIH >OGLUM12G12040.1 pep chromosome:ALNU02000000:12:15560904:15564113:1 gene:OGLUM12G12040 transcript:OGLUM12G12040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILEALQQTSIPVTGSSSIAVSPENFIKCLKKFYHHWKEDGSNLWGSSTAIAIATPPPSDDIRYKKSLALSMWFFNRELPETIMVFTEKQIHVLCKQKGCDALKPLKMPVSKAVSIDIVLHNLAKGDNGSSLMDEILHAVCSHFESKSAVIGHLAREKPEGKILEVWSEKLNGSRLRLSDVSSGISDLLSVKDATEIMYVKKAAYLTASVMKKYVVPKLEKIIADEMKVQHSKLTDLTEKILLSPTKIDVKLKAENVDICYPPIFQSGSKYDLRPAAASNNDNLYYDSGSLIVCAMGAKYSNYCSNVARTFLIDCAGEKCNAYKVLCQAHDAAIAALVPGSKASDSYQAAVSLVRDKAPDLLPFFTKSAGTGIGIEFRETWLSLNEKNDRILKEGMVFNVSLGFQNLPEKTGDYKNKEFSLLLADSVLVCKEKPHVLTAFVSKADGDVFYSFDEEKTGSPSVKPSLNAKVMVPKKPVPKAELMLPLKENLRSRSRTPKEDLRKQLQAEILQKRTAEIAMNSNASNQKLLEGQGLRAMREPVAYKSTRDIPCSNRLEIQVDKQNEAILLPIYGVIVPFHVCAVKKAEIRGDSNRGVYVCITFNVPGTASNLQDPCLKTNANRIFLKAVTFISKDRKHADEVIQLMRIIQRGVTERAKRASLVSQERLQLCDRMTRDRIQLMDLWIRPTFAGRGRKSPGILVLHVNGFQYSASKSEKIEIMFCNVKHAFFQPAEKEMITLLHFHLYNDIMVGNKKTRDVQFYIEVMDTVDSVGLRRRTAWDPDEIEEEQRERARRSGINRQFELFVKRVNSIWSQPKFEQLGLQFETPSQKLGFNGVHGRTTCFIVPTPSCLVQLVESPFLVTSLREVDIVCLERVALGQKSFDMVFVFQDLKRDVIRIEVIPMTSIDGIKDWLNDCNLKYYESKLNLSWRKVLKEVMNNPESDENNRWEFLNPDASDSDSESSQTEDDQYEPSDADSCSESDDEDSDSESVVDSGEDDGAMDGSEDDGGDAAESWDEMERKARDADMEMGSESDSEDERRRRREKALAKSRRPSHPQAKGAAHKRQRIN >OGLUM12G12050.1 pep chromosome:ALNU02000000:12:15578677:15579992:1 gene:OGLUM12G12050 transcript:OGLUM12G12050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAGQPPPPQACQAAALPCLPPATCYLRYKSRTSLRPSAFFATGHCFREPLPDRVSRVAPPRAHPLPIPAIPGLPGRPFRALPPTPRRSSAAAFRASPSGSGERPHRGLSSIPTLDMAYLMITVFSSTIQHSSKDVGVPPEYRIRRAVNTSKEKVSPGDSDIDTESSTNLQNSSVIRGYPTKCSVQLDM >OGLUM12G12060.1 pep chromosome:ALNU02000000:12:15579801:15582076:-1 gene:OGLUM12G12060 transcript:OGLUM12G12060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCCSVGPTCGSGTHLSVLQLRLLRFSYPDFGERGGDRSRASAAAAEVGGRGDRPVAMNCETCQLKELELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >OGLUM12G12060.2 pep chromosome:ALNU02000000:12:15579801:15582076:-1 gene:OGLUM12G12060 transcript:OGLUM12G12060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCCSVGPTCGSGTHLSVLQLRLLRFSYPDFGERGGDRSRASAAAAEELEQDEIKDVLRCILHTIFFHRTLTLVRPKDVDCDLFEITYVQCGLPDLEKEVDEKINQFIAWVEKHPNRRSQVCLSFFDEKNKNPSWFTSKTERIYWEQWFINLHVISPKGHGKSRSSKASTSIRGKALEEASSKRAALGLLIQEVLFQIINFANEKKDHIPPISDRIFNHEISIPSSSDSVFGWNADVLRRVLNS >OGLUM12G12070.1 pep chromosome:ALNU02000000:12:15582110:15592198:1 gene:OGLUM12G12070 transcript:OGLUM12G12070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional dihydrofolate reductase-thymidylate synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BS80] MSTNVNNGNSESNLKRSYQVVVAATRDMGIGKDGTLPWKLPGDLKFFKDITVTTSDPSKKNAVVMGRKTWESIPLKFRPLPGRLNVILTRSGSFDFATAENVVICGSLDSALQLLATTPYCLTVEKTFIIGGGEILRQSLNAPACEAIHLTDIESSIECDTFIPPIDLSMFHPWYSSFPVVENGIKHSFISFVRVTKSIAEANDSSGKELTGNDSKKVKFEIENFSFLPKMIFERHEEYQYLNLVQDIIRNGAKKNDRTGTGTVSKFGCQMRFNLRRNFPLLTTKRVFWRGVLEELLWFISGSTNAKVLQEKGIHIWDGNASRQYLDSIGLTQREEGDLGPVYGFQWRHFGAEYTDMHADYVGKGFDQLMDVIDKIKNNPDDRRIILSAWNPTDLKKMALPPCHMFAQFYVEDGELSCQMYQRSADMGLGVPFNIASYSLLTCMIAQVCDLSPGDFVHVIGDAHVYRTHVEALEEQMRKQPKPFPILKINPVKKDIDSFVTSDFKLVRYDPHQKIEMKMAV >OGLUM12G12080.1 pep chromosome:ALNU02000000:12:15634623:15634976:-1 gene:OGLUM12G12080 transcript:OGLUM12G12080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRRETSLDAAPPRSPRCDGDAADGREKKRAAQRNSLLVEWAVISSGTSFSLADHTRAKSTVKLYWYLMISRSTSSRMGNMLLGQLYISQGYILFPDEMIIDADYIYDHAKQMKCL >OGLUM12G12090.1 pep chromosome:ALNU02000000:12:15683386:15683613:1 gene:OGLUM12G12090 transcript:OGLUM12G12090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRPATGRALYPTPRHPLRPPQRHSNPTTRHPAASQEEEEARKPAVSAVAITALASSSTATTTRCRASDVGRRG >OGLUM12G12100.1 pep chromosome:ALNU02000000:12:15690934:15691212:-1 gene:OGLUM12G12100 transcript:OGLUM12G12100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSGTAWRRSVEDTGRLGDNAEEVGRGWRGTLMRWRQGRRGMAWRRSMAADTSSGMAPGLVDDGAGLLDGGRQHEGSGTAADNCGARTCI >OGLUM12G12110.1 pep chromosome:ALNU02000000:12:15698049:15702943:1 gene:OGLUM12G12110 transcript:OGLUM12G12110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSHAIKNTVGHHDREHAEIQPSTGTLVCSPHAMSEVILHLSPPQKKAIEVSGFGNLLNINKIHIYRDLCNEIARSYDKEKKAFNINGQTTITLEALREAIINSSIYDDHFIQRFILFSIGSFICPTTQRYVRSEFLNLVDEVDKMRELNWSSLTLNQLLKGILKFREKETNIEGNVCLLQIWYWEKVQIDKLDATIYHSGRQRPLIQYWDKIKDKKRLSYLFGKGKVVDDIRGTIHCKEIPNEKAHDNDSETCTDEDFVCTSEEDVEQEQIDPREHVSNHNEESYINQNENMTCETKDNSNQINQSRKRLTGPIDRTYKPTNRIDFIYETRGKKKDIIRTQAQTKKTIVYIEKEDLTQQIIDKGPPKNALRGQKKTKTNGQTPLKNSEGA >OGLUM12G12120.1 pep chromosome:ALNU02000000:12:15720986:15722179:1 gene:OGLUM12G12120 transcript:OGLUM12G12120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BS85] MGSLCGTMIIILAMLPAILTMADPYCDCDCPQQCEVKLHYYLHQFRAGADHPNRNEEFVTSGGPSGLGAGLIHDWSLTTGLDPNVNIVGRAQGWHIVASQSSPANWYLSQNIVFQDSKYAGSTLQVMGIIEGSEEKVGEWSIVGGTGEFTNARGNIKYRAIKKEDVEWIRELDIQVFYTPNTPSDVQVAKNITKGN >OGLUM12G12130.1 pep chromosome:ALNU02000000:12:15740468:15743726:1 gene:OGLUM12G12130 transcript:OGLUM12G12130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPSASALLLQLLLLFSPSTAQPGFISLDCGGDDDYTDDIGIQWTSDANFVSDGQKAKLLLQNQLLQQQYTTVRSFPPDNKPYCYTLNVTIMRRYLVRATFLYGNFDDSNFYPKFDLFLGPTLWTTVIIDDATTPVVQEAIILATAPTLSVCLSDESIGQRFISTLELRQFSDSMYYNTDEKHFFLRLSARINFGAESNASVRYPDDPFDRIWESDVVRRTNYLVDVAPGTERI >OGLUM12G12140.1 pep chromosome:ALNU02000000:12:15794548:15797544:1 gene:OGLUM12G12140 transcript:OGLUM12G12140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKGEERWPVDLGEVEDEGLMSATTSQPLRHIACEFVSSAYLLTPAPRRPRRWLAAGPLQELPAMSKRQAILKTIWSPLTAVFRTKNCAATSSNLVVVNGGGEIIAGGGREDAIVTRKSRSSLEDLLKIESSSNPGTMAADEPLPDHMASPKNSSMESELQVVLAGFPENGHGGVIAGRPPASLDGGGAAVVVRAQTVRVKVNRLVVLVPSALRARSRAAKMVDAAALPAKRGSYWRIAGRGRGGDKSELFYQRPIPLGRRCRVQHLEELSLHRLRARVGLDPLRRGLQGIAETEPNASAVVG >OGLUM12G12150.1 pep chromosome:ALNU02000000:12:15882481:15883248:-1 gene:OGLUM12G12150 transcript:OGLUM12G12150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEVEAGTEGGGDEEAVEEVGKRVESTAAMGRHWAEEEPTAEAGVWVESEVGRRGGFGWS >OGLUM12G12160.1 pep chromosome:ALNU02000000:12:15887821:15889230:1 gene:OGLUM12G12160 transcript:OGLUM12G12160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMAMSTLLLLAAASLLSLQLLHPPPVASAAAVRARPKVGGYSAKSRPWVTKLVAGFLKKQLRNRGNKQQQQLGGEAASGAAPPLVINITVGTPVAQTVSGLVDITSYFVWAQCAPCAAAAAGCLPPPATAFRPNGSATFSPLPCSSDMCLPVLRETCGRAGAAANATAGARCDSYSLTYGGSAANTSGYLATDTFTFGATAVPGVVFGCSDASYGDFAGASGVIGIGRGNLSLISQLQFGKFSYQLLAPEATDDGSADSVIRFGDDAVPKTKRGRSTPLLSSTLFPDFYYVNLTGVRVDGNRLDAIPAGTFDLRANGTGGVILSSTTPVTYLEEAAYGVVRAAVASRIDLPAVNGSAALELDLCYNASSMAKVKVPKLTLVFDGGAEMDLSAANYFYIDNDTGLECLTMLPSQGGSVLGTLLQTGTNMIYDVDAGRLTFETAAAAAAAMSQMTMMLVPLVASLLLF >OGLUM12G12170.1 pep chromosome:ALNU02000000:12:15901444:15909398:1 gene:OGLUM12G12170 transcript:OGLUM12G12170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRDTRGALRHAGSGKELGFWGKPGRGKRAGRLGGQMGAEQRSASPCMNSFGSNSESNTLPE >OGLUM12G12180.1 pep chromosome:ALNU02000000:12:15941541:15942407:-1 gene:OGLUM12G12180 transcript:OGLUM12G12180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFAHKLGRGGFGTVYKGSLPDGREIAVKMLKDTKGDGEEFINEVAGISKTSHINVVNLLGFSLQGSKRALIYEYMPNGSLDRYSFGDSSVQGDNTLSWDRLFNIIVGIARGLEYLHCHCNIRIVHFDIKPQNILLDQDFCPKISDFGLSKLCHLKESRISINGLRGTPGYIAPEVFSRQYGSASSKSDVYSYGMVVLEMAGAKKNINVSTGSSSKYFPQWLYDNLDQFCCPTGEISSQTTALVRKMVVVGLWCIQLVPTDRPSMREVLEMLESNGRDLPLPPKGL >OGLUM12G12190.1 pep chromosome:ALNU02000000:12:15996236:16000244:1 gene:OGLUM12G12190 transcript:OGLUM12G12190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTQSAKDGCRSRAKASADRARCCFAGSPEEMTGAGLEEENSTAAWLAWLWLLLLPLKLSVHRQRCHWMALLLWVFSCSLFSLWILSFMRSQATEARHGTLAVMCDERARILQDQVKVSMNHLQALAILVSTFHHSKSPSAIDQTTFARYVERTAFERPLTSGLAYAARVTHSERELFERQQAWSIRAMNFSSKRPRAEEYAPVIFAQDAYKHVVSVDMLSGAEDRGNLLRARESGKVVLTAPFQLLNKRIGVVLTYAVYKSELPLNATVHDRIQSSIGYLGGVFDIEGHVDKLLEKLAGKEPMTVNIYDTTGESMIRMYGSSNESASGMCHVSTLDFGDPLRKHEMHCRFTQGPPWPWLAVASSYGTLVISLLVGYIFHFTDKWIAKVEDGYKATDMQMPAKDEFAATERISDMERDLKEDALFFDTIKSPSPLEISRLLNHRDPAENVDQEEEQELNLPLEAQDKLQETERKLGRMSKFITKVMKLTSASIRCLPSRFHCFNKKVWSISLLGFLLFILVIGAFDQPYNQPLGMGGEGDNNMMLKNFGFSRGRLLIDTLHGTWTKRGVQSSDTIRVDLRKLKH >OGLUM12G12200.1 pep chromosome:ALNU02000000:12:16008084:16011224:1 gene:OGLUM12G12200 transcript:OGLUM12G12200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTAATAAVVVAVAVVAAMAMPAAGQGAPSGSPAPPYKNHTVVGADGWFFNATSNTTSGNYSDWAAGETFYLGDYLIFKTDDSSSVVQTSNATAYSLCDAEGPETLIYSPGHGDAASASPRAATIAVPLTVEGANYFFSEAGDGAQCEEGMRFEIKILC >OGLUM12G12210.1 pep chromosome:ALNU02000000:12:16021658:16021930:1 gene:OGLUM12G12210 transcript:OGLUM12G12210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASPVKVAVVAAVCVVLVVLSSSPAPAAAQMICSKCDQYCNSSCAGGMGGCSGACTDPASSSCTSCKQAYYYKCMNYCGSYCRSNCVNS >OGLUM12G12220.1 pep chromosome:ALNU02000000:12:16047298:16047840:1 gene:OGLUM12G12220 transcript:OGLUM12G12220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPDHSFPLDATAFAQVAPNSWALDLSTLSLAAAPRSAVVFLLPAAAAALPPGKAVAVYFQPAANRPFAFLGALGPGRPSASLPLPEAGDEPEPPLGPAKLGVAVEDAAALPPPPDGQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPSYLKTFDF >OGLUM12G12230.1 pep chromosome:ALNU02000000:12:16050443:16056541:-1 gene:OGLUM12G12230 transcript:OGLUM12G12230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDRKKKTRRGAY >OGLUM12G12230.2 pep chromosome:ALNU02000000:12:16051419:16056541:-1 gene:OGLUM12G12230 transcript:OGLUM12G12230.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMVLAGYGMDKAVRSSVSFETPCGRLLRELEQIWTEIGEREEDKDRMFLELETECMRVYRRKVDSANAERSQLRQSLMAKEAELKVLVASIGEITPKFKVDEKQSLKEQLAKVTPLLEDLRSKKEERIKQFSLVQSQIEKIKAQISDHNNQHDNGPVNHSKDNHDLSTRRLSDLQAELRNLQKEKSDRLQKVFIYVDEVHCLCSVLGMDFAKTVKDVHPSLHGANSENSTNISDSTLEGLTETILKLKAEKRTRVSKLQEIVGKLHKLWNLMESTEQERRHFTRVAAVLGSTEEEITSSSVLSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDICSNAHMEPDMSTAPEKITALIDSGLVDPCELLSSIETQIAKAREESLTRKDIMEKVDRWLSACDEETWLEEYNQDSSRYSAGRGAHINLKRAEKARILVQKIPSMIDNLIAKTFAWEDERSVPFLYDGARLVAILEEQKLRRVQKEEDKRRHRDQKKLQSLLLKEKELIFGSKPSPKKTSSFNRRTSSHHPNGNGAGFMTPAPRRVSAGSATPELLTPRSYSGRYNNYFKENRRLAAAPLNFSTVSKEDSMSSFASISGSEPDSPLVLH >OGLUM12G12240.1 pep chromosome:ALNU02000000:12:16056726:16070643:1 gene:OGLUM12G12240 transcript:OGLUM12G12240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAGAAASNPLSYAFLAATLGSRGLEREREGGDQRDGQREISDGVPWLVVILFDNSYFLTAAALQAGGREVGRRAGGAEAASAGGGTCARRDAGGLGGSVPAVSSSAWAAAACTHQAAGSRPTLSARCLAPAPPTPQHSPPTTAGGTQPSVTSVGLPALLHFRPGRRLPNSPLSPFLLRHVPRAAPQHADSCSGATGELALMEDAIQEMESGGLTPKEGTTWTPAPRNKAASTSSLTGESFAASI >OGLUM12G12250.1 pep chromosome:ALNU02000000:12:16063573:16067161:-1 gene:OGLUM12G12250 transcript:OGLUM12G12250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRGFSAVPRRLRAADVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERIRVNSTLFTGSNTKSRTGRKHEVSGPLKNSGGLVEQPLLHSPHLFGNLAGVPTPRCRTAARTTMDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFADRLLPSLPRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEYNIGSLNIAFTYLEELLVQFREISKIGDLLNMDVCMEILDILYETEDSSWLFNSPCQLAASALVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMKGKGERDFNI >OGLUM12G12250.2 pep chromosome:ALNU02000000:12:16063573:16067161:-1 gene:OGLUM12G12250 transcript:OGLUM12G12250.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLGEFGDMMVSMLPKNLAFTVFVPSPESFRRVLKLQRPNDSATNGNGADDDATYAVVSRVLGFSAVPRRLRAADVAPPRGFSAVPRRLRAADVAPPRHRQQMVAVAPVLESVSGLRISAWRRDVDGALVVNGVPSECVDIVKERDIIVHVMAGVLMDAEFERIRVNSTLFTGSNTKSRTGRKHEVSGPLKNSGGLVEQPLLHSPHLFGNLAGVPTPRCRTAARTTMDEDRAVEAAASAWPGPSRRRRLIEFLLHASTRLDLRPVVKYTALSFFADRLLPSLPRKMGFCGARGGRAVTSWLLEPLRDSNLELFALVAVWIASKIHELKPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVTAYAISVPKQRWEFPILPWVTFTTSYDEEEIMKVVLTILMHVLKPDEMKGKGERDFNI >OGLUM12G12260.1 pep chromosome:ALNU02000000:12:16070334:16070897:-1 gene:OGLUM12G12260 transcript:OGLUM12G12260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNPLPPAAATTTYRRRSKPNPMRKATKPPSPSPLPKRQARGDLPPPHTGPLTCTGHHSPLNPGPVPEGAPQLHGGEREAPPCAQIEAAKLSPVSEEVEAALLRGAGVHVVPSFGVKPPDSISWIASSMRASSPVAPEQESACCGAALGTWRRRKGDRGELGRRRPGRKCCRREWIRKRRMRKRRG >OGLUM12G12270.1 pep chromosome:ALNU02000000:12:16070673:16074586:1 gene:OGLUM12G12270 transcript:OGLUM12G12270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRRLLARPHHLQGPHRGLLHQISHPLLPLILSLFICKKASNGVAESRAAGGAVLPEQVGGGAPPAVEPLRQEEAPQRVEVPPRRPHLHLEQRPHRPVVRQPRLAPVVPLLLQPGAAP >OGLUM12G12280.1 pep chromosome:ALNU02000000:12:16074348:16077251:-1 gene:OGLUM12G12280 transcript:OGLUM12G12280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQRQAEVLWPRLVANKLFRKPSGSHAFVADFPMAVDDDFDGEAVPAAESFDDDGCSPDADACRSVKRPRPLPQQRTSNKTLKYRLFASTWNVGGVAPPDDLDLSDWLDTRNAAYDIYVLGFQEVVPLSARNVLGADKKRVGMRWNELVRAALNRSSPSAPNSSRDQREAKGTGGGAAAAAAGGGEIKQQAAQQKVHPVRDGIGGGGGELACRDYRCVVSKQMVGILLTVWVRADLARFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCVACCHLASGGRDGDEAHRNADATEILSRTTFPRGHSLNLPQKILDHDRVILLGDLNYRISLPEAKTRLLLRSEVESEGGAFHGWNEGAIAFSPTYKYYPNSDTYYGCASHGRKGEKRRAPAWCDRILWRGAGLKQKRYDRCESRLSDHRPVRALFEVEVGAPRRNLNSLRSFFLSERFDGGRSAAADLLREDGTASSARFGDTI >OGLUM12G12290.1 pep chromosome:ALNU02000000:12:16095150:16098668:1 gene:OGLUM12G12290 transcript:OGLUM12G12290.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSA4] MARRSSSRACAAMATAAVFALLAATATASGLVRVEHPAKSDGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEKLNIDFVISTGDNFYEDGLTGVDDQAFEESFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLRKIDQRFICMRSFIVNAEIVDFFFIDTTPFQLKYWTRPKDHHYDWRGVAPRQKYITNLLKDMDEAMKKSTAKWKIAVGHHTIRSVSDHGDTKELLQLLLPVLKVNGIDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVLQPNSDKLQFFYDGQGFMSLQINQDQADFIFYDVSGNILYKWSKSKANYLQPSTYITEA >OGLUM12G12300.1 pep chromosome:ALNU02000000:12:16098520:16101535:-1 gene:OGLUM12G12300 transcript:OGLUM12G12300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate (2OG) and Fe(II)-dependent oxygenase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G68080) TAIR;Acc:AT1G68080] MALVRPESRAQELEFVHRSCGTAGYRPSVVSTSLPHLAATGCGHLLLPFVPVRERLRDAVESAFSCHFDLFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTDVVIYTADNSNTHCVDEVTEGERLTLTLWFTRDSAYDEDPKLLSFLSQTSLNYEPVHQSSYIPLPASDIMYWFAYDHSGFDIRCARVHILGFSFHTSIDEDNKSVAPAEDDPIELLGKPVRLGRGDDVFDKVFANGLHALQVVQFYYWKAPELSAKRKQTSGGSGTVCCPVSQSRGTKLPLPCNHGLEQTIFGSHKNVEIDFEWSDFELAVSMWENYSEELQRKLLTFLPYWLSSEAIFIVSSCSPQVCTEGN >OGLUM12G12310.1 pep chromosome:ALNU02000000:12:16102610:16108073:-1 gene:OGLUM12G12310 transcript:OGLUM12G12310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAVIPSSAPGAAAAGAAAVESAGWVVDERDGFISWLRGEFAAANAIIDLLLLHLRSVGEPGEFEHVAAAVQQRRHHWAPVIHMQQFFPVGDVAYALQQAGWRRRAPPHHQQQGPGASPSPPPPPPRGRPSFSASHSHHRHGGHHHRSDSVRGGGTGATAGSDKDGREVHNKEEKGMKEAENVVEAKSSQLESLVSHEGEKTPRPQAVAEGSSKVVPTPVEYTVNDIIDGKTVNAVEGLKVYEGLVNENEKNKILSLLNETKASFRRGGLEAGQTVIIGKRPMKGHGREIIQLGIPIVEGPPEDDYPRETKVEAVPGLLHDLFDRLCQKEIIPTKPDYCVIDYYNEGDYSHPHQSPPWYGRPFCTFCLTDCDMVFGRVISGERGDHRGPLKLSLSTGSLLVLHGKSADVAKRAIPAACKQRILLSFGKSLSRKQVPSESVSRFTTPLTPPPMPWGPPRPANMARHSSSPKHFGYAPNSGVLPAPAIGAHHIPPSDGMQPLFVAPASVAAAAMPFPSPVPLPNSTTAWMAEAAPRSAPQRLPVPGTGVFLPPGSGHALPHQMMTASQSPAEPISSTDSSAYVHNKSTSTMGEMANGDVSPKSSPAKQSDAVEQKPECNGSSNGGSSLVDKKSAVSKEQQNGGMKKVGSNKVQPNAAK >OGLUM12G12320.1 pep chromosome:ALNU02000000:12:16113016:16115876:-1 gene:OGLUM12G12320 transcript:OGLUM12G12320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSA7] MAMARCSLLPILAAVLLAASLSLPPRAAAYAAMVDSLLPASATALSFEEGYTQLFGDSNLMLHGDGKRVHISLDERTGAGFASQGAYHHGFFSASIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTQDFHRYAIRWSHDTIIFYVDETPIREVVRTASMGAQFPSKPMSLYATIWDGSSWATSGGRYKVNYKYAPYVAEFTDLLLHGCPAGSPPPCEGAAAAATMPPGQRSAMERLRARHMTYGYCYDRVRYPAPLPECSVGAEAEAFLPSGEARSTDRRGGRHGKRHRRAGGGVDSAL >OGLUM12G12330.1 pep chromosome:ALNU02000000:12:16117714:16122981:1 gene:OGLUM12G12330 transcript:OGLUM12G12330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYIELRHKQAEEEMVREKEATKQVDEFSIKKCIDVLSTMNELSPEENARAFSVFKDAQNREIFISANPTARILWLKLQMARLIYMRLGAFVSLILFVS >OGLUM12G12330.2 pep chromosome:ALNU02000000:12:16117714:16123077:1 gene:OGLUM12G12330 transcript:OGLUM12G12330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYIELRHKQAEEEMVREKEATKQVDEFSIKKCIDVLSTMNELSPEENARAFSVFKDAQNREIFISANPTARILWLKLQMATSE >OGLUM12G12330.3 pep chromosome:ALNU02000000:12:16117714:16122981:1 gene:OGLUM12G12330 transcript:OGLUM12G12330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYIELRHKQAEEEMVREKEATKQVDEFSIKKCIDVLSTMNELSPEENARAFSVFKDAQNREIFISANPTARIFLILFVS >OGLUM12G12340.1 pep chromosome:ALNU02000000:12:16123528:16125493:1 gene:OGLUM12G12340 transcript:OGLUM12G12340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYPFFLLPLSLSLFGDGGGVAAACGREPSALVASHPTGAAAAARLRGHGGVRCTVAVTRFVVGSTKTCLGSSSGHVVVFSDGCDEGGPADLGGHRGPYFERLEEVGSVALDELVWEEAEQGRPTTLNPSDEIPSDVPCSRRGGEASPSVDMGAPAGATFLTQTCAVDMVYTHARSGENRGEGDATATARRPVAEQVVVSARWLSRRSRPTMRSGRKKRRPRRCRRGGHRTRRREGYILDSCYFEGSQYGLISLVFSWQICWAWPINMVKARSEESCQAGAEIKRCPEEDTGHGCQLPTDGLDQRLVREKIFWAQAATAGSRPHGVDVEQERQVHRARRAAAPRCPNPMSDHHSVFLFIRSCMPQTRRLRLFLLSDPDNVKRVYRTSSTSGLYRSRRRSSPRASAPITRVVTALAH >OGLUM12G12350.1 pep chromosome:ALNU02000000:12:16125819:16130166:1 gene:OGLUM12G12350 transcript:OGLUM12G12350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1423) [Source:Projected from Arabidopsis thaliana (AT1G14740) TAIR;Acc:AT1G14740] MFGDSDGSKDANPGAPPSTTDPPFPNRELTLSSYLCEKPTLASAAAGGGGGAGAGPSSPPNPAAAAAGDDGKHCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLNSDGPAAPPSSQPPLASLLQPVPATDGDLRGAAAAAAAAVPAAPARRTYSATTARTRSINSDDMSYSYSIFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATPPLKEGTSSFFPTELPARMAAAAAAAAASAGGSFDGGRGGLHASRPDKILRDIVSDSVAAMAQVLQDFPSERLELLREAVRGMIDSHEKRDELASLQRKLERRSDLTTETLGRANRTQLEILVAIKTGIATFVTGKGRVPSSELVEMFLMTRCRNLNCKSALPVDDCDCKICSTKKGFCSACTCSVCHKFDCAANTCTWVGCDVCGHWCHVACALQRSLIRPGPTLKGPIGTTEMQFQCLACNHSSEMFGFVKEVFNCCAENWNAETLMKELDFVRKIFAGCEDFEGKGLHAKAEEVLSLLGKKIISPLDATNTILQFFKYGVTDYSVTGSTSKGILAAQASQSTDMRSLQTPTITPPKSSFNFKTTTSILDTDALKPSPKPLSIEPHFSTASKEDDSSLETIVKCKEAEAKLFQKLADDARKEVDSYRQIVRSRTQKLEEEYAAKLAKVCFQETEEKRRKKLEELKMLENSHYDYLKMKMRMQTDIQGLLERMEATKKMWV >OGLUM12G12360.1 pep chromosome:ALNU02000000:12:16131728:16132452:-1 gene:OGLUM12G12360 transcript:OGLUM12G12360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSQEAGEEVSEISSQAASNNNETCNSSSGKVSLDLSLTVAAAAAAAASATESSTTDSGGTRPAATATATAREPARVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRAVRLDAFPYGYADVASLPLYGAGLYPIGIQAHASVHHHHPGVAAPAGRAELRSARALLGPMPFFVQAAGDEDASFGWPGSFRPPPTAATTIPAAAAAPAAVNSGSSNSNHGGSVVVQAAADEPDLTLRL >OGLUM12G12370.1 pep chromosome:ALNU02000000:12:16137004:16141943:-1 gene:OGLUM12G12370 transcript:OGLUM12G12370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEVATRSKSQKSSATQNEQSTPTNPPTAYPDWSQFQAYYNPAGTAPMTPPGFFHPNVAPSPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMLPGSHPYNPYPRQSPNGTVQTPTSAGGTETDKSGKSKRKTPLKRSKGSLGNLDVVATKNKKAPAKPSASSSNEGSSHSESGSGSSSEGSSTNSKSGSRTKDGSEHGQGNDASNKGATAQSSAVEPVQASTGPVVLNPMMPYWPVPPPMAGPATGVNMGMDYWGTPTSVPMHNKVIAAPASAPSSNSRDVVLSDPAIQDERELKRQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCNSLTSENTTLHEKLKELEGEKSNGNWYKE >OGLUM12G12380.1 pep chromosome:ALNU02000000:12:16183580:16185132:-1 gene:OGLUM12G12380 transcript:OGLUM12G12380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINRYINMHDAPETGPSRRQMVHNSEIEREGGGGDFVRKREAAATSCARGRATDQRRQCPPFSILLPLIPPPADPFPFSILLPLIRRRAGSWLNCSCTSSSQSRARSSRLSPRFFRLSRPVSSPSPP >OGLUM12G12390.1 pep chromosome:ALNU02000000:12:16185748:16186861:-1 gene:OGLUM12G12390 transcript:OGLUM12G12390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRQAGRKRVTRRLIRRGRGGWDAAQSDEDGGGIVLGLEQFGVVKRAWPGAIWGWVTDREILPGCARVRTNLCPRKLPDVSGPGLKEAEPGPAVEPAPVVGAAPHTPVSPTEFPGCAPTTAARIAETLSRERTRHARAYSRHLYPRRGRSIRRRIPVAGLLEHFKLIDCFDDDSVGFMTSGMGNETYFPPSLHHIPPAATHAEAIREAHMLFFPVLDDLFAKIGVPLSSVGVVVVNCSGFCATPSLSAIIANHYGMPGDVKTCNLSGMGCAAGTIGVNVTANLLRTHAMSYAVVVRSSPTNATATRGSERERGEGREEGKER >OGLUM12G12400.1 pep chromosome:ALNU02000000:12:16202110:16207207:1 gene:OGLUM12G12400 transcript:OGLUM12G12400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVASQPDLMGLLGVCSSDLFPLTFAAGLGFLEVSGSRVCYGRRRGRGRPRSSVREGGEGVGHDGWME >OGLUM12G12400.2 pep chromosome:ALNU02000000:12:16202110:16207207:1 gene:OGLUM12G12400 transcript:OGLUM12G12400.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLGVVASQPDLMGLLGVCSSDLFPLTFAAGLGFLEVSGSRVCYGRRRGRGRPRSSVREGGEGVGHDGWME >OGLUM12G12410.1 pep chromosome:ALNU02000000:12:16209632:16212508:1 gene:OGLUM12G12410 transcript:OGLUM12G12410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEQTWRKNRKIKLKAEPTGGGKKKKAEVSRFFLSLLSLSLSPPVPRAYPFYFTLSPSLAAPLLPHTLGPCLANGIEK >OGLUM12G12420.1 pep chromosome:ALNU02000000:12:16215578:16219089:1 gene:OGLUM12G12420 transcript:OGLUM12G12420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREGAAAATVACIGEGAATATAHVEGGRRRRSQWGRALPLSLAKGLAAIDRGTAQNLPIFLPRRRRRRFLPNSPQKTSRTHSPASLRSAARARPCPPAPPAMNLSDDWRFLFPVSSVFAPPSLATSSAAAASYGPLLFSPLPPHATLLALPSPFQPPHPSRRGLRHLLRHFVRSTSFLPFADLDPLSGALLTAPSPPFPAPSNLLAVLRAPSSSRSLVVFFPSGENAEQVSYVTLDPVADPTTPLSHSVQSDGFMHPRHRIQQLATTASWSSWPSRSRDSSIEGFLLAATLYSVNWFKVESRGSGSPALVPAAKQAFDAAVVHACWSKHLQSECVVLLENGQLCWFDLDTRRGGKMKVGFGSKDDLGDWLSCEYGAQPWTVIVASTAAILLVDMRFGDHGEYKVLARVGMEGLFETDPFVKTQCYLAFCKAPFDDFLISVVTERHLMVFDIRRPLIPVLAWQHGLDNPNHIAMFRLSELRPSKEHEWASNSGFAILVGSLWSTEFNLFFCGPKEQDATENAPLYAWDLPSRISLIGQHCSCSIGLMEEVFKGVVPGHGSASQLIRNYIIGYHVLPNTMLESSFTGFALIRLTSSGKLEMQRFRASGDLHDDAICDESQHKSVGSSSSISLDTHGENFSERYEFLKLHYLSKFLKGNLRSSLENHDSDVNKRSRHIVISEDVSVFAKDNSASCSQSVSDFLCNASVPMNIFEIACQSILSRLSSDILLVAFSKYKDMLASTNKKRIYEYLEVPACFPNSNKLRPYLLAKSSSISWNLTSKAKSGNSLVGPVLPIPVLLAMEDSNKGIDSPSREDSSSVSHRCREVIEAFVPEISIANTDNCNGWSASQEVKDDKPYFVYEPQTDRPTLDEAARKKDKQTQKLDDPSCLHAPTAPPMDENFMTFVCGRAGIPHSGPEQAASNLFDFSPVRMKFESPAIDIQPAEEKVYKCLKKQFLAWQNDFKPYQDFCNSYQIQKPPQ >OGLUM12G12430.1 pep chromosome:ALNU02000000:12:16219919:16223832:-1 gene:OGLUM12G12430 transcript:OGLUM12G12430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of chloroplast [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSC1] MSAAPIPREWVGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPMMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTIDVLLYVDRLDAYRMDTLDDQVIRAVTNSFGKAIWQRTLVVLTHAQLSPPDGLDYNDFFTKRSESLLRYIRAGAGVSKRELGDFPLPIALVENSGRCKTNENGEKVLPDGTPWIPNLMKEITTVVSNGSKSIHVDQKLIDGPNPNNRWKMFIPLILMVEYFLVVKGIRRAIHADISNGKLDDWEQRYRDLVGSKDPVDQKGSSSGNRKA >OGLUM12G12440.1 pep chromosome:ALNU02000000:12:16226467:16227868:-1 gene:OGLUM12G12440 transcript:OGLUM12G12440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSC2] MALLARRARKAVMAKAPAPLLQKRGGGAAAELAIPAHFRCPISLDLMRDPVTAPTGITYDREGIEAWLDTGRAVCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDVAESAARRGAAGRAAGAVARVRALARDSERNRRCFVDSERNRRCFVSVGTGRVLAAAFESLAAAGEAGVLEDVLAALVCMMPLDEEAARVLASSSSMGSLVAIAKHGSLAGRLNAVLAIKEAVSRDVAFVDLADDKVDEVVDALVVIIKAPICPQATKAAMVATYHLASSDERVAARVASTGLVPTLIEALVDADKSVSEKALAVLDAMLASKEGRASARGHALAMPALVKKMFRVSDVATELAVSAMWRLGCKASSGDEEAAATGCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKHKGLGECVDAVDFRGLNRLS >OGLUM12G12450.1 pep chromosome:ALNU02000000:12:16227351:16234614:1 gene:OGLUM12G12450 transcript:OGLUM12G12450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVPTDTKHRRFRSLSLASARTLATAPAARPAAPRRAADSATSNSSSEACTGVTGILGVGMRSTPRDRLATHQSWMTRRMAWLGTRSSCRSGAWVTGHTARPVSSHASIPSLSYVIPVGAVTGSRIRSSEIGHRKCAGIASSAAAPPPLFCSSGAGAFAITAFRALRASSAIPSSRSIAAAQEEKPSENSCVLWNEEF >OGLUM12G12460.1 pep chromosome:ALNU02000000:12:16232940:16235363:-1 gene:OGLUM12G12460 transcript:OGLUM12G12460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYWCIFRICKYNKDIKALEETEKRLKEE >OGLUM12G12460.2 pep chromosome:ALNU02000000:12:16233105:16235363:-1 gene:OGLUM12G12460 transcript:OGLUM12G12460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILACVVAAEAAVAVMLTLPAPRAVRKQIVGLTSMLLQPFAGILPFAAFQLLDIYWKNEHRLMCTSEICTADERIRFEKSIFKAQRNVILCVSACLLYW >OGLUM12G12470.1 pep chromosome:ALNU02000000:12:16235695:16239348:1 gene:OGLUM12G12470 transcript:OGLUM12G12470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAIGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >OGLUM12G12470.2 pep chromosome:ALNU02000000:12:16235695:16239348:1 gene:OGLUM12G12470 transcript:OGLUM12G12470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRAAAGRGGGPVGRGGAPPVRR >OGLUM12G12480.1 pep chromosome:ALNU02000000:12:16239843:16243513:-1 gene:OGLUM12G12480 transcript:OGLUM12G12480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNDALDMDVLYRSGETHQHTMLDFTRVYPHTALVWCRLVLECWLRVHGGIICRVPWFFEFIRSGSVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGASNSQKSVEDKDLIDCLENSVNFWTDYSKVQYHPQSLYELHGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTPVLLYCVRDPMTLGSSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSYMSSYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELLHILSDQGRQNMVTALDVAMPAPSLTGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGAIGSLVLRDWGFGREEVEDMAEHLAKLLGPFHPEMDLTSDSD >OGLUM12G12490.1 pep chromosome:ALNU02000000:12:16243599:16244096:-1 gene:OGLUM12G12490 transcript:OGLUM12G12490.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSEGAAAPGRGSGDAAAVDHLTSLVSPLHGRRAKGRRRREEEATVTPPPSTTSPLSSPASTADGQGGGGAATAAVGRGGATAAARGGEGKTSTICRAAPLPVTAAAAAAAVWLSTSPPALFPTGAASPAYLW >OGLUM12G12500.1 pep chromosome:ALNU02000000:12:16245863:16246883:-1 gene:OGLUM12G12500 transcript:OGLUM12G12500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNHHVSNAFMPLATSLVFAKSPEKAATATPLPAAVPDLSLSSPACPAAPASVTSSAVTDVARVQRRRQQQQAVQRKARRCWSPELHRRFVATLQRLDGPQGLMKVDGLTDDEVKSHLHKYRLHTRRASDGGGDSGGLWTPPPEQYTTSQHNTSQSPALTVSSSHAASVTAGDSCDGGEEEEEEEEDDGKSESYSWEMQNGARASLSS >OGLUM12G12510.1 pep chromosome:ALNU02000000:12:16246525:16253571:1 gene:OGLUM12G12510 transcript:OGLUM12G12510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFAQFGLMRMRSSSPCGPSRRWSVATNRRWSSGDQHRLAFRCTACCCCRRRCTLATSVTALLVTLAGAAGHAGEERDRSGTAAGNGVAVAAFSGPKCKNPAKIIVRPCCSASTPVSSPRELAHPPPCADLHRFRDFASSGAVVRPAASAASWFGGSTEPPMSLVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASREQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSEGFQGFLQDLAGAAVAHNPCIDDAKDIESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >OGLUM12G12510.2 pep chromosome:ALNU02000000:12:16246525:16253571:1 gene:OGLUM12G12510 transcript:OGLUM12G12510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFAQFGLMRMRSSSPCGPSRRWSVATNRRWSSGDQHRLAFRCTACCCCRRRCTLATSVTALLVTLAGAAGHAGEERDRSGTAAGNGVAVAAFSGPKCKNPAKIIVRPCCSASTPVSSPRELAHPPPCADLHRFRDFASSGAVVRPAASAASWFGGSTEPPMSLVRSAPARQWAAWTRQEEQNFFNALRQVGKNFEKITLRVQSKSKDQVRHYYYRLVRRMKKLLGPEFSLDAKNSKDTIAAMLCWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKTRKKCPRGDVCLSSSSSAVNRTPGNESFSVKLLAVDVSNGSKVGSSKGSFFKKVTEPNCSNKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTVNADARMLSPSSSNACTVDGLGTNHIKEADQQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSARKKISSVLEHLNRKWGNSNIASGELLLFPYCAHQEDLATYQRWTTKDTVAVADVFLSVNSPSVFRLRYGWFSLAELEAGVSEISLTHFENCLIPEDIHAKSPSEACVQKDGNSLSSCAPEQHPCGSKDQSALLLAMPSSTGKSAQVPEQCIDVLPSQFGRQNQDQVTTNQVFEVDQGMDCAAVSEGEWADTLTDISVGYLLTEASRGANTDCPGTSVVKNTLLLENPCSYDSFDAAVALHASRYKSAEQPALASHSTIWGAEETCDAFSFNLPASRKREGSNNSASSSPDSDSDVHPSNSEGFQGFLQDLAGAAVAHNPCIDDAKDIESLCAESPPRSDHDSAPKDQSLADLYWPDSLGPLDLDIPSATYHADDLLLGDSQNSWNRMMANSLDAFRNLSFFTADKNDSIPSIM >OGLUM12G12520.1 pep chromosome:ALNU02000000:12:16254188:16256433:1 gene:OGLUM12G12520 transcript:OGLUM12G12520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEVVNPKAYPLADAQLTMTILDLVQQASNYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKTPIQNLKDAIEKLLI >OGLUM12G12530.1 pep chromosome:ALNU02000000:12:16258394:16266123:1 gene:OGLUM12G12530 transcript:OGLUM12G12530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYVRNPVVAVAAAEAQATTKNSHGEKSLIWKVRITFLYIVVLPDDGCKKLLMLDKIVDLQKEREKAEKKKEKKSDRKALPHGEISKHSKRTHKKRKHEDINNADQKSRKVSSMEPGEQLEKSGLSEEHGAPCFTQTVHGSPESSQDSSKRRKVVLPSPSQAKNGNILRIKIRRDQDSSASLSEKSNVVQTPVHQMGSVSSLPSKKNSMQPHNTEMMVRTASTQQQSIKGDFQAVPKQGMPTPAKVMPRVDVPPSMRASKERVGLRPAEMLANVGPSPSKAKQIVNPAAAKVTQRVDPPPAKASQRIDPLLPSKVHIDATRSFTKVSQTEIKPEVQPPIPKVPVAMPTINRQQIDTSQPKEEPCSSGRNAEAASVSVEKQSKSDRKKSRKAEKKEKKFKDLFVTWDPPSMEMDDMDLGDQDWLLGSTRKPDAGIGNCREIVDPLASQSAEQFSLQPRAIHLPDLHVYQLPYVVPF >OGLUM12G12540.1 pep chromosome:ALNU02000000:12:16261575:16264699:-1 gene:OGLUM12G12540 transcript:OGLUM12G12540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEHGDSVGRCILVGLHMDGVGKELLQWALNEAARSGDRVVAVHIYRKSDNCKTNTLSLIRTLDDYLAEYEALCSKKDIVLVGRVTPGSSIQKVLVKEAKLCAAMVVVIGANKKYSFGGSTCLAKYCAKKLPATTTIVAIQNGKAIFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAMGCGHDGAAPVSSYDDATKVGGGGERTAEQRLGWPLLRRPLPAADGAVQPPPKDDGPRKQSVVQWVMSLPRRSSPSTSPEPQAGLVAELKRMLDAVPSRCRWFRYEELYDSTNHFSSENLIGKGAHSRVYRGSLASAQPVAIKLSKASAVASNDFLREVDIITKLRHHRIVPLIGVCVEGPNLISVYSYLHRGSLEDNLHGKRSKPALSWEKRYTAAIGVAEALSYVHSGHSRPVIHRDVKSSNILLNDEFEPQLSDFGLAIWAPSNPGSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLTGRKPISDGSPKGQESLVMWASPILDSGDISDLLDADLDVKHDDAEVKRMALAASLCLRRSARLRPKISQVLSVLRGESEVSLDDLKAEPADCVDDETYPAANVRTHLGLALLDVEDAESISSTEHSNLSPLEEYLRERCSRSSSFD >OGLUM12G12550.1 pep chromosome:ALNU02000000:12:16273788:16277102:-1 gene:OGLUM12G12550 transcript:OGLUM12G12550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPRSLFPELPPAGHALLRLVDSCRAPAHLRSLRAAHARLLFLLRLPSHPASAAVRVKLIQAYAACAALPAARAVLDASPDRTTVFFNVLLRGLTAASLHRDALLLFASMRPQGHACFPDHYTYPLALKSCAATDGLVLGRQIHSSTARLGLDGNVFVAHSAISMYARCGRPDDAYQMFEEMQYRDVVSWNAMISGFAHAGLFGRAMDVFRELVALQCPKPDAGTMASILPSMGKARVEDIALLKGVFDEMRFKGLISWNAMLAVYTNNEMHVEAVELFMRMQKDGIEPDAVTLATVLPSCGEVSALSLGKRIHEVIKRRRMCSSMLLENALMDMYANCGCLKEARDVFDSMGTRDVVSWTSIISAYGRHGHGREAIDLFEKMCGQGLEPDSIAFVAILAACSHAVACITDSQHGYYLDNQQWRTIILANKLRVMEGKNYVNSSYYASFILSQIQANFQKDICLILGHQQGAVVLIMHFGLSFDRAVRHLALHFSNERHHERYGDEDRMECTNSGKWRWR >OGLUM12G12560.1 pep chromosome:ALNU02000000:12:16278192:16281461:-1 gene:OGLUM12G12560 transcript:OGLUM12G12560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQSLYPEVNQSHPDLNTAFLANPNRAATASPGGSLYPSVDPQQLAENLFPDAADDAAPPPPTTEEALVAVPGAQLHLVDPDRSMDLGAGTLSVVRLRQGDHSVAVLARLVPEKRSQRRGGLFGFLSGGGKAGDGAAQEPVQWPLTRDVAAVKLDTAHYFFSLHVPHTDHEDDDAEGAEAEKDADGEAALSYGLTVASKGQEAVLAQLDKVLEEYTTFSVKQVEPAAKEKSEVMDTKAVTEITPEEAVGDKKEVVEEQSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRCGEAVVKKSVGPSGKPSQVKPSTIRRMKRARRVTKMSNRVANSILSGVLKVSGFVTSTVLNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMRTSSVVTTSVVTHRYGDQAGQVTQDYLHASGNTLGVAWAVFKIRKALDPKGNLKKSSLASAAAHAVAKESISRQRRK >OGLUM12G12570.1 pep chromosome:ALNU02000000:12:16283637:16291412:1 gene:OGLUM12G12570 transcript:OGLUM12G12570.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MLEDPEPSSLPSPGSRRLPSSVPRLDPQNPNHSAARAPVALVPLPIGGAADRRPRSMGKRPPVFVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAPAHAASGPRKKPRRVSSAERGRRRATGAAPSGSLKAEFDMLSEDFSECLNDLGMPGSICQTEELWVDKYKPHSLAELSVHKKKVEDVKKWLEEKLRAPKVGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLRYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSSGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDEDEIEDW >OGLUM12G12570.2 pep chromosome:ALNU02000000:12:16283637:16291412:1 gene:OGLUM12G12570 transcript:OGLUM12G12570.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:RADIATION SENSITIVE 17 [Source:Projected from Arabidopsis thaliana (AT5G66130) TAIR;Acc:AT5G66130] MLEDPEPSSLPSPGSRRLPSSVPRLDPQNPNHSAARAPVALVPLPIGGAADRRPRSMGKRPPVFVLSSSSDEDEGGGRRAATRGPSARRARTPATAPAPAHAASGPRKKPRRVSSAERGRRRATGAAPSGSLKAGSICQTEELWVDKYKPHSLAELSVHKKKVEDVKKWLEEKLRAPKVGTFGGWTLVLTGQAGVGKSATIKAIAAELGVEICEWTAPVPTLWTEHLHANSGLRYISKLEEFENFVEKIRKYSLLSPTNFGSQRKHTIILIDDIPVTSGKVSFARLGKCLTGLIQSTQVPTVISLTQYHKSENNDTAMWNSEDLESLLQSAGAHKISFNPVTVNSIKKILVRICKQEGSDLTDDLVHQIATSSGGDIRHAIMSLQYYCLNPRRLNSALARTAILPGLKSSGSLVPGQDSYGCSSVIPTACGRDETLTLFHALGKFLHNKRETYSEVDVDVDSFPMKEKLRRNPLKMDIPEKVLSQAHGKVRTVADFLHENVLDFIDNDAIDDAWSVASYLSEADCLLAGSPISSTRWMVNESYEAENMTQLIAASVAARGILFGNAHVSSSRWHTIRSPRVWQIEQSFRSRKDLILRERYDCSSTSGSRNFSDVVTEFKPFERWISPHNDMPRSNSFNHNIEASSSEEDEDEIEDW >OGLUM12G12580.1 pep chromosome:ALNU02000000:12:16291793:16295323:1 gene:OGLUM12G12580 transcript:OGLUM12G12580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKDSGGRSSGGGGGLEQNGVGPFGQVRVLVVGDSVLQLFTKKVCSVLTNLGWNCAIFLSLKTWDTKEELGTKEAVGVGKSSLVHLILKGSAIARPAQTVGCAVGVKHITYGSAGGSSNNIISDVQRNFFVELWDVSGHERYRTCRSIFYTQINGVIFVYDLSQRKTKTNLNKWAVEVAETGTFSAPLGSGGPGGLPVPYLVIANKVDLVPRDGSRVSSGSLVDFARQWVEKQGLLPSSEELPLTDSFPGNSGLLSAAKEARYDKEAVIKFFRMLIRRRFFSNEPAAPSPWSLTPREDSILPVETLKDEVDSFQRKSGEDFMYKGVTPLPAQRNLASPPDLSPQQPVFSLDNYRYHRYSSPSLPDVSSSRTSREDIDV >OGLUM12G12590.1 pep chromosome:ALNU02000000:12:16298094:16298780:-1 gene:OGLUM12G12590 transcript:OGLUM12G12590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVLLDRRSSGSFEFPRRGGGFRPGHLGGGATPAMAPRQQYKGGAWSHASLPALPYARPPLYSSPSLPLLPSNQPPLLPLPPAATKYATFPYPPPPQQPPRSGRASTPLTRQRDRRRKPSRPPPSTETTKGGTQKKKPLERATPLPPAPAVAEALDDLEQEVARNFVQDLLHVLAPPPSSLPLPRFVITSSSSSPAAGNKVVPPPPPAPSCNAEAAAADSLRRVLRL >OGLUM12G12600.1 pep chromosome:ALNU02000000:12:16316230:16316523:1 gene:OGLUM12G12600 transcript:OGLUM12G12600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGVASPTAAVAVAAMACVVLVVLSSSAVAPAAAKMFCGSCDDTCAHSCEYTDTVATACAPQCDGGCSPEACQNCVLAMNQGCLIICGDDCRTHCT >OGLUM12G12610.1 pep chromosome:ALNU02000000:12:16337674:16337967:1 gene:OGLUM12G12610 transcript:OGLUM12G12610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGAASPKAATVAAAAAVFAALVVLSSSLVAPAAAKMFCSNCDDICNASCVNSDTIAKLCAPQCDGCSPEACQSCLQALKQECLTGCSDYCHKNCT >OGLUM12G12620.1 pep chromosome:ALNU02000000:12:16339403:16339687:1 gene:OGLUM12G12620 transcript:OGLUM12G12620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCRAASPKAAIVVAVCILLILSSSSVGPATAAMFCGDCDIICGASCDGSGVTSACADKCDGQSPAEACDNCLRVTKRKCLTSCADYCSTHCT >OGLUM12G12630.1 pep chromosome:ALNU02000000:12:16340252:16369714:1 gene:OGLUM12G12630 transcript:OGLUM12G12630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITNYKDMERVSCPQGPFHFIDFTTRLTRLCMGPDPWREYALISTTNNEDLERVPPPQSTLTTSMPSGANSPTAAIAVAAVFAVLVLSSSVAPAAAKMFCGSCDDICTASCIYADTIPRACAPQCDGCSPEACQSCLQALRQECLTSCGDSCRKNCT >OGLUM12G12640.1 pep chromosome:ALNU02000000:12:16370506:16370838:-1 gene:OGLUM12G12640 transcript:OGLUM12G12640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVVDPATLESGGNDAGEEGCWWLQQREEELSWAKGTVGDCSCISGEEEASWANRTDCGCGGGEEEAQRWRKWHLPPALERYPRTGDRGEERRLGEERRWGDGEEDTDG >OGLUM12G12650.1 pep chromosome:ALNU02000000:12:16371926:16381979:-1 gene:OGLUM12G12650 transcript:OGLUM12G12650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTCHSPPPFLLPPPLSNPLSRRAAPPPLPPSLATLGGRLGGNGALLEAELRRGFKTLAVTPPDPSAVVYKVRLNRSAQLNALSPDAFAEIPRAMALLDRILAAHAVVLSAAGPHCPGNPAMAPSSPGAATPRPRPVALTAIERCRKLVVAAVHGGGVEVVAACAVLEKSRRRWRWNRTKEGRPMTGGEAI >OGLUM12G12660.1 pep chromosome:ALNU02000000:12:16383907:16387260:1 gene:OGLUM12G12660 transcript:OGLUM12G12660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G06400) TAIR;Acc:AT5G06400] MATRSAAARLLSSSSAAAAASPLWSRRRRRHPFLHPPSRSKTTSSSSSSSKPPRRPPPRKDGGGPRPCLFQELSGLVAPSASDDPAFQPRRDGQERCGLLGHDTAQCAEGARRITPEGAAAASGSFTGSVPNNDALGFLPDGGIGPRSTATGGAPDSEQSIQEVGDGNAGDVENISEVVHRVTEVLRAEVPGLSVEQRLENLGVTYTPRLVSLVLNRCFKKRHLGFKFFDWVRQVPGFQHTTETYNTMLYIAGEERNFGAMEKLMDEMDKEMCLKDIKTWTIVISSYGKARKIGKMLSTFQAMGKSRHVAADSKVYRTILRALCNSAKSELALEFYKDMARNTEVGSDIFRLLLCCLAGSDNAEGVFYVRDDMIKSMKYPEEYCYLEALRSFCVSGKIEEAQKIFQQMMNKSIASSSAFEILLRGLCKDGRMDKALQVMEYMKSNSSASSATFGSLIDGYLRKGERMKALEVLQEMREYGCVPLASSYTQLMQHLFAFDQHEAACRLYEEMQENGIEPDVVAITALIGGHVRNGHISEAWDAFRNINENGQKPTLKAYTVFIQELCKASRPLEALKLLKEMLESDFRPSEQTFSRIISTLCDNHYLEEASNIERMRASFNCCSPIEELQRRTLDQVDYTDKFEKSSGSGPEEKERTVEFVGHPSYKDCEVSGSFPCDDTQDLEQAKDYNNEDVEQICRILSSSDCWSSIEQALEMTSISFTPDLVDAIMKRCKANSRAALQFFSWVGKRSYYMQTTKTYNTAIKLAGSAKDFKHMRHLYREMIWAECCPTVDTWNVMICQYGNAGLTEMALETFYQMKQGGFQPDKTTYSHLIMYLSRRKGRKVDAAVKIFHEMCRAGYIPDNGMVCTYLSVLCECGMIDRAESSVVLLCKHGFSIQAGYSILIRSLCRSDRMAEALSLFDNIKNYECSRSAYMYGSLIHALLRRDRFEDASAKLAEMKNLGIAQSTHMYTSFMIYYLGKRDVSKAMDVLKEMTENGCEPTVVTYSALIRGHMAMGMVSEAWDVFQRMKLKGPVPDFETYSMFMSCLCKAGRSEDGLQLIHDMLNSGIIPSAVNFRTVVHGLNMEGKYKLADSVLQSKWQLRNRRTFSDSFIVNSSA >OGLUM12G12670.1 pep chromosome:ALNU02000000:12:16390473:16392884:-1 gene:OGLUM12G12670 transcript:OGLUM12G12670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT5G06410) TAIR;Acc:AT5G06410] MWRRPGQLRLQLAAAAGAAGRGARRRNPLPPVPTVPSSSSSSASTTTTRDLAAFARGSSSSSRSLSDRAGGGECWSCGASGAFLSCGSCGSVQPVDPAVDYFRIFGLEREYTIKDNNLEGKYKDWQKKLHPDLVHSKSEKERAFAAEQSALVIDAYRTLSKPLSRALYLLQLEGIPIDEEKTITDPELLMEMMEIREAVNDASDSQTLEKIQSQIKRKLETWSHSFQEAFERRDFDRAVKATQRMRYYERAVEETIKKL >OGLUM12G12680.1 pep chromosome:ALNU02000000:12:16397791:16398971:-1 gene:OGLUM12G12680 transcript:OGLUM12G12680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGTRRHHQDIVFVAVEPEHPWRHRRRLDVLFKKPQEPQPETQGTGRSAFIFFTSGAVPIVDFRNAGCRSCGPRSSHGCRCALPPFSPDAVEEEELILAVHLHVLHEQLSSSMDCVRSGLWLAASAPPATTTYGGGSTHNSNSTRPPRRSPPPTTARQLTIRGGAAGRGRHSGARREREQEQQQRNPAAALRRWRWHGEREQQRANAVPSLPSSALTPRCTRTAMSPSRRMLPRPLTSPLRATTASTSSTATPDHRSTGSGLVGALDINEYDRVMAVQCQVHVRMRQARGACHGGMAAWMQPLHGQHGGVAATTPAGNSATPPPRPVGSAVRDFGKLLFNHSASVSILAGRGRSHSPRSRRPRRRPPRLLALAVPT >OGLUM12G12690.1 pep chromosome:ALNU02000000:12:16399404:16408555:1 gene:OGLUM12G12690 transcript:OGLUM12G12690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGAYDGGSGAGGKIRRRPPSRAAAASPYARPAPASAATRLGGGGGGGGSGGWFSRLVASGASLLLPSVFRKPPPPQPEHEREREHLGEPPSLPELLEEAPSQAETLDTPPSPPPPPLEDDIPEEEEEGTGTVANNSAKDGDDILRRSDSYDVMDLEELLKQRTFTRQDQVASPAELAKAYMGSRSSKGSPLRLRLHDPSVLSKSIEANTTQITRPPTLSLLASARNHAPTTSDRLGSNYTTPNRSAIYKMSSSPYFKSAVSSRDLFGTVSPYQAPSSLHTFGRQVLKRKSAALNNESASVGPIRKMHQRYNRVSPLLQTRSGHRGYSGSYASKLDEGLEHSVQSQKRRCLDKVGDITRSGVHDRANDSSFGQAPAQSIQMAAKILKQLDTIVPSQKEGTLATRQKHVDILDVEDPISQKTEVSAQGSLLKPSSSRVKESLPNNSNCAAKFTSAAKDSNTVDATSDKSAKLMPKDWLEMDNCRGSTKLSLNQGNDKTERKQSPIPENNDMSSGIINKEKPPTLPLRSHAPSNLVLSSEIDRNKILASSNGFSFPVPAALTGHSQAPPTPTLASPPVLSVEKQQLSASSSAPVTSVESIPRVFKPVSEEASVSNQRDTKSNTDKPPISQSSGQIVSFTSNPVFNVISSKPTTLSNGLADTTKSASAAVFPSNGSTKSVCSTNAGSSTPLFPKFSFQPGFRTSTSSAQSSGIQEPAITAPFSTVSSLAGGSSSPSSMIFAGATSQSSSITTSGSVPFQFSSQSSSESSLAGQDKSKAASSSAPFSFSPQFGSTSPFAGQGKSNAVSSQSTLLSGSQFSNSLSAQTSTSNSNLLSSEKVNPGSSPSFAFGSSAPGSSPVFSFAVGSGTTSATPASASSPIFCNSLTSTNAPPFGSPATSPFSSTSSPVFSFTSATPTIPNASPTTPLFGTPSPTVGLSTGTDQMNGGQMAGDKNPFAFSAASPFGLPSSSPSTPTLFSTPATQFASATSASPGMFQFGQHSQSSPGGFSIGTTGGNSEKSARRILKVKRKK >OGLUM12G12700.1 pep chromosome:ALNU02000000:12:16408916:16413229:1 gene:OGLUM12G12700 transcript:OGLUM12G12700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSARRCAPRPPPPLTSPRGAAATSRCSPVAPPLFPRTSLRPAPLSPPPPTSPRSMAAPIRHRPYPTSSLARLAPPPTLSSSSSIHRRPICPRADPSLAPSPIHRHQVATPIAAVASPDAPVRPVPSNDTTSKSRKCNP >OGLUM12G12720.1 pep chromosome:ALNU02000000:12:16438070:16438491:-1 gene:OGLUM12G12720 transcript:OGLUM12G12720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAHQVVSERGSSRFCIMVKSGGSESSGGSHHGFDEEEVTMPVFDANLPAESIGCHCHVRNMLDRVDVHHLNIMSMMRRMRV >OGLUM12G12730.1 pep chromosome:ALNU02000000:12:16440910:16445733:-1 gene:OGLUM12G12730 transcript:OGLUM12G12730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNALFSRTEKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDPSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSENYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNKKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLALYVEVFRNEYVSSPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >OGLUM12G12730.2 pep chromosome:ALNU02000000:12:16440910:16445733:-1 gene:OGLUM12G12730 transcript:OGLUM12G12730.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYRWILLLSVVVVVAVAAELPLASTTFKTLTGNAPLVIARGGFSGLFPDSSQFAFGFALSATSTDTSLWCDVQLTKDGVGFCLRDLLMQNGTTISQVYPGGKQTYRINGVPKTGWFPVDYNMSLLTNQFSTHIYRWAVTQALFSRTEKFDFCNFRIFSVTGFMSSIEPSSLWLNVEHDVFYTEHGLNMTNYILSVQKLGFVKYISSPELGFLETLSGGIDHKVKLVFRFLDKAVSDPSTNKTYDSMLSDLAFIKTIASGIMVPKSYIWPVTSENYIQLPTQIVKDAHDAGLEIYASDFSNDGIFPYNYSYDPLEEYLSFVSNGGFSVDGVLTDHPLTASEAIGNILIISHNGASGDYPDCTDLAYEKAVGDGADVIDCSIEMTKDGIPICMSSINLYDSTDVQNSKFSSLASVVPEIQTKPGIFTFNLTWEEISTLRPKITHPYHDFVRNPRYANQGKFFKLSDFLTYAKDKDLSGIMIIMKNAAFMAKSLGFDVVDLVTTALSNAGYDNMDPTTKNKKEIMIQSKDSAVLVKLKQRLTQCKLVYSLPLKVGDVSDSCVADIKKFADAVIVDRESVFAESKGFIIRKSKVVEDVQSAGLALYVEVFRNEYVSSPVDFFADGTVEINNYVQLQVPIGDLAQLLDAECSTGGMLPALAPMPVLNSSDVIEPPLPAAEPKSAAGSSATNACVVGVLAPPPLFSSSREYVLLATLLLLQML >OGLUM12G12740.1 pep chromosome:ALNU02000000:12:16481764:16482164:-1 gene:OGLUM12G12740 transcript:OGLUM12G12740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGSSRAELVEPLPLPLPAPDLPSLGRPLGSKNKPKPPVVAMWESKAVMSPVVLELVGYKVAAFAWYRRVGVTVAATTLLLPASPATAVKLHGQFEVPSLSRTVQPSTAEGEPRHRRSQCV >OGLUM12G12750.1 pep chromosome:ALNU02000000:12:16493023:16493281:1 gene:OGLUM12G12750 transcript:OGLUM12G12750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVRWRSEDALSEEVQPPDLVAAEEGWKGGDGSEKTTTAARAEDGCSWVEVIGCHKEAERRARPIDVAAKRLCSVDLAARRL >OGLUM12G12760.1 pep chromosome:ALNU02000000:12:16545083:16546366:1 gene:OGLUM12G12760 transcript:OGLUM12G12760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLTLFGVFAVEKPATADEPPVYTLTPVSRLLVGPGNLAHMMSMTLHPSFIAPFLWIGDWLQREQHGPCMFEHTHGKNLWEAADGDAAFNAVVNEGMASDSAFVMDIVIKEHGEVFRGITSLVDVAGGNGTAERAIADCRGIPGEFDGICDNYGKWIYIIGLS >OGLUM12G12770.1 pep chromosome:ALNU02000000:12:16596079:16596375:1 gene:OGLUM12G12770 transcript:OGLUM12G12770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAATRSMSPNLVVVGSSGRWSGGVAGVGGEIADADGWGMEAEAAVSTSLNSWRPDLAAGGQVALKESGKRPHTPVGMQEAVVGSASSKLVVVGSDS >OGLUM12G12780.1 pep chromosome:ALNU02000000:12:16610057:16610749:1 gene:OGLUM12G12780 transcript:OGLUM12G12780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSSSQAKALFKATLLGLAILSMVTWVPQMYSCIKMFLVSVPSAASTLTTPRCLFIFSNIIVIFLANELKLSKGESFSESPTLTNHGSDDSIRYRVEAFTPVTKSNDVIVNHVVEEQVSTVIVHDDSLQQLDQCEQVVASSTMSMDKESRGDNNNDNLAIDVNVGDGESEELKEQGGAISQGKVIEEEMIEEEDVGLPTDELNRRVEDFIARFNMERQLEARMLVCCY >OGLUM12G12790.1 pep chromosome:ALNU02000000:12:16646758:16647456:1 gene:OGLUM12G12790 transcript:OGLUM12G12790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQEIVKISLLALGLLFMATWVPHMYSFMRALFMVYLPSFASAIIAPKCLFVFSNIIVVFLVGESKFGHPKIDKENVAAVVEGDMVVHEKEGEEEVVVDSVMPAMITGDDQCEELEDEEFVVLEEQVDALLSSDGVEIDQIGEEGHDLAVGEILITDMMRKEEEEGVVDQLVLEDEEVLVEEERGRREAEEAAEERDDLPPADELNRRVEEFITRFNMERQLEARMLVCCC >OGLUM12G12800.1 pep chromosome:ALNU02000000:12:16654553:16655612:-1 gene:OGLUM12G12800 transcript:OGLUM12G12800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHAGGKDYTNNNRRIIVASRVHIDTGTTVIPSIPHASSIGQWQGGFASFAGSSQMVPPMHTEAGSSQFQGGFSGIPQVNMPMFSTGTNDQWQGAHTYNIALHLQGIYQMSINENTQPQGPSFLDIICVCYNFAFNVLLECTTPNNGWNMPAAHNPTENHVATVGGRPQHITD >OGLUM12G12810.1 pep chromosome:ALNU02000000:12:16655640:16656081:-1 gene:OGLUM12G12810 transcript:OGLUM12G12810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVDTLYPMQSAPVTHLTGDIVEELYAETTSLREKLRDNIAGSREDMMSALDRLRQKCKRMHQTCTVSQDTSSRPPFRNDRLPPPGLPLLGRPHRQDPQLSRVLGRRQGQ >OGLUM12G12820.1 pep chromosome:ALNU02000000:12:16656253:16657928:-1 gene:OGLUM12G12820 transcript:OGLUM12G12820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDWPNPLLSRDIDARHRARQMSSSRPSGLSVFITRTCPMWGVDEHDRPTYGMRWCWGPPQLANVQVRSAYEYFIEAFDLLKEDNVQWCPYTDKETQRRAPTGLSTLYLRDSSYWLTKKMLVYDIAVEAHSSQRVMRQFGLYQEVPVPLGETVPPKIHLQKRKGDASVR >OGLUM12G12830.1 pep chromosome:ALNU02000000:12:16674399:16677531:-1 gene:OGLUM12G12830 transcript:OGLUM12G12830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 [Source:Projected from Arabidopsis thaliana (AT4G24740) TAIR;Acc:AT4G24740] MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQDIPRFASSPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLRELSERRR >OGLUM12G12830.2 pep chromosome:ALNU02000000:12:16674399:16677531:-1 gene:OGLUM12G12830 transcript:OGLUM12G12830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 [Source:Projected from Arabidopsis thaliana (AT4G24740) TAIR;Acc:AT4G24740] MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQDIPRFASSPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLGKYEKSRSSCVQIRNWFDYRNHICIVCEKLGPSLYDFLRKNSYRSFPIALVREVAKQLLEFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEDLDGVTPVISGVLVVYWLSYARYVVGCNIWFVFCHNNSRIFELPKLPQGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLRELSERRR >OGLUM12G12830.3 pep chromosome:ALNU02000000:12:16674399:16677531:-1 gene:OGLUM12G12830 transcript:OGLUM12G12830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUS3-complementing gene 2 [Source:Projected from Arabidopsis thaliana (AT4G24740) TAIR;Acc:AT4G24740] MECLAEMPRAPLADRRPRKRQRLGWDVGPEIHQVQIGLCGQEVANVISAVTLGLSSQDIPRFASSPPLREDDKDGHFVFAVGDNLTPRYRINAKMGEGTFGQVLECWDRERKEMVAIKIIRGIKKYRDAAMIEIGMLEQLVMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYFKRLPKSSAIKVIDFGSTTYDQQDQTYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRHSEKYVRKGRLNWPEGCASRDSMKAVMKLPRLQNLVMQNVDHSGGEFIDLLQGLLRYDPASRLTAQEALRHPFLRELSERRR >OGLUM12G12840.1 pep chromosome:ALNU02000000:12:16799773:16801131:1 gene:OGLUM12G12840 transcript:OGLUM12G12840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPSSSSSSLSSRGLPIDVIVEIAERSDPITLLRCAAACRQLRRAISGEGLRRELRLRNAAGFVPVLLRGFYYQPLHDAHGFLQEPVRFFAAGAGAGDHHGQLPAGDDAVESLAVGFEPVEARGGFVVARTGSSSGKVCNPMTGYVLPIPLPRKDLVTSYLLLTADDGVGLGASSDDDSELHRFRLLAVQLCQPANQGRLRVKMQALTPVTGRWGPTTKIPVHGGSGDRHQHPGAELLGRHPVVVNGVAYFLGVSHSFDRQRPHPPSHYFILRVDVSDHGGGDGRIGTTKAAATIIPAPEGLKPPSCSRCTSSEAAAAVVTPKQLLLAPSRDRRSVALLVCRRTTRVEIHTLDMLATPSAWARATVVVDTAGVRRRPCDLSESEVELHWSGEASGVVVLRLGGTVCQLDRATMAMRTIDEEFPEFRYRSRHMLLPYEMGLSSWVPSISISA >OGLUM12G12850.1 pep chromosome:ALNU02000000:12:16838867:16840412:1 gene:OGLUM12G12850 transcript:OGLUM12G12850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPPSSSSSPALPLDIVVEIAERSDPITLLRCAAACKHLRRVIAGEGFRRDLRLRNADGFVPGLLHGFFFQPRWPSPHYHGYXLGRNDDGFDFKF >OGLUM12G12860.1 pep chromosome:ALNU02000000:12:16840428:16841726:1 gene:OGLUM12G12860 transcript:OGLUM12G12860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MXFVPGLLHGFFFQPRWPSPHYHGYNYDPLRFVAAGRHDQLSAAEDIASGGGGGGGDTYQIPSFVSDSNRVHKNKRSRRIEPVAARGGFVVLRTGKFSGKVCNPMTGYTRPIDMPRKTAKGEGSSYLLITADDGGDGIDVGVTSDDSELHRFRLLAVRLFARTRVEVQELTPDTGTWGPVTTLPVVDADHYLHPHPVLVRPPVVIAGVAYFLGEMSGRDDQTYQLLLRMSLDRESLGRQYHHSPPSYSYFILAVDVSIRRDGETGTTAAATIMLLPTELRAPSYTGEATVMPTPGQLLLSPSSRGGGRRSSLALLVGRSTQVEIWTMKLIRRGMALLRMACTKVVDLTGVPRSPCSPPVSESEVALLWSGDASGGVVLRLGGTVCLLDRRRRAVVVRTLGDGEEFVEFGSGRRHVLLPYEVGLSSWVPSISA >OGLUM12G12870.1 pep chromosome:ALNU02000000:12:16861726:16866432:1 gene:OGLUM12G12870 transcript:OGLUM12G12870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYVRPIDMPRKTSKGSEGSSYLVLTADDGGDGVDVGVSSHSFRLLAVRLFARTRVEMQALTPDSGTWGPATTLAVVDANCLRPRPVLVRPPVVVAGVAYFLGEMSGRDDQTYQLILRMSRETFGRRQYQPSPPSYSYFILAVDVSIRHDGETGTTAAAATIMLLPTELRAPSYTGEATVTPGQLLLAPSSWRGGDRRSSSLALLVGRSTQVEIWTMKLTRRGMALRRMACTKVVDLTGVPRSPCSLPVSESEVALQWSGDASGAVVLRLGGTVCLLDRRRRAVVVRALGEEFVEFGSGRRHVLLPYEVDQELDLNLYN >OGLUM12G12880.1 pep chromosome:ALNU02000000:12:16866490:16871472:1 gene:OGLUM12G12880 transcript:OGLUM12G12880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEVISDSSPTPLPRRLHQSASLRLPHRRRPPPSPPAPTPPHAYPIAVAVVGPAFSAAGSRETYPAADFAVVAFDPAGHASVHAHRGVRPSSQYSSIATADRSSIPVQIISRQPDRDHQIEMAKRLRCSSPALPLDMVVEIAERSDPITLLRCAAACKHLRRVISGAAGFSRNLRLRNADGFVPGLLRGFFLQPRRPSPNADYQPLRFVADGHAIVGGGGGGGTDQIQSFVSSSDRVYGSIRWRIEPVAARGGFVVLRTDDYEPTGKVCNPMTGYVRHIDMPTPWTSKSYLLLTGDDAGVTSELHPYRLLAVSLHRTGTRRERRRIHVEMEALSPDTGTCGPTTKIPVEIAGGGDYGSPRALLIRPPVVVDGVAYSLGGHPSLVFNPQDQRPLPYDYFILRVDVSFHRDGETETGSAASPATITRLPTELQLLSACTGEADVTPGQLLLVPSSCGGGDRKSLALLVGRRTQVEIWAMNFGGGGGGASARSASRLLSVSCTKVVDLTTTGVHRSPCSPPLPVPESDVVFVWSGEASGAVVLRLRGTLCLLDRRTMVVRELGEDFREFRARPNGVFLPYEVGVSSWVPSISP >OGLUM12G12890.1 pep chromosome:ALNU02000000:12:16890947:16893344:1 gene:OGLUM12G12890 transcript:OGLUM12G12890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLVNGVLNWVATPAMVASLLLFYPPYYLFKTVHSFLSYLFPDDLARKVVLITGASSGIGEQLAYQYALNRASLVLVARREWSLRKVADQAFELGAPDVIILPGDVANPEDCKRFVQTAIDHYGRCKSPTSLIYLDVNFWGSVQSTFEALPHLKRSRGRIVVTASATGWNPVPRMTFYNAANAALINFYETLRTELGSQVGITIVTPGWIESEMSKGKFLKDHGEMEVDQELRDAQIGLFPVEYAKNCAKAMVQAVRQGKRCLTVPPWFSTMYLWRVFAPEVVEFCYRLLYMHRHGGSQADAPSKKMAEAGGKKLLYPTSLRSDDIKDE >OGLUM12G12900.1 pep chromosome:ALNU02000000:12:16898666:16899175:1 gene:OGLUM12G12900 transcript:OGLUM12G12900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHWAATAEAGGRSRRRRVGRVGGDGEAAEEVGKRVESTAAMGRRWAEEEPTAKAGRRNRRRSRGGWRGVESAQMEHARICGRHQGRARRRRGGVGVGLRQWRGGVSRRRTAAQEEEAHRWGITMDFCAGTTPPALGALLGPPIFLAAKNLLKICFPVTKFPADYVLS >OGLUM12G12910.1 pep chromosome:ALNU02000000:12:16905877:16906224:1 gene:OGLUM12G12910 transcript:OGLUM12G12910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIAVRRIGAESQRCTPSSIDIQQTNTGKKVGALDTMFQVSVTNRCTCTVRTVFLRADGFTSAVAVDPKLFRQAGSAGYLVGDGRRIPSAKSVTFQYAWDHYFKMTPASIQAEC >OGLUM12G12920.1 pep chromosome:ALNU02000000:12:16923162:16924318:1 gene:OGLUM12G12920 transcript:OGLUM12G12920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIIARLVLPLSFFAILVFLLPAQGESQRCAPSSINIRQTNTGNKVGTLDTVFQVTVTNRCQCAAKAVFLHADGFTSSVAINPKLFRQAGADYLVGDGQRIPSGKSITFQVSIPEGERNDIHLLEFHALIETMVIDDTMKSFCHLFDE >OGLUM12G12930.1 pep chromosome:ALNU02000000:12:16928959:16929869:1 gene:OGLUM12G12930 transcript:OGLUM12G12930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRLVLPSFFVVIIVLLMAVQGESQRCAPSSIDVSQTNTGKKVGTLDTVFQVMVTNRCQCAVRAIFLRADGFASSVTIDPELFRQAGAVGYLIGDGRRIPSGESIAFQYAWDHYFQMTPASVQADC >OGLUM12G12940.1 pep chromosome:ALNU02000000:12:16942507:16943051:1 gene:OGLUM12G12940 transcript:OGLUM12G12940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQTNQMFLIAVVVSLMLSQGESQPCGPSSIDVQQINTGKKVGTLDTVFRATVENRCVCTVKAVVVQANGFTSSIPVDPKLFRKAGDTSYVVGDGQQIASTNSVMFEYAWSHYFEITPASVQVEC >OGLUM12G12950.1 pep chromosome:ALNU02000000:12:16945571:16946166:1 gene:OGLUM12G12950 transcript:OGLUM12G12950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSKPILALLCLMIAKGNCVCLRCMNDYVVVGQQLAENYHGELGGGGDEHTLYTFEVTVTNRCCCEVSNVVVAAPGFRSAVPVDPKLFQRIAGGEEKNYCLVGDGEAIPNNGSSVTFSYAWSTVFRMDVVSMTVSKCR >OGLUM12G12960.1 pep chromosome:ALNU02000000:12:16950386:16950961:1 gene:OGLUM12G12960 transcript:OGLUM12G12960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESKPLVVALLLCLMIAKGNCLCMGCMNDHVVVSQLADDRSKVGGGGSGGAGTLFKVTVANQCCCDVGHVVVAAPGFRSAIPVDPKLFRRNPGGDRESYLVGDGGTIPANGSVTFYYAWSSMFRINVVGMTVANCL >OGLUM12G12970.1 pep chromosome:ALNU02000000:12:16956943:16959987:1 gene:OGLUM12G12970 transcript:OGLUM12G12970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIELEAQCFSKVKKLQRATLEFEGYTYTWWKKFPHKHYVKCWEDLKKVMRKEFVPKEYELILLRCLKHVKQGTKSVQAYYDELYSCMYRANVIDDLDAIEYFKKGLNPKIAVAIEGRYARSVRGFLTNAIKEVKKVKKMQERIAKCVDLCQNVLSNVSASSSSSENILARSKEVSSSSQEFENVCADFDGKKAPRQCARKRQAITKCSSVDFSLEGLKFAQHEEGAVVEAETFQQEAIKDQCSTRVPCVSPTQIVQREYVIDDTTFMCSNAQSFHCLAKKTKVALEEVGDIGNIKRSQLGATVQYTQDDGLGEGVTKQGEGSQAMLLWDRESFLALIIMIVCAR >OGLUM12G12980.1 pep chromosome:ALNU02000000:12:16959667:16962836:-1 gene:OGLUM12G12980 transcript:OGLUM12G12980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPAPAPKDWAALPSDLLWRVFAAAGQEEILRGAGLACSAWRRAARDEPALWRRIDLHSDVAAHGADSSFSDDDDDDGDSDGYSVPVDDGSRSDALVEESDSNDAENNGDDAASVQSNHSSDDDDFGFSDAFVDVEESDDDDDVPRKESADYKAPEQCIALGLKSAMKSCIHLKSFAIRCADKSLASTYYHDDESQEAFTVPKKHGLRSLTLFGDTFTKPIILSVLNCCPKLRSLDVTNVAYLRMDEVEELRNKCLKIKDFRLFSPPPKVSSSESDDDCIGGCCCCDSWY >OGLUM12G12990.1 pep chromosome:ALNU02000000:12:16964385:16971773:-1 gene:OGLUM12G12990 transcript:OGLUM12G12990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPSQPQQQQQQQQHSGAPSPSQSQASPQQQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSIEDAVNRAYCIGNIYRNPIAFQEMYEAQRPPYNESFLFILRMEPCALIFGHTDWFNKFCPKQKPHHFPQIFPSSQSTSAPTMDAATNYTPTSSASTVAQNQLHQRQIFLMQQAQAQAQAQGQGHTPTPQQLSQQAMSFFPSNIDAHLPLPGPDSTPTSSASTVVQNQLHQRQIFLMQQAQAQAQAQGQGHTPTPQQLSQQAMSFFPSNIDAHLPLPGPIRFQQPLPQQPPPLPQQQPQQIHSWGPSLLQSWASLQQQQQAAVAAVARVQSPEVEMALQDVMQVCNPDIKTPFQSVKDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAQIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >OGLUM12G12990.2 pep chromosome:ALNU02000000:12:16964383:16971773:-1 gene:OGLUM12G12990 transcript:OGLUM12G12990.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPSQPQQQQQQQQHSGAPSPSQSQASPQQQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRTPFQSVKDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAQIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >OGLUM12G12990.3 pep chromosome:ALNU02000000:12:16964383:16971773:-1 gene:OGLUM12G12990 transcript:OGLUM12G12990.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAANPTPTSSSSSAAAAAAAAAAQHQQLQRQIFLMQQAQAQSQSQGHAPTPQQLSQQAMSRFPSNIDAHLRPLGPIRFQQPPQPQPQPPQPPPSQPQQQQQQQQHSGAPSPSQSQASPQQQQQQQQQQAAAMAAAAARVRSPEVEMALQDAMRVCNPDIKTPFQSIEDAVNRLLPYHVVADYEAEEDDRILDSDTTGQIPSRLQQWDHNILVKIAEFTTTFEKQVLAYNIMTKKRAIGEFRSEERLMLEQALLMEEKQAMMGLRAQIESREKAGREAAEAKMRMAMAEQARAEAQAHSEMIGHGPLRAHAAASQGEDGPSHEMMQEQGEDGWGNAQRDDEDPSEDFLNDENEPENGNSDMQEDWRRSGELDLNSR >OGLUM12G13000.1 pep chromosome:ALNU02000000:12:16974217:16974588:-1 gene:OGLUM12G13000 transcript:OGLUM12G13000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATGSVLTSGRSRCHRLLHPRPQPAPPRSPGLHIAWSRRTSSSSTSPGHDAYGQPQRLTGLRTRRAAAAPPASAPAGAIRGGRGKKKKEKEGKKDKEEGKKSREGKNLHIFCKMALVVFKN >OGLUM12G13010.1 pep chromosome:ALNU02000000:12:16985477:16987486:1 gene:OGLUM12G13010 transcript:OGLUM12G13010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKENQRISEHVPINSTMNKEEKWLDGALDRILEKFKQMEAKRMQEEKINWIFQKLEEIEVRRSKASEEMIAAIRATTAILKVASSPTPMAPPPPAPTNCLIECPNNNITWVMTNSSHIGEVLVPTADQELGDSEDKDDTPYIITKDFSEVTHDKCSTVGFVIKCGANQADDTFQAMTGASKVVPTYAQPMDNFSSRMNDDSKLITLISTRCSVKWHGEDKNIFYQVAMAEQSGKMGYESNLNGNPANHMVLNSKEEMLNENASFYAKVICEKQLAKGYRRTSSTGEGANSIQDEIYPFDLVSANVLEEAVYITSGYTWPCKCFMGGMRMPGNVLNHNTWTQQLSKLVCLLPNGWGS >OGLUM12G13020.1 pep chromosome:ALNU02000000:12:16995411:17000937:-1 gene:OGLUM12G13020 transcript:OGLUM12G13020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNISTGVMNSLLVKLEEVCRYDLAEFQFSTQFDFKNNIWNLKEELSCMNALLEKLPDMEALNIQERELRNKLMLPNLFGKIDEIKDHAVEEVKRVERYNLDVDESISSNPRQIDEIDDDISPVLCGEANSLVGINVPCEVITQLLMEDMEGESGQHPKVLSIVGLGGLGKSTLAIQVYNKIHGRFECAVFVFASRNRSASVILKDILSQLKYDGSADDIQSLIDATREKLACKSNNMQQQQQQLNISLRFLVVIDDISSIETWNSISGAFVENNSGSRIITTTRTKDVANACCSSFHGIVYKMKPLGWTDSRSLFFRRLYGSDNYIPEPKELVIVVDILRKCGGVPLAIVVIASLLASQGEVNKLDNWLKIKNSMGFELETNPNSKWMKHILKLSYNNLSWDLKTCFLYLHMYPENINIMKKDLVRQWIAEGFITQRDNRDLEDIAESYFRDLINRSLIKPVQFKYGEVVSCRVVHNLLLDLIVEKSTEENFVTVISTDQDCSRRGNFLIRRLNYRSNCGNIIQASESLHQVRSITYFGNWLHQRGESLPRLRMFKALRVLHLRIEGYFSFRAIYDLSPICNLFQLRYLKLEGIFFQFPDTIGELRYLQVVDINCGTNLVLVGGFLSDACLPSLRHLRTAGSEELGRGINRLTSIRTLEGINFCNCSIENIRHLGLLANLRTLGVIYNRRRGNDEDDLIDMVKFAALATSLRELGGCNLRCLDFRVVLEGNIRQPPISFLCSWSPPPQFLQRCHLYKAFHRVPYWIQQVETLTSLCLKVVELKGDDMRVLSRLPCLAYLDLQVFMVPGMEIIIDSVSFSVLKELKLTYGSSTSSLSIEPGAMPKLRIMHLIVFGQAEQDTKSLYGIQHLHSLEDVIITSNYNNVLVSFREALDRHPRIGSIQVCIGASSDL >OGLUM12G13030.1 pep chromosome:ALNU02000000:12:17004637:17005834:-1 gene:OGLUM12G13030 transcript:OGLUM12G13030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADERWLAVVETKALLRASLPDAGHHLNQQGGPTFAHRAPCGWPQRIGWSPPVMEMWEAGSK >OGLUM12G13040.1 pep chromosome:ALNU02000000:12:17009566:17011989:1 gene:OGLUM12G13040 transcript:OGLUM12G13040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPDKKRRNKLAAPAPPVPRLVRVRTGNRKKRKVASSPDKQPQPPVYMVLAHGRVEDEPTHSVIEVAAGAAARRLLDTGSGRGRGMSFAAVGTRIVGVGVELTTVYDPEASTERGGPRLVFPKVNPVLISISDDGSGGGGGGGGGGKLYALSRTPAVVRPLDFEPWFFVLDDLSHTVWREFPSPPLFPCRLNPLEFLDPPKVRVAAYALVGSHILLSVSVQQLQPQQQQEDKGTCAFDMDTEQWEMVHDSNLPFDGQALPLGSDEDHRFVAVASAAADVTVYRMVVGISAVTGKKELTIVALRVVVASNSKCRIVPGNLLCAMGKGSFASFEFRSIAAASMGKVGRARIVHRTYSLVDDGEDDWVVMVKKQDRQEKIVYAATRRKINILEFAK >OGLUM12G13050.1 pep chromosome:ALNU02000000:12:17019810:17026142:-1 gene:OGLUM12G13050 transcript:OGLUM12G13050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLGDKYKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMHHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEGENGSVQQLKVLSVVGFGGIGKTTLAKQVYNLLKKRFNFTSFVSVSQNPDMVKLLRNLLSDTGFQGYGILDDHQKLIDTIRGHLANKRYLVVVDDIWSTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATMCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVGKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERVKLSQPPISMFEHLRVLELRAYSTSVFLDLSDVSNLFLLRHLSIRGFKLKLPQKIGRLQCLRTLDLLDSLLVTGIPSDIISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKARRFNNGASGFTSFLTFGPLLAKFGCLFTAYSEYCIQLIIRKNIRNVPSWLWFSLNLRMLELHVEELSCRDVQFLAGLPCLVDLDLTAQATPENIIIDIITNRVTTRLGRITRTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLEDKKPSNLAKGHGTGGAAQHGSTPLTGIEHLPRLEEVQVTANSSKVSAYRDAVQRHPRFQDIHTLLSDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYKIDVSTSGDRFIRI >OGLUM12G13050.2 pep chromosome:ALNU02000000:12:17019810:17026142:-1 gene:OGLUM12G13050 transcript:OGLUM12G13050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLGDKYKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMHHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEGENGSVQQLKVLSVVGFGGIGKTTLAKQVYNLLKKRFNFTSFVSVSQNPDMVKLLRNLLSDTGFQGYGILDDHQKLIDTIRGHLANKRYLVVVDDIWSTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATMCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVGKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERVKLSQPPISMFEHLRVLELRAYSTSVFLDLSDVSNLFLLRHLSIRGFKLKLPQKIGRLQCLRTLDLLDSLLVTGIPSDIISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKARRFNNGGLRSPPASLEFQSFDDGWSTESILDHLSQHLDSPASSHSDLFSPNLVACSPHILSIASLWLQQLIIRKNIRNVPSWLWFSLNLRMLELHVEELSCRDVQFLAGLPCLVDLDLTAQATPENIIIDIITNRVTTRLGRITRTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLEDKKPSNLAKGHGTGGAAQHGSTPLTGIEHLPRLEEVQVTANSSKVSAYRDAVQRHPRFQDIHTLLSDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYKIDVSTSGDRFIRI >OGLUM12G13050.3 pep chromosome:ALNU02000000:12:17019810:17021362:-1 gene:OGLUM12G13050 transcript:OGLUM12G13050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTREAQPASYTLLSDEYKLLKGEWKDKVRELAYDIEDCIDIFLHQLHPREDKDRLVWKAVRKIKATMCLPAIKSPSKFKNSRHMSIYKIDVSTSGDRFIRI >OGLUM12G13050.4 pep chromosome:ALNU02000000:12:17021506:17026142:-1 gene:OGLUM12G13050 transcript:OGLUM12G13050.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNSSMGVMAPLLTKLAMLLGDKYKKLKGMRKNIEFLSHELTEMNAVLEKLADMEKLDGQQKLWRNDIREMVYDIEDCIDVFMHHLGDGNNKDGLLRKTARKLRNLRARYQIADKIQELKARVMQVAERRDRYANLGVSTSSIPKVVEVDPRLPALYEDAKNLVGIDGPCMEITQWLMDEGENGSVQQLKVLSVVGFGGIGKTTLAKQVYNLLKKRFNFTSFVSVSQNPDMVKLLRNLLSDTGFQGYGILDDHQKLIDTIRGHLANKRYLVVVDDIWSTQAWSIIRCAFAQNNHGSRVIVTTRIEDVATMCCVDFHGMVYKMEPLNEFNSQKLFCKRIFDSDSIPEQYKNVSEDMLRKCKGVPLAIISIASLLSSQGMNVGKWKKIHNFMGSELETNPTLEWMRHVLNLSYLDLSHNLKTCFLYLGIYPEDHTIFKADLIRLWIAEGFIHEKPGLDLEETAESYFNELINRSMIKLDDYRSSEAISCHVHDLMLDLIISKCQEENFITIASKQPVKNDVSELPVRRLCHQLSYGNLAMERVKLSQVRSYITFPAFGCSMQPPISMFEHLRVLELRAYSTSVFLDLSDVSNLFLLRHLSIRGFKLKLPQKIGRLQCLRTLDLLDSLLVTGIPSDIISLSSLCHLTVSGDAELPNGIQKLVSLQTLLTFNSGGLPDIFTIVEKISRFNSSAIRLAKARRFNNGGLRSPPASLEFQSFDDGWSTESILDHLSQHLDSPASSHSDLFSPNLVACSPHILSIASLWLQQLIIRKNIRNVPSWLWFSLNLRMLELHVEELSCRDVQFLAGLPCLVDLDLTAQATPENIIIDIITNRVTTRLGRITRTDNFPKLQKFVLTCDLACLTFEPGAMPQLQILKLEDKKPSNLAKGHGTGGAAQHGSTPLTGIEHLPRLEEVQVTANSSKVSAYRDAVQRHPRFQDIRATFNIYN >OGLUM12G13060.1 pep chromosome:ALNU02000000:12:17045566:17047098:1 gene:OGLUM12G13060 transcript:OGLUM12G13060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSVVPMVMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLRSACLGSATKLKAKLGNNIGDDPLVILDENQEVVDCLDGARMWWRLYPKASKNTGSTIISMFPGDTDEPRCYRLVFHKRHRQLVLKTYLPGIIRRWRELTAKDRQRLLFTNHSKQGEISMWTSVPYNPPSTFDMLAMDHAKKVEIMDDLRAFQKGKEYHSKVGKAWKRGYLLYGPPGTGKTTMIGAMANFLDYDVYDLDLTSVKDNAELRKLFLDTTDKSIIVIEDIDAIEVELTTKRKGKKMDNGDEVDNNHVLVELSNKTDDKSKVTLSGLLSFVDGLWSACGSERVFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRLDAFKVLAKSYLDITEHSLFGEIGRLLDETDTTPADVADNLMPRGKRNGEISRLIDEIDAPADVAGNHMLRCKRKRDADECLAGLVETLKKAKMESATPPMDTIEEEANKEEQT >OGLUM12G13070.1 pep chromosome:ALNU02000000:12:17047129:17081565:1 gene:OGLUM12G13070 transcript:OGLUM12G13070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAATSWPPRNRGDGVDICEARMHKSCLAKASKANDRYNFELWGWTTLSFFREPLGNFVPWTINAYKIFSRFIQRRQPRIGFHHLRTQGRSVA >OGLUM12G13080.1 pep chromosome:ALNU02000000:12:17077165:17082975:-1 gene:OGLUM12G13080 transcript:OGLUM12G13080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSTSMGVMAPLLGKLATLLGDRCYKLKGVRKDIEFLRCELAEMNVVLEKLADMERLDGQQKLWRDNVREMAYDIEDCIDVFMYHLGDGHNKDGLLQKTARKIRKLHVRYQIADKIQELKTRVMEMAERRSRYMLVSRVGCTWAADCTTGCLQEIEGAIIWQRQWRRLASYIGLGDATTLSPKVVEADPRLPALYEAAKNLVGIDGPRDEISQWLTEEGQSGPTPQLKVVSIVGFGGLGKTTLANQVYNQVKDKFNCTSFLSVSKNPDFTKKKDYNQELIDTLRVHKIPDDNQKLIDTLREHLANKRYLIVIDDIWSTKIWNNIQCAFVQNNYGSRVIITTRIEHVATKCSFDFHGMVYKMQPLDEVNSRSKISEDMLRKCKGVPLAIISIASLLASHGMNVERWEKVQNYLGYELETNPTLEWMRHVLNLSYSDVPHDLRACFLYLGIYPEDSKIFKVDLTRLWIAEGFVEEKAGLDLEEAADSYFNELINRSLIEPNNNRLGEVVSCRVHDLMLDLILSKCQQENFITVATKQSILNDRGFPVRRLCCQLSYENLEMERMEVSQVRSFITFPVRGCSTQPPISKFEHLRVLNLVAYVAPTLLDLSAASNLFLLRHLRIRGFQLIMPQKIGRLQCLRTLDLLCSLLVTGIPSDVISLSSLRHLTVSDVLQLPNWIGKLVSLQTLFTFDVGTMHFQDTVSVGAGDDVSTMHFRDTVSVRPGDDEPILTKLDKPKFVLDQERRDIIDRIKMSARVIRAALQFKGDSSFENFCSTYLGDYSPGILDGAQKSLHRLIIRKSIHTVPEWMEQCDKLTMLEIRVKQLQSTGVHVLSNLPCLIDLDLTAQATPEDDIEIYTHRFPKLEKFILACDFLPCLKFCIGAMPQLQTLKLDDRRPAQLEQGCSSSSGAAAAQYGSSPLVGIEHLLKLEEVEVTANSSKVSAYRDAVQRHPRIQDIHVTFNTHDGSARTPK >OGLUM12G13090.1 pep chromosome:ALNU02000000:12:17089994:17091289:-1 gene:OGLUM12G13090 transcript:OGLUM12G13090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPFTILDPWEFLQALLVQLVWDLDAQMVQISSHLLQPTDYQYMRAQCTKQHQEVDATLLLFGMVQLTKIASSWVSGLLASSFTRGTSNTMGSRRFSSSAWGQAEFQEEGNVSVSGGLHMGR >OGLUM12G13100.1 pep chromosome:ALNU02000000:12:17116685:17120155:1 gene:OGLUM12G13100 transcript:OGLUM12G13100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSCGGGYRTQTINGRKGRFVRLEQQEDQERQPAATTMDGGGGGGRVQHVMDSYFSSAPKIRTRSVRMAAAGVMSIGGYRAERLKSIGRVFQEDLTNMSQKIFDPQDAFLVRMNRLFVMACIVSVAVDPLFFYLPAVTATDSNTCIGFDRGLATGATAVRSAIDLFYLARIALQFRTAYIAPSSRVFGRGELVIDPAAIARRYVRRFFVVDLLSVLPLPQIPIWNFLHRPKGADLLPTKNALLFIVLVQYIPRLVRFYPITSELKRTTGVFAETAFAGAAYYLLLYMLASHMVGAFWYLLSIERLDDCWRENCKVLKFHQCKKYMYCGGGNLGQSGFLEWRTMIRQVLVMECAPADEAGTGFQYGIFTTAIQSGVVSTTNLVAKVLFCLWWGLQNLSTVGQGLKTTHYKGEALFAIFLAVFGLILMALLIGNMQTLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTEATYILREGDPVDEMLFIIRGRLESSTTDGGRMGFFNRGLLKEGDFCGEELLTWALDPKAAANLPLSTRTVKAISEVEAFALHADELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRKAAEQRRREEEEEEEEAASALSSCQITTTVLVSRFAKNAMRGARRQRSRRDANLIVLPKPPEPDFQTMEY >OGLUM12G13110.1 pep chromosome:ALNU02000000:12:17120375:17126683:-1 gene:OGLUM12G13110 transcript:OGLUM12G13110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVVADEVTVLHGGVVVTMDGGFRVFRDGAVAVAGDRIAAVGPSADVLSSFPRAAATVDLAGRILLPGFVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQYVSEMARAVELLGLRACLTKSIMDCGDGLPPNWSSCSTDDCIQSQKDLYKKHHNTADGRIRIWFGLRQIMNATDRLLLETRDAAQKLNTGIHMHIAEIPYENELVMRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNKPEIGHFLKADVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLACLINKGREAYITGTTNPTALPAETVLKMATINGAKAVLWDNEIGSLEVGKKADMVVVNPLICIANIVYCMRTENIESVMCNGRWIMREKKIVNLNEEEVIASAGKRASDLLARAGINLPNRMNYL >OGLUM12G13120.1 pep chromosome:ALNU02000000:12:17127586:17129281:1 gene:OGLUM12G13120 transcript:OGLUM12G13120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATKWFMVLIQETRAKAEACWLPVLIQQAAGRGSDVAVVLEVCKKAATTTTAVGGRDLDATAASEVCKAADMMHKEVAAPADPIQEGAMEDKAYRPPILIPASTSGDFGGGSQIICRLVSMNAARHTCGT >OGLUM12G13130.1 pep chromosome:ALNU02000000:12:17139135:17140379:1 gene:OGLUM12G13130 transcript:OGLUM12G13130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFGLLWSRLPERVHNETRNIITSWSPMLAAYLNPYEQITISQYTDDEQFRRNELFDLATAYLNSTCMKAGHKLKAEIGSNGQDQDQDNTLTLFHLAENQEVVDSFQGARMWWNLSFDEHREHKLVLVFHKRHRELVQSSYLRHIIQQERELRRLHRQSHIPWNHPATFDTLAMDPAMKDEIKDDLTLFQEGKEYHSKVGKAWKRGYLLYGPSGTGKSSMISAMSELLRFDVYDLDLTTVRSNDDLRKLFLETTEQSIIVIEDIHTIEDDLITLCKDKKATNGGELPFEFENEKGKVTLSGLLNFVDGLWSPFGGERIFVLTTNHVDKLDPALIRQGRMDMHIEMSYCRFEAFKMLANNYLGVTEHPLFAEIQQLLETTNTTPAEVAHNLMPLRKWLII >OGLUM12G13140.1 pep chromosome:ALNU02000000:12:17154651:17155756:1 gene:OGLUM12G13140 transcript:OGLUM12G13140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNLTVFRLAENLEVVDSFHGARMWWNLSFDEHQEHKLVLVFHKRHHEGKKYHSDDGKAWKRGYLRNGPSGVGKSCMITAMSKFLWYDVYDYDLDLTIVRKLFLETTEQSIIVIEDIHVIEDDLITLCKDKKATNGGELPFDYENEKGKVTLSGAAQLRRQAMVSIRRRVHLCAHH >OGLUM12G13150.1 pep chromosome:ALNU02000000:12:17156903:17158659:1 gene:OGLUM12G13150 transcript:OGLUM12G13150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRFLRAGKRSRSTDERLAGLVETLKKAKLESGTPRTSPIRRPTRTVDRSTGRELPGASH >OGLUM12G13160.1 pep chromosome:ALNU02000000:12:17213115:17224246:1 gene:OGLUM12G13160 transcript:OGLUM12G13160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWNPSKDSDHVNSPDGHIHPQHYRLVFHKRHRQLVQDTYLPHIIDKGHELRTNNRKRQLFTNNEPIISMWSHIPWKHPAMFNTLAMDLDKKAEIIQDLTLFKEGRDCHSSVGKVWKRRYLLYGPSGTGKSSMISAMANFLCYDVYDLDLTTVRNNTDLRKLLLETTEQSIIVIEDIHAIEDDLITTRNQLPPYFDRGNKITLSGLLNFVDGLWSACGGERIIVLTTNHVDRLDAALIHRGRMDKHIEMSYCRFEAFKVLASNYLSITEHPLFEEIQQLLNETNTTPADVAHILGKRSRSTDERLAGLVETLKKAKLESGKPRKSPIRRPTSRWPSVRVWTKDASRVPMYIVTTTLEGGGPGRSKRTPTRSIR >OGLUM12G13170.1 pep chromosome:ALNU02000000:12:17224799:17225360:-1 gene:OGLUM12G13170 transcript:OGLUM12G13170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAHLSVSLPAGVPSRRFCRLTVVSSPEVAGIDASGVANGGGLRSLGAHWNLIAAWRGLGNSGNGKDSPAVVDNVGFTATARLGGGMLREGAWVSVAHRGERDWRWHSVTGVGEDDGDLPRLCTILKIS >OGLUM12G13180.1 pep chromosome:ALNU02000000:12:17228133:17228902:-1 gene:OGLUM12G13180 transcript:OGLUM12G13180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEVEAGTEGGGDEEAVEEVGKRVESTAAMGRHWAEEEPTAEAGVWVESEVGRRGGFGWS >OGLUM12G13190.1 pep chromosome:ALNU02000000:12:17232361:17233739:1 gene:OGLUM12G13190 transcript:OGLUM12G13190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVEKWVGFGSAVAGFALLWSRLPNRVHDEARHIITSLFPMVLAYFNPYEQITISKYSDDEQFRRNKLFELIAGSCIAINTRPWCSGKHELVFVFHKRHRELMQSSYLRDIILRGHEPGTKSRLS >OGLUM12G13200.1 pep chromosome:ALNU02000000:12:17233782:17243142:1 gene:OGLUM12G13200 transcript:OGLUM12G13200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSCGATSHGNMHPATFHTLAMDPAKKDEIKHVVSGGEEIALRRWKRGFLLYGFGSAMAGFALLWSRLPDRVHDEARHIITSLCPMVVAYFNPYEQITISDYIDDNKTKLARNKLFDLVAVYLSSKCMEGAHKLNAKLGNNGDTQFSLDEKQEVVDSFRGTRMWWKLSTASDAISSSYGKMIHPRHYMLIFHKRHRQLVQDSYLPEILQQGRALTAKNRQRRLYTNHKNHMNMWTHVPWKHPAMFDTLAMDPGKKDELIEDLKMFQKGKEYHSKVGKAWKRGYLLYGPSGTGKSSTISAMANFLGYDVYDLDLTTVRNNTNLRKLFLETAEQSIIVIEDIHAMELEDEHMSTGLQRYGYDREKITLSGLLNFVYGLWSACGGERIIVLTTNHVDKLDPGLIRRGRMDKHIELSYCRFEAFKVLANS >OGLUM12G13210.1 pep chromosome:ALNU02000000:12:17243203:17246329:1 gene:OGLUM12G13210 transcript:OGLUM12G13210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPADVAHNLMPRGKRKRNTNKCLTGLIQKLKKAKLESGTPLPRPSPTDRPSRKLVIDSFPGAHTWWNQLKDKREHYIMTSVFDLKRINVPNKTMMDLSVEELLQSVLFFPEVRWWP >OGLUM12G13220.1 pep chromosome:ALNU02000000:12:17253141:17254657:-1 gene:OGLUM12G13220 transcript:OGLUM12G13220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASVEKWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSLVPMVMSYFNPYEQITISKYGEERFQQNKMFDAVSTYLSSECAGGASKLKANLSNNRKDKPLITLDENQEVADTFEGARMWWRLYPKDRGGGIIVSLYPRDTDEPQCFRLVFHKRHRQLVLNSYLPSVVTRWRELSAKNRQRLLFTNHTKDGKSDWSNIPYNPPTTFDMLAMDPEKKYEIINDLTAFQEGKDYHSKVGKAWKWGYLLYGPPGMGKSTMIGAMANFLDYDVYDLDLTSVKNNAELRKLFLDTKDKSIIVIEDIDAIEVELTTKRRGKKAANGNEINDDNCVLIEFSDKNDDKGKVTLLGLLSFVDGLWSACGSERIFVFTTNHVDRLDPALIRPGRMDKHIEMSYCRFEAFKMLAKNYLDITEHPLFCEIEQLLNETNTTPADVADNLIPRGKRNNEISQLLDGAPADVANNLMLRCKRKRDADECLKGLVESLKKGKVHSATPPINTMDQAK >OGLUM12G13230.1 pep chromosome:ALNU02000000:12:17254978:17257344:-1 gene:OGLUM12G13230 transcript:OGLUM12G13230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGDAMESGARDVLSLCARMNAHFSVGSGSPSCRLDSEPIAAIDSASVEAAAIWIMPSSLSTPDLESTLNIQAHDSQILLCDVTRTTGREVAGRWMHWAASPYRLEPEALNASSFRSRVAKPQMPSSLQLEDDSGIVAARLGKEIQMGLVRLRT >OGLUM12G13240.1 pep chromosome:ALNU02000000:12:17257380:17267652:1 gene:OGLUM12G13240 transcript:OGLUM12G13240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRLGGPAFAARRRRRLAGVGITLMTQLQNREYGTRALARPRQGYMVAAFTGSTVVNHAPNLLTHAFTPILLLPRGNREEGERGAGSPVAGR >OGLUM12G13250.1 pep chromosome:ALNU02000000:12:17268003:17271020:1 gene:OGLUM12G13250 transcript:OGLUM12G13250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHRGEGRLGHGGGDVKKRTTAPALCSDWPARAACTRGGCSRVGRRRRRVTARRRLAVVGKAAAPVAEDHGRRRAHDTYRRRPHGGSRRFRLGLGRLGLRREWKGLERKNWAKRKSFWPKGIGLSLLIDGARMQQGDSTLLHGTSRLASSSRPGLR >OGLUM12G13260.1 pep chromosome:ALNU02000000:12:17285497:17287095:1 gene:OGLUM12G13260 transcript:OGLUM12G13260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETWVGFGSAMAGVGLLWSRMPEHVHEEARYIISSLVPMAMSYFNPYEQITVSEYGEERFRRNKMFDAVSTYLSRVCAGGACKLKAELCNNTKDDPVVTLDENQEVVDSFDGARMWWRLCPKASKNKGAINVSYYPGETDEPRCFRLVFHKRHRQLVLSSYLPSVVRRWRELTAKNRQRRLFTNHASEGNKSVWTSVPYNPPATFDMLAMDHTKKVDIMEDLTAFQKGKEYHSKVGKAWKRGYLLHGPPGTGKSTMIGAMANFLDYDVYDLDLTSVKNNSELRKLFLDTTDKSIIVIEDIDAIEDELTTKRKGNKVVNGDDEIRDKRMLVEFADKNDEKSRVTLSGLLSFVDGLWSACGSERVFMFTTNHIDRLDPALIRPGRMDKHIEMSYCRFEAFKVLAKSYLDITDHSLFAEIGRLLNETDTTPADVADNLMVRSKRNGEISRLLLDDEMDGSPPADVANNFMLRCKRKRDADECLAGLVQTLKKSKMESATPPMDIIEEEAKEEHNLTQKKATEGGGYMMNARC >OGLUM12G13270.1 pep chromosome:ALNU02000000:12:17288052:17292404:-1 gene:OGLUM12G13270 transcript:OGLUM12G13270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHGEGPRGGASTVAAAGAHGRPLLVSVPVAMTELSRSTIGCWVGAMTTLGTGGLGIGTVAAASD >OGLUM12G13280.1 pep chromosome:ALNU02000000:12:17298134:17301029:1 gene:OGLUM12G13280 transcript:OGLUM12G13280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLYRFNILSASKSTRGRKEEEAEKATKNPIPQKRNPEFKPTKSLWVHSHRLFSFRFAARFRRARARARGERGIATPRPNPTTTPPPPPRPRRPLVAGLRRRLRLVIHQKAFVRTGFRAMRVSSVSSTPPPPAFAAAAWAVVLLAMLRSDVALAAAASSNDDTGLSPLMPPPPLAAPVPAAVSPAPATPPAVLSPRKLLRPPGADVVGVGVVSGSGGGGGGGGDGVRTRRVDDGCAGADDIAIYQGRATPLPSGVPAYTVDVMNRCAGGGGDEECAIAGIHVRCGWFSSVSLVDPRVFRRLGHDDCLLNDGRPLLAGETVSFEYTNSFPYKLSVSVATCVVDPAAP >OGLUM12G13290.1 pep chromosome:ALNU02000000:12:17309580:17315048:1 gene:OGLUM12G13290 transcript:OGLUM12G13290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLPRACHVGHECSAATHGAPQSNNDMVPHHPELLEVNLRRLSSGGGGVERPVEWDRGARGVVGHRRWSSWPSTKNCAATAAPSPAPRSLSPFFHHRFAPLATAASKGCDKRRTGGGGGNGVGLRPAAELLPVGLVAAVDLEGGEGRRLRDGDAGREKRSVGCNSVLDFLLDRKCQSSSTTHHAQVTPSIFCPPLYAHDH >OGLUM12G13300.1 pep chromosome:ALNU02000000:12:17320459:17322613:-1 gene:OGLUM12G13300 transcript:OGLUM12G13300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHYTMKKPRLLLLSLEVILIALLLPLHGAHCESSTQGEGGGGGAGAGAANLTVTGTVFCDACSSSSFSNHSYFLPGVKVRIDCMISVKSASKEEIKITAEKVTNTFGAYQLDIPAIDGFECATSAAAAADSFCRAAVIDNPSPLCNVPAVTTTVGHISSSSSGHDHDQPNACLYNLNSLYYRPGGAKNGGQCGGAGGGGDVPPAALNTSLFYCPPWPWPPIPFCTPRPWFPPIPFLTPPSPPPPAFPFPLPPWPWAPPPAALPPPAFPFPHLPPIFSPPSPPPPPPPAFPFPFPQLPPLPHFPPLPSFYPSPPPPPPPPPPPPPSFPWPFPPLAPLFPPYPSPPPSMYSRKDPSTWSSSSKQQP >OGLUM12G13310.1 pep chromosome:ALNU02000000:12:17363234:17363857:-1 gene:OGLUM12G13310 transcript:OGLUM12G13310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASARLCPRSTSPLRTTPSATSSNAIDPTTTFPTLLQQVGLSAKKRLAASRRVWESRERYTLEAFRSKVAEFESTRHAALPKNPTHLQLEALFLGGLCLQDLPCRVRQQHAQLRLRLLQPPLCPGWCACSARLSVVRLVRTLGEGAQASKARMRADGEPEYLVDYWMQETVREINEAKAARKPPPMQTISPLCRIFSPLSFPFVPAR >OGLUM12G13320.1 pep chromosome:ALNU02000000:12:17364902:17365690:1 gene:OGLUM12G13320 transcript:OGLUM12G13320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMQVQGHPLHLAAAAVYVRLVVDNLTTTASPRRSHRLLRLLRLRRHHDYRRLRFPAAVAAALDDLEDLYSQQGRPGGEPITMAAAAAAAFARHGIRRERARHVARLRAAASLRLSVAGAIRGLAVRSTATRRCVETARGLIRQTQRLLPVGERDLDGGGEATTTERVVTVVEFLATFQGMEAELEADMEAMGPEHERLLRRHDAAVGAELAEAAALEAIPELPPATEEEAQLVREACRRVLSDLVVLIGFFKAVANYLRD >OGLUM12G13330.1 pep chromosome:ALNU02000000:12:17376395:17377075:-1 gene:OGLUM12G13330 transcript:OGLUM12G13330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTIGTKVTIIIRWLNSPSDNEELSKQELAKYFHFHLLAIVILPLLSFAQAQQPQTPPRRNPPRAPPSPRRAPPSVSVEPTPAPVIAPSPPPVVAPSQPPTVAPTQPPTPSGGSQCPRENVIAVNVCAQLDLSTLLNNPTKAMQDCCPPINSLSSTIATGCLCEAAKINFGVTADVLFLEAVLSVCGKAELGNLGCFL >OGLUM12G13340.1 pep chromosome:ALNU02000000:12:17399236:17399754:1 gene:OGLUM12G13340 transcript:OGLUM12G13340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRVSTVIFLAAIILPFLSFAEAQQPQTPPRRNPPRAPPSPRRAPSPSEIAEPTPTPVIAPSPPPVVAPSQPPVVAPTQPPTPSGGSQCPRENVIALNVCGQLDLSTLLNNPTKAMQDCCPPINNLSSTIAAGCLCEAVKISLGVTADVLFLKAVLRVCGKAELGNLGCFL >OGLUM12G13350.1 pep chromosome:ALNU02000000:12:17428497:17428856:-1 gene:OGLUM12G13350 transcript:OGLUM12G13350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHEREPVNKVDVEARGGGGQQRAAAAAGERMWLTWSCLAMAARVVAMGVVGAAVLVWWAVVFHPAHAQVAVDGAHWPRPSRHPARRLTLPLCLRRVPPTQQPPYRPGSGPAALRRER >OGLUM12G13360.1 pep chromosome:ALNU02000000:12:17444058:17446472:-1 gene:OGLUM12G13360 transcript:OGLUM12G13360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSM5] MAGLSLQHPWAFAFGLLGNLISFTTYLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEALLITINAAGCVIETIYIVMYLAYAPKKAKVFTTKILLLLNVGVFGVILLLTLLLSHGEQRVVSLGWVCVAFSVSVFVAPLSIIKRVIQSRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMGLYVFYMNATPVAGEGKEGKGKLAAAEELPVVVNVSKLAAATPDRSSGAVHVHPVPRSCAAEAAAAAEPEVLVDIPPPPRAVEVAAV >OGLUM12G13370.1 pep chromosome:ALNU02000000:12:17484157:17486604:1 gene:OGLUM12G13370 transcript:OGLUM12G13370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPKYFTVSVCSSFSQEMAALSVFASSILSKVTTFAVEYALDDIKLACTVRSEMEKLRNSLKAICAVLKDAERKQSTSSSLKYWLENLKDVVYDIDDVLDDVGTRALQQKVRKGEIRTYFAQLCIFPFELGRKIRRVRERLNEISALKRNFDLKEEPIDTPSDRIVQRETYSIVDERKIVGRDKAKNDIVKVISEAAESNSDTLSVLPLIGMGGVGKTALAKLVFNDKRTKEKFDKMLWACVANVSDLKQIVDIIIQSDSGESNKQLTLEALQKKLHELLGDKRYLLVLDDISHDNINDWEELMNLLPSGRSGSMILITTRLSKIASVLKTIEPYEVPKLSHEECMKVFVRYAFKGEKAKDTELLKIGESIVQKCDGLPLAVRTLGSLLSMEDISKWQEVKETNIPNTDILSVLKLSYDALPSDLRACFASLSTFPKDYEIFRELLIMYWMAMGLLNTASGSKEAIRMGERYFSEFAGRSLFQDYVFSHDGTISHCKMHSFVHDLAISVSPNEHATISCENFSASKRVKHLVWDQKEFSKDLKFPKQLRRARKARTFASRHNYGTVSKSFLEDLLATFTRLRILVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKYLPNSLCKLVNLQTLQLAWCKELEELPRDVKRLVSLRYLILTSKQQYLPNDALMGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPNLPSLPSSMNRLVTLQKLVIHNCDELNLMEPKEAMGGMKSLKSIELVGLPKFETFPDSFASAASTLEYLKVSDCKEFKKLPDFIQRFSSLKKIEIPERRQYSSITWA >OGLUM12G13380.1 pep chromosome:ALNU02000000:12:17491665:17494073:1 gene:OGLUM12G13380 transcript:OGLUM12G13380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLSVLASSILSKVTTFAVEYALDDIKFAWNVKSELEKLKNSLGAICAVLKDAERKQSTHSSLKHWLENLKDVVYDIDDVLDDVGTRVLQQKVRKGEICTYFAQLTIFPFELGRKIRKVRERLNEIAALKRDFELKEEPIDTPSDQFAQRETHSLIGEQNIFGRDKAKNDIVKMISEAAESNSNTLSVLPLIGMGGVGKTALAKLVFNDKSTKDKFDKMLWASVSNAFDLKHIVNIIIQSDSGESNNQLTLEALTKKLHELLRDKRYLLVLDDISNDNVNWEELINLLPSGRSGCMILITTRLSKIASELKTLEPYEVPKLPHEECRKIFVRYAFRGEKAKDRELLKIGESIVQKCDGLPLAARTLGSLLFRKDISMWQEVKENNLLSTGKGKDDILSVLKLSYDALPSDLKTCFSWLSTFPKDYDIFRELIIMYWMAMGLLNPASRTKEAIRVGEKYFNELAGRSLFQDYVFNHDGSISHCKMHSLVHDLAISVSQNEHAVVGCENFSATERVKNLVWDHKDFTTELKFPKQLRRARKARTFACRHNYGTVSKSFLEDLLATFTLLRVLVFSEVEFEELPSSIGNLKHLRYLDLQWNMKIKFLPNSLCKLVNLQTLQLAWCKELEELPKDVKRLVSLRYLILTSKQQYLPKDALGGWTSMVFLQISACPMLTSLTEGFGSLSALRELFVFNCPKLPSLPSSMNRLVTLQKLVIHNCDELDLMESEEAMGGLNSLESIELAGLPKFKTFPDSFASASSSLQYLKVSDCPQFEELPDFIKRFSSLKKIEIPKSRAPSTITWA >OGLUM12G13390.1 pep chromosome:ALNU02000000:12:17495897:17496947:-1 gene:OGLUM12G13390 transcript:OGLUM12G13390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFVSTADPDGIAALDDDALLPAMDVFVTTADPDKEPPLAMANTVLSIYPRRGLPRRQVVQVLIDSAGSAPQLGIADGSKLIDVASVDVRLPALVYVCREKRRGHVHHRKAGAMNAPFILDLDCDHYVNNSQALRAGICFMIECGGGGAAEDAGAVAFVQFPQRVDGVDPGDHYANHNRVFFDCTELGLDGLQAPSTSAPAACSAVSRSTASTCRAGDRGVHWAVAYSEKTSGYGPG >OGLUM12G13400.1 pep chromosome:ALNU02000000:12:17498707:17501207:-1 gene:OGLUM12G13400 transcript:OGLUM12G13400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGVRDAPVAWLCGIWQKAAMVALQGNKSWFGLAGGGATVRLRCVLAEFVRVMEPGGGSGSKKIRKKNYRSSMGTWKAKPEEEIWLTGERREVYLYFHGATGGCSGNGGGGGCSGQTASA >OGLUM12G13410.1 pep chromosome:ALNU02000000:12:17526251:17529838:1 gene:OGLUM12G13410 transcript:OGLUM12G13410.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKKLPPGFRFRPTDEELVVHYLRRRALGSPLPPAVDIPDVRLLAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVSVVAAPRSQAAAVVVGMKRSLVFYRGKPPTGKKTDWVMHEYRLAGAGLAPCRRAATADHPARPAEGWVLCRVFRKKGSAAASTASPTADADDDDATTERADDAAAGVRFIDFFARADARRRRAASPVSSSCVTDASAEHCREQETTSRNGGAAAGDASD >OGLUM12G13410.2 pep chromosome:ALNU02000000:12:17526251:17528958:1 gene:OGLUM12G13410 transcript:OGLUM12G13410.2 gene_biotype:protein_coding transcript_biotype:protein_coding METTAAKKLPPGFRFRPTDEELVVHYLRRRALGSPLPPAVDIPDVRLLAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVSVVAAPRSQAAAVVVGMKRSLVFYRGKPPTGKKTDWVMHEYRLAGAGLAPCRRAATADHPARPAEGWVLCRVFRKKGSAAASTASPTADADDDDATTERADDAAAGVRFIDFFARADARRRRAASPVSSSCVTDASAEHCREQETTSRNGGAAAGDASD >OGLUM12G13420.1 pep chromosome:ALNU02000000:12:17542565:17550445:-1 gene:OGLUM12G13420 transcript:OGLUM12G13420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGEAESSSSSTSNTASASGKQQRNQEEARAGAGSR >OGLUM12G13420.2 pep chromosome:ALNU02000000:12:17543302:17550445:-1 gene:OGLUM12G13420 transcript:OGLUM12G13420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGMFGGAGAFWATRALEVVKRNDSPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGEAESSSSSTSNTASASGKQQ >OGLUM12G13430.1 pep chromosome:ALNU02000000:12:17553816:17554582:1 gene:OGLUM12G13430 transcript:OGLUM12G13430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGVEEARRGGDVGEGGPQWRKMASRRHSEVRGEDRAREREKSQVGAEEEVDGHTYCRSTRAAGVHPGGAKAAGRSGDDGDGEAEGEDEGSSRFCPPRGDDSPAHTRPTRAVSQSGAARGGELEGDPAEGWPTSPTQCRRRRPPRPPETTTTTPSSRPASSPLGLLQREGRGRGRAAVLCDLEEKKIRMILRRGVR >OGLUM12G13440.1 pep chromosome:ALNU02000000:12:17555527:17564487:-1 gene:OGLUM12G13440 transcript:OGLUM12G13440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALTAAAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIHNAEDNEYPSDVEPALEFVIIKKDHCYRSCLNSSSNVESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIHAVYGYSKKLPTTTIILPLKSNKILPVKNELSSTHPEILLFLSKIRQLSVREINDDPKASKLSQISISSEVDYKTQKDINAESYTLHLAMQENKRGDKEECTYYMWKQKFVVKPECRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILLDSQWNRGILDCVPYAFVSAFEALLKSSSNAPSFALPPIFRFLPVATSSIPVFDSVRLSIKNNIATKDIMPCESFDTQKVFCKPSEVARLDGAFWRILIMAKKRGINLQNLCSHGTSVLNSYLDSKEYNDVLGFLGVGYVNHEWYGKFIDGSNLVTQAPEDIYLEILSFIADNWWLKRTQIIEWLQKIAKLEIRNLYEYAFAASKTIYKASSAIAYCHFLYHSHANKYITEGSIINLCHAMPLVDKCGSVIKQKNALLVPAEGSNWFTLIGTNPWRSQKYIDISADYISSGTYAGNYTPEGQLITFLRTYAQAMDVPFMHPPNAKMGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLHIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNGSWLKTSIGYSSPSRSFLLPAHSGNLGQIIPVFSDVAIIDQEFYENKISAYKDELHSLQMHLYILSTILCQNHQTFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTILMLKCLQYAETPQHFVKKLKELKWLKTCLGFRAPPGTFLVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRKERGVAMLECYRELSTKHGKLPVDLANCMKHERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGVIVDARAGCALMAQSLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLLEDLFRMQAEQPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTRDESEDLKLDHSLTMVQKGTVIKPGLLRIILAFLADPALDFPAEKRHEMVSCLTNVVVYETAMPLTASYQVGLSSGRSLNVKSARIFRWEREESRIFMTRNYGSVSLENAERVQCAAYFAEEISKGLLFERTDRVPALAELIMAGFLLDFDVPAVRFLLKFKNVRLLEDDEQFCSYLA >OGLUM12G13440.2 pep chromosome:ALNU02000000:12:17555527:17564487:-1 gene:OGLUM12G13440 transcript:OGLUM12G13440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAALTAAAAREHVERIRRERFYIGREERNPLAEDIHQAVTYLSQELYSKDLHFLMELIHNAEDNEYPSDVEPALEFVIIKKDHCYRSCLNSSSNVESICRIGKSTKKGNRHLGYIGEKGIGFKSVFLVSSQPHIFSNGYQIKFNEEPSEDCDIGYIVPKWVDEKPSIDDIHAVYGYSKKLPTTTIILPLKSNKILPVKNELSSTHPEILLFLSKIRQLSVREINDDPKASKLSQISISSEVDYKTQKDINAESYTLHLAMQENKRGDKEECTYYMWKQKFVVKPECRDKKRMEVDKWVITLAFPHGQRLSRGARSPGVYAFLPTEMVTNLPFIIQADFLLASSRESILLDSQWNRGILDCVPYAFVSAFEALLKSSSNAPSFALPPIFRFLPVATSSIPVFDSVRLSIKNNIATKDIMPCESFDTQKVFCKPSEVARLDGAFWRILIMAKKRGINLQNLCSHGTSVLNSYLDSKEYNDVLGFLGVGYVNHEWYGKFIDGSNLVTQAPEDIYLEILSFIADNWWLKRTQIIEWLQKIAKLEIRNLYEYAFAASKTIYKASSAIAYCHFLYHSHANKYITEGSIINLCHAMPLVDKCGSVIKQKNALLVPAEGSNWFTLIGTNPWRSQKYIDISADYISSGTYAGNYTPEGQLITFLRTYAQAMDVPFMHPPNAKMGKWLKTSVGYKSPSESFLSSVGWGSKLQIQFIFSGVPIVDEEFYGSKLRGYVEELRAIGVQFEFANANLHIADQPLSMENVILLLQWIKDLRSIGVQLPHNIRSYIRNGSWLKTSIGYSSPSRSFLLPAHSGNLGQIIPVFSDVAIIDQEFYENKISAYKDELHSLQMHLYILSTILCQNHQTFIRNLTENNLTSVNFIEKIKKGCQFKTCLGNRLPVNSILFNSEWENASVISSLPFIDTLFYGEDILEYKPELELLGVHVAFKQNYQLLVDNFSLIRDQITPDVTILMLKCLQYAETPQHFVKKLKELKWLKTCLGFRAPPGTFLVNDDWKCLLNIVDDVPLLDLKFYGDEIRVYAGELRKVSVIVGFIEAKERGVAMLECYRELSTKHGKLPVDLANCMKHERWLHTSLGFRAPQEAIIFGSEWEHVSKISNLPFIDDYYYSEYGQGKGISIYRDELMALGAKAELKHGAPFVISGLKIPHDASAITPEAVISLLKCIRSWKMLGSALPDNFMSSINLRWVKTTAGYRHPKNCLLFGPACSSLHRDDGPFVDEVFYGQEILSYESELHTLGVIVDARAGCALMAQSLKSCSNGDAISRIYSYLEALRWKPRNANDNWIWVPQGSDNGQWVSPDRCVLYDRNSLFGSQLHVLVTWYDYKLLRFFKTVFGVKGHPTIGDYCRLWIMWQNSKSTPTPKDCAAFFEFVDKNWNTEIGKYLAGSITKVPVCSEDRILLLPKQDVFIPDDLLLEDLFRMQAEQPLFVWYPPASLSLLSPAKLNEIYSTVGVQKISKVVTRDESEDLKLDHSLTMVQKGTVIKPGLLRIILAFLADPALDFPAEKRHEMVSCLTNVVVYETAMPLTASYQVGLSSGRSLNVKSARIFRWEREESRIFMTRNYGSVSLENAERVQCAAYFAEEISKGLLFERTDRVPALAELIMAGFLLDFDVPAVRFLLKFKNVRLLEDDEQFCSYLA >OGLUM12G13450.1 pep chromosome:ALNU02000000:12:17572251:17574083:1 gene:OGLUM12G13450 transcript:OGLUM12G13450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHNLGLSIHVIYIMFAAHQILGGGFHLTNQDVNMTRFGKSLQSKVGDATKSYMAMHQTYEGTYFGFVATLDVYRFEINYSQRIISSVRIVNRGPNDNLEENAIRIGWQVFPELYGDSHTHFFTYWTRDSYRTTGCYNMRCPGFQLTLGSKITPGDVISPVSDVDGARQKITIKEKSTGDWWIYYGFNSAPTVVGYFPANLFTNLSEKATSILFGGSVLAVDGASTPPMGSGLLPSILSDKAASIEDILLVDEDGKIAPFDVKTIKDETSDLCYDMTPIFGESTSRCLYGSPGGCVVG >OGLUM12G13460.1 pep chromosome:ALNU02000000:12:17582376:17583906:1 gene:OGLUM12G13460 transcript:OGLUM12G13460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRPAVKVEDYHGHVEQDPSPTAEDEGSAGRVPGGKGKTGGRGGGDGARPEPLKPGLDRGDGGDTPIARGHPPVFKASSANEAERERVDVVSIMGTAPLSLAGETAHSRGRLFLCDGPTCEGGPSTGAAQQVARGEKMAQRAEPTQGERTAHQVEIAEKSQRLSRWRRPKERWRLREWGSCFSKPGLDSHGIRCGTRDNGRCHVSARHCLLVGKTLHKASRRPDSKNLPFLARGKPPARANLEHREPECTLNLHKALRGCSCWAFGQFGLHVSNLAFMSCLQPAGCWVAEVGYKTF >OGLUM12G13470.1 pep chromosome:ALNU02000000:12:17584253:17585889:-1 gene:OGLUM12G13470 transcript:OGLUM12G13470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAM domain family protein [Source:Projected from Arabidopsis thaliana (AT5G13200) TAIR;Acc:AT5G13200] MDGKDSTTTPAPAPAPAPAPAPAAEPQTTGNAPPPPPQQQQQQPESAKWGTRQMGPPAAPGAHPENQQAARWTAARGDQELPPYVIMGEAAAAPPRGRPERGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFAGAGADERLRKTFACYLSTATGPVAGTLYLTDRSVAFCSDRPLSFAAPSGQTAWSYYKVMIPVAKVAAAEPVTMKESPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLVQAVSSQQRGGAAADTAAAVAGDGK >OGLUM12G13480.1 pep chromosome:ALNU02000000:12:17587905:17589220:-1 gene:OGLUM12G13480 transcript:OGLUM12G13480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCGTAARWKVASRQNIAATAGDGRRCGAVDGGSMAEQGRVGVAHARRKTQPTEAWRWGVGSGRPAENCLGPRVWIWTTLIGVVEIGPLGCLLASIGLMGYWAILFVRFVGLFGRGEEWGAGAPVAGRWRRFFLHTLLVKTTMRKRSARRRGPRTPATRSTSTFVRAPHLSSELVSEERRRVDHGHQAWSSPAVNAWMLLSSLPQRLSINPRSVRSSSGRVRCYTAKSQRFQCSVVAGRTDALLLSSLCSTCVHRKKKRRSGRRKREEDGGRRRI >OGLUM12G13490.1 pep chromosome:ALNU02000000:12:17608925:17613937:1 gene:OGLUM12G13490 transcript:OGLUM12G13490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVQAAVLFAAAAAAVAALRQPPLLVAAAAAAATNCTTRCGDISFEYPFGVEPGFYHPGFNLTCSSNDTRLFMGDGTVQVLDISIPNSTVRVNATAMAFDPADDVQRGVINATTTWRAAAAADDDGGPFVVSRRNTIALMGCNARVDLRGGDPRHSDNLVSSCTAVCPPVGGDGHTIAIIGAWNGKCSGVGCCQANIMLGYPSYTIQIKQLQEKNLHSFDFQYIAYITDETLDFTEEIAGRIATPAALPATLDFLIRSNSSCSTPPANSTAGAECRSEHSFCEDYNGGGNALLGYSCVCSEGYRGNPYVAGGCHYIDECHSPGYCYGDCKNTKGGYLCQCPLGLTCNASIPNGCKDIDECMHPESYSCYGQCVNTFGSFQCHCHSGTEGDPTIRGGCIKIKHSVSGLTIGLGVSGGISILIMALSAPFIVRKTKLHKVKRMKEIFFKQNHGLLLQQLMSQNANIGERMILTLRELEKAMDNFDKTREVGGGGHGIVYKGILDLHVVAIKKSRIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFISNGSLDDHLHVDGPISLSWVDRIRIALEVSRALVYLHSAATTPIFHRDIKASNVLLDDNLTAKISDFGASRYIPIDQTGVTTVVQGTIGYLDPMYYYTGRLTDKSDVFSFGVLLIELLTRKKPIYRTDSGDSLVPHFAFLLEEGKLVEIIDPQVKEEENGEVEEVATLAAMCTKLNGQDRPTMREVEIRLENLRAKTKLAPYNIEPSRYEVSRVAGHCISARGDIEEVIISRQYSMEDEMLSLERYPR >OGLUM12G13500.1 pep chromosome:ALNU02000000:12:17629130:17630148:-1 gene:OGLUM12G13500 transcript:OGLUM12G13500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLVMSDAMAYGGQDEDLPTIWEMIPPNPPLNEHKHQGNWGNNANHDIKHNHNGLEHEIDHMGEQQDENEMLVEPIPGERQDSITALGDSPSPAKRQKTSRIDNPVVRALQFSVIDEASQPAPITPKPRKSRPKVPITSANLRRSPRFLGQDKMDLAFDVPKKKSKVQPIKKFKFEAGKGLPPPIPVLTAAEDWGGLLWPAS >OGLUM12G13510.1 pep chromosome:ALNU02000000:12:17636468:17638568:1 gene:OGLUM12G13510 transcript:OGLUM12G13510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHLDHLTPPCRKDEEGTTSSDAVDLICDSEWQADEHHDDQDQPHRQSAPQIAKRQMAQSLGQIAKFKRRYKLEAEENNGR >OGLUM12G13520.1 pep chromosome:ALNU02000000:12:17640516:17641163:1 gene:OGLUM12G13520 transcript:OGLUM12G13520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAAAAAATAGPHHQRLPSSSSASPPPPQPDPGGKRQRRPSVRLSGSIPLPSHLPHPRRIPITPASRSRKPLHLQLHPKPEHEEDDNPSSPASADADADDLVLAAAFPRKPRSLEAAQGESVAAAAAAAEEEEAAEGEVVDVVEWLWGIGMGRYAAAFEAHEVDGEVLPCLTMDDLRDMGIGAVGARRKLYCAIQRLPPPPALPPPPPPPPRR >OGLUM12G13530.1 pep chromosome:ALNU02000000:12:17647164:17664438:-1 gene:OGLUM12G13530 transcript:OGLUM12G13530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLRPHRHAHGPAAVDVRRLQLTVFDTRRGRRRSDSSQHPPPPPPPSPPSSWCFLRSMAQDPSHPHRQSKDTAAAPPPPPPPQEQPQQQQPELITAPPPRDDVAHQEPSTSSSSGGGGTDAAGLSLQLGLGPSAPGSPSSSRRKRPRTDAHHEAAAGPSTSAADPQLPELRLSVLHPGPGPSSSASAVGAVVAAAPPPPAHEAGTWFVLRAAQNQRREPPLPQIPRSFLRVRDGRMTVRVVMRYLVNKLSLEDDSQLEITCRGQRLPPEVTLQHVRDTIWRTQPAEAAAVLPAPGSLSTNQVMTLNYGRS >OGLUM12G13540.1 pep chromosome:ALNU02000000:12:17669274:17669789:1 gene:OGLUM12G13540 transcript:OGLUM12G13540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLRRAKEDPLIAAGRFQVRRRRICPCVVVVVVVALAIAIVVFIVFVVAKAGGGGFAAPEFGATGSGLTQLATVIVVVLAVAVIVIIIVIVADASGDGSAAPKSGTAGSTHPGPEGGKSAAAWLCCSHNHRCRGHGGGKGGGGDGRWRRGKERRREQSGVGGEGGEEIF >OGLUM12G13550.1 pep chromosome:ALNU02000000:12:17684067:17685472:1 gene:OGLUM12G13550 transcript:OGLUM12G13550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEFCQLVLLNFLDLSSNQIYGDLPTCWSNLQQLFFLDLSSNAFSGKVPTSTSKSLPICKNLTMVDLRDNRLSAEISSRIWESLPSLKILQFNQHTAHFANFRSMMQQNKRLNIGSTYVAFTYSNYFLSPYSNRIELIWKSRSYTFESTIPLLAIPAEFANLKGLQFLKLSRNNISGSIPNDIGNMNALESLDLSCNAFSGRAPTGGQLETLNDPSIYSNDYGLCGTVLSACPIESSRFGSEPNKDEFRSLLSHNHRGCYWILAMVELS >OGLUM12G13560.1 pep chromosome:ALNU02000000:12:17690724:17697966:-1 gene:OGLUM12G13560 transcript:OGLUM12G13560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSP8] MAAAATAAASPVEGLTGGGGGGGGGVDGLFVELWRACAGPLVTVPAVGERVFYLPQGHIEQVEASTNQVAEQQGAPLYNLPWKIPCKVMNVELKAEPDTDEVYAQLTLLPEKQQDGNGSGNGYVSKDKVEEEVVPPAATERPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPTQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRAMRQQANIPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPSEFVVPRDLYEESLKRNHSIGMRFKMTFEGEEAAEQRFTGTIVGVGDSDPSGWADSKWRSLKVRWDEAASVPRPDRVSPWQIEPANSPSPVNPLPAPRTKRARPNVLASSPDLSAVNKEVASKVMANSQQNGLPRAFHSQENMNLRSRFGDSNELNTSQKLTMWSSGSNQEKNNVSVQRELGSQSWMQMRRPDGSSEILSGFQPLKDTRNPLSSFPSQISGNRSNTWNTINVHYPDQNANHNMYPGTWSLMPPNTGFGVNQQNYLMTPDITLPQRSLNAKFGGNGAFTSLRAHGIDQRSSGWLGHIEPSSHIDDASSSLIKPQPLVIDHNVQKAKGSSCMLFGISLDSPAKPELLISPPSVAFDGKLQQDALDEDECSDPSKTVKPLDGAQHDSATEKHQSCPDGTKNIQSKQQNGSSRSCKKVHKQGIALGRSIDLTKFTCYDELIAELDQMFDFNGELNSSSKNWMVVYTDNEGDMMLVGDDPWNEFCNMVHKIFIYTREEVQKMNPGALNSRSFVVCKNRRRHIHGRKESFRGVVVVAGALYVSGKPRSTPFVDGACPGISCPLFVFDKFWVCFVEVLSYCMICPVVVKGAEAKLPRPY >OGLUM12G13570.1 pep chromosome:ALNU02000000:12:17751345:17758028:1 gene:OGLUM12G13570 transcript:OGLUM12G13570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEEKRRLGDGEGEEGGGVRDARKDGVAREVIRMEREAVIPVLKPKLVMKLAYLIEHDLDRSEFLKLCKKIEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPEEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDVAQSGKYLLNLPIKVDEPKLDSKLLSTYFKEHPHDNLPSFANKYIIFRRGIGIDRTTDYFIMEKLDVIISRAWSSLLRVTRIDRLFSKKPQVKSKKDTKKTDEINEDLEEPELFVERIRLEKIELSMRNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLFGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIISYYILMEQGKATEQDLDLRCEELIKDEFGAECNFDVRDAVKKLERLGIVHRDSIGRIVCVSLKRANEILGNTTEELVMRAQQSPAAS >OGLUM12G13580.1 pep chromosome:ALNU02000000:12:17758378:17763196:1 gene:OGLUM12G13580 transcript:OGLUM12G13580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAAEPGVRFSDRAARRSSLGLRSMVLLMHVVFVGAVFLLDPTLDRRIREEPWGIWSACFDRLSAVFLHSWFISWVCSRCNESWFDDARNLHQYCHSFEKRQSSPNNGSLNSPLSLPQQQKLNPTTSTSTWLQRVAELYPPGSSSSHLVLGIAMTVTNVSFSLIITVFGLEHALGKRTTADSETILSIWTVALYIDSIRLDVDKACYIALTNQTTYEVARRKRIFYMRGIPDKVHPFSKGICRNIYVFCFSRQKGYDLEEVPPLEELEARAAPYTCRDIICCRCC >OGLUM12G13590.1 pep chromosome:ALNU02000000:12:17763486:17765342:1 gene:OGLUM12G13590 transcript:OGLUM12G13590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKSAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGVEVGKGLDLPL >OGLUM12G13590.2 pep chromosome:ALNU02000000:12:17763486:17765342:1 gene:OGLUM12G13590 transcript:OGLUM12G13590.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLISIVQPPSLLAIQFQPLQNNRFKRNNPAISARARTVRARAAELPAGVVVPREQPKLSEPFLGFTKSAEVWNSRACMIGLIGVFIVELVLSKGVLQTIGVEVGKGLDLPL >OGLUM12G13600.1 pep chromosome:ALNU02000000:12:17763525:17770355:-1 gene:OGLUM12G13600 transcript:OGLUM12G13600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGCSSEIVEFTEEFDDNAPVSCPNLMHIRVKPEEIDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETAGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEFHQGLKSQKNNLYIVLQTDAIEDTETQVILLLKWMQTNHR >OGLUM12G13600.2 pep chromosome:ALNU02000000:12:17765353:17771430:-1 gene:OGLUM12G13600 transcript:OGLUM12G13600.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRVKPEEIDGRCYPVEDDLEQLLKAIDSRTFRRTLSPGQAGADALRKNGQKKSSRSGPAQAAGIGISSKPVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSNGESHLHDDEKMNLIEISITPEKFSKNSSRATSEFSEDCDFETAGSAVTSLHSASSTSAEIRKIRIQDVISGDPIDSESSMVENEKLNKKVSTATDGSSPRAPILSKPITTSRLVKPVFRCKTIGKKKLKEEPPSLGTSSNSTKFCSSKESISLASTSCSSTSSITNPTSCADDEKTNLGPEKSGDKSPEWLRSEEKGECSQNSNSISEYGCSTSISDESQFGLCSYNNRPHMAKDLRWITIRELALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSECLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVAANHQPRKLAGPCAESSCISSSCQPSCAQTSCFMARPPLPKPRKPKSSHRKLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLKFPENPSVSSNAKDLIKGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETRPCDVVTLATAKKKKEGKCLEFRSNSEDLEFEFHQGFISEEKKIFRRTPVFSHM >OGLUM12G13600.3 pep chromosome:ALNU02000000:12:17770420:17771430:-1 gene:OGLUM12G13600 transcript:OGLUM12G13600.3 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRRRPELAAAPDLGCGGGVGGYCFFGRSSLRLVGLDDVSVKVASDGALTGLLPLMSLLVMG >OGLUM12G13600.4 pep chromosome:ALNU02000000:12:17770420:17771430:-1 gene:OGLUM12G13600 transcript:OGLUM12G13600.4 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVMG >OGLUM12G13610.1 pep chromosome:ALNU02000000:12:17792399:17793699:1 gene:OGLUM12G13610 transcript:OGLUM12G13610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNFSHLVLFFFCVASLLTSPSLAIRQGAFQAVSYKNLVGSYHQLTKRPSFSQSGGYRNLFRQLISLDYDPTIGAPPQIHSVPSQHEQETISKLITKTVQKT >OGLUM12G13630.1 pep chromosome:ALNU02000000:12:17797771:17800411:-1 gene:OGLUM12G13630 transcript:OGLUM12G13630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWVTKDRKCNLSSTILKNTYTTLEAFGSSEIRRGGGGQLQKFRCLLHAKLLGLGLSRVTPLQQVGKSTPKVPQLVIELQNRPQPQNQISGIPQLTKPLQVEAYLTLSHNNLSRSIPAEFAAVNFARIDLLRNQPTGDASPLFGRGKPAQAIDVSRNALEFELTGVELPEQVTTVVRGREPQHDLRRHPDAGGEAEQAASVQRPGRPRRRAATGRASSATPPRAA >OGLUM12G13640.1 pep chromosome:ALNU02000000:12:17798964:17830199:1 gene:OGLUM12G13640 transcript:OGLUM12G13640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTCVDRVFEANISNKLMGLNCISKLMASGGGDKRAWVADLEGGLAGAGAASEHALWSKHSVHRVPAAVKDLHPHAYRPQVVSLGPFHHGDPRLVPMEPHKLRAVARFVGRSRRPVAEFVAAVETAAAELEESYQDLAGEWRGERFLQVMFTDGCFLLEMMRTAKVIGGGGKHDEASGIVGGYAHNDPVFGRHGAVYMVPYVRRDMLIVENQLPLLLLQKLVAVETGKESQEMSDVEYEVKKMVLRFISPSCKTPPAKEHRALHPLDLFRESLLSGQHQRPRGDRGSGAGRGDRRRDDDEEANGGIIRSAAELYEAGIRFRHYCYI >OGLUM12G13650.1 pep chromosome:ALNU02000000:12:17831447:17832920:1 gene:OGLUM12G13650 transcript:OGLUM12G13650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEMNAAASRTAGRLSWVVDLEMSLDDIFTRWGSELTPGSSRHSVYRVPASVKNLQPLAYQPQVVSLGPFHHGEAHLVPMEEHKRRAVLHVVRRARRPVDEFVAAVESVAWELEGAYQDLGDEWRSEKFLRMMVMDGCFLLEVMRTTTGTWGWESSGWSTVHAGYQPDDPVFSRHGAIYTAPYVRRDMLIMENQLPLLLLDRLVAVERSVEDGRIEDTVRRMVLRFVSPSMRTPPPVNVQAALHPLDLFRKSMLRRQHSGHHRIKPPMDGAVRAEDAAAFTIRSATELHEMGIRFRRNGTDSLLDIRFRRGVLLLPAIAVDDTTEHIFLNLMAFERLHPGAGNDVSAFVFLMDSLIRSSRDVELLSIPRIIQNAVGGHRAVAAMFDRLSRYIVLDPESEMDYIYTAVNSYCRSRWRLWDVWFGNLVNTYFRSPWTFLSLAGAVSLLAMTVVQTIFTVLQFYHR >OGLUM12G13660.1 pep chromosome:ALNU02000000:12:17835039:17835488:1 gene:OGLUM12G13660 transcript:OGLUM12G13660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFERLHAGAGNDVTAYVLFMDSIIDSARDAALLTARGVIQNAVGSDKAVARLFNGLSKDVVALDDAGGGDGELDAVRRAVSRYCRKPCHVWRANLVHTYFRSPWAFMSLAAAVFLLAMTVAQTVYTVLPFYQQGGNGGEATYAAPAPR >OGLUM12G13670.1 pep chromosome:ALNU02000000:12:17838827:17840343:1 gene:OGLUM12G13670 transcript:OGLUM12G13670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHKRHAVRQLLRRSGRKLVESWRTEDAYQDLGSEWRGEHRERFLQVMVTDGCFLLEMMRPAKVAGAGGGYAESDPVFGRHGAMYMVPHVCRDMLIIDNQLPLLVLEKLLAVESGNQLVIGDGWPDEGDASGGGPAAAPVRALRDAATGEARRRLGWRGDSAGACAPRRRPGGWRLGGLAGWDGKGR >OGLUM12G13680.1 pep chromosome:ALNU02000000:12:17839571:17840375:-1 gene:OGLUM12G13680 transcript:OGLUM12G13680.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPHVSNPISSPHLPFPSQPANPPSRQPPGRRRGAHAPALSPRQPSRLRASPVAASRSARTGAAAGPPPDASPSSGHPSPITCAAPAGNSQQGAGCLQPSPRRHSVPPARLHCRRCRADHSTLLQSTSTAPPANEATKSRRFETLREKKAPTDKDIINHFQNIKKRKVIL >OGLUM12G13690.1 pep chromosome:ALNU02000000:12:17870731:17871123:1 gene:OGLUM12G13690 transcript:OGLUM12G13690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEQLHADAGNHVTAYVLFMDSIVDSAADVTLLASKGIIKNAVGSNKAVAKLYSNLCKDVVRNPASDLDAVRRMVNRYCQKPRHVWRANLVHTYFRSPWSLLSLTAAVFLLTMTVVQTIYTILQFYQGN >OGLUM12G13700.1 pep chromosome:ALNU02000000:12:17874122:17876214:-1 gene:OGLUM12G13700 transcript:OGLUM12G13700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWRRTAEESSWVVEVEKAVRGGGDPSAEEAARWRRHSIYRVPACIKDLNRRAYQPQVVSLGPFHHGDPNLLPMEDHKRRALLHFLRRAAPRRRPLAEFAAAVADAAERLVGAYQGLADEWRRGEPEKEKREAFLEMMVTDGCFLLEVMRTAAGWEVSDYADDDPVFSAHGLLYTVPYIRRDMIMIENQLPLLVLDKLVARHTRVHNFLSPTAWPPVTGVGLALHPLDILRRSLLFGPAPSPRAPAPETPPTDDIIRSAEELYEAGIRFRRSPTSSLLDIRFHRGVLSLPAIAVDDTTEYMLLNLMAFERLHAGGGGGGGNDVTAYVFFMDNMIDSPRDVALLAARRVVHNTLGSDKAVARMFSGLSRDVVLEPRSALDGVHREVNAYCRRRWHRWRANLVHTYFRSPWSLMSLAAAVFLLVLTVMQTVYTVLPFYQGGNN >OGLUM12G13710.1 pep chromosome:ALNU02000000:12:17877769:17886936:-1 gene:OGLUM12G13710 transcript:OGLUM12G13710.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEA(D/H)-box RNA helicase family protein [Source:Projected from Arabidopsis thaliana (AT4G16630) TAIR;Acc:AT4G16630] MDPDFRFDPDGSDDDGAASAAAGRRKPAQSPWEFSSYAESVAAEHARRRTTSIDEKISQALSGSRRGGKPSIPDGDSEGDEDDSEVEDDSEEDDEEVVEGEIDEEEDEVEESEDDDEGVEVSDEEAEEEEGKGEEKSDEEEEGEEGQEGEEEEKEEGDEEAAEEEEETDKKSGVVDPSKFFASSEGASFHANSFLELNLSRPLLRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSTKVQEVALRSMPDIVVATPGRIIDHLRNSLSVGLEDLAILILDEADRLLELGFSAEIQELIRMCPRRRQTMLFSATMTEEINELVTLSLNKPVRLEADPSLKRPATLTEEVVRIRRAREANQEAVLLALCLKTFKDKVIIFSGTKYSAHRLKIIFGLTGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFSCPRDARTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVAECAKLIEELEDQISTIIQEEREERILRKAEMEAAKAENMIAHKDEIYSRPKRTWFATEKEKKLLAKAAKESTSQGKSNSGVISAQQAEDLRLKEKKRREREKNLPRKKRRRLEAEREMLEDESEDEEEAKESKGGNKEKKGQSLVDMAYRRAKSMKASGKKGAGTGKGKNDKKAKQHSEKGPTRQEEMQELFQNDMSEWKQGRSLKKNNVMRKKSKNSFKSKSRYKRRK >OGLUM12G13720.1 pep chromosome:ALNU02000000:12:17887144:17890680:1 gene:OGLUM12G13720 transcript:OGLUM12G13720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDPFFSSKSSPRRRPPLAPPPPHHAAVSSRATATAPRGGREERKEEGDGASRRRGEAAGGLHRVQCSRHLVLLSCWCSFCYSCGDKEEILGTTGFLWHYWNYA >OGLUM12G13730.1 pep chromosome:ALNU02000000:12:17896616:17901292:-1 gene:OGLUM12G13730 transcript:OGLUM12G13730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPVTGELLEAWAASKKLGPNIRELKLLLLHAQAMLENAEGRDIRNNALDQLLSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLILHARQTARAIARKLTCTCNAASSHADAPAPVNAEQGRGLSATAAVHAVGKFLPCCSPPTVRNVDSTAAKANEQHLQAPKLKFLRVEMSKKMSDIVEQLKPVCDAVDRVLGQLQLSRHSKNAKTQGIDLEKRPKTTPTIIEPELFGRKDHKRFVANEIMNGKYRDNDLTVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPRGNNEKENESDQEKIEKRIQSQQFLLVLDDVWEYHEDEWKTLLAPFRKGGTKGNMVIITTRFPKVAKFIELADCSIKLERLDHEDSMRLFQACVFDHKNTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVLESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYKFHSQELINLWIGLGLLGASDQNKKIEDIGLGYLDDLVDNGFFEQDGKEHDNRYAIHDLLHELVTNISSNESLHLNSSDLRSIQIPTSIRHMSIIIDNTHVKDRMAFQNHKNDLSSLGKKLKAGNLRTIMLFGECHGSFYKILGDILRDAQSLRVIFLSGASYNVEDLLPNFSKLVHLRYLRIKDSSMCEASLPNCITRFYHLLVLDVQYHIGELGFLREIGNLLKLRHFLVCDDNIHSNICEVGKLNFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEERKEADDAKLAYINHLDRLVLNWDNSRCNKDPIREGNVLERLKPHNNIRELHIAGHGGSTCPNWLDGDFSIGNLQSLHIESVNWDTLPLPGKLNMIECQERKGCVTSHDFQNLKSLELVNIPKLKKWHRDGTINLLPHLQNLTVSGCPELLELPLSQSTTSQFQRSVNCFPELQKIKISGCPKLLSFPPIPWTNSMCNVSIKGVDSDFENLNYKKDEQAKSSLYITGKDAPSSMFWNMLDFNNLTELQELDIRNCPPISLDHLKTLTCLKTLYITDFGNVLLPVGSEKYVEYNLSVETLMIHSCAASGRELTQVLSHLSKLSNLKIRHCQNVSGLGVAEQRTITTPKSSLLPSAKEAAKTLTTRPQQQTGEAEEMEIAAAADDGLLLLPSQIKELEISICKQLSLDGGGIQGLLSLQSLEITNCPKLLCSSSSSYSPFPTSLQRLDLMYVEGMETLPSTLPNLTSLTIEGCGNLMGGEALWDLLAQGHLTELSVYYTPNFFLGSEHSCSQVDVQEDVHRSSRRLQKLFTDDFERVLTAPVCHLLSSSLTMLVLCRNGEVECFTKEQEKALRILTSIEDLRIWLCEKLQSLPAGLSQIPTIKALDIHNCPAITSLGTLPDSLQQLKISNCPSISSLGNFPNSLQQLDISSCQAITSLGTLPDSLQQLKIYNCPSITSLGTLPDSLQQLKIYNCPSISSLDGTTIHSLPKDRLPTSLREIDVWRCDNEELKRQCRKLQGTIPVVKT >OGLUM12G13740.1 pep chromosome:ALNU02000000:12:17908956:17910744:1 gene:OGLUM12G13740 transcript:OGLUM12G13740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSGLIGVGITPFSKEPALGTWFFSVAGALVAIPVGIKKKSLAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQNLSANTSAQGEN >OGLUM12G13750.1 pep chromosome:ALNU02000000:12:17916412:17920872:-1 gene:OGLUM12G13750 transcript:OGLUM12G13750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVSAARWVVGRALGPITGELLEAWAASKKLGPNIRELKLLLLHAQAMLENAEGRDIRNNALDQLLSQLRDLAYDADDVLDELDYFRIQDELDGTYEAVDDAEEERGLVRGLILHARQTARAIARKLTCTCNAASSHADAPAPVNAEQGRGLSATAAVHAVGKFLPCCSPPTVRNVDSTAAKANEQHLQAPKLKFLRVEMSKKMSDIVEQLKPVCDAVDRVLGQLQLSRHSKNAKTQGIDLEKRPKTTPTIIEPELFGRKDHKRFVANEIMNGKYRDNDLTVLPIVGPGGIGKTTFTQHIYEEVKNHFQISVWICVSQNFNANVLAKEIVEKMPRGNNEKENESDQEKIMKRIQSKQFLLVLDDIWTCQEDEWKTLLAPFRKGGTKGNMVIVTTRFPKVAKMVESTDCSIKLERLDHEDSMRLFQACVFDHKNTWEDYPSGLQKVGVDIVKRLKGFPLAIKTVGRLLRNKLTLDRWTRVLESKEWELQSNDDDIMPALKLSYNYLPFHLQQCFSYCALFPEDYKFHSQELINLWIGLGLLGASDQNKKIEDIGLGYLDDLVDNGFFEQDGKEHDNRYAIHDLLHELVTNISSNESLHLNSSDLRSIQIPTSIRHMSIIIDNTHVKDRMAFQNHKNDLSSLGKKLKAGNLRTIMLFGECHGSFYKILGDILRDAQSLRVIFLSGASYNVEDLLPNFSKLVHLRYLRIKDSSMCEASLPNCITRFYHLLVLDVQYHRGELGFLREMGNLLKLRHFLVHDDNIHSNICEVGKLNFLHELRKFEVKREMKGFDLEQIGQLLELRGSLSIYNLEKVEEIKEADDAKLAYINHLDRLVLNWDNSRCNKDPIREGNVLERLKPHNNIRELHIAGHGGSTCPNWLDGDFSIGNLQSLHIEFVNWDTLPLPGKLNMIEFDSENYVQYNLPVEKLIIRSCGTSGRELTQVLSHLPKLSNLLIWKCQNVARLGVAEQQTIPTPESSLSPSTNEAAKAPTTRLQQQTGEAEEMETAVAADDGLLLLPSKIKELEINGCRELSLDGGGIHGLLSLQSLEIYDCPKLLYSSSSSYSPFPTSLQRLELWKVEGMETLPSPLPNLTSLYISRCGNLRGGEVLCDLLAQGHLTDLSVYKTPNFFLGLEHSYSQVDEQEDVHRSWRLQELSTDDFARVLATPVCHLLSSSLTKLDLSWNDEVECFTKEQEKALHILTSIEDLEFSCCKKLQSLPTGLSEIPNIKTLGIRDCPAITSLGSLPISLQELKIDECPAIHSLPKDGLPTSLREIVVSDCDNEKLERQCRKLQGTIPIIRV >OGLUM12G13760.1 pep chromosome:ALNU02000000:12:17926082:17927250:1 gene:OGLUM12G13760 transcript:OGLUM12G13760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSAMNPSEEGKALGMDPSGQGKALVIQPAVNPSGEGEAPVMEEKKKKTTTMRFTQEQINNCIAYKDVEIPFNDNMPSLLEALGEETLANFPQDLIDKLKAYEDEREAEKASFIEIQNHIRGERDGILNQYYTKGYAEYEVVVDDDGEEDSKVPPRVVAPPGRRRFRNGVTVKKNQSGGGGSILQMPMQVGSTPQTRQYAGDNFLSLACKP >OGLUM12G13770.1 pep chromosome:ALNU02000000:12:17931787:17934831:-1 gene:OGLUM12G13770 transcript:OGLUM12G13770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELIAVVARAALQWALSSLLLANGAAFCLIAATASWLRLGPPPCLLCAGVHRLLCSASAAAAAADDARGQERVAFRRLLCDAHVDAIAAAAASEPEKKKKQQQPDQQKMISASDVAEAENSDKPGGMEGHRVVSIGSEICEQDHAAGDQHPTAGDDGDGDGDGPYVSLFELAPIAARAPQDEDGHGQEDSHAQEVFDDLPAELRRDGDGDGDGDDAPTVGGLAAALRAQRRELEAVRAELDGERRACAEAAEYQRQLEEQGEFDREAVRLAMQLVHEAETEKHALQRQLDAFRVKAQLYDYEAAATAVARDHDAAGDGGGGGNNYQSLVDFLPGSVFSSSPDLANLLKLYTEGNGGGRRLTDAPVPVVTEVVEEEEEEEEEEEEEVAVAAIGGVDSNGNGGAAATIAIAGDSLQEGSSDHLEPTEVSPQR >OGLUM12G13780.1 pep chromosome:ALNU02000000:12:17941324:17945229:1 gene:OGLUM12G13780 transcript:OGLUM12G13780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) TAIR;Acc:AT2G18460] MAGRERERERDKDQLLLIPVAADRAAATAAAAGGHEEETSSLLPAFSRVIRSWAWKKFMTGCVILLPIAITFYTTWWFIRVVDGFFSPIYIHLGINVFGLGFATSITFIFLAGVFMSSWLGASLLGLGELFIKKTPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTLTLRGVADGRRRRGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPHIISAVEGVVGLGGHGRPGAIRVARYL >OGLUM12G13780.2 pep chromosome:ALNU02000000:12:17941324:17945229:1 gene:OGLUM12G13780 transcript:OGLUM12G13780.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:like COV 3 [Source:Projected from Arabidopsis thaliana (AT2G18460) TAIR;Acc:AT2G18460] MAGRERERERDKDQLLLIPVAADRAAATAAAAGGHEEETSVILLPIAITFYTTWWFIRVVDGFFSPIYIHLGINVFGLGFATSITFIFLAGVFMSSWLGASLLGLGELFIKKTPLVRHIYSASKQISAAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTLTLRGVADGRRRRGRELACVYVPTNNLYLGDIFLMSRADVIVPDLSVREAIEIVLSGGMSVPHIISAVEGVVGLGGHGRPGAIRVARYL >OGLUM12G13790.1 pep chromosome:ALNU02000000:12:17943993:17946049:-1 gene:OGLUM12G13790 transcript:OGLUM12G13790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-linked oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G33670) TAIR;Acc:AT4G33670] MELRELGATGLRVSPVGFGASPLGHVFGDVPRDVARAAVRRALDLGINFFDTSPYYGGTVSESVLGDCLRAAGVPRDRFVVATKCGRYREGFDFSAARVTRSVDESLDRLGLDYIDILHCHDIEFTDLDQIVNETIPALQKIKESGKARFIGITGLPLSIYTYVLDRVPPGSVDVILSYCHYGINDTALVDLLPYLKSKGVGVISASPLAMGLLTDNGPPEWHPAPKELKLACRAAADHCKKKGKNITKLAMQYSLMNNEISTVLVGMNSPEQVEENVAAATELSTSGIDKELVHEVEAILEPVKNMTWSSGIEQA >OGLUM12G13800.1 pep chromosome:ALNU02000000:12:17968872:17970668:1 gene:OGLUM12G13800 transcript:OGLUM12G13800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGGEPMMPVSAMCTPAFVARVVRSRWFVVFASMVVMAASGSTYIFALYSKELRSTLGYNQQTLNTLSFFKDLGTNVGVVSGLVQQVAPTWAVLLIGAAMNLAGYLMVYLALDRRTAAPPVWLMCVYICVGANALTFSNTGALVSCVKNFPESRGIVIGLLKGFVGLSGAIYTQLYLAIYGDDAKSLVLLIAWLPAAVYIFFVHTIRVLPYRRRGDGEELDSKPFFCFLYISIALAAYLLVMIVVQKQVRGFSHAAYVAGAAALLLILFLPLAVVVKEERKNASHLERALQQPPSIAVEHPTPTKEADGDAVAASCVGRMFRPPELGEDYSIMQALVSVEMAVLFVVSVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRVGAGYLSEMLLARYRFPRPLALTAVLLASCVGHLLIAFGVPGSLYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSTLFNFGSAASPIGAYVLNVRVAGRMYDAEAARQHGGVAVAGDKICKGVMCFKRSFLIITGVTFAGALVSLLLVWRTRSFYKGDIYARFKVAPATATAAAAAAEASSPEVEEKKGTEKNKKDGVHEHESN >OGLUM12G13810.1 pep chromosome:ALNU02000000:12:17975068:17976153:-1 gene:OGLUM12G13810 transcript:OGLUM12G13810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSS6] MVFMAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGTKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >OGLUM12G13810.2 pep chromosome:ALNU02000000:12:17975068:17976142:-1 gene:OGLUM12G13810 transcript:OGLUM12G13810.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:A0A0E0BSS6] MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGTKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEETQKYKEGKFIFEKSKIVKENW >OGLUM12G13820.1 pep chromosome:ALNU02000000:12:17980802:17984136:1 gene:OGLUM12G13820 transcript:OGLUM12G13820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTTNGSFLLGSGLDCGSSDVARMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPARLLLPIRRSLHPPVFPHFSSGGILGSSSLGWGSFQLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKATVPAIAQPPIGASNGKLSGSHGVSNELTKTLATNRMMLDKANLIERSQDYTNQQHNILQNNTKGDNWSEEMSSQADYAVIPAGSLMNTPQSANLNPIPQQQRCKQSLFGKGIQHDDIQLSISIPVDNSDLPTNYNKAQMDHVVGGSSNGGNNTRASWIPGSWEASIGGPLGEFFTNTSSASDDKGKSRHPPSLNLLADGHTTSPQLQSPTGVLQMTSFSSVPSSTVSSPAGSLCNGLLTSGLVNAQTVQTL >OGLUM12G13820.2 pep chromosome:ALNU02000000:12:17980969:17984136:1 gene:OGLUM12G13820 transcript:OGLUM12G13820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATPTTNGSFLLGSGGYPGAQILSFSSSGHSGNGLDCGSSDVARMQGVLARVRGPFTPTQWMELEHQALIYKHIVANAPVPARLLLPIRRSLHPPVFPHFSSGGILGSSSLGWGSFQLGYSGSADSEPGRCRRTDGKKWRCSRDAVVDQKYCERHINRGRHRSRKHVEGQSSHAAKATVPAIAQPPIGASNGKLSGSHGVSNELTKTLATNRMMLDKANLIERSQDYTNQQHNILQNNTKGDNWSEEMSSQADYAVIPAGSLMNTPQSANLNPIPQQQRCKQSLFGKGIQHDDIQLSISIPVDNSDLPTNYNKAQMDHVVGGSSNGGNNTRASWIPGSWEASIGGPLGEFFTNTSSASDDKGKSRHPPSLNLLADGHTTSPQLQSPTGVLQMTSFSSVPSSTVSSPAGSLCNGLLTSGLVNAQTVQTL >OGLUM12G13830.1 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13830.2 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDGTERGSNFLVAQRHAPYFLMAQGKNLPEPSHIGMAAPLLPTLSPPASRAAAALLLRRAPPLPLSLPAPLLLRRLLAPAPSPSPPVRPSPTFRSLATMPSAATAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13830.3 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDGTERGSNFLVAQRHAPYFLMAQGKNLPEPSHIGMAAPLLPTLSPPASRAAAALLLRRAPPLPLSLPAPLLLRRLLAPAPSPSPPVRPSPTFRSLATMPSAATAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHGLGQYVQLGTTIDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13830.4 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13830.5 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDDLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRVGVHKARKIAKSFRLPIVGVHHMEAHALVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13830.6 pep chromosome:ALNU02000000:12:17992884:17999842:-1 gene:OGLUM12G13830 transcript:OGLUM12G13830.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:A0A0E0BST2] MAAREERAVEELLLPETADGLRRLVRRSMHRPPPWMPDFVLDDFIKCRYPPLRYKPKRTEWCGEHDKRNGTEDAIKFDDGTERGSNFLVAQRHAPYFLMAQGKNLPEPSHIGMAAPLLPTLSPPASRAAAALLLRRAPPLPLSLPAPLLLRRLLAPAPSPSPPVRPSPTFRSLATMPSAATAADLLMLGIETSCDDTAAAVVRGDGEILSQVVSSQEDLLVRWGGVAPKMAEEAHSLAIDQVVQKALDDANVSENDLSAVAVTVGPGLSLCLRDDAIGEAYDKSARWLGLDIRKGGGPALEQLALEGDPNAVKFSVPMRQHKDCNFSYAGLKTQVRLAIESRNISTDDIPISSATRDDRQIRANIAASFQRVAVLHLEERCQRAVEWALKMEPSIKYFVVSGGVASNQYVRTHLNQIAEKNGLQLVCPPPRLCTDNGVMIAWTGIEHFIAGRFDDPPAVDEPDDMQYDLRPRWPLGEEYSEGRSASRSMKTARVHPSLTSMIQGSVH >OGLUM12G13840.1 pep chromosome:ALNU02000000:12:18006487:18007026:-1 gene:OGLUM12G13840 transcript:OGLUM12G13840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLSPSPASATSSSSDGGGGRRLSELLEEQQEPFSLDLFLLDKGCSPALLDAAGAACWPRSRAAAALRRQRRPASALLSVILSKILPRGAAAAKKVSGKRQQPQPAAAAAIGDGASEDDMEDEEEEEEEKQLSPVSVLEQRPFQPPASPAYSKSKQTCTLTSRLLRLRRGGAIAATS >OGLUM12G13850.1 pep chromosome:ALNU02000000:12:18021751:18030430:1 gene:OGLUM12G13850 transcript:OGLUM12G13850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRCQKLRAITRKRNEYKFLLPMERDSIVVHTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRTNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSQIRDVNRNGNDGTNVTSLMVNKIDKGKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEVNEMGSIFLVLYVLSDYMLLLDDDGWITGFMFETN >OGLUM12G13850.2 pep chromosome:ALNU02000000:12:18021451:18030430:1 gene:OGLUM12G13850 transcript:OGLUM12G13850.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPSDSSHHGIVENSPYRTTQGRNEETGELGASWYFSRKEIEENSPSRRDGIDLKKESYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFYLRQSHAKNDRRCQKLRAITRKRNEYKFLLPMERDSIVVHTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAGQRIKQKEVYDQQKELILLAERVVLATLGFDLNVHHPYKPLVEAIRKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRAAQAQPSHGNEAEGSSASVPNQRVSVKSEETPLPHQSKQSSSQHSTGAPSHHGVEHSNLEKQTVDQKMLQNDNGDHGSNKTRTNQSGSRVDFGANDGLHHDKQSMTENKNLPSHGNSSQIRDVNRNGNDGTNVTSLMVNKIDKGKVKAQMEKQRKLKGDVARKVEVIDDDDDLERQLEHDIELAVEDNKIKQERKQSSPHVMHRGDHRNADQVTGNGHLGKQNTPETAQDAPMDDIKEQRNSHGSKHHDSHDTAHERGERDYKRPRPEVNEMGSIFLVLYVLSDYMLLLDDDGWITGFMFETN >OGLUM12G13860.1 pep chromosome:ALNU02000000:12:18031319:18033025:-1 gene:OGLUM12G13860 transcript:OGLUM12G13860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVFKAMAEKAGPSVRKQALTLTDAAASRVRQLLGVRQRAYLRLGVKARGCNGLSYTMNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFIDDPLRSEFVFMNPNSKGECGCGESFMTSTKNKGPA >OGLUM12G13870.1 pep chromosome:ALNU02000000:12:18033024:18036500:1 gene:OGLUM12G13870 transcript:OGLUM12G13870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLQIKHMKMRSSAFSVSRAPPCVASPFPSTQAIVDRATAPKASPASQKEVCRRNRSEALPLRRSNLSSSSPAAGSFLRFLAPG >OGLUM12G13880.1 pep chromosome:ALNU02000000:12:18045747:18049618:-1 gene:OGLUM12G13880 transcript:OGLUM12G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane amino acid transporter family protein [Source:Projected from Arabidopsis thaliana (AT1G47670) TAIR;Acc:AT1G47670] MAMAVEGAGAGVVVSEPEVVSIPPTPRGMSTPEGTATPPAAAGRGAATPARRVVEGLRGYLEEVGHLTRLNPQDAWLPITESRSGNARYAAFHTLNAGLGFQALLLPLAFPALGWSWGIISLTVAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLSLFPTIYLSAGSATALILVGGETMKLFYQIVCGPICSPSPISTIEWYLVFTSLAIVLSQLPNLNSIAGVSLIGGTTAITYCTMSWVLSVSQPRPPTVSYEPQAYTSFGSSLFSTLNALGIIAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYALIAMCLFPVPQGGMLTALYAFHSHDIPRGLLAATFLLVVFNCLSSFQIYSMPVFDSFEAAYTGRTNRPCSVWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWICIKKPERFSSGWYLNWGLGLLGTAFSLALCVGGVWSIISSGMKFKFFKPPS >OGLUM12G13890.1 pep chromosome:ALNU02000000:12:18056822:18057422:-1 gene:OGLUM12G13890 transcript:OGLUM12G13890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLFANVEKLFHLKYLRISSHLMDYLPEKIGELQYLETXLKLACKFF >OGLUM12G13900.1 pep chromosome:ALNU02000000:12:18072603:18075660:1 gene:OGLUM12G13900 transcript:OGLUM12G13900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPSGADKEVINEQMVANMYANMRSEMNQLYSKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVVARMNEALEKKKKEITEFELKYKIRIRKADSDTQEEGSMKEGSAQGVLVGPASGQ >OGLUM12G13910.1 pep chromosome:ALNU02000000:12:18078390:18087856:-1 gene:OGLUM12G13910 transcript:OGLUM12G13910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLGNIGVLALHILCLAPEDIEILGAISSLLFLKLNTSGGTNGRIIVHGNSRFIRLKYFSLCIYACGTALEFEAGSMPKWKKRVIALSDVLKEALKLPLRHSPTIPLSVSKQTTALVGVTTGVMKPLLSKLTKLLSDEYAKLKGVRKQIKFLRDELSTMSVALEMLADSDQQLNPDMRDWRDKLRELAYDLEDCIDDFMSRVDHEHDGKRMGFKKFFCELKKPKARHEIADQIEELKICVIETSERHKRYNFDQLAHNSSTFCTDPRLPAFYEEVGRLVGIDGPKERIIELLAMEMKGSLKVVSVVGCGGLGKTTLAKQVYDTIQSQFSCTAFVSVSQNPDMKRILKDIAKGVGISSHTLADNDEKQLINILREHFKNKRYFVVIDDLWDAKAWKTIELALLNNNCGSRVITTTRSVTVASCCSSQDGYIYEMKPLSFDDSKWLFLKRAFGYEKSHYPHLEDVLDKILGKCGGLPLAIITISSLLSYQHAIDEWHRVLNAIGYGLARDPYAETMSNILSLSFFNLPHHLKTCFMYLSVFPEDYNIDKRRLVSKWIAEGFIQDEQGQSAYRTGELYFNELINRSLIEPADVKYGQAKACRVHDIILDYIKCKATEENFVTSLGSAVPGCTTEYKVRRLSVNNSNEEDVNIPTSLDLSQVRSLTIFGNPMQTSLFDFKFLRVLDLVYRDSMGDLFANVEKLFHLKYLRISSHLMDYLPEKIGELQYLETLDIRYTSVKTLPSTITKLQRLARLFISRRTRFSDETTIGQLKSLEGLKQFGVSQSEQVTALQELSKLTKLRTLKLALQSPLSLDDYHSCVGTLLQSLCNLYDLCIVDQSDKNYCLTLDSCHIASPCSLRKLEIKAALTKVPNWMGVLGNIRVLFLAILCMAPEDIENLGAIPSLAFLKLETLGGTNGRIIIHGNNRFISLKYFSLAIGACGTALEFEEGSMPKVEHLKLDFRLHELECLNGASDLGIQHLSALCNVEVEINCNCFKHTSNFFDDFELKCLNYDLMEETSDCIVRCVARTIKSAVDTLPNHPTISFQTESEKECKHFELETKRWTVME >OGLUM12G13920.1 pep chromosome:ALNU02000000:12:18108290:18113887:-1 gene:OGLUM12G13920 transcript:OGLUM12G13920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTAVVGVTTGVMKPLLSKLTKLLGEEYAKLKGVRKQIKFLRDELSTMSATLEMLADSDQQLNPEMRNWRDKLRELAYDLEDCIDDFMSRVDHEHDGKRMGFKKYFRKLKKLKIANEIEELKIRAIEASERHKRYNFDQLAHNSSTFGIDPRLPAFYEEVGRLVGIDGPKERIIELLAMEMKGSLKVVSIVGCGGLAFVSVSQSPDMKKVLNDTAEGVGISSHTPPEPTFVLEQKPNNVGLSAKKKTVPMIDFSEIEEPFVLPDEFCAKEIDEHQIDGARDLENTEKTLEGHHMAKRDIVHVKKSPRFSNTTGKVTFTGNRIMTTTLSVTVASCCSSQDGYIYEMKLLSFDDSKWLFLKRAFDYENSHYPHTEDVLDKILQKCGGLPLAIITISSLLLDQHEFDEWHRVLNAIGSGLARDPNAETMSNILSLSCKIKGVFDYYSVMNNWHRRLLVLIFGIYSRSGFGDDWIFR >OGLUM12G13930.1 pep chromosome:ALNU02000000:12:18214081:18216292:1 gene:OGLUM12G13930 transcript:OGLUM12G13930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLKPENFLFVGNEEDAPLKTIDFGLSMFFRPGEVFTDVVGSPYYVAPEVLKKSYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGTLDFESDPWPNVSDGAKDLLRKVLVRDPKKRLTAHEVLCHPWLQMSGSAPDKPLDSAVLSRLRQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINYEELKAGLERVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLYAAFQYFDKDGSGYITSDELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKTTTGFGKKGGHNFSGFRDALKSHS >OGLUM12G13940.1 pep chromosome:ALNU02000000:12:18228532:18239464:1 gene:OGLUM12G13940 transcript:OGLUM12G13940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCIGPRRNFAKNGLVGILRPRHAAPSSPSQPTTTSRSIPVVLPSAPSSKPPPPTQTAPPVPVVISEPPPPQPQHEPQPAAPSQPPPPQEQPSPPPPASSNTTQQPPPPQQRQQSRAKKPAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKANGGEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNIISIRGAYEDAVAVHVVMELCAGGELFDRIVRKGHYTERQAAGLARVIVAVVESHTVTPPLFSSSFVYSPTLACLIDPPRCLIGREALVMGID >OGLUM12G13950.1 pep chromosome:ALNU02000000:12:18240897:18242015:1 gene:OGLUM12G13950 transcript:OGLUM12G13950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKATASNPLGGSTILEKLSKTNHALDDNFEPVISSIVSKTEPMINFWERHTPSGSALSSAWPVDKPPKRQSIWPIEDVAANRAGTMATMTTGTMEATTVQTATEIMETVPPAGAKEELIPGASANSAIKEDIYTNLGIYFSLIQICGTST >OGLUM12G13960.1 pep chromosome:ALNU02000000:12:18243173:18270383:1 gene:OGLUM12G13960 transcript:OGLUM12G13960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGKQCNTLEDLLLDDIGDPLPIKLSVLQSITNDFSEEQIIGSGGFGIVYKGVLGDELVAVKKLYSFHAIKDEPFQREVDCLMRIRHCNIVWFFGYCAETSTNVVLEIWRRRLEQDSSKMRQTPIEMRYRQIIEACIEISETCIAGKPDKRPTTGDILRRLEKEEAGNWSIVPVTPVVDWVDGEHKANGCNFTRTANNSRFAECAELLNVYWLAVIGEIATEDLTPGTRYAVYLVYKLTGTAVGLRGGQTQRSSLRLYGEITVSTGKVSVDVVPAAARGMAAGVAYPVARGDGWMELKLAEFAADEKLLGEKKVIVDFREENDHVKKSGLVIEGMEFRPNK >OGLUM12G13970.1 pep chromosome:ALNU02000000:12:18298652:18300170:1 gene:OGLUM12G13970 transcript:OGLUM12G13970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVCVGTTCSLSKNGLLCAIWRNGSTTTSSTPSHPTISRSVPVVQPSSPSSPTSEPSPPQQQLTSTAPPRPIVISEPARKPTQPHPRPKQPTKPPPSSSTQPQQRHQSQVKKHISSAGLQVESVLQQKAENLKDRYNLGRKLGQGLFGTTYLCVDKATRKEYACKSIAKQKLVTDDDVEFIRREIRIMHHLAGHPNIISIRGSYEDAVAVHIVMELCTGGELFDRIISKGYYTERQAAEVARIIVSVIESCHSLGVMHRDLKPENFLFVSNEEDAPLKLIDFGLSIFFRQGEMFTDVVGSMYYVAPEVLMGNYGQQADVWSAGVIIYILLCGVPPFWADTKQGVFDKVAHGMLEFDADPWTNVSEGAKDLLRKVLVRDPKERLTAHQVLRVFSPSPSSSLTDCNSHSRQSNTTILLAGHPWLEMCSNGTGKTTELNVEHLYEREEMYYKS >OGLUM12G13980.1 pep chromosome:ALNU02000000:12:18314475:18314804:1 gene:OGLUM12G13980 transcript:OGLUM12G13980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLPNLAPQPRRWLDLAEGMGRVEALGRGGERLRKSGGDGGCQCQRSDGRGGNDGRRCPRSTTSGGVSWRHHTASGNRGGGGQSHWQAGIKAGINDWALTRQKSSPLL >OGLUM12G13990.1 pep chromosome:ALNU02000000:12:18334551:18336589:-1 gene:OGLUM12G13990 transcript:OGLUM12G13990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFDAGEAGRRHGEDGGGGGLHNFILDYTGKVHRIPFSTMLLLYLVELLYDTWFYLWENYVLEPEV >OGLUM12G14000.1 pep chromosome:ALNU02000000:12:18348224:18353454:1 gene:OGLUM12G14000 transcript:OGLUM12G14000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRICRPLRDRTGGSTPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNRGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >OGLUM12G14000.2 pep chromosome:ALNU02000000:12:18348224:18353454:1 gene:OGLUM12G14000 transcript:OGLUM12G14000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRICRPLRDRTGGSTPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNRGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >OGLUM12G14000.3 pep chromosome:ALNU02000000:12:18348224:18353454:1 gene:OGLUM12G14000 transcript:OGLUM12G14000.3 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRICRPLRDRTGGSTPNPSSPRFLFRPPPPPSPTTSGRLFRSPRSSPPMRALPRGAAASLLRAAAAAAPCRPRPVQLQRRLPDADRLLAMNIPAVCFSRYSTLVTPSDEVLVPPELLSSRTVGTPERKIGQYEDLVARITNFTMRIRATWCWMVMYLMFQSGRTLFIELYVGNLLNGNRGTHSTKIISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNVVSYISQMEDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >OGLUM12G14010.1 pep chromosome:ALNU02000000:12:18355501:18359479:1 gene:OGLUM12G14010 transcript:OGLUM12G14010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMILRLQREKAEAMMEARQFRRYAEEKMSHDAAELAALEDLLAKRDAALRALQSSSSSRGGGGGRGVGGGSGSGRATPSFPGSSPHHYPSTPTPASAAAAANYPPLRCFIDHPPTASEADALDAQTPREHLTRLAHRVHMLERGVHPTATTTTTTPIIRVAPGSAFPRPTRAYSDADSLEFCDGEYFPDDVDCGASDRVYTVDAIHGRPLAVPEGSCTPGGSSCCGGGGVPWAEDEEMRRLSARLQALEADRETMRQAIVSMGAEKAQVVLLKEIAQQLCKEATPPLPPSAVTVGHHLYKGAAAPPAVTVKMRPPRPPVVMHRRVIDAPPAAKTSYIGAVAKWFTSIVSRYKKTPRTKYPIGQCGNNVGLLLLLDKAPRPGHGHQKMPKKI >OGLUM12G14020.1 pep chromosome:ALNU02000000:12:18358308:18359613:-1 gene:OGLUM12G14020 transcript:OGLUM12G14020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLGAVAASECKVYEYLLKTPACNQTRESINEFVTRCESFKLTNADKLNVINWRPSSAADAYAMIEECGKRFSKDERGEACNEDERVEEFLELVKEAFPSPPPKPEAMIE >OGLUM12G14030.1 pep chromosome:ALNU02000000:12:18362336:18365686:-1 gene:OGLUM12G14030 transcript:OGLUM12G14030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVISRYLRVVWNRRCRGIGDSVLHCLIDLALTVSCLCCSADCCPATEMDEVPGNQEDLRLGAYADKITDAMFAHHVELGSHYAGDSSSEAGWAPMIARMQSQSQLGSNQLGVSFENGSLPDDPSSLASAFKNMSLGFRDFTVGTPANPVSVAPLGGHYPASHVISSGETTMNSFHQQEFAQDGFRPSSLNPNVAEYMKPKYGVHNVQMCTGLHGSDYVSGDPYNLPSSASPLQKQYFIDGQFRANAPYQQTGSNFMRQDFDADSHYLLQSQYAYQQMPQVAGSDVHWVRSNQHGVHSSSIPAASPYLRTPMVGQQAHSSADTYWNGAAISHGNNQLNSTFVNNCSCIIYPDCSREICEYCQMKQAEKLKHRYMFRRSSKGFLQPQIFDKVNIKCFPGKTMVKSDDINSARNIQSVFEPNGRIEMNQRINQHGHNQHLNIQGNDFLLFDRLNSQALSPVESEYGLAMKIPQMSYSSVDEVVGKIHLLAKDQNGCRFLQRIFTEGTSENVKKVFDGIIEHIGELVVDPFGNYLVQKLLEECNHDQKMHIVYEITKRPGQLIKFSCDMHGTRVVQKVIETINSPDEVSMVVCALSSGAITLMMDANGCHVALRCLQKFSHEHKAFLLNVAMEYYFELAQDRQGCCIIQKCILHANKEQKNQLLYNITSRALELSEHQYGNYVVQYILDLHISWATDEILDKLEGHFGSLSMQKSSSNVVEKCLKEASWPKRVKIIHELINDPKLLHILIDPYGNYVIQTALKECEDAAVRAVLIGAIRPHVAALRNNMFGKRILSKTYLKNRKH >OGLUM12G14040.1 pep chromosome:ALNU02000000:12:18382164:18397160:1 gene:OGLUM12G14040 transcript:OGLUM12G14040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPHQELPAAAAAVVADAAQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELWLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGVTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >OGLUM12G14040.2 pep chromosome:ALNU02000000:12:18382164:18397160:1 gene:OGLUM12G14040 transcript:OGLUM12G14040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPHQELPAAAAAVVADAAQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKDKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELWLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGVTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >OGLUM12G14040.3 pep chromosome:ALNU02000000:12:18382164:18397160:1 gene:OGLUM12G14040 transcript:OGLUM12G14040.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMTPPPIEQQQEDEEMLVPHQELPAAAAAVVADAAQPMEVVAQTEPANAAESQPPEDPQTSRFTWTIENFTRINGKKHYSEPFVVGGFKWRVLIFPKGNNVDHFSMYLDVADSVNLPYGWNRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDDGKYLAPDADRSIRNLYTLHRYKFDDERVTKEDTKKALEEQYGGEEEIRLKKEQEEKEHKKKEKAEAHLYTIIKVARDEDLKEQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKECGIPVQFQRFWLWAKRQNHTYRPNRPLGPHEESQSVGQLREVSNKAHNAELKLFLEVETGVDLRPIRPPEKSKEDILLFFKLYNPEKEELWLFVKATGKPSEILTKLNEMAGFAPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKSPVSDGVTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFCLELSKLHTYDDVVERVARQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQIQNFGDPFLLVIREGETAAEILERIQKKLRVPDEEFSKWKLAFISMNRPEYLQDVDVRRDVYGAWEQYLGLEHTDTTPKRSYTANQNRHTFEKPVKIYN >OGLUM12G14050.1 pep chromosome:ALNU02000000:12:18399726:18401268:-1 gene:OGLUM12G14050 transcript:OGLUM12G14050.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alba DNA/RNA-binding protein [Source:Projected from Arabidopsis thaliana (AT3G04620) TAIR;Acc:AT3G04620] MQAVREEEEQVVEEVVRAGAVAEEEEGPEEKEVAMVGKETAEAEHDEEEAEAGASAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNTIMSSKKSDRPKSAEEEIKV >OGLUM12G14060.1 pep chromosome:ALNU02000000:12:18401389:18406233:1 gene:OGLUM12G14060 transcript:OGLUM12G14060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRSQVRWMKKARGARMRGNARRIDLRLHPRVQNPWMGSPPSLLSSSSFVPNQWKARVPPTREWRALLVAPSSPAGGPVVRQHPLFRWSSSLSFARRHRFLPLVHSLRQAVWSLLRPSLSSTLSVELSGGKWNSRIIKTDD >OGLUM12G14070.1 pep chromosome:ALNU02000000:12:18408712:18409878:1 gene:OGLUM12G14070 transcript:OGLUM12G14070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSSSDDLAASTIDLALEEKLAANALGGGNGGAEEEAAAWEIDLSKLSIRSVVAQGYHGTLFRADYGGHDVAVKVLDWGEDGYSTPEQIAHLRASLADLAVVWHSFEHPNVARFFGASMGTADLNIPANTTSSATAAGGGEQRNTGEKPPPDRACCVVVEFLGGGTLKKYLIEHYRSKLPYGEVVRLALSMARGLSFLHANKIVHRDVKTENMLFLGGGGGGDLKIADFGVARVEARDPREMTGATGTVGYMAPEVLVGKPYNRKCDVYSFGICLWETYCCEMPFTFGLGVAEASAAVAQRGMRPPIPPCCPPAMARVMARCWDADPAARPEMEEVVRMLEALDTSNGGGMVAPGKMKKKKKKTTKKKKNEEKMPGCFCFFGRS >OGLUM12G14080.1 pep chromosome:ALNU02000000:12:18412044:18412789:1 gene:OGLUM12G14080 transcript:OGLUM12G14080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATATTFSHLRVPYRWPALTRRSEMDKLNRVFKHASLVCRLLSPRSATVPVHRHRGVRRAKRAARLPALLTTVDVLWCARFTEADIPPTRTPIPNPLATLLAGPPTAASHAAAIAGHGCYSSSSSSHHLHPPFPSPARHHHPPPVWLTTGVLPRSGHRSRGGEASKVFRNAVDEEVCRRRLATRLVGRGVRPSAESIWSRLAGRGAGGVAREGGASVSMAAHAAVGVRGKVQL >OGLUM12G14090.1 pep chromosome:ALNU02000000:12:18415531:18424252:1 gene:OGLUM12G14090 transcript:OGLUM12G14090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTNMSWGAAARGLQTATGLVTSVNTWAEFFNCVSSAISSACSWINIHQKPENDFVQEEMKHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDAVLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYTSVIRGSFNRVKEIQEKLDHLHHQSMDLGLHCAAQRFDKIVRPETSSFLNESKIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNTKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNAFHGSMILITTRSQKVADKVRTMDCFPLEGLTEDVFWEFFIVQAFGTESLSKYPDLEDIGRSIILKLKGSPLAAKTIGRLLRTNLHASHWNNILQSELWKLEQERTDILPALRLSYMHLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHASSIPAVTVLHQYFEELLSRSFFQKVTCDKYVIHDLMHDMAQLVSQDECFIIRNADDLRTIPPNVRHLSIFTKRYIGCRDLMGLCRYKKLRTLLCSKAFSKGEFASVLGSWFKELQHIRVLSCSLPMIEDIPEGISNLKLVGYICFSSQRTFSILPSSFCCLYNLQTLDASTCVFRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLVVLKKENDLYSLHMSQLAENAIYEQEQLEVYESLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISFIFEECHNAKKISLHRLPCTGFRYLINLYIIECTNLSSIKQFLQPCHIPAIKMISIKGCQELSSTSAERFGDFRFLEALVIRDCPRISWENGLALPPTLTSLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIAEINNVLIDKCPMLKELKQPFSRGDVTFLWGIPTSKWLGM >OGLUM12G14090.2 pep chromosome:ALNU02000000:12:18415531:18424252:1 gene:OGLUM12G14090 transcript:OGLUM12G14090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTNMSWGAAARGLQTATGLVTSVNTWAEFFNCVSSAISSACSWINIHQKPENDFVQEEMKHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDAVLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYTSVIRGSFNRVKEIQEKLDHLHHQSMDLGLHCAAQRFDKIVRPETSSFLNESKIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNTKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNAFHGSMILITTRSQKVADKVRTMDCFPLEGLTEDVFWEFFIVQAFGTESLSKYPDLEDIGRSIILKLKGSPLAAKTIGRLLRTNLHASHWNNILQSELWKLEQERTDILPALRLSYMHLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHASSIPAVTVLHQYFEELLSRSFFQKVTCDKYVIHDLMHDMAQLVSQDECFIIRNADDLRTIPPNVRHLSIFTKRYIGCRDLMGLCRYKKLRTLLCSKAFSKGEFASVLGSWFKELQHIRVLSCSLPMIEDIPEGISNLKLVGYICFSSQRTFSILPSSFCCLYNLQTLDASTCVFRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLVVLKKENDLYSLHMSQLAENAIYEQEQLEVYESLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISFIFEECHNAKKISLHRLPCTGFRYLINLYIIECTNLSSIKQFLQPCHIPAIKMISIKGCQELSSTSAERFGDFRFLEALVIRDCPRISWENGLALPPTLTSLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIAEINNVLIDKCPMLKELKQPFSRGDVTFLWGIPTSKWLGM >OGLUM12G14090.3 pep chromosome:ALNU02000000:12:18415531:18421672:1 gene:OGLUM12G14090 transcript:OGLUM12G14090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRFTNMSWGAAARGLQTATGLVTSVNTWAEFFNCVSSAISSACSWINIHQKPENDFVQEEMKHLQSDLWQLQTTLPKMRNLVEILEWQIYKKPAAELLPHIKDAVLDAEDIIDEFNYYELKAKIEGRIEECLTSSGCQEFYTSVIRGSFNRVKEIQEKLDHLHHQSMDLGLHCAAQRFDKIVRPETSSFLNESKIFGRQEEEKMVLELLGVQLQANAGYKRKRSSRVEVLPIVGLGGVGKTTLAQQICKNQMVKAHFDMILWACVSDDFNTKRLTKEVIQSSKKETSFDNLDSLQSILKDIVESKRFLLVLDDIWDDVMADGGQDWQRFCAPLSNAFHGSMILITTRSQKVADKVRTMDCFPLEGLTEDVFWEFFIVQAFGTESLSKYPDLEDIGRSIILKLKGSPLAAKTIGRLLRTNLHASHWNNILQSELWKLEQERTDILPALRLSYMHLPPHLKRCFSFCAVYPKDYRFEKDTLVDIWLAEGFVEHASSIPAVTVLHQYFEELLSRSFFQKVTCDKYVIHDLMHDMAQLVSQDECFIIRNADDLRTIPPNVRHLSIFTKRYIGCRDLMGLCRYKKLRTLLCSKAFSKGEFASVLGSWFKELQHIRVLSCSLPMIEDIPEGISNLKLVGYICFSSQRTFSILPSSFCCLYNLQTLDASTCVFRSLPCDFGNLISLRKFRAKNFSYLPGEDSRMQFLRGERIKVLKYVNQVQGSLLVNLPGLKSKKNIGLVVLKKENDLYSLHMSQLAENAIYEQEQLEVYESLHPHPDLQHLEVTGYQGENFCPSWFLPDNLPNMISFIFEECHNAKKISLHRLPCTGFRYLINLYIIECTNLSSIKQFLQPCHIPAIKMISIKGCQELSSTSAERFGDFRFLEALVIRDCPRISWENGLALPPTLTSLSLVRCGDISKWIPDCLLNLSSLVRLQLVGLSGTMFIPGSIWRNNLPLLDYLEICNFQELRFTGVPEAIAEINNVLIDKCPMLKELKQPFSRGDVTFLWGIPTSKWYLS >OGLUM12G14100.1 pep chromosome:ALNU02000000:12:18425975:18429909:1 gene:OGLUM12G14100 transcript:OGLUM12G14100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALRALPRRLLLLHQPLHHSMPLSSSPPDPRELLRIERILSTPTSSTTPPPQRQGGPRPAAAATSSLHETAGLTAAESASLLRRGLPTSAKQPHHHHHHRHRQLRDELRGLRLGEREIRAALASDAGGLLLSMSPREPSRLVGLVRGELRCRAAVRDRVLAGGALAAAVAARRRVELLHARGLTRRDALRVLAAEPRAILYGLEDVERKVDFLVSRMGFEIGWLVQYPEFLGVNLERRIVPRHNVVEHLRSIGGLGDPIEMKHYVRFSRQRFYNMFVKPYPECERIFGGIVREKKEEVRRRRHPVGLWKLFKPAKYESLRVLQESKATPTSDATTEAIDFEESEFTRSGSMGFELDDYPGSGANNRHSPHSEGNR >OGLUM12G14110.1 pep chromosome:ALNU02000000:12:18430358:18432043:1 gene:OGLUM12G14110 transcript:OGLUM12G14110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPPLPLPALLAGLARRGATSPAAAARQLHAQLLIRGLPLPARAAVALVASSSLPRHARAVFDSVPAASANVYLWTATISAYARHASSSSSSSHALEVAGEAVALFALMLRSGPRPNAFTVTSVIKCCSKVRAVHEGLQVHAFLAKAGLGCSSVHVGAALLDLYGNIGLVGDARRVFDEMPVKNVVVGNTMVACNVRAGDMAAAQEVFDGMAERDPISWNTLMSGYLRSGDTATVRELFDEMTVRNVNSWNMMIAACSEEGSLADTVKVFNRMRATGFEPDAATMAVLMSACAQLGSLTIAGQVHGLIQKSCVEMNCHVQNSLIDMYAKCGCISQAQFLFTETYPKDAVSYNVMIIAFAQHGHARDALDLFNAMVDSGLQPDVVTFLGVLSACAHAGLVEYGKNYFELMKTTYAVQQSPDHYACVVDLYGRAGLIEEAHCFLKTMPVKPHAGVWGALLNACRKHCHVDVGEIAAKELIRMEPKNPGNYVLLRNTLARGQQWDAVEDVQISMRGKVIDKTSGCSWVEVDSVVHEFLAGDFCHPKFDEICTILEHLYMELT >OGLUM12G14120.1 pep chromosome:ALNU02000000:12:18460509:18461030:1 gene:OGLUM12G14120 transcript:OGLUM12G14120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDVYGFPNLNKDQVSSADLAVLNEQRHDLTDGNALFAGWMVDNGVSTGCYNLDCDGFVPVNNAPITPGDILEPTNGTLSITIKIFKVCS >OGLUM12G14130.1 pep chromosome:ALNU02000000:12:18488866:18492257:-1 gene:OGLUM12G14130 transcript:OGLUM12G14130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIGIKCSGAILISLIRLSKQYAAYFFKARKSVRALEAATERLRERLSDVETKLDSATRKGMQPRNEVEGWLKRAEHVCVETEKIQAKYDKRTKCMGILSPCTCVNYMIAKSAAANCQAVEKIYSEGIFEEYGVMVPQASTEVPITDISLTSTDRYRNLAVKFIRDEAVSKVGLWGPGGVGKTHLLHQINNLFHKNPAFDVVIRVTASKGCSVAKVQDAIVGEQMLVKKDDTESQAVIIYEFLKSKNFLILLDDLWEHVDLDKVGIPNKVSSIGNYKQKLLLTTRSESVCGQMGVKNGQRIKVDCLDETDAWHLFKENVGTEIIENHPLVLKLAKEVANELAGLPLALIVVGRAMSTKRHPREWQNCIDFLQQSRLNEIEGPVCNEESVFARLKLSYEYLSDTNLKDCFTSCALWPDDYLLDRNKLSEYWIGLGLVEEEDIQRCYNAGYARIRELVDKCLLEETDDDRLVKMHDVIRDMALWIVSNEGRDKNKWVVQTVSHWRDAERILSVGTEIAELPVVSAEQTKLTVLILQDNHLIQSSVTGLCDFISLQYLDLSRNWLKTFPTEICRLLNLYYLNLSHNKIKYLPEELGSLFKLEYLLLRSNPVREMPETILSKLSRLQVADFCSFQLEQPSTFEPPFGALKCMRNLKALGITINMIKYFNMICKTDLPVRSLCVIILTKYLDEWKGFAFSDSFFGNDLIQKNLSELYIFTHEEQIVFESNVPHRSSNLEKLYICGHHFTDIFWKGVESQDLFQNLRRLDLISCFSLENISWVQRFPYLEDLIVYGCSALEQIIGSALNDVKQPNAGEKGRKPLSQPCLKRFVLLSLKRLTTICHSSFDFPSLESLHIFGCPQLMTLPFTSVPCNLKYIHCEQEWLDNLQWDDANIKNSFQPFFKVISMVDKYAAKKFIDGLHAEWMYYRRESEVS >OGLUM12G14140.1 pep chromosome:ALNU02000000:12:18494625:18508052:1 gene:OGLUM12G14140 transcript:OGLUM12G14140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRVAAGGKQRQQRAAAGGEAVVAGGALPSARSGREGSPAMARGAATTWQQRRRRPDSGGGGPTAEAAAPPPLRQIWPGGEPGDGERGCDDLATAAAAARQRRWRPDSGGGGAASPPPDLAGRGLVDGPVVAAPGFYHSALPMYASPSMPSLCHLQQCPAASANVYLWMAVILHACWTGYREHDVEVTRDLMGGVKAKVKMKHKRWLMKMSH >OGLUM12G14150.1 pep chromosome:ALNU02000000:12:18509137:18534918:1 gene:OGLUM12G14150 transcript:OGLUM12G14150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKIRPAVEIQLREYVRDAAAFTPLQEGVWERAKKLQNIGWAVCDGGDDDNGNDMRGCELIELASCCDVDDDRQLVHIMQIVNIPSFMVRLESEKHIDFSLTSPFGGGPLAE >OGLUM12G14160.1 pep chromosome:ALNU02000000:12:18542191:18550452:-1 gene:OGLUM12G14160 transcript:OGLUM12G14160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTMLLSSSCSMGQFPRASAHSHGMVSRHFRKGPPPKVTRATGVEKRIEFEGNVGSLQVMHNKELEANIRKKLQGVELSPSLYDTAWVAMVSERGSPQAPCYPQCIEWILQNQHDDGSWGINPSSSSVDKDILLSTLACVVALKRWNVGPYHIKRGLNFIGRNFSAAMDVKTIAPVGFIITFSGLISLAAGIGLRLPVMQIYIDEIFHLRKIELERDGGGTVSARKAFMAYVSEGLGSLQDWDEVMEYQRKNGSLFNSPSTTAAAAIYSFNDKALNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISRIFMGEIRDILDTTYRCWLHNEEEVMLDIPTCAMAFRLLRTHGYDITSDEMAHFCEQSSFDDSIHGYLDDTKTLLELYKTSQIRFSGEDLILENIGNWSAKQLKQQLLSNKLSTSARSEVEYVLKFPLHSTLDRLEHRRNIEQFKFKDSQVLKSGYCGSHSNKEILALAIDDFHSSQSVYQQELQYFESWVRQCRLDELKFARVMPLIVHFISTATMFAPELADARMALSQTSLLVTVYDDFFDCPETSREEKENYIALVEKWDNHAEIGFCSKDVEIMFYAVYNTYKQIGEKAALVSAAFGAFVCPPVYFLGPKLSEEDVKSEEYTQLLKLTNVIGRLLNDSQTYRKEILAGKVNGVLLRALTDGGDTSPESIEAAKKVVKCFAESSMVEMRKLVFSEGGPIPRPCKNRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLQLTHSPSCLGTLSL >OGLUM12G14170.1 pep chromosome:ALNU02000000:12:18561435:18578924:-1 gene:OGLUM12G14170 transcript:OGLUM12G14170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSICSMGQIPRISPHSYGMLPKQMSKGHPPMITRGGVEKGEVGGNVQSLQVMHGWLWCQSVVLLKLHCIEWILQNQHDDGSWGINSSSLSVNKDILLSTLACVVALKKWNAGSYHIRRGLNFVGRNFSVATDVQNIAHVGFNITFSGLITLASGMGLQLPVWQTDIDEIFHLRKIELERDAGGTISARKAFMAYVAEGFGNLQDWDQVMAYQRKNGSLFNSPSTTAAAAIHSFNDRTLNYLDSLTNKFGGPVPAMYPQNIYSQLCTVDALERTGISQKFAGEIRDILDTTYRSWLHNEEEVMLDIPTCAIAFRLLRTHGYDITSDEMAHFSEQSSFDDSIHGYLNDTKTLLELFKTSQIRFSCEDLVLENIGTWSAKLLKQQLLSNKLSTSAQSEVEYVIKFPLHSTLDRLEHRRNIEQFKVEGSKVLKSGYCGSHSNEEILALAVDYFHSSQSVYQQELKYFESWVKQCRLDELKFARVMPLIVHFISTATMFAPELADARMVLCQTSLLVTVYDDFFDCPETSREEKENYIALIENSAKAMMVEADWTATMYIPATMEEYMSNAEVSAAFASFVCPPLYFLGLKLSEEDVKSHEYTQLLKFTNVIGRLQNDSQTYRKEILAGKVNSVLLRALIDSGNTSPESIEAAKDMVNSDAESSMVEMRSLVFSEGGPIPRPCKDRFWEMCKIVFYFYREDDAYLTPKEMMSSARAVILDPLRLIPPPSCPETSS >OGLUM12G14180.1 pep chromosome:ALNU02000000:12:18600299:18603369:-1 gene:OGLUM12G14180 transcript:OGLUM12G14180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLPTDAFVEILLRLPPFTRRRFRLVCRRWRDLLDERTPRIHARVKPLAFFTRGRHAAQLGSSSAYVYDDDDLSGEFVCCRQVWCGGAAAAAGLVMFGCRNGILAMVDDRTGDITLANPATGETLAVPPPPRLPRNCAFHRAAVGFGYHPTTGKYKIVHLPPLRGEASALGVVEVFTLRRSNATWREVAAAPPPAGSSCDVGCGLVSVDGAMYWIAMSFDLEHERVARVTSLPAMARGKLAAGRCCHLTVIRRRLAVAITASDVTVVWVLHKGRRKQRWINQYRVVGMDTYHKQLTRPYFFFSHGEHYMTITWRFPYISMRAHRLKKGDGEPKEMALLEQGASIQVFSYAETTETLR >OGLUM12G14190.1 pep chromosome:ALNU02000000:12:18625146:18628508:1 gene:OGLUM12G14190 transcript:OGLUM12G14190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSGIERDGWSSLPLGFGEKPWLVQSQGTEALSFVDISDRSLHVRTIPELQCKLCLGCVHDGDWLLMVDETTSDCFLFCLSNSSKISLPPLREPLVDLGACLVLGSSLVNRDNAVVISSTAEPEESFLLYCHPGDKEWTKLIIQLDSHRLSGNLVNCAGQLYSFSTFGCLVTLDVIDGAAIQAQMDFEWESTCDPSYVPYLVESGGDLFLVAASLYGWPYDCPLNGVSVYQLDQAESTLKKVDGIGADRAFLISGHYGFSCVAVKGQVQGNCVYIVWSGHNCEIIYKFCLDDMTISVQPILPQPTKDLQRGFWSVPTGIRAKEFKESAPSIRRDIEVSVLNNFYNDEAEHVTPIAPWQNLPIEMLELIVSNLSLVDRLRFPSVCKEWSSVSNPVAQAKVWPWLMHCIKQDGTSKMFDPLRSMEYAMEVGPFDADEWQAFRFSKDGWVIVSHGDNNIIVINPFTEEIVKLPMFDKWYLFNGISFSTVPTSPDCVFLGVGGSPKGDSIRVSICKPNKRDSIEDESEDKEKEPEVEENESEDEEDESEDEEDGVYWREFFFDNDDVLFPVARNNPVYFRQEFYFLGQKGNLAIFNPGNNEWRILDKPEPIHANLTPFDEGSEACYLVELRGELIAVFHRNTNEPPRVLKLDESKMLWIETEDIGGGTLFLDYRASMAMTSSEAGHGNRLYFPRFSEDGKQAVFYDMETKKYSPTFYGAKEPMNCVWFVPKLQADPLMSNQLI >OGLUM12G14200.1 pep chromosome:ALNU02000000:12:18631282:18631623:1 gene:OGLUM12G14200 transcript:OGLUM12G14200.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKPLSSPELPGKRHHCIFTFSQSRWSTPPLSLASPLPPTRSRPLGPRRRLRDRLAGPPSLPSSSFRSLVAGEKWDRERGIWELGFCPSLPVGASSGAGNIGDVH >OGLUM12G14210.1 pep chromosome:ALNU02000000:12:18637613:18637870:-1 gene:OGLUM12G14210 transcript:OGLUM12G14210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNAACEAPFREALRWVVWRGGGQAGGGARWRARSSTGSGTRRHAPLHAQLLAPPLPGTDTINEIREGVGKHYSVNVPLDASC >OGLUM12G14220.1 pep chromosome:ALNU02000000:12:18639482:18639925:-1 gene:OGLUM12G14220 transcript:OGLUM12G14220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSLVDVYGMLGDMRRLRTRPATEAEIRRFHLPEYVDLIRDLTPESYANDVVLRQKGEDDHGIGLLGGDNYCPAFDRLWKYCRGYAGGSLAAARALVDGASGARRRRIMMFPFPFRSHIAPMLQLAELLRDRGLAVNVVHTTSPDC >OGLUM12G14240.1 pep chromosome:ALNU02000000:12:18683257:18685036:1 gene:OGLUM12G14240 transcript:OGLUM12G14240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWFNGKFTGRTFLLQQLLGKMLHSFQNVDGATYWVTKVDARIMSLDHEHERVTPVPPLPPVIAGELDSWWITRLTEVFGRLGVAITSNHKTTASKYNNVEFD >OGLUM12G14250.1 pep chromosome:ALNU02000000:12:18687397:18691687:-1 gene:OGLUM12G14250 transcript:OGLUM12G14250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDDDRWGHVHRDIFGEILKRLPPISGRRRIRLICRRWRDGVDEMEPEMATTMRAKPLAVLKDSRSRTLSAFVVDGLPPAPRRATTRCIFQHVDDGGGGGGHHRHRYDRWPNNVGDQVVGTCNGILLLAHSRYLDLWGGRLSLGVEGMAWWSGDGRCAGWPWAGMTTATPFGTVPLLGGVVLVLTPHNTKNPLRAMVALVDSCNVLEALQV >OGLUM12G14260.1 pep chromosome:ALNU02000000:12:18693774:18694033:-1 gene:OGLUM12G14260 transcript:OGLUM12G14260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGACSRALLGTTAIPSIHYMKKGEIRGNGIGILRRRDDRSSSTNAAIVFFVAGDEDEEERSGWSSFSRCGRQWKGK >OGLUM12G14270.1 pep chromosome:ALNU02000000:12:18694103:18694662:-1 gene:OGLUM12G14270 transcript:OGLUM12G14270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVLELTGGERWIISACNLKRKCRGVQGKVLGMVHAQRIDKWLTGGGGIDDGVRRQVQNGAEEERGVLSLYDAPFFGVLEGEWRGG >OGLUM12G14280.1 pep chromosome:ALNU02000000:12:18698213:18700824:-1 gene:OGLUM12G14280 transcript:OGLUM12G14280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSIMDGLPTDAFVEILLRLPPSARRRSRLVCRRWRDVVDARTPEGQSRRAKALVFFLNRSGHSPEPRCSAHVFDDLSPPSSSGREIWNSSTGTAAELAMVGCCNGVIALWEEGTGRLTLVNPSTGETLAIPPPPRLPPKRRRRRTPLVVSCLSFGYHPITGKYKIVHLPADDAMAAASSSSWCSPLDVVEVFTLGDVGVGNGATWREVAAPPGSSCHVRLGVVSLDGAAYWVAADNAVMSFDLEHERVAAVEAPLPAMPLGTWLGALAVVGGRLGVAVMGCADSYPTTTIVEVWVLEGGRSGDEEEQRTWARRYSIQGLAPGWQRMVWPYFCHGEHVLTTDVRTRRRSAHAHRLHRLRDGSRLALAHLATADTAAAAPMYDVQAFPYVGTTEPLGEYAVSDASGLSRYPYKNMLLSLFLE >OGLUM12G14290.1 pep chromosome:ALNU02000000:12:18726586:18730276:1 gene:OGLUM12G14290 transcript:OGLUM12G14290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNGGCHLTEVGGRLGVAIATSQRGTTNVEMNLSNNLSASLIAIIKYLSSLNVTLGDTNNTLITNH >OGLUM12G14300.1 pep chromosome:ALNU02000000:12:18728506:18730740:-1 gene:OGLUM12G14300 transcript:OGLUM12G14300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLLLHSPRFAAALTTPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDALLSALPSSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILHYASDKHA >OGLUM12G14310.1 pep chromosome:ALNU02000000:12:18731766:18732219:-1 gene:OGLUM12G14310 transcript:OGLUM12G14310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRWGTTPPPATVPPWSLCTAWGVVSVDGATYWVTVGREIMLFDLEHERVAAVAPLPAMSKCRLPVSMAKEDTCCQLTDVGGRLGVSIAIHRRNSFRIEVHSPKSLSKVHSFFHIIHKNLKSEIHCRSLNRSLKFVKDVI >OGLUM12G14320.1 pep chromosome:ALNU02000000:12:18739336:18741353:1 gene:OGLUM12G14320 transcript:OGLUM12G14320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHIARDQHGCRFLQQRLDDGKREVDFIFAGVARHAVELMVNPFGNYLMQKLLAVCDDEQRMAIVLTLTKDPFVLVRISLNVHGTRAVQKLIESLRTREEIQLVVEALRPGFLELIKDPNGNHVVQRCLQSFDANDNKPIFEAAAVHCLDIGMQCHGCCVLQRCIARSGGEQREKLVAAIASNGFELAQDAYGNYVVQYVIDLKVPTANASLTKQFQGRYIHLSMQKFSSNVVEKCLKVFKEADKATIILELLAVPHFEQLLQHPFANYVIYSAIQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >OGLUM12G14330.1 pep chromosome:ALNU02000000:12:18743131:18744869:1 gene:OGLUM12G14330 transcript:OGLUM12G14330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGRWGDVPTDVLWEILRRLPQISGQRRLRLVCRRWRDVVDEIEPEVQRRRAKPLAFFKNGCYEPPSAFFLHDLAGDCDEEGDGGDRDFFSRYNDDDMVGSCNGLICLWLDRSYSGGCGVFVINPVTGETLHIPPPPLETMATSSQRRRREGPLSFGYHPTTGKYKIVHFPSNGGRVDDVTLGGTTSGAATAASSFPSRHGGMVNVLTLGDGAASTWCAVGTPPWSLCVAWGVVSVDGATYWVAEGREIMSFDLEHERVATVAPLPAMSKCRLPVSMPKEDACCQLTDVGGRLGVSIAIHQRNSICIEEEDKKDKEEEESSSSSKEEEEEEEEEEEEEEEEEEEVNA >OGLUM12G14340.1 pep chromosome:ALNU02000000:12:18756434:18760574:-1 gene:OGLUM12G14340 transcript:OGLUM12G14340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPMPMPAQAPPTAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYVNGLL >OGLUM12G14350.1 pep chromosome:ALNU02000000:12:18774603:18775079:1 gene:OGLUM12G14350 transcript:OGLUM12G14350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSSPSSHRHLPLCCLSSPDPTSHGPDLESSVEGEAGDGEGTPEGEAGGGEGTAEGGEPTPRLSSIQSSSSIRATARRPEIHRRPTSTTATAADARRPTPARRPEIHRRPPLRPRHGGQRSVIAARRRRGVVVATELVRAPARGPLVGGGDEGRQR >OGLUM12G14360.1 pep chromosome:ALNU02000000:12:18775870:18779529:1 gene:OGLUM12G14360 transcript:OGLUM12G14360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGAAAAAAATAEEAAAGGEHGPFPIEQLQASGIAALDVKKLKDAGLCTVESVVYSPRKDLLQIKASKLVPLGFTSASQLHAQRLEIIQVTTGSRELDKILDGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFAIMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFGPQIKPIGGNIMAHASTTRLFLRKGRAEERICKVVSSPCLAEAEARFQISPEGVTDVKD >OGLUM12G14370.1 pep chromosome:ALNU02000000:12:18779424:18782155:-1 gene:OGLUM12G14370 transcript:OGLUM12G14370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDASSAAAAQNPNPNPSTGPPPISAYYQTRAEHHAVVSSDWLAHAAAAASLPPSSDAADADAASDAAALLSPGSNGGGGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFISDGCTILVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNELAALGIPVKALIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPIPAGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >OGLUM12G14380.1 pep chromosome:ALNU02000000:12:18791010:18791652:1 gene:OGLUM12G14380 transcript:OGLUM12G14380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLGDGFYHQGFDEGVGLFDIDMLLFGGVPGNYFLGVLMVMMLIWWWCGGGGGGGPLYNGANIYGLHPDLDMDPNVEEEDEQLVQDNHKWQFVRDYPR >OGLUM12G14390.1 pep chromosome:ALNU02000000:12:18793849:18794724:-1 gene:OGLUM12G14390 transcript:OGLUM12G14390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISKVIGIAGTSLLVTSVGLWKIGLRIVAVPFLATSTIAYIIAVASHNSINIPWMLGKNSKGRFPIWSSVLFGPFLILARVYATVKRHMRKKEAVYNMITEGVYLGGWPFMLKHLPPGDPSVIDCTCELPRSDFVPTNEYLCVPTWDTRAPMISQIEFAACWACEKRAKGKLVYVHCAFGHGRSACVVCAVLVALGIAENWKDAENIIREKRKIKMNAVHRKTLDDWSKYRASQKKDK >OGLUM12G14400.1 pep chromosome:ALNU02000000:12:18799396:18800851:1 gene:OGLUM12G14400 transcript:OGLUM12G14400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATTRLGVRRPTPAPVFDCDRLLLGSSSGGGMVGDDVVADALLGFAYDPPAHGAAALDDVLAPLPGADKRPRERGVVADGGSFRRAGAALPAPPTELVTRFVPTPPPLPPVQQQQQQRWELPDAVFVRGAGAAEAKKGGAAASHDDHDDGRHHHHHHHHNQAVQSAAARERRRRISSKTAELSRLIPGAARMNSTAEMLQAAARHVRLLQAQVGMLALIHSSGEAKAASSMAASREHHQMMMMRALLASGGVQERLAGEGRCLVPTSLVRAIADDDAMATSNPALSRDVNRFKDSLDQQQQQ >OGLUM12G14410.1 pep chromosome:ALNU02000000:12:18807962:18814719:1 gene:OGLUM12G14410 transcript:OGLUM12G14410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRCSSCNLFFFFVSVDMPNNLLVLVKVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >OGLUM12G14410.2 pep chromosome:ALNU02000000:12:18809460:18814719:1 gene:OGLUM12G14410 transcript:OGLUM12G14410.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYHFTVISVNIILLCWIQEEFDTYDHNAHLFVKLQFLKKRSKIIEIVAAKDIIFALAHSGLCAAFSRVTNKRISFLNLSPDEVIRSLFYNKNNDSLITVSVYASDHFSTLKCRTTPIEYIRRNQLDAGFPLFETESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDTNVQEIKISPGIMLLIYERTPCHVPLKILSIEDGRPLKSFTHLLHRNKKIDFIEQFNEKLLVKQEDENLQILDVRCSSCNLFFFFVSVDMPNNLLVLVKVRSSELIEVSVTKFMTPSAFIFLYENNLFLTFRNRTVAVWNFRGELVTSFEDHLLWHQDCSTNNIYITSDQDLIISYCKSEAGVDDGTVPPIGSINMSDIMTGKCIAKIAANDPTLRIGPRKNGNSERSSIWSTIPEALEDVTALFYDEDRNEIYTGNRHGLVHVWSN >OGLUM12G14420.1 pep chromosome:ALNU02000000:12:18812487:18814564:-1 gene:OGLUM12G14420 transcript:OGLUM12G14420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:homologue of bacterial MinE 1 [Source:Projected from Arabidopsis thaliana (AT1G69390) TAIR;Acc:AT1G69390] MATAISGNFGGEPGAVLAPSASVLPAPRRRNPAASSKAQFSSFPRGRSCNLMLTPKHLGIEHQSCSKSSIQTFALSRNDFSPIAQEVEGFLHSIVNMGFLDRLKLAWKIIFPAPSIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENIVEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNKNY >OGLUM12G14430.1 pep chromosome:ALNU02000000:12:18817069:18819430:-1 gene:OGLUM12G14430 transcript:OGLUM12G14430.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaJ-domain superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G21430) TAIR;Acc:AT5G21430] MAAVGVVSPPAPPAVAAASTSSPRRRVRLPRGRVSSSTALFRARCSAAAADGGATAAPEDAAAAAAVAEAVEGDPEAGTDVAGGAATSTRPPYSLISADNVQKAMRGLAITECDHYGRLGITRSASTDEVKAAYEKKCEELNSKGLEEEEINKEHDLLKESFTILWTEEERRLYDWSLARSGKPERYVWPFEVDPMELAPDPPKEPEDEFPTKLVGYFFLAWFILSVALSVTLNR >OGLUM12G14440.1 pep chromosome:ALNU02000000:12:18821318:18825725:1 gene:OGLUM12G14440 transcript:OGLUM12G14440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWHGYRHCRRRHHHHCSVLLMVVYLLVDVLPASLASGSTGDDARALMQFRSLITEDPYRALVSWGGRGNLSASPAPLCGWHGVTCGSRGRRRGRVTALDLSRLGLAGAIAGSSSLSTLTYLRRLDLSQNRLHGGIPLPLPPSLEHLNLSHNALQGPVPPELGSLCYLHMLNLRYNNLTGSIPASLGNLTSLTVLSLTSNNLSGRIPGALGKLRALTGLYLNGNMLKGSIPSSVFNLSSLQHLVVQLNNLTGTLPPSAGDRLPLLKLLSVDSNQLHGAIPVSLCNSSNLEVVQMLENSFSGFIPDCLGIHLKNLWALILDFNQLEANSNSDWRFMDSLTNCSNLKVVGVSWNKMGGLLPGSTANLSTSMEFFSIGFNMVHGQIPQGIGNLVNINMLYMYQNNLTGTIPDSIGKLKKLSNLYLYGNNLSGKIPATIGNLTVLSRLSLEENMLTGSIPSSLGSCPLETLDLQNNRFTGPIPKEVLQISTLSTSANFQGNMLTGSLPSEVGDLKNLQTLDVSGNRLTGEIPASLGNCQILQYCIMKRNFFQGEIPSSIGQLKGLLGLDLSRNNLSGRIPDFLGDMKGIEGLDISFNNFQGEVPKRGIFLNASAFSVEGNIGLCGGIPELKLPPCSNYISTTNKRSHKLVMATSIAFAILGISLLIALFVFFCQTRNSRKGEHALLLISDQHVRVSYTELVTSTNGFASENLVGVGSFGSVYKGTMMSNEEEVVVAVKVLNLQQRGASQSFVAECETLRCARHRNLVKILTVCSSIDSRGLDFKAIVFDFLPNGNLHQWLHPREHGNQTGLSLIQRINIAIDVASALEYLHQYRPAPIVHCDLKPSNILLDNDMVAHVGDFGLARFVDHGQHSLPDISSGWATIRGTIGYAAPEYGLGNKVSIYGDTYSFGVLLLEIFTGKRPTDADFAQDLSLHRLEFGVRRMFQQIAC >OGLUM12G14450.1 pep chromosome:ALNU02000000:12:18826720:18830311:1 gene:OGLUM12G14450 transcript:OGLUM12G14450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring hexosyl groups [Source:Projected from Arabidopsis thaliana (AT1G11880) TAIR;Acc:AT1G11880] MTDQTNRPHPTTAASRSVAGDTMASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLPSPSPNSTTTAANSIASLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKRPMLAVQVLVTGFLRSVFVFIPFFAFQAYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSKVSANVTNKAQGNAVAKRRKSVATETDSNDQIIEVNKDVCPIVVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >OGLUM12G14450.2 pep chromosome:ALNU02000000:12:18827076:18830311:1 gene:OGLUM12G14450 transcript:OGLUM12G14450.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:transferases, transferring hexosyl groups [Source:Projected from Arabidopsis thaliana (AT1G11880) TAIR;Acc:AT1G11880] MASPLAGVVRLAAASRALLLALSLLSRLLFRPYDTSASLHPPCLLPSPSPNSTTTAANSIASLAVWDGVHFLRAAECGYEYEQSFAFLPLLPASLNLLARSVLAPLVPVLGYRAVLVVSGYVLNNVAFVAAAAYFYRLSVLILKSPSAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGVFYVFTGANTIAMIMLALSGSARSNGALNAGYFCFQALLHAYDAAFQKKRPMLAVQVLVTGFLRSVFVFIPFFAFQAYGYLNICLHGNMEELRPWCKSKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIVHYTKMLRQLFESNSIHELIVATVEGRSIEAYKSSDVDTVLKSKVSANVTNKAQGNAVAKRRKSVATETDSNDQIIEVNKDVCPIVVLPFILHLAFMTFTAFFVMHVQVSTRFLSASPPIYWAASHILVSPSSSKRWGNIICAYFIAYILLGSLLFSNFYPFT >OGLUM12G14460.1 pep chromosome:ALNU02000000:12:18833421:18837957:-1 gene:OGLUM12G14460 transcript:OGLUM12G14460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGIALLLDLASRGPRYASGVHAHSAISASAAAAAAAAAALSATGIPLSARHLFGFPGFTIAHCDAGAPTGLNDAPDLINGLNDKIQDSLQYPIKEYPLELKPLFSAFALKNFSLTTLRSFLLYYLPLLEPHPHTDGDDEDDLLQDESENRPPVDLVTPFYNSVKQIIRETSVVTTRRILERIAVCHVSQRTAWKLLKDASKSSKRKSVRGMPFQEYSYCVARTTFRAHALGVAAAWVVQSIVEVYRCFFGKPSNDQAMFDEMDKVKLFGKKIYGITVKCGFSLVFASIGAGIGVLVHPVHGQWLGCTLGDFAGPIVAILVFEKFQLPL >OGLUM12G14470.1 pep chromosome:ALNU02000000:12:18849107:18849508:1 gene:OGLUM12G14470 transcript:OGLUM12G14470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQAVHQEESIIVPQDEVADDAPEDLTGSLSESSDSPVSEECTEEGSVSDDGDDDDDESLIEISLVDGHYLGQEKKKCAWKEQDLLTEFLPDLLLDKRDFMDILSEIGEEDNLIEIDIARGSIKCSSFGIKA >OGLUM12G14480.1 pep chromosome:ALNU02000000:12:18853865:18856573:-1 gene:OGLUM12G14480 transcript:OGLUM12G14480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALILVLLSKIATTLGKAALNGIKSRLGKEIIILFQVENSMKEIESEFEIMQAYISQVQLQDRNNQIFVSWLKLVRKIAFEVEDIIDEYAFLLGKMGGTESFLKKTFCHSKNRTTWHNVSSQLQLVKIRLQHMTVMRERYGIKISDNGQKTLSNNINRQIYLSDSSYFNIDDDDDDAIVGQQGYAQKLIDCLNDNSVDRAIISILGMGGSGKTTLASSIWRRHDILNNFKCHAWVSVSQNYQIENLLSKILNQLDSKSMGHAIDDHNAMVVKIRSYLMDKKYLIVLDNMWDKDSWLLFDRAFPNNVFGSRVIITTRIEGTALLAQGNNSIRIGFLSPDDSWKLFCKKAFSKLTEAECPASLKTQADRILAKCQNLPLAIEAIGSLLSCRGMDEQEWASFYDQLNWQVTNNPELSWVSDVIHLSLNDLPKHLMNCFLYCGLFPEDSPIRRKWIIRMWIAEGFVEDRGTDTTPEEVAEEYLKELTQRSLIEVVDRNVFGRARRFELHNMVKEIIRTTSRKQLFALTCEHPDVTSLGDAARRVSVNTGGQDFQPGAAWQQLRSFLLFDRCMTVPWISTAVSSFRLLRVLCLRYSLLQEFPNAIAGLFNLYYLDLSRTKVKRIPKSVARLKNLQTLHLRDTSVNKLPREITQLTSLRHLFVSKGLYGTSIPGNIGVLKCLQTLREVKASKDLVENLGHLTQLRTLSITNVSTGHAKDLWTSIRKMAKLTRLAVSTHGMNEVLSLEKFRAPRYLQKFYLYGRLAEGVIFPVSGHFQNLKILSMRWSGLTQDPLGSLSQMPSLVYLELCEAYGGEALVFQDGWLPKLRQLYLIRLQNLNSLEISDGAMTNLAYLELRALKNLKAVPKGLEFLRLLKHLRAEKMPGGFTDGITGDQAFLERVEVECW >OGLUM12G14490.1 pep chromosome:ALNU02000000:12:18866629:18874989:-1 gene:OGLUM12G14490 transcript:OGLUM12G14490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDVVEKAAAVVELIGGEVGGLISMIMKGAVTAHRNKEECEQLAKYVFTIAQLLPHLVDQEVMQRLEEEVQLYGDDAEVFTMEVLKAATNDFAADRRMSERDFGTRLYMGRLSDGREVVIKLLGCYHSFDEFEAERKIHSQFRHRHIRRLVGYCVQRQKCKYPRSYVERGGEPTRLLVFEYMKNGSLHDHLHGSLSSSSPVTKSWEKRIKILLGVSRAIEYLHTHSAQPVIHCDVKPSNILLDVNYAPLLSDFGVSITLGEEPTEVWGTSGYVDPEYLMTQTVKPWSDVYSFGVVMLEVLTGRKTFFLPTEREPNSCQEVVDDLSNLQNQRHGRNWVKKSCSCLSAIFCKSTSSVASPESPPTSPGDGDGPAGREEEEDEISRIRRKYIWAPPTLSLIVAGKLQEVLDMRPTPTPTPRQLQAVYLLACTAAHCLEFQGRDRPDISKVVIMLEEALELVHYDEPIAMGILLYDIVLAYSDGLHVNAITYKHIVTTHT >OGLUM12G14500.1 pep chromosome:ALNU02000000:12:18891705:18892013:-1 gene:OGLUM12G14500 transcript:OGLUM12G14500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNGTTSFDDDGGSIWASSPIGSTGAFSPIGSTSFDDDGGADDDGTTSSRGARDGEAGPVVGAAPGLTVGVARGMAVGAAPGMAIVLGTTIGREAALPLE >OGLUM12G14510.1 pep chromosome:ALNU02000000:12:18895087:18897738:1 gene:OGLUM12G14510 transcript:OGLUM12G14510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWSGLGQAVTAAQLVGVDLGGVRAEAPMIDEILSQMQESDETMQKLLTGLENELRETHQLIVSCQEGSAAYRFVMAKRQADKLRKAQKRIDDLLDLFPMASHNTMMRRFDEYYNALNPAGCTTVPCNTYAGARSQRQYQPWDEEEEEQRATFYTEEEDQEAMFYREEEKRKAIFYREEENQRAVFYREEEVEQETLFSGEEEEQEAVFYRDEEDAFYREEEEQEGQEAAFYREEEKQEIMFNREEEEEQERMFTREEEREHEEDEDEEALFYRDDEDEDEEAVFYRKEQEEGNTRAPRSWKFSMHITLPLIEVHGRICSTFVGVNQP >OGLUM12G14520.1 pep chromosome:ALNU02000000:12:18941268:18944717:-1 gene:OGLUM12G14520 transcript:OGLUM12G14520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDAQRSKQREGGGRSETEQPRITADISATPLSFPSSPSPVTSSTGGGVESSALPLWEYSGDELAAATGRYDGARRRLGQGQTGAVFRGTLLGHDGVAVDVAVKRFHDILSEEKMRLVCRDLRARRFLQDRNVVRLHGYGFCVRYRKLHLVYDYMPHGSLSQHLFAGAGSSAAILSWDRRSKIIAGAARGLHHFHSHGAVHGCVTASNILIDNDFTARLGDFGYSWSRRFRRRCTSPAPTGDIVRMSSETDVLCFGAVVLEVVSGRRSDLDDSGGGDVWALHEAGRILEAADATLGIAAGDDGGCGGGRRRDAVEAKKMLLVGLACSHPDPKQRPKIRDVVEILKSDGVQPPKEGLGDDWQVGDAGRGGCCCCLLRSGLRGCDARDGRCSRDDDRDASGAATNLIGDDVSWAASVTRTARSTSWRRPSARSSLLAPGAIVAFIGSMLRHRERAVMAAEQLSC >OGLUM12G14530.1 pep chromosome:ALNU02000000:12:18951224:18953778:-1 gene:OGLUM12G14530 transcript:OGLUM12G14530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALILVLLQKIATTLRGAAQKAISSQLGKEATLLFDVENSMREVECEFDVMQAFISQVDPYCTNNQVFQSWLKHIRMVTFEVEDIVDEYAFLLGKMNGTESFLRKTLHKSKKLKVWYSVASRLRQVKSRVQNLTVMKERYGIKISDNDGTSSGCTANRQIHSSNSSYLNYGDDDDNAMVGQKDNVQRLTKHLNASGMDRSIITIHGMGGSGKTTPARSIYRKQDITKKFDCHAWITVSRNYQIEDLLMSIMDKLKIGHHDMWDRDSWSCFEDAFPRRSQGSKVIITTRNKEVAKLVELQGHIISLNTLLPIESWDLFCKKAFSKLPAAKCPEGLIKRAEEILEKCEGLPLAIVAIGSLLSYRGIEEKEWASFYDQLNWQLTYNPELSRVSNVLSSSLNDLPTHLKNCFLYCGLFPEDRLIRRKWIIRMWIAEGFVEDRGTETTLEEVAEDYLKELTQRSLIQVVERNEFGRPRRFKLHNMVREITWMMSKRQRFALICDDPDVTSLGDAVRRVPVHKGGQHFQPSASWQQLRSFLLFDKHVSISWICNASSNFRLLRVLCLRYSLLKDFPNAIVGLFNLHYLDLSRTKVNKIPKSVARLKNLQTLHLRRTSVSELPCEITLLACLRHLSVSTDLYGTSFSGNVYGLRSLHTLKEIKASKNLVQNLSYLTQLRSLSITNVLANHNRDLWSSIGKLKFLTRLAVSSRDDDEVLDLENFRAPQYLEKFYLDAKLANNVLFPISGHFQNLKLLSMRFSHLVQDPLISLSKMANLVCLELNCAYDGEALRFCAEWFPKLKQLSLEKLENLKSIYTYTNRALFRSSHVN >OGLUM12G14540.1 pep chromosome:ALNU02000000:12:18957833:18962182:-1 gene:OGLUM12G14540 transcript:OGLUM12G14540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSWDRDNMVSNQSIRGVSRVEIVWESVGGGRGSQQLRGGVFEGDAHHLFGEMSGYLGGDSGAILLRHREPHLLSNNNRGAMSVTVQVLATSAWHDEALVSFMSSHDAERARSATHGHNIYDGCCQLDIQYAQPLFGGNADVTPTKCSTLGSSRTTTRSTIESSPTASSHVFPAAMNSSTPSTTIVGIAIPPPSIKTDKVEGDMAQVEMKPEETPGVVCQDDCHAHQHARDMPRHQVHSVQSSFNNPWFGHRAISVVYLTCYGCLDRSSEYTASSSPVPPWREAIIPWNKAEMTLGSWPLPWPDPQLSQGSKGVVMKLLWNWPPPS >OGLUM12G14550.1 pep chromosome:ALNU02000000:12:18964596:18969346:1 gene:OGLUM12G14550 transcript:OGLUM12G14550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIVGAFKPPCDISIAFSDARTRKQIAVKNDNGRTVMVPVFQSLETISGEVSVVPVPGKRIEHLGVKIELLGQIELYLERGKFYDFTSLGMESYYGHLSYHHHRSPKLSFPIVTIPDILAVRELDIPGEIYEKKTYPFEFSTVEMPYESYNGTNVRLRYILKVTISRNIMGMGSIVEFREFCVRNYSPAPTINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLLVRIKIKNMELEIRRRESTGAGSSAYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPSYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLETAQAS >OGLUM12G14560.1 pep chromosome:ALNU02000000:12:18977351:18977830:1 gene:OGLUM12G14560 transcript:OGLUM12G14560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSGGSHCSKPPSCGFCGRSTVAISFAAVPAGFCTCNVCLRDLAGVLGYRCPLCNFTVHRQGCRRPHPPPPAVAYTQQHQRASSYDQAPVASSLPRASGSRRKRVKTFVIRLAEKVIGPEKNGGGGGGRKKKGKGKGGGGEDEEEEEEEEEEEGYDD >OGLUM12G14570.1 pep chromosome:ALNU02000000:12:18984962:18987565:1 gene:OGLUM12G14570 transcript:OGLUM12G14570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYPPPRRLFRRTASAMHAAFCTQYSAVPLPPPLPPVIHITSSRQVIDLFFWPMTRSDTPCFLAASTITGPLSFPSTKESSTRTCRIKTRPGKYSSLSPDVGTLCLDHPLIN >OGLUM12G14580.1 pep chromosome:ALNU02000000:12:18985165:18988280:-1 gene:OGLUM12G14580 transcript:OGLUM12G14580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARSSLPSFCLHRIRSDSRSGAPPSIRASSTGAANDGGRNDDVDGKEEEQKSEVGRKVMVVADGGSDEARTALQWALSHSVRPCDTVVLLDVVKSGDDPSRGCQHLETMRSICQAKRPEVRVELSLVEGKERGPVIVEAARKQGVSLLVMGQKKRSITWRLLVMWMTGGKGGGRGTAEYCVQNAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >OGLUM12G14590.1 pep chromosome:ALNU02000000:12:18997243:19002723:-1 gene:OGLUM12G14590 transcript:OGLUM12G14590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVQVRRIENEVSRQVTFSKRRPGLLKKAHEIAVLCDVDVAAIVFSAKGNLFHYASSHTTMERILEKYDRHELLSEGNNVIEEFPELEGSMSYDHIKLRGKIEALKKSQRNLMGQELDSLTLQDIQQLENQIDTSLNNIRSRKNNLLLKSIAELRQKANAKNLLILKLEKLLMEKNTILEKLRRFRRAGNRDGATWLDQQQQWLAMVDAPVIIERQELEDVQMKWSLQPLHGC >OGLUM12G14600.1 pep chromosome:ALNU02000000:12:19016819:19020135:1 gene:OGLUM12G14600 transcript:OGLUM12G14600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFGTMALANKIGNLLKRATSSSPALYQSIRCMSSSKLFVGGLSYGTDEQSLRDTFANYGQVIEAKIINDRETGRSRGFGFITYASSEEASAAITALDGKDLDGRNIRVNTANERTGGFRSGGGGYGGGGGGYGGGGYGGGGYSGGGGYGGGGYSGGGGGGGGGGYRGGGGGYGGNNGGYGNRGGGGGGYGVAEGSADAFSGINLGGDGSFGGNPAGSFGDAGGSTGGDFSGAGGDSFGSRKNDELMDDLFKDDEPDNYANKQG >OGLUM12G14610.1 pep chromosome:ALNU02000000:12:19022640:19024883:-1 gene:OGLUM12G14610 transcript:OGLUM12G14610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRIAAASSDPTPPPPLPLCSSSPRNRSRRAPTPFLPPGSGEKGKMLFYKMGRGVSSGGGQSSLGYLFGGGEAPKSAEKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >OGLUM12G14610.2 pep chromosome:ALNU02000000:12:19022640:19023545:-1 gene:OGLUM12G14610 transcript:OGLUM12G14610.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGGGEAPKSAEKPAPVQKPAPSSSAEKLKEIPAGIQSSKANNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGNKDGK >OGLUM12G14620.1 pep chromosome:ALNU02000000:12:19026985:19045932:-1 gene:OGLUM12G14620 transcript:OGLUM12G14620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKENPVLTACQAPSGRITRAQAAANRGRFGFAPSVSLPARTERKQTAKGKTKRGALDEITSVSTATSAPQPKRRTVLKDVTNIGCANSSKNCTTTSKLQQKSKPTQRVKQIPSKKQCAKKVPKLPPPAVAGTSFVIDSKSSEETQKVELLAKAEEPTNLFENEGLLSLQNIERNRDSNCHEAFFEARNTMDKHELADSKPGDSSGLGFIDIDNDNGNPQMCASYASEIYTNLMASEVSEEYKLVPDTLYLTINLIDRFLSQHYIERQKLQLLGITSMLIASKYEEICAPRVEEFCFITDNTYTKAEVLKMEGLVLNDLGFHLSVPTTKTFLRRFLRAAQASRNNHTLEHYTSYKSSDIQICVCALRELQHNTSNCPLNAIREKYRQQKAAAFGLIIILDQRVQSLERELDAAISAAARARTEKRQAEAAQRAAELRAQEVTKELENTAKVFKLHMEELRAKQEEIAKKESDIKVLEAIIRTLSNKDDGGSSE >OGLUM12G14630.1 pep chromosome:ALNU02000000:12:19054986:19058129:-1 gene:OGLUM12G14630 transcript:OGLUM12G14630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFGWWWWCRKNVEEVFVCLIRALRLRTMRYMAQMVSQCISPRQSFRVPRFSSARPTLSSHLAIGYANPSFCGVKRSNHPSFIAAALDVPKSLSSPNSESSLPLKEVIWAWSNADAVCFDVDSTVCLDEGIDELADFCGAGQAVAEWTAKAMTGSVPFEEALAARLSLFKPSLGQVEDCMEKRPPRISPGIAELVKMLKAKNVDVYLVSGGFRQMIKPVAMQLGIPPENIFANQLLFGTSGEYVGFDPSEPTSRSGGKAVAVQNIRQKCGYRTLIMVGDGATDLEARQPNGADLFICYAGVQMREAVASKADWLIFDFDELMGYLV >OGLUM12G14640.1 pep chromosome:ALNU02000000:12:19058491:19063838:1 gene:OGLUM12G14640 transcript:OGLUM12G14640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G08790) TAIR;Acc:AT4G08790] MALASFLPITAAAAAVAAAARSRVSLVRCRGMASSSSSPSAAAGGSAAARVGVVQMTSVGDLDANYATCSRLAKASAPLQQFNWSPWNRQEAASSGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEVHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAIADIDLSKVEAVRTKMPISEHRKFDSVWKTSSL >OGLUM12G14640.2 pep chromosome:ALNU02000000:12:19058491:19063838:1 gene:OGLUM12G14640 transcript:OGLUM12G14640.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrilase/cyanide hydratase and apolipoprotein N-acyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT4G08790) TAIR;Acc:AT4G08790] MALASFLPITAAAAAVAAAARSRVSLVRCRGMASSSSSPSAAAGGSAAARVGVVQMTSEAASSGVKFLCFPEVFSFIGSKDGESIKIAEPLDGPIMQRYCSLAKESSMWLSLGGFQEKGPDDSHQYNTHVLIDDSGEIRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTVVAVDSPFGRLGLTVCYDLRFPELYQCLRFKHQAQVLLVPSAFTKVTGEVHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIARLPDRLSTGFAIADIDLSKVEAVRTKMPISEHRKFDSVWKTSSL >OGLUM12G14650.1 pep chromosome:ALNU02000000:12:19070432:19076169:1 gene:OGLUM12G14650 transcript:OGLUM12G14650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNAJ heat shock N-terminal domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G74250) TAIR;Acc:AT1G74250] MASAAAPKRCYYEVLGLPRDCSPADIKLAFRRLALSLHPDKQPPGSDVAAATAAFQELQHAHSVLSDPHERSYYDSHRSQILFSDHPSSAGPGRGGGAASASPVPNLFAFFSSSAFSGFSDSGRGFYKVYGDVFDRVFAQELAYARRMGMPADAVPTPPVIGNLDSPHAQVAAFYSYWLGFGTVMDFGWAAEWDAARGESRRVRRLMEEDNKKATRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEAARKKEEERRRKERAMAYQEPEWARVDEDEAAVFEDDEEEEARAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKVSELRMVFEEEEEALKDAEEEEPEEVDVGFDFQPAQESEESEFSDAAEELADELSEGLEVRDEEEKGDKNLGNGEQKVGSYDETSVLEEMLSRRKNRKSGFVAPQEEASPAGAMDDDDDEDTSYEINNVKKKGRRRRAAKKGGTYADNGQGRKSENQPEGSRHNNDENGADDKMEDPSSNEDSAAASKEDQQKGKTGNTKKNKKNKKGTEKNTTISSEQKGTSKGKKQKEVSKAPSNDCETCGSTFDSRNKLFSHLEETGHAMLKTRQKNR >OGLUM12G14660.1 pep chromosome:ALNU02000000:12:19074548:19077482:-1 gene:OGLUM12G14660 transcript:OGLUM12G14660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVLGCATSLVSCQKVFEGPLVNSLLSIRQGGLKMYIIEDKGGAIALMLASLFFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGDSKPNMPNFFTQLSQDNWPSVLFAMAGGVVLSIGNLSTQYAWAYVGLSVTEVISSSTTLNYFLDNRINRAEILFPGVACFLVAVILGSAVHGSNAADNEEKLNGSTNIYKLGENGSVEPNKEVREKDAPKDLENGASATKYVAKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKQGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWEGRQWALLAGFLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLFMFIVAVAVLMASSGHRSTK >OGLUM12G14670.1 pep chromosome:ALNU02000000:12:19098728:19102036:-1 gene:OGLUM12G14670 transcript:OGLUM12G14670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLVLLGTWPVVLAVLERRGRLPQHTYLDYSITNFLAALLVAFTFGQIGADTPETPNFLTQLTQDNWPSILFAMSGGIFNGLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEILFPGVGCFLIAACLGSLVHSSNAADNQEKLSSAMVNHSNTNGDTENEELTKHLLEIEQPKDCEEQEPEVAPAAQAVEKLEAGTADFLVDLEGKRSIKVLGSNTFLGLGIVTFAGVSYSLFSPAFNLATNDQWHTLGEGVPHMVVYTAFFYFSLACFAIGIALNIWFLYHPMVGVPRSSLTAYLKDWKGRDLALVAGVVCGLGNAFQFMAGQAAGYAAADAVQALPLVSTVWGIVLFGEYRRSSRRTYTLLGSMLFMFVVAMVILMASSAHRRPL >OGLUM12G14680.1 pep chromosome:ALNU02000000:12:19128490:19134372:1 gene:OGLUM12G14680 transcript:OGLUM12G14680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKTAGPRGGGGGAAAAGTKKVGSGPSRVTLERLREGIARRAEEEERRAEEERRRREEERRRMRKEEKVREEARRREEQRRRLGITVVADSPGGGGDGDRRRPVYDSRKTKLQAKPHGDAQSEADTAELLSSKPQLEDEQINALSIEVAAAADAVELGVGRKTGSSEEDGTVSDGGDDSWEDKSLDGFDVQSDGNSPCVAEGETEEKLATSASQVVNPVDIDVAGEVEEDGILDSQDACAIEGDRVLRETICCILGHVDAGKTKLLDCIRHTNVQKGEAGGITQQIGATYVPVEYIKERAKPHEGVVIKVPGLLVIDTPGHESFSNMRSRGMSLCDIAVVVVDIMHGLEKQTVESLALLKDRNVRFIVVLNKVDRLCGWKHCPDAPIKKALENQSGDVKREFGWRLTKVVTQLKENGFNTALYYDNQKFRKVFDIVPTSAISGEGIPDLLLMLVLRSQATMMEKLTFVNTVECTVLEVNDDKDLGTTIDVVLINGVLHKGDQVNVCTKQGPVTTTIRDLLTPHPLKELRVKGIYKHHKELKAAQGVKIVARGLKYAIPGTSLVVVKPGDDLGQSEAKSQRNENEEGNVIQEISRLKTCKEGVYVQASTFGILEAIIEHLNSPGVNVPVSGCNLGPIEKKDVMKASAMLKRKEEYAAILAFNVRVMPEADVLASESGVKIVTADTVYKLVDSFNEHIKRSKELKKMQCAADAVFPCTLKILPNRVYRKKDPFLCDVEVLEGVVKVGTPICVYVGGTVHGLGRISSMQTSNGNQIDSAKRGVVVSVKITGESPKEKTRLYGRHFDESNELISQISRRSIDVLKEYYRDEMNDENWQLIRRLKKLLDIA >OGLUM12G14690.1 pep chromosome:ALNU02000000:12:19133355:19135408:-1 gene:OGLUM12G14690 transcript:OGLUM12G14690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVEDRGGAVALMVASLLFLGTWPALLTLLERRGRLPQHTYLDYSVTNLLAAAVIAITFGQVGETRPGMPSFFTQDNWPSVLFAMAGGIALSIGNLISQYAWAFVGLSATNIICSSLAVVLGTTMNYFLDGRINRAEILFPGVACFLVAIFLGSAVHSSNAKDEEEKLSMSGFRNAFEHYQSEFSSRDLPLMSRMKLLTNQEELENGEDDDFDTGTAEFIVQVEKRRSIKVFGSSRWLGLGMVLLAGVCFSLFSPAINLATNDQWRTLRDGVPHLKVYSAFFYFSVSVFRRRHWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYLLLGCMLTMFIVAVVLLMASAAHRKTG >OGLUM12G14700.1 pep chromosome:ALNU02000000:12:19156642:19157806:1 gene:OGLUM12G14700 transcript:OGLUM12G14700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGIIHEKITRIWPVTSAWWHNPRIVLDNFLMPLNPTRVHSSHVLAFIHLQVLFNGEDHGSGGGGVEALVLEVGLLPNGVANEVEVTVLERAAGALVDREGRGGALQAEKDAA >OGLUM12G14710.1 pep chromosome:ALNU02000000:12:19168111:19264045:1 gene:OGLUM12G14710 transcript:OGLUM12G14710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINKAPIIELFTDQGTNHILDAPALGQARWPPLPAAAAAGPPPLPDPPREAASAAARPPENSEERGGADPEEEEEDGLSEERRGGRDKAAGRRAAAALAAAPALSSTKAQAPATGLQQAPNSCRSRRARRSLLSHRVPLRAAQEPTPASSEHHKVAVAPASIMMQTNNGSTNPRLIKSGSEKMVWTPSTRLGDMGNLTAKTRDSLSLKVKERAAIEDRETTIKDKFALGSNESNVGPTSRPGPFGRWEAVVVAQPSVVSATEGVAGTVCSVTSRYGETSYRGGVRTAAGTAPHLLPYMSSPPCLRLVDAESSKARRRRSLDPAPYHPSSPKEVDLETSKMTKRWQR >OGLUM12G14720.1 pep chromosome:ALNU02000000:12:19290411:19291966:1 gene:OGLUM12G14720 transcript:OGLUM12G14720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRGRRRRLPSSPPSQIWPEEGGGRPAGATAATMRAGRPAAAPLTPSLPELSHSWGTQFSNLPPFASSSPPFIPACCLLLQVENVSFIANKLETIWKGIASGEYTNFSLKTTLFLVSQRFKQTAANKLKCSLAEDVGVTSHASWKLALLNTSTRWRMYAIVAGWMSSLALAAATIAGEAVEIEQAEKDTYTLPPARNQAGGADEKRRPAMN >OGLUM12G14730.1 pep chromosome:ALNU02000000:12:19297079:19298687:-1 gene:OGLUM12G14730 transcript:OGLUM12G14730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFFTQSALLCVLFLASSTVGGCFPLMEEEVQKNWQTLMAPILDVNLSSSGHESNQAGTLYLAVYGSGPSDNAITYYGVEATMDVYGFNLEHGQQTGGFISIYNKDEASAINNVIAGWNIEPESYNDSQTHFSTWFTQGSNACPDMRCPGFESVFSSEIVPGMVINPVSTTSSDKQYITVRVSKDPNSGDWQVYYGFNGEARLTGYYPRSLFTSLSYKPVTIMFGGYAFKKEHKLPSPPMGSGNAPIKNAASFSSVKAATAIKSILLSDIYQIATVSLILNMMVSFMGDLAIFASCL >OGLUM12G14740.1 pep chromosome:ALNU02000000:12:19303317:19304045:1 gene:OGLUM12G14740 transcript:OGLUM12G14740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAREDIHPATMAYIRHLVEVFRTTSFHDACYDQNYMGSDADIFRHRPGTTAVPDDVGAALDAIEEILRKGSPTLAADERLDILYNRTLQEETVGAVEDAVASMEAQVAGERDTVDAKKLRLKAVRAAVAEYRDGLAALMTPADGVEEQEATAAVMSLLERLDAAESEAAALAADVDGSDGLVEQLAAARERLVEEKARLDAIPVPSGDHRKDDVIVFRAADRFNRSVRVLREFVAQYDA >OGLUM12G14750.1 pep chromosome:ALNU02000000:12:19309712:19310476:1 gene:OGLUM12G14750 transcript:OGLUM12G14750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPVLVVVRLDAAAVDPATVAYLRDLVGALNGKTFQLACDSQIAAADAGMFRLRPEPSLLAGVPDSVASAINALEELLRQGSPALAAYQRHTTFLRRARQEEAVGAAMADVVAVNNLINDLQDALEARRAQLVAAQSAKRQVFAEITAAARSPAVFTEESCAWAAAELAALLTRLGQAQEREAEVEMAMARMMPSFLVMFWHLEIAKARVDAAYAVLDAIPEMPNNWMDDFQVVCDGAMRFEESVSVLREYMA >OGLUM12G14760.1 pep chromosome:ALNU02000000:12:19315507:19316265:-1 gene:OGLUM12G14760 transcript:OGLUM12G14760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAGVEPPALAYIRHLVEELEDTAFEDACFDQADEFNDGDLFDRRPEPSEVPAAVARALDGVEDLLWKGSPTLAAYARQDARNRRLEQENVVAATAAAVADTGAATAGRNNPEVVTAAVVTELERMNRAQEEEAAAAAAVDGLRASLAGLLERLVLAVEEAEEEAAKLEAMGPELPGLAEDVGALFRAQKRFLDCLRVLRLFVASAR >OGLUM12G14770.1 pep chromosome:ALNU02000000:12:19323733:19324206:-1 gene:OGLUM12G14770 transcript:OGLUM12G14770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLTDLPAAPNNSRVRPPLLACARRNKSSWIDVDADAEPNVITIGRPGKKSKRRRSGGRKGYRRDPKTTATARRKRMKRWRRERDVAIPEVVTNRMMQQVGVSVGALLAVGVAFLPAFYYLKKAAKVDVPTTTRRRLGVVPPAPHKQRPDPRRPGR >OGLUM12G14780.1 pep chromosome:ALNU02000000:12:19339610:19340377:-1 gene:OGLUM12G14780 transcript:OGLUM12G14780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASSPVIRLDAAAVDPATVAYLRDLVERLEGKCYHQASDVQIFAANDDADLFRLRPEPSLLAGVPEVVVSAINTLEELLRKGSPALAAYGRHATRVRRLELQEAADAAMDELMSVNDVITDLHIAFRAKRAQLAAAQQAKGQIAAQIFAVVGAPATTRDSLARGAAALASLLPRLGAAHEREAELEMALGMMAPSFAPLNWNLEVATQRFEAADAAVHAVPHVAGSWRDVQVVRDGGHRFEESASVLREYMA >OGLUM12G14790.1 pep chromosome:ALNU02000000:12:19344611:19346685:1 gene:OGLUM12G14790 transcript:OGLUM12G14790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRGRAEGHQCVNVELFSSMMNCLCSLRWNKAAEWESSYPNHDFEWKHIAEPVMQVYTETTDGSSIEPKESALLWHYLDADHDFGSCQAKELLGHLERRVSKGLVVDKVIHRLMNNGKTLDFVVCIGNDRSDEDMFKSIDSMTSSSAFPAVPEVFACSVGQKPSKAKYYVDNAGEVVRLLKNVAGISSHREAVSHGRVIFRDVIDYVD >OGLUM12G14800.1 pep chromosome:ALNU02000000:12:19347700:19350079:-1 gene:OGLUM12G14800 transcript:OGLUM12G14800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEMPSGGVAYNGISFALGFQSSREDFEYGVYDDQTSSGRMSLNGIKKLNLLRASKETLRVKDEELQNLANNIRARDATIKEIADKLTQTAQAAEAAASATHTMDEHRRLLCSEIERLRHYKQWKDKWNNPCSR >OGLUM12G14800.2 pep chromosome:ALNU02000000:12:19347700:19349122:-1 gene:OGLUM12G14800 transcript:OGLUM12G14800.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNGIKKLNLLRASKETLRVKDEELQNLANNIRARDATIKEIADKLTQTAQAAEAAASATHTMDEHRRLLCSEIERLRHYKQWKDKWNNPCSR >OGLUM12G14810.1 pep chromosome:ALNU02000000:12:19369544:19379065:-1 gene:OGLUM12G14810 transcript:OGLUM12G14810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPASPVIRLDAAAVDPVTVAYLRDLVERLEGKCYHLACDVQIAAADADADLFRLRPEPSLLAGVPEVVVSAINTLEELLRKGSPALAAYGRHVTRVRRIELQEAVDAAMDEVMSVNDVITNLRLEFEAKRAQLDAAAQAKRRIAAEIVAVVCAPADTHESLSRAAAALSSLILRLESARESENELEMALARMVPSFATLNWNLEVAKQRVEAADAAIEAIPDVAGSWRDDVQVVHDGGDRFEESASVLRDTIFWHPEYTKTRVHAIPELPSRQQERRRVQVICDGTMRFEKSVSVLREFLAYACIELQDAVDAAMDEVTSVNNVITNLHLAFQAKRAQLASSELAKWQITSQIMAMVCALVTNHESLTSVAAMLILLLPRLGRTHEREVELEMVLGRMMPSFLALDWNLEVAKQHDEPTDTTVHAIPDVADSWQDDVQVSFATEGTTSSRASAINILEELLRKGSLALVAYRRHVTRLKCIELQEAINAAMYDVMSANDVITNLHLTFKAIGRSWRLEIGAHRLWVKRIELHDAAIEEVTLVNDVITDLHLAFEAKRAQLAASQLAKRQIAVQIVAMVCALVTNHKSLACAVAVLNSLLPRLERAHEREAELEMALGRMVPSFLALNWSLMVAKQRDEYSVDAAVTLSLTSPAAGTMTCRSYLMEASEKSVSVLHEYMA >OGLUM12G14820.1 pep chromosome:ALNU02000000:12:19393242:19396325:1 gene:OGLUM12G14820 transcript:OGLUM12G14820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon family protein [Source:Projected from Arabidopsis thaliana (AT3G12180) TAIR;Acc:AT3G12180] MSIELILWLFSFASIMLICLSDLEFDYINPYDSSSRINSVVLIEYALQGALCASFLLTLHWFPFLVMAPVAYYHGKLYMDRKHLVDVTEIFRQLNWEKKYRMIKLAFYFSLFIITIYSEVKLVVCIIEQQTNTASL >OGLUM12G14830.1 pep chromosome:ALNU02000000:12:19397357:19398740:-1 gene:OGLUM12G14830 transcript:OGLUM12G14830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIAGGAGSSEGRRRPRHGGEAKASAVPACYHRPSAGSCHHACKYGGAHAFEERESRRPAAAQPRPRKTPPPPPLAAATAMAKLRSASSRRRVGDLTKPVKAGKKAAATAVDDTGKKGDAGVVVWKDIVAYESTPLPPEKTTIAAAGGDARKKKDVSVTKGKKPIKSSPHGKSKIVAESTDDVIDGSSSKKKLVKSVGSKLTGKPPPSPELKAGEKSTPPSSHKSKKKMTTTRTNSLKPPKPKRNLVEISQQISHQDPSSSSSAAANDIKEEKPHNPPCQEEKKSGMAPPRPSHRRARSMSITGSAKSVRFPFTRQASRSTTTTTTTAFKVIRSRSSRAAATAAPPEDAPATTRLRFFRRGDAGGSSSGGGGGSGFHLRMRSLRRRGSIGGTAAAAGGGGGFVVPAVALRHQKTLEKKRSRRLYNSVIEETAGKLAIARKSKVKALVGAFESLISKIGK >OGLUM12G14840.1 pep chromosome:ALNU02000000:12:19398970:19402497:1 gene:OGLUM12G14840 transcript:OGLUM12G14840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRVRSWALTEKDGAELDRSPLASAGNCGESFFGEGRSNAAGGLVGLRLFDRSSGPTCSVTGWATLGGAHCTPPESRVGISTTGDGNSAATMTVATAVQTYMAHTSMVEQPDP >OGLUM12G14850.1 pep chromosome:ALNU02000000:12:19402694:19406278:1 gene:OGLUM12G14850 transcript:OGLUM12G14850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHVVAATGGGGGERAAHQYHVTDDTDAATASEEVGGGGGGGWPRALLQRGWELAGKAAVAGAAATAAPVVVPPVMLLTAAGLALSLPFAAYLATLAATHRLMAALLPPHESGLDDAAVEQELLDAFYHFSTTDQEEDGGGGGGVGIGLASPPPSVDEPVSFQESSVSRNGGKIEDGTTKETVSLTTDVPEFPELPVVETREEDGVIPVQQLGQDHHHTHVLDTGDKAEESTISSVSGTPVEIFTDKDNVEKGVVEDTVDVEAAVGEQLASNAGIVAQELVDTNVAIVAISAPENEATTPTSDLVARASEEVVGSSCDGEMQETAVVDDTMRELSDANMDEDVQHHDQRVVCSSVLMASPLAVGDYEDVMSSGSTQDIPEVCDETSQPGQEHDQSDGFEAKVISGDKDLYTEEHVRQQLETLRTITGYRSPFCSTLEGELAALYLFIGVEPPVSSRNASDLMEINAKLRLLKSIVGVD >OGLUM12G14860.1 pep chromosome:ALNU02000000:12:19408828:19411926:1 gene:OGLUM12G14860 transcript:OGLUM12G14860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHEQIGDSSNFDDADIVSDDAWAQSSSECVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITWEEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYASGLKTQE >OGLUM12G14860.2 pep chromosome:ALNU02000000:12:19408828:19411926:1 gene:OGLUM12G14860 transcript:OGLUM12G14860.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHEQIGDSSNFDDADIVSDDAWAQSSSECVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITWEEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYASGLKTQE >OGLUM12G14860.3 pep chromosome:ALNU02000000:12:19408828:19411926:1 gene:OGLUM12G14860 transcript:OGLUM12G14860.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHAQSSSECVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITWEEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYASGLKTQE >OGLUM12G14860.4 pep chromosome:ALNU02000000:12:19408828:19411926:1 gene:OGLUM12G14860 transcript:OGLUM12G14860.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHAQSSSECVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITWEEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYASGLKTQE >OGLUM12G14860.5 pep chromosome:ALNU02000000:12:19408828:19410945:1 gene:OGLUM12G14860 transcript:OGLUM12G14860.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagocytosis-associated family protein [Source:Projected from Arabidopsis thaliana (AT3G07525) TAIR;Acc:AT3G07525] MGGSSIGEGTLSLGDFVASAKALIEKWKVIDVEDSLPDWQWKPCGKTGVPSEEEGYLALEGVYRNPGGRHEQIGDSSNFDDADIVSDDAWAQSSSECVHIYDYHVVYSFSYKVPVLYFQGHQAGGQLLTLDEIKEDLPSHSLKLLGESKWTFITWEEHPHFSRPWFTLHPCGTSDCMKLLLEGVENKDQHVQYLPAWLSVVGQAVGLKIPLELYGNL >OGLUM12G14870.1 pep chromosome:ALNU02000000:12:19417141:19421888:1 gene:OGLUM12G14870 transcript:OGLUM12G14870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRSDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >OGLUM12G14870.2 pep chromosome:ALNU02000000:12:19417177:19421888:1 gene:OGLUM12G14870 transcript:OGLUM12G14870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGSHIHAGWAAPSNHQPLTCPARKGHSASASASPSIAAAARCRVSRRGAGIGLDLGLGLKAAVSCFYFLEKVTILVIKPVAGLRGGEGIDSLCQTMMMPLRCLSELARRRRGCCRSDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >OGLUM12G14870.3 pep chromosome:ALNU02000000:12:19417469:19421888:1 gene:OGLUM12G14870 transcript:OGLUM12G14870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLRCLSELARRRRGCCRSDHAVDAMRAGLQCLMSTCSSTKATDNHSFSNTYATSCANLPEVAPSEAPLSDMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPSSELLSHDEIIRVADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGLVLSKKLPRLKECGLNALNISLDTLVPAKFEFMTRRKGHSRVMESIDAAIELGFQSVKVNCVVMRGMNDDEICDFVEMTRDKPVNVRFIEFMPFDGNVWNVKKLVPYAEIMDKVRQRFNGVERLQDHPSETAKNFKIDGHAGTISFITSMTQHFCAGCNRLRLLADGNLKVCLFGPSEVSLREPIRAGVDDAGLREIISAAVKRKKAKHAGMFDIAKTANRPMIHIGG >OGLUM12G14880.1 pep chromosome:ALNU02000000:12:19427631:19430679:1 gene:OGLUM12G14880 transcript:OGLUM12G14880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIRGPKCKIPPTIPPIHIPLHRHSPSLLPSSPLAVAAAAAADAAATTSRKERAMSDSEEHHFESKADAGASMTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTAKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTENGNTKDDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPK >OGLUM12G14890.1 pep chromosome:ALNU02000000:12:19438589:19443948:1 gene:OGLUM12G14890 transcript:OGLUM12G14890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARPPPPPRPRLALPPRSAAESLFTGAGDASPGPLTLASALFPSDPDGGGGVMTSSSSSAAGATSFTQLLIGNLSAPPPPPPQQQQQREAARGGGVARAGPALSVAPPAAAGSVFTVPPGLSPSGLLDSPGLLFSPAMLDIYFVKTSPEGGFGMSHREALAQVTAQASHSPLRMFDHTEQPSFSAAPTSSEAMQHMNAAVNMTGISDMVMGPTNNENVAFQPAEASQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGSSSAADQNEQSNDTTSGLSGIKRDQEAIYGMSEQLSGLSEGDDMDDGESRPHEADDKESDSKKRNIQISSQRTSAEAKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGNAAPSSSAQQNMQNLSSNQASLTMADFNNINQRPIGVLQFKSEE >OGLUM12G14900.1 pep chromosome:ALNU02000000:12:19446678:19447664:-1 gene:OGLUM12G14900 transcript:OGLUM12G14900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSATRIVRMALHAFFSHYHPAASAAALLALPFSAAALVSRSPALLQLAAPPRAILLLQPALSRRLRRVFVAAGFPPASQLLFLLNHRLSQSIVSFLATLPLAMSFLLLAKAYAVHAVVAARGRGARRRVGGAAPSMASLVRASYPAMARTQLAGFGALLSAHAAVFAALLAAFNAAEALRLGSDGRAVLALSAAGVIVYSVALANAAAVCNLATVVAAAEGGRGGARAVLRAVLLVRGDAATAVAVALPVTLATAAVEGLFQLRIARPYAVTGELTSSMVCEGFLIAYIYSIICVLDTVITCMVYQTCKMSHSCDLLELEEKGDLAA >OGLUM12G14910.1 pep chromosome:ALNU02000000:12:19450456:19458050:-1 gene:OGLUM12G14910 transcript:OGLUM12G14910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPVALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGFFGTQTLTNK >OGLUM12G14910.2 pep chromosome:ALNU02000000:12:19452199:19458050:-1 gene:OGLUM12G14910 transcript:OGLUM12G14910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSATATAAAIRSGELLACPVALRRAAAPVAAAVSFRMGRAATARAGRLATRVRVRVVAAAEEGAAEGKSSGKKKRAASGIMKPKPISAELREFVGGAEELPRTEALKIIWAHIKGNNLQDPNNKKIIVCDEKLKKIFGGRDRVGFLEISGYVTGF >OGLUM12G14920.1 pep chromosome:ALNU02000000:12:19458380:19463287:1 gene:OGLUM12G14920 transcript:OGLUM12G14920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPISAHEDADVTASSSQMATEAKGFGFAAFFFFFLFPLRLLVGLPAMVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSPASFDVGELAVSASPLLSQYSCLNEAALLGTSSMTHSKPLGYHCLLVEQPKWGFEPDWTPLDKNIVELMLPESEVNSGQVQSWGEMFAYWMLLGMVKNFRIRPQDHTREMSSQ >OGLUM12G14920.2 pep chromosome:ALNU02000000:12:19458380:19462597:1 gene:OGLUM12G14920 transcript:OGLUM12G14920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPISAHEDADVTASSSQMATEAKGFGFAAFFFFFLFPLRLLVGLPAMVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSPASFDVGELAVSASPLLSQYSCLNEAALLGTSSMTHSKPLGYCIR >OGLUM12G14920.3 pep chromosome:ALNU02000000:12:19458380:19460371:1 gene:OGLUM12G14920 transcript:OGLUM12G14920.3 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGPISAHEDADVTASSSQMATEAKGFGFAAFFFFFLFPLRLLVGLPAMVVNNFTGPGIGLGFGIGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGKAYGCQYRSSRVQFQGIEFQKKTEGDEASSLVSPERVEKSHHPFSVEMDWV >OGLUM12G14930.1 pep chromosome:ALNU02000000:12:19460775:19462021:-1 gene:OGLUM12G14930 transcript:OGLUM12G14930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVRKYRMRKFLTIPSSIQEIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >OGLUM12G14930.2 pep chromosome:ALNU02000000:12:19460775:19461261:-1 gene:OGLUM12G14930 transcript:OGLUM12G14930.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSAIAKSAGLLREIKNKQSSNLMSRVQPSEARSAETALWVPHPRTGIYYPKGFEWVMEDVPSSAASFRQLYWLRSGDAETASSPTSNDAAAFDHPFV >OGLUM12G14940.1 pep chromosome:ALNU02000000:12:19465194:19469470:1 gene:OGLUM12G14940 transcript:OGLUM12G14940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNRKRTHAQSVRAIPAVTARPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMVDAKFHSEAHDIAGVIVYLGPLQCVSNRLYREVTLMDTRCQLVVIGVYANHLTIHVLQWASTFANNHVVVGTMLQLDRTYYFWWFVVNGEVNLSFVDRYHVTRWVHIAEFLDSKSSFNKNIKHA >OGLUM12G14940.2 pep chromosome:ALNU02000000:12:19465199:19469470:1 gene:OGLUM12G14940 transcript:OGLUM12G14940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGASAACTALISSASADGVNERRDVAPVLDLGCGPKLGRNNAEISTKQNCTVAQDRHALCDQTRNAPMNLNRKRTHAQSVRAIPAVTARPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMVDAKFHSEAHDIAGVIVYLGPLQCVSNRLYREVTLMDTRCQLVVIGVYANHLTIHVLQWASTFANNHVVVGTMLQLDRTYYFWWFVVNGEVNLSFVDRYHVTRWVHIAEFLDSKSSFNKNIKHA >OGLUM12G14940.3 pep chromosome:ALNU02000000:12:19467287:19469470:1 gene:OGLUM12G14940 transcript:OGLUM12G14940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNRKRTHAQSVRAIPAVTARPAVSPRPVVHRFKHFDNVEFEDCMVNRAFSVIVMVDAKFHSEAHDIAGVIVYLGPLQCVSNRLYREVTLMDTRCQLVVIGVYANHLTIHVLQWASTFANNHVVVGTMLQLDRTYYFWWFVVNGEVNLSFVDRYHVTRWVHIAEFLDSKSSFNKNIKHA >OGLUM12G14950.1 pep chromosome:ALNU02000000:12:19466076:19466417:-1 gene:OGLUM12G14950 transcript:OGLUM12G14950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTEARLGDELRDGEASGAAADDGVGRATNETARRVCLCSLPCIVGPIKWWSGVGVGARRFTEHAQLMAALGSDDRDNNTVDMLSWTRASHAATAVSSMGAGDEQKDLELRL >OGLUM12G14960.1 pep chromosome:ALNU02000000:12:19472177:19480173:1 gene:OGLUM12G14960 transcript:OGLUM12G14960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCTHQTHIFGIKKNNLKNNNNKHIPSLEDRPPPRGSTADFPSPPSFSPTPFLLQTLPSKPSPQTQDIQPTPPPPPPPSSSAAAAADDDDEMPPTGALHAGGRHLLPLRRVPGAAQQPWSHVRSHLISSSKRPSPSSPPPPPPLPVAPSTSAFVQTAGRRSGGGGGAGAGAAVGSGVVAWYLGSIEARPVLTKSVTAAAIFTVADLSSQMITLGPEDSLDLVRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVMNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIMARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVDVTTSS >OGLUM12G14960.2 pep chromosome:ALNU02000000:12:19472177:19480692:1 gene:OGLUM12G14960 transcript:OGLUM12G14960.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCTHQTHIFGIKKNNLKNNNNKHIPSLEDRPPPRGSTADFPSPPSFSPTPFLLQTLPSKPSPQTQDIQPTPPPPPPPSSSAAAAADDDDEMPPTGALHAGGRHLLPLRRVPGAAQQPWSHVRSHLISSSKRPSPSSPPPPPPLPVAPSTSAFVQTAGRRSGGGGGAGAGAAVGSGVVAWYLGSIEARPVLTKSVTAAAIFTVADLSSQMITLGPEDSLDLVRTLRMASYGLLISGPSLHIWFNFVSKLLPKQDVMNTFKKMFLGQAVYGPIINSVFFSYNAGLQGETIPEIMARLKRDLIPTIKSGLIYWPLCDFITFKFIPVHLQPLVSNSFSFLWTIYITYMASLKKADVDVTTSS >OGLUM12G14970.1 pep chromosome:ALNU02000000:12:19478057:19478665:-1 gene:OGLUM12G14970 transcript:OGLUM12G14970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHIKMAILKQEQTFRQQVNELHRVYRVQKQLMIEMQSISTQAHAKADNRTIPRLEMDHQQWYRNSGEKKAPEFVEDFDLELTLATGAGRKQEKPSNSDSGATVSSSTSAESESERRFPESNVALRFQNESKRHDDQLMQSPWLYQCLSLKMA >OGLUM12G14980.1 pep chromosome:ALNU02000000:12:19490770:19495382:-1 gene:OGLUM12G14980 transcript:OGLUM12G14980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKEGEGGADFDLAGAAAGWVEARTSCPHLPAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFSDLSVWCFACDSYLDVQSILELRPVYEVAHLMKFGQRPPFRSLDVLDLSSGQNGDNPGDVLNRCDVPFVICSFSSEMDGQYLR >OGLUM12G14980.2 pep chromosome:ALNU02000000:12:19490770:19495382:-1 gene:OGLUM12G14980 transcript:OGLUM12G14980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSAAAGAGSGEPSSTSRPGKEREDGGDGGGRKEGEGGADFDLAGAAAGWVEARTSCPHLPAMPAASADDLARVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLYHYQDTGHCLALSFRSSDFQLNRCDVPFVICSFSSEMDGQYLR >OGLUM12G14990.1 pep chromosome:ALNU02000000:12:19509003:19509413:1 gene:OGLUM12G14990 transcript:OGLUM12G14990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGLLPLTILLLAVAAALHGADHVTAVSFEVMEAAGEGLAEPFKKSVGGAAGAFVESVGKKKPEMKDFADGVKAGRKFHKGFKSGAAAWAMLAPPPPPYYVFPSCVYRRCLPAPAKAGSWPAGTGMTTVGRPGN >OGLUM12G15000.1 pep chromosome:ALNU02000000:12:19511640:19517702:1 gene:OGLUM12G15000 transcript:OGLUM12G15000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKVIHAAQAMISAYDKDASVDKMDNNVGQEEEQAELTALRVAYKSAFFSARRM >OGLUM12G15000.2 pep chromosome:ALNU02000000:12:19511640:19517702:1 gene:OGLUM12G15000 transcript:OGLUM12G15000.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNVWNSHPKNYGPGSRVCRVCGNPHGLIRKYGLMCCRQCFRSNAKDIGFIKVIHAAQAMISAYDKDASVDKMDNNVGQEEEQAELTALRVAYKSAFFSARRMSNGFEEGQTKLLINLQMKPEKSSIYG >OGLUM12G15010.1 pep chromosome:ALNU02000000:12:19522416:19523800:1 gene:OGLUM12G15010 transcript:OGLUM12G15010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSFEAICNESEMIAHLQSLFWSSSDADPCFGSSSFSLISSEGYDTMTSEFVNSSTNVCFDYQDDSFVSAVETTIGNKRKVQMDTENELMMNRSKEVRTKMLVSKVCKHSVSAENSQSYYAKKRRQRINERLRILQELIPNGTKVDISTMLEEAIQYVKFLHLQIKLLSSDEMWMYAPLAFDTGNNRGYQNSVSQE >OGLUM12G15020.1 pep chromosome:ALNU02000000:12:19524830:19526739:-1 gene:OGLUM12G15020 transcript:OGLUM12G15020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYCSSSYRQPVADHGAAAAAAAADGFMSCRKQQQQQPEMEKKAAAAAAPPRRSRKAMRHAYDASSHGDLVLVVSLDSITKIGLKKKFQDWKIISADHFESIHPQ >OGLUM12G15020.2 pep chromosome:ALNU02000000:12:19526364:19526739:-1 gene:OGLUM12G15020 transcript:OGLUM12G15020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYCSSSYRQPVADHGAAAAAAAADGFMRKQQQQQPEMEKKAAAAAAPPRRSRKAMRHAYDASSHGDLVLVVSLDSITKIG >OGLUM12G15030.1 pep chromosome:ALNU02000000:12:19527656:19533626:1 gene:OGLUM12G15030 transcript:OGLUM12G15030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYMEIKDRNRNLISWPTSHNALLIKASNLMAHSALQAELLALQLAMEVANFLNMAGTVFLADNEVIATTMARRNFDQESGHWSLRPWLTPPGDLARGRDSPPSSTLRPARLLPIAVPPSTVDRHPLHRLAASTLQPTASHAGLHA >OGLUM12G15030.2 pep chromosome:ALNU02000000:12:19530737:19533626:1 gene:OGLUM12G15030 transcript:OGLUM12G15030.2 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLAKDGRNLHASNPDYICQNISHLAYPSRRCFAKDLKDLFVSVSTAPPGDLARGRDSPPSSTLRPARLLPIAVPPSTVDRHPLHRLAASTLQPTASHAGLHA >OGLUM12G15040.1 pep chromosome:ALNU02000000:12:19538385:19540737:-1 gene:OGLUM12G15040 transcript:OGLUM12G15040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGRNSDELDKIMQQIQNLQVESSSSADDRRRRHERSAIFHVNEKYRAYLDTFGGFIQGYEFDCERGDAVGHRLVTVECDPDTGEISHREATFLVLRRGESGRRDAVRMFEATCRLRGSVAIANAFLVANCDGLKRWVICYEKLAGILSDYPDDHMLTTFGAFSSNGTDSLSPYWSNQIKMIITTLSDMGIRNVSHGGMSNPESYALTESLDIKLINMGKNENSRTYQHDLADFGTFLCQTPYIGASKCTSWTGFHFLINSNAMSQRYLWADIVKGHPLFLDPPSKLRCYVNLFEWSELIQISEKTAFCDACYKLMPDLTQKTLWGWISCCPASVKIYLNQAYKGINIYEDIITFVKNMILHGRQELMNANGGRWSDAHFCHKIEQLFPGFMSVAYALSKATRSDLNKLLGRA >OGLUM12G15050.1 pep chromosome:ALNU02000000:12:19555680:19560691:1 gene:OGLUM12G15050 transcript:OGLUM12G15050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFFYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHIDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMIRSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYKEKVPNNKTIMYVDTARDAHRFSRNTASHYISHWRRLIPSSNRTKAPFDMVDKELKKCFPGLLCKIYAMTLDPLWNK >OGLUM12G15050.2 pep chromosome:ALNU02000000:12:19555181:19561044:1 gene:OGLUM12G15050 transcript:OGLUM12G15050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTILDVFKSRNINGEFSGTVIHFYSFSYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHIDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMIRSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTSTIKSSQEVHSILTYKEKSSNRTKAPFDMVDKELKKCFPGLLCKIYAMTLDPLWNK >OGLUM12G15050.3 pep chromosome:ALNU02000000:12:19555680:19561044:1 gene:OGLUM12G15050 transcript:OGLUM12G15050.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLFFYEGKDDTWYKGEIFPVTSLKTPLEKGKGIRVSIWTFPAEKEKELKEMILDLLPLESTALLKPSFAAYNSHIDRAILCCTPCTSNMTSWIAKQVQIPSDSYLQEDSKNMIRSILNLSKVMWDLGYACDGLDDPQSFIMMDSNVKLLPFGIRKRQNSDSEMMHRIKFSNLLENHLSQRWNDIEVNEFIKLMRNPSASLEHLLNHPLLLPPEIRMSSYLNLWIENLTPDQHNLYNSITSYGKWTSTIKSSQEVHSILTYKEKSSNRTKAPFDMVDKELKKCFPGLLCKIYAMTLDPLWNK >OGLUM12G15060.1 pep chromosome:ALNU02000000:12:19589955:19592619:-1 gene:OGLUM12G15060 transcript:OGLUM12G15060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLRITVESSPDWQALSPCQILESCQILLTALDSTLLVQTQPPLLGLPHRSGKCRQNKEHCERPKLMVRVLVEPGAPRLKTMMKKAET >OGLUM12G15070.1 pep chromosome:ALNU02000000:12:19596284:19600642:1 gene:OGLUM12G15070 transcript:OGLUM12G15070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGRGGAAEEEGCDLFQCFCKWRKRCSPRIPRVSPGRDYVCISSDASGDSTPKWSVLVGCTSIYEPFHNLRTHRFRVSDSGRVMGCSDDMLERFRGVSPHDDEHTVFSSATAAMAPNSRNMCIICAHSPSFDQRSTDTSGGGGDGGGGQDIGLLPKVFFMDCVDKSLTVLPPIPFPHGSYQSVSAHGQLWTLATVEDPGPSGVKRRLLVYRLDGVSNSWAKISDIDFPYRRPSVNIFCGGPLLQGYAVISDRFILMSFIDLSFFCFDCVSSSLTRVTTEDETFQYVPIRGRAAHVAHNDNGIYFIERGTLFRYNYSPESNKPLKPPEVIDAICPYRKEGYGFVIHLRNDILCAVWMNMNIPCKCATRHVLITTFRIECQLDKDDFEPKVLEVLHSTCRRIGMLRSKAPGHESYDRLCFIQEYLDDSPEIDPSIALMMGARSSYSEADEVDPEMLLCCREFLSIRELSSCVVLEECRVMVKSEFYFICQSGQHTYLYKISTARGKLTCHETILEAEHSLETIRNGDVGIDDPPAWHFVNYGVKLYVIPSVPQYNHYYEVDVYRNSSLILESKRPSICFSAVCRVGQRIVALGDTLEAVYILDLQNVEWVFCKTSSTFLDLRKEIKISGFVDLGNDSMMISEVDACECFILDLKKKQWFVVEPPNGDIWQYCVGLLSGTCMFIEGFIYTCSDGEMVAYELIEKDGLYHWDAPVIMRLPWKKFSNRKFMAFCPICKDVIHDDIAFSIVEARPFGSSHTVATTIVQVKLQETTRGSKRPVGIAHADISTSSIEQNGWILSNYAFTL >OGLUM12G15080.1 pep chromosome:ALNU02000000:12:19602500:19612832:1 gene:OGLUM12G15080 transcript:OGLUM12G15080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFCGATMAPAPLNAKERD >OGLUM12G15090.1 pep chromosome:ALNU02000000:12:19618825:19621911:-1 gene:OGLUM12G15090 transcript:OGLUM12G15090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCACFGGGGAERERRAEAERAESKEARAKAAEAAQRRQEEFDRSAAGRAAKAQMKAMKEAKTSSNQGEPVLKWQMGSLIMVDKSLTALDFREDSAESFSDV >OGLUM12G15100.1 pep chromosome:ALNU02000000:12:19624432:19629316:1 gene:OGLUM12G15100 transcript:OGLUM12G15100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFLTRMVDQLSTMAVSELASMLGAQQRVDSLVDEWETLESFLKDTHGMDLRQDATARRWLNSLRDVAYDAEDLIESAVLQQQGDRYSAVNSVLHPISRYRFAQKVEEIRSRIRDMVDRRTSYAAMARELGHGAGETSISPISRHFVSCSRWRSSSYHIGNNTIFGLEQDTDMIMERLLQPTEHREVIVIVGMGGIGKTTLASLVFNKARGVEERNTWSGQAPPKATDPGSSSKRSHFDVCAWVPVGQDPDTLHLFSTISIQTGANLDLSRDVAEIKHHMFTFLLDKRYLIVLDDVWREETWHELVDAFPMSRNGSKILMTTRSKVIAISADPASYPHELNPLSDEVSFHLFLSKVFPTSYRNQAGWYPLQLDDLGRQLSKKCGGLPLALVVLGGLLSGKEKNYDVWSSILNSMNWNDNEAGKQCLKILALSYDDLPYRMKLCFLYLGAFREESEISISKLKKLWIGDDLIPQKVFSIKEDTATDYLDELIERCLVQPVLLKHKERPTRVRVHALLREVAISEGRENRFLYCEYSNQAVSEMEMKPYRRLVLHPGPDKRYNLHDFEKMRALLIVPRTTGTQTICVGQQVFRPFFPLIYRWCRINWQTVWSMPYIRIIEVEGLMMPTDALRSIQSSLIHLRYLCLRNTQLVAFPFNESKFPSLQTLDIRETSIEKLPDAIWALKTLRHLYLNGMEPPSIRCLTNLQTFCGVLVSNDQIAMEFSALTGLRKLQIELKAWNGYPLLVKSLKQLHIFTSFKLSSREISSEVITKIAHHSPLRKLHLQGMLHPPVLTLSEYFSDYITSITLSASRIGTDQLKTLGSLTCLWELKLKDDALLLSELSCPQHSFPQLGYLKISSLTNLEAFRIERGALPNLVRFSVHYCSKFRGIIDVLEHTTSLQVLKLKGMELLPDITDSCRNKNVSVITMAY >OGLUM12G15110.1 pep chromosome:ALNU02000000:12:19637225:19637851:-1 gene:OGLUM12G15110 transcript:OGLUM12G15110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRRKSSMGGGGGGGRGLRRVDSLPRSPMALSLAPRASLAKTESIKKRDKNNNKGSKRARLRAGLSAALHLGGGHRRAGRRGGGGDEGAGAVSSAAAPGVAVLLRAAADDADERPAAAAANVGHGGGDHASGGRGRSWAVAVAMVLVLACVVALGRGPAICCCTCAAWWCGGRAVDPACRRRWSSIGGGGGGRHSSVPPQAGCLKH >OGLUM12G15120.1 pep chromosome:ALNU02000000:12:19641509:19643635:1 gene:OGLUM12G15120 transcript:OGLUM12G15120.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA type zinc finger transcription factor family protein [Source:Projected from Arabidopsis thaliana (AT1G10200) TAIR;Acc:AT1G10200] MATSFQGTTTKCMACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPEKTVENENAIKVSSAFAGTREKCVGCNKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKASQSGSVEDEDSEY >OGLUM12G15130.1 pep chromosome:ALNU02000000:12:19646673:19649317:-1 gene:OGLUM12G15130 transcript:OGLUM12G15130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT3G12350) TAIR;Acc:AT3G12350] MEALPSVAVAGTVLEDLPEDALLAILALLAPTDAAAAACACRRLAAAASSPSLPLALALRLGLPPPRPLLPASAARLLRSLHRLRRLLGLWRRLPSSSFSGSGYRSTSSSSSLAAFEWAPGGTLAASLLAPSARGLAVAKSPFVTLSIDETGETVAAMGDVPVSVNFVGNNHIVVEAAAASSGDDDDEAAMEGGSPPEVMYMHFANRRSPGAGRKRRSKQGRRRGRAMEAEHFVRIADAEPTEARPLQGLWKGISESRTLEFYLVTYDDIGGITCRQVSDTRGQNSGFTPIFWTTNTTFLEQPFSEKELDHYIRREHIQGVDSDHAATKNRAISRILCINSSYDVVDHHLSAPLDDMRNVEGRIWLYDDGTFGFGFSGSNSIIDLKHVSSDGCILDALH >OGLUM12G15140.1 pep chromosome:ALNU02000000:12:19653013:19656436:1 gene:OGLUM12G15140 transcript:OGLUM12G15140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMSLEEEETMASPTTSSCGTCKCGANDDKAKKMKTKTKKCELVGYEELPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWTHLIGFLLFLCLAIFTAMVIPSGDNLHSNSSRSRSNATAMDYYYIHGDLMVMSNMTRVLRHEALAAAACLLLHDPADLSQHEQISTSCPTNTSSCYTSSSSSSSFSHLHQHVIQDAGKVTAATAAAIAEPITRWPVFAYLGGAMACLLASTACHLLLCHSERANYVTLRLDYAGIAALIVASFLPIVHYSFLCDPWLRRAYTAAIACAGAATVTASLVPAFQSPGLRPLRAALFSGLAASGVVPVAHKMVLYGGTVREAATSARCEAAMGALYALGVAVYAARVPERWFPGRFDLVGHSHQLFHLLVVAGAYAHYLGALEYLKWRDAVKC >OGLUM12G15150.1 pep chromosome:ALNU02000000:12:19659053:19662326:1 gene:OGLUM12G15150 transcript:OGLUM12G15150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPAIAAASAAVVALAVANRVLYKLALVPLKQYPFFLAQLTTFGYVAVYFSILYARYRAGVVTGDMLALPKRRFAAIGLLEALGLAAGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYSMRQIIGCFLVASGVILAVASGANEGQFLSEVKFIWLALMVASSAFQAGASILKESVFIDGAKRLKGRRPDIFVVNSFGSGFQALFVFLLLPLLSNLKGIKFAELPAYLNGGAECFLNVDDSLIDCGGAPFLPLLFILVNMAFNIALLNLVKMSSALVASLTATSAVPISIYILSLPLPYIPHGAELSSSFILGAVVLLMGLITYNLPQSSKKQSKTE >OGLUM12G15160.1 pep chromosome:ALNU02000000:12:19662131:19668450:-1 gene:OGLUM12G15160 transcript:OGLUM12G15160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRGKELDLTGAEEEFDLYSWKSVATLAISLLNRALIYLDGIGDLEDWEKTGMFRFHRFDDCEELHRCLTDWPKSYSCGYEEIWKFRIAVELFEDLTEKIEHQGLEKEGRISTLAKIVKAKFTSKFEFLRETDRSYGYEDWESLFLEIEQCRLDQGTEMIEYLRSEEEYRRKIDNKFARSVDWFRRRAIISLFLEKGIVKLRSLLPAGTKELVGRETGKEQIELVGRGKEKEQVVQWLIKQPAEDSEIVTTDHIRLFAILGVAGMGKTALAKLACQDPSLSTIFDFVVWVQVPYDLTPDTIPKLILENVSHVKPEHYTSKFLQYVLTGKRLLLVLDDVWADDSADKWEALLVAIRTCERGSKILLTTRMQSVVDKAAEAIGSPAECLELDELGENDNFLLFMSRLPSQVYSEDYTDLRLIGEQIAERTGGCPLVTENVASWLGSHMETRHWNAVLQNGWQKMGLGDVFASLRLSYDRLPSELQNCFRYCSIFPKGHKFNKVELANMWISSGLIPYRSSEQDDSGLRHKEDGYLVSAEDVGEQYFTALVRKSFFFHKLETDPSDENMREYYLLHSLMHDCAQFISQNECARVDDGNFQHVKSTTTHLSIASCDNLTEIPCLRHLRTLIIQSKFCLDEEAEIKLEGVLQSSKRLRMLYLDVSSLSHALDRISDLTQLRYLFLFSCGMSHIQRVFKLYRLQVFKLNYFTGKEANLDGIQNLRSLRCLHVPHRMLSKGLQIGISTTLQELHVFEVAENDGHKLSALGTFTNLQRLSLRHLQNVRNCKEAIEIKLKDKPHLRFLSLSWNKQLNDPENLDHQIIDSLEPNRGIKQLHIYGYSGIQLPVWIVNSLLIHLISLELEYCTNWMTLPSFRVLNSLKYLRLENLFQLGAEIEEQHRGRESEVAFLPPLLNTLIIRWCPSLKKLPVLPYTLEKLIIKHVGLAVLPMIHQVDTGTCESSAVNSRLSLLHIESCAHLTSLDGLLEQQQNLHYLKTLVVRHCEKLCHLPAKGFTELHHLNFLEIVSCPLLRNVKSEGNLLPMSLKNLDINPCGYIEDSVLMSLQNLTSLRRLTLFSCSNIEKLPSEEVFRTLKNLNDVSITRCKNLLSLGGLGAAVSLRVLSILCCNKIHPSYDAQVSCSFKLYKLKVDRQALLLVEPIRSLRYTMELHIGDDYLMEFLSEEWLLRNASSLRSIKIGVVENLQNLPSQMKRLESLQSLHIERAPLIQFLPELPASLCNLTIRGCDPRFRKIYETDVGSDWEKIKNIAHVDMKAYSEVLDCFFED >OGLUM12G15160.2 pep chromosome:ALNU02000000:12:19662131:19668299:-1 gene:OGLUM12G15160 transcript:OGLUM12G15160.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYLRSEEEYRRKIDNKFARSVDWFRRRAIISLFLEKGIVKLRSLLPAGTKELVGRETGKEQIELVGRGKEKEQVVQWLIKQPAEDSEIVTTDHIRLFAILGVAGMGKTALAKLACQDPSLSTIFDFVVWVQVPYDLTPDTIPKLILENVSHVKPEHYTSKFLQYVLTGKRLLLVLDDVWADDSADKWEALLVAIRTCERGSKILLTTRMQSVVDKAAEAIGSPAECLELDELGENDNFLLFMSRLPSQVYSEDYTDLRLIGEQIAERTGGCPLVTENVASWLGSHMETRHWNAVLQNGWQKMGLGDVFASLRLSYDRLPSELQNCFRYCSIFPKGHKFNKVELANMWISSGLIPYRSSEQDDSGLRHKEDGYLVSAEDVGEQYFTALLNYFTGKEANLDGIQNLRSLRCLHVPHRMLSKGLQIGISTTLQELHVFEVAENDGHKLSALGTFTNLQRLSLRHLQNVRNCKEAIEIKLKDKPHLRFLSLSWNKQLNDPENLDHQIIDSLEPNRGIKQLHIYGYSGIQLPVWIVNSLLIHLISLELEYCTNWMTLPSFRVLNSLKYLRLENLFQLGAEIEEQHRGRESEVAFLPPLLNTLIIRWCPSLKKLPVLPYTLEKLIIKHVGLAVLPMIHQVDTGTCESSAVNSRLSLLHIESCAHLTSLDGLLEQQQNLHYLKTLVVRHCEKLCHLPAKGFTELHHLNFLEIVSCPLLRNVKSEGNLLPMSLKNLDINPCGYIEDSVLMSLQNLTSLRRLTLFSCSNIEKLPSEEVFRTLKNLNDVSITRCKNLLSLGGLGAAVSLRVLSILCCNKIHPSYDAQVSCSFKLYKLKVDRQALLLVEPIRSLRYTMELHIGDDYLMEFLSEEWLLRNASSLRSIKIGVVENLQNLPSQMKRLESLQSLHIERAPLIQFLPELPASLCNLTIRGCDPRFRKIYETDVGSDWEKIKNIAHVDMKAYSEVLDCFFED >OGLUM12G15170.1 pep chromosome:ALNU02000000:12:19692846:19697177:-1 gene:OGLUM12G15170 transcript:OGLUM12G15170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVAAAAAVGVVISAGKTAAQAAITYFTNKTLDRLLAEDENLRQRLQVKLLSIKAIFRDVDCLQVRQDPDLGPWLWLFQDAMEVAEDALDELDYLDLERKTQNRKQKEVSRKQSFKFWRPVTDNPLRRHAPDPLSMKEALEKLDLVGETANSIRAIVKSHFPCSSKDDFQRGRETTRELTTMVFGREEDKDTVLQWLGIPGPVQTPEVTQGSTSDQVSLQTREITNGNSYDQQSIQFQQRTQDNVSVCTIVGNGGMGKTTLAQQVCQDKRVQDHFDKIIWVHVSMLFDSKILMKRILEKINPGKANVESSDVLKSSIDEVLVFARFLLILDDVWEEVAHGSWKPLLISLTKSTLRGRIILTTRLGSVADTVREIVLDQYKCLELMGLEEKHILKIFNYHAFGDSRTGNHEELQLIGEQIVKKIAGCPLIAEIIGNQLKGKTDCSEWEKILIYLNQNIHLLDGIAPKVMDFLKLSYTNLTSEVQLCFRYCSIFPPRHKFRMEELIEMWVSSGLILQRQNNRMSLEDIARDHFNLLVRKSFFKLIPRDLHTDPYEDKYVLHDTIYELACFVSIGECLWIKPSECTDMPSHDTLRHLSIEGLNTEVIGIISKSKYLRTLIIANKENFLDHTDADELVRAIRNNTSLRLLMLDGKCWNCVNDVISKLKHLRYISMATTNESNLNKLFKLYHLQIIKLPEIEREKIVHSIDISNLIQLQKLYLPKGILSRIPHIGRLTTLRELNGFSVKTEEGYRITELKDLKRLRQLAVLDVQNVSDANEASSVKLKNMKGMKQLSLEWSTDGDSCDERQILERLTPHKYLMRLNISGYDGNTPPDWMRINCLGNLLHLKLYGCLNWDELPSLGKMSTVEHLFLEHLPNLKRIAGSKGLPPNLVTLVVKKCPELSVLPDLPFRLRHLRINEVKLSSLPISDQDGSRDISTAEPELSVLHIEHCDHLHSLSGCFLQEQHHKALTNLHLARCSKLKELPDEEYFERVSKFESIKILKCNNLSSLGGLGSLSYLKVLEIKCCSKLTISLPEPLMPSAISYLELYMLDIDDDKLLLQDPLRNLCCTRRLIISDVCKMTKLPEEWLLSNISSLEHIEIKNAKLLESLPSDMGNFHSLRSLHLDNTPLLRTLPTTMPPNLWDLFINGCHAELVQKYQFCGSEWNSISSIHASHISCSQ >OGLUM12G15180.1 pep chromosome:ALNU02000000:12:19699852:19700858:1 gene:OGLUM12G15180 transcript:OGLUM12G15180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYRKTRYTGSLNYIKPVTGAGGAVPGVGELCLPGVAAADEAEWRGVVVSGIEVASLLERWRPSPRRRAAAARSAKGTASRRGVMREASSGLAAVKRCTARSETNPAVASKALLSIDLSIHGGEHGGESLWCALAETLRAFRPLAGELTYSPSSATPRRRRTTLAETDVEFEDLAGAKKLDADVFAQLAPDIRKDEPPTLVLAVQVTELACGGVAVGLALHHAAADGNGRIRFMQAWSAAVADAVENPEAVLHNWSLIHIDDAEE >OGLUM12G15190.1 pep chromosome:ALNU02000000:12:19720342:19727545:1 gene:OGLUM12G15190 transcript:OGLUM12G15190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQQDGGGERLFHGGAGDGDGDGAAVAAAARQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLDKDATVAASWRERTYERLRLHLPRGFCELPLAPPFPPGTPPYPTRDQFVAYLDAYARAFAVEPRLGSRVRAASYDAAIGFWRVAAVDEAGGAGETEFLSRWLVVATGENAVAAWPAEGVGAYRGAVMHTSSYKRGDEFAGKKVLVVGCGNSGMEVSLDLCNNGAATSMVVRDKIHVLPREILGISTFGLSVFLLKWFPIKWVDALLLIFSRLILGNIEKYGLRRPKIGPLQIKCSTGKTPVLDIGALKKIKNGEIKVVPAIHCFTEDGVEFVNGCREDFDAVIFATGYKSNVPSWLKEEEFFSESDGFPRKAFPHSWRGKNGLYATGFTKRGLQGTSYDAAMIAADIARRWTKSLAGPTAAADADHHETYIAN >OGLUM12G15200.1 pep chromosome:ALNU02000000:12:19729512:19732224:-1 gene:OGLUM12G15200 transcript:OGLUM12G15200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDDVESPLLAAAADADHHNVDNSHPAAGSSFALACAVAASLTSIIYGYNRGVMSGAQKFVQLDLGVSDAEIEVLIGATSIYSLVGSLAAGWACDRAGRRRTIALSAAMFLAGSAATAAASGYAALMAGQLVAGVACGFGLVVAPVYIAEIAPPSSRGFLASIPEIAGNSGILLSYIADFALAGLPMSLNWRLMIGIGAVPPLFLAAAALLAMPETPRWLVLHGHHDDARQVLVRTTGGDAALAERRLQEIVSSVKESATKQQLSSAAAAGGGGASTGVWRDILVRPTPAVRRVLFAILGLQFFQQASGVAAMVLYAPRVFNHVGVTSERAVLGATVLLGATKTASIVVPLFLADRLGRRPMLLSSAGGMAVSLLVLGFSLRVSSSSGSGSEWWAAATSVAAAAAFMATFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAANRVMSAAVGMSFISLYEAAGMAGTFYLFAACSAAAWVFVYACLPETKGRSLEEMEALFDAAHPSSPPPAS >OGLUM12G15210.1 pep chromosome:ALNU02000000:12:19732976:19733787:1 gene:OGLUM12G15210 transcript:OGLUM12G15210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKRPETMATAQIRSAAVAAARRRSTEAIAARMRFTAATWLRSTEAAAVRLRPAVEAAAAAARLLLAAAAPARLRLAAAAAAPLLLAAAVAAPMRPGTTAVVRMRPAVLAWIRSFSSISNNRWLPDKLKFLGIQVRGWSGEKGRFRSMAMKGGGSAVDSGTGGWQWCSSCRVDNMGANRNEVN >OGLUM12G15220.1 pep chromosome:ALNU02000000:12:19750175:19758393:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFYSQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.2 pep chromosome:ALNU02000000:12:19749862:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKRLLNASIENEHLID >OGLUM12G15220.3 pep chromosome:ALNU02000000:12:19750175:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.4 pep chromosome:ALNU02000000:12:19749862:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKRLLNASIENEHLID >OGLUM12G15220.5 pep chromosome:ALNU02000000:12:19750175:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.6 pep chromosome:ALNU02000000:12:19750175:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.7 pep chromosome:ALNU02000000:12:19750175:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.7 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKPSMPGWLNWGFWISPMTYAEIGTVINEFQAPRWQKATIQNITIGNRILINHGLYYSWHFYWISIGALFGSVILFYIAFGLALDYITSIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSLILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.8 pep chromosome:ALNU02000000:12:19750175:19755987:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.8 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIEEYHGSRPIKRLCQEQEKDSNIRKESDGHSNISRAKMTIPVMELPITFHNLNYYIDTPPEMLKQGYPTKRLQLLNNITGALRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGYPKVQETFVRILGYCEQADIHSPQLTVEESVTYSAWLRLPSHVDKKTRYEFVAEVLETVELDQIKDVLVGTPQKNGLSMEQRKRLTIAVELVSNPSVILMDEPTTGLDTRSAAIVIRAVKNICKTGRTVVCTIHQPSTEIFEAFDELILMKNGGKIIYNGPIGERSSKKISGVSKVKSNCNPAAWMMDVTSTSMEVQHNMDFAILYDKSSQHRDIIELEEKLSIPIPNSEILSFSHRFPRDGWIQLKACLWKQNLTYWRSPEYNLRRIMLTVISALVYGVLFWKRAKILNDEQDLFNVFGAMYLGSTTIGSYNHQSIIPFSTTERIVMYREKFAGMYSSWSYSFAQAAIEIPYVFIQVVLYTLIIYPSIGYYWTTHKFIWFFYTTFCSSLSYIYVGLLLVSLTPNVQVATILASFFNTMQTLFSGFILPAPQIPKWWVWLYYLTPTSWTLNALLTSQYGNIKKEVRAFGETKSVSIFLNDYFGFHKDKLSLVAAVLIAFPFVLIILFSFSIEKFNFQKR >OGLUM12G15220.9 pep chromosome:ALNU02000000:12:19756006:19758652:-1 gene:OGLUM12G15220 transcript:OGLUM12G15220.9 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLTLLSSWGRPRRRCLTRTLMSGCGRGRGRAAEEPELPARGGGLLLLIIVAAAHVVSPSANARARPQTKNQEQENAKLLQVTGDISYNGYQLDEFVPEKTAAYISQYDLHIPEMTVRETLDFSSRCQGVGRRPKILKEVSARESAAGIISDADIDIYMKAISVEASKRSLQTDYILKIMGLEICADTMVGDAMIRGLSGGQKKRLTTAEMIVGPARAYFMDEISNGLDSSTTFQIISCFQQLTNISEYTMVISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEALNFFEECGFICPERKEVADFLQEILSCKDQQQYWSGPNESYRYISPHELSSMFKENHRGRKLEEPIVSPKSELGKEALAFNKYSLQKLEMFKACGAREALLMKRSMFVYVFKTGQLAIIALITMSVFLRTRMTTDFTHATYYMGALFFSILMIMLNGTPEISMQIRRLPSFYKQKSYYFYSSWAYAIPASVLKVPVSILDSLVWICITYYGIGYTASVSRFFCQFLMLCFVHQSVTSLYRFIASYFQTPTASFFYLFLALTFFLMFGGFTLPKRKITITHCAYRPSKNKHIVLLCFSSLHARMVKLGILDFSNDICRNRHSY >OGLUM12G15230.1 pep chromosome:ALNU02000000:12:19760380:19762852:-1 gene:OGLUM12G15230 transcript:OGLUM12G15230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSHHPEFASCTANDDEHHLDEFELELVVQDVQRQQNNGSANTDQHERENLLLLDDSSKSGALKRRLFFDNLLKNVQDDHLRFLHRQKERIDRHGLVKLLGLETERSKINVLEDVSGIIKPCRLTLLLGPPGCGKSTLLRALSGKLDKSLKLRRG >OGLUM12G15240.1 pep chromosome:ALNU02000000:12:19786259:19787594:1 gene:OGLUM12G15240 transcript:OGLUM12G15240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFPGEPEDEFLSSHLEQIEGVQERLFGEARRLKHQVCHGGLGGTHFGCFGKAPSTQGCARPTKEVC >OGLUM12G15250.1 pep chromosome:ALNU02000000:12:19787672:19790046:-1 gene:OGLUM12G15250 transcript:OGLUM12G15250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLITSLLFSLPQQWQPVVLTGLLPVIVSLLLLARKGRLKMPPGPEQVPLLGNLHQLAGPQPHRALRDLARVHGPVMRLRLGKASAVVLTSAEAAWEALRGHDLDCCTRPVSAGTRRVTYGMKNVAFAPYGAYWREVRKLLMVELLSARRVKAAWYARHEQVEKLLSTLRRAEGKPVALDEHILSLSDGIIGTVAFGNIYGSDKFSQNKNFQHALDDVMEMLSGEGSSAEDLQLPNAVGRLVDRLTGFAARRERIFRQLDSFFEMVIEQHLDPNRAPPENGGDLVDVLIGHWKKKEPRGTFSFTKDNVKAIIFLARKPRVLKKVQAEIRAAVSVNGRVQPDDITKLSYLRKVVKETLRLHPPTPLLLPRETMRHIQISGYDVPAKTRIYVNAWAIGRDPASWPDEPEEFNPERFEANEIDFKGEHPELMPFGTGRRICPGMAMAMANVEFTLANLLFAFQWSLPEGTTPDNVCMEEEGRLVCHRKTPLVLVPTVYRHGLE >OGLUM12G15260.1 pep chromosome:ALNU02000000:12:19803019:19803606:-1 gene:OGLUM12G15260 transcript:OGLUM12G15260.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRAKKRKRERELLRIALEGAIVESPQAVPIFVRGADLESGEGSSDSVTSDAGSAKAAADDVVHIAHALCKVCAKSPKAVNEVSPATVGRSIDWDLVRDNDSSKMSDGRHRWTDMELRTSPPTPPSPRHGRTWRKKMYSKCRKRVNKAQLEYIGG >OGLUM12G15270.1 pep chromosome:ALNU02000000:12:19811908:19813254:-1 gene:OGLUM12G15270 transcript:OGLUM12G15270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDAVEEPGINTFVQLPAYMREANRGLFEPRVLSIGPYHHGRESTRDMEAHKEHILQGFLQRPGNANHAYRCFAQARRCYVGNVDSYTVEMLTRDGCFIVELLLRWSEGTAHVDNYVWLMWNSVYYDLLLFDNQIPFFVLDRIFRVFVAHNANQACFNNNVQLLHLVRIFFNHRGQFSWANLNDLNLPNASQVRHLLDLQYKLVISNNLGIEAARRNGCLCRLFCCNIVCHRPSMPRGIPGANELQDYGVGFRAKRLNERVKLFDVTFRGKTMNIPRFEINFGSKILLANLFAYDQQIACQPAAAGNAAVVDQLPGNNGEQGRNNNNVGVVTSYVVLMNALVNSRDDVVVLQEEGVLDNMLSNEEEVASFFNNLGRCVLVDVTEHRYSRMFQDVNRYWRNGMLRKYSSIFCMKHCKTPLTCLSLLAAILLLIFSCTSMIFAILKYTRD >OGLUM12G15280.1 pep chromosome:ALNU02000000:12:19856431:19862152:1 gene:OGLUM12G15280 transcript:OGLUM12G15280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTYTSTSKDGIHRPRPREWLWHAAGGQQAGPPPPPSKAMAVVAGWRLLLHHHPPRFYPRPCPALPLPTTRWPEAAGLGGQWLAGEVAGLVTAGFAAAGPTTAESMPLPSSGCG >OGLUM12G15290.1 pep chromosome:ALNU02000000:12:19870813:19874692:-1 gene:OGLUM12G15290 transcript:OGLUM12G15290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLVAWGLATRQPLFVTVPLGLRRVRSRTGRRSYKASAPPKKSGAGDDDAMLLLIPVNNKTCSSCALLAIYGKLMICVKYEFCASFLNGNNSTIEMEVPEIAVQVAPEIIIAVEMPEAINTTIVRLPMYMQEANKGLFKPRVVSIGPYHYGQGSTLDMETHKDRFHHAFFQRLGNHVNHQDLIAQCTEGAMQCYNGNVDFRLYTLEKLMHDGCFILELLIQWEEGEHAHVDDHMRLMSNSIYYDLLIVDNQVPFFVLARLFEEFRRYNGEHPIVLVNTPLVNLISNFFNYDGQFSWVYNSNPPNEDLPNANHRHLLEIQYNLVIRRNNNCNNNDKQTHYYSCLCSLCLCSSNFCRKSPMPLGIPGANELQDYGVKFHQKENQRITDIFDVTFSHKTMSIPQFKINFGSKILLANLFAYDQIAGQQGRNNGVVVGPVTSYVALMNALINTKKDVMVLQREGILDNLLSNEEEVASFFNRLGRCALVDVSNHRYTGMFEDVNRYWRYGCCCKHFVTFRMKHCRNPWTCLSLMGAILLLFISLISMIYTILQYYNRRQ >OGLUM12G15300.1 pep chromosome:ALNU02000000:12:19875898:19879993:-1 gene:OGLUM12G15300 transcript:OGLUM12G15300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVEAPLLAASSKPATASLPRRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVYAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRGEARVVLDRTSDTPAEAEQRLQEIEDVVAAAGSVAGNGNGGGGAWKEVATKPGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAAAGVASNTLLLGLNVVFGVAKASSILVAMALTDRVGRRPLLLASTGGMTASLLALGSVFAAFGGARDDAAVAAGAAVAVVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAITMAGAFYLYAAIAAASFVFIYACLPETRGRSLEDMEELFHTKRLLDKIIDFSVIGGGASGAGSAVITPSYAKAMKRSLQVIKMLAETTGSTGKLLRREVAEIVFTVSNIVRAVLQHEAGHLELQRLGAEVRRGRVGCSLPPPPRLRFRSTPHRSHAASAGLILPSALSAAERSSAAASSSKCRFDGGYVTR >OGLUM12G15300.2 pep chromosome:ALNU02000000:12:19878121:19879993:-1 gene:OGLUM12G15300 transcript:OGLUM12G15300.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDVEAPLLAASSKPATASLPRRNKYPFFCAVLASMTSVLMGYNVAVTSGAQIFMAEDLGVSDAQIEVLSGAINIYSLVGALLAGWTSDRLGRRLTIVLTNGFFLAGPLVMSLAGGYAALMAGRFVAGIGVGYALVIAPVYAAEISPASSRGLLSSLPEIFINGGVMLSYVSNFAFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRRGEARVVLDRTSDTPAEAEQRLQEIEDVVAAAGSVAGNGNGGGGAWKEVATKPGVRRVLAIVLTLQFFQQASGIDSVVLYGPRVLAAAGVASNTLLLGLNVVFGVAKASSILVAMALTDRVGRRPLLLASTGGMTASLLALGSVFAAFGGARDDAAVAAGAAVAVVVAFVCAFSVGIGPLAWVYSSEILPLRLRGQGAGVGTAMNRVVSGVVTMTFISLYGAITMAGAFYLYAAIAAASFVFIYACLPETRGRSLEDMEELFHTK >OGLUM12G15300.3 pep chromosome:ALNU02000000:12:19875898:19877192:-1 gene:OGLUM12G15300 transcript:OGLUM12G15300.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRLLDKIIDFSVIGGGASGAGSAVITPSYAKAMKRSLQVIKMLAETTGSTGKLLRREVAEIVFTVSNIVRAVLQHEAGHLELQRLGAEVRRGRVGCSLPPPPRLRFRSTPHRSHAASAGLILPSALSAAERSSAAASSSKCRFDGGYVTR >OGLUM12G15310.1 pep chromosome:ALNU02000000:12:19886204:19887859:-1 gene:OGLUM12G15310 transcript:OGLUM12G15310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPMVLGFFIFVVIGSCKLWPFTFLFLSV >OGLUM12G15320.1 pep chromosome:ALNU02000000:12:19890316:19890739:-1 gene:OGLUM12G15320 transcript:OGLUM12G15320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMDEALNYGKGLTPKFNSRSWVWSGVVELPKPSSITLVHFMRELHPAPLPVLVELKLFGRAPAPGGVELELELCQTCPKSVG >OGLUM12G15330.1 pep chromosome:ALNU02000000:12:19891826:19892407:-1 gene:OGLUM12G15330 transcript:OGLUM12G15330.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTC6] MGLERKAKVAEVALRCAVCALAALAAALVGTGSQTRTFFSLEKKARFTDMKALVLLVAAHGAAAVYSLLQLARCAAAAAWKGGSNGGAAVVAWSVFSCDQAVAYALMAATAAALQSSVVGKRGQPELQWMPVCGLYGAFCRRVGEGLAAAVAAGLAAVLLAAVSAFNLFRLYGGGGGRKSSAGAVSGNGANTW >OGLUM12G15340.1 pep chromosome:ALNU02000000:12:19895838:19899287:-1 gene:OGLUM12G15340 transcript:OGLUM12G15340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPWAQPSIHSAFSPPTGQTGHPPHYSRKVKSNQIKIQPNCAEEDGPTPATPRRRRRRRRRRQEEEELGRRPAPHRGLGFALFLPDARRMGTSSGANFHQQQPQQPPPPTSSAAAAAGAAAVAQGMLPPRRPTGLQTSLSLASSEQVGSPEMQEPGSNSDQGHDSATESASSRETWPAEPNHGSAAAAVAASTAASGGGGVVGGIGVGVRIVDKEKEVGNGIPKLQVIRGSSRLDRMSLREVARERVDLVAEKMKVMPEEHLEDMKNELRSILEGTGGSHHIEEFLYLQKFVQSRGDLTQTMLSMAHHVQLEILVAIKTGIQAFLHPSVTIPQNRLVEVFLYKRCRNIACQSALPAEECRCNVCSNRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIRDGQIGMGQSIKSGTGHAEMLFRCQACQKTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDSKGRKLFRKCADLIERLRNSPADAINPRMILQVLQELELDSPKSTENEEIGRLITPQEACNRIAEVVHEAVKKMELVAEEKMRLYKKARIAVEACDRELDEKARELQELKAERLRKKQQVDELESIVRLKQAEAEMFQLKANEARQEADRLQSIALAKSERAEQDYASLYLKRRLEEAEAEKQFLFEKIKYQETQRPMQASGSGAGDSSQTPMLSKIHDLLKNVRSIPPKTEGHQ >OGLUM12G15350.1 pep chromosome:ALNU02000000:12:19903030:19908708:-1 gene:OGLUM12G15350 transcript:OGLUM12G15350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HEAT SHOCK PROTEIN 89.1 [Source:Projected from Arabidopsis thaliana (AT3G07770) TAIR;Acc:AT3G07770] MLGASRRSVCTAAAAAAAGSRRRAAAGVASAVSGDSSVSSSSAPPRSVINGEPGVPQLQKRLLSVLAAPKLTGTDNAASLKLREGSLVGRRYESSAAAVDSSDTPPVEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPDLIKDGAGLDIRIQTDKENGIITITDTGIGMTRQELVDSLGTIASSGTAKFLKALKESQEAGVDSNLIGQFGVGFYSAFLVSDKVAVSTKSPKSDKQYVWEGEAESSSYTIREETDPEKLLPRGTRLTLYLKREDKGFAHPEKIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEPVEAKEGDDETKTEVKKKTKTVVEKYWDWELTNETQPIWLRNPREVSTEEYNEFYKKTFNEYMDPLASSHFTTEGEVEFRSILYVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGCMEDKENHKRIAPLLRFFSSQSNEELISLDEYMENMKPEQKDIYYIAADSLSSAKHAPFLEKLNEKEYEVLFLVDPMDEVSVTNLNSYKDKRFVDISKEDLDLGDKNEEKEKEIKQEYSQTCDWIKKRLGEKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDMSSLDFMRSRKVFEINPEHEIIKGLNAACRANPDDPEALKAVDILFETSMISSGFTPDNPAELSGKIYEMMSTAMASKWASHAQPAEMNLQRDSPVSSEPIEAEVIEPELVDDSQKK >OGLUM12G15360.1 pep chromosome:ALNU02000000:12:19909934:19913595:-1 gene:OGLUM12G15360 transcript:OGLUM12G15360.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif (SAM) domain-containing protein [Source:Projected from Arabidopsis thaliana (AT3G07760) TAIR;Acc:AT3G07760] MSRGRSPEPLDFFIWTVEDVGLWLEEINLGSYRQVFEENVACLKGEQEVRRPWWAPSCLSVVFVRAAKRNRQSRVVSLKLEP >OGLUM12G15370.1 pep chromosome:ALNU02000000:12:19944723:19949996:1 gene:OGLUM12G15370 transcript:OGLUM12G15370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGDARRRKEEGEEDGFSSDGGDTTDAESSDERAGAGAGRRAVPGANPILSRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVTTKGFKGSFADAASSAKIFAVLAGVQSLVACTLRQLRGKDDVLNAGVAGCCTGLALSFPGAPQTMIQSCLTFGTFSYIIEMLNKQEPALARPSITGTKDLKAGHRVLPPFTLPLPQEAMEGFSSFQNFLSKFQGK >OGLUM12G15380.1 pep chromosome:ALNU02000000:12:19950846:19952023:-1 gene:OGLUM12G15380 transcript:OGLUM12G15380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSARAGCGVAQRWRGQARSRTERGRATATWGKCGGGVIAPSAAGDDLGFGSSGRDDHGSGGGGKGQPQLRWQLGKTSAPVGAAVECGYGGGWRRTHSDGSNTREEEEVRGGASTAKKPEDRIKNRHGPACRMLQKDEGAKGEAYL >OGLUM12G15390.1 pep chromosome:ALNU02000000:12:19956536:19958043:1 gene:OGLUM12G15390 transcript:OGLUM12G15390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGPFGHYIRNCVVKFALARGASLLHRQAVKCVLVEVQVRLGGSLLFSGHLGVSVLLFNFIARFGAGLLEFREFSVVVCREASGPIVGTWKLLEEERVPVLR >OGLUM12G15400.1 pep chromosome:ALNU02000000:12:19968306:19971610:-1 gene:OGLUM12G15400 transcript:OGLUM12G15400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPDCGGGGGAAKGGGVLGVAGGNNAAVVGGKVRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKISSSSASPATTTDCASPPEHKLGAVVDLAGCGGGGGATDDVVVGTANAAMKSMWVDSSSSSSSSSSSMQSRPSIMAAAAAGRSYGGLLPLPDQVCGVDTSPPPPFFHDHSISIKQGYYGSTGHHHHHAIAAMDGSSFIGDHHHHSSSILFGGAAVPPLLDHQTILNDDDDHPNKTGSNTTAATLSSNITDNSNSNKNNSDNNNNISSSCCISLMNSSSNMIYWEGHHQQQQQQHQMLQQQQQQHMSRNVMGEWDLEELMKDVSSLPFLDFQVE >OGLUM12G15410.1 pep chromosome:ALNU02000000:12:19982480:19986310:1 gene:OGLUM12G15410 transcript:OGLUM12G15410.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:dicarboxylate transporter 1 [Source:Projected from Arabidopsis thaliana (AT5G12860) TAIR;Acc:AT5G12860] MGVSGLVPLWSRNTGCTQPLFRFRYAQCQCHPHRPSTLTPPPPLSHLHFRPPSRRRHGHLHLRRHRPPHLPPPRPPPPPSPPLPXXXXXXXLSRPTPLTPSPPPRHRALHASASAAPAAPPSQPPKPVLQGAAIKPLVATIGTGVLIWLVPPPAGVARNAWQLLSIFLATIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVSAFGGSSLGLGYALVFAEALLAPAIPSVSARAGGIFLPLVKSLCEACGSRAGDGTERRLGSWLMLTCFQTSVISSAMFLTAMAANPLAANLTAGTIGQGIGWTLWAKAAIVPGLLSLVFVPLILYLIYPPEVKTSPDAPRLAKERLEKMGPMSKEEKIMAGTLFLTVGLWIFGGMLNVDAVSAAILGLSVLLISGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKFVGGLGLSWQLSFGVLVLLYFYSHYFFASGAAHIGAMFTAFLSVSSALGTPPLIAAMVLSFLSNIMGGLTHYGIGSAPVFYGAGYVPLAQWWGYGFVISIVNIIIWLGAGGFWWKMLGLW >OGLUM12G15430.1 pep chromosome:ALNU02000000:12:20005910:20009702:-1 gene:OGLUM12G15430 transcript:OGLUM12G15430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSRFWSGVGNSASSPLPLTNDDQIHRIPAAASTSTPSPAPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSRPPRGDQEAEDRGGATRIGGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLISLDLSSLSGGAPGRTRGLKISPVN >OGLUM12G15430.2 pep chromosome:ALNU02000000:12:20005910:20009702:-1 gene:OGLUM12G15430 transcript:OGLUM12G15430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQGKSRFWSGVGNSASSPLPLTNDDQIHRIPAAASTSTPSPAPPHQIPPPIRSSPLLHRRRRRPPPASDPLLLGVSILGRVSRPPRGDQEAEDRGGATRIGGASALITAHFMGEEAPEFRVESVALESKDCLQNAIDIGDKTYVISRSDDPKSSITIKILDKLTQTWVVPTVLGAPPNPTSSHSAVLVNNEKILIIEKGVPLNDSIWFLEVDTPFVKQQSKIKGTVVVAWSKGVIGEGQKPIVISGPSGVGKGTLIAKLMKEYPSKFGFSVSHTTRAPREKEIDGVHYHFTERSKIEEEISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLEACYENLKKLLSLDDDHEDSNDSFIKDGKETACYSILSKTNSEILLQSETNEAEKGTTNLISLDLSSLSGGAPGRTRGLKISPVN >OGLUM12G15440.1 pep chromosome:ALNU02000000:12:20017856:20019852:1 gene:OGLUM12G15440 transcript:OGLUM12G15440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNGSSVMISPVVQGKMQQGVMNGMQNSNIGNSTSVPVSGSSFNGTAFLSGNYANAPAPARQVPSFSITGIGGNATF >OGLUM12G15450.1 pep chromosome:ALNU02000000:12:20021292:20023039:1 gene:OGLUM12G15450 transcript:OGLUM12G15450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNGSSVMISPVVQGKFQQGIMNGMQNRDIGNSTSVPVSGSSFNGTSFLSGNSVNAPPAPEVPSYSITGIGGDATF >OGLUM12G15460.1 pep chromosome:ALNU02000000:12:20029995:20031390:-1 gene:OGLUM12G15460 transcript:OGLUM12G15460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNGSSVMISPVVQGKEQTDIMNNMQNHNIGNSTSVPVSGSCFNGTSFLSGNSVNAPTPPQGPSC >OGLUM12G15470.1 pep chromosome:ALNU02000000:12:20034011:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKATVSICFGTTTGKAHYPQRLRRGGLNDLDLENLYSLRHATIYSFDDDSKAASYSSCNYGRSVSPAIYHRKPNKGAHEQQAMQQSG >OGLUM12G15470.2 pep chromosome:ALNU02000000:12:20034299:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLRRGGLNDLDLENLYSLRHATIYSFDDDSKAHK >OGLUM12G15470.3 pep chromosome:ALNU02000000:12:20034299:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKATVSICFGTTTGKAHYPQRLRRGGLNDLDLENLYSLRHATIYSFDDDSKAHK >OGLUM12G15470.4 pep chromosome:ALNU02000000:12:20034974:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLYLSIWTRLRRGGLNDLDLENLYSLRHATIYSFDDDSKAVIEEALKDYPNQAALKIQSMMMN >OGLUM12G15470.5 pep chromosome:ALNU02000000:12:20034299:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLYLSIWTRLRRGGLNDLDLENLYSLRHATIYSFDDDSKAHK >OGLUM12G15470.6 pep chromosome:ALNU02000000:12:20034974:20038184:-1 gene:OGLUM12G15470 transcript:OGLUM12G15470.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETPVDQLDQQVKIWASEVRELSYDIEDAIDTFMLKCKGHEPATSFKKVTNLFNKFKTNHQIHGVMKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDSRLEAMYRKATELIGISKPKNELTKQLLEYDGSSSQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKMYSHIDETWETKQLIDKIRDFLNNRRFLCVIDDVWKKSAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSEEWDKVNKSISLGLENNLDVDKMRKILSLSYNDLPFHLKTCLLSLSKYPEDELIRKDVLIWGWLAEGFITDETRPVGTSLQEIGESYFNELINRSLIQPMSEDNFWDEDGKVHVFWDEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQTGKHTCTAQKKKIRRLSLHNSNKSYASPEAREKLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGARKPSSFKSHKVLFPMSFAKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIEATRKVIKEIGNLTELRTLRIVFGSEKTFELKESIQTSIQRLTNLQDLDLRNNISFEEIIDMQQVPSGLQRLFMPDSFMKAFPCWINSLMLSRLTTLSICLDFEYLQSDHLDRLAELPSLRFLRLQLAFVSEQLQEKLTIHRGACAFRSLKDFQFYSSMMPSFQPGAMPHLERLYLSIWTRLRRGGLNDLDLENLYSLRHATIYSFDDDSKAVIEEALKDYPNQAALKIQSMMMN >OGLUM12G15480.1 pep chromosome:ALNU02000000:12:20048071:20050819:1 gene:OGLUM12G15480 transcript:OGLUM12G15480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAAAATSSSSSHTDTSGSSSDSSSSSGSDRRRRRARHRSGHRKDAAAAASSSSSALKARKDRRSRHKRRRRERRRSPSSSYDSEHEGKSRKHKKSRSSRKSRERERSKDRHSKRDKSKHKEKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKCI >OGLUM12G15490.1 pep chromosome:ALNU02000000:12:20052272:20055208:-1 gene:OGLUM12G15490 transcript:OGLUM12G15490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRQMFQAMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTSTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G15490.2 pep chromosome:ALNU02000000:12:20052274:20054088:-1 gene:OGLUM12G15490 transcript:OGLUM12G15490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRQMFQAMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTSTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G15490.3 pep chromosome:ALNU02000000:12:20052272:20054123:-1 gene:OGLUM12G15490 transcript:OGLUM12G15490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQAMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTSTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G15490.4 pep chromosome:ALNU02000000:12:20052274:20054088:-1 gene:OGLUM12G15490 transcript:OGLUM12G15490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLGFKQLKLVCLALFVVLLTWKWEKGSLRNRGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLGPLVQSVDEVGREATAASPPQSVVHEAENVTGKREASPPEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLTRMQDKTIAFVGDSLGRQMFQAMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTSTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHRFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAVIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKDPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIKGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G15500.1 pep chromosome:ALNU02000000:12:20055925:20060497:1 gene:OGLUM12G15500 transcript:OGLUM12G15500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPGWMKLQRFVFEFRNIYSIFEPKDPSYVANCQYRYDFSCENLKQLSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFVLGLTDSDMITLAQNCSNLRSISLQLEPVFCEGPEGRVCRTPLTDESLKALAGCRMLQVVELTMFGCDYAYPEIGFTQEGLMMFFQSCPIRDLVLCGANIFDDEGMKALSSAQFLQTL >OGLUM12G15500.2 pep chromosome:ALNU02000000:12:20057053:20058283:1 gene:OGLUM12G15500 transcript:OGLUM12G15500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPDALIGEIVKRVSSTSDLSSLSLVSKRLYKIEAESRHTIHISCSLRPATDAIDHGNQLDNHGLRILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLITLRLNSATKITSSGLLAVAVGCKNLSALHLIDCNKISGAFEWLKYLGSDGSLEELKVKNCVGINQYSLLMFGPGWMKLQRFVFEFRNIYSIFEPKDPSYVANCQYRYDFSCENLKQLSLRRIVTVEEIGLRSLLGKCKSLEKLCLHFVLGLTDSDMITLAQNCSNLRSISLQLEPVFCEGPEGRVCRTPLTDESLKALAGCRMLQVVELTMFGCDYAYPEIGFTQEGLMMFFQSCPIRDLVLCGANIFDDEGMKALSSAQFLQTL >OGLUM12G15510.1 pep chromosome:ALNU02000000:12:20077303:20086494:1 gene:OGLUM12G15510 transcript:OGLUM12G15510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNIASSGLLVVAVGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYNFCCESLKDLRLRGIATVEEIGLCSLLGKCKSLQILCLHFVLGLTDSDMITLSQNCRNLKSISLQLEPVVGVGPQGRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTSYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCKRITDAGMRLLANSSSLVNLTLQDCHGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRNCPGYGRLNTRPWPDGRRCEAMEVPREEEAGGGDRVIRWLAAIGDLAYSSTQEALDLRGGRLSLGVEGMAWWSGDGRYAGWLCGVLMGNRWRKPCQAMGRHDDGDAIWRRSPPWRRPRQIAAKPEGCPAMSSKLSLEDLPDALLAEIVKRIANTSDLKSISLVSKRLYTIEAEQRSSICVGSDLCPAIDAFFLQKFDVPQVELCISDRLTWTSLGGFGCKNLTSLHIIKCNHIIGSDKWLEYIGSAGSLEELVVKNCKRISQYDLLKFGPGWMKLKKFEFKFKRSFNTYEPRDPCYVDNYQYGYDFCCESLKDVTLATIVTKPEVGLCCLLTKCKALERLCLHYVIGISDHDIITISQNCSNLRSISLSQEVLLCEIPGGTGVMARTPLTDDSLNALALRSHMLEAVELMFYGCAPDWPSEIAFTQDGLVTLLQSCPICHLVLRGANFFDDEGMEALSSAQFLETLELMQCVAVTDVGMRFLAQSPCLKNLTLQMCYEVTDDGVCEVARARDLESFTVESCNQISLEALQGAAKSVH >OGLUM12G15510.2 pep chromosome:ALNU02000000:12:20077303:20080874:1 gene:OGLUM12G15510 transcript:OGLUM12G15510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGAALAEIMKRITRTSDLSSLSLVSKRLYTIDAEHRSTIRVGRGLWPAKEALLTLCSRFSNLRKVEINYHDWTRGDGNQIDNQGLLTLSTCCPLLTDLILSFCYYIDDSGLAYLTDCKKLVSLRLNSAKNIASSGLLVVAVGCKNLSGLHLINCNKISGNPEWLKYLGSDGSLEELVVKNCGGISQYDLLLFGPGWMKLQRFVFEVSNLYNILRLRDPSFVANYQHSYNFCCESLKDLRLRGIATVEEIGLCSLLGKCKSLQILCLHFVLGLTDSDMITLSQNCRNLKSISLQLEPVVGVGPQGRVFRMPLTDVSLKALALGCRMLQIVELAVYSRHTSYPEIGFSQEGLATLFQSCPIRELVLCGANIFDDEVMKALSSAQFLETLKLMDCKRITDAGMRLLANSSSLVNLTLQDCHGFTDDGVSEVVRARNLDSLIVQGCRVSWKAVKGAAKSVRYDRNCPGYGRLNTRPWPDGRRCEAMEVPREEEAGGGDRVIRWLAAIGDLAYSSTQEALDLRGGRLSLGVEGMAWWSGDGRYAGWLCGVLMGNRWRKPCQAMGRHDDGDAIWRRSPPWRRRPGVDPS >OGLUM12G15520.1 pep chromosome:ALNU02000000:12:20090581:20090919:1 gene:OGLUM12G15520 transcript:OGLUM12G15520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKIRIVMKSFMSQANKVEGVIPYAQKVGLPESRSLYTVLRSPHIDKKSREQFSMHVKKQFLVQKAETHELQKKLFWLKRLRLLGAQYELQISFKTRLDKKLLQAALSSGC >OGLUM12G15530.1 pep chromosome:ALNU02000000:12:20095966:20098745:1 gene:OGLUM12G15530 transcript:OGLUM12G15530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGPTTTLPPPAAATTAMAVDLISQFNDDLLLHILGFLPAARDVARTSVLSRRWRHLWSIAPCLRFAVGDGDDDDNGDRDAHAARLLIAAVDAAVARRADVDILEISFNYVPSRPWRSFYEQPACAADVTMPSHSRAAAWLRFAGRHVRRSFAVDLPPLPLGWRRDHQAAAAAGGDLAELPRSARAGTMRLQLGNATLTVPVAGGALADFQLHKATLAGEGADNLGHLLSSSCSPRLRRLGLAFIGGLAELRLDAAGTLEELELVELPDLRRLDVDAPGLRLLRVDLFDLSFSYPDPAPVRISAPRLEALACHDMPSPAPLQFDGAAAVRHIKKLAFYYPVDDGMLHNATTIWLLKNCTAMDHLELDLVIDMPYKVSEWPIGEDIMNYVSELPSVTNLAISIYVGTGGHTIGATLTKLIAKCKNVEYISIGFNDWKIRHCSNLSCFCRQPKGWEDQKISLDHLRTVDIRNFKPSDDWIRVLQVLLANSPALDTMTVELDKTYLKEIQKEGKSVQFDVPCSKGFWASVSSQPDEHGFDSVVVKYKWTRGI >OGLUM12G15540.1 pep chromosome:ALNU02000000:12:20127228:20128638:-1 gene:OGLUM12G15540 transcript:OGLUM12G15540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISAPRLEVFSCESLVDPERLEFDGAAAVRRIKKLRLMSFGGGGEEEVDKYDANAAAVWLLKNCNAVVHLGVKLDIYVDEGHEDIIKDIPQLPSVTSLTITASSIFDGHAVGASLAKFITKFNNIQYLHIDYSVWNQAAFNCHQPEDWEDQMISLKNLRVVDIRKFASLDDQISLIQLLFASSPALDTMTVELDYEYLFHRRRVEGKEYQFDMPCYQGYWEPCAWEFGVHRFNGGTKFKWTRERHTKK >OGLUM12G15550.1 pep chromosome:ALNU02000000:12:20177364:20183741:1 gene:OGLUM12G15550 transcript:OGLUM12G15550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVINPERGQGESEQWIERIGLVAVSLRREGTHPVEGKRAGLKKPAYGRASSGLRLTRVNVNVLLPPRVDMSFSLLVQVDWSRPMARTSQFKLLRTTVANGFKLSAVDTSLPLLPQINSSSSFHHERVKPQNGYTAKHESKQTIIRPPQWREPYPVVLLPMPEELGGIERVGNNE >OGLUM12G15560.1 pep chromosome:ALNU02000000:12:20206981:20217856:1 gene:OGLUM12G15560 transcript:OGLUM12G15560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSNPRPASTTIDGRYGHIRRLPKLNWKMLPAEDTVKDIPHLPTVTKLRLDVNTWWYGHTIGATLARIIAKCNNIEHLSILVRGLLEVCSDAQCKCSQPKGWEEQKIQLEHLKKVEFKGFIPFDDRKRLLRLLQENVPALEKITRRFDTRERELRSLLMRRQGYKIVTTVSEFAKLACLEALTTVPN >OGLUM12G15570.1 pep chromosome:ALNU02000000:12:20216027:20217478:-1 gene:OGLUM12G15570 transcript:OGLUM12G15570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLPDALIGEIVKRIESTSDLSSLSLVSKRLYKIEAEHRHSIRIGRNLPPATDAIISLCSRFHNLLNVDINYSGWTQGHGNQLDNHGLHILSSYCLSLSDITLSFCSYIDDTGLGYLAFCKKLMTLRLNSAKRITSSGLLAVAVGCKNLSAIHLINCNKISGTFEWLKYLGSDGSLEELVVKNCERISQFSLLLFGPGWMKLQKFVFEVNNLYNIFKPHDPSYVSNYQYCYEFCCESLKELSLRRIVTVEEIGLRSLLGKCKSLEKLYLHFILGLTDSDMITLAQNCSNLRSISLQLEPLFCEGPEGRVFRTPLTDESLKALALGCRMLQVVELTMFACEPAYPEIGFSQEGLVILFQSCPIRDLVLCGANIFDDEGMKALSSAQFLQTLELMDCIHITDAGMRLLADNPSLVNLTLRQCNGFSDVGVGAVVCARKLDSLVVEGCGRVSRKAVLGAAKSVRYERDYPGYGHLRRSSLSILQD >OGLUM12G15580.1 pep chromosome:ALNU02000000:12:20223549:20226970:-1 gene:OGLUM12G15580 transcript:OGLUM12G15580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNETATSAAAAVDLISELNDDVLLRILGLLPSARDVARTAVLSKRWRHLCGIAPCLRFDVSSSARCHDAARRLTAGVDACLARRRGGGAADAADVDVLEISLVYTDTSESKHGTFKRVPVGKRFYFHDHRHEADVTPSLVDSWLRFAERHVKGSFVLEVPLNAAAAAALDRAEETSTAEQDYQEEESPAPELEEEEVVELPATSRAEAMSITLGGANTTVPIAGAGAFHALTDFTLCRAKINAYDDGDLRLGHLLSSPCCPRLRRLELRHVAGLIRLHLDASDALEELRLVYLPDLLRLHVDAPGLRLLRVGHCDNLPCSGDPAPVRVSAPRLEALAWDRLECIACREVIATATVRHLKKISLFSHGGDEDETNVAAVRLLKSCTAVDHLELRLTLRVESSEDTTKEIPYLPTVTNLRLDVSTWWKGHTIGATLARIIAKCNNIKHLSIRVSGLFKVCSDPQCNCSQPEGWEDQKIQLEHLKKVEFKGFIPCDDRKSLLRLLLKNAPVLEKINVKLNNTYILQSPEEFRENTNFDVPGYQGFWTPYEWKYRECGIFYGATKYEWTRETN >OGLUM12G15590.1 pep chromosome:ALNU02000000:12:20228818:20231347:1 gene:OGLUM12G15590 transcript:OGLUM12G15590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKMRRRKKMTTSPAAAAAVDLISELSDDVLLHILSFLPAASDVVRTTVLSRRWRHLWSAAPCLRFAVEPAPPPSTSSRRADTGSRLVAAVDSVLARRAIDGADVETLKISFVFSSSPNDGGGGGRSAFDGDWHDHADDIESEHVAAWLRFAERHVTGDFRLDVPTLPRQRRQAELPSSATFKSMRLWLAYAELTVPTAAAAAADRAFAALTDVRLSTVKVDDVNGRRLCDLFSSPACCPRLRRLTLEDIVGLTELRLRLDAARAATLETLKLLGLPDVKAVEVDAPGLRELAVTGVSLDEMAAPPMISAPRLRRLTFESDETCRGGGLMVLDGARMEIDILSHGFSGVADNRDLAWFLQHCAAADRLDVRLLVPLGEDLMNDIPEFPNITELRITAQVSIPTHTIGASIAKFVAKCSRIEYLSIDINKQGGDSHPGCKCEEPKDWKDMNLSLDHLRSIDIHHFRPSQDQMQLVSLLLANASSLQRMTIALHKRYVEAMEREDGKEVYLHIPCYGGHWTPCAWGSSSRQSKFRSATKYEWAPCNVNHEKGMKVLQSLI >OGLUM12G15600.1 pep chromosome:ALNU02000000:12:20259762:20280002:1 gene:OGLUM12G15600 transcript:OGLUM12G15600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTTTTSPAAPAPAAVDDLISELDDAVLLHILSFLPSAGDVARTTVLSRRWRHLFGIAPCLRFAVGPGSFAEDDDEDEEEHDARCHDAARRLIAGADVCLARRRRGGEGGHDALRVLEISLVYGDADNDSSWYKREPVGKRYYIHDHHHEADITPSLVDSWLRFAERHVTGSFVLELPLNAAAAAKQDQEEADEAKRDVAPPVEEEEVSPAEQEETAAEEEEELPPAMAAEVVETVDEQLDLLPAAEEEAVELPAITRAAAMSLTLGGANTVVPIAGAGAFRALTDFTLCRAKINAYDNDDLRLGHLLSSPCCPRLRRLELRHVAGLIRLRLDAAAGTLEELRLVYLPDLRRLHVDAPGLRLLDPRRPLRQPAVLRRPRRGEGVGAEAGDAGYRACREFIATATVRHLKMLSLYSHGGADDETNVAAVRLLKSCTAVDHLELRLTVRVLPSEDTVKDIPHLPTVSKLRLDVSTWWHGHTIGATLARIIERCNNIEHISIRVRGLCNCSQPKGWEDQKIQLDNLKRVEFKGFIPFDDRKSLLRLLLKNAPALEKITFDGAAAVRRIKNLEIMSFGDDDDNAAAVWLLKNCYAVDHLKVKLDILLAQQDDDDIIKDVPQLPSVTSLTIEASSWFDGHAVGASIAKFIAKCNNIKYLRIDFSGWYHLYCSEPGCLCHQPEDWKDQMISLENLTVVDIRRFAPLDDRRSLVQLLFASSPALDTMTVELHGSYLVNCREEGKEYQFDMPCYQGYWNPCAWEFGVHKFNGGTKFKWTREMSARALAPALRFAVGPGAFADEHGEDREKFAASRRDAARRLIARGAPPPARATTTSMSWRSPWCTTPTTSGRSTTSTDHHHEADVTPPRVGGWLRFAERQVKGSFTLELQLVAPVAAAVAAAAARRAAREKANIASVTDEGVVIFVEHVAPPPANIEEEEEAPPEVVELSCSTRAEVTSLNLGYATVSVPATGAFRALTDFTLRHAVLDAGGGGGGGDDDLRLGHLLSSSCCPRLRRLRLQQIGGLAALRLDEAGTLEELWLVNLPDLRRPDLDAAGLRQLRLCGAMAISTPRLEDLACDGMVHPDRLRFAGASRSSSSSRTAPATPPPCGFSRTASRSDHLEVDLFFAMIKARAWMGGHSISPTLARFLSKCERIEQLSIHIQDGSLVCSDPLCICGQPEDWEDQMIPLEHLKNIEIRGFAPFKDDRKRLVRLLFLNSPALEWMSVELDSRHVNKSQEDGIQVVFDIPDYGGFWVPCAWEECHGCSDATRYDLSSNSKKMNDTMTPAAAAVDLISELDDDVLLHILSFLPSASDVARTTVLSRRWRHLFGIAPRLRFDVGPGSFAEGDDEDEEERDAARCHDAARRLIAGVDACLARRRAAAAAADVDVHEISLVYTDKSKHGLYKREAVGRRFYFHDHRHEADVTPSLVGSWLRFAERHVKGSFVLVLPLNAAAAAKLDREEAAAAEEDDTEEEQAEVAPPVEEEEDEEVSPAEGEEELPPAMATEEEDVAVAPVVLEEAVDEELELPPPPAAEEEEEDDDDEVVELPATARAAAMSLTLGGAITTVPIAAAGAFRALTDFTLCRAKINAYDNDDLRLGHLLSSPCCPRLRRLELRHVAGLIRLHLDAAGTLEELRLVYLPDLLRLHVDAPGLRLLRVGHCNHLPLSDDPALARVSAPRLESLAWDGLEHIACREVVVATATVRHLNKISLFSHGGADDETNVAAVRLLKSCTTVDHLELRLTLRVARIVESDRLNELNDDVLLHILGFLPSARDVARATMPSKRWRRLWPLAPALRFSVGPGSFADEHGEVDREKFAVSRRDAARRLIAAVDATLLARRAAGEGSDVDVMEISLVYSSEDKYYVCSYGMERRYYLHDHRHEADITLSRVDSWLRFAERHVKGSFTLELPLVAPVAAAVAAAEARRAAWLEANTASVTDEGEVIFVEHVAPPANVEEEEEEIDVEVVELPRSTRAEMMNLTLGYATVSVPATGAFRALTDFTLHHAVLDAGSGDDDLRLGHLLSSSCCPRLRRLSLRHVAGSLGPADPYLAISVNDYNFV >OGLUM12G15610.1 pep chromosome:ALNU02000000:12:20280309:20285661:-1 gene:OGLUM12G15610 transcript:OGLUM12G15610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLSPPSERWWTRLISRSFTSGAPASTSRRASTESTAATRRPAAAASASSVSRRLRGPMSKRSAGARVQRLRHRVDSAEVRTTSLAAGKKPRIISSRSSVRPLIMSSAGGGGGGVVVSGKLVESMGA >OGLUM12G15620.1 pep chromosome:ALNU02000000:12:20280319:20285200:1 gene:OGLUM12G15620 transcript:OGLUM12G15620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNLPETTTPPPPPPADDMISGLTEDLLLIILGFLPAARDVVRTSALSTRWRNLWTLAPALRFDIGPRNLRLTDDAEAAAAGRLVAAVDSVLARRDVDAGAPDVKDLEINFVFRSVVGDDQPTAAGRYYRSSSRFGLSRRRRRLPMDVAPASVAAWLRFAECRVAGAFSLELPALSSSRKVVADLPCSERLRTMRLTLGGATVGVPDAGAGADAYRSLADLLLSNVCLDDGDGVRLCNLLSSTSCPSLRRLELSVITGLTILRLDAAATLEELRLIGLRDMEQMEVDAPGLRDLTVKGITVHLMAAAAARIAAPRLQALAYEYRRSWDDCQLMVLDGERTAKLRVLSHGDPTGKHNNGAAAWKNSMRDVIKDIPKLPNITDLRITVAMSTDTMDTHAVAMSTDTMDTHAIGASTTKLIAKFSRIEYLSIDIDKKAGDCTNFDCKCEQYKGWNKKMIPLERLRIADIRDFLPFDDQIELVCVLIANAPLLEKMTVALHELYGETRQRRNDMDAYLCIPSCGGRWTPCSGNGKRTRKSSVHCCAHPAMQSMCDRRPTTRPLPPGQAHPGPRPASRLGNACEPGAKP >OGLUM12G15620.2 pep chromosome:ALNU02000000:12:20284942:20295414:1 gene:OGLUM12G15620 transcript:OGLUM12G15620.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEITQETAAPAAAAADVDIISDLTNDVLLIILGLLPAARDVVRTSALSTRWRHLWTRAPALRFDVGPRSWRLGGCGATDEAAAAARLVEAVDSVLARREGGADVKDLEINLVHHRSDGGDRPPMCHRYAAMFVSSPSPSRHREEPRCDLLAVPLDVVTPARVAAWLRFAERRVAGSFSLRLPTLSRRIAAAGSPPAVLPCSERLHTMRLALGGAALAVPDAVAAAAYRSLTDLLLSKVSLDDDGDDLRLCSLLSSASCPNLRRLELSDIDGLVNLRLDAAATLEVLRLIGLRHMEQMEVDAPGLRELVLKRIYAHLMAAASASSVRIAAPGLQALTYEYDYACWGGAFPMVLDGERNAKLQVLSHGVPDKDNNGAAAWFLQHCAAANRLDVVLKMEFDEEKMEEDIEDLIKDIPEVLNITDLRITVAISTGTVDTHAIGASVTKLIAKFRRIEYLSIDIDKKAGDCTNFDCKCEQHKGWSNEMIPLDHLRMVDIRDFLPFNDQIELVCALIASAPALEKMIVALHESYEETRERTNNMEAYLCIPSCGGRWTPCAWNGGKFGSATKYKWKPCKRKRSDEGVEKKMNGAMTSPAVAAVDLISGLSDDVLLHILGFLPAASDVARTSVLSTRWRHLWALSPALRFAVAPLSGADVAAARRLVPAVDSVLARRDAAGGADADVKNLEISFPHDRAAADDIITPARVAAWLRFAERRVTGAFTLELPFELDMFGRSRRLLPHAELPRSARFTAMRLALGGADLAVPPAIAAAFPALTDVHLSHARLDGGARGDDLRLCNLLSSSCCPRLRRLRLSHIGGLPTLRLDAAATLEELHLRHLTGTWCLQVDAPGLRSLAVEETSLYFGPEPEATTRIAAPRLDALTYRCSDPGGETNLRFDGGRVEELRLASHAVHGGTNNAVAAWFLRQCAAVVDRLDVELTVPVDKLIIDHEDIMKDIPELLNVTDLRINVEASMSPHRAGASLAKLIAKCCKAECLSINISDQGRNQCVNSMCICDQPEGWEKETISLECLRIVEISSFLPCKDQIRLMHLLLSNAPVLERMTVTIYKQYEDAKDLDLGILGFRGRWSYSGPEYHRSGFSVRYEWTPSKRRKVVEMNQEEGKL >OGLUM12G15630.1 pep chromosome:ALNU02000000:12:20310589:20310922:1 gene:OGLUM12G15630 transcript:OGLUM12G15630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVDYCNGVLFCDAFDDNPKLLYLEFLCKIPSLDRFYHGRAWSDVYQNVGVNEELAPSFGFTVSCWILRMGEGDGRMTWVEENKIRPNEIWGL >OGLUM12G15640.1 pep chromosome:ALNU02000000:12:20311984:20315868:1 gene:OGLUM12G15640 transcript:OGLUM12G15640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTG8] MLRCPSCHFWLKGLGSGHLALAYHPGMLSWEIREPFNVFARSFSNSALLGSNTQHSVEPCATQVILARDRSKFSNMRHLPSCRFITYKELRALHGPKASNTQHSVEPCAMQVILARGRSKFSNMRHLPFCRFITLSVIFLLSIPLLVASVPQDILSPGSSISVEDNSNMLVSPNGLFSCGFYEVGANAFIFAVWVNQSIGKTVVWTADRDVPVNGRGSRIELRDGNMVLLDFNSRLVWSTGTTSGQVRGAKLLDTGNLVLLGHDGSRIWQSFDSPTDTLLPTQPITANLKLVSGKYMLSVDNNGSLALTYDTPEGHSKYWPRNINATPFSGDQPQGLDMLGCISAGNHIRYCASDLGYGVLRRLTLDHDGNLRLYSLLEADGHWKISWIALADSCHVHGVCGNNGICRNLMNPICACPPGFVFADVSDLSKGCKPTFNISCDKVAQAYFVEIEKMSVWGYNSNYTASTAFDVCRKSCLDDLHCEAFSYQYGLGGCTLKSSLYTGGFTPSEISITCMKLTADAAVQNSIDYKPHGPYLSCQGRVFSTSADTKAFQWNYLYMPIGSIFAVEAILLPLAWCFLRKRKQDSISRNDGFALIRDHFRKFTLKELVAATAKFKHEIGRGGSGVIYEGILDDGKKIAVKKLRDMVQGELDFQSELSVIGRIYHMNLVRMWGFCSERGHKLLVFEYVENGSLAKLLFDTASTTGALLRWEQRLRVALGVARGLAYLHHECLEWVIHCDVKPENILLDEELEPKLADFGLAKLLNRGKDVQMLSRVQGTRGYIAPEWASNLPITGKVDVYSFGVVLLEIVRGLRVSDWTVVDGEEEEVEMVFRTTVAVLKERLRGEDRSWLPGFVDPRLDGNFCRLQAAAMVELAVACVEEERSRRPNMKLVVEKLLNFL >OGLUM12G15650.1 pep chromosome:ALNU02000000:12:20316853:20323422:-1 gene:OGLUM12G15650 transcript:OGLUM12G15650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMDHGLCQMDFQCFLRFAFLFGGVDTHDYDVVKLEEGDEEDWGQEDVGNAAVKVMCRICFSGENEGSTKAAKMLPCKLCNKKYHRSCLKNWGEHRDLFHWSSWVCPSCRSCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTHGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQSDQNLQYTCGACRGECSQIRDTEDAVRELWKRRDVVDHDLMASLRAAAALPSLEDVSPSHPNSDDEKLGAYVMKNDGRNTLKFSFKSNSTKPALDSSEQEKNAIKSSGSNKKHSKKNGNQNNKTVSEQDEIFLEKRNETKSLGSLGDQIADVTRDKSSFKNDANAFVLSSAQSAEKALKLQSAKAAAHNADMIPKVKIKGTKVPSLHFKDVGEENAAKSDTGKGTKLVIHIGSRHKSRSGSPKSEMSNSQKEQELVSMHGGKVDVTSQFKSSRSEIKEKSVMKLVRETGVQQNSLLGDLGASKKHATGKRSNAIVSAMENASESGTRSRSFGQKQSVNHLTENQGNASFSVNNSPDSLKPSLLKLKFKRPIFEQPSTQSSQPEEPGTWASPQEELNVAKGQRSKRKRPSLDKMDGSESKAQAAKRHEQSTGEEAMDANWILRKLGKDAIGKRIEVQLASDGKWHQGVVSNVINGTLCLQLDNGRSENIELGKRAIRLIAQSQLPATRVVSRPLQAPAFGSSQSLIHGRLGGLKRIGFILTSGSVGTNNWEGFLGATLDVEEMPDDGHVHVAAE >OGLUM12G15660.1 pep chromosome:ALNU02000000:12:20324708:20326806:1 gene:OGLUM12G15660 transcript:OGLUM12G15660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G02820) TAIR;Acc:AT4G02820] MLMSRRLVPAAAAARMASSSSSAAAAAVSGGAEGGGGEGRGGDTLGRRLLKLIYPKRSAAVVLRRWAEEGRTVQKYQLNRVVRELRKYRRFKHALEICEWMRTQPEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERTKGPSTCNALLHAYVQHGRRDKAEAMLGEMAKAGYLTCALPFNHMMSLYMSSGELEKVPEMIKELRRYTIPDLVTYNIWLTYCSKKNSVKAAEKVYDLMKDERVVPDWMTFSLLGSIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTLYASLSDRGNLDRVWRKMRETFRKFSDTEYKCMLTSLTRFGDIAEAESVYSEWESASGTRDSRIPNTILAFYIKNGMMEKAEGFLDHIVQKGVKPSYSTWELFVWGYLSDGRMDKVLECLKKALSCLEKWDPNPQLATAIYSQIEEKGDIEAAEKLLVMFREAGYVTTEIYNSVLRTYAKAELMPLIVDERMDQDKVSMDDETRSLLRLTSKYPIGEVSTLMS >OGLUM12G15670.1 pep chromosome:ALNU02000000:12:20327224:20331039:-1 gene:OGLUM12G15670 transcript:OGLUM12G15670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSGSWVAQASVLGCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDDQAGMDTVTDMSTQQLLGSSNLLDAGILNGALQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKLPPSFSHAPDSESEFEMDSRVVAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDVQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKVFSHVEGKNGMVEEDNSELKQSISIITMEEHDSKPEHGDSTTTAISRHALCLLTLRCMLTVYNFLHASQNTTAYLENWEGAAEERDQPVLANH >OGLUM12G15670.2 pep chromosome:ALNU02000000:12:20327224:20331039:-1 gene:OGLUM12G15670 transcript:OGLUM12G15670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQATGSGSWVAQASVLGCGGGGGGVVVVRRPSWDGGAAVGGRAKGFGVVRCCVQEKKPRVRKTKEERREMVESFVNTYRVSNDGKFPSVNLTHKEVGGSYYIVREIVRDIIQENRVLGPGGLNATALSFEDCPDSVESPVTHELGQDSVEILDMSDDDQAGMDTVTDMSTQQLLGSSNLLDAGILNGALQNGNIADMACLETNSEKQDEVPCAQSAEIDPSSSEKLPPSFSHAPDSESEFEMDSRVVAHETTSSLTNGVISSGPSAVITNESLLQDHDDTTDNAVDEAVLCLQTNGSSQANETILQEHETRPESVMSNDVQTIDSQSNSRVDTFNSNTSEDTTKSIEVSEVQRLHPDEEEKAENLVSHAELDTKENWEGAAEERDQPVLANH >OGLUM12G15680.1 pep chromosome:ALNU02000000:12:20339421:20341892:1 gene:OGLUM12G15680 transcript:OGLUM12G15680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHGGDGGAGGGGGGEGERSVRVNIEAQASDPAGMVVAMATPFEPQLSDDSPPRSSMQSVAEVVYDAAAAEATGGGGDEVVAVAAVGPERRLTMLALRLAILEKAASGLGALGFIWATVVLLGGFAITLGRVDFWSVTVILLVEGARIFSRSHELEWQHQATWSLAAAGRSSFRLVARSFRFVFHLDDGKAAADAGGGGGGGAPRMGRRRWRISWSFLSRHVGRVFYWLQLASATACVAVSAVRLAGQDFGEAEDARTNRRSALDIFYGLALAEALLFLMEKAMWEWEVSYGRLLQRVAGECHLAGEPGLVAVRRFFYDAYSRCVDGSIFDGLRMDLVSFAEELLVGGSHDEQRIGVGILVNVAANPRLGEAALRRVGTSAAVVDRLVEMLTWSGGAAEAAARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAAGDEECNLLGLLIIKKLARDHDNCSKIGNARGLLDKIIDFSAIGGGAVITPSRAKAVKRSLQVVKMLADTTGSTGKLLRREVAEIVFTVSNIRAVLQHGAGHLELQRLGAEVLTRLAMDADAREKIGGTGGVVSLLLAMFLQPSITDEGDAARVEAGEALAMLALDSRRNCDRILRAGGGGGAATVARLVDALTDDAAGIGAARILTNLCAYAGGEWFSDLHLVTSGAATALRNVMTTKKSKLLEVSLGLVAQMARFMGPHELSHHLAGAGVAGGEEELVGRLVAVLARYGSPSIRVPRIRRFAVELAVAMMTEGRRRRGAVAVMMAAAGMGPVLRRVAETTSELECFHVFSGSAGLSRHAVSLSALVDTALELMGARGTDDQ >OGLUM12G15690.1 pep chromosome:ALNU02000000:12:20343252:20353961:1 gene:OGLUM12G15690 transcript:OGLUM12G15690.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G20790) TAIR;Acc:AT2G20790] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVKSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPK >OGLUM12G15690.2 pep chromosome:ALNU02000000:12:20343252:20353961:1 gene:OGLUM12G15690 transcript:OGLUM12G15690.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G20790) TAIR;Acc:AT2G20790] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVKSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPK >OGLUM12G15690.3 pep chromosome:ALNU02000000:12:20343252:20353961:1 gene:OGLUM12G15690 transcript:OGLUM12G15690.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:clathrin adaptor complexes medium subunit family protein [Source:Projected from Arabidopsis thaliana (AT2G20790) TAIR;Acc:AT2G20790] MSGGGCSVRAIWILTPHDAVAFSRRFAVVEKRWRVAWEAEGGARAEMMPLPADYEVAAAFAERRRREGTARGSGIRTSMSSAGSDSWVDDPITRHIISLHIDKEEGEGFMLWPVVLQKRGSYYILVLPLVDPQSFKAYESLLKRSDCGSSAKEKGNLSSILLNLPCITGALMVAHVIGDIITGDTAEPEVIVSTGPSVGGLLDSLTGSIGISARPKPIAAPVAAPTASISSPVGAPQSESLKGGMRPFDKDLLRNFILGAMPFGTPQDLNYANVTSVRTTGFSADPLPTDQKQPAWKPYLYKGRQRTLFSSLETLNAALYDRDDVQDFLSVSGQVTCRAELEGLPDVSLPLSGLKAARVEVSSFHHCVQASEPTNDKQTLIFQPPLGNFVLMHYQASCNMDPPVKGFYQLSMVSENEGAFLFKLRLMEGYKSPFLMEFCMVTMPFPRRRVASYDGNPSVGTVSMTEHSIEWRIVSSGRGLSGRSIEATFSGTVRFHPITIQRVKSSFRSVPSTAFVEDSDSEQDNTKNGANLDDYLMEKMNKDLQAVNLEEPLSWQAYNYAKVSFKIVGGTLSGLTIDPKSVTIYPSVKAPVEYSMQASSGDYILWNTLGKCPSAALPK >OGLUM12G15700.1 pep chromosome:ALNU02000000:12:20354658:20362582:-1 gene:OGLUM12G15700 transcript:OGLUM12G15700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGSNARCGSGGIGRRWRRRRRRPGAAVVEGSRSAKRTARLKGRRAAREALFGWAGNGRDRGPIPVDHPGEEIIPAHAKLCPGPPRVSLFCKPGKKSSTREEQKNSSRRRNEDTDATAGAARGAWRDPEATAMARLSPPAATFSPIYCFRQHDESQGIIIVSPAAQLIPLINPFSL >OGLUM12G15710.1 pep chromosome:ALNU02000000:12:20356193:20359963:1 gene:OGLUM12G15710 transcript:OGLUM12G15710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWLDYCLGIFFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHEIAWTNHRSRFQLLSRFPPLLPASENDVSSHQVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVVYVGFILVGCAIYIKVWNSDEHEVRICR >OGLUM12G15710.2 pep chromosome:ALNU02000000:12:20356193:20359963:1 gene:OGLUM12G15710 transcript:OGLUM12G15710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWLDYCLGIFFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHEIAWTNHRSRFQLLSRFPPLLPASENDVSSHQVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVWNSDEHEVRICR >OGLUM12G15710.3 pep chromosome:ALNU02000000:12:20356193:20359963:1 gene:OGLUM12G15710 transcript:OGLUM12G15710.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPDWVMLDPYVFRDGPFPDDDPTAAIGHNSRGDKVGVRFLLRAPPEPSSIFLDWEAGTGDCDDFSVVAAHRDAVLFQMGYLVSLGSSNAYKAFDYLLYRAAGAGGSSPSLDLLAPFGGSVDELKARMEADGLIRLTNQHLRRLKCLDIGVLCRDGEEFAVAELQITRSEVGPELHVLRSSTSSRKWELKRPPITPANGGSLDLEKFLWDWDADTVIPFGSYLCWLDYCLGIFFCDMFDENPQLHYLEFPADVRGACFGGCCQAVGVTNGVMKLVSLVPDDGLIAENYTPESGFTIVCWTLRIDEMDKMVWEKDAVLKSDYLWSMLKPDFLWPLDEFPFITTNRFPRVQFPLISIDDSSNGRVEEAGYNYDETWLLAIDMSKMTLKMSFPYIEDEMGDPSPEMLAFAEDKFWRFEPFLAADFSRHFNLRCPRHEIAWTNHRSRFQLLSRFPPLLPASENDVSSHQVEVWLQTFGPAERTPIRRHLCEEVFVVLKGKGILVLKYTGQPREIPVFQNSIFMVPVNDPHQVWNSDEHEVRICR >OGLUM12G15720.1 pep chromosome:ALNU02000000:12:20361457:20362297:1 gene:OGLUM12G15720 transcript:OGLUM12G15720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFIRRCDDDFLNLYLAGLSGCTALRELHLAENKISDVEGLHRLLKPTLRAKNQEPSPPPPPPPLLSSPLLSGIQEPSRVPAMPPPDPELNKRLASASAPEHDQTAGPYGTVRATTGKGEEKETLTLNLKEEGRGDKGSVLTGEKVAAGGESRAIAVASGSRHAPRAAPAVASVSSLRRREEFFCSSRVDDFFPGLQNRETRGGPG >OGLUM12G15730.1 pep chromosome:ALNU02000000:12:20362331:20366583:1 gene:OGLUM12G15730 transcript:OGLUM12G15730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDYFLAGVIHGDWAPIPAVPGPTEQGLTGLTPEVTRPSPLEPRDRDPSTTAAPGRRRRRRHRRPIPPLPHRALLPGTIVTFFHQIGPEDASRDLPSPAFLPGGDSIDKKLGLEVEDKTVPIMQFKAKNKLVFLAKQSSKRQNSAKLSFGQHPLSTYEVVGSWSNVSLPFCTEVCKKLILSVIEGVKANLEDGNCFEKFDENNLVVGPSGKVMFKHVRFFPTTYEAKRAMWKNTHDFIESLFGEKTIPKDIEHLLSLINKQTKVLLYTVHPSLESHSERGWCFTKMYEHIKFKITVAQKNMILMKVPYHEKWRTIANQNAVLHETINFIYSNYGRLPKFNEKGELYTEIEIQLHEAEMFLDSSRYSSPSPKKHKLDTTSA >OGLUM12G15740.1 pep chromosome:ALNU02000000:12:20366600:20368010:1 gene:OGLUM12G15740 transcript:OGLUM12G15740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLLLPLLQEAILDVLGEPEFRKILLRSVLEVTMKDMKLLEMSVLEVTMEDMELLAMSVLEVTMEDMKLLEMCDGGCYTCSCFQFVRWIMCFFTCFVLSELC >OGLUM12G15750.1 pep chromosome:ALNU02000000:12:20383486:20384105:1 gene:OGLUM12G15750 transcript:OGLUM12G15750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVIVVISRPPIKVFFYDDWNMPHTAAKLQFPIFWDEECLTAPKDEL >OGLUM12G15760.1 pep chromosome:ALNU02000000:12:20384581:20386625:1 gene:OGLUM12G15760 transcript:OGLUM12G15760.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTGPTAAVAAAAGGHGAHLACAGRGALLLALVAVAAAVFLPVTESSCPRDNSLVKDVSKMYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFKNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >OGLUM12G15760.2 pep chromosome:ALNU02000000:12:20385079:20386625:1 gene:OGLUM12G15760 transcript:OGLUM12G15760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MYQSNYGREGFSHITIAGALAHGMKEVEVWLQTFGPGQRTPIHRHSCEEVFVVLKGKGTLLLGSSSMKYPGQPQEIPVFKNSTFSVPVNDPHQVWNSDEHEDLQVLVIISRPPVKIFTYDDWSVPHTAAKLKFPYFWDEDCLPAPKDEL >OGLUM12G15770.1 pep chromosome:ALNU02000000:12:20390243:20391304:-1 gene:OGLUM12G15770 transcript:OGLUM12G15770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNEVLGFESGGSHVTMDILPHEVSEPESNLLDIHEKRSEVLGIKSSAGHVVMEILPREILEPESKLPHICEKRSVDELRVVSNGKNDVMDGEKGKHGEKTVEHIENVKSSK >OGLUM12G15780.1 pep chromosome:ALNU02000000:12:20393487:20393960:-1 gene:OGLUM12G15780 transcript:OGLUM12G15780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEVLGFESGGSHVTMDILPHEVLELESNLLDIHEKRRLAKESRPPLPPAMPWRGKRIFLDRYDGRLIMSQVPTKLPFTLHASRVDGRLRLSCMHPSDSVDELKVASNGKKDAEDHAKGNHGEKNVEHISSKAKVGEGNNLTGCGRSSGNGAARRV >OGLUM12G15790.1 pep chromosome:ALNU02000000:12:20401171:20402694:1 gene:OGLUM12G15790 transcript:OGLUM12G15790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSSAAAANGNGHHAAADGVVVNGNGFHAEAMEVEKRGGDQKAEKLKALNSILLKEAADRRGQVAALTSRLDELSADDAALAAAERAVAQAALAAPLRAAADEVSSLRARLAAVEVSLRSAESRGASEAAAKDEANARLEAVAEEKGQVLKLLRAKEAEVASVSHKEALDAEKAEARKLKSKIEALENCNVEKDSEIGKLKVALMEKREKIDVLIKDIELLNLEVAEAHRKRKGGIWAWLYAATTTMVAAISFIYATKSR >OGLUM12G15800.1 pep chromosome:ALNU02000000:12:20404876:20405402:-1 gene:OGLUM12G15800 transcript:OGLUM12G15800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTJ0] MSSAGGGGGRGKSKGSKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSRLLGAVTIAAGGVLPNIHQTLLPKKGGKDKADIGSASQEF >OGLUM12G15820.1 pep chromosome:ALNU02000000:12:20423560:20423859:-1 gene:OGLUM12G15820 transcript:OGLUM12G15820.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPPNHDLAAAAAGSTRGTSSGVDGGTTTPVVAKEEEKKREEGPSAAGQRDGEGVVTAPPARREEEGNASVAPATARPLPHKQVPQPADVYNPQLERL >OGLUM12G15830.1 pep chromosome:ALNU02000000:12:20466055:20466449:-1 gene:OGLUM12G15830 transcript:OGLUM12G15830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLDREPVRGAKSSREPGRVVSDQQRWKALDESLDFLKLVDLLKNSDGLAPELQSLTTSIAMSSSSSLLFFLVPLVLNKIY >OGLUM12G15840.1 pep chromosome:ALNU02000000:12:20532106:20536515:-1 gene:OGLUM12G15840 transcript:OGLUM12G15840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKLSPWASSTRPRATAQVARDLGPLLVVFTWPSERDFAGSGNMFLDGVDEACGEGHCLAGVPTDKLVNRSQLLEEEHLRNISV >OGLUM12G15850.1 pep chromosome:ALNU02000000:12:20544887:20545157:1 gene:OGLUM12G15850 transcript:OGLUM12G15850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGEIFAGSTLQAAMTPPSSSSFSSRRKRLVVPLIQCPSCNDQTIMKRTAMTDANQGNIF >OGLUM12G15860.1 pep chromosome:ALNU02000000:12:20614610:20616061:-1 gene:OGLUM12G15860 transcript:OGLUM12G15860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALREAEAELTVYVHPSNDTDVRRAVSRQLSTTLFSYEDRFEGVLLAHEVVVNGDRAKILNGLVPYFGVPVHANLLLYSPQPDMILEGKVELLGKESIHAIVLGVFSAAIMADDINEKFKFKRKGDGGKFISRSDRHHVIRKGSMIRFSVKRYRLIQSLFVRFKLIEYFHIYVFCLC >OGLUM12G15870.1 pep chromosome:ALNU02000000:12:20618142:20620537:-1 gene:OGLUM12G15870 transcript:OGLUM12G15870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLSFMNAYLAEDCNPVRCWVVAAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHYFLSSRLAGIPGIKPSLLEKFGARLLAQTVV >OGLUM12G15870.2 pep chromosome:ALNU02000000:12:20619287:20620537:-1 gene:OGLUM12G15870 transcript:OGLUM12G15870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRLSFMNAYLAEDCNPVRCWVVAAAVAFVTLIVLGVGSVDDTPVELPKKLYIGPPSAKTIQLPDGRHLAYKEQGVTADRARFSLIAPHYFLSSRLAGIPGIKPSLLEKFGARLVIIN >OGLUM12G15880.1 pep chromosome:ALNU02000000:12:20620960:20624082:1 gene:OGLUM12G15880 transcript:OGLUM12G15880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAAAAAKPEAGEGEATNCHRRSAAGQEEEEEESKRPRDGNDEEEELLTELSRYRRYWTDLWSDDSGDIDRRTEIGPMRYTEESPRFAMLLDLLEVFSFEVTELKGILCWPIDVFGLISVRDSLDRNRNYIFERTRNNCQTLTAKDSSLVLTGPSRAILLMDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMLLSGARSTLEFKYAHIPVALEATIKVRITGGLTDFCGKFIAHTASIKEDVVLLDSGEEMVAISHDGAIHFSRSVVAVEGNGVLTVGVHARQSGDENNSCDYKNFIPMSVEVAWSLMLSY >OGLUM12G15890.1 pep chromosome:ALNU02000000:12:20638282:20641033:-1 gene:OGLUM12G15890 transcript:OGLUM12G15890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTK0] MEGIPILHISVVDLSAQSYNKLMDDIGGRFQRRAHHNFRNVPITSNEEGWHIISLDMPESPSVQILIDQRNAYLIAIRNGAGQWFNFSDTPAPDIFNAQPILYLKADYGHLLQDWDEVTVGPPLLNFNNGLPRDHPLIHVQRKAIARLAVMFCEAARLRSVRALVYHQMDLYVNGTITSLITRKRITSWSLISAFALHCWRREHDGIEGYLQEELDKLHPIDIYDANLVAGEPDGELLLILYREE >OGLUM12G15890.2 pep chromosome:ALNU02000000:12:20638282:20641033:-1 gene:OGLUM12G15890 transcript:OGLUM12G15890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTK0] MEGIPILHISVVDLSAQSYNKLMDDIGGRFQRRAHHNFRNVPITSNEEGWHIISLDMPESPSVQILIDQRNAYLIAIRNGAGQWFNFSDTPAPDIFNAQPILYLKADYGHLLQDWEYQDEVTVGPPSVLDSYYRLLNFNNGLPRDHPLIHVQRKAIARLAVMFCEAARLRSVRALVYHQMDLYVNGTITSLITRKRITSWSLISAFALHCWRREHDGIEGYLQEELDKLHPIDIYDANLVAGEPDGELLLILYREE >OGLUM12G15900.1 pep chromosome:ALNU02000000:12:20661003:20661446:1 gene:OGLUM12G15900 transcript:OGLUM12G15900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGNSRLAALEGRERVIHALEPAPHLGRPTKGGEHKHQERRSTTVQRNGMIGRNPERKWSEAPVVVAEEEGDPPVAEEEDEEEGVDFISDLPDAILGEIIVRLPTKEANSTQVLAALRLHTAQPRLLSSPREKGCPRWLRFRIPS >OGLUM12G15910.1 pep chromosome:ALNU02000000:12:20662469:20714308:1 gene:OGLUM12G15910 transcript:OGLUM12G15910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRDGSEEEELLNELSRYCRYWTDLWSDDSGDIAKRSIPASKIDIASINKILSTEASGVVFSPIHHHSGLYPFRHVWKAPGVLALRIVSKKDLAFLNPDYFQSYELKLPVFKTAAGRPAGRGSGGVHGVGLSGPDRDAGGPEEDHLKVSGSLWHGDGARRRGDPREVTVVWFFGMASHRPMTSSSPATSRMTMTTTTSRRALPHNNGSRGCVPPRRPRLAHHCSLSRCLAPPAADPFRHCCRSFCQRPSPRLQPSTTIVVFLLLPRLCSWADDKIELGEQLLPGQTRASDGGAFVFGFFSPSNSTPETVHRHMVQHHRPPWCGWPTGKLTTAARTATAGIARARGCRLRAAHALSAASAPDARPRHRRRPWKSALGFAMKPTHGGRTDSSYPFPQGTS >OGLUM12G15920.1 pep chromosome:ALNU02000000:12:20714478:20715272:-1 gene:OGLUM12G15920 transcript:OGLUM12G15920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLLVIPYLLAGGLLPVGRRRWWRGHDGAEHVNHRARDGDGVARAHRRRILRHQGIFAVGSGVAVNEHVKFGTFVAGGHSWYIKYLPNITAVVTEWVSDFVCLNGKSDAAKKKDTAVTMKARCKLTLLDGRDGKAPLLPPRSPRAASSRPSPPARASPQLLHPRPPTAAAVFIAVVMAPLLLAALGCMNPATSLDTVWNRAASCTIRSSAATTYLVRCCAAPLVRSAGVRAASAFTACVFCYGPSVTPVSLSVSPRSPYEPT >OGLUM12G15930.1 pep chromosome:ALNU02000000:12:20717377:20720530:1 gene:OGLUM12G15930 transcript:OGLUM12G15930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVVVVSPSGCKGGGGGGGGVGKKRGSGEEERERERQQLSVLEVLLAAVRRSVVACRVEREGGGGWEEEGEAEAEEGDAAAEVGEMKIGWPTDVRHVAHVTFDRFHGFLGLPVEFEVEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLHMQERLYAQGGLKAEGIFRINPENDQEEHVRDQLNKGVVPEDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLQCNSEEEFLELVTLLRPTQAALLNWAVELMADVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAASGDYTPYSSPASSSQQNDAEYYGSERDMDRSCEMSDMHSEISRSGRQVDFLVRYNTCFDSEQEGVDPLSDVEEGFLRQLEHDLEADKREESAKKQHEISSEIMAVKDVQAELKVEAKAAGNTQKEEGAGSLQ >OGLUM12G15940.1 pep chromosome:ALNU02000000:12:20720707:20724839:-1 gene:OGLUM12G15940 transcript:OGLUM12G15940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAGGDPPPPGLFAAALHACSGASALEEHIHADDSNTISDNTLEQLGFLDQESNDASVNTEKIQSSTPKCKSVEDIPIAPAAKRCKNMDSKKLVPNSNNNSCLTGSQAPRKLPRKGDYPVQLRRNETFQDTKPPSTWICKNAACKAVLTADNTFCKRCSCCICHLFDDNKDPSLWLVCSSETGDRDCCESSCHIECALQHQKVGCVDLGQSMQLDGNYCCAACGKVIGILGFWKRQLMVAKDARRVDILCSRIYLSHRLLDGTTRFKEFHKIVEDAKAKLETEVGPLDGTSSKMARGIVGRLPVAADVQKLCSLAIDMADAWLKSNCKAETKQIDTLPAACRFRFEDITTSSLVVVLKEAASSQYHAIKGYKLWYWNSREQPSTSVPAIFPKDQRRILVSNLQPCTEYAFRIISFTEYGDLGHSECKCFTKSVEIIHKNMEHGAEGCSSTAKRDSKSRNGWSSGFQVHQLGKVLRKAWAEENGCPSEACKDEIEDSCCQSDSALHDKDQAAHVVSHELDLNESSVPDLNAEVVMPTESFRNENICSPGKNGLRKSNGSSDSDICAEGLVGEAPAMESRSQSRKQTSDLEQETYLEQETGADDSTLLISPPKHFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLADDPSSLAGQLLDSFEEIVSSKKPRTGFCILMLCLAPSQPLPMMIYWNLNVCIWFTGK >OGLUM12G15950.1 pep chromosome:ALNU02000000:12:20731265:20744553:1 gene:OGLUM12G15950 transcript:OGLUM12G15950.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:phenylalanyl-tRNA synthetase class IIc family protein [Source:Projected from Arabidopsis thaliana (AT3G58140) TAIR;Acc:AT3G58140] MATPSAARFSPSSNPARPPPPRIPLPHFLPPTPPQALLRARPLRTSAAVAAAAAAVEVGGVKIAREDVVKEEDPTNNVPDTIYSKIGLQLHRRDNHPLGILKNTIYNYFDKNFSGNFNKFDDLCPLVSIKQNFDDVLVPADHVSRSYNDTYYVDAQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPCFHQMEGFRVFSPDEWSDSGMDGTAYAASDLKKTLEGLAKHLFGAVEMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKNNGRTDNVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKPFSKFPPCYKDMSFWINEEFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDMQWNVREEVQNKLKVELR >OGLUM12G15960.1 pep chromosome:ALNU02000000:12:20736593:20739466:-1 gene:OGLUM12G15960 transcript:OGLUM12G15960.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G03115) TAIR;Acc:AT4G03115] MQPGHGGALYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQALLKWTPLEEAGTASTLVTAPIDMIKTRLMLQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >OGLUM12G15960.2 pep chromosome:ALNU02000000:12:20736593:20739466:-1 gene:OGLUM12G15960 transcript:OGLUM12G15960.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial substrate carrier family protein [Source:Projected from Arabidopsis thaliana (AT4G03115) TAIR;Acc:AT4G03115] MQPGHGGALYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQMVQVEGPRSLYLGLAPALTRSVIYGGLRLGLYEPCKYVCNYAFGSTNFAFKFASGVIAGALATALTNPTEVLKVRSQMSPSRTSTIGVLKKIVAEEGVKALWKGVGPAMARAGCLTASQMATYDEAKQALLKWTPLEEGPQLHLMSSCVAGTASTLVTAPIDMIKTRLMLQRECKGARVYRNGFHCGYQVVVTEGVTSLYKGGFATFARLGPQTAITFVVCEKLRELAGMTAI >OGLUM12G15970.1 pep chromosome:ALNU02000000:12:20740901:20745518:-1 gene:OGLUM12G15970 transcript:OGLUM12G15970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSAAAAVARARTLLCVPATARAPREMAAELAAAAALGADVAELRLDRLAGFAPRRDLPVLLAQPRPLPALVTYRPKWEGGEYEGDDEPRFEALLLAMEMGAEYVDIELKVADKFMKLISGKKPETCKLIVSSHNFENTPSTEELENLVAQIQATGADIVKIATTATEIVDVAKMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKFGGYLTFGSLEKGKESAPAQPTVTDLINVYNIKQIGPDTKVYGIIGKPVGHSKSPILHNEAFRSVGLNAVYVPFLVDDLANFLNTYSSPEFAGFSCTIPHKEAAVRCCDEVDPIAKDILEPFNKSRVTFVSNNFVQDIGAVNTIIRKPNGKLVGYNTDYVGAISAIEDGIRASQPTDTTTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELGNLLGAPALTLAELENYHPEEGMILANTTAIGMHPNVNETPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVVDCKFRALANSLVNPDAMKAAGITAHKARL >OGLUM12G15980.1 pep chromosome:ALNU02000000:12:20748290:20749648:-1 gene:OGLUM12G15980 transcript:OGLUM12G15980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTSVLSPLLAHAHGRASPTSRAQPLIASRLNLAGFSASSPRWILPAPRASGGGGGGEEEDSRVQELRVPGSWLTPAGAAQESEWLRETLHKWLDDEYCPEPANVDISNTAARSFYESLTAKESDLGEILLKMVGDLQKLSYKESFHGAFSAANAAVSLCAWAGAWLRKLKDDVIM >OGLUM12G15990.1 pep chromosome:ALNU02000000:12:20750639:20753260:-1 gene:OGLUM12G15990 transcript:OGLUM12G15990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTL1] MAHSLAAAASSFSPPAARRRLPGQVTNVISRRSSVSFNSQRMSFMSIRSRPSQLCFKICCSAKKETVDKVCNIVKTQLALPEGTAVTGESKFSELGADSLDTVEIVMGLEEEFNITVDETSAQDIATVQDAANLIEKLVLEKAA >OGLUM12G16000.1 pep chromosome:ALNU02000000:12:20754837:20755028:-1 gene:OGLUM12G16000 transcript:OGLUM12G16000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSPGEGGGGGSGCGGLVGALEKRPVMGVVAEEEEEEEKGAKVVKREKGATTTLETRWPPWK >OGLUM12G16010.1 pep chromosome:ALNU02000000:12:20756432:20759196:1 gene:OGLUM12G16010 transcript:OGLUM12G16010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAARTGMSVFVRGGGDGFAPVHAVVSGIRPSPPVLSFRSATASTSTAPTGPPPAASASFARPKELHRHWELSTLVDEGSRWYPSLTPVAA >OGLUM12G16010.2 pep chromosome:ALNU02000000:12:20757237:20759196:1 gene:OGLUM12G16010 transcript:OGLUM12G16010.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWALPTPPTFLTHPQEQMAMRMPLQTAVVTDICRQGGLENLQHFVGSTLICFIGVVLLVGFVHA >OGLUM12G16010.3 pep chromosome:ALNU02000000:12:20756548:20759196:1 gene:OGLUM12G16010 transcript:OGLUM12G16010.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAARTGMSVFVRGGGDGFAPVHAVVSGIRPSPPVLSFRSATASTSTAPTGPPPAASASFARPKELHRHWLAPYPVTHFLLLFLRSRWRRSPPPPPVLPAPSLVSASVVHAGRLLRLRYLTREIQGALDVGGRRKPMVPLPDTGGCVKPLSWLSGTGSGGSV >OGLUM12G16010.4 pep chromosome:ALNU02000000:12:20756432:20757141:1 gene:OGLUM12G16010 transcript:OGLUM12G16010.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAARTGMSVFVRGGGDGFAPVHAVVSGIRPSPPVLSFRSATASTSTAPTGPPPAASASFARPKELHRHWKPMVPLPDTGGCVKPLSWLSGTGSGGSV >OGLUM12G16020.1 pep chromosome:ALNU02000000:12:20758266:20758502:-1 gene:OGLUM12G16020 transcript:OGLUM12G16020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMHQQNMNLHEQSNTKKTRTGTKKPKKQKDPTMMSIYKDLQQTMDADTGNMCTTYLTKKNFHCNRFSNLKKLMVLIQ >OGLUM12G16030.1 pep chromosome:ALNU02000000:12:20764455:20767978:1 gene:OGLUM12G16030 transcript:OGLUM12G16030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGEGEATTCHKRSAAGQEEEESKRPRDGSEEEEELLTDLSRYRRYWTDLWSDVSGDIAKRTEFGPMRYTEEPVPPFAKLQDLLEVFSFEVTELKGILSWPIDVFGLISVRDSLDRNRNYIFERTRNYCQTLTAKDSSLVLTGPSRAVQLIDPIEFEIELRVKGTSPSKDKILSAEAFGYNCIAQRLRCGSLRSMMLSGARSTLEFKYAHIPLALEATIKVRITRGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAINFSRSVVAVEGNGGALTVGVHARQSGGENMRCSYKEFIPVRCGRSHGTLDVGFCQMSVEVAWSLIF >OGLUM12G16040.1 pep chromosome:ALNU02000000:12:20773758:20775419:-1 gene:OGLUM12G16040 transcript:OGLUM12G16040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDAGSRVAAWDWACGMGAPGRAGARAWRGPAAARLCDRVIRENHQMRSRHRVTSAWVEIVFVCLADHFNLCENCCEPGFRGFNGLWKLMNIQMKDLVIDDFMVSKFELNNTWIKDPFVTTTRTDSLTCS >OGLUM12G16050.1 pep chromosome:ALNU02000000:12:20788738:20789277:1 gene:OGLUM12G16050 transcript:OGLUM12G16050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIEFEIELRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMMLSGARSTLELKYAHIPVALEATIKVRITGGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAIDFCRSVVAVEGNGGVLTVSVHARQSGDENIICAYKQFIPMSVEVAWSLIF >OGLUM12G16060.1 pep chromosome:ALNU02000000:12:20792416:20795569:-1 gene:OGLUM12G16060 transcript:OGLUM12G16060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA N-glycosidase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTM1] MEEIPVLNINVVDLSSQNYNDLMDDIGQLFQQRAHHDFRNLLLLPFKRRYFRDEVTVGPPSVLDSYYRLLNFNNGLPRDHPLIHVQRKAIARLAVMFCEAARLRSVRALVYHQMDLYVNGTITSLITRKRITSWSLISAFALHCWRREHDGIEGYLQEELDKLHPIDIYDANLVAGEPDGELLLILYREEAFAGLQQXPTLSHPHVTA >OGLUM12G16070.1 pep chromosome:ALNU02000000:12:20796276:20796720:1 gene:OGLUM12G16070 transcript:OGLUM12G16070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVGNSRLAALEGGERVVLQKGGEHKHQERRSTTVQRNGMIGRNPERKWSEAPVVVAEEEGDPPVAEEEDEEEGVDFISDLPDAILGEIIVRLPTKEANSTQVLAALMLHTAQPRLLSSPREKGCPRWLRFRIPS >OGLUM12G16080.1 pep chromosome:ALNU02000000:12:20807029:20809257:1 gene:OGLUM12G16080 transcript:OGLUM12G16080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAEIGPMRYTDEPPRFAMLLDLLEVFSFEVTELTGILRWPTDVFGLISVRDSLDQNRNYIFECTRNNCQTLTAKLRVKGTSPSEDKILSAEAFGYNGTAQRHRCGSLRSMMLSGARSTLELKYAHIPVALEATIKVRITGGSTDFCGKFIAHTASIKEDVILLDSGEEMVAISHDGAIDFCRSVVAVEGNGGVLIVDVHARQGGDENISCASKKFIPVRCGWSSDTLDVGFCQMSVEVAWSLMFSY >OGLUM12G16090.1 pep chromosome:ALNU02000000:12:20812507:20813154:-1 gene:OGLUM12G16090 transcript:OGLUM12G16090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGRGLELSLACAAPSPAADFGEEEEEIRRPPPPRRRRQQPTMAALYAELAALLPGLRSRASREEIVEAAAKQVKVLEDTVAVLEAYRAVQVGGAAAAEEVSVSYRETVCFAARLPAAXSDECSLFIASLNEMGSLSSIGSFTSSLIGSFTSSFM >OGLUM12G16100.1 pep chromosome:ALNU02000000:12:20813484:20816334:1 gene:OGLUM12G16100 transcript:OGLUM12G16100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:plus-3 domain-containing protein [Source:Projected from Arabidopsis thaliana (AT1G61040) TAIR;Acc:AT1G61040] MAIGPLFWRCSICSPVRAFTWSVSCRSGVRDGRSRPAGVGCVGVQETRAELESGGGWRGGRAEGAATGRAPGERAAEARAAAVHLREEGLGEDDGCRRRGGXSGSQVPLKKRHQPEKGGGRGGWKEGDDDDDDDDDDELDEGRRSGDDSDSAPSVGSDLYKDEDDKEQLEKMSELDREMILAERGARIDDYKLKQLARASSSSSKADKSRRDSSPPPPPSRVRSSARTDRSAAKSALDELRAKRMRQQDPEGYRNRFKDLIPQSGSPPRRRAGSPPSDGSNDGDNRGRIADETRDDEFDESPSRLDPLKFDDVKSITLRRSKLVKWFMEPFFEDLVSGCFVRLGIGKSKSGTPDYRLCIVRNVDANDPDRKYKLEGYTTCKYLNVVWDNEANAARWQMTQVSDSFPSEEEFKKWLQVAEKNGVRVPTRQEVLEKKEAIQQAYNFVYSARTVQKMLKEKKSAIRRPINVAAEKDRLRNELDMAIARRDEAEAERIRSKLNQLQKMSKPISNNEKAAKLEAMNKKNRAENFKNASEMKPVNTSLKAGEAGYDPFSRRWTRSRNYYAAKPEGESAEVPNGNDGSAVAGDEDTKNGPRVGSAATAAALVAAADAGKLVDTNAPVDLGTESNLLHNFELGISLAGLEEFGGPKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLTVSDYKRRRGLL >OGLUM12G16110.1 pep chromosome:ALNU02000000:12:20817244:20819830:-1 gene:OGLUM12G16110 transcript:OGLUM12G16110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRSRVAFVLVDGIGDVSIPSLRGRTPLEAAAAPGLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGTGLAMAPGDIAFKSNFATLDESTGIIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSKEITRILVSHPINAQRSAEGKNIANVVLLRGCGIRIEVPAFETKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPLDMPPRVFVPGEDEYKSGKENGYDFGFLHIKAIDDAGHDKAVKLKVLGLEAVDRAIVQLARLLWEAEKSGKYQYFLCVTGDHSTPVEYGDHSFEPVPFALCRLRDFVGAMGEDNVINFPLDDFPLPSVKSGEDVAENIDVADRKSDQRKAFSGDSVFEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >OGLUM12G16120.1 pep chromosome:ALNU02000000:12:20820761:20827972:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHQQRNGTVPVDCWCSGSICEELFFSNEKTGSRNDLGLDNLITGSFWSVNS >OGLUM12G16120.2 pep chromosome:ALNU02000000:12:20820955:20827972:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTSNGNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRVFNRCPSSSCNKNVPLTGKLPASIGTRSALPPIMFRAFRQLFNSELITGEWLDGLAFITKR >OGLUM12G16120.3 pep chromosome:ALNU02000000:12:20820761:20827824:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHQQRNGTVPVDCWCSGSICEELFFSNEKTGSRNDLGLDNLITGTKHDLGGWGG >OGLUM12G16120.4 pep chromosome:ALNU02000000:12:20821662:20827972:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.4 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDLSLDCYYKMVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHQQRNGTVPVDCWCSGSICEELFFSNEKTGSRNDLGLDNLITGSFWSVNS >OGLUM12G16120.5 pep chromosome:ALNU02000000:12:20820955:20827972:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMSGHFQMASVLKLVMMESHATPDDLTGDKGAAQILHRQLFDAHEPNLLDEDDMHIFGSKPMADPLDLVCCNTCKKPIKASQYAVHAERCSSGKVNPNDSMGTGIDDDCGTKKPPKKGRKIKLTSNGNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRVFNRCPSSSCNKNVPLTGKLPASIGTRSALPPIMFRAFRQLFNSELITGEWLDGLAFITKR >OGLUM12G16120.6 pep chromosome:ALNU02000000:12:20820955:20827972:1 gene:OGLUM12G16120 transcript:OGLUM12G16120.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGIDDDCGTKKPPKKGRKIKLTSNGNQKVHIKLKAKSQSENKNIANGFELDNVPANKVQPIGSTIDQRLKSSANNPALTSVPQGHHRDAPVPLATKMYHSQGNYRLRLELGQLYRQSCSEHSGSYSIPNSSQENGLMVSHLSPRDNSSLNVAQKSFVPQTKSMDQLLASTPESCPVIPQQVAASVPNRQATKSQKAEVQVSAVKNEMARSRCSKDAVRHSKNTGNKKAHQQRNGTVPVIKSSVD >OGLUM12G16130.1 pep chromosome:ALNU02000000:12:20842117:20847641:-1 gene:OGLUM12G16130 transcript:OGLUM12G16130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNADEPRLDLAALLLLHSALSPLLRLASLPLLPLHSAPSPLLRLASLPLLRLAPLLLGPPLLRLAPSSLLRLAPSPLGLPLRPMPSPLLRPLPDSLRQPGRFCGQVRVSSAFSLLAATSFSASVYTRHAAKRAAAVTSPPTKKKKPHSSRRPPPRTPSPERGESPSPPAGSPKKPVTDPAAVLVCPEHPGPHTDRRGEGGVFVVVTPPPPRPYVGCPRLTIPCSCENPELCSAFF >OGLUM12G16140.1 pep chromosome:ALNU02000000:12:20849680:20850591:-1 gene:OGLUM12G16140 transcript:OGLUM12G16140.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTN4] MAAGGGGGEGGKEEGHSASEAASIVLRIVTVGLSLASAITTAASTQCVRGDDGRVAATDSYSDYHSFRYAAAADLVSAVLQGVAIYLEAVRKEEAARVVELIDKLVQALTSSSAALLLAVADITSCGLPRSSRSRGGGRRQGGGLCSQPGRFCGQVRVSSAFSLLAATSFSASVYTRHAAKRAAAVTPPTTTKKKKPQSSRRPPARTPPPERRESPSPPRGRPRTPPPPPGSPKKPATPGAVVVEQPGPPTDHREAGELVMVDVVVRPPRPRPPTTPPRPYVGCPRLTIPCSCENPELCSAFF >OGLUM12G16150.1 pep chromosome:ALNU02000000:12:20866575:20868308:-1 gene:OGLUM12G16150 transcript:OGLUM12G16150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: rRNA processing (InterPro:IPR013730); Has 898 Blast hits to 687 proteins in 142 species: Archae - 2; Bacteria - 28; Metazoa - 200; Fungi - 99; Plants - 63; Viruses - 0; Other Eukaryotes - 506 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT4G03180) TAIR;Acc:AT4G03180] MKRPPPREDGAGSGGGDGAKKGKGRWGGGGRRRNEQRLGSGGGGALSLAAFANAKSRNTGYNPALIKKQKEFYKNAKLISKYKRSKKQQNQSSNPPPFPIPKEGGDDANNASKLHSKKKKRVAPSLNEEYEKKRAEDEKAKKEREAIIQAKREERERSEARRRDLREKMFKKTRSGQPVMKYRIQHLLETALESSNK >OGLUM12G16160.1 pep chromosome:ALNU02000000:12:20869163:20869522:-1 gene:OGLUM12G16160 transcript:OGLUM12G16160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTPDPLEATHPTTGSKPNQTGKTGEEVSRWLLMVALPSGNWTISLGAEEGVEDARHGLAGGDIGQPSARRVLESSLHSAGSSCYVRTGDCVVVVRGAEQYLYIVVAAASHVVAVAAT >OGLUM12G16170.1 pep chromosome:ALNU02000000:12:20871518:20878031:1 gene:OGLUM12G16170 transcript:OGLUM12G16170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:conserved oligomeric Golgi complex component-related / COG complex component-related [Source:Projected from Arabidopsis thaliana (AT5G11980) TAIR;Acc:AT5G11980] MDVLDAGHRATPEPSSSSSSAADMSGASVLPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYGAFIAASEALSFVRAQLEGFDRHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAVEVKKTIQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILDDLDQRNVYGYLTGMVGYHRTHLFDVVNQYRAIFNNDKSGSDENYDGGLLFSWAMHQISNHLTTLQVMLPNITEGGSLSNIREQCMYCAMGLGLVGLDFRGLLPPIFEKAVLNLFSKNMGTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKNVLAEEVVKGLQAVSDSLVRYNAMRMLRGNESSLFLSLCQAFIEVAYPYCSACFGRCYPNGAILITERRSTFDAVSQLLTVPARSNSSGISIERRQSGSIERKQSGAIERKQSEGIERKQSIDESAGTTATDNGTPANGPPPVANDDSGTSSAPAQPDGQTTAAAST >OGLUM12G16180.1 pep chromosome:ALNU02000000:12:20880849:20881314:1 gene:OGLUM12G16180 transcript:OGLUM12G16180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNPPVGSYPLPLMLCEASCGGNSGGGGSSSPKQWRWLLLLLVAVAPPPSSDGSCCFSRRRRLLFLPAMAAASPCGGCCFSRRRLLPLPNNKRTPFLTVPFTNPIAIAAGELNR >OGLUM12G16190.1 pep chromosome:ALNU02000000:12:20884570:20889622:1 gene:OGLUM12G16190 transcript:OGLUM12G16190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAHFPGEGEVVAGEGDAVVPLRDVGKMEHGCEHYRRRCKIVAPCCGEVFACRHCHNDATASGDRHTICRQDVEKVVCLLCDTEQPVSQVCINCGVNMGEYFCDVCKFYDDDTEKGQFHCYDCGICRVGGKENYFHCAKCGSCYAVALRDNHQCVENSMRQNCPICYEYLFDSLKGTRVLDCGHTMHMECFSEMVEHNKYTCPICSKTALDMTHHWALLDQEIEATIMPPVYRYKVWVLCNDCNKVSEVDFHPLQLVQYSIDITACGFIRKQFSLDVRFVRKQSPREPAHWEEIQGVRRFAHEGIGCRDFIPFDMILGWPWENTAGVAYGRDQEARETILERDDATHCVTR >OGLUM12G16200.1 pep chromosome:ALNU02000000:12:20897284:20897595:1 gene:OGLUM12G16200 transcript:OGLUM12G16200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVMKLASERAVVIFTLSSCCMCHTVTRLFCDLGVNALVHELDQDPRGKEMERALLKLLGRGPPVPVVFIGGKLVGGTNKIMSLHLGGELIPMLKNAGALWL >OGLUM12G16210.1 pep chromosome:ALNU02000000:12:20908867:20909181:1 gene:OGLUM12G16210 transcript:OGLUM12G16210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVAKLSTEKAVVIFTASNCPMCHTVVSLFSDLGVGAAVHELDRDPLHGRDMERDLARHLGRSPPVPAVFIAGKLVGSTDRVMSLHLAGKLVPMLKAAGAIWL >OGLUM12G16220.1 pep chromosome:ALNU02000000:12:20916779:20922547:1 gene:OGLUM12G16220 transcript:OGLUM12G16220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMFGFSRSRMRIGRSKGHSTDPLDSSKSPSGLVKHLSLPNGDDQITTSVSGRVDDLAFRCSSDTYDLDDRALVSSRNWAVLSTEGSRPSPRFAHAAALVGSKMVVFGGDSGDQLLDDTKILNLEKLTWDSVAPKVRPSPNRRPSKLPACKGHCLVQWGNSVILVGGKTEPASDRLAVWTFNMETEVWSLMEAKGDIPAARSGHTVTRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGSGPSPRSNHVAALYEDRILLIFGGHSKSKTLNDLFSLDFETMVWSRVKIHGPHPTPRAGCSGVLCGTKWYIAGGGSKKKWHAETWAFDVVEYKWSDFSMVPLYHRDKIVLVAFGGNRKEPSDKVEILVVLQNEHSFSRRSAPDVDPLLYEYSPSTKELAGHLNNCAPLYSNSSVARHSLASTVEHPPRRELLSESLLQNPNLGASLHRQFHQSEACSLAQKLQKPIDDDKYDDTDDCSSCQASTPKEYRSKRTGTDAQIDMARILSSKEENLETTGSSARRIARCSSDISHLYNTKIADLIKRSSALEDQLATALVSKEQAEKNLSSVINSREQLERRLANKEKEAEMLKEKIAGLELAQEESNNLSNTVHADNELHSTRRVLAGEQSRAFQLQDSLLALM >OGLUM12G16230.1 pep chromosome:ALNU02000000:12:20922758:20929393:-1 gene:OGLUM12G16230 transcript:OGLUM12G16230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRSINLRGFAGNAGKNIMQGIGGFVFGNERSESKEDSYVERFLDRISNGTISDDRRSAMTELQSLVAESRSAQMSFGAMGFPVLLNVLKEDREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQMLLKETIGFDPLIAILKIRRGSAFNFTQQKTVNLLGALDTVELLLMGGGSSGEPGKDANKTTNQTALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLASKQVGEDPHVQPALSAIFSIILRTSVAQEFVAADYVFKCFCEKNPGGQALLASTIAPHPNQAHATFGATTDMPFGSILLQALVSSDDNGDMEACCRASSVLSHIIKDNLQCKDRVLQIQLETPAPSLGRTEPLLHRIVTCLSIAASAEGENGQSGQPEESYIQPVILRLLIVWLVDCANAVDCLLESAVHLNYIIELASSKRYSACVRGLAAVVLGACVLYNASREKGRDAFAVADAISQKIGLSTYFLRFDELRKRFAHSSSGQQNRKQLSRSSANSMSDFQEIEEEEINKGDQHPVLSEIFDSHFVSFLGKLETDIRDNIMDIFSRTKTATAIIPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQDLLGRNAMLAEELVRTGGGNSADSLQKPSSGRERVQIESLRQELEGATRRIEALRAEHTQIEAEASNQRNLAAKLESDLKSLSDAYNSLEQANFRLDSEVKALRQGGSAPYPDVEAIKAEAKEEAEKESEAELNDLLVCLGQEQSKVEKLSTRLAELGEDVDTLLQGIGDDTALPDDDDDEDEDDE >OGLUM12G16240.1 pep chromosome:ALNU02000000:12:20932396:20933679:-1 gene:OGLUM12G16240 transcript:OGLUM12G16240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTSWAAVYEHAVASWLSLRALHLVSCQCRNSKVVIDAPLPDLRELVVVDGGDLTICFLHYVPPQSRDGQRPENSVELYCPDAAPHLAHASIAFRVGQQEGNDSLLPLLERFKRDAALSALGIRTAGGGAVVDAAGGGAPDGGNGRWRR >OGLUM12G16250.1 pep chromosome:ALNU02000000:12:20974703:20975029:-1 gene:OGLUM12G16250 transcript:OGLUM12G16250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYCRAPGYYGFARFVGGCLWTIGDCSVGPLSFGPLASLDNHHACTGYGYRPLLVGAWAAIGPLPMTRGPLSHFVLLSPWTGSMFLLPRFFSLGG >OGLUM12G16260.1 pep chromosome:ALNU02000000:12:20995018:21013292:1 gene:OGLUM12G16260 transcript:OGLUM12G16260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFHLFILLCAYSETAVVALWGAHATQFHAENLQQQADHGPVVILFVGLTVKFRDHQLALQGSTVCRWYPNAPIQETISLISSLHGNPQVVRAIEPNFGQKEAVHVKVSDICDLNPHEALGNSYIVKIAIKDLVPAEPWWYIACSTCKRGAGREGNTYKCSRCITNAIETRVAIIGVDPSDLTNHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSEIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPDKKCKSSAIDEDLAQEGT >OGLUM12G16260.2 pep chromosome:ALNU02000000:12:20995018:21013292:1 gene:OGLUM12G16260 transcript:OGLUM12G16260.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIFHLFILLCAYSETAVVALWGAHATQFHAENLQQQADHGPVVILFVGLTVKFRDHQLALQGSTVCRWYPNAPIQETISLISSAILYFLCFSHVNQGNSYIVKIAIKDLVPAEPWWYIACSTCKRGAGREGNTYKCSRCITNAIETRVAIIGVDPSDLTNHDAKTAEFTFFGEIGYQLIGIPVLNLVASVQGARDIVPSEIKAVFGKQYVIRTSVSRGSLQRNRISYQVDSLMLASLDAAHTSTLPSHDASVASSQHGSSPADAIEPHTIIGSSLQSMTPSTPLVLPDPKVLAMAQPDKKCKSSAIDEDLAQEGT >OGLUM12G16270.1 pep chromosome:ALNU02000000:12:21037671:21038880:1 gene:OGLUM12G16270 transcript:OGLUM12G16270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDDSTPTPNCLRPLENTIVDGGTFAPGVQVALEEVAVDDHCSIDAPCYADLEMQQNPSENESHIAKLSERDKKSVLSDSTKYEVESIHNESERNTPHKKRGLECKACEDVFDSNKLISTFSVVSPNVCKEKDEEIVVNPMKCLEDKRIEKIDLMENYPTTDLSINHDDKGGKNSETTPTFDTSPQELKGNKDESYFCKHEELVSEFVDTLDQRDILIANKFDLVELITHDEVFTKILPAEILCYIILDKHVEIHDMLEKVSEIASLKSLNSIHVCKYTFNLIG >OGLUM12G16280.1 pep chromosome:ALNU02000000:12:21066079:21068831:1 gene:OGLUM12G16280 transcript:OGLUM12G16280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLRGAAGRVRAPPTPAPPRPHHLPPAPPSPAGAAGAAAQDRLRVFQDDVTTQPKDAPGVLKERDPSYDEMLKHMVGRITTKPGGKPEMGEAFVVDQYNRPLPRVRTSRPEPGEGGHRQLPPGTINVAHVHEIIQLYQGKSSNHPGPMSVDEIASKFRVEASVVQNIVQFVSLPQEEHVTKKEEY >OGLUM12G16290.1 pep chromosome:ALNU02000000:12:21070698:21076262:1 gene:OGLUM12G16290 transcript:OGLUM12G16290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVLHPVKSASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQICTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEGSSLVLHKVNGEDFLVSFGGYSGRYSNEACLFHQFSSPDAYPCILLNSSLIYSLKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANVETKNELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >OGLUM12G16290.2 pep chromosome:ALNU02000000:12:21070698:21076262:1 gene:OGLUM12G16290 transcript:OGLUM12G16290.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVLHPVKSASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQICTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEGSSLVLHKVNGEDFLVSFGGYSGRYSNEACLFHQFSSPDAYPCILLNSSLIYSLKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >OGLUM12G16290.3 pep chromosome:ALNU02000000:12:21070698:21076262:1 gene:OGLUM12G16290 transcript:OGLUM12G16290.3 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVLHPVKSASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQICTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYSLKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANVETKNELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >OGLUM12G16290.4 pep chromosome:ALNU02000000:12:21070698:21076262:1 gene:OGLUM12G16290 transcript:OGLUM12G16290.4 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKVLHPVKSASEESKVVVVENGKMVDVQDKEITMEGLCSISSYDQWARIPVSGPLPKPRYKHAAAVVQEKMYVFGGNHNGRYLGDMQVLDFKSLSWSKLEAKIQSEEPSDLTGTASLPPCAGHALVPWGNKILCLAGHTREPTESLSVKEFDPQICTWSTLRTYGRSPSSRGGQSVTLVGGTLVVFGGEGDGRSLLNDLHVLDLETMTWDEFETTGTPPSPRSEHAAACYADRYLLIFGGGSHSTCFSDLHLLDMQTMEWSRPEHQGITPEPRAGHAGVTVGENWFITGGGNNKKGVPETLVLNMSTFVWSVVTGLEGRAPPTSEIYSLKSSRKSGVPSGQLNEPETNGLASVAENSSRGVIFEIEELQDEKTIKRADTSKTLLQAVKGEKSHIEEKLNQEELQSSRLKQELANELDLVRNQLSAEEARASQLENEISDLQQRLQKMETLEKESESLRLEKDAESDDSSSGSNQRPADKGFWRWNG >OGLUM12G16300.1 pep chromosome:ALNU02000000:12:21077064:21080860:-1 gene:OGLUM12G16300 transcript:OGLUM12G16300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAEAVTEVDATNEEGEEEEQVVNPWEVSAGKGGIDYDKLVDQFGCQRLDDALVARVARLTARPPHRFLRRGLFFAHRDLNEILDLYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKNLTVEETKRLARENAKDIIACGFDVERTFIFSDFNYVGGAFYENMVKVARCVTYNKVVGIFGFTPEDHIGKVSFPPVQAVPSFPSSFPHLFSGNDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDPNSAIYVTDSAKEIKTKVNKYAFSGGRDSIELHRKLGANLDVDVPIKYLNFFLEDDNELEHIKKEYKEGRMLTGEVKQRLVAVLSELVARHQRARALVTEEMVDVFMAARPLPNMFG >OGLUM12G16310.1 pep chromosome:ALNU02000000:12:21092480:21094525:1 gene:OGLUM12G16310 transcript:OGLUM12G16310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:lumazine-binding family protein [Source:Projected from Arabidopsis thaliana (AT2G20690) TAIR;Acc:AT2G20690] MSPPTMTTAAAATATAMAAGVLPRPRPHFLRRGILPSPASPLPFASRVSSAAPLRHRLPPPRFSLSPIPKTLSSPSHVPVRSLFTGIVEDVGTVRRIGPPPAPPSGGGGEAPGVDLEVETSTLLAGTQLGDSVAVDGACLTVAAIDAAASTLTFGVAPETLRRTSLGGRSAGDAVNLERALTPASRMGGHFVQGHVDGTGEIAAFRAEGDSLWVTVRAPPEILRLLVPKGFVAVDGASLTVVNVDEEGGWFDFMLVRYTQDNIVLPNKKVGDKVNLEADILGKYVEKLLAGRLEAMSKAQEREGNESGS >OGLUM12G16320.1 pep chromosome:ALNU02000000:12:21095998:21096381:-1 gene:OGLUM12G16320 transcript:OGLUM12G16320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKGGRPIWALGLDPARSQSGSRVVQNPVIVQVVSIVQGLRGENLAPLPVLVTAAPSDAVHLLEGVAFGALVSTPLQGNSPGENLRFVRIGRCRRSVGVTLLKDSF >OGLUM12G16330.1 pep chromosome:ALNU02000000:12:21102441:21107884:1 gene:OGLUM12G16330 transcript:OGLUM12G16330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASREESGNGGGGGATPAADYRSSDSRSSSRRSTRFKEDNEYVEITLDVKGDDTVAIQSIRNGADMPEVALLARGLAQQPPPSAAPGPGGLSSRLKAVRTELRRIASWKFPSGVLSGGGGGGDAPGNGNDRRPRLDRSMTGAARALRGLQFLNSSAVTNGWPEVEKRFERLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDSLARRRGITAQLLTKDQLREFWEQLSDPGFDAKLQTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDQLGYIDSTRVAVSAILNSDTVHGHLPFPKISNLESLLLLPPSQAPSKLVTHSSNISQLISQKLVPTHDRNPLRRGLRRLSYFMEDNWKRVWVMALWLAINAGLFTWKFMAYKRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTKLGAVIPFNDNINFHKVVAGGVVVGVALHGVTHLTCDFPRLLHASDAAYEPMKKYFGQTRIPDYWWFVRGVEGITGVIMVVLMAIAYTLAHPWFRRSKLSDSNPLKRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWWKQTTWMYLAIPILLYAGERIFRALRSHGFTTVRIEKVAIYPGNVIAIHMTKPHGFKYKSGQYIYVNCGEICRPPMNGQSGLLRADCMSMEHHSRFPKLLIDGPYGAPAQDYWKYDVLLLIGLGIGATPLISIVKDVLNHIYDDPESAASPHTTNGGGAAAAARRAFMTKRVYFYWCTREEGSFEWFRGVMNEVADRDAGRELIELHNHCTSVYEEGDARSALVTMLQALHHAKNGVDVVSGTRVRTHFARPSWRDVFKRVAVNHQGQRVGVFFCGDQALTPELRRLAQDFSHKTTTKFVFHKENF >OGLUM12G16340.1 pep chromosome:ALNU02000000:12:21108858:21113604:1 gene:OGLUM12G16340 transcript:OGLUM12G16340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPGPRSPSPLLRSSLSSQPFHHFKFQIHPSSPLLSSSPSTPRAPHPPTRRALALTLTLLLSLAMSEPASPPPAMPEDAAPPQPQPGPAVPAGEEAAPSLERKEELLPVEEKISELDESQSQLMGRLRGLKEDLLNWRSSLDTQVTKYKSELSDIKTALNSEIEQLRSDFQELRTTLKKQQEDVSNSLKNLGLQDATDNDGNKGSGEENASEGVSDTLGNLKLDDTPKNHDESSDANDEKNETIAEDGTVDKTVKAESASDE >OGLUM12G16350.1 pep chromosome:ALNU02000000:12:21115061:21121987:1 gene:OGLUM12G16350 transcript:OGLUM12G16350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor Ts family protein [Source:Projected from Arabidopsis thaliana (AT4G29060) TAIR;Acc:AT4G29060] MTPVVHCSVGNISLFHIGSFRPSHEIQIRRFRSTERYSRVPSRRLLQPQRAFNLISIYKRSSWSSARRPRTLSAATVGTDVTVEDPNPPPSGETSEESSEDTAPDTAEASEQAEASTSSIPKAGRNIRKSEMPPLNDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLVEANKETGRISLTMRTGGDYVKPKTETPKAASGGRNTTATTSRGSPRQTRERDEAKSMGETNYVQGQFLDGVVKNSTRAGSFVTLPDGSEGFLPREEEAVALFTLIGHSALEVGQQVRVKVLNVVRGQVTLTMKEGEDDEEDLASLNTQLKQGWSRGTNAFELAFRRNKEISAFLDQREKIIVPDVQEAAVASVGTELDAEVGIEQSPGKEPETGNAESVAIDSSITEVKETDSIAAVEKDSEISKTESVETASSVVISEDDSTVDGKLVEPTASVSATETEIKEDSSEGSVTTEPTEAASTEFVTAVVEESAPTASSVETSEDDSTVDDKLVEPTASVSATEAESKEDSSEGSVASTESVTAVVEESAPVSSVAIEVPAPEASEASAQEIIEDSTTVEGAADDQTVESDSPPPEGVELSSNGAPDSSIAEDKPDEPEESLIVEEVPVTASSESEDKEPAAVPEEVAASSEKTADVAVAGAEASTATATISPALVKQLREATGAGMMDCKKALAESGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVDDLAMQVAACPQVQYISLDDVPEEVMKKETELEMQREDLLSKPEQIRSKIVEGRVKKRLGEYALLEQPFIKNDKVTISEWVKQTIATIGENMKVNRFVRYNLGEGLEKRSQDFAAEVAAQTAAKAPPAAPPKDDKPEETAETEEKKPAVAISAALVKQLRDETGAGMMDCKKALAETGGDIQQAQEFLRKKGLSSADKKSSRLTAEGLIGAYIHDNRIGCMIEINSETDFVARNEKFKELVNDLAMQVVACPQVEYVSIEDIPESVVIKEKEIEMQREDLQSKPENIREKIVEGRISKRLGVLALLEQPFIKDDSKTVKDLVKETIATLGENIKVRRFTRYTLGEN >OGLUM12G16360.1 pep chromosome:ALNU02000000:12:21121196:21123768:-1 gene:OGLUM12G16360 transcript:OGLUM12G16360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLAPLLLLLLAPLPPPAAAAEPEEARCPRERLPPFVAAAAAALRPSCRASAEWCPAEEINGEELVKELSGKEECTAVLFYASWCPFSQRMRPVFDDLSSMFPQIKHLAVEQTNAMPAVLSRYGVRSFPSILIACGPYAYWPVGSKELDSLVNVYTAVTGQEPIAYLGPRKWSAARTGSTQHVKFWKSSIIEALKSEPYLAFSILFICLKILVAFFPKFFACIKGIWVQYFRHANLGILAKLNQLLECVPHAVDLRKIWSKCRLMGGAMNTRVWASSLASMSFGERSSPRAAVLD >OGLUM12G16370.1 pep chromosome:ALNU02000000:12:21133265:21133648:1 gene:OGLUM12G16370 transcript:OGLUM12G16370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAARFLAVLLLVLAVAAASSAAASLAGGGDRHLQLGMLSTGGECRGTVGECLEGGDVDGEEGELGSASGEAHRRVLAGRGYISYQSLRRDSVPCSRRGASYYNCRPGASANPYHRGCSRITRCRG >OGLUM12G16380.1 pep chromosome:ALNU02000000:12:21136016:21136399:1 gene:OGLUM12G16380 transcript:OGLUM12G16380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAVRSVAISLFVVALAVAAFAWSPSSLAGGASHHLRLEEVAGAAGHGSMSAAAGKDGGAGTAAHGSAPAAAGMDKNGYLSFFAAMRRDSVPCTRKGASYYNCVPGAPPSPYNRSCEHITRCHG >OGLUM12G16390.1 pep chromosome:ALNU02000000:12:21141750:21144028:-1 gene:OGLUM12G16390 transcript:OGLUM12G16390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAAARRLAVAAAAVALLAVTAVTALSDAEASYIAGRQLLSLKEGGGNGELPDDFEFDIHVDVTFANDRLRRAYIGLQALRQAIYSDPKNFTGGWVGADVCSYFGITCAAALADPAVTVVAGVDLNGGDIAGYLPAELGLMTDLALFHVNSNRFCGVIPKSFSRLALLHELDVSNNRLVGGFPEVVLDMAVLKYLDIRFNDFEGELPPQLFDKGLDAIFLNSNRFVGRIPDSIGGSTATVVVLANNHFVGCIPRSVGRMKGTLNELLLLNNRLDGCIPPEIGELADAEVVDVGGNMLVGSLPEELAKMGKLEQLDVSRNLLAGAVLEPVCKLPSLANFSFANNYFSVEPPACVPAETAMVELDDKGNCLGGGRPEQKTSLECAPVLKRPIDCRTNTCSARPSSPKPAPKKITPTPPTLAPPPLISPKEETPSPSPYIEPPKSSPPPVKFPPPPLSSSTSEIPTTTGTSELSTASTGELPSPTVKIPTTACTGELSTPTSQIPSTASTRELSTATGKIPSTPRTNPLSTATSKIPSTPRTSLLSSSTSKIPSTPSTCELSTVTGKIPSATCTNPLSTATGKTSSTPSTSPLSTSTSKTSSTPSTSPLSSSTIKIPTTARTGELSTPTDKIPTTTRAGELSTATSKIPTAPGTSELSTTTSKISSTSCAGQFSTSTYGIPTTTCTSKLTASSCKVFSPTGACKFSTSTSTFTPTSFSNDLTASISTFPTTTRLIPTATSRPIASATGTIVASSSP >OGLUM12G16400.1 pep chromosome:ALNU02000000:12:21144190:21149436:1 gene:OGLUM12G16400 transcript:OGLUM12G16400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRRRACSVSSPLLSLTDDGEGVSGAAAAGSMAGALTLPDPVAARSGGAGSGHGGGLDGRRPPFLDPAASCSGGAGRGRERQRRRAGAVWQRGSPAAATAPISMIGGVALVAAAASVGDGDNKDEDGCGGFV >OGLUM12G16410.1 pep chromosome:ALNU02000000:12:21217893:21218183:-1 gene:OGLUM12G16410 transcript:OGLUM12G16410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPRVAVWRQKIHRCEGAAAVARVDPMTAALTTRGGGGSGGGDGRQRRIWWWGYAAAVDLAVVRSDGGGSIRWWGGMGVADTATAMGAGGPGRP >OGLUM12G16420.1 pep chromosome:ALNU02000000:12:21232043:21235453:1 gene:OGLUM12G16420 transcript:OGLUM12G16420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAAATNGGFDVIVVGGGIMGSCAAYAAASSSASRGGGARVLLLERFDLLHHRGSSHGEPTLGSNPRSATGTLYSGKMVGGRPLNDRFPELYNVAVTKKVTIATLKQKGIDSVKFRRCLYCEKLRDWNKIKNRWVICS >OGLUM12G16430.1 pep chromosome:ALNU02000000:12:21237286:21247868:1 gene:OGLUM12G16430 transcript:OGLUM12G16430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSDPALRAAIENDGAAEVVGASDDSAASWPWSAVFRLPEGWTAASSELGGVIKATKAVAMFQSLAAKNGAVLRDRTEVIDIAKQVGAWASKLVKSVAGVDLPVQPLHTLICYWKARPGREHELTPESGFPTFASYGDTCIYSTPSMEFPGLIKVCAHGGAPCDPDRRDWCAGGDALADPVARWIDELMPGHVDTAGGPVIRQSCMYSMTPDEDFIIDFVGGEFGKDVVVGAGFSGHGFKMGPAVGRILAEMAMDGEAKTAAEAGVELGYFRIGRFEGNPEGNRAENKMSHRSTLLQMLLDEESLSDGDEEFISSAVDIVYDEFDDDEVPKRGGSVIHYFNGLKLISTLVKLMPEWLCNNRVISALVDNMN >OGLUM12G16430.2 pep chromosome:ALNU02000000:12:21237286:21247868:1 gene:OGLUM12G16430 transcript:OGLUM12G16430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRSDPALRAAIENDGAAEVVGASDDSAASWPWSAVFRLPEGWTAASSELGGVIKATKAVAMFQSLAAKNGAVLRDRTEVIDIAKQEKMSHRSTLLQMLLDEESLSDGDEEFISSAVDIVYDEFDDDEVPKRGGSVIHYFNGLKLISTLVKLMPEWLCNNRVISALVDNMN >OGLUM12G16440.1 pep chromosome:ALNU02000000:12:21248106:21248645:-1 gene:OGLUM12G16440 transcript:OGLUM12G16440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKKEEKKFQFMHCYNVLKNLPKWNDKRNQLAASKTSSKKQKKTANDSPAISTPACNVDENRAADPENTVGEGKPMGRKKAKQQMCERSDLSRKESLDYLWDKKKEADAEERKFEERYQIAFALEQKRIDLERDKFEFKRMIKEDKLLRTDTSAMSIEEQEYYKNVKNQSLSHRSAQA >OGLUM12G16450.1 pep chromosome:ALNU02000000:12:21256387:21257112:-1 gene:OGLUM12G16450 transcript:OGLUM12G16450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEGPWWWSPMARSGNLAARSRRHWLDSGLGGWAGPKPMQTRRSPGGHDGEWAQHLILLV >OGLUM12G16460.1 pep chromosome:ALNU02000000:12:21321966:21323392:1 gene:OGLUM12G16460 transcript:OGLUM12G16460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAPALLDIDLFNHHDLDPASLLAAAAAVSPGELVVNASWSARSTELPCLDRTASIKIDVHFAGFTLPPAGVFPALETLHLENCFVDLGDMIPRCPRLRKLSIPFWNATAVTVRSPSLEEMEVYANFKITIDIVAPALKRLYLDARRGINTDAGGFTLSAPSVEDLTWNCECQAVSDSFGVRWRMWSLSFSSSCLDPHGIMQMDNNGEAETAHSLSLSSSSQRRPRGGVLSLNLETNVMTGDSTRSFEQEIFRFQVTDNFSVLELDLKAQGHVYGAIVLHLLGICTSTQRLRVFLDEFLSQDSCFVSCRCDQPNNWRNQSISLTDLKEVEIRGQNHEVDLLKVLLRCATVLERVTVRFSRKVTPSDCRCRELSGILEAYPSVKCSVYYLQSGKQVFICRQQ >OGLUM12G16470.1 pep chromosome:ALNU02000000:12:21338594:21358403:1 gene:OGLUM12G16470 transcript:OGLUM12G16470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTPLRVPSSEPSRDGRGAVVLLHPCERRRRQVLHCCSVRRHLRRCSRFQNVHHQASQGAKYGDTCSDELPSKQCRGLGQALQQQRYC >OGLUM12G16480.1 pep chromosome:ALNU02000000:12:21369831:21377446:1 gene:OGLUM12G16480 transcript:OGLUM12G16480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPAFNKPMKQCSGDALVVQTRNGGTEAGQIRMKQQRPAVVGQEILKLSSTPSGSIYGSIILLKRRLKSLRTKPC >OGLUM12G16490.1 pep chromosome:ALNU02000000:12:21380100:21380444:-1 gene:OGLUM12G16490 transcript:OGLUM12G16490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGARRATLHHSLPGSFGGDRAPSGGPPPVPCGARLHRENPIQRPRKPAEPEEGSSLLGVRSQPEGPGDPKAQSRASEGPRVGSRDLEAHEPAQRLRSSCHGSRAEAYRGHRE >OGLUM12G16500.1 pep chromosome:ALNU02000000:12:21401035:21403212:-1 gene:OGLUM12G16500 transcript:OGLUM12G16500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSGKTALPGGGAGLPGGGAAVLGGGASAAGAGLPGGGGAAVLGVDATGGEEVAGGGASVPGGGAGPPGSGTSAAFVRAVVPGVDTTGGEEVAAGGGVSAAGIRTTVPGIDALGELPGYPLLKKLDIDFWRVRSSDGRVFAGFPLINHACSVFGPGFPY >OGLUM12G16510.1 pep chromosome:ALNU02000000:12:21406759:21407902:-1 gene:OGLUM12G16510 transcript:OGLUM12G16510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAPVVDVAETSVPDLGMKPPRSLNGQATPRPQWHKGCCRRARCPPVRCHAADERARAAISEDSTIKCTMTQFEDQFSTIKHDGIQVRCHKYLG >OGLUM12G16510.2 pep chromosome:ALNU02000000:12:21406759:21407902:-1 gene:OGLUM12G16510 transcript:OGLUM12G16510.2 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAPVVDVAETSVPDLGMKPPRSLNGQATPRPQWHKGCCRRARCPPVRCHAADERARAAISMNISCCSYNTGEDSTIKCTMTQFEDQFSTIKHDGIQVRCHKYLG >OGLUM12G16520.1 pep chromosome:ALNU02000000:12:21413815:21415518:1 gene:OGLUM12G16520 transcript:OGLUM12G16520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPLLTTTSLLLFFFLLASFAVDVVVAGGGGYDDGGDGEGGDGGGGAKKPHVNHGRYKCGPWVDGHATFYGGRDASGTTEGGACGYKDADGYGAMTAAVSPALFDNGAGCGACYELKGESGKTVVVTATNQAPPPVNGMKGEHFDLTMPAFLSIAEEKLGVVPVSYRKVACVRQGGIKYTITGNPSYNMVMVKNVGGAGDVVKLTVKGTKRVKWTPLQRSWGQLWKTEANLTGESLTFRVMTGDHRKATSWRVAPRDWTYDNTYQAKKNF >OGLUM12G16530.1 pep chromosome:ALNU02000000:12:21447294:21454570:1 gene:OGLUM12G16530 transcript:OGLUM12G16530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAVEAEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSTEAIQNEFSEGQSIATDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQEYEHRIAIHNKKDEVEQNLLNITEQVNHVVVNGDGEVKQFQLEALTNFDLQHKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHISLSSSVADTEERTITIITPTKYGKDGRKSFSFNRIFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEVRELKEQIATLKAALAKKEGEPENIQSTLSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFHFSGVLSENNSSDLAQNCNGIRKTDKMAVGNNQFANGNSILEQEPDATQLPTFFYQRYDPDKQRRRAEPVETDDSDSFDAATSSSSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGSYYWDKPWPCWGAMRSLSRGSGEPTHRCQLQCCPDLDPLAWGQWHRNSFVARKLRNEILYELIT >OGLUM12G16530.2 pep chromosome:ALNU02000000:12:21447294:21454570:1 gene:OGLUM12G16530 transcript:OGLUM12G16530.2 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAVEAEEMVERMRGWARDMDVASRRAEEEAMRRYDAASWLRSTVGVVCARDLPDEPSEEEFRLGLRNGIVLCNALNKIQPGAIPKVVQAQSDASGPTDGSALCAYQYFENLRNFLVVVEDLRLPTFEVSDLEKGGKGVRVVDCVLSLKSFSESNKTGRQASCKYGGLSKPLTARKYFILKNTDAFMNKIMKGHSTEAIQNEFSEGQSIATDFSIESNEMTTSDSLSILLRKVLLDKKPEEVPLIVESILSKVIQEYEHRIAIHNKKDEVEQNLLNITEQVNHVVVNGDGEVKQFQLEALTNFDLQHKQIQELKGALSFVKSGMEQLRLQYSEEFAKLGKHFYTLSNAASSYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGHISLSSSVADTEERTITIITPTKYGKDGRKSFSFNRIFGPASTQEEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEESLGVNYRALNDLFNIKAQRKGTIDYEISVQMIEIYNEQKGLAVPDASIVPVTSTADVVELMNQGQKNRAVGSTAINDRSSRSHSCLSVHVQGKDLTSGAMLRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHVSPELDAVGETISTLKFAERVASVELGAAKANKEGSEIATLKAALAKKEGEPENIQSTLSSPDMYRIKRGNAIPAFPKNRQPMEEVGNLEVRNNATPMQKKASFHFSGVLSENNSSDLAQNCNGIRKTDKMAVGNNQFANGNSILEQEPDATQLPTFFYQRYDPDKQRRRAEPVETDDSDSFDAATSSSSDQEMLLSTSGLKADGIASRGAFIIKKPQTKNTKITATKIPNLAMKSPMSEKRLQTPIRNSKQLPFSTTGSYYWDKPWPCWGAMRSLSRGSGEPTHRCQLQCCPDLDPLAWGQWHRNSFVARKLRNEILYELIT >OGLUM12G16540.1 pep chromosome:ALNU02000000:12:21452423:21457676:-1 gene:OGLUM12G16540 transcript:OGLUM12G16540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTRLLSWSSSSDGGAGGELSRPRRRWRALVLGLGVRRKRSMEGVFGFREMMGEEFMGMFLPFFSKMVQKVVSEEVEKAIFRQVSTPAPPRLLVGLNQQRPRYQLMFLNGLKPVYTLMKLEAKDGPGLKVAIVERLENNQMRIVRFGHLASAKVEVVVLHGNFNAKNEEQWTPEDFSKQIVCGREKSAQLLTGNLTLKLNGGEALLENATFTDNSSFTSTKKFRLGLRLVNNSEDRVLEGITEPFRVKERRVEGFEKHYPPMLDDEVWRLEKIGRNGAHHQALTNSGVDTVQKFLQSYFTDEKKLFQTFSKMSQAAWKTIISHAMTCEVGDDLCLYEVKGNNVGLFFDAIYQLVGVKFGDSYKPINELDEIEQSAVETMKQLAYANISGIQYDHKMVNNYPVPLHRFHCGGTSMLTDFIPKPQIPTCGQYNSALAGQPFESTENFSSFQEASNASVDMSRFVQGQTSNVQFCQQLGMGNVIPHHSNQGTFIPRPRITPLCIPNTEKTYFNLNAHSNIQADHTATRIGQYAHNERSHSPEEPYKRFSPDNFLHTDEVVALMQPHLVPPSNSENFSNLLNLSSNDQTSQQIAAPFQPSRTNSFDSSSCDQLIQNFISQFSSNEGVAVPLSPRKWVKIRAALKLASVGRLSRASRKASHRPPARPRLVPIAPLLAIPSALRPLVDSNISWSEDELVAASKLSESSVSTANAAFRVAI >OGLUM12G16550.1 pep chromosome:ALNU02000000:12:21466268:21467369:-1 gene:OGLUM12G16550 transcript:OGLUM12G16550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKKVFIFRKAADVAMAVSAAYAPFAAAVSYHAAAAAPAALAFALGHGALLFMLPFSDHMDPYPTIDGVLQLNPAAAWCALLAPVALVLFGLVKASAATAGAGDVGTFATVIAGAFWAMDAGAAVLLGWVIAGEIAMARETGKRSIYRSSSSEIASALLMVWVRYFVYLHVFHLIACGGHLTWFNSSLA >OGLUM12G16560.1 pep chromosome:ALNU02000000:12:21468875:21474453:-1 gene:OGLUM12G16560 transcript:OGLUM12G16560.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:binding [Source:Projected from Arabidopsis thaliana (AT4G15890) TAIR;Acc:AT4G15890] MDAADEMDIDAAADEAGSPPSASGSLSTFLSELAALHRRSSGTSSSTSTSPPLSLASLTFLSSAASPSSSIFPRLAAAGLPASSLSAPLAASLSSAHHPLPAAVAYLRLLLAPASPLLSLFSPLPFLSLLLALRKSASSSAAAHDGAAAANPSSGSGSGSGKGNHRNRKRKSHQQRQSPAAQAAAPSLLPKALALLADAAGRLPLGEHPDARRSLVDTAAELAAFDVLVAVLGSGYYAEAMPDLVRALAPVALSGSRSAARAAAVEFLARKVVPLGVEGGEDGVRKAVGYLPRYLAAKAPEKSEARAMAVEAIVEVVRAMGQLEMEGFAGYVVAMAKGKAKGRLLAVDLILAMLPLLLPSEGDDCGLQEGSWGLKFVRVLVERCSDTVGGVRARALTNAAHALDVLSERGMEVDRLQEVMRIGNIGLGELLRLRCADDKAAVRKAALVLITKSIRLIGRPVDESLLTAMGAACSDPLVSIRKAALAAISEVLRNFPDERVTKEWLQAVPPLVIDSETSIQEECENLFLELVLNRVCQAANLNLNDESNDMEEVFPKGTLHLLKSICDGEVAPCIKKICASLGKKKKLKPLLASSLQNIITISESLWLRGCKPIEMWTAPAGAWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDKGKVCPKGEPNSALWAVDRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGETLILKWVQQLICKAVNILDEYIKETSEAAKGPKFFTPLSGKLKGRKDASAQKSMSRAVIAVFTIGSLILACPTANVQGIIPSLHTIITSGNSQPRPKNLAGGTVSFKELAPSLYIQSWDTMAKICLVDDKLAKRYIPLFVQELERSDLATLRNNIMIALADFYVRYTAMVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGVLFLRFLPSLVDESEKIRHLADFLFGNILKAKAPLLAYNSFIEAIYVLNNCLGHGAQPESQGRLDGGPTLFAIRGTDERSRLKRMHIYVSLLKQMAPEHLLATSAKLCAEILAAACDGLLNVDDAAGRAVLQDALQILACKEMRIHPNICTDNSEMDEEGGDGGSTNAALQAAKGRAVTQVAKKNLIQIAIPIFIELKRLLESKNSPLTGCLMECLRTLLKDYKNEFDEILVADKQLQKELLYDMQKHDVAGKGKAKAAAAAAAAEAGPSGASPAVNVGGKRVDGSARATARSVLKEVNRNTPTPPLNSMSVPKVRSMLGGGGGGSRRPAVLESVRRLEPFGSDDEN >OGLUM12G16570.1 pep chromosome:ALNU02000000:12:21478578:21484906:-1 gene:OGLUM12G16570 transcript:OGLUM12G16570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFQGLLARDFGLRPQGKAAPMSAARAAASSGSAWSNSRSASASAAAAATAPSAPSYDDLFGAAAPSAPPPKAAPSPSLDAIFDSFKEPSAADAPPKPKHSSMPVFDKPVYDDDIFDGVPGVKSSSSARFDDVFGGSHAPSPPAYDDLLGGFGSKSEVKEVLQEEKRKPEPAASSAGFDDLIPGFGGRIPMRARETVGTKDKNVSMSTSKPASMASDPFDVLGTASTSKHTSSGIFTDPLDELGRPAKSQGKKHDNTAVDSGLFEDSSTFNQVPKSEPLFTSELNDDLKDRNGSTKDRDSSPVQNFSRKNTTQKPSVENFENIFPKSQSARYSDVHVDIGASGSEKYSGNGMDDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRTPPPLAVKQKPHGSQAKRKDDNYPRRSNQNHNHHRSSSNQAGSSIDELEDFAMGKSQSSAYDNANPFNEEEVEHSSSAAASAAAMKEAMDKAEAKFKHAKVVRERERDAKLRNREQQEQDDEARFNTQDHEERDRKERLEREREMRQREEKEREQRRLEEERELEKQRERERAARQAVERATKEARERAAAEARAKAEREARQRAERAAVQRAQKEARERAAVDARERAERAAAEAKERAAAEAKEKVATQARDRAAAERAAVERAQQEARRRAERAAVERAASEARERQAAEARERQAAAAAAAAAAKEKQSKPDDLESFFGMGARANSAPKQRAPTADSMFNSQTQNRGAASSASASMRKASSTTNIADDLSAIFGGAPTSSEEFQEIEGESEERRRARFERHQRTRERAAKALAEKNERDMQVQREQAERHVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >OGLUM12G16580.1 pep chromosome:ALNU02000000:12:21497549:21524933:-1 gene:OGLUM12G16580 transcript:OGLUM12G16580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPYLVVSGGVRSAMSSGGKQDGGAAAGGEKERKTSWPEVVGLPVEKAKKVILNDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTLIGSGGARSAMSSGGEERKTSWPEVVGLPAEEAKKVILKDMPDADIVVVPVGSPVTSDFRPNRVRIFVDTAMAAALRGAVDWPRWRLGGAAQRQQQRQPQLGAAAALARNIKAAAAVAQEIGTRPAHHHQSIMAGVVRSAACSGGEGERKTSWPEVVGLSAEEAKKFTFLIYSSSSVDRVRRCERSAMSSGGKQDGAAAAGEEARKTSWPEVVGLPVEKAKKVILKDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTVTSTPTIG >OGLUM12G16580.2 pep chromosome:ALNU02000000:12:21497549:21524933:-1 gene:OGLUM12G16580 transcript:OGLUM12G16580.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLPYLVVSGGVRSAMSSGGKQDGGAAAGGEKERKTSWPEVVGLPVEKAKKVILNDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTLIGSGGARSAMSSGGEERKTSWPEVVGLPAEEAKKVILKDMPDADIVVVPVGSPVTSDFRPNRVRIFVDTAMAAALRGAVDWPRWRLGGAAQRQQQRQPQLGAAAALARNIKAAAAVAQEIGTRPAHHHQSIMAGVVRSAACSGGEGERKTSWPEVVGLSAEEAKKVVGLPVEKAKKVILKDMPDADIVVLPAGSPVTKDFRPNRVRIFVDTVTSTPTIG >OGLUM12G16590.1 pep chromosome:ALNU02000000:12:21501875:21505573:1 gene:OGLUM12G16590 transcript:OGLUM12G16590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:nucleoside diphosphate kinase 2 [Source:Projected from Arabidopsis thaliana (AT5G63310) TAIR;Acc:AT5G63310] MHFHNLQHRGAQRXRVALSAAWGGRAARARVSAAGRIVASSVEQSYIMIKPDGVQRGLVGEIISRFEKKGFVLKGLKLFQCPKDLAQEHYKDLKEKPFFPGLIEYITSGPVVCMAWEGNGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCEWESVLTPWLVE >OGLUM12G16600.1 pep chromosome:ALNU02000000:12:21525052:21525258:-1 gene:OGLUM12G16600 transcript:OGLUM12G16600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGGEERKTSWPEVVGLPAEEAKKVILKDMPDADIVVVPVGSPVTSDFRPNRVRIFVDTVAGTPTIG >OGLUM12G16610.1 pep chromosome:ALNU02000000:12:21530096:21530614:1 gene:OGLUM12G16610 transcript:OGLUM12G16610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYCSKLNHSHKTILIVHLLIRNKLKTWISEMIGKTDRVDAKFTIVCQLSRSLQSGTHILDLYSAEEHISDNFLDAIMWCSVPIKNLHKQWDPGGSGDTLHRLGDKPKFKERRLLGTQMGCLWAVNHFQSKAQASKSGALYKQQEQHQRGDRTENEQNRLRGFSTATAAAAT >OGLUM12G16620.1 pep chromosome:ALNU02000000:12:21531924:21534280:-1 gene:OGLUM12G16620 transcript:OGLUM12G16620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYVNHRASSAIAGGSISSFYGIGRFLSTAFSIAEPPDGCNRLVRWASFVITHVIVPLFRILVFTSIVFPLVVVYSFGICFSTAISLYRLTRRDLRLGSGDGDAAASTNLQKAALHVLYVLALVQGVLYFYRITFISTGRKMVRKVAKEYDYDLEDLLIGRYALETRDGCMKDLSFVRGRNLVTYAVSLIESAPIGSGKLLDGVRIMDTLIRQQNSAYYSADVDINGQRGLMRQLMIGSAFSTHILGKLIETISMPSRAEHDSNSSTELRGHAASIVAYFAGDIRLNMLPGGIECISSMLQLSTDTRSKHRFLQGMHILRKLAHHDDNCKVISNAEGLASRIMAPLSFDLLHRSDHEEYWSDVVEASMNLVARLVVAPGVTGVKLRREISSNMEAMVAMESVVACGECRPVLRELAIKILVRLAMDASSNMSPKSREKLLKSLVCIFTDDNMDSSIRKSARQALAMLSAKSKSYAVFILQANGNVVGVLTNMLLHSEENQSRVNAAEILSHLCNHYTKDDEYLAALKKAIKDVMPEVLRQVLQSGAPGGHVQKGPEANNARFSPSGTIDIENQDDGYNLNTYISSHQQNSEQHMGIELLASFLSLTATVFEVSQGQDLVQLIDAVAPRDDGFTFAGKLMDMVQRNIVVDGDHETMANCLRIMKSTTKMIISMVRHSCSSFAKEEDEDLESLIYYLHGHSTGMNKLEGVMSLADSDHGAKQPFKTLASLVKEARELWDKKKEQAKLRR >OGLUM12G16630.1 pep chromosome:ALNU02000000:12:21553226:21555635:-1 gene:OGLUM12G16630 transcript:OGLUM12G16630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRIELSYSRSEQTSDQHGQRQRQPMDELWPEVVNINKYAVLMGYLSMAVRGLAFLMFTWTTVVLLGGFVSALNKKDFWCLTMITLIQTADDPPLAITSKMKMNYQKRQSEDNVLRVS >OGLUM12G16640.1 pep chromosome:ALNU02000000:12:21559185:21584974:1 gene:OGLUM12G16640 transcript:OGLUM12G16640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELQIWGEARGTKNHRTYEITQTKAVARGAVRMHPGPSITSSAIPPGLLRLGKGSSTGCQHRDATKPTPEIPKGDQIRPPRGLGLERRRLVMASDQATKRWRG >OGLUM12G16650.1 pep chromosome:ALNU02000000:12:21579703:21580155:-1 gene:OGLUM12G16650 transcript:OGLUM12G16650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYTVHAWIGAPRARGGRIWQPLSSLRPDLAAARAPSSLLFPLPSPKLVRLLPLPPSLSSLVQRRRWCKGRSGGLDGGRRAAPERETSEQERRAREGGAVSKIEEPELEGPVATLEEQELEGKRRGGRRSERGGGEARRVERQGERIR >OGLUM12G16660.1 pep chromosome:ALNU02000000:12:21582956:21584774:-1 gene:OGLUM12G16660 transcript:OGLUM12G16660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGACALLLVPLLLFDPFWEPAGIFFGMALLERFGLQQIDLLNMFAEFRGFQLPGRSAAGGSQINVYCLPCVTAPTLVLM >OGLUM12G16660.2 pep chromosome:ALNU02000000:12:21582665:21584774:-1 gene:OGLUM12G16660 transcript:OGLUM12G16660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MARGACALLLVPLLLFDPFWEPAGIFFGMALLERFGLQQIDLLNMFAEFRGFQLPGRSAAGGSQRRHRKE >OGLUM12G16670.1 pep chromosome:ALNU02000000:12:21634275:21637538:1 gene:OGLUM12G16670 transcript:OGLUM12G16670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGCFAEGMNAIAVEGTGVCQGGRGGQGQGGQVGVPQAEEDAPVAPAALAAGPPRGCRNRVANAAKEVAYSDLLAGLRAGAVTVVAFEEESHRIYFHRVVDDGGGGEDADTGANEACRSGAEARWPCYARSVPHDEGCLLGLMRDDGADYRVFDVFMKEKLSSIWDGYRGLLQTTVRTSVSLMNVSSYLECNTARGSCIFNIACILLHYLKLGVQVVVIAVLMCPLVVLYMFGIYISTALSLWRLVRRRDYYSVAGEGDTNMTPALDVLYSLAIFQGVIFTYRSVWDYLRDTMIGCEKDPSFARGRNMVTYAVEQVESSRSPDGYLSGLRILSTLVREIASSYACTTTHVEQHRMIKHMLISCHHVVMLKLMQTLEPRGPHDAEARERAAVIVAYIAGGVHLERLPRALRCVTSLLDDDYRLAEPYDRDLVLEKLQLRPPPCPDEEVEFVEMYRRVYEREPPHLSLERYKNLVVQGQRILGKLATDEDNCGVMSDTRGLVSSIMAPISSGSDHGGRRRRRLHDDHRYELSVMAKGSIKLIGQLVSVLFQESRVKLRRQISSCKPAISTIESILKCDGCDAELRRLAAHLLTVLSLDKSSGGMSSENIQGLIRILIDIFVHDSKRSSVRKCAGEELVKLSLESESNASVILKLKLNDSSVVDHLSEMVIDVEDNTFRTSAADILKHLCINHTTDDEHWDKLKNSMPIAIPKMMIDTCQINTKRKSFMLKYLGVNVSERQTVATQPNNAGNFSPPDADIEALPDGEPTRVNNGVNNASSCSNQQNGKQYTPEEIKVQKALASLCVTVCEKLIATDSVLAARFDEIAAGICLDTGMMTANMTFAALAKEAGEVVEKRKA >OGLUM12G16680.1 pep chromosome:ALNU02000000:12:21637556:21645014:1 gene:OGLUM12G16680 transcript:OGLUM12G16680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVYAQAGTLLIVMCKTKEVDVTSIMPYSSVVKKRLKGDVHLLAWNERWLDDRRWVIGDRRVTSKEHRGWASFGNVMVATTDIHNGAR >OGLUM12G16690.1 pep chromosome:ALNU02000000:12:21652787:21656261:1 gene:OGLUM12G16690 transcript:OGLUM12G16690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFIDYSDWEEVKTINNYAVFMGYMSMAVRGMGYLVVLWTTVILLGGFVSALEKKDFWSLTIITLIETVGVTTVFPSKNFKKIVFSYFRGFVSTTFTFYIPKNNGKDHLSRSRDDVGRPIEEKVEHCPQWLLRLGTWLLRLGAFVAYLVQQLVFAVVAGPLALAVTVLYACGLVITTGIAAWRLLRRDYGGGGGNMWAALDVLYTLVLVQGVLSYYRFSSRFCQERLALDVAKAYSFPDPEAYESNTQRWRYYTVQNSLRRYVRETRIGCENDPSFAKGRNLVKYAVDAMDDQTAAESSNWSRSRFIHGASILDVLLANPRLEEQHRLIKKHLISSPSSGELLRKLMQLLDSAVGYPKMYGVWIMTHLASDLRPDEQLPAASQLIHLIASLLEAPGEDERNTFYFMQEVIVEVLRLLEKLVAADDGWCHAVAEKEGMLANIMLPLRSDLQHTRYHGHDRDWMPTRGLIGASIQVMRRLVNAPGATGDKLRREISGSRDAMASMERILRCDQCNDCLLMQGVLEIYTKLGGGPSIIATRRKHLIKKLVLIFTDQRQTEGSMLLAGEMLATLSSQGEENIKIILQAKPDVVADLTRILEEKNTKCRIIAAQILEQLCIHHTDYDDEYVQNLKKDLKVTMPKVLAGTIPRKYVSGKSFLWYEQNREILVAVLSLSVTMSLNVLTAHELANLVVEITRETDGFSILGNLNLMVDHLGRWLNTVNNVKTWKLVTEILILTVKHGSRDAILNARNLMESLSVVVKEISDIENFMIITGSSGPKTVDSLVKEAEEQLRRRVDAPEMAVV >OGLUM12G16700.1 pep chromosome:ALNU02000000:12:21661771:21662355:-1 gene:OGLUM12G16700 transcript:OGLUM12G16700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLFRLTRRDLLGLGVSSGADGGDVASAGANQQKPALHVLYLLALVQGVLYFYRMTFISTGRRMEWKVTKRYRIDNHFWSWISPIFQYAEETRAGCRNDPSFAKGRNLVTYAVGLIESAPADTERLLGGLTIMEFLLYLQHSLTNNTRIENRTAFQLLGQRNLMRQLVISSTSSGHIQRKLLKIVCPSSTPTD >OGLUM12G16710.1 pep chromosome:ALNU02000000:12:21672277:21673883:-1 gene:OGLUM12G16710 transcript:OGLUM12G16710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERIGEELRERAERILTYFASDIRLSKLAGGIESTSSTLELHDDAAPYEGSMLVGLRLLWSLAIDMDNCRVMSNTEAMEGILECNECQPAVRELAVKILTQLAAMDDASSSFSAGSRDKLAKSLLGIFTDRNKDSSIRKPAGQALAMLSAKRESNAVVILQQLNGNVVSVLKEMLLNSEENESRISAAEILSRLLHSRYTRDDEYLAEELNKVIMDVMPEVLKEMLQCGATEREIQTGAEADHKGSFSPPGTIDVEVQDDGNRQNNFTSSRQRNSGQYVDADLLAAVLSLTATIFEVSKVQDLVQLVDAVAPVDAGKLAEMLQRNIVVRKGFSWVDWLRILKPTTKMVISMMRHRDSSLANDDDKDMESLINCLGRHSTIMDDLDCSINIADCDHGAKPQFKPLSFLVKEAQELWDKKKGQASSVEGNQQIA >OGLUM12G16720.1 pep chromosome:ALNU02000000:12:21686401:21689794:1 gene:OGLUM12G16720 transcript:OGLUM12G16720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSRVVAGLGVLIFTWSTVVLLGGFVSLLDKKDFWFLTVITLCQTKELSGRNQVDGTISLEGDDNWRHSPPDEAGGHGRQRGEGGEENRC >OGLUM12G16730.1 pep chromosome:ALNU02000000:12:21705035:21706531:-1 gene:OGLUM12G16730 transcript:OGLUM12G16730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:A0A0E0BTV2] MLRFTSARLLRPWCHRLYHSGSAAAGSVAGERVTVLTIDGGGIRGIIPGKVLEFLETELQRLDGPDARLADYFDYIAGTSTGGLITAMLATPKEDGDGRRRPMFAAGEICPFYQEHGPRIFPQRWSKLASTVAAVWGPKYDGRYLRDMVQEVLGKTTVRDTLTNVVIPTFDVRLLQPVIFSTYDAKNSTLKNARLSDVCIGTSAAPTYLPAHYFETHDAASGETREYNLIDGGVAANNPTMVAMTMITEEMIAEEKAPLLLTKPPEKECGRFLVLSVGTGMTSDEGLYTAEKCSRWGALGWLRHRGMAPIIDIFMAGSSDMVDIHVGVKFQLFHSEGNYLRIQEDQEDSLRSTAAALDEATPGNMRNLVGVGERMLEQQVTRVNVETGRYEKVPDEGSNADALVRMARTLSEEKTARLQRRMDEVTTAASATGF >OGLUM12G16740.1 pep chromosome:ALNU02000000:12:21707948:21710241:1 gene:OGLUM12G16740 transcript:OGLUM12G16740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLGVVIVLRNGHWSLLRRDQCIKKGKSFAQAVQQRDVLVKSVFQRLKAPLQERRSVFTRLEGLKVPIAGEYSGNQEHDLIDQGQQVQLFLARLKDIADREGPKHPSFYPMAGLLEKIDHLCKAKEIMQVAQFPSPIFLPYSTPFMTLVLPKRKAMFDHAPPAVKRAHTSWALLPSIFNDGPSDQEILDVAPLQAQPSSPEVTPAVLQIPMAPVKKTDGKTTLYNPTRRQSSRLLIANQELKFDHRMGIGKPRGKSAKKLKELAYSSPSDCSLSLLQKMGVDLCGLNPEDVAESSLGGEKWKKLPQPNMDD >OGLUM12G16750.1 pep chromosome:ALNU02000000:12:21762294:21764676:1 gene:OGLUM12G16750 transcript:OGLUM12G16750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCALKSLHGFLVTMAMVFKILKGDKDYMFDWGIQCWCSWAGSLVTFIQALVFAIILCPLAVLYLSGIAISTSLSLWRLIQHDYGNGDGRANLNPALNVLYSLALFQGLLFFYRWVSDFAGKRLIRVVARKYGLEEGAVGRKLVKEYMKKTKIRCKKDASLLEEWNLVTFAVELMKPESSSSDYVSGAMILDKILEQKELQEQHALIRKLVGSASSRKVMKKLLRSLRSASHHNRNIRMHAARIVSRLAGEISLASFPHALRCISSLLDATTTEQEQEQQDGDSAPSAHYRELMVLGRVILQKLAAADDHNRFAIGTEQSTISKAMLPVRNDLLHIHNNGHVDAWKDIVTESLQLMSQLVSAPGKTGDDLRSHILTIHKDDISVNNIIICKQCQSNKKLHMQAINIFTQQQHPMEALSTTTSNIDTTYSETNIRLLVDIFLTNKDASTRKMAVGILAILLSDQNKSNANANATINFKASDTVVQDLKTVLLNVKEETEYRICAAEILEHLQRTKEASYLKKLMEAMQNVLPKILNEIFISLSPPKQGEKQAEKAEKGANGTKTDHDIEEGAGAVASKDNGDVNYQKEDIGKNKMDRTTRKLHAALLSLSVAIFEKRISDGKDLDTLAGEIARGDSASSFVGKLRTMVDQNSEQTVNCLRILKIATRMIISLLKLEGCYPKQELENLMVSLSKASKHMFELEALMMLSSSDHTGKKTESIGSLVIEAEGLMKNKKEKNVATTPASTMNGNQ >OGLUM12G16760.1 pep chromosome:ALNU02000000:12:21786204:21786785:1 gene:OGLUM12G16760 transcript:OGLUM12G16760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMITEEMIAEEKARLFLAKPPEECGRFLVLSIGTGLTSDEGLYTAEKCSRWGALGWLRHRRMAPIIDIFMAGSSDMVDIHIGVKFQLLHIERNYLRIQEYQDYDPLKATAAAALDDATPENMRNLVGVGERMLEQQVTRVNVETGRYEKVSDEGSNADALIRMARQLSEERTARLQRRMGEVTAASACATGF >OGLUM12G16770.1 pep chromosome:ALNU02000000:12:21800587:21806374:-1 gene:OGLUM12G16770 transcript:OGLUM12G16770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKLDDDSSWEEVLNINSYALFMGYLSMAVKGLSVLVVLWTTVVLLGGFVSMLGKKDFWSLTIITLVQTTGVFDVFLKEKLQHVGKSFYGLCGTISGMLMKDYSSLLSGRRLVLAYVLLALNLLLFAVILCPLALFYLTGLFITTGLSLWRLIQRDYAMSDGDGSSSANLEPALNVLYSLVLFQGVLFCYRLASRFAGKRIARLVAMDYGFDKDDRAGQALVMKYMRKTKTGCEKDPSFAKGRNMVKFAVALMKPESSSSGDYMSGAMILDKILGQEELQGQHALIRKLVGSASSSKVMKRLLRSLRSSSQYNRDIRVLAARIVASLAGEISLASFPNALRCISSLLDTTTTEQQDDDDSASSAHCNGLMKCGLVILDKLAAEEHNRRLIIDNDQGILSKAMAPVSADLLHCIDHATWSDVSAASMQFMCRLVTAPGTTGDTLRSQIFENKGAINTMEKILTCNQCNEKLQILAIKIITKLPMDASSSINTESRENFTKQMVHIFTRSTSTDEKNKDASKRQLAGEALAMLSDQSESSAATIIKAKDTVVRDLCKMLLDDNTDREYRISASEILEHLYIRYTKKDGYLKELTEALNDVLPMVLKEILLFPPKQEEKKTEKAEKETDGAKLPAQNPDPEKGPDPVVSQDEGNVNEQKDDINNKNVDRKLHAALLSLSAALFEKSITDDTALTQLADKIVPGDSAFSFPRELKKMVEGNSDATANCLRIMKITSRMIISLIKLNGGYVQAEVESLLESLSKASDNMLELEGLMFSSSDHSTTKPFNSLVKEAQDCLEEKKKHAQILVTTPAL >OGLUM12G16780.1 pep chromosome:ALNU02000000:12:21811190:21811579:-1 gene:OGLUM12G16780 transcript:OGLUM12G16780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSRHTTAHGRDAPGYTELMLLVGLRMHSHEARRRQGQLPCHKPHDTDDLLTAMIMAPVSSEGFHQDQHHDVRVDRHSRRPPSACKPSPRTSCNGKSQAATD >OGLUM12G16790.1 pep chromosome:ALNU02000000:12:21811593:21811917:-1 gene:OGLUM12G16790 transcript:OGLUM12G16790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAGCLYISGALSLWRLVERDYIVGAGELMPVIHELLTGGDIIHKLVQTLSPRSSPYDREIHLEELPPRGLQCIASLLVTFQEYSGMETYERD >OGLUM12G16800.1 pep chromosome:ALNU02000000:12:21811929:21817033:-1 gene:OGLUM12G16800 transcript:OGLUM12G16800.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pentatricopeptide repeat (PPR) superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G13650) TAIR;Acc:AT4G13650] MTRRGAASLGWSLAGFLAQEDPAKVLSLFADKARQHGGLGPLDFACALRACRGNGRRWQVVPEIHAKAITRGLGKDRIVGNLLIDLYSKNGLVLPARRVFEELSARDNVSWVAMLSGYAQNGLGEEALWLYRQMHRAGVVPTPYVLSSVLSSCTKAELFAQGRSVHAQGYKQGFCSETFVGNALITLYLRCGSFRLAERVFYDMPHRDTVTFNTLISGHAQCAHGEHALEIFEEMQFSGLSPDCVTISSLLAACASLGDLQKGTQLHSYLFKAGMSSDYIMEGSLLDLYVKCGDVETALVIFNLGNRTNVVLWNLILVAFGQINDLAKSFELFCQMQTAGIRPNQFTYPCILRTCTCTGEIDLGEQIHSLSVKTGFESDMYVSGVLIDMYSKYGWLEKARRVLEMLKEKDVVSWTSMIAGYVQHEYCKDALAAFKEMQKCGIWPDNIGLASAISGCAGIKAMRQGLQIHARVYVSGYSGDVSIWNALVNLYARCGRIREAFSSFEEIEHKDEITWNGLVSGFAQSGLHEEALKVFMCMDQSGVKHNVFTFVSALSASANLAEIKQGKQIHARVIKTGHSFETEVGNALISLYGKCGSFEDAKMEFSEMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKKEGIKPNDVTFIGVLAACSHVGLVEEGLSYFKSMSDKYGIRPRPDHYACVIDIFGRAGQLDRAKKFVEEMPIAADAMVWRTLLSACKVHKNIEVGELAAKHLLELEPHDSASYVLLSNAYAVTGKWANRDQVRKMMRDRGVRKEPGRSWIEVKNVVHAFFVGDRLHPLAEQIYNFLAVINDRVAKVGYKQEKYHLFHDKEQEGRDPTDLVHSEKLAVTFGLMSLPPCMPLRVIKNLRVEKYTSLYVNFLHQKRTNGQVGVFDASMKEKLVHIVCALIGSVSVIAEEFYVDFLMDGFTMRAAVIHFHVVELLVGFGSEFRAGVRHRIVHAH >OGLUM12G16810.1 pep chromosome:ALNU02000000:12:21817965:21821781:-1 gene:OGLUM12G16810 transcript:OGLUM12G16810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAAASSAAVGEAAAAAGEGRMTMVVGVDESEHSYYALQWTLRHFFAAAAAGQPPQYRLVVVNAKPTAASAVGLAGPGAADVLPFVEADLKKSSMRVIEKARELCAQVSDALFEVLEGDARNVLCESVERHQAEMLVVGSHGYGAIKRHLQHDGMDLARMYILPPIGSWIEKLITTMVKGGKPVMLVGIDDSDHSYYALEWTLKHFFAPGQPQQYHLVLLTSKPPASAVIGIAGLGTAELLPTLELDLKRSAARVIEKAKEMCSQVIDASYEVLEGDARNILCEAVERHHADMLVVGSHGYGAWKRAVLGSVSDYCSHHAHCTVMIVKRPKHNMHS >OGLUM12G16820.1 pep chromosome:ALNU02000000:12:21835949:21837610:1 gene:OGLUM12G16820 transcript:OGLUM12G16820.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein [Source:Projected from Arabidopsis thaliana (AT5G49130) TAIR;Acc:AT5G49130] MVEALHEHAPNHTSMSEVMEELKLMRRLCLPISALNLLHYVKSMVTVLCLGRLGRAELAGGALAVGLTNVTGYSVLSGLALGLEPLAGQAFGSGTGRTRSRPRRALRRAVLLLLAASLPVAALWACAGPAARAARQDAAVARAAGSYCRYAIPDLAAASVLLPARVYLRSKGEAGRLASCAALAVALVHAPATAYLGARLRVPGVAMAACMTSFATLGFLWISLTWAPAQNEPDEPADLEEWAGVGQWAEWADLLRLSLPSCLSVCLEWWWYELMTIAAGYLRDPHTALATAAIVIQTTSLLYTIPVTLSSAVSTRVANELGAGRPRSAQVSFVVAMGIAMMGSCVGLTWTTFGRGLWVQVFTTDPTIQSLTTSVLPVIGLCELANCPQTTGCGVLRGSARPAVGAAINLYSFYLVGAPVALVLAFGLDMGFLGLCLGLLSAQVVCLISVGFATFQTDWEAEALKAFHLVGGGDEKCGDDLPCLAHKENV >OGLUM12G16830.1 pep chromosome:ALNU02000000:12:21842333:21846018:1 gene:OGLUM12G16830 transcript:OGLUM12G16830.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKRGNSGFFQDRHWGRLGLVLGRLQQTDAAGRLPSTDQNAAGAGKTEGGIPTGAISPTSTSPPPLHPSSPSVPPPPGSGASPRRAVAAESVVLGGVAVLPPPTMLRRCVPSRPRRLPLPDDHPLVAVFSFLFIPT >OGLUM12G16840.1 pep chromosome:ALNU02000000:12:21850057:21860158:1 gene:OGLUM12G16840 transcript:OGLUM12G16840.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MRHRNSYVLSKKTLDAPGSGALGWDTGFMVVRIRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >OGLUM12G16840.2 pep chromosome:ALNU02000000:12:21850057:21860296:1 gene:OGLUM12G16840 transcript:OGLUM12G16840.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MRHRNSYVLSKKTLDAPGSGALGWDTGFMVVRIRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >OGLUM12G16840.3 pep chromosome:ALNU02000000:12:21846070:21850432:1 gene:OGLUM12G16840 transcript:OGLUM12G16840.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >OGLUM12G16840.4 pep chromosome:ALNU02000000:12:21846070:21850570:1 gene:OGLUM12G16840 transcript:OGLUM12G16840.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein [Source:Projected from Arabidopsis thaliana (AT5G27920) TAIR;Acc:AT5G27920] MSEEVQRYGGGGGGGMAALSLDLLGQVLDRVREPRDRKACRLVSRAFARAEAAHRRALRVLRREPLARLLRAFRALERLDLSACASLDDASLAAALSGADLAGVRRVCLARASGVGWRGLDALVAACPRLEAVDLSHCVGAGDREAAALAAATGLRELSLEKCLGVTDMGLAKVVVGCPRLEKLSLKWCREISDIGIDLLSKKCHDLRSLDISYLKVGNESLRSISSLEKLEELAMVCCSCIDDDGLELLGKGSNSLQSVDVSRCDHVTSQGLASLIDGHNFLQKLNAADSLHEMRQSFLSNLAKLKDTLTVLRLDGLEVASSVLLAIGGCNNLVEIGLSKCNGVTDEGISSLVTQCSHLRVIDLTCCNLLTNNALDSIAENCKMVERLRLESCSSISEKGLEQIATSCPNLKEIDLTDCGVNDAALRHLAKCSELLVLKLGLCSSISDKGLAFISSSCGKLIELDLYRCNSITDDGLAALANGCKKIKMLNLCYCNKITDTGLGHLGSLEELTNLELRCLVRITGIGISSVAIGCKNLIEIDLKRCYSVDDAGLWALARYALNLRQLTISYCQVTGLGLCHLLSSLRCLQDVKMVHLSWVSIEGFEMALRAACGRLKKLKMLSGLKSVLSPELLQMLQACGCRIRWVNKPLVYKD >OGLUM12G16850.1 pep chromosome:ALNU02000000:12:21863714:21864513:-1 gene:OGLUM12G16850 transcript:OGLUM12G16850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSTTRLSDSNFLLKINLIGNRTKMRKDVKCWNFEMIVDSDRTCFMDFVQSIKIPLYHGRMKLQLLLCPFHHQGQGVAQISPMKQLKEKRKGRAKARKRLSQKRLQKLSSCNLKLVQQ >OGLUM12G16860.1 pep chromosome:ALNU02000000:12:21865584:21868706:1 gene:OGLUM12G16860 transcript:OGLUM12G16860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGGGDLGVAREAREEVERGGGGGGGGGMRKARVCVRAPHGAGALLVVGGAVVGAAVFAWCRRRGEGERKRGAKNHGEHPAKEEEGLDGGVVEGEQGDAQRLHQIYQNLSRENVVVGVNGSDGKATDELYQIQKDDEVVPNGDKIVLSELVTEAVEKYDHNSVKDCAGIAADVMVNEAVETYDHNSERDCTEITAHAMDTENVTEDDDNSVKNSVENEITDTEGEENSVDSTLSLSSPDITLEEHENHNCVVQDTASREITLSTGSVMHQEQFSEEAKNEETAEVKLAQETVTTPMVEAEVKLEEETETIPIAETTEVKPAEETETSTMAETVQVKPAKETEITSMADTAELKLAEETETASMAETAEVKLAEETETIPMAETAEVKLAEEKAMKKNEFEQEEENSKEESVKPYNSLAYSSVPSLLKRTVKKGQVNPRWNETGMKLEQDCTNGELNEHELTKGGAAAMGGSVLTMARRPDSMAILALIVAVTIAITIVVRLYVPLQAT >OGLUM12G16870.1 pep chromosome:ALNU02000000:12:21872331:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIDATRKVIKEIGNLTELRTLRIVFSSEKTFELKESIQTSIQRLTNLQDLDLRNNVNFEEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLRRGGLNDLGFGGDNKAVIEEALKDYPNQAALSTMLRVLLVT >OGLUM12G16870.2 pep chromosome:ALNU02000000:12:21873072:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.2 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRVKLAQGLMLGDMKSLQELVIDATRKVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLRRGGLNDLGFGGDNKAVIEEALKDYPNQAALVLIC >OGLUM12G16870.3 pep chromosome:ALNU02000000:12:21872331:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQAGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIDATRKVIKEIGNLTELRTLRIVFSSEKTFELKESIQTSIQRLTNLQDLDLRNNVNFEEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLRRGGLNDLGFGGDNKAVIEEALKDYPNQAALSTMLRVLLVT >OGLUM12G16870.4 pep chromosome:ALNU02000000:12:21872331:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.4 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQAGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIDATRKVIKEIGNLTELRTLRIVFSSEKTFELKESIQTSIQRLTNLQDLDLRNNVNFEEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLRRGGLNDLGFGGDNKAVIEEALKDYPNQAALLPGVLLVT >OGLUM12G16870.5 pep chromosome:ALNU02000000:12:21873072:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.5 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQAGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIDATRKVIKEIGNLTELRTLRIVFSSEKTFELKESIQTSIQRLTNLQDLDLRNNVNFEEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLRRGGLNDLGFGGDNKAVIEEALKDYPNQAALVLIC >OGLUM12G16870.6 pep chromosome:ALNU02000000:12:21872331:21876186:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.6 gene_biotype:protein_coding transcript_biotype:protein_coding MNIATGAMNTLLPKLGELLVGEYKLQKGVKGEIEELEKELKGMTAALHKVAETLVDQLDQQVKIWASYVRELSYDIEDAIDTFMLKSKGHEPATSFKKVTNLFNKFKTNHQIHGVIKDIMDQVKKVSERRDRYIVDDNAARPTVVDVDPRIEAMYRKTTELIGINKPKIELTKRLLEYDGSSKQQSNIISIVGFGGLGKTTLANSLFQELKAKFDSYCFVSVSLNPDINKILKNILLQLDEKKYSHIDEAWQTKQLIDKIRDFLNNRRFLCVIDDVWKKPAWDTIKLAVQDAKLGSKIIVTTRNKVVAEHAGGGVYEMKPLSDDDSRQLFCKRIFDSNDDCPADLCGVTEKILKKCGGVPLAIITTACLLASKPRNSQEWDKVNKSIGFGLEDNLDVDKMRKILSLSFNDLPFHLKTCLLSLSKYPEDELIRKDRVLLQMKHDLQGQACRRLESYFSELINRSLIQPMSGDYYWLEDGKVHACKVHDMVLELINQLSVEEDFVTTYLSDGQQAGKCTYTTQKKKIRRLSLHNSNKSYASQEAREQLSKVRSITIFGKVDSIPPLSSFHVLRVLQLEDCSGMDKNHLNHLDKLRLLRFLRLGHYSATELPESIGKLESLETLDIRGASKPNSLKSHKVLFPMSFVKLRKLLRLYAGRVKLAQGLMLGDMKSLQELVIDATRKVIKEIGNLTELRTLRIVFSSEKTFELKESIQTSIQRLTNLQDLDLRNNVNFEEIIDMQQVPSGLQRLFMHDSYMEAFPCWINSSMLSCLTTLSIRLGFEYLQSDHLDRLAELPSLRFLKLQLALVSEQLQEKLTIHRGVLLVT >OGLUM12G16870.7 pep chromosome:ALNU02000000:12:21876193:21876824:-1 gene:OGLUM12G16870 transcript:OGLUM12G16870.7 gene_biotype:protein_coding transcript_biotype:protein_coding GLILSFPQLPWLVILSLFSLVRLEQSLTPGLPPVDPSSSTLAAGRFHHGIDRSGAARPRGGVAADPIRGAARAGRSGAEPATARPHPLSRSTSDSGIQHQI >OGLUM12G16880.1 pep chromosome:ALNU02000000:12:21880015:21883452:1 gene:OGLUM12G16880 transcript:OGLUM12G16880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSAAAATSSSSSYTDTSGSSSDSSSSSGSDRRRRRARHRSGHRKDAAAVASSSSSALKARKDRRSRHKRRRRERRRSPSSSYDSEHEGKSRKHKKSRSSRKSRERERSKDRHSKRDKSKHKEKKDSERTSGPVQLSKFLGRDKDEGVQRSAISGKKIMMKLEKSKEDKQAESKRNELLKFLNAKTM >OGLUM12G16890.1 pep chromosome:ALNU02000000:12:21883745:21886471:-1 gene:OGLUM12G16890 transcript:OGLUM12G16890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLAGDGDGDGELRFLESASEHSEGMRLVNLNGLRFKQLKLVCLALFVVLLTWKWEKGSLRNSGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLDALVQSVDEVGREATAASPPQSVVHEAENVTGRRKASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHWFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAAIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G16890.2 pep chromosome:ALNU02000000:12:21883745:21886471:-1 gene:OGLUM12G16890 transcript:OGLUM12G16890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLLAGDGDGDGELRFLESASEHSEGMRLVNLNGLRFKQLKLVCLALFVVLLTWKWEKGSLRNSGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLDALVQSVDEVGREATAASPPQSVVHEAENVTGRRKASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHWFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAAIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G16890.3 pep chromosome:ALNU02000000:12:21883747:21885565:-1 gene:OGLUM12G16890 transcript:OGLUM12G16890.3 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNLNGLRFKQLKLVCLALFVVLLTWKWEKGSLRNSGELLRSEPLALSHPVQSNYVDHDISKEEIFSSLDALVQSVDEVGREATAASPPQSVVHEAENVTGRRKASPLEKKECDYRNGRWVPDDRRPLYSGLSCKKWLSDSWACRLTQRKDFAYEKFRWQPEGCDMPEFQASQFLKRMQDKTIAFVGDSLGRQMFQSMMCMLTGGDDHSHVEDVGKRYGLVVARHAKRPEGWAYRFRRTNTTILYYWSATLCDLEPLRRSDQATGYAMHLDRPPAFLQKNLHWFHVIILNTGHHWNRGKMKANRWQMYVSGVPSHDRDIAAIWKAKNFTIHNVVRWLDDQLPRHPHLKVFYRSLSPRHFFNGEWNTGGTCDNKNPLSKGNSVFRNRSDDAEAEGAVRGTGIKFLDITAISRLRDEGHISRYSIRGRGGVQDCLHWCLPGVPDTWNEILAAQL >OGLUM12G16900.1 pep chromosome:ALNU02000000:12:21889243:21899656:-1 gene:OGLUM12G16900 transcript:OGLUM12G16900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLUB [Source:Projected from Arabidopsis thaliana (AT5G54440) TAIR;Acc:AT5G54440] MANYLAQFQTIKSSCDRIVVAVEDVSDLWLNVKESFEQRLPVKKACLNNKARNPVFVENLPAEFIQTTDSRLRSRFPQDQYLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQASKMAKRVYARLESDFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMTNLHEDSLREYDELELCYSESVNSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLHRPIEVAARGYAFVVSFSKTLALQENGLPFCFREVWVITACMDLIKATTSHYDGTAVAIDSEKEFCRIQGDLYSLCRIKFLRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPLDSSAETMAKEKASSFQHYFLTFNLLVMLHMILQAKSREKIFNIHRKPLPLEPSLLLREANRRRAFLSVGNISELYDSGDGSGLDANSKPSPNKSASNYMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTVSDPNFMTSLSSLEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHENYDLAAKSYEKVCALYSAEGWEELLADVLPDLAECQKILNDEAGYLTSCVKLLSLESGLFSSKERQAFQSEVVRLAHSEMKHPVPLDVSSLITFAGNPAPPLELCDGDPGTLSVAVWSAFPDDITLESLSLRLSASSSADEGLKAIKSSDARVLVPGRNIITFDIPPQKPGSYVLGALTGQIGKLSFRSHGFSQDGPVDTDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKDGILHIDAGAGLKIEESQMIEIETYGGDVEHVGGTDASKTSSSSTDTRKVEKVPIEDGKIKIPDWASDVTTLVWFPVRAIDDTIARGASPASPQKQSIVDGMRMIALKLEFGVFLNQVFERTIAVHFTNPFHVSTRVVDKCYDGTLLLQVILHSEVKATLHVKDIWLDLQSGFEHTGKGDGRPTSNLFPLVIAPSSRAGILFVIRLSALGDMDELEKADSMLNIKYGISGDRTTGAHSPVPVKPDDSEELVFKIAVKLKRPVLDPCLAVGFLPFSSDCLRVGQLVNMRWRVERLKNPEDASLLADEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRIEITVTCVPLVSGYVHPPQLGLPHVGEANISCNPAGPHLICHCELEYYVGQMEITVLLLTFFFTGNYFCWSPDCFRTAP >OGLUM12G16910.1 pep chromosome:ALNU02000000:12:21901298:21905764:-1 gene:OGLUM12G16910 transcript:OGLUM12G16910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKGGMATAAGTAVLVYLVLSGRLCGDAAGDEDQTISAAVSAAVEARRRRRKEEAGRARRRGARARRWPERAPAGWGEAAAAAARTVRYTYGETLGKWPLGEIAFGLKYYMRQQGNLQHEYAGSNSQLLEGPVVKEELISLLGYLKLCMYFSKKPYKVFMEFSGYDESDVLIKKSKARLMKPSFTVVRDRSAKCFLLFIRGAISVKDRLTAATGAEVPFHHVVTQDGHVSKLVLGHAHCGMVVAARWIANQAIPCLNEAVAQFPDYGVKIIGHSMGAGIAAILAYILRENEKLSSSTCLAFGPAACMTWDLAESGKDFITTIVNRNDLVPSFGKVSAAKLRTEVMASSWVHDLREQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLVPNSSEADQVKPSSNSDAVVKKRHAIACWSCVAAQKHSIDSAKHGTHDITNQTDVNVKAEKTDIETAQLVSISMEELDLQESDNDDDTDRGENEPALNETDEDQAVELLETLTDNPHEPSSSSSQSQDPPQLYPPGRIMHMVGLPSSSEPNSTSEQGEEVVALYETPRHLYSKIRLARSMIREHYMPKYIRTMELLIDKLVAEEEEEDIDDDHRLGSL >OGLUM12G16920.1 pep chromosome:ALNU02000000:12:21930044:21934857:1 gene:OGLUM12G16920 transcript:OGLUM12G16920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVLVVAMAAVAVAVGVASGEEEAAAARCARRPRPRPHSVTISEFGAVGDGVTVNTLPFQNAIFYLRSFADKGGAQLYVPRGRWLTGSFNLTSHLTIFLEKDAVIIGAKEVSEWPIVEPLPSYGQGIDLPGARHRSLINGHNVTDVVITGNNGIIDGQGLTWWNWFRSNKLNYSRPHLVEFVDSEDIVISNLTLLNSPAWGIHPVFCSNVMVHDVTIRTSLDAPLTDGIVPDSCSNMCIEDSSISVSHDAISLKSGWDNYGITIGRPASDIHISRVDLQASLGAALAFGSEMSGGISDIHVDHLNIHGSSRGILFKTAPGRGGYIRDVVISDVQMEDVNVAIKFTGDWSTHPDNHFDPSALPMINRITLKNMVGTNISVAGVLSGINGDPFTNICLSNISFSLADSTQSSSWSCSNISGYSELVFPEPCPDLHHSSSNSSICFSLLTYHALAAA >OGLUM12G16930.1 pep chromosome:ALNU02000000:12:21935705:21936246:1 gene:OGLUM12G16930 transcript:OGLUM12G16930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGRPLPISLPLDPLPLPDLAGGEWSVKTKEGGGGDDAVEEEDEGKARWRKGRRALDPSGGGENIFAGGPLKDALMKIDFLKRYCGRL >OGLUM12G16940.1 pep chromosome:ALNU02000000:12:21938269:21949988:1 gene:OGLUM12G16940 transcript:OGLUM12G16940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSISDERAVSVSAERVWKVFSDAPAMPKVCAGFIDAIEVEGDGGAGTVTTMKLNPAVDDGGSFKTRVVARDNAAHIIKSEVLDVPAGSKVGKLKSHVTETKIEAAGAGSCLAKINVEYELEDGGSLSPEKEKLILDGYFGMLKMIEDYLVAHPTESSLMAPVCISDERAVAVSAERFWKVFSNPPAMPKVCAGFFDAAEVDGDGGPGTVVILKFNPAVKQGLYKTRVVARDNASHFLKSEVLEVALGRAGKLKTHLTETKLEATGAGSCMAKLRVECEPEDGGSLSPEKQKIILEGYFGMLKMIENYLVAHPADSPLKQKQKQLS >OGLUM12G16940.2 pep chromosome:ALNU02000000:12:21949711:21951747:1 gene:OGLUM12G16940 transcript:OGLUM12G16940.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVAVSVERLWKVCLDVHSLPKVCAGFIDAVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKAEVLEVKSKVGNLKSHSTETKLEATGDASCVAKLTVEYELKDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >OGLUM12G16940.3 pep chromosome:ALNU02000000:12:21949711:21951822:1 gene:OGLUM12G16940 transcript:OGLUM12G16940.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDERAVAVSVERLWKVCLDVHSLPKVCAGFIDAVEVEGNGGPGTIHIMKLNPAADAGSVYKTKIVVCDSATHVLKAEVLEVKSKVGNLKSHSTETKLEATGDASCVAKLTVEYELKDGASLSPEQEKMIVDGYFSMLQMIEAYLVAHPAEYA >OGLUM12G16950.1 pep chromosome:ALNU02000000:12:21954044:21955474:1 gene:OGLUM12G16950 transcript:OGLUM12G16950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAFVSDELAVAVSAERLWKVFMDASAMPKVCAGFVDDIVVEGNGGPGTIYTMKLNPGVGVGNTYKTRVAVCDNAAHVLKSEVLEAESKVGKLKSHSTETKLEGTGDGSCMAKLKVEYELADGSSLSPEQEKTMVDGYFGMLKMMEAYLAAHPAEFA >OGLUM12G16960.1 pep chromosome:ALNU02000000:12:21956799:21958246:1 gene:OGLUM12G16960 transcript:OGLUM12G16960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPACVSDEHAVAVSAERLWKAFMDASALPKACAGLVDDIAVEGNGGPGTVYTMKLNPAAGVGSTYKTRVAVCDAASHVLKSDVLEAESKVGKLKSHSTETKLEATGDGSCVAKLKVEYELEDGSSLSPEKEKDIVDGYYGMLKMIEDYLVAHPAEYA >OGLUM12G16970.1 pep chromosome:ALNU02000000:12:21960054:21963953:-1 gene:OGLUM12G16970 transcript:OGLUM12G16970.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like D5 [Source:Projected from Arabidopsis thaliana (AT1G02730) TAIR;Acc:AT1G02730] MSRRLSLPAGAPVTVAVSPVRSPGGDAVVRRGSGLTSPVPRHSLGSSTATLQVSPVRRSGGSRYLGASRDGGADESAEFVHYTVHIPPTPDRATASVASEAEAAAEAEEVHRPQRSYISGTIFTGGLNCATRGHVLNFSGEGGAAAASRAAASGNMSCKMRGCDMPAFLNGGRPPCDCGFMICKECYAECAAGNCPGCKEAFSAGSDTDESDSVTDDDDDEAVSSSEERDQLPLTSMARKFSVVHSMKVPGAAANGNGKPAEFDHARWLFETKGTYGYGNALWPKDGHAHSGAGFVAADEPPNFGARCRRPLTRKTSVSQAILSPYRLLIAIRLVALGFFLAWRIRHPNPEAVWLWAMSVACEVWFAFSWLLDSLPKLCPVHRAADLAVLAERFESPTARNPKGRSDLPGIDVFVTSADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLSFEALAETASFARTWVPFCRKHGVEPRCPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAAAAAAAGNGELGAAAVETAAVKATWMSDGSHWPGTWTCPAADHARGDHAGIIQAMLAPPTSEPVMGGEAAECGGLIDTTGVDVRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSSALREGMCFMLDRGGDRVCFVQFPQRFEGVDPSDRYANHNLVFFDVSMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRRKIKLFLTKKKSMGKKTDRAEDDTEMMLPPIEDDDGGADIEASAMLPKRFGGSATFVASIPVAEYQGRLLQDTPGCHHGRPAGALAVPREPLDAATVAEAIGVISCFYEEKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTPRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNAGMYPFTSVFLLAYCLLPAVSLFSGKFIVQRLSATFLAFLLVITLTLCLLALLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGNGGGDGGVGGEGNDDEAFAELYEVRWSYLMVPPVTIMMVNAVAIAVAAARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMIISLLWVYISPPAGARERIGGGGFSFP >OGLUM12G16980.1 pep chromosome:ALNU02000000:12:21965294:21965521:1 gene:OGLUM12G16980 transcript:OGLUM12G16980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPDPPTTALDLASPTSDGARRRMPGRMATGEREREEWRWTPAMGGRPSSSFSRPPATGEASDGGGERERPLRR >OGLUM12G16990.1 pep chromosome:ALNU02000000:12:21974135:21979379:1 gene:OGLUM12G16990 transcript:OGLUM12G16990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSWERLAADARLQDALIGMEEAEGSEGEEEEAAEVACPFCDEEFDGFGLCCHIEDEHQAENRAGVYKLIHEVFLSCFHYRVVKVCPICYDAVGMDLVSHITSEHPSFFKGKWRNRRVSHGSHSSTRATLKKDAAYLQYRYGGSTRAASHNTDPDPLLSSFVGNFTDTDLPKDVQQEFRDETDEKSDSLVQKEQKPVESADEPLLPEVKEERTRRSQFVQGLVLSLMFDDIL >OGLUM12G17000.1 pep chromosome:ALNU02000000:12:21985636:21989895:1 gene:OGLUM12G17000 transcript:OGLUM12G17000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKRRPEEESPQAAALKKRCRSFDLEIRGCRHLQELAAGCVRTLEAALESAISRIPEEVTKALTSFLIRAPRTLSDQNQPPRYKLRFSNGLSNEVFTKKGICDVNGESLKISVHVNNPQEACSHRLLSAKIRVVVLDGDFNKNDQGCWTSEEFRNHIVRPRDKVGAVLTGELELSLKNGEAYIHDATFVDNSKFMRSGKFRLGVMVIDDLGERIQEGITEPFVVKDRRGEGSKKHEIPSLDDDVWRLKKISKDGVFHDALKQSGISNVKEFLRLYYTDEPALRKILIKATDKVWTTIIEHAKKCDPGRELYSFLPENCNVVLFFNSIHQIVGVITGDRYTPFSDLDKSMQDDVGQLSKLAYADLNHFLPDFEMKNGKPRQINQCAFQESRMVEPKFTDQIQGHMDPNFAGLIQGNMDQKKRNVHESDDQQGTSGSHPRQCKLSRFGSVRVTRVASLNKNDEDSLDFSFLLNSLSDQHDASMNTNEIAGSVTFHCPTASTNEITGSVVMRQASFRMDHPACENDASVAEFHQEQQVVTAQFDPSFLAVLADAPMYSTHNSFKESECHEALQKLSED >OGLUM12G17010.1 pep chromosome:ALNU02000000:12:21992862:21997028:1 gene:OGLUM12G17010 transcript:OGLUM12G17010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELMGAKKRELLLLERRGSEKRLRVTVPAAAVAAVGVGTAALASPATRMLRKIVLVLLFLLRMSERVTVVESISQIGRMVQRLHNVQGVIIKKLENIQGRMENMQERMEDISHEVKQLKHLHSNRHADQHPRLEPNTNVQLRFLDDLKTPVYTEKNITAESNEAIRIGIFEGDNMITDGPLSKVKVEIVVLRGDFSNDGRVSWTEEQFNNHIAQGRNGQGFVLGGDCGVWLKNGENRLGKIRFKEGSSRTRSRMFIIGARVSKSENTGVRVQEAVMKPVTVLDRRNEANEKRHPPMLDDEVFRLEEICKDGTYRKRLQKAKIFTVRDFLKAFYKNAKKLREEVLQMKKNTSSWDKMVGHARECCLRDQHELKAYQNEEGNVMLFFNCVHELVGAAFGCDYVIYDKFDPAQKTLVNELKGCAHAKLEDIPFNYVIKNGIPELIRTSSAATAGPSGPSNYAMPYQATGAAENLGPLVPQTEEQDSLGPPTNFYGSSECGCTGDDVADFDVLREYYAHAEMQTLPSYGSEAEQSLYGEPGPSNSAYPGSYPH >OGLUM12G17020.1 pep chromosome:ALNU02000000:12:21997399:21999714:1 gene:OGLUM12G17020 transcript:OGLUM12G17020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATSGGGGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHQSHQDYMERANKARLERLSSGQSSSPKE >OGLUM12G17020.2 pep chromosome:ALNU02000000:12:21997399:22000042:1 gene:OGLUM12G17020 transcript:OGLUM12G17020.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEATSGGGGGGGFRARVEHYLYSGEKKHVVAGIAIFAAIFGVPWYLMTRGAKHQSHQDYMERANKARLERLSSGQSSSPKE >OGLUM12G17030.1 pep chromosome:ALNU02000000:12:22004473:22009112:1 gene:OGLUM12G17030 transcript:OGLUM12G17030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLALLRLRLSTSCCDDARDDPESGAERGNGGEGREAPGGRKKEADHLISRSMDGNKRRRTRRRLSLATATDGDGDGTAAAAPPAKRQRCHAVEDLPSPRRGLLRQSVLVVVFLRRAMLLAWGRKADDDDDDDAAVGVSRIGGLVRDELRRCLGPIVRGFSLQFSKLERRLERIDQRIENLNHKVDQITPLRHSHCNHQQPMQGTNHEGANAEGVETNEEDDKNTCVRLRFLNEMKPPIYHDDELKAENNEDIRIAIFDGEQMIKSGPLSKVKLEILALEGNFPYNSMESWTTKEFNEHRACGRDERGNVLAGERTVQLINGEASLGAIKFREGSCKARKGKFMLAARVCDSASTGVHVQEAVMTPVVVQDRRNKSNEKSHPPKLDDKVHRLEEIAINGKYCKRLAENDIETVEDFLKALNKDPDNLANILHMKKGSKAWEKMVTHARDCSLEGKPELKSYPVAQTNVVLIFDCVNSLVGAWFGDSYIASDSLSSAQQVIVDKLKGEAYKLLDKLPFDYIMEGGFPIPNPMNVNANADGHHAAYQVQGTEAVGGLDHAQIDPSFANANYQDQSTAQAGQEQLSSAAVAGWYQGPIAQPSSSHQTNHVVYPGGAQVNYSHQTNCVAPCDYPCQGASMVPGFDQVELQGRPFLGRDDLEASTSAHNNLPFPPQQQFTFSGDPGSSAQVNMQSQSQGQAATQGNLPTQQQWSQSQYHGNNWG >OGLUM12G17040.1 pep chromosome:ALNU02000000:12:22009645:22015438:-1 gene:OGLUM12G17040 transcript:OGLUM12G17040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVNPAFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHMEV >OGLUM12G17040.2 pep chromosome:ALNU02000000:12:22009647:22015566:-1 gene:OGLUM12G17040 transcript:OGLUM12G17040.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase family protein [Source:Projected from Arabidopsis thaliana (AT4G02120) TAIR;Acc:AT4G02120] MAEPGEEEAAAEPKHPAPAASTKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKDNFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKVHEAIIKQLNLGRSAGPPELRDWTQMAESYDDLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATATSAPDAHAKAWETLKGSSCILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRNVLGLKDADSEEFNSETPSRVVMYMPEVNPAFVAMLENAGLHFVGCDESGKRMEVVELQDHPFYIGVQFHPEFKSRPRRPSPPFTGLILAAAEYMGTPANNSNGHMEV >OGLUM12G17050.1 pep chromosome:ALNU02000000:12:22034157:22038068:1 gene:OGLUM12G17050 transcript:OGLUM12G17050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAARRAEVEEMRRRTFLPYQQGLLFGCLGLTSRLSSAAAAAAGKSRVFTPSTPRALSGEGCLYLYLGLDCCKACVVRSSAALGASHCKNKLFGVMFQQKTGGELEHFLSASPECQAVISMPNGQPNDDRCRLLTGQRGMLGIMTASSFSGSNLKMPKLLIRYSLLFSLVF >OGLUM12G17060.1 pep chromosome:ALNU02000000:12:22041436:22041873:1 gene:OGLUM12G17060 transcript:OGLUM12G17060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAADTVSGDDHHLATGGDSACSTPFVSAPSSPAARDAPFPGGFFSAPASPAHHHHHHGGEGGGDGEEYEFEFDFSSRFPSPAPAAMSSADELFHNGQIRPMRLPPHPLQLTMYYFTCFKTYYSYLVKELQQKKECNFSNKLL >OGLUM12G17070.1 pep chromosome:ALNU02000000:12:22051757:22053914:-1 gene:OGLUM12G17070 transcript:OGLUM12G17070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWATRFLAAVCFFAAGVVFAPDVLLGARSGSGSGGGAAAAAKVAHLLCFATSWGAALWATFIGGIIMFKNLPRHQFGNLQGKLFPAYFMLISACAAVSVAAFAYLHPWKTASTVERYQLGFLLAALGFDLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNVQVAKNNPTLAAINKKFGMIHGLSSLANIMSFGSLAMHSWYLASKLEM >OGLUM12G17080.1 pep chromosome:ALNU02000000:12:22056856:22060257:-1 gene:OGLUM12G17080 transcript:OGLUM12G17080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLAVVVLLAGGAGLGTTAAAKAVHLLCFATSWGVTVWAILVGGVIMFLYYTFSPCSFNVLPPNLPRHAMGRLRGKVFPACFALNAACTAASAEAFAWLHRPPWPPAERRQLAVLLVAAGYDLANLLIFTPRTLEAMRERHKVERSLGIGGDGSFVGWRQNARAARSSSTLAAENARFWVAHSFSAVALVISAAGLFSHFCCLSGKIVI >OGLUM12G17090.1 pep chromosome:ALNU02000000:12:22062648:22064720:-1 gene:OGLUM12G17090 transcript:OGLUM12G17090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide (PPR) domain protein 40 [Source:Projected from Arabidopsis thaliana (AT3G16890) TAIR;Acc:AT3G16890] MRSIRLPNLLLLLRRRRLSGENRRPETPPRSSLSTRPAANPPEPADPARVASILAEDDWFPRLNAEFAASLPRLGPRFVVRALRAAAEREPLLCVRLYVWASRFGAHFARDGGVRRALVGALWRRGPVVLSGRLVAEVRGCGCEVSEELVCALVESWGRLGLARYAHEVFVQMPRLGLGPSTAVYNALIAASVRAGAVDAAYLRFQQMPADGCRPDRFTYNSLVHGVCRRGIADEALRLVRQMEGEGIRPNVFTYTMLVDGFCNAGRVEEAFRVLDKMKEKGVVPSEATYRTLVHGVFRCLERDKAYGMLSDWLGHETSLHPSACHTMLYCLSKKDMANEAVEFAKRMSARSYLLDSTAFGIVMSCALKCLEVSDLCELLDSFIKNGGNPGFDVYIMVIKSLLNCKNFSKANHYLGHMVLKGLLSSVMSYNMVIDCFVKAGAVDKAKEIVKEMQDKGFLPNLVTFNTLISGYSKLGNVHNAKVVLKMLMEHGFMPDIITFTSLIDGLCNTHQLDDAFVCFEEMAEWGVRPNAQTYNVLMHALCSAGHVNKAIDLLNKMKIDGVTPDAYSFNALILSFCRMRKLDKAQDIFNDMVRFGVVPDSYTYNSLIKALCDERRVNKAKEILFARERSGCSTSNNQSYWPIVVALTKMGQFSEAGELMNKYLSRNAQSSCGSNQSIESEVAVQVVNA >OGLUM12G17100.1 pep chromosome:ALNU02000000:12:22069404:22072496:1 gene:OGLUM12G17100 transcript:OGLUM12G17100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDEESSNPQLAPRGGEDLISRLPDDILAGIITLLPTKDGACTQMLSRRWRPLWQSAPLNLEARVNRCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSPTLRVLRILSTCDTLQFPIETACTLNFPHLKELTLSQVNIADSILHGILSRCTVLESLVLDANRGCSRLCISSLTLRSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQILALHTTPDLDVVIDFLKFFPCVEKLYIVALNQGNLKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNA >OGLUM12G17100.2 pep chromosome:ALNU02000000:12:22069404:22071309:1 gene:OGLUM12G17100 transcript:OGLUM12G17100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRGKKRRLDEESSNPQLAPRGGEDLISRLPDDILAGIITLLPTKDGACTQMLSRRWRPLWQSAPLNLEARVNRCTLGKDVATIYSTLLTHSGPEFELFYDSVGVQNPPVPPSVLRLSPTLRVLRILSTCDTLQFPIETACTLNFPHLKELTLSQVNIADSILHGILSRCTVLESLVLDANRGCSRLCISSLTLRSLGVSDTYFSVEGMLEEVIIEDAPLLERLTPPVIWHEGFVIRVIQAPKLKTLGYLSQKISTLQLGNMVFQILALHTTPDLDVVIDFLKFFPCVEKLYIVALNQGNLKNVRRYVSLECLDLHLKMVEFINYHGNLSDLNFIKFFVLNA >OGLUM12G17110.1 pep chromosome:ALNU02000000:12:22093348:22094123:1 gene:OGLUM12G17110 transcript:OGLUM12G17110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRMLDEESSNPQLVPRGGEDLISRLPDDILISIITILPGKDAARTQMLSRRWRLLWQSAPLNLEDMVDSSTMGKGRDHHRRRASSPQGRVRRFSLSWTLDYNHFFIVDYLLGSPQLCKLQEFELFYFNIDAQNVPVLLSVFCLPHTLCVLRICSTCDTLQFPMETDCMPNFPHLKELTLSNINICGWGSSRWEERLCRWGERRGTTGRRERWPVVEGGRGKMN >OGLUM12G17120.1 pep chromosome:ALNU02000000:12:22108982:22109528:-1 gene:OGLUM12G17120 transcript:OGLUM12G17120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNTVAVDGGGWRRLRSYICTSCSMWLAAEDRVESADDGWLILCNVELISIPHRYILP >OGLUM12G17130.1 pep chromosome:ALNU02000000:12:22118821:22120539:1 gene:OGLUM12G17130 transcript:OGLUM12G17130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKNRLDEESSNPELAPRGGEDLISRLPDDILTSIITILPGKDAARTQMLSRRWRPLWQSAPLNLEALVDSSTVGKDVTTIQTVLQAHRGRVRRFSLSWTLDYNHFFILGSPQLCKLQEFELFYFNIDAQNLLVPLSVFRRSHTLCVLRICSTFPWNDCTLNFPHLKELTLSNISIADSTIHCLLSRCPVLESLVMDANRGCHRLRISSLTLRSLGVSDACSYVEGKLEEVIIENAPLLERLTPPCIRNEGFVIRDTQAPKLKTLGYLSHKISTLELGTMVFQKLVPVSLSNVMRTVKILALDRAPDLDVVIDFLKCFPCVKKLYVVAFIKGNFKNALRYVSLECLDLHLRMMEFINYQGNMLDLNFIRFFVLNARVLECVKLVAAHDKYGRKWMEKQQQKLQLYGRASRGITFDFQADYGSNGSVHMKHISDLTTDDPFDSSFCRCCDEEL >OGLUM12G17140.1 pep chromosome:ALNU02000000:12:22129761:22136769:-1 gene:OGLUM12G17140 transcript:OGLUM12G17140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALPTPRHILGKTEMKEFVGGAAPSSTISDPLADITNASGLGFTNRRGKGRAKSFCVVRRNDEDQPFADDRDVSFSTPSSLPMCTGSHGDVTNLTHAEVARKHARNWYASLTQEKKDERNKKDHERRKRKEESQVLNKSATNSCVGKYPKGSNILFLASVDAKTRGLEDLLNFSAGPKNLAFRFVSVPIDLILQSTRNKDRKIAVKSINDSRSASRQ >OGLUM12G17150.1 pep chromosome:ALNU02000000:12:22141648:22143442:1 gene:OGLUM12G17150 transcript:OGLUM12G17150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKEATDGGKFFYDWQRGDRWMVVVTRVTKRRLEEESRDPELRKMRRGHVRRLSLSWRHSHDRRFPVVESILGSPELDRLQEFELFYDNVCSQNPPVPLSVLHMSVALRVLRIRSTHDGALQFPMETACMLDFPHLKELTLSNVNIMDSALHGLLSRCPVLESLVLVGNRWCRRLHISSLTLRSLGVSDSFSSVEGKLDEVIIVDAPLLERLIILRDKWQDDFVTQASKLEVLGYLSDGISRLELGTMVVQKLVPVSLSNVVRTPNVVIDFIKCILCVEKLYVKVRYYAYFNNVQQNVSLECLDLHLKMVEFINYQGNVQDLNFIRFFVLNAQVLECMKLVTRRNKCDVKWIEEQHQKLQLYSGASRRVTFDFQADYEGDILVHMKHISDLTTNDPFDRSFCRCRDEER >OGLUM12G17160.1 pep chromosome:ALNU02000000:12:22164666:22166293:-1 gene:OGLUM12G17160 transcript:OGLUM12G17160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRKLEEESSNPHLAPGGGEDLINRLPDDILIGIITILPGKDAARTQHSPCSSVTMPCLGELGAGWNRGCRRLRISSLTLRSLGVSNDTRKDEKLEEVIVEDAPLLERLTPHTIWQGDFVIRVIQAPKLKTLGYLSHKITTLELGTMVFQKMVPVSLSNVMCSVKILALDTTPDLDVVIDFIKCFPCVEKLYIVAFNQGNLKNIRRNVSLECFDLHLKMVEFINYQGNMLDLNFIRFFVLNARVLECIKLVARRDKCEAKWIEKQNQKLQLYGRASRRVTFDFQADYGVDSLVHMKHISDLTTDDPFDRSFCRCRDEEIY >OGLUM12G17170.1 pep chromosome:ALNU02000000:12:22171499:22171933:-1 gene:OGLUM12G17170 transcript:OGLUM12G17170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSINLLDLGNNRLAGGVPVELGNCSQLQMLDLSNNTLTGALPESLAGVHGLQEIDVSHNQLTGGVPDAFGRLEVLSRLVLSGNSLSGDIPAALGKCRNPELLDLGDNALSGRIPDELCAIDGLDIALNLSRNGLNPGEDIGAE >OGLUM12G17180.1 pep chromosome:ALNU02000000:12:22178009:22179637:-1 gene:OGLUM12G17180 transcript:OGLUM12G17180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTRAKKRRLDEECSNRRLAPGGGEDLISRLPVDLLISIITVRPGKDTARTQILSQRWRPLWRSAPLNLEARGQCWDVLRTHNGPVHRFSLSWEFDFGRRFPVVDSILGSPRLNHLQEFELFYCNNCSQNPQVPRSVLRLSPTLCVLRICSKVQSTSISYGDCLRAQFPTPQLTLSNVNIRESALHGLLSQCPILESLVLAGNRGCRRMWISSSTLRSLGVSNDCICKEEKLEEVVIEDVPLLERLTPLSIWQGGFVIRASQAPKLKTLGYLSHEISTLELGTMVFQMMVPVSLSNVMRTVKILALYTSPNLDAVILFIKCFPCIEKLYIMLKAFNQGNLKNIQRNISLECLDLHLKMVEFSNYQGNMSDLNFIRFFVLNARVLECIKLVAHRDKCEAKWIEKQNQKLQLYGRASRSVHLIFKLITGPVVWYT >OGLUM12G17190.1 pep chromosome:ALNU02000000:12:22184113:22187793:1 gene:OGLUM12G17190 transcript:OGLUM12G17190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRASGELLAQKFRDMTSATLDKPLRLLMKMVSSFGVSCHFIPRLSQAPTCGEASCAPVLPDDIETTVAPPPHGTAHPFLHECQQVTRKQRMELPWAATNTGEMVVTMASCRLVALQIYSRLDSPCTRTPVQLLLFVCHNELNAPVALGRERSDTGNPEQRTVEFASLWMPTLTTNQSSAYLASRIIYGRLSKQMVATSSVTRHHTRVNDHVTCHVGLHMATIYDV >OGLUM12G17200.1 pep chromosome:ALNU02000000:12:22206667:22209881:1 gene:OGLUM12G17200 transcript:OGLUM12G17200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGFADGLGRHLLFGNDSNVWLDTIPKHGNTLDDDDGKLKAAARTLFLNASDVARQLGADDDGVQIKGIEVHPEFATNGRFFISCVYNNGSSKQFVVVELSAQDSEKMDTIFTEELPQGVQSSGGQIFFKHANNTSYIYIVMGHGVIKSDAGYVDLSSDESSSLGKVFRVEIPETSPKTHQIVAKGIADPKGCNINPDDRRCMFCSLVVDGTAQVRLINIESVRETYTLIFNGSLPEITGGFKYDRASTDPSLERKYASICLALDH >OGLUM12G17210.1 pep chromosome:ALNU02000000:12:22253707:22256932:1 gene:OGLUM12G17210 transcript:OGLUM12G17210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYGVLCLLVVVCFSHTLVLHSQGSSNSTIDEVALFSFKSMLLNPSDSLASWNTSSHFCSSWHGVVCSRGHPKRVVRLQLSSLNLLGEISPFLGNLSFLRMLHLGDNHLTGQIPPELGHLSKLRVLNLSANSLIGSIPVTLGGCAQLTFLNLRNNRLHGEIPAEIGSLEKLAVLQLRSNNLSGQIPPSLGGLPVLGALYLTSNELSGEIPPALGNLSNLWGLGLSDNMLSGAIPSSFGLLSRLSSLTIGFNNLSGEVPTSIWNISSLTMLSIEETMLSGRMPPNAFSNLRQLQSLYIHHNLFDGSIPVSIANASSISVINLGHNFLHGVVPPEVGSLRNLERVVLAESSLEAKTVDDWKFMTALANCSRLQILDLGGNKFSGVLPDSVSNLSRSLIYLSVGQNRISGSIPRDIGNLINLQALVLSENSFRGSLPSSLSRLKNLRGLAVYNNNLSGSLSLTIGNFTQLIYLLLHMNAFSGTIPSTLGNLTELLQLHLGYNNFTGLIPKEIFSIVTLSEFLDVSHNNLEGSIPQEIGSLKNLVEFHAESNILSGEIPSTLGDCELLQNIYLQNNFLNGSIPSPLSHLKGLTTLDISNNNLSGTLPGSIADFRALKYLNLSFNKFVGEVPTVGIFSNDSSFSIQGNDKLCGGIQALHLPPCSLQLSKKKHKIPVIPITAATVATLLLLSSTYMLVACHKKRKAKIQSTSSMEGHPLISYSQLVRATNGFSTNNLLGSGAFGSVYKGELDGQSSENTNIVAVKILKLQNHGALKSFISECEALRNLRHRNLVKIITACSSIDPTGNDFKAIVFEFMPNGNLESWLHGDTHELTEERCLNLMARVTILLDVAYALDYLHCHGPSPVIHCDIKSSNVLLDADMVAHVGDFGLARILDEQSSVLQPSTNSLGFRGTIGYAAPEYGAGNTMSMHGDIYSYGILVLETITGKRPIGSEFREGLSLREYVQLGLQDRVMDVVDIRLSLDLTDGLQTANDSSYKRKIECVVLLLKLGMSCSHELPSSRLPTGDIIKELLAIKESLSMEYRVR >OGLUM12G17220.1 pep chromosome:ALNU02000000:12:22265801:22268587:-1 gene:OGLUM12G17220 transcript:OGLUM12G17220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLPDGSGAAAALAGRDGMIWLQTTTMPKINGGCGGAGAARATPFLDLRERVHHGAVGSLGIKSVAFHPEFVANGRFYVSYTCDAARSPECAAGARAAAPAGGGGAQWSRYWLIVTEFSAKDYSMGMTKTADPKEELRTIFRMALPPPQELQISGLNNGGQLFFNDGYMYIATGHGVINTAAGNVDFSWDRNTWQARRFHMYCGLVVNATAEVRLIDTCHGSYSIVHRGSRTPSDGRQLSEIISGFNYQGSDPSLKGRYGSDLWVATETLEGSDQYTSMRITMVGCSSTSPMACDPSGTAIVGLINFIVEGNNGDALFLTTKGIYRVVHPTLCHNPTGELIVAGAAGDGINNRSPTQWTPIRWMKVLPTCVGFLASLFISWCIINRLACPGTNGGDGHLGPSVTMNNTYCNNGSFMCCLRISSPATTDD >OGLUM12G17230.1 pep chromosome:ALNU02000000:12:22277154:22283989:-1 gene:OGLUM12G17230 transcript:OGLUM12G17230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAVSLGKSVLDGALHYAKSSLAEEVALQLGVQRDQAFIRDELEMMNSFLMAAHDARDGNKVVRTWVKQVRDVAYDVEDCLQDFAVRLGKKKPSWWLSPSALKERRRIAKQMKALRGKVEDVSQRNMRYQLIKGSEPTATDFVPNNTARVTMSGTNEAWRQEEKAKADLIHLVINKVEDLRVIAVWGTSGDRRETSITERAYNNLKRKNKFECYAWIDLTHPLKRTELLQNIVRQLYVRSLQEDGKATPACQALMRMLMVNEDHLADEFNRYLSDKCYLIVVTDLSNAEGWEQIKMCFPDNKKGSRIIVSTQEVEVAILCAGTEEAAPKHTQLFADRTLYAFHYEGEEEVTYSIMESSSSLNTAIRCSSSSAEGKSLTRTETMVAAFRESDFIGRVHEKEEIIELILKDSGQHKIISVWGMGGMGKTALIRDVYQSEKVQGMFDKLACVTIKRPFNPNDLITSLLDQLKDQKADEGKGTPKISDRKEPSLVDILYGKKYLIVLDDVLSTKEWDAIVSNFPDMGIGSRIIVTTRHESIAMHCSGNRDEKCYRLHNLEEKDAEELFTNKVFKQPKNLDGLDPELVEEAKLILKKCSGLPLAIVTIGGFLTSRPKTALEWRKLNEHISAELETNPELGGIRTVLNISYDGLPYHLKSCFLYLSIFPEDHEINRKRLARRWTAEGYSRGIWDKSPKEISDNYFFELLDRSMILPTQKSYSGKGTQFCQLHDIIRDIAISKSKEENLVLRLDGGRISHDHGTVRHLAITNGSWEMDENELETAIDMSRLRSLTVFGVWRPFFISDKMRLLRVLDLEDTEGVRGHQIKKIGKLVHLRYLSLRGCREIAFLPDSFGKLSQLETLDVRGTSIIKLPKAIINLRKLNYLHAGDTDARNFEDVFDDLPKPIRSRLCLGLMMLCFLCIFCWCPGKVFDEMSTHELCTICCCSVLPATAMRLHGVLAPRGLRRLTALHTLGVVNIAWDPSVLEDIKMLTQLRKLGVTGVNKKNSQKLLSALAALSRLESLSVWSQGNEDLSSCLNGDYEFSPPKDLKSLKLYGKLVELPKWIQQLKNLVKLKLTDTRLKNHDAAIQALGELPNLAILNMWQNSYEGEELHFLEGSFGSLVVLMLDFNNIKNVKFGRGAFSKLELIVLCALNFGDVETTFSELEFLPSIKEVQLNGYSQNKEATEKLKEHLLAKLSENPKKPILNNYFHVSS >OGLUM12G17240.1 pep chromosome:ALNU02000000:12:22293592:22295450:1 gene:OGLUM12G17240 transcript:OGLUM12G17240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFLYLSIFPEDDKISRKRLVRRWCAEGYSRELWDKSAEEIANNYFFELIDRSMILPTQNSTYSSRGADSCQIHDIMREIAILKSKEENLVLRLEGGRRLHNHDTVRHLSITNSRVDRETDVGELEISVDMSRIRSLTVFGELRPFFISDKMKLLRVLDLEDTEGVRNHHIKQTGELLHLRYLSLRGCEYIAYLPDSLGNLRQLETLDVRGTHIDRLPKTIINLRKLNYLRASVDENTYEGIAEELPELIRNRLCISTVALLGLCLFCSASVIVKFDTGINTRDICSLFCCGILPSIAMRLQGNGVVAPRGLRRLTALHTLGVVDISWQPSILQDIKRLIQLRKLEITGVNKKNSKKFLSALAALSRLESLSLISKGKPGLWGCLDAEEMFSTTKDLKSLKLHGNLVELPKWIGRLNNLVKLKLSKSRLKDHDAAIQVLGKLRNLTILCLPRKSFHSLEGGELNFSEGSFKSLVVLELDFSRSKCVKFQQGAFHNLELLELSGYIEEVETKFSGLEFLPRIKEVQLHGYFYDVYEQAARRLKEDLLAQHSENPKKPILKTSGCF >OGLUM12G17250.1 pep chromosome:ALNU02000000:12:22308623:22314916:1 gene:OGLUM12G17250 transcript:OGLUM12G17250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLGPVLSPSHGGPSSFSSSVSLGGQWAPRRPTVSSKVSCTRIGLSEVVNGKVVDNIDIDEEEQIMVQGITTVTATVVVRLKEGISTPEKVADMVNRNWLFLDFFSSSTERHTEPQPTKYLRMDDVIGSFIYESSFGVQSSFGAIGAIDVINRFNTEVYISDIEVHLHGGHHHSSAVTFQCNSWIACNNPDDRRFFFTLKATNSLPCRHRRSIGCKLVTLIEIEILLIVQSSYLPSQTPRGVKNLRTKELEAICGNGRGERKEWERVYDYDVYNDLGDPDNDPATRRPVLGGRERPYPRRCLTGRRRCKTDPSSETRAKDGGGGDGIYVPRDEAFTGRRAGAFATKRALSMLSAFTTARRVSGDRRRSFPSLAAIDALYEDGYRNRPPSSQPEADDIDGYLAGMVQRQLKLLLKGEEEEFKEELRKLFKFQMPEIHDKDKLAWLRDEEFARQTLAGMNPLSIQLEFPIFSKLDEETYGPGDSLITRELIEGQINGVMTAEEAVLNKKLFILDYHDVLLPFVHAVRELDDTTLYASRTLFFLTEDGTLRPIAIELTRPKSPNTPQWRQVFTPAGTSVTSSWLWQLAKTHVLAHDAAYHQLVNHWLRTHCCVEPYVIAANRRLSQMHPIYRLLHPHFRFTMEINAQARGMLISADGIIESAFAPGKHSMELSSAVYDKFWRFDMEALPADLIRRGMAFQGEDGKLKLTIEDYPYANDGLLVWDSIKEWVSDYVNHYYPSASNIYSDEELHGWWNEVQTNGHPDKKDGWPELDCHGSLIKVLTTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARRNMPTEEEHGCEGMQPTFVEDPVRVLLDTFPSQYQTTLILPALNLLSSHSPSEEYMGTHTEAAWMANREVRAAFGRFNERMMRIALTIDHWNRDPERRNRWGPGVVPYVLLKPCYGDPKDMSSVMEMGIPNSISI >OGLUM12G17260.1 pep chromosome:ALNU02000000:12:22318110:22321318:-1 gene:OGLUM12G17260 transcript:OGLUM12G17260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFSESKKELDALLSDDSLATVPFLILGNKIDIPYAASEEELRYHMGLSNFTTGKGKVSLGESNVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >OGLUM12G17270.1 pep chromosome:ALNU02000000:12:22324054:22327607:1 gene:OGLUM12G17270 transcript:OGLUM12G17270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU22] MSTMKFCRECNNILYPKEDRDQKILLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKAVRCAVCGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRE >OGLUM12G17280.1 pep chromosome:ALNU02000000:12:22326695:22328795:-1 gene:OGLUM12G17280 transcript:OGLUM12G17280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLPLLHSPRFAAALTTPPPPPLPPARRLVAAAAGGDLSLAMSAATGEYPVPVSPPYPAASKDVELRRAMTASARSAAYSSAPVVFEDEWLAVVDKPAGVYCDALLSALPSSAATLGDEATKPNLHLANRLDRDTSGLMVITKCNKVAGKLVKAFTEHKVKKTYLALCIGYPPAWEKIKICSGHGRSKHGAWRVYAMSDVGRSLPGGSVVRDMSTRFEVLGINGKGQFREPSNFEVDETESITVQEKAADLTSDGDEKNSIILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYSGVIEWNGVDYDGHALHAESLSFVHPVTGLPVTFRSPLPSWANEFISTMA >OGLUM12G17290.1 pep chromosome:ALNU02000000:12:22329318:22331765:1 gene:OGLUM12G17290 transcript:OGLUM12G17290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKVVRPEEVLDSLANDGTIDALRMKIIAQLKANEDMKKNTMMMVEQSRVLNTPGAEKKTKRELFDALRQELENPVLEKASREVWDLILENGGLGKEITDTIESVFCRLSGIDMIPPPPSTSIPSHQERERNMAADGGEKSKEIDTPEKPSSSSRKRPYSDTTTKGAGAVPNGGATSQHDGSEDSSQK >OGLUM12G17300.1 pep chromosome:ALNU02000000:12:22332214:22337050:-1 gene:OGLUM12G17300 transcript:OGLUM12G17300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Minichromosome maintenance (MCM2/3/5) family protein [Source:Projected from Arabidopsis thaliana (AT4G02060) TAIR;Acc:AT4G02060] MAATATKTIDFAAERALAKDFLANFAGPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDADDEFLQRVTENTKRYIGIFADAIDELMPESTEAYAVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIRRFFEVYIKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNKAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSITHFKKKYEEYELKGDEQEQIDRLAEDGDIYNKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLTDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMETDLEMARHVVHVHQNLESPALGAYISAARRVVPSVPRELEEYIATAYSSIRQEEAKSNAPHSYTTIRTLLSILRISIALARLRFSETVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTNSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >OGLUM12G17310.1 pep chromosome:ALNU02000000:12:22345666:22346103:1 gene:OGLUM12G17310 transcript:OGLUM12G17310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLSPAGSSDGDSAGVVVAADHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQADNARVLARASEIAGQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEECPPDDPLLRPWQIPCPAAAHMLQY >OGLUM12G17320.1 pep chromosome:ALNU02000000:12:22347401:22347592:-1 gene:OGLUM12G17320 transcript:OGLUM12G17320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 5C [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU27] MAGGRIAHATLKGPSVVKEICIGLTLGLVAGGLWKMHHWNEQRKTRSFYDMLEKGQISVVVEE >OGLUM12G17330.1 pep chromosome:ALNU02000000:12:22351066:22353973:-1 gene:OGLUM12G17330 transcript:OGLUM12G17330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGILVFLVVGDEDNCGGGGGDGRVMRERGEMEGREGSRLDNSLTRKRRSSSTARRRPRPESNHVVDVEQQQQQQQQRDGYSSSSSSAIGSDEDANSDGEEHQRREIHLNAPSPDRAARRAAMEGGAMSSNPNPRSSHKTKTKGSNQLHSEGSGGGGGGSSRKGESGHGAVVSAGNCESSTGDKTRKLKLKIGGIRRSVPAKPSPDMSHSRSLPVKPPRPGDSQQRQKHSSQAEGVKDSSRLASSRDKKTKKEKSIDDALTPEQPANVHREPSSDPVRKSRRIAKKSILDSELDEDYDTNILDDFGTSEGVEVHTREPAKKTGSSSKKNAAKKAKTKSTSYEIDNDFVTSRSKRDGNKRSRESTDADNSEEEPTSDSELDAQNRKQKAVTESPANVRSEPLTTRRRALQSWMDGNSNSAIEFPDGLPPAPSRGKKDKLSDAEMLAKKAEAAQRRKMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREDKERAARAQTIPENTIRWVMGPKGTVVSFPEEVGLPSIFNSKPCNYPPPREKCAGPSCTNAYRYRDSKLNLPLCSLKCYKAVNGNA >OGLUM12G17340.1 pep chromosome:ALNU02000000:12:22356818:22357513:-1 gene:OGLUM12G17340 transcript:OGLUM12G17340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPLVACVLLAAVVFTAVAPPPAGAVCVPRNGKAAPGKPGMSPAPPKKLTPAPPTTPPPKAKPILPGPGGDLVKALCAKTDYPVVCQMTVVPPPAAGAAAKLDATAVLRLAMGAVRAKAAAAKKAAGALAADARTPALAKPVLRDCMDSYDDIAYSLDEADKAMAAGDKDTTGTMLDTARTDVDTCDQGFEERDGDIPPLMSKQDAELAKLASNCIAIAVAAGLRSSS >OGLUM12G17350.1 pep chromosome:ALNU02000000:12:22357523:22381627:1 gene:OGLUM12G17350 transcript:OGLUM12G17350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTMDDVLEVSGIDEPSPRRPRRQRGGGAAGLHQPHKHTIMTWSWKSLILHKGSPIMLVTMEKPFPAPISQLLSSFSMASMSRSRSYSLIETSLFAMAMAVQ >OGLUM12G17360.1 pep chromosome:ALNU02000000:12:22358959:22361111:-1 gene:OGLUM12G17360 transcript:OGLUM12G17360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEVSIREYDRERDMEAIEKLERSCEIGAGKGFSIVTNMMGDPLCRIRLFQLHVMMVAELTGGGGELVGVARGCVKRVATGVVDGDTVLAGYVLGLRVSPVHRRKGIGLKLVESVEAWAARHGARHVVAAADAANAASRGLFVGRRGYATAARLSILVQPLADVRPPPAAASSRSDVRIERLAVEQAAMLYKWRLN >OGLUM12G17370.1 pep chromosome:ALNU02000000:12:22373268:22374743:-1 gene:OGLUM12G17370 transcript:OGLUM12G17370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU32] MDADGSPPPPPPLRVVIFPWLAFGHLLPYLELAERMAARGHHVSFVSTPRNIARLPAPVTTSAVELVALPLPRVDGLADGAESTNDIPDDAQGLLMEAFDGLAAPFADFLAAACADDGGGGRRPDWVIADSFHHWAAPAAARHGVPCVALLPSAAVMAAWVVPPPATSSSSSPAAAMPSYEWEKLKARFLAAATSHGSSSPASSGGMSLATRCSLTLERCTLAAMRSCVEWEPEPFRAVAAGLGKPLVPLGLLPPSPAGSRRRRAVAGEEDDDSTNPLLRWLDAQPPSSVLYVALGSEVPLRVDQVHELALGLELAGARFLWALRKPRSSSAASAAAAAAAAILPPGFQERTASRGVVTMGWAPQIAILEHAAVGAFLTHCGRNSLVEGISAGNPLVMLPIAGDQGPNARLMEARKVGLQVARDGADGSFDRHGVAAAVRAAIVDEETRKVFVANALKLREVVADEELHERYIDEFIHQLRLSSPTYLASS >OGLUM12G17380.1 pep chromosome:ALNU02000000:12:22381871:22386220:-1 gene:OGLUM12G17380 transcript:OGLUM12G17380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVTATAAAALLASPPQGHLGRRCHLVVPGLRLRPPASSSPPHAAPPLRLSNFVPRCYITNVEVDVSHTSEQEALDDHPPLLPACAIPVVHLRDVPDASPFPLHESASHSTDFEELPVLSEGELHTIAATPAHPAGLYALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVSFFTKLSVFIGAPIVGKLMDHFPRIPMYTGLNAVQVATQLISAAMVIYAMKNVTHASTSAVVLKPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLVCETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVVLGQLINRFSCHALDSSRTPSDESICANLLDVRKIVQNGLSAIRNGWNEYKQQTVLPASVATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVERVGILKAGAAGLIVQASLLSVALVVYWTGSISQRTPLLIFLAAIALSRLGHMSYDVVGTQILQTGVPASKANLIGGMEVSISSLAELVMLGMAIIANDVSHFGFLAILSVSSVAGAAWMFCQWLGNPTDEQRELFMFDPHFQVEPMLVADVEHHNNQLQ >OGLUM12G17390.1 pep chromosome:ALNU02000000:12:22387656:22393895:1 gene:OGLUM12G17390 transcript:OGLUM12G17390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:genomes uncoupled 1 [Source:Projected from Arabidopsis thaliana (AT2G31400) TAIR;Acc:AT2G31400] MDGCEQEKIMYSIGLVHVWSLARVKWLGYNKESGDDGDADALHAVADARRGVAPPPVRGGGGERGKLLRVAPDDRRALGAALASSRGELVAPDDYCHVLRELGDRDKSALRAFEVFHAALPHVGNGAVDKGKLLTASIGALGKMGRPDLARRAFDAGVAGGYGNTVFAHSALISAYARSGLANEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRFTLGYFRQMLKDGLCPDRKTFNSLLAACSRVGHLEDARAVFDEMIHLGIGRDIYTYNTFIDAICKCGNMELAMQVLLDMEAKGVKPNVVTYSTLIDGYSKLEKYEEALKLCEKMKSMRIQLDRVCYNTLLAIYVKTGKYAEIANVCDEMEELGIEKDTVTYNSLINGYGKQGRLDIVSILVQDMRKRGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKPDVVLFSSFIDTLAKNGLIEWALSLLNDMTEMGIKPNVVTYNAIIDAFGKSKVMMEDDSEVGDMGIVGVYGGQIVRVANPVSRGGRSATDIRMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLVGSREVWSQAQSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRRKAQQVVFEGINHRVWENTWGEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSRIAGASTLRRVIEALLNSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTVNILLLHDERVQQAAPSNLVPRLQALQL >OGLUM12G17400.1 pep chromosome:ALNU02000000:12:22397183:22401800:1 gene:OGLUM12G17400 transcript:OGLUM12G17400.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU35] MGSYAYKYCMCFTRKFRSPAADPPPDVRAAFLAAGGGDGGLRRFLAQAQGEAPAEVDRILALLSGGGGGGGGGGIAARLVGPRPGPAPSLDDFFGFLFNADLNPPIATQVHQDMSAPFSHYYIYTGHNSYLTGNQLNSDSSDIPIIKALQRGVRVIELDMWPNSAKNNIDILHGGTLTARVQIIKCLKSIKEYAFCASPYPLVITLEDHLTPDLQAKVAEMLVKTFGNLLYIPSSDPINEFPSPESLMKKIIISTKPPQEYKKFLKSKDNQNINGGLANLAEEGSLRRIDSNAEESDGKDELDDQDEDSSDEDDPKFQQETACEYRELITIHAGKPKGHLKDALKVDPDKVRRLSLSETQLAKATASHGADVIRFTQKNILRVYPKGTRINSSNYDPMNAWTHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLRTGPNGEVFDPNASMPVKKTLKVKVYMGDGWRMDFSKTHFDTFSPPDFYTRVGIAGVRADCVMKKTRTIEDQWVPMWDEEFTFHLTVPELAVLRIEVHEYDMSEKHDFGGQTCLPVSELKQGIRAVPLHDRRGTRYKSVRLLMRFDFL >OGLUM12G17410.1 pep chromosome:ALNU02000000:12:22402710:22403776:1 gene:OGLUM12G17410 transcript:OGLUM12G17410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLWFWEMQTFIPYSQNNPSSSVVIPAPMSAKPSALQMPSPSVGSFTQLPYYMVVVLKSIPRGDLVEYFFCETSQPVTRESSLSILAYSFPGFEDVNVCYLKQPCQKILYHIQQNLGIETIKKCQMLMFSSLEMQLLHSNYHDARNGMPHECHDALCVGRGTIEGIEPIEGTHYLKAIFVPQALGLLRIHAL >OGLUM12G17420.1 pep chromosome:ALNU02000000:12:22404227:22404645:1 gene:OGLUM12G17420 transcript:OGLUM12G17420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVQNVHLDQEERSADLIEKIDTVYCKTESHRSLTEERRPVLSEEQNTVDRIDFGTNKLSSPHRIFEYTKRNKSVSKIGTDTVSKDHPKNLVPFTEECLAVMEAFGEVSLLDIIDF >OGLUM12G17430.1 pep chromosome:ALNU02000000:12:22409760:22416844:1 gene:OGLUM12G17430 transcript:OGLUM12G17430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASGEELLKKIRELEVGQAQLKQEMSKLGGAAAAAERRRSQSGGARAWVRGSASFPHSSPLQREGRAAAAAGGGLTEKQYTRVLQSLGQSVHILDLEGKIIYWNRSAEKLYGYPASEALGQDGLMLLIDSCDINVVNDIFRRISLGESWTGKFPVKNRAGDRFSAVATNTPFYDEDGSLVGIVCVSSDLRTMEEIISGPSICARPHPESSRTYCEASCSNSNRKASLLSRSPFDSQQPLQSTIASKITNLATKVTNKVRSRVRADENGIEREGGSGESHCSDRDAKEEPTSSGTTTPRGDAPRGAFATEESSPGKTAKMNSDESEGKVGFHRILSSKAEALLNKKGISWPWKGRDNDGPDVKNQATWPWLHGEQDGSQNHQKISDSAITQDGQGAEYNQPNKNEASGSWSSFNNNSTSSASSTGSTNSSALYKVDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEEVIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNNTKLDWRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRLKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNHRLEIPSETDPQWTSLILSCWETDSQLRPSFQQLLERLRELQRQYNVQTQMQRNASAAAKNSSIEE >OGLUM12G17440.1 pep chromosome:ALNU02000000:12:22417581:22426645:1 gene:OGLUM12G17440 transcript:OGLUM12G17440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVTQPVAARRPVPWVEEASGGHDNRGGPRCENPDLLNAIRADTAYKATGLHSLLNNEGNHHDFDSKRSVSPFSTAGFFSKMLFWWMNPLIKKGYEKPLEETDIPALGIEDEAGTQYSMFMNKIDASKSSLFWIIVSCYKREILVSGFFALLKVLTLSAGPLFLKEFINVSSGKEAFKHEGFVIVLGLLFSKCLEALAQRQWYFRTRRVGVQVRSLLSAAIYRKQQKLSCSASTEHSSGEIMNYLMVDTYRIGEFPFWFHRTWTTGLQLCIALTVLYNAVGPATVASVFVIVLTVMLNAPLAKQQQNIQSKLMEAQDMRLKTMSESLTNMKDPINHIPNAIGSVIQARAAFNRLNEFLGASELQKDQVSMEYSAHSQYPIAIKSGFFSWDSSENYNLRNINLMVKSGTKVAICGEVGSGKSSLLAAILGEVPRTDGVIQVSGKIAYVSQNAWIQTGSVKDNILFGSTMDKPRYEETLKFCSLVHDLEILPFGDLTQIGERGANLSGGQKQRIQLARALYHDVDIYLLDDPFSSVDAHTARSLFNEYVMGALSEKTVLLVTHQVEFLHAFDSVLLMSQGQIMHAASYQELLLSSREFQNLVNAHKDIVNFPNDNMVDYNGDKSPFKRETAVVLDGGKESIKNAEFDQLIRREEREIGGTGLKPYLMYLGQNKGYIYATLVAIANIAFTSGQLAQNSWLAANIQNPGVSTFNLVQVYTAIGIGSIMFLLFRALLAVDLGLQTSRSLFSQLLTALFRAPMSFFHSTPIGRILSRVSSDLNVIDLDVPFTLSFSISATLNAYINLGVLCFFTWPILFIAAPIIVMAVRLQRYYLASSKELMRINGTTKSLVANHLAESISGAVTVRAFKQEGRFFARFLELIDNNASPSFHCFAATEWLTQRLEIMATAILSSSAFVITLLPQGTLSPGVAGMVLSYGLSLNMLFLFSIQNQCSLANQIISVERISQYMDIVKYTQDASPVLKGVSCTFQGGDKIGIVGRTGRGKTTLINAIFRLVEPSGGKITIDGQDITTMGLHDLRSRIGLIPQDPILFNGSIRYNLDPHGHFSDKQIWEVVVEGGSNWSMGQRQLLCLGRALLRRSRILILDEATASMDNATDAVIQKTVRTEFKDSTIITIAHRIPTVMDCARVLVVNDGEMVEYEEPQKLMQTEGSFFKELNEYRLQISRAGLQISS >OGLUM12G17450.1 pep chromosome:ALNU02000000:12:22428214:22433351:1 gene:OGLUM12G17450 transcript:OGLUM12G17450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:prefoldin 3 [Source:Projected from Arabidopsis thaliana (AT5G49510) TAIR;Acc:AT5G49510] MAAAAASSSSSSSAAATPQGVTERRGIPAASFVEDVETYLRQAGLDVNSGLAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVATLQAKKALGEALTADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQIRLSED >OGLUM12G17460.1 pep chromosome:ALNU02000000:12:22441283:22444231:1 gene:OGLUM12G17460 transcript:OGLUM12G17460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKLFPALFSLLLHGGAATLPPPRVPAVTVHRCTPPAARLSAGGEKTVTMVVDVEGALLRSSSSRSLFPYFMLVAVEAGSFLRGLLLLLLYPVISLLAGAGGGDVAVRAMAAVAFCGLRESRFRAGRAVLPRWLLDDVGKEAVDAIVTLTRRRSSPAATATVVWASSMPRVMVEPFLSEYMAAAEGGGEVVVAAREMKVVWGFYTGVMEDGGEVAAASPEVRRAMEGVDDVVGFSGGSMDLLRSPLVSFCKEVYVVSHEEKSKWRPLPRRREYPRPLVFHDGRLAFLPTPLAAAAMLVWLPFGAALAAIRLAVALALPYRHATLLLAATGQSWRLRGSPPPTPTPPPRRATGERRRGQLYVCNHRTLIDPVYVSIALDRPVRAVSYSLSRVSDLLSPIGATVRLARDRAHDGAAMARLLEAGAHVVVCPEGTTCREPYLLRFSPLFAELADDVVPVALAAEAAAFHGTTAGGWKSMDALCYLANPRMCYTVEFLPAVDASPVREGKAASTELANAVQRRVAEALGYESTMLTRKDKTVLCDV >OGLUM12G17470.1 pep chromosome:ALNU02000000:12:22446790:22449017:-1 gene:OGLUM12G17470 transcript:OGLUM12G17470.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B/ribosomal protein S6 family protein [Source:Projected from Arabidopsis thaliana (AT3G17170) TAIR;Acc:AT3G17170] MEATAAPRPLSLLARQPQLQPQQLRLRLQRVAAFTGVGGGGRRLMVAAAAKKRRGKGGEGEEEEERVDTHSFAPKAGEATGPFPEAVLLRKKMVKDDGQVAPEFADADEEKLYEFLNIQMESDLNLKRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVREKKGRIWRLNNWGLRRLAYKIKKARHANYILMNFEIQAKYINDFKTLLDKDERIIRHLVMKRDEAITEDCSPPPEFHTLRAQQQYMDDEYIDDEDDGEEEQEPEEDWDDKGEMENAGYEDDDEPEIILVDEVERDNNENSRRRNRTMKVEKYAAEKVLR >OGLUM12G17480.1 pep chromosome:ALNU02000000:12:22451716:22454862:1 gene:OGLUM12G17480 transcript:OGLUM12G17480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Rhodanese-like (InterPro:IPR001763), Serine hydrolase (InterPro:IPR005645); BEST Arabidopsis thaliana protein match is: Rhodanese/Cell cycle control phosphatase superfamily protein (TAIR:AT2G40760.1); Has 5925 Blast hits /.../2 proteins in 1592 species: Archae - 0; Bacteria - 2946; Metazoa - 156; Fungi - 408; Plants - 229; Viruses - 0; Other Eukaryotes - 2186 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G09280) TAIR;Acc:AT1G09280] MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKELVTLCANPSLATPQITCAGRHLSAAKFHSVLQSVGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKVFLSNFI >OGLUM12G17480.2 pep chromosome:ALNU02000000:12:22451716:22454862:1 gene:OGLUM12G17480 transcript:OGLUM12G17480.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONTAINS InterPro DOMAIN/s: Rhodanese-like (InterPro:IPR001763), Serine hydrolase (InterPro:IPR005645); BEST Arabidopsis thaliana protein match is: Rhodanese/Cell cycle control phosphatase superfamily protein (TAIR:AT2G40760.1); Has 5925 Blast hits /.../2 proteins in 1592 species: Archae - 0; Bacteria - 2946; Metazoa - 156; Fungi - 408; Plants - 229; Viruses - 0; Other Eukaryotes - 2186 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT1G09280) TAIR;Acc:AT1G09280] MDAAAPPPRGQEGQGDRSGGDEGGRYGVLLYYKYAEVPDAAALAAFYESHCRALALVGRVRVGPDGVNATLGGRMAALEKHVAEMSSNALFDGTDFKLASCDDPVDERVARECGFTSLSVRLVKVFLLLPFRNSMQPRSCPNYIYILSCFLQLAGAGNALRKPVVGNPADYMRREAFVGCEVPFGTPKCWSVDSETDVCPILRFSGLKLSTECLRWGFVIAGATSDSEATVEKSEVVVLDARNVYETRIGKFRVPNVETLDPEIRQYSDLPLWIDEHAEKLRGKSIMMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLERFPDGGYFEGKNFVFDHRISVGSLKENILGTCLLCGSSFDDYSPRCRCSHCRMLVLVCSTCQDSTKDYVCELCQKNGKQCCQTSPRQGCKTESELIDSSDFGIPMIINQSATSTIPRSNGSEQLKKLKILCLHGFRQNASNFKGRTSALAKKLKHIADLVFIDAPHELSFVYKPNPDHCSGRSSLPSGTPKRKYAWLVAPNSIFYAEHDWKIADAPFDPLQYQQQTDGFEESYAYLEHAISQMGNIDGILGFSQGAAMAALFCRQQQKTCGSLKFRFGIFCSGYPAPIISDFDGEPIKLPSLHCFGNSEDHDRQIANRASTELANRFDKSCRSVIEHDMGHIIPTRPPFIDKIKVFLSNFI >OGLUM12G17490.1 pep chromosome:ALNU02000000:12:22455798:22461292:1 gene:OGLUM12G17490 transcript:OGLUM12G17490.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallopeptidase M24 family protein [Source:Projected from Arabidopsis thaliana (AT1G09300) TAIR;Acc:AT1G09300] MATAVRLLRRSLRGGEAAPRFLSASQNLVRRVANNTRSVDVGQPTPRSHPELLAEGEITPGITSDEYIFRRKKLLEVLPEKSLAIIASAEQQMMTDVVPYSFRQNGDYLYITGCAQPGGVAVLSEETGLCMFMPDTSKEDVVWQGQTAGVEAAENFFKADKAFPLSEMQKILPEMIERSKVVYHNVKTLSPSYKNLDSFRRASLNNKVKDIAYYTDELRWIKSKSEIGLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDGRVKAGELLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVKLSKDKPLEPGVIITIEPGVYIPPVPILKENSPDRYRGIGIRIEDEVLITESGHEVLTASVPKEISHIITLMNMGSNSMMDAHELRAACS >OGLUM12G17500.1 pep chromosome:ALNU02000000:12:22465131:22465742:1 gene:OGLUM12G17500 transcript:OGLUM12G17500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTIPDDVRAKAEVYVGDEAGQEKTRLLLEETGLPSGLLPLRDIIECGYVEETGFVWLKQRRKVDHFFAKAGRHVSYAAEVSAVAEKGRLRKITGVKAKELLIWVTLHEIAVDDPPTGKLTCKAIGGLSRSFPVDAFEAPPPPPKNPSPAAGDTTKVDEEKKKEEEVAGDAAAAAIDEIEGKMKEMNSKEVQVQAEGVAAKN >OGLUM12G17510.1 pep chromosome:ALNU02000000:12:22468715:22471709:-1 gene:OGLUM12G17510 transcript:OGLUM12G17510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU47] MEIITPSSSSNNNSPVLATFLVVLVVLLASLRPASSQNQQSTFTINPGGAAAAAARPGGGKGGGGGGGGGGGPGSFSDFVTQNVQHYVLSEQKYAGKVKALDAELSAAEAGAARYVVSGDGKGKFRTITDAIKAVPEEKLLIPFTKPFITFVGNPRSPPTIMWDDRAATHGKDGQPMGTMLSATVAVEADYFMASGIIFKNHAPMAAPGAHGGQAVALRVFGSKVAMYNCTIDGGQDTLYDHKGLHYFKNCLIRGSVDFIFGFGRSLYAEVAVVTAQQRSKNIAEAIDTGFSFLRCKISGIGQIYLGRAWGDSSRVVYSYTTMGKEVVPIGWDGWEVQKPEHGPGALPGKRIGWSLVLSDIQAKPFTGSHFVYGDSWILPPPKSM >OGLUM12G17530.1 pep chromosome:ALNU02000000:12:22490476:22494889:1 gene:OGLUM12G17530 transcript:OGLUM12G17530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAVTAGGRRPPCRRVPPAASPPARLSCRCATHTVPRRNVLSTMLSTSTVFLFGPKQITLAETTGGTFREYIDTFDGYSFLYPKSWIQVRGAGADIFFRDPFFLDENMSVEISSPSSSKYMTVGDLGPPEKAAERVLQQYLTEFMSTRLGVRRTSNILSASSKVADDGKLYYEVEVNIKSFASNNELAVMPQDRVQRLEWDRRYLSVLGVENKRLYELRLQSPEKVFKEEEGDLRRVMDSFRVNKTA >OGLUM12G17540.1 pep chromosome:ALNU02000000:12:22494169:22501244:-1 gene:OGLUM12G17540 transcript:OGLUM12G17540.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc knuckle (CCHC-type) family protein [Source:Projected from Arabidopsis thaliana (AT5G49930) TAIR;Acc:AT5G49930] MVKARMTTADVAAEVKCLRRLIGMRLSNVYDITPKTYLFKLMNSSGITESGESEKVLLLMESGVRLHTTQYVRDKSTTPSGFTLKLRKHIRSKRLEDVRMLGYDRIILFQFGLGSNAHFVILELYAQGNILLTDSEYTVLTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFTKLKDTLMMNAVDDKESSQVTPGSIDAQELSVTPSDGVPVTDKSEEPSTTTGKKSASKNKQSSSNAKASNNAQSNKSTLKTLLGEALAYGPALAEHIILDAGLLPSTKVGKDPESSIDDHTIQSLVESISKFEDWLVDVMSGQRIPEGYILMQNKVAAKKNLTPLEGSSASQKIYDEYCPILLNQFKSREFDEFETFDAALDEFYSKIESQRVNQQQKSKEESAAQRLNKIKLDQENRVHTLRKEVDHSIKMAELIEYNLEDVDAAIVAVRVSLANGMSWDALARMIKEEKKAGNPVAGLIDKLSFERNCITLLLSNNLDDMDEEEKTAPVEKVEVDLSLSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLPLRFSWKLLVYFAYLDSYVHAELHGASSTIIKNHKPDYPIPPLTLNQAGSFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEEALPDVESQKPESNAELDGELDSDSETGKEKHDDESSLDNINVKKIDNPIPSNAPYVEDNADSSEQLSEIRTVVNSTTSTSKGQTSDRTVSSQLEDLLDKNLGLGPTKVLGRSSLLSSNSARVAEDTDDLDTKKTSVRDKPYISKADRRKLKKGQNVGDSTSDSPNGEAAKKPVNSQQEKGKTNEKLANPKVSRGQKGKLKKIKEKYGEQDEEEREIRMALLSSSGRASQKDKPSEDVDGATAAQSKPSTGEDDRSKICYKCKKSGHLSRDCPESTSDMDPADVNVGRAKDGMDRSSAPAGSSVTMDEDDIHELGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQAYKYRVKITPGAAKKGKAAKTAMSLFMHTADATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKEN >OGLUM12G17550.1 pep chromosome:ALNU02000000:12:22507567:22510411:-1 gene:OGLUM12G17550 transcript:OGLUM12G17550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVCASHGVIGSLLWKLSALLSDEYNLLTGVKSNIIFLKAELESIDVFLKKMYEFEDPDEQSMFWMKEFRELSYDIEDIIDASMFSLGYDSNRRPRGFKGFAGRCMDFLTNVKTRHWIAKKIHCLKCCVIEASNRRARYKVDGSVSKLSRTSLDPRLPAFYTETTRLVGIDGPRDKLIKMLVEEDDALVHQLKVVSIVGFGGLGKTTLANEVCRKLEGQFKYQAFVSVSQKPDIKKILRHILSQICWRECISDEAWDEQQLIHTIRQFLKDKRYFIVIDDIWSTSAWRTIKCAFPENNCSSRILTTTRIIAVAKYCCSPHHDNVYEIKPLGAIHSKSLFFKRTFGSEDKCPLHLKEVSNAILRKCGGLPLGIITVASLLANKASTKEEWESIHNSIGSALEKDTDMEEMKRILLLSYDDLPYHLKTCLLYLSIFPEDYEIKRDRLIRRWIAEGFIPTEGVHDLEEVGECYFNDLINRSMILPVNIQYDGRADACRVHDMILDLIISISVKENFVTLHGDQNYKIVQQNKVRRLSLNYHAREDIMIPSSMIVSHVRSLTIFGYAEHMPPLSKLQFMRVLDVENKMVLDHSFLKHIHRLSQLKYLRLNVRRITALPEQLGELQNLQTLDLRWTQIKKLPSSIVRLQKLVCLRVNSLELPEGIGNLQALQELSEIEINHNTSVYSLQELGNLKKLRILGLNWSISDSNCDIKIYADNLVTSLCKLGMFNLRSIQIQGYHIISLDFLLDSWFPPPHLLQKFEMSISYFFPRIPKWIESLEYLSYLDIYINPVDEETFQILAGLPSLIFLWISSRAATPKKGLIISCNGFQCLRELYFTCWESKTGMMFEAGAMPNLEKLRVPYRPVQGPAQLDRPHRASNF >OGLUM12G17560.1 pep chromosome:ALNU02000000:12:22514576:22515981:1 gene:OGLUM12G17560 transcript:OGLUM12G17560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRPKGRRSPPDLVHGWIILDRFVHSSDGDVDADDDVTASEIALTCSGRRVRASLRVADPPAVSRLRIHRLDADQPWPDAYILRHAQVVAAHRGSILFWARVPFADDEFVVPGYFPVDYFVYTAGGAAASSPPSLTRLPPCFIGGAAPDDEDHYFKPYRKQHQRIMLDENVGFLTGDGDGEFTVADITIVDCTSLHLCILNHHERSPSPVQWRMHRLEMQRKKQMRRKLSKWVNDVVLPCRTAATCASSTTTCSSTRRSCDTSGGRPRSSSMCLGNLRRVDNARLHIQWQRQKEEQVCTSCNFHLIDIHKCIWLHDFTMEADEFWRICARENELRLPLVTPSFPVVSLVDLHAISFLLKDGENGLYWLVEVDMRNKAMISPAALYINEEEEEGRCCGDNEIWKPFDGHYFIPSWFTSYLHEDPIQRFDMHVLRLFVPYAFTTSEEHS >OGLUM12G17570.1 pep chromosome:ALNU02000000:12:22524450:22528165:1 gene:OGLUM12G17570 transcript:OGLUM12G17570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALTGVMTSVIIKLSALLGEEYTKLKGLQKEVEFMKDELSSMNALLHRLADKDSDLDVQMVEWRNQVREMSYDIEDCIDDFTHRLGTHIGMAETAGPVQRVAQQLKVLKARRRIASQIQELKGRVEDASKRRMRYKLDDRIFEPTIARAIDPRLPSLYAESDGLVGIETPRATLVKLIMEGDDALSQQLKVISIVGPGGLGKTTLANEVYRRLEGQFQCRAFVSLSQQPDVKRILRNIFCQVSQQVYDSTNVWDEENLIDAIRGFLKDKRYFIVIDDIWSIQAWKTIKCALLMNNLGSRIITTTRSVTIAKSCCFPQHDHVYEIMPLSTANAMSLFLKRIFGTEDICPPQLEEISCKILKKCSGSPLAIITIASLLTNKASTKEEWERVHNSIGSTLEKDPSVEEMQRILSLSYDDLPHHLKTCLLYLCIFPEDCEIERDQLIKRWIAEGFINTRSGQDLEEIGESYLNDLVSRSMIQPVKVRYDGQVDSCRIHDMILDLLMSKSIKENFATFLGEQNQKLVLQGKVRRLSLSYYSQENVMVPSTAIISSCRSLSIFGYAEEMPSLSEFRVLRVLDIEHGEDMDSNYLEHVRRLSQLKYLRLNVRSIDALPEQLGELQHLQTLDLVSTKLRKSPKSIVRLQNLTCLRINNLELPEGIGCMRALQEVSEIKISRNSSASSLQELGSLTKLKILGLCWCISDIHGGTKTLVDNLVSSLRKLGRLNLRSLCIQSSFKYSIDFLLDSWLPTPHLLQKFQMGMCYYFPRIPVWIASLENLTHLDINLNPVKEEALEILGNLPALLFLWLTSKSADPKQRLIINSNMFMCLKELYFTCWSIESGLMFQEGCMTKLEKLHLPFNAATALDFGIHHLSSLRQLVVEIICSGATIRQVESLEETIRKTADLLPYQPTVEIRTWDEENMVEEEQKEKDMGEEGTQTSC >OGLUM12G17580.1 pep chromosome:ALNU02000000:12:22529190:22533066:-1 gene:OGLUM12G17580 transcript:OGLUM12G17580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAMAESDNNGSEVSPGGGGGGGRDSASAAAVASPVKAKARALLELETASAAAAASESSEARVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSLSEKPPSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAVEAAQQCRSVLDAVESIFQRGIPDVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDDCCTRIQKRFAVFLLYGGVEASPPSLASQTEGSYVPKNNLEEAILLLMIILKKWYLGKTHWDPSVMEHLTFALSLCGQTSVLAKHLEEVLPGIYPRTGRWYSLALCNYAASHNEAALNLLRKLLNKNESPGDIMALLLAAKLCSSDYSLASEGVEYARRAVTNVDSSDGHLKSAALHFLGSCLAKKSRIATSDHQRSLLQTESLKSLSEAISLDRHNPDLIYDMGIEYAEQRNMQAALKCAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETTKWEQGPLLRIKAKLKVAQSLPMEAVEAYRALLALVQAQRKAYGTVKNGTQEVDDKVSEFEVWQGLANLYASLSYWRDAEICLQKAKALKSFSAITFHAEGYTREVREQTQDALAAYFNAVSTEVEHVPSKVSIGALLSKQGPKYLPVARSFLSDALRHEPTNRMAWFYLGKVHKHDGRLADAADCFQAASMLEESDPIESFRSL >OGLUM12G17590.1 pep chromosome:ALNU02000000:12:22537945:22540552:-1 gene:OGLUM12G17590 transcript:OGLUM12G17590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAGFSAIGLHAKRFIGRRFTDASVQSDIKLWPFKVIAGPGDKSTIVVQYKGEEKQVAAEVLETSIEYA >OGLUM12G17600.1 pep chromosome:ALNU02000000:12:22545970:22546671:-1 gene:OGLUM12G17600 transcript:OGLUM12G17600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLQLAIAFVVVVVLGAMAASAAVVPMLTMHNLCPYTVWPIVSPDSGLPPIADGIRLEGRGVGLRSLYLPAGFWSGRVVPRTGCRDGGRCDTGNAPPATVVRLSFNGGEGGRVAEYSVGLGEGFNVPTVVSPHAIGGGMCPALGCTADLNAGCAAGQRVYGGDTGGDVVACRGPASYFKQRCPLTRTGGGDVEPVPQHCISPGEIKLVFCQAAMVAGEPELIRTVDDVADN >OGLUM12G17610.1 pep chromosome:ALNU02000000:12:22553063:22553764:-1 gene:OGLUM12G17610 transcript:OGLUM12G17610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQLALVLLVAVVAAAATSVAAATKLTLHNLCPYPVWPLVTPNTGFPSISGNTARLDGGGRGLVSYDFPASFWAGRVVARTGCGGGGGLARCETGNAPPATVVQLVVHSPEGAQDLAAYSVSLVDGFNVPAVVSPQAIAGGGQCPALGCAADLNAGCPRSQRVVGAGGAVVACRGTADYFKTRCPLTRTTGSDVEPVPQHCLAPGELKVVFCQPSMVAAAVPELIRTVVANI >OGLUM12G17620.1 pep chromosome:ALNU02000000:12:22568526:22569020:-1 gene:OGLUM12G17620 transcript:OGLUM12G17620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKLQLALLAAAMAAAAISPTASGAYTGCATPRKVTIQNLSGRDLPLSETPLANSGALFGAGYVLRHGTHAEFTTCLWTGRVAAPGAAVVEFHVGPDGGAWYQVDNRQAGSPVKVTVTPHGRPLQGHCPAAGCRGGGQCFADAVPGGNCHAVDELKIIYYSP >OGLUM12G17630.1 pep chromosome:ALNU02000000:12:22570337:22570585:-1 gene:OGLUM12G17630 transcript:OGLUM12G17630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLPARGAAGRRKKMGAWMSRVWFLMFPAKKYKIVVGGLDDGKTTTLYKLHAAQTNLPQPDLSPPWQWQQRGLELVGWRY >OGLUM12G17640.1 pep chromosome:ALNU02000000:12:22592020:22592700:-1 gene:OGLUM12G17640 transcript:OGLUM12G17640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALAFVAVLLAAAAAATSPAAVAATTLTIQNLCPHPVWPLITPTSGQPISDNTARLDPNSLISLAFPPTPWSGRVAARTGCDAAASPPAGCETGASPPSTVAQLSVHGGGDIAAYSVSLVDGFNVPVVVSPQAVGGGQCPVLGCVVDLNCDCPPGQRFSDGAACRGPPEYFKGRCPQTRTTPGDVETVPQSCRSPGELKVIFCPPTMLTAAAGDMLIRTVVASS >OGLUM12G17650.1 pep chromosome:ALNU02000000:12:22595901:22598624:-1 gene:OGLUM12G17650 transcript:OGLUM12G17650.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARM repeat superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G53480) TAIR;Acc:AT5G53480] MSLDVTQVLLSAQSPDGATRKLAEESLKQFQEQNLPGFLFSLSNELANEEKPEESRRLAGLILKNALDAKEQHRKNELFQRWLALDVGVKAQIKGLLLQTLSSPVASARSTSSQVIAKVAGIEIPQKQWPELIASLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEGNSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSTDVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKPDWRHREAATYAFGSILEGPSADKLAPLVNVALNFMLSALVNDPSNHVKDTTAWTLGRIFEFLHGSALETAPIITSENCQQILTVLLQSMKDVPNVAEKACGALYFLAQGYVDAGSASPLTPFFQDIIQSLLFVTHREDAGESRLRTAAYETLNEVVRCSIEETGPIVMQLVPVIMMELHQTLEAGKLSTDEREKRSELQGLLCGCLQVIIQKLGAMESTKYSFLQYADQMMELFLRVFACRNATVHEEAMLAIGALAYAAGPNFLKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHAAAADDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMQYAPNILNFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSISSKKFLEECLASDDPLVKESADWARVAISRAVSG >OGLUM12G17660.1 pep chromosome:ALNU02000000:12:22603143:22603798:-1 gene:OGLUM12G17660 transcript:OGLUM12G17660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uncharacterised protein family (UPF0497) [Source:Projected from Arabidopsis thaliana (AT3G55390) TAIR;Acc:AT3G55390] MPSSSSPAAAAAGEGSGRKKAAGRRLAGVMLLLRLASLCFAVAAAAFAATDGAALRAAPFRFLLAANAIVAVYSAFEVAAAAWEVAGGATLLPEAMQLWFDFGHDQGFGYMALAAAAAAAREAATCGSHGGGTACVQGDIAVGLGFAGFAAVAAAAAASGYRLACFLATGSRSPASPSSSPY >OGLUM12G17670.1 pep chromosome:ALNU02000000:12:22609998:22625468:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACVCVFVSTMCESELTRDLEDQDVVWWKLQLRFELWMWRWLQMYPDLAEKNTAATTSVTMVLGVAPEKGHSEAGFELAAGSGEAGHGCSCGSSCNLQVTQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITITTTTRTTVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCIC >OGLUM12G17670.2 pep chromosome:ALNU02000000:12:22610826:22625468:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.2 gene_biotype:protein_coding transcript_biotype:protein_coding MCESGVKSPWLEINVLSISHFIQLHKFLKSLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESEFTSDPEDQDVVRWKLQLWFLRMRWWLRMYPDLAEKITITTTTRTTVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCIC >OGLUM12G17670.3 pep chromosome:ALNU02000000:12:22617938:22625468:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKIKMSCGGNCNCGSSCGCGGGCRKMYPDLAEKNTAATTSVTMVLGVAPEKGHSEAGFELAAGSGEAGHGCSCGSSCNLQVTQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITITTTTRTTVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCIC >OGLUM12G17670.4 pep chromosome:ALNU02000000:12:22609937:22625468:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAHACSLQVTQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITITTTTRTTVLGVAPEKGQFEGVGKAAESGEGAHGCSCGSSCKCNPCIC >OGLUM12G17670.5 pep chromosome:ALNU02000000:12:22610826:22621088:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.5 gene_biotype:protein_coding transcript_biotype:protein_coding MCESGVKSPWLEINVLSISHFIQLHKFLKSLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17670.6 pep chromosome:ALNU02000000:12:22609998:22618164:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.6 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17670.7 pep chromosome:ALNU02000000:12:22610001:22621002:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.7 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17670.8 pep chromosome:ALNU02000000:12:22610826:22618217:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.8 gene_biotype:protein_coding transcript_biotype:protein_coding MCESGVKSPWLEINVLSISHFIQLHKFLKSLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHFEVMVGKAAESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17670.9 pep chromosome:ALNU02000000:12:22609998:22611168:1 gene:OGLUM12G17670 transcript:OGLUM12G17670.9 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSCGCGGGCGKMYPDLAEKINTTITTATTVLGVAPEKGHFEVMVGKAGESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17680.1 pep chromosome:ALNU02000000:12:22612362:22612598:-1 gene:OGLUM12G17680 transcript:OGLUM12G17680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDKSNACRDRSSDSGSDGNSLCGDDSVSGGSSTTTTATDASASASSRPLLDRRGGTRSSPPPRQASVAAALLLLLV >OGLUM12G17690.1 pep chromosome:ALNU02000000:12:22626160:22627692:-1 gene:OGLUM12G17690 transcript:OGLUM12G17690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDIIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGRRATLSIGNVLPIRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKAT >OGLUM12G17700.1 pep chromosome:ALNU02000000:12:22628223:22628834:-1 gene:OGLUM12G17700 transcript:OGLUM12G17700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAFLSDDLEPATIRTCIRRARRSGRVGQDRAGAGAGEGLLRLPRAQEGRRRVRAGSRSGGGEEGRRGGRIDDRCHRHGVKAGRVLRLRPRAAAPPLPLVCAARRDPHRRNHIALLLAVNRAAYLLPRSPPNSLAAVGLLPPSFTASSATAAVGLLAATRHRPKGKKKGRERERRERGRRKKEGDRGLTRGPHMLVGPHFFF >OGLUM12G17710.1 pep chromosome:ALNU02000000:12:22632451:22639435:1 gene:OGLUM12G17710 transcript:OGLUM12G17710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAATVHRRWRRRLLPKLMLLLCAVVHGQQPDSLGFISIDCGIPDGGGYSDESTRGLRYVPDAGFLDAGAGLSAGINPPYTDRDLAARYLTVRYFPGAASAAGERGGCYTLRQLSPGGRYLVRATFYYGNYDGAIAMLPVVFDLHLGANRWTAVNVTAADAIYIFEAVVSPPADFLQVCLVNIGKGTPFISGLDLRPLKPELYPEATANQSLLLLNHDRPPARFAFNRYQFWRPASYYKLFRYPFDPYDRLWQPYGDDPSWTNITVAAAVDVTNISRSDDPSPILRSAATPANATARRLDFPWSSDDAATTTTNTTTITYLLLLYFAELQRLPPGASRRFDVLVDGDASAAGGGGRRGYTPRYLAAEVVRATVRAARPGQRHVVSLVAAPDSALPPIVNGLEIYSVQPMPQLATNDRDAKAMMEIRDKYKLKKNWMGDPCAPKAFAWVGLNCSYSSSDPALITALNLSSSVLIGPVNLSFGDLKSLQYLDLSNNSLSGPIPDFLAQMPALKFLDLSSNKLSGSIPSDLLQKRENGSLVLRIGNNANLCYNGANNTCAPESKQSKRILVIAIAVPIVAATLLFVAAIVILHRRRNKQDTWITNNARLISPHERSNVFENRQFTYRELKLMTSNFKEEIGKGGFGTVFLGYLEDGTPVAVKMFSKTSSEGDKEFLAEAQHLTRVHHRNLVSMIGYCKDKKHLALVYEYMQGGNLEDRLRGEASIAAPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTRNILLSGDLDAKIADFGLTKVFAGDVVTHVTTQPAGTLGYLDPEYYHTSRLREKSDVYSFGVVLLELVTGRPPAVPLGDGDGGGGESVHLAVWARQRLAEGDIESVADAAMGGCFEVNSAWKVAELALRCKERPSRERPAMADVVAELKECLELEASRALGRGYSCYSSGSGGGSSVATTTTTSGAANVSAAASAASVSDAQIGELRQESVLELGPR >OGLUM12G17720.1 pep chromosome:ALNU02000000:12:22649926:22651503:1 gene:OGLUM12G17720 transcript:OGLUM12G17720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAGGPATGLNRTGKSCRLRWVNYLHPGLKRGRMSPHEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKSNMSPSSSSSSLTYQSCHPETPSMIIGIEEQELHGGSGCITSIMKSTPVDMDGYPMDQIWMEIEAPNVLPGPCFDEAKDSASNSLSGPLLPYPMWDYYCPETCLRMDDEIKVAPQFGYGKGVGPCY >OGLUM12G17730.1 pep chromosome:ALNU02000000:12:22654991:22658767:-1 gene:OGLUM12G17730 transcript:OGLUM12G17730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diaminopimelate epimerase family protein [Source:Projected from Arabidopsis thaliana (AT3G53580) TAIR;Acc:AT3G53580] MAVSTPRSAAAAAFLERRESERALHFVKYQGLGNDFIMVDNRDSAVPKVTPEEAAKLCDRNFGVGADGVIFVMPGVNGADYTMRIFNSDGSEPEMCGNGVRCFAQFIAELENLQGTHSFKIHTGAGLIIPEIQNDGKVKVDMGQPILSGPDIPTKLPSTKNEAVVQADLAVDGSTWQVTCVSMGNPHCVTFGTKELKVLHVDDLKLSDIGPKFEHHEMFPARTNTEFVEVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHIYMTGPAEAVFYGSAVH >OGLUM12G17740.1 pep chromosome:ALNU02000000:12:22658913:22661088:1 gene:OGLUM12G17740 transcript:OGLUM12G17740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARACGWEVGSGGSSTFKVLQDIDINICRIVYDHLNEMTLTLQKSVHLLASLHFHDTFYMWTGT >OGLUM12G17750.1 pep chromosome:ALNU02000000:12:22662230:22676729:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEILNNSEIQTRGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGRRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTVHLLHQCYQQPKQHILYARAIRNQKEMKYLSVDE >OGLUM12G17750.2 pep chromosome:ALNU02000000:12:22661630:22670751:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGHAPAGAELAVALDEEEEEAAPLVSGGRGAAAAARAAAAARAATSQTRDLHLLSSAFLFVFLAYHAAQNLQSTVNTDENLGSVSLGLLYTSFTAFSVVGSPVVRRMGSRRALVLGTSGYLLFIAANLVPSCVASISFCTCGLYRYKVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYQQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFVSAIFAELNCSFVLKKDECYFDVVQTAMWAPPRRLRSTLLAVAGPRLLLYLYIKKNLLEEASRLNPQAARIDRF >OGLUM12G17750.3 pep chromosome:ALNU02000000:12:22664577:22676729:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.3 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGRRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRSNQFQSGANFTVHLLHQCYQQPKQHILYARAIRNQKEMKYLSVDE >OGLUM12G17750.4 pep chromosome:ALNU02000000:12:22664577:22674755:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGRRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYLLASELVKSSVASSNPAHIIYLTLQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >OGLUM12G17750.5 pep chromosome:ALNU02000000:12:22670585:22674755:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.5 gene_biotype:protein_coding transcript_biotype:protein_coding MDARGGHHRDDEGDEEAAAAGAGADGGATAPLLAGVAPLGYSGRRRSHAGDLHVLSAAFLFIFSAYCAAQNLQSSVNTEGDLGTVSMGILYTSFTLFAVTASPVVTWLGSKRALVVGTSGYVIFILANLVPTWYTMVPASLYLGFSASIIWVGQGTYLTSAALSHARDNNLPEGQTLGNFNGEFWGMFASTQVIGNLISLALLRDGKDGGSVTGKNLLFVVFLGCMIVGIVLMCLLSKRDEKGNTAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQAAFVWAVFTKNIVTPVLGVSGVGGAMAIYGAADAVCALVAGRLTSGLHSATSIVSVGAILHAVVLFCPMGGLLGAAVPLFIGALWGVGDGVLHTQLSALLGLLFEDVKEAAFAQWRVWQSGAIAVIFFLSPNITLQAMLILMAIALIISFGSFLLLTLVVEKPSTTRS >OGLUM12G17750.6 pep chromosome:ALNU02000000:12:22664803:22670751:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.6 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYTSFTLFSVAASPVVTVASISFCTCGLYRYKVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRSNQFQSGANFTTYLDQCYQQPKQHILYARAIRNQKEMKYLSVDVQHNTTLTPFVQTAMWAPPRRLRSTLLAVAGPRLLLYLYIKKNLLEEASRLNPQAARIDRF >OGLUM12G17750.7 pep chromosome:ALNU02000000:12:22661630:22669116:1 gene:OGLUM12G17750 transcript:OGLUM12G17750.7 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIGNLISLALLRDGKDGGSVTGKSLLFVVFLGCMIVGIILMCLLSKRDEKGNNAPTHSSFGAMMKYIVAPLKDRRMILIIPLIAYSGLQQAFVWAVFTKNIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSATSIVSVGAILQAVVLFWLLLFYSPMGGLLGAAVPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMATALIISFGAFLLLTLVVEKPSTVRS >OGLUM12G17760.1 pep chromosome:ALNU02000000:12:22677121:22683040:1 gene:OGLUM12G17760 transcript:OGLUM12G17760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGERRWSTAAAAAGWLRVAAVVVAAASAASSAAGEGVGRQTVPAMYVFGDSLVDVGNNDFLPPPAPRPPEPPCGIDLPPEAAAANGGGGGRFTNGFNLADVIAQHVGFKKSPPAYLSLTTPGREGELRRGLVGANYASSGSGILDFIGNGTISLGEQVKLFTKTKEAMVTAGEVDGESIDNLLSQSLFITCTGGNDYNAFTDGIVPVSDAPAFIAHMVATYIKHIKTLYNLGARRLGILDVLPLGCLPISRVPMENGSCSGADNWQARLFNRLLRREMATAATASMPDLVYSIGSIYYTFYDMIKNPSSAGVREVARACCGDGKLNAEADCSATTHLCPDRDNYIFWDKVHGTQAAYHNCVHAFFHGSPRYAEPISFTQLVASPAVDLGRPSPGTNRTARI >OGLUM12G17770.1 pep chromosome:ALNU02000000:12:22682347:22682733:-1 gene:OGLUM12G17770 transcript:OGLUM12G17770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLVGALVAGARERWWCRGEGGAAVRHAVAWAGALALAVSVASFAPEAAFVWALTGGGGGGGSGELCAAGAVRVPLDGGGDFVCVPARMAVRSGADMIVPPAFAGLAVGASACFVRALAIGRRLDDY >OGLUM12G17780.1 pep chromosome:ALNU02000000:12:22684101:22691560:-1 gene:OGLUM12G17780 transcript:OGLUM12G17780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLVIQLRRQPLRARFTAPSNVSKYADASTQVLSANFPGKGSNSLSLSSAFPHKTVAPSYKKTTRVNQGLFKPGTNRNLTWQKPVSSDNLVISFSDDDSGSDSGMSKQDKRGRKDSSQGTYKTGINVHTGIMREEAPQQKIHAAKVGSANWSAVPLTYRNSGVGRGLSATFARRDPPVRQVTPQKAIHKDGNVVGVSSAVHNLESLRHKIAARENELKVKRPMSPGLLKDSSFPTGQTRLPLEKIGFEASSIGACSRLNGPVGHDIRPIKRLKPNQECSNNQVLVNQIPPVPTGKSLGKSNVQPCERREHIENGITMDCNVNEAVHTVTTEPVGHHIGAIKSLSLSKMQHTVIADADNHVTGKQHVKHAAPPTANEQSVVEDANTLVPITSVRAGANVEMSSIQVKDNMLSTWNGQHIMPADTSTVPNLRPQLGPGVENAENCGDQIVITGQNTSLLSLLEMEEFQERELEDAQEHRRKCEVEEREALRAYRRAQRALIEANERCAILRRKRELCSAQVHGFIAENSSLAQPLSIQNAGHGLVMPSVLNSQANADGQMPGNQGGRSGSPYPEESPQQPVDKHEARSHNFNDNSTPSDYMEDDLLPPSKRARSDCISNLEDHMEETIHVYPVENRQISGESVQDYELLEASLRSRLVERFGKKSYLNNSGEATEELSFGKESEIEREKAYVGPPLQEADENVMTNIEGMVELGNDAHEKRADSSNAPSIGNYDHEENISSFGELCMPLSVTHPIIPSSAPQNAARHMKGLFPDLHKKASDYKNDCQTSNAASVATVCLADVAKDNAKMHSTSRKDMDIVSGAVDPFWPFCMFELRGKCNDEECPWQHVEHHAWRKSKHTKHSKPSFSGWIPYGLFQHILPVPTYRVGSNLIRADLNLIQSVLASSIWQYWQRGFCASFPFPLSVQRVLPSDAPSLQAGDDSSANFDRDRQLLNLRMLDSRKNKIVQGSVDAELFLEGALILYFGKINKPDRLKALLYLARAIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSHAVQHNVCSYELWLMYINSRLRFDDRLDAYNDALSMLCQMTAVTDMELKDRSSFVLDIFLQMVYFLCMSGNVDKAISRIYGILPTATPDCSGDKLLADVISCLTISDGCIFWISCIYVSIYRKLPEEIIDQLEFLKDLPNSLVWSPIELTVDNRSQILVLLNYAAGKIAVDINETVKNGDPSYLRLSQFLAVNHINCLATLEGFQSCANLLVKYMEEYPMCPHILVFSARLHRKYGSCPGLKGFDELLLGWPKEVQGIQYLWNQCAEHALADNIELAEKVLTRWFEEYGKDGDIQSGGATRPMEISNEESVRSSVSSIQEVGSGTSTSEDQIFWLLNLSLYRTIENNLQEAKVAMDKALKLAHGESYEHCIREHAAIHTLEKTSSSTDVQTQATFSLISGYLVDQRNLPVRDLLSRRFMKNVKKHRLKQLIDETIGPTSANPALINSVLEVCYGPSLLPETIGEVKYLVDFVESVMEVLPANYRLALAVGKFMVKHCTGDDSISMGTRFWASSILINAIFRAVPVAPESVWLEGASLLEKLQAAETVKRFYQQATSVYPFSFKLWHAYLNSCKASGSSTESIAEAARQRGIELSVTPP >OGLUM12G17790.1 pep chromosome:ALNU02000000:12:22693939:22696238:-1 gene:OGLUM12G17790 transcript:OGLUM12G17790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FUNCTIONS IN: molecular_function unknown; INVOLVED IN: biological_process unknown; LOCATED IN: endomembrane system; EXPRESSED IN: 24 plant structures; EXPRESSED DURING: 15 growth stages; CONTAINS InterPro DOMAIN/s: Magnesium transporter (InterPro:IP /.../7); Has 30201 Blast hits to 17322 proteins in 780 species: Archae - 12; Bacteria - 1396; Metazoa - 17338; Fungi - 3422; Plants - 5037; Viruses - 0; Other Eukaryotes - 2996 (source: NCBI BLink). [Source:Projected from Arabidopsis thaliana (AT5G03345) TAIR;Acc:AT5G03345] MGIGHVLGVLGGALLAHAAYATIQYRAVLKITEEEFSSPPMDVMMQLLLGLALCMWAGLAVPAKFLSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDPDLKLKT >OGLUM12G17800.1 pep chromosome:ALNU02000000:12:22697766:22701157:-1 gene:OGLUM12G17800 transcript:OGLUM12G17800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAAIDLHILSAQVTESTDFTELVNQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKAMTPDACAPLIATLPLEVRTKIGDFIRGVYSVFHDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGNIQFPLPFGRVLSPSESFIHELDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKQAIDCIMAEA >OGLUM12G17810.1 pep chromosome:ALNU02000000:12:22704175:22711939:-1 gene:OGLUM12G17810 transcript:OGLUM12G17810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPIPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPTNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETARNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFLGTSLPNKAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPNSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRIHVIQRGQPRILHDNLRGPCEVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDSQHEQPPEDALKLAPVMVTDDMQSSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVSKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIRPIERPHGNEGAVWAPVKPSGHSEQSGEAMRSTGVVAPTQPAGQNTNDGENVTKTKRAEMERYVPKPLSKELQQQNMGQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRDTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERVHNRGPRSAGQFVKRNPASTPAANSYRDE >OGLUM12G17810.2 pep chromosome:ALNU02000000:12:22704175:22711939:-1 gene:OGLUM12G17810 transcript:OGLUM12G17810.2 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLLTTDKRWAAPARKSGMTVLGKIPKPINLPSQRLENHGLDPNVEIVPKGTLTWGSKPIPTTPNAWNSSSLLSPKNDGSSNSPSHFNGRPSSGGGSRPSTAGSESLDSPNAWGSSSRPSTASGTLPTNHLQTTTNRPRSAETRPGSSQLSRFADNSSENMKVSIRTIDKSGSSSHGHGFTLSTGDFPTLGSESNSQRGHSSKGRPTSSSGKETARNEQGKSITAGPAEEILSSNSQSADNIKTDQHVYDGGAPFLGTSLPNKAQQPQPYPGNFCVAPPHFDSWHAPPGHPPDGMWHRGAAPGGPYRPLGPPGGGFPVEPFAYYGQFQPSSEAAARQGPGHGGYQPKNGDAYLSVPPNSYMMNQPVIPVRPVYQGPMSYDGYYGPPRANFNNPNVRDPPFVGGPHQPGILNQFPNQHEKFHPGHPQNRPGKHETAPNEHFESDRIHVIQRGQPRILHDNLRGPCEVERNAQPAPPLLPHPNGNRIDVNKRSDIRESFNEKNRILMKSAPDHRGPAGTSHLSIPENVHSHPREADDGTLRKKFKEDNSVVPDQQPVIKKNVALIEKIESLNNKARNVDARNITEPFPSKQAKEMQKSTSSKEDQKLPNEPVLEPSQSELTEIITAGKLGESTRDRTHRRGDSSRSSHHGSSKDRLVNNFAGEGLRENSAADSSPIVGSRNSQHEQPPEDALKLAPVMVTDDMQSSLDFESQRAKMRELAAQRAKQLQAEEEERTKQQRAKALAKLEELNRRSSVHQKSSNDVPPDIADVQQKQKVGFEETAKPANLSAESCDVACDGHNSLQPPNDPKHTEFSVQPKPTVLTHTLGVGKDPTIHNTTTLARNSEHEAQKGVAQSHDINVSKPKQGYRRRQAVSEEKNPSEKSSGAISTESGKKIAEAFSNTSTAVVTSHDDTLAHNKKSARHSRNKKKVDEAPVTSKHPPVALNEQNAVKVPNEPKPQTAGVIISSSIVPTEGTVVTVGSIMVGGISFGSLNQECVKPQESVKPADEVHSSTSNSHPKRQQAKRSGKNQQSIRPIERPHGNEGAVWAPVKPSGHSEQSGEAMRSTGVVAPTQPAGQNTNDGENVTKTKRAEMERYVPKPLSKELQQQNMGQILPSEKSCEDNKIRDKEIVERSTGAKPETAPEAKKWEGKKTSKGHGKSHPSWRRRDTDESTLVGPKATELADNYQESHELQKHTVHQPPEPDKQADAPARNSSVPAETVSSVVTVAKEHGAANKQRRQHVKAQRNEGSNYPNENKDQMAAPPAPGIDSNSYERRNMSRSDVKHSGTVPQSRSHWKPKTIPQSQGNSHGNNAKDGHVDSATPQDSSNNNLAENIGWNDENHAHSEEVKGEKRHVDDYQKSESHENAEQQQQLSHAPRRQGHHNGGRYHRGGGTNRGRGYDVGKPSHVTNAERRRGGTHLEYQPVGSYNKTADFQQNPGTDERTEGAPVHRERVHNRGPRSAGQFVKRNPASTPAANSYRDE >OGLUM12G17820.1 pep chromosome:ALNU02000000:12:22716800:22719506:1 gene:OGLUM12G17820 transcript:OGLUM12G17820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLTTPPPPLSSPPACAPPPPPPAISPSPRRPPWQSQRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLIVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >OGLUM12G17820.2 pep chromosome:ALNU02000000:12:22717177:22719506:1 gene:OGLUM12G17820 transcript:OGLUM12G17820.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDEELVRPQASGAKNMGFAKFDSMSELHCKIPTVLVHWGLGLMRLKSGWKEMPSIQKALPPELADNVIRLYRECLRRARFIGHQKHNTGLIVSMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKMTGRKFSS >OGLUM12G17830.1 pep chromosome:ALNU02000000:12:22722244:22726071:-1 gene:OGLUM12G17830 transcript:OGLUM12G17830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESFVPLRGIKNDLHGRLQCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSFLGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRRLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVSSWRFANGMFAIVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVIRDMPNVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVATARQSMSQNASLSQLYGSMQEAYQQMQTPLIYQQPSVKGLNELKDSTVQMASSMGNIDAPVDETVFDIEKEIDDLLPIEVKEQRLSNLLQATMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTMYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFIAAQDIDVALARTQSAEILDDIVTRSRGEIKRLNSPKITSSGGTPVAELKGIRSPCISERAYSPRITELRHDRSPLGGRDNPRTGETRSSKLGEGSTPK >OGLUM12G17840.1 pep chromosome:ALNU02000000:12:22771822:22774269:1 gene:OGLUM12G17840 transcript:OGLUM12G17840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSLPIFLDPPNWTQMQQQPLQCLIGGGGGGGGGSDHHHLMPPPSGLAPLPSAAGAADTAASAPAAAAQQQQPRPAVVSMSERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSTKKSSSSSSSRQGGGAGNAAAATSSSSSTSTSTTATTSSAAAAAAAADVIASMQAGGALLPHHLIGGLPSSAAAAAALEASLEGYHHHHHHHGHGHQLPFLQPPPFLQQGLHGYHFADGDVIAGGGALADGGFPRGVASGLLAQLASVKMEEHGTNNGGGVGGGFVGAHEQYWHGGNGGGGWPAEFLSGFSSSSSGNVL >OGLUM12G17850.1 pep chromosome:ALNU02000000:12:22781268:22781729:1 gene:OGLUM12G17850 transcript:OGLUM12G17850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFSPLRREVKATAGDTHLGGEDFDNRMVKHFVQEFKRKSKKDITGNPRPVGRLRTACEWAKRTLSPPLPRPPTIEINSLYEGIDFYSNITRARFEELTMDLFRKCMRGYQDGQRAACTMFILVGGSTRIPRVQQLLQDFFNGKELCKNIKP >OGLUM12G17860.1 pep chromosome:ALNU02000000:12:22802626:22807356:-1 gene:OGLUM12G17860 transcript:OGLUM12G17860.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BU97] MAGDRAEEEEGEARAAAAVERVAAAVEAVAAGAGAGEYRNAYRRQLLALSRRIRLLGPFVEELRERRRGEGEEEERALAPLAAALEASLALLRLGREGSRISLVLERDSVMKKFQGVILQLEQALCDIPYNELDISDEVREQVELVHAQLKRAKERIDMPDDEFYNDLLSVYDKNYDPSAELAILGRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQTQNPDMGPPMASRVLDSNGDSRPITIPDEFRCPISLELMKDPVIVSTGQTYERACIEKWIASGHHTCPTTQQKMSTSALTPNYVLRSLISQWCETNGMEPPKRSTQPNKPTPACSSSERANIDALLSKLCSPDTEEQRSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMEARENAAATLFSLSVIDEYKVTIGGMGAIPALVVLLGEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGTPRNRENAAAVMLHLCSGEHHLVHLARAQECGIMVPLRELALNGTDRGKRKAVQLLERMSRFLVQQQEEQESQSQASAQVPPQATPEQVPENDIPEQLDSPASQYPMVV >OGLUM12G17870.1 pep chromosome:ALNU02000000:12:22815678:22815953:-1 gene:OGLUM12G17870 transcript:OGLUM12G17870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQMGREVVEQAAERLADAGMIQFTALPEPRAPPPAVAAATARGRWRCRVCQVECGGVEGFREHCMSDEHYAGLQLFALHSHLFTDHPNL >OGLUM12G17880.1 pep chromosome:ALNU02000000:12:22817460:22818038:-1 gene:OGLUM12G17880 transcript:OGLUM12G17880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVCGDDQAAEAAPVRGGGEDAVVVVVSGEGAVSEAGAASTTAVAGDGVVEASASVDLTGERGRRRDDEAPTTAAAVAEEEASAPPAVVVAGAGDGDDDEDGYVTPTSPRHRLQPPTVCPPAPRAARSAPTRLPARRFEGALVMAALASLPGRKRVQANPDSESDEVVVAFIRSLRQRLLPPEDEKKNPM >OGLUM12G17890.1 pep chromosome:ALNU02000000:12:22824463:22825537:1 gene:OGLUM12G17890 transcript:OGLUM12G17890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAAAERRGGGGGWLHAAWLALTGGAAELITAEEVAGSGGGAVRSGSRYELVSTEEPDGDETSWESNPGPASEAALFLVAREEDPKTTTTSSPESIFACDELRVSRPEFWRWPAKKGSGGDGEPAAAVESEPFLTRRRGAKRVNDAEMEDHPFSFGRHGRMESSSSAAAAALLLLSSS >OGLUM12G17900.1 pep chromosome:ALNU02000000:12:22827156:22831987:1 gene:OGLUM12G17900 transcript:OGLUM12G17900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKRFDTQSPGCLEGLFNFLALNQRLQMPKAIAYRKHNEGSSNTLRVKVPKPKNRSENDESVPKGTNFPKAKVGTFIWRTLMFKKKTLKKDQKKSDSPANSPSSSRLTRSRSIHHSKCFEYVVPDELASQYHTMIESSSNEVDSFHSAPPLVQESPKVPNFQESCKSSGAKHSFNAEAPCETVPQSSNDETEAASKQKSRDAATHHSKEFMDFLELFNAHRELFLKILHDPSLLVPLENQDQEASSSGAVPLNKSDSFPRPGGSSGKRNPIFDRNDSENNRRSEIQKSPSRLKSDIETAKVIGTRMPDGVEGSSVSLTESKSLRKSGTTSNRFKAIRKKIKAAVKENRKELARITKDGVFHKLPYGQKMAGFMKSPSTDKYVQEEKQMRKSYSIAESIDKYSTLYESISRDPKISPERPSTMFEGDAKLKDKKPPLSMKRIASLPEMRLYSPQRDVLSEVSDSQIVPKTHDLESGCFSSQQTDPFSICTDGSFYPDDITERTADIYSEHNYGESALLGSLEEDLRSILRSPSLPSVAQSFSHRRINSLPSFDRSFFQDRVTNVTEHSIADSEPTFEHMQLEDDDWLVKPPHPPGPYAASLKDDEWLVRPLKSSGVDTIDHEDEEWLVSTSQLPGGNAADFEDEEWLVKPVQSSSANALDSEFQFIHEFAEDAGSLHIYVNDKNEADFHYVKDILKKSGFSCGEADWYASNQPLSPVIFEEAEFSCQETYTANDDPHSVVRRMLLFDLINEVLMDIYDSSLVTGPWHSRFDSRTRPIPMGSHVLGEVWGKVSYNLSLQWKPDLTVEDVVAHDVMMKDRWMNLVYDAECLALDLEDMMVDDLLDDIVLQIVLISIDA >OGLUM12G17910.1 pep chromosome:ALNU02000000:12:22834106:22836563:1 gene:OGLUM12G17910 transcript:OGLUM12G17910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFTTYKQSKKMSSCGGSCNCGSSCKCGSGCGNMYPDLAEKTTNTSATMVLGVATAKEQFEGVSKAAESGEAAHGCSCGSSCKCNPCNC >OGLUM12G17910.2 pep chromosome:ALNU02000000:12:22835692:22839235:1 gene:OGLUM12G17910 transcript:OGLUM12G17910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSVMMYRDMLENNTTTPGAMVLGVSPDKGRIEVSEKAAESGETGHGCGCGSGCKCNPCNC >OGLUM12G17920.1 pep chromosome:ALNU02000000:12:22840323:22843866:1 gene:OGLUM12G17920 transcript:OGLUM12G17920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGGGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCNCGSSCSFQSLISYNYKFLKNLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >OGLUM12G17920.2 pep chromosome:ALNU02000000:12:22840323:22843870:1 gene:OGLUM12G17920 transcript:OGLUM12G17920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGGSCNCGSSCGCGGGCGKMYPDLAEKNTTITTSDTMILGVAPEKGRGEAVFEAAAGSGEAGHGCNCGSSCSFQSLISYNYKFLKNLQETQKIKMSCGGSCNCGSCGCGGGCGKMYPDLAEKITTTTTTATTVLGVAPEKGHSEGVGKAAESGEAAHGCSCGSSCRCNPCNC >OGLUM12G17930.1 pep chromosome:ALNU02000000:12:22844477:22846559:1 gene:OGLUM12G17930 transcript:OGLUM12G17930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >OGLUM12G17940.1 pep chromosome:ALNU02000000:12:22848116:22848874:-1 gene:OGLUM12G17940 transcript:OGLUM12G17940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHKAAKSKSKSKSGGHGHDAAAAAASASKKSKGGKAKASSAAAAAPATSLDALFRPCSDVKGLRFGAQLVTRALTVRRAAPLELPHLLRVAADERQRLAFAPTTTAYIPTNFAILAHHAWHTLTLGLGTRNSKAAVFVFESAAMKAAADAAWPQVVPLGDAGRRLIRAAPGAPEMARFKFRKGCVTFYVYAARTAGARGFARADELRAVVEAVAKLKDFLDHTAMLALPGQRSIDVAAASAAAAPVGVVH >OGLUM12G17950.1 pep chromosome:ALNU02000000:12:22851770:22852144:1 gene:OGLUM12G17950 transcript:OGLUM12G17950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHRLAVPAAAVLLLLFLIHVVLVAGDDGYAGAGVGGGGHAAVLPLPPRQEEEEAAAPDYQLLLLRPAVVGGSLATKPPPPRGAARSLIPDRPACPRGCAARGSDAYTRPCTYASGCMKGRRP >OGLUM12G17960.1 pep chromosome:ALNU02000000:12:22853811:22854203:1 gene:OGLUM12G17960 transcript:OGLUM12G17960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYGVLPLVVLVAGVLIVAAGAGTATDDAAVRRHRRVVGVDVAAALMDHDHHPQRRRRLEDEVVVETELPVVGGGLAVRARRYYGGGGGFSYGCLSRARPACPRAGGCAARGWPYTRPCTYGNRCGRRRP >OGLUM12G17970.1 pep chromosome:ALNU02000000:12:22857648:22858115:1 gene:OGLUM12G17970 transcript:OGLUM12G17970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDRRRPAGLGAQLLLITLAALLILLLTAVAAAAAPAAGGGYSARVVIVAAGKKSSATDTAHRRVVVDDLAGMMAALGRQRLEDVVAPEEELLIPGAAAAGGGLVVGAGGGGGGYGALEANQPACPRGGCAGKQPGGAYTRPCTYGNTCFRPS >OGLUM12G17980.1 pep chromosome:ALNU02000000:12:22859691:22860062:1 gene:OGLUM12G17980 transcript:OGLUM12G17980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRVVVPAAAVSAQLAAALLLLLLLHAAVVVVAGGGGGSVVAVDRYAAAGAMLLLPRRRRRLEDEVVFPVAMAVVGAEQLQQGGSFSGLTANKQVCLQGHSCAAFGMPYTGHGCIYRNNCKQ >OGLUM12G17990.1 pep chromosome:ALNU02000000:12:22862792:22863959:1 gene:OGLUM12G17990 transcript:OGLUM12G17990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLDLPSPPPRAVVILAAALAAAVAAFSTGGVEAAGNKHASSAYAGGAMHGAEVALAAAAAVEDEVAPEFFPVGLVVGDGHGSYFDGLKREQPFCPPYKTSVRTKQPLEATPLEATL >OGLUM12G18000.1 pep chromosome:ALNU02000000:12:22868655:22879151:1 gene:OGLUM12G18000 transcript:OGLUM12G18000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G17760) TAIR;Acc:AT1G17760] MDIYNVEAAEILANEAQLLPIGEAAPIYEKLLSTFPTAAKYWKQYVEAYMSAKDDEATKQIFSRCLLSCLQINLWRCYINFIRRVNDKRGSDGLEETKKAFDFMLNYVGNDVASGPVWMDYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNMLAVPPTGSYKVINANDQYLTGRGNPQRIDATTANRRVTFTFEQCLMYLYHHPDIWYDYAMWHAKNGSVDSAIKIFQRAVKALPDSGVLKYAFAELEESRGAIQPAKAIYESLIAENAGMTSLAHIQFIRFLRRTEGIEAARKYFLDARKLPGCTYHVYVAYATMAFCLDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEESIEVWKRFAQFEQTYGDLSSMLKVEQRRKEALSRTSEDALSALENTLYDVVSRYSYMDLWPCSTKELDYLSRHEWLAKNIANRGDKSVVLTSGATLDKGDIRVGSNKKSLPQSSKVVRPEISRMVIYDPRQMKGPDFSTTASGYTKEIDEILKRLSPQMMSFITNLPAIEGPSPDMDIVLSVLMQSTLPVGDKPGSQVPGPATSDLSGPGKSGLNQNGSVHRPPRDGQSTKRKNSERGRAQEEDDTSTTVQSRAMPRDIFRLRQIQRSRGLGPSQSGSAALSSGSVFSGDQSASSG >OGLUM12G18010.1 pep chromosome:ALNU02000000:12:22878748:22880149:-1 gene:OGLUM12G18010 transcript:OGLUM12G18010.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-like HTH transcriptional regulator family protein [Source:Projected from Arabidopsis thaliana (AT2G37630) TAIR;Acc:AT2G37630] MQPPPMRERQRWRPEEDAILLAYVRQYGPREWSLVSQRMNRPLHRDAKSCLERWKNYLRPGIKKGSLTDDEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELRDRDRRRLPPPLDGDERGGAGGRYDWLLEDFADKLVNDHHRRMMAAPILPPWMSSSPSSSSSPSVTLSLASAAVAPAPAAPPPTEMEEGQRAWAAHRKEAAWRMKRVEMQLETERACRRREAAEEFEAKMRALREEQAAAVERVEAEYREKMAGLRRDAEAKEQKMAEQWAAKHARLAKFLDQVAACRRWPPVEINGGGPGGGR >OGLUM12G18020.1 pep chromosome:ALNU02000000:12:22899579:22901329:-1 gene:OGLUM12G18020 transcript:OGLUM12G18020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSQRLRGLELLENGVQNQELVPNDHYVEEQNITNADWRTNCYEYYPDGRIKRRRGPTKLANVENLPEGVKIIVKLDRFNVPCSQSAIVLRSYLGTLVRKPHLAPLNILQWNHKLYKRAYHPKMISEVELDGKWRQYKSKLKKGYYKPNLPMERVLQTVPKTVAESQWATLVSYWYLEDSKKISDKNKENAQNIKHPHILGRKSFAIKMKELEVNGVEVDRATFFDECHKTKDGRYVNDATEEKMNEVYMKLAEKRVDGQELSEADFEQAMLEVFGKDHRGRVRGMGPTITPTNYYGGRFSNISGSNEGSSSSNVNGFISFIVSYLTEKYPEDNLISRLPPSVARVIPRQEVDQNEGSQPPNTATSSLPFDQNHENQLPNTTPSSSARASSQSCSEEE >OGLUM12G18030.1 pep chromosome:ALNU02000000:12:22907555:22910103:-1 gene:OGLUM12G18030 transcript:OGLUM12G18030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYSPPYRSPPRRGYGGRGRSPPRRGYGGRREQGSGSLLVRNIPLSCRGEDLRVPFERFGPVRDVYLPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGNEGRRSSYYGHTLLLQDGEMTTQLPHRERIRTPQNLLGVSQKNMMKRRSGDPTLLPVEMATLAMLITVMRRGRPHLTAMDPLHTGGLLGTPQGRLQDPALGPPMFPLPAATDRTLNQRNGIAA >OGLUM12G18040.1 pep chromosome:ALNU02000000:12:22913669:22917915:1 gene:OGLUM12G18040 transcript:OGLUM12G18040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVKKRSVQKQKHLYQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRRW >OGLUM12G18040.2 pep chromosome:ALNU02000000:12:22914448:22917915:1 gene:OGLUM12G18040 transcript:OGLUM12G18040.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAETRGVGGRLAALASKVDSKIYYLKDVLVEYMDMKNVVAEIAEERERFQLEQRGNDALLEAMKEELVAANNELEAAKEEISRKNNELESVKKQLQESEARNIQAEQQSGIVVELMQPRGVKKRSVQKQKHLYQGLPGDPGELQLMEGHLSDPNAGEASGALVSKDDDLEAVREELIKGFLDIDNGGRKLGIKEMGQLNEKVFQIACLAKLPPEEVGEASYELYSSWQKQLSDLSWNPFKTITVDGNGKEIVNVDDEKLQELKRDYGEGAHKAVMNALMEMKEYNVLADRSIAYELWNYKDGRKATLRECVEYVCNQVKQLTVTKRRKSRRW >OGLUM12G18050.1 pep chromosome:ALNU02000000:12:22931658:22932448:1 gene:OGLUM12G18050 transcript:OGLUM12G18050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIHPNPDTLTMPDLDLLKASKCRRQTRRLRNDMDASEVGGPVRRCEDCLQYGHRTRDCKNNKEGTSSSMEPRQQRAMKNRRGSQDMEDEWPYPLLSKEIDARHRAKKISDGNSCSSLAVLIPRTAGWWGIDPRWKPRCING >OGLUM12G18060.1 pep chromosome:ALNU02000000:12:22953858:22956736:1 gene:OGLUM12G18060 transcript:OGLUM12G18060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRNAGDDLGGRMKLIASMVDSKLFFHKDAIAEYMDIKKLVGDLAQEKEMMEQERHGSKEELDAANRELLRAKQRIAEAMEELAAAKQQLGAKCRELEQKSDELEDLRRKKKIQESETDAGVHQQQQHHEKSSPEPGPELVQSKGVCCYKNRCRQDQCVKGRNSSRQTWSSRRQLMKDPTIGHCPSRDDDLEMVREKLIKGFIGIDAEYHIGIKEIGVLNDNPFHSACNEKLPPEEAEMAASELNSQWQGSYSMTKAGIPSTLSQSTVEVIYADDDRLKDLKMTWGEGPYKSVTDALVERKEYNIDGPGVFDLWNYKEGRKASLGVH >OGLUM12G18060.2 pep chromosome:ALNU02000000:12:22953057:22956736:1 gene:OGLUM12G18060 transcript:OGLUM12G18060.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPRNAGDDLGGRMKLIASMVDSKLFFHKDAIAEYMDIKKLVGDLAQEKEMMEQERHGSKEELDAANRELLRAKQRIAEAMEELAAAKQQLGAKCRELEQKSDELEDLRRKKKIQESETDAGVHQQQQHHEKSSPEPGPELVQSKGVCCYKNRCRQDQCVKGRNSSRQTWSSRRQLMKDPTIGHCPSRDDDLEMVREKLIKGFIGIDAEYHIGIKEIGVLNDNPFHSACNEKLPPEEAEMAASELNSQWQGSYSMTKAGIPSTLSQSTVEVIYADDDRLKDLKMTWGEGPYKSVTDALVERKEYNIDGPGVFDLWNYKEGRKASLGVH >OGLUM12G18070.1 pep chromosome:ALNU02000000:12:22960765:22962975:1 gene:OGLUM12G18070 transcript:OGLUM12G18070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMGMYQQVPVPVGLHLPPDVHTQKRQADNRYRRSMHSRMTPWIEVWSQVLNDVVQETRAYDHNTYEQYMAWCSSQTLIRLLAPEDPDEQGPPTIDQIYDMQLAPPAHLTTDIAGELVRDAKTLWEKLRDGMAGTNQEVMAAVDSLHRKRKRIMRLASCRHSSDVYTPATSRKTFEPMPERPSTSSRPSTSARPSASARRSSDGRAGVRSTSFREPHTIPTIPEITEISERLGGFGSTQAQPERVPPHSSTPITSQWQGGFASGNANGPSRASYASCKATNDSSNGSRCANLTLARRICTFCKSVYIQYHIGIHMSTRPTQSVPLHASTYGTNPWQGQSMDYGGSFCPELMSGFRPYTASYGDMSSFGGGSSSVPNELRTSQTDDAPPVTQPTQPEVGEGNDNDLRRSNRERHEPNRLSLSGPRHAAGQRKKTTKKRGGTSRTTTNHDDDDE >OGLUM12G18080.1 pep chromosome:ALNU02000000:12:22980130:22981290:1 gene:OGLUM12G18080 transcript:OGLUM12G18080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPAGGGVLPATGWYDTNQFTLEVIFHARMRRYGCLTADASRAAAVYVPYYPGLDVGRYLWGFSNGVRDLLAEDLAEWLRGTPAWAAHGGRDHFLVGGRIAWDFRREDGGGEGSQWGSRLLLLPEAMNMTALVIEASPWHRRTDVAVPYPTYFHPWRPSDVSSWQRDARRARRPWLFAFAGAGRGNGDDHDRHHGGGVVRDRVIAQCARSRRCGLLRCGARGRRDDCYDPGNVMRLFKSAAFCLQPRGDSYTRRSVFDAILAGCVPVFFHPGSAYTQYRWHLPRDHAAYSVFVPEDGVRNGTVRLEDVLRRVSAARVAAMREQVIRMIPTVVYRDPRAPSARGFTDAVDVAVDGVIERVRRIKQGLPPGGDDDDDHRWDAYFDTQ >OGLUM12G18090.1 pep chromosome:ALNU02000000:12:22983333:22989866:-1 gene:OGLUM12G18090 transcript:OGLUM12G18090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGASEETGSMDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWTLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTDPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFEYGLARRIGLIGSRPTTCFADCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAVSGVKSSPAQDARYQYVGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >OGLUM12G18090.2 pep chromosome:ALNU02000000:12:22983333:22989866:-1 gene:OGLUM12G18090 transcript:OGLUM12G18090.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGASEETGSMDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWTLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTDPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFEYGLARRIGLIGSRPTTCFADCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >OGLUM12G18090.3 pep chromosome:ALNU02000000:12:22983333:22989866:-1 gene:OGLUM12G18090 transcript:OGLUM12G18090.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGASEETGSMDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWTLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRWVIFGFKKNMLLFDDLMHLPSFDPLTLNRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTDPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFEYGLARRIGLIGSRPTTCFADCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAVSGVKSSPAQDARYQYVGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >OGLUM12G18090.4 pep chromosome:ALNU02000000:12:22983333:22989866:-1 gene:OGLUM12G18090 transcript:OGLUM12G18090.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGASEETGSMDRAKAAAREDKAAAAATGKETAVSRAVAEDDTALLLAAVGSFRKEAMGRLRKGSDASRMLDQEMSTRLLHLACKHDAVQCARLLLEGGHGITASPVDARDQLTRTPLQVAAEAHSARCIELLLSKNARTDLKLVDGRPLVALEIALLSRRAQVKWTLDNSIEDLLSSLQEKDLNAVRLLAEKTREVGEVAYRYAMEGRVTVLAMLLLVAEEKISAPVSVVIEGVRTKKSIYYSIVDEALSIGDAPARDSNERRKALLSEIQLLNQFGAALWRDRNIDKRSLPPLLKAAKVGDVNVTKMLLMGDVDVNEADPEGNTALHWCLSGSSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPSKTKETPLFLAVKNGSLDCVKLLLRSGASTKVQNLRWVIFGFKKNMLLFDDLMHLPSFDPLTLNRKQRPIDVATSQDMRFILTSANVAPWNRSSHPKKSVTNKESCKEFLEDFGDYDSDDLNESFTGLKTSASHRDFRSSNGSAQGGKSKNHCAPKQGSKFVPRPNHWPKHDYTRKIFVGGLPPSVGAEYLTEFFTAEFGPVEEAVVIGIRMGDRVQSRGFGFVKFKREEDVISAKETHHVYMLGKRVEVKDAVARGSLPAEIQKTDPFRHHSQEVPKVTHHLLDGELKEEHYIRKRRPLPEKCLPSWFFIFRKWLPGFLADATERLGDRYPLSSLKGDFRAICRMELDHGTLGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLLPPVSRPKYVPLLEPFSFDHDELPESVSDHQSPRSPLTTNITEDSPRNTDSQQGDTCSESNVQSHQGDECCGSNTESQQDSASTDNGSLLSEVTVSTPKPDSIESIPTGKSDLIELVPTRKPHLIDTVTTRKPDLIVTEPTRKPIVIEPVPTRKPSVIEPVPTRKPMAIEPVVPTRKPIVIEPVPTRKPIVIEPVPTRKPIVTEPLPTRKPTVIEPASLTQKIVSEPMRKTDLFEYGLARRIGLIGSRPTTCFADCPVERPAVTPSNCEADMRFSFFQSQWDRFLAPYPKSDYCIICRSYDAAMQLVPCLHKICVACMMRCNVRACMTCGTAGVMERASDQRCQLMVVCRGAEAIVRCSPCMHSIACRGCFLASVTLLKGCTTCGCMIEHFKFC >OGLUM12G18100.1 pep chromosome:ALNU02000000:12:22992095:22992550:-1 gene:OGLUM12G18100 transcript:OGLUM12G18100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACRPVVGHGRPTVAEGDLAAMHIVVDLAMEFFSPTVMESLMLEDKAMQLEILEVSNEECRREEEARGIEKAATASCLPQLLERVARGGDNHCYNGQWTCLFPVDLHNQYRRLCMLGLERLVAWWLCLGPDLLQRYIIDAAGAVSRSHPT >OGLUM12G18110.1 pep chromosome:ALNU02000000:12:22993864:23001266:1 gene:OGLUM12G18110 transcript:OGLUM12G18110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGFLQSLFGIYSIRFENIGVRRPSSDDIKITGISHPHDFRKAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILKKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGRHILKR >OGLUM12G18110.2 pep chromosome:ALNU02000000:12:22993995:23001264:1 gene:OGLUM12G18110 transcript:OGLUM12G18110.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQGFLQSLFGIYSIRFENIGVRRPSSDDIKITGISHPHDFRKAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILKKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGRHILKR >OGLUM12G18110.3 pep chromosome:ALNU02000000:12:22993995:23001264:1 gene:OGLUM12G18110 transcript:OGLUM12G18110.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDEIVQVDVLERHLLAGLSPDDYKGISEDEILYDASFEATEDKFVKYQITWWILLSVLLILAWGVGLLMLLYLPIWIYVCRKDFRSRKLCLTPHAIVYKVTRPATFPCFGVLRNEKHVVLHSVSDIVVEQDLRTLVSEGLLAVLVHLLNTRNLNLSRKAYVHDDQQSTSSKPITMSSVPPLGDLILKKLDEVEISVKGLTMGFIGSTIRPTDSNIFSGTSPLTVDLGRHILKR >OGLUM12G18120.1 pep chromosome:ALNU02000000:12:23011358:23013574:1 gene:OGLUM12G18120 transcript:OGLUM12G18120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAADSFPAGGDDAIRNVYGIGDGGEEDDPSLFLYLSDLAPVSPSAYLDLPPSPPPPTTTATTMVKEGEEAPEDLVLPFISRMLMEEDIDDKFFYDYPDNPALLQAQQPFLEILSDPSSNSRSSNSDDPRLSPTSSSDTSAAINSYDAAATAPAVAAAVAAVPQYESIELDPAAFFAAANSDLMSSAFLKGMEEANKFLPTENKLVIDLEASSENNYLRGVEEAKRFLPSDDKLQVGFAAAAAPVVSVKKEAVDVVVAACGGGGGRGRKNPYDDEELELEGGRSSKQTAVQGDDVAARAMFDKVMMPSHENCTEMMEKLRIAMKEEAAKKEASAGGKGGNGKVKGGQRGGRNVVDLRTLLIHCAQAVATDDRRSATELLKQIKQHAKPTGDATQRLAHCFAEGLQARIAGTGSLVHQSLVAKRTSAVDILQAYQLYMAAICFKKVSFIFSNQTIYNASLGKKKIHIVDYGIQYGFQWPCFLRRISQREGGPPEVRMTGIDLPQPGFRPTERIEETGHRLSKYAQEFGVPFKYNAIAAVKMESVRKEDLNIDPDEVLIVNCQYQFKNLMDESVVIDSPRDIVLSNIRKMQPHVFIHAIVNGSFSAPFFVTRFREALFFYSALFDVLDATTPRESEQRLLIEQNIFGRAALNVIACEGIDRVERPETYKQWQVRNQRAGFKQLPLNPEIVQVVRNKVKDCYHKDFVIDIDHQWLLQGWKGRILYSISTWTPNDALSYF >OGLUM12G18130.1 pep chromosome:ALNU02000000:12:23017319:23017987:-1 gene:OGLUM12G18130 transcript:OGLUM12G18130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRASRYTSDPRHPGRIWTVGVWRRWLAMVAAGRWWLDGGVRGGGGCGSGGGGCRRWYLWRRWLKAIIRLMVRRRRWRFVEAGKEESGCGRAVDGGNNWNRGRKPCRAIWLADNGDVVWRRSPPWRRCFSIPLSFPYHILRVKTLLRFQTSGGGDPRRILLGGTALEKPLRARILSLVYALASNFSPRP >OGLUM12G18140.1 pep chromosome:ALNU02000000:12:23018017:23034510:-1 gene:OGLUM12G18140 transcript:OGLUM12G18140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPSSSAPPRPGAGVVTMAAGRRGRGDGDGAELGAAVGRSTVSAPATASPDLVSPLGRVVLHRGDRRRARSSPAPFRGPGRGWLAVAMVADMAATKLATTAADCGACRDAGPRQEPRRHEGGVAPSSMLRL >OGLUM12G18150.1 pep chromosome:ALNU02000000:12:23040812:23041000:1 gene:OGLUM12G18150 transcript:OGLUM12G18150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCMDDKWKLTKKGSPRLEEGRASRGPSRSVPGRLASLVKEQRARFYIMRRCVTMLVCWRD >OGLUM12G18160.1 pep chromosome:ALNU02000000:12:23041546:23044905:1 gene:OGLUM12G18160 transcript:OGLUM12G18160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGSGDESAAAAAAAASTDPGRGRKRPPSPSTPTPSDDGEDSDDGWAVSDSGSEEEQEGMHRPFTVDDFPRLSSDHSVQTDALYDIPHLRLRGPSPLSLFRAFNDPLTDKRMHWFGSYYRLDDESEITVDNAGTVDCLNGCWCLSMNLLQFIDLRISGYCHTQPGRAKIFGFFAVWDDLEPLRNYVFRHGIDSYEAVSVKTKTGMACLPLTSPARGICITTRALFEFQLCIRTEDSPEAEDEPIGETLIERCTEFTNILRSASFTKTVRLYGEKCGLDVKFALLVNAVQATVDVEIIHSPACGLNLKLYAKTSGFSDVIRLFRGVAQSGRRIRSLVAVVRRSHLDLCIEGSPADIGLGEKLPCVRWEHKFGAGFHRTADEVVKLGDFTTISVKVTWKAVDKRPPPKG >OGLUM12G18170.1 pep chromosome:ALNU02000000:12:23048109:23051040:1 gene:OGLUM12G18170 transcript:OGLUM12G18170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGGGDETAAAAMADPGRGKKRPPSPSTPPTPSDDGEDSDDSCAVSDEEEEEDEDEGEEDQEENNVLSDLHIGLLFFLLVLDDKPQRFWLKGCTALTVDDFPRLSSDHSAQTEARYRFPDLRLQGPLPLILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLDVKFLLLVNAVQATVDVGIIHSPACGLNLKLYAKTSGFSDVLRLFRGVAQSSRKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAVSKLPP >OGLUM12G18170.2 pep chromosome:ALNU02000000:12:23048081:23051040:1 gene:OGLUM12G18170 transcript:OGLUM12G18170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGGGDETAAAAMADPGRGKKRPPSPSTPPTPSDDGEDSDDSCAVSDEEEEEDEDEGEEDQEENNVLSDLHIGLLFFLLVLDDKPQRFWLKGCTALTVDDFPRLSSDHSAQTEARYRFPDLRLQGPLPLILFRAFNDPLTDKRRHWFGSDYRLDDESEINVNSARTVDCLNGCRCHSMNLLQLIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPARGICITSRALFEFQLCIRTEDSPEAEDEPKGGTLIEGCTEFTNILRSTSFTETVRLYGEKCGLDVKFLLLVNAVQATVDVGIIHSPACGLNLKLYAKTSGFSDVLRLFRGVAQSSRKISSVVAVVRRSHLDLCIEGSPADIDLGEKLPCTRWEHRFGAGFHGTVEEVVKLGDFTTISVKVTWKAVSKLPP >OGLUM12G18180.1 pep chromosome:ALNU02000000:12:23052298:23055388:1 gene:OGLUM12G18180 transcript:OGLUM12G18180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGGDESSAATPDPGRGKKRPPSPSTPPTPSDDGEDSDDSWAVSDEEEEEEEDEEDQEGKHRPFTVDDFPRLSSDHSVQTDALFDIPHLRLRGPSPLSLFRAFNDPLTDKRRHWFGSYYRLDDESEISVDSAGAVDCLNGCRCLSKNLLQFIDLKISGYRHTQPGRAKIFGFFAVRDDLEPLRNYVFRHAIDNYEAVSVKPKTGMACLPLTSPSRGICLTSRALFEFQLCIRTEDSPEAEDEPKGDTLIEGCTEFTNILRSTSFTKTVRLYGEKCGLDLKFALLVNAVQATVDVEIIHSPPCGLNLKLYAKTSGFSDVIRLFQGAAQSGHRISSVVAVVRRSHLDLCIEGSPAGIGLGEKLPRVRWEHRFGAGFHGIEDEVVKLGDFSTISVKVTWKAVGKRPAPKG >OGLUM12G18190.1 pep chromosome:ALNU02000000:12:23061206:23064537:-1 gene:OGLUM12G18190 transcript:OGLUM12G18190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRDEEMGHGDRSLLFIGDEDDDLEADRDGGSTPSSDPGSFSDRSDPPSVDDIDIDEDEDDDDVVGDGRRAPRDDDDDQRGTWPQSFRQSIDMMSAVPSPAMSSIITAASPNLGRLAAVGSSLLKRATSSAVGQEGSSLPLSRPLLPPSSLSQLSTASGPPVRDSADNLPPRARPPPPPLQGESVVPPPLPRPSSACLRSNYIDLPPPSTRCGQKQAILNGLNVLCGVGILTTSYGIKQGGWLSLILLPLLGCCSCYTGLLLKKCIDSSPSIDTYPDIGQAAFGIYGRIFVSACGVEYITLLGDSLSSVFPSADLAFGGIYLNAHNLFAITMALAILPSVWLKNLRLLSYLSAGGVIATTTVIVCLFWVGIGEGVGFHPGGTALNLTHFPVALGLYGYCYSGHSVFPNIYSSMEERPKFTFVLLFCFIVVTFVYAGVAVAGFLMFGESTMSQFTLNMPQQFIPSKIAIGMTIINPYTKYALTLTPVALSIEEALPRRMQTYQVGMCVRTALVASTVVVALTFPYFALVMALLGSVFTMLVALILPCACYLSIKKGSTPLWEVVLCITIILLGILCACVGSYTSVSQMISR >OGLUM12G18200.1 pep chromosome:ALNU02000000:12:23075347:23075747:1 gene:OGLUM12G18200 transcript:OGLUM12G18200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHQGGSRPLDQFFDRMETMSELGFVGSDVDDGEHGGGSAVAATTPANLLQQQYVLSNLLC >OGLUM12G18210.1 pep chromosome:ALNU02000000:12:23076353:23086030:1 gene:OGLUM12G18210 transcript:OGLUM12G18210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARGGGGRPPASRRRRRKPRGGRMERDIPGEHMSAPDEKAPTEVAGMPFSWDMQSEAAKYFGNFDTEIQASCQTQEPSYRAIYGSCNSGQMIGLGDSQYKLAGSSSSQSLYSDNFSDATGFNQLKLQKQTSADGNTESWSELNRDAIGGLSGKGSPLAKSGKQHEQRMAKALSAQPYHPVFPVTQGVSSSNSSSQHVVSSSGEAKYIGNSGQEMQVACETAKEPSHRALYGSKNPYQLTGLSDLRDKIIASSSFEGVNTGNLTSTLSGMNLPTNSAKENTEYNQLKLQKQIDGYQRFESGSGLNRDDAGDITVTGVPQQVQVGQNMVNALSAKPYHPVFSVSHGTSASSSSQHPYMARQLLEHPEYNPRMHRTHPSLQPTIEAASTSMTSHVKEPCYQENVGDSNPGMSLLRSTAVSSRDVENKSPNLGDRVHADVSEIYSTLDHQTSANSMQIQYAPQVVRQPSQQSLHADVTETYSAFGHQSAASNIQRQFAPRVTRQASHRSLYESSNSGLWTGPHDDSQMELFRPSSSRRISTNVISGELPRINLQSETMMGNADFNQQNLRRQISLRENTESHSFGTYNELPRTSSFLRRQSSESSQLNSASVKCSPGSGSSSTMMGPPRPFNTVSGGASSLLGSPLSSMSGGLSSGGNPDHQGTVLSSIYQPDGYNINSTLSLRMRTHAREAYATSVLPQATRRSHQVNFDSAERRSQYVQQRGLDDTINSNMLSARQQFQRQVERQLQRQLERQLQRQLERQLQRQVQRQLQRHHERAAASEAHPFYGNDETSVRMNPTEEFLDIVKDHEAFFLSFYHPSRIPARVMNTVDQCYGVDMEREMPHQESHPTPGCGTELSHKNDTSGFERSDVDVAHIHDSKRLNNEELNALLLHRKFSSLNKGNYRLFHIEGHVLQCSIDQCGSRFIQQKLPTATPDEKLMVFKEIMPHFLEMVTDVFGNYVLQKMIEHGAPFQRREITACLFGSVSSLSCQLYGCRVVQRAVELSDLDQKIQIAKELNSNIMKCIHDPNANHVVQKCIEHVPPRFIQFFVESMYGRVVELSVHPYGCRVIQRILEYFDSSIQEIFLEEIIEEVYYMAKDQYANYVVQNILQHGKALVRSAIIKKFIGRVVAMSKQKFASNVIEKCLIFGSYDEKQKIINEVIGTTDLVRSGETEALVVMVNDQYANYVVQKVIETCDEWQRKLILRRLRAHHSLLHDCTYAKHVVARLDRLIDIGERKMANPRRPRRHGKDPVPPLT >OGLUM12G18220.1 pep chromosome:ALNU02000000:12:23081894:23083205:-1 gene:OGLUM12G18220 transcript:OGLUM12G18220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVKGSVSKWNNQEIGVLDVKLTQLIEVDNAAQEHKSARKIQRPALKHMAFYVKKPKSHHCHKMDVLQMQLLSHDASEAAFEPASEVAFQVASEVAFQVASEAAFQPASETAAEHSTCLNL >OGLUM12G18230.1 pep chromosome:ALNU02000000:12:23086797:23088848:-1 gene:OGLUM12G18230 transcript:OGLUM12G18230.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT3G55550) TAIR;Acc:AT3G55550] MPHLAVVVVLLLLLAALAASQEFTYSGFRNAAGNSPNLTLNGVTELRPDGILRLTNETSRLIGHAFYPSPLRLLTGGAAVSFSTEFAFAVVPEYPKLGGHGLAFVVAPDPRLPGALPSQYLGLLSAADVGNATNHVLAVEFDTVQDFEFGDINDNHVGVDLNSLVSNASASAAPVNLKSGDTIVAWVDYDGGAKLLNVSIAAASASKPASPLISFHVDLSPIFLDQMFVGFSASTGLLASSHYLMGWSFKLGGGAAPPLDVPSLPSLPRPAAGGKNRTSAILAAAFSAFVALVALAGAAAYAAHRYKNRDVVEPWELDYGPHRYSYAELRRATRGFRDRELLGAGGFGKVYRGVLPGKPPRTVVAVKRVSHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDKHLFGDGLAAARLTWGARVKVLRDVAAALLYLHEGWERVVLHRDVKASNVLLDGDMSGRLGDFGLAKLHEHGANPSTTRVVGTLGYLAPELTRTGKATAAADVFAFGALALEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEVGAVVDARLRGEFDAGEAEAAVKVALWCSHPAPAVRPTMREVARYLDAGGAAEVPEPPPPPPPPPVSSGEVGYYDFVHSYPTSSYERAAAAAAADGVTQTSVATFPYSPLSMRSSHVSV >OGLUM12G18240.1 pep chromosome:ALNU02000000:12:23096887:23097987:-1 gene:OGLUM12G18240 transcript:OGLUM12G18240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGGGGGGSGSGSGSRDEYGRAVARAAVAQALEAAGFDCAHRSAVDALVDVSLRYVVHLGRTAAFNANLAGRVLANEYDIIQALEEIGTDFDGFVGAATSDRCLVGSGVVRELIDYVESKPEVPFVRPLPSFPVPRVEPQPAQSFAMAGKESGMKHVPEWLPVFPDPHTYIRTEVWSEEEAKARVDKVEQVRQRRKAEKSLLSLQRRLALAGADGFRPAVTENTAEKGKEIQVAGSKRNPFLEPALPPGEKEVSDVAMQPQRRKICVLDAFAPAIQAANMMDIDTGPGWDNNQNQKSIVPKERAPVHLKIGIDKKPLSAALNSKPLDLREDPSFLKEEVKDERKRRAGMILRASMENPQELPQL >OGLUM12G18250.1 pep chromosome:ALNU02000000:12:23098948:23103509:-1 gene:OGLUM12G18250 transcript:OGLUM12G18250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G03667) TAIR;Acc:AT2G03667] MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALVRDEACLCCGSVGKSVPQILSTIKGPWALIYWQAEGLKNNVVWPGCIREKKPLAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGIKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >OGLUM12G18250.2 pep chromosome:ALNU02000000:12:23098948:23103509:-1 gene:OGLUM12G18250 transcript:OGLUM12G18250.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine synthase family protein [Source:Projected from Arabidopsis thaliana (AT2G03667) TAIR;Acc:AT2G03667] MCGIALVLSGGGRVVVAPSAAAAAAVAAVGIQPSDEGKGVTVDELKAALRRRGPDSLGCERLRVRADGTTLGSDGCDCGVGNGGDVGDTELCFIGATLQLRGAEPILQPMVGQSGNVLVYNGEIYGGVHVADDQNDTQSLLSSLESCCSCECHALKDSKTMWFGRDAFGRRSLLVHWPSPDDPRFILSSVSPPSFASNNSAPTVKVMESGEDNDFPESTNMSYWEELPCGIYSIQLKSLEKSGMCMKEACVSEVRRHDWINSSLDELIQWKRKSIVPTVDDLTSHQNSVGDYCLSQSFRNSTEADKNAAYKVLIALRESVMLRTNLNRLFQDDLNKLKDDELAPIAILFSGGLDSMILAALLDQCLDSKWTIDLLNVSFDGQLAPDRISALAGLKELQRISPIRRWRLVEIDTVLTNLKGESEHVMSLIYPSNTYMDLNIGIALWLAAGGDGWVDGSICNMQDGCRYKYKSTSRVLLVGSGADEQCAGYGRHRTKYRLGGWVLLDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDENVIKTLLEIPLWDIAKLDEPVGKGDKKILREVANLLGIKEAALQPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVEIHQRAR >OGLUM12G18260.1 pep chromosome:ALNU02000000:12:23104700:23105370:-1 gene:OGLUM12G18260 transcript:OGLUM12G18260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDAARAVAMPSLSPATVAARPSSRCLHKVAAMATQKPTSGTRRGTTVYFPVGEPGPRQTTSGKAAPPPVKLLTNVEKLRLLTKAEKAGLLSAAERAGLSLSAVERLGLLSKAEELEVLSAATDPGTPGALLGVALLLLAAGPAVVYLVPEEYPWEVAVQAVVALACVVGGSTAFAASSFVSKLQSSSS >OGLUM12G18270.1 pep chromosome:ALNU02000000:12:23106561:23110358:1 gene:OGLUM12G18270 transcript:OGLUM12G18270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVRARPQPAGSPPASALARLLSARCRPEEEKREERKEKREDKGEEKDKRCATDMCASYIIFIFFLTRMPRQRNHSYILPWDFF >OGLUM12G18280.1 pep chromosome:ALNU02000000:12:23111508:23114616:-1 gene:OGLUM12G18280 transcript:OGLUM12G18280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRL >OGLUM12G18290.1 pep chromosome:ALNU02000000:12:23121536:23124536:-1 gene:OGLUM12G18290 transcript:OGLUM12G18290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKHHKNVEAGKGSFHRMILGQLVGEFGFDEENVPCNTPRSSVRSRSGASTSRIVASTSGSGTGGVLVSPGEYVRDPGSILSLQPWIFKRSGSQKNEEKMMLAGGSRVVGEGKNLMDSFRDGSAVEVSPRSPGLGSGPGRGRGALRSRRSRRHLIRPLVPMENSYIPQLYSEDFEIDECMFGPVPSPASARPFIVTDGRRVISKSRYQPVPVPFRIGFEKEGYRNSSDMAVSVIGIAPLPELKKSKRERQEFHNAGMSLSALQSSKPSKSTGLLDRLHIFSTGVSIGIISSTLSKKNELDALKGTVKRMENLVQDLHDELEMREGLTVKELPNEMSVKNDDDESKAHVTDSEPMSKIEEELEAELARLELNITSNHLNEQTFDFSEVDQDLIGDIVQGELKIDMAHRDLADYSSESAHGRDSRESSPDYTHDANYPVSPRDLSLRLHKVIQQRLEERIKELETALAQSEKQTQVQVMATEQILCERTCSDSDSGSPNQESPVYIQETNSAEPFCLNLAGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVHEDYSVDRSLIWGLEDGSARKLKKVPTWERILKSGEPNRTQDSDGDDEDEFEEDDDQDSKMLIQQIVERTKQGSPVLIHAQRILFSVDD >OGLUM12G18300.1 pep chromosome:ALNU02000000:12:23131801:23135025:-1 gene:OGLUM12G18300 transcript:OGLUM12G18300.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUF1] MIRLWVVATWLIVCVAAHPGEQPLSRIAVERTVLAVDESAHVKASPWVLGLKGQNSEWVEVEFFHPSPSNDDWIGVFSPANFSAAICEPENKRQRPPVLCTAPIKYQFANFNNHGYNKSGKGYLKLQLINQREDFSFALFSGGLLKPKLIAISNKVAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDIKEAVPFVEWGAKGGRRFLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLPNGTLIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLENIDMVVHIGDICYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCSYYEEQGTFGEPMGRDTIEELLQKYRVDLAFYGHVHSYERTCPVYQSQCVVNASDHYNGPFKATTHVVVGGGGASLSEFTTSKIKWSHYTDFDFGFVKLTACNHSSMLFEYKKSRDGNVYDHFTISRDYRDILACSVDNCPRTTLAT >OGLUM12G18310.1 pep chromosome:ALNU02000000:12:23139977:23149977:-1 gene:OGLUM12G18310 transcript:OGLUM12G18310.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUF2] MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAWSWNKPSNQPKRSMIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTVQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >OGLUM12G18310.2 pep chromosome:ALNU02000000:12:23139975:23149990:-1 gene:OGLUM12G18310 transcript:OGLUM12G18310.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUF2] MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKGQSSDWVVVEFSHPKPSNDDWIGVFSPSGFSSEICQPEYYGDLPPYLCTSPIKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAWSWNKPSNQPKRSMIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTVQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >OGLUM12G18310.3 pep chromosome:ALNU02000000:12:23139975:23149990:-1 gene:OGLUM12G18310 transcript:OGLUM12G18310.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUF2] MRFLIMAAIRWVVLAYIVVIGCATIARGDEQPLSRIAIERATVAAVDSASVKAQPTVLGLKFQYANFNNADYNRSGKGLLRLQLINQREDFSFALFSGGLSAPKLIAISNKVSFQNPKAPVYPRLAQGKSWNEMTVTWTSGYSIKEAIPFVEWGHKGGNQMLSPAGTLTFSRNSMCGSPARTVGWRDPGYIHTSFLKELWPDSLYTYRLGHRLLDGTHIWSKSYSFRASPYPGQDSVQRVVIFGDMGKAEIDGSDEYGNYEQASLYTTNQLIKELDSIDMVIHIGDLSYANGYLSQWDQFTQQIEPIASTVPYMIGSGNHERDWPGSGSFYGHNDSGGECGVPTQTMFYVPAENRAKLWYSTDYGMFRFCIADTEQDWRPGTEQYKFIEQCLSSVDRSKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGRDGLEELWQKYKVDLAVFGHIHSYERTCPIYQNRCVQDGSNLYTGQFNATTHVIVGGGGAMLSPFRATVPYWSFFRDYDFGFSKLTALNHSTLLFEYKKSRDGKVYDHFTISRDYRDIMACSIDNCPRTTLAWSWNKPSNQPKRSMIRLWVVVTWLVVCAAAAAHPGEQPLSRIAVERMVLAVNESAHVKASPLVLGLKGETNEWVEVEFFNPNPSNADWVGVFSPADFSSAICEAFGVPQYYPMLCTAPIKYQYANFNNNGYSKSGKGKLKLQLINQREDFSFALFSGGLKNPKLVAVSNKITFANPKAPVYPRLAQGKSWNEMTVTWTSGYDFKEAVPFVEWGAKGGQRVLSPAGTLTFDRNSMCGAPARTVGWRHPGYIHTSYLKELWPDSLLGHRLPNGTNIWSKSYSFKASPYPGQDSVQRVVIFGDMGKAEADGSNEFNDFQPGSLNTTYQIIRDLKNIDMVVHIGDICYANGYLSQWDQFTVQIEPIASTVPYMIGMGNHERDWPGTGSFYGNLDSGGECGVPAQTVFYTPAENRAKLWYATDYGMFRFCIANTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCTFYEEEGTFEEPMGRESLQELWQKYKVDLAFYGHVHNYERTCPVYQSKCIVSGSDHYSGPFTATTHVVVGGAGAGTSDSEFTTSNIKWSYYRDFDYGFVKLTALNHSSLLFEYKKSSDGNVYDHFTISRDYRDILACSIDNCPRTTLAT >OGLUM12G18320.1 pep chromosome:ALNU02000000:12:23151777:23157133:-1 gene:OGLUM12G18320 transcript:OGLUM12G18320.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:diacylglycerol kinase1 [Source:Projected from Arabidopsis thaliana (AT5G07920) TAIR;Acc:AT5G07920] MYKMMYPSWNDISVYISEYWSVIIATVVFASVTGVTIYYTVNQLNKNISLSLMKAIRARARKYKKLKDKVPASSHIWRKELGSRSKGLKCCVCLKSVSSPQYMGGVIHQCDICGATAHPSCSGNAHKDCKCVSMVGFEHVIHQWAVQWIDTSDRSEEDSFCCYCDESCNGAFLAGSPIWYCMWCQRLVHVDCHNNLSIETGDICDLGPLKRLILSPLCVKELHWTGAAGLISSITHGANELASNVRERIRSRGKKYRKGTISVDSDSSGTIDPPSDIEGDSQETNNAAKRREDHANGELPEVHESSESENDKQLLTENTTSIPNGQHEDSHVHNNQKYEIVDVPSDSRPLLVFINKRSGAQCGDSLRQRLQILLNPIQVFELGKQQGPEVGLTLFRKVPHFRVLVCGGDGTVAWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLSWGGGLGIVEKQGGLFSVLKDVEHAAVTVLDRWKITIKDNQGKLMSQPKYMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAKEGAKNMMDNTFDYFPWDVKLEIDGSKINIPQDSEGILVANIQSYMGGVDLWKNEDDVSDNFHPQSMHDKMLEVVSFTGMLHLGRLQVGLSRAQRLAQGHHIKIEIKTKMPIQVDGEPWSQDPCTIVVSHHCQAFMLKRVSEEPIGHAASIMADVLENAENNGIITASQKRTLLHEIASRLL >OGLUM12G18330.1 pep chromosome:ALNU02000000:12:23159332:23171110:1 gene:OGLUM12G18330 transcript:OGLUM12G18330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTPVMMRRARARRPFAVLAAAPPPPPSAGRNRENVEGEAMSFSQCVRRFGKPAAKKGSARTPRRATPVAAAAAYDAPRAPLWDRSEERERIRARLSSPDDGGEEEEGSSRKKRTRTRTRTRTRRSVALREAMAGLPEHGDGRVRYLVDTFERLLSLSSDPGEQSRRRRKKKTLVARKTGSSWPPPPTPTPTTTRADEIDVSYPSIASSSEVSFPINGVVLRFLASLLIIFFPSCNHFLLFLILVAHNFFLCLKFFRRSIARDEPPRRQKRCSSICSSERSWSRKKIGVTIQRPFNLRTERRGKMKEESLVQRMKNKLLEEERLRNPVAQGLPWTTDVPENPVKPLGKEPTEPIDVVLHSEIRAVGRARFDHQVAERNSFLEKLNMERERQQKLDEELEIKQLRKEQVPRAHPMPDFSKPFVPKRYFVFIANPDRRVKGAEVFVGGLPRSVTERALREVFSPCGEIVDLRIMKDQNGISKLQGKRLAVDLSLDQDTLFFGNLCKDWGIEEFEELIRKALEDVVSVDLAMARNHDSSVGKRCLNRGFAFVRFSSHAAAARVLRIGSRTDFLLGGLHPSINWAEKESHVDEDEMAKVKTAFVGNLPANVTEEYLRKLFEHCGEVVRVAVSRKGQYPVGFVHFASRTVSVARPVVENDKKRIREEVKTRRSNVSRDKPDHSYGRRGHDSYDRQAKAPRLYNEVSDTDPYEAAVVSLPSAVKELLLRILRLRIGTRYDIDIHCIRSLNELPEKAAVAVLNQFLISGADKHNKGDYFASLIAKYQAETFSSALRLQGSTYLPRNPEIQNKRFPHQDYEYTASGSSRYSSLGDYPSSSYVDDPASSQSRNRRYDEYRPDLVRYPDSRSRQEEIVRIERYPEPRFAHEPRQDTGRHLDLGYVQERNSNIERSAQVAFSSREGGYLSASRYNTNIVPEFSSRSSAEYSTACQQVRFDPFTGEPYKFDPYTGEPIRPESNPRRSGSLY >OGLUM12G18340.1 pep chromosome:ALNU02000000:12:23177330:23178385:1 gene:OGLUM12G18340 transcript:OGLUM12G18340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGGFHGAAAASPTTVARAGRVMRAAVAAFFHGYHCYTSVAGLLVLPFSAAVLASGAMASPSSGALAAVSARIRRMFDAAGFPPSSFFALLNAKLSQTVFTFAAALPFTLTFLLLAKACVAAMLRPDDDGEGVARRGRGVARATRLPPCGSVAGAYPAMVATHLFGAFVMLSANAAVFSLMFLAFNGADLLGLTTTSHAAATLALSAAGAIAYSVAVGIATVVCNLAVVVSAMERRAGHAAVLRACVVIRGRVPTALALALPTNLGMAAAEALFQLRVVSQAQRHRLAGAGDGKRLAAGVAGEAFSIAYIHALCVVLEIIVSCMFYRSCRRSEADELRELEPEEKGDLQA >OGLUM12G18350.1 pep chromosome:ALNU02000000:12:23184801:23185988:1 gene:OGLUM12G18350 transcript:OGLUM12G18350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPFFSFWIRRMEIKVALDGFQIENGNNGRWPLLPPVKKMKMENSERGMLGAAVSMDARRCSMDAGGQAHRREGCRHLASCP >OGLUM12G18360.1 pep chromosome:ALNU02000000:12:23185212:23186130:-1 gene:OGLUM12G18360 transcript:OGLUM12G18360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWIRLPLCASCMLIRLQRRGSSAVAGGVSADPAPPSSRVDPARVKTMGRRQDGGNLRGAYGINAKSMHAMISQFLDRRIRRHGVEFYPVN >OGLUM12G18370.1 pep chromosome:ALNU02000000:12:23197180:23197368:1 gene:OGLUM12G18370 transcript:OGLUM12G18370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDPGDDLREAFRVFDKDQKRASSPPLSPAMSWTMHRERFTDKEVSKMIREADSGRQINYK >OGLUM12G18380.1 pep chromosome:ALNU02000000:12:23202784:23208084:1 gene:OGLUM12G18380 transcript:OGLUM12G18380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVNHGQIPTLLPCDDDD >OGLUM12G18380.2 pep chromosome:ALNU02000000:12:23203427:23208084:1 gene:OGLUM12G18380 transcript:OGLUM12G18380.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVNHGQIPTLLPCDDDD >OGLUM12G18380.3 pep chromosome:ALNU02000000:12:23202784:23208084:1 gene:OGLUM12G18380 transcript:OGLUM12G18380.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVNHGQIPTLLPCDDDD >OGLUM12G18380.4 pep chromosome:ALNU02000000:12:23202729:23208084:1 gene:OGLUM12G18380 transcript:OGLUM12G18380.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQMPTYPTAMFLGDSDGEGISLVLYFKLNENYEKEISPLFLDSIKRLVNDEIEKVKGFPLDSTVPYRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHNFYVGPNYFEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQAQKQEELPENVLCCVRLNRVDFVNHGQIPTLLPCDDDD >OGLUM12G18380.5 pep chromosome:ALNU02000000:12:23202729:23208084:1 gene:OGLUM12G18380 transcript:OGLUM12G18380.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSSSKKRRSQKLCCIYRRYRGKVLSNAPIVRASDAGSRAAPGEVVHVEAKSDGSNVTFHLTQLQWHHSELDSENGNVVCQEEAWFDSLSILGSDSDEDFSSVNGDLPAMSNSAGTQLMHCEDASSIADAIQKFERIFDGSCVAQAIGQYLKRDANKMDRPNQADIQESEKPKIPSPESCDVSDVKVDETKTRNEGIKILTKLRRGEDACNTLKSSKEGDKAHESIFKSLTPVCTPRHANKVQPLAVASPRGQKKKSGVVRLSFKRKSFDGEQTTEICSSRRYLIHPRAGLLVPQGSEKISESCWSVLEPSTFKLRGETFFKDKKKLPAPGSSPYTPIGVDMFMSPRKIHHIAQHIELPSAGPSEKIPSLLIVNIQV >OGLUM12G18390.1 pep chromosome:ALNU02000000:12:23209380:23211375:-1 gene:OGLUM12G18390 transcript:OGLUM12G18390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQIGQGTYSSVFRARNVETGRMVALKKVRFDSGEPESVRFMAREILILRRLHRHPNVVSLDGLITSRSSPNLYLVFDYSDHDLAGLSSDPSLSFSLPEIKCYMRQLLLGLEHCHARGVMHRDIKCANLLVSGGGELKVADFGLANVFDASSAAAMTSRVVTLWYRPPELLLGATAYDASVDLWSAGCVFAEMHARRPILQGRTEVEQIHRIFKLCGSPGDAYWRRAAAGGGGGAGFRPQQPYESRLRESFGGMMGDDAFALLSKLLSVEPSARGTATEALASEVGDHAAKYFRTEPYACEPSSLPKYAPNKEMDAKLREDSRRRVNVGGRNHGGVGGGEATKRLSRGHKSMQDTTAAATAAAVVASQRHGHGHVHAEESLPRANGGEARLFVDMQPVPVIASKRHDDPTPPPPPPPMSRSYQDDAGDRLPLSGPVQLTASTGFAWAKMPRPDSTTTAAAAAKRSSSKVPRTNSNGGAYEAEKQEAMKQWAQVADAFTSSESYNNRFKEPTATAAAAAATKEVKSSKKHKVGGGRLHRVGFSGPLLSQPRRIEELLQNHEQQIRRAGRRSWFRKGDPHTTRMMSLLCSTAL >OGLUM12G18400.1 pep chromosome:ALNU02000000:12:23211413:23211853:-1 gene:OGLUM12G18400 transcript:OGLUM12G18400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKGAAVASPAYEATTTSSSSASAAYSVSRSASTGAAAAEVASIWSRPVRLDAYEDDGDGKKKAAARGGGECSSVVVVGGGVRLGNIHRYVEAEQVAAGWPSWLSAAAAEAVHGWVPLKAANYEKLDKASKNSNRRTPLLLN >OGLUM12G18410.1 pep chromosome:ALNU02000000:12:23216101:23218681:-1 gene:OGLUM12G18410 transcript:OGLUM12G18410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVESKTYLPGYFTMADSSVNSNGNWLSYHEESKPSGHVSDSFTITTANASPDYDKEMLKRTMLVHEATFRKQVYELHRLYKTQKDLMAQFQREECNGYPRSADMLQARSYSSQATSRDVKRVWQVMPPTSGNDIKQSSINFAKGSEYGRNGAPLMNNNNGRSTKKMLDLQLPADAYADDDDDDDDDVEILEEKPAKILPRINGSVVGGIVKLNVGNSEGSSHMEKSWIAGLHPQHVSTVNVLNKAVEESSSMKMPDFLGVGTSTSQSQRYSSGRVNLNHLSLEDTMKEKRIGEASGSNFFGANEEVKRNSSFNNKTDYQNVSMGWFKQEPNGINFSAAHYLPRCNPFNQLIDAPTSSNAAVKSPWQSSNTSYTANGYYGSVYTPFAQNGFFNGFSVDSINTPMATNHYHNQRSSKFPGEPQYQKHSPLHGVNLNDTPQDVTAIQEQGSENSPVDISWLRKDPVDLMKSQVQPSCANGQSQISLGSTAYSEGSTRVLGFPINAAAERNTEPLIKHKADMEMHKKDDANARNLIDLNAAPSMDEPDIDVHQSEGGTVPQQPDDPSEDSLARTAAESLVALCKDVFQAGSPLADILHWFADLAIASKEDAVVCSSESDSDDEFEALTLQLEETKAYELYSTPKTPVEHKSNEDHGSVAASLLQTKPRRGRARKRPQKKDFQKDILPNLASLSKHEVSEDLHTLGRSTPSKRGGRNGSQSRGRRRARSVAIAVEEVEVSPPPAPAPPPPPPADLDANALGITGWGRTTRRCRRPRCPPANNASLRLA >OGLUM12G18420.1 pep chromosome:ALNU02000000:12:23237287:23239104:-1 gene:OGLUM12G18420 transcript:OGLUM12G18420.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G29230) TAIR;Acc:AT3G29230] MSTAASAVRPPPTWGAPSQRRLVEQHLASLPRGLPRVAHVRELHAQVLKQGLHLNPRAAARLVSAYALLRLLPSSRRVFDAVRDPHADAFLANTMLRAYALGGAPRDALAAFSAMPRRDSFTYSFLIKALSAAGVAPVRAVHSHVVKLGSVEDTFVGNALIDAYSKNGGFSDARKVFDEMPERDVVSWNTAMAAMVREGELAGARKLFDEMPEKDTVSWNTILDGYTKAGEVEAAFELFQRMPERNVVSWSTMVSGYCKKGDLEMARVIFDKMPSKNLVTWTIMVSACAQKGLVDEAGKLFAQMKEASVELDVAAVVSILAACAESGSLSLGKRIHRYVRKRNLGRSTHVCNALIDMFCKCGCVNRADYVFDTETVQKDSVSWNSIIGGFAMHGHGDKALELFAQMKQQGFNPDAVTMINVLSACTHMGFVDEGRRYFSNMERDYGIMPQIEHYGCMIDLLGRGGLIKEAVDLIKSMPWEPNEVIWGSLLSACRLHKNVEYAEIAVNELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARMQMKGTGSQKTAGSSWVELNETFHEFTVGDRKHQDSDQISEMVDRLSSHVKHVGCVPAGHELLVQ >OGLUM12G18430.1 pep chromosome:ALNU02000000:12:23241296:23245797:1 gene:OGLUM12G18430 transcript:OGLUM12G18430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPLDKAAARSTPLALPAGGAAPAAAHQSPASLPLGPPAAASAKPLVAAANPPLLPVVAPAAAAPKSSSGAVEESKEGVEESNKSNVLTIGSIRSTLMKHEDTIIFGLLERSQFCYNPDTYDPNASRIVGFNGSLVEFMVKKTEKMHARMGRYKSPDEHPFFPENLLEVVEPSVEYENVLHPAAANININKRIWDVYFGDLLPRLVKEGSDGTLQEDSLWQDSDKLMELLTFAKVEDDVRARVMSKAMTFGQVVSEDLENEIKLKIEPELAVELYDKWIMPLTKEVQVQYLLKRLD >OGLUM12G18440.1 pep chromosome:ALNU02000000:12:23248119:23251299:1 gene:OGLUM12G18440 transcript:OGLUM12G18440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVVKEVIIVSTPESSKSTSGDFPVNSLENVDVSSVPSDLKRKEKSVPHYLRASTGSCHDSCKFGAHHSPEPKKYWPVRSRRHQDRANAGCGKQGQDEIQTQKGRSRNKDLELKICLVKDGNVHDKPEFIEVKKPPIEMASDNSETSPCVQDQLSSAEESKRVEDGADLPCGDDKFLIPDGNAACFVDGESSEGAVSIELEMPLAIQDSDASDDHIADAISPPECVYKAGEQLLVDDMCDDGSGNECAGSEKKSTQIVMASENLEKSGHGTKSKSLYNVSVKPKVKETSTATRSNASSQKIVRTLDRKASGTTIESSNGSKVVRATKFNRDKKFRSTVASNVPKVKEIKVTSPATVMDQTSKPTRQSKLKSLVANDAPSPSVNSEKQTDRKMTVMNVAKNARVWQKKEEEKISPVKLSRSINLSSKSLLSIKMRAVKKEKPASLVKSNKKVYGAENAVADVKEKNLKSASPKVRKVEVSKKESRSQKENSATPRTENTRQPKSSTIPAQSPRKLTFRRGKVLNLQSNSESSSTPRRLRFRPAKTVEDSNRSKESTRGRRKSDSAASSGSKDSGSSKPEVVILRHQDVRDKKKNEQGLLNNVIEETASKLVETRKSKVKALVGAFETVISLQESKVAPVTAAALS >OGLUM12G18450.1 pep chromosome:ALNU02000000:12:23253771:23259899:1 gene:OGLUM12G18450 transcript:OGLUM12G18450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAITHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSANALLWHRLMGKGVISLDTSGSSYLRAYVHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >OGLUM12G18450.2 pep chromosome:ALNU02000000:12:23254395:23259899:1 gene:OGLUM12G18450 transcript:OGLUM12G18450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGEESMRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAITHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFCLAVASAHGEGSYFLRHQRFFGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >OGLUM12G18450.3 pep chromosome:ALNU02000000:12:23254395:23259899:1 gene:OGLUM12G18450 transcript:OGLUM12G18450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGEESMRLWFLIPLLLCFPTLIRSEDYSDVTIVVRGAETIASTSNEFICATIDWWPPEKCNYDQCPWGQASILNLDLTNPLLAKAIQAFSPLRIRLGGSLQDQVVYGTPNLGSPCTPFSKSSSGLFGFSQGCITMERWDAINKIFMDTGAVVTFGLNALQGRQQMGRGVWGGAWNSSNAREFMEYTVSMNYPIDSWEFGNELSGSGIGASVGAEQYGKDIIELKNIISQLYGNSRKPLVVAPGGFYDQKWYAQLLDISGPNVLDAITHHIYNLGAGNDPQVANRILNPQYLSQSSDTFRDLQMTIQRHGPWSAPWVGEAGGAYNSGSRKVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYRQVFLYFALPQSCFTTLYTFCTKPRYMFSALLWHRLMGKGVISLDTSGSSYLRAYVHCGKQKGGVALLMLNLNKNMGFMVSVRNDLNINFREMAGIKRDNSFVHGLKRTVSWVGSKASDGLEKREEYHLTPQDGNPFARTVLLNGAPLQLTGDGDIPSLPPVMVSVNSPIYVAPLSIAFVVFPDFEAEGCER >OGLUM12G18460.1 pep chromosome:ALNU02000000:12:23258931:23263286:-1 gene:OGLUM12G18460 transcript:OGLUM12G18460.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUH5] MQIRLSPSMRSITISSSGIGIGGGNGGGGGVGSGLLDLMKLKAAARHFSYRTVFHTVLILAFLLPFVFILTALVTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRVMRDLYRMLDEINSEEVPVDLKVPDSFDEFIWDMKNNDYDLRSFAFRLKATMESMDRELRTSRLSEQLNKHYAAIAIPKGLHCLSLRLTDEYSSNALARKQLPPPELIPRLSDNSYLHFVLASDNILAASVVVSSTIRSSLKPGRIVFHVITDKKTYPAMHSWFALNTLSPAIVEVKGVHQFDWLTRENVPVLEAIETQHTVRSRFHGNHLARNSAGDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPNLNKVVFLDDDVVVQRDLSSLWDIDLGGKVNGAVETCRGGDTWVMSKRFRNYFNFSHPLIANNFDPSECAWAYGMNIFDLSAWRKTSIKDKYHHWVRENLNSNFTLWRLGTLPPGLIAFRGHVHPIDPSWHLLGLGYQEKTDIPSVQKAAVIHYNGQSKPWLDIGFKHLQPFWTRHVNYSNEFIRNCHIMEPQL >OGLUM12G18470.1 pep chromosome:ALNU02000000:12:23266902:23272420:-1 gene:OGLUM12G18470 transcript:OGLUM12G18470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDVPQDDVQCHFCGTYLRPRSFRKHQQRCKYNPDALTRENLPASSIPASATRATHSEMASDGPQENCQCSFCHKDFEGRSSCAKHELQCKNNPDVHSQTEPSGNAQGGIGSLDVHVPRRKRTARNQPLPEQHLKKPASDYAQGGTSDAPETPPKPTTSEVKVDSAVNAPDITIPDNKDPSVMQKQKKKLDLNLQPHSDSSDQEEQADNFSSINDLSTIGTNSDKKKNTITGVADTNIPDHKDPSRMQMQIKLDLNLPPHDDSFSSMDDLNALVPADTNSDKEKKTDNGGAPADANSDKEKRTDNGSVPTGTNSIKEKKTDNGGVDINIPDHKDPSARQVQKKLSLNLPPCNDSSSSMDDLKTLAPTDTNSDKETNTSNGGVDTNIPDHSDPSAMQTKNKLDFNLPPRNDSSDSLPSKNPLLLLFLSHHRIQKPPASTARRRRRAMNLVRGRVQIRRMPRPIRARAPSPALARSVHSTRGVHRERLTSKKAEEERAGRVGINKKLDSSLLVKESLPTTTWTCTDLRWVQDFRFLLVLGFAVHEMASEWDSPCQFCGKNFKRRGNLLNHELTCKYISDVHPQTESSGDAQARKDILGFHIPRQKRTVPTFPASDYAQGGINGATTSEAKVDRDPEEETDVLEALLLLREQPAYPGEETFSDGEPGAGNVDDEPAASNPDKVLTMCSDKGSSSPVECYGGDERRVGARAIAGVEEDDLNPNVGCRGVTDCVDESDVIQKQKRKPDLSVADLRDLVEMKQQNMKADTNIHDQGHPSVMQMQKNELGLDLLPHKNSSDHEVPTLSVSSMDDPDGLVSTDTNSDKETETVNVGTDINIPEQRESSVTRMQNEKLGLNLLPRNDSSGHEVPILSVSSTDDLNSLIPTDTKSDKDTNSNVADSLGHCPAQE >OGLUM12G18480.1 pep chromosome:ALNU02000000:12:23274300:23275391:-1 gene:OGLUM12G18480 transcript:OGLUM12G18480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGKPWKHCCNKCDKSFRSGNALGGHMSCHRSVGNQPKSTSSLPTVVDLHMPLLSSCDDNLLLLPPETQCQMCSKVFSTSGSLREHMMMHGGEKVVVKAEEEAAGLIEALGIADSMQDVMVFSSVKRKRSFRSKRQTPALSLEEIEAADALLLLSGCFDKTSAYEDCYLGDIEDSSLRSIVLTEVNMNAVDRCSVRSVGSKEPINDNNSGYKDCYGQSDKENCLIVPKEEMDPNDFDHELVRDAALRKPRTDNSDEEMKFGDLPAAAMKDNSHRCNTCGKSFGSGQALGGHMRRHYVRKCNRQRGVADRAGSVLMKVQKLKLRLDPILFDVTLPALTDGDCCISVGVKPEPQLWCVTSNLQ >OGLUM12G18490.1 pep chromosome:ALNU02000000:12:23282289:23294032:1 gene:OGLUM12G18490 transcript:OGLUM12G18490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDRERDVEEETRNQMMQNLFGDQSEEEEEDDDEAVDFVDEDDHPHPHQQQQQQLRHQVVDDDDDDDAHARSGYHSEEVEGEADNGGEGEAEGEGENAGTVTEEGYFVFVQQLGRRRRNKKDIKIKNNKKKKIGGMGAHGGGSVGERGVMQEEVEGEADNGGEGEAEGEGESEGQVGMEEESEAEAHRADLDQGESDGEKVQSSPERELSDRVMQNDAAGMDSEDEAYQQRPVASRRRGVVASESEGSEDDYYAGRAHEDEEPRQTRKASSSPVEEERDQEVVRDVFGESDEDEPAPYRDQQEIDEDSHRSPMEDEGHYEKDLQPDDVVADEDMRYESDENRELKPKEKPVGPPLNLVVPLKQPPAQPERMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVKNANGTTSCESNARIVKWKDGTMQLLIGNEVLDISVHEAHHDQSHLFLRNGKGVLQSQGRLLRKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWIESKDPERVKQEKERALGQNIRAHSILQRKREKVSRKYTQPARQRRQLSPGFLEDALDEDEEPDHQYGSRRMPARSRFGDELEAEALAERRIVSAKKSSMGRNIPRKPSFPARPPRRQENEYSESEREESEYETEGEDIEHSPTQGREDELDEEDEYEEDVEEAAMSDEEIEEPKRRRESGGASASQRRKEIDSDDDSPPRKQQAVHRRKAVVFDSDDE >OGLUM12G18500.1 pep chromosome:ALNU02000000:12:23318337:23318513:1 gene:OGLUM12G18500 transcript:OGLUM12G18500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAGLLAQDQDGDDQEVTTHAAAASTQGDANFTGGLDGVDDEAQNPLYALLTASST >OGLUM12G18510.1 pep chromosome:ALNU02000000:12:23318533:23319314:1 gene:OGLUM12G18510 transcript:OGLUM12G18510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLTQLPSAPPVSNMMCIQTEAREEEDPSGNEASCTYCTKKLSANSKGGTSHLRRHVNKCALRRLQALLMDI >OGLUM12G18520.1 pep chromosome:ALNU02000000:12:23325447:23328027:1 gene:OGLUM12G18520 transcript:OGLUM12G18520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFSSSMEEAGHCHRRPQRGPLVPVAAIAEDHHVPDAPPPPPAAAAAEIRQSVAKFIIKRPLLAAGSRKRKDEPNGCGAHHLGKENMPIGEGNLPKESKSPVWEHMEKDQPSKDMATCIHCSNVYTAKSTNGTSHLRRHLTSKCLKRKGLTEELAKLTSTKKARKIR >OGLUM12G18530.1 pep chromosome:ALNU02000000:12:23346931:23348687:1 gene:OGLUM12G18530 transcript:OGLUM12G18530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPVAKKVAARVDTMEIKSQIAKKLGAERSEHYFHSLKKFLGGQLGKEEFDKICVATMGRDNIKYHNFLIRSILSNAYSATAPPPPPPPSRQATTGNSQTSTVSVSNGAVANHGVMAGVMRGPALATREARFERPSPLGKSPLGHQVTGEFVSAGSKAPLEVVSVEDGEEVNQAGGSPVYAQSRSPIRAPLGVSFGDPKAQNSRPSIPHPSLICYKNGELPEAQRLLKLLENKLQAEGLSLTQECADVLNSGLNAYLSRLLKSCMGVAKSRGKRVMMNYPNVTTVAVINGVQYQRSTGSADYSYQASLLDLETAVVCNPQLLGGKSSLVNATVYLYLYGEFGLVAWILLVNVTVYLYLYGEXYIILSSAVVL >OGLUM12G18540.1 pep chromosome:ALNU02000000:12:23350000:23354719:1 gene:OGLUM12G18540 transcript:OGLUM12G18540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGHRLQQVTSTADRPHPVHPSAGPHPTPPIRIHISPRPSPLPSPPHPLLAITLALPPSPASDFRSLYKRPRISKFFPPPPPSRARSLPALFFFPSSSPVGLIRGVRIWFLGVCMAAAALEGSEPVDLVKHPSGIIPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTAFENIYPVLTEFRKVQQ >OGLUM12G18550.1 pep chromosome:ALNU02000000:12:23356759:23358249:1 gene:OGLUM12G18550 transcript:OGLUM12G18550.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid permease family protein [Source:Projected from Arabidopsis thaliana (AT3G19553) TAIR;Acc:AT3G19553] MTGAGEAAPARRRGLTVLPLVALIFYDVSGGPFGIEDSVRAGGGALLPILGFLVLPVLWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGLVLSPPARSLAVLALTAALTYLNFRGLHLVGLSALALTAFSLSPFVALAVLAAPKIRPSRWLAVNVAAVEPRAYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAGEWTDGFFSVVGDRIGGPWLRVWIQAAAAMSNMGLFEAEMSGDSFQLLGMAEMGMIPAIFARRSRHGTPTYSILCSATGVVILSFMSFQEIVEFLNFLYGLGMLAVFAAFVKLRVKDPDLPRPYRIPVGAAGAAAMCVPPVVLITTVMCLASARTLVVSAAVAVAGVAMYYGVEHMKATGCVEFLTPVPPDSLRGSSSSSSSSAASDNGGDDDVEDVRALLLAAGEHAGEGVSVSKENY >OGLUM12G18560.1 pep chromosome:ALNU02000000:12:23371316:23373076:1 gene:OGLUM12G18560 transcript:OGLUM12G18560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMDKLVRQCDMEVMKMAMLKHEETFRQQVHELHRLYRIQRQLMSDLTMAELSSGHRRRQPRRSSKQPRRALNLQLPADEYIVSADAADDNDDTAELDLTLAVGGGRSSRKFNAAIAAAASAAAGGSSPFASDCSGSGLSSSPSSAEYSDGAAMFLHAPPPMPPPCQRAMAFDLSMGDAMKQQQSPWLVQCQYLSLRMT >OGLUM12G18570.1 pep chromosome:ALNU02000000:12:23378704:23382832:1 gene:OGLUM12G18570 transcript:OGLUM12G18570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPRPWRETGGATDRGGCLAGRLRRWRRRVGRGQRHRRGAAERVRGGDNGVGTGLVIRGWAPQVAIPRHRAVGWSLMHCGTNAMLEATSAGVAMLTWPMGADHFVNKILLVEAGVAMHLAEGADAVPDSGQMAKAIAAAVGDMGKPFRERSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >OGLUM12G18570.2 pep chromosome:ALNU02000000:12:23378704:23380228:1 gene:OGLUM12G18570 transcript:OGLUM12G18570.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPEKFSEEDFVSRLTPVKICVGSFGQLNQIICTEMN >OGLUM12G18570.3 pep chromosome:ALNU02000000:12:23378704:23382832:1 gene:OGLUM12G18570 transcript:OGLUM12G18570.3 gene_biotype:protein_coding transcript_biotype:protein_coding MPIASKLVYFQRRRPSPAPPEPEPEPPDPRRRPCRAGAGASAARRRKPGQEHVPGSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >OGLUM12G18570.4 pep chromosome:ALNU02000000:12:23380102:23382832:1 gene:OGLUM12G18570 transcript:OGLUM12G18570.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVQRPWRETGGATDRGGCLAGRLRRWRRRVGRGQRHRRGAAERVRGGDNGVGTGLVIRGWAPQVAIPRHRAVGWSLMHCGTNAMLEATSAGVAMLTWPMGADHFVNKILLVEAGVAMHLAEGADAVPDSGQMAKAIAAAVGDMGKPFRERSKRDTSKGVASAGNITGQAGSTGSSSRLNRSVSDHGRLPDSVQQARERLLQRLNSVDLSGRRQNTSLSSETIHGGVAPGVSTTADSIFSSLTSCFHTDVSIAPCKLQESTAETFNTADKHTFIAHCSEPAPTQEVASCRVTDDDELAGPSTECSICLERCGDADGLLELRCKHIFHSACLERWLRSRSDCPYCRASVLLTAEG >OGLUM12G18580.1 pep chromosome:ALNU02000000:12:23407920:23413629:1 gene:OGLUM12G18580 transcript:OGLUM12G18580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRWRFLEEDEGAEAAGECAAPELGAAAGDDWEVTAGAAVARRRSTGRRRRRAAIGNGSERAQWRDAEEARNVGGEVKSVKEKNTTTISY >OGLUM12G18590.1 pep chromosome:ALNU02000000:12:23414215:23415781:-1 gene:OGLUM12G18590 transcript:OGLUM12G18590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLAPVHVAAGEPLPHLSIKDTPIETSSAQYILQQYLAASGGQKLLSSVRNSYAMGKVRMVATEFETGGRVVRNRMAARAAESGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHSAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPETLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAIYWETTINSFIEDYRPVEGIMVAHAGRSAVTLFRFGEVAMSHTKTRMEEAWSIEEVAFNVPGLSIDCFIPPTDIKSGSISETVELPHGEKSKVGLLQCHSAKVAALEKADDNVAWSGALQRDFK >OGLUM12G18600.1 pep chromosome:ALNU02000000:12:23420469:23423425:1 gene:OGLUM12G18600 transcript:OGLUM12G18600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSELIGSGFASEQGGMSTDAIKRAFHATEEEFLHMVKRSWLKQPQIASVGSCCLVGAITDNVLYVANLGDSRAVLGRRGPDGREVVAERLSNDHNVAEEEVRKELTEQHPDDSRIVIYTRGVWRIKGIIQVSRSIGDVYLKKPEFARDPIFRQYVCSIPLKRPVMTAEPSIKEHQLRQQDLFLIFASDGLWEQLTDKAAVDIVFKNPRAGIAKRLVRAALTEAARKREMRYTDIKHIERGSRRNFHDDITVVVVYLDHHKHGVRPNLGNRNSFRFTNAPVDIFSGSSEEVDHHPLRLNLAMDGAVG >OGLUM12G18610.1 pep chromosome:ALNU02000000:12:23424473:23425482:-1 gene:OGLUM12G18610 transcript:OGLUM12G18610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDAARGYSAVGGGAALLLMLLLSLTVGPAFV >OGLUM12G18620.1 pep chromosome:ALNU02000000:12:23435595:23441780:-1 gene:OGLUM12G18620 transcript:OGLUM12G18620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCQGEDYSNPKNAICRQALDRFNELLGESSGGHILYNYCIYDSDIDGSIQEKPKIPPFPPRECIGSVDEWLRCHNGDLPYSMDIKSNIKFHHNVTTKSYRALVYSGDHDAMIPFLGTQAWVRSLNFSVVDDWRAWHVDGQSAGFTIYNGGSHTVPEFEPERSLAMFKRWISNEPL >OGLUM12G18630.1 pep chromosome:ALNU02000000:12:23456863:23460365:-1 gene:OGLUM12G18630 transcript:OGLUM12G18630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPAAAAAAIATASSAATPADDEAHSLLPSTPSDEEDDDDLEERAYEATEKVIVSISDFPDADDDEEESGLATSTAASGIPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLLWATSMGLLVQLLAARVGVATGRHLAELCRDEYPSWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGFLPLWAGVVITALDCFIFLSLENYGVRKLEAVFAILIATMAVSFAWMFTDTKPNMKNLFIGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPNKEHQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGTKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLKLKKWIRSLITRSFAIVPTIIVAFFFDKSDSLDVLNEWLNVLQSIQIPFALIPLITLVSKEKVMGVFKIGRNTQAVTWTVATLLITINGYLLLDFFSSEIRGLLSGSILCVAVLAYASFVLYLILRGTELPNQIITTIRKSFS >OGLUM12G18640.1 pep chromosome:ALNU02000000:12:23468828:23473089:1 gene:OGLUM12G18640 transcript:OGLUM12G18640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSGILAPTRGASSSSSAVGRKLLLLGARHPPSSVAVAGRGVWRRGLAGVGVAAAAASSSSPDELHARGRPLRGGAYEERSALWNLMKDIEPLDLSIIQKDVPSETVDAMKRTVSGMLGLLPSDQFHVVIESLWNPFFKLLASSIMTGYTLFNAQYRLSLERTLEFSEEETECKKRDSCEEIHSVGRPSMFLSLPEDVGLTIESEMADEKLCGNMDGLGSLSIEAKKLILGMQSRLDSMEKELHELKKKNSSQQMQQFAGEEKNELLYYLRSLSPEKVVELSESSCPGVEEAVYSVVHGLLATLSPKMHTNRSPTSENMAGGAVNFGMEEDDEFTELVEDVSLPFQPLISIPRDRLARLLFWCMMLGHYIRGQECRLELMHLLAVSSDAHS >OGLUM12G18650.1 pep chromosome:ALNU02000000:12:23473585:23477983:1 gene:OGLUM12G18650 transcript:OGLUM12G18650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKENSTPASAARLTRSSAAAGAQAKRSAAAGVADGGAPPAKRKRVALSDLPTLSNAVVVAPRQPHHPVVIKPSSKQPEPAAEAAAPSGGGGGSPASSASTSTASPSSGWDPQYASDIYTYLRSMEVEARRQSAADYIEAVQVDVTANMRAILVDWLVEVADEYKLVADTLYLAVSYLDRYLSAHPLRRNRLQLLGVAAMLIAAKYEEISPPHVEDFCYITDNTYTRQEVVKMESDILKLLEFEMGNPTIKTFLRRFTRSCQEDKKRSSLLLEFMGSYLAELSLLDYGCLRFLPSVVAASVVFVAKLNIDPYTNPWSKKMQKLTGYKVSELKDCISAIHDLQLRKKCSTLTAIRDKYKQHKFKCVSTLLPPVDIPASYLQDLTE >OGLUM12G18660.1 pep chromosome:ALNU02000000:12:23477106:23477693:-1 gene:OGLUM12G18660 transcript:OGLUM12G18660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQADESSVNKESSEQQQLTSEQDDDGATWLSLTLATQGSPEEATAEAEETEAANCSESEAPKPSSAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMMMGLPLEAHAAFVHSLRVNQSSVIQKASQQAQIRTAPRFHEGSISWPPIAYEEVPNSTWPGSFRLRSQPSDQPSEQSKIDLNLRL >OGLUM12G18670.1 pep chromosome:ALNU02000000:12:23479355:23481717:-1 gene:OGLUM12G18670 transcript:OGLUM12G18670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTGGAAPFLIVIAVLFLVVAILARTRRRDGDAAPSQPVLGHLHLLKRPPLHRSPRRCGQGADGVAAAGAARVDARRGAGERFTACDAAMAGRPPAARRGRPRILENPNNCTFEEEREKSQRRNFIYLLDKGICMTNPPHLLTVVKKVCSFITWDGYQYMSNQFGRTNAVALPLKKGAGFELILQQDLHY >OGLUM12G18680.1 pep chromosome:ALNU02000000:12:23483900:23495165:-1 gene:OGLUM12G18680 transcript:OGLUM12G18680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSRHGPFINWSDGLFIFLSSSSSRNRFLTVFLPFPDPSTMMAGLEVATTAVTGGDATLVVVVGVLFLVVAVVVMTRLGDGGAAPSPPALPVLGHLHLMKKPLHRSLAEVAARVGAAPVVSLRLGARRALLVSTHAAAEECFTAGHVLHM >OGLUM12G18690.1 pep chromosome:ALNU02000000:12:23497452:23498018:-1 gene:OGLUM12G18690 transcript:OGLUM12G18690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNNPEVLKKATSEIDSVVGMSRLLQESDLADLPYLRCIITETLRLYPLAPHLVPHEASRDCVVAGHAVARGTMVLVDVYSMQRDPRVWEDSDKFMPERFKGSKADGSGWMMPFGMGRRKCPGEGLALRTVGMALGVMIQCFQWERVGKEKVDMSEGSGLTMPMAAPLTAMCLPRVEMESVLKSL >OGLUM12G18700.1 pep chromosome:ALNU02000000:12:23505078:23525935:-1 gene:OGLUM12G18700 transcript:OGLUM12G18700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLYSSSSSSAAAVVGVKPAAYEFVASVIMSMVTGERMAEEDVLRFKAITEAGLAAAGAANRQDFLPFLRLLDFGRARRRLAGIAKERHDFGQRIVDEYRRRHRRRLAVAADDSCSSPPRRTVIGDLLRQQESSPESYADEVIRTVCLVASLVDAVLRDAAAGGGGGGTVTLRPRLFELVLNVMLRAVTARRHGGDDARRFQGIVEETFAASGAPTVGDFFPALRWVDRLRGVVATLQSLQKRRDAFVAGLVDDHRRTRRAAAAAAADKDQKKNGIIDALLTLQETDPDHYTDNVVKGIVLVLLTAGTDTSALTTEWAMAQLVAHPEAMRKVRAEIDANVGAARLVEEADMASLPYLQCVVRETLRLRPVGPVIPAHEAMEDCKVGGYHVRRGTMILVNAWAIHRDGGAWGSPEEFRPERFMDDGAGGVVTAVTAPMLPFGLGRRRCPGEGLTVRLVGLTVAALVQCFDWDVGEAGAVDMAEGGGLTMPMATPLAAVCRPREFAKTVVSDCF >OGLUM12G18710.1 pep chromosome:ALNU02000000:12:23531295:23537436:1 gene:OGLUM12G18710 transcript:OGLUM12G18710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALATSVGINLGLTVLLAAAYSPRRPYAPPEPWLPAAWRRTEADVHAAAGLDGVVFLRIFVFSIRVFAAAAVVGVGVLMPVNFMGNQLRQIDFSDLPNKSVDLFSVSNVQDGSNKLWLHFSAVYIITGITCYLLYYEYKYISGKRLEYFMTSKPLPQHFTVLVRAIPVTNGVSVSDAVDKFFKEYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLANLKSVRRTSGDPPGKFLGIFGRNDLVGKYQKRLEDLEENVRMEQSDTTRSRQEVPAAFVSFRSRYGAANAIYIRQSDKPTEWQTEHAPDPHDVYWPFFSTSFMDRWISKFVVSVASILLILVFLLVSAFVQGLTYMEQLETWLPFLKNILEIAVVSQLVTGYLPSVILHFLSSYVPSIMKLFSTMQGFISVSGIERSACNKMLRFTIWSVFFANVLTGSVLGQLEIFLDPKEIPKRLAVVVPAQASFFITYVVTSWTSIASELTQTAALLFHLWGSCAKCCKRDESKPPSMHYHSEIPRVLLFGLLGLTYFIVSPLILPFVLVYFCLGYFIYRNQLFNVYSPKYDTGGRFWPIVHGGTIFSLVLMHVIAIGVFGLKKLPLASSLLVPLPVLTLLFNEYCRNRFLPIFEAYSTESLIKKDREEESKPEMAEFFRNLVNAYCDPAMKPIQHSSNSDDRTTPLLS >OGLUM12G18720.1 pep chromosome:ALNU02000000:12:23542666:23543865:1 gene:OGLUM12G18720 transcript:OGLUM12G18720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHLQPHSLTQAKLAIDRSSSMPPSMATARVASPSTDRKRKASSEDDIDAAALTSPPAPARGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRPSPPPAAVAPPETTTTPALPSKVTNLLLLRLKARNQQLVDAGAAAPQEAALLQLQQQTPASCQEVFVRSHGEEYGFHVDDFLSDACSNEQYSGDSSLGLDDDDGEVEDEEEEELDFQFMDVAPGASSAAAEAGLGGEGALCSPFEMVAAELGGAVDVAAHDAMRQMDYERKISASLYALTGVSECLRIRAAAGATAAARDHLTGLREACRKKQKFAAAAAAPPQQQEPSPPPQQPETPASSGGGMSETASGGGGGDGDVLMWSSLDLAPICHMA >OGLUM12G18730.1 pep chromosome:ALNU02000000:12:23544961:23548183:-1 gene:OGLUM12G18730 transcript:OGLUM12G18730.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-Glycosyltransferase superfamily protein [Source:Projected from Arabidopsis thaliana (AT2G40190) TAIR;Acc:AT2G40190] MAFLAGLAALLAALLAAAFRRIRRHPHPAPAAGFFHPYTNDGGGGERVLWCAVRAAQELRPGLPCAVFTGDADASPDGLAARALDRFGVRLLRPPQVVHLNRRKWIEASTYPHFTMIGQSLGSVYLAWEALNKFTPQFYFDTSGYAFTYPLARLFGCKVICYTHYPTISSDMVERVKQRSSMYNNDSRIAGSIWLSRCKILYYSIFSWLYGLVGSCAHLVMVNSSWTRSHIENIWRIPERTRRVYPPCDTSALQMLPLERSTTPPILISVAQFRPEKAHGLQLEAFAIALKKLSPEFPKPKLQFVGSCRNKEDLERLQKLKDRSTELHIDELVEFHKDISYRDLVQLLGGAVAGLHSMTDEHFGISVVEYMAAGAIPIAHKSAGPMMDIVLDEDGQQTGFLASEKEEYAEAIVKVLRMPEAERHEMATAARKRAQRFSEHRFHEDFTDAVRPILSAT >OGLUM12G18740.1 pep chromosome:ALNU02000000:12:23548582:23549047:1 gene:OGLUM12G18740 transcript:OGLUM12G18740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPHGLSRPSSHAASAAGEGAERGRRGRSRARLTGVEQCTAGGVELGACGSRRGRSWAAAAGEGAGGRRRGSRPLPSPPVSGSKRPERWEKEEDIGVGGGSVVGEGEGVAARASSRASMAMSWPWLSLTVRSRARLSGAKQCAPGGVELGAG >OGLUM12G18750.1 pep chromosome:ALNU02000000:12:23552946:23554286:1 gene:OGLUM12G18750 transcript:OGLUM12G18750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLFVLVLIMCSTTALITCTNGGAGDGGEGLHMKLTHVDAKGNYTAEELVRRAVAAGKQRLAFLDAAMAGGGDGGGVGAPVRWATLQYVAEYLIGDPPQRAEALIDTGSDLVWTQCSTCLRKVCARQALPYYNSSASSTFAPVPCAARICAANDDIIHFCDLAAGCSVIAGYGAGVVAGTLGTEAFAFQSGTAELAFGCVTFTRIVQGALHGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGATGHLFVGASASLGGHGDVMTTQFVKGPKGSPFYYLPLIGLTVGETRLPIPATVFDLREVAPGLFSGGVIIDSGSPFTSLVHDAYDALASELAARLNGSLVAPPPDADDGALCVARRDVGRVVPAVVFHFRGGADMAVPAESYWAPVDKAAACMAIASAGPYRRQSVIGNYQQQNMRVLYDLANGDFSFQPADCSAL >OGLUM12G18760.1 pep chromosome:ALNU02000000:12:23555584:23558535:1 gene:OGLUM12G18760 transcript:OGLUM12G18760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQGETAT >OGLUM12G18760.2 pep chromosome:ALNU02000000:12:23555584:23558611:1 gene:OGLUM12G18760 transcript:OGLUM12G18760.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQAEQPSKAISAFGTDDFEIV >OGLUM12G18760.3 pep chromosome:ALNU02000000:12:23555584:23558835:1 gene:OGLUM12G18760 transcript:OGLUM12G18760.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGICSPQNHLPTPHLLAAAAGHRRRRRRRGEGDDDGGAHAGHDGRAADEQAGRALHTSHGFGCGVDKMNRLRLAIPSASKNVSRCGKLAICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISKTLDSLLDENGQDSESDEHGLVKPRYGRYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVAGNVEKEAINDESEIEISSFNDNELVAENLNEQGFVQAELDGLSNRLAA >OGLUM12G18770.1 pep chromosome:ALNU02000000:12:23559241:23563887:-1 gene:OGLUM12G18770 transcript:OGLUM12G18770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDVFQTEGNNIRFVATELATDIVIIVGEVKFYLHKFPLLSKSSRLQTLVASTNEESNDEIDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSSSIFRTWKDSIIVLQTTKSLLPWSENLKVINHCVDSIATKASIDPSEVEWSYTYNRRKLPSENGLDSHWNGVRKQQMVPRDWWVEDLCDLEMCLYKKVIMAIKAKGRISSEVIGEALRAYAHRRLFSSLESAVSNGLDCTRHSAALETIISLLPSEEGSVPCSFLLKLLRASCLLGSDEACRDNLTKRIGAKLDEASVSDLLIPANSDEAAMYNVDMISAMLEEFMAQHREDDDGAKLQEDDDQEAMDGDDDNLNGVSRSSKLAIAKLVDGYLAEIAKDPNLPLSKFIALTEMVPLATRPVHDGLYRAIDMYLKEHPGLTKGEKKRLCGLMDCKKLSPEASMHAVQNERLPLRVVVQVLFFEQVRAASSASAAAAADMPPAARSLLPREQDGNSYGSSRSTATEDDQWAPPPPTTSVDVTSFRSMSLANNKNGGVSGGGGDQAACKKPPPSSASAKGSGGGGLMPKKILSKLWSGKASSGENSSSDTSESPGEETRSTPSRNTRHSVS >OGLUM12G18780.1 pep chromosome:ALNU02000000:12:23577557:23578294:-1 gene:OGLUM12G18780 transcript:OGLUM12G18780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAVLEASRSSSEEEAEVIVTHGGGGGGGGQVEVWGKRKRSRRRRPQLPPSEEEYLALCLLMLARGRRDGDDVAASASAAAAVEHRCSVCGKAFASYQALGGHKASHRKPPPPPPAMVDDDEVVVETKPAAIATPSSSASGVSGGGGGRAHECNVCGKAFPTGQALGGHKRCHYDGTIGSAAGAGASKPAAKTTVAVAASRGFDLNLPALPDVAAAADQRCAAEDDEVLSPLAFKKPRLMIPA >OGLUM12G18790.1 pep chromosome:ALNU02000000:12:23585867:23592933:1 gene:OGLUM12G18790 transcript:OGLUM12G18790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIVGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >OGLUM12G18790.2 pep chromosome:ALNU02000000:12:23585867:23592933:1 gene:OGLUM12G18790 transcript:OGLUM12G18790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIVGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >OGLUM12G18790.3 pep chromosome:ALNU02000000:12:23586796:23592933:1 gene:OGLUM12G18790 transcript:OGLUM12G18790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil permease family protein [Source:Projected from Arabidopsis thaliana (AT2G26510) TAIR;Acc:AT2G26510] MGEMNQPPPPPVAVPPPMVMQPIMHPPVGTGAVFPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGTPGDKARVIQSFLFMSGINTLLQTLVGTRLPTVMNASFAFVVPVLSIAKDFEQNNYASSHQRFTHTMRATQGALIVASILNMILGFSTIWGAFARKFSPVIMTPVVCVVGLGLFALGFPQVGKCVEIGLPMLILAVVVQQYVPYYFHHYHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQQHCRTDKSYLISSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVSAFESTGAHFATARLAGATPPPASVLSRSVGLQGIGMFLEGIFGAPAGSSVSVENIGLLGLTKVGSRRVIQISTGFMIFFSIVGKFGAFFASIPLPIFAAIFCILFGIVAAVGVSYMQFANKNSMRNIYIIGLSLFLGISVPQYFHEYTASASTGPARTNAGWFNDIINTVFASGPTVSLIVASILDNTLEFRGYENDRGLPWFMPFLHRRKGYSDPRNDEFYSFPIRVHDVIPSRFL >OGLUM12G18800.1 pep chromosome:ALNU02000000:12:23678992:23681251:-1 gene:OGLUM12G18800 transcript:OGLUM12G18800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTAQ >OGLUM12G18810.1 pep chromosome:ALNU02000000:12:23713245:23714874:-1 gene:OGLUM12G18810 transcript:OGLUM12G18810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVILLYNKETSVAVIFCLPVIPALFPGTVHLNRTLTHTIPLSQTPPPPPTTTRMAAAQPPSPWRDLPADLLGLVLLRLPSLPDRVRLRAVCCSWRAGAARGRHPRLPPPLPWLALRDGGLVDLDGEPIRCATPIPRHGVVGHLAVDNLAFLIHLDGGCSLLNPLSSSAASAITPLPWLNLAAVDGAIGQPGVFIGIGAYVNVYSKSVLSSPLDSSPDPLVAVVTSCGRHVAVAPCKRRGVVTIVSGLMAPQIPGLNPTRFSDIAFLGGKLYTLTNAEGLLVLDLGSNGVDDPPNASHRRCIADDPNQHDYYIDGSSKNKSLVLRYLVDSNGRLLMVRRWMNCRQQFYAGDMDKTRGFEVFAAEISDGHGQWVKLDSLGDQAIFLSSECSKSVTASQCADGIQQDCIYFMHRIYDNPSKECHGQCVDPLGDSGVYNMRDGTINLLRPRAVMSELRWKRQYLTWFFPSDE >OGLUM12G18820.1 pep chromosome:ALNU02000000:12:23739609:23740858:1 gene:OGLUM12G18820 transcript:OGLUM12G18820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRGPGLRASGLMAIYAPKAWVPGRVVYGPRGWDSGSHVMNSQRPLLFHRTTRRTHGAAVKLLVSAEVTNARSRSLW >OGLUM12G18830.1 pep chromosome:ALNU02000000:12:23746385:23749855:-1 gene:OGLUM12G18830 transcript:OGLUM12G18830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRSTLLPGGCLYPVHIIPCGRVSILFEQEMMVTTTMCNTGDVEIGFSREQPTYIIVNQEDQVVDGEADGSTAEAPIFFVSHGCDGGGGSGWLAVSVVPAWYYTS >OGLUM12G18840.1 pep chromosome:ALNU02000000:12:23749922:23750263:-1 gene:OGLUM12G18840 transcript:OGLUM12G18840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGRGHVGPRPRWPLRRLPRIPDNRIPWTARAPEPGRDLEIARRAPGVIREAVTSVGSGAPGSISSSGTQRVSGALQKNSGGGQGLRSSGGLRSSRCCPGRIEPQYAPNGSS >OGLUM12G18850.1 pep chromosome:ALNU02000000:12:23784153:23786450:-1 gene:OGLUM12G18850 transcript:OGLUM12G18850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASGCLFLSSWTKCFTEAFAKGKSWNGSFTLADFKVSSGHVLRLRKPKRFGVEGMRNDMEAFITEIERIFVRQHSQLRFSYPPYFSDFKHRLQNLKMSNNVLSEQCKLLLENHISGIKAHHELQGRTTGVANSGGLS >OGLUM12G18860.1 pep chromosome:ALNU02000000:12:23822387:23827815:1 gene:OGLUM12G18860 transcript:OGLUM12G18860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMAMDTPPTKGMAVGMEVELPFPDDEEDESMVGGGVRVGKEKVKEMVMSGGGGGWEAVGICGMGGSGKTTLAMEIFKDHKIRGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGETISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTISATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQDGGTIHSAILTCSVFMQLTLQQQPKLAEKIEP >OGLUM12G18860.2 pep chromosome:ALNU02000000:12:23822387:23827815:1 gene:OGLUM12G18860 transcript:OGLUM12G18860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMAMDTPPTKGMAVGMEVELPFPDDEEDESMVGGGVRVGKEKVKEMVMSGGGGGWEAVGICGMGGSGKTTLAMEIFKDHKIRGYFSDRVFFETISQSANLDTIKMKLWEQISGNLVLGAYNQIPEWQLKLGPRDKGPVLVILDDVWSLSQLEELIFKFPGCKTLVVSRFKFPSLVTRTYEMELLDEEAALSVFCRAAFDQESVPRTADKKLVRQVAAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGETISDSHETKLLERMAASIECLSGKVRECFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSNKNLLTLVNDAQNKAGDLYSSYHDFSVTQHDVLRDLALHMSGRDALNNRRRLVMPRREESLPKDWQRNKDTPFEAQIVSIHTGEMKESDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTISATLDNLSAFTTLSDLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELTNSLRGSKVDLSMTFPRLSNLTIDHCIDLKELPSSICEISSLESISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCVNLTDLPEELGHLTSLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQDGGTIHSAILTCSVFMQLTLQQQPKLAEKIEP >OGLUM12G18870.1 pep chromosome:ALNU02000000:12:23827760:23830448:-1 gene:OGLUM12G18870 transcript:OGLUM12G18870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAAAGPLGMEMPIMHDGDRYELVKEIGSGNFGVARLMRNRASGDLVAVKYIDRGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIQKILGVQYSIPDYVHISPECRDLITRIFVGNPASRITMPEIKNHPWFMKNIPADLMDDGMVSNQYEEPDQPMQNMNEIMQILAEATIPAAGTRGINQFLTDSLDLDDDMEDMDSDLDLDIESSGEIQALAAAGESVA >OGLUM12G18880.1 pep chromosome:ALNU02000000:12:23843402:23846450:1 gene:OGLUM12G18880 transcript:OGLUM12G18880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSASAAQREEEAAAAAFVLGGVDMRMLAARTATGALARAGGGEAAAAAAAARFEDCIRSLEAEKAKMEVFRRELPISVHLIADVIEWLKDEVEKQRLLRRRQVEAPAAAPPPEMFAPPATAKRKSAASAAAEGVKAEADANDKRSWMSSAQLWSCGSHTSTSTNNGGSVKKQQHKVSNAFMPLATLPAFAKSLEKADAAVPDLSLSSRVAMADAPACPAAPSTTSSAVTDVAVAQRQQAVQRKARRCWSPELHRRFVTALQRLGGPQAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASDSGGGGGDHQTVGGRLWPPPPEQYTTSQHSTSQSGSPQGPLQLTVSSNHAVSVTAGDSCDGGEEEEEEDGKSGSYSWEMQNGARASSSS >OGLUM12G18890.1 pep chromosome:ALNU02000000:12:23847134:23851927:1 gene:OGLUM12G18890 transcript:OGLUM12G18890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQGVATYCPRLVSVGSRVYILHVHGGIICRVSWLFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEDKDLIECLENGVNFWTDYSKVHYHPQSLYELYGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGPSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSCMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELVHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGTIGSLVLRDWGFGREEVEDMGEHLAKLLRPFHPEMDLTSDSD >OGLUM12G18890.2 pep chromosome:ALNU02000000:12:23847134:23851959:1 gene:OGLUM12G18890 transcript:OGLUM12G18890.2 gene_biotype:protein_coding transcript_biotype:protein_coding MREAVTVQVGGFANYVGSHFWNFQDELLGLADDPDADPVFKNAALDMDVLYRSGETHQGVATYCPRLVSVGSRVYILHVHGGIICRVSWLFEFIRSGNVTRSVSKPHGRNLFLQSLVEEGQNPSTSNGGSNSQKSVEDKDLIECLENGVNFWTDYSKVHYHPQSLYELYGSWTDFDKFDNYGSAQEVVSDWSQIEEMNERLRFFVEECDHIQGIQFIVDDSGGFSSVAAQFLENIADDYTNTLVLLYCVRDPMTLGPSRRNQRESIMRALHDAVSFSKLSSFCNLMVPIGPPSLSRSCMSPYLYIQDEKPFHASAVCAAAIHSITVPFRLQRTGPSSDLAHSSGNLDIGELVHILSDQGRQNMVTALDVAMPAPSLTDRDAMGNIEMKLHSLTPEISDEDEDPYSVESLVVHGALDRGGQRTSISQVKDSVCSVYEARETKPKFSHLSASLCPLPVPLPFPSIFRGNIGRHGEILSDHAEESQPKGSLDIESIPMAARLRSSSAVLPFIERRSGSLQKHGVARGTIGSLVLRDWGFGREEVEDMGEHLAKLLRPFHPEMDLTSDSD >OGLUM12G18900.1 pep chromosome:ALNU02000000:12:23853684:23854428:-1 gene:OGLUM12G18900 transcript:OGLUM12G18900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINPSNHGGEVPDPRRSGRGGGGGGGGGGGGSTWLACLMAWASPRLSRLAVKRKRRLGFLTTPQSLRHRRSASSDEFFAFTPPKFSSRLQHAVAGWLRLRLRRAPSLPAAWPLRRGDEEEEEEVRWRWRRAMAGSLVAEADT >OGLUM12G18910.1 pep chromosome:ALNU02000000:12:23853798:23859676:1 gene:OGLUM12G18910 transcript:OGLUM12G18910.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUM8] MESYLEENFGGVKAKNSSEEALRRWRKLCGVVKNPKRRFRFTANLDKRGEAQAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLITHSGVTGIADKLATSPADGLSTAEESIKRRQDVYGLNKFTESEVRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVVVNEDNPFLLSGTKVQDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFIVLSQGLISKKYHEGLLLSWSGDDALEMLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNNPKNASDLCSELPETVVKTLLESIFNNTGGEVVIDQDGKYQILGTPTETALLEFALSLGGNFKAKRDETKIVKMEPFNSTKKRMSVVLELPGGGCRAHCKGASEIVLAACDKFMDETGAAVPLDKTTADKLNGIIESFANEALRTLCVGYREMEEGFSVEEQIPLQGYTCIGIVGIKDPVRPGVRESVATCRSAGIMVRMVTGDNINTAKAIARECGILTEDGLAIEGPEFREKSLDELLKLIPKIQVMARSSPLDKHTLVKHLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGQSFYQFIVMWYLQTQGKSMFGLEGPDAEVVLNTIIFNSFVFCQVFNEISSREMEKINVLRGILKNYVFLGVLTSTVVFQFIMVQFLGEFANTIPLTRLQWIASVLLGLIGMPISAIIKLLPVGSS >OGLUM12G18920.1 pep chromosome:ALNU02000000:12:23865604:23866115:1 gene:OGLUM12G18920 transcript:OGLUM12G18920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPFYMATTALADRSASGGPRVAFTSSVWCDAVVGRYKAEATTVDFENKAGKARKQINEWTRQTPSCRRDPLARRWPSCSATPST >OGLUM12G18930.1 pep chromosome:ALNU02000000:12:23870075:23870377:1 gene:OGLUM12G18930 transcript:OGLUM12G18930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSRCSSSTTARRISVTSASAAAAADDLTQYAMVIFLPDARDGLRGIVEKMASRPGSYTSTPSESVRVGEFMVPKFKVSFADSVVGVLGQLGLRLPFSP >OGLUM12G18940.1 pep chromosome:ALNU02000000:12:23870396:23872629:1 gene:OGLUM12G18940 transcript:OGLUM12G18940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDGSGLPLFVSQVIHKAVIEVNEEGSKLKLLPSPWRWAAASAAGGLRRRPSLGQIMVSNLCEQLYGGHGDLPEQHGTIEVTVAVTSIYQ >OGLUM12G18950.1 pep chromosome:ALNU02000000:12:23875691:23876906:-1 gene:OGLUM12G18950 transcript:OGLUM12G18950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMFVSGSIKYAERTLCLYSASLEIQRSDALNDLSDDLSKLQTPDFQPSSMTSYVFDCILEGRYPGNESGRRETYFFSVDAPQNMLQSIICANDVPGILEKKFVSNPSRYKAYDYVGEFLEYFYQQRYTKYPLRQFFYYELWCSCCEYETNYIVPARARKLWSEELAQYSLIKSQYAAVQADTMAASSIRRWISKYFGSPITVSIIGHTPIKKLILDNLLHFGTRAEWNCSSSRGQLALR >OGLUM12G18960.1 pep chromosome:ALNU02000000:12:23890501:23898467:-1 gene:OGLUM12G18960 transcript:OGLUM12G18960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYTDGLAVSAREATTADEHQRVDFLDWELSLLRQSASIIRPPHINDREESSFKKGLMEALSKPFCQEEYDKLYGMATIREPLMRERRTRSGSKSYYSNSWMGKSYFDSYPDLAEQIKETSYPNCLALLQGLFYWLQNIIWPPHINDREESSFKQGLIEVLSKPFCQEEHDELYGMATIREPSMSERRTRSGSKTYYSNLGWANHILIPTQEEPSFKQGLIDALSKPFCPREYDKLYGMATVREPSMWELRTRSGSKTYYSNSWMGKSYLVPTQAPHINNREESSFKQGLIEVSSKPFCQEEHDKLYGMSTISEPSMRKRQTRCGSKTYYSNPG >OGLUM12G18970.1 pep chromosome:ALNU02000000:12:23900164:23900503:1 gene:OGLUM12G18970 transcript:OGLUM12G18970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLVLRASTLIVAAFQLVCALFWLISEVGIDVPIDGPRGGHGAHICIGSASSSFLAAMGRRKFVSPS >OGLUM12G18980.1 pep chromosome:ALNU02000000:12:23902342:23902958:-1 gene:OGLUM12G18980 transcript:OGLUM12G18980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPNPRAASSSAAAAASSPDAGRKEREENTVPAVYDASADIEDDYRLFLENVRVYENEDFVLEYEGKVVRYGGDEAVSAGGGSRGEDPVMEKEKEKEKEVDVVVISSSSDDESTKIMKKVVDKEKMDEKNEAAAPLVKGKGVGKVSSHDPGCACFKGLM >OGLUM12G18990.1 pep chromosome:ALNU02000000:12:23904132:23916166:1 gene:OGLUM12G18990 transcript:OGLUM12G18990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGGSKGLGSDGARLSPLCASGLPPPSASLRLDGDCTDGMTSCPPDLEVEHLGPLLDGDASGSPMIRFTQSLPATAVSCLLLLLATRIDNLLRRELPAVDVPVAVRGESTCSIPASWSTGRRRPVPPALCSSTRREGEGDLAAEDKPEGKDGGEEVLGRGWFMVDEIGMDILTIALPAVLALTTDPITALISTSFVGHAFAAGPPSVPNSLNLQSTNSTVLLLLLAPQSRPTQTDIKSAHNVDVTYPIDEHR >OGLUM12G19000.1 pep chromosome:ALNU02000000:12:23913655:23916692:-1 gene:OGLUM12G19000 transcript:OGLUM12G19000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISFVGRVLFASIFLLSAYQEFSEFGTDGGPAAKALKPKFNLFMQQVSKSLGMAVPHIDIKTVIAATMFLKGFGGLLFIISSSFGAFLLLLYLAFITPVVYDFYNYEMESSQFVQLFVKFSQNLALLGALLFFLGMKNSIPRRHSKRRAGKTKTN >OGLUM12G19010.1 pep chromosome:ALNU02000000:12:23922656:23927965:-1 gene:OGLUM12G19010 transcript:OGLUM12G19010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEPSRRLSLLDRCDDGSEDPVVPPRVPTILNLASHHRLDRSKFLPLIHPDPARFISSTGSSFPPYSEPPPSAPMLEVGSGFGPEDLYSFFPNHFFSMESISLPPYDVVDVPPVIPLALRSGRSNQGLPSKLPLIEVHRRSHSDIPFGYSQEHPQMPPVASVKPEVTTIEGHQLEDVVAAALKDMGIQAWSPSGSIDKEVKSSGAGSTTHHCHSLSVDSFMMGNLNFGVVGQQMSSPPLLTTEVNVGGGEPIGSTASPFAAELANVKFTEDEKKKIVMDKSLSEIVLTDPRRVKRILNNRASATKSKEKKMKHVGELQRKLQVLQSETTTLGAQVTVMQRNNNELVSQNNELKTRLQAMDQLAQLGDALTSRLAAEAQHLRAVVSEISDPNLPSGPHQQLSSDMDQLQQLLTQRQTSQIQQNQPQ >OGLUM12G19020.1 pep chromosome:ALNU02000000:12:23928147:23944124:1 gene:OGLUM12G19020 transcript:OGLUM12G19020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIEKGREGSGSQCSPAAGHEHARKRGCGLRARGGGLPAATGEDDGGVDAGMKMGDKVDGVLPALSSSAIVVGGGERAHTLQAASAAILLPPSMPPYTSSPPPYDASSPPLHTSTSSPSCPPPSAPPPSSTHRSPPPAFFLPLEFSSRRGDSTLPSKRFTMSATYDREAEHRALNATLSGVHGLVASGVTAVPSIFRVPDPEPPPPPPSSSQESPPLPPSIPGGSGRDHPARRGGVGIPLGDGPRRTGGGGRRRGRGSEGSDKARLYSRDPARAAKYSCNFDLYQSPAANWRDMLYLRMAPDPPPAGDLPEYCRDDLKGRHLSPAGSEAVDGPELIALPHINAQLLMLGLLHPRWLATYHLSGNRPMDGYELGTSTHGGDKADTVEEVKWAGAGWINGRNFDWSRHLWEARLRMEGTRGGTTGFSLPSPHRSNKERRHEGSLSMFGTKQGG >OGLUM12G19030.1 pep chromosome:ALNU02000000:12:23939380:23946056:-1 gene:OGLUM12G19030 transcript:OGLUM12G19030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHGIEPDSYMFPFIFIKACAQLGTLQEGRHVRIVHAFLSSITSNTTATFSMPWAPSSRRASIPCIPWHRMTRRTLPSVRPTCTPRGACSRKSSSSHRPPSARTCEERIPGSGKLGLKGNLREELWGMLFKGGRGRIQLGCGEKELGKLGFFREPSCRLSLLDRCGDGSENPVVPPRVPSILNLASHKCLDQSKFLPLIHPAPAHFTSSTVSALSPCSEPPLLASMVEVGSGFAPEDFYLFFPNTFFSVESIVPPPYDAIDVPPMTSLALHSTRSNQGPPSRLPLMVGHRRSHSYILLGYSHQNPQMLPIASVKTKVTTTEGHQSGGMVAAVLKDMGIQAWSPSGSRENEAKSSGAGSTTHHCHSSSVDRFMMGNLNFGAVGQQMQMVVKASPLPNVKFTEDDKKKVVADKFLSEIVLTDPRRVKRCDPEQSIICRKVKGEKDEVYRGASTQILGVAVGDCYIMRTSGNDAGMLLEGVRNNNGLVSLNNELKTRLQAMDQQAQWGDALTARLTAEAQHPRVVGEISDPHVPSGSHQQQSSYMDKLQQLLTQRQPSQTQQNQPQ >OGLUM12G19040.1 pep chromosome:ALNU02000000:12:23946065:23958560:1 gene:OGLUM12G19040 transcript:OGLUM12G19040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQRKKEGVEKEREKEKGLNRDARLATVSQSEGARPPVVRRAAATSEGGEAYAAAATRPQPVLPDEAPSSTALFLDPVPRLRVLPRAVVRAGASLRSGCVEVRLQNLVSDGTAAFAPLQFVLCRSSQKRIAQSFNPCR >OGLUM12G19050.1 pep chromosome:ALNU02000000:12:23961171:23963655:1 gene:OGLUM12G19050 transcript:OGLUM12G19050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASDPAPAAAYDRTAELRALDATLSGVRGLVASGATHLPRIFHNVVHGDQEPPEATAPSSAATTTTTVPVIDISGSRAAVVDAVRRAAAEWGFFQVTGHGVPLAAMDAAAGAARAFHESGGGEGSDKARLYSREPGRAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPPAADLPEICRDALFEYAKQVKNLGNTLFELLSEGLGLKPSYLTDIECNQGQIILCHYYPPCPQPELAIGTSRHSDSGFLTILLQDDIGGLQILHEDRWVDVTPTPGAFIVNVADLLQLISNDNYKSVEHRVVAKNTEPRVSIACFFSTHFHPISTRMYGPIKELLSDENPPLYREALVRDYTAHYYSVGLDGKFKTTLSEFRL >OGLUM12G19060.1 pep chromosome:ALNU02000000:12:23966160:23967050:1 gene:OGLUM12G19060 transcript:OGLUM12G19060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREIVKVARHVVFVTRMKPSNICSFSVAYLACNLVVITTFFMMITVHVVLDTSYYENI >OGLUM12G19070.1 pep chromosome:ALNU02000000:12:23967458:23969734:-1 gene:OGLUM12G19070 transcript:OGLUM12G19070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPIRPLLLIFPTILSALDLTAAAADDDDTLRTYLVVVCRMNGPKEGGEPLRAWHASLLASVLNSTTDAILYGAGAGGNRGAPVIGGERLVYSYQHVVSGFTARLRPREAAAMARLQWCVDAVPDSTYTLTTTDTPRLLGMSTPRTGAWSVAGNMGDGVIVGVLDNGVDPRHVSFGDEGMRPPPAKWRGKCDFGGAPCNNKLIGGRAKTLEDHGTHTSGTAVGAFVRDVMVEGSNLGTASGMAPRAHLAMYEVCLADMCSATEMLTATERGAFLDGVDVLSISASDNKQKPFYDDLIAVGSFSAVMAGVFFSTSAGNAGPTAETVTNCAPWQLTVGASTMGRRVISKVQLGNGLVINGEASRRYKRVQNKPIVYVGGRFADGALKAVDVRDKIVLCNRVESAAMLEKMVADAGGVGMIAISTQMQFLATTPLGANFMPLSRVSYPDGETIKAYINSTANPMASLRFAGVVLNASALPAIAEYSSRGPCDLPNIGVLKPDITGPGTNIVAAVPDKSPGANATAAPTRTFSAKSGTSMAAPHLAGIAAVIKKAHPEWSPAVIKSAMMTTADVTHRDGTPVIDLSTGAPASYFAMGAGLVNPTKALDPGLVYDLTADDLVPYICGLGYNDSFVNDMIAQPLKNVTCAKSKKIQGKDLNYPSFLVTLTPAAPVATARRTATNIGKQPLEVYRAEVVAPPGVAVEVVPNRLEFGGAALQRREFTVKFTRGRNAAVNGAAEGSLRWVSGKHSVRSPLAVLLKP >OGLUM12G19080.1 pep chromosome:ALNU02000000:12:23973576:23994797:1 gene:OGLUM12G19080 transcript:OGLUM12G19080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGLWVVVLVVAAAVAGLVGASPASGLVLADEGGGGGGAGGRSFDGGAVRGEFPCGSHTARSRSCEEMNGSGSFDTTCVIGSSSSLDGDLCVYGDGSVVISPHVKIICPVTGCYIAINVSGSITIGEHVDLIAGSVSLYATNVSLDQRSTVNTTGLAGEPPPQTSGTPHSLEGAGGGHGGRGASCRVSNDTNWGGDVYAWSTLAWPWSYGSKGGSMAADHQFGGDGGGRVMLRASEFLNVDGDVLAEGGVGSLKGGGGSGGSIMIYAFKLYGNGTISAAGGNGWGGGGGGRISLDCYSIQQDLEITVHGGQSFGCPQNAGAAGTIYESSLQTLKVSNGNYTTHTETPLLGFPMTRLWSNVLVECNAKVLVPLLWSRVQVTGQIRLLSKGSISFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSEIQIDGGGKDVVLASMLEARNLVVLRHGSVISSNAALGVYGQGLLNLTGRGDGIKARRLFLSLFYNIEVGPGSFVQAPLDDAVQSSLDALSRCESKTCPSELITPPDDCHVNNSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTVTNNGTISASELGCKEGIGKGKFLKYGAGGGAGHGGRGGIGIYNGMRSEGGPQYGSADLPCELGSGSGSSESTDNTAGGGLIVVGSMKWPLSKLLIYGSLSSDGESHRGTKKNSNGTYKGGIGGGSGGTILLFLQGLLLERNSSLSASGGNGGLIGGGGGGGGRIHFHWSNIATGDEYVQIASVNGLVASSGGSGNDDGHFGETGTVTGKKCPVGLYGTFCTECPIGTYKNVVGSDSSLCMPCSLDSLPNRADFIYVRGGVTEPSCPYKCISSKYKMPNCYTPLEELIYTFGGPWSFAIILSFTIILLALVLSALRVKIGESDITYRSTNAIHNDGCASFPFLLSLAEVPGASRAEETQSHAHRMYFMGPNTFREPWHLPYSPPDAIIGIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVTSTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGNIRSTLGPVVSWINSHGNPQLERHGVRVELGWFQATASCYYQLGIVVAVNEHFYKSLHQHDHVSEFIDRSRKNISSKKLNQDQPCTSYAVSRKRLTGGVNGGIINEGTLKSLECKRDYLFPFSLLLQNCRPIGYAYYWISVGAFLAVLLIPPLALLSPFLAGLNAIFSRGPKRSSVTRIFALWNTTSVINIIVAIIYGALYSGLSSLSVSSVPHALNTKSFKSREDNEWWILPIILFVVKSLQAGFVNWHLANLEIQDYSLFSPDPDRFWAM >OGLUM12G19090.1 pep chromosome:ALNU02000000:12:24006822:24010015:-1 gene:OGLUM12G19090 transcript:OGLUM12G19090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:electron transfer flavoprotein beta [Source:Projected from Arabidopsis thaliana (AT5G43430) TAIR;Acc:AT5G43430] MKILVAVKRVVDYAVKVRVRPDRTGVETASVKMSMNPFCEIAVEEALRLREAGAAAEVVAATVGPSQSADTLRTALAMGADRAVHVLHDPNPARPLLPLAVAKILRALALQENPGLVILGKQAIDDDCNQTGQMLAGLLNWPQGTFASKVILNKEKATVEREVDGGIETISLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEDLDVDIRSDMEVVEVTEPPKRKAGVILSSVDELIDRLKNEARVL >OGLUM12G19100.1 pep chromosome:ALNU02000000:12:24017062:24022304:1 gene:OGLUM12G19100 transcript:OGLUM12G19100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGERAKATVGGGWTYGGGGGWLSGGGGGEGEGETRGMSSLFTACVVVISLGMERGRWGAASDSCRTFHRNGPKRHIIMILPENVATLSSQHETKEKVLSYSDASDVLRYAFTMTGATIDHEYKELSLAVEHDMLLREECSIVFTLIKWRPTLPPPKPLVAGPSPSPHGALALSSARELFLQLRDTLSEDTTTPVPLKQTPLLDVTGAARKARVRL >OGLUM12G19110.1 pep chromosome:ALNU02000000:12:24032818:24037534:1 gene:OGLUM12G19110 transcript:OGLUM12G19110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHRRPNDGGATETSNGVTKASGGGSTAYGAAAIRVGGSALLRGAQHVDCACRARRCVRAGPKEEILTSSNILEAEAHHQSTAVHV >OGLUM12G19120.1 pep chromosome:ALNU02000000:12:24037562:24041125:1 gene:OGLUM12G19120 transcript:OGLUM12G19120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQPALVVIVVAAVALAGGGSLGFEFHEATVDAIQLGFSNGSLTSTALVRFYLHRIARLNPLLHAVIEVNPDALAQAARADAERATGHRCGPLHGVPVLLKDIIATRDRLNTTAGSLSLLGAVARRDAGVVARLRRAGAVVLGKANLPEWANFRSSPGLRGWSARGGQARNPYVLSADPCGSSTGPAIAAAANMAAVTVGTETTASILCPAAANSVVGIKPTVGLTSRSGVIPFTTRQDTVGPLCRTVADAVHVLDAIVGYDALDAKATKAASKYIPAGGYVQFLRIDGLKGKRIGIPDGFFDFPNGTVRQMVYKQHLNTMRQQGAVVIENLEIANLSVIFDGTKSGLLTALLAEFKLNLNNYLSDLSYSPVRSLAEIIAFNNAHPVEEELKEHGQSILLMSENTAGIGPAEKAAIRRLNELSVNGVEKLMNNHQLDAIVTPDSAAAVVLAFHGLPGVVVPAGYDEKGVPFGVCFGGLKGYEPRLIEMAYAFEQVTKVRMPPMFKP >OGLUM12G19130.1 pep chromosome:ALNU02000000:12:24075123:24096727:1 gene:OGLUM12G19130 transcript:OGLUM12G19130.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASLSEITTPDASYSHKINNWQLLGWSILMVPITSCSKLLMPPRPTMERNAVFERKLRSPEQLVEDIKEEIMKSSQCLLDLTQKSTAQRAGEGWRGIGHWRQGKGDILSMAGLEVGWRRGAGPRKPVFEIISKISIVRIRDSKEIDTIPNSQTPSHTRDGKGELTSHQVDTASEFKITPWLIRRYRPTTPPVSVSTTATRDTEEAKDHLSNLELVQGIL >OGLUM12G19140.1 pep chromosome:ALNU02000000:12:24098183:24098470:1 gene:OGLUM12G19140 transcript:OGLUM12G19140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSATATATASRGLHAAGGEWLGELSAALQGKWQAMVSTDQRRRRRQRADEAGEKKGVAGVGVEATRRKEGDVGACGGAMSDTTVFLLLDHFAPS >OGLUM12G19150.1 pep chromosome:ALNU02000000:12:24099079:24103562:-1 gene:OGLUM12G19150 transcript:OGLUM12G19150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGGGGEYSFAAEYDGPPLPYSLPRAIPLDLSRIPLASLSSSTSPPPPTASPLPVVRPLTPSSLCSAIHGHRAGVSSAAAAAAAQSSSSSSSAAASASAGGGGGGGVAGAAAVDSPTSVIENHHAALHHSAELPSTPSDDDENADEDDYDGRRAGAPPPPPLKSHHQPMVTFAETSGSLQSSEDDDDDEEDEEEDEEYGEDAMPVRSSGSLSPAHWRGASKSRGCFRCGKGGSFWARGDKEACLACGARYCGGCLLRAMGSMPEGRKCLDCIGRPVAESKRDSLGRGSRVLRRLLSATEVELVMRSERECAANQLRPEDVYVNGTKLSPEELVMLQGCQCPPSKLRPGFYWYDKVSGFWGKEGHKPHCIISPNLNVGGALDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKANNQCGEEPVNVVNRPIPDYLEQRTIQKLLLVGSGTSTILKQAKFLYKSRPFSVEECEDLRLIIQSNIYNYLGILLEGRERFEEEAIADRRTTCQSDPSSSGHCEPELCNDVTEYYIAPRLKAFSDWILKAMAIGNLEDIFPAASREYAPMVEELWKDPAIQATYNRRSELPFLQSAASYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTDFSFPQLALDGRGFDEPDPQDTLLRYQLIRINNKGLHENCKWLQMFDDVRLVIFCVAVSDYDEYYEDANGNVVNKMMETRQLFESIALHPIFEQMDFLLLLTKFDILEQKIGKSPLTSCDWFSDFTPLVSRNLINGTSKSSRGSSTGSTLAQMAGHYIAVKFKSLFQSFTERKLYVSYVNALDQESVRSAIRYGREIIKWEDEKPVFGSSETTYSEEPSSYSH >OGLUM12G19160.1 pep chromosome:ALNU02000000:12:24145632:24146070:1 gene:OGLUM12G19160 transcript:OGLUM12G19160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVFLLRKNNSENIKKLFRGKADAIVIKIVKEFPSRANTEMGNVQTSDTIANFWHAVPDLFYLLV >OGLUM12G19170.1 pep chromosome:ALNU02000000:12:24156972:24158381:1 gene:OGLUM12G19170 transcript:OGLUM12G19170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKKLLQFLRPADTAVAAARSSDDDDDGGAPSSVPPSPMSSWSGRTSSAAASPSPYVMSPWVNLPGFGVGGGGGGDEVVAGGGGTGLLGSLVKEDGHVYSLAAAGELLYTGTDSKNVRVWRHRREFAGFRSGSGLVKAIVVAGDGRIYTGHQDGKVRVWRASADDPAVHRRVGSLPGLGDVLRSAVRPSRYVETRRRHSALWLRHFDAVSCLSLDAAAGLLYSGSWDKTFKVWRVSDSRCLESVRAHDDAVNTVAAAGFDALVFTGSADGAVKVWRREPGKGGATRHAMERVLRKGESAVTAIAVAAEARVVYVGSSDGAVTHWQWRRGGAGVAGPPRNGGALRGHRMAVLCLAVAGRVVVSGSADRTISVWRREEGADHARLAVLAGHTGPVKCVAMDEEDDTAGDKRWVVYSGSLDGSVKVWRVSSTPDAAAARTPAHGWKATPSPLGAWTPYAATPARKRMAAA >OGLUM12G19180.1 pep chromosome:ALNU02000000:12:24164114:24164587:1 gene:OGLUM12G19180 transcript:OGLUM12G19180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRIMPTSSRFDQEAFAEELNRIIGGLAAAGDDDPRAAERRYARLSEADREELRCVRRAFANLDRACRSTRVPPAGRPARRIRFRLVGGRLVREEGDEEEEVKGKGKGKHEGVPTANGGVGESPSSSVAAAAGSEEEVEEDELCSAFRSACGASS >OGLUM12G19190.1 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKDSVESDVLHPQESEQYTWDDLSLPRKLTFLSAWPSSQDLAHATHCIHTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.2 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEVTMSLSSIEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALIPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEDDIDGVTIEAKQRATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKLNRMYYTLKNTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNVKSFLVSKGLLKGDSSTIRPLRHLRNEPEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.3 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKDSVESDVLHPQESEQYTWDDLSLPRKLTFLSAWPSSQDLAHATHCIHTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.4 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKDSVESDVLHPQESEQYTWDDLSLPRKLTFLSAWPSSQDLAHATHCIHTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.5 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.5 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEVTMSLSSIEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALIPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEDDIDGVTIEAKQRATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKLNRMYYTLKNTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.6 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.6 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALMSLALGKPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEDDIDGVTIEAKQRATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKLNRMYYTLKNTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.7 pep chromosome:ALNU02000000:12:24165493:24184480:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.7 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MVLAEAARARLLAALRPWLAAEPRELRVELGLLRSRAVARGVELDAAALGDAVPGSFPARVDRVAVAEVELVASPWAAPGLDAVVRGVDVALTLREPAPKKQRPDIKEWISNEKKRVIAAMDPQGQMLHGKIEDLVSSLEDKFTSVFSTALLNCSKVRFDDVTVQVRYLDDSHLVILRTHDLQFGPELVFRCSLFRGLVGSYMPSKKKNHMFVKCDHFEFLLKGNDHTDCTVSLTGTTASVRLDNLHLTAFGIHVASAFWEIAPKFIPSLMVILEITSQKEDYEVRSGRELWKIAAQKLENSIACRRFSLRKAMSCASFWQHYVHTYILLLSLLGYPSGEVIKRNCSRVQSTRKVRETIRNHLKTVSELEEKIPVEAIARGRSAARSKLTVSQQQSEQELSKALLVSNTLKFLSPLLYVWKFLVFICWSLWRFMSSRSRGCKSSVQNFPCASDDSEIKVQFSICLGELSVTFLPLSDHHFTGTPKLDNGNKAYHIDTPSVHLVIKSSSILYTDGFTTQSFFFVIGELKADVSGIPKLLQAANGSITRRNSSFGTEEFAEDINSKTILWSDSASMHPFSGKQPDESFSYNGDSSIALLQSDMEELWSFWTVVSTFYNDSGVMHHEKPSVIFEFKSFLIDPYKSTSGFQQCRFTVGRVNLDVDYLCASSTYLLYRQFVHYKELKELTEKSAEFSNRSDSCATRTSGIADKLRSFNQRLKFLIADAIPINTLQISALIAGPSIRLIFDKNSLLQNSKNKQVPLFSQMNNTSCITLSLAYVECVIWPASLSYLTQKADLHVKESHDTFDGVEEQLESHRLALDSAGHVYSGTVVLDSCFKFADLTLLVDHIEANQQFHIFGPMSANFQLSTSRKYASSFFVTRNILSINLGGRIVGCMAFLFMDDLFPIFQVSKGMQMLALNSELGDIKLASFCNRHMDGSTMGTAVEYIIHEETVDCYTELVAEMKLDLEPTHIIVSASRDGLIFNPAMFSNSDINYISSSTVFEGVAALESLDILALGIWFSSRSSSLKLLLDGECTDLLVNLSGIQSVVFENQPQMSICDDILQYSTVLSSSPYDKSQFILSDCVFHLCAGPNKDSLMNDKMQVESISGCSTDSSGIYYFIELEFTEVYIGDYNMHNFLIEVNKPSKQKIALLIHDDLQIVKCKIKGGLIFLETLSLAKFVVCCKIYFRLLMDLSSWAASNSVKDSVTSVSAGSETTVTNRPHVSSGVHSQSEESQLGSVKCLDVDLSQFSLTLAIADESGRYQGLTLEVDAILQQLNLGMKILFEVKRISISSISIMPNTGHVKLRDVPAPRFRSSKSLALPSQSEIQEADNVLTYDHDAPSSSNSTVESSTGNPPLELSSHKSYILRHFATYLKLEKKELNGDSNLMRSSGDWFGNGSVSGLEMILYLFAPFHEILRSGSTQKEIQTGDTPHQELLDNRDYTIPDGAIVAIRDLDQQMYVSIKNTGKKYQVVGTYHYSLSSECALFKVKHHKGWRSDTPCISLLSLYAKTDEGKELALSFSHGSDLVEVSSSVDKPSSLWTTSPLRFDGFEDDGDDGKYCKIISRSCNHLVNKKNNYGIAFNDGLLEFVRKPGNPFKMKVLDESLFSDVARPFVPNVNLDNNTYLDVENELPFGMGDSLETGVSSQHVIISIDKIVFTITHEVLDTGNVFPLVQNCINDTRIITQIFPSKIRILSSFKVIIHYFNARKYLWEELVSPITAYMFFRYRFFNLVPVTRCRRMPLRFFVHLKQVDIFVNELSIDILLYVAGKLNVMGPYAVKSSAVFPNCCKIENNSRLTLVCHFQNNEDAIVSGQQSASVFLRHLTFEDNHPPDQSVVSISLFKEGLFSTAPINVSLQDSGVFASRTRVLSLKDSRSFSGPFVVVKVSQNSEEGLSLSVQPLLRIYNKSDFPLELRFQRPQKSSEEAAFVTVRSGDMVDESTGVFDSMDLSGGSKRALIPEISEHSGNFGPTTLVKWSEDITGEKAVRISGVMEKLNYNIRRAFSIDSMKSSFSSLSCDVSIDGQHVTALHFLVHTLSREVPLHPTNGSPVFDRNATVAFQLQREIFIYPTVQVYNFLQTDIHVILTDCEPENTRDDNFDIIGKQATITSGSSAYLYVNPAMFTFSVKLISYGSKSKAVNTSDWVKRMQKQISRAQFLDMELEFVIGTGRFHSSLRLLRQEKGFLEVAVFTRYTLHNTSDYPLLCTAPHKKSLPMSGTVKETINLPPQDGCILASMSMSSWFTRSSKLRIGLQHEKGSEAFIDLEALSGFTEFSLEIHDNILPRRMATFGMYLQPVLYDLPVPSQVVLIVPRYVFSNESATAVAVRQCFVEDDIDGVTIEAKQRATLHTWKPEKRREVNYFDLFVKKHRNVSEDSHIFIQFCPKETGYCWSGPICVSSIGRFFVKFRRSQGTVTDDMKRGTLQDGKWKQFASVDVIQETASFVLHFSKPPKAALPYRIENCLNEASIMYFQKLNRMYYTLKNTPALREIKIDKISPWKPFLKMSQNSRLNIDSSFNNGLSSGKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHKDNPKADNIEHPIANVQFRMTYMCIHLLEKGQQGEEKGQSPSAILAARFQHISADSVITDRYRHISLAIHSVNLDEKWEGASFGSILRRNKLQDATLSENILRIIIKLNSTNSSVKQVQYCSIILQPIDLKVDEETLMKIVPFWRTSLAPGTPSTQFYFRHFEVHPIKIIASFRPGSPYTTYSSAQEALRALLHSVIKVPEISSSAVELNGVLLNHALVTFRELFLKCAQHYSWYVLRAIYVTKGSSLLPPSFASIFDDSASSVIDVFFDPSDGSLNLPGLTIGMFKFISKNMKSGGFSGTKRYLGDLGKTVKTASSNALFAAVTEISDSIVRGAEANGFNGMVTGFHQGILRLAMEPSVLGQAILEGGPDRKIKLDHSPGLDELYIEGYLQAMLDVMYKQEYLRIRVIDDQVILKNLPPNSALINEIVDNEWRIAPTVLTLAEHLFVSFAVRVLHREATKAIAGVVSKAKRPAGGGEDDGKGESPSSSSVGVLAKRSRVWSVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIDSRDND >OGLUM12G19190.8 pep chromosome:ALNU02000000:12:24184326:24193925:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.8 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MGKWHNKKQTGQRLHMAATPLSTLATLVALIESLHRRAAGGNGFLEANHADESGPAVARIGLSRGRAARGAGAGFSKRGKIQIRRASILVWSKEMNGSIFEGNHASEICVSKLSGRTSFAVRVNQKDLLAEPFISFALNEMNNQVGSSNQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPARMRCIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPFTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRHFQIQSQVKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHAFSDPLLKDRTQVLAANLSLAAGSHIASSFSQEIYQTKELERKLSVTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQGHDGGSTVQQWDKPYHQENRAGLKSYRARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNSGHAAADYETAGCVSGNDKVFLPINSFDAFASQISMVNRESSVYQNGKLDQSSVHNYGLATSPLTGMSDSNVSANLPSSQNPFPVCVSSREVPLEYNITRNYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDATDNAPLGILSSRPLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAIRRGIKEGEERVFPRA >OGLUM12G19190.9 pep chromosome:ALNU02000000:12:24184326:24193925:1 gene:OGLUM12G19190 transcript:OGLUM12G19190.9 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein of unknown function (DUF1162) [Source:Projected from Arabidopsis thaliana (AT3G50380) TAIR;Acc:AT3G50380] MGKWHNKKQTGQRLHMAATPLSTLATLVALIESLHRRAAGGNGFLEANHADESGPAVARIGLSRGRAARGAGAGFSKRGKIQIRRASILVWSKEMNGSIFEGNHASEICVSKLSGRTSFAVRVNQKDLLAEPFISFALNEMNNQVGSSNQKNLNVACYEDLTKILGLSRFDSENLSDVSNTGLPMRCALDPTNPARMRCIPPENGLKSADVSSDNLQDMSSCCDSPHSQSGKAKFMCSFGGKIMPRPSDGKLRYVGGETRLISIPRNFSWNELVQKTLKIYSQPHIIKYQLPEEDLDALISLSCDEDLQNMMEEYSSLEKANSSPRLRIFLVSQTECEDSSLDSRSLESEPEYQFVVAVNNLAQLKRSTSGNSLMSQSKHHLDNSPLHFRDTPVRQTDRDSGAKSLGGNSLNEPPSQFFIDPFTQPMVSESSATPSACLTRQRTMKQSRMQSSADKSILNQEYENKSEVYNGSNLKTMFPDHQHNKQNNTDTVIGVGTSPRHFQIQSQVKDLAVPRNESGLSSHTNYDMPIPVETPFYSEKLSVHPENAVLSAEGMTHAFSDPLLKDRTQVLAANLSLAAGSHIASSFSQEIYQTKELERKLSVTRPDFVCVKPTDVARTEEPRHLVSNHTDQPYNQGIVSGTSVEPTIYYQQDSLSSNVRQGHDGGSTVQQWDKPYHQENRAGTNVAHQFSFVDTGLKSYRARGARMSSDELDALESSVPTSVPANDHSCSFLNECSIGSRIENSDLGSQLDKLNSGHAAADYETAGCVSGNDKVFLPINSFDAFASQISMVNRESSVYQNGKLDQSSVHNYGLATSPLTGMSDSNVSANLPSSQNPFPVCVSSREVPLEYNITRNYVVNGFDNESMKLNDRMHNNVQMDAPVIVEDATDNAPLGILSSRPLVPLVEVAAEEQQQVIISSLKDDDARSDVPELANEDHDDEPAADGSISDAAVAELEASMYGLQIIRNADLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFAGRSSEQEKLTKDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRKRLIIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIALWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPKNCEPEWRQLMEQCWSADPDIRPSFTEVTDRLRAIRRGIKEGEERVFPRA >OGLUM12G19200.1 pep chromosome:ALNU02000000:12:24195212:24195466:1 gene:OGLUM12G19200 transcript:OGLUM12G19200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLALRDGGLIDLDGNPVRCPRPILRDGVVSFHPVGGGNLVFLEHDDGGCSLMNPLSTASGDRDDPLLPLPELAAAVRRAVDS >OGLUM12G19210.1 pep chromosome:ALNU02000000:12:24195521:24196318:1 gene:OGLUM12G19210 transcript:OGLUM12G19210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPVVADSSSSPPDTLVAALILNRCAVAISTCKRHDGAAASFSFMDERSRIRSVSLRATAICAIAFLHGKLYAVTSKEGLHVLDLDNGGGGEGGAVFRPCIADDPEKKSVHVDVERRGHLVVRYLVESGGRLLMVRWWKSLPPPVWSADRPPSRFDVLEAADGLGRWKAVDSLRGRALFLGKADSRSVVAGGGGGGAGAREDCIYFMRRSFWYPSKEEDFGQSGVYDMRSGEISPPQLPERGTAELRLHCEYPRWFYPADYSY >OGLUM12G19220.1 pep chromosome:ALNU02000000:12:24198552:24201955:1 gene:OGLUM12G19220 transcript:OGLUM12G19220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRPTYHGWCEPIKRHRAFRPYSLPSSAYISFPSSASLPSSVSLSLSTPPPPPPPPSHTLTPGGGLGDGGGGGGGGGGGGGGVVEAYAALPGRRAALRGHRRCAASPLGVRDRGPLHPQRAHLPPPRRVRADPRAGRRRRRRGQHRRLGPRLHGAGGHRHRHALRPLRGRDGAGNRRAERPVCTDDRGRGEGASRGGGQGAARHHRRRHDAGGGAQAPARQRCHPLPPLTT >OGLUM12G19230.1 pep chromosome:ALNU02000000:12:24202650:24208815:1 gene:OGLUM12G19230 transcript:OGLUM12G19230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARIVASAVARLNLVPWATRTHATPAAALAPPVGDIPHAQWRGLPDDVVARVLVRLPVLDLFRLGYLFSPRWLDIWRANPLHLHDRQFASPRIAADDVADAIANFLELHVGDGVQFVGVQGGVGSDDDDDGDGGGGDEVVGADGDDLGVIVNPGLVDDDDDGGDDHDGGLDVAEDEAAVQNAGVVDDSGPGVAVELEEGPEDEANQAGRHRPPSPGGIGADDGVISDDDLYGHDDIPAGGYEIGRVYSFRVETTRWRLDHLDRWCAALQRGRVREVILANLAIEGHPDLPQGIRDCGTSLKGLHVSFTVEADHIDPLVNLRGLGLCGCDINHGVISRALRPESEIRRLTVDFNRKLGDVSVQNTRLRSLEMFDNLMEGSTITVDDAIQFRNLDLYPTRPSRICIVDAPSLRRIGSLDLFNTVLEIKGVVIQAGMVQRPPKKRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEQLEIMRDDEVTQEERLLEADDEHIYQGNNFFRDLGCFKHHLRRIYLTGFRGGKYELALGKAILDEARAGTQFKMLLPLGSNTDISNQQRWLIEHFRMNTPNDAVRDGHVSIILKREAAACSTRLRRVEISDSPERGMDNVRRSSVTSVMSGIGSSPAALTESTPRATLAPPSSRHADWRGLPDAAVARVLDRLPVLDLFRLGYLFSPRWLDIWRRLPLYLHDHQFAAPPIAAADVADAITHVLELHVRNGVQFVPVQGGGGGGGGDGHGGNEVAARDGGGGDVSSDDEEYGIYDDVVANDGGAIQNGGYEIGRVWCFRVETTPWRNGHLHRWCAALRRGRARVVVLANLYLLEHTRLPRALLDGTSLVALHLFYFTVEAYHIDRLRGLGLYGCVLEPGMIERVLHPESEIRELAIHNAMGGTIAVVAAAATRLRSLRMFNIQVGTVAVDDAVELRNLHMRDTRPSRIAINGAPRLRRIFSLDIFNTVLEIQGIVIQAGMVEQPPEIRSVRYLGLRVNYTAMVDMLPRQIEQILRSFPRVKSLDIWRCDDVTQAEGLLQWDDVHYDGSNFFDGLESFNHHLRWIYLRGFRGGKCEVALMKIMLDKARVLTLLRMEYSPLPSSLIEHTLKELDLSLWIFKTDTPNDAVRGDLVSFVAADASGRCVRLAA >OGLUM12G19240.1 pep chromosome:ALNU02000000:12:24211290:24211598:1 gene:OGLUM12G19240 transcript:OGLUM12G19240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVSKNSPPATARHEDGGEGGTALTVTSCLYLHRPEPGAGALDKDAVLRRIRHRRRANRLRESLQSLLLTQQQQAAPPPETAADKGRERLAWLDDAFSSP >OGLUM12G19250.1 pep chromosome:ALNU02000000:12:24211713:24230952:1 gene:OGLUM12G19250 transcript:OGLUM12G19250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEACMDMIDTPRASERAPPAGTERVGGARGGGGGGGENDFAETAAEEEEEESAARSARGGGREEGREEDEEAPKNDSTAVMD >OGLUM12G19260.1 pep chromosome:ALNU02000000:12:24212907:24217238:-1 gene:OGLUM12G19260 transcript:OGLUM12G19260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSAIPAVAIMPSPLFLWRFKVVLFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYARVFDLAQTHLSHREIWRVLLYAILLIVLLSPFDMFYLSSRFYFLRTVWRIMLPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATTAWLEADSICGSHSVAIPLVLVFPYLCRLFQCLRQYKDTKEKTCLLNALKYSTAVPVIFLSALKYHVFPDKWVSFYRPLWLMSSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSTWTNLLYGQIWVYYWVLASNLVLRCTWTYKLSAHLRHNYLTVFTIAALEILRRFQWVFFRVENEWNKMTAKQSLEMSSDMPSEGDRLLDSNSHTV >OGLUM12G19270.1 pep chromosome:ALNU02000000:12:24232338:24237752:-1 gene:OGLUM12G19270 transcript:OGLUM12G19270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSETIVYPLLQLIIVELAYDQQHKARYFPSQLAASNPKLAISKFETAQRRQLAPHASAVSRSPRRRRRRGTMEEDRRRSAAFVMSGFKSSPTEATESTPRALLAPPSTNRHADWRRLPDAAVARVLDRLTVLDLFRLGYLFSPRWLHIWRLLPLYLHDRQFTTPPIPAGNVAQAITNVLELHVGNGVQFVPKQGGGGGGGGGGGHGGNEVAAPDGGGGGGGEHGGADDSSSDEESGLCDDVIAHDAAMLNGGFEIGRVFCFRVETTRWSLEQLNRWCAALHRGRARVIVVANLHLPGYPRFPQALLDCTSLLELHLFFFTVEAYRIDRLLVLGLYRCAWGLGMIDRAIHRDSEIRELAIDGVKGPTFRLADTRLQTLRMYENQVGTVAVDNATHLRKLHMHHTWPSRITINGAPRLRKIVSLDLFTTVLEIQGIVIKAGMVEQPPEIRSVRYLGLRVNYTTMVDMLPRQIEQILRTFPRVKSLKILRCDDVTQAEGLLQWNDAHYDGNNFFDGLECFNYHLRWIYLTGFRGGKCEVALMKAMLDKASVLRQLRMEYPTSSLPQLILNQLDLSLRNFKLHTPNGAVRGDLVSFVAADASGSCVRLAAQG >OGLUM12G19270.2 pep chromosome:ALNU02000000:12:24237752:24244776:-1 gene:OGLUM12G19270 transcript:OGLUM12G19270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEEARARVVASARDDEVTVAEGLLKATDEHIYKGNNFFHGLRGFRGGKSELALIKAILDEARAGTRLRMEYSTRSNPELTMDQLDQLVSPDFRAAHSK >OGLUM12G19280.1 pep chromosome:ALNU02000000:12:24246533:24247605:-1 gene:OGLUM12G19280 transcript:OGLUM12G19280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAAAAAGAGLPMVKLRGGDGVEFSVQARRLAELAPGHIWDLPAIESGDIYDTVQLYRRNAERFTSRATGGLLPQGVLNVQTIFAERVHDLDTLGHLTRAAIVLDMEDLKDECYKRMLQDHQMGPQEVKLFLQNALGHP >OGLUM12G19290.1 pep chromosome:ALNU02000000:12:24248709:24251559:-1 gene:OGLUM12G19290 transcript:OGLUM12G19290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKIFPFFCKPRSPLHHHHHRSHTPTHRRARRRLGDGDGGGGGAGAGAAAAGAAVPERRGPLRAHRPAEATPLGVDAPFTRSARTFGLLDEYARVHAQHARGGPGAVPDIAAWDRAFMEREVTDTDELHDLFMAASTLEMDGLSVLCAQKTADVVKKRTVEEVKALLGDDAGMTPEEELKLQHDNDAILCLR >OGLUM12G19300.1 pep chromosome:ALNU02000000:12:24251864:24252196:1 gene:OGLUM12G19300 transcript:OGLUM12G19300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRGRGRYREKAMIMVVEGDGGGEAKSESGSVVPPHKSNSFSSAAATMERWMCLVAKGCDVIGFTSLVELGPSELVCRCDGDGPLKLLGIYMVASVRVARTTSISRHC >OGLUM12G19310.1 pep chromosome:ALNU02000000:12:24256509:24262673:-1 gene:OGLUM12G19310 transcript:OGLUM12G19310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTSSIIRYIGSVSRXVVDLFRLGYLFSPRWLDIWRAEPLYLHDRQFVSPRIAAADVDDAIANVLELHVGDGVQFVGVQGESGSDDDDDDDDDDGDGGSDDGVISDDDLYGHDDIPDGGYEIGRVYSFRVETTRWRADQLHRWCAALQRGRVREVTLTNLTMEGFPDLPQGILDCGTSLKGLHVFFFTVEADHIDPLVNLRVLGVYGCSGMITRALRPESEIRVPTIDFNHLGDVVVQTTRLRSLWMFNNVVEGTVIVHDALQFRDLHLLPPTRQSRISIGDAPSLRSIGSLDLFNTVFVIKDIVIQAGMVQRPPKMRSVRILGLRVNYTEMGHRVPREIEQILKCFPCLEKLEIMRDDEVTPEEGLLEADGEHIYQGNNFFRDLGCFSHHLRWIYLTTFRGGKYELALGKAILDEARAGTIFRMVPPPGSNTDNIVNQLRRAMQHFRMTTPNHAVRDRHPIARSKFETAERRQLAPYASSSAAWRGRRRPPAKSPERARWPERMDHESIVASDLSMYPPPPGTRTLATPRVVLAPPPVAVVNQPHADWRGLPDAAVSRVLDRLPVVDLFRLGYLFSPRWLHIWRARPLYLHDRQFTTPPIPAANVANAITNVLHHHVGEGVQLLPVQGGGGGGGGQGEGALVGGGDADEAVTSDGEIYEDEGIIQNAGHEIGRVYCLRVETTRWSLDHLVRWCAELQRGGARVLMLANLAIPEHPELPQAILDCGASLLGLHVFFFTVEAYHIAALVELRALGLYGCIEGHGMIDRVLHPESPIRKLAIHGGMGRTFAVAGATRLRSLVLFDNQVGTVAVDGAARFRNLYMSDTKPSRIRIGAAPRLRRILSLDIFNTVLVIQGLAIQIGMMEPPPQIRSVRHLGLRVNHTEMDVRLPRLMEQILKSFPRVKSLDIMRCDDVTQAEGLLQWNDAHYDGNNFFDGLESFNYHLRWIYLEDFRGGKCEVALMKAMLDKASVLRQLMIQYSTSSVPQLTLNQLDLSLQNFKLHTLNGAIRGNLVSFVAADASGSCVRLAAQG >OGLUM12G19320.1 pep chromosome:ALNU02000000:12:24266793:24268307:1 gene:OGLUM12G19320 transcript:OGLUM12G19320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAGGLLLLLILHLLIIPDGALSATAAAAGRGCQRRCGGLLVPYPFGFSGSCPIKLACNESSATPAALMVSSSTSEEAAADRSPYTVVRFNATASTFVVSLPPSCDRTVSGARRWLAGANYGVSSRTGLFLRGCLNATTTNCSVPVETMLRTTRCGNETSTSSSSSSSSLTCVASLSADSTAAAAAAAAGRGEGLFMKWERVEEPRCGNLMTSVYGDTRDGVFSLEFAMAEMGWWVNGSCSNHTAGGSGGGVDDLGRCAANATCVPVQTPTGEWAHRCECLPGMDGDGFAAGEGCFFSVERFGKKFEK >OGLUM12G19330.1 pep chromosome:ALNU02000000:12:24270279:24275954:1 gene:OGLUM12G19330 transcript:OGLUM12G19330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLAGGRLLLCVAVQLLLILPEGALSASPATAAAAASGDERCRRQCGGLAVPYPFGFSGDCPILLACDEGNSTAALLRPTTNGTSTTAESSSSYAVAASSFNSTASTLLVSLPPSCNRTVSDARRWLSGANYGVSSRTGLFLRGCQNAASTNCNVPAEVILRTEKCGGGNASPVTCIAAISAETDMAKGVGMFARWDMVDEPRCDNLLTSVYSETQEQLFTLEIAVAELGWWVNGSCSAVAGDLAGRCAANATCHDVQTPSGAWGHRCECHAGLIGDGFAAGDGCHFPAKKSSTKKILIIVGGVLAGTVAAGVLFLCCARCRRSGGGGGRSGFDRLAAKRLLSEAASSSGVPVYSYHEVARATNSFSHTHRLGTGAYGTVYVGKLPASSPSLVAIKRMRRRHDDGDDDAAVAVLLNEVKLISSLSHPGLVRLLGCCLDRGEQILVYEFVPNGTLAHHLAGGGLPWRARLGVAAETAAAIAYLHAKRPPILHRDVKSSNILLDGDLRPRLADFGLSRAVGRLDQASLSHVSTAPQGTPGYLDPEYHQNFHLSDKSDVYSFGVVLLELITAMKVVDFARPAAEVNLASLALDRIGKGRVDDIVDPALVDRADEWVMRSVRHVSELAFRCLAFQKDVRPAMSEVAAELARIRDAAPASVPGARTGAGSRPPMVIDVGVGFDGVDAAVKKAGSPVSVQDVWVSDQSSPSTNGSMPRFA >OGLUM12G19340.1 pep chromosome:ALNU02000000:12:24276460:24278886:-1 gene:OGLUM12G19340 transcript:OGLUM12G19340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEHKMDMILRRMEEFEQRRVEAEQTRRADFQSLKAALASWIPHVQNNTEDSHFLVGNKQYKMTPTMCSTECFNPDVEPNLAVDVVVTCVTTSMTSVDLVSAEDAIGATYIYNPVQPMVTPAKCLTNCSNPNNIPDLTMVAVVTCNSTSLASMDLEVGKDVACTTEIDGPDCHKETHTKCSMLGLDVKGGADHVGGAFLTMSGVAKSVPISIESIDIFSARLVSDLKQDIPTPTGCLLRIPRYDSKTQFKHLLDPWPPPTEWAELEPWPPPHENDILPLLINGFTHILVDRKSISKFWKAIWSELGEEWSLFVPKLYELHLSGFLQHSVSMISQQLMSRMIWSTKAKMKMLNGWDSKQYLSIMRPILGLFVKLIQDISPKSHHQAYIEAQVAKKFLENFGEDKVHFLAQSIAVPDTHLGQGCIGCCWLYGPNAISTFHLLIAILHIVRPLYMENIFTRTSHVAKKWATDLKGVGFDWNILGIVVQEVNYIELICDRDSYGCSVQKCYPQSILFMHNGWSFGGLLDWNSKQYKNSMLIVNPLELMQVLLVSLVWDPDAEMCQIGSYALQPENCQLTTCMRAHCIKPSDYEIITAKENHAADAPRLLIDVMEDEGNTVWTLEFSVSGVVQKKRDLWLCAFAVNKDMKVVMFLQQYGSANLVIVNLLSVPWDPGGSHLALAIKQGTRLSLWAITSIGWLCFLWSYWLHYKRNSNRGDQVGEACTSSSHWLGDKPNFKGRRMLGAMWAAIWAGSATFQEVQASPRGNYI >OGLUM12G19350.1 pep chromosome:ALNU02000000:12:24295451:24297271:-1 gene:OGLUM12G19350 transcript:OGLUM12G19350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPCSYGSHCAALPFPAVVSRAASSPPPRSRGRRPSLPPAGCFHAAPRDAALVSGSAAGGVSRRRCELFDLHQHIVPFAESWAWQQSIVTRRKGLVGTDEDHSDTLIALQHPPVYTLGTDSTEDYLHFDVKDAPFEVHRIDRGGEVTYHGPGQLVMYPIINLRYHQMDLHWYFRSLEEVIIRALQSAFSIKASRVEGLTGVWVGDKKVAAIGIHVSRWIAYHGLALNVTTDLTPFQLIVPCGIKDRGVGSIKEILQMAYDGKEIDETALIDKAYRTLLKEFAEVFQLSLYHNPNWDLQESKNFS >OGLUM12G19360.1 pep chromosome:ALNU02000000:12:24299803:24304064:1 gene:OGLUM12G19360 transcript:OGLUM12G19360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRLLLLRHRRLAALNTRLTSLAYSTTSSAAPTTASIAMSGGLRLLDAAPRRPPANSRSATLPGNGAGAGAGTGDVAGFGAGGELMPPPSNIAAYGDGAGDGDTAGAGAGGGRSERIAFLHRPASRRLVCLSSVGAWRAAMAGSAQAQAASGVVG >OGLUM12G19370.1 pep chromosome:ALNU02000000:12:24300579:24301808:-1 gene:OGLUM12G19370 transcript:OGLUM12G19370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G18930) TAIR;Acc:AT3G18930] MFEGGGISSPPAPNPATSPVPAPAPAPLPGSVAEREFAGGRRGAASSSLSPPLIAMLAVVGAALLVVLYARLVSRVFRAARRRWRRRRRRRLLMIPGSPADDSFATFTTYDNYYHTFSPYGLDDAAIKSLPSAQYLKSSAMAARGRGGGGGADTAARDCAVCLLEFADGDELRALPLCAHAFHADCIDVWLRAHASCPLCRAAVALPPPVASPVRAARRVRPSLDDLLFFHPVPQPPHAAADPSAAPAEITPASPDHVGPRDDFLLKRSYSFGFERHLLAVEAPSTASPPWPYRLNIGGGGGGADGPNRGGRSSFWSKRWPSPFGGGGGAAAASRVFSFRSYRSAAAKSSPFSRRRGGTAPGGGFFMSLASEPPSILAAARRARAAAAASSRLRCGDPEALLSPDRLSR >OGLUM12G19380.1 pep chromosome:ALNU02000000:12:24305406:24306230:1 gene:OGLUM12G19380 transcript:OGLUM12G19380.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine/Histidine-rich C1 domain family protein [Source:Projected from Arabidopsis thaliana (AT2G16050) TAIR;Acc:AT2G16050] MRYGEVVHFSHPHHRLRLEHADTPFRCDGCREVGIGARFRCPFAGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFVFLARAPGAPGTRYCNACGRDVAGFVYHCRACGFDLHPCCATLPHVLDAAAGAASGGGGGGARLYLHPKATAACHRCGHRGRSWTYRSSCKSYSLHVACVMDMLVESWNGVGRHKGAVAGGGNVYDGVTVAGSGGYRVPVIRGAAKSSHASRGGSYWGRKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >OGLUM12G19390.1 pep chromosome:ALNU02000000:12:24309658:24313541:1 gene:OGLUM12G19390 transcript:OGLUM12G19390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETRAKRVDASARMRAVPIAVTPEGFWCCPSPAALHKSLKNNPHHHGGGGNHKPPPPTPPPQQHKTTTPSAPPSRAPSVLSEPVAGDAPDEPPPQPAAAEAEAERHKICVGFGQPETSDVTVVLYGKEGIAVRMSVHGDVLRRSSAFFAEKLSSSSSSSGSGGHGHGSCLEIHDCDDAEIYVETVGLMYCDEAKHKLLKQNVSRVLRIMKVAESLGFHACVKSCLDYLEAVPWVGEEEDNVVSSIRHLQSKAYGVSPLLKRITSDNLKSPTDTLAHIMEMVLKSTDDRARREMKALVLNLLKDSNHCTDGSSDISSELLYSSCQGCLDRLRLLFSEATGQEFSVELTRQITLETDNLLWLVEILVNQRICDDFVALWANQSEIAELHGKLPVASRHTVSCITARLFVGIGRGEMLPSKNTRLLLLQVWLQPLIDDYSWLQCSCRSFDRKLVEEGIGQTILTLPLEDQRSMLLAWLGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVSQARILFIQKMCYIPNSQHYSVGCNSVLQSQHDESFAVKMESDSVKIFSGEHLEKEKHVPYQGEITILNKLKRVKIQLSDPFVRRMVEKNVNNFSLS >OGLUM12G19400.1 pep chromosome:ALNU02000000:12:24315581:24321378:1 gene:OGLUM12G19400 transcript:OGLUM12G19400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFLHLPCPLSPPPGFLPVASPAQGSLSRLRLIPSRLFFFFFSSFLYPVEGVLGWGEIKNRSCPWPVVRLSGARLVTLCLGSWCVVNRDYRELEFLVGVSGSLRGESGLSGRRGDRRRTEFLVCCRLKLWFSEVLETFSIGEKFMRFLSSGYRKFDPQITSSHGLGAYDESDNEDIDRAIALSLSEEQNKGKAVDIDYNLEEDEQLARALQESLNADSPPRQNIPVENVPSEPPRELPPILFASSGSRTCAGCKNPIGHGRFLSCMDSVWHPQCFRCFACNKPISEYEFAMHEDQPYHKSCYKDFFHPKCDVCKNFIPTNRNGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPMDIKYITLDDGRKLCLECLNSSIMDTPECQQLYMDIQEFFEGLNMKVEQQVPILLVERQALNEALETEKNGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITAPYKLERRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRSLSPQVEEGICQVLSHMWLESEIIFGSSIDISATSVASSSSSSSTPTTSKKGAKTEFEKKLGAFIKHQIETDSSEAYGDGFRAANRAVESYGLRSTLNHMKMTGSFPY >OGLUM12G19410.1 pep chromosome:ALNU02000000:12:24321793:24326146:1 gene:OGLUM12G19410 transcript:OGLUM12G19410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGPWLLVVVVAWVLGVAAASSSSSSPGQAGTSQKFNKCMPCSRRYVADSYLDTLIGQLAQHRDLTETSDSADSCKGLDYDLDAPMLTELHSELVGEGSHRRLVYHVEFANCADAMVNFLDNYDVNLVVIENLPNGVFADPFELQHFVERKVYLDMAVFGDTNLELPSALSNRSSVEIHVDLAPLASMNCNLVIDLPLHARYPPLDASGYATVEFGSPDLLLRYRKKDTVPESCSWLLKDLDAAPVEKATWRIPCGDEAHIGFVSSITFLSALVCSILIVIAALFY >OGLUM12G19420.1 pep chromosome:ALNU02000000:12:24327871:24332931:1 gene:OGLUM12G19420 transcript:OGLUM12G19420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAVTRRNPPLAQARSKPLAPARAAEWAAAVCGGGVVVVVGGGGGGGGGGGVGGGGGGGRWWWWWWESIMVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OGLUM12G19420.2 pep chromosome:ALNU02000000:12:24327725:24332931:1 gene:OGLUM12G19420 transcript:OGLUM12G19420.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCLDGVRQLLAVVFKCCDLELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFDEFARALSVFHPSAPLDEKIDFSFQLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIIESIIDKTFEEADTKHDGRIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OGLUM12G19430.1 pep chromosome:ALNU02000000:12:24334066:24342636:1 gene:OGLUM12G19430 transcript:OGLUM12G19430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELTKLHEGFVVDDVLTIKAQVQVIREKADRPFRCLDGQYRRELVRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFNAFWLGMDPSVQKYMTREKTDTVLKVLVKHFFIEKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARGGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTASKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSSPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFGQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >OGLUM12G19430.2 pep chromosome:ALNU02000000:12:24334066:24342636:1 gene:OGLUM12G19430 transcript:OGLUM12G19430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLIEDYTGDGRSSSTEELPSDQQSHSGESLAEWRSSEQVENGTPSTSPAYSDSDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGYKCFNAFWLGMDPKKEVTSTLVMDSLYSGLKALEYQTKNKKGVPKLAETVQMDIRSTPMVLVDQDMFVLADDVILLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGDDFNKDSIERDDKRLVELGWKTLEFFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRIRKKQAKQKKNSRKNNKGKNEKVDIKEIVIESSSPPDDRILDDLSSQAEEITSNADNPEEVSDISDNRDDSSELLHNDLEDSESSPVNWETDASETQATVPEGGEMQNEHAGKRTSFLDDSSSTCSSDSVPSVILNTGGAWTNVKSSSNRGNNRRNNKDHDARGGLGHGGLNSVPNGIAGSSSSTSVNSKDHQHEDDRVVSQKKQNAHRQTDVMSPSKLRMTESSVSPIKKQHIFSQQPKSSLESANNLSSRASEASGAVTASSVMGVTTTPTAQLVSNKGPLTIHATHNERSVPVARHPQVPTASKSEAQKQTSLVSSGTATQAITVSRPLSAPQVPAAKQTAPVASAVQTVPLLSRSMSAVGRLGNEPSASAPSYIPRSYRNAMMERSSVGASSFTHQTSSEQRVAQSQSMFSLSPSILSPEHLAGNDRSSLRQGLTFGTVKPETLNQWREESSQQASSSSSSSSNDHGAVSSSNGGEFEKFYLFGKPRSKQLSEISARSSPFQPQGLVSDEFPHLDIINDLLNDEQCERRTLKVLQRSQQAFARQYSMPNNSSTPDYGMFGQSDPYLFDQPEQYYDEEIPRFYNSLNGTSRGLRERSYSHFDLPSYSNGQFDDMMMNQWPYGQTDLSLPNFGADMNGYPYQSSDYPNSANGLSRYPPYRPANGH >OGLUM12G19440.1 pep chromosome:ALNU02000000:12:24347502:24350714:-1 gene:OGLUM12G19440 transcript:OGLUM12G19440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT3G04650) TAIR;Acc:AT3G04650] MPPTPPPLLLPAPRCPRSTAVLAASRFAASASSSSGGGGGGSSGGARTARPPPAPRRRRGKPGFSRQSAIKKSFHQEQVVFSTPVPPDPSVAVVGGGASGLACAASLAARGVRAVVFDTGMHGLGGRMATRAIAAAGDQQQQQQLVFDHAAQFFTASDERFKRVVDEWMDKGLVREWGGLIGELDAGGHFRPMPSSSPPRYIGVDGMRPLADAILPESDLIEVVRPCWISKLEPFNGLWRLFENEKPHGQYDAIVIAHNGKCANRLLSTSGLPLLTRQMKRLQLSSVWALLAAFQDPLPIPQTDSYGTFEGAFVKDVDSLSWMANNTQKLFPLQTGRPECWTFFSTAAYGKRNKVPQENIPKITAEKVKEDMLRGVELALGLSRGSLQQPFYTRVQLWGAALPMNTPGVPCIFDPQGRAGICGDWLTGSSIEAAVLSGMSLANHIADYFASSGEQPEEFAIGLHENLSQVEGHDIGQFPGLESQKPHVAEAQLTPST >OGLUM12G19450.1 pep chromosome:ALNU02000000:12:24352107:24356240:-1 gene:OGLUM12G19450 transcript:OGLUM12G19450.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ureidoglycolate amidohydrolase [Source:Projected from Arabidopsis thaliana (AT5G43600) TAIR;Acc:AT5G43600] MATSAAARFLAALAGAAVLLVLLGGAAGAVVGHDDDAAAARRTMEEFAGFPASDYRGDGGGGSGGSSPFYVDSDGLQRQIDELASFSDSPVPSVTRVLYSDKDVQARRYIKGIMNQLGLSIREDAVGNIFGRWEGSEAGLGAVATGSHVDAIPFSGKYDGVVGVLGALEAISMLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGSEELARSLKETVDNQNVSFFDAADSAGYKMHPEELHNVFLKKDDYFAFVELHIEQGPILEKEGIKIGVVTAIAAPASIKVEFEGNGGHAGAVLMPARSPPHIYMGKETFYRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILQLHPGAINSIPSKSHVEIDVRDIDEKRRNNVIEKVHQSAIEISKNRGVLLSEFKIINQDPPALSDKSVISAMEFAAKQLNLEYKLMISRAYHDSLFMARISPMGMIFIPCYKGYSHKPEEYASPEDMANGVKVLALAMARLSLQ >OGLUM12G19460.1 pep chromosome:ALNU02000000:12:24356487:24362710:1 gene:OGLUM12G19460 transcript:OGLUM12G19460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIENTAEDMSGIASNLDNAEQAIPLSDVPEQYKEDPENTYDEETKDSSYEESGIPYNEDQVNINDGNVGHQHEEDQAIPSEEGHAYGGEAQGEQQANAVTDEKKWPGWPGENVFRILVPAQKVGAVIGRKGEFIKKMCEESRARIKVLDGPPGVPDRAVMISAKDEPDAPLPPAVDGLLRVHKRITDGLDGESDQPQRAAESVPPVALSDDRVVEIQGEPLDVHKAVELIASHLRKFLVDRSVLPLFEMQMKVHNAHREQPMPPPQTWGPPPPWGHPSNVPPGGPGYGGNPQFMPPRPQDHYYPPPDVPPVEKQPHYGISSYGRDVPPTGAPPASGNQHPPHGSSQITHSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGVPGEMTVEISGSASQVQTAQQLIKQQLLLQNFMAEASPQGPPQAPAPPAQPVDTGYSSYPPYGGTSYGSPPGGAGPHNGGSYGGAPPPYPPSYGY >OGLUM12G19470.1 pep chromosome:ALNU02000000:12:24366445:24369009:-1 gene:OGLUM12G19470 transcript:OGLUM12G19470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAAHLRNRIGGGGGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >OGLUM12G19470.2 pep chromosome:ALNU02000000:12:24366447:24368727:-1 gene:OGLUM12G19470 transcript:OGLUM12G19470.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSPARRPAQVSELAELELLLFFFFFFFFFSGDEFDAFLDGRPVAAMGRGAPLVVAAATMGRRSGRRNQGRPPSEELRESEASLAGLAPAAAAAAAMEEEVEAANRAAVESCHRVLALLSQQQDPALLRSIASETGEACAKFRKVVSLLGNGGGGGGGGGGHARGRMAGRSRPSAVLREKGFLESSSGGGQLGMMMSGAATPSTSSAAHLRNRIGGGGGVPPDSLRGLDLVSSSSKGGAHQFDPPKLVQPLSVQFQFGATAHRYPFQQHQHQQKLQAEMFKRSNSGISLKFDSPSATGTMSSAFMSSLSMDGSVASLEGKPPFHLISGPVASDPVNAHHAPKRRCTGRGEDGSGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPTQSAQT >OGLUM12G19480.1 pep chromosome:ALNU02000000:12:24386502:24389347:1 gene:OGLUM12G19480 transcript:OGLUM12G19480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFPYSSGDLGEATTAAAPAVDMITLDQMFRDYDASTGDDLFELVWESCGGGEIDSGAGEVQPAGVPCCRRLLPGSSPEPTSEDEMAAWLSTIVTGSGGSGGDDVAAGGDHQDPAVKKPDGEPLTEKMDKKLPTRTEERRRVKHKARRNPGYAETHGLTEKRRGSRINEKFKMLQRLVPGCDKAMYPTMVRPAAVYPVVQPRPAASQGGLHRHRRLMVVVSVHHRCRCFRLGRQ >OGLUM12G19490.1 pep chromosome:ALNU02000000:12:24398502:24399011:1 gene:OGLUM12G19490 transcript:OGLUM12G19490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRVRWGHGERRGLQGCSEQQEWRRMAPATGGDGSNEGGRSRRSLNRRRRTVMASGEEIRWGADGRGGIGGGEIRWGQRERRRRWRVAGEEARPPPPLPATPAPPPSGLPPLLLLRRCRRVHLRRRHGCRHDELSPRNVGGWEAKEMAMARPERQPEEEGGRTLLAA >OGLUM12G19500.1 pep chromosome:ALNU02000000:12:24401909:24424619:1 gene:OGLUM12G19500 transcript:OGLUM12G19500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSYNDVDAGDMFAAADYYSAGDGGDLFDMVWPGRRRRREEDNTSGCLPLSPPPPPELAVDDQLPAGGDGGGSGGEPVAVAVAEDDDSGERWTEDQGRRSESSKERRKITRARRSSRYSQTHSLTERKRRCKINENLKTLQQLVPGCDKGTRSESSKERRKITRARRSSRYSQTHSLTERSNNQASTLDKTIRYMKSLQQHAQSNNQASTLDKTIRYMKSLQQHVQAMSVGCIMKPAAAGVSYHPFLQPPPYVRPAIAAGGAAPAGMVPRPLPSSMVPFAPVLPMVVHHPAPLMMMPAAPAPLMTYPGAGVAGPKAVTCWSSWRRSPQDPGMPLLDLPPPPLPLSTTTTMTCSLTSSFCWCR >OGLUM12G19500.2 pep chromosome:ALNU02000000:12:24401909:24424619:1 gene:OGLUM12G19500 transcript:OGLUM12G19500.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSYNDVDAGDMFAAADYYSAGDGGDLFDMVWPGRRRRREEDNTSGCLPLSPPPPPELAVDDQLPAGGDGGGSGGEPVAVAVAEDDDSGERWTEDQGRRSESSKERRKITRARRSSRYSQTHSLTERKRRCKINENLKTLQQLVPGCDKSNNQASTLDKTIRYMKSLQQHVQAMSVGCIMKPAAAGVSYHPFLQPPPYVRPAIAAGGAAPAGMVPRPLPSSMVPFAPVLPMVVHHPAPLMMMPAAPAPLMTYPGAGVAGPKAVTCWSSWRRSPQDPGMPLLDLPPPPLPLSTTTTMTCSLTSSFCWCR >OGLUM12G19510.1 pep chromosome:ALNU02000000:12:24466128:24468548:1 gene:OGLUM12G19510 transcript:OGLUM12G19510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGGGGGACSFNQPPPLLPPAGIIVPGTTTTTLLPEQPVVDDHLPAAAAAPPSEEEMAAWLYPIVGGHQVAAGGWLSPEAGDDRRAAPPAPEKKQMDNMPAVASPTTTMNKDEASDDSGERKKKKKKKASSAAGKASRHRHAAGAHNLTEKRRRFKITERFRTLQRLVPGCDNKSNQASTLDQTIQYMKSLQHQLEATSAVGPPAAAVLYPAAVHPQSYMHPPAPPPPVAGAAAPVTMAPMPAGMVLAAAPPGAAPPPGPPATMMPFGAMLPYPPYPAVLLPPPPATLYGRPPAAAPSVAARRHGSTSGGARISKSSSSSLRKEL >OGLUM12G19520.1 pep chromosome:ALNU02000000:12:24471208:24473381:1 gene:OGLUM12G19520 transcript:OGLUM12G19520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERHDNAFKKGNGANGVVVIEPAKGTARLSPASTHYPHKHLHIDDHTIVHDVSQAGSSDIGGGGAAYPAKEVYCSGYAAGLQQEILCWESRRTGTLLTLCSLISA >OGLUM12G19530.1 pep chromosome:ALNU02000000:12:24478977:24481587:-1 gene:OGLUM12G19530 transcript:OGLUM12G19530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTTTTLLPEQPLVDDHHLPAAAAAAAAAPPSEEEMAAWLYPIVSGHEVAGGEWRSPEAQDDRRAAPAPEKKQMEKMPAAASPTTTMNKDETSDDSGERKKKKASSAAGKASKHHHAAAGAHNLTEKRRRFKITERFRTLQRLVPGCDKSNQASTLDQTIQYMKSLQHQLKAMSVVGSLPALLYPAAVHPQSYMHPPPAPPSVAGAAPPVTMPMHPGMVLAAPPPGAAPPPGPPAMVPFGAMLPYPPYPAVLLPPPAAATLYGRPPAAAPGVAARRHGSSGGGRISKSSSSSLCKKL >OGLUM12G19540.1 pep chromosome:ALNU02000000:12:24505924:24506487:-1 gene:OGLUM12G19540 transcript:OGLUM12G19540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSLRGTVLLVAAAVVLASAPVSPGGGGVDTVAESCNAIRDFVEVAFCEAALRSSGPGAATADRHGHLLIAADLAAARGDSARDDAVAAAAAARASGGGERDGLEACGILYGSSVAAVRLMRGYAAARSWEAARSLLWLSGQAGMGCAAALDSPAPGMDRANEDFSRLTTMATALLNSVAATSG >OGLUM12G19550.1 pep chromosome:ALNU02000000:12:24507475:24508104:1 gene:OGLUM12G19550 transcript:OGLUM12G19550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAAVVLAVVVFLAAGVQAGSGGGGGVAAWTALDGVCGDLGGYYVTPELCKSALCGGGGGGDTSSSSSPCRAASGAGEVAALAARLAAANATAARASVEAASRALEQNPSSSSNGTAAAAAAARRQGMRSCLQLYAGAVPALEWAARSVSAGRYRGAREVLQSAQYVSLGCEGMAGGGGGAAALPRENERFSVMAIVAHAVVASMLGP >OGLUM12G19560.1 pep chromosome:ALNU02000000:12:24513133:24519389:1 gene:OGLUM12G19560 transcript:OGLUM12G19560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAINRNKRAAPPPVVEADAEQRMTTWTQLSCPTSASGCSASLQRNVAMLASTSGGNCSEIMVVTCPLRRPTCSCVVATAAASPVAGHRRRSSSSPASPQPSGTAMAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDFCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADPNRVVNHIFSPLMMACCGHSLKCVKLLVEAGADVNGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMSDEVKNALREAIECLKRAV >OGLUM12G19560.2 pep chromosome:ALNU02000000:12:24513133:24519389:1 gene:OGLUM12G19560 transcript:OGLUM12G19560.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIAINRNKRAAPPPVVEADAEQRMTTWTQLSCPTSASGCSASLQRNVAMLASTSGGNCSEIMVVTCPLRRPTCSCVVATAAASPVAGHRRRSSSSPASPQPSGTAMAPSPSDVALQAAQDGNLRLLRKMAKKLDLRGVKDKNGLSALHFAASHGHLDFCKFLVEESGLDVNSVGHKGETPVFYAAIDGNVQVLGYLLDHGGDPVKPEERGCTPLHNAAENGHDEAVRLLLSKGVHVDPLNYRGAPLHLAASKDRVQAMKVLLEHGADPNRVVNHIFSPLMMACCGHSLKCVKLLVEAGADVNGNSTNGPTPLTEAVDDSLTDVVKFLVGAGADPNIPDEEGRIPIMVAAARGQRELVEILFPRTKPIPCLPDWNVDGIIRTMRTTRIEPQSAIPVEEQVSDAKSKGKEAFAKGDYLTAIYFYTLAMDKSPLDATLFANRSLCWLRQREGDRALLDAQQCRMLRPGWSKAWYREGAALSFMKDYKGAVDAFGEALKLDPMSDEVKNALREAIECLKRAV >OGLUM12G19560.3 pep chromosome:ALNU02000000:12:24508438:24513180:1 gene:OGLUM12G19560 transcript:OGLUM12G19560.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMRFTWLQAMGIWRSASSWWRKSGLDVNSGSHRGETPILLAACDGDINVLIYLLDRGGDPAIPNAGGFTPLHYAAEYGAFHAFQFTRHLWDLRMVLAYKLLGILCANIVVPSGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAGDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKSFHSCNLVALVCTLCAYLALVVGIYLCYAQNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >OGLUM12G19560.4 pep chromosome:ALNU02000000:12:24508438:24513180:1 gene:OGLUM12G19560 transcript:OGLUM12G19560.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMRFTWLQAMGIWRSASSWWRKSGLDVNSGSHRGETPILLAACDGDINVLIYLLDRGGDPAIPNAGGFTPLHYAAEYGAFHAFQFTRHLWDLRMVLAYKLLGILCANIVVPSGHVDVVRLLLSKGVHVDPLNYSGAPLHLATANDHDQVAKVLLEHGADPNRVVNHVLSPLVVACCSHSLKCMKLLIKAGADVNDRSSTGPRTTPLVLAGDDGSADIVKILLEAGADPNIRNEDGRIPIMMAAARGQRELVEILFPRTKPIPCLPDWSVDGIIRTMRFTRTEPEDAVPVEILVSDSKLNAKEAFAEGEYITAIYFYTKALEKAPLDATLFANRSLCWLRLREGDVALLDARRCKALRPGWSKAWYREGAALSLLKNYREAAAAFTEALKLDPENYEIKNALREALECRKRAARSEEDKNP >OGLUM12G19570.1 pep chromosome:ALNU02000000:12:24520661:24526248:-1 gene:OGLUM12G19570 transcript:OGLUM12G19570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGPASPEAPEAAKEEEEVVEEEDDEEEGEEDGGEQPRRERERERRRRRRRKREAAAAASEVVMVKRELLARCMTCPLCRRILRDATTVSECLHTFCRKCIYKKINDEELEHCPVCKIDLGCAPLEKLRADHNIQDVRSKIFPLKRKKVNAEEVESPIAPPAKRKERSISSLVVNTPEITPKSLTGRRTRASTRKSAAALRDLGPIIPPLKKDSDNTNKNADNSSLLDSLSKVPQTRRQVLSNAETSSHPSSKDKGGDDKDLDKSELWRPLNCLVEAASKTKSYRSSSAARGNQPTESPSSANASRTKAREYLLKSKVQDEKKEVPVATVPFKRKGPGRGRKPAQPPAAAVSSPSASKHEKLLTPVWFSLIASFDQKGAPPLPQIPTHYLRIKDDNMPASSIQKYIMQKLSLPSETEVEISCCGQPVNPIQPLRNLIERWLRFGPARTLQTVVGSSGGDYVMVISYGRPKAA >OGLUM12G19580.1 pep chromosome:ALNU02000000:12:24530186:24530607:-1 gene:OGLUM12G19580 transcript:OGLUM12G19580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDVPFEVTITVFDSLVSLDKWSESNDSDAYITPVAAIQLCDPSRRYEALGAPKVTVVQSARLLGAGGTTVGGSRGASRLEAVLRLDGSDLEAVTTRAHQGRPEDGHQASASPVELGSGEAEEKAGPA >OGLUM12G19590.1 pep chromosome:ALNU02000000:12:24531093:24536916:1 gene:OGLUM12G19590 transcript:OGLUM12G19590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:pfkB-like carbohydrate kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G37850) TAIR;Acc:AT5G37850] MTAVAVPSPPLLHPTCPSWWSSPRPSFFFFFLREERWLLRRRRTAMARPPVLSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLNGSQLWDLIEGLAENDLLHYTHLLTGYIGSVSFLTTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQDLISVYQQKVVPVATMLTPNQFEVELLTGLRITCEEDGLKACNTLHSAGPRKVVITSALIEDKLLLIGSHKKAKEQPPEQFKIEIPKIPAYFTGTGDLTTALLLGWSNKYPDNLERAAELAVSSLQALLRRTVEDYKRAGFDPSTSSLEIRLIQSQDEIRSPQITCKAVKYS >OGLUM12G19600.1 pep chromosome:ALNU02000000:12:24541549:24542214:-1 gene:OGLUM12G19600 transcript:OGLUM12G19600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFAAAMKSPLPVAASAAAATGGDGKSPLFCPKPRRPVAPLRCHHDMDLLDLLLSKGEETLFCGSPPRRASNPVVHDSRFGLDCPPMPAWSPAPPVAPPVVPAAVRVEGFDCLDGGRGGRGHGITAMA >OGLUM12G19610.1 pep chromosome:ALNU02000000:12:24556616:24561453:1 gene:OGLUM12G19610 transcript:OGLUM12G19610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVHAAHPVPRPARAGANPQIPKKEKKTPLAPQKWRQPLPQSPAFFCLFSPSISLPLPNPNPTHSPPRPLRSPPPQARLLPMASSSDASSALAAAAAVGKKRGSYNCGRCGLPKKGHVCAVAGEEQKPPRRALHFDEAAPPPPPEKKVKVEVVEVDSSSEEEEREARGWVEVGGGRRVPGEVVVEVMRRLPPRGVAASAAVCRGWRGCARRVWRAADELRLRAAGVRPVGALLPRCPALSRLVLRMESDIDATMLACVAFSCPNLQYLELGMVGSAANRMTGDELTRFVSEKRSLSVLKLDGCSNLSFLNISSSSLSTLWLSDLSSLSKSVINCPNLNELSLGFTQQNNDSTDLISLMDSLGRTCSNLRNLHISSIHLCNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRSYASLDLLDLSGSSITDNGLGMICKAFPHTLTRLLLALCPNITSCGVQVATSQLPLLQLMDCGKSLCANSQPEADRSYFGEIYGGIKFCSKLPIQRKQQPNYQKLIIKHASLKKLSLWGCSALDALYVNCPELSDLNLNCCTNLHPERLLLQCPSLKDVHASGCRDMLIGAIRNQVLNEFASAEPRVPCKRLADGSKRVQVPHFMLEQQFEEEKWGSGSKRSQCTVHLS >OGLUM12G19620.1 pep chromosome:ALNU02000000:12:24583832:24588073:1 gene:OGLUM12G19620 transcript:OGLUM12G19620.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:P-loop containing nucleoside triphosphate hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G03030) TAIR;Acc:AT1G03030] MAAAAVTVKLGGWSSYCYCPTTTRRSKPAAVKMMMALCPTSFRIELPGRTIRAAILNKRHTVPCYQRQEGASSPAPQIEAKSMEEVYDALAEHLFSVLKNIEHIDSKYIVGIAGPPGAGKSTVASEVVQRVNKRWSQKHENGSSLISTEEIATMLPMDGFHLYRSQLDAMEDPKEAHARRGAPWTFDPSRFLKCLQTLREEGSVYAPSFDHGVGDPVENDIFVKPQHKIVIVEGNYLLLEEDAWRDIRALFDEKWFIDIDIDVSMQRVLQRHVATGKEPDVAAWRISYNDRPNAELIMKSKKSADLVIRSVDLSR >OGLUM12G19630.1 pep chromosome:ALNU02000000:12:24589645:24594240:-1 gene:OGLUM12G19630 transcript:OGLUM12G19630.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUW6] MAADLAFEATELRLGLPGGGGGGDGDAAAAAARSSSGKRGFAETIDLKLKLEPAAAAVDDDDDKEEGAADGREKKVDIVGADNDDASPPAAAAAGGMKRSPSQSSVVTAAADPEKPRAPKAQVVGWPPVRSYRKNILAVQADKGKDAADGGGDKSGAGAAAAGAFVKVSMDGAPYLRKVDLKMYKSYLELSKALEKMFSSFTIGNCGSHGVNGMNESKIADLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >OGLUM12G19640.1 pep chromosome:ALNU02000000:12:24604317:24606037:1 gene:OGLUM12G19640 transcript:OGLUM12G19640.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUW7] MENLKATELRLGLPGTEEEAALPPSTPRAGSKRALAGEPDQAKIKPAAAAKAQVVGWPPVRSYRKSCLQPTTTTTKSKPPPAAAAAETQQKEDVAGAGGLFVKVSMDGAPYLRKIDLKVYKGYRELREALEAMFLCFSGGAAADAAVNPSDFAVTYEDKDGDLMLVGDVPFEMFISTCKRLRIMKGSEARGLGATRG >OGLUM12G19650.1 pep chromosome:ALNU02000000:12:24607009:24613336:-1 gene:OGLUM12G19650 transcript:OGLUM12G19650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGDDLNDNTRGWLRGSGVAEVSSSHGLPLLSTSSLAARAWGDTGVWGVGVAGAQGGVGMTSPTQFRVVTRLAHTAQWTELKAIRAIASASTIQAVLALTL >OGLUM12G19660.1 pep chromosome:ALNU02000000:12:24615017:24620410:1 gene:OGLUM12G19660 transcript:OGLUM12G19660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKPQESSLGYLNLQASDTSQLASQASFNGYGSTRVTNSNAIHEDYDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLRLFTTSEMPASSMPFSSGVSDAASAAAAAALVEDDWTNCSLPDEAIPVPSAAMALRSPSMRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >OGLUM12G19660.2 pep chromosome:ALNU02000000:12:24615017:24620396:1 gene:OGLUM12G19660 transcript:OGLUM12G19660.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKPQESSLGYLNLQASDTSQLASQASFNGYGSTRVTNSNAIHEDYDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLRLFTTSEMPASSMPFSSGVSDAASAAAAAALVEDDWTNCSLPDEAIPVPSAAMALRSPSMRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >OGLUM12G19660.3 pep chromosome:ALNU02000000:12:24615017:24620596:1 gene:OGLUM12G19660 transcript:OGLUM12G19660.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGGAGVGGGGGDPGAYAAVLKTKLDLYCAAVAKSMEAKPQESSLGYLNLQASDTSQLASQASFNGYGSTRVTNSNAIHEDYDQGKPANSGTSKEQSDDDGDLEEDTDPVNAKRTRRMLSNRESARRSRKRKQAHLNDLESQVSQLRSENASLQKRLSDMTQKYKQSTTEYGNLQDDMNAMRRKVNIAEEAVRRVTGIGLRLFTTSEMPASSMPFSSGVSDAASAAAAAALVEDDWTNCSLPDEAIPVPSAAMALRSPSMRRVASLENLQKRIHAGDVTHFEAASALSLPEATACDNK >OGLUM12G19670.1 pep chromosome:ALNU02000000:12:24621675:24622021:-1 gene:OGLUM12G19670 transcript:OGLUM12G19670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAWLAGRPEEYLWEVGSGVGKAAAVAARWRWQGGGGLGGDPLKAEGEHGGGGGGLDLEVVVLAEDVLCYGLDAFGVPLQQIPRPCGGGALLFQVSSQVVQALRLRMGLGF >OGLUM12G19690.1 pep chromosome:ALNU02000000:12:24632548:24645585:-1 gene:OGLUM12G19690 transcript:OGLUM12G19690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSESPHQSSESDDSSSSDYLEELILEEINDPMEAEIEDEIEAQLQAQMQAQQAGHSNRRGGYKRRRTFASDGRRPARRRDGDDLVVVLLLLLKLTVVVVLHRLSRPGRRPCAINAAAATAW >OGLUM12G19700.1 pep chromosome:ALNU02000000:12:24691326:24693592:1 gene:OGLUM12G19700 transcript:OGLUM12G19700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGENDDNGGAAGSSRRLPAVGAMRGPCGCEEKLKTTVVVVLSDDDDYEEEFRRYCENTTLPAKGDKGGRRRPAASKKQHRFHGIHRRKSGRWSAEIRDNMIKGSRSWVGTFDTAEEAAWAYDAVARRLYGPNARTNFPLPPPPPPPPVVVPLLPAPAVANKKMNSKRKKPVVAAEMVAPAGGEPAAAAGEMAPVLLGNALEATNGWEFEPYSSMGLVVGGAVYNYADEPETADELQLLHLMHGGAMVDFAADGCLWSF >OGLUM12G19710.1 pep chromosome:ALNU02000000:12:24712742:24713494:1 gene:OGLUM12G19710 transcript:OGLUM12G19710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGCLIPDEPVGKPARRTRAAAAGGDSGDGGKHGRRLCPGAAPCNCKPRRRAGAADDDDVGRRRRTTRTRAASEVRFHGIHMRSYGRWSAEIRDSSYRGHRVWIGTYATAEAAARAYDAEARRIHGAKANTNFPPPPNDDDINGAPPPWDLEAYMRFLGEVELDDGGAEPPPPLNGNPELHMELASATQSVHGDDEPWGLDKYMRFLSEVELDDGGAPPPPTPSQHGGVAAGSPQYGCRYDYLLLMMCN >OGLUM12G19720.1 pep chromosome:ALNU02000000:12:24720105:24722034:-1 gene:OGLUM12G19720 transcript:OGLUM12G19720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTREGVNGIQDHSEGCTRLHQQHVHQQHAPLVQVGHLCNQSYGLFLWHIMDALRVLSAHMFML >OGLUM12G19730.1 pep chromosome:ALNU02000000:12:24746401:24746775:1 gene:OGLUM12G19730 transcript:OGLUM12G19730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEDPTNILNAETSRILFKNATLSTANWRNSFRSWPSKVVGWCNWYQRMLSSKYQFWNDLGSAQCINLSLAEMDKDEPLISSDLTEEVLATSMQAKMMELTSHQRLDLTDATHARNKELTKSK >OGLUM12G19750.1 pep chromosome:ALNU02000000:12:24760361:24763423:-1 gene:OGLUM12G19750 transcript:OGLUM12G19750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGGPDNHHAIAVAADLPPPPPSVVAVEMAPPRGHRPGKEVEYNEEEEDDDDEYDGREFEEEFLRFSMMVDEEDDDGDDDDEVEVEIIAVVSPPRRPLVGARGTTSAVESAVTNRQARTLPLPNPNPVAPEKGGDATKASKRGGRNGGAKAKPAAAKKRRSKHGFLGVHQRTLASMLN >OGLUM12G19760.1 pep chromosome:ALNU02000000:12:24765432:24765803:1 gene:OGLUM12G19760 transcript:OGLUM12G19760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADLGRIEGVAAEVGEERDKWRMSKKRESSSGGWRGGGVAMEVGVTLMVPMKKVAVSAAPLMALWKVDIWSWTQQ >OGLUM12G19770.1 pep chromosome:ALNU02000000:12:24776355:24781461:-1 gene:OGLUM12G19770 transcript:OGLUM12G19770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRCNGCLAPPLLLIELRLEVTVDVDGNGGEVQGFGWEQLRMELGDRQHVVVTQEQFHTHHGYDHFQAFSCCYKSP >OGLUM12G19780.1 pep chromosome:ALNU02000000:12:24781588:24782940:-1 gene:OGLUM12G19780 transcript:OGLUM12G19780.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BUY1] MAAMDARKKKSGGGEPLLGKYELGRMLGRGTFAKVYLARAVAGGEAVAVKVIDKAEVMGTAGMAPRVLREVAAMRRLRHPHVLRLHEVLATRARIYLVMELATGGDLLSRLAALPRRRLPESAARRVFVQLVDALSYCHARGVAHRDVKPQNVLLDGDGNLKVSDFGLAALPDTLRDDGRLHTACGTPAYAAPEVLRRRAYDGAKADAWSCGVILFVLLAGHLPFDDSNIADMCRKAHRREYELPRWVSQPARRLVSRLLDPNPDTRVAVESLAAHHPWFKRSLSVDSQLDGLLNGEPERAVAFQAAPPPPQLNAFDIISMSPGLDLSGLFGEHDKSLREKRFTTTASPDKTLEQLGLAGGKLGYVVVVGKKGVECLPLGARLSSGIAAMSVEMSEVAPPLLLVELRLEVAACDVDGGDGEVKGFGWEQLRMELGDVVRAWHSCEDLCEV >OGLUM12G19790.1 pep chromosome:ALNU02000000:12:24801748:24802248:1 gene:OGLUM12G19790 transcript:OGLUM12G19790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEVRVRQEQVAEFREAFAFFDKDGDGCITLEELDTVVRSLGQTPTREELAEMIRDVDVDGNGTIEFAEFLALMARKASRGGENGGGGDDSGDAADEELREAFKVFDKDQDGLISAAELRHVMISLGEKLTDEEVEQMIREADLDGDGQVNFDEFVRMMMLSDQ >OGLUM12G19800.1 pep chromosome:ALNU02000000:12:24804810:24805250:1 gene:OGLUM12G19800 transcript:OGLUM12G19800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTRRKGEGGTIVYVRGQSPSCCHLDLERRRAEGIKKMVVARSRGSHMSEEKQMKQKPQLPSSLPSTMRRVPFAHKDAICHVSIVASCIVRVFVIGLAEDMSLSWIYITSPSGGFLQPPPRCMYLQHILSTATKTSLQDGTPPT >OGLUM12G19810.1 pep chromosome:ALNU02000000:12:24828247:24833893:-1 gene:OGLUM12G19810 transcript:OGLUM12G19810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRVTAGGGRGELRPPELPRDPALEFLSRSWSASGAADVSRRALAAAAFPAAAASAAVIAEDVSGELDVDGSASGSSFSFASAATSQLIMDRIMSQSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPDVDDSKFCRAVSTPKPQPYRGVVGGGGKTVGRWLKERKEKKKEEARAHNAQVHAAVSVAAVAAAVAAVAAATAASGGGRDDRAARTDMAVASAATLVAAQCVEAAESLGAERDHLAAAVASAVNVRTPGDIVTITAAAATALRGAATLKARALKDVWNVAAVIPVEKNAIAAATTGGGHHKHNAQKQQHHHRHHGNGSNTSSSFSDEVAAVDDDDDDDNNFLTICSQELLARGTELLKRTRKGALHWKVVSVYIHRTGVVMLKMKSRHVAGTLTKKKKNVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECSSQREHDMWTKGVARLLATIDGRRKRFA >OGLUM12G19820.1 pep chromosome:ALNU02000000:12:24843791:24846709:1 gene:OGLUM12G19820 transcript:OGLUM12G19820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCSAACSLLLQHCSGLQEMGKAGRWLRSFLTGGKKDRKGKDGGQPPPPPSPAPPSAKEKRRWSFRRPPAQATTNTSSLCFSDVPAVSPAPEAESSAAADVAEENEAAAAAAVRIQAAFRSYLARKALCALRGMVKLQAMVRGQLVRRQASTTLRRMQALVAAQRRARAERLRLLDDDKDKHARSPRPPTTTSRRSSPQHHRSRKPLEVVDRGSEENVRVVEVDNGGGGGGAARGCGRRSTCGAAAAAAKGELYQKVSPTPSALTEASARTMSGRLDDYSFSAAASEASGRHHRVPAAAVAGGDHAAALQQLFPKNYMSNTESSRAKARSQSAPRQRHDQPISAAASPSPSPSCGEWTTTPGDRRRRASLDPRDLAAPASAAGVGVGARMERCASQARASASASAACPWAVRMDRSTASLAGGSDGGSSAATAVTAATAARVTS >OGLUM12G19830.1 pep chromosome:ALNU02000000:12:24848497:24856829:1 gene:OGLUM12G19830 transcript:OGLUM12G19830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAAAEAVQLIDGEGEFAADSAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVMDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQVQVTALPSFEEKEEQFREQVQQLRQRFSNSIAPGGLAGDRRGVVPASGFLFSSQQIWKVIQENKDLDLPAHKVMVATVRCDEIAHEKFSCLTSDAEWMELESDVQSGPVPGFGKKLGYIVDVHMQEYDKEAIYFDEAVRTAKRQLLKSRVLNLVQPAFQKMLAHLRTRALEKYKTELNLTLESGKGFAAAVRDTTESNLNEFDQGCADAVIEQADWDYSKILEKVRRDVEDHTLSIREGKLSELTNHAKEKLRKALVEPVESLFDAAGPSTWASIRNLFKRETEAILPEFQKNLAGFEMESATSEGMVSKLRDYARSIVENKAKEEAGKVLMHMKERLAKSSIIRSPYRSISRTSDKVACHCQALKLLSVLAAIRWDEKPDKIEKILTSTLLDGSVTSKSKGASASSDPLASTTWEEVSPKYTLITPSQCKSLWKQFKAETEFAITQAVSTQQAHKRGNGRLPPPWAMVAIAVLGFNEIMTLLRNPIYLFLLFVGYLLVKALAVQLDINREFQNGVVPGIISVTAKLIPTLQNILNKVATEQQQQQGHHQDAAAEAPQQQQQPQPQPPPLLLSPRSPMSELRRPLHMPFSPVRKAVSPSPSSSSSTVTSPRNAGEDQKPRQMVQPDNESNNAYSIV >OGLUM12G19840.1 pep chromosome:ALNU02000000:12:24858407:24861875:-1 gene:OGLUM12G19840 transcript:OGLUM12G19840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMAEAIKRANGTYFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMTALRPAFKAFDMQALINKITKSIVSPLPTKYSGAFRGLIKSMLRKSPEHRPSAAQLLKHPQLQPYVLQVQLKSSPTRNILPIHQSLTDKVKKMTFPSDVVDSARRRMARRNSLGNERTVTFSKPSPERNSVSSTRSIKEYTTTQSVKELSVDSSEAGDEVTSKAIITKTSSILRTPKSLPAKTYTARNQLEPPKTSYTRTYRSELPSKTTPNKIARPARRASLPLSTYETPTKRSISILEQLDSPDISVNAPRIDRIAEFPLASSEDPLLPIHNKLSPGHGSCSTPPFINRSITKDKCTIQVLRTDGDNGSDSSGRNATAASSRGSNDSRQQRFDTSSFQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >OGLUM12G19850.1 pep chromosome:ALNU02000000:12:24868606:24872634:-1 gene:OGLUM12G19850 transcript:OGLUM12G19850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G04190) TAIR;Acc:AT1G04190] MAEGGGGGGGSAASAALKDQGNEQFKAGNYLKAAALYTQAIKLDPDNPTLYSNRAAAFLHLVKLNKALADAETTIKLKPQWEKGHFRKGCVLESMEHYEEAISSFQIALQHNPQNTEVSRKIKRLTQLAREKKRALDVENMRSNVDVAKNLDSLKTELAGKYGDAELGQSIFTFVVNVIESAIKVWHDTGKVDARVNFLLDDQKTDTEKHAPVVNIDKAFDSPHTHSSCFTFLRQYSEESFSKAACMVAPKSAISYPQVWKGQGSRKWKLDQSDGFFVQFESPILRKIWFVPSTTEKGRVLCRSPELLDISIHEVLPRIFKETA >OGLUM12G19860.1 pep chromosome:ALNU02000000:12:24875714:24884061:-1 gene:OGLUM12G19860 transcript:OGLUM12G19860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGRKDPTPDVILPPPHSSSSPVKMMSPTNPMRKYSWWWDSHISPKNSKWLQENLTDMDSKIKRMIKIIDEDADSFARRAEMYYRRRPELMSLLEELYRAYRALAERHDHAAGELRSAQRKMAEAFPDEFQLDLDDDLPAETLSTETEADSRDMTPFFLSFINSGDSKKRAKDDQEHEKLQKEISSLSQENQELKKKISSVLENSDRAESEVASLKEALAQQEAEKEAAFSQCQQSSDRLQALKSEILQTQEEFKRLKEEMQNGLENLSTAEERCLLLERANQNLYSELDKLKNDSKERHGELNEKHVELEKLSISIQEEQLKSMQAEMTRLSLEKQLAQAKEKLRILTLEKNGEASKFNDIEASKVRLQNDLDKIREENCKLEEQNNSSISAIIRLQDEVISLKNAQRLLEEEVSRHVEEKKVLQYELSQLKDDKGDSERKHFSIKEQIQVVNFNVESLQALAQEVRDGNVELKETIKHHEGVKALYVDNLMQLERTLERNAHLERSLSAATTEVEELREKKVALEESCKHLNSKINGFQSERSMFIARIEGISHTMEKLSEKNVFLENLLSENNTELEILRRKLNDSEESTHALLNQNSVLRSEKRTLVREVDSMNGALLNLEAQFTELEGHHLDLQQEKNKASSEVIMLQEMLRLEREAHKELNYSGKTQFSAVQKQLSFLLEEGRRRENQLQDEEHKIVEAQMEIFVLQKCLGDMAEANSDVSGQLQKQKELCEIQEEKLTFLTENNQRLTEGIGSVMEELHLDDKYGSLDLMKLDVIVQLILHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLRQEWQAKSEELLQLQNERHDLMKISCELRKEMEARNRRVEEMKGEAKFLVRQLSELQESRQSLQAEVIKLIEENSSLSGKLYDSREKEKTSDDDFNTLLGEAISTDILGVVFKSLHDERTSQLQSLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSKTMSICDSSGSEIGAGRRRTMRRDTKLLKSGRKSQQESTVNIEQRKEIDHAGLEKSNELLREKLHKLQSEVQALRSKEQPVIDVKSCDAEITKLLTNMQMATANAALFKEKVLELIASCESFEISEMVQKEVLKEEITRRNSYVNALKDKLNAVEIENSRLKVDLNGDFTLLGALQTEVSALEKQTMSLAKDCLPSNKLRMEEFSVSPQLSKIAVKPIHGEPNATKMVKDMELEKLHGTIKALQKVVTDTGVVLEQERLDFNANLLDARRQIDLLRLRDDMAAAVDDSDAASDPAAAAYDRRLLKDIQLDLVQTTTPTSRSRAATATATAAGSSQRHHRRRNGGSTEAPPLGLWSVVRASRRRQQEEGGDGDDDDLRPPQSEASAERGRRSCSSEVSQLTVVKDLSVDKQELLLPPRPPPPAMAEAPHREWKKKVIERLTADAQRLVDLQSIVGELRASAEAAPELDDVTAQMVDAESAVAQLIDTNGKLLRKAEEFTSADAAGGAAGDDLRSRSQRKILERVRKMSEKIARLEQETQRFQHALLRHEEERATRRAAAAAAAAASSGKSSAAVQRRSSRVQLVEYLYGRRRDSRRQRRGPSCCMRAKAIDD >OGLUM12G19870.1 pep chromosome:ALNU02000000:12:24892656:24899538:-1 gene:OGLUM12G19870 transcript:OGLUM12G19870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDPSAVVVPRNFRLLEELERGEKGIGDGSVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPDRPPTVKFHSRINMTCVNPENGLWYYAGSFSGIASLNLLFVLSSDYHVALTRKTDEGEGCKSCSCKKSKCLKLYCVCFASGSHCSESCGCDPCYNKSIHGVPRSTPALPLKAVQTLETGQDSVEQLIRSPMDFGRRKCTCKKSGCLKKYCDCYQGGAGCSINCKCDDCKNPYGRKVGVILDGKNILAGPTPNERNGTEADSSDDEEDDYYMNRPLSPVSPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPESWQLTRRPTEEVRGEAWHYLRRPSEDGTSDVMEGHADPKFQRDNKQLENHVDRYSIPRCIEVMNAMADLSPIEKSLAPDVFLDPSNREIFLSLTVDIRTMWLKRKMKSLV >OGLUM12G19880.1 pep chromosome:ALNU02000000:12:24901075:24907499:-1 gene:OGLUM12G19880 transcript:OGLUM12G19880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPDRPPRAAAAAAAAATAAVEDSPVFNFINSLSPIPPPKPSDSAHNVQLFKSSDLAPVSSIFASPHVNPAKESKLPIREDSVQLSRESHSPNSVRTRTGATSSIIRMIRCKNIVSENCSITCYLNDSTSSKASQPIQLCGGSAESDTNQNTDGKKDPTTEQDRTDIEFVLLDQCGPEKMDSSQSGNNACENQLSEQHKDELGSFDGGYMFAHQPHSDMLRLAPPFESETQLVNETLQTDNVYCETLLTDGPSGSYTQNSAPDPHLYWAGAVEGCATDYTPQMLPGACQSQLVPNDQINNKLNEPSDYMPMDHNVSSQNLRGMRRRCLFNEKSGAANKGAKNSSARHSTNSTTPRRKISSSDNNLKTLRTPPCALPGIGLHLNALATVPKDKMVPHNDIQSSLNQASNVPSAVGSSPPTDDPHTINDDSSQTAVVAYVGESSQGSPKKKRHKFDNGDGSSCKRCSCKKSKCLKLYCECFHAGVFCSEPCSCQGCLNKPSNMETVLSTREQIESRNPLAFAPKVIRTEPGQELAGGVGCSVSCRCEGCKNAFGRREGVALLGIEEAKRGCEEKDGGVKEETTDNDKQLVIYQDSINLTPAESVLATPSVVDYRPLVALPPLSSKKPRSSTKLGGYSSRLEGHLKSDILLSPFESYAEMMLGDGTSNVLKGESSPQTSVKVVSPNKKRVSPPRIGTGLSPICKSGRKLILKSIPSFPSLGGDITNEDPNTSSLAP >OGLUM12G19890.1 pep chromosome:ALNU02000000:12:24911378:24917223:1 gene:OGLUM12G19890 transcript:OGLUM12G19890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNSSAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLHDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >OGLUM12G19890.2 pep chromosome:ALNU02000000:12:24911378:24917223:1 gene:OGLUM12G19890 transcript:OGLUM12G19890.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylcrotonyl-CoA carboxylase alpha chain, mitochondrial / 3-methylcrotonyl-CoA carboxylase 1 (MCCA) [Source:Projected from Arabidopsis thaliana (AT1G03090) TAIR;Acc:AT1G03090] MASRLLLLPRRRSRHGGASLLLARLLSSSSSEAGGGGAVEKVLVANRGEIACRVMRTARRLGIPTVAVYSDADRGALHVRAADEAVRLGPPPARESYLNSSAIVDAALRTGAKAIHPGYGFLSESADFAQLCKAEGLTFIGPPPSAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEANKIGYPVLIKPTHGGGGKGMRIVQRPEDFVDSVLSAQREAAASFGINTLLVEKYITQPRHIEVQIFGDQHGNVIHLYERDCSLQRRHQKIIEEAPAPNVTAQFRSHIGEAAVSAAKAVGYYSAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRIANGECLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSTATVRVETGVEEGDTVSMHYDPMIAKLVVWGESRNAALVKLKNSLSNFQIAGLPTNVGFLQELAGHSAFEKGLVDTHFIERYQNDLLSTSTQALSGSHEAEELGAILAAACICKKDHVSSEVSLRMLCGCPFILSCNILLVWTDNDIILLADDKKLSMWYAHPPFRMHHFAKRLMEFELDRELGGSSDDLLKLSVTYRSDGTYFVETEDGSSPGLDVKVDSRGDHDFRVDVGGLQTDVTLAFYSKDNCNHIHIWHGKHHHHYRQTLRAEQSPDDSSQPSASSEARSHPKGSVLAPMAGLVVKVLLKDGARVEEGQPVMVMEAMKMEHVVKAPCAGYVEGLKATAGQQVFDSSVLFTVKENKPN >OGLUM12G19900.1 pep chromosome:ALNU02000000:12:24918309:24921479:1 gene:OGLUM12G19900 transcript:OGLUM12G19900.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCTVVPADLVEEKAAAAAVEEDAGSYLRADQVDLMSLDFEIEERMADRFCKLNSGGVERGDEGPKAAWEIDLSKLEIGHVVEHGDHGTLFRGKYYGQDVAVKLLDWGAEGDSSEDQIAHFRTSLKEVVAVWHEFNHPNITKFIGASMGTTNLNIPKDIPDHSSRKGARTDLPDRACCVVVEYLTGGTLKQHLIKHYRKNKKLPYEEVVRLALDLARGLSFLHSKKIVHRDVKSENMLLDPQLNLKIADFGVARLVEAQDPKDLTRTTGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYGPYSDLSFADFSSFVVHKNLRPEIPDCCPSAMASIMRRCWDANPEVRPEMEEVVRLLESLDTSNGGGMVLEKKKRKQPGGGCFCFFVPRAA >OGLUM12G19910.1 pep chromosome:ALNU02000000:12:24923226:24932325:1 gene:OGLUM12G19910 transcript:OGLUM12G19910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHVVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVENHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNMEALKKYNQVMAFLLKVKRAKFILDETRKWTWKTFPHWQGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >OGLUM12G19910.2 pep chromosome:ALNU02000000:12:24926052:24932818:1 gene:OGLUM12G19910 transcript:OGLUM12G19910.2 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVSRKRKRNLPLSPPLLAPPPRRVAAEQGRGGLGGDLHRSGGDPGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHVVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVENHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNMEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRASVESDVYSFGVALLELACGRCPVMTRPDGSAVHLAQRVRELHDAGRVTTADDGRLNGGFDGDKMEHVLVVGLWCAHPDRGMRPAIR >OGLUM12G19910.3 pep chromosome:ALNU02000000:12:24926052:24932818:1 gene:OGLUM12G19910 transcript:OGLUM12G19910.3 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRVSRKRKRNLPLSPPLLAPPPRRVAAEQGRGGLGGDLHRSGGDPGSRVDPPMVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHVVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVENHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVSWPLDLIVNMEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPRASVESDVYSFGVALLELACGRCPVMTRPDGSAVHLAQRVRELHDAGRVTTADDGRLNGGFDGDKMEHVLVVGLWCAHPDRGMRPAIR >OGLUM12G19910.4 pep chromosome:ALNU02000000:12:24923226:24932325:1 gene:OGLUM12G19910 transcript:OGLUM12G19910.4 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHVVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEMFFYANKAVTIDQPAFWEMSYMLRVRGPRTDSSSTLADNESIRKKELINQEATAAAALLKSSNQGCADILCPVFLKDIARAILSAGKSFQLVQHVQETHRIQTREVVHEFNVDQHGNYISQQKFRPDTSSIRIQDKREDIIEESAGQFGNNACKMGFLTLSESFLICLSGLLENGDHVDDYLRKLCADHAPVNKSIVHSKSNVQETEEACGENSSEKTWLKLLRDATSGRDYDGMEKTLAKNAVMRDPTFVPGDHQDVSSTAVENHFNLSCYENPGITACQEILERNKNSWSDLNISKSFHLPPLNDENIRKSIFGDRDSSGTSPGDTLSTTYFPRLDGTDYKFGFQFDDSEYIRQEDDRRTLESLYTFPTLLPCVNENVPLSEILPLQKDSTLASRALKFIQSMSLRDPLQPVGIIQECLSKCIKRQVDQIGKQILSKLMGDWRLMDELFVLRAIYLLGSGDMLQQFLVTIFDKLDKGNPWDDDFELNTLLQESIRNSADKMLLTAPDSLVVSLAKHDTRNDEETTSISRKGRAQGFGIEALDVLNFTYKVCTKQHQYSAFFTMCSCYAVGWQVSWPLDLIVNMEALKKYNQVMAFLLKVKRAKFILDETRKWTWKGGGSTTHNFKQHLIVEQKLLHFVDAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNIEQTLGTGGTAPAVKARCEMEVDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYYMSDSGSFSAIPGSRPR >OGLUM12G19910.5 pep chromosome:ALNU02000000:12:24923226:24928340:1 gene:OGLUM12G19910 transcript:OGLUM12G19910.5 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQQNAGLMEADGSASFIRKLQLSVSDGLPHAAPVPELSTQEHELVKSVFQVLQGFDTVLLYWDKTAPGYCEKAGVYVSHLSQTSLRAVLKPFLFAATCLKQVELFVGRVRSCGHGTPTLSAFASSVDSWLMRLRKAALKEEEQLFLSVDRTITLLGLTDSTSSLCSGAEHLYQVVQGAVPDAFWNSGAQMASSEVAVHVVNHLFKKLNEVCLVEDGEGEPYHMLLVIFAGTLLPYLQCLDSWLYDGILDDPYEEVLKEDIF >OGLUM12G19920.1 pep chromosome:ALNU02000000:12:24936054:24938094:-1 gene:OGLUM12G19920 transcript:OGLUM12G19920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRKERSSELDDAVAGDDDDDDRLSSLPDDVIGRILSFLPTRQAARTTRLSRRWRRVWPAHVAALNLSVLDLPRRRRRCPGMIQREFAALAGEALLRFPTGIPSISVEVDHYINVAADGWFGQAMERAVGSVRVTSLRGLVAGLPLPPCARAAAMAVAAPRTVLTLPGVDDRQLSELSLSLVRLGGGGGGGGERPLDDFLSSCCPRLRRLRLRGVRGRHAVRRLALHTLDHLEVLDIDGVDDLEALDVSAPSLRCLNVRSCFRGGGGGGGGDVAVTAPGIEAVGWHRSYPEHLTFRSGLARVRRLDGPLKLAAVGRRDRLDAPYTTQLLRSCSLAVGHLDMELVMPDDMALANWLGGSGGGACEDLIRHLPELPRVTVLSLNIRWSFAGGGGGGIAASLASLLSKTPSLTRLHIRTSPYCFSVFEGQEEVEAVAVAARGSWHMWMTDGEDDSRKPRLGRRLDSLREVSVDGLTGGDREEYSVVVELLLATIVPPSLERMSLAFHGHAAPAIIDDIAREIPLHFPIIPYHGDRPLGTLPAERAHMEIKLDDSSSAPTGMQLGRASGPIF >OGLUM12G19930.1 pep chromosome:ALNU02000000:12:24938376:24938897:1 gene:OGLUM12G19930 transcript:OGLUM12G19930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTATSNKEMVVVAAAASGGGRRCVEDDACRICGWRSHNESFCVFNYMDGYFSSRSCREQCKPGWHRHAAAADFDADEWRRCFVRVTNVAAGVEGHNLRWLFQRFGPVRACSLSREGQPAGDGDGGLAFVTFYSGGDAEAAVEGLNGHLAGDRRLRVDLAYPRVVVLSHHA >OGLUM12G19940.1 pep chromosome:ALNU02000000:12:24939530:24939910:-1 gene:OGLUM12G19940 transcript:OGLUM12G19940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRSSRRRRWWLLGMLAEASSFAGGREGAGGGRGDKIYVKKPVAAVTTRDETSVAMLMSFMTRSVVGKWFYHKAPVRRTDDRNNGRRGSGVAAAGGGGGLAFGRGGDASREGLPCARVHGVRRGV >OGLUM12G19950.1 pep chromosome:ALNU02000000:12:24940336:24940836:-1 gene:OGLUM12G19950 transcript:OGLUM12G19950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNAAAAATINFWKDPNAESCCICGGEAAAATTVAEAEEKEHTELTCPYNYLAPAAASCYVPCRARRAAWRGDAAGASERRRFLRRFVRVSNLPASCRPADLAGLLAGFGPLRMWHVAMDGPRECKGFAAVVFERREHADEAIEGLNCFSFGGRSLRVDWAYPSA >OGLUM12G19960.1 pep chromosome:ALNU02000000:12:24947838:24954091:1 gene:OGLUM12G19960 transcript:OGLUM12G19960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEIDWEEVMPTTTAASSSHGDDVEVRFVSTSTRASVAQRLRPRGARTEEEAEIVRMRDDELRREMAFAGRLRPRFEGILCDGGEGNRRRRALLEAEARRRGIGPAAKDGDDRHSTSRDVFSFDIEDQLGEDASRKFCGKPWPVSSTKENYGQLGIETRRSSRQIEQRKPISVDKMYSSKPCSSTLSGHKLRVHAIDPDEKADDEKSEPSTRYSFRNYIKKRKEKHQSCLSDFQKVQDVVLLDDEDVQPEGQVDCRMHDRRDDPEAVELSSSDIKCLDPGVYLSSPVINFYIQYMKRTKLHDDDCREKFYIFNTYFYSKLEEALLGKGEFLKLRRWWKGVNIYHTSYIILPIHGTAHWSLIIICIPSKESNSGPIILHLDSLELHSSAKIFDTVRRYLEAEWCHLRKNPPPDISISETIWDDLPSNIQKEKVQVPQQKNEYDCGIFMLYYIERFIRLAPERFTRDNLSMFSRSWFQPEDASYLRQRIRELLLEEFESARLDEALSEADTSDRSDNEEDATKSAESEQAAAAAAAAPGSGSSEMIVEGGDTGISNEDIKGVAASKEASSSICRSADNLAGCVLLEEATLSDSVMKDEEDTTKADPVSSQDEQEVAVLSPGAWKNSEENTHKQPQPDICCDSSDSEMDDVKIIEDPYQRTNKQNCRIF >OGLUM12G19970.1 pep chromosome:ALNU02000000:12:24957769:24962243:1 gene:OGLUM12G19970 transcript:OGLUM12G19970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKSGGGGGVAEEDGAAAVRRWVEAGGGRLVMDGGLATELEANGADLNDPLWSAKCLLSSPHLIRKVHLDYLEAGANIIITASYQATIQGFESKGFSKEQSEDLLAKSVEIAREARDMFLKEHSDRPIQHPILVAASIGSYGAYLADGSEYSGDYGEAGTLEFLKDFHKRRLEVLAEAGPDLIAFETIPNKLEAQAYVELLDECNISIPAWFSFNSKDGVHIVSGDSLIECATIANGCSKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDAEKKEWVESTGVSDGDFVSYVNEWCKDGAVLIGGCCRTTPNTIKAISRSLNQRHSSLHLPVA >OGLUM12G19980.1 pep chromosome:ALNU02000000:12:24963316:24968510:1 gene:OGLUM12G19980 transcript:OGLUM12G19980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OGLUM12G19980.2 pep chromosome:ALNU02000000:12:24963339:24968510:1 gene:OGLUM12G19980 transcript:OGLUM12G19980.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OGLUM12G19980.3 pep chromosome:ALNU02000000:12:24963405:24968510:1 gene:OGLUM12G19980 transcript:OGLUM12G19980.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDEKCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQNIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVVAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OGLUM12G19990.1 pep chromosome:ALNU02000000:12:24980324:24982392:1 gene:OGLUM12G19990 transcript:OGLUM12G19990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDHLLVVVVVVIAVIGSLCVAAVGQDNKKVVLPYNPSCSTAGNYTGDSQYKKNLDQLLHTLAAAAAGDGWFSTTTVGTGADQVFGPVINATQCQECLAGAPAGIVQVCPGSRTADANYDACLLRYSDKSFFSELTYGADPTIAWKVYFTPFVDNMTAMNDTRRRLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSGYVDDLSNTFPNNSGGAIKGYSCYLRYHLWPIDITLPPPPSPPPPPSPRPSRPPSSSPAPPSSPPPSVSGGLVAGSTVGAVSILVVLGVSILLLLRRRRKHAGEARELEMDEGDFFDDEADDFEKGTGPKRFRYGELAIATDDFSDEHKLGEGGFGSVYRGFLKDLNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYSANAGVLPWPLRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMADHHHKSEVDEDRIHIAQWVWDLYGNGRILEAADRRLNGEFDGGEMERVMVVGLWCAHPDRSLRPTIRQAVGVLRGEAPPPSLPARMPVATFLPPVDAFNHTSSSVATGSSSASTDTTC >OGLUM12G20000.1 pep chromosome:ALNU02000000:12:24982847:24987656:-1 gene:OGLUM12G20000 transcript:OGLUM12G20000.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BV10] MAGTLLSRAAAAATAAAVSLRGARSYHILSSSLPKETLLPPPLLLILLTTTIASLLAVGGRVGWARAAEEGAGFGCRASVPAALGGVGSFGIAARCNATSSSAVSEATNALPRTEPVVSAEWLHANLKDPDVKVLDASWYMPAEQRNPLQEYQVAHIPGALFFDVDGISDRTSSLPHMLPSEKAFSAAVSSLGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAREAIEKVYQGQLVGPSTFEAKLQPHLIWNLDQVKENIDAKTHQLIDARGKPSSRATERNKKWACAWEQMCSFPSGISLDQPLVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGAHPDTPVATAA >OGLUM12G20010.1 pep chromosome:ALNU02000000:12:24990810:24994035:-1 gene:OGLUM12G20010 transcript:OGLUM12G20010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQHQAEQGQGMIHIAQRVWDLYGNGRILDAADHRLYGKLDGGEMERVMVIGLWCARTDRRRRRQLVMAPRLVIILFLLAVAASAAVAQSNNEVPLWPDCSTTGNYSGDSQYKKNLDQLLSTLSTSATAGGWFSKTSVGTGADQVFGLIMCYADYNATECKKCLAGYPAGIKQVCPGSRTVKANHDACLLRYSDVPFFDAADLTYGKLENNSYSYTSNYRYVENMAAMNDTRRELMSLLADRAGDNNLKLKNDSVPYVDSKLGTSRLYGLAQCTRDLAGSECQKCLKRYVDGLGRLYTNESAGNIKGSSCYLRFDLMPFDITLPPSPSPLSSSPPPTIPATRVPPPASPPSVSTGLVVGLTLGAVSFLVVLGFSIRFVLRRRRKHAGLTKEQEMEEGGIFDDETAMEDDFEKGTGPKRFRFGELAIATDDFSDEHKLGEGGFGSVYRGFLKELILDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIG >OGLUM12G20020.1 pep chromosome:ALNU02000000:12:24994077:25010869:-1 gene:OGLUM12G20020 transcript:OGLUM12G20020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVIFLLAVAGSLCETAVGHHNLNLPYRPECSTAGNYTGDSQYKKNLDQLFTTLSGGAIAGDWFNTSSAGTGADQVFGLIMCYADSNATECQKCLALAPAVVQHPCRGSRSVNANYDACLLRYSDKPFFSELTTYGGNTNVSLDVDDTNLYVENMTAMNDTRWQLMSQLAERAGDTKLRLDNGSLPYVDSKLGTSALYGLAQCTRDLAASECRRCLSGYVDDLSKTFPNNSGGAIKGYSCYLRYQLWPIDIKLPPPLPSRPPSSPAPPSPPPPSVSIGLVAGSTVGAVSILVVLGVSILLLLRRRRKHAGEARELEMDEGDFFDDEADDFEKGTGPKRFRYGELAIATDDFSDEHKLGEGGFGSVYRGFLKDLNLDVAIKRVSKSSKQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGELLLVYELMPNASLDAHLYNASANALPWPRRHEIVLGIGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNAKLGDFGLARLVDHGRGSHTTVLAGTMGYMDPECMITGRANAESDVYSFGVVLLEIACGRRPIMADHHHQSEVDEDRIHIAQWVWDLYGSGRILDAADRRLTGEFDGGEMERVMVVGLWCAHPDQSVRPAIRQAVSVLRGEAPPPSLPARMPVATFLPTIDAFGYTSSLAVTGSSSGSTGAPHTETSLWIITYTVPKLPNGYISILPLIGWCHGGGELLLVYELMPNASLDTHLYSANAGVLPWPLRHEIVLGVGSALLYLHEEWEQCVVHRDIKPSNIMLDAAFNTKLGDFGLARLVDHGRGSHTTVIAGTMGYMDPECMITGRANTESDIYSFGIVLLEIACGRPPVMAPEHQAEQGQDMIHLVQWVWDLYGKGRILDAADHRLDGEFDGGEMERVMIIGLWCAHPDRSVRPVIRQAVSVLRGEAPPPSLPARMPVAMFLPPIDAFGYTSSAVTGSSTSSGNIGVPHTQTSILSLLSQRSSSSVSNAVKRRQIPEIALATAGSVAFLICLTLTVWCLLRRWQRRRNNAKLTVKMAPKHLPKDARFVRGKPIEDELELEAAGPRRFHYGELAAATANFSDDRRLGGGGFGSVYREFLNDDGDVAVKRVADVGTNLKQGFSPVGPTSSGFRPTAETSRQGWKEFVAEVRIISRLPHRNLVPLIGWCHDGGDELLLVYELMPNGSLDAHIYSSENVLLPWPVRYEVMLGVGTALLYLHQEVEQRVVHRDIKPSNVMLDASLNARLGDFGLARLIDDGRCSRTMGYIDAECFFLARASVESDRRPPRGGRRPAVVMNGGDDAIHLTRWDTHGGAAGGGILDAADAWLNGEFDVVEMERVLVVGLWCAHPDRGLRPSIRQAISVLWFEAPPPSLPANMPVATTSIFNTYCNISAEHKLEGGRFGSVYRGFTKETNQHVAIKKELEAGEERVCVIGVDHHPAHHRNLVQLIGWCHDGSELLLVYELIPNANLDTHLYNADANPHRYKYNHLHNYSSEDPSFSHGVHEIVLGIGCALLYLHEEWEQCLVHHDIKPNNIMLDTAYAKLGDFGLTRFIDHGRGSHTTVLVGPMGYMDPKCMITDRANTQSDV >OGLUM12G20030.1 pep chromosome:ALNU02000000:12:25039682:25040800:1 gene:OGLUM12G20030 transcript:OGLUM12G20030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKHLAKDARFFRGKPIEDELELEAAGPRRFHYGELAAATANFSDDRRLGSGGFGSVYRGFLNDGDVAVKRVAETSRQGWKEFVAEVRIISRLRHRNLVPLIGWCHDGGDELLLVYELMPNGSLDAHIHSPENVLPWPVRYEVVLGVGAALMYLHQEAEQRVVHRDIKPSNVMLDASLNARLGDFGLARLIDGRRSRTTGIAGTMGYIDAGCFLAGRASVESDVYSFGVVLLELACGRRPAVVLDGGEDAIHLAQWVWDTHGGGGSGFLDAADARLNGEFDVEEMERVLVVGLWCAHPDRGLRPSIRQTVSVLRFEASPPILPAKMPVATYGPPVSGAPETGSSDGSAGVKISMAAGSASATVQLNALSCL >OGLUM12G20040.1 pep chromosome:ALNU02000000:12:25041010:25043124:-1 gene:OGLUM12G20040 transcript:OGLUM12G20040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLLLLLAVVGSLRATVVDGDNGDGGGDQIMRPLKMSCSTGAGSSYVAGGQYHKNLDQLLAAIPMAAAGADNHGFYNGSFGAAPDEVFGLVMCYAQATGDADPCRKCLAAAPSGIMQLCPGSRTARAVFDECVVLYSDASFFSVAGDLTASSFYVQARRGQSRTAGRGFSPSEPPYVVDAAAMNRTRSELIASLAVIAAHSPLRLASNYTPFGGSPSMEARWMDGFVQCRRDLPASECTRCLSGYAARLPRLLPNNSGGAIKGYSCILTYGIFSPEVPWLQLPSEWVLREERALRDEALSKTKRRRRLVAAVVVGLSAGGVVLVLCMSLSVRYFLHRRRQWRTAAAVKKSELEQPLRQDELDKGTGPRRFSYGELAAATDNFAGGNKLGEGGFGSVYRGVLSTMNDLPVAVKKVSKSSKQGWKEFVSEVGIISRLRHRNLVQLIGWCHDGGDELLLVYELMPNGSLDGHIYGPENIVLPWPVRYEVVLGVGAALLYLHEETTEQCVLHRDIKPSNVMLDASFDAKLGDFGLARLVGDGRRSRTTGAAGTLGYMDPACVSAFTASVESDVYSFGVLLLEVACGRRPAVATDGDVVHLAQWVWEWHGGGAILDAADARLDGEFDGQEMERVLAVGLWCAHPDRALRPSVRQAVGVLRFEAPLPALPARMPVATYTTPPAAAGSADGSGGDDDTSGSTCSISIET >OGLUM12G20050.1 pep chromosome:ALNU02000000:12:25044119:25044933:-1 gene:OGLUM12G20050 transcript:OGLUM12G20050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWGTSRKEVANARCMEASVDKLTLSISPPMTTGGRRYAALWPTAGGGFGADSAGSALGTTAAAASAGGGLGATAAGSAGGGLGATAEGSGGGGLGGTSSRTRWVAAWVRWQQAQTTAAWVRRRGGSARPRRGRLLQPVRLPRRTRARRRGFRRAHALRGRT >OGLUM12G20060.1 pep chromosome:ALNU02000000:12:25045397:25047662:-1 gene:OGLUM12G20060 transcript:OGLUM12G20060.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)-binding Rossmann-fold superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G20760) TAIR;Acc:AT4G20760] MATARTLAAAAAAARAFSSTATSGGGVSMVQGASRGIGLEFVRQLLKRSDEGRVVATCRAPDSAVELQKLRQEHEQRLAVLPLDAAAASIGEIHGSLNLLINATGILSIPNVIHPETTFSKVQKSSLLLAYEVNAVGPILVIKHMWPFLKAGGRSETGRGFSLVANMSARVGSIGDNGLGGWHSYRASKTALNQLTKTVSVELGKKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGQEIPW >OGLUM12G20070.1 pep chromosome:ALNU02000000:12:25051053:25051484:-1 gene:OGLUM12G20070 transcript:OGLUM12G20070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREHVVAGGDGGIVGGGDSKAVSAGGDGAVVFVGSSRRRYVISNKHLSHPLIAALIYDDVPAAAAGDGKEGGGGGGVVERRRSTSAATTTSSSTKPIAVNCEVVLFDHLLWMLDNAVDLRAGGDGDDDDAAMRELAQLYAY >OGLUM12G20080.1 pep chromosome:ALNU02000000:12:25070232:25077162:1 gene:OGLUM12G20080 transcript:OGLUM12G20080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGQSYVSAPPAFSADGRLLLACTGRTVSVFSASTGMLVSELEGHEGDVTAVVVAPPPVAAAAAKVASYCWTAGLDGFLIYWDFAAAELVRKVQVGLPVHSMVIPNIARISRGAEIYTPFAFVSVEDTSKPSNEKLRGQLRIYDLTKGRQVGSLLAETRKPEMIVASSSGEFLGITNKRKLHVWRIPTKNFKPDKIRKIKLSHTKNLNTLAFHPNERIVASGDATGRILIWRGFGNAKFSGSDGAKSELDEDRGDVRGNGDADTCTTWHWHSSGVRFLKFSTDGAYLFSGGMEGVIVVWQLDTGKRRYKPRLGSPLLSFVDSPDSSIACVSCMNNQVHLLKMPNMEVMKSIAGIKLPISSPNLGGCFRDVYGFDYSNKLVAVPTEDYCIQFYDLFENTEVSEVQVCERNFQPVDDITMYISLVSLSIDGKFMCTVDVKLPEEELGGLVTLKFWNQGSRAGQYFLSTVIYEPHSDAGISAIVFRPGKNMAVSSSFGGNFKVWVQSMLSKPSDEKNQSGWRCQSVGSYKNKPMTAATFSSDGSVLAVAAENIITLWDPDNNALVGVIAEALSPITKLLFIGTSPFLMSLSQSSKPQVAMWNVPNLSMQWSYSLFAEAACCSSSRSEFAVLALLSCPDGETLAEQDGVILLFDAENPKPVYSWSVKKARGGSVAFLKGDSSLDANSKNMIDGEESSLVYLNGSHEYVIFDPRSNEELHIGKSAHKNIQTEEPGPIGYASIFGELPKLESKKDVPEILFIPSERPWETIFSGSTHVLPPLTKLCPAFLASLLEKRPVANE >OGLUM12G20090.1 pep chromosome:ALNU02000000:12:25076730:25077041:-1 gene:OGLUM12G20090 transcript:OGLUM12G20090.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAIRDVDAAAMATSLPRLKRLCLDGCYLPRHELLAVIHGCLELESLSAKHCVGFDEGDEEVAREAAMIGRLEVGGSRLVDKFDQRDVNGLDDDTSSYVDVM >OGLUM12G20100.1 pep chromosome:ALNU02000000:12:25082798:25083325:1 gene:OGLUM12G20100 transcript:OGLUM12G20100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCHHHHHQLRRLAVLAAALCVVVVATAARPLQARRHGEAVEMDVSAAAPSGDGAVREGKWLPLLPAGGGLMHFPVVGGVSMPWMAGAPPAMAGPGVQLVPPYVGATRQEQLSLWASLFNPFQVRPRLPAAAAETTSPAVPVDIPAIAGVSPEKTTVDEPAAGEPKWGVFFGNNN >OGLUM12G20110.1 pep chromosome:ALNU02000000:12:25086614:25091370:1 gene:OGLUM12G20110 transcript:OGLUM12G20110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFVPDWNTTSMGDGFAPLGEDDGLVELLWCNGHVVMQSQAPRKPPRPERTTAAAAAMAEDESASWFQYPVDDVLEKDLFTELFGEMTAAGGGGGDVRRAACKEERGAVAAFQSRMMPPPWPARGKAEFGDIDDVCGVSEVVMAAKMDGEAKVAAAEAVGESSMLTIGSSICGSNHVQTPPAGAAPPPVGNGKVAAARRAHDTATVASSSMRSRSCTAKAEPRDVAAAGGGGKRKHRGAAAMESGSPSEDVEFESAAAMCSPAQKTTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAPPAVMFPAAGVHQYMQRMGAVGMGPPHMASLPRMPPFMAPPPAAVQSSPVVSMADPYARCLAVDHLQPPSPMLAAAKNLQQQQNTAEPPPPPPAGSLPPAATAQPLTPDDILHKKYDNCSKSESKGGTS >OGLUM12G20120.1 pep chromosome:ALNU02000000:12:25100199:25101299:-1 gene:OGLUM12G20120 transcript:OGLUM12G20120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAASRPPPPPPPPPSSAAAAGAAAQWLSPRVSFSLDDAGGGGGVGRAEAVAVAGKGSADFEFLLGCSAVSMLPADELFSGGKLVPLRLPGVAASSSSLAAVDGEAAAATVVSQSPAALQVEAVAMKEKVVVEAKIPARRWRDLLRLRKQQASSSSSSSSATSSSEPKPLRRLLRRGPKPPEPEPSLSLPLLREPVSVPADEPDTTKHDKPTPPSPSTQQLPPKIRLSPTQAPPPPPPPPPPPPAVAADSPRLNAAGKVVFNGLGRSSSSPSSLAGGRRHHHGRNGGGGIERSYSAHVRVAPVLNVPVCSLRGSRKSVSVFGIERLFSPSSSSSAAAAAAASKKGKLAKKEAATTMAAAAAAPQ >OGLUM12G20130.1 pep chromosome:ALNU02000000:12:25107864:25117076:1 gene:OGLUM12G20130 transcript:OGLUM12G20130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferases superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G16650) TAIR;Acc:AT1G16650] MAAATPASGGGGGYSCETAEQTREWMEAISAFLHLHRPLLEAHVVNFFKDRLWEMVDAEWMECLRREPVESLLMLPSGCEHWPSSLRDFVLTAKSLVLPREQKSPRSLLPDLHVASINTVLAQGMNSKKKHEIETLAGLVHAITKSCGAKTVIDVGSGQGYLAQALSFEYQLPVVAIDASSHHASVTNTRAERIKNVGKQQLRVPRTVTCHVLSSDTLAAVTLEACQDDHAEHVPESKNFNESSPQIEKPNDSIPPLVLAGLHACGDLSVNMLRLFVSCKQVKALISIGCCYNLLSEECHEDTNTCPGFPMSKAAKLSNLVLRKSTRDLGCQSAERWRSLTKDIALQNFDIHAFRAAFQMFLEKHFPEVSRSSPSIGRQGKALRRQRLRKVMESPMAMAETDALSYSTQKEQIMTKDDPLPTGPNNFKEVHVDFLPELSTGFVDSAVSGAAVVPDDIYLDKSQKFTLFKDFTVSGLGRLGCDFVENVSLLEIWKDVQPFTEFIGPFWCLRVALGPLVETYILLDRLLFLQEQGSVIEASLFPLFNPTMSPRNMAIIAWKLSANPSKMAARTTVPVLPMMDQHQGGHSEPSRLFPSPNPYPDLYTRRCHAKPQAKSDGTGGNRRAVLGRAKEGEKWGEDCRRNISSRRGAETPGYCLLLHP >OGLUM12G20140.1 pep chromosome:ALNU02000000:12:25117306:25123606:1 gene:OGLUM12G20140 transcript:OGLUM12G20140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMSFLSMVEAELPPGFRFHPRDDELICDYLAPKVAGKVGFSGRRPPMVDVDLNKVEPWDLPEVASVGGKEWYFFSLRDRKYATGQRTNRATVSGYWKATGKDRVVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGVHDQQASSFSSKEDWVLCRVICKRKSGGSATSKSRSLTTTTTTIVHDTSTPTSSPPLPPLMDTTLAQLQASMNTSSSSAIAAVAALEQVPCFSSFSNSIASNNNNSNSATVNAQQCYLPIVTGSNNNGMSYLDHGLPEFGSFLDTQSCDKKMLKAVLSQLNSIGGEVLPGLPPPSEMAAAVSSSWMNHF >OGLUM12G20150.1 pep chromosome:ALNU02000000:12:25125039:25129565:-1 gene:OGLUM12G20150 transcript:OGLUM12G20150.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:A0A0E0BV25] MGEKEPQPMGVGRLLGELSASLVYCCPDDVDQPQRRELVITAVSGDHLYIGFGSWTPSPLYNLPKISAPIIQNLPHIQSLDQLMDLEKGKKPSEQAAACRIMQVKDKLITLQPVVRACVFLATAVAAVIMGLNKQSYTTVVAIVGTRPVTQTFTAKFKDTPAFVFFVIANAIASGYNLMVLVTRRILQRRAQSLSVHLLDMVILTLLATGSATAASMAQLGKNGNLHARWNPICDKFGSFCNHGGIALVSSFIGVALMLALNLLSAAANSPRSNVTGQ >OGLUM12G20160.1 pep chromosome:ALNU02000000:12:25129330:25133234:1 gene:OGLUM12G20160 transcript:OGLUM12G20160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRLVPLPLLPHCTQRQPGRVQRCCRSAHSLPPLASVPLPGQQYTREAESSPSRRPTPIGCGSFSPIALGLFVSSGCRKTNSPFTSLIAHLTEGAPYGYINTVWHWPQFK >OGLUM12G20170.1 pep chromosome:ALNU02000000:12:25132003:25135232:-1 gene:OGLUM12G20170 transcript:OGLUM12G20170.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGMNTMRHLPPNGTSYTVPSTSAPTIQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKY >OGLUM12G20170.2 pep chromosome:ALNU02000000:12:25132003:25135232:-1 gene:OGLUM12G20170 transcript:OGLUM12G20170.2 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQIVCHGCRNILLYPRGAPSVCCAVCHAVSSTAPSPGMDIAHLICGGCRTLLMYTRNATSVRCSCCDTVNLVRPVSSIAHLNCGQCQTVLMYPYGAPSVKCAICNFITNTGPTIQSQNVTVVVENPMTVDAKGKLVSNVVVGVTTGGKKY >OGLUM12G20180.1 pep chromosome:ALNU02000000:12:25139591:25143158:-1 gene:OGLUM12G20180 transcript:OGLUM12G20180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCYFPELQPAKVNPSGTSAGGLPYIQGFRAESSMLLLLKLQIHTLSSSFSSSPRVLCWVLAVLGYSYRLQASSLSAGKLTDLQILFFVSALWAHSKEKHKKRDDTPIIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >OGLUM12G20180.2 pep chromosome:ALNU02000000:12:25139593:25142138:-1 gene:OGLUM12G20180 transcript:OGLUM12G20180.2 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPAFWRNKKSRSQIVQHDKDTPIIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >OGLUM12G20180.3 pep chromosome:ALNU02000000:12:25139593:25142138:-1 gene:OGLUM12G20180 transcript:OGLUM12G20180.3 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPAFWRNKKSRSQIVQHDKDTPIIRNVKIYSSKELRKATKNFCSGHKLGQGSFGCVYLGKLRNGQKVAIKVLSSESRQGTREFLNELSVISNINHHNLVKLHGCCVDGDQKMLVYNYLENNSLAQSLFGKEQKKKSVSSRFSNHGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >OGLUM12G20180.4 pep chromosome:ALNU02000000:12:25139591:25141989:-1 gene:OGLUM12G20180 transcript:OGLUM12G20180.4 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYNYLENNSLAQSLFGNSHSSIQLDWKTRVKICIGVASGLKYLHEEVRPVIVHRDIKASNILLDKDLSPKISDFGLAKLFPGNMTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVSGRCHTDPRLPLQDQFLLERAWALYESGDLKSLVDSTLKGVFDTEEAQRLLKIGLLCTQDTPKIRPSMSTIVKMLKGECAIGDKIMRPGLITDVMDLKIRTVEPVQFSASPPKSPSDSNSQVSMLAVAGSTVVEESP >OGLUM12G20180.5 pep chromosome:ALNU02000000:12:25142201:25143158:-1 gene:OGLUM12G20180 transcript:OGLUM12G20180.5 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPCYFPELQPAKVNPSGTSAGGLPYIQGFRAESSMLLLLKLQIHTLSSSFSSSPRVLCWVLAVLGYSYRLQASSLSAGKLTDLQVYTFIPRVLLR >OGLUM12G20190.1 pep chromosome:ALNU02000000:12:25147607:25151265:-1 gene:OGLUM12G20190 transcript:OGLUM12G20190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSILRRAAPLRRRAVSALAAAVLRREEAAAEVVVSRRATIPAAWFHSSPAWLGFRETGAAGAAARPQYAADEGLFYEEDKRGAKAGGVAAGGAEEGLEVAKLGISPKIVSQLASRGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPILDAIIRHNEKNSPGKFPLAIVLAPTRELAKQVEREFSDSSNVETICVYGGTPISQQIRQLNYGVDVVIGTPGRVIDLLKRGALNLSEVRFVVLDEADQMLSVGFDEDVETILDRVPPKRQTLMFSATMPTWIRRLTQKYLKNPVTIDLVGEDDQKLAEGISLYSIASEGHAKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSYTMGRSFQCQALHGDITQAQRERTLKGFREGHFNILIATDVAARGLDIPNVDLVIHFELPNSSELFVHRSGRTGRAGKKGKAIVMHSYQQSRAIRMVENDVGCKFTELPKINVEGSDLMSGGFDSFGGGGFGREGGGSYGRRGSFGSSSSRGGGFGDSGFGRSGGGFGRSGGGGFGRSSGGGFGDSGFGRSGGGGFGDSGFGRSGGGGYGDSGFGSSGGGSGRSGFGRSGGFGDSGSGRFGGGFGNSGSGSFGNFGGNNSGQSGGFGSS >OGLUM12G20200.1 pep chromosome:ALNU02000000:12:25157335:25159069:-1 gene:OGLUM12G20200 transcript:OGLUM12G20200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPTPQPPPPVDVDLGKLSYEIFSLLESNFLFGAGAGGGGGVCSLPGTPGRALLGGKVRVLAIDGCGPGPGDALLAAAALVRLETALREKSGDGDARVADFFDAAAGAGAGGVLAAMLFLKGADGRPRYTAADALAFEFPLAAGVDDLLVVSIGSGSSSAATPSTAAGWRTPLPSRSPSPAEMVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACKTKALSSVDAKKAAAIADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRRASPGLPNVVIKQVAAAAAAAVTPARLSSATTTSSATATTARTTVSSMPSPAASLDSGRH >OGLUM12G20210.1 pep chromosome:ALNU02000000:12:25179584:25182271:1 gene:OGLUM12G20210 transcript:OGLUM12G20210.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MVAQVRKKKKVAGHRPGDVEEAAAAAAAAVEQRRRRLLLHHLLLLLRPRGEITFTFRNILMARASPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPIDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >OGLUM12G20210.2 pep chromosome:ALNU02000000:12:25179597:25184075:1 gene:OGLUM12G20210 transcript:OGLUM12G20210.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MARASPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPIDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >OGLUM12G20210.3 pep chromosome:ALNU02000000:12:25181056:25182271:1 gene:OGLUM12G20210 transcript:OGLUM12G20210.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-1,4-N-acetylglucosaminyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT2G13290) TAIR;Acc:AT2G13290] MARASPFPPLTRRKIASLKLLIPCVLVLSVAVIVVTQYFHNISYLLRPLWDTPPKPFIRIPHYYAPNISMPQLCQLHGWGILPTPRRVFDAVLFSNELDILEIRYGELLPYVDRFVILEANATFTGIPKSLSFLENINRFAFAGSKIVYDMLPVMEMDPGSHRQPFHVEAGHRRALNMLLRRSGIAVGDVLIMADADEIPSPETVQLLKWCDGIPQVMHLELKNYMYSFEFPIDYNSWRATAHVFTEHTLYRHSRQSNLLLADAGWHCSFCFKDIKEFAFKMKAYSHADRVKQDSFLNPDRIQRVICNGEDLFDMLPEEYTFKDLFKKMGPIPKSGSAVHLPSYLIKNADKFRFLLPGGCLRPG >OGLUM12G20220.1 pep chromosome:ALNU02000000:12:25185727:25188319:-1 gene:OGLUM12G20220 transcript:OGLUM12G20220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLLLISNNGTKVFLFPQVKNLATGCCLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >OGLUM12G20220.2 pep chromosome:ALNU02000000:12:25185727:25189504:-1 gene:OGLUM12G20220 transcript:OGLUM12G20220.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGQTTTARTLPPPIHSWYQFFTPTLLLDPMQFLGFLFMHILSIVSMVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNTLANFVSEVSLTLHDNNTAYSLLISNNGTKVFLFPQVKNLATGCCLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >OGLUM12G20220.3 pep chromosome:ALNU02000000:12:25185727:25188319:-1 gene:OGLUM12G20220 transcript:OGLUM12G20220.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTEFKGEYSLPSKKSPLDQFEGVKTHLYRLGAEHENGTLKSFAYTDQGSPSLLDTIILSQWEDYAWKGHFGYDVTACNLKVVEGGWSFVVQLNDKWNSCVLKEHDKFLEPVGCLKPNCMNSYDELLLCIAQGDKDIPEVVPSTKPPKDGLLLIANAYPVEYGHIFLVPSATNQLSFFWDKRMFSLIARIASEVNSAAFRVFFDSCTSTMPDHMFFQACYFANPLPVESASTVAIYHGKATSAVHLYEIIDYPMKALVFTGKDVNTLANFVSEVSLTLHDNNTAYSLLISNNGTKVKNLATGCCLSAWECSGYFIYRAKYDFDRASENEISNRMASVTLQDGAFENLKNLCCAVADDLVM >OGLUM12G20230.1 pep chromosome:ALNU02000000:12:25191441:25194534:-1 gene:OGLUM12G20230 transcript:OGLUM12G20230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAESLEYELQKEVSNIMIQSYITSMRREFETKLWENQNCISTLNKNWKEKISKIAALRDELSTIYSVVSASESGVFSSHGSHEKVEELNFLKMKDDNESSITERTTDSGELMFDIPDFSLLKHMPSEEVTNFLKSEWLKLRRQHESELHEKTEELFRLKREFAKDIALLPSRKERELEIIKSKLLQSISKLDEITSREDNPYCDNYDDAEVCGFEDRIGSLLHENEQLQGLLADKKMVAKHLSLQVLDAERKMAQHSLSELKLVKQVEKLSHELEDLKIESHIKDLLELSTLREVFDNYENHIDDANQEETFLRELLVEKEEQLSIMYEDRQKLKYENNQLVAIAGSTLMQHHEQVNLVNDLTNFREKVCEQELLILESKSESNSMKSSLYEALQQINVCKQEIHGLTDNLTAMSIALEEAKEQNASLDATIQEMKKTSAPSINSHKGQAGHLEYALVSMEKLSKSYSDFESRLAQSMKRNEIRLTNIICQFNPLVQQVAVLKKKEFWYKQILEIKCSNLQKAEAEVDILGDEVDALLSILGKIYIALDHYSPVLKHYPGVTEILNLVQKALKGESI >OGLUM12G20240.1 pep chromosome:ALNU02000000:12:25198760:25203396:-1 gene:OGLUM12G20240 transcript:OGLUM12G20240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLWKQGWRWVVSQKHILTWAHMAASGGTERLAFLVDRHWPAVSRACVSSGRLALAALRQWRGCAARGILEMASLGPASVFVILWSFFVCITSPACALYALLGMGAAGAVIHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLIAGGCMCSLKHARFVIPVLAMYAVYCVAVRVGSLGVFLTLNLSFLTNDLLNKLLQGYEGSTEERQFEEPKHSDPVMDEFYRSCEFPSAPDSEPETVSSAKPFCSTPVQDVLHVQKEASPSKVVKSDSVSLDEMKRIMDGLTHYEVLGIPRNRSIDQKILKKDYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKKNTYDDQLRKEESRKMTQRSRVVSQQTGVEFLSEESRRIQCTKCGNFHLWICTKKSKAKARWCQDCSDFHPAKDGDGWVENKFSSSFKEIPRAFVCAESKVFDVSEWATCQGMECKPNTHGPSFMVNMVGADRMSQRSYSSRYPFSLNAEMIPEDEFELWLQQALASGVFSDSPKRRKSWSPFKLPQKGIKSWRRSS >OGLUM12G20250.1 pep chromosome:ALNU02000000:12:25209440:25211132:-1 gene:OGLUM12G20250 transcript:OGLUM12G20250.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein [Source:Projected from Arabidopsis thaliana (AT5G64150) TAIR;Acc:AT5G64150] MLASAAAAAGVAALLPGRLKPKPTPRLLKRLATASSSASTPPSPRSGGAGVEAEADTTPLFLRPAAHPVAAASLAAFRRRAAELVPDSAPHLHRHLRWLLADAAARDAGADPALLRAPLGDLESLWLRHVRDRRPFQYVVGNEHWRDLVVAVREGVLIPRPETEAVVDMVAKVQGFEAGWWADLGTGSGAIAVAVARMLGPEGRVFATDVSEVAIDVARLNVQRYGMQDKVEIRHGSWFEPLEDLKGKLMGVISNPPYIPTEDLPGLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDFIQTKWDSSFRDVEAVLDFADIKRFVTGYRR >OGLUM12G20260.1 pep chromosome:ALNU02000000:12:25212385:25213954:-1 gene:OGLUM12G20260 transcript:OGLUM12G20260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTAEEKSRARGTALWRLLRPLAVLGPRMQRRREAAVPFRGQVRNTAAASLLLLLSLSHVPLYAGAGDADPDPLFWARPLLAAPRGTVMELGVAPVVTSWVVVRLLAALLFDSDSSTTVASCELLARCLAYVTNASRLVIGIAAALGMCGSGGAGNAALVVLQLFAGGVVVVLADLLHETGYGVEGVSAASLLIATNACERAVSHLFSPVKLRLAGAGPEFEGPVFAVTHRVAAAPPSWRHKAGALLPNLSNYMTTCVMFVLAVRLDETHLRRLYRSRPRRGTDEFVPIKLLHTSAMPIMLHAAALHLHSSGGGGGGFVVNLLGRWKDAGGGAAYPVGGLAYYVTPPSKLLVDPGLIHELLIHSVFVVASCTLLSMAWAEASRSSAREFRTRVIGTGYFVWDDTSRRIDRVIPAAAAVGGFAVGGLAVYAGAVGAIGEAGPELLFAVLVIKNLAETFYAKSKLITTYSKLLSFDHFNLYYLRFLIDDAIDFFSHV >OGLUM12G20270.1 pep chromosome:ALNU02000000:12:25215153:25217244:1 gene:OGLUM12G20270 transcript:OGLUM12G20270.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGCSSSPHRSYSSFLFRRNISAADPQIAGEEIARLEARGVGVSAGCEAGGGGSTDIRARAWAGDRLSWAATGPKQDTILFVAEISEQDVALRKVYLGSLNYVLQSAKDNVCHNGTKAKAKALAGSWKPWMVDHMKVQLTSMKARQCGASSSLRTFHATACSYDACRPMLDLQTPPGR >OGLUM12G20270.2 pep chromosome:ALNU02000000:12:25214673:25215727:1 gene:OGLUM12G20270 transcript:OGLUM12G20270.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTRWRPVGLEVARRGGARGEAGVEDAVDRVEEEVERRDVQRILAISEIYSGDACMTITGEMNQELI >OGLUM12G20280.1 pep chromosome:ALNU02000000:12:25217106:25223234:-1 gene:OGLUM12G20280 transcript:OGLUM12G20280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLWTIRVNYLFLCCWAGQVGFGGRHAAVLGHRMGLTFCSGFLVDQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASTDASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >OGLUM12G20280.2 pep chromosome:ALNU02000000:12:25217106:25223234:-1 gene:OGLUM12G20280 transcript:OGLUM12G20280.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGGRGERLSSSSPTAAAPQVDAGKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLNAMNKLLMEENDRLQKQVSRLVYENGYMRTQLHNPSAATTDTSCESVVTSGQHHQQQNPAVLHPQRDANNPAGLLAIAEETLAEFMSKATGTAVEWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDIIHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFIRAEVLPSGYLIRPCEGGGSMIYIVDHVDLDAWSVPEVLRPLYESPKILAQKMTIAALRHIRQIAHESSGEIPYGAGRQPAVFRTFSQRLSRGFNDAVSGFPDDGWSLLSSDGSEDITISVNSSPNKLVGSHVSPNPLFSTVGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRASPYAVPGLRTSGFMGSQVILPLAHTLEHEEFLEVIRLEGHGFSHDEVLLSRDMYLLQLCSGVDENATSASAQLVFAPIDESFADDAPLLPSGFRVIPLDTKMDGPSATRTLDLASALEVGPGGASRASTDASGTCNRSVLTIAFQFSYENHLRESVAAMARSYVRAVMASVQRVAVAIAPSRLGPQIGMKHPPASPEALTLASWIGRSYRAHTGADIRWSDTEDADSPLALLWKHSDAILCCSLKPAPMFTFANNAGLDILETTLVNLQDISLEMILDDEGRKALCSEFPKIMQQGFTYLPGGVCKSSMGRQASYEQAVAWKVLSDDDAPHCLAFMLVNWTFM >OGLUM12G20290.1 pep chromosome:ALNU02000000:12:25242189:25245794:-1 gene:OGLUM12G20290 transcript:OGLUM12G20290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQITRAPPLSFSETNPKKIKTKKINSSPLLISSPSPLLSPSPSPSPSPSPPLAAGGDRVRRDRLAGRVPSPSRTSARLASSSRKCGNHGADERQKQQRQGEPEDQQEASVTSTDSHTMVATPSTDYATPYAHHDMAHAMGQIAYANIDPYYGSLYAAYGGQPMMHPPLVGMHPAGLPLPTNAIEEPVYVNAKQYNAILRRRQSRAKAESEKKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDDKEEHSDSSSRDKQDGVAPRDSGQPSTSPSSKGASSAKQNKKSKTSN >OGLUM12G20300.1 pep chromosome:ALNU02000000:12:25249128:25252478:-1 gene:OGLUM12G20300 transcript:OGLUM12G20300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAAGGEVRRRGGCYGGGGGGGGLFPEESFASWGAYGRALMETGPRLVERATARSAAAVEVNEVRGRSGAEMKRNLTWWDLAWFGVGAVIGAGIFVLTGQEARDAAGPAVVLSYAVSGVSAMLSVLCYTEFAIEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYCIGGAAVARAWTSYFATLLNHRPNDFRIHAASLAADYSRLDPIAVAVIAVVCALSETRDPARDIPAGLVGAMAVTTAAYCALAATLCLMQPYREIDPDAPFSVAFSAAGMGWARYVVAFGALKGMTTVLLVSAVGQARYLTHIARAHMAPPCLARVHPRLGTPVNATVAMLAATAAIALFTDLGVLANLLSISTLFIFMLVAVALLVRRYYATGETARGDRNRLAGCLAVIVASSVATAAYWGLGGDGGGWAAYAVAVPAWLAATLFLQLRVPMARTPEKWGVPLVPWLPSASIFINIFLLGSIDGRSFMRFGVWTAALLAYYFFFGLHASYDTAKALAAEVAAGKVEEGGSKPAVGGAAGN >OGLUM12G20310.1 pep chromosome:ALNU02000000:12:25255917:25264280:-1 gene:OGLUM12G20310 transcript:OGLUM12G20310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSRSDSGSGSRGADPCRGRKRGRLLTLEEEEEEEESGMEGCSAPACGDVRGDFVGWCSDRHQVASCSGDQTQSASMFAAMQENACSIDSKGVVCPQSGLGYSAGQNGTHGGGGSMLHQNLEGCMYMNQLGQMCGPYPPEQLYDGLSTGFLHRDLAIYAVFGGKMANPVSLGSLKQFLSQWSSDSVVATRDESAENKKMAPVNKLILPDNLSSEESCWMFEDAEGRRHGPHSLAELSYWHHSSYLHDLSMIYHVDSKFGPFTLVSLIDWWSGGTEHSESSANDSGSLNALMDDVVEDISHQLHAGIMKSARKVFIDEIFSSVLPEMIACRKTEKQMAAKRKSQAAKTDNVSNKNALVLKGKGDGTSTRPKSLNSYNNKVPEDPSVAVQSTAMQYEFADILSAVWETIYNKSMKSIWDEVLYDPVMDYCDAWLKRKNESNLLSTVVPGASDNQKMQDTDEMSPKAICDSDAPESDMDFPPGFGPNRESAEHSHSACVEYVTEKTDGRSGSSITLFSGPLGRVQERIRTPVHAPEPSSPPGISVHETPSPAEMPRDEISDMAEMAQDEISDMAVDTIPCPADMAASGTSTVPEVTTDKLIIPYVEHQSPSASHASIFEKLDAHEEAELDDSFDEVPPGTEAGLASLVIMEKNKYQPSKSVDSVLDIYRYTSWAFFRQILHESVMKEWASLFSGALSNCFDSWYARKNIVAKTMDDTLRPKEYTYYRKRKLRKNCEASSSEKPMDEQLSRPLRDLVECKVNMKNIHRSSKAGISQRVSVVEKPSKKRAKPSHNDNINLNIQQDLKLLSDKVPKRNRSSHPTSKPLVSNKVPTEDRTTSAMPAKKRKQKNLATESNLKTKAVILSPESHGCEAPTEKRTTAIMPVNKRKKNLSGESKLKAKPLTSPESYVCEAPIDNRTTSTMPAKKRKQKNLSNESNLKKKPLVLCPESYGCARASVSGWEWRDWARNATPSERAQVRGYRVRSILSAPENNVLKSSQVKGSSARTNRVKLRNLLAAAEGTDLLKIMQSKSRKKRLRFQRSKIHEWGLVALESIDAEDFVIEYVGELIRRQVSDIREDQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCDPNCYTKVITVEGQKKIVIYAKRRIYAGEELTYNYKFPLEEKKIPCHCGSQSKFIVFIFTEITAIGCYPSMYVSCVLVDCTGQKFTAEMFSDLLTGQQHYYIDWIEDIEFTR >OGLUM12G20320.1 pep chromosome:ALNU02000000:12:25266235:25270642:-1 gene:OGLUM12G20320 transcript:OGLUM12G20320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMKLSSKPDAFTRRGQAWYCTTGLPSDVLVEVGEMSFHLHKFPLLSKSAILGRLIEENSDSDECVIKLSDIPGGAKSFELVARFCYGLKIELSSENVVYLRCAAEHLEMTEETSGDNLINQTESFFNQVVLRSWKDSLEALRTCDGLLPHAEDLHIVKRCIESLAGKASIDPDLFGWPVSEHSTMQSPGGSVLWNGISTGAKVRNWSSDWWYDDASSLSFPTYKRLISSMESRGIKQEIIAGSLTYCAKKFLPGLNRRQSTGPMPLPAATLSDEEQRRLLEEIDRMLPLQRGLISTNVLLWLLRTAMILKVDRACISNLEKRVGMQLDEATLEDLLLPNFSYTMDTLYNVECIHRILDHFLAMDQTMGGGGASPCLDDVMASPSLAPITAVAKLIDGYLAEIAPDINLKPLKFQSLAAALPEYARPLDDGLYRAIDVYLKSHPTLPEAEREQLCRLIDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLQLRTSVAGCLLVSDNLEASSRTLRGGGGGAVAASGEAGCWATAAVRENQALRVGMDSMRLRLMELERECSDMRQDIRKLGRGRRRLRGGGGVVAGGKDGGGWAARVQRMLTPRMMKLQMCSAQHDAAEQQRMNNEHKKVEKVAKNKKQLSMDDGDDEEEA >OGLUM12G20330.1 pep chromosome:ALNU02000000:12:25273323:25275859:-1 gene:OGLUM12G20330 transcript:OGLUM12G20330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >OGLUM12G20330.2 pep chromosome:ALNU02000000:12:25273325:25275859:-1 gene:OGLUM12G20330 transcript:OGLUM12G20330.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >OGLUM12G20330.3 pep chromosome:ALNU02000000:12:25273325:25275859:-1 gene:OGLUM12G20330 transcript:OGLUM12G20330.3 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTAEEESALKAGIAKHGAGKWRTILKDPDFSNVLRYRSNVDLKDKWRNMNVTVNASGARDRVKAPVVKKPRSAPKHEGHSTSTAIAAVTSDGDDDVAEPVPLATSTSSKRSLSRLDNIIVEAVRSLNEPTGSYKTAIANYIEEQYWPPADFDHVLSSKLNDLTASGKLIKVNRKYRIAPSSSLSEGRSSKVVLLEDIKKEPTKLDREDVAVLTKSQIDAELARMRTMTAEEAAAAAARAVAEAEAIMAEAEAAVREAEAAEADAVAAQAFAEAAMATFKNRSSAKLVIALNHSSLKLPVGAGAASVSSDLVVCTLVPRSNAMQLLLQLG >OGLUM12G20340.1 pep chromosome:ALNU02000000:12:25275885:25276389:-1 gene:OGLUM12G20340 transcript:OGLUM12G20340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTEDGWPSAWVPPPLSLTLSPSLPAQRRRRPVVVLPPTQRRRRRRRPPRPPAQHRRPSSPSPSSPSPRSSCG >OGLUM12G20340.2 pep chromosome:ALNU02000000:12:25275880:25276389:-1 gene:OGLUM12G20340 transcript:OGLUM12G20340.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTEDGWPSAWVPPPLSLTLSPSLPAQRRRRPVVVLPPTQRRRRRRRPPRPPAQHRRPSSPSPSSPSPRSSCG >OGLUM12G20350.1 pep chromosome:ALNU02000000:12:25278352:25281699:1 gene:OGLUM12G20350 transcript:OGLUM12G20350.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLPRQLALSAAAAAAAMAKEESRKDKKAKTKAASSAAAVPDARRAAVVAAVAAFLESSGFPRALAALQSEANLEAGSWRSSPVSLEVLVSGFLEDSSNSAPGASINGSIEHEKTAAGVAEDAGKKKKKKGSDTKVSEAENKVAEPSAVEKPSENADVETKEKKQKKKKSKKQENDEDVEARLEKAESAIINKFETVDTLKEDSKNGLVDVAPVEKGKKKKKGKSTPETSDKVDTGSTDAGADCAKGKGDAAEMEKDNNEKKSKKKLKKSKENVEVVENKEVAGKDSAPKSNDENNSGMETEKGENGMPPSDNAVVGKKRKLEVEGSNLPAKEDNTASQKLSNGSSEDDGAKPNKRQKKSSEPKTVNAFQRVKLEDVKFADDRLQDNSYWAKGGADSGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFNDSDDE >OGLUM12G20360.1 pep chromosome:ALNU02000000:12:25286772:25293896:1 gene:OGLUM12G20360 transcript:OGLUM12G20360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIHKVQMGVMSPTKLRMKLLGSHGGSIGRVDEAKKSPRASPARLDDDEDDDDHPKNSLLPQELDEGSLPLRFHCGVCNGNGDVCLIPVISSSDYPKDQSDSSRSRSDASHGRAGNGYDSGGFEFYREERPPPPPPAAVAVVGGTFFRQVPSKWNDAEKWLAGRHVVHSNPIFSKKAAAAAAAVSGRVAPEASASSSPPSVASRQRQQKRLRVSSEAAAVSMRDVGTEMTPMASKEQSRSGTPAGAATPSLSPLCSVPTSPRGAASASSASSERELQIRTRREIAALGLQLGKMNIASWASKDDDDELPRASPEKRPRPRPRPHSGDGGGEAKKREFEARAMAWQETHKCKLALRFQRKEVKIQEWESCQKAKFEAKMRHAEVQAEQMKARAKQKLSRRLSALSHKAEGKQARVEARRSRQAARLARQVDRIRETGAVPSRLRRCCSWLFL >OGLUM12G20370.1 pep chromosome:ALNU02000000:12:25289755:25295868:-1 gene:OGLUM12G20370 transcript:OGLUM12G20370.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:A0A0E0BV61] MKLSPPASADMPQALPENDGEQRCLNSELWHACAGPLVSLPVVRSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPEEQKEPFLPMELGAASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVIFIWNDNNQLLLGIRRANRQQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITSISDLDSVRWPNSYWRSVKVGWDESTTGDKQPRVSLWEIEPLTTFPMYPSAFPLRLKRPWASGLPMHGMFNGGGNDDFARYSSLMWLRDGNRGTQSLNFQGHGVSPWLQPRIDSPLLGLKPDTYQQMAAAALEEIRYGDPSKQHPATLQYQQTHNLNSGLNSLFASHVLGQVQFQPQQSPLQVVQQGHCQNTGDSGFLQGQLPRLQLHNTQQLLKEQELQQQQRQHVLQEQSNQEMQQQLPSSDHRVADVASESGSAPQAQSSLLSGSSFYNQNLLEGNSDPPLHLHNNFHNFSNQEASNLLSLPRSSQLMASDGWPSKRLALESAVHPEAPSMHPKIEKVNHQGISHFPGAFPPQSARGCSIVQDCRADAENRLLSSSFELQDGMTSIITDANRETDTMAIPLLRYSGADLTTENTLATSNCLGESGTFNPLNNISVNPSQGATFVKVYKSGSLGRSLDISRFSSYCELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFANSVWCIKILSPQEVQQLVRGGDGLLSSPGARMQQSNACDDYSASHNMQNIAGNIASRNSQLQQRRRRDGTAPVSRMRSTWRASRAACRLRRASTLACFPSALWLNADSLLLSFCFALAFICSACT >OGLUM12G20380.1 pep chromosome:ALNU02000000:12:25303826:25308747:1 gene:OGLUM12G20380 transcript:OGLUM12G20380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRARSSEVFLGGRGRARRRVAPLLAAVAFVYLLFVSVKLSGLAGIADPAAVTRPASGGSAGEVMVQRRLEDPAPRSRAGGDGVAVVPGYGRITGEILRRRWEAGGRGRRRWGRGGNFSELERMADEAWELGGKAWEEACAFTGDVDSILSRDGGGETKCPASINIGGGDGETVAFLPCGLAVGSAVTVVGTARAARAEYVEALERRGEGNGTVMVAQFAVELRGLRAVEGEEPPRILHLNPRLRGDWSHRPVLEMNTCFRMQWGKAHRCDGNPSKDDDQVDGLIKCEKWDRRDSVDSKETKTGSWLNRFIGRANKPEMRWPYPFSEGKMFVLTIQAGIEGYHVSVGGRHVASFPHRMGFSLEDATGLAVTGGVDVHSIYATSLPKVHPSFSLQQVLEMSDRWKARPVPEEPIQVFIGIISATNHFAERMAIRKSWMQFPAIQLGNVVARFFVALSHRKEINAALKTEAEYFGDVVILPFIDRYELVVLKTVAISEFGVQNVTAEYIMKCDDDTFVRLDVVLKQISVYNRTMPLYMGNLNLLHRPLRHGKWAVTYEEWPEFVYPPYANGPGYVISIDIARDIVSRHANHSLRLFKMEDVSMGMWVEDFNSTAPVQYIHSWRFCQFGCVHNYFTAHYQSPWQMLCLWNKLSSGRAHCCNYR >OGLUM12G20390.1 pep chromosome:ALNU02000000:12:25309317:25314357:1 gene:OGLUM12G20390 transcript:OGLUM12G20390.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >OGLUM12G20390.2 pep chromosome:ALNU02000000:12:25309690:25314357:1 gene:OGLUM12G20390 transcript:OGLUM12G20390.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKFGKGPRELTGAVDLISHYKLLAHHDFFCKKPLPLAISDTHYLHNVVGDTEIRKGEGMELDQLVQNAYLRDKPAYIQPFDMETLGQAFQLRETAPVDLPSAEKGIPTISGKPKSESKDKEKKHKKHKDKDRDKDKEHKKHKHRHKDRSKDKDKDKDKDKKKDKSGHHDSGGDHSKKHHEKKRKHEGMEDSADVHKHKKSKVAHTAIMILAGIANFLIE >OGLUM12G20400.1 pep chromosome:ALNU02000000:12:25314554:25315672:-1 gene:OGLUM12G20400 transcript:OGLUM12G20400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDDDRTELLGYGLMVDAAYLTYDAVKKQTDGRECYEAVLSDKLDKLIATAGVEDASRRRRRHVVTAHFFATIEPHQAVVNALVGGVDKTYWFGYVAVARRGDCWDVVVAWRGSATLADWMMDMHVMNLVDFGGGGAAAGGHVAEGFYNVYTSKDAKVKHGTVSAKEQAVMEVKRLVDHLRRRSGAAGEKPVRVRVTVTGHSLGGAVAVMTAHDVAAALAADADAEGVRVRAVTFGAPRVGDDAFRRAVAARGVEVFRVIVKQDIVPKLPMGEEYVDAGDGDYDIIKLDDGGNWLSPLELIRAHSLDLYLQLITLRNPAIASVLSNSNSDAPPQAKEEWKDMKEEEGYMRLPFLKLKEELDKLEGPSPKK >OGLUM12G20410.1 pep chromosome:ALNU02000000:12:25323121:25324284:-1 gene:OGLUM12G20410 transcript:OGLUM12G20410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDKGSLLAGFATFLQLICGGGGGGEDYQLPIDHNHPDHKADILMYGDMVEAAYKAFAGDDDEKEVHYYGGGGYLYLATTNLYATIDAVPAPLEAALPVLRGVDKPCWFGYVAAAWRGGYWDVVVPWRGSVNVADWSMNIQFPLVPFKPYTSKDKGIGGGGGAAAAAGEVEKGFHKVYTSKDKAGKGQRGELSAQEQVVEEVRRLVRHFRREDPGVGVRVTMAGHSLGGALALMAAHDVAAALADDDVPVRAVTFGAPRVGDGAFRDALIKGRHVDVVSLVVKQDVVPRLPPGHRYVQVTEKVVELVVDDDAVAMSLSASHSLEQYLHLFRRLCDDDGQAFTARMAPHTGGEVAPEKKNKWPEMEEEADGYKRLPLSELDKLITK >OGLUM12G20420.1 pep chromosome:ALNU02000000:12:25334783:25336642:1 gene:OGLUM12G20420 transcript:OGLUM12G20420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSSDADTTAPNSSLSSAASSTGSLARCSSLSRLSFDCSPSAAVAAAATSCSPPRASVLLRPHRSCDVAWAAIRAASTTSAAPLGPRDFKLVRRIGGGDIGTVYLCRLRSSPERESPCMYAMKVVDRRAVARKQKLGRAAAEKRILRQLDHPFLPTLFADFDATPHFSCAVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLAIEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLQSTTSPSLDTDTDDEASGGASCFPDHLLRFKRRRNAVAAPRPRFVAEPVDARSCSFVGTHEYVAPEVASGGAHGAAVDWWAYGVFLYELIYGRTPFAGATNEATLRNIVRRPLAFPSGSGSLARFMD >OGLUM12G20430.1 pep chromosome:ALNU02000000:12:25350576:25354640:-1 gene:OGLUM12G20430 transcript:OGLUM12G20430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHIAGHTPRRRGEAESPPAESGPPVRPFQDEATDMGPVACLSLNRRREEETPPRHRVGEDTGRSSSKALRPQARLSEWHQFMIPKIRTK >OGLUM12G20430.2 pep chromosome:ALNU02000000:12:25354076:25354640:-1 gene:OGLUM12G20430 transcript:OGLUM12G20430.2 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHIAGHTPRRRGEAESPPAESGPPVRPFQDEATDMGPVACLSLNRRREEETPPRHRVGEDTGRSSSKALRPQARLSEWHQFMIPKISYSSSLSYKIKASWLQAKGSKSKHFIFQVTLLLKSDQLGCIQLNIYSE >OGLUM12G20440.1 pep chromosome:ALNU02000000:12:25353948:25368466:1 gene:OGLUM12G20440 transcript:OGLUM12G20440.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVPAVNSCVEECHKASVLLMLIVFHAMAISTTTSEPAISLPGCPDKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGEVRVYGPVSNICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMAIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRASRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPEGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGGYLCKCGVGKRQDGTNYGCQPVLNYVELVVAGLSVSAIVVMALICFLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEREIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDNLNGNEALSHIFPLMFHQKRHCDMLDFDMIDDKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMSIPSDPMKYQSMETAKLPAILAVAAAAAAPIAGEASSGLPRCHGDVRRRTSSADIDECEMRKLDPKYEELYPCRKGVCQNTPGSYLCKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMTCLLIMQLQRRMHKKEKNAYFKQNGGLRLYDEMRSRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVAVQCMSPRGDDRPTMKEVAERLQMLRRLQMQATCDGENDCNIHDNFGGSPSVTLHFDEMTDSSIETSNLILSE >OGLUM12G20440.2 pep chromosome:ALNU02000000:12:25353948:25365392:1 gene:OGLUM12G20440 transcript:OGLUM12G20440.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVPAVNSCVEECHKASVLLMLIVFHAMAISTTTSEPAISLPGCPDKCGNVSIPYPFGIGAGCSATSLSSYFTITCNDTFQPPRPMVRDLLSETEVIDISLERGEVRVYGPVSNICFSSNTTIPENHTTGFTLEGTPFVPSTTRNRFMAIGCHTLGIIGGYMHSNSNLYVAGCYSYCQSINSTSNGAPCTGMGCCETTIIPDLKDFAAILVMNQSAVWEFNPCFYAMLVEAGWYSFRQQDLVGHLRFVNGRASRGVPVIHDWAIRNGSCPEGKKVPKDYACVSSNSKCVQASNSQGYLCKCSEGYEGNPYLPEGCQDIDECKLRKEDPKYKELYPCRHGMCQNIPGGYLCKCGVGKRQDGTNYGCQPVLNYVELVVAGLSVSAIVVMALICFLVMKLQRRKYRKEKEEYFKQNGGLRLFDEMRSRQVDTILILTEREIKKATENYSDDRVLGCGGHGMVYRGTLDGDKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLEVDVPMLVYEFVSNGTLSEFLHGNDHRTPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDDEYNAKVADFGASALKSMDRNDFIMFIQGTLGYLDPETFVSHHLTDKSDTYSFGVVLLEIMTRKKALYNDNLNGNEALSHIFPLMFHQKRHCDMLDFDMIDDKVMVVLQKLAELAMHCLNPRGDDRPTMKEVAERLQMLRRLHMQLVSKSSPTRVSCSYEGSSMSIPSDPMKYQSMETAKLPAILAVAAAAAAPIAGEASSGLPRCHGDIKENHT >OGLUM12G20440.3 pep chromosome:ALNU02000000:12:25351072:25353948:1 gene:OGLUM12G20440 transcript:OGLUM12G20440.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALALAVKLIPGIFFLAVAVQDAASFGYSLSLPGCPDKCGNISIPYPFGIGPSCAATSINSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGMGCCEAAIPTNLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECVLRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTRAEQVAIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIHILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHAASDQKGDSYAHHNYEGSPIVVPLNETIYESIEASRLIDDLVR >OGLUM12G20440.4 pep chromosome:ALNU02000000:12:25351072:25353948:1 gene:OGLUM12G20440 transcript:OGLUM12G20440.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTTKHPAMQEALALAVKLIPGIFFLAVAVQDAASFGYSLSLPGCPDKCGNISIPYPFGIGPSCAATSINSYFNLTCNNTFNPPRPMVGDSEALVEVTDISLEHGEMRVLSPVYYICFTANTTFTKFTEGYELKHTPFLPSPSRNRFTVIGCNTLGLIGGYKGTVSHYVTGCYSYCESINSTSDGAPCAGMGCCEAAIPTNLTAWGAMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDRAQRGAPVVADWAIRNGSCPEEGKGIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECVLRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLRTRAEQVAIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIHILTEKDIKKATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDRRSSIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDDQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVLECLQMIRRHPMHAASDQKGDSYAHHNYEGSPIVVPLNETIYESIEASRLIDDLVR >OGLUM12G20440.5 pep chromosome:ALNU02000000:12:25365509:25368468:1 gene:OGLUM12G20440 transcript:OGLUM12G20440.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAQLLVFLVPMAFFLKLAMPVDGAMAMPGCPDKCGDVAIPYPFGIGENCSAINLNSYFNLMCNGTFHPPRPQIREPEAHIEVTGISLERGEMRVLSPVNHICFTSNTTSTKSSGVGYDLSRTPFLPSPSRNRFTVIGCNTLGLITGYRGASGQYVTGCYSYCEGINSTSDGAPCAGMGCCEASIPANLTAFAVTFDLNHSKVWTFNPCFYSVVGEVGWYNFKKQDLVGHLGFIKDRAQNGVPIVADWAIRNGSCPEKGEKEPSSYACVSANSYCTAVINSPGYLCNCSEGYGGNPYLSDGCQDIDECEMRKLDPKYEELYPCRKGVCQNTPGSYLCKCKKGKKSDGTGYGCQPADSPDYRMVVGLSVSAIVVTAMTCLLIMQLQRRMHKKEKNAYFKQNGGLRLYDEMRSRQVDTIRILTEREIKRATENYNEDRVLGSGGHGMVYRGTLDDNKEVAIKKSRVINDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFAHNGTLSEFLHGTDHRSPIPLDLRLKIATQAAEALAYLHSSTSRTILHGDVKSANILMDDQYNAKVADFGASTLKSMDESEFILFVQGTMGYLDPESFTSHQLTERSDVYSFGVVLLELLTRKKALYTNDFNKNESLSYRFLSMFRQNKHQAMLDPEIVDGSNVVAIEKLTKVAVQCMSPRGDDRPTMKEVAERLQMLRRLQMQATCDGENDCNIHDNFGGSPSVTLHFDEMTDSSIETSNLILSE >OGLUM12G20450.1 pep chromosome:ALNU02000000:12:25359689:25362521:-1 gene:OGLUM12G20450 transcript:OGLUM12G20450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLIINLKKLISFTQRHTSAGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHIQIRVAVHVATDDAEGMAADGHEAVAGGGGDERRTLQGSSQLPTYGVFSEVGTTDALFKADLVKEKTMKASAN >OGLUM12G20450.2 pep chromosome:ALNU02000000:12:25359689:25364573:-1 gene:OGLUM12G20450 transcript:OGLUM12G20450.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLLGGEWLSRRRRPLSSAASSLSSRSPQLLSDLPLTGTRSVASSSPPKSWRSFFGYQDLPGKSGEAIGFGSPVGGWSRPTVGWIDGGEEGGSRWLRSSKKAKQVTFGHRMRTPEFRSGAIPDGPVMYDRHTSAGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHIQIRVAVHVATDDAEGMAADGHEAVAGGGGDERRTLQGEPCGSSQLPTYGVFSEVGTTDALFKADLVKEKTMKASAN >OGLUM12G20450.3 pep chromosome:ALNU02000000:12:25359689:25362521:-1 gene:OGLUM12G20450 transcript:OGLUM12G20450.3 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLIINLKKLISFTQRHTSAGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHIQIRVAVHVATDDAEGMAADGHEAVAGGGGDERRTLQGEPCGSSQLPTYGVFSEVGTTDALFKADLVKEKTMKASAN >OGLUM12G20450.4 pep chromosome:ALNU02000000:12:25359689:25364573:-1 gene:OGLUM12G20450 transcript:OGLUM12G20450.4 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLLGGEWLSRRRRPLSSAASSLSSRSPQLLSDLPLTGTRSVASSSPPKSWRSFFGYQDLPGKSGEAIGFGSPVGGWSRPTVGWIDGGEEGGSRWLRSSKKAKQVTFGHRMRTPEFRSGIVFGHLLPLWAGAIPDGPVMYDRHTSAGPPIDKPEVPNEVLLPEAVPSGLHKHGVEAWVEFPHRALVHHQDRCEVWDDGGLAAAHPSAGSTVGCAVDALAVGVAASHIQIRVAVHVATDDAEGMAADGHEAVAGGGGDERRTLQGEPCGSSQLPTYGVFSEVGTTDALFKADLVKEKTMKASAN >OGLUM12G20450.5 pep chromosome:ALNU02000000:12:25362545:25364573:-1 gene:OGLUM12G20450 transcript:OGLUM12G20450.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFLLGGEWLSRRRRPLSSAASSLSSRSPQLLSDLPLTGTRSVASSSPPKSWRSFFGYQDLPGKSGEAIGFGSPVGGWSRPTVGWIDGGEEGGSRWLRSSKKAKQVTFGHRMRTPEFRSGSISKPSPQLRKH >OGLUM12G20460.1 pep chromosome:ALNU02000000:12:25381957:25386116:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.2 pep chromosome:ALNU02000000:12:25381957:25385899:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.2 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDSQALVEIADISLERGEMRVLSPVSYICFTANNTFTKSTEDGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYLTGCYSYCESIDSTSDGVPCAGLGCCEDAIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.3 pep chromosome:ALNU02000000:12:25381957:25386116:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.3 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLSSDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIGTSVSAIALMALTCVLAMQVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.4 pep chromosome:ALNU02000000:12:25381957:25385899:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.4 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRRSFPLRPIAGEHHQIRCFPPGVATYTTPDQVTTKHPAMQEALALAMKLIPSIVFLAVAVQAAASSGYSLSLPGCPDKCGNISIPYPFGIGRSCAATSISRYFNLTCNETFQPPRPMVGDSQALVEIADISLERGEMRVLSPVSYICFTANNTFTKSTEDGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYLTGCYSYCESIDSTSDGVPCAGLGCCEDAIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.5 pep chromosome:ALNU02000000:12:25381957:25385899:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.5 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRRSFPLRPIAGEHHQIRCFPPVTTKHPAMQEALALAMKLIPSIVFLAVAVQAAASSGYSLSLPGCPDKCGNISIPYPFGIGRSCAATSISRYFNLTCNETFQPPRPMVGDSQALVEIADISLERGEMRVLSPVSYICFTANNTFTKSTEDGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYLTGCYSYCESIDSTSDGVPCAGLGCCEDAIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.6 pep chromosome:ALNU02000000:12:25381957:25385899:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.6 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPPPSTAMSRRSTQPASKTARRQGGELRCGRGRAEEQVEGSGGGEPDRMRRRRSFPLRPIAGEHHQIRCFPPVAVQAAASSGYSLSLPGCPDKCGNISIPYPFGIGRSCAATSISRYFNLTCNETFQPPRPMVGDSQALVEIADISLERGEMRVLSPVSYICFTANNTFTKSTEDGGYDLRATPFLPSPSRNHFTVIGCNTLGLIGGYKGNVSHYLTGCYSYCESIDSTSDGVPCAGLGCCEDAIPTDLTTFGVMFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20460.7 pep chromosome:ALNU02000000:12:25382640:25385899:1 gene:OGLUM12G20460 transcript:OGLUM12G20460.7 gene_biotype:protein_coding transcript_biotype:protein_coding MFEMNQSKVWSFNPCFYAMVSEVGWYSFQQKDLVGHLGFIDDKAQRGAPVVADWAIRNGSCPEEGKDIPGDYACISANSYCMDANNGPGYLCQCSKGYEGNPYLLNGCQDVDECALRKQDPKYEDMYPCRKGICHNTPGGYLCKCKLGKRSDGTNYGCRPLHTTAEQVVIVQRKRHKKDKDEYFKQNGGLKLYDEMRSRKVDTIRILPEKDIKNATDNYSEDRVLGIGGHGMVYRGTLDDNKEVAIKKSKVINDEWREEFVNEIIILSQINHRNIVRLIGCCLDVHVPMLVYEFVSNGTLSEFLHGTDHRSPIPLDIRLKIATQSAEALAYLHSSTSRTILHGDFKSANILLDGQHNAKVADFGASALKSMNESEFIMFVQGTLGYLDPESFISHCLTDKSDVYSFGVVLLELMTRKRAIYANSINEKESLSYSFLLMFDQNIHRNMLDREIMDKETMVVLEKLSILAANCLRPRGDDRPTMKEVSECLQMIRRHPMHAASDHKGDSSAHHNYEGSPSPSTAVHFDETIYKSIETSRLVQDLVR >OGLUM12G20470.1 pep chromosome:ALNU02000000:12:25383470:25386324:-1 gene:OGLUM12G20470 transcript:OGLUM12G20470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLVAAVSRSLAAVGGKNGKFLKNNHGFFIHNFSVQHIPIPFLHGYISSYLGSCLRNAHSSTCSPLSFVIYEPKVANKVLLLEAVPPNLTDHGIEARVEAPYLALVHLKHHPKGSEVGWYGVLATAQACTWHTIRCAVNALTVGITTSQVVAHVPLVAADEP >OGLUM12G20480.1 pep chromosome:ALNU02000000:12:25409058:25411073:-1 gene:OGLUM12G20480 transcript:OGLUM12G20480.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASSAYAPAGGVGTHSAPGRIRPPRGLGFSTTKSRPLALTRRGGGIISVAAGGGRARLRCAASSSSAAARPMSQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRKLRVVDVGGGTGFTTLGIVKRVDPENVTLLDQSPHQLEKAREKEALKGVTIMEGDAEDLPFPTDTFDRYVSAGSIEYWPDPQRGIKEAYRVLRLGGVACMIGPVHPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKREHGDSPLQLGPKVEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >OGLUM12G20490.1 pep chromosome:ALNU02000000:12:25416099:25438070:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHTTATGEGGTPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHGHILYSFCIGCNARMLVLIGNSPLDGLVLTTMHRTSSLCTYAYLSAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGGGGFLMKTVVGSGRQGRGRREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRVPICKTTSQTISASSLLPLIPFPFLFPPLTDSPSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRYTSFFHLVLTSIL >OGLUM12G20490.2 pep chromosome:ALNU02000000:12:25416099:25438070:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHTTATGEGGTPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGGGGFLMKTVVGSGRQGRGRREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRVPICKTTSQTISASSLLPLIPFPFLFPPLTDSPSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRYTSFFHLVLTSIL >OGLUM12G20490.3 pep chromosome:ALNU02000000:12:25416099:25438070:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHTTATGEGGTPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRYESDRKWPEDAGECAGGGGGGFLMKTVVGSGRQGRGRREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRVPICKTTSQTISASSLLPLIPFPFLFPPLTDSPSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRYTSFFHLVLTSIL >OGLUM12G20490.4 pep chromosome:ALNU02000000:12:25411984:25433113:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.4 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCRRMCRRRRRRVFDENRGGVRTSGQGREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRVPICKTTSQTISASSLLPLIPFPFLFPPLTDSPSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQVLRWFIHHKIPCVDSIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRTLDAVKRICPKRALLIGMTHEMDHHKDNETLEEWSRRAFASSVTCIKLPVAVTIQLLLIRN >OGLUM12G20490.5 pep chromosome:ALNU02000000:12:25412030:25438070:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.5 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVPNGHTTATGEGGTPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVAPELNPNYRCNTSLLIDYCQDENAHKYILIDVGKTFREQVLRWFVHHKIPCVDSIILTHEHADAILGLDDVRIVQSFSPTNDIEPTPIYLSQFAMDSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQIPFVTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGGGQLDLLILDCLSRTLDAVKRICPKRALLIGMTDEMDHHKDNETLEEWSRREGIDVQLARDGSRVYIDLCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRGICFFSNLH >OGLUM12G20490.6 pep chromosome:ALNU02000000:12:25416099:25433113:-1 gene:OGLUM12G20490 transcript:OGLUM12G20490.6 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGCRRMCRRRRRRVFDENRGGVRTSGQGREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRCNTSLLIDYCQDEVTHKYILIDVGKTFREQIILTHEHADAILGLDDVRIVQPFSPTNDIEPTPIYLSQFAMNSIAQKFPYLVRKKLKEGEEVRRVAQLDWRVIESDLQKPFVTSGLEFVPLPVIHGEDYVCLGFLFGRKSKVAYISDVSRFPPSTEHAISKSGEGQLDLLILDCLYRVPICKTTSQTISASSLLPLIPFPFLFPPLTDSPSSSSPRSPAERREASSSPPMAASVPNGHSVAGEGGTPAPPPSSSSLVFLGTGCSSAVPNARCLIQPPDPPCAVCSQSLSVPPELNPNYRYTSFFHLVLTSIL >OGLUM12G20500.1 pep chromosome:ALNU02000000:12:25441866:25450495:1 gene:OGLUM12G20500 transcript:OGLUM12G20500.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat (TPR)-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G30290) TAIR;Acc:AT1G30290] MLSLLSNTRLHDPARRVVRLMIRRGVRRGTEQFAQLMLSYSRAGKLRSAMRVLHLMQKDGCAPDISICNMAVNVLVVAGRVDKALEFAERIRRVGVEPDVYTYNCLIKGLCGARRVVDALEMIGVMLQNGCPPDKISYYTVMSFLCKEKRVEEVRGLLQRMRNDAGLFPDQVTYNVLIHGLAKHGHADEALEFLRESEGKRFRVDEVGYSAIVHSFCLNGRMAEAKEIVGEMISKGCQPDVVTYSTVVDGFCRIGELDQARKMMKHMYKNDCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPNDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKDGKPAEAKDFMEQCQSKGCTINVVNFTTVIHGFSRQGDLESALSLMDDMYLSNRHPDVVTYTVVVDALGKKGRLKEATGLVEKMLNRGLLPTPVTYRTVIHRYCEKGNLEDLLNLLEKMLARQEMKSAYNQVVEKLCAFGKLNEAYSLLYKILRTASVRDAQTCHILMESFLNRGLGLQAYNVACRMFRRNLIPDVKLCQKVDNQLASEKNKPVPGKLMVKFAERGLLKQVKQDSN >OGLUM12G20510.1 pep chromosome:ALNU02000000:12:25447888:25450419:-1 gene:OGLUM12G20510 transcript:OGLUM12G20510.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAEGMDRFDMEGDFEGGRFGRDGEFYYERRRERAPQTRDDALYGVFAEGDSDYDSDDGRRRSRRKRRRDEAEPDLSRPVHFQSAGKFMPSKEPEPEPEERPGLGAAPASASASAAGADDAAEEEEDLDLPTAFGQRIAEGARARREERARERETAARRRQASGVAAGKPAPALGSLGSNTKVAKMMAMMGYKDGMGLGKNEQGIVAPVETTLRPKNAGLGSVEGFKEPKPFFTKENLPPPPPPAPAKKEQQRWSKKAGAKKGPVLTKNELLAMRSEQEQDEQPTVVQKVIDMRGPQARVLTDLKGLNVEQEMEANDVPMPELQYNVRLLVDEAKADIVRLDGQLRREQEKVASLVREKEKVAKQEALQKCQLQVMEKIAGVLEQVRVDDTAGVLSLEGLLKTFQELKACYVEEFKMCSVAWIACRFAHPLLIRVFQGWQPLQNPLFGLEIMSSWKDLLQGDQAYDFSGDVESMAPYAQLVSEVILPAVRISGTNSWEARDPEPMLRFLESWERLLPPIVLQSILEHVIMPKLSAAVDSWDPRREKVPIHVWVHPWLPMLGQRIDTLCHSIRYKLSSVLHVWQAHDASAYAVLSPWKDVFDAASWEDLIVRYIVPKLKMALQEFQINPANQKLDQFNWVMIWASAIPVHHMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNRAAEGLEVVQPGARENVGYLRATEKRQFDAAQQASQYTTHHAVPGAAMADLSFKESIQAYAMDQGLLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVSLTQLIEMNRKARQR >OGLUM12G20520.1 pep chromosome:ALNU02000000:12:25452550:25455015:1 gene:OGLUM12G20520 transcript:OGLUM12G20520.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transducin/WD40 repeat-like superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G65030) TAIR;Acc:AT1G65030] MSAYSINPTKNPKPFVSSPLSSPNPPLTGGGGGGGAFSRMALIAGSYERFIWGFSLKTLTSSSSSSETLALAPLFSYPAHAGPIRCAAAAPRAGLAASGGSDDTVRLYDLPAAADLGPLLDPAAPVSALAIHSLGPVPRNLLAASDDGLLHLYDAGEGFPLLASLRVFPRHREPADALAVHPTGRVALAVGRSGGLAMLNLVRGRRSFSCRLERPATAIAYAEDGAGGDRFVMAAEEKVTVHDSEDARIIHEIDCGKRVLAFAPAKERGITAWDLSSGKVTSRIEDAHSTRVKGIVVFDDKNDGSELCNLIASASSDGIIRIWDARMIAKEKPTPLAEAKTKARLTCLAGSSLNFFYT >OGLUM12G20530.1 pep chromosome:ALNU02000000:12:25455699:25460326:-1 gene:OGLUM12G20530 transcript:OGLUM12G20530.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-glucose binding protein with Kinesin motor domain [Source:Projected from Arabidopsis thaliana (AT1G72250) TAIR;Acc:AT1G72250] MEEEGSGRGGDRAAAHGRIGDTASLGASCVRAGGDSPVMVSSASVRKTVKMSETCDFIPYVDDDDDGGNSEEENSASSGVLPCDGMQHDTPDYIRRGAAAARHRIAPLELFSDPSPPQGPPSPSPANGGAALEATSNDGVAAPQVHPPEGTGGGEQETTTLGSQSVHETLHIEENEGKCSCCGQLKQEYSLLLMEKEECRRVLEDLMRENELKSRECHEAQASLHELRMELMRKSMHVGSLAFAVEGQVKEKSRWCQLLNDLSEKFKALKAEHQILLQESLECKKFVADATQMTTTIQQHVNQYASLECEFKDLKERFTEETKERKDLYNKLIEVKGNIRVFCRCRPLNGEEIEEGASMAVDFESAKDGELIVRGHVSSKKVFKFDSVFSPEEDQEKVFEKTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRITKERQGLFQYEITVSVLEVYNEQIHDLLLTGTQPGATAKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCMHCVMVKGENLMNGEQTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKSQHIPFRNSKLTHLLQDSLSGDSKTLMFVQISPNENDVGETLCSLNFASRVRGIELGQARKQVDIGELSRYKLMAGRAKQDSKNKDAQIKSMEETIQSLEANNKAKDLLTMNLQEKIKELEAQLLVERKIARQHVDNKIAQDHLHQQQQGMKPENSPYPTRSPMAERNLNSTAEKPATLLKDLGIARQMFSDSNTDTYSINHLMSMSSEKENNPAGGAQPTKARRVSLCGGAHQQPAAPPRRGSLIPLPRRNSLMLPLPLPKPATPAAAASPLDMITEQCSSPPVIAPNDNRCGGGRNKRIINSILRRSLQKKVIIRPPLMAAHQSGRRAGAGVAGTTTHGGSGVVMRARRVPVSGGRGGGGMQHNREKERGWNNGTSLRQLN >OGLUM12G20540.1 pep chromosome:ALNU02000000:12:25460343:25462518:1 gene:OGLUM12G20540 transcript:OGLUM12G20540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCVEAVRMAAMARNRRRAMGENGGGSRPVVTCYSAAVIVAAKDEITRRIRGRARGERRRGAARLV >OGLUM12G20550.1 pep chromosome:ALNU02000000:12:25462966:25463226:-1 gene:OGLUM12G20550 transcript:OGLUM12G20550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYAPFSSPPLRGAVAAAGRRSRPASRSSSIGGWSGSGSGGASAEYISLRDVLVEGGGCGGGGGGGGGGGGGGGGGGGGGGGGGR >OGLUM12G20560.1 pep chromosome:ALNU02000000:12:25463257:25466088:-1 gene:OGLUM12G20560 transcript:OGLUM12G20560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTQWQIYQILPRGGVKEGKSPISVSSAPLRSLRRQDESRLNITGEGRRSPSPSPSPSPSGEQSRGGEGILTCAGQQEMAAFLRSKCSSVGRTLMGGLGNNLFGAVNSSVETVSRPSHCDPIFQQTRTFIQMRTNLKVVDNSGAKRVMCIQSLRGKKGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKRGRNDGSEIQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILAITRKK >OGLUM12G20570.1 pep chromosome:ALNU02000000:12:25478117:25481939:1 gene:OGLUM12G20570 transcript:OGLUM12G20570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCAGHIHEVWNIRGLFCKIELLSSACAEAIRPASSSSSCSCSLQGAQLTASERFRVAQSELLLVGPSNSGASSPGSSVSIDPLQTKRRRISHELAQASAMEEVGGGGKERKRPRGSLVGVSGGGASAATAAAWRTSRVARAAAGGKDRHSKVVTSRGLRDRRVRLSVPTAIAFYDIQDRLGVDQPSKAIEWLIRAAAAAIDALPSLDCSFALPAAASSPPPPAADDAEVSTSETSKSSVLSLANAPCDNGGGAFAELLHCSNTNGSKPLQQQQQATLAYYAAAQSAHMAAPMSFEMMAMPPHLAFSQEQQQHATVAAFDRGTLQSNASLWPPPPPPPSQHPFLLQRFAAAPAEVAGLPRRWRRCCSGGDDQWGGAEAAALGLQGGEEDMTKDA >OGLUM12G20580.1 pep chromosome:ALNU02000000:12:25498556:25504611:1 gene:OGLUM12G20580 transcript:OGLUM12G20580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGGSCPAAMKATSQGAFQKENPLDFALPLIILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSSKFLHNVFPPKSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISAPFALGIGTSFAFRATIVKGAPQAPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAVALSGSGSPIISLWVLLTAAGFVAAIFLFLRPALAWMARRSPEGEPVKELYICATLAIVLAAGFVTDTIGIHALFGAFLVGIAVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIRGAKSWGLLVLVIVNACIGKIGGTVITSLLVKIPVREAVTLGFLMNTKGLVELIVLNIGKDRKVLNDEAFAIMVLMALFTTFITTPIVMAIYKPARPTAPYKRRTVDGGEADGELRVLACFHTNRNIPTLLNLVESSRGTGRGRLVMYAMHLVELSERSSAITLVQRARRNGMPFFNSGDKAEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSATAKRAAIVVMPYHKMLQHDGAFQSLGSAYHAINKRVLREAPCSVAVLVDRGLGGHAQVSAKNVAFSVAALFFGGPDDREALAYATRMAEHPGVAVTLERFRPSRAQPEEDAAADEAAVEAFKSKVGMVKDGSVRFDERPAQSKAEVMEAINSLSMFSVFVVGRMPPTAPLVEKPDELGPVGSYLASPEFRTSASVLVVKRYDPAANPASKRYDPKARPPAATEEDALDELTGAAVVPVAHSPMNHDIV >OGLUM12G20590.1 pep chromosome:ALNU02000000:12:25505006:25509850:1 gene:OGLUM12G20590 transcript:OGLUM12G20590.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEGP protease 5 [Source:Projected from Arabidopsis thaliana (AT4G18370) TAIR;Acc:AT4G18370] MAIHPLLRLLLLRPPPPPPPPPPPHSPPFATTRRASSASAAAAALLLLAASPRPRPARADPGDGGEDIDEARVVRLFQEASPSVVFIKDLVVGRTPGRGGGQPVEAEDGEEGGATVEGTGSGFVWDTAGHIVTNYHVVAKLAGDGSAFHRCKVLLEDSSGNSYSKEGKLVGCDPSYDLAVLKIDVDGDKLRPALIGTSKGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRPIRGAIQTDAAINSGNSGGPLIDSYGHVIGVNTATFTRKGTGISSGVNFAIPIDTVVQSVPILIVYGTSVSNRF >OGLUM12G20600.1 pep chromosome:ALNU02000000:12:25518849:25519676:-1 gene:OGLUM12G20600 transcript:OGLUM12G20600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLNMQAEAAGGDGGDAEKKSGNNKQIMVAAKVSISILVMSLPVLYVSFLRIPPATLFRDTTFWFLMSNSIIIVIAADSGMLFFAGRPASSSGELQAMVVTDVSLSHALVVAPPRGGQEDDDGVVVAGEPAEEESTMMLVPYYGGGGEVVQAAARPTRLAASKSVAVAGSREAERTTTMARRRRRSRSHHALVVTPPVQEKSIVVREEKLRRTATERPPEPEPEEEEEMTTSSSEYSRLSDEELNRRVEEFIARFNMEIRLQLEKEQEQAAAA >OGLUM12G20610.1 pep chromosome:ALNU02000000:12:25524234:25527762:1 gene:OGLUM12G20610 transcript:OGLUM12G20610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAASLQYALHGAASASAKPRSAAPGRSVRVAAARRSASADATAESKSGGHEVLLFEALREALIEEMKEDPTVCVFGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFAGMGVGAAMKGLRPIVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >OGLUM12G20620.1 pep chromosome:ALNU02000000:12:25528946:25536607:1 gene:OGLUM12G20620 transcript:OGLUM12G20620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPAPFSFVSSSTLGQQRASRCKRGDGRRDRFKRARKQHANNSDLQHIICGTVTAAAGATDSGWGRNWEMLLPPYAEGGHPNILRRKITRKNTIEVVKVGHAPSTSKNHTLTTQAQTTESGTEHQNDPH >OGLUM12G20630.1 pep chromosome:ALNU02000000:12:25536092:25545801:-1 gene:OGLUM12G20630 transcript:OGLUM12G20630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAAAADAGMDLRRTTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEARLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQTEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDSNDLENYY >OGLUM12G20630.2 pep chromosome:ALNU02000000:12:25534001:25545801:-1 gene:OGLUM12G20630 transcript:OGLUM12G20630.2 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAAAADAGMDLRRTTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEARLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQTEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDRTMLEGC >OGLUM12G20630.3 pep chromosome:ALNU02000000:12:25534001:25545801:-1 gene:OGLUM12G20630 transcript:OGLUM12G20630.3 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAAAADAGMDLRRTTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQTEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDRTMLEGC >OGLUM12G20630.4 pep chromosome:ALNU02000000:12:25538846:25545801:-1 gene:OGLUM12G20630 transcript:OGLUM12G20630.4 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGLQPTLHAHATSPPLRLLLLPRRRRPIPRATSTTASAAAAAADAGMDLRRTTTRSSSGGVEPRYRQVGFVTTTAEPAAAAASSSSSPRGSDVYPGSLSPVMIPPPRIPDHLTALSPAPVSLLPSSPPPPSSSRLDAAESDLDDDDDDDVDVSWARPPPPALLESKEGLPDTQNEGAPAPAPVQQKQKLSKAERRAIQEAQRAAKASAKEARLSRKSVATVSTSNAAMSKQLKTVKTPLKKDLPQLTPPVASEKKTSEHPPDRDRKKDVPPPRMQFDNVHRVEKTKKRSLVNQTEAQNRVELFRHLPQYVHGTQLPDLESKFFHLDLMHPSVYKVGLQFLSGVISGGNACCVAMLLAFREAIKDYSTPSTKTLNRDLTAKISSYVSFLIECKPLSISMGNAIRFLKNRIAKLSLTLSESEAKASLQSDIDRFINEKIIIADHVIVSHAIAKVRDDDVLLTYASSSVVEMIFDHANELGKKFRVVVVDSRPNNEGQALLHRLVAKGISCTYTHINAISYIMHEVTRVFLGASSILSNGAVYSSVGTAAVAMVAHAFGVPVLVCCEAYKLHERVQLDSICSNELGDPDVISRVPEREDLCHLKNCTYNENLQLLNLKYDTMPSDYVSMIITEYGMLAQTCPNIVVAAYIYADQDLFTATVPGKAPVDQLSENLGNYHCQFKVILVSMSAKVLGYKDCHSSVPGCYDRYE >OGLUM12G20630.5 pep chromosome:ALNU02000000:12:25533990:25535699:-1 gene:OGLUM12G20630 transcript:OGLUM12G20630.5 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPSLSIVDEDGFVIDLSLTLGLTSPPPSPGGASPSIPPGRGGGGGTSGGDNNRGSRGGGNGGGGGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLPAGVAAATTTTTTAAMAVPDMVGMPTHQMSSMALHSCRPHQGSHNYVRRVLRLC >OGLUM12G20640.1 pep chromosome:ALNU02000000:12:25583543:25585384:1 gene:OGLUM12G20640 transcript:OGLUM12G20640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTFTPNSPASSCSIHHRASPSRGARNSVRFTRPRAAAAATNSVLSAPSSVPPAYVPPPPPPPTKMFPEAGDAAAAKAAARRGGKKKDGLNFFQRAAAVALDAFEEGFITNVLERPHALPRTADPAVQIAGNFAPVGEQPPVRSLPVSGRIPPFINGVYARNGANPHFEPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLGQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTADGDLETVGRYDFDGQLGCAMIAHPKLDPVSGELFALSYDVIKKPYLKYFYFDADGTKSPDVEIELEQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDREKTSRFGVLPKHATSSLEMVWVDVPDCFCFHLWNAWEEAESGEVVVVGSCMTPADSIFNESDEHLESVLTEIRLNTRTGESTRRAVLPPAAQVNLEVGMVNRAMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTKFEYGEGRFGGEPCFVPMGGAGAAASPARGEDDGYILSFVRDEAAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFINAGELATQA >OGLUM12G20650.1 pep chromosome:ALNU02000000:12:25613161:25613741:1 gene:OGLUM12G20650 transcript:OGLUM12G20650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRNASLLGLVLQLALLLAAAAAAGGRAQGQAAPPPATTITHQEMNDNGAAAVTAMSVQAQAQQQQQVMVGSRPPDCTGNCGPSCVGRCEAVLVRPVHPPRPPPAPTTTGAGAAAPPVKEVGDVGGATTTSRYAGSASAALPPSTATSSKSTLN >OGLUM12G20660.1 pep chromosome:ALNU02000000:12:25616960:25622318:-1 gene:OGLUM12G20660 transcript:OGLUM12G20660.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:K+ efflux antiporter 3 [Source:Projected from Arabidopsis thaliana (AT4G04850) TAIR;Acc:AT4G04850] MAAAAAAAPRHHHHHVVVGSSRSAPVSVSVRVLRSPRGRRRWCGGGSSSASLRVGMIGSASASSAEGRRGRRALRRVRAAAGMDIASAVEVINDLGFDTLTFLGVTVLVVPAFRVVKASPILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARYAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFDSRPDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNVVEQSVWPMLLAESLKALGGLGLLSLGGKYLIRRIFEFVAESRSSEAFVALCLLTVSGTSLLTQWLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSVDMELLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRAAGIIDEKSETKEKPAEMVNYDATEPIVILGFGEMGKVLAKFLSAPLSFGLDKDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPLVLQSAGVSSPKAVMVMYTGKEKTIEAVNRLRQAFPGVPMYARAQDMSHLLDLKKAGATEVVLENAETSLQLGSMLLRGLGVMSDDVSFFSKLVRDSMELQAQEALNNIENREIDIMKPLEIRISDLVERNGNGSRMIAQEDSLRLSSRPNIPLIEATLEDRIPETTVENDQTGYDFSNIDSEDGVKYCLLEASDDESEASNSSKEMIDQSV >OGLUM12G20670.1 pep chromosome:ALNU02000000:12:25623840:25631739:-1 gene:OGLUM12G20670 transcript:OGLUM12G20670.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVB6] MDVDSRMTTESDSDSDAAAQGGGGGGGGGGFGSETSSASPSAPGTPTAMGAGGGAAPIAAAAAAVAAAASAAVVAGPRPAPGYTVVNAAMEKKEDGPGCRCGHTLTAVPAVGEEGAPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPVGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDMIYVYGGLRGGVLLDDLLVAEDLAAAETTNAANQAAAIAAASDIQAGREPGRYAYNDEQTGQPATVTSPDGAVVLGTPVAAPVNGDMYTDISPENAVIQGQRRMSKGVDYLVEASAAEAEAISATLAAVKARQVNGDAEHSPDREQSPDATPSVKQNASLIKPDYALSNNSTPPPGVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPESATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHLEDTWMQELNANRPPTPTRGRPQAANNDRGSLAWI >OGLUM12G20680.1 pep chromosome:ALNU02000000:12:25633894:25636904:-1 gene:OGLUM12G20680 transcript:OGLUM12G20680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPESSPAPARHIPDELVEDIFARMPARSVLRCRCLSRAWAAALSTDAFVDHHLRLANRRGGPKLCIPPRSASADTINAWSPEAETTPLMAVPHGTRNGRIIPYGRPCRGLLLLRAIFARLYFVCNPSAGEVAALPDGRMAGDPRPGEDYASVGLGYDARTRTHKAVRLLYHHGHPAACDVYDIAAATSTGHWRPAATPPDLVHMNKLAVYAQGHLHWITTKSVGDADAIMSFSMAAEVFGRVPPPPGTTDMKGFMITELAGCLCVYPTYLSSERSLDIWLLADYSTATWELRCRIDPTATTSPETNDFFLMNREVTPLVLTDDHRRVLLLSEEHEVAEYDAASGTLRRHAGPPELRRRHGDGTPQLVPYEESLVSAGRPYEDILFSPPAARAVALALRRLPARELGRLKLVCRSWRAMIETDRFAASHNAHARETAMASFAAGCHVRLGSYYYFSVVFVPLESCSNRKPPLMSTRTVVRNACHGLVLVTDVNGERNIVHNPVTGAGRNFSFLTPRRCPPKIPEVDDGRGCAGLGYDASREEHVLVRLAYAGGEGCAAVQVWRLRDIGPYKLTASRPPIPADVGVPPVHVAGKMHWMGEQRRRGILVFDVSTLAFDTMPAPPALPDDAGGAVLATLAGKLCVAHSCRETETMTIWAKSAGDGDEWETLHVIDLARWPAFSPRAAELVVPMAVDGRDGRVLLDAGKALGYYDARSTTLETVCSCSGDDDEFINAAVCEDSLDRSQYIVLKIVCI >OGLUM12G20690.1 pep chromosome:ALNU02000000:12:25642942:25643627:1 gene:OGLUM12G20690 transcript:OGLUM12G20690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVMEVLSVLAWVAAIITHANSRWDGNGFRRRRSAYARGERPLQLLSGLPYVEIGLQASSLLSAVCQFVIFVCQTS >OGLUM12G20700.1 pep chromosome:ALNU02000000:12:25645924:25649273:-1 gene:OGLUM12G20700 transcript:OGLUM12G20700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGGGRKEKGEGLGRALIRQRNKAAAAVKERGDALAYARRRAQPLESVIEVSDIDAVLERAAEVDRLHSALADAAASVSSSDLVIDLDATGETDEERRRMQKEQEALHAGSLRVPRRPPWNARMTVEELDENERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEVYAKEIDEHKRTMLLVNKADLLPINIRKKWADYFKAHDVLYVFWSAKAATATLEGKMLSGYSEQDSASLDLDTKIYGRDELLKKLQTEAEFIVAQRRASAIKEDSRATSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKKTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVSCGVLPIDRMTKHREAIQVVADRVPRSVLEQIYKITLPKPKPYESQSRPPTAAELLRAYCASRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGMVDTENEHEETSGLEGPTTSAHNESDGSDSDEQDDTVDPAQPDMRHVLSDLESFDLATEGSKPDGKKKKEASHKQHKKPQRKKDRSWRVGNDGGDGTAVIRVYQKPAVSLSAVGASDKI >OGLUM12G20710.1 pep chromosome:ALNU02000000:12:25650292:25656642:-1 gene:OGLUM12G20710 transcript:OGLUM12G20710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWNCLDGHEGDRRQLFGDLYDRIASSRVCIHEWDSFSCTTAIFSNGKWRQASTLFHGDSVDGPQVSGSSATSIGQPKSKRRTGDKARGEKKALKVKINLASPAKKIKKSIKKKGTKKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGSATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCDKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >OGLUM12G20710.2 pep chromosome:ALNU02000000:12:25650292:25655713:-1 gene:OGLUM12G20710 transcript:OGLUM12G20710.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVHPVPWWLPWNDGISLMVTKEIKINDSVDGPQVSGSSATSIGQPKSKRRTGDKARGEKKALKVKINLASPAKKIKKSIKKKGTKKKGTVAGRIGRKCTLSRDSKGRFLPRESKGGDIGGSATESEVDYDRFMNFQAPDFATILSILKGWKGMKQCDKIRRLKDPDFVPLMNVMSNTGYVTEDDGHYDVLKVLMHADGWSA >OGLUM12G20720.1 pep chromosome:ALNU02000000:12:25656066:25656350:1 gene:OGLUM12G20720 transcript:OGLUM12G20720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGESALSQEILKDAFLPKGSNREDTGESTTESEIDYDRFTNSQAPDFATILSILEGRKGMKQCNRSIRLKDPDSIPNAMNNTGRDRGRRLL >OGLUM12G20730.1 pep chromosome:ALNU02000000:12:25659014:25661973:-1 gene:OGLUM12G20730 transcript:OGLUM12G20730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFNKSQEGFGQVAAVATLASNGGGSLPWLLYGEPLGQGKPAMSPEGVVPRAQTPLDPPQVPAMDRGVPEILNFSMVPGKGEKCSEHSTTIALQSPFAEYNGCFELGLGQSVVPSNYPYADQHYGLLSPYGVRPTPSGRILIPPNMPADAPIYVNAKQYSAIIRRRHARAKAERENRLVKARKPYLHESRHLHAMRRARGSGGRFLNTKKEANGKTTGGGRKVMDIIIPPLCPAASPSSEQCNPSSVSSLSGSEVSSIYEHEDMDHFHSFDHLRTHFFTPLPSLMDVEHGAGNPFKWTAASDGCCDLLKA >OGLUM12G20740.1 pep chromosome:ALNU02000000:12:25677755:25678852:-1 gene:OGLUM12G20740 transcript:OGLUM12G20740.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPHAASPYVLSLLLLLSIPAVFLLAPRLLPPKTLPSIPDADETDDLALFRRAVLLSAAPDSSSASAGAASLFGRRPQPKVAFLFLTNSDLVFSPLWEKYFAGNHHLLNLYIHADPSAAVDLPATASFRGHVIRGTKATARASATLISAARRLLATALLDDPSNHFFALLSQSCIPLHPFPTFYRTLLSDSDNNGGSPRRPRRRRSFIEILDNEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLVRRHAVMVVRDRRLWNKFKLPCLTKRKDSCYPEEHYFPTLLDMQDPQGCTKFTLTRVNWTDSVDGHPHTYRPDEVSGELIRELRKSNGTHSYMFARKFAPDCLKPLMEIADSVILRD >OGLUM12G20750.1 pep chromosome:ALNU02000000:12:25683281:25688525:-1 gene:OGLUM12G20750 transcript:OGLUM12G20750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFPQNNRKEASKRGVEKHTAKKKKSKRVELELRNPSPDRRGGGRGGRLAKSVAILTPLRRWQAKWIKSLVGIKKHEKAQTSESSGVRSSTAQLLHKRKHSIDTESAAAVEELSVQTEPLACDTNIQAISNITSSPGTTLQVSQIELDTRENHAAIVIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRISLESQVTQKKASEQNVHEDHVREIEERWCDGIGSAEQMQAKVLKRQEAAAKRERAMAYALTHQWQAGSRKQKAATLQGLEVDENQWSQNWLERWMAARPWENRLLDTNAKESAPTGDDNHADENEAKAPNKPKGKVSISTTHPNGSNKKNGANHKKSHSDISGSSSGQSAGVLPTNSLGSSKLKPKPSDEISEEVNSQPSNLASRSTSNPKERPTQVNASSKKRLSLPNNGTMGGGVGKGATNGRTNQSMSSKNAAKGSSKLESKQQQRPNPPNTTVKRVEVKA >OGLUM12G20760.1 pep chromosome:ALNU02000000:12:25691598:25693598:1 gene:OGLUM12G20760 transcript:OGLUM12G20760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGCNSDNSRYYDLLGVPRGADGDEIRRAYRRAAVTHHPDKGGDEEAFKEVARAYQVLGDPALREVYDVYGEDGVNGGVGAAAAGFGRYDDAFDEFVETFRYLVAAGGADRAFGDAVEMLRHLVAGVAAGGGADAGGKAFDEVIVGMFKNMMSGGDSSAGGAGDAVEFVDLSLEEFYNGATKKTGSTLASPATCAACSGAGYKVVSQLMRLRRRGSEPCAACGGRGEVSRGLKRCSACRGSKVATDTKVLELAVEKGVPDGHRITFPGEADVKENGVAGDLVMVLRQKKHGKFTRKGDDLVYEHELSLAEALCGFQFVITHLDGRRLLVTSGAGEVIRPGQLKAIDGEGMPVHGMPFAKGTLYVAFRVAFPGTVTPALRDAVAAAFPAATKAAAMEDGGGCEETTTTTRDVGGEEEMKLNAKGEQSPTTRMEHGAGGEDEYVHVHGHVDEEEEDNEEM >OGLUM12G20770.1 pep chromosome:ALNU02000000:12:25707922:25716809:1 gene:OGLUM12G20770 transcript:OGLUM12G20770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTARVYSPGEARLYILNMVNVLAIRIVPSDADDVPFPIAVYGLDDLDRKCIPLFARSRDDPQLINSKDDSLILTGPHRGMVLIDALYFEINLKLKGDQVGSTEEDKQISKTLMYAFVSNAVEATVSVKVLQGHFYGKITTCTSMVKDNILLHDSSLMPGGGGVMAADHSNDQFVRLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKGHFYGRITACTSTVNDSILLHDSGLVPVGGGVMAADCGNDQFACVRRLRPVMAVCLQETMMVTVLAQVDGTEYNGQTMHFKPAVNGEGEARITCGVNSLLVKERRP >OGLUM12G20770.2 pep chromosome:ALNU02000000:12:25707922:25716809:1 gene:OGLUM12G20770 transcript:OGLUM12G20770.2 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTARVYSPGEARLYILNMVNVLAIRIVPSDADDVPFPIAVYGLDDLDRKCIPLFARSRDDPQLINSKDDSLILTGPHRGMVLIDALYFEINLKLKGDQVGSTEEDKQISKTVWRMNGVFLETNFLSQNLFTAVRPMTIDSCRNLYPLQLMYAFVSNAVEATVSVKVLQGHFYGKITTCTSMVKDNILLHDSSLMPGGGGVMAADHSNDQFVRLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKGHFYGRITACTSTVNDSILLHDSGLVPVGGGVMAADCGNDQFACVRRLRPVMAVCLQETMMVTVLAQVDGTEYNGQTMHFKPAVNGEGEARITCGVNSLLVKERRP >OGLUM12G20770.3 pep chromosome:ALNU02000000:12:25695446:25709830:1 gene:OGLUM12G20770 transcript:OGLUM12G20770.3 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIDALYFEINLKLKGDQVGSTEEDKQISKTVWRMNGVFLETNFLSQNLFTAVRPMTIDSCRNLYPLQLMYAFVSNAVEATVSVKVLQGHFYGKITTCTSMVKDNILLHDSSLMPGGGGVMAADHSNDQFVRLLRPVTAVYLHETLIVTILAQVDGTKYNRRTMYFKPAVNGEGEAQITCGVNSLLVKVCWSLMN >OGLUM12G20770.4 pep chromosome:ALNU02000000:12:25695446:25707480:1 gene:OGLUM12G20770 transcript:OGLUM12G20770.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDERESAIAEEKKVVVEEEEEVGDLEPFFYDEAAAVVAEKRRLQEEAVAAGKAERGRRRAAHRAVLDRISERDLETGETYYTRYHDQDLSEFNVDKVSPLPPMRFTAKAYRLSEASLYILNMVNVLAIRIDDGDVPFPIAVYGSVIARDDLDHNAEDDKQISKTVWRMNGVFLETIFLSQNLLTAVRPITIDPCRNLYPLQLMYAFVSNAVEATVSVKVLQGHFYGKITALHQHAHDGTK >OGLUM12G20780.1 pep chromosome:ALNU02000000:12:25716903:25717331:-1 gene:OGLUM12G20780 transcript:OGLUM12G20780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGIPAPTPPDTKQDVGALLPVPSIRRRVTDRSKSGHRVHVYQRAAHNLPRRIKCAGGGWGATHKCAWRTALPPAQALTQPPHGSRARASGRSNPSGPKGCDGAPLSPRGGGNGRSLFEGATHSGELGPPYVPYGKGFLSP >OGLUM12G20790.1 pep chromosome:ALNU02000000:12:25725237:25731140:1 gene:OGLUM12G20790 transcript:OGLUM12G20790.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIRSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRSVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDLGITLPRIQFLNLYNCRLHGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGETPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNDTKDFFVGGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHFGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRTALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEDYSDGNLVLAFP >OGLUM12G20790.2 pep chromosome:ALNU02000000:12:25725237:25733450:1 gene:OGLUM12G20790 transcript:OGLUM12G20790.2 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIRSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRSVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDLGITLPRIQFLNLYNCRLHGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGETPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNDTKDFFVGGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHFGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRTALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEG >OGLUM12G20790.3 pep chromosome:ALNU02000000:12:25732173:25736635:1 gene:OGLUM12G20790 transcript:OGLUM12G20790.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDYVLIAASMAALVAISAVMLACSNRRRRRRRRSPSQRSIDDVELGRAAGLDEAVLAEYPTTVYSCSSSSAAAPEEAAAAAVDAGDGTGCAVCLAEYEDGDELRRLPGCGHAFHRRCVDEWLRRRPTCPVCRSSPPARGAAAAAAAAGARSLVAGEMEVMTIVFMVVSVGAIVAMAVMLHMCARSGVPAAAAVASTRRRRETAGGGAAAGGGGVVVVVEAAAGGLDEAAIKALPKVVYGTAAAAESSCAVCLGEYGGGDELRVLPWCAHSFHRHCVDPWLRLNPTCPVCRTSLADQPTQS >OGLUM12G20790.4 pep chromosome:ALNU02000000:12:25725810:25736635:1 gene:OGLUM12G20790 transcript:OGLUM12G20790.4 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGWLELLIRLFERRGRIRRCDGEPRAGLFSGSPRSLVAGEMEVMTIVFMVVSVGAIVAMAVMLHMCARSGVPAAAAVASTRRRRETAGGGAAAGGGGVVVVVEAAAGGLDEAAIKALPKVVYGTAAAAESSCAVCLGEYGGGDELRVLPWCAHSFHRHCVDPWLRLNPTCPVCRTSLADQPTQS >OGLUM12G20790.5 pep chromosome:ALNU02000000:12:25726997:25733450:1 gene:OGLUM12G20790 transcript:OGLUM12G20790.5 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGSEMHGQCLFIIVFLIRSVHVLPGCIAQSSDEQTLLAFKAAISGDPNGVLDTWVTTKGSMNATDSICRWRSVSCRSRQHPGRVTALELMSSNLMGVISPSLSNLSFLHTLNLSGNRLTGGIPSELGQLPRIRVISLGGNSLIGNIPVSLTNCARLTHLELPRNGLHGEIPANFSNCRELRVFNISANSLSGGIPASFGSLSKLEFLGLHRSNLTGGIPPSLGNMSSLLAFDASENSNLGGSIPDTLGRLTKLNFLRLAFAGLGGAIPFSLYNISSLTVLDLGNNDLSGMLPPDLGITLPRIQFLNLYNCRLHGSIPPSIGNATKLRRIQLQSNGLQGIVPPDIGRLKDLDKLNLQFNQLEDKWDKDWPLMAALGNCSRLFALSLSSNKFEGDLPASLVNLTIGIEKIFMNENRISGAIPSEIGKFRNLDVLALADNALTGTIPDTIGGLSSMTGLDVSGNNISGEIPPMLVANLSKLAFLDLSENDMEGSIPLSFERMSSIAILDLSYNRFSGMLPKQVLSLSSLTLFLNLSHNTFSGPIPSEVGRLSSLGVLDLSNNRLSGETPQALAGCQSMEYLFLQGNQFGGRIPQSLVSLKGLQHLDMSQNNLSGPIPDFLATFQYLRYLNLSYNQLDGPVPTTGVFNDTKDFFVGGNRVCGGVSELQLPKCPDRSGKGSHRSRTVLIVSVSVGSFVALVLIAGALFVCVLKPMKQVMQSNETSPRPLLMEQHWKLSYAELHRATDGFSAANLIGVGSFGSVYKGVVGSEEEEVAIKVLNLLQHGAERSFLAECEALRSVRHRNLVKIITACSTVDHFGNDFKALVYEFMPNRDLDKWLHPTIDDDDESFSRVLTMSERLRTALDVAEALDYLHRHGQVPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVLGTNNNSIQYSSISAGIKGTVGYIPPEYGMGGEISVEGDVYSYGILLLEMFTAKRPTDDLFQGSRSIRSYVATAYPDRAMEIVDQAMLQLKEKDMFEKKTEGCIMSVLRVALQCTEDSPRARMLTGYVIRELISVRNTYEG >OGLUM12G20800.1 pep chromosome:ALNU02000000:12:25725498:25726309:-1 gene:OGLUM12G20800 transcript:OGLUM12G20800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCPSIQISLLDSIMHIQISLLDSIMQKRIQVPVQLLGREGRGGAVWARTLTGRRGSSTASWWRFANRLTTAVLL >OGLUM12G20810.1 pep chromosome:ALNU02000000:12:25737507:25741525:-1 gene:OGLUM12G20810 transcript:OGLUM12G20810.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGDEQQQQAAAAAQTAAEVTEAAAKEVVSVEMPAPEGWTKKFTPQRGGRFEIVFVSPTGEEIKNKRQLSQYLKAHPGGPASSEFDWGTGDTPRRSARISEKVKAFDSPEGEKIPKRSRNSSGRKGKQEKKEATENEEAKDAEADKEAPSEDAPKETDVETKPAEEAKEAPSEDAPKDTDVEMKTAEDASKTADADTPAPAPAGTEKEDAKPAESEAAPPAPSEGGEKKEDAKPAEPEAAAAPPSNPTEPSAPKAAAAAPVENSADKGPHQDSQPPSAAAPAKESSPVNNGQLPAGASAVKCT >OGLUM12G20820.1 pep chromosome:ALNU02000000:12:25741777:25743484:1 gene:OGLUM12G20820 transcript:OGLUM12G20820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRSHRLPRGSGPHVTVGLRVGGWELKRRIQSFQDFKLIWTRRSANKMAHRQVVTRKLGFLMSLLNCPTSYNSIYGYAKADAASHSVPVLILCLGISVYQYKCYSLINKVQTRKPLPSCGGVLCA >OGLUM12G20830.1 pep chromosome:ALNU02000000:12:25745273:25749299:-1 gene:OGLUM12G20830 transcript:OGLUM12G20830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARMWPWPPPARKFRVRLVVRRAEGLTATATASSSPVAEAKVAVEVRWKGPKASPLGSLRRVMHSNRTRLESAAEAAVAWEEEFERVETFTATSHRKSGAAFHPWDLAFSVFVNDSNKGPKGELILGTASLNLAEYTSASEEVEIILPLSVPNGSSESSPSLHLTLSLVELGPPHQSPDASQRSAVTAPLSPSSGDSVPSSKDEVSSVIKAGLRNLKILTDLVSTRRSKKTNRDDDGSEDKCYVHSDGAEYPSDTDSLDEDLDDRERDDGLGGSTVRKSFSYGSLQSVNYAGGLLYAHARIDGEHEDWIYYSHRKSEAGYSVEQEASSTAEEPVVSVSRRSLLPWKKKRKLNLRLLKVLKNKGEPLLKKGNDEEGGDDIDYDRRLLTTSDGNALEGSDSSINSMVSIFGDDNFVVGNWESKEVLSRDGHLRLSTQVFFASIDQRSERAAGESACTALVAVIADWFEANQDLMPICSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVSPNRSFIGFFQPESTEDGSGFDFLDGAMSFDNIWDEISRAAECSTEKPTLYIVSWNDHFFVLKVEAGAYYIIDTLGERLYEGCSQAYILKFDDNTTIHKVPAEKKEANPDSSGRLKDSSDSSSTDQDSGTDTEECELVSKGKESCKEYIKSFLAAIPIRELQADIKKGIIASTPLHHRLQIEFHYTESCPEEIPLPAPLPAIEAPFEFSWPEPPPAMEVTLAPAVAAI >OGLUM12G20840.1 pep chromosome:ALNU02000000:12:25767880:25771614:1 gene:OGLUM12G20840 transcript:OGLUM12G20840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSDLLNRCSRCYLHPSRCAALLRYLHPSRCAASAAPLPLHVVLPSFLLCTSSFPSFRVSESWWNRYDLMVFVLHPSPCLGMDLGLAAAASCMHSIQKKKLPALIHYQHEGLRCAGLLLLRHHRPKHHQRPGSNIW >OGLUM12G20850.1 pep chromosome:ALNU02000000:12:25768683:25769314:-1 gene:OGLUM12G20850 transcript:OGLUM12G20850.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWERLKERELGCSGRRADGSGGPHALMDCPTRMRQGKRTRSCSSELELQARTATRQSLAHADRGGAPHARRRPMRNTRGGTDLKLELTCAEIPKEGLGNVPLNLDLACAKLPESEA >OGLUM12G20860.1 pep chromosome:ALNU02000000:12:25772153:25779276:1 gene:OGLUM12G20860 transcript:OGLUM12G20860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATPDDDPPAAASEAPSTSSAAADEDDRVFLVLHRWWREAQEGGGIEAAGVPYAAAPSGPTSYGIGMKVLSMFISDQAFILRRADDLLQPDASASASNAASSRTYALVAADLFSKARAWHIDSGKNAGKKSLSIEEGSVNIYPIMLRVSVTRDTNALTVKISKKDNSAENFKRANKILTADSEPVHIWDFSGRTTFILMNEWNRMPQDTRSSDQEMPLEIHFYDLSEPTANGTNGKKDELALTMSRSMSNGSIMGMDLDSSGSSKQVGTGLIGLDNLGNTCFMNSAVQCLAHTSKLGELAYSFGDLLRKLWALDRTPVAPRQFKGKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHIARNDSIIVDICQRKGSVGQIKFPSLAGVVVALLFLLPKWTHGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTTMRTMTITVFNTDGTTGPSPYTVSVPKSGDTKTLIDALSIACSLKGDERLLVAEVYNSALIRYLEEPSEVISLIRDGDRLVAYKLPKDSEDAPIVVFRNQRMESTLTSFGRKSWKSFGTPLVSSLPDTINGSTIFELYQKVMTPFRVPKDDSSDADHIIGKSSPVEETTDVDMNSDATESTSINNNDCDDETMTENGMQFYFINERFPDQRMKIEMDQPIRLTASQKRLHVVVCWQDNGLEQYNFSSLDNLPEIYKAVLFSRRPQETCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPVHDLDLSKYIGSRGQQISNHYRLYAISNHYGSMGGGHYTAYVYHEGKKGWYDFDDRHVGPISEESIKTSAAYVLFYRRIQGDDNRLDDTETGIDSSDCTT >OGLUM12G20870.1 pep chromosome:ALNU02000000:12:25794940:25803424:1 gene:OGLUM12G20870 transcript:OGLUM12G20870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQIAPAEQVCYVHCNFCNTILAVSVPGNSMLNIVTVRCGHCTNLLSVNLRGLMHSAPALQDHHHHHLQESGLSGCFRDQSGYPEFGFSAASSSSKLRLPPAAAAMVSYSQQNQQLEQALHARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSPGHEGGKKLVDVDPIPTAPSSKKIQGFYS >OGLUM12G20880.1 pep chromosome:ALNU02000000:12:25806157:25806405:-1 gene:OGLUM12G20880 transcript:OGLUM12G20880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPRTRPPPPPPPEAAPAFRGHWICAPASLLRMGASDPPRGHWIHALVPLVPEAAISARKWRKEEEGKVEEEKKSEMERK >OGLUM12G20890.1 pep chromosome:ALNU02000000:12:25818846:25819409:-1 gene:OGLUM12G20890 transcript:OGLUM12G20890.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVAPIAMPARVHHHHHHRRRALAASPAALAAAGNGLSATRRVRRSPAVEMRRERERRRAREQQPRCGEVAGGTAAECAAVFCCFPFAVVELVVLAAVRAPAALCRRAVRGGRRRRVRSTKPKETGAMDIASPRSLAAAAAKARKVDADFPATPKAEHLVDMEKEVWASFYGGGFWRSPSQREDRR >OGLUM12G20900.1 pep chromosome:ALNU02000000:12:25819747:25825686:1 gene:OGLUM12G20900 transcript:OGLUM12G20900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC (cAMP-dependent, cGMP-dependent and protein kinase C) kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62310) TAIR;Acc:AT5G62310] MEQKDSGGGGGGLARRLMLDASGREMDSPRFRAILRATSGRRKRAPDVKSFSHELNIRGPLLHPLQQRKPRGCVNSPEEFIGAIRTKFIRLKEEVDAELGVFAGDLVGALDKADGDEERVALEDLLVVAQRCAEMSPEELWVKCECIVQGLDDRRQELTAGVLKQAHTRVLFILTRCTRLLQFRKEGGGYVAADDKQHVLSLHQLSDLGLYPFKVAGGSSELGRKSTSSLTELKERLIRRRMLEHKQLTLDFSAGRVISSDTGGDSPGSGGKISSWKKLPSPAEKTLHKVTEPTTEEKTTPTKKKAITRNKVAVDEIVERIDAASIHPDGLSYLGDSAVKLEVPSGFPEAQQIIVDGKPRMICRICDFEIPMVCAEGHFIVCTLADRCDSKGLNTDQRLQRVAEVLGRVLGCFESKSPQTAECNHNETARGSTSSLTESDGSMDHDILSHLLTVPSTELFSEGALTPASGSMPQSPLLTPRTSHAESQLTKHKAFVELENFQQVESLITIARGIERIKNSEYNSLEDLSSYLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFIQLCGQIEDSNTDSLGSIDEDGPMESSVSSRTSQMNGKFKDRTSIEDFEIIKPISRGAFGRVFLAKKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYMAELVLALEYLHSMNVIHRDLKPDNLLISRDGHIKLTDFGLSKVGLINSTDDLSGPDVSNVLVGDHQPADAEQRAQKREQRQKQAAVGTPDYLAPEILLGMTHGPTADWWSVGVILFELLVGIPPFNAEHPQIIFDNIMNREIPWPQVPEELSFEAYDLIDKLLIENPVQRLGATGAGEVKAHPFFKDINWDMIARQQAAFIPSTDDEYDTSYFACRHTWDDQVNVASNDYDDRSETSSMSCGSSPHSCDYEEDADEFGSMEEFGAPSLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQLQEGASSTVNSHSFATIVWSMTN >OGLUM12G20910.1 pep chromosome:ALNU02000000:12:25826641:25827142:-1 gene:OGLUM12G20910 transcript:OGLUM12G20910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQQPSPTLVGRRRCMDRGGGSMGLIALALASTGIIIISYSLHRRLHADLKLAIARAQQQQEQEKPQRRRERTRRVRFAADVVEPSSDGDEYRRRYVAGRPSPAPMGNSSSSSPPARPFARPRRVIQSHPAS >OGLUM12G20920.1 pep chromosome:ALNU02000000:12:25829718:25842967:1 gene:OGLUM12G20920 transcript:OGLUM12G20920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFQNPEQPKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKSDSAVPLHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKCHKISTSFGRSSIVGPIAQSYHHGCQDSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCSTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFIGNKSVHGLYDFLLNYKSFLNSLSATDVPVLYSPVPFQNGCLYIPEVKCKEMRKADIGRVSGGFDAEETGSTFASVTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFELMMATEPSSMGLNAAFSCVSSNSQSKAHASEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTAVMATEASSMGLNAAFSCVSSNSQSKAHSSEGCESLGIPEATLVPSLRSASSLRRLSYKDGDYIA >OGLUM12G20920.2 pep chromosome:ALNU02000000:12:25829672:25835539:1 gene:OGLUM12G20920 transcript:OGLUM12G20920.2 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAAVQSSLNGDLLIGRAAGVPRMKRKTPSELRGEQLKRRASDKPANDQSLHSAAFDRASNGFQNPEQPKISKYISTRVTEVFPVKKSRNLGKENFKNNEKAPKSADAVTTSDFASPSLPCGYGDSAKSDSAVPLHTEAAKPSFRKVEKCSENALRSVSELHIGDEQQSGTNKFDMEKVLKGFGARDAFVASKLTDPNIQVGVVPSKSLDLCPSEIAVPGKRAPLDLTLKTTLQFVSSSSVKWCHKISTSFGRSSIVGPIAQSYHHGCQDSGCSRPERNKEFLFSKALQSWVYPQSLLPASIISAMVSSTVRGESDFLLKRHQDWEDSFQNLYYMLRKNLLNIFYVYTPQFVALFIGGNCLDKKQTCNAYLSQSTRGIRSLLRRHGVCFSMPLCSTEVEQVTEDDLIELSEIQKRNLGQALHIDAMSEVDNTTQSLLSFIGNKSVHGLYDFLLNYKSFLNSLSATDVPVLYSPVPFQNGCLYIPEVKCKEMRKADIGRVSGGFDAEETGSTFASVTGNICYSMEIKDPVLPPWVVSGICAAMSSDANSFELMMATEPSSMGLNAAFSCVSSNSQSKAHASEGCESLGIPEATLVPSLRSASSLRRLSYKDGEYIAYTAV >OGLUM12G20930.1 pep chromosome:ALNU02000000:12:25836594:25841073:-1 gene:OGLUM12G20930 transcript:OGLUM12G20930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESNYTGFNSWKSHPTRVKGKLKLNPKFPIAADTSDILLDTDPGTLDRALLDKKLETYKKAFPGLAILGWYSIGALIGTTCYLQFNPAMNVSQKDLPVTIYEKEAERIPVDHSCPVASDVSASPLGKGQHTKIMRPCGFKLMLFETPFGFAMFQVSQELIARPKDIWARFAYQDDITNVIVTLGSIQIHNKSVARDITVGSGDELKEFILRFCTHSYLIVQDVELKDVIEKKLGLNYVLHELLPQEQILRRECYLPLSKALAKQIKEYGFKISPREIDREFLRTMSFLNYLEYMSERYSQLLDHLFRQYRKSYDMSDLDFAKSIASRLHTSEEMVPRDERYSRAEIMEFIDFVIAAPRNRSQTLSFLGRIEAASIDDSPPPPSPPTEMGASDSPPPPSPPTEMGASGQKRLHLIALCCLGCTIALQAFYRRV >OGLUM12G20940.1 pep chromosome:ALNU02000000:12:25845287:25845622:1 gene:OGLUM12G20940 transcript:OGLUM12G20940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRPAGGGTEVAWASSQGRSGGMQRAGGRVLRQVRKSAGGGAPVRSWWKPCAANACVVVTELMGDKLFESLQAKASLGDHRAGSGYAFGCRNPLGGAVVGTSPPFLASSL >OGLUM12G20950.1 pep chromosome:ALNU02000000:12:25851783:25855270:1 gene:OGLUM12G20950 transcript:OGLUM12G20950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAAVNASRVMRRRAAGEDLGDGGDGDGDFWAGGAPRLYDFSQQEQKPFLPAPAPAPPSPAPVPASPPSPAAESVAPCLLTLQCSGVGWGVRKRVRYVGRHHHLARHHAPERAVDAARDDDEASSAKAKNESPKEEAAAAEEDDDNVEHKVAVPTTSEEKKRRRRRKRGRGRVRGHGVAKRPKKEEEEETKLSAPKAEQLEEEEGAAVAAPSGMIDRWKATRYATAEASLLAIMRARGARAGKPVPRGALREEARAHIGDTGLLDHLLRHIADKVAPGGAERFRRRHNAGGGLEYWLEPAELAAVRRNAGVADPYWVPPPGWKPGDPVSPEGYLLEVRKQVEKLAVELAGVRRHMDHLSSNVSQVGKEIKSEAEKSYNTCQEKYACMEKANGNLEKQLLSLEEKYENATHANGELKEELLFLKEKFVSVVENNTRLEHQLTALSTSFLSLKEELLWLEKEEADLYVKEPWEDDDEKQEHDAGKEAKDDDVAGVGAANDQPDVDGDGTTTTTTTSSNGGSGKRTSRKCSVRISKPQGAFQWPTPSLPFSPELAAPPSPPLTPTAPVVAGAANFATMDELYEYMMAGGLPTPPSTTSNAGKLPSLPAATACATTPPVKTADAAGDVGTELALATPAY >OGLUM12G20960.1 pep chromosome:ALNU02000000:12:25856324:25861418:1 gene:OGLUM12G20960 transcript:OGLUM12G20960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKISSLCTALSSVLDHADDSSRALSDALSRRPIHLESAARGFLQGLERRSEAAGADLSRLESMAFGTVSFEELLGHCGEALAMFSRHADAIESRLVAFGYVPPDEEASEDVEEDWDVEKLPGVAGNGCFGGTSSVLRSSREMVDDDDALFENSMSLKNLGFSDACLATLSSQDSGLSGSTEILYRKPESVADVENKVNDAESMIPPKETNGQGNDAQGAIKASKEEYEKLPPYMKTLATWEELQEAISKLNSYFSSDKTQGNVALNQDDVGEIGLGRKGRSYLLILLRMNQLAMENIDGSIFYNIRKSDS >OGLUM12G20970.1 pep chromosome:ALNU02000000:12:25859631:25863861:-1 gene:OGLUM12G20970 transcript:OGLUM12G20970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEEGGAMRALMRRKQVDSDRVRAAGGHQLAKELSVTQLVAIGVGSTIGAGVYVLVGTVAREHAGPALTLSFLIAGVAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLDSLPWFLARHELPWFDVVVDPCAAFLVLVVTALLCKGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSVCCSLYMLVSVVIVGLVPYFAMDPDTPISSAFARHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNQRTQVPVKSTIVTGICAACLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYAPPDEVPLPSSLEASFRLSQEYDEEKVRGPPVDANHEQLSSVVESINDTLIEKKQDTSVESKRRKAAVCSISSVCVGVVVLTTSASFTFLPFLLRCFFCVFGGLLLLAGLGVLCYIDQDDGRHSFGHSGGFICPFVPLLPVMCILVNTYLLVNLGGGTWMRVGVWLVMGVFVYIFYGRTHSSLTDVVYVPVAQAEEIYGSSSSSGFVA >OGLUM12G20980.1 pep chromosome:ALNU02000000:12:25867935:25869940:-1 gene:OGLUM12G20980 transcript:OGLUM12G20980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGRGSRGGGERGGGGVVEEERSGGVEAAPPVKRRRVAVAPSAGAGGSRRVQAEASPLQRLFRACRAVFRGPGTVPAPGEVDLLCSMLDKMKPEDVGLRADQEFFTARDDDEGIPLIKNTTLYECDNFTMIIFFLPRNAIIPLHDHPGMTVFSKLLIGSLHIRSYDWVDPEPALSCSSSSGDQLRLAKRVVNGVFTAPCDTSVLYPTTGGNMHRFRAIAPCAILDILGPPYSTEDGRDCTYYRAIPYSRHSVKNGAADQLTGVDEEGHRLSWLTETIPRMLRMRQIRYGGPPISDDE >OGLUM12G20990.1 pep chromosome:ALNU02000000:12:25873031:25878192:-1 gene:OGLUM12G20990 transcript:OGLUM12G20990.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGIGCYSDIGTITGVEAPIIASCPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQV >OGLUM12G20990.2 pep chromosome:ALNU02000000:12:25873454:25878192:-1 gene:OGLUM12G20990 transcript:OGLUM12G20990.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase family protein [Source:Projected from Arabidopsis thaliana (AT5G41480) TAIR;Acc:AT5G41480] MLPRFPVSLLRSRSLLRGSARRGLVTAMAGARGGGGGGEDGQLGEFYEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYTSPHLLTIRERISVGQSGGPVSAELLRDLFGHAKEAIGQSIESEDGALTHFEVFTALSYLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGKEHLAALGGSLQSIAVAKSGIIKQERPVIIGGPFSPDIEQILRDRAFLTQSPVISACDPGIRSITRCIGWDCGKPYQSCDIVIEISNDIPLFIELQDLKLQLLGDHQRQNAVTACCTALCLRELGWNISDTSIQAGLEKTQLPGRSQFLTKEETSVLGLDGTSSVLVDGGPRPDVVLLTEASIAGGRSRSMPASSLKEIWMAAAQSQGIGCYSDIGTITGVEAPIIASCPTTSRSLSGDKPMLIGCCTPFSSDLIRVASRLLQTQEDGDTGLICVTGSLHMVSSVLGQLRQ >OGLUM12G21000.1 pep chromosome:ALNU02000000:12:25883011:25889087:1 gene:OGLUM12G21000 transcript:OGLUM12G21000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRASIWKQMAGAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFSYSSHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFALLSLLSSILPVYKRSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSKLAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYNEVKPALTNMVSAAKLIRTQLASAK >OGLUM12G21010.1 pep chromosome:ALNU02000000:12:25896487:25900898:1 gene:OGLUM12G21010 transcript:OGLUM12G21010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVGYPRMGPKRELKFALERSSPRVTNEEVQKAAAALRGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEDEYVSAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNAMTVFWSKMAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRLRLSFLLRLTFSHLLRFETCYQIALAIKKEVEDLEAGGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLDTNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASAK >OGLUM12G21020.1 pep chromosome:ALNU02000000:12:25901317:25902742:-1 gene:OGLUM12G21020 transcript:OGLUM12G21020.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRRVYEEVEPEVEWRQAGEEQDVVEIALPGFRKEQVRVQVDNHGMLRATGERPPAARGGRWVRFKKDLRLPDNCDADAVRARFDDHKLIITLPLVAAAVDVDESSATSPEFKTPPALSPVPPPPPPPRTRRRVEPPHRPPPPKPQPPPPPPRAPVKMPRVLEPKPSPPPPPPPSPLPPPPEDYWSPTAVTPPEPTKPKPPPPSPPPPPQQPSQRYWTPPPAITTEPAKPAAGKPTSSSPPSTKDSPRPPLPAPSPAANGGDPRLPATTPLSKQKRKEEKREKEAQEMGRAGAAAAEEERPPATATASGGGRREAEERRLMVNMAAAAAVLVGIIVSVWRTLSS >OGLUM12G21030.1 pep chromosome:ALNU02000000:12:25906558:25910764:1 gene:OGLUM12G21030 transcript:OGLUM12G21030.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRTLHRRRMRNAAAAAFLALAVVVVFFLSVFVATRNEADIFFSGVAAASSSSPDSSSGGCRELQALDGDGARCRYLREHAPCAPVGYVDYLRLLYCGFGRAPWLGYAALALWLLVLFYLLGDTASAYFCASLEGLSAVLRLPPAIAGVTLLSLGNGAPDVLSSVVAFAAGARGGDGGGGGGEDAGDVGLSGVLGGALFVSTVVAGVVAIVAGRRGGGEPVIIERRGFVRDVCFLLVALCYLLAVLLTGAVTVWSAASFLSLYAGYVLLVWTSYCCATASDELEVDDTKQPTSDLAAPLLVVDDDDASPPPLPVSSSSKPTSAPRTFARRLVDLLHSPLYLPRRLTIPDIAAHRWSKPTAVATALLSPLLLAATTAPTTTATTLLAATLAGALLAAAAAATTDAASPPKSRSARLPWLAGGFLMSVLWSYVLARELVALLVSIGVAAGVLGATVLAWGNSLGDLVADVALATRRGDGGAGAQTAVAGCYAAPAFNTVVGLGLSLTVAAGARHPEAYAVEGGAAVYVAVGFLAAALVWAVAVLPARGMRLDAVLGVGLLVIYFVFLCVSLAILTPLPSPH >OGLUM12G21040.1 pep chromosome:ALNU02000000:12:25907261:25908979:-1 gene:OGLUM12G21040 transcript:OGLUM12G21040.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRPTPSTASSLIPLAGSTATAHTSAAARNPTATYTAAPPSTAYASGCRAPAATVSDSPSPTTHPATAVCAPAPPSPRRVASATSATRSPSEFPHASTVAPSTPAATPMETSSATSSRASTYDQSTDIRNPPASHGRRAERLLGGDAASVVAAAAAARRAPASVAARRVVAVVVGAVVAARRSGERSAVATAVGFDHRCAAMSGMSGEWRRSTRRRANVLGAEVGLEEEETGSGGGDASSSSTTRSGAARSEFVGGGGAAVRGPDEEDVAGVEGEERRGGPDGDGAGEQHGEEVAERHEEEAHVAHEPAPLDDHRLATTATPGDDSDHAGDDGGHEQRATEHAAEANIPGILPSAAAAVAAACPRGERHDAGEHVGRAVPERQQRDAGDRRRQAEHGGEPLQRGAEVGRRRVAEEVEEHEQPQRERRVAQPWRPPEPAVQQPESTYPTGAHGACSRRYRHLAPSPSSACSSLHPPDEESGDDELAAATPLKKMSASFRVATNTDKKKTTTTARAKNAAAAALRILRRCSVRKKAAMGEDREEVGA >OGLUM12G21050.1 pep chromosome:ALNU02000000:12:25914146:25917770:-1 gene:OGLUM12G21050 transcript:OGLUM12G21050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMGPRYGGGDGGGGGGGGGGGAKAVARSKTTVKKEGCDAAVARSKTTVKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSLAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDREARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQQFYRSIVSVNQIFSGTSPFRTAFSACAYFDIYAISSNVKSKRKIEVLGGFVLQSIDYDICTHVAMAGDYSEGAVLIWNGEGKKVVNIQWIDDCYIQGIKIPENDAPAIQALIKTPATSQGARDTIVSTSKKLRPCYGYQRRSVRRKLEYDRAFEEKAYHLNHNLFVCKMDETMYTSLRNYCKFNKDRLILQTITPQVKSPPEQIPWTNPLEPKEGASTSTEEEEKNMCRHISYTTSNEVKCKIRNAYVLLKNFHRAGLTFGGHFTSENFLMDTCGNMRFGNLSKGVINKLEDGDIEKDTDRFVKMIREEVFVSVTTLPLDIIQWIELIDRCARGYDSLAEDYITLKDGYRAAAHFMSLHNMFEKMETTDNPTYEKIKTKLSKYTKWKKGVEAPDGNTHLKETLDFIDPATGRKAYYSDDICGLLKLLRNTRQHGARAKEDVFVLIVAQNFPRLMGDFQKVMFKQGYTLNESVDSSKNQTFACQFTKSHTDSGIQKVDHEDSRHLQVTLKELN >OGLUM12G21050.2 pep chromosome:ALNU02000000:12:25914166:25917770:-1 gene:OGLUM12G21050 transcript:OGLUM12G21050.2 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMGPRYGGGDGGGGGGGGGGGAKAVARSKTTVKKEGCDAAVARSKTTVKQEGCDAAVARSKATVKQEGCDAAVVDGEEVGSRRKYAGEVEERKPVVGLKVKAETGKRSAADGEKSLAAAAAVVGRYAAGLKNKAVPGDTSTAGGGARSGGGFGRRSGDEESGIDREARGHISGEGSAAGADRGGRINRPFAGMRFILHGFPHLLKEQQFYRSIVSVNQIFSGTSPFRTAFSACAYFDIYAISSNVKSKRKIEVLGGFVLQSIDYDICTHVAMAGDYSEGAVLIWNGEGKKVVNIQWIDDCYIQGIKIPENDAPAIQALIKTPATSQGARDTIVSTSKKLRPCYGYQRRSVRRKLEYDRAFEEKAYHLNHNLFVCKMDETMYTSLRNYCKFNKDRLILQTITPQVKSPPEQIPWTNPLEPKEGASTSTEEEEKNMCRHISYTTSNEVKCKIRNAYVLLKNFHRAGLTFGGHFTSENFLMDTCGNMRFGNLSKGVINKLEDGDIEKDTDRFVKMIREEVFVSVTTLPLDIIQWIELIDRCARGYDSLAEDYITLKDGYRAAAHFMSLHNMFEKMETTDNPTYEKIKTKLSKYTKWKKGVEAPDGNTHLKETLDFIDPATGRKAYYSDDICGLLKLLRNTRQHGARAKEDVFVLIVAQNFPRLMGDFQKVNSLKATQILESKRLTTKTADIYK >OGLUM12G21060.1 pep chromosome:ALNU02000000:12:25920557:25921642:1 gene:OGLUM12G21060 transcript:OGLUM12G21060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRRDDDDDGGGEAYRRHHHDKRPRPPAPPQQKHLYLVLDDWDRGYSIHKLDVDLDDAGVIHGGGGGPAVRFAAPGSSCDARFFPMRGDSVVMVSDAAPTLVYDTGAAALTVESPLPGLLAGGMAIAMPGGERLYALTSLGERFPRAFEVLSTGGGWSWKNAPVAPPPPPFEEAVAAYAVHPDGHTVFVSTSGDGGGGTYTLDTKRGEWRRHGGWLLPFHGQGFFDAELDAWVGLPRHGDTICACQVPSRGGARPPEWDTMDDDDVVPSWKKSRRRPGRRRRATLTYMGDSKFCVVDSVECDGDDGGGVVTPPQCEVHVAVFGLKYNRRGELKATARRAAGSFRVPKHFSWFSPVAFWM >OGLUM12G21070.1 pep chromosome:ALNU02000000:12:25922808:25925226:-1 gene:OGLUM12G21070 transcript:OGLUM12G21070.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDPLTNKAAFSGLRQYVKELDSNTLPPFLARVCDPVKPSSFSEEEMLCIFETAAQAHGHKIVPHIALIVSAIVRMMSSRNAVGCSKVICALSRYVVDPLATEALKSAIIGSLCRPFADCLMSTKVESNSFGSALCVAALVQSNNWRFASNELVNDVCLKVSGALEEAHAQSIAHLNLVVVLLTQNPLMLEPYGRSLIRSGLQILDESAKASSSQMIISSIQMIHSIMKGLDLGIISSEISSIIHAMEQFQDDTMPAISIAAFEASETAKLLVGRQKESGHGNNLSQLANYSVRNGRKGSYSHSLMDDADIRDDGSCDSHSCDLNSVHLSTDFDSQHSVGQCGSGSTRARRRLWCNKSDKSHGMSNHDLFRTVIPDSHEASGLMAHFSSVDPIKPDRRLSDVPTRVAGPCYVCSAAHETNHCSQISRAQVLSGDMRMNSTPRKQLHSCTFCRDPEQDGHPPLESPAIQHCSGPGTNILQFRKNSELKERKEFWDSIRQENQCHMQNTDALIEDLKFPADDDDEDFDGAAKSPCQECQAVNEKKTGGKKRNANRSHYPVFLFVFVVAIIALFFPWWKDYKEPYIVPT >OGLUM12G21080.1 pep chromosome:ALNU02000000:12:25930932:25934339:1 gene:OGLUM12G21080 transcript:OGLUM12G21080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVHPPNAAASSLEELFPHQPATESDRSGIEWLSVYVEDCLSTSASCTNPVSAELPPITMASQGAAKPKLPPRSSTNARKKKRSLASVISDTDDQHCITLFVEPPLLLLDHKDWLAESELILPKKDKDEELVQEQEQEEEENYKMSAGMQFQQEQLVITCSYCLSSQSPQWWDGPSGPTCDACRLRIEARNGHTTSSKKRYGQEIDKEQDIGKRRDKKKIKKAVYVNDGLLSEEPTKRCTHCLSYKTPQWRTGPLGPKTLCNACGVRFKSGRLLPEYRPANSPTFVSDIHSNSHKKVMQLRNSVPHPRK >OGLUM12G21090.1 pep chromosome:ALNU02000000:12:25936563:25940856:-1 gene:OGLUM12G21090 transcript:OGLUM12G21090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVG9] MAVQVQRTPLPRSTYTGHLGYLLRAPPAVTRCTVDRAFPRSHGPPLPPLLYKTSRPDASSSNSTSRHFPRRSKDCCCFAVRSIPDGIMGETIAKDVTELIGNTPLVYLNRVTDGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGKSVLIEPTSGNTGIGLAFMAAAKGYRLVLTMPASMSMERRIILKAFGAELILTDPLLGMKGAVQKAEELAAKTNNSFILQQFENPANPKIHYETTGPEIWKGTGGKVDGLVSGIGTGGTITGAGRYLREQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFVPGVLDVDLINETVQVSSDEAIEMAKALALKEGLLVGISSGAAAAAAVRLAQRPENEGKLFVVVFPSFGERYLSSVLFQSIKKEAENMVVE >OGLUM12G21100.1 pep chromosome:ALNU02000000:12:25949732:25951849:-1 gene:OGLUM12G21100 transcript:OGLUM12G21100.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFRRPFQRPVHRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGPLDNIGLRLPRPDPSIAMEARKKRKVTMELDLMISHLHIDVFDDVFPHKHCDVRIARNNGMSTTIGASPSSRIAQNDTSLHRVNTCQRSREILYPFLPVDIALIVMLLDIQAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >OGLUM12G21100.2 pep chromosome:ALNU02000000:12:25949732:25951446:-1 gene:OGLUM12G21100 transcript:OGLUM12G21100.2 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDLLCTIVTDSLNLGYGKVACCPQVHDIHILKEWFGDWDGCGARHSNTNTIFSTNLGTNETENRPGAPSSESSNHTISFISTPEIGISMSVFRRPFQRPVHRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGPLDNIGLRLPRPDPSIAMEARKKRKVTMELDLMISHLHIDVFDDVFPHKHCDVRIARNNGMSTTIGASPSSRIAQNDTSLHREILYPFLPVDIALIVMLLDIQAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >OGLUM12G21100.3 pep chromosome:ALNU02000000:12:25949732:25951446:-1 gene:OGLUM12G21100 transcript:OGLUM12G21100.3 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDLLCTIVTDSLNLGYGKVACCPQVHDIHILKEWFGDWDGCGARHSNTNTIFSTNLGTNETENRPGAPSSESSNHTISFISTPEIGISMSVFRRPFQRPVHRRLAFNPINPATPIDQHFVSSSDGPTVPGVQSLPAVRGKGPLDNIGLRLPRPDPSIAMEARKKRKVTMEILYPFLPVDIALIVMLLDIQAQPPQFMFRSHFCCSTLMFYLDDRKASRQ >OGLUM12G21110.1 pep chromosome:ALNU02000000:12:25982426:25988757:1 gene:OGLUM12G21110 transcript:OGLUM12G21110.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSPPLSTSLPLSSSFLSLFSSVGQHSRRWGRRESGGGGDEAAAAGDEATTLEKGDACIRLLAFASQPRGSPRLLCLPNPPTVASLSSSSACTAAGSFPRTSSPSAPSPWSPADNDDTSQPAIETSRGTGEPDLSRIVHPPSGNHQGADCAKIEGLLGTTTCVNLQEHFIVVLSLTPSCDFNLGLLAEKNVP >OGLUM12G21120.1 pep chromosome:ALNU02000000:12:25989194:25989856:-1 gene:OGLUM12G21120 transcript:OGLUM12G21120.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQAQPIRPGDVYPPSAADHHQARRERDKAVAADQGGGGGDLRVTEADLPAAGKRVVTATAGGQVMAQFTVPVPSAEEETTDAVTIGEALQAAGSDDNEPVGLADAAAVQAAEMRATGLAGVVPGGVAAAAQQAAEANMRRRPDGDDGENKATTTTLMKDVVGGAAEALPADKVATREDADRVAAAAARNAARRGGGGGKGVAEAVAAAAEMNEGRMV >OGLUM12G21130.1 pep chromosome:ALNU02000000:12:25999396:26003333:1 gene:OGLUM12G21130 transcript:OGLUM12G21130.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHYTOENE SYNTHASE [Source:Projected from Arabidopsis thaliana (AT5G17230) TAIR;Acc:AT5G17230] MASSSSAAALWTAAPHPHGSCIRIHAIFHQRHQRRGRRPVVVASSVRPLQAASLAVATAPVAVASRRTAAEEAVYEVVLRQAALVEEATHRRGAGAPRWAEEDAVDWGLLLGDAYHRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNSSYITPKALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYRSFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDSRRGRIYLPLDELAEAGLTEEDIFRGKVTDKWRKFMKGQILRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVNKAKKLLSLPVAYARAAVAS >OGLUM12G21140.1 pep chromosome:ALNU02000000:12:26003928:26012304:1 gene:OGLUM12G21140 transcript:OGLUM12G21140.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFAGVGGGAAGKEAGTVGGGALLELTPHKLALCHLVQVFAPPPQAGVSAPALPFPFESVAHHNRLGLFLFALTRSCEDFREPPLEELLRQLKAVDALVNGWLCEQLTSTLSALTSPDDLFNFFDKLRGVLSAPEGANVEDEFLDPNSQLGVFLRCCILSFNTMTFEGVCHLLANLVEYCNSADTSYDLAEDEDFNSEMEMSNFMDTNMHVRDGVFDKYNQGYAPRSHMVDSSSSLVHAPASLHDFEEANMFKADDNLGPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMSQLQKLAPELHRNCEEFLVTMVYFDLYSTCQVQFLQYLNALTHDDYVAALDNLHRYFDYSAGMQGLFSRTASPFQDIIVGKYESALLCLGNLHCYFGHPKKALEAFTEAVRVSQMNNDDSCLAYILGAISNLLSKIGMSSTVGTIGSPYSLGNNIGLGTPLSIQQQLLVLLKRSLKRADTLKLTSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDGLSASNDNGSFSTSWLRNLSAASNSWCSSSKKSGKLLTNDFDNFHFHAQPSPIPASVLQLAGSAYLLRATAWEHYGSAPMVRMNSLVYATCFADAASSSELSLAYVKLIQHLATFKGYSAAFSALKLAEEKFPLSANSHIQLLKMQLLHERALHRGHLKVAQQICDEFAVLSSSVSGVDIELKTEARLRHARTLLAAKQFSQAANVANSLFSTCYKYNMQVENASVLLLLAEIQKNSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLTELWLALGSTHAKRALSLVCQSLPMILGHGGLELRARAHIVLAKCYLSDPKFSEKPVPLCPFIMAVSEDPSAVLDPLNQAAEDLEVLEYHEMAAEAYYLKAMVYNNLGKLDEREEAAASFKEHTLALENPYNEEDSLAC >OGLUM12G21150.1 pep chromosome:ALNU02000000:12:26014322:26014714:-1 gene:OGLUM12G21150 transcript:OGLUM12G21150.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMIRRLSRVGDCSSPSSPPPFSPAPRRGGGGGGSSGKRSSSAAAAHEGVPEGHVPVYVVGEGDGGEAAAAERFVVRAELLGRPALAELLRRAAQEYGYDHHGPLRIPCRADVFRAALAAAGDEDDDSE >OGLUM12G21160.1 pep chromosome:ALNU02000000:12:26016809:26018468:-1 gene:OGLUM12G21160 transcript:OGLUM12G21160.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNAAPAAAEDEQLALDDVAGISELAKCFFSAHKVPVQDDATSGYLHVFLLAPLLVYNATTGGAGYYAQLCYTLGIGSQGSLISLCVV >OGLUM12G21170.1 pep chromosome:ALNU02000000:12:26020266:26023275:1 gene:OGLUM12G21170 transcript:OGLUM12G21170.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVH9] MEGFLCDRLLDAAQPIAERFRALFSLRNLRGDAPRRALLQAARDSSNLLAHEAAFALGQMQDAEAIPALEAVLKDLSLHPIVRHEAAEALGAIGLEKSISLLEESLAVDPAVEVQETCELAIRRIEEQKNTSGVESATVSPFLSVDPALPAKQGLPVEQLRHVVQHSPTCLHCALELLLNEQESMYERYAALFALRNDSGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSTVLKNVDEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKAFEFLFLQTPQVQQES >OGLUM12G21180.1 pep chromosome:ALNU02000000:12:26023877:26025372:-1 gene:OGLUM12G21180 transcript:OGLUM12G21180.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPPPAVEKKTAKKKKKKRYAPNRLYKQRPSRRVPPFLPPPRPPPQSSPLDPHLPLPLPPPSFITASVPFPMAEDEFELDEEVFLDIPVDVVHSVVALAAGGGGALQPAQESGGGGGGGDALHPERERALHPAEDRGGVALAVEVVPPREPDDEQGTGAGHGGEEEETDIVVGDYMERGGGDDDDVFSSTCLTTVLFTLPSSSTAPPSFASHHHHFHRRPRTPTTPTPICGAHAHVPQAWRNLVGEDGDAYASELSWLPPLGNASAAVLPVDTLRVAAGAGGPYHSVVALFVAAVIFAVLGYLIKHLMTH >OGLUM12G21190.1 pep chromosome:ALNU02000000:12:26027044:26030498:-1 gene:OGLUM12G21190 transcript:OGLUM12G21190.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVASARLLARLLPEVEDSSTNAGGVMAVSFRRKVLYTAVSLLVFLVAGELPLYGVQNYYGGGEHDPRYWMNAMSASLRPTVMALGLVPLLYSEMVVHLCMALKIIGVHDDRLPDHRRRLERARRLFAMQIAIVSPVAIVLYASAIAGGTPFITTAALVFVFQLIAGGLIAIYLDDLLRKGYGFLSGLSLFSAANCCACIFWKALNHAYPWMMMLATLAFFLLVLIILGDHHITLPPMLSIKYGETNKVVNMLGIWKEMRQYPGHYWPVSGIASYITTPLTVCSRSKRYLVRLLKKTQRTRVSPEQLLQEHDEDESISPRRCRHYMTMAAYIVGICVGLLNLLAGFMGLGGSGPAIMLAVTVIHNLVEGRNTGHVAAFGL >OGLUM12G21200.1 pep chromosome:ALNU02000000:12:26038998:26043978:1 gene:OGLUM12G21200 transcript:OGLUM12G21200.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAGRYEDSGVSVSFRRKAAYTAASLLVFLVAGQLPLYGVKKYNGDKDVPDPLYWMNCMFASSNNTLMTLGIIPLLLSEMAVRIFSALIITRWPPFHHVRLNRARKLLAIAMAMVMAVSGVLSAGVAAELGTMASLVVMFQLFLGGMIAIYLDELLQKGYGLLSGVSLFAAANCCACIFWKAFTAEDPLLHWAAIIVFFKLVLQLQSCHITLPAVTSPDDPTLQTTYTISPSYMAYVPILFQPAFFSFPLVSISQTLSIKYGETNRVVNLLVKWQQMCNFGAIVTGDPGSLLYAVFLLTGCAFLSLFCFKVCAKSSKRYLVRLVGKPKQTRLSPDDEQLPDEDESISPKQCRRYMTIAAIFVGFCVGFLSLLAGFLGLDGPAIMLAVTVIHSVVQDHSESDGIRAKVYKHTRLVSSLFLSIIMFDHRSPMAGGEGFFVDVQVDAVRAVVMTPAAGGNALRLLVQEQEQRAGGRRRTDGGDSEEDDGGDCLRPYEPIIICCAALVYLALWLCGLLLFCRSVQFLI >OGLUM12G21210.1 pep chromosome:ALNU02000000:12:26045759:26046073:1 gene:OGLUM12G21210 transcript:OGLUM12G21210.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDLLVVAPAGSQEEQRDWRTDDDDVDYCDDYGMLNFQPGFDGGDDDDDGFPYETITMCLVIVFLAMCLYGLSKLVLPYVPATWIHRGFLILRMHIVILSS >OGLUM12G21220.1 pep chromosome:ALNU02000000:12:26049093:26049458:1 gene:OGLUM12G21220 transcript:OGLUM12G21220.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGEDVLVVAPGGGRDALLLVAQESAWRNTDGAGDGDDDDYGMLNFRPGLDGGDDDGFPYETITMWLVVVFLAMCLYGLSKLVLPYVPASWIIHRGFLIDRYPAHAHYCCFEFFRMGKLY >OGLUM12G21230.1 pep chromosome:ALNU02000000:12:26054746:26055093:1 gene:OGLUM12G21230 transcript:OGLUM12G21230.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDLFVGDDVVVHAAVAPPPAIGDDDDDYGMLNYRPADCDDGDGDGGGFAVYEPMIIVFTVVVYLAVWLYGLSKLLPYVRARLHLLRLPDYYCIYAHLFWTGLIGLIISPRD >OGLUM12G21240.1 pep chromosome:ALNU02000000:12:26056808:26057921:-1 gene:OGLUM12G21240 transcript:OGLUM12G21240.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVRSRANASSGIGVAAECKQTFLELQRKKSHRYVIFKIDDKCKEVVVDKTGSSTESFDDFMDSLPESDCRYAIYDFDFVTEENCQKSKIFFVAWSPSVSRIRAKMLYATSKERFRRELDGVHYEIQATDPSELDIQLLRDRAH >OGLUM12G21250.1 pep chromosome:ALNU02000000:12:26068631:26069614:1 gene:OGLUM12G21250 transcript:OGLUM12G21250.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPLKRRIPGRLGRLLASLRPPSRAGPLPVQTGFPTSLADLLVKNHDRLLLTKPRRRRRPTPPTTTTATASVAAADVETPLPSGREELEEEKVGVVAVRLRPELLGVGAAAALALMVIWSRWLVAAVTVASLSLFWIESVRSSSHRRLRPPPAAETAAELPDLRGRGVVSPIREAAAAATPRPSSADSDVGSEVSVSVSVSSIWTDGEEMSQLVVVGGGDSTTHPTKRKEKRRWLRKLIAKRKEKKDSSVVSSSHGELNQPDAGEQQQPSPPPTIAEASPADGRRGGALPVAAFVPVVLVGLVGGKLPAVALTVICAVFFSSVERR >OGLUM12G21260.1 pep chromosome:ALNU02000000:12:26074228:26077804:1 gene:OGLUM12G21260 transcript:OGLUM12G21260.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTLSEVALMVVFGICLWTLIETNTAHYLLHGIHHKHPTDGLRLVFPPAAAAILCFPFWNLIRLITTPTTTHGVFGGGLLGYVMYDCTHYYLHHGQPSSDPGKHLKKYHLNHHFRIQNKGFGITSTLWDHVFGTLPSTKTIDKKSS >OGLUM12G21270.1 pep chromosome:ALNU02000000:12:26078388:26082223:1 gene:OGLUM12G21270 transcript:OGLUM12G21270.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVI9] MAGGSADAVTKEMEALLVGQNPSVVSGETCQTSSKEGKVADSNGSHSSPPEDDEDEAQGDGPSQDGGSEAAKKKKKKSKSKKKKGPLQQTDPPSIPIDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNAVRRAAEVHRQVRKHMRSILKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLQASKDATNTGVKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQIKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRVAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDVGIVQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >OGLUM12G21280.1 pep chromosome:ALNU02000000:12:26100251:26100769:-1 gene:OGLUM12G21280 transcript:OGLUM12G21280.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGVLVVVLVATLAAGTANAAIFTITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCSFDGAGTGSCATGDCAGALSCTLSGQKPLTLAEFTLAGSAGGSQQLDFYDVSVIDGFNVGMSFSCSSGETLTCRDSCCPDNTKLRHCNANSNYQVLFCP >OGLUM12G21290.1 pep chromosome:ALNU02000000:12:26123932:26124477:-1 gene:OGLUM12G21290 transcript:OGLUM12G21290.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPATSSAVLVVVVLVASLAAGTANAAIFTITNRCSFTVWPAATPVGGGTQLSPGQTWTINVPAGTSSGRVWGRTGCSFDGAGRGRCATGDCGGALSCSLSGQPPLTLAEFTLGTSGGNRDFYNLSVIDGYNVAMSFSCSSGVTLTCRERSCPDAYQYPSDDSKLRSCNGNSNYRVVFCP >OGLUM12G21300.1 pep chromosome:ALNU02000000:12:26127723:26128421:-1 gene:OGLUM12G21300 transcript:OGLUM12G21300.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTRVVVLLLLAVAVVSSADAATITVVNRCRYTVWPGALPGGGVRLDPGQSWTLNVAAGTKAARIWPRTGCTFDGAGHGHCLTGDCRNALSCAVSGAPPTTLAEYTLGTASGDATDYFDLSLIDGFNVPMSFQPTSNAARCGRRRGPSCGVDITAQCPPELKVAGGCDSACGKFGGDVYCCRGKYEHECPPTKYSKFFKDKCPDAYSYAKDDRSSTFTCPAGTNYQIIMCP >OGLUM12G21310.1 pep chromosome:ALNU02000000:12:26134288:26134842:1 gene:OGLUM12G21310 transcript:OGLUM12G21310.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAAASSSALAVVLLVMAATSLSANAATFAITNQCPYTVWPAATPVGGGVQLNPGDTWTIDVPAGTSSGRVWGRTGCNFNGGSGSCATGDCGGALSCTLSGQPPLTLAEFTLAGAAGGDQDQDYYNLSVIDGFNVGISIGCSSGETLTCREKSCHDAYQYPSDDTKVRTCSGDTSYQIVFCP >OGLUM12G21320.1 pep chromosome:ALNU02000000:12:26138820:26139605:-1 gene:OGLUM12G21320 transcript:OGLUM12G21320.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSISRRRRVDIALVSLLLLAAAAAAAVDAATITMVNRCSYTVWPGALPGGGVRLDPGQSWSISVAAGTPAARIWPRTGCSFDGAGRGRCSTGDCAGALSCAVSGEPPTTLAEYTLGRPGAGGDDFLDLSLIDGFNVPVSFQPTNGGGAGCSKGRGPRCGVDITARCLPELRVPGGCASACGKFGGDVYCCRGKYEHVCPPTSYSMFFKGLCPDAYSYAKDDQTSTFNCPAGTNYRVDFCPPTNGVGVTAGDEDDEIASA >OGLUM12G21330.1 pep chromosome:ALNU02000000:12:26143882:26145338:-1 gene:OGLUM12G21330 transcript:OGLUM12G21330.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLVRAAHGASRVARLAAAAAARRDEEGASSRAASLAASPVARSARAAAASAAASPSSPSPLTTLIASPSATAPTPRCVTEEDLESDEAVWALFERYCKSYKRKYDDAEMVRRFHIFKFNAKTTYCWNNYLHKDVKELARAKKDRDLGLPVDSWYLQKELGEFDDGREYLPLLCPSCIPQHVTGALRQSGREGGSGAAPPRRLPRRPTAVHVVPAVFAAPGAGSWEPPSLLLRRVELRERVDC >OGLUM12G21340.1 pep chromosome:ALNU02000000:12:26146047:26146699:1 gene:OGLUM12G21340 transcript:OGLUM12G21340.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASATRSSAGVVPAPTTTSTAAAADTTSKKIPAEGGPHEEYVPAAEVASALPVPIPDGNPVEGAFTNGGLGGNQAFFPGSSGYGTAAGLGAGGFGPYGGGPGTYGYNGPLYFGSAPTRTASPAGSCAAAAVLLLLSAAMYI >OGLUM12G21350.1 pep chromosome:ALNU02000000:12:26156262:26160138:-1 gene:OGLUM12G21350 transcript:OGLUM12G21350.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase-like hydrolase (HAD) superfamily protein [Source:Projected from Arabidopsis thaliana (AT5G45170) TAIR;Acc:AT5G45170] MAAARCLPLRASPPEVAKAFSSSIPASSSSSTTTTAAASLPLRAAVPPSSGRRIPPLRCASSSQGSASPDIAVLLEVEGVLADVYRFGNRQAFNVAFQNLGLDCANWTEPIYADLVRKASGDEERMLLLFFNRIGWPTSLPTNEKESFMKSVLREKLKALEVFSASDSLPLRPGVEQFIDDALNEGVPVAILTTYGRNGEKTSRSIIEKLGQERTSKIHIVGKEEVERSLYGQLVLGEGIASSLDEQLVKEAQKAASAEKQRIAEEVASILKLSVDINAASKSSEKIIVTLRAGSEYVGRDVQNCVLVAGSQSGVLAAERIGMPCIVVRSSLTARAEFHSAKAVMDGFGGTDLTISKLLSKKWS >OGLUM12G21360.1 pep chromosome:ALNU02000000:12:26162389:26164459:-1 gene:OGLUM12G21360 transcript:OGLUM12G21360.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKRLERIIKEIDSSPISPGGSGAALLAEDDDLVFPGFRFHPTDQELVGFYLTRKVEKKPFSIDIIKEIDIYKHDPWDLPKVSHGAVALQGSSSSSSLSTAAAAEKECGYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSSSLAAAAAGAGDCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPSSISDSDHLQDASETWTICRIFKRSMTYTKGRAAAAASMNKRISHQLQHIHHHQQQFYYHEVVHDHGHHHRRHLQHYAGSASMAAAAANIVDVIDHSSDAETTTRSHSHSQSHLVADIRHRQSPFMLDFHAGTAVSSSSTAAAGWSEVMSFSRDGGSSSGSSWDELGRIMDISTNSANNNYYL >OGLUM12G21370.1 pep chromosome:ALNU02000000:12:26170769:26171799:-1 gene:OGLUM12G21370 transcript:OGLUM12G21370.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTRLVASAARRAAAACRAARAAVARHDAAAASRAARLAEAAACRAARLAAADVSDRLSIRYSSEPPDDGKCVTAEDVESDEAVWALYERFCKSYKLKRDHAEMARRFETFKSSANSVHTWNSYEHKDLDGLACAKERRDLGLSVERWFLLEELHPHDDARERIIF >OGLUM12G21380.1 pep chromosome:ALNU02000000:12:26177592:26181056:1 gene:OGLUM12G21380 transcript:OGLUM12G21380.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANPGNKIRNAKLVLLGDVGTGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADMVEARQVPAEEAKTYAQENGLFFMETSAKTAINVNDVFHEIAKRLLQGQQAQDTPAGMVLNQRPAERMTTFTHLYLSVRKMEKYNQIL >OGLUM12G21390.1 pep chromosome:ALNU02000000:12:26181425:26184473:-1 gene:OGLUM12G21390 transcript:OGLUM12G21390.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING/U-box superfamily protein [Source:Projected from Arabidopsis thaliana (AT4G19670) TAIR;Acc:AT4G19670] MEERLADAEGGFACNSRGEDDFRSCCGDEDEWEDTEESFTAGVAKGELDESSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPMLQVQKKLDFYVDELVADHLALMDGLSVALQNGIRKIFAFTNSEKLYFQARIAEAEILEDQLLVALGHRILELVEKLEDFDIILLPSFELERPLQLAKEAIGIMYLSPYEVGTCSICCEEKRGTQMIKVGCAHTFCYSCLTSYTQEKLQTSKVPVRCPQLRCKYHISASECKSFLPVSCYDSLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASTSSQSDLNCVECPECHRDICINCGVPWHVMMGCDEYQSLPVDERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMNCWCGHEFCYSCGAEYTNGIQTCQCVFWDEESIEASSAAHSTQASEIWAWDTFDCMPTAIEGYSEQERAQLALIQRFLSGGFNLGEPPSQSPPRCADSYIIDTMKDLHQLPWLERFVSVISDSYNEDYIQ >OGLUM12G21400.1 pep chromosome:ALNU02000000:12:26184723:26185133:1 gene:OGLUM12G21400 transcript:OGLUM12G21400.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRVVMVPVTYRSDDPTDSWGGLGIARDLAQKLAERMAMKHQKELHIANSRAARAKLKGAATKFTQMLELSPILELFLMRERRSVVISNSDPENSACQMANHRLDVSFNRLSARRENLPTISDQNQQLQERIDCS >OGLUM12G21410.1 pep chromosome:ALNU02000000:12:26205730:26225567:1 gene:OGLUM12G21410 transcript:OGLUM12G21410.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAVMEAYHQSTITTTRMSMPRRRSMSMPASSERRRWAVRRAMPQAVGPFPPINGRVCGSGARSVWRKIGP >OGLUM12G21420.1 pep chromosome:ALNU02000000:12:26227419:26228201:1 gene:OGLUM12G21420 transcript:OGLUM12G21420.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPRRSEMLAGDKDAVKSCRNHHHGECAVDLASSCCTYAPPPATTAVNSSSSSRKKRPRVVVADETTTATFFRSDDATTNTVVDDEDDDDPAALLRRRRARWKQGLEAVKTAVAWRLAAKDDEILRTRREMAERLRCACAVSRAWQSIAVAREGEKSALQGENAALRVELDHVLRAKPRWHHDDDDAESCCYGDNFTDDTGGKEEDEGGGGDMSTAARCFGCGERACCWRLCASCAAAAAWACPACGYSNMDMDDACMV >OGLUM12G21430.1 pep chromosome:ALNU02000000:12:26231833:26235564:1 gene:OGLUM12G21430 transcript:OGLUM12G21430.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSDNPADSSSDDDYVLALSSSCNTPACSDEEEEDDDEVGSDADGDDLYGGELEEEDSPRPPPPRPLSGLFYHTASDNQPGYLAFDAIRSAKHLIPDPRFSAFPEHVAVLASTRGLVCLRGETTGSYYVANPATFRRVRLPRHTRDHVDPAVVITFEEPTASASCFGGIGVEHYHVVVAFNLGGGVWSFESFSSRTWKWRVSPGISIVEQVKSSSGVGAHGRAFWRTSIGFVYYDPEKGYPHEFPAPPEVEARPFWEIGEMEGNLCVTCMDQRVTEVAVLNLDMDVLAADGVGSWSWAGQFEGGSLRNREGVELLRSQGMAEVVMWDPSEERVVAMDLEGRTTRNIGPLTGEDYSRGFIPYVASIAEISSDQISSKCSASAADANTPNLGAADATTLNNLAAPAAQVH >OGLUM12G21440.1 pep chromosome:ALNU02000000:12:26237793:26242230:-1 gene:OGLUM12G21440 transcript:OGLUM12G21440.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MSPAARSLLLSAARRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSEELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDLPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGFPNICSSHFWEGTGVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >OGLUM12G21440.2 pep chromosome:ALNU02000000:12:26237793:26242230:-1 gene:OGLUM12G21440 transcript:OGLUM12G21440.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MSPAARSLLLSAARRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSEELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDLPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >OGLUM12G21440.3 pep chromosome:ALNU02000000:12:26237793:26242230:-1 gene:OGLUM12G21440 transcript:OGLUM12G21440.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MSPAARSLLLSAARRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLNTHPNTICQSQVPQLCVHMHFCNHSANAGNNIALVDVGSFLDRELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDLPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSATTKINVAVDRLPQFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >OGLUM12G21440.4 pep chromosome:ALNU02000000:12:26237793:26242230:-1 gene:OGLUM12G21440 transcript:OGLUM12G21440.4 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD/NAD(P)-binding oxidoreductase family protein [Source:Projected from Arabidopsis thaliana (AT5G49555) TAIR;Acc:AT5G49555] MSPAARSLLLSAARRQRRCFSTEAPSSTPQQQLLPKGKRWDAVVIGGGHNGLAAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLNTHPNTICQSQVPQLCVHMHFCNHSANAGNNIALVDVGSFLDRELELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSENDACAYPRYEEKLEKFCKLMDFVIDLPPPEMRHEYHLSMVDRMKDKVDKSVFWSKLLGIVMQQGQKDMVDFFDLLLSPASKILNNWFEGDVLKATLATDAVIGSMAGVHTPGSGYVLLHHVMGETGGQRGVWAYVEGGMGSVSSAISKAALEAGVEIVTNAEVSQISVHHTSGKVQGIVLADGTEVLTSVVLSNATPYRTFVDLVPANVLPDNFLSAIKTADYSSFSCCKDFNPEGGPEHMGTIHIGSESMEEIDLAYREAANGVSSTRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLRTK >OGLUM12G21450.1 pep chromosome:ALNU02000000:12:26245148:26247289:1 gene:OGLUM12G21450 transcript:OGLUM12G21450.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPDVEYRCFVGGLAWATDDRSLEAAFSTYGEILESKIINDRETGRSRGFGFVTFSSEQAMRDAIEGMNGKELDGRNITVNEAQSRRSGGGGGGGYGQRREGGYGGGGGYGGGRGGGGYGGGYGSRGGGNSDGNWRN >OGLUM12G21460.1 pep chromosome:ALNU02000000:12:26246424:26248584:-1 gene:OGLUM12G21460 transcript:OGLUM12G21460.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKKVMRILMVLFEHMGCGWTEDNPIVFEQANCLRLAGVSLFVLANKQDNQGALKPAEIAKAVCSSWMPWMAAGILID >OGLUM12G21470.1 pep chromosome:ALNU02000000:12:26271015:26272412:1 gene:OGLUM12G21470 transcript:OGLUM12G21470.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSSFFMQWAMDTLHQLPSDSTAAAYATDVAGDAFPSLQALRNASAAGGGGGGFRDLTVQVDQVHRANSWSSSDSPGGAAATAAAGWSPHVTHGGGGGGRGHRPMSWNFSAASAQPTTEDSGGGGGGGVVPAPPQAKETATARAAPVKKGGGGSSSAAAPGYVQDHIIAERRRREKINQRFIELSTVIPGLKKMDKATILGDAVKYVKELQEKVKTLEEEDGGRAAAMVVRKSSCSGRQCDGEGRGSRVPEMEVRVWERSVLVRVQCGNARGLLVRLLSEVEELRLAITHTSVMPFPASTVIITITAKAS >OGLUM12G21480.1 pep chromosome:ALNU02000000:12:26277355:26281623:-1 gene:OGLUM12G21480 transcript:OGLUM12G21480.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVL3] MEDAAARRMERLASHLRPPASQMEESPLLRGSNSRAKGAAPGFKVAILGASGGIGQPLALLMKMNPLVSVLHLYDVVNTPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPNAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPRDVNVPVIGGHAGVTILPLLSQVNPPCSFTSEEISYLTTRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSFVASQVTELPFFASKVRLGRCGIEEILSLGPLNEFERAGLEKAKKELAESIQKGVAFINK >OGLUM12G21490.1 pep chromosome:ALNU02000000:12:26283510:26284221:1 gene:OGLUM12G21490 transcript:OGLUM12G21490.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEALRLFTQMEAEASFVPCETTMASVLPVCARSKEFAGKELMHKHAHGHMDVARRIFAMVDLPDIVSWNTLITGCVVQGHVADAFQLVRQMQQQEEDGVAGVVPNAITLMTLLPGCAILAASARGKEVHMYAVRHVLDTDVAVESALVDMYAKCGWLALLRAVFDRLPRWNTITWNVLVMAYGMHGLGGEAMALFNRMTASGEANPNEVTFIAALVACSHSGMVERGL >OGLUM12G21500.1 pep chromosome:ALNU02000000:12:26292038:26295536:1 gene:OGLUM12G21500 transcript:OGLUM12G21500.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPSLLLPPLLLLLGLHAAAAAVSEVSALMAFKNALTIPPTAAAFFARWDAAAASPCNFTGVDCANSGGGGVTAVAVEGLGVAATSVPFDVLCGSLPSLVKLSLPSNALAGGIGGVAGCTALEVLDLAFNGFSGHVPDLSPLTRLQRLNVSQNSFTGAFPWRALASMPGLTVLAAGDNGFFEKTETFPDEITALTNLTVLYLSAANIGGVIPPGIGNLVKLVDLELSDNALTGEIPPEITKLTNLLQLELYNNSLHGELPAGCSTMASPATCRRSSASSRSSWAEFNFIDVSTNALSGPIPPYMCKRGTMTRLLMLENNFSGEIPATYANCTTLVRFRVNKNSMSGDVPDGLWALPNVNIIDLAGNQFTGGIGDGIGRAASLSSLDLAGNRFSGAIPPSIGDASNLETIDISSNGLSGEIPASIGRLARLGSLNIARNGITGAIPASIGECSSLSTVNFTGNKLAGAIPSELGILPRLNSLDLSGNDLSGAVPASLAALKLSSLNMSDNKLVGPVPEPLAIAAYGESFKGNPGLCATNGVDFLRRCSPGAGGHSAATARTVVTCLLAGLTVVLAALGAVMYIKKRRRAEAEAEEAAGGKVFGKKGSWDLKSFRVLAFDEHEVIDGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHITRTRAAAARSTAPSAAMLRSPSAARRTASVRCREFDSEVGTLSSIRHVNVVKLLCSITSDDGAASLLVYEHLPNGSLYERLHEGQKLGGGRLGWPERYDIAVGAARGLEYLHHGCDRPILHRDVKSSNILLDESFKPRIADFGLAKILDGAAATPDTTSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRTAIMAEYGEGKDIVEWVSRRLDSRDKVMSLLDASIAEEWEKEEAVRVLRVAVVCTSRTPSMRPSMRSVVQMLEAAGIGRELAMVTSVKVKVIA >OGLUM12G21510.1 pep chromosome:ALNU02000000:12:26303546:26307625:1 gene:OGLUM12G21510 transcript:OGLUM12G21510.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat transmembrane protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G49660) TAIR;Acc:AT5G49660] MVINLSSPPTLFLFFFLWCVVVAGDGGAAVAEAALDAQAAYLSQMKQEFAGPAMARWDFSSPGVDYCKFQGVGCDASGNVTAIDVTSWRLSGRLPGGVCEALPALREVRLGYNDIRGGFPGGLVNCTSLEVLNLSCSGVSGAVPDLSRMPALRVLDVSNNYFSGAFPTSIANVTTLEVANFNENPGFDIWRPPESLTALRRLRVLILSTTCMRGGVPAWLGNMTSLTDLELSGNLLTGHIPLSLARLPNLQLLELYYNLLEGVVPGELGNLTQLTDIDLSENNLTGGIPESICALPRLRVLQMYTNKLTGAIPAVLGNSTQLRILSVYRNQLTGELPADLGRYSGFNVLEVSENQLTGPLPPYACANGQLQYILVLSNLLTGAIPESYAACRPLLRFRVRNNHLDGDVPTGIFALPHASIIDLSYNHLTGPVPATIAGATNLTSLFASNNRMSGVLPPEIASAATLVKIDLSNNQIGGAIPEAVGRLSRLNQLSLQGNRLNGSIPATLAELHSLNVLNLSYNALAGEIPEELCTLLPNSLDFSNNNLSGPVPLQLIREGLLESVAGNPGLCVAFRLNLTDLALPLCPKPARLPMRGLAGSVWVVVVCALVCVVATLALARRWVLRARQEREHDGLPTSPASSSSYDVTSFHKLSFDQHEIVEALIDKNIVGHGGSGTVYKIELSNGELVAVKKLWVSRRSKQEHGHGGAGCLDRELRTEVETLGSIRHKNIVKLYCCYSGANSNLLVYEYMPNGNLWDALHGGGGWGFGFLDWPTRHRVALGVAQGLAYLHHDLLFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGDRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGKKPIEPEFGDTRDIVQWVSGKVAGGGEAEALDKRLEWSPFKEEMVQALRVAVRCTCSIPGLRPTMADVVQMLAEAGPAAGRTAKDAASKKDSSGEPKL >OGLUM12G21520.1 pep chromosome:ALNU02000000:12:26309797:26315960:-1 gene:OGLUM12G21520 transcript:OGLUM12G21520.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGRMPLLGWWLMLVGSLRLASVWFGFFNIWALRLAVFSQTHMTEIHGRTFGVWTLLTCTLCFLCAFNLENRPLYLATFLSFIYALGHFLTEYLIYHTMAVANLSTVGFFAVLSCNTQMTCLKLIGLHKEGHRLYGCCFNGIHMGNLVVLNLLSSHEWSLKATVLDAGLTAVAHDAVHFDSDLPHYATHGGVLRDPSGGDPGRVVSPPLMWAEALDLLLARLAPRVDYARVAAVSGGAQQHGSVYWKRGSAAALASLDPARGLAPQLAAALATAESPVWMDSSTAAQCREVEAAVGGALELARMTGWRAHERCTGPQIRRMYQARRRVYDDTERISLVSSFMASLLVGGYACIDETDGAGMNLMDIATRELRMDALEATAPDLEDKIGKLAPAHAVAGTLSPYFVQRFQFSSNCLVVQWSGDNPNSLAGFPNKKQLTTQSCSVHLSTTGPCLANELWEVFGITDLPEPSLDGNILPNPVDPKTYMVMLCYKNGSLTREDVRNRYAEGSWDVFNKHLENTAPLNRGKLRYYYKEPEILPPLPVGFHRYVVSSSASGSLDEMVEHQINQFDPPSEVRAIIEGQFLSMRGHAERCGLPVPPKRIIATGGASSNQIILKTMASIFGCSVYTVQRPDSASLGAALRAAHGWICNQKGKFVPVSCLHSDRLNRTSLSIKLAAPFGDSEEDIDLLNNYTLLMKKRLEMRLSRSLSRSLVDIHEAFVYLC >OGLUM12G21530.1 pep chromosome:ALNU02000000:12:26318826:26320152:-1 gene:OGLUM12G21530 transcript:OGLUM12G21530.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMMRTVIAAVVVGLLAAAHTASTGPDQSYGGATATVAPKQPAAGEDVNKALAAETAAAEAEDAAEEEDAAAALVTGFTAGAANSAPGVTAVMKPVLEKADAGQFYQDVPWIPDPNDEGNPPKSLPAMPAKGGAGAAGSPPSKEVQVDYYASTQPKKPEEPPTVAAVQKDDCVPPAAPKPAVPATPSTSPHSNKEYAPAAPGVVPAKPSSPAAPAGVVPAPAQPSSPAAPAGVVPAPAQPSSPATAAPKPPSNDPYTPATSNTPAAEEQKDGLNEKAISDIVREHNMFRTREHVPPIVWNATLAKYAQEYADLRRGDCQLEHSHGPYGENMMFGTGKEWTWKKTVDEWSDEKKSYDYRSNSCKAGAMCTHYTAIVWKNTTAVGCGRVVCTSGDTIMVCSYWPPGNYVGVKPY >OGLUM12G21540.1 pep chromosome:ALNU02000000:12:26325261:26325995:1 gene:OGLUM12G21540 transcript:OGLUM12G21540.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSACCDKAAVKPKRGAWTAEDDERLRSYIQQHGTGGNWMALPRKVGLRRCGKSCRLRWLNYLRPGIRHGAFSDHDDRLILALHAAVGTRWSLIAAHLPGRTDNHLNNYWNNKKKKTPPLLLAAHQLHVASTSSGGMHVGVGEGHGYDDVFAAAHSLSSTAATSFSSGGATELDEIFRSTGTSGGDCSSSAQTRSTDDALMMMMMMMMMMNWHNHQNQLQLQGELQGVQQQQQQFGFGMPYW >OGLUM12G21550.1 pep chromosome:ALNU02000000:12:26328118:26332382:-1 gene:OGLUM12G21550 transcript:OGLUM12G21550.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGLLTSAGINIALSVLFISLYSVLRKQPANVRVYFGRRIAEEHNRLREAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSLRIFSLAAILCVFGILPLNYFGQDIHHVRIPSESLDIFTIGNVKEYKHIARLRLRHLTCAMPNPSHFTVLVRGIPKETKESCSNAIDDFFTKYHGSSYLFHQVVYKVGKVQKIMTGAKKAYRKFKHFTDSTIDQRCRAISYRCCLCGASSNSFQLLATGLEQNQGKSDLQDSSLKLDDQECAAAFVYFRTRYAALVASEILQTSNPMKWVTDLAPEPDDVYWSNLWLPYKQLWIRRIATLLGSIVFMLFFLIPVTFIQGLSQLEQLQQRLPFLKGILEKKYMSQLVTGYLPSVILQIFLYAVAPIMILFSTLEGPISHSERKRSACCKVLYFTVWNIFFGNVLSGTVISQLNVLSSPKDIPVQLARAIPVQATFFITYVLTSGWASLSSELMQLFGLIWNFVRKYILRMPEDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYIVYRNQLLNVYRTRYDTGGLYWPIAHNAVIFSLVLTQIICLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTTPAQDLIDMDREDERSGRMDEIHHRLHSAYCQFHDTEDIPLEKIQTVGSDEEQGCSSDKSNGKETFEEPRAELSHPTLNGLPVSRLRHAVKSITFLVRLQKRGLSE >OGLUM12G21560.1 pep chromosome:ALNU02000000:12:26335487:26337042:1 gene:OGLUM12G21560 transcript:OGLUM12G21560.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATSYSPSQLTSSLLPSPRAVDGGEQQGHSKAMRRARSPVQRSTSRSAAATLPYRRGGGPPTPAAWESRRGRTKRRRMAPTGRGRMPPSSSMTSSLPSSLQRCQLNDELSSDQSLPNGKKMPMQANSDQQLEQRRT >OGLUM12G21570.1 pep chromosome:ALNU02000000:12:26337843:26350073:1 gene:OGLUM12G21570 transcript:OGLUM12G21570.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDQGLVFDLVVSPHYILWMPYLILHRLPDASLSDQWPPSPFILHVFSSTTGRWEEKSFIREGDATMGTMADTHSVYLRGALYMHCQNDCVIKITLADHKYQVIKLPGDFASNRKTRDPFLGKSKDRVCYVLVTSLRRLQIWFLNETKSSSSSSSSSSSSSSSSSSYEWVLKHDVDLGPIIQSYPCNHGRQQWIWHNADTKQDETRELPAVNDMEEFEWAIDKDSDDIISGANESIHHNGEYISAVLGFHPFKDIVFLHDTNLRVVAYDYNKAKVQDLGMIAKKSLLCMGQEEAAAAPATVMFSWEQELGVPKQKMASDDDMPESPRKAPPPPARRLSVPPPPGRMLMAGSKSFSKARAVRPEDDPFLAAYLACTKSSNGGGGGVARESKGQRRSRWAGLGLGLGLGLSCKSSNGVVEDSMVKMAKLPEHLHQSSSLSIDEASPPRAARVCQAWCALIDDRSLLAAATLLPCTLCGIFVQIGVPTLSGFFARPSLPAGTTTTRPPIPGALHLDYLDTDKIEKSLLTIIDHCNGLILLDHHVVNLATRQWTRLLPYSLPESSPPGSEIILDGYHALVFDPASWRVIIDDRSLLAKAALLPCTLRGIFVQIGVPTLSGFFARPSPAGTRRPPIPGVLDLDYLDTNEIEKSLLTIVDHCNGLILLDHHVVNPATRQWTRLPPYPPESSTATTPSSLTPPCRRTTRCS >OGLUM12G21580.1 pep chromosome:ALNU02000000:12:26339168:26340052:-1 gene:OGLUM12G21580 transcript:OGLUM12G21580.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDAGDGDDDKPEMPVVLITGCANGGIGYEYCKAFASLGCRVVATDVPDRVPDLAGLDADHLPLDVTSDESVEGAVARVLAEHGRVDVLVNNAGIGCTGPLAELRGEAVRRAMDVNFLGQLRMVRAVAPHMASRRSGRVVNVGSVVGTAATPWAGPYCASKAAVHAATDALRVELRPFGVHVVKVVPGAVRSGLGHANAAQLAGGQAEWRVYREFAAAIAERARASQAGGATDGGVFAAHVARRVMSARPPREIVYGNMTLLFAALAAAPLWARDAFFAKRFGLDKMLPPR >OGLUM12G21590.1 pep chromosome:ALNU02000000:12:26352670:26353534:-1 gene:OGLUM12G21590 transcript:OGLUM12G21590.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESCYVRRLSDLLQEQQEPFLLAGGASLADACRRRLLAFCHRRAAVSNKSRALGGLGAAVFCGAAVRRALLAGCFSCGARQSFRRLRHAGAGDIAAGCDVDDDEEEECARQLSPMSVLDLDINSDDESSSMLGVGHREKDDESPSTSGKSSPPPPPEQNPLDAAAAPCFTFYEAGKNCKAETGDEEEYETTRSKLEEQMIISSWERISGDISRIPALVELDLTGSPQQWRRLREEEASQVGASIEAMIFEEMRVEAVRDMMLVA >OGLUM12G21600.1 pep chromosome:ALNU02000000:12:26379337:26379843:-1 gene:OGLUM12G21600 transcript:OGLUM12G21600.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPFPSDSDTALEAFLADIGFGAPCTEAAPAPSPSHPDTTAAAATDEPVMAGDDQADEERRRRLRRRISNRESARRSRARKQRHLDELRAKASALRATSRDLAARLRGARARAALVALTNAHLRDEAGKLARRLAAARRVIALRQLYSAAAAGGGFEMQALASLIA >OGLUM12G21610.1 pep chromosome:ALNU02000000:12:26382764:26383175:1 gene:OGLUM12G21610 transcript:OGLUM12G21610.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASLICKSEVKLSRAEQSIQLAQMALRRSAAAAMSWPSARVTMLGDSMATTSSVVNPSSSQRSSSLPAATIPGRITVLCSPGRRRSLSVSPFSPTSTATLDRSMPHCIDRRRDGDEVVVVVDWW >OGLUM12G21620.1 pep chromosome:ALNU02000000:12:26387244:26387606:-1 gene:OGLUM12G21620 transcript:OGLUM12G21620.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRAATSSPRCASFSFNRGGAHAHLGQSCGRPLGRAAGCLAAAFFASLERCSCVEFPTDDDDDDHPPRSRDVVVVSEAAPLLPRATTAAPKKSTSTTTAGKGKISRGGFRCCDNTTTAN >OGLUM12G21630.1 pep chromosome:ALNU02000000:12:26390871:26391329:1 gene:OGLUM12G21630 transcript:OGLUM12G21630.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMAPRLLIVVVSVLFTAGIIASAAAARDLTADPAGQAPPAYDFGIPAGFFVPGTNNPYNGDPAAWAAGYGSAAAAAAGSGAGAGDVGGFGNGGAEAPSMVCSDKGPCNGKKLTCPKKCFVSFSRSGNGYAAGGGGGGCSFDCSTKCEATC >OGLUM12G21640.1 pep chromosome:ALNU02000000:12:26392247:26394616:-1 gene:OGLUM12G21640 transcript:OGLUM12G21640.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRRRRRRQPAPGAPLPSDAINDSGANPEYNLDEPTMEEKLATLNLLNGGGDGTAGEATQEQPLPVVMPPSADSVHVLLKQALRADDHASLLNCLYNKDHKVIVNSISLLTPADAVKLLKFFILLMQSRGAVLVSLLPWLQSLLSQHMSSIVSQESSLLLLNSLYHLIDARTSTFKSSLQLSTCLDYLFSEIDDDGSGEEEGSPPIIYEDKDTDDEESEVDDMETSGEGEDLGGVTDASEHSDGSEVMSD >OGLUM12G21650.1 pep chromosome:ALNU02000000:12:26395459:26400480:-1 gene:OGLUM12G21650 transcript:OGLUM12G21650.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIMSLPDLFEKLLGDDQDSWPAEANLLLAAHDGNLRRIKEIAATLDDDGEGIAATVARTAFHGMNALHAARSLHVYRYLLEVANMDVNKPDTTPGRKTPLERAIAGGDLPSVRYLIDHGADIHHQREGNITFLHSAAKKGRTEIVKLLLSRGAHVDGKSDHGTPLHFAAIKGYESTVKILLEHQADPNKVMPSSQATPLSAALFTTSLPCVKLLIQAGADVNATNNPLARAAGSGLTEAIKLLLKAGANPNCPDTHGRMPIELAAVYGTREDVEILFPLTNPIPTVADWSVDGVITYANLERKKLEDDDYVNTKMSDLKQKGNEAFDEQDYEKASVWYTQALEVDPCDATTLLKRCLCWLRMGEGKKAVKDATTRAKHHPKLSEAYHRLGEALMLEKDYEKACVALTHGIELDPESDEMDKLFWEAMDLKN >OGLUM12G21660.1 pep chromosome:ALNU02000000:12:26424068:26424403:-1 gene:OGLUM12G21660 transcript:OGLUM12G21660.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHAADARRPAHEAALRAVQKPPAKPWRASPSAAPGPAPPKVYRVEPREFRDLVQRLTGAPAPPPPRQPVAPAQPVPVRPAVDEAQLYAPWCGFPMPMAASLDAAHGALI >OGLUM12G21670.1 pep chromosome:ALNU02000000:12:26424108:26424434:1 gene:OGLUM12G21670 transcript:OGLUM12G21670.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGKPHHGAYSWASSTAGRTGTGCAGATGCLGGGGAGAPVSLCTRSLNSLGSTRYTLGGAGPGAADGEARHGLAGGFCTALSAASCAGRLASAACISIELKKKKKLR >OGLUM12G21680.1 pep chromosome:ALNU02000000:12:26428292:26431915:1 gene:OGLUM12G21680 transcript:OGLUM12G21680.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGAAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRPPAASAWHNMSDEELLWAASMEPRVRRYPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPPTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRPGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >OGLUM12G21680.2 pep chromosome:ALNU02000000:12:26428514:26431915:1 gene:OGLUM12G21680 transcript:OGLUM12G21680.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMQGGAAMVVTVNGGNGKDSFGNMARPPSRSLLPLKLLKPFLIFAVLATGFLAAAAAALLLLGGGAGASYVPNVLSATAWQQQPRCAQQQEDGGRAALERWTRPPAASAWHNMSDEELLWAASMEPRVRRYPYRRVPKVAFMFLTRGPLPLAPLWERFFNGSGGRELFSIYVHSTPGYNPDFPPTSVFYRRQVPSQVAQWGQTNMFDAERRLLANALLDGGNERFVLVSESCVPLHGFPAVYGYLTASRHSFVGAFDDPGPHGRGRYRPGLAPEVSPEQWRKGAQWFEVDRSLAVFVVGDERYYPRFRELCRPPCYVDEHYLPTVLSIEAAGRIANRSVTWVDWSRGGAHPATFGGADVGEAWVRKAAAGQRCLYNGQPSEVCFLFARKLAPSALQPLLALPPTLLGY >OGLUM12G21690.1 pep chromosome:ALNU02000000:12:26437562:26438458:-1 gene:OGLUM12G21690 transcript:OGLUM12G21690.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSTATSTAAYWLAEHPAIVSFRWSPTGLWFSTWAFLLGFLASYVALCLALDAILAALLRRRRPLPLGPLPAAHALLMAAVSAAIFAGTLLSALAEIRDTRWSWRGRSRTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGLFAVLQRRRGAAARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARGAPVALACQCALLGCNLLCHVGVVWMHFGGVAGGGCSGIGAWVFNTLLNAALLWVFLHCYGKRGVCDDDGGATAASARHDKDL >OGLUM12G21700.1 pep chromosome:ALNU02000000:12:26454106:26455993:1 gene:OGLUM12G21700 transcript:OGLUM12G21700.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPIPSPASNLLRLPLPLRLPLRRTQAANPPPSTRLLLRRPPLISSHYGSQCWGIHKWQQQRRCRFWGKLREPIVTLCGHLFCWPCLYKWLHIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQANPNTHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHGHGHAFHGGHAHAAAAPRHGPPGQQQQADVYLKALLILVGFLVIASLITF >OGLUM12G21710.1 pep chromosome:ALNU02000000:12:26456930:26465038:1 gene:OGLUM12G21710 transcript:OGLUM12G21710.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMALPQAFELLLGRDRDAWPRGAAFLVAAHYGDVRDMKRIAKELDEDGKGIEATVANTSFLGMNALHALGWLGKVPAYRYLVEEVKMDVNKADTAQGFTPLEHAVYHGRLPATRYLLDHGADVHQIRSTGNVSLLHSAAVKGFSEVAKFLLSRGVNVDAESEMGTPLALAAFRGYDSTVKVLLEHNADPNKVTNKALGAPLDLALTSSSVSCVKLLVQAGAEVKVEGPNNHLVRAAEKGLTEAIKCMFEAGANPNVPDRLGRMPIELAAEYGTREDVDILFPFSSAIPTVANWSVDGIINHVQSEIKQLEDDNFIKKRRSDMKQQGDAAFKKQDYLNASVFYTQALKVDLFDGTLFSNRSLCWLRMGDGERALDDANACEKLRPKWAKSYYRQGAALMFLKEYERAHRALGRALELDPESEEIENLYWESMELCG >OGLUM12G21720.1 pep chromosome:ALNU02000000:12:26462514:26464891:-1 gene:OGLUM12G21720 transcript:OGLUM12G21720.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYYSSPGSERDSQTMYSRDPGSASYPMSSALGNLLYLNNPSSGPYTEFSGILQPQQNCMEMPGPGHASAMSQDPSSRESDMLASHQGQRSFSHVKDMKNEMLMHMMDGAQGSGSELIHDDAHTGSQLEFGVLNNHNSSSVPSMQSQGLSLSLNTQIMAPSLPYWSIKPDMLTPQSYHDNLRGEDMRMKNLQSEASRAIRNSRYLKAAQELLDEVVSVWKSIKQKAQKEKVESGKADGKETDGGPKSEGVSSNPQESGANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQTVVSSFDVVAGPGSAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKLMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKEEIGDLEQDSNSSSDNAPRSKDKMASSEDREDLKNSRARICETSQLSESRTSIGAMNVGGAPVGFQNEPNPDDSFMNLMLKDQRSNEVDGGLLLHNTVTQHSDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSNLVVPNAQPGFPGVNEDDIYNATAPLGVTVASSDYDSMNQMDQRQRFEHSPLLHDFVA >OGLUM12G21730.1 pep chromosome:ALNU02000000:12:26476209:26476749:-1 gene:OGLUM12G21730 transcript:OGLUM12G21730.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAGECSSVRTFFLSRLSREAKILQSPGLIILMRSVQFVASQTVEFVQDLAQQYSAELSPVLFGDGDCFLVYVQRYFINSCAE >OGLUM12G21740.1 pep chromosome:ALNU02000000:12:26477565:26479420:-1 gene:OGLUM12G21740 transcript:OGLUM12G21740.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha/beta-Hydrolases superfamily protein [Source:Projected from Arabidopsis thaliana (AT3G51000) TAIR;Acc:AT3G51000] MGGEVVRHWNADVNGISLHVAEQGPADGPPVLLIHGFPELWLSWRHQMAALAARGFRALAPDLRGYGDSTVPDDPAAYTVFHIVGDLVALLDHLALPKVMVVGHDLGAQVAWHLCLFRPDMLLAVVNLGVPFFPRGPSSLSEAFAGRDGLYIMQFQEPGRAERAFARYDVATVLKKFFSIEIDDLTAPPGVEIIDFLEARSTPLPWISEEELGQYAEKFQKSGFTGPINYYRMMDTNWRLTAPWQNAKIMVPTKFICGDKDTGLKSFGTEHFVKSGAFKSVVPNLEVVIIEGHHFIQQEQAERVNSEILSYFDKFTGEKA >OGLUM12G21750.1 pep chromosome:ALNU02000000:12:26480170:26480739:-1 gene:OGLUM12G21750 transcript:OGLUM12G21750.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDGDPQEAEAIMAGNKRTSDAETETETRKKMKEEVTAASPTADNNNKKKILPKCEGGEILKKKKLALDSMSLWKFKDMPGAIDLWIESRARAEAAIAAGKKKRKRLFKTRVPNGRVEFMMKHRFSSTEPLSDEELANCSASYRQLYGIAKFIDRKMNDYEQLLIDQYVKQGYAEEETEATDDDDDEE >OGLUM12G21760.1 pep chromosome:ALNU02000000:12:26483131:26484887:-1 gene:OGLUM12G21760 transcript:OGLUM12G21760.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSMLPSKWLPRALALVAPPDGYGDSTIFHIVGRAARGVLMVCAVGNMES >OGLUM12G21770.1 pep chromosome:ALNU02000000:12:26487377:26487922:-1 gene:OGLUM12G21770 transcript:OGLUM12G21770.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGDRQEAEAPVAGIKRVKEEEATASPSGGEKKKILPNWRKSSIPCEGSEILKKKKEAIAARPLWVSRDVPGAMECWIEEREKALAEEEADIASGKKKRKKVVKYKMPNEVIQQMMRYPYTYPECTEEELARRSASNRQLHRLRMFIDGKMFAYEQTLIDQYLKHGYAFDEEEEEEQQQK >OGLUM12G21780.1 pep chromosome:ALNU02000000:12:26497505:26500477:-1 gene:OGLUM12G21780 transcript:OGLUM12G21780.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSSPSRKALSKIACNRLQKELAEWQVSPPSGFKYRVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPQDNDRYVRNCRNGRSPKETRWWFHDDKV >OGLUM12G21790.1 pep chromosome:ALNU02000000:12:26502889:26509007:-1 gene:OGLUM12G21790 transcript:OGLUM12G21790.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVP5] MGMLRWGAHLLLLLLLAAATWTCAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSSTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFKFGLIDSKVAANRPHPNVSHTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIEYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPINDDIRSSMAMEAACVLAVVVVVLAFLSPAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWTEKIPA >OGLUM12G21790.2 pep chromosome:ALNU02000000:12:26502889:26509007:-1 gene:OGLUM12G21790 transcript:OGLUM12G21790.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVP5] MGMLRWGAHLLLLLLLAAATWTCAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGTAMTVSWVTANELGSSTVRYGSSPEKLDRAAEGSHTRYDYFNYTSGFIHHCTLTGLTHATKYYYAMGFDHTVRTFSFTTPPKPAPDAPFNTLAHYEANGGDAVLFVGDLSYADNYPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDYAPELGETVPFKPFTHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQEELATRVDRKLTPWLIVLMHSPWYNSNNYHYMEGETMRVQFERWLVDAKVDVVLAGHVHSYERSRRFANIEYNIVNGKATPAANVDAPVYITIGDGGNIEGIANNFTVPQPAYSAFREASFGHATLEIKNRTHAHYAWHRNHDGAKAVADAVWLTNRYWMPINDDIRSSMAMEAACVLAVVVVVLAFLSPAARGGVTSTYRRSLQALPDMPIDADVFRPPPGFNAPEQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWTEKIPA >OGLUM12G21790.3 pep chromosome:ALNU02000000:12:26502889:26509007:-1 gene:OGLUM12G21790 transcript:OGLUM12G21790.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVP5] MGMLRWGAHLLLLLLLAAATWTCAGAGVTSEYRRKLEATVDMPLDADVFRVPPGYNAPQQVHITLGDQTGRAMTVSWVTPKLPDSNVVRYGLRADNLTHTANGTFRRYSFGRKYRSGFIHHATLTGLDYGTKYHYAVGSGDTASARSFSFTTPPKPGPDVPYKFGLIGDLGQTFHSNDTLSHYEACGGDAVLFIGDLSYADNHPGHDNNRWDTWARFVERSVAYQPWIWTTGNHELDFAPELGETTPFKPFTNRYPTPFGASGSTRPLWYSVRMASAHVIVLASYAAYGKYTPQWRWLEGELRRVDRAVTPWLIVCVHSPWYSSNGYHYMEGESMRVEFERWLVDAKADVVLAGHVHSYERTRRVSNVAYDIANGMATPVFNRSAPVYINIGDGGNIEGLADDFRWPQPDYSVFREASFGHATLQIVNRTHAFYEWHRNSDGVKVVADHAWFTNRYWTEKIPA >OGLUM12G21800.1 pep chromosome:ALNU02000000:12:26512114:26524787:1 gene:OGLUM12G21800 transcript:OGLUM12G21800.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARHSDNETRSGIFPLSIRRCFSLAQPESLLSRANNSGRRRASFSGETLHRRDSSSGEKALASQAPTRGRGGWHRGSRCNDDEAASRQRGHTAPSRRGQGGSKVARLTGRGGAEAEIRLLLLAARRPPAVAWLVKWT >OGLUM12G21810.1 pep chromosome:ALNU02000000:12:26515198:26518031:-1 gene:OGLUM12G21810 transcript:OGLUM12G21810.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVP9] MAMGARLCSSSIIVVVVVLIVAAAAEAMRCPGTTSVYRRPKKKAADMVDMPLDADVFAEPEGRNAPQQVHITLGDQTGTAMTVSWVTMEEAGNSTVLYGLAMDKLDMAADATVTTYTYYNYTSGFIHHCTLTNLQYGVKYYYAMGFGFTVRSFWFTTPPRPGPDVAFRLGLIGDIGQTFDSNATLTHYEASGGDAVLFMGDLSYADKYPLHDNNRWDTWGRFSERSVAYQPWIWVAGNHEIDYAPELGETKPFKPFTHRYPTPHLASASPEPYWYSVKLASAHIIVLSSYSAFAKYTPQWKWLEAELGRVNRSETPWLIMASHSPWYNSNNFHYMEGESMRAQLEKMAVDARVDLVFAGHVHAYERSFRVSNIRYNITDGLCTPVRDRRAPVYVTIGDGGNIEGLADEMTWPQPPYSAFREDSFGHAVLDIKNRTHAYYAWYRNDDGAKVAADAVWFTNRFHMPNHDDSTPTKRHYYG >OGLUM12G21820.1 pep chromosome:ALNU02000000:12:26524924:26526699:1 gene:OGLUM12G21820 transcript:OGLUM12G21820.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAAAAFAAQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANIGIHAGLIAEVTPPWFVLAIGAAMNLGGYLMLYLSVTGRVGARTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLSFYGGGGGNTKPLILLVGWLPAAISVAFLGTIRIIRAPRSPTAARREYRAFCGFLYVSLALAAYLLVVIVLQKRFKFTRAEYAVSAAVVFAALLAPFAIVLREEAALFRKTPPEEEADDVPALSAATKPSPAATETPPATAMERVVRALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATLVSLISIWNYLGRVSAGFASDALLSRYGISRPVVVTGVLLLTVAGHLLVAFGVPGSLYAASVLIGFCFGAAYPMILAIISEVFGLKYYSTLYNVGNVACPVGSYILNVRVAGRMYDREARRQGAVAVAAGKKELTCIGVKCYKDSFLIVAGVTVAAAVVMAALAWRTRKFYAGDIYARFREEAAAGGGGAGNGTGAGEDEKVESKEEKAVMTPTST >OGLUM12G21830.1 pep chromosome:ALNU02000000:12:26539376:26541115:-1 gene:OGLUM12G21830 transcript:OGLUM12G21830.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAAAAAFAAHVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKSTLGYTQEQLNTVGFFKDVGANVGIHAGLIAEVTSPWFILAIGAAMNLGGYLMLYLSVTGRVGAKTPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVILGLLKGFVGLSGAIFTQLYLAFYGGGNTKPLILLVGWLPAAVSLAFLGTIRIIRAPRSPAAARREYRAFCGFLYVSLALAAYLMVAIILQKRLRFTRAEYGVSAAVVFAMLLLPFTIVVREEAALFKNKSPEEEEADDVPRALSVVTAPAKPAAQPSPESQRPTTATARILQALRPPPRGEDYTILQALVSVDMVLLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSVATFVSLISIWNYLGRVAAGFASEALLARHRLPRPLILAVVLLLTAPGHLLIAFGVPGSLYAASVVVGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGHGVAAAAGKKALTCIGVRCYRESFLVMTAVTVAAAAVAAVLAWRTRVFYAGDIYAKFKDGKTELGADSNGSGTAKE >OGLUM12G21840.1 pep chromosome:ALNU02000000:12:26545537:26547017:-1 gene:OGLUM12G21840 transcript:OGLUM12G21840.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGGGGGGRSSFRGSSMGTKAVPRAAPATTPAAKSTGTAPATKNTSSGSNDSRGMDAVFGPRTVNVVDATPTSSSPAPAAAAAAHPMLDACGAHKKAFQECVAQQGIHVSRCQPYLDMFNDCRRDSAASAAVGVATTTRIL >OGLUM12G21850.1 pep chromosome:ALNU02000000:12:26549320:26553363:-1 gene:OGLUM12G21850 transcript:OGLUM12G21850.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat protein kinase family protein [Source:Projected from Arabidopsis thaliana (AT5G62710) TAIR;Acc:AT5G62710] MDSTSNKMKKMEPTSGGRHGTPGQVVGLCAALVTAMAASLLCSTSMALTPDGQALLELKLSFNGSSQRLTTWKPTDPNPCGWEGISCSFPDLRVQSINLPYMQLGGIISPSIGKLDKLQRIALHQNSLHGPIPSEIKNCTELRAIYLRANYLQGGIPSEIGELIHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQKACRVSPINNNKTSHFLNGIVIGSMSTMALALIAVLGFLWICLLSRKKSIGGSYVKMDKQTIPDGAKLVTYQWNLPYSSGEIIRRLELLDEEDVVGCGGFGTVYKMVMDDGTAFAVKRIDLNREGRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFLELGSLDCYLHGDAQDDQPLNWNARMKIALGSARGLAYLHHDCSPVIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNDAHVTTVVAEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFLKKGLNIVGWLNTLTGEHRLEEIIDENCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMITYNSWVSSSKYSRLAWQSNLGSW >OGLUM12G21860.1 pep chromosome:ALNU02000000:12:26555784:26571107:-1 gene:OGLUM12G21860 transcript:OGLUM12G21860.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYKWQVEAGMSRPETSSSSSILSFFLSFLGLILHKLFTRTNLAKLAPGGRAGGRPEIHMMEAQGMPPAPSQEQTKLAPEKQQHISGHGEQAVRYHGWKVMPYVIGNETCERLGTIGTTANLLVYLTTVFHIPSAAAATLLNVFSGTSNLAPLLGAFLCDAYLGRYATLAAASIASFLGMLVLTLTAAIPSLHPPPCASSSSTSCQGPTHRQLAALLASFAFLVVGAGGIRPCNLAFGADQFDPATASGRRGIASFFNWYYFTFTIAMMRRLPAPASPADDLFDPPHRSKLVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAVAANPWRLCTVQQVEEVKVLARMIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGISQLQRIGIGLALSVATMAVAVAVEQRRRGAGGGSSSSWAWMVPQQAMAGLSEAFAAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >OGLUM12G21860.2 pep chromosome:ALNU02000000:12:26555784:26564143:-1 gene:OGLUM12G21860 transcript:OGLUM12G21860.2 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERRRKEEEESKKEDRQDRSTVHCSCTVLMAPPTINCIKVTQLHYAREVSSSQPSPAWNETCERLGTIGTTANLLVYLTTVFHIPSAAAATLLNVFSGTSNLAPLLGAFLCDAYLGRYATLAAASIASFLGMLVLTLTAAIPSLHPPPCASSSSTSCQGPTHRQLAALLASFAFLVVGAGGIRPCNLAFGADQFDPATASGRRGIASFFNWYYFTFTIAMMRRLPAPASPADDLFDPPHRSKLVAKIKHTDQFRWLDKAAVVTAEDAVVDGMSAVAANPWRLCTVQQVEEVKVLARMIPVWSSSIVYYVMLTQLGTYTVFQVMQSDRRVGRSGFEVPAGSMVVFNMVALTAWLPVYDRAVVPALRRVTGREEGISQLQRIGIGLALSVATMAVAVAVEQRRRGAGGGSSSSWAWMVPQQAMAGLSEAFAAIGLNELCYKESPESMRSVAGALSPLALAVASYASGAMVTAVERATGWLAQDIDKGRVDLFYLVVGAMSAANLAYFVVCALWYRSKNIADHGGVELLQTSSKHNADAPPAMAV >OGLUM12G21860.3 pep chromosome:ALNU02000000:12:26564701:26571107:-1 gene:OGLUM12G21860 transcript:OGLUM12G21860.3 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLYKWQVEAGMSRPETSSSSSILSFFLSFLGLILHKLFTRTNLAKLAPGGRAGGRPEIHMMEAQGMPPAPSQEQTKLAPEKQQHISGHGEQAVRYHGWKVMPYVIGMYYCIF >OGLUM12G21870.1 pep chromosome:ALNU02000000:12:26571539:26573275:-1 gene:OGLUM12G21870 transcript:OGLUM12G21870.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQQPGEKKITGGEEEEEVISSVRYRGWKSMPYVIGNETFEKLGTIGTTANLLVYLTTVYHLPSVHAATLLNFFSGTTNLAPLLGAFLSDTFLGRYTTIAAASLASCLGMLLLTLTAAIPSLHPPPCTSPSTCQGPTHGQLAALLAAFAFLVVGAGGIRPCNLAFGADQFDPRTDSGRRGIASFFNWYYFTFTVAMMLSATLIIYLQSNVSWAIGLAVPAALMAISCALFFMGTRLYVRVRPEGSPFTSFARVIVAAVRKRRVPAPASADDLFDPPHQSKLVAKIAYTDQFRCLDKAAVVTPESRSSPWRLCTVQQVEEVKCLARIIPVWSAGIVYFIVVTQLGTFVVLQALQMDRRLTRWWAFEVPAGSMVVFNMMAMTVWIPVYDRLVVPALRRVTGKEGGISQLQRIGVGLVLSVATMVVAAAVEQRRRRLGAVGVKMSFLWLVPQQVAAGMSEAFAAIGQTELYYRQFPENMRSVAGALFFLAFALANYASGFMVAAVHRTTGWLAQDLNHARLDLFYLTVAAIAAANVCYFLLCARWYRFKNTTIDIADHVELPDYHHHQPGTGNTIASMV >OGLUM12G21880.1 pep chromosome:ALNU02000000:12:26582142:26584622:1 gene:OGLUM12G21880 transcript:OGLUM12G21880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETLTPQTLAAGDLVWAKSKRRPWWPAHLLPDGLVSYLADPDPDHAPRRASDLRPFAHPDADLMARATTTRAFVAAVQHAQAQAAALLHAHLTCPCASAPAPAPDAPPPPLRATLHNLPPPDFLASLRHAALDTSSVGLLDLPRLKAWVAALANGWGPAGPGHYPRRPVADLVDKIDLDVPAAWDAQDQDTRPFEVPQETPTQKKRSVAELMDNDDDKATPHHQDSATTISKSNKRERKKSKYLSPPYTNLGGIALVQKASDSPKPSPPAAAEDDEYKVLPKPLQENVTPQEVLLFVRRTGLDVFHRIRSMKAVNAFLSLYTSSLLVEDADYKSFIAHECSTENAFTNAAMETSDSFVNSRAALKPGKCALKRTRKQGQDEGGSSSTKTEKRGKKSPAAALGCGVTITPAIPIRQARAENIRSPTKPENGARGMAVGVQLEKIKPDFKSPTLASAKVAKEPGQEQDKANGGSVLKTPANACKNLSDQPAKQNDAGMLEARQLHTNIQADPGVQGIVVDVPVRCVPVEAVKSEANIPLHRDEQNAAVDVTDKSAPLPKSEDVSLSQPTDGNKEHASAEVRTVQESYASLEAMVPEMLMKAEVANGTNVAAASNSLKDEGQRADQPSLKKMVPGANVNHSSGEATNSAFPDIAYSTPKKKKKKIAEHFGNPAALLLDFAKGVVLPSKEELLSAFGKFGLVIESETDIVKDTHSARVVFGKSAEAEAAYNSAETLGMFGPPFATPRLHYLPPIKLSVPSPASKPPLTDIRKNLERMISSLAGHSSVKKATPSDGSKQMPENLLGEMQGLLAKVDKMLTGPSATASNPH >OGLUM12G21890.1 pep chromosome:ALNU02000000:12:26586038:26589822:1 gene:OGLUM12G21890 transcript:OGLUM12G21890.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription mediators [Source:Projected from Arabidopsis thaliana (AT5G20170) TAIR;Acc:AT5G20170] MEEAVRVDLDKLPIKRLHAIDEAGNEHYPPDTSSEEQRLSAIRRIDFSWVIDKDAKKPKKDTAQQQQQQAWPWQSMMESLQQAQQELSVVIDLISTVEANDAVAVAGMLKPKSLPTETLVDTAVSAATKLQRVRHLSRYFKQSAKTMEQQFQKESRLQQNWKVKRQRFGGSGPGSEGFMFDLIDTSQLDTAAMPRLSSLSLIPIDQDSSGTLSVQVPQKSCRFLSLNFRGDSANGVENYGHKLKDGISSITSSETDNDDVNKSIKHAHSILRNIHKSIFEEQVFDMVIRETFVQSQGINVTGMREDFLQLAIGQECSLCLSLAHSGDGSDSEMVDHEDHANSEDASNLVLVTMNGKLDPLRKDVTGFPNPRSLEIYLLQLFHENILRKVREKSLNIGRYQSPAQVAGDDYGLLGHFCLTVAHRIFSNKVLVELESVVSRVPYLHLRSLPTWHSRTSSWSLCLKVPQPILAADRIAKPSDNHELKYKSRSQFNTKVIVKDSQISLMGEGSPSIAGSLTGKPSDGYLVNSYNCDLEDLPTMLLQQVASQVIHWLHEEALVLGMNVTRDFLCLYFDLEQGETLGLVANVDPDDTCGCISWYLTIDHPTEDGKMSADSQEFEKRRFLGYVSLEVLYSTLMDLINLCNAGAHH >OGLUM12G21900.1 pep chromosome:ALNU02000000:12:26594042:26605919:1 gene:OGLUM12G21900 transcript:OGLUM12G21900.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVR0] MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKLEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >OGLUM12G21900.2 pep chromosome:ALNU02000000:12:26593977:26605919:1 gene:OGLUM12G21900 transcript:OGLUM12G21900.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVR0] MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLTTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAIVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIDVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDGDGKMYRVSKGAPEQILHLAHNKLEIERRVHAVIDKFAERGLRSLAVAYQEVPEGTKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNLIFYFPLDIIKFLIRYALSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQPPDAKMFSEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >OGLUM12G21910.1 pep chromosome:ALNU02000000:12:26605988:26610266:-1 gene:OGLUM12G21910 transcript:OGLUM12G21910.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWNLDEEEAEEGLPNAAAVQLGLPPCDCWVARLALEPRARRRRLERPKRPLRSFLRLRYPIRGLPFMLRAKQLGTLTQCARSFYVNGSRCGSTDGASCTCPEDETSAPKRQTANGIEQKFHSTRRPSVKAQTPSVQHVVGSIGRATGYPAPAVHIVPSNSSQETEPASGNTSNHPRTPQVLGNDYVQPSKQTARSISHSAIAGAGVYTELVNLKSTSNNGGTNQAPQMATSYSYQPLSDAQPSNIKSHNQHSLPEAKMPYNPSVQNNLGKGVSRSGYAKSNQSFSEPPAMVANSPSQIKNQRHPGQRHTNYHSNNFSTDARWDEVQTQNLSAPAMYTGSGHKSQALAGTIKSHGGGPQSNLRSLKSLRSVEQYYHTLQQMKWGPMTEHVLDNLHCKIDAFQANQVLKLLHDHTIALGFFQWLKRQPGFKHDGHSYTTMIGILGQARQFGTMRKLLDEMNSVHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQKAGYEPDRVTYCTLIDIHAKGGYLEVAMDLYTRMQEVGLSPDTFTYSAMVNCLGKGGHLAAAYKLFCEMVENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRHDWAPDEPVYGLLVDLWGKAGNVDKALGWYHAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYSVLQNMLAQGLVPSLQTYTLLLSCCTEAQAQMGLCCQLMAITGHPAHMFLLYLPDAEPGGQNVRDHTRYFLDMMHSEDRESKRGLMDAVIDFLHKSGLKEEAGFIWEVAAQRNVYPDSVREKDPSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGKCPERIDIVTGWGRRSRVTGSSLVRQSVQKLLHLFEFPFVTTRGNTGCFVGCGEPLNRWLHNPYVERMHLL >OGLUM12G21920.1 pep chromosome:ALNU02000000:12:26614242:26618132:1 gene:OGLUM12G21920 transcript:OGLUM12G21920.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSRWSALAASALIQCFAGSSYCFAVYSPALKASQSYDQSALDKVAFFKDVGANAGILSGLLAAWAPAGRRRPWLVLLAGAALCAVGYLPIWLAVTGVAPAPLPLLCLYMLLAAQAQTFLNTADVVTAVENFPDRRGTVIGIMKGFLGLSGAILVQVYRTIHIAPSTFILMLAILPTAITLLLMYFVDVHRSDHQRYNKKFMDAFSLIAITVAGYLMIIIICDQVLKIISSAVQTVCFVILLLLVLSPVAIAVKAQKTESVKQEEETRDQAERIGLLQEQISTNASSSSDERCQELSTGKENMNLVQAMCKLNFWLLFLAMSCGMGSGLATVNNISQIGGSLGYSTKETSTLVSLWSIWNFSGRFGAGYISDHFLRSRGVGRPFFIGVTLLVMSLGHAIIASGILASLYVGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNVVAVASPVGSYILSVRVVGYIYDMESPPGARACSGNHCFALSFVIMACVCVVGSAVAFMLFVRTRRFYKRVVYARLQSFL >OGLUM12G21930.1 pep chromosome:ALNU02000000:12:26619867:26621744:-1 gene:OGLUM12G21930 transcript:OGLUM12G21930.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGMMENLGSVSTLWSALVSVLLFWPVVNNHVPAGLRQWLSTMVDKLTSYLSPYLHVTISEYGHQRFRRSDFFLAVEAYLSHACARRARKLRADLGKDARTVQITVDDHQEVTDSFRGATIWWYPSKKPPRTNVISFYPRDDDARFYRLVFHRRHRDLVLDAYLPHVLAEGRAVTIRNRQRRLFTNNAPGASTSYYSRKSVWSHVPFEHPATFDTLAMEPADKDAILDDLTAFRDSKDYYAKVGKAWKRGYLLHGPPGTGKSTMIAAMANFLDYDVYDLELTAVKTNTDLRKLYIETTGKSIIVIEDIDCSVDLTAKRSNDKKKKKSSDEDDDDKPKLPTEQEKDEASKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDVHIEMSYCRFEAFKVLAKNYLGVEQHEMFVEIRRLLEEIDMSPADVAENLMPKASKGKKRDPDACLAGLIEALNKAKVEAAEAAKAKEEEEAAAAKAKEEEEAAKAKAAKEKEKDDEAAGTKVDETVKLATRGVVRPGRSTGPYMSGQSEEWFPSL >OGLUM12G21940.1 pep chromosome:ALNU02000000:12:26624266:26625666:1 gene:OGLUM12G21940 transcript:OGLUM12G21940.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAAAGGSWAAGFSLSAVASLLFLFSMLQEHIPFQLQDYLAAMAARLHDLLSPYVTVTIDDHASDSFSRSEAFLAVEAYLSASPCAARARRLRADIAEGADRMALAVDDHEEVADDFRGATMWWRKSKAIPRANVISWAPRQDERRSYHLTFHRRHRALVEADYFPHVLAEGRAVTVRNRQRRLFTNNPGADWSGYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKDYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAIIVIEDIDCSIDLTGKRKKKKKDKKKKMMPPSDDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESFKALAKNYLGVEQHEMFGEIRQLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKETKAAG >OGLUM12G21950.1 pep chromosome:ALNU02000000:12:26628454:26629878:1 gene:OGLUM12G21950 transcript:OGLUM12G21950.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEHIPFQLQDHLAALAARLHALLSPYATITIDDKSSHYFSRCEAFFAVEAYLGASPCAANARRLRADLAEGADRMALAVDDHEAVADDFRGATMWWRKTKALPSANVITWSPRNAERRSYRLTFHRRHRALVENAYLPHVLAEGRAVTVRNRQRRLFTNNPSADWSAYDDARVWSHVKLEHPSTFATLAMDPVRKQEIIDDLDMFRDGKEYYASVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKSNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRKKKKKDKKKKKMTPPWARDDDEEKKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDMHIEMSYCCFESFKVLAKNYLGVEQHELFGEIRRLLEEADMSPADVAENLMPRSKTKDVDACLERLVKALHEAKEAALSKAAAAAAAAGKKKADEEIKDDSDKDPKDMKERFC >OGLUM12G21960.1 pep chromosome:ALNU02000000:12:26640207:26645596:1 gene:OGLUM12G21960 transcript:OGLUM12G21960.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRGLLLVFVVVCFGGGGWLLPASRAMPLCTDSRPPVALNKTLAFCAYARGSSSCCDAAADATLQKQFDAFNVSDASCAALLKPILCAKCNPYSAELFNAGPNIRTIPFLCNSTSSSSAQSKDSTQDYCKLVWETCKDVTISNSPFQPPLQGGAPLPTSSSKLTDDWQSEADFCKSFGGAPNDQSVCFSGNSVSFNTTPPSPSPKGICLERIGNGSYLNMAPHPDGSNRIFLGSQPGKIWLATVPDQGSGGILQFDETSPFADLTDQVHFDSQFGLMGMAFHPNFATNGRFFASYNCDRTKSPSCSGRCSCNSDVGCDPSKVGTDNGAQPCQYQVIVSEYSAKGSSANISEVTSADPSEVTRIFTMGLPYTSQHGGQILFGPTDGYLYLMMGDGGGKGDPFNFSQNKKSLLGKIMRLDVDNPPRQSEIANQSLWGNYSIPKDNPYTDDSDLEPEVWALGLRNPWRCSFDSARPSYFYCADVGQDQYEEVDLISKGGNYGWRAYEGPLVFNPPSAPGGNTSLNSINAIPPIMGYSHSDVNKKIGSASIIGGNVYRGSTDPCLVGRYLYADLYASAMWTGTEAPESSGNYSSSLISFSCSKSSPIACDTAAGSPLPSLGYIYSFGEDNNKDTYVLSSKGVYRVVRPSLCGYTCPTEKPATNTGTTTPSSAASVTGKQMMGALLLSVLMFWVLYFSYAREGCIIIKVNEVYFIIQ >OGLUM12G21970.1 pep chromosome:ALNU02000000:12:26646173:26652759:1 gene:OGLUM12G21970 transcript:OGLUM12G21970.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAAFDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >OGLUM12G21970.2 pep chromosome:ALNU02000000:12:26646173:26652759:1 gene:OGLUM12G21970 transcript:OGLUM12G21970.2 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAAFDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSKPFVEYCIWGWDNLPRTVLMYYANFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTIEDLDRMVASDAPFARKFHADDPVLDKIDAEILLRGPDMLTPGGWCGGTRENGSDPCSVIGNTTHLQPGRGAVRLQRLMTSLLSEEKFHPRQCK >OGLUM12G21970.3 pep chromosome:ALNU02000000:12:26646173:26652759:1 gene:OGLUM12G21970 transcript:OGLUM12G21970.3 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTTGTAAAAAAFDRRWLLPLAIGSALSLLLLVLLTTFPLPFPFPSSAASRPPNPTLFVEHKLAPSPPSTASPPRFAYLISGSAGDAAALRRVLLALYHPRNLYILHLDAEAPDSDRANLAADLADHPVIAAAANVHVIQRANLVTYRGPTMVANTLHAAAAFLYTNQHPHLEWDWFINLSASDYPLLTQDDLIHVFSKLPRGLNFIDHTSNIGWKEYQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGHNRCPTAQICCFFSLTSLLITLGTVKTSS >OGLUM12G21970.4 pep chromosome:ALNU02000000:12:26652396:26652622:1 gene:OGLUM12G21970 transcript:OGLUM12G21970.4 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGEWWLAMRQNFWNGHRDVIDSLVLLKCACVSESTALSIDFLFGSIKEEVTICKEAEVFRNIGE >OGLUM12G21980.1 pep chromosome:ALNU02000000:12:26651085:26651693:-1 gene:OGLUM12G21980 transcript:OGLUM12G21980.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLLYALHKQLEAADKCFSEGNIKGGKMHADMAAALFSSAPEAQCAQAAFKVHAAAAAAATKDKTKTDHYAVLGVKLSATGKPDATTTDAVRKQHKALCAMFATAKDTSAAVAAANKLVDEALSALTDIKKSDVMSPPPTSTSTYSYQQKQQVARRKAKQRQEDQEFQARAACYQEEEEDDYYGGGRDKDGGRGGRHRGR >OGLUM12G21990.1 pep chromosome:ALNU02000000:12:26653135:26653506:-1 gene:OGLUM12G21990 transcript:OGLUM12G21990.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWCMSMMHIVLLLLVVVLMLLNVSCEAARGMPAPQGATTMAKAMEAGGGGGLKDHKTFLPPVPGMGGGGVGGFAGMGGPLGGVIGGIGGVLGGSPAGLGGGLGGGSSGGLGGGAGGGCIHP >OGLUM12G22000.1 pep chromosome:ALNU02000000:12:26655537:26657121:-1 gene:OGLUM12G22000 transcript:OGLUM12G22000.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKRKRRLRSQAGQIARAGDDPATPIPPLLPLRLTAARAAAGKAGRPQGRRRRGSSLTSHRAGRGAARRDGGDRQIQAAPAGSGGAATGGGHGGGDGDGEGRDVRRLKAAGIAMVVGMEPAASAKVVVVVPCGLVVATARCPAAAAAVSPRSAPLGRIWRVAGGSWRRLCGNDGGGVATAAAAVGDGGGGRGSWRLRCRLAMAVVVHMASGGGQPHAGEGRRHSGSLRMRGLASVAAATAAVCGGGGDVGGGEELRSVTLSGGRSGVSLLPGLCDGYVAVWVVVYFFLFPGYDPSGL >OGLUM12G22010.1 pep chromosome:ALNU02000000:12:26660360:26662105:-1 gene:OGLUM12G22010 transcript:OGLUM12G22010.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLDDVLSLCNNNTRMCNALVLSVVVVSFLQLLKHVLLTPSRLPLPPGPRNLPVVGSAHRLVNTLAHRVLRDLADVHGPLMHLRVGQVPVVVVTSKELARDILKTHDANFATRPKLVAGGIVAYDWTDILFSPSGDYWRKLRRLCIQEILSAKRILSFEHIREDEVRMLADEIRAVGPSVAVDLSARLHRITNTIVSRAAFGNKRSNAADFLVAIKQSVIMASGFYVPDLFPRFSVLLCWLTGMRRTLHGIRDTIDSILEEIISEKEEAKQQQDNNLVDVLLSLKDKGDFGFPITRDTIKAIVLDIFAGGSGTSANAMEWAMSELMMNPRVMNKVQAEIRDAFHGKQSIGEADLRARDLKYLKLVMKETLRLHPPAPLLVPRESIDACEINGYMIPAKARVIVNSWAISRDPRYWEDAEKFKPERFAEGGIDFYDSNYEYTPFGSGWRMCPGYNYGLASMELTLAQLLHSDWSMPDGATEVDMTEAPGLGVRRKTPLLLCAAPYVASPIYA >OGLUM12G22020.1 pep chromosome:ALNU02000000:12:26666869:26669337:1 gene:OGLUM12G22020 transcript:OGLUM12G22020.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/hydrogen exchanger 28 [Source:Projected from Arabidopsis thaliana (AT3G52080) TAIR;Acc:AT3G52080] MDCSMTSSILSANYNTILFEFGVILVTSKILYALLRKLYQPRIFSDLLLGIVLAQFRVLSLTNAINLVFGKIGGFVFAPYLFALGVEMDPSALLDAPTADAAVAYAGIFSTAVLVTLFHAPIMRPTSGIVHENSLRAFLALAAALSNTASPVLTRLATDLKIAKTAVGRLAVGAGIASDMLTTMLIAIGSMIWRDTGTEGAASPLAQPALTAAVLAVVLMSGLVSRAMAEWVDGRNPEGRRMRGFDLSLVALVAATLCWFTSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLSSFVLPLYVAHVCLSLRQTTDDIEAAGLRKDQGFRVYVMELPFPWWKVLFVTAMGTLGKLVGCAAAGLLRGLGWLEALALGLLLNVKGYFHVYCALAAFEAGIITDKSFMAIIFMVAVNVATTPMVGMAIASWARRSVQWRLMGLQHHDPATELRLVVGLQGPQDVATLAFLMEALRWGGGNGELAVYAVDMVQLTDQTAAAIVKGGGFDGVTVVDEEVSEMRKQIGEALDAYQGDDGAVRVRRVLALSSFTDMHSDICICAEEAMAALVLLPFHKRQRREDDGGAMDMEPPRPLGFRLVNQKVLQLAPCSVGILVDRHRHVDAAASQSQSQGVVVVFIGGADDREALTLASFMSKHASVRLTALRVVQNATAQARARARTSLFESSKSSCRAGASSSSSASSVLGQEEVQAQVDDKFFAEFYRKHVAGSKAIGYMEKHVGDGAELVAVLRALQAEYRLFVVGRGRDRRSVLTEGLEEWAECLELGPVGDILASSDFSSTASVLIVQQYDAKKHYKVIDEEFMPL >OGLUM12G22030.1 pep chromosome:ALNU02000000:12:26669849:26676850:1 gene:OGLUM12G22030 transcript:OGLUM12G22030.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLGNPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKELGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >OGLUM12G22030.2 pep chromosome:ALNU02000000:12:26669849:26676850:1 gene:OGLUM12G22030 transcript:OGLUM12G22030.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLGNPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGSGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKELGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >OGLUM12G22030.3 pep chromosome:ALNU02000000:12:26669849:26677032:1 gene:OGLUM12G22030 transcript:OGLUM12G22030.3 gene_biotype:protein_coding transcript_biotype:protein_coding description:carotenoid cleavage dioxygenase 1 [Source:Projected from Arabidopsis thaliana (AT3G63520) TAIR;Acc:AT3G63520] MGGGDGDEVLLLPEPRPRRGLASWALDLLERAAVRLGHDASKPLYWLSGNFAPVHHETPPAPALPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTSRLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKLKVLDFTYGHGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFAFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILQRYEKDDTNIRWFELPNCFIFHNANAWEEGDEVILITCRLGNPDLDKVNGYQSDNLENFGNELYEMRFNMKTGAASQKQLSVSAVDFPRINESYTGRKQRYVYCAILNSIAKVAGIIKFDLHAEPEISGKKQLEVGGNVRGIFDLGPGRFGSEAIFVPKELGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPSRVPYGFHAFFINEEQLAKQSA >OGLUM12G22040.1 pep chromosome:ALNU02000000:12:26679613:26682315:1 gene:OGLUM12G22040 transcript:OGLUM12G22040.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:A0A0E0BVT0] MAALLLLVVAVAIAIVPWGGGAVAVAVAREVPVEYLYPPFNLTYFHFLDTNGVFLLSRNATFSAAVYNAGVDPSSDDNQSRFFFSVLHAASRTPVWTATAGTTILQSIVLSLTAQGLALSDPDPAADYAWSTPRLRAPVAALRLLDTGELALLDAANATLWSSFDRPTDTLLPAQPLLAGVSLTSPVSDQDLNPGAYRLMLTDTDALLQWATNNGSSFLTYWALSTDPNSVQDSNAAVHSMTANSSGLYLLAANGRDTVFRLRFPSPDANGDPCLLKLDSSGRLRALRLSTTSPRATLPTVWAAPTGGCDLPLPCRSLGLCTPGTNGSSCSCPDVFSTYSTGGCAPADGSALPLLADTCAPPPNFNYMTLGEGIGYFANKFASPDTSGEELPACRNLCSANCSCLGFFYRNSSKSCFLLNYRIGSLFRGDSDAAVGFIKTLPPASRRQGGGKGSSLSFITIVFGIALPTVAAVLIGFVVYVMWVKSRQASNKKKKKKKKQGGSRSWFKLPMLSSQQASYASEEQQGEEDDGDGDEVLIPGLPARFTYAELEEATEGFKSQIGSGGFGCVYRGELTDPERSAVVAVKRMNNLGSQGRREFLTEMAVIGNAHHVNLVKLRGFCAEGARQLLVYEYMNRGSLDQCLFRAAAAPLEWPERMGVCVGAARGLAYLHAGCARKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLTNAPITDKADVYSFGMVLLEIVRGRKNCRSGKGSGGEASSDSDGYFPAMALELHEQGQYEAVVDQRLEGRADVAQVERVVRVALCCLHEDAALRPAMTTVSAMLDGSMEAGVPRTELLDYLRLYGRGLFDVRSGLHAAGKGGSDFTSGGAGSSSWSPTSCVSAQQLSGPR >OGLUM12G22050.1 pep chromosome:ALNU02000000:12:26686684:26691647:-1 gene:OGLUM12G22050 transcript:OGLUM12G22050.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEPSPSPSSCAKHHRSPDPADPAASPKRRRRHHRRRRDVDDDDESPLADADRPPTAEDVEEGEILDDAMDVDAVPDAAPQLSSGNHAHMNSDADATVLHASRLPTHSSSRDETKSNHTAHEPESGGDADDTKGDRQSQRVPKSPLLTREKERKHKDEHRKSYPKDSHSKEQSRRSPSRHHSSQDHARHHSRSRDTGAEANGSRASTREDSDRDSNGRNSKHGRHATRSRDNETERSSSYAVRDEAYDERERYKHERRHRSNPVDRDKVDLHELTHRDRERSSSRSRSDRRESTHHIRDESRESERRSSSSRHKDNERRDRSKDCYKESDKVDSGHERDKTRDDRDRGRHKDLESRKRRNGEAKDRDDRHKDSTRSKYSTSDSHKHLSRSRERGRDAERRGQRSEELKENTFREEDEEEYQEKIEQQLAMQEEEDPEKIKEEARRRKEAIMAKYRQQQLQKQQLESLPRSNDEEEVEMNRGDNADLKGDNDSRFVASEEAENKHDSSDAIVGETDFTVGKSPAHNDGAGTLGNQRTTGVSGLGEGTPKGKDDGLRIEKNALHDNWDDAEGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNETMYKAGKQEVSILEKLASADREDRRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDMWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDPVTKKAVTRMILNIKPKDIGSLISNFPGEDPKMLSNFKDLLEKIFVLDPEKRITISQALSHPFITGK >OGLUM12G22060.1 pep chromosome:ALNU02000000:12:26692241:26696586:1 gene:OGLUM12G22060 transcript:OGLUM12G22060.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYGDGKGLKQQQRQKLKPALEVEDFINLLHGSDPVRVELTRLENELQYKEKELGEAQAEIKALRLSERAREKAVEDLTEELTKVDGKLKLTESLLESKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLEERRILQGEMQQLRDKLAIAERAARSEAQLKDKFQLRLKVLEEGLRMSTTRTNVSAARRQSIGGADSLSKTNGFLSKRPSFQMRSSVSTTTTTLVNHAKGASKSFDGGCRSLDRYKGHVNGSGMNVSTDSSEDKESNNSDEKANEFTSVETEDTVSGLLYDTLQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEGKKRRMEVAAMEKEMAALRLEKEQDNKAKRFGSSSSQLPPGRTLPRSGSARNM >OGLUM12G22070.1 pep chromosome:ALNU02000000:12:26697042:26698258:1 gene:OGLUM12G22070 transcript:OGLUM12G22070.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-11 [Source:Projected from Arabidopsis thaliana (AT3G12110) UniProtKB/Swiss-Prot;Acc:P53496] MADADDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDFDQEMATAATGSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKMWIAKAEYDESGPSIVHRKCF >OGLUM12G22080.1 pep chromosome:ALNU02000000:12:26698286:26717477:-1 gene:OGLUM12G22080 transcript:OGLUM12G22080.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTLFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFCDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGQEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQLQITMEILILTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAVMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMD >OGLUM12G22080.2 pep chromosome:ALNU02000000:12:26698286:26717477:-1 gene:OGLUM12G22080 transcript:OGLUM12G22080.2 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPEAEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEFGTKHGLGKLGAGIRANINPDLLLAVFLPALLFESSFSMEIHQIKKCMAQMVLLAGPGVLISTLFLGSALKLTFPYNWNWKTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGSIIKFLSEVSLGAVALGLAFGIASVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDALEVSGVLTVMTLGMFYAAFAKTAFKGDSQQSLHHFWEMVAYIANTLIFILSGVVIADGVLENNVHFERHGASWGFLLLLYVFVQISRILVVVILYPLLRHFGYGLDLKEATILVWAGLRGAVALSLSLSVKRASDAVQTHLKPVDGTMFVFFTGGIVFLTLIFNGSTTQFLLHLLGMDRLAATKLRILNYTKYEMLNKALEAFCDLRDDEELGPPADWVTVKKYITCLNDLDDEPVHPHAVSDRNDRMHTMNLRDIRVRLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVPTQELCDWKGLRSNVHFPNYYRFLQMSRLPRRLITYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGQEARKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKTGLLEEKEMAHLDDALQTDLKKFKRNPPLVKMPRVSDLLNTHPLVGALPAVMRDPLLNSTKETVKGHGTILYREGSRPTGIWLVSIGVVKWTSQRLSSRHSLDPILSHGSTLGLYEVLIGKPYICDMITDSVVHCFFIEAEKIEQLRQSDPSIEIFLWQESALVVARLLLPMMFEKMATHELRVLITERSTMNIYIKGEEIELEQNFIGILLEGFLKTKNQTLITPPGLLLPPNADLNLFGLESSAINRIDYCYTAPSYQVEARARILFVEIGRPEIEADLQRSASLISQTLELPRTQSKEHSGLLSWPESFRKSRGAQNGASLTEIRDHPASFSARALQLSMYGSMINDMKSGQGQGQRRQRHRHTKASSNKAHSSSYPRVPSRSSNTQRPLLSVQSEGANMTTARQAAAAGASLPPEPEEAGRRRRRQRKAIEEDEDNSSDESAGEEVIVRVDSPSMLTFRRKVRSREETKRRWNLLTAAGVGGVARAWTCGARGWLAVVRCERAMGMD >OGLUM12G22090.1 pep chromosome:ALNU02000000:12:26721764:26724097:1 gene:OGLUM12G22090 transcript:OGLUM12G22090.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallo-hydrolase/oxidoreductase superfamily protein [Source:Projected from Arabidopsis thaliana (AT1G29700) TAIR;Acc:AT1G29700] MATTTTTTTTMGGLHRLISRSSCSRRTFAASTPPQRPRAIAVRVRSSSAGVTKSQRQRQRQVKLTYLEINSWVWEVGGARILVDPILAGNLDFGAPWLFDAAKKRLKNLGVQDVLQPPGVDLLLITQSLDDHCHARTLAQLAAAAPDLPVVTTPNARPVLAALPTPFRDVTYLEPGQSTNCNGGGVRILATPGPVLGPPWQRPENGYIMFLLQEEEEDAEGLVYYEPHCVYDRSFLEKEALRADVVITPVVKQLLPANFTLVAGQEDAVELASLLRARYVVPMSNGDVDAKGLLTAVVTTEGTVEAFKDMLADTLPEAQLLHPTPGVPLHIHLPHLT >OGLUM12G22100.1 pep chromosome:ALNU02000000:12:26722779:26727125:-1 gene:OGLUM12G22100 transcript:OGLUM12G22100.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G09960) TAIR;Acc:AT1G09960] MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGVGSLEKILEIKWREGMHGLE >OGLUM12G22100.2 pep chromosome:ALNU02000000:12:26723499:26727125:-1 gene:OGLUM12G22100 transcript:OGLUM12G22100.2 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transporter 4 [Source:Projected from Arabidopsis thaliana (AT1G09960) TAIR;Acc:AT1G09960] MPRRPSGGGGGAGPAAAAVRKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRIFGDSITPGSTRLGAIIVYLVGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYKIFPFTVTPSCSISCANLKSAFLLDIIILVVTTCITVASVQEPQSFGSDEADHPSTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDDPSITQSYHDGVRMGSFGLMLNSVLLGFTSIVLEKLCRKWGAGLVWGVSNILMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQVIVSLGSGPWDQLFGGGNAPAFAVAAAASFIGGLVAILGLPRARIASRRRGHR >OGLUM12G22110.1 pep chromosome:ALNU02000000:12:26728101:26738595:-1 gene:OGLUM12G22110 transcript:OGLUM12G22110.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes (SMC) family protein [Source:Projected from Arabidopsis thaliana (AT3G54670) TAIR;Acc:AT3G54670] MAAAAAGKGGGGQGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYHLPATGDELHFTRAITGAGGSEYRIDGRLVTWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEDQKNRAEEKSALIYQEKRTIVMERKQKKAQKEEAENHLRLQQDLKLAKTEHLLWQLYTIEKDAEKIEAELEEDRRSLQQVLEENQSSDYELSAKKKEQSGFLKKMTLCEKSIAKKKLELDKKQPELLRLKEQISRLKSKIKSCNKEIDKKKDDSKKHLEEMKSLQSALVDVTRAIDELNEQGQNKSDKLQLADDQLQEYHRIKEDAGMSTAKLRDEKEVFDKELNAGVEAKKNLEENMQQLRSRENEILSQERELRAKHNKILHSIPKHEDELAHLREEHNKIAKERQTSGVKYQMLKQRLDEIDTKLRELKADKHESERDARFSETVRSLKRLFPGVHGRMTELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDKAVLYAVGNTLVCDELDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMAARSNKWDDSIIESWKKKKNQYESEMSELGSPRELQRKELAVSEKITGLEKKLHYLNVEENNLREKLRRLESEKSNIEEEIDRLEPVKEELETRIGKKEREVRVLEKKINEIVDRIYKDFSKSVGVKNIREYEERQLKDAQALQERKLSLSNQMSKLKYQLEYEQKRDMQAPIIKLKETRESLEKELKSLQERESEARAEAEQISNQMEELKAEAEDWKSKSDECETGIDELKEKNGSVAAALAKLDRQVKSKEGKLVQLRSQEREIHEKCELEQLKLPTVNDPMDTGSSSQIPILDYSQLSENYLQDMRLSERDKLEAEFKKKIGDLVAQIEHTAPNLKALDQYETLQRKEKDVMEKFEAARKEELEIADKYNSVKQRRYELFMEAFDHISKGIDQIYKELTKSQTHLLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCQRVDEQDNGGCGFQSIVISLKDSFYDKAEALVGVYRDSERCCSRTLTFDLTKYREA >OGLUM10G13880.1 pep chromosome:ALNU02000000:10:17984898:17985401:-1 gene:OGLUM10G13880 transcript:OGLUM10G13880.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAKQLALFAMLVLLLASCAAARRHGKPDPCDGGGGGVDSHLPPGMRRCSSPAVSEDGTPAVMTVNGFEEGEDGGGPAACDGRYHSDRSLVAALSTGWFAGGRRCHRGIRITSRQNGRSVVATVVDECDSRHGGCKDDIVDTSAAVWSALGLDTNVGEVPVTWSDA